>itb10g07590.t1 pep chromosome:ASM357664v1:10:9031157:9037061:1 gene:itb10g07590 transcript:itb10g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTEAPADPGKNGTEAKSASTGVNGVGKNNAPIIEPKNLKFSLGGESSNENWKWESSGKEVVARAVSNVIWLEVTGEKEVDPKKKYEVMFKVSLNEESSSTEWENIPLYVMARRGKKRDYCWKKFVLTTVTQREIGLVIDKVASNDDEDKKLYFGLYDIWSGKLKKGLVIHYAWVREIP >itb10g07590.t2 pep chromosome:ASM357664v1:10:9036437:9037019:1 gene:itb10g07590 transcript:itb10g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEINNLIDQIGTPYIFCCSGKEVVARAVSNVIWLEVTGEKEVDPKKKYEVMFKVSLNEESSSTEWENIPLYVMARRGKKRDYCWKKFVLTTVTQREIGLVIDKVASNDDEDKKLYFGLYDIWSGKLKKGLVIHYAWVREIP >itb15g05880.t1 pep chromosome:ASM357664v1:15:3839237:3844548:-1 gene:itb15g05880 transcript:itb15g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHRGGGRGSLMVPAALAFSTAIFITLYIASTSKVLLMIHPNQTHLRVKPPVEFSIINTPFQMPPPAAAANQSQSAAVEFSDYLPRVSTPASTRPRPVNVISQSTQGEFTAVNFSGTAVKSMIMQEVFHDKDLFFEEYEEMNRTLKIYVYPHKKNDRFANVLLPVDYEPGGNYASESYFKKSIFRSHFITKDPNEAHLFFLPFSIAGLRNDKRVGVGGIKDFVKDYVSYVSREYPFWNRSAGADHFYVCCHSVGRSAMEKAVEVKMNAIQVVCSSSYFLPGYVPHKDASVPQIWPRPGDPPKKPPEERETLAFYAGAMNSRVRQDLVAAWGDDAEISVHRSRLKTPYSEALLGSKFCIHAKGFEINTARIGDAIYYGCVPVILADFYDLPFADALNWESFSVVVSSMDIPKLKEILKGISHEEYVKLQSNVVKVQRHFRWHNFPVDFDAFHMVMFELWLRRSHVKVY >itb02g04180.t1 pep chromosome:ASM357664v1:2:2490423:2491961:-1 gene:itb02g04180 transcript:itb02g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPVAEANEESPFGSLSADEFYSRHSVSHGSEFITNSRGLKLFTQWWTPLPPTKLIGAVCAVHGFTGESSWFVQLTAVHIAKHGFAVCAIDHQGHGFSDGLVAHIPDINPVVDDCISFFDSFLDSHVPSYLPTFLYAESLGGAIALLITLRSGDSAPKRSFDGVVLNGAMCGISDKVKPPWPLEHLLDIAAFLVPTWQVVPTRGSLLGVSFKEEWKRKLAIASPRRPVAKPRAATARELLRVCRELQGKFEEVKVPFLIIHGGDDVVCDPACAEELYRRAASKDKTLNIYPGMWHQMVGEPEEDVDRVFGDVMEWLTTRAERSTSQKSAEDGGAVPE >itb07g21690.t1 pep chromosome:ASM357664v1:7:26235516:26239226:1 gene:itb07g21690 transcript:itb07g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFGMGGVGKTTLAKAIYNLSFQKFKSCCFIANIRSQASDGYNGLVRLQETLLCKTLNRKKLEIKNVDEGISLIKQRLRSKSVLIVLDDIDDTCQLESLAGQRNWFGSGSTIIITTRDVQLLSDLEAHEKYMVEKLSHDESLQLLSWHAFGVPIPFEEYVELSQWIARYTVGLPLALTVIGSHLRGRSVQEWRDNVEKLRRTPNDKVQNILKISYDALDEDTKNIFLDIAYFFIGHDKNDTSMILEACGFYAKIGIKTLIERCLLTIDGRGESGMLQMHDLVRDMGREIVRKESPREPGKQSRLIDPDDVFDVLHRNKGTEAIEGMIVNTYMLKNVLLSTQVFKRMVKLRILILDGMCLSGSFKYLSNELRLLRLHNCQLKCIPSNFRCEKLVVLDMKGSNIKEFQCNMQVSMSNVSLTLIAYFLIKNFD >itb07g21690.t2 pep chromosome:ASM357664v1:7:26235516:26239226:1 gene:itb07g21690 transcript:itb07g21690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFGMGGVGKTTLAKAIYNLSFQKFKSCCFIANIRSQASDGYNGLVRLQETLLCKTLNRKKLEIKNVDEGISLIKQRLRSKSVLIVLDDIDDTCQLESLAGQRNWFGSGSTIIITTRDVQLLSDLEAHEKYMVEKLSHDESLQLLSWHAFGVPIPFEEYVELSQWIARYTVGLPLALTVIGSHLRGRSVQEWRDNVEKLRRTPNDKVQNILKISYDALDEDTKNIFLDIAYFFIGHDKNDTSMILEACGFYAKIGIKTLIERCLLTIDGRGESGMLQMHDLVRDMGREIVRKESPREPGKQSRLIDPDDVFDVLHRNKGTEAIEGMIVNTYMLKNVLLSTQVFKRMVKLRILILDGMCLSGSFKYLSNELRLLRLHNCQLKCIPSNFRCEKLVVLDMKGSNIKEFQCNMQVSMSNVSLTLIAYFLIKNFD >itb04g06780.t1 pep chromosome:ASM357664v1:4:4475697:4476918:-1 gene:itb04g06780 transcript:itb04g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQISNSPNAHYKIENKKGIALNPQISEILPFIVHSLLFWILFGNHTLTGSQPSGFCGSAFCRLHFCFSGLRDARRSSVLRFCAAHDGSPVLRFCATHDATTVLRPRSTASPRRPSANHCKG >itb07g12840.t1 pep chromosome:ASM357664v1:7:14882362:14889439:-1 gene:itb07g12840 transcript:itb07g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHEVRGGEEEEKEENKNGSCCYAECEEGVLGSCGYNSSNASSIYLELWHACAGPLTCLPKKGNVVVYFPQGHLEQAASASPFPPLETDLPTLGLQPQIFCRVEDVQLLANKENDDVYTQLTLLPISEPVGMSLEGKEPEDLGMDEEGGGTAPTKSTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKEQRPFQELVARDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGDLRLGIRRAARPRHGLPESIIKNQTSYSSVLSAVTNALSSKSTFNVFYSPRASHADFIVPYQKYVKSISNRIPIGTRFKMRFNMDDSPDRRFSGVVTGVGDSDPYRWSSSKWRCLMVMWDDDFMSNHQERVSPWEIDSSVPFPPLSIQSSPRMKKLRTSQQALPHESHFSGNGALLDFEESVRSSKVLQGQENLHLLSPLMGSEKTSCQKDFGRQLPIGHQNLGPTGIGKSQIGEFVRTQPPAIYTGFLESNRFPKVLQGQEICSLRSLTMKSDINLGAWAKPDLGCNIFQACQAPKNNFYPLGSEGARKVLLPYNGIYRANQDPVMLPCMTNYQIESHVLKPISIQGGAMREERIVQNYANEQSPLEKGPKLPTPETHFTNEKIEPLNGIASPCKLFGFSLTNEPSTPSSQSSGKRSCTKVHKQGSLVGRAIDLSRLNSYDDLLVELEKLFGMEDLLRDPNKGWRVLYTDSENDMMVVGDDPWHEFCEVVSKIHIYTQEEVEKMTIGGMSDDTQSCLEEAPPATDASKSSSVGQPDSSPTVIRI >itb07g12840.t2 pep chromosome:ASM357664v1:7:14882419:14889439:-1 gene:itb07g12840 transcript:itb07g12840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHEVRGGEEEEKEENKNGSCCYAECEEGVLGSCGYNSSNASSIYLELWHACAGPLTCLPKKGNVVVYFPQGHLEQAASASPFPPLETDLPTLGLQPQIFCRVEDVQLLANKENDDVYTQLTLLPISEPVGMSLEGKEPEDLGMDEEGGGTAPTKSTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKEQRPFQELVARDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGDLRLGIRRAARPRHGLPESIIKNQTSYSSVLSAVTNALSSKSTFNVFYSPRASHADFIVPYQKYVKSISNRIPIGTRFKMRFNMDDSPDRRFSGVVTGVGDSDPYRWSSSKWRCLMVMWDDDFMSNHQERVSPWEIDSSVPFPPLSIQSSPRMKKLRTSQQALPHESHFSGNGALLDFEESVRSSKVLQGQENLHLLSPLMGSEKTSCQKDFGRQLPIGHQNLGPTGIGKSQIGEFVRTQPPAIYTGFLESNRFPKVLQGQEICSLRSLTMKSDINLGAWAKPDLGCNIFQACQAPKNNFYPLGSEGARKVLLPYNGIYRANQDPVMLPCMTNYQIESHVLKPISIQGGAMREERIVQNYANEQSPLEKGPKLPTPETHFTNEKIEPLNGIASPCKLFGFSLTNEPSTPSSQSSGKRSCTKVTSITADGALKNEVFGVGSLFDI >itb11g07680.t2 pep chromosome:ASM357664v1:11:4781658:4784898:-1 gene:itb11g07680 transcript:itb11g07680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSVSDHSFYIDSEEEEENQEKCENGDGNESDDNYSNYSNDNENENEDEHQHSKPNSFNNAWPQSYRQSMDLYSSVPSPSLNFLGTPIKSITSSFLSSSLTRRHTPEVLPSVHKPLLASKEPEQKRRSSQALLPPLPAKSSFIRKGTPDRKLSAVSHEVPISHQSSFGQAVLNGMNVLCGVGILSTPYAVRESGWIGLSILFLFALLSYYTGILLRKCLDSQPGLETYPDIGHAAFGPTGRVVLSIILYAELYACCVEYIILEADNLSSLFPNAHLSLGGIRLDAHHLFALMTTLAVLPTMFLRNLSLLSYISAGGVIASVLVVSCLYWVGLVDDVSLQSKQTTLNLSTLPVAIGLYGYCYQGHAVFPNIYTSMEKRSQFPAVLVTCFGIVTLMYIATAVLGYMMFGDLAESQFTLNMPTELVASKIAVWTTVCQLHYLALKERCAKFAVII >itb11g07680.t1 pep chromosome:ASM357664v1:11:4780428:4784959:-1 gene:itb11g07680 transcript:itb11g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSVSDHSFYIDSEEEEENQEKCENGDGNESDDNYSNYSNDNENENEDEHQHSKPNSFNNAWPQSYRQSMDLYSSVPSPSLNFLGTPIKSITSSFLSSSLTRRHTPEVLPSVHKPLLASKEPEQKRRSSQALLPPLPAKSSFIRKGTPDRKLSAVSHEVPISHQSSFGQAVLNGMNVLCGVGILSTPYAVRESGWIGLSILFLFALLSYYTGILLRKCLDSQPGLETYPDIGHAAFGPTGRVVLSIILYAELYACCVEYIILEADNLSSLFPNAHLSLGGIRLDAHHLFALMTTLAVLPTMFLRNLSLLSYISAGGVIASVLVVSCLYWVGLVDDVSLQSKQTTLNLSTLPVAIGLYGYCYQGHAVFPNIYTSMEKRSQFPAVLVTCFGIVTLMYIATAVLGYMMFGDLAESQFTLNMPTELVASKIAVWTTVVNPFTKYALTMLPVAMSIEEFIPSDQVKSYMYSMLIRTALVISTLIIGLLIPFFGLVMSLIGSLLTMLVTLILPCACYMSIVRGKISYIQASACVLIIGVGVVSAVIGTFSAISGIVESLSS >itb15g11210.t1 pep chromosome:ASM357664v1:15:9041365:9045315:-1 gene:itb15g11210 transcript:itb15g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKDFFVIPIVGNAGTGKTTFALKIFEDPEVRKIFTHCIWVHVSRGCHRKQKFIDILHQISKQTKDFSTELEDDELEAKVKGLLKDERYFIVLDDVREKEDWDSLKVAFPTNLGGSRVLVTTLSGNVVDSTWKSHSLGKLSNNDGWLLIKDNVFGTEGWCDTLIEELGIKIAEKCNGLPHALVLDENNIVGFIDDLKTIKSRIMEESKDFFVIPIVGNAGTGKTTFALKIFEDPEVRKIFTHCIWVHVSRGCHRKQKFIDILHQISKQTKDFSTELEDDELEAKVKGLLKDERYFIVLDDVREKEDWDSLKVAFPTNLGGSRVLVTTLSGNVVDSTWKSHSLGKLSNNDGWLLIKDNVFGTEGWCDTLIEELGIKIAEKCNGLPHALVLVTGILRNCITSVSWQRVADNPLLEINGEDQSYHELVKLSYDDLHDERLKKCFLYFAYFPMGHEIVAWKLICLWIAEGFLPKGDGYLLEVEVEASKYLNVLVNRNLVMVKKRSIDGQIKTCCIHDTLHEFCRSEAENTKLFHVMDEGQRLNERIYLDRLCSYYTMNIFDHVENNNPSDSFSNLFNKRMGPRQDAELVDSLLISSSQKREIHSTPEQLETILKTFKYLEVLNIESLKFSSLPNELYSRRYIKYLAITADINSLPKSFEHLSSLETLVIKTTERALQINGGIWNMKDLRHVHTNTSTQLPSPPKRGKHSCKQTDIRTLSTISPGSCTSKIFNKTPKLQKLGVRGNLSELLEEKQNVCLFNNLQMLECLENLKLHGNSEKLELKVPMSNKFPRRLRKLTLSGTLFQWNDMIVLGLLEKLKVLKLDDNAFSGEHWDLSSDVIFKTLQYLRIGKMNLITWTAVDSGKSFPVLESLVLRNCISLESIPQDLANVDSLKVMELFDVSESVFDFAREICVKRHGKTNVKINGFNLFITPLPSQATVSL >itb14g04020.t5 pep chromosome:ASM357664v1:14:3606095:3607359:1 gene:itb14g04020 transcript:itb14g04020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSTTSRNQGASAAMPQHVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIRAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSVLLQSIDASSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKIFAKESCPPIEFQEIGRDIVMKCKGLPLSIILMAGILSKAKMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEIPVRRLVGYWVAQGFVEDDEALVANNKEEVGWQKLQDLIDRNLIFVEKRGCCGRIKTFLQRNKPRRRRKWQFLGKPTINF >itb14g04020.t3 pep chromosome:ASM357664v1:14:3606017:3610206:1 gene:itb14g04020 transcript:itb14g04020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSTTSRNQGASAAMPQHVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIRAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSVLLQSIDASSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKIFAKESCPPIEFQEIGRDIVMKCKGLPLSIILMAGILSKAKMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEIPVRRLVGYWVAQGFVEDDEALVANNKEEVGWQKLQDLIDRNLIFVEKRGCCGRIKTCKIHDLTHEMCLRLAKGKNILHVIDDKFHVGQSSKEISQEEEENGNFWVSLQSISNISRLDFEHRTLQKCHSFLAMFPSKYGFGYDGGSPIFTNYLFTATSIQVLDLQFVFPYLPASLWINNLSQLRYLALHISAFSGSLSILSSLKNLQTLILYSARPYGVVYLTLPKTPQLRELCILKGPSFHFKDDEENLILENLTTFLWLSDLCCNNEALMVRIPNVKKLGVRYEKPKRRDNMHPIDLLHTLSHLEQLEDIRFYGYQSLRYNRLVYIPKPYDFPPKLKKLKFSNTWMILGITMTILGRLPNLEVLQLKWNAFDDSETEWEQVEEGFPKLKVLVFQSQSLCRWKDSDFTFPSLECLVLKNSSLKSLPYESLSGCPCLKIIHLEGLCSDGVLESAKKIQNDGDGLLEVREENIYIMGAFLDL >itb14g04020.t4 pep chromosome:ASM357664v1:14:3606017:3610206:1 gene:itb14g04020 transcript:itb14g04020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSTTSRNQGASAAMPQHVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIRAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSVLLQSIDASSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKIFAKESCPPIEFQEIGRDIVMKCKGLPLSIILMAGILSKAKMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEIPVRRLVGYWVAQGFVEDDEALVANNKEEVGWQKLQDLIDRNLIFVEKRGCCGRIKTCKIHDLTHEMCLRLAKGKNILHVIDDKFHVGQSSKEISQEEEENGNFWVSLQSISNISRLDFEHRTLQKCHSFLAMFPSKYGFGYDGGSPIFTNYLFTATSIQVLDLQFVFPYLPASLWINNLSQLRYLALHISAFSGSLSILSSLKNLQTLILYSARPYGVVYLTLPKTPQLRELCILKGPSFHFKDDEENLILENLTTFLWLSDLCCNNEALMVRIPNVKKLGVRYEKPKRRDNMHPIDLLHTLSHLEQLEDIRFYGYQSLRYNRLVYIPKPYDFPPKLKKLKFSNTWMILGITMTILGRLPNLEVLQLKWNAFDDSETEWEQVEEGFPKLKVLVFQSQSLCRWKDSDFTFPSLECLVLKNSSLKSLPYESLSGCPCLKIIHLEGLCSDGVLESAKKIQNDGDGLLEVREENIYIMGAFLDL >itb14g04020.t1 pep chromosome:ASM357664v1:14:3606017:3610205:1 gene:itb14g04020 transcript:itb14g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSTTSRNQGASAAMPQHVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIRAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSVLLQSIDASSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKIFAKESCPPIEFQEIGRDIVMKCKGLPLSIILMAGILSKAKMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEIPVRRLVGYWVAQGFVEDDEALVANNKEEVGWQKLQDLIDRNLIFVEKRGCCGRIKTCKIHDLTHEMCLRLAKGKNILHVIDDKFHVGQSSKEISQEEEENGNFWVSLQSISNISRLDFEHRTLQKCHSFLAMFPSKYGFGYDGGSPIFTNYLFTATSIQVLDLQFVFPYLPASLWINNLSQLRYLALHISAFSGSLSILSSLKNLQTLILYSARPYGVVYLTLPKTPQLRELCILKGPSFHFKDDEENLILENLTTFLWLSDLCCNNEALMVRIPNVKKLGVRYEKPKRRDNMHPIDLLHTLSHLEQLEDIRFYGYQSLRYNRLVYIPKPYDFPPKLKKLKFSNTWMILGITMTILGRLPNLEVLQLKWNAFDDSETEWEQVEEGFPKLKVLVFQSQSLCRWKDSDFTFPSLECLVLKNSSLKSLPYESLSGCPCLKIIHLEGLCSDGVLESAKKIQNDGDGLLEVREENIYIMGAFLDL >itb14g04020.t2 pep chromosome:ASM357664v1:14:3606017:3610208:1 gene:itb14g04020 transcript:itb14g04020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSTTSRNQGASAAMPQHVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIRAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSVLLQSIDASSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKIFAKESCPPIEFQEIGRDIVMKCKGLPLSIILMAGILSKAKMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEIPVRRLVGYWVAQGFVEDDEALVANNKEEVGWQKLQDLIDRNLIFVEKRGCCGRIKTCKIHDLTHEMCLRLAKGKNILHVIDDKFHVGQSSKEISQEEEENGNFWVSLQSISNISRLDFEHRTLQKCHSFLAMFPSKYGFGYDGGSPIFTNYLFTATSIQVLDLQFVFPYLPASLWINNLSQLRYLALHISAFSGSLSILSSLKNLQTLILYSARPYGVVYLTLPKTPQLRELCILKGPSFHFKDDEENLILENLTTFLWLSDLCCNNEALMVRIPNVKKLGVRYEKPKRRDNMHPIDLLHTLSHLEQLEDIRFYGYQSLRYNRLVYIPKPYDFPPKLKKLKFSNTWMILGITMTILGRLPNLEVLQLKWNAFDDSETEWEQVEEGFPKLKVLVFQSQSLCRWKDSDFTFPSLECLVLKNSSLKSLPYESLSGCPCLKIIHLEGLCSDGVLESAKKIQNDGDGLLEVREENIYIMGAFLDL >itb01g16380.t1 pep chromosome:ASM357664v1:1:20613974:20615642:1 gene:itb01g16380 transcript:itb01g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPCLLSLFSLVFLATVSLAQRPFFPRAIVIPVSKDFPTSQYVAQLQMGYNLAPLKLVVDVGGPFLWADWASSSQGSTIPCGSLKCSMANPKGCSSGVSNEICDLQFENPVSKLAGSGVLKEDTLAVELIDEPNAGSFLSHVPNFLFSFVPSFLFQGLGNGVNGVLGLGNSRISLPSQLANTFGIPRKFAVCLSSSNGAIISGDTTYDVSRSMMYTPLISPQNGTTQEYYINVKSIKINDRKIPLNTSLLFLDQEVEGGTRISTVVPYTTMKTTIYQPFVDSYLEIAASMGLSRVDPVAPFEACFKVVGSDVVPRVEFVLQSEMVKWRMNAMVKVGDGVMCLGFLDGGLGQGASVVIGGYQLEDNLLEFNLGTSMLGFTSLMGGTGCSSFTRSSRDRDSA >itb05g28580.t1 pep chromosome:ASM357664v1:5:32274221:32280359:-1 gene:itb05g28580 transcript:itb05g28580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNLPLFLIFFFFFFGLVNGRWQYDEDAVVSVPTIHVDHSGLTTNFKTIQSAIDSIPSNNLRWIRISIKPGVYKEKVTIPGDKQYIYLKGEGTKRQRPIVVWDENQSLLASPTFSSNADNIKVENLHFVNSYNYPPKKSGGAPMKQAVAAMITGDKTAFYRCGFSGVQDTLLDAMGRHYFKHCTIEGAVDFIFGAGQSIYEECRIVVNAGSIGEGTIGYITAQGRSRAQDSNAFVFKNSEVVGNGRALLGRAWRSYARTIFYNSSLSNIVVPQGWDSWSNKDYEHQLTFAEVECYGPGSDTSKRVPWEARLSQEMVKYFTSMDFIDGDQWIRKQPY >itb14g16640.t1 pep chromosome:ASM357664v1:14:19960899:19962917:-1 gene:itb14g16640 transcript:itb14g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMASFWSYQETMDELKQKLLYSTYELERFKAESSEEMRKSKEYVKQLVELLKVACKERDEARDQLQKLLGTAASVVVLPATKANSGVTESNSLSETYNTQGSSPVESFLDAVSSPELLNNSTVDSSAMVDQAAMAIEGLAKGKCLPQKGKLLQAVLEAGPLLQTLLVAGPLPRWRNPPQLKAFHIPPVSIRGCNNAAAAAAAASSRTLSQLCFEIPGPSPQMLSMPMLNFGSCLGSQRLMSAGSNMATSYTHLEKRQRLL >itb14g16640.t2 pep chromosome:ASM357664v1:14:19960879:19962144:-1 gene:itb14g16640 transcript:itb14g16640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTMDELKQKLLYSTYELERFKAESSEEMRKSKEYVKQLVELLKVACKERDEARDQLQKLLGTAASVVVLPATKANSGVTESNSLSETYNTQGSSPVESFLDAVSSPELLNNSTVDSSAMVDQAAMAIEGLAKGKCLPQKGKLLQAVLEAGPLLQTLLVAGPLPRWRNPPQLKAFHIPPVSIRGCNNAAAAAAAASSRTLSQLCFEIPGPSPQMLSMPMLNFGSCLGSQRLMSAGSNMATSYTHLEKRQRLL >itb05g25140.t1 pep chromosome:ASM357664v1:5:29691628:29694597:-1 gene:itb05g25140 transcript:itb05g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEPNKSGDGDTSTTFTVSEKSSVGSKVSDGCFEGKDSIFVSGEDTQFGENGESEKCSFSSGSYCNGDPNEGSFRSSYLSKPHKANDVRWDAIQCVSAKDRELGLGHFRLLKKLGFGDIGSVYLAELRGIGCLFAMKVMDKGMLATRKKVMRAQTEREILSLLDHPFLPTLYSHFETEKFSCLLMEFCSGGDLHLLRQRQPGKHFSEQAARFYASEVLLALEYLHVMGVVYRDLKPENVMVREDGHIMLSDFDLSLRSCVNPTLISSSSEPSCTMSSYCIQPACVDPACKLPICVEPVCFQPACFKPRIFSSKTAKTKTERATFVNLDSRPVLVAEPTDARSMSFVGTHEYLAPEIIRGDGHGSSVDWWTFGVFLYELLHGKTPFKGNGNRETLFNIVGQPLKFPEGSAVSYAAKDLIRGLLVKDPQKRLGYKRGATEIKQHPFFESINWALIRSTHPPEIPKPVDLTFLKQTFKPPPTTPACENQASDSARSSGPYLDFEFF >itb11g23230.t1 pep chromosome:ASM357664v1:11:25132120:25132791:-1 gene:itb11g23230 transcript:itb11g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSSPVSSFTVPTASRRKSLDVFRHFHLLPPRRNSLSNFRSTTATNSEFSTTAVPSNRIPPPKPHPPPREASLHRRAASGYAAALVDIAKRNNSLDAVRRDVRRVWKWTRNEHLRAALTDPLLENKEKGEILKEIAEKGNIHKLLGAVLKILAGKNRAELLSEVLMEFERIYDELCGTARVVLLSAAENKETAALEVAKTVHQISGAWKVKVSRCSLGVAT >itb15g01290.t1 pep chromosome:ASM357664v1:15:789476:790756:-1 gene:itb15g01290 transcript:itb15g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRNNSVLFFFFFNLCLVFALHNASSDNERKPYIVYMGALPAGGSKVSLSAVQDNILSQAIGDERIAIQSKIHSYGRSFNALAAWLLPHEAKILSERKGVVSVFPSIKRKLHTTHSWDFLGMPTTVKRNLPVESDIIVGLIDSGIYIDSPSFNDKGIGPPPAKWKGRCQTGLNFAGCNNKVIGAQAFNLLDTNNQTSSPADFEGHGTHTASTVAGSLHHGASLYGLLNGTARG >itb11g11090.t1 pep chromosome:ASM357664v1:11:8047865:8048990:1 gene:itb11g11090 transcript:itb11g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTTLDPTLVYEYGLTFVQNQLEEDDIAYFNHEFLQSMGISVAKHRLEILKLAKKQTTTKAPPATRFLLAIKRTKRRVSKYIRRNWNWIGCEEAGGLALVPFRTTQSRSIKWRSSSGMLRRNSVKTEAKQKQGTLLLTNGGGTMLWSCSSRFDGLSTSMVVEDDDDEKMEGDGDKYWSSTSHVVEDQIRWDAMFQDLKPT >itb08g12400.t1 pep chromosome:ASM357664v1:8:12547941:12550734:1 gene:itb08g12400 transcript:itb08g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRLEIMYSCLLVTLIVSGGLVKGAEDLQGKCGKDIQKVAACLSFATGKAEKPGKECCDSASSIDASERPLCFCYIIEQAHNTSNTQIQSFGIRVDRLLRLPSDCSIHDVNVTTCPKLLNLPANSPDAAFFTNSSGSTTPVVAAGTAPSNAFKHNPLQLAGHLPVAVAIICFTFWKMF >itb05g16740.t1 pep chromosome:ASM357664v1:5:23875232:23877578:1 gene:itb05g16740 transcript:itb05g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPASVRAPTWVSKRRLLEQKLLQIHKCTDLNHLKQVHALVYKSNLHADPFVATKLVAGFSLCRQIALAVNVFSQVVEPNVHLYNAVIKAHIHNSQPSQGFAVFLQMQCCGVSPDNLTYPYLLKACSDESRLNILRMIHAHIEKNGFNSDIIVSNSLIDAYSKCGNTGICSAKQLFGVMDHRDVVSWNSMISGLLKAGDLVEARRLFDEMPERDVVSWNTILDGYVKAREMNVAFELFEKMPSRDVVSWSTMISGYCKAGDMEMARMLFDKMPSKNVVSWTIIITGYAEKGLVKEAIGLYTQLLEAGLSLDAGTFVSILAACAESGTLGLGKRVHRTIERSGFSRNTLVCNALIDMYAKCGSLSKAFIVFKRTRKKDLVSWNSMIHGFAAHGHGRKALDLFSRMKREGFVPDKVTFLGLLSACSHAGFVEEGISIFNALQSDYGVSPEVQHYGCLIDLLGRGGRLKDAFALALSMPVEPNIIIWCSLLGACRMHNAVGFAQDVLNHLAEVDTTYSGKISVLSNIYAAAGEWCSAANMRLLMKDIEKQKPSGVSSIELTDKLHEFAVMDSVHPKSDRIYQMIDGLSHHLKLLGSAPAALCEEH >itb02g00610.t1 pep chromosome:ASM357664v1:2:375833:379448:-1 gene:itb02g00610 transcript:itb02g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTQSKIENEETVARCKERKMYMKEAVASRNAFAAAHSSYAVSLKNTGAALHDYADGEAQFTSAAAAAASTSGSSSVPGSSVGIPPSMSSNYDLPPPPPLPPPPLPFFTPSPLQRAATMPEISIPTPDLKHEDPIIEETEEDIEDESVHGLKHRSSKSSGGGGIGGRGSASHNAHEEDLPPPTPPSPPRTPPQSIPMPPSPPLHDNWDFFSWGNDAGPTLADVDEGRVEREELQRKLLEEEAKRRANDASNGGSRRSGKEEATEVAEKVAEPPTQPPPPQAAATKVAKRVKVQAPPEGKKKSGQPANLLQIFSELDDYFLRASESAHEVSKMLEANRLHYHSNFADPKGHVDHATKVMRVITWNKSFKGMPNQDDGKDDFDSEENETHATVLDKMLAWEKKLYDEVKAGEQMKLEYQRKVASLNKLKKRGTNTEALERMKATVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPKLKSLVEAMARMWETMKGHHESQCQIVLALRSLDMSQSPKETSEHHYERTYQLGVVVQEWHSQFEKMIIHQKEYIRGLNCWLKLNLVPIDTNLKEKVSSPQRPQNPPILALLHAWQDLIEKLPDELAKTAIYSFSAVVNTIVQHQVDEMKLKDRCKDTQKELDKKTRQFEDWYHKYMQRRTPNDDTEQDGAHEDQNVIDRQLQLEALKQRLKEEEEAYLRQCMQVRVKSLTSLRTGLPELFRAMSEFSRACSIMYQTLESITHPLNREST >itb15g03190.t1 pep chromosome:ASM357664v1:15:2034222:2037220:1 gene:itb15g03190 transcript:itb15g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSSSSSSSSAGKASDSSLNIGLKIGQKVYFKDVGGGSSAAGGGGGSALPPPSPAKKGRSAVVQGGQPPRCQVEGCNTDLSDAKAYYSRHKVCGMHSKSPTVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGTMLPSRYPGLSPPLLDGSSKGSGSFLMDFASYQNPGGRDSWPNTRAFGRAWDGQNTPPGRFLEQRWQGSSEDPPPELLLQGAPAGTAYTGPNVPSGECFAGVSSSTRALSLLSNQPCWGSRNQQPLALGVNTLLATDGTPTVHHSASQDAAAINEFSHHPPWGFKGGQATASSTEMLPDLGLGKISHPADSHFSGELGMAQQTETGREYVGLEQSRGYDSSIQNVHWTL >itb12g05410.t1 pep chromosome:ASM357664v1:12:3989706:3991301:1 gene:itb12g05410 transcript:itb12g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLKLLCTFALFLLSNLVEGANINIAAKPNVDIAPELEKAWKDACAATGASTIVIPKGTFPMGQIKLAGPCKGPIDLQIQATLKASSDIKTLDRDKEWLTFRYVDKLTVSGGGVLDGQGTAAWAANDCKKTGVCNNLPNNLSLNFLTNSVIRDITSLDSKLFHVNVLAGKNLTFDHFTIKAPGDSHNTDGIHIAKITDVNVIDSVIGTGDDCISIGDGTENLHITNVTCGPGHGISVGSLGKTPGEEPVKGVFLKDIKFIGTDNGVRIKTWPNSHPGVVTDIHYENIEMEDVKNPIVIDQEYCPNNECSKQKPSQVKLSKISYKNIKGTSATEEAVIFACSSGVPCEGVEVGDINLTFKGGAAKTVCSNVKPTLTGKQVPPITCGGGAAAPGGGAAAPGGGAAGAPA >itb07g04170.t2 pep chromosome:ASM357664v1:7:2791339:2795548:1 gene:itb07g04170 transcript:itb07g04170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPCLVRSFSQPSSKSYDGHEEDLLRALSSSISFGRYMSESLAWEKWSAFSSHNKYREEAERYSRPGSVAEKKAFFEARYKKIAAKKAAASSVEQPSGGADDHHSSDLSYEAAENAKDVSTEGEEVSNAEVSVTSGCYADTEMAKQSTEQPVFIENQVESMKQEGVDRGVDKNRPCQDEKMDTKGTFAMGVSLVSREAMATKPSTKCGLPKPPPPVKPPSIQSKKTEHSTTPTSSKLTAKGSAIKNQFAPRSLHVPINFERDEPIKMSSPILQKIVNSKLVRAITNSIQESRSQKSPITESGISLSKNVTPEPDVRVCMGSESAENVNRVVTQEKRGEELPNAAVPKQTEQLTFSNDPVEPLKPKEIDRGIDKNIACLDEKMNTQGAVTKGDSLVSDETTHSSTAMKPSTKYGLSKHRPDKQPSIQSKKPEHSTPNRVHTTKGCTIKSQSAALSLLLSDNVERDELIKPSSPMLQRIVNSKFVRAITNTTRESRPQKSPFRASSYSSSKHVTSEPDSRRTITLPDSSLSRSRITPSTGNSKSPTAHGSKTQPSSTTCSSFVFRSEERAIKRKEFYEKLEQKLKEEQQLQTKTMSKRTNEPTASSACAPRAKPNATNHHTRDPSGNPTKKVINTLHFIFVTMLCTTFACLFA >itb07g04170.t3 pep chromosome:ASM357664v1:7:2791339:2794469:1 gene:itb07g04170 transcript:itb07g04170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPCLVRSFSQPSSKSYDGHEEDLLRALSSSISFGRYMSESLAWEKWSAFSSHNKYREEAERYSRPGSVAEKKAFFEARYKKIAAKKAAASSVEQPSGGADDHHSSDLSYEAAENAKDVSTEGEEVSNAEVSVTSGCYADTEMAKQSTEQPVFIENQVESMKQEGVDRGVDKNRPCQDEKMDTKGTFAMGVSLVSREAMATKPSTKCGLPKPPPPVKPPSIQSKKTEHSTTPTSSKLTAKGSAIKNQFAPRSLHVPINFERDEPIKMSSPILQKIVNSKLVRAITNSIQESRSQKSPITESGISLSKNVTPEPDVRVCMGSESAENVNRVVTQEKRGEELPNAAVPKQTEQLTFSNDPVEPLKPKEIDRGIDKNIACLDEKMNTQGAVTKGDSLVSDETTHSSTAMKPSTKYGLSKHRPDKQPSIQSKKPEHSTPNRVHTTKGCTIKSQSAALSLLLSDNVERDELIKPSSPMLQRIVNSKFVRAITNTTRESRPQKSPFRASSYSSSKHVTSEPDSRRTITLPDSSLSRSRITPSTG >itb07g04170.t4 pep chromosome:ASM357664v1:7:2791304:2795983:1 gene:itb07g04170 transcript:itb07g04170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPCLVRSFSQPSSKSYDGHEEDLLRALSSSISFGRYMSESLAWEKWSAFSSHNKYREEAERYSRPGSVAEKKAFFEARYKKIAAKKAAASSVEQPSGGADDHHSSDLSYEAAENAKDVSTEGEEVSNAEVSVTSGCYADTEMAKQSTEQPVFIENQVESMKQEGVDRGVDKNRPCQDEKMDTKGTFAMGVSLVSREAMATKPSTKCGLPKPPPPVKPPSIQSKKTEHSTTPTSSKLTAKGSAIKNQFAPRSLHVPINFERDEPIKMSSPILQKIVNSKLVRAITNSIQESRSQKSPITESGISLSKNVTPEPDVRVCMGSESAENVNRVVTQEKRGEELPNAAVPKQTEQLTFSNDPVEPLKPKEIDRGIDKNIACLDEKMNTQGAVTKGDSLVSDETTHSSTAMKPSTKYGLSKHRPDKQPSIQSKKPEHSTPNRVHTTKGCTIKSQSAALSLLLSDNVERDELIKPSSPMLQRIVNSKFVRAITNTTRESRPQKSPFRASSYSSSKHVTSEPDSRRTITLPDSSLSRSRITPSTGNSKSPTAHGSKTQPSSTTCSSFVFRSEERAIKRKEVCEQPVTNMHFQSL >itb07g04170.t1 pep chromosome:ASM357664v1:7:2791304:2795983:1 gene:itb07g04170 transcript:itb07g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPCLVRSFSQPSSKSYDGHEEDLLRALSSSISFGRYMSESLAWEKWSAFSSHNKYREEAERYSRPGSVAEKKAFFEARYKKIAAKKAAASSVEQPSGGADDHHSSDLSYEAAENAKDVSTEGEEVSNAEVSVTSGCYADTEMAKQSTEQPVFIENQVESMKQEGVDRGVDKNRPCQDEKMDTKGTFAMGVSLVSREAMATKPSTKCGLPKPPPPVKPPSIQSKKTEHSTTPTSSKLTAKGSAIKNQFAPRSLHVPINFERDEPIKMSSPILQKIVNSKLVRAITNSIQESRSQKSPITESGISLSKNVTPEPDVRVCMGSESAENVNRVVTQEKRGEELPNAAVPKQTEQLTFSNDPVEPLKPKEIDRGIDKNIACLDEKMNTQGAVTKGDSLVSDETTHSSTAMKPSTKYGLSKHRPDKQPSIQSKKPEHSTPNRVHTTKGCTIKSQSAALSLLLSDNVERDELIKPSSPMLQRIVNSKFVRAITNTTRESRPQKSPFRASSYSSSKHVTSEPDSRRTITLPDSSLSRSRITPSTGNSKSPTAHGSKTQPSSTTCSSFVFRSEERAIKRKEFYEKLEQKLKEEQQLQTKTMSKRTNEPTASSACAPRAKPNATNHHTRDPSGNPTKKMPETAQPCSPKLGKKPVSRVQENGSRPPWRFPGKSESLKDVVGKTNNKPFIHSTKLFLKESRHENASPNIQQ >itb09g21820.t1 pep chromosome:ASM357664v1:9:19927490:19927792:1 gene:itb09g21820 transcript:itb09g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKAKTPRTAALLSPMKCSLASVILTASDSAAPVPSPSRLKRMINSRCISPAVASKLQRTTFLSGRSLMLCNLAFFKKLQFTCRRRRRQHPRVVRSPL >itb01g22770.t1 pep chromosome:ASM357664v1:1:28849779:28853640:-1 gene:itb01g22770 transcript:itb01g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALPIPSDSYKLGFIGAGKLAESIARGVVKSGLLPPSRIRTAHTGPARRTAFESFGVTVYEHNKQVVEDSDVIIFSVKPQIVKEVASQVKPILTEKQLLVSVAAGVKLKDLQEWAGNSRFIRVMPNTPAAVGEAASVISLGSGATKEDGDLISLLFGAIGKVWKADEKLFDAVTGLSGSGPAYIYLAIEALADGGVAAGLPRDLALGLASQTVLGAASMATNAGKHPGQLKDDVTSPGGTTIAGVHELEKAGFRGTLMNAVVAATKRSRELSPN >itb14g21520.t2 pep chromosome:ASM357664v1:14:23485503:23494443:-1 gene:itb14g21520 transcript:itb14g21520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVSRSTNISSSSNSLVLHQILCCAYNASGTVFVTGSSDRHARVWSAPKPNVDEPDQPSHEIDILSGHEQDVNYVQFSGCAVASRSSTPDSLVEDNIPKFRNSWFSHDNIVTCSRDGSAIIWIPKSRKSPVKAGRWAKAYHLKVPPPPMPPQPPRGGPRQRLLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTILWDIWEGTPIRTYEIGRFKLVDGKFSQDGTSIVLSDDVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIQDVHHNVIDQETELAPYRRNMQDPLCDSSMLPYPEPYQSMYQRRRFGALGIEWRPPSIKCAVGTDVGLGQEYQMLPLADLDVVAEPMPEFLDAMNWEPEIDVINDDTDSEYNVNEDFSSEEKGHLSDSSCNDPDCSEDEKIRQSQNRRSKRKKSVSEVELMTSSGRRKRKRIMDRDVSSSKSRRTGKSRTGRKTASKKNSAKPKTLRPHRVATRNANNVFLHSDFSTGDEDDASSEDDPSESDSEKWSYAQSEESDGVLQNGRMKPLTGEEASALDEPPTSTQPQINVENKRGLVLKFSIRDAKKSLPSKVSNTQFENQTCSASSSLRVGEETIEDNQIKQKSRHDPGSASTNAIGLDSSENNKRGEYTEVEDLKISPKGMKMSEGYQDTAGGCGEVKASTSKQILLSDLTLADSFIGSNESSMNNNRSGVDIKEHTSLENGYGKLSTDFGIQHQGHMLPGEQFKQRTSGCHLQQPTGNGAYNNTSDNVSPQVNAEKPKLKPTKLKIKSRKISGELRAPSESTFTRPVGVSCEDDSRSCMERSRVLSLSETGNGTNESDCLEHVNLEMENTINGSESSRKLSNDGVVCENTPKDSNHCRHTSMDYPDAATDAARRKRSLRLKATSRDTNHVNLSKRTVDFFPSAGVSNSGANGNKSSRSKGEVSYNDEKVCLNSFTDVPRKSDWLLLSKQEEGYRYIPQLGDEVAYLRQGHQEYLESSGSSGRGPWEKHGARIGAVEICLVEELTYATVPGSGESCCKITLKFIDASSDVSEQKFKLTVPELNDFPDFIVEKSRYDAAMKRCWACKEECQVWWRDESEECGGKWWDGRIISVKDKSSEFPGSPWERYVVQYDGDQPDTHLHCPWELQDPFSPWEHPHIHPESNKRMLGALNKLLQHASTKQDHYGVVQLINVARKVDFMNRFPVPLSPDIIHSRLENKYYRSVEGLKHDVTVMLGNAKDYFGKNTAVAVKMKRLSDWFSKILSKL >itb14g21520.t3 pep chromosome:ASM357664v1:14:23485503:23494133:-1 gene:itb14g21520 transcript:itb14g21520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSSDDGTCRVWDARYSQCTPRVYVPRPTNVFSGMIGVSRSTNISSSSNSLVLHQILCCAYNASGTVFVTGSSDRHARVWSAPKPNVDEPDQPSHEIDILSGHEQDVNYVQFSGCAVASRSSTPDSLVEDNIPKFRNSWFSHDNIVTCSRDGSAIIWIPKSRKSPVKAGRWAKAYHLKVPPPPMPPQPPRGGPRQRLLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTILWDIWEGTPIRTYEIGRFKLVDGKFSQDGTSIVLSDDVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIQDVHHNVIDQETELAPYRRNMQDPLCDSSMLPYPEPYQSMYQRRRFGALGIEWRPPSIKCAVGTDVGLGQEYQMLPLADLDVVAEPMPEFLDAMNWEPEIDVINDDTDSEYNVNEDFSSEEKGHLSDSSCNDPDCSEDEKIRQSQNRRSKRKKSVSEVELMTSSGRRKRKRIMDRDVSSSKSRRTGKSRTGRKTASKKNSAKPKTLRPHRVATRNANNVFLHSDFSTGDEDDASSEDDPSESDSEKWSYAQSEESDGVLQNGRMKPLTGEEASALDEPPTSTQPQINVENKRGLVLKFSIRDAKKSLPSKVSNTQFENQTCSASSSLRVGEETIEDNQIKQKSRHDPGSASTNAIGLDSSENNKRGEYTEVEDLKISPKGMKMSEGYQDTAGGCGEVKASTSKQILLSDLTLADSFIGSNESSMNNNRSGVDIKEHTSLENGYGKLSTDFGIQHQGHMLPGEQFKQRTSGCHLQQPTGNGAYNNTSDNVSPQVNAEKPKLKPTKLKIKSRKISGELRAPSESTFTRPVGVSCEDDSRSCMERSRVLSLSETGNGTNESDCLEHVNLEMENTINGSESSRKLSNDGVVCENTPKDSNHCRHTSMDYPDAATDAARRKRSLRLKATSRDTNHVNLSKRTVDFFPSAGVSNSGANGNKSSRSKGEVSYNDEKVCLNSFTDVPRKSDWLLLSKQEEGYRYIPQLGDEVAYLRQGHQEYLESSGSSGRGPWEKHGARIGAVEICLVEELTYATVPGSGESCCKITLKFIDASSDVSEQKFKLTVPELNDFPDFIVEKSRYDAAMKRCWACKEECQVWWRDESEECGGKWWDGRIISVKDKSSEFPGSPWERYVVQYDGDQPDTHLHCPWELQDPFSPWEHPHIHPESNKRMLGALNKLLQHASTKQDHYGVVQLINVARKVDFMNRFPVPLSPDIIHSRLENKYYRSVEGLKHDVTVMLGNAKDYFGKNTAVAVKMKRLSDWFSKILSKL >itb14g21520.t1 pep chromosome:ASM357664v1:14:23485503:23499398:-1 gene:itb14g21520 transcript:itb14g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGKCTSITGALSLNMAPSFLNRMHTKTQFEEQDRALEHTSMEAVDVDCREIYFLIMHFLSSGPCQKAFGQLHDELLEHELLPRRYHAWYSRSSVLGGTNDDGESLPLNYDNLVERYSHIGKDHLVKLLKQLILSSPPPLHHIGRNTPSAADVPTLLGTGPFSLLSCDKNIVNKQVKHPPSYLRWPHMHADQVHGLGLREIGGGFAKHHRAPSMRFASYAIAKPSTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASSSNDYTIRVWRLPDGLPISVLRGHTGGVTAIAFSPRPSSVYQLLSSSDDGTCRVWDARYSQCTPRVYVPRPTNVFSGMIGVSRSTNISSSSNSLVLHQILCCAYNASGTVFVTGSSDRHARVWSAPKPNVDEPDQPSHEIDILSGHEQDVNYVQFSGCAVASRSSTPDSLVEDNIPKFRNSWFSHDNIVTCSRDGSAIIWIPKSRKSPVKAGRWAKAYHLKVPPPPMPPQPPRGGPRQRLLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTILWDIWEGTPIRTYEIGRFKLVDGKFSQDGTSIVLSDDVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIQDVHHNVIDQETELAPYRRNMQDPLCDSSMLPYPEPYQSMYQRRRFGALGIEWRPPSIKCAVGTDVGLGQEYQMLPLADLDVVAEPMPEFLDAMNWEPEIDVINDDTDSEYNVNEDFSSEEKGHLSDSSCNDPDCSEDEKIRQSQNRRSKRKKSVSEVELMTSSGRRKRKRIMDRDVSSSKSRRTGKSRTGRKTASKKNSAKPKTLRPHRVATRNANNVFLHSDFSTGDEDDASSEDDPSESDSEKWSYAQSEESDGVLQNGRMKPLTGEEASALDEPPTSTQPQINVENKRGLVLKFSIRDAKKSLPSKVSNTQFENQTCSASSSLRVGEETIEDNQIKQKSRHDPGSASTNAIGLDSSENNKRGEYTEVEDLKISPKGMKMSEGYQDTAGGCGEVKASTSKQILLSDLTLADSFIGSNESSMNNNRSGVDIKEHTSLENGYGKLSTDFGIQHQGHMLPGEQFKQRTSGCHLQQPTGNGAYNNTSDNVSPQVNAEKPKLKPTKLKIKSRKISGELRAPSESTFTRPVGVSCEDDSRSCMERSRVLSLSETGNGTNESDCLEHVNLEMENTINGSESSRKLSNDGVVCENTPKDSNHCRHTSMDYPDAATDAARRKRSLRLKATSRDTNHVNLSKRTVDFFPSAGVSNSGANGNKSSRSKGEVSYNDEKVCLNSFTDVPRKSDWLLLSKQEEGYRYIPQLGDEVAYLRQGHQEYLESSGSSGRGPWEKHGARIGAVEICLVEELTYATVPGSGESCCKITLKFIDASSDVSEQKFKLTVPELNDFPDFIVEKSRYDAAMKRCWACKEECQVWWRDESEECGGKWWDGRIISVKDKSSEFPGSPWERYVVQYDGDQPDTHLHCPWELQDPFSPWEHPHIHPESNKRMLGALNKLLQHASTKQDHYGVVQLINVARKVDFMNRFPVPLSPDIIHSRLENKYYRSVEGLKHDVTVMLGNAKDYFGKNTAVAVKMKRLSDWFSKILSKL >itb12g10230.t1 pep chromosome:ASM357664v1:12:8283808:8286160:1 gene:itb12g10230 transcript:itb12g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLKLIVLVAIFAAGASGDLEFTFNGFRSANLSLDGIAEITPDGLLRLTNETMLQKGRAFYPMPITFKNSPNASAFSFSTTFVCAMVPQNPSLFTHGLTFAITPPGGLPGALPYPYFGLFNDTSDGNSSNHVVAVELDTLQNREFDDIDNNHVGIDINGLRSALAKSAGYYQGNQVHNFSLGSGKPIQVWVEYDGRAKQMNVTVAPFSVGKPSKPLLSFPHDISLDILETVSLGFTSATGSTVSTQYVLGWSFKLNGVAQELDPSKLPKLPRVGPKRKSRFLVIGVPLISIVSLVIVLSGVGYYVLRKRKFAELLEDWELEYGPHRFHYKELYLATKGFSEKQLLGAGGFGRVYRGVLPNSQGEIAVKKIYHQSTQGMKAFIAEVVSMGRLCHRNLVPLLGYCRRKRELFLVYDYMPNGSLDKYLFDNPVHTLGWNQRFEVIKGVASALFYLHEGWEQIVVHRDIKASNILLDSEGNGRIGDFGLARLYDHGTDPQTTHIVGTLGYLAPEYVRTGKATTSSDVFAFGAFLLEVACGRRPIESKAPNEDLALVEWVFSWWSRGEILEAIDPKLGKDYVIEEVDIVLKLGLLCSLLDIEFRPSIRQVVRYLEGSVALPELSLLTLSTAGLIVSRSEGFDDFVLSLSNFEGNSGCSSITNSTISEGR >itb07g04470.t1 pep chromosome:ASM357664v1:7:2989618:2993587:1 gene:itb07g04470 transcript:itb07g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLLSLFPPQTPLSSPANHHHNCCLLFCPTAVTAVATKYTVLCRAAAHASPQRPTLLTHTASSFSPSPSTATPDYLRQVSDTCAGDDPAQAGGDEPAMVSSASAVAAAIRNSSSSPVEFVQVVEKDGDNNRNLVLPSPDFQSLCLEQLDLFRRIVHPEAIFSVYVRPAGSYVMDRLELRRITLYPGLNTADIVILIGTFSVATGLRAAEAALSNLEAELLPELGAVVFPMVKHPFVVGFLVAELPTTSIDKGLHDPEAWSGKGELYSLPHGVEPKSFALQTSEANLMGMFNFSAEQRLNALHISRSIAMAYVMDQKAILLQQSSWQNNVRMSNLVEQIRSSLSSMQTLSKMLSVHTKKNEVSYDIVEDILEQGDSMRDTLQQMQDAVHLTKANITRFNEDKLKKMHQPIFADNSYKQQESRGQFSLNLRSTDQELPMPPLALAPLHREGIRPCNMSNVLTELVEAMEPIAHNQQRAVELCEQTGSLHVAVEEPALRQALGNLIEGALLRTQVGGKVEVLSTVAPAGGALVVIDDDGLDMHYMTQMHSLAPFGADLLSEGKVEDSMTWNFVAGLTVAQEILETYGCVVRVISPRTTDIAFGAGGTRVEIWFPSLS >itb12g13770.t1 pep chromosome:ASM357664v1:12:12767151:12770622:-1 gene:itb12g13770 transcript:itb12g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEDRKASTISKRKKESPPFVEVICDRTKKTWRFAAGTEAKFAVKMINSKLDSRYQLSPGSHIEAVKDGEESVIFGPNAVLVNYGDAWSLRIATDPAGLGKAKQDNTVVMGQAYHPPTMGLDYQLTKRTSQGASKALYIGKILLAFMFIFLLGAIFTLMLESLPKLSST >itb07g15500.t1 pep chromosome:ASM357664v1:7:18569004:18569921:-1 gene:itb07g15500 transcript:itb07g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSEITGINYVAADNPLPLPLDYSIMQNDIPAHQFSKFLTNWANYQTTLPFPEFPAPLSCVSNNSTSDESDEHQLRIIGERKQRRMISNRESARRSRMRKQRHLDELRSQVFHLRTENHNLLDKLNQVSDCHDKVLQENACLKEEASGLRQMVMELQLRSPFSDLGELEEITCTTDHLKTEPSNQTNSSSINMFH >itb11g00490.t1 pep chromosome:ASM357664v1:11:218367:222236:1 gene:itb11g00490 transcript:itb11g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPREAMTLPGGSAPYYMQRGMTGSGVGFQGTMNIHPSLTGVNVPFQSSSGSGSIGQTLIMEPSSTMPPRGSSGAPTAMPQTEPVRRKRGRPRKYGVDAKVSLALTPSTSSPAARLTPSQKRGRGRPPGSGRKQQLTTLGGWMSNTAGIGFTPHIITIAVGEDITTKIMSFSQQGTRAVCILSANGALSTVTLHQPSTSGGTVTYEGRFEILSLSGSYLVSNSGGPSSRIGSLSVALASPDGRVIGGIVRGVLIAASPVQVIAGSFLCVNSRAKSKAIERVEGAGHLDSQTIDNSVSPSNTQQNQTLNLASSTGVWPGSSQLDSHAYHTDIDLMRG >itb11g00490.t2 pep chromosome:ASM357664v1:11:218367:222236:1 gene:itb11g00490 transcript:itb11g00490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPREAMTLPGGSAPYYMQRGMTGSGVGFQGTMNIHPSLTGVNVPFQSSSGSGSIGQTLIMEPSSTMPPRGSSGAPTAMPQTEPVRRKRGRPRKYGVDAKVSLALTPSTSSPAARLTPSQKRGRGRPPGSGRKQQLTTLGGWMSNTAGIGFTPHIITIAVGEDITTKIMSFSQQGTRAVCILSANGALSTVTLHQPSTSGGTVTYEVLISSNCVPLHSDTFCCRIQYVFSCLSSNLGVPKPSFLTAAVVLYRAGLKYCLSQAPTWSVTVVVHRAGLVV >itb06g02570.t1 pep chromosome:ASM357664v1:6:4427159:4430686:-1 gene:itb06g02570 transcript:itb06g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYQAGLVVIAIFVFIWVASAETTQKIFLEYKQPFAITYLGISLMVIYLPIALCKDWISRLLGMKSFRKLHSDNNPLLDSSILHIPLRMNEIEQHFEENLRSYCEKDTNLEEGNPVMCKIQDNKCQGLSKLEVIRCSLYLAPIWFITEYLSNSALANTSVASTTVLTSTSALFTLFFGVLLGQDSINTAKFIAVALSMTGVAMTTVGKTWASDEILSVSEERRHCIIGDAYGLLSAASYGLFTGNAVLLKKAAGSEGEKVDVQRIFGYIGLFTLLGIWWLVWPLYVLGIEPNKFSFPNSASVAQVLLLNGFVGSVIADYLWALSVIWTTPLVATLGISLTIPLAMLADMVVHGRHYSPVYILGCIQVFAGFVMANLHTKPSTEG >itb09g09800.t1 pep chromosome:ASM357664v1:9:6017597:6019218:1 gene:itb09g09800 transcript:itb09g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRITEQGRRVQTLKFSFSDCEDSGCHLIWALLELRSRSLILRRRNPRIPCRLPPVPGIVLFWRSWPSSGRRNWNCVVVFRGRKGLGMSDVDLRSRFVM >itb10g19060.t1 pep chromosome:ASM357664v1:10:24837197:24840233:1 gene:itb10g19060 transcript:itb10g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKLQCILVLCLLVLINVSVPKVLCLGYGEGECISAVGDPGMTRDGLRLAIEGWNQCNEVGEEVPNMGSPRAADCFDVYKASPSLSEGKNNCSFCKRVPYMLVHRVTEEENRLGIGQSFLGVEPKAVSNADLYAAQKELYLGSKCQVDDTPKPWQFWMIMLKNGNMDTYAGKCPKNGQKVGPFGAGNQFPCFGKGCMNQPLIYHNYTTLQGPNRTTLKGSFYGSWDLDSGLSNIGRENASFYSVKWEKELGKGSWVFHHVLRTSRKYPWLMLYLRSDATRGFSGGYHYPSRGMLKIIPRSPNFRVRFTLNVIKGGGPSSQFYLLDMGSCWKNNGRPCDGNVTSDVTRYSEMILNPETPSWCNPGDPKLCPPFHTFPNGTRVHRNDTLNFPYQAYHLYCAPGNGEHIETPYVPCDPYSNPQPQEIMQILPHPVWGAYGYPTKKGEGWIGDPRTWDLDVGKLSQSLYFYQDPGTAPARRKWRSLDLGTEIYKDADQEAEWTVSDFDVLIPKKT >itb13g24600.t2 pep chromosome:ASM357664v1:13:30233511:30234667:-1 gene:itb13g24600 transcript:itb13g24600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALNRNYRVCEEIGRGRFGVVFKCYSPESGESFAVKSIDKRLIADDSVDRQCLFNEAKIMQLLSPNPYVLRIFDVFEDEDHLHLVIELCRSSDLFERLSARQVFSEAEALAVMVPLMDAIAHCHRLGVAHRDIKPDNVLFNEWDELKLADFGSAECFHESELMSGVVGTPYYVAPEVLAGRAYSEKVDVWSAGVILYIMLAGVPPFYGDSAEEIFEAVLRANLRFPARFFNSVSPAAKDLLRRMLCKDVSRRFSAEQVLSKYIYNLHFTLSPHITSSSTF >itb13g24600.t1 pep chromosome:ASM357664v1:13:30233025:30234667:-1 gene:itb13g24600 transcript:itb13g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALNRNYRVCEEIGRGRFGVVFKCYSPESGESFAVKSIDKRLIADDSVDRQCLFNEAKIMQLLSPNPYVLRIFDVFEDEDHLHLVIELCRSSDLFERLSARQVFSEAEALAVMVPLMDAIAHCHRLGVAHRDIKPDNVLFNEWDELKLADFGSAECFHESELMSGVVGTPYYVAPEVLAGRAYSEKVDVWSAGVILYIMLAGVPPFYGDSAEEIFEAVLRANLRFPARFFNSVSPAAKDLLRRMLCKDVSRRFSAEQVLRHPWMTSNGDRDVTLLN >itb03g14260.t1 pep chromosome:ASM357664v1:3:14061220:14066892:-1 gene:itb03g14260 transcript:itb03g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGLAKVGQGSEYNGRVTCVLVLSCMVAASGGLLFGFDLGISGGVSSMEPFLRKFFPKVYRKMKEDTSTSNYCIFDSQLLTAFTSSLYIAGLIATFFASPITRNFGHRLSILVGGAAYLGGAALGGAAYNVYMLIFGRVLLGVGIGFTNQAIPLYLSEMAPARYRGGFNLAFQFCVALGILFANLINYGTARIKGGWGWRVSLAMAAAPGLVILFGAIFLVETPNFVIHQENDQEKAEKLLQKLRGVVDVKAELSDLIRASEIARTVKHPFSDILGRQYRPQLVMSIAIPMFQQITGINVVTFYAPLLFRTIGLGESASLLSAVVVGLVGAVTILVSSFVVDRLGRRTLFNIGGGLMFVTHMLVGSIMAAKLGDHGGLSKGFGVTILIAVCVYIVGFSLSWGPLGYLVPSEIYPLEIRSAAQSITVGVGFLFIFLVAQSFLAMLCHLRSGIFFFYGGWVAVMTAFVYFLLPETKNVPLEKMGKIWIEHRFWNSVIQGGSELKKSEQYPLVVSTWPFLEAVRAAWRAADSGLSAIDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDAMVMNGVTMEVGAVAGMRYVKDGIKAARLVMEYSTHTMLVGEKASVFAISMGLPGPTNLSSAESIEKWTKWRENNCQPNFRKNVIPTDKCGPYSPKGFTYQDETKCSVGPNESGSFHIGPNNHDTVSMAVVDKKGQIAVGTSTNGATFKIPGRVGDGPIAGSSAYAISEVGACGATGDGDIMMRFLPCYQVVESMRLGLEPRAAAQDAISRIARKYQDFTGAIFAVNKSGHHAGACYGWTFQYSVMRPGMNDVEVFTVRPETRSVR >itb15g22860.t1 pep chromosome:ASM357664v1:15:25603379:25606484:1 gene:itb15g22860 transcript:itb15g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIEEIEEWILMIEKGTDLDPDEEKNITVWDTYQSALELENEVIVGFHTDIETIVKRLCYSHFMRSIFTILRNSNIHKFQKYIENTVLKLQVIPLVGEGGIGKTTLAKRVYAHPTTIASFHIRAWVVLSQVPNLKEILIGLLGCISPITSEIYTLDDAQIAEQLCTCLMGKKYLIFLDDIWTTATWDAIKGYFPENFNGSRILVTTRFTKVAKYLSANPYHMKYQTLENIWELFSRKLFRQSQRVPSVEIAERIVLGCIGLPLEVVVLAGLLAETKESLEIWRDVAETLDRVDRYDNDNRISKILSLSYNYLPPHLKPCFHYFGVFPEDNVIPVKRLINLWVAEEFLMPHKKMSLEEVAGSYLHDLINRGLVQINELSLDGNLKSCKVHDRVHEVCVREAIKGNALCIINDNHAPKVSRWLSCQTSHWPITQASYGNCTLDDIRSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSFLKWSRSMPSEITDLVHLRYLSLSTIGSLHELQFLKLKNLLTVIVTSWMEKCPLHLRCDILGLPQLRDLHVDKRCSQYLPCLVKENLQTFYWLKIVSSDDEKQNFRMVPNLKELGIYIEGQLEPSYLESLVYLHRLEKLKVEVGRVERFCLPTGFPPNLKKLTLRHTYLPWKEMDTIGRLRHLEVLKVKDFAFCGSKWEPSKQGFRKLKALLISRSNLKYWNASSNHFPVLERLVLRYCWELKQIPINFANMGTLKLIVLECCYSSLVTSANQISSANKLLFEGTTDFPLRVRKLVGSWLQLVYKVFIAE >itb12g27600.t1 pep chromosome:ASM357664v1:12:27905219:27905935:1 gene:itb12g27600 transcript:itb12g27600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDFCFPTIANNLAHLNMTTTPSLWRIPSQSEKVAGERHKWRRKSYSELEEKSRRQDENEAAGGGAEEKMDMLWEDFNEELQQNHETAVLDSLRSPEGFSSSNRAGEEGAVEMCKASGGGPFSLLLVMKMLKKLLMLQNSAARHASLP >itb14g07320.t1 pep chromosome:ASM357664v1:14:6708531:6708857:-1 gene:itb14g07320 transcript:itb14g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKVRRVLSCKIHCPFICFCNPSAAHHHLYTTTHPHLKVKNTHTVPASGQLCGEGKDVKEVRQEGESGGIRSCIRKSTPEEIERKRVQWVDDVGQQLAQIKEFESR >itb07g14480.t1 pep chromosome:ASM357664v1:7:17111339:17113766:-1 gene:itb07g14480 transcript:itb07g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLCHKQCTISSMLKINEDVQCIVTCKNCHQSSSVTRCGISNESPTSPLLLQGQDFSNVDTVRKGGVLGSSNRLSVSTGGNGRSSGVKQTSSANPKAKTKSWGVIWKKPNSTDTGADFRKKNILRKGDPIDGPGPDCHLCRKTYNSNLIYIHCETCQNWYHADALELEESKISNVVGFKCCRCRRIRIPICPYLDPDSKKQLTEKRIRSRPSKADDLGMDSDSGIISEKYQEEEPSTPMVHLKEEISSIMDDFHSLSSMGKITKQDPEAFCENTASLSGRGPKKLPIRRHVKHENYLDSSFANNPSNDYSSTNFGENTGEVITPCVDWGAKLPVRRRPMTSDDPDYSFADPQVQLSTNLGVEWNTSTNGCEDGASLNCDGLSYEDMEFEPQTYFSFNELLESDDCAPFDGGSGNVTEKWENASQLPHDEMSTIPIESDAPAVVPCMMCSHTDPSPTLCCQTCGIQIHGHCSPWVEQPSTESVWRCGSCREWR >itb05g22040.t1 pep chromosome:ASM357664v1:5:27584731:27587884:-1 gene:itb05g22040 transcript:itb05g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASRPKVLRDDIDAPIPLPLPREVVVKEDRGKQEEVTKDVVVGVEEAPAVAVEGESQFEDVDGVNAIPSVTDHLSTQDEEQSSEEVKEELFETKEASEPSESKPQEHENPIEEQEALDLIVVDVSVEFESEETEVAAPMAAKVTEAEICIEEALDLIVVDASAQLELEETEVAAPMAAEVPEAETCMERSDTEVAAPVAAEVPEPETCMEKIEIEVTAPMAAEVPEAETCMEKTETEVDAPVAAEVPEPETCMEKTEIEVVAPVVATELLEPETCMEKTEIEVVAPVVATEVPEPEPETCMGKTETEVVAPVVAAEVPEPETCMEKTETEVVAPETCMEKTETEVVAPVVAVEKTETEVVAPVVAAEVPEPETCMEKTETEVVAPETCMEKTETEVVAPVVAVEKTETEVVAPVVAVEVPEPETCMEKTETEVVAPVVATEVLEPETCMEKTETEVTVAVEVLEPETCMEKTETEVAAPMAVEVLEPETCMEKTETEVAAPMAAEVPEPETFVEKTIEDVKEVTEVTRMDDENPDWKLWIASEDDFPKPEIEAEAEEVAEDNAPKTEIETSLEEKIERNQIITDEKPQETEGEVEKPQEKKTQGEETAALETTATAPTTNESKKPSKKQYWHIGKLLSFGKAK >itb05g22040.t2 pep chromosome:ASM357664v1:5:27584731:27587884:-1 gene:itb05g22040 transcript:itb05g22040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASRPKVLRDDIDAPIPLPLPREVVVKEDRGKQEEVTKDVVVGVEEAPAVAVEGESQFEDVDGVNAIPSVTDHLSTQDEEQSSEEVKEELFETKEASEPSESKPQEHENPIEEQEALDLIVVDVSVEFESEETEVAAPMAAKVTEAEICIEEALDLIVVDASAQLELEETEVAAPMAAEVPEAETCMERSDTEVAAPVAAEVPEPETCMEKIEIEVTAPMAAEVPEAETCMEKTDTEVAAPVAAEVPEPETCMEKIEIEIAAAPMAAEVPEAETCMEKTETEVDAPVAAEVPEPETCMEKTEIEVVAPVVATELLEPETCMEKTEIEVVAPVVATEVPEPEPETCMGKTETEVVAPVVAAEVPEPETCMEKTETEVVAPETCMEKTETEVVAPVVAVEKTETEVVAPVVAAEVPEPETCMEKTETEVVAPETCMEKTETEVVAPVVAVEKTETEVVAPVVAVEVPEPETCMEKTETEVVAPVVATEVLEPETCMEKTETEVTVAVEVLEPETCMEKTETEVAAPMAVEVLEPETCMEKTETEVAAPMAAEVPEPETFVEKTIEDVKEVTEVTRMDDENPDWKLWIASEDDFPKPEIEAEAEEVAEDNAPKTEIETSLEEKIERNQIITDEKPQETEGEVEKPQEKKTQGEETAALETTATAPTTNESKKPSKKQYWHIGKLLSFGKAK >itb03g23220.t2 pep chromosome:ASM357664v1:3:21381519:21386437:-1 gene:itb03g23220 transcript:itb03g23220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHSLSPSINKEIDINSSSIDNPLDKMERGQNGFGVIRSHDFVETSSQDPICNTSQGERDDSTEDEQNEIMENVNNELVVYDPSINDAGEIGQVSDPAQSPPPSSGRSKVYRSIGAFAVQCANCFKWRLIPEKQKYEEIREHILEVPFFCELAREWRPEISCDDPPDITQDGSRLWAIDKPNIAIPPHGWQRLLRIRGEGGTRFADVYYVAPSGKRFRSMVEIEKYLEEHPECVRDGISISQFSFQTPKPLQKNYVVKRRPSQSTMPSHDDTAPVFHGSLEPIEANPISWVGPSRDSDMNDTPNKRKKLSKGLLMGGS >itb03g23220.t3 pep chromosome:ASM357664v1:3:21381518:21386578:-1 gene:itb03g23220 transcript:itb03g23220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHSLSPSINKEIDINSSSIDNPLDKMERGQNGFGVIRSHDFVETSSQDPICNTSQGERDDSTEDEQNEIMENVNNELVVYDPSINDAGEIGQVSDPAQSPPPSSGRSKVYRSIGAFAVQCANCFKWRLIPEKQKYEEIREHILEVPFFCELAREWRPEISCDDPPDITQDGSRLWAIDKPNIAIPPHGWQRLLRIRGEGGTRFADVYYVAPSGKRFRSMVEIEKYSKFN >itb03g23220.t1 pep chromosome:ASM357664v1:3:21381518:21386578:-1 gene:itb03g23220 transcript:itb03g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHSLSPSINKEIDINSSSIDNPLDKMERGQNGFGVIRSHDFVETSSQDPICNTSQGERDDSTEDEQNEIMENVNNELVVYDPSINDAGEIGQVSDPAQSPPPSSGRSKVYRSIGAFAVQCANCFKWRLIPEKQKYEEIREHILEVPFFCELAREWRPEISCDDPPDITQDGSRLWAIDKPNIAIPPHGWQRLLRIRGEGGTRFADVYYVAPSGKRFRSMVEIEKYLEEHPECVRDGISISQFSFQTPKPLQKNYVVKRRPSQSTMPSHDDTAPVFHGSLEPIEANPISWVGPSRDSDMNDTPNKRKKLSKGLLMGGS >itb05g09440.t1 pep chromosome:ASM357664v1:5:13783801:13786132:1 gene:itb05g09440 transcript:itb05g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVPHPFISRFRSSILSSLSLRPLSDASASHFHLHPSAPTFSHPSKDLPNPNPRTLTPSEKHFDSWISKLRPGFTPSDVDEALQAQSDPDLALDIFRWTGQQRGYKHNHVTYLRIIRIAISGKRYRVAETLVEEVLAGACPPSLPLYNSMIKFCCGRKSLFNRAFDVYKKMLACEDAKPSLETYTLLLNALLRKFNRLTVSYVYLHSVRSLAKQMKASGVIPDTFTLNMIIKAYSKCLEVDEAIRVFCEMGLYGCQPNAYTYSYLAKGLCEKGRVNQGRQFYKDMRDKGFVPKGSTYVILICSLAMERRFDDAIEVVFDMLSNSMSPDLLTYKTVLEEMCRDGKGEKAFDLLEEFRKRDNFMNEKTYKSLLDVLHFLSRE >itb11g15390.t2 pep chromosome:ASM357664v1:11:12976587:12984239:-1 gene:itb11g15390 transcript:itb11g15390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRVLTDCSNSFRAPLDPSLHSHSSAILRRHFRASTPATSRRLSQRLVVTASLSRRRLIAESAAAASLSPLVGLCPARAADEPLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWVSRSISSAEEEDFNYRFNAISFKGKEGWIVGKPAILLHTSDAGENWERIPLSSQLPGDMVYIKATGENSAEMVTDEGAIYLTSNKGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFSTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGLTEDFEEIPVQSRGFGILDVGFRSQDEAWAAGGSGVLLKTTNSGKTWIRDKAADSIAANLYSVKFINDKQGFVLGNDGVLLKYLG >itb11g15390.t1 pep chromosome:ASM357664v1:11:12976587:12984239:-1 gene:itb11g15390 transcript:itb11g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRVLTDCSNSFRAPLDPSLHSHSSAILRRHFRASTPATSRRLSQRLVVTASLSRRRLIAESAAAASLSPLVGLCPARAADEPLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWVSRSISSAEEEDFNYRFNAISFKGKEGWIVGKPAILLHTSDAGENWERIPLSSQLPGDMVYIKATGENSAEMVTDEGAIYLTSNKGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFSTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGLTEDFEEIPVQSRGFGILDVGFRSQDEAWAAGGSGVLLKTTNSGKTWIRDKAADSIAANLYSVKFINDKQGFVLGNDGVLLKYLG >itb04g07170.t1 pep chromosome:ASM357664v1:4:4783905:4789990:-1 gene:itb04g07170 transcript:itb04g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAGSFHLYWKPTFHKSNIQKTAPNFTDQRKPYHVSPLKASQSQSTSVFSSSVRSRRPENVSGEFFVDHTCIDCDTCRWMAPEIFTRVNDMSAVSRQPGCGEERLRALQALLSCPTSSIHTEKPAHDVLEVQKTFPIPINEQRIPGIYHCGYHSEKSFGCTSYLIVHPEGNILVDSPRYTQTLAHNIKMIGGARYMFLTHRDDVADHEKWSSQLGCERIIHSDEVNTSTAGVEIKLEGTGPWSLGDDFALVHTPGHTTGSVCLFYKPLKALFTGDHLAMTESGLTIIEKYNHHSVSKQYESVRSLLELDFEWILPGHGRRAEFRDVEDKNSTLKAFLAAKRQQV >itb05g22530.t1 pep chromosome:ASM357664v1:5:27930011:27931556:-1 gene:itb05g22530 transcript:itb05g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIENKSGDGRWSLKGMNALVTGGTKGIGYAIVEELAGFGASVYTCSRKQEDLDKCIQEWRSKGYKVTGSVCDCSSTLQCQQLMEKVADYFNGKLHILVNNAGTSIPKKAIDSTTEDYSVIMSTNVEGPYHLCQLSHPLLKATGNGSIVFISSVAGQFGVPMFSLYSGSKGAINQLTKSLACEWAEDGIRVNGVAPWYVETPLYQEHSMEPSYKAVAEIALQRTPISREGKPNEISSVVAFLCLPAASWLTGQIITVDGGKTAYAF >itb02g09450.t1 pep chromosome:ASM357664v1:2:6029198:6036161:-1 gene:itb02g09450 transcript:itb02g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEAISSSADPRLRPNSSSPPPTPARPTSSVGASSYAVPTNIGSTDGVAQEQGSKAGSLSRIGSHPSQTSLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFKPTAWFGKPKAANSLACARRGWINVDADKIECESCGANLMFICSAVWTLSEADSAGEEFGKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYRDRCDGLFQFPSLPVVAASAVEHMQVSRGSEIDRFLAQPHVFGGVEHVFRPEVISGVENTSENVFFIYSRAQKLISLCGWEPRWLRNVQDCEEHSAQSARNGYSTGPTKHHDLVRGKKVLPISNKKDSSKIEVLGPKSKGESRLPLLDCSLCGATVRIWDFLIASRPACFAPNSIDIPETSKKMALTRGVSAASGIGGWVASDGMEKDKTEGHDEAATDERRSLSNNAVDLNLAMAGGVSSSKLHRDVPNQFPNVHKRRDLVVGQPSSSEVGDHATSYESRGPSSRKRNLEEGGSTIDRPQLVVHQANSVEGTVTDRDGDEVNDGSQYSAGPSKRARESDPHETSHFSHAKDSFGAGPSLTLGFEVGMDDQRDDPLNQGHEQMVSVPSTRDLTHASSVIAMDTVHSADDDSMESVENYPGDLDDAHFPSTSGVKNSDPIETSDLNYSNQAQQSACLAVARCTDEMGVSSTNDEEVLNADTATACGRDGPSFGISGGSIGMVVSHEAGIHGVDAAVHRVDSVVGDVEQIAGITENQGQTGDFGANPGITGDYVPEEMDREDPHGDSQDLTSRSVGRAGADSGSKIVGSAKEESVESGEKNSNMHLAPDSSAHPSLSCNAVLCSAYEVSKGEVTQAANALATEDAEYVESGYLVANGTAPPNGESYEGVEFDPIKHHNSFCPWVNGNVAAAGCCNSSDSSSTAGGLALCGWQLTLDALNSFQSLANIPIQTVESESAASLYKDDHLAAGRKLCASRSHGPS >itb03g11640.t1 pep chromosome:ASM357664v1:3:10033755:10039181:-1 gene:itb03g11640 transcript:itb03g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGVSSNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCLCREGLFHEVFRIAEDMPQGKCVVEEFAYSQMIDSLCRYGRYNGGARIVYVMKKRGFKPSLVAYNTIVHGICRECDCVRAYQLLEEGIQFGYSPSEFTYNLLIESLCFVSDLDKAKNVLNIMLNKKAVDRTTIYNIYLRAICLTDNPTELLNKLVTMLQTQCQPNLITLNTVINGFCKMGRIEEALKLLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDEEALHLLRNTMPMNDITPGVVTCNTILHGLLKMHRVDDAMEMFNCMISNGIAADCTTYTIIIDGLFESKRIDEVKCFWDDIVWPSRVHDSYVYYAILKGLYRSGRVEEAYYGVTLCHVNYNIVIDGACKLGLKKEAYQILGEMRKNVLAPNAKEKDQLRSTLFLLLNILIAHNFAHQIKYVIVPAATASPFVLQPHRTKSIAVPPPTPSPTAVHAWSSPSLVAVAVVSYVAVSATMAKESLAMKKEGLWKQQAPAMAVPAFEEKTEKSWRKPEVNDARPSILMKQAFL >itb04g04970.t1 pep chromosome:ASM357664v1:4:3099107:3103524:1 gene:itb04g04970 transcript:itb04g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRNLVLVIFLALSLFSASCDGGSLLQTETSCHRRRHHHRHRCHHRKHHKGGHRHHNHLKGRKQPHQKVLSVDDFGAKGDGTTDDSEAFLRAWNKFCNSRGSMLVIPNRRTYMLSPTHFSGPCYPNLKLRLYGTIKAYGNKNDYKNDRHRWLKFSDLKNFMVDGGGVIDGNGQQWWKESCKGGNGYARNILFDNIVMHNVTNPIIIDQFYCDNPKDNYGNVLPCPEKVEAVGVSNVVYRNIRGTSARELAIRFECSKTVPCKGILLENVNLIGEDKGVVKAECSNVKYANIGHVFPSCGG >itb05g01970.t3 pep chromosome:ASM357664v1:5:1540783:1543014:-1 gene:itb05g01970 transcript:itb05g01970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDDLCSMEGDVDGRGNINNASRAPSTSTAPASSSPYKLFRRQSSFHQMMGGGKAADVILWRWRRGSFGIIVIATVAWLLFEHSGLPFLSVCSDILLVLTVLLFLRANYAAYKNKQLQTLPQLVLSEEMVNNAAASFRVKINYMLLMAHDITLGKDFKLVFKVVIVLWLLSTIGSMVSIFTLTYIGMHCFLFQKPIFTFRVFLNYYSLQHLVHSY >itb05g01970.t1 pep chromosome:ASM357664v1:5:1539896:1543014:-1 gene:itb05g01970 transcript:itb05g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDDLCSMEGDVDGRGNINNASRAPSTSTAPASSSPYKLFRRQSSFHQMMGGGKAADVILWRWRRGSFGIIVIATVAWLLFEHSGLPFLSVCSDILLVLTVLLFLRANYAAYKNKQLQTLPQLVLSEEMVNNAAASFRVKINYMLLMAHDITLGKDFKLVFKVVIVLWLLSTIGSMVSIFTLTYIGAIMFITVPALYSRFEAHVDRCAGKLHQQFTRHYRIVDESISRLPRNVAKDKDH >itb05g01970.t2 pep chromosome:ASM357664v1:5:1539896:1543014:-1 gene:itb05g01970 transcript:itb05g01970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDDLCSMEGDVDGRGNINNASRAPSTSTAPASSSPYKLFRRQSSFHQMMGGGKAADVILWRWRRGSFGIIVIATVAWLLFEHSGLPFLSVCSDILLVLTVLLFLRANYAAYKNKQLQTLPQLVLSEEMVNNAAASFRVKINYMLLMAHDITLGKDFKLVFKVVIVLWLLSTIGSMVSIFTLTYIGAIMFITVPALYSRFEAHVDRCAGKLHQQFTRHYRIVDESISRLPRNVAKDKDH >itb05g15910.t1 pep chromosome:ASM357664v1:5:23236299:23236961:-1 gene:itb05g15910 transcript:itb05g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQWLDKQPRESVLYVSFGSGGTLSGEQITELALGIELSQQRFIWVVRPPSMHGPADAFFTTGQGADRMPNYLPEGFNTRTQKQGLVVSMWTEQALVLKHPSTGGFLSHCGWNSTLECIKNGVPIIAWPLYAEQRQNATLLTELGVAIRPKKLPTKGIVGREEVKTLVKTMLQSNEGKEMRERVVKLRMSAEKAISIGGSSYNSMCELLNTIEKRRSEI >itb06g03500.t1 pep chromosome:ASM357664v1:6:5894691:5895240:-1 gene:itb06g03500 transcript:itb06g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIWFPSLTNKVYTGDLQKRVGITTGLCILKGLPGRLNLNFLKSETYSGIWLNAKVASRFRQYTLVYDAQWRNISLNDSATTQGGGALRQVAAEEVGAVAGRVALLREPLAIHRLWLHDHLLAAEVGAHHNLRCPRHIPSLKWIR >itb03g03280.t1 pep chromosome:ASM357664v1:3:1886219:1887104:1 gene:itb03g03280 transcript:itb03g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFFFFIIFFSIAVSSISGSDPDCVYTVYVRTGSIVKAGTDSNITLTLYDADGYGIRINNLEAWGGLMGPGYNYFERGNLDIFSARGPCLSGRVCYMNLTSDGTGPHHGWYCNYVEVTVTGVHQACYQQLFTVEQWLATDTPPYELTAIRNNCVSDASKSLPLDASETAGIVAVM >itb03g03280.t2 pep chromosome:ASM357664v1:3:1886219:1887164:1 gene:itb03g03280 transcript:itb03g03280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFFFFIIFFSIAVSSISGSKDPDCVYTVYVRTGSIVKAGTDSNITLTLYDADGYGIRINNLEAWGGLMGPGYNYFERGNLDIFSARGPCLSGRVCYMNLTSDGTGPHHGWYCNYVEVTVTGVHQACYQQLFTVEQWLATDTPPYELTAIRNNCVSDASKSLPLDASETAGIVAVM >itb03g20950.t1 pep chromosome:ASM357664v1:3:18774864:18775442:-1 gene:itb03g20950 transcript:itb03g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNTSRGVTRGRQRVPLARIENEVQRLVTFSKRRTGLFKKASEMSTLCGTEIAMVVFSPSGKAFSFSNPDMNRVLTKYFGEIPNREANIPEHIIRAHQEAKMRAMTSQINVLEAQIDEEKMVDQALREAEKGRPSISDLQLPELQLMKQKMETLLIQVTEKLNMFSITEAQSQAMETRFGANDGAGPSGV >itb01g30900.t1 pep chromosome:ASM357664v1:1:34735871:34741258:-1 gene:itb01g30900 transcript:itb01g30900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAFRYLLFTHLYRHPLLPSILHRKLSISFPHSRLSSPPKLRAIPRDNCNNHTLVLKTDERVLGSGTLDPSNPPVTNSSTIAAIVTSLGGPPAAVGIVRLSGPSAVSIVGRLFRSLKKKKKRRKDDTSEWRPKSHVVEYGVVSDSRGNVIDEVLVVPMLAPKSYTREDVVELQCHGSEVCLNRVLRACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVEKLVSAKSMAAADAALAGLQGGFSSLVKSLRMQCIELLTEIEARLDFDDEMPPLDLNIIMEKIYGMLRDVDSALETANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKTERAIVTDIAGTTRDVIEASISVCGIPVILLDTAGIRDTNDVVEKIGVERSEKVAGNADVIIMTVSATEGWTTEDARLLERIQSNKTASRSSSPIILVVNKIDCAPFASELVDACGFSFNKKIFTCAVTGQGIQDLEAAIVEIVGLNKIPAGGRKWTVNQRQCEQLIRAKDAFTRLKSSIAEELPLDFWTIDLREAAMALGQISGDDISEEILTNIFGKFCIGK >itb10g21790.t1 pep chromosome:ASM357664v1:10:26844991:26849826:1 gene:itb10g21790 transcript:itb10g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELSKLIRELKQTLYGNSEAEPVADACAQLTQEFFRENTLRLLISCLPKLNLETRKDATQVVANLQRQQVQSRLIACDYLEANIDLMDILVVGYDNTDMALHYGAMLRECIRHQIVAKYVLESEHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIVSILVANRSKLLRLFADFKTDKEDEQFEADKAQVVKEIAALEPKELP >itb15g00230.t1 pep chromosome:ASM357664v1:15:150280:153684:1 gene:itb15g00230 transcript:itb15g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRCFLFHNDEKDEEPKIVKSTPVLSSCSGFSDHDVRQSNLESSSQNASDTSTESRGRTQFPSMSERPSNLRDFSFSELKSATKNFNRSTKIGEGGFGSVYKATIKNSEDPASRVDVAVKQLSRRGLQGHREWVTEVNVLGVVEHENLVKLVGYCAEDDERGIQRLLVYEYMPNRSVENHLSARSETPLSWAMRLKIAKDAACGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPQEGLTHVSTAVVGTMGYASPEYVQTGRLTSKNDVWSYGVFLYELITGRQPLDRNRPRSEQKLLEWVKPHLSDSKKFRQIIDPRLDGNILKSAHKLSLVANRCLVRHPKTRPKMSEVLDMVNKVLEASTGMGDPRPSIVHSDPISTKDEVDRKGKRRITDMKVGDGSWLVRIWSLKQLKTC >itb13g24890.t1 pep chromosome:ASM357664v1:13:30441430:30442200:1 gene:itb13g24890 transcript:itb13g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSNGIFGAIKNLTKKLAALREKLHPFVTHVFASSSEVPPPPPAVSLCKEIKVAAWGCPGGQKWDHKGTLKQIVIVHGDIIDSITLTSLSPDGNAAVPTKFGGAGGYKTVQVDIDAPKEWLRGISGTYGVFDGLIVIKSLKLYTNRTQHGPIGTCESGDSFSCILEDGEIVGLHASCGIYLNSIGVYTAPK >itb01g32720.t1 pep chromosome:ASM357664v1:1:36132551:36135404:-1 gene:itb01g32720 transcript:itb01g32720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPSGGGGGASLCSSDRCALKFTHPIPPLFSNRRPGRCNCNPTQRPQTQSKLPTLAAANPGASRPSAATVTPPSSTIGSHFNAAGDDVISLITQDRVPPEGVIQFQNPNSSSRIGKWSKVALLAGGDVVALLLFSAIGRFTQGLPVFHSETLRTADPFTAGWFFSAYFLGGYAEDGLGMNGLFKGFIAATKTWSLGIPLGLIIRTTTDGHIPSANIITATMRSTAVLLIGWRTLLLSSLPDGRG >itb04g07350.t1 pep chromosome:ASM357664v1:4:4957015:4962768:-1 gene:itb04g07350 transcript:itb04g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSISLDEVSMAKEKINSVQNDVAAPAEGKERSQSTEEAATDHGEISDTVENLDSDSHAADGEDEKDQNSATDCKEVCCEEANGGVLKMIIPHSQLPQPEAPAGVAAPVSPRDLERSKSMPESFDVPAIGKFFREKSNSLSEAITRRISSLRESPEDEKAKSDFKVTEFNLSGLKVIVNLKAKDEQGTRLLGRVAFFSRSNCRDCTAVRLFLRERKLNFVEINVDVYPEREKELVQRTGSATVPQIFLNEKLLGGLVALNSLRNSGMLEKKLGEMLSGKCPDDAPSPPVYGFDEPEDRRDEMVEIVRVVRQKLPIQDRLMRMKIVKNCFAGGELVEVLIHHLDCGRRKAVEIGKQLARKHFIHHVFGENEFEDGNHHFYRFLEHEPFIPKCYNFRGSTNDNEPKTAAALGLRLSKIMSAILETYASEDRRHLDYMGISNSEEFRRYISLAQDLQRVNIETLSANELLAFFLNLYNAMAIHAVIRVGHPGGMLDRRPFFADFQYIVGGYPYSLSAIKDGILRNNKRAPFSLTKPFGSGDKRLEMAFPKLNPLIHFGLCNATRSSPAAKFFTPHNVESELRYAAREFFQRDDAMQVDLGKRIVYLNRTIKWYSSDFGQQKEILKWILSYLDATKAGLLTHLLGDGGQVNVVYQNFDWSVNS >itb14g15350.t1 pep chromosome:ASM357664v1:14:18651163:18652136:1 gene:itb14g15350 transcript:itb14g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRAFWSYVTTSCGFSSWAATNLLKCGDVVKQARVFTEADVVEYSKLSHDTNPLHFDSECAKLAGFRDRLVPGMLVASLFPRTIASNFPGAVYVSQTLHFKLPVYIGEEIVGELCATNIKDLKTKHV >itb07g05230.t1 pep chromosome:ASM357664v1:7:3556026:3556924:-1 gene:itb07g05230 transcript:itb07g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFQNIPWKFMLVLAWITPACHGGGGEGGSYVRDACSVTIHQDLCIHSLAPFSNKAKNHPDRWARIGLSVTISQVKTISSSLSKLKRQGTLRGRSQMALWDCVECFEDALDSLHRSLGVLRKLNAKNFTTQMGDVTTWMSAALTNEDTCLDGFGRPKRKQVRWLVNKVTNVSYLTSNALALVNKLATTGP >itb07g05420.t1 pep chromosome:ASM357664v1:7:3725599:3733869:1 gene:itb07g05420 transcript:itb07g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLIHSSAAKLYLSRFSYISASKNARFFSSESNKTDEPFKVEEAETVNLPPTDKILVLGGNGFVGSHICKEALNRGLEVASLSRTGRSSISEPWANNISWHQGNLLSNDSWKEALKGVASVISCVGGFGSNSYMYKINGTANINAIRAAAEEGVKRFVYISAADFGFVNYLLQGYYDGKRAAETELLTRYTYGGVILRPGFIHGTRRVGSMKLPLGLVGSPLEMILQHAKPLNQLPVVGPLLTPPVSVTAVAKVAVRAATDPVFPPGIVDVYGILRYSHQKSV >itb07g20970.t1 pep chromosome:ASM357664v1:7:25378896:25381253:1 gene:itb07g20970 transcript:itb07g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFWNIHVVFLSLLFSIISAENSETTYIVGLQNDLKPSVFSDVEHWYTATLNSLTVTNNYPSKTHTPFLHVYTAVFHGFSAKLTKTQARLLKNRPGVLAVFPDRLRQLHTTRSPEFLGLASFDPEYVPSNLLSESDSGSDVIVGVFDTGIWPERRSFHDEGLGPVPSRWKGECVEGQNFTKFNCNRKVIGARYFIAGYEAGTGRFNSSVDIKSPIDSDGHGTHTASTVAGRAVSDANLFGFAKGVAVGIAPKARLAAYKICWKKGCMDSDILAAFDKAVEDGVDVISISVGGGAFIYNMDSIAIGSFGAMEKGILISASAGNDGPGRMTVTNSAPWITTVGASTIDRKFPADLVLGDGQVIAGASVYDGGQENTSLPLIYGGNASVSHGGKRGSSFLSARCMPESLDKDLVGGKIVVCDRGGTGRVAKGEVVKSAGGAGVVIANVAPLGEGLIADAHVIPGLSVTESDGNRVRGYINSHPNPTAALIFRGTEIGAKPAPVVASFSSRGPSGESLYVIKPDVIAPGVNILAAWPGDVAPTELPSDTRRSEFNIVSGTSMSCPHVSGLAALLKGAHPEWSPAMIRSALMTTAYVEDQEGKPIMDEKSYNVSTVWDMGAGHVNPEKAVDPGLVYDITPNDYLNFLCSSNYTAQDLRQIARKAVKCPGPGEHRKPPWDLNYPAISVVIDASSPTSTVVRATRTVTYVGEGASTYNVAVTNPNGAVAKVTPTQLDFTAKDQKKEYVVEITAEKLAVTPGKSVTELGKVVWSDGKRQVVSPLLVVWKKAY >itb01g24160.t1 pep chromosome:ASM357664v1:1:29884281:29885101:-1 gene:itb01g24160 transcript:itb01g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRKPPPQKNAKVKEKNSLRRKAAAEDSSRKTYTATLTARSKAARGIAATILSIIMYGSPLSIIRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGKDPFVAIPNGFGRGLGAVQLILYAIYCDKKSFPDGSGKMVGVDGKPSGEDIQLGIRMGQNQSKEDLV >itb03g07080.t2 pep chromosome:ASM357664v1:3:5190443:5193496:-1 gene:itb03g07080 transcript:itb03g07080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLAIENIKRVAQSIQRNQCAVYSQGVKYGWFTRIGICSPRYSYHVQYISPTRGHVSFTMYRIQKNFYFYSSHSAIPTRNTIAQHAHLVWARLSQRVIYRGQTFLAINRIAQAVSLALNRSYVVVPGLFALTCGRNVALAQAPQGKDFVNRNDTLYMRAQDGHALFSLALESMVDGVALLLRAFYLAILFFPSIVMAPFADVLGPHYRRIWLQVVHKTLEMAGPAFIKWGQWAATRPDLFPRDLCTELSKLHTKAPEHSFAYTKKTIERAFGRKISEIFDDFEEKPVASGSIAQVHRASLPNRYGGKETKPIVVAVKVRHPGVGESIKRDFEIINLVAKTSAFFPALKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPSVLVETFEQGESVSHYVDDLEGHEWLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVAPSKSSWKCIFKSKPHVIFLDVGMTAELSHSDQMNLLDLFKAVACRDGRTAAECTLRLSKKQNCPNPEAFIQVEYCIFF >itb03g07080.t1 pep chromosome:ASM357664v1:3:5189256:5193496:-1 gene:itb03g07080 transcript:itb03g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLAIENIKRVAQSIQRNQCAVYSQGVKYGWFTRIGICSPRYSYHVQYISPTRGHVSFTMYRIQKNFYFYSSHSAIPTRNTIAQHAHLVWARLSQRVIYRGQTFLAINRIAQAVSLALNRSYVVVPGLFALTCGRNVALAQAPQGKDFVNRNDTLYMRAQDGHALFSLALESMVDGVALLLRAFYLAILFFPSIVMAPFADVLGPHYRRIWLQVVHKTLEMAGPAFIKWGQWAATRPDLFPRDLCTELSKLHTKAPEHSFAYTKKTIERAFGRKISEIFDDFEEKPVASGSIAQVHRASLPNRYGGKETKPIVVAVKVRHPGVGESIKRDFEIINLVAKTSAFFPALKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPSVLVETFEQGESVSHYVDDLEGHEWLKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVAPSKSSWKCIFKSKPHVIFLDVGMTAELSHSDQMNLLDLFKAVACRDGRTAAECTLRLSKKQNCPNPEAFIQEVKESFDFWGSPEGDSVHPAECMVQLLEQIRRHRVNIDGNATTVMVTTLVLEGWQRKLDPDYDIMQTLQTVVLKADWAKSLSYTIEGLMAP >itb11g20720.t1 pep chromosome:ASM357664v1:11:21998095:22001215:1 gene:itb11g20720 transcript:itb11g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLRLHFVRDDGEVVKLPPGFRFQPTEQEIVFQYLQRKIFSLSLPASVIPELPNICRYNPWNLPGDMEQEDRYFFSSKEATKYPNGNQTSRVSSNGYWKPSSSEEKHITCPGKSSSSIPMIMGMKKTLVFYYGKPPHGSKTNWIMHQYRLVAISPAGNPPLPPYFRHAMKKDHSQGSLMQQIGNLVICHVFQKKRVNGKAGGESAEVCEDNINSSIDEDENVNRYNLMMRDGLSSSSCSEDGDSCVSSCSSSRVLNDEVSSSYSCGTLGYGNHQDASYI >itb10g03170.t1 pep chromosome:ASM357664v1:10:2874048:2876276:-1 gene:itb10g03170 transcript:itb10g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRPSPAPNRSSKLARTFQKVIHLRASSKPFSNNGFCLLIPQEKLKCCESQHFDKEEIQECKENSRNRAVLEAFVAKLFATISSLKAAYAELQMAQFPYNSDAIQAADQAVVDELKALSELKHSYLKKQVDSSPPHVTLMLAEIQEQQSLMKTYEITMKKMQGEIEGKEALFSSLQKELQEISLDNKSLERKLNASGSFTVLDNVRFSDVNPKDFITVLHYAMRSVRHFVKHLIRDMEGANWDIDAAAAAIQNGVSFEKKNHKAFAFESFICREIFNGFNDPCFAIQTDHCWSPEKQRRAFFFDQFKKLKSASLIHFLKQNPSSPFGKFLKSKYLHLVHPKMEFSFSGNLTQRKLVNSGEFPETEFFKAFAEMGRRVWLLHCLAFSFDQQVTTFQARKGDRFSEVYMESVTDEVFAGAGEFQVAFTVVPGFKVGKIVVQSQVYLSPVSSPAKS >itb15g21330.t1 pep chromosome:ASM357664v1:15:24010832:24012483:-1 gene:itb15g21330 transcript:itb15g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHKRTTTTATTTTSRLMSSSSPSIASSVPNYLRPTTASSAVQVAGDKPSPARRKSLDRPLSHLDTHRNLTGDKPSPARRKSFDRPIQSLHSPLSSPSSSPFSTSSQPYKPTFSRTKSSLDRPKSSTAMSRTSEANDRRGKIMTRSISSVSKSSSIAHNHHLKTTPNKTQKNSTRKQPGNTTLSSKPINKKPTETILITNHHQEPSVPIPQSADHHKSEVSATPNPNQTETNNNSKIIVQEKENIIVVVSLDHEEFEDLKSIEDCSSLSSVLEDPVDTITEEGDAEEISVVPENLQESGQTQSADTMPPTTPEDPQVEDKVDGEINNTNNLKETTLADPKPADEISVEEKGDETMHDNNCNENKAENQEEGEEEERKKEKDKKADNQYHHQEVAEEEVKEMTYDQSSNNNNNNNNNNVVQQPETNKPALKRNESVVSNDVIEETASKLREQRKNKVKALAGAFETVISLQDK >itb05g07190.t1 pep chromosome:ASM357664v1:5:8706845:8709403:-1 gene:itb05g07190 transcript:itb05g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIEGNHLTSAAILGLDGSVWAQSSAFPQLNPQEISAIMKDFDEPGSLAPTGLHLGGAKYMVIQGEPGAVIRGKKGPGGICIKKTSQCLLFGMYDEPMTPGQCNMVVERLGDYLVDQGY >itb08g02000.t1 pep chromosome:ASM357664v1:8:1594766:1596183:-1 gene:itb08g02000 transcript:itb08g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNNNNIVVGCINFTAMLLSIPIIASGIWLSSHPDNLCVNILQWPLIIIGVIVLVISLAGVVAGFWRISWLLIFYFVAMLAVIVLLGCLVGMVYWVTLKGSGHPEPSRTFLEYRLEDYSGGLRRWVQRNRKWDGIRSCLRSSSMCAELNQNYRLAQDFFNAHITPLQSGCCKPPTECGHTFVNPTYWISPINMAADMDCLQWSNDQTQLCYSCESCKAGLLANLYKEWRRVDIILGITLVGLICVYLTGCCAFRRAKTRDLTRKYKQGSSNDS >itb05g00240.t1 pep chromosome:ASM357664v1:5:184374:189847:1 gene:itb05g00240 transcript:itb05g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQIGSSAGYGNPPWIFTGSALYQLHLVKSKTARAFIPKEFRLVEAFGYTLGGFFLASYDDSPAGTFDELVVLAGIVWNPPTSCAWAARVLVNSDEACMHGRKDVGLPSQVARFSKRMEPIPKASNKGNHFLSMIGLSSFPSTPPNDFLDIKVAEIKGPRAMDMCNINILTPARKLPSKKEWMGPLIKMSLPSFSGRTKHNPNLLKYSCQIECRVRKVVPAKVSGPFTLKAEKESSECQNQSSIIEQEVTPNKRNLSISVLLSKPILALQFSCLKMKVEAPTVVSMCSSRSH >itb05g00240.t2 pep chromosome:ASM357664v1:5:183992:189910:1 gene:itb05g00240 transcript:itb05g00240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METKQIGSSAGYGNPPWIFTGSALYQLHLVKSKTARAFIPKEFRLVEAFGYTLGGFFLASYDDSPAGTFDELVVLAGIVWNPPTSCAWAARVLVNSDEACMHGRKDVGLPSQVARFSKRMEPIPKASNKGNHFLSMIGLSSFPSTPPNDFLDIKVAEIKGPRAMDMCNINILTPARKLPSKKEWMGPLIKMSLPSFSGRTKHNPNLLKYSCQIECRVRKVVPAKVSGPFTLKAEKESSECQNQSSIIEQEVTPNKRNLSISVLLSKPILALQFSCLKMKVEAPTVVSMCSSRSH >itb05g00240.t3 pep chromosome:ASM357664v1:5:183910:189847:1 gene:itb05g00240 transcript:itb05g00240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METKQIGSSAGYGNPPWIFTGSALYQLHLVKSKTARAFIPKEFRLVEAFGYTLGGFFLASYDDSPAGTFDELVVLAGIVWNPPTSCAWAARVLVNSDEACMHGRKDVGLPSQVARFSKRMEPIPKASNKGNHFLSMIGLSSFPSTPPNDFLDIKVAEIKGPRAMDMCNINILTPARKLPSKKEWMGPLIKMSLPSFSGRTKHNPNLLKYSCQIECRVRKVVPAKVSGPFTLKAEKESSECQNQSSIIEQEVTPNKRNLSISVLLSKPILALQFSCLKMKVEAPTVVSMCSSRSH >itb05g00240.t4 pep chromosome:ASM357664v1:5:186495:189847:1 gene:itb05g00240 transcript:itb05g00240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METKQIGSSAGYGNPPWIFTGSALYQLHLVKSKTARAFIPKEFRLVEAFGYTLGGFFLASYDDSPAGTFDELVVLAGIVWNPPTSCAWAARVLVNSDEACMHGRKDVGLPSQVARFSKRMEPIPKASNKGNHFLSMIGLSSFPSTPPNDFLDIKVAEIKGPRAMDMCNINILTPARKLPSKKEWMGPLIKMSLPSFSGRTKHNPNLLKYSCQIECRVRKVVPAKVSGPFTLKAEKESSECQNQSSIIEQEVTPNKRNLSISVLLSKPILALQFSCLKMKVEAPTVVSMCSSRSH >itb01g15310.t1 pep chromosome:ASM357664v1:1:17692882:17693223:1 gene:itb01g15310 transcript:itb01g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQLPPPNSSCSEEVVGGENQSKRSVREAEAAATVEDCGRERLKRHRGEVAGRVWIPEIWGQEELLKDWVDCCAFDAYWMNTNIILARTALIEDTRTHSHSHSTTLRLDNTC >itb11g00080.t1 pep chromosome:ASM357664v1:11:35714:37390:1 gene:itb11g00080 transcript:itb11g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAGSKKNAAIASVAGVLLVACVVATTVSVTKQGGGSSEGEQGLTTSTKSAHAICATTDYKDTCETSLSEAKNTSNPKELIKVAFDAAMKNLSDVISHSALLKKASKDPRTSGALDVCKQVLNDSVDDIRRSLDKVEGFGMDKMQEYADDIKVWLSGAITNQQTCLDAFQNTSGDTGEKMKKLVNFTGELTSNGLAMVTQFSDVLQTLEIPGMKKRRRRILLDEFAEERSRLLLGMASPSNLKPKAVVAKDGSGRFKTINGAIKAIPPKNNVLYVIKIKAGIYKEQVMVPRKMNKVVFVGEGPTKTKITGGLNFIDGTATFKTATVAIQGDGFMARDIGIENSAGAAKHQAVALRVSADDAIFYNCRIDGYQDTLYTHSYRQYFRNCTITGTIDFIFGDAAAVFQNCKMIVRKPLGNQACMITAQGRKDHRGVGAIVIQNSEFLPDAALKSVKPAVTVYLGRPWKEFSRTIIMKSYIHAFIHPTGWSPWMGNFGLNTCWYAEYQNRGPGANTKARVNWKCYQKRITPEVANQFTAGVYLKGDAWIKPTGIPYTAGM >itb01g05210.t1 pep chromosome:ASM357664v1:1:3575384:3578833:1 gene:itb01g05210 transcript:itb01g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIEHKFIEVNGLKIHVAEVGSGSGPAVVFLHGFPEIWYSWRHQMIAVAKAGFRAIAIDYRGYGLSDQPPEPEKTTFLDLVNDLVALLDALSIKKVFVIGKDFGVFVLSYFCLLHEERVSGFVTLGMPLAAGTGIAQNINLPEGFYVSRWKEPGRAEADFGRFDAKTVVKNVYILFSRSELPIAHENQEIMDIVEPSTPLPPWFTEVDLETYGALYEKSGFRTALKVPYRTFGEQIDLPADPKVEVPGLFIMGEKDYALKVPGMEEYTRSGMLKAVVPKLETVFIAQGSHFVQEQLADEVNQLILSFVTAHSSA >itb01g05970.t1 pep chromosome:ASM357664v1:1:4179252:4185439:-1 gene:itb01g05970 transcript:itb01g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILPTTTSSKSDRRSKSKSNQASKPSLVMALISCFAWLYVAGRLWQDAENRTLLTSLLKKNSEKRPKVLTVEDKLMVLGCKDLERRIVEIEMELTLAKSQGYLRNQLQQSGSSSSKKLLGVIGVYTGFGSRLRRNVFRGSWMPNGDALKKLEERGVIIRFVVGRSPNRGDSLDRSIDEENKTTKDFLILEGHEEAQEELPKKAKFFFSTAVQNWDADFYLKVDDNINLDLDGLIELLQSRRDQGSAYIGCMKSGEVVAEEGKAWYEPEWWKFGDEKTYFRHAAGSLLILTKDLAQHININSASLKAYAYEDTSVGSWMMGLQTTYIDDSRLCCATSRQDKVCSLA >itb03g28810.t1 pep chromosome:ASM357664v1:3:29525511:29529076:1 gene:itb03g28810 transcript:itb03g28810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLDPLKDLKVLVLFLPNRYSVICKHLNRISNRGFGSFFLGYFNLSHCRRFFTNYTHSIVFVFVFNRHPSPAPSAPSVCCYGSLKRMLQLAAAGCLSVQSAAPMLLSPHLQFCSKPKNCVLSRTPGWNSWSPAIRSSPLLSTSRKSICRPPLGKYVREDYLVKKLSAKEIQELIKGERSVPVIIDFYATWCGPCILMAQELEMLAVEYESNALIVKVDTDDEYEFAREMQVRGLPTLYFISPDPNKDAIRTEGLIPIQMMRDIIDNEM >itb07g13330.t3 pep chromosome:ASM357664v1:7:15495811:15501903:-1 gene:itb07g13330 transcript:itb07g13330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILIIKRPQGGKPAEVVHNLPAQNKKANKPFLLGVELVIMWLPSQQSDPLMMRFSMPNVLVFLICAAWTLQIRGEGPYNPSETNKWECACFNDSRRMIPANCSSSCDCNLEGFSESRWMCVCPADRLPLVAADERNDVGCFTSCTCRYGAVSEMHSPNKWIFSKGVFVALLLCSVLATLASVALMLFYIHRRNKYSIDPPKFSSEQETSFGCLFSVPRLFRSKVGTSYGTITRFSYLELETATGKFSCSNLIGVGGSSCVYRGELKDGRAVAVKKIKGEEGEPDRESSFLSEIELISRLHHCHVVPLLGYCSERHGKRSLRLLVFEYMPNGSLRDCLDGASGRRLEWNARVAIALGAARGLEYLHEAAAPRILHRDVKSTNILLDGNWRAKITDLGMAKCLQNDGVLSCPSSPARMHGTFGYIAPEYAIGERASLKSDVFSFGVVLLELITGRPPIQKSADKREESLVIWVAPHLQDSQQVSSGFADPNLQGEFEEDEMQVMAFLARECLLLDPHSRPSMSEIVQLLSTIAPHQKSKRKNFLGNSFKSSFIHDVGNDGRMEAEEIKQITSHIEPPCSSHDHYGQITEKVDAVDFSKCAERMILLTSSARSWHSQEDDEVVDLTEPRFESFNMLNR >itb07g13330.t2 pep chromosome:ASM357664v1:7:15495811:15501729:-1 gene:itb07g13330 transcript:itb07g13330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILIIKRPQGGKPAEVVHNLPAQNKKANKPFLLGVELVIMWLPSQQSDPLMMRFSMPNVLVFLICAAWTLQIRGEGPYNPSETNKWECACFNDSRRMIPANCSSSCDCNLEGFSESRWMCVCPADRLPLVAADERNDVGCFTSCTCRYGAVSEMHSPNKWIFSKGVFVALLLCSVLATLASVALMLFYIHRRNKYSIDPPKFSSEQETSFGCLFSVPRLFRSKVGTSYGTITRFSYLELETATGKFSCSNLIGVGGSSCVYRGELKDGRAVAVKKIKGEEGEPDRESSFLSEIELISRLHHCHVVPLLGYCSERHGKRSLRLLVFEYMPNGSLRDCLDGASGRRLEWNARVAIALGAARGLEYLHEAAAPRILHRDVKSTNILLDGNWRAKITDLGMAKCLQNDGVLSCPSSPARMHGTFGYIAPEYAIGERASLKSDVFSFGVVLLELITGRPPIQKSADKREESLVIWVAPHLQDSQQVSSGFADPNLQGEFEEDEMQVMAFLARECLLLDPHSRPSMSEIVQLLSTIAPHQKSKRKNFLGNSFKSSFIHDVGNDGRMEAEEIKQITSHIEPPCSSHDHYGQITEKVDAVDFSKCAERMILLTSSARSWHSQEDDEVVDLTEPRFESFNMLNR >itb07g13330.t4 pep chromosome:ASM357664v1:7:15494684:15501729:-1 gene:itb07g13330 transcript:itb07g13330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MILIIKRPQGGKPAEVVHNLPAQNKKANKPFLLGVELVIMWLPSQQSDPLMMRFSMPNVLVFLICAAWTLQIRGEGPYNPSETNKWECACFNDSRRMIPANCSSSCDCNLEGFSESRWMCVCPADRLPLVAADERNDVGCFTSCTCRYGAVSEMHSPNKWIFSKGVFVALLLCSVLATLASVALMLFYIHRRNKYSIDPPKFSSEQETSFGCLFSVPRLFRSKVGTSYGTITRFSYLELETATGKFSCSNLIGVGGSSCVYRGELKDGRAVAVKKIKGEEGEPDRESSFLSEIELISRLHHCHVVPLLGYCSERHGKRSLRLLVFEYMPNGSLRDCLDGASGRRLEWNARVAIALGAARGLEYLHEAAAPRILHRDVKSTNILLDGNWRAKITDLGMAKCLQNDGVLSCPSSPARMHGTFGYIAPEYAIGERASLKSDVFSFGVVLLELITGRPPIQKSADKREESLVIWVAPHLQDSQQVSSGFADPNLQGEFEEDEMQVMAFLARECLLLDPHSRPSMSEIVQLLSTIAPHQKSKRKNFLGNSFKSSFIHDVGNDGRMEAEEIKQITSHIEPPCSSHDHYGQITEKVDAVDFSKCAERMILLTSSARSWHSQEDDEVVDLTEPRELRSTLHSTNQ >itb07g13330.t1 pep chromosome:ASM357664v1:7:15494684:15501903:-1 gene:itb07g13330 transcript:itb07g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIIKRPQGGKPAEVVHNLPAQNKKANKPFLLGVELVIMWLPSQQSDPLMMRFSMPNVLVFLICAAWTLQIRGEGPYNPSETNKWECACFNDSRRMIPANCSSSCDCNLEGFSESRWMCVCPADRLPLVAADERNDVGCFTSCTCRYGAVSEMHSPNKWIFSKGVFVALLLCSVLATLASVALMLFYIHRRNKYSIDPPKFSSEQETSFGCLFSVPRLFRSKVGTSYGTITRFSYLELETATGKFSCSNLIGVGGSSCVYRGELKDGRAVAVKKIKGEEGEPDRESSFLSEIELISRLHHCHVVPLLGYCSERHGKRSLRLLVFEYMPNGSLRDCLDGASGRRLEWNARVAIALGAARGLEYLHEAAAPRILHRDVKSTNILLDGNWRAKITDLGMAKCLQNDGVLSCPSSPARMHGTFGYIAPEYAIGERASLKSDVFSFGVVLLELITGRPPIQKSADKREESLVIWVAPHLQDSQQVSSGFADPNLQGEFEEDEMQVMAFLARECLLLDPHSRPSMSEIVQLLSTIAPHQKSKRKNFLGNSFKSSFIHDVGNDGRMEAEEIKQITSHIEPPCSSHDHYGQITEKVDAVDFSKCAERMILLTSSARSWHSQEDDEVVDLTEPRELRSTLHSTNQ >itb13g20750.t1 pep chromosome:ASM357664v1:13:27501263:27504094:-1 gene:itb13g20750 transcript:itb13g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLFPNGMPVPFVNELFVLARDGVEFEVDKIPGASGHGGRVKAKGTIYLSNIRMVFVADRPIGNFRAFDMPLLYVHAEKFNQPIFHCNNISGSVDPVVPENENRALYSTHSIKILFKEGGCGTFVPLFFNLISSVRQYHQQTASMAEPRMDPLQAAQTPVDEMMRHAYVDPNDPTRIFLQQPTPESQLRRRTYQADHST >itb06g13560.t1 pep chromosome:ASM357664v1:6:18218199:18223237:1 gene:itb06g13560 transcript:itb06g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLEPGFKSLFHNWWHCHFVTGNPEELALKLKLLKIDLMNWNKDAFGHVEIKKVLLLDEIQQLGFNEACPDYYSLDKHRRELLKCNPVLGIDLSWRLHKASDGQRRRVQICMGLLKPFTVLLLDEITVDLDVLARADLLRFLKKECEDRGATIIYATHIFDGLEDWPSHIVYVAHGRLQLAMPMDKVKEISNLSLMRTVESWLRKERDEERKQRKERKAKGLPEYEKQIEGSRVVGDPAHAAVRVLNNGWAGGRLNSTIAGEENFVLSSNRVLSLKIQLASHIFGVTEMIGPYWSLRAALGPVLETIIVLDRLLFLQESSDLVEAAVVPIFDPVLSPRNMAIIGRKI >itb03g25930.t1 pep chromosome:ASM357664v1:3:25322904:25325144:-1 gene:itb03g25930 transcript:itb03g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPEVDNTRRRRGGDENMSNDGDVSNDSHGGNGSRVRSETMRKVLLGLGFWIQGFRCFPWMGVNFFLKDNLRVDPSTLQILQSSANLPMVAKPFYGILSDSIYISGQHRIPYIAFGAFLQALSWFVLALLSYSAAISFSTITAYLLLGNLGASIVEVANDAIVAELGKQPSPSSTSSGELQSFVWMAASLGGILGNLLVGIVINRISAQDMFILFGVLLSLQFLVTVFIKESTLDLPKSSSSSSSSISNHHGIKKQLSELMVALKNPEISYSIAWFATSFAAVPALTGTMFYYQTQHLKIETSVLGISKVFGQIMMLLWGVIYNKHLKSVSPRKLIAGIQVLMAVLMLSDMLFVKGVYGRIGIPESLYVVIISGFLEMLCFFKILPFSVLMAQLCPPGCEGSVMAFLMSAVALAMVVSGYLGVALASHVKVTSGNDFSGFPSGLLIQAASTLVPLVCSSFIPDGPKPKTS >itb05g17920.t1 pep chromosome:ASM357664v1:5:24787699:24790318:-1 gene:itb05g17920 transcript:itb05g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWERDQTANHAIEEEEEDEGKNKVSEYEQFREERIKENLERMQKLGIFQLSRAFHSTKPPALTRNTRKTKTPQPPLSPVLRPQPIRRSSRLQNVTPVSYTEVPAGKSLKSLDFEGDLLREAGSKPETYTEEHEKLLGSTKMNWTLFVDGYGKDGKRIYDPVKGKTCHQCRQKTLGHRTHCSKCNMVQGQFCGDCLYMRYGEHVLEANCNPDWICPVCRGICNCSLCRQAKGWAPTGPLYKKIKNLGYESVAHYLVQTHRANTNPENNVPNSAPDSAKRSDVEATSSEKESSEACNDSKELPNGQTAEKIMDYEATEADDDKITISEASTYPSTESLSQETIPIPGNYPNSIEVSSLEVILNVEHASSYTNDGEPSPGDLAPETSSKSIRKRRAAEAIPADNIAERRRQRHYQNN >itb07g03570.t1 pep chromosome:ASM357664v1:7:2388593:2390527:-1 gene:itb07g03570 transcript:itb07g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVTSGKSEVDGEDWPRQFAIIVWWIWKWRCNRVFNGREMTAQHKINWIKGAGEEIDCAFARHKGNKAKATTHIALRLRWSTSKEISLLLWMAALNPASLKWDLNSESSDLLLYRQFNSSVTGRLSSATDNAAAAGGFSDLIKWEEVWKLGTETQ >itb03g18490.t1 pep chromosome:ASM357664v1:3:16755668:16758997:-1 gene:itb03g18490 transcript:itb03g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKASSYEGIDSRAVVESNGPEKMARKNSISVRHCEPIQVMLLRNLTFKDLVQDFKRSGGESDNATSAKTPTSPAIMFSSPRPVSELDAAAVKLQKVYKSYRIRRNLADCAVVAEELWWKALDFAALERSSVSFFDVKKPETAVSRWARARTRVAKVGKGLSKDEKAQKLALQHWLEAIDPQHRYGHNLHIYYDVWFKSESSQPFFYWLDVGVGKEINLEKCPRSKLQRQRIQYLGPKERESYEVIIENGKLVYKQSGCFVETVEGSKWIFVLSTSRMLYIGQKKKGEFQHSSFLAGAAITAAGRLVAHGGVLEAIWPYSGHYHPTEENFQEFISFLEEHNIDLSNVKRCAVDDDSYSFTKADEGSSGKKTEDLSTTESSKEESTSSADDSVADNKSGRKANKKPPAFSLAKHMSYKWCTGVGPRIGCVRDYPTEVQFRALEQVNLSPRVANGGFINYGPIPSPRPSPKVRLSPRLAYMGLPSPRTPRTAVV >itb03g24290.t1 pep chromosome:ASM357664v1:3:22943574:22948176:-1 gene:itb03g24290 transcript:itb03g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKRSKTSSSSDSSSPSSSISWIRLRSILFVVASSSPASCSYPDRAHLKSPWSHRKRKRALSLRRWRSFFTPDGRLRDGGVKFLKKVRSGGVEPSIRAEVWPFLLGVFDLDSSKEERDSIRCQKRKEYARLRLQCRRLLKCKDKMFKLNGTSRTSNGNNGSLIGVVDSPVSEDVSCRESLCSGERSPYNEYSDNPVDSLFYGNAGSRRITELGFNSDSESSDSDSSADPVTIQNIPSMDSMEKNTTEMPSKGSSPSMESQSKLLNVEDFATWRRIIRLDAIRANGEWMAYSPGQAAVSELKAHQSAEAVGLKDYSHLDPCRIVHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVITDDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNVVSKIIKYKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFDQTIILWEVMWADQAAIRARIGKSGWSKIRLRAPPTEDLLLYAIAASVLQRKKQIIEKHSSMEEILRECNNMAGNLDVWKLLGDAHDLVVTLHDKV >itb03g24290.t3 pep chromosome:ASM357664v1:3:22943702:22948176:-1 gene:itb03g24290 transcript:itb03g24290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSLMFVNYLPDHVMQGVEPSIRAEVWPFLLGVFDLDSSKEERDSIRCQKRKEYARLRLQCRRLLKCKDKMFKLNGTSRTSNGNNGSLIGVVDSPVSEDVSCRESLCSGERSPYNEYSDNPVDSLFYGNAGSRRITELGFNSDSESSDSDSSADPVTIQNIPSMDSMEKNTTEMPSKGSSPSMESQSKLLNVEDFATWRRIIRLDAIRANGEWMAYSPGQAAVSELKAHQSAEAVGLKDYSHLDPCRIVHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVITDDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNVVSKIIKYKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFDQTIILWEVMWADQAAIRARIGKSGWSKIRLRAPPTEDLLLYAIAASVLQRKKQIIEKHSSMEEILRECNNMAGNLDVWKLLGDAHDLVVTLHDKV >itb03g24290.t2 pep chromosome:ASM357664v1:3:22943799:22947573:-1 gene:itb03g24290 transcript:itb03g24290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKRSKTSSSSDSSSPSSSISWIRLRSILFVVASSSPASCSYPDRAHLKSPWSHRKRKRALSLRRWRSFFTPDGRLRDGGVKFLKKVRSGGVEPSIRAEVWPFLLGVFDLDSSKEERDSIRCQKRLRLQCRRLLKCKDKMFKLNGTSRTSNGNNGSLIGVVDSPVSEDVSCRESLCSGERSPYNEYSDNPVDSLFYGNAGSRRITELGFNSDSESSDSDSSADPVTIQNIPSMDSMEKNTTEMPSKGSSPSMESQSKLLNVEDFATWRRIIRLDAIRANGEWMAYSPGQAAVSELKAHQSAEAVGLKDYSHLDPCRIVHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVITDDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNVVSKIIKYKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFDQTIILWEVMWADQAAIRARIGKSGWSKIRLRAPPTEDLLLYAIAASVLQRKKQIIEKHSSMEEILRECNNMAGNLDVWKLLGDAHDLVVTLHDKV >itb11g14200.t1 pep chromosome:ASM357664v1:11:11243078:11250389:-1 gene:itb11g14200 transcript:itb11g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTDSCDRRVGLIYDDRMCKHTTPDDEDHPEKPDRIRVIWNRLQSSGIAKRCVILDAKEAEDKHIALVHTKFHIDLIKSISSAKLDSRRNSIAAQFNSIYFNQGSSESAYLAAGSVIEAAEKVANGELDSAFAIVRPPGHHAEKNEPMGFCLYNNVAIATSYLLNERKDLGINKVLIVDWDVHHGNGTQSTFWKDPRVLFFSVHRYESGSFYPAGGDGSHLMTGEGLGAGYNINVPWENGRCGDADYLAVWDHILTPVAKKFCPDMVFVSAGFDAAVGDPLGGCRVSPYGYSVMLSKLMEFAGGKIVLALEGGYNLDSIAKSAQACVEVLLQQKPIPGSSEAYPFESTWKVIQNVREELSAFWPTLSEKLADKVTSERAPYVHIISSDSEDEDSGTHTVAEDLDKVIDNVIVPLSSLKVNEDAADQAIAVSSTWRSELQKIGIWYATFGSNMYFPRLRCYIEGGKVEGMQNQCAGAMDKSLPKEIIWKTIPHRLFFGRDHTVTWGHGGVAFLHPESTQDKAYTCLYRITLDQFNDILLQENDPNYDMRAPLFDLIALHSIENEKTISVEAVKRGWYHNVLYLGKEGGIPILTMTCTSSDVDSFKSGKFPIRAPPKAYADVLIKGLVEGKQLSEEQASAYIQDAYTRPL >itb12g10980.t1 pep chromosome:ASM357664v1:12:9100693:9108721:-1 gene:itb12g10980 transcript:itb12g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFKSVVYHGDACLGELDTIKVKDQNFQFPNNEIRIHHISPNSERCHPLAVLQTISAPVRCKLEPNSDSTYTAADQSPLINLHASCFYELKTAVVLIGDDEVHLVAMPSKQKKFPCFWCFSVPSGVYGACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIEALRIRIGREMDPVRASGMAAEMKRYAEDRAFLKQYSEGDCVMDGGKTYNVQHEEVLQLSDGHERVLRPVIRLEEKNIVLTRINPENRDTSVLVRLRPAWDDLRNYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLISLKQLLDRVVCVKSGARKSLHNVFQGGNCHPKLAMVIDDRLKVWEDKDQPRVHVVPPFSPYYAPQAEIANAVPILCVARNVACDVRARFFREFDEELLRQISEVFYEDDVAKLPCAPDVSNYLISEDTSFVSNGNSNAPILEGMHGPEVAQRLNQQDVKNNINHVTPSVPSNPELKSENSQSLLGSPPNTFTTSSVRGVLPSEKPSLLGAPHGRDNSFSEADPDGRRRLPILNHSQDVRFWGSAEPPLLSRLPQKIPGLPFQLQGPLSVDCETNSGHYGNRAPGIIQDSDAFRPDKQRSRQTLPSHLSALKSEEANSKLEMREQNLPNQLTKSGISANQTSAIKREPQPEAGKTNYSPSLAIGVLQEIGRRCSSKVEFRPVVSTSDELQFSVEVFFTGERIGVGMGRTRKDAQQQAAENALHNLAQKYVSYVESQNGAVDKDFDKISPGNENGFLWDPLSPGDEKPVEGTLSKDNASEVGVCDEAAHD >itb12g10980.t5 pep chromosome:ASM357664v1:12:9103969:9108721:-1 gene:itb12g10980 transcript:itb12g10980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFKSVVYHGDACLGELDTIKVKDQNFQFPNNEIRIHHISPNSERCHPLAVLQTISAPVRCKLEPNSDSTYTAADQSPLINLHASCFYELKTAVVLIGDDEVHLVAMPSKQKKFPCFWCFSVPSGVYGACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIEALRIRIGREMDPVRASGMAAEMKRYAEDRAFLKQYSEGDCVMDGGKTYNVQHEEVLQLSDGHERVLRPVIRLEEKNIVLTRINPENRDTSVLVRLRPAWDDLRNYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLISLKQLLDRVVCVKSGARKSLHNVFQGGNCHPKLAMVIDDRLKVWEDKDQPRVHVVPPFSPYYAPQAEIANAVPILCVARNVACDVRARFFREFDEELLRQISEVFYEDDVAKLPCAPDVSNYLISEDTSFVSNGNSNAPILEGMHGPEVAQRLNQQDVKNNINHVTPSVPSNPELKSENSQSLLGSPPNTFTTSSVRGVLPSESMHTNVTGHISSLFERHEQILLLPNICLFS >itb12g10980.t4 pep chromosome:ASM357664v1:12:9101986:9108721:-1 gene:itb12g10980 transcript:itb12g10980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFKSVVYHGDACLGELDTIKVKDQNFQFPNNEIRIHHISPNSERCHPLAVLQTISAPVRCKLEPNSDSTYTAADQSPLINLHASCFYELKTAVVLIGDDEVHLVAMPSKQKKFPCFWCFSVPSGVYGACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIEALRIRIGREMDPVRASGMAAEMKRYAEDRAFLKQYSEGDCVMDGGKTYNVQHEEVLQLSDGHERVLRPVIRLEEKNIVLTRINPENRDTSVLVRLRPAWDDLRNYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLISLKQLLDRVVCVKSGARKSLHNVFQGGNCHPKLAMVIDDRLKVWEDKDQPRVHVVPPFSPYYAPQAEIANAVPILCVARNVACDVRARFFREFDEELLRQISEVFYEDDVAKLPCAPDVSNYLISEDTSFVSNGNSNAPILEGMHGPEVAQRLNQQDVKNNINHVTPSVPSNPELKSENSQSLLGSPPNTFTTSSVRGVLPSEKPSLLGAPHGRDNSFSEADPDGRRRLPILNHSQDVRFWGSAEPPLLSRLPQKIPGLPFQLQGPLSVDCETNSGHYGNRAPGIIQDSDAFRPDKQRSRQTLPSHLSALKSEEANSKLEMREQNLPNQLTSTWKHSYL >itb12g10980.t3 pep chromosome:ASM357664v1:12:9101986:9108721:-1 gene:itb12g10980 transcript:itb12g10980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFKSVVYHGDACLGELDTIKVKDQNFQFPNNEIRIHHISPNSERCHPLAVLQTISAPVRCKLEPNSDSTYTAADQSPLINLHASCFYELKTAVVLIGDDEVHLVAMPSKQKKFPCFWCFSVPSGVYGACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIEALRIRIGREMDPVRASGMAAEMKRYAEDRAFLKQYSEGDCVMDGGKTYNVQHEEVLQLSDGHERVLRPVIRLEEKNIVLTRINPENRDTSVLVRLRPAWDDLRNYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLISLKQLLDRVVCVKSGARKSLHNVFQGGNCHPKLAMVIDDRLKVWEDKDQPRVHVVPPFSPYYAPQAEIANAVPILCVARNVACDVRARFFREFDEELLRQISEVFYEDDVAKLPCAPDVSNYLISEDTSFVSNGNSNAPILEGMHGPEVAQRLNQQDVKNNINHVTPSVPSNPELKSENSQSLLGSPPNTFTTSSVRGVLPSEKPSLLGAPHGRDNSFSEADPDGRRRLPILNHSQDVRFWGSAEPPLLSRLPQKIPGLPFQLQGPLSVDCETNSGHYGNRAPGIIQDSDAFRPDKQRSRQTLPSHLSALKSEEANSKLEMREQNLPNQLTKSGISANQTSAIKREPQPEAGKTNYSPSLAIGVLQEIGRRCSSKVEFRPVVSTSDELQFSVEVFFTGERIGVGMGRTRKDAQQQAAENALHNLARKFPFCFSSLFLS >itb12g10980.t2 pep chromosome:ASM357664v1:12:9100702:9108721:-1 gene:itb12g10980 transcript:itb12g10980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFKSVVYHGDACLGELDTIKVKDQNFQFPNNEIRIHHISPNSERCHPLAVLQTISAPVRCKLEPNSDSTYTAADQSPLINLHASCFYELKTAVVLIGDDEVHLVAMPSKQKKFPCFWCFSVPSGVYGACLGMLNMRCLSIVFDLDETLIVANTMKSFEDRIEALRIRIGREMDPVRASGMAAEMKRYAEDRAFLKQYSEGDCVMDGGKTYNVQHEEVLQLSDGHERVLRPVIRLEEKNIVLTRINPENRDTSVLVRLRPAWDDLRNYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPGAHLISLKQLLDRVVCVKSGARKSLHNVFQGGNCHPKLAMVIDDRLKVWEDKDQPRVHVVPPFSPYYAPQAEIANAVPILCVARNVACDVRARFFREFDEELLRQISEVFYEDDVAKLPCAPDVSNYLISEDTSFVSNGNSNAPILEGMHGPEVAQRLNQQDVKNNINHVTPSVPSNPELKSENSQSLLGSPPNTFTTSSVRGVLPSEKPSLLGAPHGRDNSFSEADPDGRRRLPILNHSQDVRFWGSAEPPLLSRLPQKIPGLPFQLQGPLSVDCETNSGHYGNRAPGIIQDSDAFRPDKQRSRQTLPSHLSALKSEEANSKLEMQSGISANQTSAIKREPQPEAGKTNYSPSLAIGVLQEIGRRCSSKVEFRPVVSTSDELQFSVEVFFTGERIGVGMGRTRKDAQQQAAENALHNLAQKYVSYVESQNGAVDKDFDKISPGNENGFLWDPLSPGDEKPVEGTLSKDNASEVGVCDEAAHD >itb12g26380.t1 pep chromosome:ASM357664v1:12:27318920:27321038:-1 gene:itb12g26380 transcript:itb12g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAHCGLAKTHRIEAVPKARHDKSQPRAYCKWPKDDIVDDDNNDPMRSANAKYKSWLEKHPSALSSFEGMMRGAKDKRIVVFLDYDGTLSPIVNDPDKAFMSDLMRSTVREVATLFPTAIISGRSREKVHHFVKLDEVYYAGSHGMDILGPAKQLESDDRKYQTKTLDNKGNVFTHFQPAQDFLPQIKKMLSELNENTSDVKGVLIEDNMFCISVHHRNVLKEDYGLLKKKVDAVLSKYPKFHLTKGLEVLEIRPTIKFNKGDALLYLLETLGFANSKNVLPFYIGDDKTDEDAFKVLKSRKVGCPIIVSCVPKESLATYSLRNPSEVQSFLMLLARWGADHS >itb02g07070.t1 pep chromosome:ASM357664v1:2:4405575:4407496:1 gene:itb02g07070 transcript:itb02g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMAPFSFPTLMGVCVVFVMAGLVMSSSSSTSRFDEFFQPSWALDHFLYEGEVLRMKLDTNSGAGFSSKSKYMFGKITAQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRFSLWFDPSKDFHRYSIFWTQHRVVFLVDDIPIRVHTNMEHKGLPFPRDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYKGFEIDACECVATVAAAENMRRCSNSNNGEKRRHWWNDPTFSALTVHQSHQLMWTHANHMVYNYCTDTARFPVPPVECLHHRH >itb02g07070.t2 pep chromosome:ASM357664v1:2:4405575:4407496:1 gene:itb02g07070 transcript:itb02g07070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLVADISFYVLLMFVEMLQMSSDGPKHHEFDFEFLGNTTGEPYLLQTNVYVNGVGNREQRFSLWFDPSKDFHRYSIFWTQHRVVFLVDDIPIRVHTNMEHKGLPFPRDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYKGFEIDACECVATVAAAENMRRCSNSNNGEKRRHWWNDPTFSALTVHQSHQLMWTHANHMVYNYCTDTARFPVPPVECLHHRH >itb11g12000.t1 pep chromosome:ASM357664v1:11:8899192:8899882:-1 gene:itb11g12000 transcript:itb11g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGFLSCAKFSQYSQCSDIHHSPPLSTSSSILSQSKGMRKWRNVLIMFRTKAEGLTTGGGLATFVDLGSTVEKNLIYQMVHIGKLSVDDVMGDHTWSLLFPKHFNRYYPFPSFIWQAMAASSIYCHSRAKFV >itb11g12000.t2 pep chromosome:ASM357664v1:11:8899080:8899882:-1 gene:itb11g12000 transcript:itb11g12000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRGFLSCAKFSQYSQCSDIHHSPPLSTSSSILSQSKGMRKWRNVLIMFRTKAEGLTTGGGLATFVDLGSTVEKNLIYQMVHIGKLSVDDVMGDHTWSLLFPKHFNRYYPFPSFIWPWLHQASIAILVQSLFSTLSWSDRRNVMAITPSAPKGPHSFLNFLEHAGHFLC >itb02g08630.t1 pep chromosome:ASM357664v1:2:5480331:5482988:1 gene:itb02g08630 transcript:itb02g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MAX2 [Source:Projected from Arabidopsis thaliana (AT2G42620) UniProtKB/Swiss-Prot;Acc:Q9SIM9] MATSPAATSVRLHHEAAAAAATNIYDLPDVILSNVIAAISDARSRNSAALVCRKWLVLERATRTCLTLRGNVRDLIMLPTCFRSVTHLDLSLLSPWGHPLLSHANSGTAIATDPALIAHLLCHAFPSITSLKLYARNPSTLQLLAAQWPQLMKITLVRWHQRPQLAAGEELSSFFRECSNIVSLDLSAFYCWTDDIPPALESHPQVSSKLTALNLLNPSFSEGFKSEEITAIAKSCPNLREFRAACMFDPRYIGYVGDEALVTIATKCPNLSVLHLADTCALSSTRGDPGDEGFTAEEAKITVSTLIEVFSGLPLLEELGLDVSNSVRDSGPAFEILNSRCPRLKFLKLGQFHGVSTPRDWKLDGVALCQGLRSLSIRNPGDLNDMGLIAIGRGCSRLGKFEIQGCRRITMKGMRTLASLLRKTLVDVKISCCKNLGAVSSLKALEPIQDTIQRLQIDCVWDSVEDMGSSNGVEFNFDLNKVNGAGVSDTSMDDDDDDSVMCCNKKKRPKYSYDLNTLYDEAIGEGNDCGTSFGGGQTWNKLHYLSLWIGVGELLTPLPGAGLENCPNLEEIRIKVEGDCRVLSKPSDRAFGLRTLLRYPKLSKMHLDCGDIIGYAHTAPSGQMDLSLWERFYLFGIGNLSLSELDYWPPQDRDVNQRSLSLPAPGLLQQCLMLRKLFIHGTAHEHFLKFFLNIPNLRDVQLREDYYPAPENDMSTEMRADSLSRFEAELNRRQISD >itb01g25440.t3 pep chromosome:ASM357664v1:1:30855032:30861139:1 gene:itb01g25440 transcript:itb01g25440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYGGVLTTSLLMFILLGYYVMRNPFKEKYFTNTLYFNTTNPLEWISAMAPPAANSPKNTSQVISADALVADLFYQRNLSTAEQQSLLTWNQLKNLISQDQVLPNALEAVKEAGAAWNNLMSSVEMEKLYANDSSYKRGKEKQCPHFLRKTNVTELADPPIILHYNVRLHGDKVTEDPVIVQNTWTVAHDWGEEERCPSPAPEKNNKVDDLDQCNEMVGKIMSDKHINVSTTSSMVQDGSKHKKYFPFKQGQLSVATLRVGSEGIQMTVDGRHITSFAFRETLEPWLVSEERISGDIDLISVVASGLPTSEDIDHMIDLESLKAAPLSPKKHLDLFIGVFSTTNNFKRRMAVRRTWMQYDAVRSGQVAVRFFVGMHKNQMVNEELWNEARTYGDIQLVPFVDYYSLITWKTIAICMFGTEIVQAKFVMKTDDDAFVRVDEVLASLQRIGVTRGLLYGLINSDSHPHRNSDSKWYISVEEWPDDAYPPWAHGPGYVVSSDIAKAISKRHKKGDLKMFKLEDVAMGIWIAELKKKGLDVRYENEERIFNEGCKDGYIVAHYQDPREMLCLWQKLQEKKRPLCCGD >itb01g25440.t2 pep chromosome:ASM357664v1:1:30855034:30861150:1 gene:itb01g25440 transcript:itb01g25440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYGGVLTTSLLMFILLGYYVMRNPFKEKYFTNTLYFNTTNPLEWISAMAPPAANSPKNTSQVISADALVADLFYQRNLSTAEQQSLLTWNQLKNLISQDQVLPNALEAVKEAGAAWNNLMSSVEMEKLYANDSSYKRGKEKQCPHFLRKTNVTELADLTGEPLPGEPDPPIILHYNVRLHGDKVTEDPVIVQNTWTVAHDWGEEERCPSPAPEKNNKVDDLDQCNEMVGKIMSDKHINVSTTSSMVQDGSKHKKYFPFKQGQLSVATLRVGSEGIQMTVDGRHITSFAFRETLEPWLVSEERISGDIDLISVVASGLPTSEDIDHMIDLESLKAAPLSPKKHLDLFIGVFSTTNNFKRRMAVRRTWMQYDAVRSGQVAVRFFVGMHKNQMVNEELWNEARTYGDIQLVPFVDYYSLITWKTIAICMFGTEIVQAKFVMKTDDDAFVRVDEVLASLQRIGVTRGLLYGLINSDSHPHRNSDSKWYISVEEWPDDAYPPWAHGPGYVVSSDIAKAISKRHKKGDLKMFKLEDVAMGIWIAELKKKGLDVRYENEERIFNEGCKDGYIVAHYQDPREMLCLWQKLQEKKRPLCCGD >itb01g25440.t1 pep chromosome:ASM357664v1:1:30855013:30861150:1 gene:itb01g25440 transcript:itb01g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYGGVLTTSLLMFILLGYYVMRNPFKEKYFTNTLYFNTTNPLEWISAMAPPAANSPKNTSQVISADALVADLFYQRNLSTAEQQSLLTWNQLKNLISQDQVLPNALEAVKEAGAAWNNLMSSVEMEKLYANDSSYKRGKEKQCPHFLRKTNVTELAGKEFKLRFPCGLTQGSSITFIGIPKGLLGNFRIDLTGEPLPGEPDPPIILHYNVRLHGDKVTEDPVIVQNTWTVAHDWGEEERCPSPAPEKNNKVDDLDQCNEMVGKIMSDKHINVSTTSSMVQDGSKHKKYFPFKQGQLSVATLRVGSEGIQMTVDGRHITSFAFRETLEPWLVSEERISGDIDLISVVASGLPTSEDIDHMIDLESLKAAPLSPKKHLDLFIGVFSTTNNFKRRMAVRRTWMQYDAVRSGQVAVRFFVGMHKNQMVNEELWNEARTYGDIQLVPFVDYYSLITWKTIAICMFGTEIVQAKFVMKTDDDAFVRVDEVLASLQRIGVTRGLLYGLINSDSHPHRNSDSKWYISVEEWPDDAYPPWAHGPGYVVSSDIAKAISKRHKKGDLKMFKLEDVAMGIWIAELKKKGLDVRYENEERIFNEGCKDGYIVAHYQDPREMLCLWQKLQEKKRPLCCGD >itb04g15930.t1 pep chromosome:ASM357664v1:4:17181559:17182655:1 gene:itb04g15930 transcript:itb04g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNINDDNIAVDFSPFIRVYKDGRVERLFNSPHATPSPEDSATGVSSKDLTISPNISARVYLPKLATAAQKLPILVYYHGGGFCLESAFSFYSHRYLNILVSKANAIAVSVEYRLAPEHPLPAGYEDCWEALRWVISHAGIDDQDQRVTVKEPWLVNHGDFEKIFVGGDSSGGNMAQNVAIRAGKENSKLLGAVLSFPYFWGSNAKGGAQSTRGKFWDFVYPTAEGGIDNPMVNPMAENAPSLSGLGCSKLHICVAEKDELREITLRYVEAVKKSGWKGEIEVVDVQGEDHCFQIINPDSEKAKDLINCIAAFIKGELVC >itb01g30960.t2 pep chromosome:ASM357664v1:1:34768338:34774632:-1 gene:itb01g30960 transcript:itb01g30960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGRLLFDLNEPPTEDDEENDGVSSSQPQRAVPSSSNHTSDYLSASSGPQGIVNNHAFSHASSLSMFQPFVRLKSSEATKPFNEQENSSGDMALNVGSSLISNGKEHHNITGNTTLNVHSSLISNGQDMKAVLHPNLSFVGTQVIEKEEGEWTDAEGSPDICRNSEIHEHPSSVDDKVQEEKSTVDKVNKIENVVSMKSISQSIENMKDENSNAPSLGPDKDTNEGKSSNSRISESNGKGDNSMEGQEDTSLMPKQREIRGVEASHALKCASNFAKRPRLDQQKEAMLGKKRSRQTMFLDLEDVKQAGPLKSTTRRQNFPATVTTRTVKDTQSNIPIADRSGEKQVQPFTKDMKLVDSSYNEGSSSVEPNGSKSECNGDMHVGSLSFSRRMNSSANLAAETPAPSINRQSSWNQPSDQRQMQAKSSQPPIRKPALVGQNSMDPKLGAKKVPSKKLPVVTAQYHDTSVERLLREVTNEKLWHQSDKTELQCVPGSFDSVEEYVRVFEPLLFEECRAQLSSTLEEVTESGSHIKVYVKNVERRERGWYDAILLPESEYKWTFKEGDVAVLSTPRPGSAVRLRRGFGVDEEPEINGRVAGTVRRHIPIDKRDPPGAILHFYVGDSYDNSKIDDDNILRKLQPRGTWFLTVLGSLATTQREYVALHAFRRLNSQMQNAILQPSSEHFPKYEEQTPAMPDCFTPNFVEYLHRTFNAPQLAAIQWAATHTAAGTNGIAKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNNESNLDNVASGSIDEVLLSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTELLLMKSRDEVLGWMHQLRNREAQLSQQIACLQRELNVAAAAGRAQGSVGVDPDVLMARDQNRDSLLQNLAAIVEHRDKVLVEMSRLVILEGRFRAGSNFNMEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSKYFYQGRLTDSESVVNLADEAYYKDPLLRPYIFYDITHGRESHRGGSVSYQNTHEAQFCLRLYEHLQKTCKSLGVGKVSVGIITPYKLQLKCLQREFAGVLSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANALIQSEDWAALIADAKTRKCYMDMDSLPKDLFMVKGPSHARGLRPGPRPRLYDSQLDSRSGTPSEDDEKSNTSHAPLRNGNYRQYKPQLENSLDDFDQSGDKSRDVWPYGLHKRQNGPGVGRRNL >itb01g30960.t1 pep chromosome:ASM357664v1:1:34768338:34774632:-1 gene:itb01g30960 transcript:itb01g30960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGRLLFDLNEPPTEDDEENDGVSSSQPQRAVPSSSNHTSDYLSASSGPQGIVNNHAFSHASSLSMFQPFVRLKSSEATKPFNEQENSSGDMALNVGSSLISNGKEHHNITGNTTLNVHSSLISNGQDMKAVLHPNLSFVGTQVIEKEEGEWTDAEGSPDICRNSEIHEHPSSVDDKVQEEKSTVDKVNKIENVVSMKSISQSIENMKDENSNAPSLGPDKDTNEGKSSNSRISESNGKGDNSMEGQEDTSLMPKQREIRGVEASHALKCASNFAKRPRLDQQKEAMLGKKRSRQTMFLDLEDVKQAGPLKSTTRRQNFPATVTTRTVKDTQSNIPIADRSGEKQVQPFTKDMKLVDSSYNEGSSSVEPNGSKSECNGDMHVGSLSFSRRMNSSANLAAETPAPSINRQSSWNQPSDQRQMQAKSSQPPIRKPALVGQNSMDPKLGAKKVPSKKLPVVTAQYHDTSVERLLREVTNEKLWHQSDKTELQCVPGSFDSVEEYVRVFEPLLFEECRAQLSSTLEEVTESGSHIKVYVKNVERRERGWYDAILLPESEYKWTFKEGDVAVLSTPRPGSVRLRRGFGVDEEPEINGRVAGTVRRHIPIDKRDPPGAILHFYVGDSYDNSKIDDDNILRKLQPRGTWFLTVLGSLATTQREYVALHAFRRLNSQMQNAILQPSSEHFPKYEEQTPAMPDCFTPNFVEYLHRTFNAPQLAAIQWAATHTAAGTNGIAKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNNESNLDNVASGSIDEVLLSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTELLLMKSRDEVLGWMHQLRNREAQLSQQIACLQRELNVAAAAGRAQGSVGVDPDVLMARDQNRDSLLQNLAAIVEHRDKVLVEMSRLVILEGRFRAGSNFNMEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSKYFYQGRLTDSESVVNLADEAYYKDPLLRPYIFYDITHGRESHRGGSVSYQNTHEAQFCLRLYEHLQKTCKSLGVGKVSVGIITPYKLQLKCLQREFAGVLSTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANALIQSEDWAALIADAKTRKCYMDMDSLPKDLFMVKGPSHARGLRPGPRPRLYDSQLDSRSGTPSEDDEKSNTSHAPLRNGNYRQYKPQLENSLDDFDQSGDKSRDVWPYGLHKRQNGPGVGRRNL >itb09g28710.t1 pep chromosome:ASM357664v1:9:29306762:29307520:1 gene:itb09g28710 transcript:itb09g28710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCMADPSPRTDSTPKLSPRANMSRSPSFSLWLGRMERTNTGNLSQRPVAGEKGRKSGKFRSSSEGHGCWSTPRLVRCFGIKKGWILEDPSKAVKDLQKHKR >itb07g07750.t1 pep chromosome:ASM357664v1:7:5965885:5966816:1 gene:itb07g07750 transcript:itb07g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELHQSKASNGRLKLFGFNVTEDEEVESTKTPSGSPESGGFPSSDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQASRNATLSYIRNPMVSAFSPPAHLLAPAAGPVVIPAGAAASPSWVYVPRAPPPFHVSHGCVFPASAAARGGVSYAAAGVADSAVTAVGRPSVQAHSAKIDGPSLSRFSRADGGPNFDDAFGLDLHLSLAPAAP >itb13g01800.t1 pep chromosome:ASM357664v1:13:1621015:1624449:1 gene:itb13g01800 transcript:itb13g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAVHFNSATGGAVASKTKSTLPLPCRSRYISHRKSNPPPCRRRLLQIRAIDAAQPYDYEAKISNDLIQSTKLKIAVIGFGNFGQFLAKAFIRQGHTLLAYSRTDYSLAAQSLGVSFYSDMHDLCEQHPDVILLCTSIISTEPVLRALPIQRLKRNTLFVDVLSVKEFPKNIMLQLLPSHFDILCTHPMFGPESGKNGWHGLPFVFDKVRIGDEDSRVSRVESFLDIFDKEGCRMVEMSCAEHDKHAAGSQFMTHTVGRVLEKLQLETTPINTKGYETLLNLVENTASDSFDLYYGLFMYNKNAMEQLERLDLAFESLKKELFGHLHEKLRKQLFGKVEEGAGEQLILTKLPKNGHSLPASSSENSRN >itb01g32010.t1 pep chromosome:ASM357664v1:1:35620388:35621182:-1 gene:itb01g32010 transcript:itb01g32010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACADVEFRCFVGGLAWATTERTLDETFGQHGEILESKIINDRETGRSRGFGFVTFKYEHSMRDAIEAMNGQSLDGRNITINEAQSRGSGGGGGYFRGGRCEGGGGGGYGRREGGYGGGYGGGRARGYGCGDRSYGGGDRGYGGNDRDYGGGYSRGGGASDGSWRN >itb03g15970.t1 pep chromosome:ASM357664v1:3:15138955:15140273:1 gene:itb03g15970 transcript:itb03g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYFLVLLLFLSLGPHRALSAAGASPYLYPATFFKNYERMLTSFKIFIYTPNNPIAFTAPPASLFYDSLLRSRFVTADADEAHLFFVPFSPDASTRSLARLVRELRGNFPYWNRTLGADHFFVHPTGFDFTADRNVLELKKNSVQISVFPTTSGYFIPHKDVTLPPVSPRALTLPHAPPGEEPPSFLGYLRWDGETESVMVNELKLDDEFAVESQPSDDLGGGGGVQSSKFCLFLYGADVAWLPAAMASGCVPVIIVDRPIQDLPLMDVLRWSEMAILVGSTRGAAGLKELLRGVKEEDYERMRGLCVAAAQHFLWNAEAKPYDAFHMLIYQLWLRRHTIRYARREM >itb14g07470.t1 pep chromosome:ASM357664v1:14:6891733:6892479:-1 gene:itb14g07470 transcript:itb14g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFQNHQKEGGVRGAAANKRRKFVGVRQRPSGKWVAEIKNTSQKIRMWLGTFDTAEEAAMAYDEAACLLRGSNTRTNFINHAPPNPALSLKIRNLLSQKRKNLKKPPIISPSKTSPPSDSTKSSVSVTAPDFPVLENAYKPGFSFSEGGLGHDHHMVFSPHIDHSLMVDFDRFLLDQSDGFEQVLKGNNHDAQISEFEHMKVERQISASLYAMNGVNEYWENVHEYDFSDHAFLDLPMICQMFCST >itb10g04940.t2 pep chromosome:ASM357664v1:10:4926623:4930429:1 gene:itb10g04940 transcript:itb10g04940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYCRTTYMPMSYLYAKRYHGPLTHLVLSIRAEIHVKPYDQINWNRTRMACCKEDIFCHHTFIQDLLWNTLQYCTEPIMRRWPFNKIREMAMRKVISHMRYSAEVSRYVTTGAVEKSLQMMCWWAEDPSCDELKYHLARVPDFLWLAEDGMKMQTYGSQNWDTSMSTQAIIATGMVEEYGDCLKKANFYLQQSQIKENPKGDFKSMFRHFTKGSWTFSDQDQGLPITDGTGDALKCLVLLGQISPEIAGEKVDVQRLYEAVDFCLYHQSPKSGGFSIWEPPVPQPYLEMLNPSELFADIVVQGEHVETTGSIVSGLAVFKLHYPDYRSKEVDVSIAKAAEYLENEQQADGSWYGYWGICFLYGTCFALLGLTAAGKSYENCEAIRKAAHFLLSKQNHEGGWGECVESYPTMRYIALEGNRTNLVQTSWAMLGLMYTGQVN >itb10g04940.t1 pep chromosome:ASM357664v1:10:4926623:4931512:1 gene:itb10g04940 transcript:itb10g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYCRTTYMPMSYLYAKRYHGPLTHLVLSIRAEIHVKPYDQINWNRTRMACCKEDIFCHHTFIQDLLWNTLQYCTEPIMRRWPFNKIREMAMRKVISHMRYSAEVSRYVTTGAVEKSLQMMCWWAEDPSCDELKYHLARVPDFLWLAEDGMKMQTYGSQNWDTSMSTQAIIATGMVEEYGDCLKKANFYLQQSQIKENPKGDFKSMFRHFTKGSWTFSDQDQGLPITDGTGDALKCLVLLGQISPEIAGEKVDVQRLYEAVDFCLYHQSPKSGGFSIWEPPVPQPYLEMLNPSELFADIVVQGEHVETTGSIVSGLAVFKLHYPDYRSKEVDVSIAKAAEYLENEQQADGSWYGYWGICFLYGTCFALLGLTAAGKSYENCEAIRKAAHFLLSKQNHEGGWGECVESYPTMRYIALEGNRTNLVQTSWAMLGLMYTGQAERDPTPLHRAAKLLINAQMEDGDFPQQEISGGYMKNCMLHYAQYRSYYPLLALTEYRNRVWMQKSN >itb01g26380.t2 pep chromosome:ASM357664v1:1:31562106:31565070:1 gene:itb01g26380 transcript:itb01g26380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEVLKYAHSPVHKAIILKDYASLRRIIASLPRLCDPAEIHSESTSVAEEGKADAISAVIDRRDVPNRDTPLHLAVKLGDETATEMLMLAGADWSLQNEQGWSALQEAICNREEGVAKIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKFPPGSLCMVSHKDKEIMNALDGAGAPATEAEVQHEVVAMSQTNIFRPGIDVTQAVLLPQMTWRRQEKTEMVGPWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFNSCNENEAESEDLDEILTEDERKQLEAALKLDSTDLGIENGDPTIAHRHSCYEQRDIHIEEINGCRNGENVQEKKRWFNGWRKRDNKLEGDKKVIPPRSSISVEEKVNDCVDSSSPPSESKPGRHSMDVSLKRDHARASSSANAESSNRRKDGTRESEYKKGLRPVLWLAPDFPLRTEELLPLLDILANKVKAIRRLRELLTTKLPKGTFPVKV >itb01g26380.t1 pep chromosome:ASM357664v1:1:31562096:31566390:1 gene:itb01g26380 transcript:itb01g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEVLKYAHSPVHKAIILKDYASLRRIIASLPRLCDPAEIHSESTSVAEEGKADAISAVIDRRDVPNRDTPLHLAVKLGDETATEMLMLAGADWSLQNEQGWSALQEAICNREEGVAKIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKFPPGSLCMVSHKDKEIMNALDGAGAPATEAEVQHEVVAMSQTNIFRPGIDVTQAVLLPQMTWRRQEKTEMVGPWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFNSCNENEAESEDLDEILTEDERKQLEAALKLDSTDLGIENGDPTIAHRHSCYEQRDIHIEEINGCRNGENVQEKKRWFNGWRKRDNKLEGDKKVIPPRSSISVEEKVNDCVDSSSPPSESKPGRHSMDVSLKRDHARASSSANAESSNRRKDGTRESEYKKGLRPVLWLAPDFPLRTEELLPLLDILANKVKAIRRLRELLTTKLPKGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFQTPPSSPTAPGMESPTVVQPSTSWFQWIKAPYQRPSSSTGSSSCKIENIQDPFAVPPEYTWITAEAKKKKLQEKLKKGKSRKQ >itb11g10120.t6 pep chromosome:ASM357664v1:11:6875003:6878374:-1 gene:itb11g10120 transcript:itb11g10120.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIWMAEISEFKYAYFISGANIIRIKIPMTSNSFQHEAELVFSNPSQPLPLGMGLFSHNHIVYMVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNEWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKLCSASPCIS >itb11g10120.t1 pep chromosome:ASM357664v1:11:6874979:6878383:-1 gene:itb11g10120 transcript:itb11g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGANIIRIKIPMTSNSFQHEAELVFSNPSQPLPLGMGLFSHNHIVYMVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNEWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIGFAPPPLASPSSAPPPPLASPDNEDQDKNDRKSKRKGVSRLFVYLSPALPVLLLLFLLRGR >itb11g10120.t4 pep chromosome:ASM357664v1:11:6874979:6878383:-1 gene:itb11g10120 transcript:itb11g10120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGANIIRIKIPMTSNSFQHEAELVFSNPSQPLPLGMGLFSHNHIVYMVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNEWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIGFAPPPLASPSSAPPPPLASPDNEDQDKNDRKSKRKGVSRLFVYLSPALPVLLLLFLLRGR >itb11g10120.t3 pep chromosome:ASM357664v1:11:6874979:6878383:-1 gene:itb11g10120 transcript:itb11g10120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGANIIRIKIPMTSNSFQHEAELVFSNPSQPLPLGMGLFSHNHIVYMVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNEWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIGFAPPPLASPSSAPPPPLASPDNEDQDKNDRKSKRKGVSRLFVYLSPALPVLLLLFLLRGR >itb11g10120.t2 pep chromosome:ASM357664v1:11:6874979:6878383:-1 gene:itb11g10120 transcript:itb11g10120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWMAEISEFKYAYFISGANIIRIKIPMTSNSFQHEAELVFSNPSQPLPLGMGLFSHNHIVYMVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNEWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIGFAPPPLASPSSAPPPPLASPDNEDQDKNDRKSKRKGVSRLFVYLSPALPVLLLLFLLRGR >itb11g10120.t5 pep chromosome:ASM357664v1:11:6875003:6878374:-1 gene:itb11g10120 transcript:itb11g10120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGANIIRIKIPMTSNSFQHEAELVFSNPSQPLPLGMGLFSHNHIVYMVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNEWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKLCSASPCIS >itb01g15540.t1 pep chromosome:ASM357664v1:1:18446165:18447948:1 gene:itb01g15540 transcript:itb01g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDHLREQQISRVPGKLAWWLLNNFDARSCSLRVQDGKELHITEEDVALTLGFPRGNIRIEKRTKGDEDTTLIEEWKQQLARTDLFITPTKLCKAMVGCKDGGEWFNRHLAILIATMFVESNLSGYVNTNLIKNFEDVTKIGDLNWCEYIRRTLISSKVAWTKKTAQKFIGPIIFLTIFYVDRVVLYSKPIPRQLPALKGWTTQLLNQRGKNEISSGSFGYGYIDEPQQPLKAIEEKKSAESEEGLENYMHRFAEKIKLLAATMNEVMTMAEEAPQRTVDNPNFKQMVESAQKLRKQYRTKIAEGSSFSLGMTPDEVERVINRVQRTATKSTAKSPQKNVMSDEFNTPTGPSTDTTPNLTQQTTTEDLTTPAEQQENVDEVMTL >itb15g03520.t1 pep chromosome:ASM357664v1:15:2229135:2233368:-1 gene:itb15g03520 transcript:itb15g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKLEVDVTLLFLSIEEYTRITKSSSQYARDNLSAFPVSEKISWAILEFSLISPSAASQPAGCVMMELFADIRDRVVMEFFICTTMTEWLDGKHVVLGQVVEGLDVVKKVGSGSGRCSKLVVILDCNSLKSDY >itb06g12840.t1 pep chromosome:ASM357664v1:6:17378881:17381767:1 gene:itb06g12840 transcript:itb06g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGFGRGFGGRGRGGDRGGRGRGGRRGRRDTEEEKWVPVTKLGRLVKEGKIRSLEQIYLHSLPIKEYQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRCSTKTLGNFVKATFDCLMKTYGFLTPDFWTETRFTKSPFEEYVDILSKPATKAIVYTEEPTERVEA >itb06g12840.t2 pep chromosome:ASM357664v1:6:17378881:17380655:1 gene:itb06g12840 transcript:itb06g12840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGFGRGFGGRGRGGDRGGRGRGGRRGRRDTEEEKWVPVTKLGRLVKEGKIRSLEQIYLHSLPIKEYQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRCSTKTLGNFVKATFDCLMKTYGFLTPDFWTETRFTKSPFEEYVDILSKPATKAIVYTEEPTERVEA >itb12g07590.t2 pep chromosome:ASM357664v1:12:5802332:5807982:1 gene:itb12g07590 transcript:itb12g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLAVTIGFEDAKHMSNISVLVPHVRPEERYQIGGKNRAKTAQSIQGTGRATSSRWRTVALDSGKRHAMGRKDSKIYIVCDSSDQESLWIIFAADKTIKLKHELIFITDPRISEAALICGKNRTSVSIIIPNFVKEMETISQSVTDKGWGSFSLINANISIYALAQCHNDLPRGDCLLCYAASRTRLPRCLPATSGRLFLDGCFLRYDAYNFFNETTDPRVDTRNCSSPEGGVGDEEAVNFAVNAGRLIDNLSGMAVVSGGFAVGNWKGVYGLAQCWKTVSREGCRECLAKASREVKGCLPNSRDGRALNAGCYLRYSAHNFIADPNRQADDGSSAVSKTGVKIIIALVVTAIFMISTFTAYTYRKKSLKRKEEGKNLGPILSSYNKSNLNFKYETLEKATKYFDPSMKLGQGGAGSVFKATLQRGKVVAVKRLFFNTRQWVDEFFNEVNLISGIEHKNLVKLLGCSIEGPESLLVYEFVPNKSLEHYLFEENKIKILTWKERFDIVVGIAEGIAFLHEGCSSRIIHRDIKSSNVLLDGNFAPKIADFGLARDFAADKTHLSTGIAGTL >itb12g07590.t1 pep chromosome:ASM357664v1:12:5802332:5809493:1 gene:itb12g07590 transcript:itb12g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLAVTIGFEDAKHMSNISVLVPHVRPEERYQIGGKNRAKTAQSIQGTGRATSSRWRTVALDSGKRHAMGRKDSKIYIVCDSSDQESLWIIFAADKTIKLKHELIFITDPRISEAALICGKNRTSVSIIIPNFVKEMETISQSVTDKGWGSFSLINANISIYALAQCHNDLPRGDCLLCYAASRTRLPRCLPATSGRLFLDGCFLRYDAYNFFNETTDPRVDTRNCSSPEGGVGDEEAVNFAVNAGRLIDNLSGMAVVSGGFAVGNWKGVYGLAQCWKTVSREGCRECLAKASREVKGCLPNSRDGRALNAGCYLRYSAHNFIADPNRQADDGSSAVSKTGVKIIIALVVTAIFMISTFTAYTYRKKSLKRKEEGKNLGPILSSYNKSNLNFKYETLEKATKYFDPSMKLGQGGAGSVFKATLQRGKVVAVKRLFFNTRQWVDEFFNEVNLISGIEHKNLVKLLGCSIEGPESLLVYEFVPNKSLEHYLFEENKIKILTWKERFDIVVGIAEGIAFLHEGCSSRIIHRDIKSSNVLLDGNFAPKIADFGLARDFAADKTHLSTGIAGTLGYMAPEYLVKGQLTEKADVYSFGVLVLEIVSGRKNNAFVEDTGSLLQTVWKLYKTTQTTQVVDPLLEGVFPAHEASKAIQVGLLCCQASPGSRPSMSQVVDMLILENPHIPQPTQPPFINPSVLAAGNIKSAKTLMSDVLNKLDSSSYTTSSSSSTYQSSTRSQDFQHQ >itb03g22780.t1 pep chromosome:ASM357664v1:3:20861134:20865009:1 gene:itb03g22780 transcript:itb03g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHLLLFCLLIHVIHGAEKLGASPPRLKRKWLTLHGGEPVIVANGGMSGVFPGSSWLAYSYGVQQTIRGSPVLCDLKLTKDNFAYCLTRMMLQNTTNIADIFPNARKTYDVNGKRLTGWFGHDFDAEVLFENVTLMQNLYTRPSSYDNYFGLTQPETLEHLAAEKERTKVWINVEYDMFYKQHKLSVESYLDKILPGVKVEVPYLSSPEIGFLKSIGPKFRAKGTKLLLKFPLDINVAEPSTKEKYGSVLKKLNMIKTFAAGILVPKEYIWPVNEKRYLKPATTLVTDAHKLGLEVFAYGFANDFYLPYNYSLDPTKEYLQFVDNSHFSVDGVITDFCSTASNAIGCLSHNRNASRALKRLVISHNGASGDYAGCSDLAYQKAVDDGADIIDCNVQFSKDGVAFCLDSPDLKFTTTAGSELMDKVNQIPEVNMGQPGIFSFDLTWEEIKSLKPKINSPYDAPLDRNHAYLFAGKFVTLPEFLEFAKAKAVTGIMIGIENAVYLATKGYDIIGTVNSGLTNASFNNQTKYKVMIKAYESAVLKKFKNDSHYETVLDFRKDIGNVPHAVAAEISKYADSVAIRRNSLVEEYGDPFFMSSGFSNAVEAMHKANITVYSSNLKNEFQTFLFDFNSDPYLEIATLVTHGVDGFITDFPGTVVSFMRSPCNKKAKLPFSFTAVIPGQLFNASILSMMENPDSKTLNKIVYPNLSAKSINTEAIPPVAAPGASNPPSPDTPTDNTPAGSPPKHSSSTTTGLAPLLFLVALAAGILQVILSY >itb03g22780.t2 pep chromosome:ASM357664v1:3:20861140:20865009:1 gene:itb03g22780 transcript:itb03g22780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHLLLFCLLIHVIHGAEKLGASPPRLKRKWLTLHGGEPVIVANGGMSGVFPGSSWLAYSYGVQQTIRGSPVLCDLKLTKDNFAYCLTRMMLQNTTNIADIFPNARKTYDVNGKRLTGWFGHDFDAEVLFENVTLMQNLYTRPSSYDNYFGLTQPETLEHLAAEKERTKVWINVEYDMFYKQHKLSVESYLDKILPGVKVEVPYLSSPEIGFLKSIGPKFRAKGTKLLLKFPLDINVAEPSTKEKYGSVLKKLNMIKTFAAGILVPKEYIWPVNEKRYLKPATTLVTDAHKLGLEVFAYGFANDFYLPYNYSLDPTKEYLQFVDNSHFSVDGVITDFCSTASNAIGCLSHNRNASRALKRLVISHNGASGDYAGCSDLAYQKAVDDGADIIDCNVQFSKDGVAFCLDSPDLKFTTTAGSELMDKVNQIPEVNMGQPGIFSFDLTWEEIKSLKPKINSPYDAPLDRNHAYLFAGKFVTLPEFLEFAKAKAVTGIMIGIENAVYLATKGYDIIGTVNSGLTNASFNNQTKYKVMIKAYESAVLKKFKNDSHYETVLDFRKDIGNVPHAVAAEISKYADSVAIRRNSLVEEYGDPFFMSSGFSNAVEAMHKANITVYSSNLKNEFQTFLFDFNSDPYLEIATLVTHGVDGFITDFPGTVVSFMRSPCNKKAKLPFSFTAVIPGQLFNASILSMMENPDSKTLNKIVYPNLSAKSINTEAIPPVAAPGASNPPSPDTPTDNTPAGSPPKHSSSTTTGLAPLLFLVALAAGILQVILSY >itb03g22780.t3 pep chromosome:ASM357664v1:3:20861134:20865005:1 gene:itb03g22780 transcript:itb03g22780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHLLLFCLLIHVIHGAEKLGASPPRLKRKWLTLHGGEPVIVANGGMSGVFPGSSWLAYSYGVQQTIRGSPVLCDLKLTKDNFAYCLTRMMLQNTTNIADIFPNARKTYDVNGKRLTGWFGHDFDAEVLFENVTLMQNLYTRPSSYDNYFGLTQPETLEHLAAEKERTKVWINVEYDMFYKQHKLSVESYLDKILPGVKVEVPYLSSPEIGFLKSIGPKFRAKGTKLLLKFPLDINVAEPSTKEKYGSVLKKLNMIKTFAAGILVPKEYIWPVNEKRYLKPATTLVTDAHKLGLEVFAYGFANDFYLPYNYSLDPTKEYLQFVDNSHFSVDGVITDFCSTASNAIGCLSHNRNASRALKRLVISHNGASGDYAGCSDLAYQKAVDDGADIIDCNVQFSKDGVAFCLDSPDLKFTTTAGSELMDKVNQIPEVNMGQPGIFSFDLTWEEIKSLKPKINSPYDAPLDRNHAYLFAGKFVTLPEFLEFAKAKAVTGIMIGIENAVYLATKGYDIIGTVNSGLTNASFNNQTKYKVMIKAYESAVLKKFKNDSHYETVLDFRKDIGNVPHAVAAEISKYADSVAIRRNSLVEEYGDPFFMSSGFSNAVEAMHKANITVYSSNLKNEFQTFLFDFNSDPYLEIATLVTHGVDGFITDFPGTVVSFMRSPCNKKAKLPFSFTAVIPGQLFNASILSMMENPDSKTLNKIVYPNLSAKSINTEAIPPVAAPGASNPPSPDTPTDNTPAGSPPKHSSSTTTGLAPLLFLVALAAGILQVILSY >itb14g03290.t1 pep chromosome:ASM357664v1:14:2905870:2913925:1 gene:itb14g03290 transcript:itb14g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAFSWVGVNSISHSSSITSSILGFNGFRGENPNFFSNRRRGGFHLIHWRRRNLLISNVASDHQQRVIDYSSDNEGLALDAFQPDSASLASSIKYHAEFTPSFSPERFELPKAFNATAGSVRDMLIINWNATYDYYDKMNVKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALRKLGHNLEDVAKQEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGNPWEIARNDISYPVKFYGEVIEGADGRKEWVGGDDVIAVAYDVPIPGYKTKTTLNLRLWSTKVASEAFDLQAYNAGNHAKAYEALKRAEKICYILYPGDESLEGKTLRLKQQYTLCSASLQDIIARFEKRSGVEANWDEFPHKVAVQMNDTHPTLCIPELLRILIDVKGLSWKNAWEITQRTVAYTNHTVLPEALEKWSLELLQELLPRHVEIIKMIDEELVNTIVAEYGTADLELLQEKLNRMRILENVELPGMIMELLLETQESIADTVEEEEEEEIKDESKDESSETSAVDENEEETEAEKVEDPGTKIKAKFEPDQKRPKMVRMANLCVVSGHTVNGVAEIHSEIVKKEVFNEFYELWPEKFQNKTNGVTPRRWIGFCNPELSEIITSWTGSEDWLINTEKLAELQKFANNEELQSQWREAKLRNKMKIVSFLKEKTGYLVSPEAMFDVQVKRIHEYKRQLLNIMGIVYRYKQMKEMTAEERKKKYVPRVCIFGGKAFATYIQAKRIVKFITDVGATVNNDPEIGDLLKVIFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSMNGCILIGTLDGANVEIRQEVGENNFFLFGARADEIAGLRKERAEGKFVPDPRFEEVKAFVRTGVFGPYNYDELMGSLEGNEGYGRADYFLVGKDFPSYLECQEKVDEAYRDQKKWTKMSILNTAGSFKFSSDRTIHQYARDIWMIEPVVLP >itb05g15160.t1 pep chromosome:ASM357664v1:5:22546516:22548489:-1 gene:itb05g15160 transcript:itb05g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQINPNFSMLTPNSSVNHRIATGIPQITLKSKPSLGLELGKRRAGIFSVRCSEKAAQTTLRTCKNCKTQFDPLLNHPRACRYHTAHFGGETKRKFESVYTGGTMNTPDSGKILEYWHCCGSEDSSDPGCTAAPHASYDD >itb13g21870.t2 pep chromosome:ASM357664v1:13:28369366:28374566:1 gene:itb13g21870 transcript:itb13g21870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNIRDFLTAFSPSRDFFAISTGDGRIKIWDTVKGQIQTEFADIESSEVTNMFAKKQEGHLSMDYTCMKWISMEKKKKRKLGTSILVLGTGGGDVLALDVSAGQLKWRACDCHPGGVTAISFPKSGRLIYTAGADGMVCELDTMSGNLLQKFKASTKGISSMSISSGGEVLATAASQLKIVNCSDHKKLQKFSGHPGPVRCMVFSEDGKYLLSSAVGERYIAVWKLGGSKKQSACCLLAMDHPAVFLESRCIATDADEAGLCVLAISETGVCYFWHGKSAKELRHCKPTKISSDEEMLKKYNGTVPGVFAAKLETVVKPASCHVFLAHGLHVKPSFEKVLLQSGTDLKLNSSLDGLLLPISQSRKRKLSKSQNQVTALDRANAEGALLPMPHILDLPGAKSGAKLVVSKDDECQYDADTVTICMEEQLRSMGIVCDNDDPTSKPTFESDKISGINLEVSIPQKEIKKTVLSMEPSDACSLLEMLIAGWHSRLYKAKYILPWIYCIMANHHDYLTSQEPYTHLLDSLYKLTKSKGAALNSLLQLCGRLQLVTAQFEKATNSQSKMLLRDEQMEESEDEDVEEVRYGLEDESQTSSDNDD >itb13g21870.t1 pep chromosome:ASM357664v1:13:28369366:28374740:1 gene:itb13g21870 transcript:itb13g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNIRDFLTAFSPSRDFFAISTGDGRIKIWDTVKGQIQTEFADIESSEVTNMFAKKQEGHLSMDYTCMKWISMEKKKKRKLGTSILVLGTGGGDVLALDVSAGQLKWRACDCHPGGVTAISFPKSGRLIYTAGADGMVCELDTMSGNLLQKFKASTKGISSMSISSGGEVLATAASQLKIVNCSDHKKLQKFSGHPGPVRCMVFSEDGKYLLSSAVGERYIAVWKLGGSKKQSACCLLAMDHPAVFLESRCIATDADEAGLCVLAISETGVCYFWHGKSAKELRHCKPTKISSDEEMLKKYNGTVPGVFAAKLETVVKPASCHVFLAHGLHVKPSFEKVLLQSGTDLKLNSSLDGLLLPISQSRKRKLSKSQNQVTALDRANAEGALLPMPHILDLPGAKSGAKLVVSKDDECQYDADTVTICMEEQLRSMGIVCDNDDPTSKPTFESDKISGINLEVSIPQKEIKKTVLSMEPSDACSLLEMLIAGWHSRLYKAKYILPWIYCIMANHHDYLTSQEPYTHLLDSLYKLTKSKGAALNSLLQLCGRLQLVTAQFEKATNSQSKMLLRDEQMEESEDEDVEEVRYGLEDESQTSSDNDD >itb05g01690.t1 pep chromosome:ASM357664v1:5:1339819:1341305:-1 gene:itb05g01690 transcript:itb05g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFCGSNDSVESPPVEKDFGDSIRIPPGYRFNPTEEELITDYLWRKIANPSFFTTAVEEADLNRLEPWDFPGVCVGEGEWFFFSRRDMKYATATGQRIHRATDSGYWKITGNDRKIFKGKTLVGMKKTLVFYRGRAPSGERTDWVMHEYRLEGHNSLHNLPQNAKNEWVICKVFVKSSLEKKAYISGIQELKLAGMHTLFLSLIFLAVQTLAWASNDSSTNEGEVGKHNVNCCRSSTHKRKDNNNEYVGVRQLQPKLQKSNSDAENHPAAAASSSMSSHNNITMEDNSSVCVRVGYNNDTVKFLLPFATMDSLKAEILKRFNKLEAKTFKIRYKDEDEEMVTIGCDDDLHYCLEFFKSTGTTPVRLSLLKESIAPSLEHV >itb12g25230.t1 pep chromosome:ASM357664v1:12:26495923:26497904:1 gene:itb12g25230 transcript:itb12g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQRNEDLVFQILSTPDIPSQQNKISQDLIFDSNNNYGFAPLDFASGAHHHDFAATAAAAAAVTASSPRKRRRREKTLAACTDDVAAAAAAKSDENHKLKRIMHREIERQRRQEMATLYASLRSLLPLEYVKGKRSTSDHMHEALNYIKQMQKNVQELERRRDGLKTAGSSTSSSHNLEKTGSPDQKTGSPPNFVSVSRCRDGVEILINSVAGERGCFPLSRVLRALHNEGVNVVSCDSTKADTRLLHRVQSEVSGEKSIDLQALQQKLTEVINNF >itb04g28740.t1 pep chromosome:ASM357664v1:4:32362042:32363935:1 gene:itb04g28740 transcript:itb04g28740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGLVNESEASPPCESSSRSARRRRLEIRRIKFVPGVTPLEAENGVKRPRFELCSAGSIPRLCDNAVDSCDGSEAEVAVESGHRKILLPAGLPKYGMASVCGRRRDMEDAVAVHPWFCRMDRENNNELHYFAVYDGHGCSHVAMKCKERLHELVKEELEKKEASADWKQAMEQSFSRMDKEAIAWNESVVRGSCRCELQTPECDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGKAIPLSNDHKPDRPDELNRIQEAGGRVIYWEGPRVLGVLSMSRAIGDNYLKPYVICEPEVTITDRTPEDDCLILGSDGLWDVVSNDTACGVARMCLNGKRRRPSSPEKDVGVDNSDKVCSDASMLLTKLALARRSSDNVSVVVVDLKKAT >itb13g06960.t5 pep chromosome:ASM357664v1:13:8449622:8458833:1 gene:itb13g06960 transcript:itb13g06960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t1 pep chromosome:ASM357664v1:13:8449618:8458931:1 gene:itb13g06960 transcript:itb13g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t3 pep chromosome:ASM357664v1:13:8449618:8458931:1 gene:itb13g06960 transcript:itb13g06960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t6 pep chromosome:ASM357664v1:13:8449622:8458833:1 gene:itb13g06960 transcript:itb13g06960.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t8 pep chromosome:ASM357664v1:13:8449932:8458833:1 gene:itb13g06960 transcript:itb13g06960.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t10 pep chromosome:ASM357664v1:13:8449618:8458931:1 gene:itb13g06960 transcript:itb13g06960.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t4 pep chromosome:ASM357664v1:13:8450861:8458790:1 gene:itb13g06960 transcript:itb13g06960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t2 pep chromosome:ASM357664v1:13:8449618:8458931:1 gene:itb13g06960 transcript:itb13g06960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCVLLESGAIEEQSPEPTARLLRTGSAVVGSEVCGIIGSESATSVAGVLYKWTNYHTGWRSRWFTLRNGILSYSKTRRPGAAAADDGVKLIGHLPSNNPGQRKLRKTVRIVHLKVSSFRESRSDDRKFYIFTATKTLHLRTNSKKERAAWIEALVSTRNLFMRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t9 pep chromosome:ASM357664v1:13:8451027:8458931:1 gene:itb13g06960 transcript:itb13g06960.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb13g06960.t7 pep chromosome:ASM357664v1:13:8451027:8458833:1 gene:itb13g06960 transcript:itb13g06960.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPANDNISLLPRDISISTEKLKSRLIDEGISEGLIKDCEKIMLSEFSEVQAQLKVLSEERSSLLDTLRQLEAANIEAESSGILDGEYQLLKHEYSNLGRGKFGEWSTTESSDDVEKQELEEASDEEEINFFDTNDYFSDPSISCGSIVADKIDKCLELENKTSSMKNICSENDNSLYTQVERRQKLPDPIEKEKAVSLWSVIKDNVGKDLTRVCLPVYFNEPLSSLQKGCEDLEYSYLLDRAYEHGKAGNSLLRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIHGNRQYTCKLKFKEQSLLERNPHQVHGFVEDNAGKKVATVFGKWDESMYYLNGEWNSKAKELSDASLLWKCDQPPLNLTRYNMSAFAITLNELTPGLQEKLPPTDSRLRPDQRYLENGEYDQANQEKQRLETRQRLSKKLQETGWKPRWFQREGEDGTFRYVGGYWEARENGKWDGCPNIFGKIDENLLGSLQGS >itb03g04430.t1 pep chromosome:ASM357664v1:3:2778173:2779778:1 gene:itb03g04430 transcript:itb03g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVNKPPSHPEYPEMIIEAIDALKQEEGSNKTSISRYIESKYGELPSAHSKLLTFHLDRMKQSGELIFLKNNYIKAGPGVPPKRGRGRPRKDPNAPPVPKKPKPSPGPSTVTLSKTGRPRGRPRKVKPQPSQPQNGLEMS >itb07g18190.t1 pep chromosome:ASM357664v1:7:22611599:22612700:1 gene:itb07g18190 transcript:itb07g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESETYFPRRVSSSSAPPSFGSDTTTSVHVTALDGLVNVNSLFTVAVFVGLSLTVPGQRSLEDRTACDAGIDVVKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHVNSKVLRLGMMASAAGSVMGCLFMMLSMANVIEIRLGMLSCGSKSAVHSVSVLVVLATSGLLLYISTAVYAFLQ >itb01g00690.t1 pep chromosome:ASM357664v1:1:310916:312752:1 gene:itb01g00690 transcript:itb01g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAVSLIVLSVLLVGLGDVEGQAPAPSPGGPVDIFAVLEKAGGNYGIFIKFLNTTQVGNQVNIQVNSSTDGMTVFAPTDNAFNNLPSGTLNKLSDQQKILLIQYHVLPKFYSFEDLQTVSNPVRTQANGPDGQTFGLNFTGQNNQVNVSSGAVETQVYNPLRKDPPLAVYQLDKVLLPLEFTADHPAAKAPTGSASSTPAGKSNDGKNATAAKEPSPAPNGAGKMMSLELGLVSGILMFCMGLLS >itb09g31220.t1 pep chromosome:ASM357664v1:9:31738001:31740417:-1 gene:itb09g31220 transcript:itb09g31220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLRYLSSYQLSRLTTIPTISKPPENTHHTMAFTSSSLFSLAYCFTLILFVHGNLSLLPSDYDALLSVQKHLGVNALLQDPCESPGIYCEPRLVNNSYVLRVTRIVYESKQLRGTLSPQISSLSQLKHLSLANNQLFDQIPTQILNCRKMEILDLRGNHFSGQVPLELSSLIRLRILDLSRNNFSGNLNFLQYFPNLEKLSLSDNLFSGRIPQSLKSFRNLRFLNISGNSLLRGPVPVMKQQVEYLSSAELNDDENYSTAVPKRYIFAENPAAGGRNQNQTSSAMAPTAANAPALAPGPEPSPAHNKGKKKVRGWIIGFVVGGFAGSLSGVLSSVLFKALMFLIRGSGKDSSLTIFSPLIKKAEDLAFLEKEDGLASLEIIGKGGCGEVYKAELPGSNGKLIAIKKIVQPAKDAAELAEEDSRLMNKKMRQIKSEIQIVGQIRHRNLLPLLAHMPRPDCHYLVYEFMKNGSLQDALQAQGELDWLARHRIAVGIAAGLEYLHINHTQRIIHRDLKPANVLLDDDMEARIADFGLAKAVPDAHTHITTSNVAGTVGYIAPEYHQTLKFTDKCDIYSFGVLLGVLVMGKLPSDEFFQHTSEMSLVKWLRNVMTTSEDPKQAIDPKLLGNGYEEQMLLVLKIACFCTLDNPKERPNSKDVRCMLMQIKHE >itb03g13360.t1 pep chromosome:ASM357664v1:3:13529088:13542055:1 gene:itb03g13360 transcript:itb03g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLCLVFKFKGEEKIPEALSILNKLLYGYESTPFLLSITSDPVVRLGVISSLSFNFLGIILRIKYGCKYGAFDSQRPLAALRSLWRVAAPEAAPPKGGGRGIQLASTDSPCHNARFPVHSVPWRCLVPCHDRTLPSHLGQRRRCSINVVVLRHYTIPHNPTTVMAMPSPCASFCTDLSVASNRVYKMEDREYSERKWEDMDIDVLVKIFQSFDIFQLTSGIGQVCSAWRLACCDPILWKTLDISMIKSNFIKIPLEPYVYVGDQSDKTLTRLLKIALNRSRGNILTLIFHYNLYVSDVQLTYTAERSPQLKRLVMPAWNKIKKTGICRAIRMWKNLESLTMPSIANPPYLLEEISKSCKNFAELKIMGPCDIAFASSIAAFLPDLKVLSLRCSVLYKGALLIILEGLTKLEVLNISHCLLFELPPPAPRKVVNELDESIRERASRLRKFLTCMSDKCTMCQRTRNDEGLIRWYKYEEDLWKEDELRSASLCVSTLNSNPTPIPISPPSLNLSTQHRRSSFPLLPIPTFFIGRATYWDSRTQHFCEAQARPDIEELCYTDPSNKFKFYSKADALRHISKEMKKKGSEKFTVEKAIAEGLPPGWTKEIRVKKKGGKTRKDPYYIDPESGQVFRSLKEVFRYLGTKDCSKAEPELKDQGPNNVEAGGSLSSLPSEAEEQKVGESKADGLLAMNERFKLGEEHAIKPNGTECCTSPPGKTTHLRKKGAVIENNYVAQVNNLESNKDSDPVECQLVSAHIARERGHEEVVPPAGKEVVEKHGHKIIHKKRKKLRNNKMASLPRRTSKRLAGIEADASLELKTDNQGSQAAATKQQKGQTKAVVTNNNSKDTSNLNEGIERPKTGNAANEKKQEDDATLPLKKLCSPDPDGNDREVGPNIKDGKKTEITPESSSSSSCVKDMWMDPCIDFAIKTLTGAIPIGDEKKVDETPQSSLSCSSAGNPQSSAELPFGGDIWADPCFAFAVKTLTGKEIPITDDLSAQNVLEQQCCAAGPQITNGLPQPPSIRLDDFRQVNNLSAPWHFNVPEKPFYKPQGPVAPPPPPPSAYQNNWLAKFGSNQPSSLC >itb11g14190.t1 pep chromosome:ASM357664v1:11:11225234:11230231:-1 gene:itb11g14190 transcript:itb11g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRLAAAPFTSPTPLNALRSSLVSPSEEIGSSGVRFISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRAENSRGLWQPFTALLGDTPSVDVKKNVIITISSDKGLCGGINSTSVKTSRNIHKLNSGPEKENKYVILGEKAKAQLVRDSKKDIELIITELQKNPLNYTQVSVVADDILKNVEFDALRIVFNKFQSVVSFVPTMSTVLSPEVVERESESGGKLGDLDSYEIEGAESKSEVLQNLTEFQFSSVLFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >itb01g03900.t2 pep chromosome:ASM357664v1:1:2564536:2566439:-1 gene:itb01g03900 transcript:itb01g03900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNLLSGTLPYEIGSMHNLVYLNLSGNQFSGEIPNTIGQLQNLLNLSLSSNRLHGPIPQSFDSLISLQALDLSNNSLSGGIPKSMEKLKDLVYLNLSFNDLSGKIPNGGPFAKFSTESFMGNKKLCGASRFHVMECKEGKEKRRNTTIFRKYVLPSLVSVVVVVVVVLLVLLLTFRKRNKRRAPRVENVVDDVTLKRISYYEILGATEDFDESNLIGKGTFSSVFKGTFAAGDKRRRCWARNRGVFLDGDGISYGMHI >itb01g03900.t1 pep chromosome:ASM357664v1:1:2564536:2567114:-1 gene:itb01g03900 transcript:itb01g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANQFTGTIPSSISNASQLTELELGNNMFSGHVPLEIENLQKLEHFHIQYNQFTNEPSSNELSLLTSLLKCKNLRTVSLSGNPFNTVLPNLLDLGNKSLPLEFLVAADCHLKGNIPSGICNFINLLVLELSDNKLSGPFPESIGRCLLRLQGLYISNTEIEGSIPNTMCYLKDLFELALRDNKLSGKIPSCFGNLYSLRKIYLGSNFFTSSIPLDFWNNKDVLEMDLSSNLLSGTLPYEIGSMHNLVYLNLSGNQFSGEIPNTIGQLQNLLNLSLSSNRLHGPIPQSFDSLISLQALDLSNNSLSGGIPKSMEKLKDLVYLNLSFNDLSGKIPNGGPFAKFSTESFMGNKKLCGASRFHVMECKEGKEKRRNTTIFRKYVLPSLVSVVVVVVVVLLVLLLTFRKRNKRRAPRVENVVDDVTLKRISYYEILGATEDFDESNLIGKGTFSSVFKGTFAAGDKRRRCWARNRGVFLDGDGISYGMHI >itb03g19240.t1 pep chromosome:ASM357664v1:3:17371963:17375261:-1 gene:itb03g19240 transcript:itb03g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLEVLQFIPDKPDIQNMRLLSLFRSLHYSNTKAHTVSTRFAARIRSMATAIDAVNPSNTRLGWIGTGVMGRSMCGHLIKAGYKLTVFTRTPSKAQELISMGAQWAETPKSVAAQSDVVFSIVGYPSDVRHVILDPNSGALSGLGQGGVVVDLTTSEPSLAVEIYSAATSAGCSAIDAPVSGGDRGARNAALSIFAGGDETLVQRLSPIFSLMGRVYYMGAPGKGQFTKLANQITIASGMVGLCEGLIYAHKAGLDLELYLSAISTGAAGSKSLDLYGNRILSRDLDPGFYVNHFVKDLGICLKECQNMGLSLPGLALAQQLYLSLKAHGEGDLGTQALVLALERLNNVSLSSSSKGKA >itb03g19240.t2 pep chromosome:ASM357664v1:3:17372837:17375261:-1 gene:itb03g19240 transcript:itb03g19240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLEVLQFIPDKPDIQNMRLLSLFRSLHYSNTKAHTVSTRFAARIRSMATAIDAVNPSNTRLGWIGTGVMGRSMCGHLIKAGYKLTVFTRTPSKAQELISMGAQWAETPKSVAAQSDVVFSIVGYPSDVRHVILDPNSGALSGLGQGGVVVDLTTSEPSLAVEIYSAATSAGCSAIDAPVSGGDRGARNAALSIFAGGDETLVQRLSPIFSLMGRVYYMGAPGKGQFTKLANQITIASGMVGLCEGLIYAHKAGLDLELYLSAISTGAAGSKSLDLYGNRILSRDLDPGFYVNHFVKDLGICLKECQNMGLSLPGLALAQQLYLSLKAHGEGDLGTQALVLALERLNNVSLSSSSKGKA >itb12g24860.t1 pep chromosome:ASM357664v1:12:26258725:26259135:-1 gene:itb12g24860 transcript:itb12g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQAIFVSFNIFFFAVTTATQYPAAIPGFLTTAGGNVGTGGGNGGGGAGECPRDVLKLGVCLNLLGGLVGVEVGSPPTLPCCTLLAGLTDLEVAACLCTAIRADALGINLNIPVDLSLIFNDCGREVPNGFTC >itb02g21060.t1 pep chromosome:ASM357664v1:2:19446822:19447411:1 gene:itb02g21060 transcript:itb02g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYITATNFIITTTPPDTIAIAACNGGRSRFSPSPSLPRHHHQLHGTTATATPGMKDLPSSLHCPAIVIATEKMESSRLPHPTATGARRQKEVTVGASPSPEEQRSKLAGVSLPLSLFSLCRLRKDEEAEYANRYLSLF >itb11g12660.t1 pep chromosome:ASM357664v1:11:9627488:9628200:-1 gene:itb11g12660 transcript:itb11g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGALAIFGFTACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >itb01g19390.t1 pep chromosome:ASM357664v1:1:25456576:25460724:1 gene:itb01g19390 transcript:itb01g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGVEGLALDLDELKHLQSVAKRPRVLSLISSEIQKLEKLSKDATSVSPVPTPISTAPKVISNPALSYSTVASFSWDQDNDKLKIYISLEGVDQEKMETDFKPMSVDVKFHDVQGKNYRFSLSKLNKEIVPEKCKVMVKPTRVTFTLPKASKGSWLDLLYKEDKFKPSVEKEKDPMAGIMDLMKNMYDEGDEEMKRTIAKAWSDARSGKSADPLKESASSIGLGAATLGVADGHCCSGRTIIGLFSASNGFIEASILDFLVQSFYLVEIQARFLIFRFCISIVTSSHSSPLGSFSWYLVSMLVRIRISASISDFSQQEELTELWIGELLLISVAGGAME >itb06g10960.t1 pep chromosome:ASM357664v1:6:15432856:15435195:-1 gene:itb06g10960 transcript:itb06g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNIGSASLSQDPQLFCNSLHPAHFLQLSPKPSTLSFRIRRAPSLQISQVSVQEPTQTSKRTSNSQHPDEKTKSSSKINVWINPNSPRASKLRQISNDFKYSSLVRISQSLDSCSANEGEVSSVLAALGDKAVEQDAVVILNNMSNPETALLALNYFLRTMKLKKEVILYNVTLKVLKKNKDLSRAEKLFDEMLERGVKPDNITFSTIISCARLSSLPEKGVEWFDKMPEFGCKPDIVTYSAMIDCYGRSGNVDKALSLYDRARAEKWRIDVVTFSTLMRIYGASGNFDGCLNVYEEMKALGTKPNLLVYNNLLDAMGRARRPWQAKNIYLEMVQNGFQPNWSTYAALIQAYGKARYGEDCINVYREMKEKGMELNTVLYNTLLATCADLGVTDEAIEIYKDMKSSELCKPDHWTYSSLIKIYSCSGKVLEAEAVLDEMAEVGLEPDIFVLTSLVQCYGKANRLDDVVRTFDRLAGLGLSPDERFCSCLLNVLVQIPKEEMNKLSNCIEKANPKLGYIVKLLKDEGNAEGEALKREAVELFNSIGTVFRKAYCSSLIDICVNLNQIEKACELLALGIALDIYTDIQSKEPTRWSLHLKSLSFGTALTAFHVWMNDLNETIENGEELPPVLGINTGHVKHKYSKKGLKGVFESHLKELNAPFHEAPHLPGWFLTTNIAAMLWLKSRHSEQVVAAKELVLNQS >itb01g06480.t1 pep chromosome:ASM357664v1:1:4674389:4676240:-1 gene:itb01g06480 transcript:itb01g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMGVRDVYKAKESAPSFTVKTKIPLQKKKRKKKRELKMSGIAERWKVLSGSDKWEGLLDPLDSDLCRYLIHYATMVGPASEAFINEPASKYVGLSRYARGNLLAKSGVVKGNPFKYEVTKYFYAPSTIEVPDDGYTVRPARADAVLKESNWIGYVAVATDEGKVALGRRDILIAWRGTKRPSEWKSNFTFVFVKAPLFFGQDSDPQVHKGWYDMYTTINQDSQLNQKSARDQIREEAARLVELYKDEEISITVTGHSLGSSMATLNATDLAFNPINNNKDILVTAFLYASPKVGDGNFKNAISNQQNLRVLRISDVNDIVTAVPPIGWPDGSLVQLKPYVDVGEGIEIESKKSQYLKPGINNLLTHDLMLYMHGIDGFQGSKGGFERQGYFDLAKVNKYQGALEKEYPTPTGWLGIKDNGMVQQEDGTYILDDHEPDETF >itb11g11930.t3 pep chromosome:ASM357664v1:11:8835774:8841615:1 gene:itb11g11930 transcript:itb11g11930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing thioredoxin TDX [Source:Projected from Arabidopsis thaliana (AT3G17880) UniProtKB/Swiss-Prot;Acc:Q8VWG7] MYRKTLEREREREREREMDMDARRLEELKYFVDHCKANPSILHTPSLSFFRSYLESLGARIPPKVKTEEDDEEFHDYKKPNFPSDNSMDEDIVESDVELDSADVVEPDNDPPQKMGDPSREVTEESQDAAQISKSKAVNAISEGNLNEAIDHLTEAIMLNPISAILYATRGSVFIKLKKPNAAIRDANAALQINPDSAKGYKVRGIARAMLGLWEEAASDLHVASRIDFDEEINEVLKKVEPNARRIEEHRRKYERLRKERELKKMERERRWRSAEATFKKEEKMEQTSVSKTSSCCFLQEWCSHSVVIWDLCEHIAKQFSICVPLRVLKLLLFSRMDKLLESMLWMNWIPN >itb11g11930.t4 pep chromosome:ASM357664v1:11:8835774:8841615:1 gene:itb11g11930 transcript:itb11g11930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing thioredoxin TDX [Source:Projected from Arabidopsis thaliana (AT3G17880) UniProtKB/Swiss-Prot;Acc:Q8VWG7] MYRKTLEREREREREREMDMDARRLEELKYFVDHCKANPSILHTPSLSFFRSYLESLGARIPPKVKTEEDDEEFHDYKKPNFPSDNSMDEDIVESDVELDSADVVEPDNDPPQKMGDPSREVTEESQDAAQISKSKAVNAISEGNLNEAIDHLTEAIMLNPISAILYATRGSVFIKLKKPNAAIRDANAALQINPDSAKGYKVRGIARAMLGLWEEAASDLHVASRIDFDEEINEVLKKVEPNARRIEEHRRKYERLRKERELKKMERERRWRSAEATFKKEEKMEQTSVSKTSSCCFLQEWCSHSVVIWDLCEHIAKQFSICVPLRLA >itb11g11930.t1 pep chromosome:ASM357664v1:11:8835774:8841615:1 gene:itb11g11930 transcript:itb11g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing thioredoxin TDX [Source:Projected from Arabidopsis thaliana (AT3G17880) UniProtKB/Swiss-Prot;Acc:Q8VWG7] MYRKTLEREREREREREMDMDARRLEELKYFVDHCKANPSILHTPSLSFFRSYLESLGARIPPKVKTEEDDEEFHDYKKPNFPSDNSMDEDIVESDVELDSADVVEPDNDPPQKMGDPSREVTEESQDAAQISKSKAVNAISEGNLNEAIDHLTEAIMLNPISAILYATRGSVFIKLKKPNAAIRDANAALQINPDSAKGYKVRGIARAMLGLWEEAASDLHVASRIDFDEEINEVLKKVEPNARRIEEHRRKYERLRKERELKKMERERRWRSAEATFKKEEKMEQTSGPEAASVLKDGQVIGVHALDELDTKLTAASRTSQLAILYFTATWCGPCRYMSPLYTSLAGKYPKVVFLKVDIDEARDVAARWNISSVPTFFFIKNGSEVDKVIGADKNSLEEKIARHAS >itb11g11930.t2 pep chromosome:ASM357664v1:11:8835774:8839980:1 gene:itb11g11930 transcript:itb11g11930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing thioredoxin TDX [Source:Projected from Arabidopsis thaliana (AT3G17880) UniProtKB/Swiss-Prot;Acc:Q8VWG7] MYRKTLEREREREREREMDMDARRLEELKYFVDHCKANPSILHTPSLSFFRSYLESLGARIPPKVKTEEDDEEFHDYKKPNFPSDNSMDEDIVESDVELDSADVVEPDNDPPQKMGDPSREVTEESQDAAQISKSKAVNAISEGNLNEAIDHLTEAIMLNPISAILYATRGSVFIKLKKPNAAIRDANAALQINPDSAKGYKVRGIARAMLGLWEEAASDLHVASRIDFDEEINEVLKKVEPNARRIEEHRRKYERLRKERELKKMERERRWRSAEVFNMIQVHFIIL >itb10g12940.t1 pep chromosome:ASM357664v1:10:19032375:19037156:1 gene:itb10g12940 transcript:itb10g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRNFTHRGDDGSENPAPQASKRLHSFPKFITDLKGGSSLQELAPKLEPFFRRLVREEVENAIRSSLRSPLNQIEPSRSSAFHLHINDKFPTTIFTFSKIESEGSKPVEIVLYDAYSKRRITSGPMSSVKINLVVIDGDFSPDDREDWTEQEFNNKVVHERDGKRPLLTGDLVIQLKNGMGHIGDINFTDNSSWIRSRKFRLGVKIICNSGEQRVREGISKAFTVKDHRGESYRKHYPPSLHDEVWRLEKVAKDGASHKKLTDIGISSVKCFLRMYTTNPSDLRQILGYGMSNNTWEKIIEHATTCVVDDNEWYMYKVAESIVLVFNSIFKIVGAILDGQNYQPLDRLNIFQMRMVEDLKQCAYRNLKDFVLVEDHSIICNPMVMPNPQVGLSISPSSSQETFNFPVEQDQLEMQMYSDHTTISPPSYELQQSPFGVSMPESSHRMGSSSSSSCFLINNPSAVYAGDVWGPSGSQDPLIITTTPSNYQLESPLAWPGNNGLSATSSTERASPSFGINSSKTGKPRARWCKIRAVVKLTILVKKRKWHV >itb05g04340.t1 pep chromosome:ASM357664v1:5:3885059:3886990:1 gene:itb05g04340 transcript:itb05g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDTRKEKSLPSTPRRMRAELFASSKTKSSLSSFSGHSPPATPPHTFSEAMMEENIDNAEVLIKKWDPNGSSYGKLFQENRKEAKEFVRCVKELRRAMHFLVSQHSSSGKLVKAQNLMHMAMARLEKEFYQILSANRQYLDPESVSSRSSHLSQSLSTDSEQEDGGCSDNEIQEAGESISKVERLSVLAMSDLRLIADCMVSSGYAKECVNIYKIIRKSIVDEGLYHLGIQQYSSSHISKMSSNVLEDQIKNWLNAVKIAVKTLFHGERFLCDHVFSASQTMREACFTVIAKEGATNLFRFPELVAKSKGSPEKMFRLMDLYEGISELWPEIESIFSYESVSAVRLQALSSLHKLCSSVQTLLSEFESSIHKNSSRTPILGGGIHPLTISVMDFVSSLGDYSDVLYDIIGDSAGSPARTPFPESYFESPPSASETPTSAVSARLAWIILVLLCKLDSKAELYNDIALSYLFLANNLQYVVEKVRSSFLKCLLGDNWVSKLDRKVKSYAANYKAMAWAKVFSCLPEILDPSLSPDSIKEHFRQFSAAFEEAYRKQTAYVVPDGKLRDEIKLSVAKLLVPMYREFYDTYLEVLSSEKNLEVMVRYSPDNLGNYLSDLFHGASMSRSSSSSSLSSNSRVPRCLN >itb13g20580.t1 pep chromosome:ASM357664v1:13:27398111:27398644:1 gene:itb13g20580 transcript:itb13g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILAGVDVKMNDKEEKRDEAEEDDGVNDNREATDLHGAELHHAIPARELKQQARHEQHEEHHRDDHRPPIPRHLRSTPTN >itb10g17630.t1 pep chromosome:ASM357664v1:10:23795198:23795533:-1 gene:itb10g17630 transcript:itb10g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFPELGLTRKDCLEMSWIESVIYTAGYPRTTPPESLLQGKPLSPRSSIKAKSEFLRTPVPESGLRGLWKIFLKEDTPLMIWNPYGGMMGKIPESATPFPHRKGVILEN >itb13g12390.t1 pep chromosome:ASM357664v1:13:18536754:18540239:1 gene:itb13g12390 transcript:itb13g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKPQKGHYFQLNYDCSNCFGVAEPCFRRALLLAAVVAWSPVSHLLDRRNRAAGRRWREAGETSPSPTQQEPSTASHSINSSPASSVLAGVKATMLPKNANLARMSRFRLETAIGDQRNDLLLDCARFPEITPQFCEIAEPITPQFCDTIRPNCDQFSDNARLDCVRSRINIQDRSIGLDENHPIVRFSAIDWGQMVESDALLKGGGYSSLFLFFLQYNLDEDEIRVEDYGVKKIKDDEIGVKEGRRN >itb15g10470.t1 pep chromosome:ASM357664v1:15:8252401:8253311:-1 gene:itb15g10470 transcript:itb15g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETAVDESQSQAALDEFERRVIEMTHGVKDLLNKQGRKIAVLKSQKVELEKIIADNRAQLLRTYGYALIGNELQKINEEPCDNKVLGVDQNYSDDGSQNNETALDDGSQNENEIALDGCQNETTALDGSKIELSESQLAELEACRQELEEMKLNILKRESTLHKLGLTFENLLQK >itb14g08280.t1 pep chromosome:ASM357664v1:14:7668361:7676961:1 gene:itb14g08280 transcript:itb14g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MAQSGKLMPNLDQNSTKLLNLTVLQRIDAYIEEILITAAHVTFYEFNIDTSQWSRKDVEGSLFVVKRTSQPRFQFIVMNRRNADNLVEDLLGDFEYEIQVPYLLYRNAAQEVNGIWFYNPRECEEVANLFSRILNAYSKVPSKSKVPPVKSEFEELEAVPTMAVIDGPLEPSTTTTSNVRDVPDDHSFVNFFSTAMTIGSSSSTAVPGHPGVLSANPLPSRSQNGILTAVAHVQTPTPISIPTPVMPLFDAPEQDSSSKGSTNLVKPSSFFGPPPSSSPLIIPPSPSSMPTAPPLQPPGTLERPYGAPLLQPFPPPSPPPSLTPAATPIPNTVSIITRDKVREALLILVQDNEFINMVHRALMNAHH >itb14g08280.t2 pep chromosome:ASM357664v1:14:7668361:7676961:1 gene:itb14g08280 transcript:itb14g08280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MAQSGKLMPNLDQNSTKLLNLTVLQRIDAYIEEILITAAHVTFYEFNIDTSQWSRKDVEGSLFVVKRTSQPRFQFIVMNRRNADNLVEDLLGDFEYEIQVPYLLYRNAAQEVNGIWFYNPRECEEVANLFSRILNAYSKVPSKSKVPPVKSEFEELEAVPTMAVIDGPLEPSTTTTSNVRDVPDDHSFVNFFSTAMTIGSSSSTAVPGHPGVLSANPLPSRSQNGILTAVAHVQTPTPISIPTPVMPLFDAPEQDSSSKGSTNLVKPSSFFGPPPSSSPLIIPPSPSSMPTAPPLQPPGTLERPYGAPLLQPFPPPSPPPSLTPAATPIPNTVSIITRDKVREALLILVQDNEFINMVHRALMNAHH >itb14g08280.t3 pep chromosome:ASM357664v1:14:7668421:7676836:1 gene:itb14g08280 transcript:itb14g08280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MAQSGKLMPNLDQNSTKLLNLTVLQRIDAYIEEILITAAHVTFYEFNIDTSQWSRKDVEGSLFVVKRTSQPRFQFIVMNRRNADNLVEDLLGDFEYEIQVPYLLYRNAAQEVNGIWFYNPRECEEVANLFSRILNAYSKVPSKSKVPPVKSEFEELEAVPTMAVIDGPLEPSTTTTSNVRDVPDDHSFVNFFSTAMTIGSSSSTAVPGHPGVLSANPLPSRSQNGILTAVAHVQTPTPISIPTPVMPLFDAPEQDSSSKGSTNLVKPSSFFGPPPSSSPLIIPPSPSSMPTAPPLQPPGTLERPYGAPLLQPFPPPSPPPSLTPAATPIPNTVSIITRDKVREALLILVQDNEFINMVHRALMNAHH >itb14g08280.t4 pep chromosome:ASM357664v1:14:7668372:7676931:1 gene:itb14g08280 transcript:itb14g08280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MAQSGKLMPNLDQNSTKLLNLTVLQRIDAYIEEILITAAHVTFYEFNIDTSQWSRKDVEGSLFVVKRTSQPRFQFIVMNRRNADNLVEDLLGDFEYEIQVPYLLYRNAAQEVNGIWFYNPRECEEVANLFSRILNAYSKVPSKSKVPPVKSEFEELEAVPTMAVIDGPLEPSTTTTSNVRDVPDDHSFVNFFSTAMTIGSSSSTAVPGHPGVLSANPLPSRSQNGILTAVAHVQTPTPISIPTPVMPLFDAPEQDSSSKGSTNLVKPSSFFGPPPSSSPLIIPPSPSSMPTAPPLQPPGTLERPYGAPLLQPFPPPSPPPSLTPAATPIPNTVSIITRDKVREALLILVQDNEFINMVHRALMNAHH >itb03g29260.t2 pep chromosome:ASM357664v1:3:30088196:30104922:-1 gene:itb03g29260 transcript:itb03g29260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAYSLYSIPQFSATSRRLPQNPRGNPKPVTTEPLTASQSPQPFKIRTLKLAKPLVLYAVSVSKDDILAQTQEDISNSHKISNGSLAILPEESTRRVFVQDPPWISSLFLSNNLFVRAREIERAKLRIRESNRNKYRLLKRRQVKAETEAWEKEVEEYRELHREMCEKKLAPNLPSVKKLFLGWFEPLRDAIEKEQKTQRTKKNRAAYSPYIDSLPADKMAVIVMHQMMGLMMMCGDDNKYVQVLQAALQIGTAIENEVRIHSFLEKTKQLQRQTNETLSQEDLSRDPVWLRKRIKWLIKKNRLVQVQKLLKNEEFEPWGQEIRAKLGCRLIELLTETAYVQPTINQSADSPPDIRPAFRHSIKITSKGFGKNFVRRYGVIECDPLVGAGPDTVKHTLIPYIPMLVPPKKWKGYNKGGYLFLPSYVMRTHGSRHQQVAVQNAPKKQMEQIYEALNTLGNTKWRVNKRILSVVESIWAGGGNIAGMVDRNGVPIPEIDSNDIEEVQSWKWHVRKAKKINRERHSLRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPYLNHLSSDLCRGILEFAEGRPLGKSGLCWLKIHLANLFAGGIEKLSYDARLAFVENHLDDIVDSANNPLTGSRWWLRAEDPFQCLAACINLSEALRSSSPHTVISHLPIHQDGSCNGLQHYAALGRNSMEAAAVNLVAGDKPADVYSEIALRVHGIIKEDSLKDPSSNPNALLAKLIIDQVDRKLVKQTVMTSVYGVTFIGAREQIKRRLEEKGLITDDRLLFRAACYAAKVTLSALGELFQDARGIMNWLGECAMVIASQNQPISWTTPLGLPVVQPYFKSKRHTIRTSLQMLSLKCYGDSIEVRKQRTAFPPNFVHSLDGSHMMLTAIACRDAGLHFAGVHDSFWTHACDVDQMNCILREKFVELYNVPILENFIFCLQLLESFQASYPALAFPPLPERGNFDMREVLKSPYFFN >itb03g29260.t1 pep chromosome:ASM357664v1:3:30088196:30104922:-1 gene:itb03g29260 transcript:itb03g29260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAYSLYSIPQFSATSRRLPQNPRGNPKPVTTEPLTASQSPQPFKIRTLKLAKPLVLYAVSVSKDDILAQTQEDISNSHKISNGSLAILPEESTRRVFVQDPPWISSLFLSNNLFVRAREIERAKLRIRESNRNKYRLLKRRQVKAETEAWEKEVEEYRELHREMCEKKLAPNLPSVKKLFLGWFEPLRDAIEKEQKTQRTKKNRAAYSPYIDSLPADKMAVIVMHQMMGLMMMCGDDNKYVQVLQAALQIGTAIENEVRIHSFLEKTKQLQRQTNETLSQEDLSRDPVWLRKRIKWLIKKNRLVQVQKLLKNEEFEPWGQEIRAKLGCRLIELLTETAYVQPTINQSADSPPDIRPAFRHSIKITSKGFGKNFVRRYGVIECDPLVGAGPDTVKHTLIPYIPMLVPPKKWKGYNKGGYLFLPSYVMRTHGSRHQQVAVQNAPKKQMEQIYEALNTLGNTKWRVNKRILSVVESIWAGGGNIAGMVDRNGVPIPEIDSNDIEEVQSWKWHVRKAKKINRERHSLRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPYLNHLSSDLCRGILEFAEGRPLGKSGLCWLKIHLANLFAGGIEKLSYDARLAFVENHLDDIVDSANNPLTGSRWWLRAEDPFQCLAACINLSEALRSSSPHTVISHLPIHQDGSCNGLQHYAALGRNSMEAAAVNLVAGDKPADVYSEIALRVHGIIKEDSLKDPSSNPNALLAKLIIDQVDRKLVKQTVMTSVYGVTFIGAREQIKRRLEEKGLITDDRLLFRAACYAAKVTLSALGELFQDARGIMNWLGECAMVIASQNQPISWTTPLGLPVVQPYFKSKRHTIRTSLQMLSLKCYGDSIEVRKQRTAFPPNFVHSLDGSHMMLTAIACRDAGLHFAGVHDSFWTHACDVDQMNCILREKFVELYNVPILENLLESFQASYPALAFPPLPERGNFDMREVLKSPYFFN >itb10g09910.t1 pep chromosome:ASM357664v1:10:13441603:13441921:1 gene:itb10g09910 transcript:itb10g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGYPPDIVTFNCFLKINDVDDAFETWWEMDNRGCARDTETYCVMIEGLFASNNTQDACFVLEEVISRGMKLPY >itb02g14540.t1 pep chromosome:ASM357664v1:2:10586353:10589598:1 gene:itb02g14540 transcript:itb02g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILGKAAVPQTKLEAKIVEAMRRREKEGTSIKSFDNMLLKFPKIDENLQKCKAVFQEFDVDGNGAIDPTELKYCFHKLEINFTDEEINDLFEACDINHHMGIKFNEFIVLLCLAYLLRDKSNALHAQSGMDLPNLESTFETLVDAFVFLDINKDGYVSKSEMVQAINETTSGEGSSGRIALKRFEEMDWDKNGMVNFKEFLFAFTRWVGIEDMEEEDDESNA >itb15g20400.t1 pep chromosome:ASM357664v1:15:23028901:23033951:-1 gene:itb15g20400 transcript:itb15g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGNAPKTSTGEISMTENKKVASEVFVVISGDEKDSGNLNNGVRDQKAKPSSDSHSPRVPVTCSSPEIATFSPSANKPPKIPNSGLTRRKTLTRSVYSKPKSRFGEQTVTIDHDMFDEQVEQTDANASNRASPINKLGFNDAGAMYKETARTVSVCVTPKTPLMASPGGFDDEHEEIYKKVKVRQKLKLRKVKLRVLSEWSLFFCLLGCLIASLTVNKVQNWRLWDLEIWKWITLVMVTLNGLLVTKWFIHFLTLLIELNFLMRKKVLYFVYSLKKSVRVCLWLSLVLVTWASLFTHGVRRSRVANRVLDYITWTISSLLIGAFLWLLKTLLLKILASSFHVNTFFDRIQESIFHQYILLTLSGAAVMEFAGMIRRTNSTISQLSFQRTKKGKDGKEKEIIDINKLHQMKREKVSAWTMKMLVDVISNTGLSTISGSFDETTFDGEYEQPDKEITNEEEALAAAYHIFRNVAQPGSKYIDEFDLRRFLIKEEVDMVFPMIDVAETGQIDRKTLTEWVVKVYKDRKTLVHALNDTKTAVRQLNKLVTGILLIVIIIIWLLLVGIATTKVIFFLSSQLVVAAFMFGNTCKIIFEAIVFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTIFLRYDNEKIYYPNSVLATKAISNYKRSPDMSDSFEFSIDFRTPMEKIGTLNEKVKKYIEKSPLWHANHNMVVKEIENMNKIKMALYFNHTMNFQDFGEKNRRRTELILEMKKMFEDLNIRYDLLPQEVHLSESKATSGRSK >itb15g20400.t2 pep chromosome:ASM357664v1:15:23028901:23033543:-1 gene:itb15g20400 transcript:itb15g20400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGNAPKTSTGEISMTENKKVASEVFVVISGDEKDSGNLNNGVRDQKAKPSSDSHSPRVPVTCSSPEIATFSPSANKPPKIPNSGLTRRKTLTRSVYSKPKSRFGEQTVTIDHDMFDEQVEQTDANASNRASPINKLGFNDAGAMYKETARTVSVCVTPKTPLMASPGGFDDEHEEIYKKVKVRQKLKLRKVKLRVLSEWSLFFCLLGCLIASLTVNKVQNWRLWDLEIWKWITLVMVTLNGLLVTKWFIHFLTLLIELNFLMRKKVLYFVYSLKKSVRVCLWLSLVLVTWASLFTHGVRRSRVANRVLDYITWTISSLLIGAFLWLLKTLLLKILASSFHVNTFFDRIQESIFHQYILLTLSGAAVMEFAGMIRRTNSTISQLSFQRTKKGKDGKEKEIIDINKLHQMKREKVSAWTMKMLVDVISNTGLSTISGSFDETTFDGEYEQPDKEITNEEEALAAAYHIFRNVAQPGSKYIDEFDLRRFLIKEEVDMVFPMIDVAETGQIDRKTLTEWVVKVYKDRKTLVHALNDTKTAVRQLNKLVTGILLIVIIIIWLLLVGIATTKVIFFLSSQLVVAAFMFGNTCKIIFEAIVFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTIFLRYDNEKIYYPNSVLATKAISNYKRSPDMSDSFEFSIDFRTPMEKIGTLNEKVKKYIEKSPLWHANHNMVVKEIENMNKIKMALYFNHTMNFQDFGEKNRRRTELILEMKKMFEDLNIRYDLLPQEVHLSESKATSGRSK >itb15g13730.t1 pep chromosome:ASM357664v1:15:11791096:11792714:1 gene:itb15g13730 transcript:itb15g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETPTAFSGAAAMSQETAMFSDDIDSSCSTPYVSAPSSPGRGQPHCGFYYSAPASPMHFVLSTTSLISGASVHSEASAAAAAANSSFEFDFSSRLAGEGDACAGSMSSADELFFNGQIRPMKLSTHLQRPQVLAPLLDLDESEEADMDRSEEQACRGRDLKFRDRSLRRRTRSMSPLRTASFQWHEDFEDNGERKPSNEEKEKGNEGEVKHEDEANETTPCNSGSSSRSSSVGRSSKRWVFLKEFLYRSKSEGRNNPHKFWGALSFSPVKEKKTPDAPATSGGASDVKKPKPASSKEARKKPVNGNSAGTGKRRVPPSPHELHYTANRAQAEEMRKKTFLPYRQGLLGCLGFSSKSYGAMNGLARALNPVSSR >itb12g27930.t1 pep chromosome:ASM357664v1:12:28085546:28091316:-1 gene:itb12g27930 transcript:itb12g27930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCISFLRILTSSTSYSTYLFGWLVTVACGLYAVVYGFLKWKRKASLNWVKAAARAKKQAWKKLKVPLSEHTWVEDFANNGRQPSTCSVCLTSLVPPKKLGTKASSCTLVHRCSVCGVAAHFNCSKFASKDCKCVAQAGFSHVQHHWSERWIKLDVSPEMSAFCFYCDEPCGIPFLDVSPTWHCLWCQQLIHVKCHAKMSEESGDVCNLGPLRRLILSPLHVKDIETETNDCGALRQMSDKMVSSSVRGQMRRKRHRNKHGSNHMLNGTQQDPSSPKRALEFLLSGLALLKNSSGEKKDSPLVSNSESSDKNGIQNGLGRKGQSKKYALVDLPQDARPLLVFINKKSGAQNGTSLRRRLNMLLNPVQIFELSSSQGPEAGLDMFSNLQYFRVLVCGGDGTVAWVLDAIERYQFESPPPVAVLPLGTGNDLSRVLQWGGGFSMVEGQGGVGAYLHDLNNAAVTMLDRWSVDITHEKSALDTKKVKPKFMMNYLGIGCDAKVAYEFHLNREENPMKFCSRFVNKLRYAKEGAKDIVDRTCADLPWQVWLEVDGKDIHIPKDAEGLIVLNIGSYMGGVDLWQNDLEHDDDFGHQSMHDKMLEVVCVSGAWHLGKLQVGLSQARRLAQGGTIKIHISSPFPVQIDGEPFIQQPGCLEITHHRQVFMLRRTSGLDGRRGHAAAIMTEVLVDAECKGVISAAQKNMLLQQIALQLS >itb12g27930.t2 pep chromosome:ASM357664v1:12:28085546:28091266:-1 gene:itb12g27930 transcript:itb12g27930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCISFLRILTSSTSYSTYLFGWLVTVACGLYAVVYGFLKWKRKASLNWVKAAARAKKQAWKKLKVPLSEHTWVEDFANNGRQPSTCSVCLTSLVPPKKLGTKASSCTLVHRCSVCGVAAHFNCSKFASKDCKCVAQAGFSHVQHHWSERWIKLDVSPEMSAFCFYCDEPCGIPFLDVSPTWHCLWCQQLIHVKCHAKMSEESGDVCNLGPLRRLILSPLHVKDIETETNDCGALRQMSDKMVSSSVRGQMRRKRHRNKHGSNHMLNGTQQDPSSPKRALEFLLSGLALLKNSSGEKKDSPLVSNSESSDKNGIQNGLGRKGQSKKYALVDLPQDARPLLVFINKKSGAQNGTSLRRRLNMLLNPVQIFELSSSQGPEAGLDMFSNLQYFRVLVCGGDGTVAWVLDAIERYQFESPPPVAVLPLGTGNDLSRVLQWGGGFSMVEGQGGVGAYLHDLNNAAVTMLDRWSVDITHEKSALDTKKVKPKFMMNYLGIGCDAKVAYEFHLNREENPMKFCSRFVNKLRYAKEGAKDIVDRTCADLPWQVWLEVDGKDIHIPKDAEGLIVLNIGSYMGGVDLWQNDLEHDDDFGHQSMHDKMLEVVCVSGAWHLGKLQVGLSQARRLAQGGTIKIHISSPFPVQIDGEPFIQQPGCLEITHHRQVFMLRRTSGLDGRRGHAAAIMTEVLVDAECKGVISAAQKNMLLQQIALQLS >itb10g18420.t1 pep chromosome:ASM357664v1:10:24425528:24434225:-1 gene:itb10g18420 transcript:itb10g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISRAENGHNAIGSPKYSSGAKKKWSNWLPIFVAFVFIAEIAFLGRLDVTKNVDLVNSWAHSFYQFTAMPWSSTSAHGGDDRGYSGARYRRFGYESCEDWLERVDAVTYTRNFEKDPILLRAERQELAYCAVGCIIESKPNKQPDAVFGLVTKEPDTTRVLRSMESAKYYSDNNIASAKRRGFEVVMTTSLSSDVPVGYFSWAEYDLMAPLQPKTEKALAAAFISNCGARNFRLPALEALESANVSIHSYGRCHHNKDGNVNKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPSPGSVLHIKELSDAEKVAKTMKYLDENPSAYNQSLRWKFEGPSVSFKALIDMAAVHSSCRLCIHLATRIREREEKSPTFSKRPCKCTRGSETVYHVYVRERGRFEMESIFLRSSNLTMEAFESAVSLKFKSQKHVPIWKEERPKLIRGGDELTIYRIYPLGMTQRQALYSFKFEGDNQFREYIESNLCAKFEVIFV >itb10g18420.t2 pep chromosome:ASM357664v1:10:24425528:24434175:-1 gene:itb10g18420 transcript:itb10g18420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISRAENGHNAIGSPKYSSGAKKKWSNWLPIFVAFVFIAEIAFLGRLDVTKNVDLVNSWAHSFYQFTAMPWSSTSAHGGDDRGYSGARYRRFGYESCEDWLERVDAVTYTRNFEKDPILLRAERQELAYCAVGCIIESKPNKQPDAVFGLVTKEPDTTRVLRSMESAKYYSDNNIASAKRRGFEVVMTTSLSSDVPVGYFSWAEYDLMAPLQPKTEKALAAAFISNCGARNFRLPALEALESANVSIHSYGRCHHNKDGNVNKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPSPGSVLHIKELSDAEKVAKTMKYLDENPSAYNQSLRWKFEGPSVSFKALIDMAAVHSSCRLCIHLATRIREREEKSPTFSKRPCKCTRGSETVYHVYVRERGRFEMESIFLRSSNLTMEAFESAVSLKFKSQKHVPIWKEERPKLIRGGDELTIYRIYPLGMTQRQALYSFKFEGDNQFREYIESNLCAKFEVIFV >itb03g14820.t1 pep chromosome:ASM357664v1:3:14370928:14375159:1 gene:itb03g14820 transcript:itb03g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHGSFGREREKSLGRKNSKERYKGKAMNMEAKTPRSHAILSWFVTVHPHETSALISSASTFFFILSAYFVVLPLRDDGAMSLGLENLPGLFVGSLVLTLVAAPLSTLIFTLPNLSKAKALALLHRFFAISLFVFFTLWVSSTPGSSIFHLKGFLSMSTIKEELKVNVDQANPSSLAGWGNHGWFYISVRIGFFLWVALLNLVTISSTWARVIDVMDSESGSRLFGFIGAGATLGQLVGSLFATGMAWLGPYLLLVAALLMELASQSSKGINKDISHLPEEQTPIRLDDTDHANQTEPPPKNSSPRLSSTAKPQLWAILDGIRLILSSTYLQYVALFLWLGAVISSFFYFQKVTVIAKTVTNPVGRRRLLAEINSFIAVFILIGQLTLTGRILTVAGITLAICSSPFVACINLITLAIWPTWVQVAISETLRKVVNYVVTRPGRELLFTVVSQEEKYKAKVCIDVIVQRLGDATAAGMYKLLFSTLNGKVSTVSLYALPVCFLWIMTGFHLGRRQKQLSRLQSFAAVVEMAGN >itb05g24650.t1 pep chromosome:ASM357664v1:5:29347453:29348438:1 gene:itb05g24650 transcript:itb05g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKALKQSTCLCSLFSHLKYLLSLPSHHNLPSPLFIIPHFLPSHPREEEEEKKMAVKKTSPSSSSVAKHTSTTTQTLALKQILKRCSSFGRKQQHGGYDDVPKGHFVVYVGQHRSRYIIPISCLSHHQFQTLLQRAEEEFGFNHDMGLTIPCDEHHFCSLISMLTSDQYNISCF >itb02g16080.t1 pep chromosome:ASM357664v1:2:11847854:11848809:1 gene:itb02g16080 transcript:itb02g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRSSSSSSASSFTEEEINALVNKLQALLPASTSRSYTNKVAASEIVEETCNYIKRLHREVDGLCERLSQILSSNDTTNLDLETLIRNLLQQ >itb12g00570.t1 pep chromosome:ASM357664v1:12:428070:430301:-1 gene:itb12g00570 transcript:itb12g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWTIVAIVIAIYLLRELLKIKKKLPPGPKGIPILGHLHLLGKTPHQDLHNLAKKHGPIMYMRWGFVPVVIVSSPEIAELVLKTFDHVFADRPYHEASMYIAYGQRNFIFSKYGSYWRNVRKMCTLQLLSSHKINSMQSMRRREVSLLIACLKRAAVDGGAVDLSAMVSALSAEMSFLMVMGKKYLSEEIGEKGFVAVVREVVHLAAMPNLGDFIPYLGMIDFQGLTRRMKAVAKVFDGFLEKIIEEHVQSKGEKESKDFVDTMLEIMQSGQTDFEFDRRHVKAIMLDMISASMDTSATSIEWIMTELIRHPQAMKKLQKELEEVVGMERMVEESDLEKLKYLDMVVKEGMRLHPAVPLLHHESMEDCQVKGYHIPKGSRVVINLLAVQKDPIAWPEPDKFIPERFAGNSIDLRGRDFELLPFGSGRRSCPGIQLGIIVVRLVVAQLVHCFNWKLPNGMHPNELDMSELFGLATGRAKPLMAVPTYRLHK >itb07g09790.t1 pep chromosome:ASM357664v1:7:9536332:9544534:1 gene:itb07g09790 transcript:itb07g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFCMNELCGSTTSSEWKKGWGLKSGGFATLCYSCGSAYEKVAFCETFHLDESGWRECRMCRKRIHCGCIASKYLFEYMDLGGIGCLSCARRLGGQALRPIEVPTDDVPSGILAMKNNADTQPIRVENRMDGNIFDKGRLLQLGKSIEAREPIQLFKSQNDDKIKTEEPTIPAVEVMSCFPNLNQQSLRTSVLEKAENCIPKQAVKDTPDSFNQPSLNFSLSTPTSTSSSVLPFPSGVGKISPFQQGQKPHHILPKPPKAGSNLGFESKSGISTPTRVARPPAEGRGGRNQLLPRYWPRITDQELQKLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPYINHSEGIPIKMQDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVTFSRIDPEGKLVMGFRKATNNAEMQVSQNSAPTNGGCSTEIPLSVATNNHTNGGRETDKLLQRNVLSPEKKKVRNIGCKNKRLLMHSEDAMELRITWEEAQELLRPPPTVNPTIVVIENQEFEEYDEPPVFGKRTIFTSLSSGEHGQWAQCGNCSKWRRLPVHVLLPANWTCSDNVWDSNRCSCSAPNEMNQKELDAFLRVSKETKRRRIIESSNEGEPSGLDALATVAVLGESMSELGEPSAGATTKHPRHRPGCTCIVCIQPPSGKGKHEPTCKCNVCLTVRRRFKTLMLRKKKKQSERELELAQGKDEVPRKDGSDMDHAAAAAAVNEPGSIPMNHPENEDRHDAMETSKGQLDLNCHPNREDDMQPEEASGMCMPSLVNTADLPSRMYNLAMTTGKAQTAEETKGKQSKHPDDRHITPAETKSENEPIEG >itb07g09790.t3 pep chromosome:ASM357664v1:7:9536435:9544534:1 gene:itb07g09790 transcript:itb07g09790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFCMNELCGSTTSSEWKKGWGLKSGGFATLCYSCGSAYEKVAFCETFHLDESGWRECRMCRKRIHCGCIASKYLFEYMDLGGIGCLSCARRLGGQALRPIEVPTDDVPSGILAMKNNADTQPIRVENRMDGNIFDKGRLLQLGKSIEAREPIQLFKSQNDDKIKTEEPTIPAVEVMSCFPNLNQQSLRTSVLEKAENCIPKQAVKDTPDSFNQPSLNFSLSTPTSTSSSVLPFPSGVGKISPFQQGQKPHHILPKPPKAGSNLGFESKSGISTPTRVARPPAEGRGGRNQLLPRYWPRITDQELQKLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPYINHSEGIPIKMQDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVTFSRIDPEGKLVMGFRKATNNAEMQVSQNSAPTNGGCSTEIPLSVATNNHTNGGRETDKLLQRNVLSPEKKKVRNIGCKNKRLLMHSEDAMELRITWEEAQELLRPPPTVNPTIVVIENQEFEEYDEPPVFGKRTIFTSLSSGEHGQWAQCGNCSKWRRLPVHVLLPANWTCSDNVWDSNRCSCSAPNEMNQKELDAFLRVSKETKRRRIIESSNEGEPSGLDALATVAVLGESMSELGEPSAGATTKHPRHRPGCTCIVCIQPPSGKGKHEPTCKCNVCLTVRRRFKTLMLRKKKKQSERELELAQGKDEVPRKDGSDMDHAAAAAAVNEPGSIPMNHPENEDRHDAMETSKGQLDLNCHPNREDDMQPEEASGMCMPSLVNTADLPSRMYNLAMTTGKAQTAEETKGKQSKHPDDRHITPAETKSENEPIEG >itb07g09790.t2 pep chromosome:ASM357664v1:7:9536435:9544534:1 gene:itb07g09790 transcript:itb07g09790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFCMNELCGSTTSSEWKKGWGLKSGGFATLCYSCGSAYEKVAFCETFHLDESGWRECRMCRKRIHCGCIASKYLFEYMDLGGIGCLSCARRLGGQALRPIEVPTDDVPSGILAMKNNADTQPIRVENRMDGNIFDKGRLLQLGKSIEAREPIQLFKSQNDDKIKTEEPTIPAVEVMSCFPNLNQQSLRTSVLEKAENCIPKQAVKDTPDSFNQPSLNFSLSTPTSTSSSVLPFPSGVGKISPFQQGQKPHHILPKPPKAGSNLGFESKSGISTPTRVARPPAEGRGGRNQLLPRYWPRITDQELQKLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPYINHSEGIPIKMQDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVTFSRIDPEGKLVMGFRKATNNAEMQVSQNSAPTNGGCSTEIPLSVATNNHTNGGRETDKLLQRNVLSPEKKKVRNIGCKNKRLLMHSEDAMELRITWEEAQELLRPPPTVNPTIVVIENQEFEEYDEPPVFGKRTIFTSLSSGEHGQWAQCGNCSKWRRLPVHVLLPANWTCSDNVWDSNRCSCSAPNEMNQKELDAFLRVSKVKFATFSCPETKRRRIIESSNEGEPSGLDALATVAVLGESMSELGEPSAGATTKHPRHRPGCTCIVCIQPPSGKGKHEPTCKCNVCLTVRRRFKTLMLRKKKKQSERELELAQGKDEVPRKDGSDMDHAAAAAAVNEPGSIPMNHPENEDRHDAMETSKGQLDLNCHPNREDDMQPEEASGMCMPSLVNTADLPSRMYNLAMTTGKAQTAEETKGKQSKHPDDRHITPAETKSENEPIEG >itb10g18590.t1 pep chromosome:ASM357664v1:10:24508831:24511452:1 gene:itb10g18590 transcript:itb10g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGEGKKRVVVESLGWLTESTIMPKKHRAIDGVGASSILELKAQLYKSQEESKRLSKETVTAADQQYSHLQVHRAKSKISAHDPFAAKNSGVDARAAKDKLELKAIKDGATSYAALERKAELYDKLVRGELSDEEDQEKYCVDFLRKGLEQEEPKQPQQHETSCSEPPEEDGEDDGSRPTNTRAPGLGRVSATMDRSEHKRFVMEVHEEANQARQKASELKVRRQEQVAARREKLKQAYLKKQCEKLIAQAKASQ >itb08g03930.t1 pep chromosome:ASM357664v1:8:3157095:3158114:1 gene:itb08g03930 transcript:itb08g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGEDKEIGDGGGFQAGVDDAPPPPPENQENSAGGPRVIRYRECLRNHAVSIGGSVTDGCGEFMPAGEEGTVASLKCAACNCHRNFHRQETPHGDGGAPPPPNPPALPSFASMTHRHNAWASLAALSPKTFGGAKPPTTAVAVSTAATSTATVASSFQEPNFNPHKCFTSAPFPSAATATAAPAPATVASSFQEPNFNPHKCFSSAPFPTPATAAPVTVASSFQEPTFNPHKCFNSAPFPSPATAAAPAPAPPSETRGKKRFRTKFTADQKEKMTEFADKIGWRIPREEENINGVERFCGEIGVKRQVFKVWMHNNKSSAKPNATSPSQSSSSSINN >itb05g27310.t1 pep chromosome:ASM357664v1:5:30748040:30751737:1 gene:itb05g27310 transcript:itb05g27310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNGPLAQYDTSKAIANLLYDNINEKVQKEEEEQEKRSSIAMATKRITIFSPQFSRIKAFSEMGFLEKPETSAKTNVIKNQAASQGIDKSIYAILTVDRWESLNQMEYKLASLRPVHGRLALKFFKWFIKQPGVELNHIIHMHCITSHILVRARMYDSAKSIFRDLSEMGVGSKSVLAALMDTYSLCNSNPSVFDVLIRVYVREGMVKDAVEAFYWIGSCGITPSVYTCNMILAAISKCQGDEFVWSFFKEMLAKCICPNLNTFNILLHVLCTTGKLKKANFLLKTMEESGYIPDVVTYNTLLNWYCKKGRYKSASELIDRMACKGLKADVCTYNMFIDDLCKNNRSAKGYLLLKKMRKRLVIPNEITYNTLINGFMKEGKIAVAMKIFHEMMKLNLSPNCITFNALIDGYCRAGKLEDASELLKEMETRGLHPNEVSYGALLNGFCRHGKLDSARHIFERMRKNDMALDHVVYTMLIKGLCKRGMLEESLELFNEMVGSDICPDAIVYSVLLNGFFSAGRIKHAEEILCKMYKFVALPNEIMHSTLVYNFCKQKDVIKAMKICKVMLENGHGADLSVCNLLISCLCKCGKVREAEDFMRHMQRIGLVPNAVSFDFVISGYGHEGDGFKALSLFDEMIKLGNHPTLHTYGSILKGLCKGGNFMEAIKLFDRLRDAHSVVDVNIYNTVLAEICNLGDMNMALILFDEMVQNHVIPDGYTYANLITGLCRNGKIAAAVLILSRALERGTSFSNRLMYTSIIDGLFKSGLPKVAAYFYDEMIKQGVRPDTMTLNAMIDGYSRISQMARANRFFSIMRVKSLSPNLDTYNSLLHGHSRLRNMSECSELYHSITRNGLSPDMSTRQSVIFALCESGMLDVGVKFMKKMIMEGAVIDKLSFNMLIAKYSEKGEMQKAFDVLNVMNLVGDFPNGDTYESILKGLKRTSNFQASRIILHEMLENGFMPTNRQYSGVVTGMCRVGDIQGAFKLKDEMESLGVSSRNVAESAIVRGLVRRGKMGEAMFVLDCMLRVQLLPSVATFTTLMYGLCKEAKISDALKLKDAMELHGAKPDVVVYNVLITGLCVNDDIDQAFNLYKEMKQRGLCPNVTTFATLVNAVQSGNDPLKAETLLVDLQERGLISQKPSPEAIHERLTVVMQKLNFLRKKRTHSSKERVKSASNVAEKYNHYGVW >itb05g11230.t1 pep chromosome:ASM357664v1:5:17232830:17235056:1 gene:itb05g11230 transcript:itb05g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYATAGVFRRVPYAVSSGIGSRSSHHAKIPFSVGRKWLVSGFTDEGHLGYYSTSRRRIRCEVESETMRRDDKVKDKKRKKMLKKRSKLLKNLSKDLSTLTQIGFGMDCSNPCDLIDQDQEKKISEAAETLLAQLQKLRANECEDSSSSSSESSDSECEGVANMNKMKPKPKPKPKPVAEKAPLMLSTILTTQVDAIDVEPVIVVPESQPAIPDSIISLGQSAGVGGITKEDETTTKKIEVCMGGKCKKLGAEAILEELERVVEMEGGGVSVCGCKCLGKCKVGPNLRLSSSSAAEGLSESGVKLPPTRSLRLGVGVEDASLILRQELGLAASSSLVD >itb14g03910.t3 pep chromosome:ASM357664v1:14:3524724:3528420:-1 gene:itb14g03910 transcript:itb14g03910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIRTPTHFCVPPQLKSSFRRSSVLAVSRGLELRCPVFSFAARKKQEGVQLRRKGRGIDSCCWCGGGGGSASAEGDAELEARILEFMRDSEKPGAFPSRKELEEAGRFDLVEAIRRKGGWFLLGWESEDDENETLGAQEAEAVDIDFDMEEFRRRVKSCQDSGAFDNIEEDSLCLNGSSESVSSSGRSLENGVEGILSRLEKHRKSSFDMNSDKSSNGKWALNRDDGNDQNVMTTAVGRSNLGQTITDASDSWRTWSIQRAGFQDAEFEPEEITFGQNQEGKRNSIEHSVVVTEKGTGDLHRQSGVNHKDIRARIQHLEVELNSALQLIRFKSEENSSKEVIGSSPTDLQKLSDALEFQENQLMHAQMRLRSIRAKLTVLEGKMALATTDAQKTMEKKQNRINGAYLATQLLRTTHIVWPNSASEVLLAGSFDGWTSQV >itb14g03910.t4 pep chromosome:ASM357664v1:14:3523911:3528181:-1 gene:itb14g03910 transcript:itb14g03910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIRTPTHFCVPPQLKSSFRRSSVLAVSRGLELRCPVFSFAARKKQEGVQLRRKGRGIDSCCWCGGGGGSASAEGDAELEARILEFMRDSEKPGAFPSRKELEEAGRFDLVEAIRRKGGWFLLGWESEDDENETLGAQEAEAVDIDFDMEEFRRRVKSCQDSGAFDNIEEDSLCLNGSSESVSSSGRSLENGVEGILSRLEKHRKSSFDMNSDKSSNGKWALNRDDGNDQNVMTTAVGRSNLGQTITDASDSWRTWSIQRAGFQDAEFERIYFLSSNYHPLKPGMNIYIYIYIYIYIYISKFFLSFFIHSFYLKCAAEEITFGQNQEGKRNSIEHSVVVTEKGTGDLHRQSGVNHKDIRARIQHLEVELNSALQLIRFKSEENSSKEVIGSSPTDLQKLSDALEFQENQLMHAQMRLRSIRAKLTVLEGKMALATTDAQKTMEKKQNRINGAYLATQLLRTTHIVWPNSASEVLLAGSFDGWTSQRKMEKSSAGIFSVTMLLYPGRYEIKFIVDGKWKVDPLRPIIVNHGHENNLLIIS >itb14g03910.t2 pep chromosome:ASM357664v1:14:3523559:3528420:-1 gene:itb14g03910 transcript:itb14g03910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIRTPTHFCVPPQLKSSFRRSSVLAVSRGLELRCPVFSFAARKKQEGVQLRRKGRGIDSCCWCGGGGGSASAEGDAELEARILEFMRDSEKPGAFPSRKELEEAGRFDLVEAIRRKGGWFLLGWESEDDENETLGAQEAEAVDIDFDMEEFRRRVKSCQDSGAFDNIEEDSLCLNGSSESVSSSGRSLENGVEGILSRLEKHRKSSFDMNSDKSSNGKWALNRDDGNDQNVMTTAVGRSNLGQTITDASDSWRTWSIQRAGFQDAEFEPEEITFGQNQEGKRNSIEHSVVVTEKGTGDLHRQSGVNHKDIRARIQHLEVELNSALQLIRFKSEENSSKEVIGSSPTDLQKLSDALEFQENQLMHAQMRLRSIRAKLTVLEGKMALATTDAQKTMEKKQNRINGAYLATQLLRTTHIVWPNSASEVLLAGSFDGWTSQLKWLQRKMEKSSAGIFSVTMLLYPGRYEIKFIVDGKWKVDPLRPIIVNHGHENNLLIIS >itb14g03910.t1 pep chromosome:ASM357664v1:14:3523559:3528420:-1 gene:itb14g03910 transcript:itb14g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIRTPTHFCVPPQLKSSFRRSSVLAVSRGLELRCPVFSFAARKKQEGVQLRRKGRGIDSCCWCGGGGGSASAEGDAELEARILEFMRDSEKPGAFPSRKELEEAGRFDLVEAIRRKGGWFLLGWESEDDENETLGAQEAEAVDIDFDMEEFRRRVKSCQDSGAFDNIEEDSLCLNGSSESVSSSGRSLENGVEGILSRLEKHRKSSFDMNSDKSSNGKWALNRDDGNDQNVMTTAVGRSNLGQTITDASDSWRTWSIQRAGFQDAEFEPEEITFGQNQEGKRNSIEHSVVVTEKGTGDLHRQSGVNHKDIRARIQHLEVELNSALQLIRFKSEENSSKEVIGSSPTDLQKLSDALEFQENQLMHAQMRLRSIRAKLTVLEGKMALATTDAQKTMEKKQNRINGAYLATQLLRTTHIVWPNSASEVLLAGSFDGWTSQRKMEKSSAGIFSVTMLLYPGRYEIKFIVDGKWKVDPLRPIIVNHGHENNLLIIS >itb13g20390.t1 pep chromosome:ASM357664v1:13:27197513:27200534:-1 gene:itb13g20390 transcript:itb13g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MGKKMRNLSCNSCSISVKVVFLLCILCLDMSCVQCQSWVVKDNSSEPPVPPIPPQIRKKFQRILLSILLGTLTGLISALLFAWLVRCFVRYINKAPILKGPVVFSPKIPPKTLQAALANQPELLGSSPNGKYYKTVLDNGLTVAVKWLETFESGSPETQSKSVKRRVQQELEVLASLRHRNLMSLRAYVRESNRYYLVYDYMPTGSLEDALSRVRENQLELKWEARLRIAVGIVKGLQYLHFTCNPRFLHCNLKPSNIMLDAESEPRLADCGLAKIIPNFDRAASSYSPPESYQSCSRYTDKSDIFSFGVILAVLLTGRNPMDPFFNDASSGGSLGRWLHRLQEAGEAREALDKSILGEEMEEDEMLMAVKIAVVCLSDMPTDRPSSDELVSMLTQLNSF >itb06g25980.t1 pep chromosome:ASM357664v1:6:27054175:27056393:-1 gene:itb06g25980 transcript:itb06g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFANYSSLGYKDTWKGSLKLQLINQRFDFSCVHRWILDSILTQEFLDGLETFIQFATCQHSSWIGGNELGVYVISASVKTIAK >itb06g25980.t2 pep chromosome:ASM357664v1:6:27055346:27056393:-1 gene:itb06g25980 transcript:itb06g25980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFANYSSLGYKDTWKGSLKLQLINQRFDFSCVHRWILDSMLLSILSLFQLYVYLVCIFSMIKLFIVVCLVPTE >itb02g14170.t1 pep chromosome:ASM357664v1:2:10367399:10373296:-1 gene:itb02g14170 transcript:itb02g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIFCDEVPKTSENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFLTYGKQPHLNGLYTIFGKVIHGFEVLDLMEKAQIGPGDRPLAEIRLNRVTIHANPLAG >itb03g22050.t3 pep chromosome:ASM357664v1:3:19999716:20011133:1 gene:itb03g22050 transcript:itb03g22050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPHPTMTLPSPRSQVLDESIIKEQVLSTHNYDGRKFNTNFILSIVENILSIKMCYGVEGIAEEAGVEKLNQLEELINYEELPSHIRQLSFEIASAGLKSSAKNEHSTTIDVLRILCSYCWEDKMVLMLSAFSIVYGELSRVSRLAMPQKLEQLSQKAVVDCITSVLQLTKCVVELKQSTSSNYSTPQSIISALPIASYWIGRSVIGSVAAAYYCDSQVAELTTSTAAILHTFSSELEKKRAEESYEALKRALYYTSSSKVEVFKLMFNVKDGEMRFKDNGLNDWNTTRVALLITQGLDISDLRIYFLNWFAERAKTRLLWIPIPQNDDASWTTKDEQQFAELKRRMPSLYWSDNLQKKISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWTFKYIEENTLGVQGIYSITALVKEELKKGTSNINRVIPEINDMISVLVGDINHKIVAWGQNIEKRIQHLIEQSTPYNHEREKFLWQQEPNFSLDRVVGTHGRHVYIDYEIQNWFESFQFRAQFPRGLCMASEIEVVEEVELRDRGSAAATTSSSNTGVASGSVVEEDSLRNDVYTAAAYGDMEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRIAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAVSVSELLLQEGARINVVDIYGYQATHVAAQYGQTAFLYYIVTKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLVVTDHTGLTPAQLASDKNHRQVAFFLGNARKLFEKRCDGNSRLGKISKLGFAPILWCIIFLLLVTYIHSVIMAPTLPKLTAGGALFAWLGVFIATSGLVMFYRCSSNDPGYIRMSLHDSHDTKDDEPLLKLEMNHPALVAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFLFLVLEVLAMLITGGVTLTRVLTDPVAPSSFGAWLNHAGTQHIGAIIFLLADFFLFSGVTVLTVTQASQISRNITTNEMANALRYNYLRGPGGRFRNPYDHGCKKNCSDFLINGYNEDIECSEESAEGEGVGMIQMAASSALQNGACHSQQTNGNDHVVINVNKNTSSHQNHVHASHCNHHNSSKNKSESVPLGLGMGLGRNAGRTGVVS >itb03g22050.t2 pep chromosome:ASM357664v1:3:20000188:20011133:1 gene:itb03g22050 transcript:itb03g22050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYWSDNLQKKISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWTFKYIEENTLGVQGIYSITALVKEELKKGTSNINRVIPEINDMISVLVGDINHKIVAWGQNIEKRIQHLIEQSTPYNHEREKFLWQQEPNFSLDRVVGTHGRHVYIDYEIQNWFESFQFRAQFPRGLCMASEIEVVEEVELRDRGSAAATTSSSNTGVASGSVVEEDSLRNDVYTAAAYGDMEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRIAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAVSVSELLLQEGARINVVDIYGYQATHVAAQYGQTAFLYYIVTKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLVVTDHTGLTPAQLASDKNHRQVAFFLGNARKLFEKRCDGNSRLGKISKLGFAPILWCIIFLLLVTYIHSVIMAPTLPKLTAGGALFAWLGVFIATSGLVMFYRCSSNDPGYIRMSLHDSHDTKDDEPLLKLEMNHPALVAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFLFLVLEVLAMLITGGVTLTRVLTDPVAPSSFGAWLNHAGTQHIGAIIFLLADFFLFSGVTVLTVTQASQISRNITTNEMANALRYNYLRGPGGRFRNPYDHGCKKNCSDFLINGYNEDIECSEESAEGEGVGMIQMAASSALQNGACHSQQTNGNDHVVINVNKNTSSHQNHVHASHCNHHNSSKNKSESVPLGLGMGLGRNAGRTGVVS >itb03g22050.t1 pep chromosome:ASM357664v1:3:20004237:20011344:1 gene:itb03g22050 transcript:itb03g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEVELRDRGSAAATTSSSNTGVASGSVVEEDSLRNDVYTAAAYGDMEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRIAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAVSVSELLLQEGARINVVDIYGYQATHVAAQYGQTAFLYYIVTKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLVVTDHTGLTPAQLASDKNHRQVAFFLGNARKLFEKRCDGNSRLGKISKLGFAPILWCIIFLLLVTYIHSVIMAPTLPKLTAGGALFAWLGVFIATSGLVMFYRCSSNDPGYIRMSLHDSHDTKDDEPLLKLEMNHPALVAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFLFLVLEVLAMLITGGVTLTRVLTDPVAPSSFGAWLNHAGTQHIGAIIFLLADFFLFSGVTVLTVTQASQISRNITTNEMANALRYNYLRGPGGRFRNPYDHGCKKNCSDFLINGYNEDIECSEESAEGEGVGMIQMAASSALQNGACHSQQTNGNDHVVINVNKNTSSHQNHVHASHCNHHNSSKNKSESVPLGLGMGLGRNAGRTGVVS >itb04g03410.t1 pep chromosome:ASM357664v1:4:2101821:2103098:1 gene:itb04g03410 transcript:itb04g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDSFPEWVTQGARQLLQSSTDPSKANIIVAKDGSGHFKTLREGVNASHAGNHSNKFIIYIKEGVYDETVKMSGERFDHIMLLGDGILKTIITGNRSVGGGIETFNTSTFAVEAFGFMAKGITFRNTAGPENYQALALGCSSREVVLYQCSFEGYQDTLYLGVGRQFIRECDIYGTVDFIFGYGTTVVQNSNIYVRNPPKKVNVITAHHEEKPYSPGGFSFQNCTIRAARELVGSLDTVKTYLGRPWGRYAITVFMECYMDALIDPAGWTPWDNTNQGLDTLYYGEYENTGPGAQTANRVRWPGYHAITSPGEAAKFTVDNFIHGNEWLPELNVPFAPVFVLGRNAVLSAMREMPVVGGSGLFR >itb09g04080.t2 pep chromosome:ASM357664v1:9:2270787:2273983:1 gene:itb09g04080 transcript:itb09g04080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPIVIVGVVGYVKTPRGLRCLNTVWAQHLNEEVRRRFYKNWVKSKKKAFTKYSKKYESEDGKKDIQAQLDKLKKYACVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKAGQESHTAITEFDRTEKDITPMGGFPHYGVVKDDFLLIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKIYGRLKA >itb09g04080.t1 pep chromosome:ASM357664v1:9:2270787:2273983:1 gene:itb09g04080 transcript:itb09g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPIVIVGVVGYVKTPRGLRCLNTVWAQHLNEEVRRRFYKNWVKSKKKAFTKYSKKYESEDGKKDIQAQLDKLKKYACVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKAGQESHTAITEFDRTEKDITPMGGFPHYGVVKDDFLLIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKIYGRLKA >itb05g05810.t1 pep chromosome:ASM357664v1:5:5684473:5691914:-1 gene:itb05g05810 transcript:itb05g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSSSSAAIMLSSEAAVRAAGDAIAPYLGVLWLQAKALLIVPVLRVMVYLCLVMLIMILIEKVYLGGISAYLKLFRRTPAKQYKWEPIKKDDDAEIGNSGYPVVLVQIPMCNEKRVYQLSIGAACNLSWPANRIIIQVLDDSTDRETKALVQQECRRWAGKGVNIKYETRDNRKGFKAGSLKQGMKHSYVKSCEYVAIFDADFEPDPDFLYRTIPFLVHNPDIGLVQARWKYVNANECMLTRLQEMSMDHHFSVEQEVGSSIHAFFGFNGTAGIWRIAALDDAGGWKDRTTVEDMDLGCRAGLKGWKFVFLGDVRVKSELPSSFKAYRYQQHRWSCGPANLFKKMTVEIMRNKKVTIWKKLYLIYAFFFVNKIVAHVLTFIYYCLVLPATVLIPEVKIPLWGALYVPTLTALLTLLPCPRSLHLTVFWMLFENVMALHRTIGTFIGLLEVGRVHEWVVTEKQGTAALNTKTAQPKAAKKVPRFRLGERLHLWEIIVGFYLLFCGWYNLIFGDNYYYVYLFLQGVSFLVIGFGYIGAFVTTS >itb02g19070.t2 pep chromosome:ASM357664v1:2:15889218:15891414:1 gene:itb02g19070 transcript:itb02g19070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNHFTPADMQSLKHPLFPLLNIDPTMEILNQFPDLINPTFLEFPTLNFQTSSSSAVGFSPDTFHTPEFHANSSHHGFHLGNSLQDHEKKLLPRPDQPRNTELHESRKWKIIDQTPESSLTHSSPPASENGTKRTHCVGRRKRKRGGEDEEEEKPREVVHVRAKRGQATDSHSLAERVRRGKINDRLKCLQEIVPGCYKAMGMAVMLDEIINYVQSLQNQVEFLSLKLAAASTYYDFNSETDNRGTMQVL >itb02g19070.t1 pep chromosome:ASM357664v1:2:15889218:15891414:1 gene:itb02g19070 transcript:itb02g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNHFTPADMQSLKHPLFPLLNIDPTMEILNQFPDLINPTFLEFPTLNFQTSSSSAVGFSPDTFHTPEFHANSSHHGFHLGNSLQDHEKKLLPRPDQPRNTELHESRKWKIIDQTPESSLTHSSPPASENGTKRTHCVGRRKRKRGGEDEEEEKPREVVHVRAKRGQATDSHSLAERVRRGKINDRLKCLQEIVPGCYKAMGMAVMLDEIINYVQSLQNQVEFLSLKLAAASTYYDFNSETDNRGTMQRAKAYEGLKIQKPVREGCEGVSAATQFLPLHHNYGCCYPSLPHST >itb02g19070.t3 pep chromosome:ASM357664v1:2:15889218:15891414:1 gene:itb02g19070 transcript:itb02g19070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNHFTPADMQSLKHPLFPLLNIDPTMEILNQFPDLINPTFLEFPTLNFQTSSSSAVGFSPDTFHTPEFHANSSHHGFHLGNSLQDHEKKLLPRPDQPRNTELHESRKWKIIDQTPESSLTHSSPPASENGTKRTHCVGRRKRKRGGEDEEEEKPREVVHVRAKRGQATDSHSLAERVRRGKINDRLKCLQEIVPGCYKVVTYLI >itb03g05340.t1 pep chromosome:ASM357664v1:3:3668813:3674508:1 gene:itb03g05340 transcript:itb03g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQPHEGENKCLNSELWHACAGPLVCLPTVGSRVVYFPQGHSEQVAATTNKEVDGQIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTYLPVELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPCQELIARDLHDVEWKLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWANSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYSSLFPLRLKRPWYPGPSSFQDSNNEVINGMTWLRGEIGEQGGPQSLNVQSFGMLPWMQQRVDPAMLRTDINQQYQAMLAAGMQNFGSADLFKQQLMQFQQPVQYLQASGSHNPLLQQQQVIQQPMSSHMLPAQTQMLSDSLQRHPQQQTSGQTEEPAQQHAYQEAFPVSHDQLQQRPLSNIPSPSFSKTNFADTNSKFAPPVSPSSMQSMLGSLCPEGSSNLLNFKRTGQSALNEHQPQQSWLPKFANSHISTCSNSVSTPSYPGKEASSQQETCAVDAHNQSFFGASIDSPGLLLPTTLSSVTTSVVADVSSLPSGASGFQNSPYGYVQDSSELVSSAGQDDQSTPRTFVKVYKSGYVGRSLDITRISSYHELRQELAQMFGIEGLLEDPQRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKLGKQEAKSLSRNTIERMHGSGADGRDHLSGFPSLGSLEY >itb14g03940.t1 pep chromosome:ASM357664v1:14:3545304:3546867:1 gene:itb14g03940 transcript:itb14g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANHSSSSSSTWPESNGSTTKKPLVIAFHSSMNWRIHFDASKQTKQLMVIDFTATWCGPCKYMEPIVHEFAAHYSDVEFVKIDVDELGDVAQDFGVESMPTFVLVKKGKVIEKVIGADREQLQKKIQKHRY >itb06g20880.t1 pep chromosome:ASM357664v1:6:23671456:23674267:1 gene:itb06g20880 transcript:itb06g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYFGAPAAPPRGRELSCLYTLVPWRHLRPFSTHSITTVLGSLTYRKPLSLPLCPPFQPLLNSFIFFYSPSLFFLITTVASSYLLPKSKHTDKSMATPLFTSSFFFLLLLHLTAAQSFIGVNYGQVADNLPAPEATAKLLQSTSIERVRLYGADPAMIRALADTGIGIMIGVANGDIPTMASDPGVAKNWVSANVLPFFPASKITTINVGNEILYSGDRNLMTQLLPAMQNMENALGAASLAGKIKVSTVHGMSLLRSSDPPSSGSFDPAVTDLLKGLLGFNNATGSQFWVNPYPFFAYQSDPRPETLAFCLFQPNSGRVDSGTKIKYMNMFDAQLDAVRSALNALGFKDVEIGVAETGWPYKGDSTEVGPSIENAKAYVGNLIAHLRSLVGTPLMPRKSVDTYLFALYDEDLKPGPTSERSFGLFRPDLTMTFDAGLSKTVTPVTPVTPSPKSGAWCVPKQGVSDAQLQANLDYVCGQGIDCSAIQPGGPCFEPNTVASHAAYAMNLLYQTAGKNPWNCDFSQTATLSSTNPSYDGCTYPSGST >itb06g20880.t2 pep chromosome:ASM357664v1:6:23671456:23674267:1 gene:itb06g20880 transcript:itb06g20880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYFGAPAAPPRGRELSCLYTLVPWRHLRPFSTHSITTVLGSLTYRKPLSLPLCPPFQPLLNSFIFFYSPSLFFLITTVASSYLLPKSKHTDKSMATPLFTSSFFFLLLLHLTAAQSFIGVNYGQVADNLPAPEATAKLLQSTSIERVRLYGADPAMIRALADTGIGIMIGVANGDIPTMASDPGVAKNWVSANVLPFFPASKITTINVGNEILYSGDRNLMTQLLPAMQNMENALGAASLAGKIKVSTVHGMSLLRSSDPPSSGSFDPAVTDLLKGLLGFNNATGSQFWVNPYPFFAYQSDPRPETLAFCLFQPNSGRVDSGTKIKYMNMFDAQLDAVRSALNALGFKDVEIGVAETGWPYKGDSTEVGPSIENAKAYVGNLIAHLRSLVGTPLMPRKSVDTYLFALYDEDLKPGPTSERSFGLFRPDLTMTFDAGLSKTVTPVTPVTPSPKSGAWCVPKQGVSDAQLQANLDYVCGQGIDCSAIQPGGPCFEPNTVASHAAYAMNLLYQTAGKNPWNCDFSQTATLSSTNPSKLLHSSQTFLELC >itb04g05400.t2 pep chromosome:ASM357664v1:4:3476355:3479041:-1 gene:itb04g05400 transcript:itb04g05400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPSTSLFFIRSFTIILLSSCIIIDFDLCFSTVPSSSSSSSLEALRLEGHFTFENNEFAARDFGNRYHFHPLAVLHPKSVADIAETIKHVWRMGPGSGLTVTARGHGHSLQGQSQSQQGIIISMEALGGRELRVHTAGESPFVDVCAGELWITVLHETLKHGLAPKSWTDYLHITVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVVNCSKEQNADLFHGVLGGLGQFGIITKARISLEPAPKMVKWIRVLYSDFFAFTRDQEKLISAEKTFDYIEGMVIKNRTGLLNNWRVSFDPQDPVHSKEFVSDGRTLYCLEVTKTFNPENSDTITQEIEGMLSQLSYIPSTLFMSEVSYVEFLDRVHTSEIILREKGLWDLPHPWLNLLIPRSKIHSFASHVFGNILTDTNNGPVLIYPVNKSK >itb04g05400.t1 pep chromosome:ASM357664v1:4:3476355:3479054:-1 gene:itb04g05400 transcript:itb04g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPSTSLFFIRSFTIILLSSCIIIDFDLCFSTVPSSSSSSSLEALRLEGHFTFENNEFAARDFGNRYHFHPLAVLHPKSVADIAETIKHVWRMGPGSGLTVTARGHGHSLQGQSQSQQGIIISMEALGGRELRVHTAGESPFVDVCAGELWITVLHETLKHGLAPKSWTDYLHITVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVVNCSKEQNADLFHGVLGGLGQFGIITKARISLEPAPKMVKWIRVLYSDFFAFTRDQEKLISAEKTFDYIEGMVIKNRTGLLNNWRVSFDPQDPVHSKEFVSDGRTLYCLEVTKTFNPENSDTITQEIEGMLSQLSYIPSTLFMSEVSYVEFLDRVHTSEIILREKGLWDLPHPWLNLLIPRSKIHSFASHVFGNILTDTNNGPVLIYPVNKSKWDNRTSAVLPEEDVFYQVAFLYHANPTSNGTDGLEHILTQNQKILDFCEAAHLGVKQYLPHYVTQEQWRAHFGPRRWEAFVQRKSAYDPLSILAPGHRIFQKEISSS >itb15g03940.t1 pep chromosome:ASM357664v1:15:2485159:2485869:1 gene:itb15g03940 transcript:itb15g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTIDDYSDYLTTYFVRRYPTVGAPFACAASSTPSSPPHVHVRFSHHTVDDSDDGEYEVESRTICLSDDVLAEGSGDECRSFIFSEGLCYWPIDADTVLDIADEVAVKALLFKCDLRVDIWSVHVRSSDDVQETYEDDRDFGDAARGVNGGAAASDGGFTVKSLKRKRIEEGGNCCVICLEELTAGRDVAVLPCSHHSFHNDCLSSWLERSPSCPLCRRKMSTATVAAAADSRS >itb01g33640.t4 pep chromosome:ASM357664v1:1:36674616:36677172:1 gene:itb01g33640 transcript:itb01g33640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNSSESGENKQPESQPETQKQQQPTAVTVSGTTLVPAPVAASSPQPWVPMQYPAAAMVMQHQMIPAPPYTPHYMSYHHHPHLLLHHAPPPPPPQQPQQQQQGGSNAENRTIWVGDLHNWMDEDYLRSCFSTTGEVASIKVIRNKQTGFSEGYGFVEFYSHAAADKVLHSYSCGVPMPNTEQPFRLNWATFSMGEKRSNNASDLSIFVGDLAADVTDTLLLDIFVSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQFAAQGGYSNGSPAQGSQSDGDSSNTTK >itb01g33640.t1 pep chromosome:ASM357664v1:1:36674418:36678117:1 gene:itb01g33640 transcript:itb01g33640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNSSESGENKQPESQPETQKQQQPTAVTVSGTTLVPAPVAASSPQPWVPMQYPAAAMVMQHQMIPAPPYTPHYMSYHHHPHLLLHHAPPPPPPQQPQQQQQGGSNAENRTIWVGDLHNWMDEDYLRSCFSTTGEVASIKVIRNKQTGFSEGYGFVEFYSHAAADKVLHSYSCGVPMPNTEQPFRLNWATFSMGEKRSNNASDLSIFVGDLAADVTDTLLLDIFVSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQFAAQGGYSNGSPAQGSQSDGDSSNTTIFVGGLDPNVSDEDLRQPFLQYGEVVSVKIPVGKGCGFVQFAKRNDAEEALQKLKGLVIGKQTVRLSWGRNPANKETRGEFMSQWTGPYYGGHFYNGYGYAFPSPHDPSMYAAAAATPYGAYPMYGSHRQQVS >itb01g33640.t2 pep chromosome:ASM357664v1:1:36674623:36676960:1 gene:itb01g33640 transcript:itb01g33640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNSSESGENKQPESQPETQKQQQPTAVTVSGTTLVPAPVAASSPQPWVPMQYPAAAMVMQHQMIPAPPYTPHYMSYHHHPHLLLHHAPPPPPPQQPQQQQQGGSNAENRTIWVGDLHNWMDEDYLRSCFSTTGEVASIKVIRNKQTGFSEGYGFVEFYSHAAADKVLHSYSCGVPMPNTEQPFRLNWATFSMGEKRSNNASDLSIFVGDLAADVTDTLLLDIFVSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQFAAQGGYSNGSPAQGSQSDGDSSNTTVC >itb01g33640.t3 pep chromosome:ASM357664v1:1:36674616:36677642:1 gene:itb01g33640 transcript:itb01g33640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNNSSESGENKQPESQPETQKQQQPTAVTVSGTTLVPAPVAASSPQPWVPMQYPAAAMVMQHQMIPAPPYTPHYMSYHHHPHLLLHHAPPPPPPQQPQQQQQGGSNAENRTIWVGDLHNWMDEDYLRSCFSTTGEVASIKVIRNKQTGFSEGYGFVEFYSHAAADKVLHSYSCGVPMPNTEQPFRLNWATFSMGEKRSNNASDLSIFVGDLAADVTDTLLLDIFVSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQFAAQGGYSNGSPAQGSQSDGDSSNTTIFVGGLDPNVSDEDLRQPFLQYGEVVSVKIPVGKGCGFVQFAKRNDAEEALQKLKGLVIGKQTVRLSWGRNPANKEAMCLHLSPSPSSSSPSIVFIMSLLRKTRTSEDV >itb05g15930.t1 pep chromosome:ASM357664v1:5:23245976:23247771:-1 gene:itb05g15930 transcript:itb05g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNQSNLHIGILSSPGIGHIIPVLVLGNRLVAVHNVKVTVFVVTTAGSPTEAHLIKSSDMANNLNIVEIPPVDISALIDDNTKMVTQLCLLVRAALPGVRSALRAVSHGLDALVVDLFCTEALPVADELKLTKFVYVPSNAWFVALTVYCPVLDKEIAGQYVDREKPLEIPGCKPVRPEDVVDPMLDRGDQQYREYLAQGRGYTMSNGILMNTWEDVDPGSLKALRENETLSKVVGGPVFAIGPLTRNIEERDGYGMITQWLDKQPRESVLYVSFGSGGTLSGEQITELAWGLELSEQRFIWVVRPPSECGSDKSFFTTGQGADDMSDYLPEGFLTRTHNQGLVVPMWAEQTLILRHQSTGGFLSHCGWNSTLESLTNGVPMIAWPLYAEQRQNATMLTEELGVAIRPTKLPTKGVVAREEVMKLVKTMLQYKEGKEMRERVQKLRISAEKALSTEGSSYNSMCEALDMIQQRRDLKLHIK >itb04g23140.t1 pep chromosome:ASM357664v1:4:28333315:28334259:-1 gene:itb04g23140 transcript:itb04g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCPARVISSKKKTRGRHGIPTIDLSSEDRARLSDQVVKACEEVGIFKVVNHGVSDKTVASMEMEALSFFSKPAAEKRRAGPATPFGYGCNAIGCNGDKGDLEYILLEANPGSISPTAHYSLNFRCVVRDYVDAVRNVACEVLEVAAEGLRVQDKRVFSRMLRDPQSDSCFRVNHYPHNASSSFGEHTDPQILTVLRSNDVDGLQIRTRDGLWVPVPADPKHMFVMVGDAFEALTNGRFRSVRHRVVGSCSLSLKARTSMMYFGAPGLNAVISPLVSPPHTRVLYKPFTWGEYKQAVYSLRLAHNRLALFNN >itb03g20050.t1 pep chromosome:ASM357664v1:3:17957825:17960677:-1 gene:itb03g20050 transcript:itb03g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLYCHHFSQKLSFPSFLPKTNSSFTLLPTISLRYPCRPFAVSVSSHGHGGGGSGTISFTWDDVSRLSNSPGNHSSDLQGFFDKVKLCNRNSEKKNEFMPFVMENQIVGYVHNGFADHLRAFRNVFVFPKDNSYGSHFGCHVTLHPLLKTPEDRTAAFAEVVKSLGEELIPGIRNELYPVVSSFGTSIFFSMERAAAPYFGIKAYGVHMNGYVESNGQKFLWIGKRSEEKSTFPGMLDHLVAGGLPHGISCGENLVKECKEEAGIPESFSTQAIPVGAVSYMDIDRYRFKRDVLFCYDLKLPESFIPSNEDGEVESFRLVPISEVANIIRRTSFFKTNCNVVIIDFLIRHGYIKPEEFGYLELLQSLRSGDCS >itb10g25070.t3 pep chromosome:ASM357664v1:10:28548013:28555756:-1 gene:itb10g25070 transcript:itb10g25070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDPEVEENHPPPLAFSSFSLKATAAIALSASIETLVSSPIRSAPRSKPFKISYIRRLARRSVLLHFSQSCSRELSCNSLSIGLFWASYSVMAGDATTGFANGKINAQTDPQRAYQVVVAATRNWGIGKDGKLPWKLPSDLKFFKEVTITTSDSSKKNAVIMGRKTWESIPLEHRPLPGRLNVVLTRSGSFDIATAENVVTCGSLGSALQLLAASPYSLSIEKVFVIGGGEIFREALNANKCEAIHITEIEADIDCDTFMPGIDTTAFQPWYTSFPLEEKKIRFSFTTYVRVRSDEVDVLTQSDALAPDNGLELEKVEVKAFSFLPKMIFDKHEEYMYLRLVEDIISNGASRDDRTGTGTLSKFGCQMRFNLRKSFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSIGLEDREEGDLGPIYGFQWRHFGARYTNMHADYTGQGFDQLLDVINKIKNNPNDRRIVLSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAHVSGMIT >itb10g25070.t1 pep chromosome:ASM357664v1:10:28550462:28555756:-1 gene:itb10g25070 transcript:itb10g25070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDPEVEENHPPPLAFSSFSLKATAAIALSASIETLVSSPIRSAPRSKPFKISYIRRLARRSVLLHFSQSCSRELSCNSLSIGLFWASYSVMAGDATTGFANGKINAQTDPQRAYQVVVAATRNWGIGKDGKLPWKLPSDLKFFKEVTITTSDSSKKNAVIMGRKTWESIPLEHRPLPGRLNVVLTRSGSFDIATAENVVTCGSLGSALQLLAASPYSLSIEKVFVIGGGEIFREALNANKCEAIHITEIEADIDCDTFMPGIDTTAFQPWYTSFPLEEKKIRFSFTTYVRVRSDEVDVLTQSDALAPDNGLELEKVEVKAFSFLPKMIFDKHEEYMYLRLVEDIISNGASRDDRTGTGTLSKFGCQMRFNLRKSFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSIGLEDREEGDLGPIYGFQWRHFGARYTNMHADYTGQGFDQLLDVINKIKNNPNDRRIVLSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAHVSGMIT >itb10g25070.t2 pep chromosome:ASM357664v1:10:28550462:28555756:-1 gene:itb10g25070 transcript:itb10g25070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDPEVEENHPPPLAFSSFSLKATAAIALSASIETLVSSPIRSAPRSKPFKISYIRRLARRSVLLHFSQSCSRELSCNSLSIGLFWASYSVMAGDATTGFANGKINAQTDPQRAYQVVVAATRNWGIGKDGKLPWKLPSDLKFFKEVTITTSDSSKKNAVIMGRKTWESIPLEHRPLPGRLNVVLTRSGSFDIATAENVVTCGSLGSALQLLAASPYSLSIEKVFVIGGGEIFREALNANKCEAIHITEIEADIDCDTFMPGIDTTAFQPWYTSFPLEEKKIRFSFTTYVRVRSDEVDVLTQSDALAPDNGLELEKVEVKAFSFLPKMIFDKHEEYMYLRLVEDIISNGASRDDRTGTGTLSKFGCQMRFNLRKSFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSIGLEDREEGDLGPIYGFQWRHFGARYTNMHADYTGQGFDQLLDVINKIKNNPNDRRIVLSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAHVSGMIT >itb10g05830.t1 pep chromosome:ASM357664v1:10:6234768:6256486:-1 gene:itb10g05830 transcript:itb10g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKPLIWYCRPVANGIWAQETDSAFGAYTPCAIESVDVISYLVLFGLCLYRIWLIKMDHRVKRFCLRANCINYTLIFLGCLCAAEPLARLAVGISIFNLDSQTGLAPFEIVSLGCQILAWSSIIIMIGMETRMYIKEFRWYIRFGVIYVLVGEAVILNLIISMMEFYARSVFYMHLSSLLCQILFGALLLFHVPCLEPYPGYIPLGSQSDENQYEALVEGDHICPERHANIFSRIYFGWMTPLMKLGYKKPISEKDVWILDTWDQTETLSRKFLMCWMEESQRSKPWLLRALNNSLGGRFWFGGLFKVASDLCQFVGPVLLNQLLQSLQQDDPAWVGYLYAFFIFLGVSLGVVCEAQYSQNCMRVGFRLRSTLVAAVYRKSVRLTHEGRKQFPSGKITNMITTDANGLQQICQQLHVLWSAPFRITIAIVLLYQQLGVASLLGALVLVLMLPTQTSIISSMRKLSKEGLLRTDKRVGLTNEILAAMDAVKCYAWEKTFQSKIQSTRNDELSWFRKAQVLSACNDFILNSTPVLVTVISFGMFTLLGGDLTPARAFTSLSLFAVLQFPLNMLPNIITQAVNANVSVQRLEELFLAEERILLPNPPLQPGLPAISIKDGYFSWDSKVKKPTLSNINLDIPVGTLVAVVGGTGEGKTSLISAMLGELPPLGNANVSLRGTVAYVPQVSWIFNATVRENILFGSSFEPTRYWKAIDVTAMHHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFNSCIKEELQGKTRVLVTNQLHFLPHVDRIILVCEGMVKEDGTFEELYRNGTLFQKLMENAGKMENCGGARDGKNNIESSQTSAIIVLEQLNDATSMAKRKEGKSILIKQEERETGVVNWNVLMRYTNALGGFWVVMILFFYTMTEILRLLSSTWLSFWTEKSTSKDYVAAFYILIYALVSSTQVLVTLGNSFWLIISSLNAARRLHDSMLHSILRAPMVFFHTNPIGRIINRFSKDLGDIDRNVAGSVNMFLSQVWQLLSTYALIGAVSTISLWAIMPLMILFYATYLYYQSTDREVKRLESITRSPVYAQFGEALNGLSSIRAYKAYDRLESINGKSMDNNMRFTLVAISATRWLGIRLETLGGIMVWLTATFAVMQNGRAENKVAFASTMGLLLSYSLNITTLLGNVFRQASRAENSLNAVERVGTYIDLTSEAPDVIESNRPPPGWPSSGLIKFEDVVLRYRSELPPVLHGLSFTIFSSKKVGIVGRTGAGKSSMINALFRIVELEKGRILIDDYHIANLGLKDLRSALSLIPQTPVLFSGTLRFNLDPFNEHNDADLWEALERAHLKEVVRRNAIGLDAEVTEGGENFSVGQRQSLSLARALLRRSKILVLDEATAAVDVGTDSLIQKTIREEFKSCTMLIIAHRLNTIIDTNCVLVLDAGRVLEYDTPEKLLLNESSAFSKMVLSTGAANAQYLRSLVLEGNKSTEANPIYLNETRRFFGSSHWTAAVRFALSKNLADSIKEFKVLEFEDENSIIKKTEDAVVTLQGVLEGKHDEVIEEALDLCEVPQQRWWSSLLRIIEGLAVMSRLGHGRYQLEENGYAERSEIWNHDDEM >itb04g04390.t1 pep chromosome:ASM357664v1:4:2707535:2709296:1 gene:itb04g04390 transcript:itb04g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGLGFTAAVNERLGRQEDGQEPEKSHRSNDSDEDDDVDVEDYDHATSSSEEPHRSADQRLAIFVPPVPLDLLPPAPVQNKNVAAARAAPSPAAEEVSSPNSVASSFRAIDFSIFKRNRNGAAVDDGRASDAAANGGASEPASRASDEDENGVNARKKLRLSKEQSAYLEESFKEHHTLNPKQKHALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLREENRRLHKELQELRALKSSNPFYMHLPATTLTMCPSCEKVASTSTAAAAAPAAAAAAAVSAPTTPTTAAAPDSIPKPIPFLAKPRFFPFTAAHNPGHPHQSAAS >itb11g18280.t1 pep chromosome:ASM357664v1:11:18490669:18493278:1 gene:itb11g18280 transcript:itb11g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPPMLSLVTIFLHLASPFTKGIGAQNTISCIETERQALMAFKQSIMEDNGMLSSWGSHKECCKWWGVGCNNATGHVIRLDLHGNSSTHHYLSAPKVSPSLLQLKHLNYLDLSYNEFQWSSIPEFMGSFQRLRVLNLHNANFAGAIPPHLGNLTNLRVLLLSYNGLNETIAELLEKLARGAAGKSLQVLGLSGNELTGKLPGNMSSRFESLRELNARQNLMSGYLPLLPSSLEQLDLAINNFGSVFQDPTKGFGKPSNLVKLDLSFNLISGPFPDLSDFLSLRVLNLSGNLIQGGISETIGKLSNLQVLNLRSNFLGGVISEVHFWNLSSLQKMDLSINPGLSFNFSDDWVPPFLSLNSMVIGNCKVGPKFPNWLRNLSWFSELDLSSANISDTIPNWFWDLIISPKLRYLNLSHNTLDGKFPDLSNKLSPFSLIDLSNNKFWGPISAFVGDFLILNLSNNKFVGSISFLCSIITQNTLCIDLSYNHFSGKVPDCWNSNISNLAIINLANNDFIGEVPHSLGSLPQLQSLHLSNNYFNGDLPSSFQNFTSLRIMDLGGNKFTGIIPSWIGSHLTNLTIISLRNNNFNGSMPKSLCHLRNVHLLDLSQNKITGRIPRCFNNFTTLQHTPIGSTEISYFTITGNNDYPRFYMDNVFVQWKNKDSKYDKQLGFLYCIDLSSNQLVGDIPEELNVLKELLSLNLSRNHLTGKIFPSIYEMEKLESLDLSMNQLSGEIPKGLALLNFLGVLDLSNNFLSGKIPKGTQLQTFNASSYAGNSGLCGDPLPKCPTDVPQHGKNNDHQEGDENLVDQEFYISMVIGFSVSFWGLVVSLVLSDKWRLAYYGFLNDVKDGMYVKMKLYLMRAQRKIMDN >itb06g07780.t1 pep chromosome:ASM357664v1:6:11542568:11546961:-1 gene:itb06g07780 transcript:itb06g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVKLPVNIQGLRDCNFTNTSKRARYSFIKRQKSLVKMCSSNSGVPVQLLEHTPSPKSQNNALPIMVNGCTGKMGRAVLEAAISAGLLPVPVAFSGPEDLGKIVDVGGKQITVHSPSDRESTLSSIFEEHPDLIVVDYTVPAAVNDNAELYCKVGVPFVMGTTGGDRDRLYKTVTDSNNYAVISPQMGKQVVAFLAAMEIMAEKFPGAFSGYNLQVMESHQASKLDLSGTAKAVISCFQRLGVSYDLDKVKQIRDPKLQLEMVGVPKEHLTGHAFHLYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVKAKAGKRIYDMIDVLQEGNMR >itb15g05270.t1 pep chromosome:ASM357664v1:15:3383055:3387739:1 gene:itb15g05270 transcript:itb15g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVLVSYEIVLYEMVVWLDSYKRGDELGFGLWGVSIASSATAATSRWLRRQVDNKPHSNIHLSFSSLSLSLSLSESESAVCGHCTLLQALRRQEPYNRFLFLFAKIAHVFSPTAKERVVCL >itb11g04210.t1 pep chromosome:ASM357664v1:11:2237378:2243361:1 gene:itb11g04210 transcript:itb11g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDPNDAGDKKPAREEASVKVPAKYHKKKDKKDEYLSEEDFELKQQLELYVEHVQDADLSLKTRALESIRQEIQTATRSMASVPKPVKFLHPHYGTLKSHYEKMSDSDAKESLKYRLLGSYGDIGPWGHEYVRNLAVELAQEYWQIEVDTPINDLMYLKQQIVAFHMKHNAEPEAVDLLIELTHLEDLDLLMRNLDSANYKRTCSYLLSSANYLAEPDDMVIFENVYRIYRQFKQYESALFIALRLDNLVCKTFELDEFMCSEEDLEGLQFIIGNNKLIEGYLTLARDIDVMEPKTPGDIYKTHLLDDRAVAGATALSSKQSLAATFVNAFVNAGFGQDKLMTGPSEASGDSSTSWLLKNKGLGKASAAASLGMIMLWDVEIGLAQIDKYFHSHDKHVVAGALLAVGIVNCNVKNEHDPALAILTEYVVKEDPCIRVGAIIGLGLAYAGSQRYQVFEQLRPILVEDTNASLDVISFTVITLGLVFLGSCNDEIDWAIRFSLKNRSKSELGKPIARLLPLGLGLLYLGKQDRVGDTAAEVSRTFGERMRRYIDITLLSCAYAGTGNVLKVQDFLGECAEHLEMGGTYQGPAVLGIAMVAMAEELGLEMALRSLEHLLQYGEQNIRGVVPLALGLLRISNPKASFATSQIC >itb05g04460.t3 pep chromosome:ASM357664v1:5:4007647:4014547:-1 gene:itb05g04460 transcript:itb05g04460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLFRRRIKGSTRNHGLSYSLTPGDEVEDMFILLNEVKRQIPDVAAVSSGAIASDYQRLRVESVCSRLGLVSLGYLWKQDQSLLLHEMIRSGIVAIIVKVAAIGLDPSKHLGKEIAYLDTHLHKLKELYGINVCGEGGEYETLTLDCPLFKNARIVLDEFQVILHSSDSIAPVGILHPLSFHLEKKLDSLSSSDSNGGTSLYLGDDSTVYEVLEEHQQNCEANQAMSKLIDVTLEKYDAMKENLKISKSRKDDIYSISCWLEHSETSTSLQVDLEVVLMEIETQLAKHGCSWENVLYIHLYIADMNEFSTANETYVRFITQEKCRFGVPSRSTIELPLLQVGLGRAYMEVLVAQDHSKKVLHVQSISCWAPSCIGPYSQATLHKDILYMAGQLGLDPPTMLLCKGGPTAELQQALVNSEAIARSFNCSISTSAILFIIYCSACIERSGRTVIENEMTRVLGEMKLDQLDTRRTSKVLDPIFLYVLVPDLPKRALVEVKPMLFLAEHAQPPPREIMRDPSAMQSYWGFQYETWHDNCLQKCSIEGQVCAAILSVTEELAQSICSKSIPTVGGDGDLNILVKEDQLKRIAQFCIYRLDKILLENNLSWDDVLNFRVYFTTRLNISHGTVSEIFANVFSEFAQIRQGVKITTEPIFNLVPMVGTGRSASSMDDIITCELISRKL >itb05g04460.t2 pep chromosome:ASM357664v1:5:4007647:4015114:-1 gene:itb05g04460 transcript:itb05g04460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKCIQYGHEIVALANLMPADDAQDELDSYMYQTVGHQIVVSYATCMGVPLFRRRIKGSTRNHGLSYSLTPGDEVEDMFILLNEVKRQIPDVAAVSSGAIASDYQRLRVESVCSRLGLVSLGYLWKQDQSLLLHEMIRSGIVAIIVKVAAIGLDPSKHLGKEIAYLDTHLHKLKELYGINVCGEGGEYETLTLDCPLFKNARIVLDEFQVILHSSDSIAPVGILHPLSFHLEKKLDSLSSSDSNGGTSLYLGDDSTVYEVLEEHQQNCEANQAMSKLIDVTLEKYDAMKENLKISKSRKDDIYSISCWLEHSETSTSLQVDLEVVLMEIETQLAKHGCSWENVLYIHLYIADMNEFSTANETYVRFITQEKCRFGVPSRSTIELPLLQVGLGRAYMEVLVAQDHSKKVLHVQSISCWAPSCIGPYSQATLHKDILYMAGQLGLDPPTMLLCKGGPTAELQQALVNSEAIARSFNCSISTSAILFIIYCSACIERSGRTVIENEMTRVLGEMKLDQLDTRRTSKVLDPIFLYVLVPDLPKRALVEVKPMLFLAEHAQPPPREIMRDPSAMQSYWGFQYETWHDNCLQKCSIEGQVCAAILSVTEELAQSICSKSIPTVGGDGDLNILVKEDQLKRIAQFCIYRLDKILLENNLSWDDVLNFRVYFTTRLNISHGTVSEIFANVFSEFAQIRQGVKITTEPIFNLVPMVGTGRSASSMDDIITCELISRKL >itb05g04460.t1 pep chromosome:ASM357664v1:5:4007647:4015104:-1 gene:itb05g04460 transcript:itb05g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKCIQYGHEIVALANLMPADDAQDELDSYMYQTVGHQIVVSYATCMGVPLFRRRIKGSTRNHGLSYSLTPGDEVEDMFILLNEVKRQIPDVAAVSSGAIASDYQRLRVESVCSRLGLVSLGYLWKQDQSLLLHEMIRSGIVAIIVKVAAIGLDPSKHLGKEIAYLDTHLHKLKELYGINVCGEGGEYETLTLDCPLFKNARIVLDEFQVILHSSDSIAPVGILHPLSFHLEKKLDSLSSSDSNGGTSLYLGDDSTVYEVLEEHQQNCEANQAMSKLIDVTLEKYDAMKENLKISKSRKDDIYSISCWLEHSETSTSLQVDLEVVLMEIETQLAKHGCSWENVLYIHLYIADMNEFSTANETYVRFITQEKCRFGVPSRSTIELPLLQVGLGRAYMEVLVAQDHSKKVLHVQSISCWAPSCIGPYSQATLHKDILYMAGQLGLDPPTMLLCKGGPTAELQQALVNSEAIARSFNCSISTSAILFIIYCSACIERSGRTVIENEMTRVLGEMKLDQLDTRRTSKVLDPIFLYVLVPDLPKRALVEVKPMLFLAEHAQPPPREIMRDPSAMQSYWGFQYETWHDNCLQKCSIEGQVCAAILSVTEELAQSICSKSIPTVGGDGDLNILVKEDQLKRIAQFCIYRLDKILLENNLSWDDVLNFRVYFTTRLNISHGTVSEIFANVFSEFAQIRQGVKITTEPIFNLVPMVGTGRSASSMDDIITCELISRKL >itb13g26580.t1 pep chromosome:ASM357664v1:13:31809224:31813743:1 gene:itb13g26580 transcript:itb13g26580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSLSLAPSPLQSSTHFYDDVKLLSSSSSLSGTHLTSLHLSPSDKNSNFHKRLVISAKRISGLEEAIRIRRERELLGSSNNPKRRLPLKRGRVSPRLPVPDHILKPPYVGSRELPEIANEHQIHDAEGIAHMRAAGELAARVLEHAGTLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLRDGDIINIDVTVYLNGYHGDTSKTFFCGNVSESMKRLVKVTEECLYRGIDACQDGALFRKIGKKISEHAERFGYGIVDRFVGHGVGTIFHSEPLILHHRNDKSGCMVEGQTFTIEPILTLGSTECITWEDNWTTLTADGSPAAQFEHTILITKTGAEILTKC >itb11g14940.t1 pep chromosome:ASM357664v1:11:12276056:12277879:1 gene:itb11g14940 transcript:itb11g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSKRAASQKRSASSSPPSSPDTVSSEEDMELERIDKLFYTYANDSSGLIEPEGIENLCSHLGVAHTDIKMLMLAWKMQAGRQGYFTLEEWRNGAKELKADTVENIKKAFGQLEEELESPSNFVDFYAYAFQYCLTEDNQKCVETEIVCVLLDLVLGPRFQPQVAALIEYIQVQKDYKVITMDQWLGFHRFLSEISFPDFSNYDEELAWPLILDDFVDWMREKH >itb02g12330.t1 pep chromosome:ASM357664v1:2:8411412:8424086:1 gene:itb02g12330 transcript:itb02g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHMLKEEEQIFPLLVQQFSPKEQAKLVWQYLCSVPIILLEEFLPWLTTTLSSDQKNDFLECISVIVPDEKLLQEVVIYWLESNKSCHFEAHNKYGKGAQIYYGPANFKGMLKLYPPKIHSSENQLKGTYLCQQTSTHGPIDGISLWHAAIRRNFKEILAELHQLQNSSSIMSLSSLVIQLKFFVDILAFYSYALDKLFYPLLEEIAETGLSPFYDHLIHESQIEGLTKLLFSKLEEGLQSNFIEMLCQELESVAGLINKRLIILEKEVISLISETCSHELQIWLLYSSLHMMPLGLLKCMVTWFSSHLSEDEYKAILNKIKMGNSAMHGPFASLLSEWIRTGCSGKISIEKFVEDLEELFSSRSYFCSELTMENSGNSCSQLDKQPSNKCSAVQLGANSTMKANHNVCNTLTMIDNVSYSNGISLHMFFSDTLKKLASLPETVECSSSCTSVNLDLRPMDCFYLFHKALKKDLDYLVFLSGKLGEDVGILTDFERRFRLVMFLFQNHSNSEDEIAFPALESKGKLQNISHSYAIDHRFEAEQFNKISLVLDEIFKLQLQVDKLDQRMLKYSQLCLKLHSACISMCKVLSDHMHREEVELWPLFSEYFSIKEQEKILGHMLGKTKAEILQEMIPWLMESLTEEEQHAMMSLWRKATKNTKFEEWLGEWWEGIKEYRTPKIEQRPNASHPLDIDPLEVVSTYLMKGRVELQEVGSNKDTELQKDGYSDSEINTLGFISTNETQVSNKNQSSYQSQEVVKLASKIEKNRDSKTFDCTTQNNRVSHIASESQKLKVEDDSLVISQEELLAVIRRVSNDSSLDSKKKQYIMQSLLMSQWIVTQKKSHSVAVATNEKEEALGLSPAYRDSQNLIFGCKHYKRNCKLLAPCCNKLYTCIRCHDELTDHSLDRRTITEMMCMKCLLIQPIGPNCSTPTCKKLFMARYYCRICKLFDDAKQIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRSLSVHVCREKCFEDNCPICHEYIFTSTSPVKALPCGHVMHSACFQDYTCTHYICPICSKSLGDMQVYFKMLDTLLAEEKIPEEYAGQTQAILCNDCEKRGTASFHWLYHKCSHCGSYNTRLI >itb04g04120.t1 pep chromosome:ASM357664v1:4:2548661:2549047:1 gene:itb04g04120 transcript:itb04g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAAVLMLWMIGSAHSSDETISYGCNAESYREGSGFDSSLGYVFVDLVNSTPVSLGFDLYDVSPPSTGGPPVYGHGRCLNSMSAAECFSCLGRAKDIVSVCCDLRIGGWVLIVGSCYMRYESYAFR >itb03g04260.t2 pep chromosome:ASM357664v1:3:2664396:2666385:-1 gene:itb03g04260 transcript:itb03g04260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSYEEEHPVKALGLAARDTSGLLSPFKFSRRETGEKDVQFKVLYCGICHSDLHQMKNEWGNTVYPLVPGHEIVGVVTEIGSKVEKFKVGDKVGVGCLVGSCRKCEQCESDQENYCPQLVLTYNAAGTVTFGGYSDIMVANEHFVVRWPENLPLEAAPLLCAGITTYSPMKYFGLDKPGLHIGVVGLGGLGHMALKYAKTFGCHVTVISTSASKKQEAIEKFGVDSFLISRDAEQMQGAAGTLDGIIDTVSAVHPILPLLSLLKTNGKLVMVGAPEKPLELPIFPLLMGRKLVAGSLIGGIKETQEMLDFSAKHNVVPEVEVVPVDYANTAMERLAKADVKYRFVLDIGNTLKAALLKE >itb13g02510.t1 pep chromosome:ASM357664v1:13:2404969:2406906:-1 gene:itb13g02510 transcript:itb13g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSQAMVLATAMAVSGTVVLLACRLQRSSIHLPETTPIPLLRPCISSEGKRKNERKKKKRVRFAKDVVEPSGNNEEYRRLHCNNVQKSSCTIKKSGKLQEIPPNRIALYTAILKERGVSRVGYSY >itb01g01330.t2 pep chromosome:ASM357664v1:1:749159:755656:-1 gene:itb01g01330 transcript:itb01g01330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRREEMAAVKSSSVEDNGEWRKAEDGRTDVIIVGAGVAGAALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCLETIDAQRVYGYALYKGGKSTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAATLPNVRMEQGTVTCLIEEEGTVKGVQYKTKDGQELMTAFAPLTIVCDGCFSNLRRSLCTPQVDNPSCFVGLVLQNCDLPFANHGHVVLADPSPILFYPISSTEIRCLVDIPGQKIPSLATGELANYLKTNVAPQIPPELYDAFIAAIDNGNMRTMPNRSMPAKPHSTPGALLLGDAFNMRHPLTGGGMTVALSDIVLLRDLLRPLRNLNDASALCNYLESFYTLRKPVASTINTLAGALYQVFCASPDEAGKEMREACFDYLSLGGVFSDGPVSLLSGLNPKPLSLVVHFFAVAVYGVGRLLIPFPSPQRVWLGARLLSGASGIILPIIKAEGVRQMFFPVTVPAYYRAPPVGVGH >itb01g01330.t1 pep chromosome:ASM357664v1:1:749159:755656:-1 gene:itb01g01330 transcript:itb01g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDVYILGSLVASLLGCFVFLYNLRGKITRKNKAMKFGRREEMAAVKSSSVEDNGEWRKAEDGRTDVIIVGAGVAGAALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCLETIDAQRVYGYALYKGGKSTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAATLPNVRMEQGTVTCLIEEEGTVKGVQYKTKDGQELMTAFAPLTIVCDGCFSNLRRSLCTPQVDNPSCFVGLVLQNCDLPFANHGHVVLADPSPILFYPISSTEIRCLVDIPGQKIPSLATGELANYLKTNVAPQIPPELYDAFIAAIDNGNMRTMPNRSMPAKPHSTPGALLLGDAFNMRHPLTGGGMTVALSDIVLLRDLLRPLRNLNDASALCNYLESFYTLRKPVASTINTLAGALYQVFCASPDEAGKEMREACFDYLSLGGVFSDGPVSLLSGLNPKPLSLVVHFFAVAVYGVGRLLIPFPSPQRVWLGARLLSGASGIILPIIKAEGVRQMFFPVTVPAYYRAPPVGVGH >itb14g01190.t1 pep chromosome:ASM357664v1:14:938487:939493:-1 gene:itb14g01190 transcript:itb14g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSCSARKGRRGAARVILPGGEIRRLREPVTAAEIMLEHPTHFLVNAGSLTAGRRFSPLPADQDLELRNLYAAFPMKRLSSVITAADVAVLLVAAKSSPAKRLSARNAAKVSPAEGGDETPENDVGRSGVTSGVVVEEYQHRLSLFKSKKPLLETIIEEPVRTRGRLL >itb05g05060.t1 pep chromosome:ASM357664v1:5:4827370:4831949:1 gene:itb05g05060 transcript:itb05g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKEVMLCCFMLFLYSCTSATSSLNSISCSASVDMQARMENKVGSEGQANNNNYNSTIRRSIGEEMKNGHGGVGGGQGGSAGGYGGDNGSHQPGSSNVIPIYAANSHHNPHHGKGSASTITKLCPWVQALFATLLLLFLGSGFSPVVRI >itb11g05930.t1 pep chromosome:ASM357664v1:11:3545369:3549081:1 gene:itb11g05930 transcript:itb11g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKIVRPGDCVLMRPADTDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESMGGRRQFHGAKELFLSDHYDIQSAHTIEGKCIVHSFKNYTKLENVGPEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIDEAKKLEHFLCSECSAEDEAKRPLNSFHVPPAVEPKVETKRRKR >itb04g04650.t1 pep chromosome:ASM357664v1:4:2877143:2878877:-1 gene:itb04g04650 transcript:itb04g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHFCSRQENRHHRHVDPKVKCSAVDAQTWLITTLTNLETCRAGFVDLGVTDNILSTVTSNNASYLLNNNLAVNKWFTATNNEATGYTEGFPNCVKPGDRKLLQSSAASKANIVVAKDGSGNYKTVTEAMNAATKRTGLGVGDM >itb06g05170.t2 pep chromosome:ASM357664v1:6:7926357:7929221:-1 gene:itb06g05170 transcript:itb06g05170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQAGSTVMLLTVIWGTCVVVGKCDIQNSVAVDLKDTKGFSFTGSGVSTDVWTRYAARIMAVSVIPFVVVQLPQIFHSSSGRHLAVLSALILSLSLLIGYYVFQVFQPRIQARRIDYSKRKCFISGFLKHLRMHAFGRLFTDQGAPNFVVLREIFNTIDCNGDGQLSHSELKAYIMGVRVNETILDENEATEKLMKDFDTSNNQEIEFEEFVAGVDKWLDEVQGSRSTVPGTLEHLNDVHEQTRRDYHLLRGAWIKNDDEGIKKIKAALFSLLGTAIAAAFANPLVDAIKSFSCATNIPTFFISFIALPLATNSSKALWATIIARRKKLDTVSLTFSELYRQVTTNNVLCLPVFLALIYARGLTWDFSSEALVILIVCIVMGAFGSIRYTFPLWTAFIAFLLYPFSIALVYVLNYVFGWS >itb06g05170.t1 pep chromosome:ASM357664v1:6:7926196:7929319:-1 gene:itb06g05170 transcript:itb06g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQAGSTVMLLTVIWGTCVVVGKCDIQNSVAVDLKDTKGFSFTGSGVSTDVWTRYAARIMAVSVIPFVVVQLPQIFHSSSGRHLAVLSALILSLSLLIGYYVFQVFQPRIQARRIDYSKRKCFISGFLKHLRMHAFGRLFTDQGAPNFVVLREIFNTIDCNGDGQLSHSELKAYIMGVRVNETILDENEATEKLMKDFDTSNNQEIEFEEFVAGVDKWLDEVQGSRSTVPGTLEHLNDVHEQTRRDYHLLRGAWIKNDDEGIKKIKAALFSLLGTAIAAAFANPLVDAIKSFSCATNIPTFFISFIALPLATNSSKALWATIIARRKKLDTVSLTFSELYRQVTTNNVLCLPVFLALIYARGLTWDFSSEALVILIVCIVMGAFGSIRYTFPLWTAFIAFLLYPFSIALVYVLNYVFGWS >itb06g05170.t3 pep chromosome:ASM357664v1:6:7926357:7929221:-1 gene:itb06g05170 transcript:itb06g05170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQAGSTVMLLTVIWGTCVVVGKCDIQNSVAVDLKDTKGFSFTGSGVSTDVWTRYAARIMAVSVIPFVVVQLPQIFHSSSGRHLAVLSALILSLSLLIGYYVFQVFQPRIQARRIDYSKRKCFISGFLKHLRMHAFGRLFTDQGAPNFVVLREIFNTIDCNGDGQLSHSELKAYIMGVRVNETILDENEATEKLMKDFDTSNNQEIEFEEFVAGVDKWLDEVQGSRSTVPGTLEHLNDVHEQTRRDYHLLRGAWIKNDDEGIKKIKAALFSLLGTAIAAAFANPLVDAIKSFSCATNIPTFFISFIALPLATNSSKALWATIIARRKKLDTVSLTFSELYRQVTTNNVLCLPVFLALIYARGLTWDFSSEALVILIVCIVMGAFGSIRYTFPLWTAFIAFLLYPFSIALVYVLNYVFGWS >itb05g08150.t1 pep chromosome:ASM357664v1:5:10921528:10922810:1 gene:itb05g08150 transcript:itb05g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDFSKLAGEERPETMALLHHAYEKWGFFMVENHGIEKELMDNVKQMVTQHYEENLKTSFYNSEIAKSLEKKENTSKGDWESTFFLWHHPNSNANALRSLPNGFSTMMNKYIEQLIALAENLAELMCENLGLQKSHIKEAFLGSNGPSVGTKVAVYPQCPHPELLRGLREHTDAGGIILLLQDDQVPGLEFFKDGKWVKIPPSKNNRIFVNTGDQMKY >itb01g33020.t1 pep chromosome:ASM357664v1:1:36313922:36317598:1 gene:itb01g33020 transcript:itb01g33020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARVYADVNVHRPKDYWDYEALTVQWGDQDDYEVVRKVGRGKYSEVFEGVNVTNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLYDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSRFINSDNQHLVSPEAIDFLDKLLRYDHQDRLTAKEAMAHPYFFQVRAAENSRVRTQ >itb10g06260.t3 pep chromosome:ASM357664v1:10:6948107:6962579:-1 gene:itb10g06260 transcript:itb10g06260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYRIESELRRSIIINAIISLLGYFVTLAMIPVASKYLLRKNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTDPEYKQAHAFSLYLAQPLIGTSLALLSYNWYPSSVFVGDTFTYFAGMTMAVAGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPKFDPQTGLLTGTNDGTLVNFFLRQLGRMSEQSLCIVLLLFQAFCCCFCFLLRWLLTGWYK >itb10g06260.t1 pep chromosome:ASM357664v1:10:6947072:6952761:-1 gene:itb10g06260 transcript:itb10g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTDPEYKQAHAFSLYLAQPLIGTSLALLSYNWYPSSVFVGDTFTYFAGMTMAVAGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPKFDPQTGLLTGTNDGTLVNFFLRQLGRMSEQSLCIVLLLFQAFCCCFCFLLRWLLTGWYK >itb10g06260.t4 pep chromosome:ASM357664v1:10:6947105:6962579:-1 gene:itb10g06260 transcript:itb10g06260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYRIESELRRSIIINAIISLLGYFVTLAMIPVASKYLLRKNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTDPEYKQAHAFSLYLAQPLIGTSLALLSYNWYPSSVFVGDTFTYFAGMTMAVAGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPK >itb10g06260.t2 pep chromosome:ASM357664v1:10:6948107:6962579:-1 gene:itb10g06260 transcript:itb10g06260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYRIESELRRSIIINAIISLLGYFVTLAMIPVASKYLLRKNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTDPEYKQAHAFSLYLAQPLIGTSLALLSYNWYPSSVFVGDTFTYFAGMTMAVAGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPKFDPQTGLLTGTNDGTLVNFFLRQLGRMSEQSLCIVLLLFQAFCCCFCFLLRWLLTGWYK >itb13g05260.t1 pep chromosome:ASM357664v1:13:6248192:6248542:-1 gene:itb13g05260 transcript:itb13g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELISFFQSVALPKDCTTVQKCYLELAKQVKAKLAKVDDYFNKLADAMVTWIEAWDELNQSGAPAAAKVANGSSK >itb07g06530.t1 pep chromosome:ASM357664v1:7:4687651:4692410:1 gene:itb07g06530 transcript:itb07g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLRLLLSPLGSNIVVRTACCSVGVVLPVYSTFSAIERRDQEEQKKWLMYWAAYGSFSMVELFTDKLLHWFPLYYYTKFAFLVWLQLPSINGAKQVYMNHLRPFLLEHQARLDQIVGFLYGEMSRLVSQYQEEFVFVKTILMKILAPGKDNTRPEQRQASAAIEGANREDESESEDDE >itb08g00500.t1 pep chromosome:ASM357664v1:8:404034:406102:-1 gene:itb08g00500 transcript:itb08g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dTDP-4-dehydrorhamnose 3,5-epimerase/dTDP-4-dehydrorhamnose reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) UniProtKB/Swiss-Prot;Acc:Q9LQ04] MGFPANGSSEKAALKFLIYGRTGWIGGLLGKLCEGQGIEYVYGSGRLENRSSLEADIAAVNPTHVFNAAGVTGRPNVDWCESHKVETIRTNVAGTLTLADVCREKGLVLINYATGCIFEYDSGHPLGSGVGFKEEDTPNFIGSFYSKTKAMVEDLLKNYENVCTLRVRMPISSDLCNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYREYIDPKFTWKNFTLEEQAKVIVAPRSNNELDATKLKTEFPELLSIKDSLIRYVFQPNRKTPATA >itb06g20740.t4 pep chromosome:ASM357664v1:6:23590986:23595631:1 gene:itb06g20740 transcript:itb06g20740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESDDGRGDLRKPFLHTGSWYRMGSRQSSLMSSSQVIRDSSVSVLACVLIVALGPIQFGFTAGYSSPTQGAITEDLKLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDPSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGGLGSVNQLAVTIGIMLSYLLGLFVNWRLLAVIGILPCLVLIPGLFFIPESPRWLAKMGLTEDFETSLQVLRGFDADITVEVNEIKRSLASSSSRRTAVRFADLKQRRYWLPLMIGIGLLCLQQLSGTNGVIFYSSNIFQSAGISSSNAATCGVGAIQVIATAIATWLVDKTGRRILLIVSSTGMAVSLLLISVSFFLKGFVSEDSSFYSILGILSVVGVMCMIVCFSLGMGPIPWLIMSEVPLFP >itb06g20740.t3 pep chromosome:ASM357664v1:6:23590989:23595631:1 gene:itb06g20740 transcript:itb06g20740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESDDGRGDLRKPFLHTGSWYRMGSRQSSLMSSSQVIRDSSVSVLACVLIVALGPIQFGFTAGYSSPTQGAITEDLKLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDPSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGGLGSVNQLAVTIGIMLSYLLGLFVNWRLLAVIGILPCLVLIPGLFFIPESPRWLAKMGLTEDFETSLQVLRGFDADITVEVNEIKRSLASSSSRRTAVRFADLKQRRYWLPLMIGIGLLCLQQLSGTNGVIFYSSNIFQSAGISSSNAATCGVGAIQVIATAIATWLVDKTGRRILLIVSSTGMAVSLLLISVSFFLKGFVSEDSSFYSILGILSVVGVMCMIVCFSLGMGPIPWLIMSEVPLFP >itb06g20740.t1 pep chromosome:ASM357664v1:6:23590986:23595631:1 gene:itb06g20740 transcript:itb06g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESDDGRGDLRKPFLHTGSWYRMGSRQSSLMSSSQVIRDSSVSVLACVLIVALGPIQFGFTAGYSSPTQGAITEDLKLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDPSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGGLGSVNQLAVTIGIMLSYLLGLFVNWRLLAVIGILPCLVLIPGLFFIPESPRWLAKMGLTEDFETSLQVLRGFDADITVEVNEIKRSLASSSSRRTAVRFADLKQRRYWLPLMIGIGLLCLQQLSGTNGVIFYSSNIFQSAGISSSNAATCGVGAIQVIATAIATWLVDKTGRRILLIVSSTGMAVSLLLISVSFFLKGFVSEDSSFYSILGILSVVGVMCMIVCFSLGMGPIPWLIMSEILPIKIKGLAGSVATLANWFCSWAITATAPLLLDWSSGGTFIGYMLMSAFTVVFVSIWVPETKGKTLEEIQFSFR >itb06g20740.t2 pep chromosome:ASM357664v1:6:23590986:23595626:1 gene:itb06g20740 transcript:itb06g20740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREESDDGRGDLRKPFLHTGSWYRMGSRQSSLMSSSQVIRDSSVSVLACVLIVALGPIQFGFTAGYSSPTQGAITEDLKLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDPSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGGLGSVNQLAVTIGIMLSYLLGLFVNWRLLAVIGILPCLVLIPGLFFIPESPRWLAKMGLTEDFETSLQVLRGFDADITVEVNEIKRSLASSSSRRTAVRFADLKQRRYWLPLMIGIGLLCLQQLSGTNGVIFYSSNIFQSAGISSSNAATCGVGAIQVIATAIATWLVDKTGRRILLIVSSTGMAVSLLLISVSFFLKGFVSEDSSFYSILGILSVVGVMCMIVCFSLGMGPIPWLIMSEILPIKIKGLAGSVATLANWFCSWAITATAPLLLDWSSGGSLSLSLSLSRFSCIDRHFSPSITRRDCILVDRLTGTFIGYMLMSAFTVVFVSIWVPETKGKTLEEIQFSFR >itb06g07060.t1 pep chromosome:ASM357664v1:6:10261900:10262787:1 gene:itb06g07060 transcript:itb06g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPAVAVAAGELFGGGPDGDLRKPKASGEGELRCWRVCEWEKRPRKPNFDLVFKTIALIAVREGVIQLGAIDKVILLSHSSSYPCNVDT >itb06g13230.t1 pep chromosome:ASM357664v1:6:17901392:17904016:1 gene:itb06g13230 transcript:itb06g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGANAADKRIVFVTVGTTCFDALVNAVDTAEVRKELFKKGYTDIIVQMGRGTYVPTKSASENGSPALDYFTFSSSIAKYLKSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELADRKHLFCARPQTLYQTIADMDLESVVPYQPGDAKPVAKLINSHLGFPQE >itb10g11090.t1 pep chromosome:ASM357664v1:10:16372794:16374545:-1 gene:itb10g11090 transcript:itb10g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYASRDNDSFYQMHPLDQSQSWDLFCHKTGTSHCANLETIARPIVEKCGGLPLAVVMVASVVSNFNVDPGEWENIAMTNIAEACSRIFSLDYNHLPYHLKACFLYLGVFSEGKIIYVKDLARLWASEGLIKECENQSLDEVAERCVQKLLGRNLIFESKRSYCGRKIKAFKMHGLQHAFCVRQAEKEKLLHVVAGNGFNFCLKGFRWLTIQSTDFDDNTSTLLHSASSITCRSIFCFSEAKSLHLKSFSVLRVFYFTDASMCKKIVDLVHLRYLPQVVRDFRIIKLSKAWNLQTLGVYADDGRNNLKKFRQQQTDSQSLSKLALKPCDCTIEFFLRSPYLEEVQITGERRSCNDCIDTLAFSRQLRVLHINANVWDYRTPQRISINNQIAGLKSLVELSFRSMNFEWKGINVLCQLPRLKVLRLLSSGIGKEWELSKENVFHSLIYFEIFSTHLKHWKASDINFPILQRLLLRDCFQLREIPDSFADIWTLKSIELTRCLPSAVNSAKQIREHLQDFGNDCVVIENIMKQYMQELTSDEDEAEES >itb02g03190.t1 pep chromosome:ASM357664v1:2:1835472:1838610:1 gene:itb02g03190 transcript:itb02g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKEFFAGAPLSGEPKRKKKIFLALFASVLLVAAVVGVVTGVKSTEKNSGDDVQPLSSEAHAIVKSSCSSTLYPVLCFSAIANGGGGAGKKLSSQKDVIELSLNITIAAVEKNSAAIKKLIKAGKNLTKREKTALQDCVEMVDETLDELHAAVEDLEQYPTKKSLIRHADDLKTLVSSAITNQETCLDGFSHDKADKHVREALVAGQEHVEKMCSNALAMITNMTDTDIDNQKNLSPTNNNNRKLKENDNNDGVDWPEWLSAGDRKLLQSSNVKPDVVVAADGSGNFRTVSEAVAKAPERSSRRYVIRIKAGVYRENVDVPSRKTNLMFIGDGRMNTIITANRNVVDGSTTFNSATVAVVGDGFLARDLTFQNSAGPAKHQAVALRVGSDQSAFYKCGVIAYQDSLYVHSNRQFFVKCYVAGTIDFIFGNSAAVLQDCDIHARRPGPKQKNMVTAQGRSDPNQNTGIVIQNCRIGATSDLKDHQKEFPTFLGRPWKEYSRTVVMQSSISDVIDPAGWHIWDGNFALNTLVYREYKNTGAGAGTSRRVNWKGFKVITNSAEAASYTPGAFIAGGNWLSGTGFPFSLGL >itb03g04570.t2 pep chromosome:ASM357664v1:3:2908469:2910832:1 gene:itb03g04570 transcript:itb03g04570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCYTPAGEAIPTNKRYNAAKIFSHPDVAKEEPWYGIEQEYTLLQKDVNWPIGWPLGGYPGPQGPYYCGVGAEKAWGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPCVGISAADELWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNAGGYEVIKTAIEKLKLRHKDHIAAYGTGNERRLTGRHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYTVTSMIAETTLLWKS >itb03g04570.t1 pep chromosome:ASM357664v1:3:2907020:2910875:1 gene:itb03g04570 transcript:itb03g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSECTDKIIAEYIWVGGSGMDLRSKARTISGPVTDPSKLPKWNYDGSSTGQAPGKDSEVILYPQAIFRDPFRRGNNILVMCDCYTPAGEAIPTNKRYNAAKIFSHPDVAKEEPWYGIEQEYTLLQKDVNWPIGWPLGGYPGPQGPYYCGVGAEKAWGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPCVGISAADELWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNAGGYEVIKTAIEKLKLRHKDHIAAYGTGNERRLTGRHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYTVTSMIAETTLLWKS >itb04g30190.t1 pep chromosome:ASM357664v1:4:33370983:33373830:-1 gene:itb04g30190 transcript:itb04g30190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEAVPEQPADFSAKDYHDPPPSPLIDFDELTKWSFYRAIIAEFIATLLFLYVTVLTVIGYKHQTDPKAGGQDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLPRAVLYMIAQCLGAISGVGLVKAFQKSYYNRYGGGANSMAAGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNDDKAWDEHWIFWVGPFVGALAAAVYHQYILRGSAIKALGSFRSNA >itb09g11110.t1 pep chromosome:ASM357664v1:9:6945599:6948943:-1 gene:itb09g11110 transcript:itb09g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKLIVEVIEARNLVPKDGHGASSPYVVIDFYGQRKKTRTVLRDLNPQWNETLEFNLSKHSDIFGDMLELDVYHDKNVGPTTRNNFLGRVKLNATQFVKKGEEALIYYPLERKYLLSWIQGDIGLKIYFVDETVPPPPPPPEEKKPAETEPPPTAEAKPSGEVAEPPPAKAEGTPEGEAKEGPPVPPPPPPVPENLPAEEESKDPVDPSPEQLVQPDDGSVEMKASVATASMPEVKISGGLVGPKPLSRTPSVSSFVSDASDRSAMERSSFDLVEKMHYLFVQVVKARSLPTAGRPVVKIVVSGSHVISRPARKTQLFEWDQTFAFGRDAPDSSSLLEISVWDPLSSKSLDPKSDVAGHNFLGGICFEVSEIPVRDPPDCPLAPQWYRLEGGGAHKGDLMLATWVGTQADESFPDAWKTDTAGNPTSRSKVYQSPKLWYLRSSVIEAQDIFALTAPSKESSFQIKAQLGFQVQKTKPASSATGSPSWNEDLVFVAAEPFTEHYLLFYLIEHLPPKEQAVLSVASIPLSSIERRVDDRQVISRWFTFEDQNEEKRVYKGRVHLRLCFDGGYHVIEEAAHVCSDYRPTARQLWKPPVGTVELGIIGCKNLLPMKTMRGKGCTDAYAVAKYGSKWVRTRTISDNLCPRWNEQYTWRVYDPSTVVTIGVFDSWEVIDPENSKDVRMGKVRIRISTLATGKVYRNTFSLILLSPAGLKKMGEIELAVRFVRSTPTLDFLHVYAQPMLPVMHHIRPLGAVQQESLRTAAVKLLTTHLSRSEPPLRREVVSYMLDADSHSFSMRKVRANWFRIINVIAAVIDVVKWADDTRAWRNPTATILVHALLVLLVWFPDLIIPTFAFYVFMIGAWNYRFRSKDKLNHFDTKISLAESIDRDELDEEFDAVPCTRPNDMVRARYDKLRMLGARVQTLLGDLATQGERVQALVTWRDPRATGIFIALCFVVAFILYLVPSKMIAMAFGFYYLRHPLFRDRMPSPALNFFRRLPSLADRML >itb01g02230.t1 pep chromosome:ASM357664v1:1:1445855:1446229:-1 gene:itb01g02230 transcript:itb01g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTTAKATSLMPGYTFVSDEVYMGNLVCQALAILRKPVQYHEFFLINFNRPYISQACAKRIEGDESGHKHCTGQYFDYWHCIDKCVALKLFAKLK >itb11g12400.t1 pep chromosome:ASM357664v1:11:9239604:9241782:-1 gene:itb11g12400 transcript:itb11g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNFYLKIFPPFNLQLKLFSVHPPPLRKFKGGGAAAAEPLSVLPDLTKPTALLLLSPPPPPPPAEKRAAAAAEYRLKVPEKGGKASLKEFIKPPPCMHSMSDEELLWRASMVPKVENVPFRHPRKIAFMFLARGSLPLAPLWEKFFKGHEGLYSIYIHSQPSFSGIALEEGPIFQGRRIPSKKVVWGEFNMIEAERRLLANALLDFSNKRFVLLSEACIPLFNFSTVYHYLMQSSKTYIEAYDLPGPVGRGRYNKLMEPDITLEQWLKGSQWFEVDRHLAVELISDTKYYALFKDYCQPACYSDEHYFPTFVTMKFWEKNSNRTLTWVDWSKGGPHPSTYDNTDITADFLNNMRNGTRCQYNEMSTDICYLFARKFLPTALDRLLRVAPKVMMFD >itb14g02580.t1 pep chromosome:ASM357664v1:14:2221218:2224822:-1 gene:itb14g02580 transcript:itb14g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLPLYPYPSSSFPSLPNSKHISVLKPKPSLHYHRPVFLASSHSSEAEPELPESVKNFWQWGCDEGVISKKTPVRPGIVPEGMGLVATKDIGRNEVVLEVPKRFWINPDTVAESEIGSVCSGLKPWIAVALFLLREKFRGGDSKWKFYLDVLPECTDSTIYWSEEELAEIQGTQLLSTTIGVIDYVQNEFQKVEEEVILPNKQLFPFPITLEDFFWVFGILRSRAFSRLRNQNLILMPFVDLINHSARVTSEDHAHEVRGPAGLFSWDYLFSLRSPLSVKAGEQVFIQYDLNKSNADFALDYGFIDSGADRDAFSLTLEISESDDFYDDKVDIAESNGLGEMAYFDVKLGQPLPPQMLPYLRLVALGGTDAFLLESIFRNSVWGHLELPISRANEELICRVVREACSSALSGYHTTLEEDEKLKEEGNLSPRVEIAVGVRAGETKVLRQIDNIFREREEELNELEYYQERRLKDLGLVGEQGEIIFWEPK >itb02g00560.t1 pep chromosome:ASM357664v1:2:355286:360556:-1 gene:itb02g00560 transcript:itb02g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESGVVAVARQRGIDVVLNDESKRETPALVCFGDKQRFIGTAGAASSLMNPKNTISQVKRLIGRQFSDPELQRDIKSFPFSVTEGPDGFPLIHARYLGEMRTFTPTQVLGMVLSNLKSIAEKNLNAAVVDCCIGIPIYFTDLQRRAVMDAATIAGLHPLRLFHETTATALAYGIYKTDLPENEPLNVAFVDVGHSSMQVCIAAFKKGQLKILAHSFDRSLGGRDFDEVLFQHFAAKFKDEYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISIPILERVKKPLEKAIAEAGLAVENIHSIEVIGSGSRVPAIIKILTEFFGKEPRRTMNASECVAKGCALQCAILSPTFKVRDFQVNESFPFPIALSWKGPAPDAQNGVAENQQSTIVFPKGNPIPSVKALTFYRSGTFSIDVQYADVSELQAPSKISTYTIGPFQSAKGERAKLKVKARLNLHGIVSVESATLLEEEEVEVPVVKEQLKESTKMETDDIPPQDSSETDVNMQDDKVTSDASENHAPESGDKPVQMETDAKAEAPKKKVKKTNVPVSELVYGALSPADVQKAVEKEFEMALQDRIMEETKDKKNAVEAYVYDMRNKLFDKYQEFVVESEKEQLIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTDRGTVIDQLVYCINSYREAAMSNDVKFEHIELADKQKVMNECVEAEAWLREKKQQQDALPKYATPVLLSADIRKKAEAVDRLCRPIMTKPKPAKPTTTEPSSPQTSQSSEKHSQGGEGPNPNENTGEGAGAEATSATEPMETDKSESESAPSGV >itb11g14760.t1 pep chromosome:ASM357664v1:11:12016368:12018457:-1 gene:itb11g14760 transcript:itb11g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSSSSSFGPGGLDLSQTFFNPIPNAAPPSPTKRHTKISVVGVGNVGMAVAQTVLTQGLADELALVDAKPDKLRGEMLDLQHAAAFLPRTKIHASVDYAVSAGSDLVIVTAGARQNPGETRLNLIEKNCALFKTIIPPLVKHSRHSILMIVSNPVDILTYVAWKLSGFPANRVIGSGTNLDSSRFRSLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISIGGVPVLSFLERQQIEYEKETLENIHKEVVQSAYEVINLKGYTSWAIGYSVANLARSILRDQRRVQPVSVLARGLYGIDGGDVFLSLPAQLGRSGVMGVANVHLTEEEERQLKHSANTILEVQTQLRL >itb08g02940.t1 pep chromosome:ASM357664v1:8:2498205:2500908:1 gene:itb08g02940 transcript:itb08g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRQRSSAAPETREAESQLQAPGTPPPPPSSRQTATATAQVATPVPVESQIRSGRPAVRHRPVAHAAPPPSRSFAALSAVIYGLSLSAALTLFSLIKPQSSSHFSSKGPSIVSQLLKLHSNSESV >itb08g12770.t1 pep chromosome:ASM357664v1:8:13199054:13199707:-1 gene:itb08g12770 transcript:itb08g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFNLHTPKKKYPSGQNSDHGVGYGSRGGVGSGGGYGVDDDQGVGYRSRRGARSRGGYSSGGEHKGDYGGGESSGSGGGIGYGIGCVNGEGYGGGEGGDSGAGGGYGGGGGHCGCEGGGYNARGEHRGACGGGANGGLGGERGDCGNGADSGLEGGGGGGDRGIRYGIGGVTGR >itb03g01190.t1 pep chromosome:ASM357664v1:3:634663:638474:1 gene:itb03g01190 transcript:itb03g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSYQFTSISRLNLAEKVLQALRIESCRTFQDLNHKVQSNQNLMAADVFLGRTSQARMYMDAALYLLY >itb11g06910.t1 pep chromosome:ASM357664v1:11:4177289:4180550:-1 gene:itb11g06910 transcript:itb11g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPCFQKKAEDEDNNLSKEQIDQLPVAQPVNVPEPSTPPPAAACSTSTAAGDNQTASAEDNAAAENGNSNAKTFNFRELASAVKNFRQECLISEGGYGKVFKGTLQDGQMVAVKQLDRNGTQASKEFSVEVLVLTLLRHPNIVDLIGYCADGEQRLLVYEYMAMGSLEDHLLDLPEDKKPLDWITRMKIASGAAQGLEYLHEKANPPIIYRDLKSSNILLDEDYNPKLFDYGLAKLTSGGGGSNMQMLTPRVMGSGYYAPEYERTGELTLKSDVYSFGVILLELITGRRSMDTSRPPAQQNLVTWAQPIFRDPKRFPEMADPRLKDDFPVRSLNQAVGVAAMCLQDEPSVRPLIGDVLAALSFLQVAPKEDPVPASIPHAPSGHANQNEPDDDEEEEEEDDDYEGSGSEDGSSSDSDGEK >itb13g14140.t1 pep chromosome:ASM357664v1:13:20732129:20734384:1 gene:itb13g14140 transcript:itb13g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNSVNGFYSFLTRGIDDLECVFLSTNFMSIQFLQRALALLRSFHSHLILLVQKLHLPVGEKWLDEYMDESSKLWEVCHVLKSGVSGMENYYSAGFNLTSSLDSHHHLNPQLSRQVMRAITGCRREAMGLEEENRALMETRIEPLCLRFDGVVSVESKLNGFNGFRGVLYAMRNVSSLLLIILLYGLVYCWPESNHPPTTYEGCLFFGSAFMISISRLQQRVSEEINQSQIGGHGVLLYEFKRSKAAMDELRGELERNGDEVGIRDKIENLKACFGVLRSGTENIIGQLDDFFDEIVEGRKKLLDFCSTHR >itb04g16960.t1 pep chromosome:ASM357664v1:4:19167368:19168796:1 gene:itb04g16960 transcript:itb04g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSIDLRCYLLFIDVVIEDECKRTWMRGQAEVDGVRVWFSRWTPEWYAKEDLPLALVWIQLLFLSIQLFQFDTIVAICEPIGREISLRSATIHRTEPNLAKVRVEIDVTKHVSEKIWIEIDNDWRGFCQFIVMEKVSEVTEEFYDSYWSDLIESHQPEELILSNNLYVVEGMQFDRSHISPHFVTDNEKVVVEYENCKLILVDKKIANARDLVNVLKEAIRGGCPIIVIVEDIEQESFATLAAAGNSEAAGNSEIVFEMKKCEASVFCYVNDIVLAILELLKVHEQVLYVNINIHHGDGVEEVLTHETVSCFDSYCNVHGWYVSERRFTYMCKLIITVSDLYAKNRVFIYRLIRLSALELMKKANKMAVGIGLIKLMNPIVVNRYNRFSSKWTYSSCQV >itb02g25740.t1 pep chromosome:ASM357664v1:2:26516298:26517969:1 gene:itb02g25740 transcript:itb02g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTNPKLPIIEFTDENMRVGTASWRTTSYEVRRGLEEYGCFAAVYDKVSWELRDAMFKHCKHLFELPLETKLRNTCDVYGFGYAGNFATMPLAEYFGIKDGVTPESTINFSKQMWPDADNTHNFCEDTSSYSKLVSQLDQVVMRMVLESYGLDKYYDPLIQSSSYLLRFIKYRTPKWNETKTGHLPHRDKSLMGIVDTNQVGGLEMETRDGKWITFQPSSSKTIVFIAGEPFMAWSNGRIYAPMHRVMMKGSEDKYSVALFSFLRGTVEIPEELVDDDNPLKFKPFDNFEFLRFCANGGWKEASPINAFCGV >itb11g11470.t4 pep chromosome:ASM357664v1:11:8381353:8385208:1 gene:itb11g11470 transcript:itb11g11470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSMNHADPELRPVLGPAGNKARSVELRKPVEKLKAAANKAEKPAEGVEKKFQAMPAESRSPEKKCGSILRQQQEHRSFLMRSNLWMNASCSSDASSDSFQSRASTGRVSRRSVTPSSARRKQCSSKVEKIDKVASEAESLSVSSEDASVVKKRCSWVTSNTDPLYSTFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNEKKIMAQGSPASSLLSELKLRAVIDNARQICKVSTYFCCLAYSFFAK >itb11g11470.t5 pep chromosome:ASM357664v1:11:8381353:8385207:1 gene:itb11g11470 transcript:itb11g11470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSMNHADPELRPVLGPAGNKARSVELRKPVEKLKAAANKAEKPAEGVEKKFQAMPAESRSPEKKCGSILRQQQEHRSFLMRSNLWMNASCSSDASSDSFQSRASTGRVSRRSVTPSSARRKQCSSKVEKIDKVASEAESLSVSSEDASVVKKRCSWVTSNTDPLYSTFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNEKKIMAQGSPASSLLSELKLRAVIDNARQICKIIDELGSFNKYIWDFVNKKPVVNNFRYPRQVPIKTSKAEMISKDLVRRGFRGVGPTVVYSFMQVAGITNDHLVSCFRFHDCIAAADASNTGNSLRAVEAHVKQLEDETELGLVRAIDDLNLSS >itb11g11470.t1 pep chromosome:ASM357664v1:11:8381353:8389409:1 gene:itb11g11470 transcript:itb11g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSMNHADPELRPVLGPAGNKARSVELRKPVEKLKAAANKAEKPAEGVEKKFQAMPAESRSPEKKCGSILRQQQEHRSFLMRSNLWMNASCSSDASSDSFQSRASTGRVSRRSVTPSSARRKQCSSKVEKIDKVASEAESLSVSSEDASVVKKRCSWVTSNTDPLYSTFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNEKKIMAQGSPASSLLSELKLRAVIDNARQICKIIDELGSFNKYIWDFVNKKPVVNNFRYPRQVPIKTSKAEMISKDLVRRGFRGVGPTVVYSFMQVAGITNDHLVSCFRFHDCIAAADASNTDYEAEFGCCIQTKIQTRCCRKKEGRGER >itb11g11470.t6 pep chromosome:ASM357664v1:11:8381353:8385207:1 gene:itb11g11470 transcript:itb11g11470.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSMNHADPELRPVLGPAGNKARSVELRKPVEKLKAAANKAEKPAEGVEKKFQAMPAESRSPEKKCGSILRQQQEHRSFLMRSNLWMNASCSSDASSDSFQSRASTGRVSRRSVTPSSARRKQCSSKVEKIDKVASEAESLSVSSEDASVVKKRCSWVTSNTDPLYSTFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNEKKIMAQGSPASSLLSELKLRAVIDNARQICKFYEALIMAEVVMK >itb11g11470.t2 pep chromosome:ASM357664v1:11:8381353:8386860:1 gene:itb11g11470 transcript:itb11g11470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSMNHADPELRPVLGPAGNKARSVELRKPVEKLKAAANKAEKPAEGVEKKFQAMPAESRSPEKKCGSILRQQQEHRSFLMRSNLWMNASCSSDASSDSFQSRASTGRVSRRSVTPSSARRKQCSSKVEKIDKVASEAESLSVSSEDASVVKKRCSWVTSNTDPLYSTFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNEKKIMAQGSPASSLLSELKLRAVIDNARQICKIIDELGSFNKYIWDFVNKKPVVNNFRYPRQVPIKTSKAEMISKDLVRRGFRGVGPTVVYSFMQVAGITNDHLVSCFRFHDCIAAADASNTDYEAEFGCCIQTKIQVPFWAPNLCSISL >itb11g11470.t3 pep chromosome:ASM357664v1:11:8381353:8386822:1 gene:itb11g11470 transcript:itb11g11470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKSMNHADPELRPVLGPAGNKARSVELRKPVEKLKAAANKAEKPAEGVEKKFQAMPAESRSPEKKCGSILRQQQEHRSFLMRSNLWMNASCSSDASSDSFQSRASTGRVSRRSVTPSSARRKQCSSKVEKIDKVASEAESLSVSSEDASVVKKRCSWVTSNTDPLYSTFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNEKKIMAQGSPASSLLSELKLRAVIDNARQICKVSTYFCCLAYSFFAK >itb06g22440.t1 pep chromosome:ASM357664v1:6:24712246:24715230:-1 gene:itb06g22440 transcript:itb06g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFPLLFVFSLLFSILPISPARDGIALNDTLQEDETIISSGGIFEMGYFSPNNSLNRYVGIWYKKIPVKTVVWVANREVPLSANASSVVLRIINLSQLALLSDNNDVIWFANMSLSRSVQNPVLQLLDSGNLVVRDGGDLNPENFFWQSFDHPTDTLLPGMKVGFVTGHEVYLTAWKSDDNPAPAEYSVHIDNTGYPQGFVMNGMAVTYRSGPWNGVRWSGVPMMDKNPIYTYALVINKHEVYTSYSLNNNSIISILVLTNTGFIERLVWVGGMKNWITFGKFPTDSCDMYRACGANGICNIYNFPICGCLDKFLPRNQEAWNIADYSGGCVRRRGLHCNNGTDIFVKYPGIKLPDTKYSWYDETMTLQECEQVCLKNCSCTAYSRLDIRNGGSGCLLWFGDLIDIRKLSDRGQDIYIRLASSELDKNTTHGFLNGSKRKHGNKSELLAASLSSVMGLILVGLSLMFCLWRKKTEGVLENGQEIAVKRLSKASVQGIDELKNEVIFIAKLQHRNLVSLLGCCIQREEQMLIYEYMPNRSLDLFIFDHEKKKLLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDIDMNPKISDFGLARSVGGDETGADTSRVVGTHGYMSPEYAVLGIFSIKSDVFSFGVSLLEIVSGMRNKGFSLKDQYHTLLGHAWKLHGDGRSAELVDEHIVAEPDDLSQVLRSIHVGLLCVQQYPDDRPTMSEVVQMLTHDAVLPEPKEPGFFTGRPLSASTQASSSSSTQPAACSINEVSLSLVDPR >itb02g17430.t1 pep chromosome:ASM357664v1:2:13428725:13430820:1 gene:itb02g17430 transcript:itb02g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKDFEANLKQEGCDDGKKNKAAEEMRKLGSSSTTSTSSSSRQWGGGFKNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDATKLEIDKLPPLPFPPSFSSSIFENSNNILHHQPPLPPPHFSHHDVMKTGKEKWIGEDDNSQDGGAGALALAAAYNNNNNNVVPQNFFPQKWIEPLAPPPPPYYHWEPSTLSLAQFHDSHNNNNPNNNLYFPSILPPPYMAAAPDFHHLLTTTTSTSSLTDNNHNHLEKSSRGS >itb14g15100.t2 pep chromosome:ASM357664v1:14:18269884:18277243:1 gene:itb14g15100 transcript:itb14g15100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSHSDHYRSSSSSASSPASRVPSSNFFYLRKPGILRQPISFEDSPDWEDTDIEAKLDEGGDSIHAATTPASPSLSKLNSGSLPSPPLPDGAVITRKTAGASVAWKDLTVSIKGKRKYSDKVIKSSNGYALPGTMTVIMGPAKSGKSTLLRALSGRLPDTARMYGEVFVNGAKSRMPYGSYGYVERETALIGSLTVREFLYYSALLQLPGFFWKKKSVVEDAIHAMSLGDYGNKLIGGHCYMKGLRSGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGELSSVNMDTAVAIRTLEATYKSSADAGVVESMLVKLTEKEGPPLRSKGKANCATRIAVLTWRSLLIMSREWKYYWFRLILYMLLALCIGTVFSGLGHSLSSVGTRVAAVFVFISFTSLLSIAGVPSHTKEIKIYTCEESNRHSGAFVFLLGQLFASIPFLFLISISSSLVFYFLVGLRDEFGLLMYFVLNFFVCLLVNEGMILVFASIWQDIFWSITTLVVVHVVMMLSAGYFRIRSDLPGPAWMYPISYIAFHTYSIQGLLENEYIGTSYAVGQVRSISGEQALQNSYNISEDSNAKWKNLLVLFLMAVAYRTVAFVLLKLCVRRNVAGRTLFCCNKHTNNPK >itb14g15100.t1 pep chromosome:ASM357664v1:14:18269726:18277243:1 gene:itb14g15100 transcript:itb14g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSHSDHYRSSSSSASSPASRVPSSNFFYLRKPGILRQPISFEDSPDWEDTDIEAKLDEGGDSIHAATTPASPSLSKLNSGSLPSPPLPDGAVITRKTAGASVAWKDLTVSIKGKRKYSDKVIKSSNGYALPGTMTVIMGPAKSGKSTLLRALSGRLPDTARMYGEVFVNGAKSRMPYGSYGYVERETALIGSLTVREFLYYSALLQLPGFFWKKKSVVEDAIHAMSLGDYGNKLIGGHCYMKGLRSGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGELSSVNMDTAVAIRTLEATYKSSADAGVVESMLVKLTEKEGPPLRSKGKANCATRIAVLTWRSLLIMSREWKYYWFRLILYMLLALCIGTVFSGLGHSLSSVGTRVAAVFVFISFTSLLSIAGVPSHTKEIKIYTCEESNRHSGAFVFLLGQLFASIPFLFLISISSSLVFYFLVGLRDEFGLLMYFVLNFFVCLLVNEGMILVFASIWQDIFWSITTLVVVHVVMMLSAGYFRIRSDLPGPAWMYPISYIAFHTYSIQGLLENEYIGTSYAVGQVRSISGEQALQNSYNISEDSNAKWKNLLVLFLMAVAYRTVAFVLLKLCVRRNVAGRTLFCCNKHTNNPK >itb14g15100.t3 pep chromosome:ASM357664v1:14:18269770:18277114:1 gene:itb14g15100 transcript:itb14g15100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEVFVNGAKSRMPYGSYGYVERETALIGSLTVREFLYYSALLQLPGFFWKKKSVVEDAIHAMSLGDYGNKLIGGHCYMKGLRSGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGELSSVNMDTAVAIRTLEATYKSSADAGVVESMLVKLTEKEGPPLRSKGKANCATRIAVLTWRSLLIMSREWKYYWFRLILYMLLALCIGTVFSGLGHSLSSVGTRVAAVFVFISFTSLLSIAGVPSHTKEIKIYTCEESNRHSGAFVFLLGQLFASIPFLFLISISSSLVFYFLVGLRDEFGLLMYFVLNFFVCLLVNEGMILVFASIWQDIFWSITTLVVVHVVMMLSAGYFRIRSDLPGPAWMYPISYIAFHTYSIQGLLENEYIGTSYAVGQVRSISGEQALQNSYNISEDSNAKWKNLLVLFLMAVAYRTVAFVLLKLCVRRNVAGRTLFCCNKHTNNPK >itb04g26190.t1 pep chromosome:ASM357664v1:4:30568914:30572805:1 gene:itb04g26190 transcript:itb04g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYDKDGDIQDLHATGGAGDVEAVTEEIYGGEGGDFDPMEIWLESVDEDQHLDVNDFPPLPDFPCMSSSSSTSSVPAGVVKPSSSSSASSSSSSAASWAVLKSDGEEDPTAKKFHLHPPQPAMTPSASMDASGGGVPPPPEDSFTDDDCINVMENLGYMDFINGDDIWDPITIFQTENFPPPPPAFEGLQQQQEDEESKAAETETADLSFLQGNGELAAIFFDWLKQNKDYISAEDMRNIKLKRSTIESASKRLGSSKEGKKQLLKLILEWVQQYQLQKKNSREEAAAAAAAATAADHQSSGAGHFQAETFLQDTTPSCFFQSPWTPPPPQYIPDANNAAMMAPPPPFPVFQPHAMGYSNIGAGDPYNCGGASFSPMNVNGAHFPHPTEYHQPAAVDTAQSWQSSEFMAAAAAPFNPFPDNDRIAAESAQPQALYGSEYPYQLYDGNGERLVRLGSSATKEARKKRMARQRRLSSHHFRHQNHHNNHHHQAQNYDPHHAMRMGVDTVLPHQVSNLGNWVYWSPAASEAQPPMVVLPPAEAAAQPHPVERPPPAQQQNHQKQSSSDRRQGSKPEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETQLPELESRDGISIAMEDIGTSRVWNMKYRFWPNNKSRMYLLENTVDSGSKSAAARSKIRRQETGKEEPSHIVTGHLLTHQTSSELNGLPAAGTSSPTSSSGP >itb03g05520.t2 pep chromosome:ASM357664v1:3:3884315:3890967:1 gene:itb03g05520 transcript:itb03g05520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNSKLVVVVVAQSNAKDEVSEDRMIALRKRAELDSKHLIIFVPNEPSELNQSLNRLGTTFAELANGYYRDEGRKIKARIERRNLELNIRYCFKVAVYAEFRRDWGEALRMYDDAYHVLREMVGTSTRLPPIQRLVEIKSVAEQLNFKISTLLLHGGKVLEAIAWFRQHNASYRKLVGAPEVTFLHWEWLSRQFLVFAELLETSSATNQNLSSAVSDNADRPTMWEFHSAYYFQLSAQYLKEKSLSFELALSMSEHSDEIDSSAESVTASTYIGQFGRLLENGETLTTQPLTDEEYVRYTLAEGKRFQDSYEIIALLKKSSEAYKNIKALRMASYCEFQIAREYFTMGQFDNAKELLDSVTRLYRLEGWVTLLWDALCYLRECSRRCGTVKDFVEYSLEMAALPVSSNAASQLLKDCGPTVPASLSQREIIHNKVFAVVRGESGSESSEENDRLRVYADKPLYLEIDLVSPLRAVLLASVAFHEQIIKPGAPTMITLSLLSRLPLNVEVEQLEIQFNQSECNFIIVNGQRSHLASISRVQPGRRVETAPTLELITNKWVRLTYEIKSEQSGKLECIYVIARLGQYFTISCRAESPASMNDLSLWKHEDRVETIPTKDPGLALSGQKAVQVEEPDPQVDLKLASSGPALVGERFIVPVIITSKGHAVHSGELKINLVDTRGSGLLSPRESELSTTIDNLHVELVGISGQGCEDQSEANSENTRKIQPTFGWISIPFLNEGDSWSCKLEIRWNRPKPIMLYVSLSYSPHGTEKSTQRVNVHKSLQIEGKTAIVMSHRFMLPFRREPLLLSKTKPGSDPDQTPTLASNETNMLVVTAKNSTEVPLLLMSMSIEADEDGPSPVQSRKEDAMEPMPLVAGEEFKKVFAVTPEATQSKLSMGTVCLRWRRDSEIIPDATKYQVSTKYSLPDVKVEVPPLVVSIDCPPHAILGNAFTCSIRISNRTPFLQEIKYSLADSQTFVLSGSHNDTIFVLPRSDHLLSHKLVPLASGFQQLPRVTVTSVRHSARVQPSVAASTVFVFPSSPHLKSNNVVEAKMESVAAE >itb03g05520.t1 pep chromosome:ASM357664v1:3:3884187:3890967:1 gene:itb03g05520 transcript:itb03g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYPEELRTPPVALVSLVGCPDLHATITAHLHAEQPPINALALPDFSKISILAKSPKDNPASSQPVGGILKRDWLAKHRTRVPAVVAALFNYDHVTGDPAQWLQVCTDLENLKAVMRGRNSKLVVVVVAQSNAKDEVSEDRMIALRKRAELDSKHLIIFVPNEPSELNQSLNRLGTTFAELANGYYRDEGRKIKARIERRNLELNIRYCFKVAVYAEFRRDWGEALRMYDDAYHVLREMVGTSTRLPPIQRLVEIKSVAEQLNFKISTLLLHGGKVLEAIAWFRQHNASYRKLVGAPEVTFLHWEWLSRQFLVFAELLETSSATNQNLSSAVSDNADRPTMWEFHSAYYFQLSAQYLKEKSLSFELALSMSEHSDEIDSSAESVTASTYIGQFGRLLENGETLTTQPLTDEEYVRYTLAEGKRFQDSYEIIALLKKSSEAYKNIKALRMASYCEFQIAREYFTMGQFDNAKELLDSVTRLYRLEGWVTLLWDALCYLRECSRRCGTVKDFVEYSLEMAALPVSSNAASQLLKDCGPTVPASLSQREIIHNKVFAVVRGESGSESSEENDRLRVYADKPLYLEIDLVSPLRAVLLASVAFHEQIIKPGAPTMITLSLLSRLPLNVEVEQLEIQFNQSECNFIIVNGQRSHLASISRVQPGRRVETAPTLELITNKWVRLTYEIKSEQSGKLECIYVIARLGQYFTISCRAESPASMNDLSLWKHEDRVETIPTKDPGLALSGQKAVQVEEPDPQVDLKLASSGPALVGERFIVPVIITSKGHAVHSGELKINLVDTRGSGLLSPRESELSTTIDNLHVELVGISGQGCEDQSEANSENTRKIQPTFGWISIPFLNEGDSWSCKLEIRWNRPKPIMLYVSLSYSPHGTEKSTQRVNVHKSLQIEGKTAIVMSHRFMLPFRREPLLLSKTKPGSDPDQTPTLASNETNMLVVTAKNSTEVPLLLMSMSIEADEDGPSPVQSRKEDAMEPMPLVAGEEFKKVFAVTPEATQSKLSMGTVCLRWRRDSEIIPDATKYQVSTKYSLPDVKVEVPPLVVSIDCPPHAILGNAFTCSIRISNRTPFLQEIKYSLADSQTFVLSGSHNDTIFVLPRSDHLLSHKLVPLASGFQQLPRVTVTSVRHSARVQPSVAASTVFVFPSSPHLKSNNVVEAKMESVAAE >itb03g02530.t2 pep chromosome:ASM357664v1:3:1434865:1438424:-1 gene:itb03g02530 transcript:itb03g02530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGNPGKIAKKILPCFVRRLNSAPSSFTAAVPPLNFADKPEPAAAADKVVDFEDAKELFSSVGTSKLVKSAVALHLLAIEPAVDVGIRVMNSRLMKIPVVKETVMGLVERTFYGQFCAGRDLEEVARTVAGLSETGLKAMLDYGLEHADDNESCDRSMNHILQTVDAAKSLPPVSFVVLKITAICPPRLLKRVSDFLRWQYKNPAFNLPWKQKSLPVLSDSSALYHTSKTPEPLTQEEERDLELGHERLQKICKSCLEAGVPLVIDAEDTTVQPAIDYITYSAAVSYYKDDGPLVFGTIQAYLRDAKERLVRVKMAAGKMGVPMGFKLVRGAYMTSESGLASALGAESPIHHTIHHTHKCFNDCASFMLEEIAAGSGGAVVLATHNIESGKLAATKATDLGIKRDNQKLQFAQLYGMSEGLSFGLKKAGFQVSKYLPFGPVDQIMPYLLRRAEENRGLLSTSSLDRQLMR >itb03g02530.t1 pep chromosome:ASM357664v1:3:1434865:1438424:-1 gene:itb03g02530 transcript:itb03g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGNPGKIAKKILPCFVRRLNSAPSSFTAAVPPLNFADKPEPAAAADKVVDFEDAKELFSSVGTSKLVKSAVALHLLAIEPAVDVGIRVMNSRLMKIPVVKETVMGLVERTFYGQFCAGRDLEEVARTVAGLSETGLKAMLDYGLEHADDNESCDRSMNHILQTVDAAKSLPPVSFVVLKITAICPPRLLKRVSDFLRWQYKNPAFNLPWKQKSLPVLSDSSALYHTSKTPEPLTQEEERDLELGHERLQKICKSCLEAGVPLVIDAEDTTVQPAIDYITYSAAVSYYKDDGPLVFGTIQAYLRDAKERLVRVKMAAGKMGVPMGFKLVRGAYMTSESGLASALGAESPIHHTIHHTHKCFNDCASFMLEEIAAGSGGAVVLATHNIESGKLAATKATDLGIKRDNQKLQFAQLYGMSEGLSFGLKKAGFQVSKYLPFGPVDQIMPYLLRRAEENRGLLSTSSLDRQLMRKELKRRLASIIL >itb06g12210.t1 pep chromosome:ASM357664v1:6:16765563:16770640:1 gene:itb06g12210 transcript:itb06g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFDACSGIPSIRFSADLLIGDLELDLTLHRHTLSMDCVDFYTLFEDSDRRIISSLGAYEFNFGTVVIMFIMGICGLGKSYHSVPVPPSSDPPDALGGAATHPPNAEEELQPLLNSYINKHYEAVRLIDKMKKMNQTQDERAERISAIIEAIFAVIWSFTEFTISMHSKRRIESESRPSVVKKERGSSSTQSEVTAIQDVYARKKPPSRSSLRTLGRTSTLAQPPADAPPPPLLHRRTSTALRQRTSTELRHCAHPLRQRRPAQPPPARPATALPSHRR >itb09g15030.t1 pep chromosome:ASM357664v1:9:10309616:10311320:-1 gene:itb09g15030 transcript:itb09g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAHPVVAYLDRLLVVGKDRCFYLSENLDEKDDNTFWIQKDDREYPYELGHGLSEEAGYSSGNKEQCINPEGFARFLGLLESVPFNDTFSNEQATADSRHLHPHNMFFSQDKCKAAYFIVVLHRLKTVVIAVRGTETPEDLKTDGLCRECSLSEGDLA >itb04g11020.t1 pep chromosome:ASM357664v1:4:10571667:10576708:-1 gene:itb04g11020 transcript:itb04g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNEEKLRFCIDRGGTFTDVYAEIPGQSEGRVMKLLSVDPSNYDDAPVEGIRRVLEEYTGQKIPRNFKIPTDKIEWIRMGTTVATNALLERQGERIALCVTRGFRDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVDERVELVLDKEELNSDSSASIFQGVSGEHVRVMKPLNEEALKPLLKSLLEKGISCLAVVLMHSYTYPHHEILVEKLALSLGFRHVSLSSALTPMVRAVPRGFTASVDAYLTPVIKEYLSGFMSKFDEGLGKLNVLFMQSDGGLAPESRFSGHKAILSGPAGGVVGYSQTLFGIETNKALIGFDMGGTSTDVSRYAGSYEQVIETQVAGTIIQAPQLDINTVAAGGGSKLKFQFGSFRVGPESVGAHPGPVCYRKGGQLAVTDANLILGYVIPEYFPSIFGPNEDQPLDIDATRQEFEKLAKDINSYRKTQDPLAKDMTVEEIAQGFVNVANETMCRPIRQLTEMKGHETSNHALACFGGAGPQHACAIARSLGMKEVLIHRFCGILSAYGMGMADVIEEAQEPYSAVYGPESVVEASHRECVLLKQVKESLQQQGFREENIITETYLNLRYEGTDTAIMVKRPVDDGSEGDYACEFVKLFQREYGFKLQNRHILICDVRVRGIGVTNILKPRALEPASCTPKVETHYKVYFGNAWFDTPLFKLEDLAYGHIISGPAIIMNGNSTVIVEPNCKSTITKYGNIKIEIETTSSTLKVEGKVADVVQLSIFNNRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTIRWQLQYWGDNLHEGDVLVTNHPSAGGSHLPDITVITPVFNQGKLIFFVASRGHHAEIGGITPGSMPPFSKFIWEEGAAIKAFKLVEKGIFQEEGITKLLQFPSSDEPVHKIPGTRRLQDNLSDLHAQVAANQRGITLIKELIDQYGLETVQAYMNYVQENAEGAVREMLKSVAAKVSSESSEFMESGALVTIEEEDYMDDGSTIHLKLTIDPEKGEALFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVNVDIPLNQGCLAPVKIYIPPGSFLSPSDKAAVVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWNGTSGVQCHMTNTRMTDPEIFEQRYPVILHRFGIRENSGGAGLHRGGDGLLREIEFRRPVVVSILSERRVHTPRGLKGGKDGARGFNYLITKDNRSVYLGGKNTVQAQAGEVLQILTPGGGGWGSI >itb13g19250.t1 pep chromosome:ASM357664v1:13:26255586:26258182:-1 gene:itb13g19250 transcript:itb13g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLLLVLSTVSSLVQCNKTSATDIHKYGRGPSIWDTFIKTPGLEPNNATGDVAVDQYHHYKEDIDLPVKLNFDAYRFSISWTRIFPNGTGKVNWKGVDYYNKLINYMLKKGITPYACLNHYDLPQALQDSYGGWLGRQVVEDFADYAEFCFKTFGDRVKNWFSFNEPRVVAAFGYDTGFFAPGRCSNCTEGNSETEPYTVAHNLILCHAAAAKRYHNKYQGKQNGSFGILLDFVWYEPLTEADKHAAQRARDFHIGWFLHPIVYGEYPKTMQKIVGDRLPKFTHDEDPSPNTSSTINYQNDWNTIFAYDRNGVPIGPRANSDWLYMVPWGLYKAVTYVKEQYGNPRMFIAENGMDYVGNLTLAESLNDTKRINYYRSYLGEVKRAVDGGANLFGYFAWSLVDNFEWRLGYTSRFGMVYIDFNTLERKRYPKKSAYWFQHVLRRNKY >itb14g16650.t3 pep chromosome:ASM357664v1:14:19977548:19979269:-1 gene:itb14g16650 transcript:itb14g16650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSEEVRKGPWTEHEDVQLAFYVNLFGDRRWDFLSKISGLKRSGKSCRLRWVNYLHPGLKRGKMTPHEERLILELHSKLGNRWSKIARKLPGRTDNEIKNYWRTQMRKEAHDKKKKDKKQHQKGGGACSSSASPALSNSSSSSSGSAGEESTPAPVVGANERGFYDTGGFVEAPPPPPRADNGGEKMSGGVKVYSMDEIWKAIESPENQESGHGGVMGSAIWDDYSVWTMDYDYQEDERNMVAPVSDQFYTWFDNNLDFAHNTFLTG >itb14g16650.t2 pep chromosome:ASM357664v1:14:19977548:19979072:-1 gene:itb14g16650 transcript:itb14g16650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEERLILELHSKLGNRWSKIARKLPGRTDNEIKNYWRTQMRKEAHDKKKKDKKQHQKGGGACSSSASPALSNSSSSSSGSAGEESTPAPVVGANERGFYDTGGFVEAPPPPPRADNGGEKMSGGVKVYSMDEIWKAIESPENQESGHGGVMGSAIWDDYSVWTMDYDYQEDERNMVAPVSDQFYTWFDNNLDFAHNTFLTG >itb14g16650.t1 pep chromosome:ASM357664v1:14:19977548:19979269:-1 gene:itb14g16650 transcript:itb14g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEERLILELHSKLGNRWSKIARKLPGRTDNEIKNYWRTQMRKEAHDKKKKDKKQHQKGGGACSSSASPALSNSSSSSSGSAGEESTPAPVVGANERGFYDTGGFVEAPPPPPRADNGGEKMSGGVKVYSMDEIWKAIESPENQESGHGGVMGSAIWDDYSVWTMDYDYQEDERNMVAPVSDQFYTWFDNNLDFAHNTFLTG >itb14g16650.t4 pep chromosome:ASM357664v1:14:19977548:19978877:-1 gene:itb14g16650 transcript:itb14g16650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHEERLILELHSKLGNRWSKIARKLPGRTDNEIKNYWRTQMRKEAHDKKKKDKKQHQKGGGACSSSASPALSNSSSSSSGSAGEESTPAPVVGANERGFYDTGGFVEAPPPPPRADNGGEKMSGGVKVYSMDEIWKAIESPENQESGHGGVMGSAIWDDYSVWTMDYDYQEDERNMVAPVSDQFYTWFDNNLDFAHNTFLTG >itb10g18060.t1 pep chromosome:ASM357664v1:10:24101811:24103605:-1 gene:itb10g18060 transcript:itb10g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPIFQANETSPYGDLSREEFYKKHHIIHKEGFVLNEKEKKIFTQSWQHDSCDEPRGVVCMIHGYTSESSWIFELNAVAMAKAGLFVFAIDLPGHGYSEGRRGHIPRIDPVVHDCIQCFDSARADHPKLPAFLYGESLGGAIAILICLEQKHAWNGLILSGPMCGVSKKYKPVWPLEEFLPLAAFFAPNWRIIFTKPPSRKSCREALKKKLVAKSPNRFANERPPAATGLELLKVCKYIQNNCHELEVPLLILQGGDDKVCDPNAVKAVFKSAGSKDKTLKIFAGMWHQLIGEPNESVELVFNTTLSWIQMRANLARAQKL >itb13g18890.t1 pep chromosome:ASM357664v1:13:25899269:25900954:1 gene:itb13g18890 transcript:itb13g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MMMVDEILPDFDFCGYSGCSTTTTSSSEGDHAATWNGSSPLVDWGFFSGDDEFGDLIDSMMEGQAANTTVGLSFPAIPTVVEVENEDQDEDEDGEYNMVEDTKGLRLVHLLMAAAEALTGLNKSRELARVILVRLKELASPRDGSNMERLAAYFTDALQALLDGAGSLHAKSFPASHEHSHADALAAFQLLQDMSPYVKFGHFTANQAILEAVTHDRRVHIVDYDIMEGIQWASLMQALISREDGLPAPHLRITALSRGGGGGSSRRSFCSIQETGRRLTAFAASIGQPFSFHQCRLDSDEAFKPSALKLVRGEALIVNCMLHLPHFSYRSPDSIASFLSGAATLNPRLVTLVEEAAPAPPPDGGFVDRFMDTLHHYSALYDSLEAGFPMQDQARTLVERVFLGPKISVAITRSYRSRGEEYGWSWGEWVPENAGFRPKTISFANRCQAKLLLGLFNDGYRVEEIGNHKLVLGWKSRRILSASVWTSPDSDL >itb09g27170.t1 pep chromosome:ASM357664v1:9:27609390:27610419:1 gene:itb09g27170 transcript:itb09g27170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIHSALLIAAIVALVSVLGVTGAADKSAADKAEKFTVTGTVYCDVCRAKFVTRVSERMPAAKVRLECHNITDGKFTYGVDGETDANGVYHIEADGDHEDEYCGIKPVKSSKEDCSEIIKDGWAKEFTRVALTTKNGMVHRTRNVNPILYLKAKPIAVCAEVFKELHYVPGEDVHP >itb04g07390.t1 pep chromosome:ASM357664v1:4:4991781:4993050:1 gene:itb04g07390 transcript:itb04g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTTACIAAFLSCLLLLLSSSQCQGQLSPTFYDKTCPNALNIIRIAVRQAVSRERRMAASLVRLHFHDCFVQGCDASILLDESPTIESEKTALPNLGSARGYDVIEAAKRELEKACPGVVSCADVLSVAARDASAAVGGPSWTVKLGRRDSTTASHTLAETDLPGPFDNLDKLISSFSRKGLNTRDMVALSGAHTLGQAQCFLFRDRIYSNGTDIDAGFASTRRRQCPKDTGNGNLAALDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYASSPRAFQADFASAMIKMSEIQPLTGQNGIIRKVCGALN >itb02g13210.t1 pep chromosome:ASM357664v1:2:9244237:9246292:1 gene:itb02g13210 transcript:itb02g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET5 [Source:Projected from Arabidopsis thaliana (AT5G62850) UniProtKB/Swiss-Prot;Acc:Q9FM10] MVNRDVARTIVGIIGNIISCFLFLSPAPTFSKIIKSKSVQAFKVDPYLATILNCTMWVFYGMPFVHPDSLLVVTINGAGLVIEAAYIIIFFIYSKPKQRKKIVIALIVEAIFFAAIVTVTLTVLHGTKKRSALIGIVCIVFNVLMYTSPLTVMKRVITTKSVKYMPFYLSLANFANGCVWFCYAFIKFDPYVLIPNGLGALSGLVQLVLFAMFYRTTNWDENESNNEVELSSGKTGENV >itb02g13210.t2 pep chromosome:ASM357664v1:2:9244237:9246292:1 gene:itb02g13210 transcript:itb02g13210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET5 [Source:Projected from Arabidopsis thaliana (AT5G62850) UniProtKB/Swiss-Prot;Acc:Q9FM10] MYSPTFSKIIKSKSVQAFKVDPYLATILNCTMWVFYGMPFVHPDSLLVVTINGAGLVIEAAYIIIFFIYSKPKQRKKIVIALIVEAIFFAAIVTVTLTVLHGTKKRSALIGIVCIVFNVLMYTSPLTVMKRVITTKSVKYMPFYLSLANFANGCVWFCYAFIKFDPYVLIPNGLGALSGLVQLVLFAMFYRTTNWDENESNNEVELSSGKTGENV >itb02g13210.t3 pep chromosome:ASM357664v1:2:9244237:9246292:1 gene:itb02g13210 transcript:itb02g13210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET5 [Source:Projected from Arabidopsis thaliana (AT5G62850) UniProtKB/Swiss-Prot;Acc:Q9FM10] MQLFQKKIVIALIVEAIFFAAIVTVTLTVLHGTKKRSALIGIVCIVFNVLMYTSPLTVMKRVITTKSVKYMPFYLSLANFANGCVWFCYAFIKFDPYVLIPNGLGALSGLVQLVLFAMFYRTTNWDENESNNEVELSSGKTGENV >itb12g09720.t1 pep chromosome:ASM357664v1:12:7669357:7671441:1 gene:itb12g09720 transcript:itb12g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFHCFLLLTTLSLASLSTIAFTADIKPDYFFISLKWPKTQCKSKEGCCLPKKGSPSLNDFVIHEFQAFYSSNGGTIANCTTGTKFQPSKIADLVPSLQKYWPSLSCPSRDSKKLWKEEWVEYGTCAETLFNQHDYFAAALRVYKQINLLKLLADAGIKPNGTYYPGEAINKAIAKAGLGEITVSCREDEKGVNAVLDYITFCASNKGKKIKCPGHSFWICDSTNGNKVKFPY >itb13g20790.t1 pep chromosome:ASM357664v1:13:27518377:27520049:1 gene:itb13g20790 transcript:itb13g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSILRPLAVAIIIMLALAAVLTPAVGGKYHADDEDDELLEEAIESELKTVVDDAHRQKEAKDQSSESWTGWAKDKLSEGLGLKSTPHDGDTYDAAKNIKDKITDSASGAGQYAAGKAEEIKRAASDKAGEAKDRTYEASGDAKQKAAGAAEKAYNKMGEAKDKAAETAKQAYDKTGETKDNAKQKAAEKAGETKDRAYQTAQDAKNKAADTAEKAYEKTGEFKDKAYEKMEQSSDRRREAEEKTRQAAKDLGEKAAEKAKEAKDAAAGTAKKAGEQGSEKMGWAKEKAKEGYEAAKNKAGEAYESAKDTIASNLGSAKEKSREMKDNVVCSDKACNTKSKHRDEEL >itb01g06120.t1 pep chromosome:ASM357664v1:1:4322284:4324171:1 gene:itb01g06120 transcript:itb01g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIADRWSVLSGSDNWEGLLEPLDSDLRRYLIHYGTMIEPARDAFIEDDASKNVGLPRYARRNLFQNTGLVKGNPFKYEVTKYFYATSELAIWKESDWIGYVAVATDEGKVALGRRDIVVVWRGTIRELEWIDDVIFLFVNAPLIFGQNSDPLVHEGWHYMYTTTTDKDNGKSPRDQIREEVARLVELYKDEEVSITVIGHSLGSSMATLNAVDLAVNPINNNNNNKVVPITAFLYASPKVGDENFKKAFSNQQNLRALRISDLNDPIPKLPPFGWKEGETVESSKLYEDVGVGLEIESKKSNYLKPAPAENPLYYHELMLYLHGIDLYQTPQGTFERKGVFDLAKVNKYQDSLIEDYRIPTEWFNIKDKGMVQQEDGSYILDDHEPDDNEVF >itb13g25050.t1 pep chromosome:ASM357664v1:13:30521482:30524357:-1 gene:itb13g25050 transcript:itb13g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTEPSFAIYNSDEGLPGEIRNGDSVAEAMGEFSFGKGMGLIDEKDGEGENEEKDEGLNGFKDLGLGIDGDGDGEIENYCKMMVEENPSNPLFLRKYAQLLQAKGDLSGAEEHYFLATLADPKDGETLMQYAKLVWELYHDKDRAYSYFKRAALAAPQDSHVLGAYASFLWEIDDGDDQSNEDLQGDEQYLGAILDDHRSDGEIISEYANFIWQLHHDKDKASSYFKRALQASPEDSNVLAAYASFLWQIEEDNEDSSSE >itb04g30710.t1 pep chromosome:ASM357664v1:4:33754217:33756781:-1 gene:itb04g30710 transcript:itb04g30710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRRQTSRNKGFYVRMRLLRPGRQTFCHRYFKWLLWFSLSFYFFASFIFTHKPATSAISRTTVFRQSKASRALIESHNSSLLHQPNTQQDVFKDLKVYIYDLPAKYNVDWLNERCSSHLFASEVAIHRALASSEVRTFDPWEADFFFVPVYVSCNFSTVNGFPAIGHARNLISSAIRLISSDLPFWNRSRGADHVFVASHDFGSCFHTLEDRAIADGVPEFLRNSIVLQTFGVKYNHPCQDVEHVVIPPYVSPESVRKTLTTARADTRRDIFAFFRGKMELHPKNVSGRFYGKGVRTVILRNYGNDRKFYLKRHRFAGYQSEILRSTFCLCPLGWAPWSPRLVESVVLGCVPVIIADAIQLPFPSAVPWEEISVTVLEKDVPNLGPILEKVAATNLTAIRRRLWDPRVRRALLFNDPMVEGDATWQVLLALTNKLTRSHRRSRVSTQ >itb13g20410.t1 pep chromosome:ASM357664v1:13:27222781:27224625:1 gene:itb13g20410 transcript:itb13g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDLHHPWLLVFGILGNIISIFVYLAPVSIFIRIYKAKSTMGYHSVPYVVAIFSSMLWMYYAFIKKNATLLISINSIGIVFETIYILIFLVFASSKARRNTLKELFLCIGGFSLIFVVSWFPFSGAIRVSLVGWICVAFSIAVFASPLSIVLQVFRTKSVEFLPFYLSFFLTLSAVLWFGYGLIMKDLRIALPNILGFFLGLLQILLYAIYRNAKPVVEENKNAQEHVVNVEMLAGTSNNQLVHPVDSGRNISGDDGLTEINMENNNHQGE >itb07g20210.t1 pep chromosome:ASM357664v1:7:24626858:24627475:-1 gene:itb07g20210 transcript:itb07g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSIRVKLEPPGGEGCRKFEHPRHGSFGFLPKKCADTSWPSLPQHSLGTASEEVRRRFYKNWCKSKKKAFVKYSKKYESEDGKRDIEAQLEKMKKYACVIRVLVHTQIRKMKELKQKKAHLMKIQVNGGTIAQKVDYAYGFFEKHVPVMLFSRRMR >itb13g21680.t1 pep chromosome:ASM357664v1:13:28222202:28223035:1 gene:itb13g21680 transcript:itb13g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATIFPSILLILIAAFTITGAIAHRLPPSDKTLLLKKCTEVLSNPNNSNLVIFCARNLLAHRAAYLATCDRRKTVVVVLKEAHNKVITFEALENKINSDKSLSAKEVQDLKRCWGFINKVVGLTNNALVKETSTDSLVELKGMKGVDGRAAMKEYCHFPDVESALWLELKEKAVESLRADMVAMAFVDHLYSITH >itb10g04300.t2 pep chromosome:ASM357664v1:10:4109465:4111277:1 gene:itb10g04300 transcript:itb10g04300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGKVVSSARAIMLGVTGGRVLDARRIIPLDQPFGKVGKQNAPGW >itb10g04300.t1 pep chromosome:ASM357664v1:10:4108756:4109930:1 gene:itb10g04300 transcript:itb10g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSSFAGKAVKVSPSTPEITGNAKFSMRKTAGKPKVVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb09g03180.t1 pep chromosome:ASM357664v1:9:1783393:1784115:-1 gene:itb09g03180 transcript:itb09g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIRVSCELYGNVSQVAAASRTAENFPALKFLENFAANSDLIFINSQMALRIHLLPPKHFHQHPSKSQRANIPSTYHLNNAPNLRRISCTNENKMSDSELALDLAAEVTKIKSKAVQKQEALRKSKEMLFTELCNYLGLNSEEAKKKWKRSSEEERSALIKGFVSDWAANFHPLSARSVKQLVDEYLVENPSSSGSSPSRLFPSIRKLMGFSQQEKE >itb13g04300.t1 pep chromosome:ASM357664v1:13:4824810:4829923:1 gene:itb13g04300 transcript:itb13g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISDVRVWGYEEHGKYTIRSSYKRMMGETQLSASPCSVHPSQFSASRCSASRLLYTATTAEPATPLLIPPTQRYNDRHHRRTRFVFLLPFDFHRVFVLVPRLLQLHVFLVLFQHSIPSRVAPQANAMESSPSSTEYYHLQAKATESHIVILILNQKVPPF >itb12g21220.t1 pep chromosome:ASM357664v1:12:23616291:23620467:-1 gene:itb12g21220 transcript:itb12g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFQITKYLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLARWIADKSQVYTQHAYMRPLGVVAMVLGIDDEKGPQLFKCDPAGHFFGHKATSAGSKEQEAINFLEKKMKNDPAFSYEETVQTAISALQSILQEDFKASEIEVGVVRQEDQAFRVLSTEEIDEHLTAISERD >itb07g23950.t1 pep chromosome:ASM357664v1:7:28261665:28264188:-1 gene:itb07g23950 transcript:itb07g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIHRATLEAENPLEASLRESFNLHEPHLRPPFSLTTFTPDQYSTLNDAVLFGLLREPHFAKTHIKHLHAITTDGYCYFTSMITRIVDELYGKFIDSAKIQLIWLTNEMINVLAVGFDRLLVALLRQIVGGDFSEGNLWLCSEMVSVFLTKWECILEEEPLILTYGLYVFLRVLADHSRLCSDPRLDVLKRLEIEFCVRVLREQFGLCLKIGRDLIRLLQDLVHIAEFKSIWKDLLLDPGQFKVDGFEGVLQIYRLRTPSLYFSLRITPEMERKLRYLVMNVKFGNQRRYQVWFARKFLFLSERETLLVDIVRYICSMRHPSGEVIEPETIPRWAVIGWLLKCCRKSYIEANLKLALFYDWLFFDEKEDNVTSIEPAILLMVNSIPKYVDVTNALLEFLLILVDNYDVDRKDLIINGRNARKIVTTHPNYPFRRLAMNLSSR >itb07g23950.t2 pep chromosome:ASM357664v1:7:28261832:28264171:-1 gene:itb07g23950 transcript:itb07g23950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIHRATLEAENPLEASLRESFNLHEPHLRPPFSLTTFTPDQYSTLNDAVLFGLLREPHFAKTHIKHLHAITTDGYCYFTSMITRIVDELYGKFIDSAKIQLIWLTNEMINVLAVGFDRLLVALLRQIVGGDFSEGNLWLCSEMVSVFLTKWECILEEEPLILTYGLYVFLRVLADHSRLCSDPRLDVLKRLEIEFCVRVLREQFGLCLKIGRDLIRLLQDLVHIAEFKSIWKDLLLDPGQFKVDGFEGVLQIYRLRTPSLYFSLRITPEMERKLRYLVMNVKFGNQRRYQVWFARKFLFLSERETLLVDIVRYICSMRHPSGEVIEPETIPRWAVIGWLLKCCRKSYIEANLKLALFYDWLFFDEKEDNVTSIEPAILLMVNSIPKYVDVTNALLEFLLILVDNYDVDRKDLIINGVVNSIRVLVSKGVIGSLDVLTHCDLLSPLLREMLGKLLLLTQTTHSEGLQ >itb07g23950.t4 pep chromosome:ASM357664v1:7:28261665:28264188:-1 gene:itb07g23950 transcript:itb07g23950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIHRATLEAENPLEASLRESFNLHEPHLRPPFSLTTFTPDQYSTLNDAVLFGLLREPHFAKTHIKHLHAITTDGYCYFTSMITRIVDELYGKFIDSAKIQLIWLTNEMINVLAVGFDRLLVALLRQIVGGDFSEGNLWLCSEMVSVFLTKWECILEEEPLILTYGLYVFLRVLADHSRLCSDPRLDVLKRLEIEFCVRVLREQFGLCLKIGRDLIRLLQDLVHIAEFKSIWKDLLLDPGQFKVDGFEGVLQIYRLRTPSLYFSLRITPEMERKLRYLVMNVKFGNQRRYQVWFARKFLFLSERETLLVDIVRYICSMRHPSGEVIEPETIPRWAVIGWLLKCCRKSYIEANLKLALFYDWLFFDEKEDNVTSIEPAILLMVNSIPKYVDVTNALLEFLLILVDNYDVDRKDLIINGVVNSIRVLVSKGVIGSLDVLTHCDLLSPLLREMLGKLLLLTQTTHSEGLQ >itb07g23950.t3 pep chromosome:ASM357664v1:7:28262113:28264171:-1 gene:itb07g23950 transcript:itb07g23950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIHRATLEAENPLEASLRESFNLHEPHLRPPFSLTTFTPDQYSTLNDAVLFGLLREPHFAKTHIKHLHAITTDGYCYFTSMITRIVDELYGKFIDSAKIQLIWLTNEMINVLAVGFDRLLVALLRQIVGGDFSEGNLWLCSEMVSVFLTKWECILEEEPLILTYGLYVFLRVLADHSRLCSDPRLDVLKRLEIEFCVRVLREQFGLCLKIGRDLIRLLQDLVHIAEFKSIWKDLLLDPGQFKVDGFEGVLQIYRLRTPSLYFSLRITPEMERKLRYLVMNVKFGNQRRYQVWFARKFLFLSERETLLVDIVRYICSMRHPSGEVIEPETIPRWAVIGWLLKCCRKSYIEANLKLALFYDWLFFDEKEDNVTSIEPAILLMVNSIPKYVDVTNALLEFLLILVDNYDVDRKDLIINGVVNSIRVLVSKGVIGSLDVLTHCDLLSPLLREMLGKLLLLTQTTHSEGLQ >itb10g15680.t1 pep chromosome:ASM357664v1:10:21888261:21891179:-1 gene:itb10g15680 transcript:itb10g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYISTHGYGCWSEVPDKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIINLHGAVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKLKKPQSTSHHHQTTTTIIGTNSNGTHQQIQFPFNNNPANDQRFFTQDLATKPLQFQETLFSTPNIITTIAPFSTFDPLFQAGQGDCSANRVDPLFQDSNEFWQQQIQSTTSFGSGMGSGYLLPPLMDDLGPLDVPPPCSLFDAARDNHASEWGVDTTQQQQQRQQQQQCPGSNYLLWDEVTEGNLGGEEEELIGVGVPTTSPMAAMLSSFPPSL >itb10g15680.t2 pep chromosome:ASM357664v1:10:21888227:21889162:-1 gene:itb10g15680 transcript:itb10g15680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYTGCRLTTRWAHIASHLPGRTDNEIKNYWNSWIKKKLKKPQSTSHHHQTTTTIIGTNSNGTHQQIQFPFNNNPANDQRFFTQDLATKPLQFQETLFSTPNIITTIAPFSTFDPLFQAGQGDCSANRVDPLFQDSNEFWQQQIQSTTSFGSGMGSGYLLPPLMDDLGPLDVPPPCSLFDAARDNHASEWGVDTTQQQQQRQQQQQCPGSNYLLWDEVTEGNLGGEEEELIGVGVPTTSPMAAMLSSFPPSL >itb05g08430.t2 pep chromosome:ASM357664v1:5:11811491:11815916:-1 gene:itb05g08430 transcript:itb05g08430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVEEDGDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITDMGRNCETVEEFDEKLKESGAEMPDYFVRTLLTIIHAILPPKPKSESEKDSKRTDKGEKFSALKIKDDRDRIKELEREIEVEAKKNRGKDEEEDRRDRDDRRDRRRERDGDDRRDRDRDSRRDRNRDDRRERDRGDRRERGNDAEYRSHDRDRDNRYERRKRRDSDEDRDDDRKNAMYRSEEPELYRVYKGRVSRVMDTGCFVQLDECRGKEGLVHVSQMATRRVSNAKDLVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSLDDDDLRENPSGGNMEGTRTRIGLSGIRITEDDVVVPSRRPLKRMSSPERWEAKQLMASGVLSVKECPMFDEEGDGLLYQEEGAEEELEIELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVAYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRINLGMTVLNMKAMGINDLLSFDFMDPPSPQALISAMEQLFTLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVCAGKNFTKIRKAIAAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKLSKRKRQERIEPLYDRYHEPNSWRLSKRRA >itb05g08430.t4 pep chromosome:ASM357664v1:5:11808709:11815746:-1 gene:itb05g08430 transcript:itb05g08430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVEEDGDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITDMGRNCETVEEFDEKLKESGAEMPDYFVRTLLTIIHAILPPKPKSESEKDSKRTDKGEKFSALKIKDDRDRIKELEREIEVEAKKNRGKDEEEDRRDRDDRRDRRRERDGDDRRDRDRDSRRDRNRDDRRERDRGDRRERGNDAEYRSHDRDRDNRYERRKRRDSDEDRDDDRKNAMYRSEEPELYRVYKGRVSRVMDTGCFVQLDECRGKEGLVHVSQMATRRVSNAKDLVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSLDDDDLRENPSGGNMEGTRTRIGLSGIRITEDDVVVPSRRPLKRMSSPERWEAKQLMASGVLSVKECPMFDEEGDGLLYQEEGAEEELEIELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVAYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRINLGMTVLNMKAMGINDLLSFDFMDPPSPQALISAMEQLFTLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVCAGKNFTKIRKAIAAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKLSKRKRQERIEPLYDRYHEPNSWRLSKRRA >itb05g08430.t1 pep chromosome:ASM357664v1:5:11811491:11815916:-1 gene:itb05g08430 transcript:itb05g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVEEDGDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITDMGRNCETVEEFDEKLKESGAEMPDYFVRTLLTIIHAILPPKPKSESEKDSKRTDKGEKFSALKIKDDRDRIKELEREIEVEAKKNRGKDEEEDRRDRDDRRDRRRERDGDDRRDRDRDSRRDRNRDDRRERDRGDRRERGNDAEYRSHDRDRDNRYERRKRRDSDEDRDDDRKNAMYRSEEPELYRVYKGRVSRVMDTGCFVQLDECRGKEGLVHVSQMATRRVSNAKDLVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSLDDDDLRENPSGGNMEGTRTRIGLSGIRITEDDVVVPSRRPLKRMSSPERWEAKQLMASGVLSVKECPMFDEEGDGLLYQEEGAEEELEIELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVAYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRINLGMTVLNMKAMGINDLLSFDFMDPPSPQALISAMEQLFTLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVCAGKNFTKIRKAIAAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKLSKRKRQERIEPLYDRYHEPNSWRLSKRRA >itb05g08430.t3 pep chromosome:ASM357664v1:5:11808709:11815746:-1 gene:itb05g08430 transcript:itb05g08430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVEEDGDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITDMGRNCETVEEFDEKLKESGAEMPDYFVRTLLTIIHAILPPKPKSESEKDSKRTDKGEKFSALKIKDDRDRIKELEREIEVEAKKNRGKDEEEDRRDRDDRRDRRRERDGDDRRDRDRDSRRDRNRDDRRERDRGDRRERGNDAEYRSHDRDRDNRYERRKRRDSDEDRDDDRKNAMYRSEEPELYRVYKGRVSRVMDTGCFVQLDECRGKEGLVHVSQMATRRVSNAKDLVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSLDDDDLRENPSGGNMEGTRTRIGLSGIRITEDDVVVPSRRPLKRMSSPERWEAKQLMASGVLSVKECPMFDEEGDGLLYQEEGAEEELEIELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVAYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRINLGMTVLNMKAMGINDLLSFDFMDPPSPQALISAMEQLFTLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVCAGKNFTKIRKAIAAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKLSKRKRQERIEPLYDRYHEPNSWRLSKRRA >itb01g10020.t1 pep chromosome:ASM357664v1:1:8332318:8339812:-1 gene:itb01g10020 transcript:itb01g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEIEEESPSRYGDGGVSNLDGIREEIETAFSGGGDHDGAAVYVAVGNATKGCEESSMDALRWALKNAVVTHPPSSFLVFLVNIFPETKHIPSPLGMIPLSQVNPEQKENHMAQERSKRSQFLHKFLDVCSASQVKVETILVESDTEAKAILDLIPICNIKKLVLGISKPNLRRMRSKRGSCTADQILQNAPEFCEVKIICEGKEVATDQLESPSPSPSPGPSPRSAHGPTQLQNQTQSESVGCGCFKAKVFQ >itb01g10020.t2 pep chromosome:ASM357664v1:1:8332510:8339812:-1 gene:itb01g10020 transcript:itb01g10020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEIEEESPSRYGDGGVSNLDGIREEIETAFSGGGDHDGAAVYVAVGNATKGCEESSMDALRWALKNAVVTHPPSSFLVFLVNIFPETKHIPSPLGMIPLSQVNPEQKENHMAQERSKRSQFLHKFLDVCSASQVKVETILVESDTEAKAILDLIPICNIKKLVLGISKPNLRMRSKRGSCTADQILQNAPEFCEVKIICEGKEVATDQLESPSPSPSPGPSPRSAHGPTQLQNQTQSESVGCGCFKAKVFQ >itb15g05900.t1 pep chromosome:ASM357664v1:15:3861421:3868089:1 gene:itb15g05900 transcript:itb15g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGQPYAIPPGKRRWNFLVIAVLCLVFLSMLVPLIFLLGLHNGFHSSPGYVTEKQTSFTKVVNVYDQPEATISRNKSEGEQSSHIDDVIRRLEPTFPKDFQKNIVKEAENKTTIGPPRPDAMPKEHPKENSNKVHSKAAAAEKVKDTEESIKLCELKYGSYCLWRQQNREKVNDFIVRKMKDLLYVARAYYPSIAKLPTQDKLSLEMKQNIQDFERVLSETTVDKDLPSMIGSKLGKMEAVIAKAKACPVECGNVDKKFRQLVDLTEDEANFHAKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRSSPLNEEQSVAERYLNPDLHHYIIFSKNVLASSAVVNSTVLHAKESEIQVFHVLTDRENYFAMKLWFFLNKYKEATVQVLNIEDPNLDGDVKETPTQLLLPEEYRIFLHKVDKSSTIKRTEYLSVFTHSHYLLPKIFRSLKKVVVLDDDIIVQRDLSDLWRINLDGKVNGAVQVCSVRLVELKNFLGDKISDEKSCAWMSGLNIIDLDRWRERDLSGKFQGLLQGLRTEEGSSLRATLLTFQDEIYGLDYKWVLSGLGHEYGLDTELVKNAGVLHFNGNMKPWLDMGIRDYKEFWSKYLNKENQLLRDCNVN >itb15g05900.t2 pep chromosome:ASM357664v1:15:3861419:3868087:1 gene:itb15g05900 transcript:itb15g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGQPYAIPPGKRRWNFLVIAVLCLVFLSMLVPLIFLLGLHNGFHSSPGYVTEKQTSFTKVVNVYDQPEATISRNKSEGEQSSHIDDVIRRLEPTFPKDFQKNIVKEAENKTTIGPPRPDAMPKEHPKENSNKVHSKAAAAEKVKDTEESIKLCELKYGSYCLWRQQNREKVNDFIVRKMKDLLYVARAYYPSIAKLPTQDKLSLEMKQNIQDFERVLSETTVDKDLPSMIGSKLGKMEAVIAKAKACPVECGNVDKKFRQLVDLTEDEANFHAKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRSSPLNEEQSVAERYLNPDLHHYIIFSKNVLASSAVVNSTVLHAKESEIQVFHVLTDRENYFAMKLWFFLNKYKEATVQVLNIEDPNLDGDVKETPTQLLLPEEYRIFLHKVDKSSTIKRTEYLSVFTHSHYLLPKIFRSLKKVVVLDDDIIVQRDLSDLWRINLDGKVNGAVQVCSVRLVELKNFLGDKISDEKSCAWMSGLNIIDLDRWRERDLSGKFQGLLQGQLRTEEGSSLRATLLTFQDEIYGLDYKWVLSGLGHEYGLDTELVKNAGVLHFNGNMKPWLDMGIRDYKEFWSKYLNKENQLLRDCNVN >itb15g05900.t3 pep chromosome:ASM357664v1:15:3863383:3868089:1 gene:itb15g05900 transcript:itb15g05900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEHPKENSNKVHSKAAAAEKVKDTEESIKLCELKYGSYCLWRQQNREKVNDFIVRKMKDLLYVARAYYPSIAKLPTQDKLSLEMKQNIQDFERVLSETTVDKDLPSMIGSKLGKMEAVIAKAKACPVECGNVDKKFRQLVDLTEDEANFHAKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFRSSPLNEEQSVAERYLNPDLHHYIIFSKNVLASSAVVNSTVLHAKESEIQVFHVLTDRENYFAMKLWFFLNKYKEATVQVLNIEDPNLDGDVKETPTQLLLPEEYRIFLHKVDKSSTIKRTEYLSVFTHSHYLLPKIFRSLKKVVVLDDDIIVQRDLSDLWRINLDGKVNGAVQVCSVRLVELKNFLGDKISDEKSCAWMSGLNIIDLDRWRERDLSGKFQGLLQGLRTEEGSSLRATLLTFQDEIYGLDYKWVLSGLGHEYGLDTELVKNAGVLHFNGNMKPWLDMGIRDYKEFWSKYLNKENQLLRDCNVN >itb05g24290.t1 pep chromosome:ASM357664v1:5:29122081:29123382:-1 gene:itb05g24290 transcript:itb05g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISETELLHKFQVFKVAGRDKCGRKILQVTGKYFPARNLSVDAVTKYLEKNILPELENQPFSVLYLHAGVEKSENFPGISGLRAIYDSIPVAVRENIEAVYFVHPGLQSRLFLATFGRFIFTGGIRGKIKYVSRVDYLWEHLRRKEVELPEFVYDHDEYLEYRPMIGYGLEGDDHPRVYGMSTVDSPVIMYSTRCIS >itb03g06900.t1 pep chromosome:ASM357664v1:3:4967945:4970567:-1 gene:itb03g06900 transcript:itb03g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQILAKPIQLADQVTKTADEASSFKQECADIKSKTEKLAALLRQAARASNELYERPTRRIIDDTEQVLEKALALVLKCRAHGVVKRVFTLIPSAAFRKMQSHLDNSIGDVSWLLRVSASADDRADEYLGLPPIAANEPILCLIWEQIAILFTGSLDDASDAAASLVSLARDNDRYGKLIIEEGGVGPLLKLLKEGKPEGQENAARAIGLLGRDEESVEQMIVAGACQVFAKILKEGPMKVQAVVAWAVSELASHYPKSQDLFAQNNVIRLLVSHLAFETVQEHSKYAIVSKATSIHAVVLASNKPANENLNLKVLEDDETRKITNPLGNGNKKASNFQNVIETTMAMRAQTKNPTNGVNVNHQHQHSLSAGGLGFSHKGREFEDPATKAYMKAMSARALWHLAKGNSSICRSITESRALLCFAVLLEKGPEDVQYNSAMAVMEITAVAEKDPDLRRSAFKPNSPACKAVVDQLLRIIEKADSEKADSDLLMPCIISVGNLARTFRATETRMISPLVKLLDEREAEVSKEAAIALTKFASSDNYLRLDHSKAIIGAGGAKHLVQLVYFGEQLVQSSALVLLCNIAMHVPDSEDLAQAEVLTVLEWASKQANLCHDEMVETLLHEAKSRLELYQSRGSRGFH >itb03g29430.t1 pep chromosome:ASM357664v1:3:30315504:30316124:1 gene:itb03g29430 transcript:itb03g29430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKPIGAAAFIALLLLLSLSFLVLSTSDVSQTTKAAEKLSLEVKRKGRTIPVSSGGSAARPKTKSSSSPHISIFHVYYLLVFSVFSAFLLL >itb04g28730.t1 pep chromosome:ASM357664v1:4:32345070:32354007:1 gene:itb04g28730 transcript:itb04g28730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMIESAWSYLITHFSDFQLACLGSFFIHESVFFLSGLPFILFERAGWLHKYKIQTKNNTPAAQEKCITRLLLYHFGVNLPVMILSYPVFRHMGMRSSLPLPSWKVISTQILFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIFGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKGDGSEVELKEM >itb11g05080.t1 pep chromosome:ASM357664v1:11:2959278:2960910:1 gene:itb11g05080 transcript:itb11g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRCRTLRDQEKGNEDRLSVLPDSILLLILSLLPTRDAIKTSTLSRRWDRLWTSVSNLKFHLPSEQKTPKNFITSVHRALLLHEGQCISKFSIRFYYKEKFASHVDAWIRFATRKSVEVLHLDFTDRCTYTVGRDVPYAMPRHFFNNETFTELKLNFCSFKMHGVQLSWKSLRKLSLRQFVLSDDMVNGILAGCPILECLVLEQCSSLTFLSIPSAKLKSLTLCNMQTEIKIYASHLLSLTLSGYMHEAEHSIVDLSRLEYAKIDFNLMFFDVVDECEVEYFCRKLRNIIRNVCHAKTLALCQSCVQLLTACEVYSIQIPILKCKSLTLETSFRKHELIGITSLLQNSPGLENLIIIASNLYGFWCESDLTRKHPVDEQRFWDSQTDAFSSSLHHLRTVRLYSFMSWGVGSGCDIMDMSLGKLQKKIARELNLVKFLLTNFTVIERVDFYIKKDQNSKITKLREIIHTVSQAILVCPKASSLVEVVFW >itb04g33940.t1 pep chromosome:ASM357664v1:4:36011325:36012968:1 gene:itb04g33940 transcript:itb04g33940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASAEKHYGKEKELLKCISSFKLLRVLSLEFDRDCTLTCFPNEFWNLIHLTYFRLRVSNLVIPSGIRNLKNLLTLNIRGCGEVSIHPSFWKMKQLRHVLVDMENWRYKGTNQDRSSSISSASVSLENLRTLEWVSIEIIQLAGVQSLSRLRRLGIYSHFGRKIEQLFSSMPPLENLEKLSLSFIYPANIGGMLRLNLSGYHSLLKLRIKGCLFKLPNVDAFPPNLVKLCLFYTKMDEDPMKTLKRLPKLKFLKLIDGYGGLSVMDFSGAGSFPQLQVLHIQFFYKLGELISDEELGMPNLKKVILRDCPNVVRITGRIQFLIVSSFQYVGVWFKVQHFT >itb04g33940.t2 pep chromosome:ASM357664v1:4:36009741:36012968:1 gene:itb04g33940 transcript:itb04g33940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILLTAAIMKATDVCIDLVDEEGSAFKKLRENIHWMERQLRHMQSYLEVAEARKRHDRRAANLVEDIEELAGDVEDTLETFLSHVDSHRSACRIFPNFSAVHKFSVKIETIKKRIKDIDDDRKTYRIMDTAGSKGSQKWDARRAYLLLADEAEVVGLDEDCEQLEARLRDQRLDFGVVSIVGMPGLGKTTLAKKIFKNVQHCFQCSAMVYVSQAPKIRDLLGDIARQVGLTEEQIKKQPETNLYSFLQQRRYIILFDEIWDFESWDAIKYCIPTAKNGSRIIITSRNNSVGRYIGGQHSLHTLQPLDREKSWELFSKQIISRFEKMTAFPEELKSVGEQIVGKCGGVPLAIVVTAGMLRARERSENEWKQVLNNIGQDDEDECSRVLALSYEDLPTRLKHCFLYFGLFQEDYEIKALDLMNMWIAEKLVEQPSNEEEREIEDVAEGYLNNLIARNLIQVSRSKYNGKIKSCRIHDILRSSLCINIGKKSKFCSVLGAKGARRVASNAKTITENVKPNSETSKLRVLMCASAEKHYGKEKELLKCISSFKLLRVLSLEFDRDCTLTCFPNEFWNLIHLTYFRLRVSNLVIPSGIRNLKNLLTLNIRGCGEVSIHPSFWKMKQLRHVLVDMENWRYKGTNQDRSSSISSASVSLENLRTLEWVSIEIIQLAGVQSLSRLRRLGIYSHFGRKIEQLFSSMPPLENLEKLSLSFIYPANIGGMLRLNLSGYHSLLKLRIKGCLFKLPNVDAFPPNLVKLCLFYTKMDEDPMKTLKRLPKLKFLKLIDGYGGLSVMDFSGAGSFPQLQVLHIQFFYKLGELISDEELGMPNLKKVILRDCPNVVRITGRIQFLIVSSFQYVGVWFKVQHFT >itb14g06740.t1 pep chromosome:ASM357664v1:14:5976286:5978904:-1 gene:itb14g06740 transcript:itb14g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVEVHSANPVAVHSVNPQEEKVRSDILLFNRWSYDDVQVNDLSVDDYITATAAKHPTYTPHTAGRYQAKRFRKAQCPVVERLVNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLITSGARQSAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >itb14g03710.t1 pep chromosome:ASM357664v1:14:3339258:3339776:1 gene:itb14g03710 transcript:itb14g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRYCCRACKRHWTHGGIQRDIPIGGKSNKGRKSTNRYKNKRVQPSLPQLQPPCPQANVDPLAFGPLALPPIVTPYRVENGYLNMVNPLRTIEPPYNSSQNAFQPTLHYDSRSHNLFLNNNDGASSSNLIPLNASVNSSTTSGYTNIGWGSLIANPNDWLDFPRSFDPST >itb02g14790.t3 pep chromosome:ASM357664v1:2:10742652:10746030:1 gene:itb02g14790 transcript:itb02g14790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFFFFGNGSHAYIYRHYRFTDGTTEEGMMENTEGASGHQQDSPIYESLKRDIQNLKQLSSKECIFKVHEGLRRTNTEAYTPLLISIGPYHHGNPKLLKMQNLKLKYLDLFLKREKQPSMEQCWEKLKASKEKAASYYGAEDIELLDGDKFVKMLLLDGCFIAEFLYREYGEQRANKEEEEKELRKKKSNAVFMPTGMKLQVVRDLLLLENQIPFFVLQKLHGMVYDESKATFLDIVKITFASMLTKVSLIPPIVPTLGDNNNNNNSTEEMKHLVQIVHNLSNPQNNGTQEQRHYWTCFPCSLAKQSQDCDIRTATELQEAGINLKKAVDESSSLFHIKFNHGELEIPKFHITDPTETFFRNMIAYEQHSCDENNMYFTDYAQFMDNLINTEKDVNLFRMNGVLINWLGDDKEVTDLFNRLCKGVVYSSCDNFYYSDVCKKLNGHYNKPWNVMMAKLRHDYFHTPWAAISTIAAVFLLSLTVAQTVIAVLDLRK >itb02g14790.t2 pep chromosome:ASM357664v1:2:10742351:10745989:1 gene:itb02g14790 transcript:itb02g14790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMENTEGASGHQQDSPIYESLKRDIQNLKQLSSKECIFKVHEGLRRTNTEAYTPLLISIGPYHHGNPKLLKMQNLKLKYLDLFLKREKQPSMEQCWEKLKASKEKAASYYGAEDIELLDGDKFVKMLLLDGCFIAEFLYREYGEQRANKEEEEKELRKKKSNAVFMPTGMKLQVVRDLLLLENQIPFFVLQKLHGMVYDESKATFLDIVKITFASMLTKVSLIPPIVPTLGDNNNNNNSTEEMKHLVQIVHNLSNPQNNGTQEQRHYWTCFPCSLAKQSQDCDIRTATELQEAGINLKKAVDESSSLFHIKFNHGELEIPKFHITDPTETFFRNMIAYEQHSCDENNMYFTDYAQFMDNLINTEKDVNLFRMNGVLINWLGDDKEVTDLFNRLCKGVVYSSCDNFYYSDVCKKLNGHYNKPWNVMMAKLRHDYFHTPWAAISTIAAVFLLSLTVAQTVIAVLDLRFTICHRS >itb02g14790.t1 pep chromosome:ASM357664v1:2:10742328:10746030:1 gene:itb02g14790 transcript:itb02g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENTEGASGHQQDSPIYESLKRDIQNLKQLSSKECIFKVHEGLRRTNTEAYTPLLISIGPYHHGNPKLLKMQNLKLKYLDLFLKREKQPSMEQCWEKLKASKEKAASYYGAEDIELLDGDKFVKMLLLDGCFIAEFLYREYGEQRANKEEEEKELRKKKSNAVFMPTGMKLQVVRDLLLLENQIPFFVLQKLHGMVYDESKATFLDIVKITFASMLTKVSLIPPIVPTLGDNNNNNNSTEEMKHLVQIVHNLSNPQNNGTQEQRHYWTCFPCSLAKQSQDCDIRTATELQEAGINLKKAVDESSSLFHIKFNHGELEIPKFHITDPTETFFRNMIAYEQHSCDENNMYFTDYAQFMDNLINTEKDVNLFRMNGVLINWLGDDKEVTDLFNRLCKGVVYSSCDNFYYSDVCKKLNGHYNKPWNVMMAKLRHDYFHTPWAAISTIAAVFLLSLTVAQTVIAVLDLRK >itb15g03430.t1 pep chromosome:ASM357664v1:15:2179743:2185195:1 gene:itb15g03430 transcript:itb15g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNLYISSSPPPLSQKFTNSIIMDTQIKASRPLEVLMLPFLAIGHISPFLELAKNLSDNGFSIHLCSTPINLNFIKTKIPTKYSSSIRLLPLHLPDSPHLPPHYHTTNALPPHLTPAFDAALSAAQSAFSDVMKNLAPDLFVYDVLMPPWAAGVAAAQNVPAVQFFTSSSAMCAYLAHMFHRPSMEYPLLELNLTRNERERIYRLIGEKRDSGRGVFDDNMMVISTSREIEGKYVDYLGEIIQENNLQVLVLGAMVQDLDLEDEGDSDLFEWLGTKPENSTLFICFGSECVPSKEAMDEIAFGLELSQVNFLWVIRFPKGELPEGFIEAVGERGRVVEGWAPQARILVHPSVGWFLSHCGWNSVLEGVYYGVPIIVMPMHRVDQAVNGRLVVEIGVGVEVVRDGDGKVGRGEVARVVKDVMGGEVGEDMRRNVRKIGEKVRVASVEEMGMAAKLLRRKDITMAQPSVVLATASYDHTIRFWEAKSARCYRTIQYPESQVNRLEITPDKLYLAAAGNPHIRLFDINSNSPQPIQSFDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGFQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLKANACSCELVPEVDTAVRSLTVMWDGSLVVAANNNGICYVWRLLRGTQTMTNFEPLHKLPAHDGYILKCLLSPELCEPHRYLATASSDHTVKIWNVDGFTLEKTLKGHERWVWDCVFSVDGAFLITASSDTTARLWSMSNGKEIKVYQGHHKATVCCALHDGPVPSSC >itb08g03220.t2 pep chromosome:ASM357664v1:8:2667920:2670936:-1 gene:itb08g03220 transcript:itb08g03220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRFWILALFLASGDLLISGVNSSATFTMINKCEQTIWPGILSNAGVAPLDTTGFALQAGESRTINVPSSWGGRFWGRTHCSQDSTGKFTCVTGDCGSGQVECAGGNAAPPATLAEFTLDGHGGLDFYDVSLVDGYNLPMLVVPQGGTGDNCTSTGCRVDVNGLCPSALKVTSSAGESVACKSACEAFGDPQYCCSGAYGTPDTCKPSSYSQMFKSACPSAYSYAYDDQTSTFTCAGADYTITFCPSPNTRYNPFSNSLILTLSSIFLFSSTRAVSSIHIL >itb08g03220.t1 pep chromosome:ASM357664v1:8:2667920:2670887:-1 gene:itb08g03220 transcript:itb08g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRFWILALFLASGDLLISGVNSSATFTMINKCEQTIWPGILSNAGVAPLDTTGFALQAGESRTINVPSSWGGRFWGRTHCSQDSTGKFTCVTGDCGSGQVECAGGNAAPPATLAEFTLDGHGGLDFYDVSLVDGYNLPMLVVPQGGTGDNCTSTGCRVDVNGLCPSALKVTSSAGESVACKSACEAFGDPQYCCSGAYGTPDTCKPSSYSQMFKSACPSAYSYAYDDQTSTFTCAGADYTITFCPSPNTSQKSSNSGAGDNGGGSGTPPGIDSTMVYDGALDVSSAYSVTCAHVLGSHAVAGAVAIVTAMWQLCQLC >itb01g33660.t3 pep chromosome:ASM357664v1:1:36683293:36685340:-1 gene:itb01g33660 transcript:itb01g33660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMLRLSWLLLLLSHKQVFLITFCHASQVEYLSKLLESRITENHLNSEMWSKLEDVTDTTSPVYVGPQEGLMEADKIDALPMQPPGVNFNQYAGYVTVEPKAGRALFYYFVESPRDSSSKPLLLWLNGGPGCSSFGYGAMEELGPFRVNSDGKSLHVNKYARNKVANVLFLESPAGVGFSYSNSSSDYDTPGDKRTAKDSYTFLINWLERFPQYKTRDFYIAGESYAGHYVPQLAYTILAHNKISNQSFINLKNIEIGNALVHNDINIQAIYDYLWSHALISDETHAAINKYCDFLNGNYSDTCIGYAGQVGNEIGYIDIYNIYAPLCNEKVAKPKSSDMEFDPCSDSYVESYLNREEVQKALHAVNTTWFICSNKVGWGNWKDMPGTVLPLIKMLIANQINVWIYSGDIDARVPVTSSRYAINTMKLPLENSWRPWYYNGGKVMVVFHSPYLIW >itb01g33660.t2 pep chromosome:ASM357664v1:1:36682909:36685340:-1 gene:itb01g33660 transcript:itb01g33660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMLRLSWLLLLLSHKQVFLITFCHASQVEYLSKLLESRITENHLNSEMWSKLEDVTDTTSPVYVGPQEGLMEADKIDALPMQPPGVNFNQYAGYVTVEPKAGRALFYYFVESPRDSSSKPLLLWLNGGPGCSSFGYGAMEELGPFRVNSDGKSLHVNKYARNKVANVLFLESPAGVGFSYSNSSSDYDTPGDKRTAKDSYTFLINWLERFPQYKTRDFYIAGESYAGHYVPQLAYTILAHNKISNQSFINLKNIEIGNALVHNDINIQAIYDYLWSHALISDETHAAINKYCDFLNGNYSDTCIGYAGQVGNEIGYIDIYNIYAPLCNEKVAKPKSSDMEFDPCSDSYVESYLNREEVQKALHAVNTTWFICSNKVGWGNWKDMPGTVLPLIKMLIANQINVWIYSGDIDARVPVTSSRYAINTMKLPLENSWRPWYYNGGKEVGGYVEGYKGLTFLTIRGAGHAVPSYQPERSLTMISSFLQGKLPLPLCRIRFHQHV >itb01g33660.t1 pep chromosome:ASM357664v1:1:36682909:36685340:-1 gene:itb01g33660 transcript:itb01g33660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMLRLSWLLLLLSHKQVFLITFCHASQVEYLSKLLESRITENHLNSEMWSKLEDVTDTTSPVYVGPQEGLMEADKIDALPMQPPGVNFNQYAGYVTVEPKAGRALFYYFVESPRDSSSKPLLLWLNGGPGCSSFGYGAMEELGPFRVNSDGKSLHVNKYARNKVANVLFLESPAGVGFSYSNSSSDYDTPGDKRTAKDSYTFLINWLERFPQYKTRDFYIAGESYAGHYVPQLAYTILAHNKISNQSFINLKNIEIGNALVHNDINIQAIYDYLWSHALISDETHAAINKYCDFLNGNYSDTCIGYAGQVGNEIGYIDIYNIYAPLCNEKVAKPKSSDMEFDPCSDSYVESYLNREEVQKALHAVNTTWFICSNKVGWGNWKDMPGTVLPLIKMLIANQINVWIYSNIKTAVGI >itb15g07600.t1 pep chromosome:ASM357664v1:15:5212118:5215029:1 gene:itb15g07600 transcript:itb15g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPVLSNFAGNCNRYEAECVGPIQKAFFFTSLVLMGVGMAGKAVSLDAYLRHYQTPRDDNSNDLNNQQVYDEEEDGFWSVNNLLVIGISVVAALALSNIKPWDIKFAIPAIFSFTATLVFFAGKALGFYSNYGDKPEGSALTTVLRVLVAATYKSCHELPVNSDELYQGNHNSANQLPHTHGCGCFDKAAIVSSPTKRVDKEEEKKWKAAKMNHNIGKWQVPSTILLLLPKAAKYVTVAGYKSALKSKIESLERKYSTELGSVIGPIYAMINTAMCCLFAALVETDRRQHIDHDETISVFWLIPQFNFLINVDATFHEFMNAFFKANAAPSMAKYYWFINAQSCLGFSLQQMVQKRC >itb09g04250.t1 pep chromosome:ASM357664v1:9:2361451:2365033:1 gene:itb09g04250 transcript:itb09g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNIHSFRKGQVFHGVLNSVWVSVKMQRRKKNMKERERVSSNIIRCPETEKYALLKFKQGITNPSNLLSSWTTEQDCCKWEGVECDNTTGHVTTLDLHCTSQADSLRGELRNSLLDLPYLRHLDLSHNDFFYIQIPDFIGSFKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGGNLRVNNHDWLSQLSSLKVIDLSWVNLGSWNSWLHAINMQPSLKKLNLSSCYLYSLHIPPTLPFLNLTSLQILDISHNQFGSSIPSWLFNISSLASLNLKKSEIQGSIPETFQTMTSLTVLDLSENNLTGELPPALPSLLKEVRFSGNHLNGPLAKSIMHLKKLVVLDVANNSLNDTITEESLNFSDLRVLDLSYNSIVLEMSQSWIPSFQLDVIALRSCKLDQRFPSWLRTQKTFSYIDISDAGISDKVPDWFWDLSPAMEHMNLSWNQLRGQVPDLSRFNLSVLDLSRNHFRGPLPHFSPRMKFFILKWNSFSGTVYPVCKSLVENNSLCFLDLYSNNLSRPLPDCWVNGIELIYLNLGKNFLFGKIPHSLGYLENLKMLVLANNNFMGELPSSFQNLRKLTVLDVGSNKLTGNIPIWIGESYKDLMLLSLLGNQFSGTIPPQLCRLEYLMALDLQNNSLTGTIPKCVNNFLIMAGVEDAPSYIYDPFTAYEKDALETILMTVSLVHVSFIFKNYNISLSLPMSARYPVGVIDLSDNFLSGITFLALFHQTCQIIYTP >itb09g04250.t2 pep chromosome:ASM357664v1:9:2362690:2365437:1 gene:itb09g04250 transcript:itb09g04250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTVFMDSPSISMEARKLFILLLLLLLHLAHFSSVAVSSNIIRCPETEKYALLKFKQGITNPSNLLSSWTTEQDCCKWEGVECDNTTGHVTTLDLHCTSQADSLRGELRNSLLDLPYLRHLDLSHNDFFYIQIPDFIGSFKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGGNLRVNNHDWLSQLSSLKVIDLSWVNLGSWNSWLHAINMQPSLKKLNLSSCYLYSLHIPPTLPFLNLTSLQILDISHNQFGSSIPSWLFNISSLASLNLKKSEIQGSIPETFQTMTSLTVLDLSENNLTGELPPALPSLLKEVRFSGNHLNGPLAKSIMHLKKLVVLDVANNSLNDTITEESLNFSDLRVLDLSYNSIVLEMSQSWIPSFQLDVIALRSCKLDQRFPSWLRTQKTFSYIDISDAGISDKVPDWFWDLSPAMEHMNLSWNQLRGQVPDLSRFNLSVLDLSRNHFRGPLPHFSPRMKFFILKWNSFSGTVYPVCKSLVENNSLCFLDLYSNNLSRPLPDCWVNGIELIYLNLGKNFLFGKIPHSLGYLENLKMLVLANNNFMGELPSSFQNLRKLTVLDVGSNKLTGNIPIWIGESYKDLMLLSLLGNQFSGTIPPQLCRLEYLMALDLQNNSLTGTIPKCVNNFLIMAGVEDAPSYIYDPFTAYEKDALETILMTVSLVHVSFIFKNYNISLSLPMSARYPVGVIDLSDNFLSGEIPAELASLVRLVALDLSKNNLTGPIPPGIVHLVNLEYLDLSRNNLSCSIPPNMPDHLYSLEVLNLSYNHLTGEIPRRGQFGTFDSSSYTGNRYLCGPPLTTECSTPLPKDPYCINNNDLQIQHHGSDWLDETVAFFTSMGAGFIPGFWAFWGSLLLSKSWRHAYFRFLNNTADKIYVFIAIKLRNWKERKQITA >itb03g02950.t1 pep chromosome:ASM357664v1:3:1662087:1666147:1 gene:itb03g02950 transcript:itb03g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISLCAALILDKKSRKKDGSNHSSEEVKRNPSILRKVIEHKLREALEEASEDGSLVKSQGMDSEAMENQDEGLGRSRSLARLHAQKEFLKATALAAERIFESEDSIPELIESFSKFLTMYPKYQSSERIDQLRSDEYSHLSGSGPKVCLDYCGFGLFSFLQTVHYWDSSTFSLSEITANLSNHALYGGADKGTVEHDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMGQAAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGHAGSGIVKITPVYPLYLSDSIDGFPGLAEDGEAGGDSEVIKESRPGSQLPAFSGAFTSAQVRDVFETEMEHDNSSDRDGTSTIFEETESVSVGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDSAGHPNKQKISSPVPPFWFAGRRNNKRLSPKPSKVLNSPMYDKEVNPGHREDHMLSFDAAVRSVSQELDHFQDIPEEEQFTEGNLGSREYRTPNNRLVHEIEEVGTRKPHQMNSAVKGYGLNKASTSEHFQNGSTSEICREIKDSAIRRETEGEFRLLGRREGNKFSGGRFFGIEEIDQPGSRGRRVSFSMEDNHKVRLGHTLEPGELSATSLDDDEYISDGEYDGQESDRREPEIICKHLDHINMLGLNKTTFRLRYLVNWLVTSLLQLRLPGSNGEDSVSLVHIYGPKIKYERGAAVAFNVRNSNWGLIRPEVVQKLAEMHGIYLGIGILGHIRILDSSKQQRGASNLEDTALCKPMDNGRHDAKSGFIRVEVLTASLGFLSNFEDVYQLFAFVAKFLNPNFIKQTLLPTVAEEADA >itb07g06550.t2 pep chromosome:ASM357664v1:7:4696534:4701435:1 gene:itb07g06550 transcript:itb07g06550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPMIPVMHSFPPANITTEQIQKYLDDNKKLILAILENQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPTMPPQMAPHPAMQQGGFYMQHPQAAAITPQPGIFPPKMPLQFSTLHHQMQEQQQLQQQQHQAMQGQMGVRPGGAANNGMHPVPNEATLGGGTSACPPPTSAPSDASGGNKQVNQVNPSTGTEAQPSSAMGSEDAK >itb07g06550.t3 pep chromosome:ASM357664v1:7:4697379:4701435:1 gene:itb07g06550 transcript:itb07g06550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPMIPVMHSFPPANITTEQIQKYLDDNKKLILAILENQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPTMPPQMAPHPAMQQGGFYMQHPQAAAITPQPGIFPPKMPLQFSTLHHQMQEQQQLQQQQHQAMQGQMGVRPGGAANNGMHPVPNEATLGGGTSACPPPTSAPSDASGGNKQVNQVNPSTGTEAQPSSAMGSEDAK >itb07g06550.t1 pep chromosome:ASM357664v1:7:4696534:4701435:1 gene:itb07g06550 transcript:itb07g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPMIPVMHSFPPANITTEQIQKYLDDNKKLILAILENQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPTMPPQMAPHPAMQQGGFYMQHPQAAAITPQPGIFPPKMPLQFSTLHHQMQEQQQLQQQQHQAMQGQMGVRPGGAANNGMHPVPNEATLGGGTSACPPPTSAPSDASGGNKQVNQVNPSTGTEAQPSSAMGSEDAK >itb14g16590.t2 pep chromosome:ASM357664v1:14:19918672:19921466:-1 gene:itb14g16590 transcript:itb14g16590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAETPYPAVSTRKKMTKQLTGKREDTVLHSAARAGNIAAIMEMVNKTGEEEEEAAEMLIKQNSAGETALYVAAEYGYVELVREMIKYYDLVAAGIKAKNGFDALHIAAKQGDLEMVKVLMEAHPELSMTVDVANTTALHTAATQGHIEVVDYLLEQEGSLAAIAKSNGKTALHSAARNGHVQVVKAILSKEPRVVNRVDKKGQTALHMAVKGQNLKVVEALIQADPLSVNMVDTKGNTTLHIAARKGRAQIVKMLLEQEQMDTRALNRSGETALDTAEKMGHGETEAMLREHGVQSARAIKPPVGTNPARELKQTVSDIKHEVHYQLEHTKQTRRRIQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVNDPEDIPPGTSLGEANIAPKASFMIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGERERWLAIVVTIIGTTILATTLGTMCYWVIVHRIETSSSKRSVRNTSQPNRSRSFSGSVSDSELLHNEFKKIYAI >itb14g16590.t1 pep chromosome:ASM357664v1:14:19918672:19921466:-1 gene:itb14g16590 transcript:itb14g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAETPYPAVSTRKKMTKQLTGKREDTVLHSAARAGNIAAIMEMVNKTGEEEEEAAEMLIKQNSAGETALYVAAEYGYVELVREMIKYYDLVAAGIKAKNGFDALHIAAKQGDLEMVKVLMEAHPELSMTVDVANTTALHTAATQGHIEVVDYLLEQEGSLAAIAKSNGKTALHSAARNGHVQVVKAILSKEPRVVNRVDKKGQTALHMAVKGQNLKVVEALIQADPLSVNMVDTKGNTTLHIAARKGRAQIVKMLLEQEQMDTRALNRSGETALDTAEKMGHGETEAMLREHGVQSARAIKPPVGTNPARELKQTVSDIKHEVHYQLEHTKQTRRRIQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVNDPEDIPPGTSLGEANIAPKASFMIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGERERWLAIVVTIIGTTILATTLGTMCYWVIVHRIETSSSKRSVRNTSQPNRSRSFSGSVSDSELLHNEFKKIYAI >itb09g08620.t1 pep chromosome:ASM357664v1:9:5132877:5138160:1 gene:itb09g08620 transcript:itb09g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAINFLLEKLATILCKQAALLGDASDEIEEIRLELEFMRSFIRDAERRKERSELVETWVRQVREVSNQVENIIDEFVHFREMQRHGNGIRSFVKNAINLPRNIATQNHISSKLQKIKAKVHEVSERSKRYAFSQNLDKERSFNFRNDCWQYNGDFPILVDEDEIVGMDENKTQLSELLNEPEHRQTIISIVGMGGLGKTTLATKLYNDQEVQRDFECCAWISLSQACRIEELLRSIVRELLKADQVNIPNHLGSMAYIQLIEMLIEYLRSRRYLVVLDDVWAIDLWSRIRKAFPENNLGSRIILTTRNKNVATSVGPRGRLFHLEPLNEDDAWTVFCKKAFATELNHFCPSELEALARSMLTKCQGLPLAIVAIGGLMCTKDKTVAEWKKVNDSLNWQLSNNPMLERVKGILALSFNDLPFYLKYCFLYCCVFPEGYPIKRKKLIRLWVDEGFIIERQGMLIEEVAEDYLAELIFRSMNQVTDANEAGRVKALKVHDVMRELAITTTEKENFCMVYNGQDSRIERNTQRLSLSCRQDKFHVSRTVLCQLRSLFVFKRDICSSFSLNDISSHLKFLRVLDLQGICIEKVPNALTGLFNLRYLSLRETKIKCLPESTERLQNLQTLDVRNTHVERLPRGISNLEKLRHLFVGRNDEKKCGLRVPIGIGKLQMLQSLSSIIADDQIIQQLGYLTNLRSLDIKAVKAVNWPKLGTSIQMLKSLRRLSITASNEEESLELGDLISAPPFLQKLTLVGQLNGLPHWLGSLQNLTHLHLGSSLSEENILSCIDELPILVFLELQNTYNGKILHFRARGFPKLNSLKLLEFDQLEGICLAEGALPSLRELYLIHCKELKQLPQGIEHIASIRKLHLEEMPEELIQNLRINGSKDQAKFLLYKSKKISQNLAAGNSRGSSSTGCLYLKWRQYWLLTAAIAARGN >itb04g31190.t3 pep chromosome:ASM357664v1:4:34128359:34132575:1 gene:itb04g31190 transcript:itb04g31190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MWTSVSRVIRSRGCCDANRAKGVHIFRFLASMVVPGLQVLPGELSCRFLRRCSSTVASNSLILLKDHFKVKGNNSRVKCSKDLGTITSSSKLCAVRDFYGLEKPKLLRCYCRPAERGNERIFEDEQGRSVHSIAPNGQTSDAAQQFKNDNGTVPSSKTVNNELPKSSTNSIEEEAWNLLRASMVYYCGNPIGTIAANDPSDSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDNDENATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLLERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEEASIDLITALNNRLLALSFHIREYYWIDVKKLNEIYRYKTEEYSYEAINKFNIYPDQIPPWLVEWMPSKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATTDQSHAILDLIEAKWEDLVANMPLKICYPALEGQEWRIITGGDPKNTPWSYHNAGSWPTLLWQLAVACVKMKRPEIAENAIKVAERRIAGDKWPEYYDTKRGGFIGKQARLFQTWSIAGYLVAKLLVANPEAAKMLITIEDTELLSAFSSILSSNPRRKRSRKGAVKQSYIV >itb04g31190.t2 pep chromosome:ASM357664v1:4:34128049:34132575:1 gene:itb04g31190 transcript:itb04g31190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MWTSVSRVIRSRGCCDANRAKGVHIFRFLASMVVPGLQVLPGELSCRFLRRCSSTVASNSLILLKDHFKVKGNNSRVKCSKDLGTITSSSKLCAVRDFYGLEKPKLLRCYCRPAERGNERIFEDEQGRSVHSIAPNGQTSDAAQQFKNDNGTVPSSKTVNNELPKSSTNSIEEEAWNLLRASMVYYCGNPIGTIAANDPSDSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDNDENATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLLERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEEASIDLITALNNRLLALSFHIREYYWIDVKKLNEIYRYKTEEYSYEAINKFNIYPDQIPPWLVEWMPSKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATTDQSHAILDLIEAKWEDLVANMPLKICYPALEGQEWRIITGGDPKNTPWSYHNAGSWPTLLWQLAVACVKMKRPEIAENAIKVAERRIAGDKWPEYYDTKRGGFIGKQARLFQTWSIAGYLVAKLLVANPEAAKMLITIEDTELLSAFSSILSSNPRRKRSRKGAVKQSYIV >itb04g31190.t1 pep chromosome:ASM357664v1:4:34128049:34132575:1 gene:itb04g31190 transcript:itb04g31190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MVVPGLQVLPGELSCRFLRRCSSTVASNSLILLKDHFKVKGNNSRVKCSKDLGTITSSSKLCAVRDFYGLEKPKLLRCYCRPAERGNERIFEDEQGRSVHSIAPNGQTSDAAQQFKNDNGTVPSSKTVNNELPKSSTNSIEEEAWNLLRASMVYYCGNPIGTIAANDPSDSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDNDENATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLLERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEEASIDLITALNNRLLALSFHIREYYWIDVKKLNEIYRYKTEEYSYEAINKFNIYPDQIPPWLVEWMPSKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATTDQSHAILDLIEAKWEDLVANMPLKICYPALEGQEWRIITGGDPKNTPWSYHNAGSWPTLLWQLAVACVKMKRPEIAENAIKVAERRIAGDKWPEYYDTKRGGFIGKQARLFQTWSIAGYLVAKLLVANPEAAKMLITIEDTELLSAFSSILSSNPRRKRSRKGAVKQSYIV >itb05g20320.t1 pep chromosome:ASM357664v1:5:26454457:26459742:-1 gene:itb05g20320 transcript:itb05g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSSETKFLQELVLYAASAALSCLVLFVGLRQLDPNREAAKKALQHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFNSIGGLESIKQALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVKISNLMSKWFGDAQKLVSAVFSLAYKLQPAIIFIDEVDSFLGQRKTTDHEALTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRRERVEILKVILRGEKVEESIDYDYIAGLCDGYTGSDLLDLCKKAAYFPIRELLNDEKSGRPCSEPRPLSQGDLERVISTSKNTKVAANEYSRFSSQTSGWSRPRDQDDYQVQAAISELSKLVVSQIINLQSDSNDS >itb11g05200.t1 pep chromosome:ASM357664v1:11:3071480:3073361:1 gene:itb11g05200 transcript:itb11g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKGVTDLEQIPVAPPPEGTPKITLPLTFLDLLWLHMTPVHRLIFYQHPISRTHFLETLIPAMKHSLSLTLRHYSPLAGRVIISPDNSILPEIRYEEGDTVPLVLAESDSGDEGHFGHLTSDHAKSCTDFHPLVPALPPASRAPDGSAVVPVLALQVTLFPDVGICIGVTNHHAIGDASSIFGFMKAWEFFSNLADNKTSSLSLPPEFQPSYDRTVIRDPKGLESLFWDNIKNIKIEDTHVHRLPLITNRARLTFILTRDDIQRLKNHILAHRPEQKHVSSFTVICSYVWTCLVKSRYGPETENTDNEDEIFGCAAECRARLDPPAPENYFGNCLTAVVGFAKTEQLTSEKALVDAAALIGDSIRGQLYDKESGVFKGAENWFALLSAVKPDRSLSVAGSPKFDYYELDFGWGRPKKFEFASIDLTGAISFGKARDIEGGLEIGLSLLVTQIDSFSRIFTHGLKAL >itb05g26350.t1 pep chromosome:ASM357664v1:5:30283147:30289143:-1 gene:itb05g26350 transcript:itb05g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKGVLVYIVYVFMIVTLGSASQEKENMSEEAKQDLLASLINSGEINQDKAELVWLNCRIELIDAMATVQDLEFYIQENKGNNGIVSKGRSRTKDDKQKFIDFLHPLVKQTLEDCLSKKNLMFLVSGKGKGSKAWYTRFMDSLFARHSVAKQSELIQRFVESPFPYHKVASRSPGHPRPKAFKSELHDDPDQSSLKPGARVLSQASDAASIEAKHTQTLIIAVTVTAAVTSIVVSLFFICYLKVFSNGPGLGPNDEKPLLSLSRNDFPASASQKVPPYGNSLSNRNHSVSKNSGENMGENLSMDPQADESNSKLEGPVGSISSVCANSVEDSAQVAPGLGTVGLPSLKPGLGKAGLPSLKHGLSKVGLPSLKPRLSTVGLGSLKPPPGRVVPEEPPPGVPPPDEAAAPSPPSPPPEEAAAALPPLEEEAAAPSPPPLEEEAKPLPPPPPDEAVPLPLKPPPGRVAPAVPPPLVLPLKPPPGRVIPAVPPPSALAPDGIRPPSLPPLQIGGPPPPSPPARGNGPPLPPPPGCGFGPPPSPGSGSYPLPSPGSAPHPPPSPGSGSFPPSGAGPSLLRAPSAGPPPPPPPGGGADPSLMRAPSGGPPPPLPPGLMRVPSAGGPPPPPGGLASPRPPPPGMKLPRPPSVGASRPAGPTGPPKTKLKPFFWDKVLANPDHAMVWHQIKAGSFQFNEEMIETLFGAQEKKDKDTKKDTSAKKPASLFIQIIDPKKAQNISILLKALNVTTEEVCDAIKEGNELPPELIQTLLRMAPTSDEELKLRLYTGELTKLGHADKFMKIIVEIPFAFKRLETLLFMCTLPEEASAVKESFKTLEDACTELQNSRLFMKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLVDVKGVDGKITLLHFVVQEIIRTEGMRAVRAAKELRSMSSIKSEDLVHDVPNDSEEHLRNIGLQVVSGLATELENVRRAAIIDADNLQSTVGRLGQGLLQAKSVLNSLIDNVPPDDPFYQTLQAFVQDAGVEVAFLLGEEKRIFALVKSTFDYFHGNNAKDEGLKIFIIVRDFLIILEKVCKEIKAAQLAKPKT >itb02g02240.t1 pep chromosome:ASM357664v1:2:1239710:1247355:1 gene:itb02g02240 transcript:itb02g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAATSFPGRWRRGIPMFFSAHKTLIAFLWIAFCFTVFFWQWDSADRILLFRRAFPVRQLPRLRPVAFNLTDFGGIGDGVTLNTAAFNRAILAISKLSKKGGGQLNVPPGFWLTAPFNLTSHMTLFLAQGAVILGIDDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQHLKDVVITGHNGTINGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDILVYNVTLRNSPFWTFHPYDCKNVTVRDVTILAPVHEAPNTDGIDPDSCEDMLIENCYISVGDDGIAIKSGWDQYGIAYGRPSKNILIRNLVVRSMVSAGVSIGSEMSGGVSNITVENVHVWSSRRAVRIKTAPGRGGYVRDVTYRNLTFEDVRVGIVIKTDYNEHPDGQFDPKAVPILEDISYTSIHGEGVRVPVRLQGSEEIPVWNVTFRDMSVGITYKKKHIFQCAYVHGRVIGTIFPAPCENLDLYDEQGHLIKQSDAQNASDIDYSF >itb05g19340.t2 pep chromosome:ASM357664v1:5:25900277:25902488:-1 gene:itb05g19340 transcript:itb05g19340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 [Source:Projected from Arabidopsis thaliana (AT5G52200) UniProtKB/Swiss-Prot;Acc:Q9LTK0] MIEDDGSLSPVRHSFDDCTDNGIPAEAIRTALNDVASSSKDKLRPIGWPESDDEAADEMDEDDEGSNSERSKIFREQRRVHYDEYLRVKELLGKGSYMEDESDEEINGVEKQDGRRHSSSSSSLTAGIKDIEIKEGGPNISKQSSAPTNGA >itb05g19340.t1 pep chromosome:ASM357664v1:5:25900228:25903255:-1 gene:itb05g19340 transcript:itb05g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 [Source:Projected from Arabidopsis thaliana (AT5G52200) UniProtKB/Swiss-Prot;Acc:Q9LTK0] MKGHVSWDEANLVEIEANKPVRQKITEPKTPYHPMIEDDGSLSPVRHSFDDCTDNGIPAEAIRTALNDVASSSKDKLRPIGWPESDDEAADEMDEDDEGSNSERSKIFREQRRVHYDEYLRVKELLGKGSYMEDESDEEINGVEKQDGRRHSSSSSSLTAGIKDIEIKEGGPNISKQSSAPTNGA >itb04g28280.t1 pep chromosome:ASM357664v1:4:32061746:32062942:1 gene:itb04g28280 transcript:itb04g28280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICIEPSYKTMAVKESEFIFEENLTCRYVAVIFGVTGLVGKEIARQLLMRSAWKVYGVARRPGKIPIQHPNFHFISCDLLDAEESRQKLCLLEDVSHVFWVTWASGFPLDSPECYEQNREMMANALDVVIPRAGAALKHVSLQTGTKHYISLTGPPAAEEERDEGMRLYDECCPRVETGHNFYYVLEDLLKERLAGKVAWSIHRPGLIMGSSHSTLYNFMGSLCVYGSICKRLNLPFVFGGEKQCWEEMGLDGSDARLVAGQHIWAASNDTIYSTTGQALNAVNGSSFTWKEIWPAIGLELGVTVPENPFSPDFTFSRAMAEQGQVWKDIVREEGLVETEMEDLANWGFLDALFRCPVKMLGTREKADRLGFTTRYNTLDSITYWIAVMREEKLIPW >itb09g21700.t1 pep chromosome:ASM357664v1:9:19744998:19745680:1 gene:itb09g21700 transcript:itb09g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSPPAVPSGRNASPTAVKPAAVADRRIRHHRSKARHPRRTGVHQSSLLPFTASGSVHRPTANDQKQRETTIASNAPLAAVDPLTPAAFSPPLQPSRRSKVSSVAPTRDSHHQMVRKTQPDEEEKKPTGIKAPSPLCRFSLSLPPSLASPAGSRRGRSWTRHHSHLSPASRTSDQETEVFRYFFTIN >itb05g07250.t1 pep chromosome:ASM357664v1:5:8844826:8848548:1 gene:itb05g07250 transcript:itb05g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTVAKAKASPPTTIKEFYANLLRETNNTASPLFHRICTVCLPEVILAVGGGPAVELEVAPPPSTAAKGFPATVTTPENWKIQVMSPEALFGDQVEDLRTDCELFPEIAGLRFRSPKSAPHDTAPAQNCEREGIEPSVHFAKAEWRRMVEIEEKRTLVARFAKERPSLEAIKATIGSVLRLDGGILIGSLNKRAIMLRFDLESDFKRSWFRGRMLLNGARAWFERWTPSWCAARDSPLSLVWIQLPNLPLHLFNFESIYRICAPIERAIELDSATIRKSRPSVAKVRLEIDITKPRLERIWIEFVNEDGVVNGFWQRIEFLRVPIYCEECGRYGHGSLSCWKRKTGDFGRRMEGEMPAEEEVDPVGEPVAEAAPVVM >itb11g07870.t1 pep chromosome:ASM357664v1:11:4962064:4970777:1 gene:itb11g07870 transcript:itb11g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFPPTLCSAISAPAGADFTRLRIPTRLSKQHPISSTRRRLSADAIVKFRKPRRLLSLCCSTMPLEGVATTSLPSESVLLYSRAFWVSRSIIAWNVDVEDGECYLYASRDAKLSVTDDEILGYDVKIKLEGGEDGLPQYVTKKFPHIQNYKAFKVPQVMDCESLLKCQLAVAIFTTDGKCKSATGLQLPGILDELFSYSGPLGAVFSNETISLYLWAPTATVVRAFIYTDPLGGEAFEIVELKEIDGVWTVTVPRHWEGYYYTYEVSVYHPTTLQIEKFLTCDPYSRGLSANGKRTLFVDLDCDNLKPEGWDSLGNEKPNLLSMSDISIYELHIRDFSANDHTVHPDFRGGYLAFTLQDSAGVLHLKRLSSAGLTHIHLLPTFHFADVDDEKHKWKHADTEKLKSFPPDSDEQQAIITAIQNEDGYNWGYNPVLWGVPKGSYASNPNGPSRIIEFRKMVQALNHIGLRVVLDVVYNHLHASGCHDENSVLDKIVPGYYLRRNTDGGIENSTCVNNTASEHFMVERLILDDLMCWAVHYKVDGFRFDLMGHIMKKTMVKAKSLLQSLSKEESGVDGSKIFIYGEGWDFGEVANNGRGVNASQFNLYGTGIGSFNDRIRDALLGGSPFGHPLQQGFATGLFLEPNDHDQGGKAASEHTLTVLKDHIQVGMAGNLKDFVLVNHDGEEVKGSEILTHDGKPVAYTSCPIETINYVSAHDNETLFDIVSLKTSMDISVEERCRMNHLATSVIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRVDFSYNSNNWGVGLPPKEKNERSWPLIKPRLADPTFKPQKSHIIAAVENFCNLLQIRYSSPLFRLRTANAIQERVRFHNVGPSLVPGVIVMSLEDGYEGFPGLTQLDPKYSYIVVVINPSPTEVSFTSPALCAKSLQLHPVQMNSSDTTGKNSTYDASGCFKVPARTTAVFVEPRKG >itb11g07870.t3 pep chromosome:ASM357664v1:11:4962030:4970557:1 gene:itb11g07870 transcript:itb11g07870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFPPTLCSAISAPAGADFTRLRIPTRLSKQHPISSTRRRLSADAIVKFRKPRRLLSLCCSTMPLEGVATTSLPSELQSVLLYSRAFWVSRSIIAWNVDVEDGECYLYASRDAKLSVTDDEILGYDVKIKLEGGEDGLPQYVTKKFPHIQNYKAFKVPQVMDCESLLKCQLAVAIFTTDGKCKSATGLQLPGILDELFSYSGPLGAVFSNETISLYLWAPTATVVRAFIYTDPLGGEAFEIVELKEIDGVWTVTVPRHWEGYYYTYEVSVYHPTTLQIEKFLTCDPYSRGLSANGKRTLFVDLDCDNLKPEGWDSLGNEKPNLLSMSDISIYELHIRDFSANDHTVHPDFRGGYLAFTLQDSAGVLHLKRLSSAGLTHIHLLPTFHFADVDDEKHKWKHADTEKLKSFPPDSDEQQAIITAIQNEDGYNWGYNPVLWGVPKGSYASNPNGPSRIIEFRKMVQALNHIGLRVVLDVVYNHLHASGCHDENSVLDKIVPGYYLRRNTDGGIENSTCVNNTASEHFMVERLILDDLMCWAVHYKVDGFRFDLMGHIMKKTMVKAKSLLQSLSKEESGVDGSKIFIYGEGWDFGEVANNGRGVNASQFNLYGTGIGSFNDRIRDALLGGSPFGHPLQQGFATGLFLEPNDHDQGGKAASEHTLTVLKDHIQVGMAGNLKDFVLVNHDGEEVKGSEILTHDGKPVAYTSCPIETINYVSAHDNETLFDIVSLKTSMDISVEERCRMNHLATSVIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRVDFSYNSNNWGVGLPPKEKNERSWPLIKPRLADPTFKPQKSHIIAAVENFCNLLQIRYSSPLFRLRTANAIQERVRFHNVGPSLVPGVIVMSLEDGYEGFPGLTQLDPKYSYIVVVINPSPTEVSFTSPALCAKSLQLHPVQMNSSDTTGKNSTYDASGCFKVPARTTAVFVEPRKG >itb11g07870.t4 pep chromosome:ASM357664v1:11:4962030:4970022:1 gene:itb11g07870 transcript:itb11g07870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFPPTLCSAISAPAGADFTRLRIPTRLSKQHPISSTRRRLSADAIVKFRKPRRLLSLCCSTMPLEGVATTSLPSELQSVLLYSRAFWVSRSIIAWNVDVEDGECYLYASRDAKLSVTDDEILGYDVKIKLEGGEDGLPQYVTKKFPHIQNYKAFKVPQVMDCESLLKCQLAVAIFTTDGKCKSATGLQLPGILDELFSYSGPLGAVFSNETISLYLWAPTATVVRAFIYTDPLGGEAFEIVELKEIDGVWTVTVPRHWEGYYYTYEVSVYHPTTLQIEKFLTCDPYSRGLSANGKRTLFVDLDCDNLKPEGWDSLGNEKPNLLSMSDISIYELHIRDFSANDHTVHPDFRGGYLAFTLQDSAGVLHLKRLSSAGLTHIHLLPTFHFADVDDEKHKWKHADTEKLKSFPPDSDEQQAIITAIQNEDGYNWGYNPVLWGVPKGSYASNPNGPSRIIEFRKMVQALNHIGLRVVLDVVYNHLHASGCHDENSVLDKIVPGYYLRRNTDGGIENSTCVNNTASEHFMVERLILDDLMCWAVHYKVDGFRFDLMGHIMKKTMVKAKSLLQSLSKEESGVDGSKIFIYGEGWDFGEVANNGRGVNASQFNLYGTGIGSFNDRIRDALLGGSPFGHPLQQGFATGLFLEPNDHDQGGKAASEHTLTVLKDHIQVGMAGNLKDFVLVNHDGEEVKGSEILTHDGKPVAYTSCPIETINYVSAHDNETLFDIVSLKTSMDISVEERCRMNHLATSVIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRVDFSYNSNNWGVGLPPKEKNERSWPLIKPRLADPTFKPQKSHIIAAVENFCNLLQIRYSSPLFRLRTANAIQERVRFHNVGPSLVPGVIVMSLEDGYEGFPGLTQLDPKFVK >itb11g07870.t2 pep chromosome:ASM357664v1:11:4962064:4970536:1 gene:itb11g07870 transcript:itb11g07870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFPPTLCSAISAPAGADFTRLRIPTRLSKQHPISSTRRRLSADAIVKFRKPRRLLSLCCSTMPLEGVATTSLPSESVLLYSRAFWVSRSIIAWNVDVEDGECYLYASRDAKLSVTDDEILGYDVKIKLEGGEDGLPQYVTKKFPHIQNYKAFKVPQVMDCESLLKCQLAVAIFTTDGKCKSATGLQLPGILDELFSYSGPLGAVFSNETISLYLWAPTATVVRAFIYTDPLGGEAFEIVELKEIDGVWTVTVPRHWEGYYYTYEVSVYHPTTLQIEKFLTCDPYSRGLSANGKRTLFVDLDCDNLKPEGWDSLGNEKPNLLSMSDISIYELHIRDFSANDHTVHPDFRGGYLAFTLQDSAGVLHLKRLSSAGLTHIHLLPTFHFADVDDEKHKWKHADTEKLKSFPPDSDEQQAIITAIQNEDGYNWGYNPVLWGVPKGSYASNPNGPSRIIEFRKMVQALNHIGLRVVLDVVYNHLHASGCHDENSVLDKIVPGYYLRRNTDGGIENSTCVNNTASEHFMVERLILDDLMCWAVHYKVDGFRFDLMGHIMKKTMVKAKSLLQSLSKEESGVDGSKIFIYGEGWDFGEVANNGRGVNASQFNLYGTGIGSFNDRIRDALLGGSPFGHPLQQGFATGLFLEPNDHDQGGKAASEHTLTVLKDHIQVGMAGNLKDFVLVNHDGEEVKGSEILTHDGKPVAYTSCPIETINYVSAHDNETLFDIVSLKTSMDISVEERCRMNHLATSVIALSQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRVDFSYNSNNWGVGLPPKEKNERSWPLIKPRLADPTFKPQKSHIIAAVENFCNLLQIRYSSPLFRLRTANAIQERVRFHNVGPSLVPGVIVMSLEDGYEGFPGLTQLDPKYSYIVVVINPSPTEVSFTSPALCAKSLQLHPVQMNSSDTTGKNSTYDASGCFKVPARTTAVFVEPRKG >itb02g08540.t1 pep chromosome:ASM357664v1:2:5392149:5395931:1 gene:itb02g08540 transcript:itb02g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTSFSISASSSATVSKTLKPSTAAVPHSLGSIFSSNPSLRSLRAHALPAIRSTSASALAARMVSAPPSIKSPTSLDFETSVFKKEKVSLAGHDEYIVRGGRDLFNLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFDEARAAGFTEENGTLGDIWETVSGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDIDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTEHGMDEELAYKNTVECITGIISRTISTQGMLAVYNSLSEEGKREFETAYSASYYPCMDILYECYEDVATGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGQRVRAVRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNSAPINRDLISNFLSDPVHGAIEVCAQLRPTVDISVPADADFVRPELRQSSN >itb13g15260.t1 pep chromosome:ASM357664v1:13:22008247:22013371:-1 gene:itb13g15260 transcript:itb13g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRLTRIAIVSSDKCKPKKCRQECKKSCPVVKTGKLCIEVAPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLNKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKNERDVKTELCLDLELNKVLDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDSVESSDAEIPEFNVSYKPQKISPKSQSSVRHLLHQKIRDSYTHPQFNSDVMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGMPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLESTKDREQKAAGSYYYLDD >itb15g07610.t1 pep chromosome:ASM357664v1:15:5215914:5218590:1 gene:itb15g07610 transcript:itb15g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRERIEAIIRWIMLSSLKWADIIEDYTLFEMMTYLSETWGLGFARAAGIINVFYGVTKILSLSFAFLVDACLGNIKMLLLSGLASSIGLAFLSMSTPPVLSNFAGNCNKYDGKCVGPAQKAIFFTSLVLMGVGMAGNAVSIDTFLQNHDSKKNKLNFIVGAIAALALPFLKPWEVRFGVAGIIFLAATLIFFVGTVRRSYSHKDDDDPNGSALTTVLRVFVAATRKGCHQLPANPNDLYQGHGNDDNFAKQLSHTNRCRWLDKAAVVSQGKSGRVDEGEEKNNKEESRSSWKLCTVGEVEETKICLQTAILWTSFIICGLVSSSGNTFFVAQAAKMNRGIGKWQVHPTILLLLSNGAKYLLEFNNKHGYRCLRKCLGCCGCNLIAALVSIASSMLNAVLCCLSAAVVESIRRKNINSPMSIFWLIPQFYFLTILDSLFEEAVEDFFEDHEVPALKKYAVHLLRFVCGLGQVGSVVSVWVCKKWFKNEVDESRLDKYYWALTALSAVNLIWYVIVAVVYYVKFKPRFKSKPADPTPTPCCC >itb07g14890.t1 pep chromosome:ASM357664v1:7:17554654:17555062:-1 gene:itb07g14890 transcript:itb07g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKLFYLFFILLFIVSPLTCARKLSSKPQGNMAANGGGRVYKGPLKKGPLCNSKKFGNCINGKPPRKSDPYSRNCHGTTEP >itb06g06290.t1 pep chromosome:ASM357664v1:6:8943266:8950023:-1 gene:itb06g06290 transcript:itb06g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFNPQILVEKLAKLNSSQQSIETLSHWCIFHMNKAKQVVETWDRQFHSAPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPGALRKVIDNGDEFGRNAGLRLIDIWEERKVFGSRGQILKEEFAGKHGDTSNRNGKPSGFRLRPSAGNGLDKIVSSYHVLYGGQLDEDGILTRCRNAINSVDKIDKEIGGDLNSGHLNGSGFAHELKGQQTMLRECIEQLMMVESSRANLVSQLREALREQEYKLELVRSELQAAQSHSEQATNICKRLVNGDNAMQILGEHGRKDGPTSQAPPRSFVSGSGDQSAPVTYTRQVSFGEKAGRLEEDPKSAAAAVAAKLTASTSSAQMLTYVLSSLASEGMIGSNQMKESATDYPAEKRAKLENEHSAYVPQNPQTTLSFSQPVSSPELIPNEQPPPPSSPPPMPPLPPMQPYPQYLQNPNGAFTFATSLEPPAAAYPQAVPPMNGLAPYSAPPANAFQVYQPPDSGFYTPQSTLPMAPAGRQ >itb15g02070.t1 pep chromosome:ASM357664v1:15:1242377:1243609:-1 gene:itb15g02070 transcript:itb15g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISVEIPAFFICPISLLIMKDPVTAVTGITYDRDSIEKWVLDGDNTVCPVTNLPLPRDADLTPNHTLRRLIQAWCTANAVDRIPTPKTPLSNVYAGKLARDLSRPKLRLKTLQKLEILAMEKESNRKSMVEAGVFKELLSCLIGFYKKDEKIGLEQTLYVLYLIRGFSCEATNKFITENDTLLDAILWVLDSTQTQQTAVKSHALCVMKTVVGKASPAALETLLTPEILKKIVNFLKENNTLTQQGLHAALQIMLGICPIGRNRVRMVESDAVFELIQLELNLSPTDKKTTELVLGILFHLCSCADGRAQLLSHAAGIAVVTRKILKVSPAADDRAVLILSLISRFSATTGVLQEMLRVGTAAKLCMVLQAGCESYLKDKAKEILRTHFDVWKNSPCVEVATLTRYAR >itb04g01140.t1 pep chromosome:ASM357664v1:4:638635:638988:-1 gene:itb04g01140 transcript:itb04g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAKGIKPRKIPLLIMKGPTKNGDWRVVAASQQRWTRLDFHSLSFLANILFQFVLSLSRKFLKIVPFWLLRSPPETAILVFCFWGFLLLITIPSFLHHFSNFDLRAQTSGFSDQVF >itb01g20800.t1 pep chromosome:ASM357664v1:1:27017702:27019381:-1 gene:itb01g20800 transcript:itb01g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHASSSFHSQFIYCSDFDDTSIWDEMNLTPLCDFENEFHELNQPTVRVEAVAEIEHSREVAVQRKEKEEKRTFSKKFRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb13g08760.t1 pep chromosome:ASM357664v1:13:11548532:11550467:-1 gene:itb13g08760 transcript:itb13g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEAQPNHATDNPSKYYYNHLLFKALIVTVFLVVLPLFSSQPPPDSINQTLHTRSWEILQLIFVGIAVSYGLFSRKSEEIDDKENGCATKFDNAQSYVSRLLQFSSVFDDESEDGSVLDDDHNSSSDNKVIQTWNNQYRRGEAVVVVAKERHGDEEQTSMGSSVSVKTSKVGEKPLLLPVRSLKSRVAEGDDLAEEANSKRFWSKSMKGEENLVLPSPIPWRSRSGRMEMKEESKLFSSTSSSSMAEDPEFNKLEPRSFRSQSFSRPNSTSPSPNKHSPSPSPSSESSQQLRILEEMAVRKKTTTPAPPPPPPHYFLGKSSSMKSIPSALAAKKKEDEKELRRSVRSVPAEIPIPEEVKGEEAAVQNHAGKSAFTPFNGRGRSYGIAKAKESFVKKEGEGEAESDESEMEDEDDFFDENGAGGENGSEYNSSGDGGPPDVDKKADEFIAKFREQIRLQRIESIRRSAGQTAAARNQLVR >itb06g23890.t1 pep chromosome:ASM357664v1:6:25650387:25651650:-1 gene:itb06g23890 transcript:itb06g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLNDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSLETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYYNKIVHSIEGLQLHCMNCGQTDGIIIRRYKVDIEIFDNVGSVRAAMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFAFKKNTIFSEQSTKYTVACLCNDVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb09g02080.t1 pep chromosome:ASM357664v1:9:1257091:1260042:1 gene:itb09g02080 transcript:itb09g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLKMASSCATPSSLPLPQTPLRHRSLCSFPSNFPQKVNLRFLLPLQLKRSLKSPSASHLRISCLRDSKAGVVTGKSWNKLVLSSDVPVLVEFYASWCGPCQMVHRVIDEIATDYSGRIKCFVLHADTDLQIAENYDIKAVPVVLLFNNGEKCDSVIGTMPKEFYVAAIERVLSSSQCS >itb03g03650.t4 pep chromosome:ASM357664v1:3:2139363:2143148:1 gene:itb03g03650 transcript:itb03g03650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METDTVAIIGPQSSVIAHAIAHIANELHVPLLSFSATDPALSSLQYPFFVRTSPNDMFQMAAIASIVQYYQWKAVIAIYTDDDSGRSGIDALADQLAMRRCRISYKAPLKPQATLDEVRDVLVQLALTESRIIIVHTCPYRGLDIFSLAKNLGMTESGYVWIATNWLSTIFDTIGPLSSEVIDNIQGTITLRTYVPYSEAKRNFVSRWSNLSRRVGTNSTFGMCTYGLYAYDTVWLLAHALDAFFKQGGNISFSRDPIHRGGLNHYSMNVFDGGKLLLDNILKTNITGVTGLFKYTSDRELYHPAFEVINVIGTGIRKVGYWSNYSGLSVVRPDSIYSYPPSRLRSSQQLYPMVWTGETTKKPRGWAFPNYGTPLKVGVPIRAGLNEFVERVPGTDIFKGYCIEVFTTALNYLPYAVPFKFFPFGESNYTELVGLVTEGVYDAAVGTIAITSNRTEMVDFTQPFTQSGYVVVVPVRERGSSAWAFLRPFTPMMWCVTGMFFLLIGATIWILEHRMNNDFRGPPREQIETIFWFGFYTFFSASRHNTVMLVSTLGRLVFLMWLVVILIINASYTANLTSILMVHHLFSPIEGIESLLTTNDTIGYHRGSFIRNYLIEELGIHESRLIPFNVTEDYAKALRDGPEKGGVAAVVDERVYMELFLSTHCEFCIVGSEFFRSGMGFAFPKASPLAVDMSTAIQELSENGELQRIQDKWLLRGACKSQNTELEVNQLHLKSFSRLFLICGFACLFALLVHFMFVNK >itb03g03650.t1 pep chromosome:ASM357664v1:3:2139357:2143366:1 gene:itb03g03650 transcript:itb03g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGALMFAVFYFGYLPQGVNSTLSARPRVVNIGCVLTLRTDVGKIAKSVIETAVEDINSNPAVLGGTKLNISIVDDSNSGLLGIVEAIRYMETDTVAIIGPQSSVIAHAIAHIANELHVPLLSFSATDPALSSLQYPFFVRTSPNDMFQMAAIASIVQYYQWKAVIAIYTDDDSGRSGIDALADQLAMRRCRISYKAPLKPQATLDEVRDVLVQLALTESRIIIVHTCPYRGLDIFSLAKNLGMTESGYVWIATNWLSTIFDTIGPLSSEVIDNIQGTITLRTYVPYSEAKRNFVSRWSNLSRRVGTNSTFGMCTYGLYAYDTVWLLAHALDAFFKQGGNISFSRDPIHRGGLNHYSMNVFDGGKLLLDNILKTNITGVTGLFKYTSDRELYHPAFEVINVIGTGIRKVGYWSNYSGLSVVRPDSIYSYPPSRLRSSQQLYPMVWTGETTKKPRGWAFPNYGTPLKVGVPIRAGLNEFVERVPGTDIFKGYCIEVFTTALNYLPYAVPFKFFPFGESNYTELVGLVTEGVYDAAVGTIAITSNRTEMVDFTQPFTQSGYVVVVPVRERGSSAWAFLRPFTPMMWCVTGMFFLLIGATIWILEHRMNNDFRGPPREQIETIFWFGFYTFFSASRHNTVMLVSTLGRLVFLMWLVVILIINASYTANLTSILMVHHLFSPIEGIESLLTTNDTIGYHRGSFIRNYLIEELGIHESRLIPFNVTEDYAKALRDGPEKGGVAAVVDERVYMELFLSTHCEFCIVGSEFFRSGMGFAFPKASPLAVDMSTAIQELSENGELQRIQDKWLLRGACKSQNTELEVNQLHLKSFSRLFLICGFACLFALLVHFMFVNK >itb03g03650.t2 pep chromosome:ASM357664v1:3:2139363:2143148:1 gene:itb03g03650 transcript:itb03g03650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGALMFAVFYFGYLPQGVNSTLSARPRVVNIGCVLTLRTDVGKIAKSVIETAVEDINSNPAVLGGTKLNISIVDDSNSGLLGIVEAIRYMETDTVAIIGPQSSVIAHAIAHIANELHVPLLSFSATDPALSSLQYPFFVRTSPNDMFQMAAIASIVQYYQWKAVIAIYTDDDSGRSGIDALADQLAMRRCRISYKAPLKPQATLDEVRDVLVQLALTESRIIIVHTCPYRGLDIFSLAKNLGMTESGYVWIATNWLSTIFDTIGPLSSEVIDNIQGTITLRTYVPYSEAKRNFVSRWSNLSRRVGTNSTFGMCTYGLYAYDTVWLLAHALDAFFKQGGNISFSRDPIHRGGLNHYSMNVFDGGKLLLDNILKTNITGVTGLFKYTSDRELYHPAFEVINVIGTGIRKVGYWSNYSGLSVVRPDSIYSYPPSRLRSSQQLYPMVWTGETTKKPRGWAFPNYGTPLKVGVPIRAGLNEFVERVPGTDIFKGYCIEVFTTALNYLPYAVPFKFFPFGESNYTELVGLVTEGVYDAAVGTIAITSNRTEMVDFTQPFTQSGYVVVVPVRERGSSAWAFLRPFTPMMWCVTGMFFLLIGATIWILEHRMNNDFRGPPREQIETIFWFGFYTFFSASRHNTVMLVSTLGRLVFLMWLVVILIINASYTANLTSILMVHHLFSPIEGIESLLTTNDTIGYHRGSFIRNYLIEELGIHESRLIPFNVTEDYAKALRDGPEKGGVAAVVDERVYMELFLSTHCEFCIVGSEFFRSGMGFAFPKASPLAVDMSTAIQELSENGELQRIQDKWLLRGACKSQNTELEVNQLHLKSFSRLFLICGFACLFALLVHFMFVNK >itb03g03650.t3 pep chromosome:ASM357664v1:3:2139363:2143148:1 gene:itb03g03650 transcript:itb03g03650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METDTVAIIGPQSSVIAHAIAHIANELHVPLLSFSATDPALSSLQYPFFVRTSPNDMFQMAAIASIVQYYQWKAVIAIYTDDDSGRSGIDALADQLAMRRCRISYKAPLKPQATLDEVRDVLVQLALTESRIIIVHTCPYRGLDIFSLAKNLGMTESGYVWIATNWLSTIFDTIGPLSSEVIDNIQGTITLRTYVPYSEAKRNFVSRWSNLSRRVGTNSTFGMCTYGLYAYDTVWLLAHALDAFFKQGGNISFSRDPIHRGGLNHYSMNVFDGGKLLLDNILKTNITGVTGLFKYTSDRELYHPAFEVINVIGTGIRKVGYWSNYSGLSVVRPDSIYSYPPSRLRSSQQLYPMVWTGETTKKPRGWAFPNYGTPLKVGVPIRAGLNEFVERVPGTDIFKGYCIEVFTTALNYLPYAVPFKFFPFGESNYTELVGLVTEGVYDAAVGTIAITSNRTEMVDFTQPFTQSGYVVVVPVRERGSSAWAFLRPFTPMMWCVTGMFFLLIGATIWILEHRMNNDFRGPPREQIETIFWFGFYTFFSASRHNTVMLVSTLGRLVFLMWLVVILIINASYTANLTSILMVHHLFSPIEGIESLLTTNDTIGYHRGSFIRNYLIEELGIHESRLIPFNVTEDYAKALRDGPEKGGVAAVVDERVYMELFLSTHCEFCIVGSEFFRSGMGFAFPKASPLAVDMSTAIQELSENGELQRIQDKWLLRGACKSQNTELEVNQLHLKSFSRLFLICGFACLFALLVHFMFVNK >itb04g09570.t1 pep chromosome:ASM357664v1:4:8855127:8862974:-1 gene:itb04g09570 transcript:itb04g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIASSSSPFINKKDVGLSAFSSQRPISVQTAKKSVCKKIVSVMAPQQTERSPATTGSVKTGMTMTEKILAKASQKSQLSPGENVWVDVDVLMTHDVCGPGSIGIFKKEFGQDARVWDREKVVIIPDHYIFTSDERANRNVDILREFCTEQNIKYFYDIKDLGNFRANPDYKGVCHVALAQEGHTRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTLRFVMDGEMPDYLLAKDLILQIIGKISVSGGTYKAMEFVGTTVESLTMEERMTLCNMVVEAGGKNGVIPADSTTYKYLEGKTSVPYEPVYSDGQASFLSEYRIDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLAAGGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPLVCVSTTNRNFPGRMGHKEGQVYLASPYTAAASALTGFVTDPRDFLQ >itb04g09570.t2 pep chromosome:ASM357664v1:4:8855127:8862965:-1 gene:itb04g09570 transcript:itb04g09570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIASSSSPFINKQKDVGLSAFSSQRPISVQTAKKSVCKKIVSVMAPQQTERSPATTGSVKTGMTMTEKILAKASQKSQLSPGENVWVDVDVLMTHDVCGPGSIGIFKKEFGQDARVWDREKVVIIPDHYIFTSDERANRNVDILREFCTEQNIKYFYDIKDLGNFRANPDYKGVCHVALAQEGHTRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTLRFVMDGEMPDYLLAKDLILQIIGKISVSGGTYKAMEFVGTTVESLTMEERMTLCNMVVEAGGKNGVIPADSTTYKYLEGKTSVPYEPVYSDGQASFLSEYRIDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLAAGGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPLVCVSTTNRNFPGRMGHKEGQVYLASPYTAAASALTGFVTDPRDFLQ >itb14g16610.t2 pep chromosome:ASM357664v1:14:19941134:19944127:-1 gene:itb14g16610 transcript:itb14g16610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MAPLKSLEQRYPIIDSTFQSFCASHGIFSVEDFLLHDMHALVVSAEQHNTSARLKRVLASIDTLHQPWLNGMELLEDALQHKNILSTGCQCIDDFLNGGLRAGHLIELVGPSSSGKTQVCFMIASNVAKSSGRVVFLDTCNSFSSKRVAEVVSQMSDNSTSKAKKSLEHVMSNIVCYSVFDIFTMFDVVHHLKSTMRSQSGCRARMLIIDSISSLITPVLGGSGAHGRALMASAGFILKQLADEHHLPVLVTNHMVAGEAGLLKPALGESWKSIPHIRLLLSRDSTRHISSISVLKHPNMATGDRVEFQIL >itb14g16610.t1 pep chromosome:ASM357664v1:14:19941134:19944127:-1 gene:itb14g16610 transcript:itb14g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MAPLKSLEQRYPIIDSTFQSFCASHGIFSVEDFLLHDMHALVVSAEQHNTSARLKRVSLNQYAAFEPNSSGIPYVIDDFLNGGLRAGHLIELVGPSSSGKTQVCFMIASNVAKSSGRVVFLDTCNSFSSKRVAEVVSQMSDNSTSKAKKSLEHVMSNIVCYSVFDIFTMFDVVHHLKSTMRSQSGCRARMLIIDSISSLITPVLGGSGAHGRALMASAGFILKQLADEHHLPVLVTNHMVAGEAGLLKPALGESWKSIPHIRLLLSRDSTRHISSISVLKHPNMATGDRVEFQIL >itb06g18370.t1 pep chromosome:ASM357664v1:6:22045510:22048588:1 gene:itb06g18370 transcript:itb06g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSQRQVKCTLWDDHVDELSPYFNSAVADLLILLIQLCWAKIMDNGEVRICSSFDATQLFFNHSCKEFRELRNSYNTKLTPLRCIQSSSRLCGANMDFSQGSNDLVVTQIEEIYSKKNLREYWVAGRIVDVESVVDWYYVSCKDNYCKRKLIEKGGMMVCGGCKTSWHEGVVRYKVIVRVADDTGDAPMLIWDRECSDLVGVSASDLLAKYPEGNKGIPPELGCLRGLSMLFRILMKKDQAESYYSAFTVLSICRDENALAQHCSNLLGSSERDGFSGDGHCVSGDFFSSDEEDCVAIEEVSQGSGLKKVTGLEDFEEGFGVDGADVTLKRSLLKDFHRCGSSKKSKGIAVNEEK >itb10g15410.t1 pep chromosome:ASM357664v1:10:21646398:21648185:-1 gene:itb10g15410 transcript:itb10g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLFPWITFLFLITVSFSNVDAFSGTYGVNYGRIADNIPAPESVVTLLTANKIKNIRIYDADHAVLTAFKGSGIEIIIGLGNEFLRDISVNQDRAVQWLKDNVEPFLPDTKIRGIAVGNEILGGDNMELWEVLLPAVKNVYSALQQLRLSKTIEVSSPHSEAVFGITYPPSAGAFKETVLPFMKPLLQFFSQIHSPFYINAYPFLAYKTDPSHIDLNYALFKSTPGIYDAKTKLHYDNMFDAMVDASYAALEKVGYHRMEVIVSETGWASNGDQNEAGANVKNAKTYNDNLRKRLLKKKGTPLKPKTPVKAYIFALFNENLKPGPTSERNFGLFKQDGSIAYNIGLRGLVPSSASKGYWRLQGWYQPLLNVICVVVTMFLWS >itb06g09130.t2 pep chromosome:ASM357664v1:6:13260754:13267100:1 gene:itb06g09130 transcript:itb06g09130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRANLGFFLSSSVNLASIRSCAPRATVRFTPLLLQRHPSLLLYKLTTSVHNTLLSKLHNPARITLAFSASSMAASFKPEQARVPPAVQLPTPLVTKFKIGLCQLSVTADKERNIAHARTAIEEAAEKGAKLIVLPEIWNSPYSNDSFPIYAEDIDAGPEASPSTAMLSEVARLLKVTIIGGSIPERSGDKLYNTCCVFDSEGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGQTPTVVDTEVGRIGIGICYDIRFQELATLYAARGVHLICYPGAFNMTTGPLHWELLQRARAVDNQLFIATCSPARDAGAGYVAWGHSTLVGPFGEILATTEHDEAIIISEIDYSQIELRRTNLPLEKQRRGDLYQLVDVQRLNSQ >itb06g09130.t1 pep chromosome:ASM357664v1:6:13260754:13267100:1 gene:itb06g09130 transcript:itb06g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRANLGFFLSSSVNLASIRSCAPRATVRFTPLLLQRHPSLLLYKLTTSVHNTLLSKLHNPARITLAFSASSMAASFKPEQARVPPAVQLPTPLVTKFKIGLCQLSVTADKERNIAHARTAIEEAAEKGAKLIVLPEIWNSPYSNDSFPIYAEDIDAGPEASPSTAMLSEVARLLKVTIIGGSIPERSGDKLYNTCCVFDSEGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGQTPTVVDTEVGRIGIGICYDIRFQELATLYAARGVHLICYPGAFNMTTGPLHWELLQRARAVDNQLFIATCSPARDAGAGYVAWGHSTLVGPFGEILATTEHDEAIIISEIDYSQIELRR >itb02g15170.t1 pep chromosome:ASM357664v1:2:11026172:11027815:1 gene:itb02g15170 transcript:itb02g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLPSSLLFMITTIILGVWSYLVASSRVTFDDYQHSMRLRHQQWMAYHGKVYVDTSEADMRFNIFKNNVERIETFNAGPDKGYKLGVNKFADLTNDEFRQLHLVGYVTRRNRKPERFMSASKSKHFRYANATNLPSTVDWRKKGAVTPIKDQGECASCWAFAVVATVEGIHKLKTGNLVSLSEQELVDCDRKSYGCDGGFPEYAFQFIVNNNGLTTEENYPYKGKDRVCNSKKTASKAARISGYEKVPAKNETALMQAVAHQPVLLEINGGDFHFQFYEKGVFSGKCKPELDHGVTAVGYGTTAGDGKKYWVIKNSWGTKWGENGYMRILRDYKDKKGLCGLAKAASYPTI >itb03g19830.t1 pep chromosome:ASM357664v1:3:17815305:17820357:1 gene:itb03g19830 transcript:itb03g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTQSNGNSESHVKIPKIKFTKLFINGEFVDSLSGKTFESIDPRNEEVIARVAEGDKEDVDLAVKAARHAFDNGPWPRFSGTERRRILLKLADLIEENAEEIAVLDTVDAGKLFSEGKNINVPSAVGSFRYYAGAADKIHGTTLKMSRELQGYTLREPIGVVGLIIPWNIPAQIFAYKVAPALAAGCTVVVKPAEQTPLSALFCAHLTKLAGVPDGVVNVITGFGHTAGAAISSHMDIDKVSFTGSTEVGRLIMQAAATSNLKLVSLELGGKSPFIVFDDVDVDKVSDLALAGVTCNKGEVCAAGSRVFVQEGIYDKFVEKVVAKAKAKVVGDPFDPNVQQGPQADINQYEKILSYIEHGKREGATLLTGGKPLDRKGYFIEPTIFTDVTDDMLIAKDEIFGPVMSILKFKTVEEVIKRANDTKYGLAAGIMTNDWNTANTVSRSIRAGVIWINCYLAFDLDCPFGGYKMSGFDKDHGMEGLYKYLQIKCVATPVYNSPWL >itb15g03800.t1 pep chromosome:ASM357664v1:15:2388382:2391584:1 gene:itb15g03800 transcript:itb15g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENCAANKDIPDAAAGDFQTRRRICAKCDRPANVCLCATIPADPIANLTRVVVLQHPHERRHKLATVPVLSKCLGNCEVIAGRRLRYGDSKVLDNLHDEAIANPNVPRRAVFLFPGTETSESMEINQWKCSTKNFDRSNYVLIALDGTWKHAKEMMCASLEFLSKFAVQVYLSYDTSIDGGTIFDSDLILRKEPFSGCMSTMEAVAHCLQVLDPNGVEIEARLVEALRTMVRFQTSYLRPMKQRPKLVKKTKENVKRNE >itb02g18170.t1 pep chromosome:ASM357664v1:2:14622626:14627503:1 gene:itb02g18170 transcript:itb02g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLALFWISILMFISSPCVVHPQPGITQPIKLNLPQNASGPEDFECDPIDKGCYTGVNDGRIFKFFNNGTFLDFATTSPLRTKEKCDGISITNIKAECGRPLGLYFDRRGEELYITDAFYGLLKVGRNGGLATQLAAGVDGQNFSFADAVVVDEQYGDAYFVDSGVIFGLIFRTLDLTGLLQSGDISGRLLKYEAATGQVTVLLNGLSGPAGIAMGRDDRYGSFLMISEFIGRKVIKYYITGPKANTTETVLKNLPGFPDNIKKGATKGFWVAVSILQPLRPQLPPPQTDSIAMHFDMNGTILNKRDLTNGFPNTLSVYYESGIDYKAYAGSLLLSKYVERRQVGETAKVRGGQGTSNCVAKRAVGASRDSYGQSDIHQTFIMISEFIGRRIIKYFIRGPKANTTETVLENLEGQLDNVKRGTLGGFWVALNIPKPQQQPVPITDSIVVNFDMNGNILNTRNVTDTFPNSFSGYQEHFDKAYVGSLLSDFVGVYTAI >itb12g02060.t1 pep chromosome:ASM357664v1:12:1391213:1393313:1 gene:itb12g02060 transcript:itb12g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVPVIDLQDFPAQTRKLIEACEEWGCFRVINHGEILPASLMSEMKKVVKSLFDLPVEIKRRNEHVLPGSGYVSPTPTNPLYEAFGLYDMSSPQDVEAFCTDLDASPHQRETIVKYAKAVNELMREIGRKLGEGMGLKSGDLFEEWPCQFRINKYHFAPENVGCSGVQIHTDSGFLTVLQEDESVGGLEIMNKSGDFVAVDPCPGTLLLNLGDMAAVWSNGRFCNVRHRVMCKEAAIRVSIASFLLGPREAAIETPLQLLGSDRLPLYAPTTYEDLRKLRQVNNMHAGEVLDLLRADA >itb08g11420.t1 pep chromosome:ASM357664v1:8:11383919:11390690:1 gene:itb08g11420 transcript:itb08g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLISLTLAAAILVTSATAALMARPEDLNQSTGVGTHGILWPDTADEADEGDLIPGTEDELHGGFSSLEGMLQWAIGHSDPAKLKETAKEVRKLSPEELKGRQIELQEFMEKHKLPSDAKLMQIAIDDLKNSSMSLEDRFRALYELLELVEPIDNANDLHKLGGLTVVIGELYHSATEVRALAAEVIGKASQNNPRVQKQVLELGAMAILVKMAKSCSVEEVTKALYAISALVRNNLDGQELFYQEAGYSMLQDILNNSTLDIRLHRKSVSLIADLAECQLGSENREELPIFSNRFFLKSVVDLVMSSDLDLQEKALYAIRNLLLLRSTEGLVFKDFCKLDLLLERMRQQLQQLMVDENHREYAMDVESLRQEVQQTFIQKLDKATKVPT >itb06g05190.t1 pep chromosome:ASM357664v1:6:7959819:7961782:-1 gene:itb06g05190 transcript:itb06g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPEVNVGEIFGTHFQLPDLGPIGANGLAAPRDFLVPVAWLDDSCRPGYTIVQKFGSELFTAKQEFSPFNVVAWHGNYAPYKYDLNKFCSYNTVLFDHSDPSINTVLTTPTDKPGVALMDFVIFPPRWLVAEHTFRPPYYHRNCMSQFMGLIYGGYELQPICYSVHPQKNILQHYNAHRKTSKNIVLLPERHLSSNNIIMLTENNSPRKRSRAPHRITGTMAFMFESCLVPLVCLWALESPFMDHYYYQCWIGLESHFTRGGSTDELENGHHWGMAHKCLSSCHGSTGIMVDLSLSKCHTLYRIIHYWDTS >itb11g03330.t2 pep chromosome:ASM357664v1:11:1744122:1750286:-1 gene:itb11g03330 transcript:itb11g03330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSPVNLGSICSCHRGQIEGSYTLVRRVSFGKDFRSFHRIRIGRRWRYMSVCRHSVITDYIADQGTSTSLDLSRGSSKDAAVDDPLLRSIPRPQLKYGLKAGPPLSTSSLTWNGAKTGQFSDGDKGGNNGEDKNEVIESLGEALEKAEKLETSTKVPIKKLPASTNYSVAGPNRKSKTLKSVWVKGNPAGVVKKVVKKPLKREQKIDTRGKAEFEPVSPLRPHQLPQKVQPKVQAKQPVAPPSVIKKPVILNGVGAVAKSPTADAIELAAKNKEHKPILIDKFATKKPAPDPPIARVVLTPPKPRKSPPFGKSKEEFHKKSGASGGPRRRAVNDDYDEIPDEEVSELDVSIPSAAKARKGRKWTKASRKAVRLQAAKKAAPVKVEILEVNEAGMSTEELAYNLAMSEGQILGYLYTKGIKPDGVQTLSKDMVKMICKEYEVEVIDADPVRVEEMAKKKEILDDDDVGKLEDRPPVVTIMGHVDHGKTTLLDYIRNSKVAASEAGGITQGIGAYKVKVPIDGRLQTCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPVDWGGDIPMVKVSALKGENIDDLLETAMLVAELQELKANPHRNAKGTVIEASLDKSKGPIATFIVQNGTLKRQDVVVCGEAFGKIRALFDDNEKRVDEAGPSIPVQVIGLNTVPIAGDEFEVVGSLDIARKKAEERAESLRNERISSKAGEGKVTLSSFASAVSSGKLTGLDLHQLNIILKVDFQ >itb11g03330.t1 pep chromosome:ASM357664v1:11:1744109:1750286:-1 gene:itb11g03330 transcript:itb11g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSPVNLGSICSCHRGQIEGSYTLVRRVSFGKDFRSFHRIRIGRRWRYMSVCRHSVITDYIADQGTSTSLDLSRGSSKDAAVDDPLLRSIPRPQLKYGLKAGPPLSTSSLTWNGAKTGQFSDGDKGGNNGEDKNEVIESLGEALEKAEKLETSTKVPIKKLPASTNYSVAGPNRKSKTLKSVWVKGNPAGVVKKVVKKPLKREQKIDTRGKAEFEPVSPLRPHQLPQKVQPKVQAKQPVAPPSVIKKPVILNGVGAVAKSPTADAIELAAKNKEHKPILIDKFATKKPAPDPPIARVVLTPPKPRKSPPFGKSKEEFHKKSGASGGPRRRAVNDDYDEIPDEEVSELDVSIPSAAKARKGRKWTKASRKAVRLQAAKKAAPVKVEILEVNEAGMSTEELAYNLAMSEGQILGYLYTKGIKPDGVQTLSKDMVKMICKEYEVEVIDADPVRVEEMAKKKEILDDDDVGKLEDRPPVVTIMGHVDHGKTTLLDYIRNSKVAASEAGGITQGIGAYKVKVPIDGRLQTCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPVDWGGDIPMVKVSALKGENIDDLLETAMLVAELQELKANPHRNAKGTVIEASLDKSKGPIATFIVQNGTLKRQDVVVCGEAFGKIRALFDDNEKRVDEAGPSIPVQVIGLNTVPIAGDEFEVVGSLDIARKKAEERAESLRNERISSKAGEGKVTLSSFASAVSSGKLTGLDLHQLNIILKVDFQGSIEAVRQALQVLPQDNVTLKFLLQSTGDVSTSDIDLAHASKAIIFGFNVKAPGSVKSYADNKCVEIRLYRVIYELIDDVRNAMEGLLEPVEEQVPIGSAEVRAVFSSGSGRVAGCMVTEGKVVKEHGIRVVRKGKEVHVGVLDSLRRVKEIVKEVTAGLECGIGIKDFDEFEVGDTLQAFNATQKRRTLEEASASIAAALEKVGIDL >itb11g03330.t4 pep chromosome:ASM357664v1:11:1746072:1750286:-1 gene:itb11g03330 transcript:itb11g03330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSPVNLGSICSCHRGQIEGSYTLVRRVSFGKDFRSFHRIRIGRRWRYMSVCRHSVITDYIADQGTSTSLDLSRGSSKDAAVDDPLLRSIPRPQLKYGLKAGPPLSTSSLTWNGAKTGQFSDGDKGGNNGEDKNEVIESLGEALEKAEKLETSTKVPIKKLPASTNYSVAGPNRKSKTLKSVWVKGNPAGVVKKVVKKPLKREQKIDTRGKAEFEPVSPLRPHQLPQKVQPKVQAKQPVAPPSVIKKPVILNGVGAVAKSPTADAIELAAKNKEHKPILIDKFATKKPAPDPPIARVVLTPPKPRKSPPFGKSKEEFHKKSGASGGPRRRAVNDDYDEIPDEEVSELDVSIPSAAKARKGRKWTKASRKAVRLQAAKKAAPVKVEILEVNEAGMSTEELAYNLAMSEGQILGYLYTKGIKPDGVQTLSKDMVKMICKEYEVEVIDADPVRVEEMAKKKEILDDDDVGKLEDRPPVVTIMGHVDHGKTTLLDYIRNSKVAASEAGGITQGIGAYKVKVPIDGRLQTCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPVDWGGDIPMVKVSALKGENIDDLLETAMLVAELQELKANPHRNAKGTVIEASLDKSKGPIATFIVQNGTLKRQDVVVCGEAFGKIRALFDDNEKRVDEAGPSIPVQVIGLNTVPIAGDEFEVVGSLDIARKKAEERAESLRNERISSKAGEGKVTLSSFASAVSSGKLTGLDLHQLNIILKVDFQVFVLISMPN >itb11g03330.t3 pep chromosome:ASM357664v1:11:1744109:1750286:-1 gene:itb11g03330 transcript:itb11g03330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSPVNLGSICSCHRGQIEGSYTLVRRVSFGKDFRSFHRIRIGRRWRYMSVCRHSVITDYIADQGTSTSLDLSRGSSKDAAVDDPLLRSIPRPQLKYGLKAGPPLSTSSLTWNGAKTGQFSDGDKGGNNGEDKNEVIESLGEALEKAEKLETSTKVPIKKLPASTNYSVAGPNRKSKTLKSVWVKGNPAGVVKKVVKKPLKREQKIDTRGKAEFEPVSPLRPHQLPQKVQPKVQAKQPVAPPSVIKKPVILNGVGAVAKSPTADAIELAAKNKEHKPILIDKFATKKPAPDPPIARVVLTPPKPRKSPPFGKSKEEFHKKSGASGGPRRRAVNDDYDEIPDEEVSELDVSIPSAAKARKGRKWTKASRKAVRLQAAKKAAPVKVEILEVNEAGMSTEELAYNLAMSEGQILGYLYTKGIKPDGVQTLSKDMVKMICKEYEVEVIDADPVRVEEMAKKKEILDDDDVGKLEDRPPVVTIMGHVDHGKTTLLDYIRNSKVAASEAGGITQGIGAYKVKVPIDGRLQTCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPVDWGGDIPMVKVSALKGENIDDLLETAMLVAELQELKANPHRNAKGTVIEASLDKSKGPIATFIVQNGTLKRQDVVVCGEAFGKIRALFDDNEKRVDEAGPSIPVQVIGLNTVPIAGDEFEVVGSLDIARKKAEERAESLRNERISSKAGEGKVTLSSFASAVSSGKLTGLDLHQLNIILKVDFQGSIEAVRQALQVLPQDNVTLKFLLQSTGDVSTSDIDLAHASKAIIFGFNVKAPGSVKSYADNKCVEIRLYRVIYELIDDVRNAMEGLLEPVEEQVPIGSAEVRAVFSSGSGRVAGCMVTEGKVVKEHGIRVVRKGKEVHVGVLDSLRRVKEIVKEVTAGLECGIGIKDFDEFEVGDTLQAFNATQKRRTLEEASASIAAALEKVGIDL >itb13g16090.t1 pep chromosome:ASM357664v1:13:23025484:23028007:-1 gene:itb13g16090 transcript:itb13g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKSQARLTRTQSSLLRSSPTVRSSIHSMSFVNEITPSDEQEPDLEEQKPHKKPSGAAPASFVFRPFRSGSAREAPALVASLFSLYILSVFFNWEDVRTSENLLLALSFVAVILFMVRRNKKPIRLGYIFLKRLCEDYAKRVGFTPKKSSKPVEWFIGEAKPEDLAKEKESGVVKEGVEFYSNGDLYEGEFHKGRCNGSGVYSYIGNGRYEGDWVDGRYDGFGIESWAKGSKYKGQYRLGLRHGYGIYRFYTGDTYAGEWCNGQSHGVGVQSCSDGSCYVGEFKCGVKHGLGCYHFRNGDRYAGEYFGDKVHGFGVYHFANGHCYEGSWHEGRKQGYGAYTFRNGETRSGEWHSGNLKTSLPSVNDPVLGAVQSSRKAAQNAINIRQVEDHVNKVVMSANRAATAARVAAVKAVQNRMDGKFCDTNS >itb13g16090.t2 pep chromosome:ASM357664v1:13:23025976:23028007:-1 gene:itb13g16090 transcript:itb13g16090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKSQARLTRTQSSLLRSSPTVRSSIHSMSFVNEITPSDEQEPDLEEQKPHKKPSGAAPASFVFRPFRSGSAREAPALVASLFSLYILSVFFNWEDVRTSENLLLALSFVAVILFMVRRNKKPIRLGYIFLKRLCEDYAKRVGFTPKKSSKPVEWFIGEAKPEDLAKEKESGVVKEGVEFYSNGDLYEGEFHKGRCNGSGVYSYIGNGRYEGDWVDGRYDGFGIESWAKGSKYKGQYRLGLRHGYGIYRFYTGDTYAGEWCNGQSHGVGVQSCSDGSCYVGEFKCGVKHGLGCYHFRNGDRYAGEYFGDKVHGFGVYHFANGHCYEGSWHEGRKQGYGAYTFRNGETRSGEWHSGNLKTSLPSVNDPVLGAVQVNRQLHTIKFSIQ >itb09g05870.t1 pep chromosome:ASM357664v1:9:3326385:3328485:-1 gene:itb09g05870 transcript:itb09g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFQFLSCFCLVCIFVPAIGSTLLFQGFNWESNKQQGGWYNSLINSIPDLANAGITHVWLPPPSHSVAPQGYLPGRLYDLDASKYGNKQQLQALVAALHGKGIKAVADIVINHRCADYKDSRGIYCIFEGGTPDNRLDWGPGQICKGDTQYSDGTGNDDTGMDFGGAPDIDHLNPRVQKELSEWMNWLKSEIGFDGWRFDFVRGYAASITKIYMENTSPEFAVGEFWNSLAYGSDGKPDYNQNNHRNELSQWVQNSGGAVTAFDFTTKGILQAAVLGELWRLKDPNGKPSGLIGISPKNAVTFIDNHDTGSTQNQWPFPKDKVIQGYAYILTHPGVPCVFYDHFFDWGLKNEISKLTSIRSRNGISETSNVEILAADPDAYVAKIDDKVIMKIGSRYDVAKYIPPNFNVVTSGQDYAVWEKKI >itb05g25450.t1 pep chromosome:ASM357664v1:5:29842033:29843345:-1 gene:itb05g25450 transcript:itb05g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNYQQKMQKIEEYCPSFSSYSSDRLAEIAARTADEFKRESSAPVEFIAEELDEEEDFEFSLVPEHSEAFADECFYDGQIGSVFPVFNRKLLLDAEQDQGDDEGKEELCARDVDSSIRIPLRDLFVQGKEDNDLEPQSSSSSSEVDELESLPPGTYCVWRPKRNESFPTECKKSSSTGSASKRWKLRDLLRRMNSDVNDSYVFLTPKKEENSKSKESGLVLKVAGKTKVKKNKEAAPPPSMAAHEAFYLRNKAAKEGEKRKSYLPYRRDLVGFFANVNAGDLSKSFHSIKPSNHPQIQIS >itb07g01700.t1 pep chromosome:ASM357664v1:7:1028074:1030084:1 gene:itb07g01700 transcript:itb07g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDEGSSSSITSSSPLQALPVGVSVSPGSPYPWLKELKSEERGLYLIHLLLTCAGHVAGGSLENSNVALDQISHLAAPDGDTMQRIACYFAQALADRVLRTLPGVYRALHSTKLASPADEFLARKVFVEMFPFSKVAFLVANQAIIEAMEGEKMVHIIDFHAADPTQWRALLQDLSARPEGPPHLRITGVHPVKEVMEQMGRVLSEEAEKLDIPFQFNAIVSKFESLDLEKLRVKTGEALAISSPMQLHTLLAYDDDKKPSPFASKIPNRRIQITQNSLGDFIEHDVGNGYSPSTDSASSSLSNSPKIEAFLNALWGLSPKLMVVTEQDSNHNGQTIMERLSESLYFYAALFDCLESTLPRSSLERLKVEKALLGEEIKNIIACEGSERKERHEKLEKWFQRFESAGFGNVPFSYYAMLQARRFLQSFGCDGYRIKEENGCVVTCWQDRPLFSVSAWRCRR >itb05g14850.t1 pep chromosome:ASM357664v1:5:22151136:22153524:-1 gene:itb05g14850 transcript:itb05g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQESPQSPLVFDPSVFQRDANIPVQFVWPDEEKPGAASPPEFPVCVVDLRGFLSSDQQATAEAARLVGEACRSHGVFLVVNHGVDAGLIADAHRYMDLFFRLPPAQKQKAQRKLGEHCGYASSFTGRFSSKLPWKETLSFDYSPQQCSANMVQQYFHNTLGQEFSHLGKIYQEYCNAMSELSLEIVELLGMSLGINQKHFKEFFEDNESIMRLNYYPPCHKPELALGTGPHCDPTSLTILHQDTVGGLQVFLDDQWRSVGPNLNAFVVNIGDTFMALSNGRYKSCLHRAVVNSRSARKSLAFFLCPRRDKVVAPPEELVDSDNQRVYPDFTWPTLHEFTQKHYRADMNTLQSFSKWLQHTHSSQTT >itb15g11700.t1 pep chromosome:ASM357664v1:15:9474658:9475242:1 gene:itb15g11700 transcript:itb15g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTAFRVFVVTREGHVWFPLPVLLSSPSPAIFHPHRISVVHHRSNSSVFHHSSNPSAFHCRSNFPTVAALPAPSLLVSPLPSPPTYLPLPGLPFRLRHSLEHCPSPATTITLLRSPLIPHLKKS >itb05g07650.t1 pep chromosome:ASM357664v1:5:9999292:10004239:-1 gene:itb05g07650 transcript:itb05g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRASFSYEGQASGSRGNRGKSPAIEPPRHSVHGFPSEHEYHQFLQQQSYYQDMNPNPQMTINEPQHASFGSQPFHSSFDSNTATFSQGHDEDEEPEEIQPSAAKQKSDLFRVHMKKVEHPDGRITVKCNYCDKEYQWSKSGGYGTYRKHITSKHPDKEFIYQLMISGLSPSKTTPTILPQKMMMIGERNLLNPTVLVPPWLSDDQTANIHFVSSPSGNANFNDDASQFLQYDAVLQALQRYLPSNANSNDAAVMMGEFDSDNDKDIEFPVDAYACDNFRMYDFKVRKCARGRSHDWTECPFAHPGEKARRRDPRKYRYSGTACPDFRKGNCRKGDLCEFAHGVFECWLHPARYRTQPCKDGLKCKRRVCFFAHSPEQLRVLSPRADASCAKTLHFVLSSPESGSPPSESPPMSPMNSLGTNSMVASLRQLQLNKVKSMPSSSWSLHMLGSPRLPLTRSAGFCSLPTTPTRSLSRPGVCNFDLWEKGLCEEKEEEVPMERVESGRDLRAKMLEKLSKENPLDAVEAGSNPTHNPSYAPSPDLGWVSDLVQ >itb15g14450.t1 pep chromosome:ASM357664v1:15:12731407:12733310:1 gene:itb15g14450 transcript:itb15g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSCLSSILGIATLVLVWFSWRKQSKRLAPAAGGAWPIIGHLHHFRTSVPLVKTLSEWADKYGPVFTIRLGISRILVVSSWEAVRDCFTTNDKLLAARPTTCAGKHLGYDYAVFSFSTNNSYWRRVRKLVVEELLSSRRLEKLKHVWVSELQANINEFYTSISMDNNNDKNNNPSAPKVNMSRWFGHLTLNLIMQIVAGRRYEYQSDGVVNEEAQCLKKVFSQVMYLWGEFVSGDAIFPLWFFRWLDYEGHVKSMKKAAKEVDAILQD >itb01g14990.t1 pep chromosome:ASM357664v1:1:17080692:17082918:-1 gene:itb01g14990 transcript:itb01g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNNENGETYDRQSEVKAFDESKTGVKGLVDAGITKVPRIFIHPKTTQNYSSISSVNKPSIPIIDLQGIHGNVVEAVGEASATWGFFQVVNHGIPGNVLEEIMSGVRGFFEQDTEVKKEWYSRDQSKRVTYNTNFDLYTSPAANWRDSLFCIMAHNPLHAQQLPPVCSDILIKYSKEMEKLGGVLFELLSEALGLHPNHLKDIECNKGIALLGHYYPPCPEPDLTLGTSKHADNDFLTILLQDHHIGGLQVLHHNQWVDVPPSPGALVVNIGDLLQLISNGRFKSSEHRVLASKNGPRVSVACFFNTYSVPSSRVYGPIKELLSEENPPKYREITLKEYETHFLEKGLDGTSALLRFSL >itb01g14990.t2 pep chromosome:ASM357664v1:1:17081314:17082918:-1 gene:itb01g14990 transcript:itb01g14990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNNENGETYDRQSEVKAFDESKTGVKGLVDAGITKVPRIFIHPKTTQNYSSISSVNKPSIPIIDLQGIHGNVVEAVGEASATWGFFQVVNHGIPGNVLEEIMSGVRGFFEQDTEVKKEWYSRDQSKRVTYNTNFDLYTSPAANWRDSLFCIMAHNPLHAQQLPPVCSDILIKYSKEMEKLGGVLFELLSEALGLHPNHLKDIECNKGIALLGHYYPPCPEPDLTLGTSKHADNDFLTILLQDHHIGGLQVLHHNQWVDVPPSPGALVVNIGDLLQASITSISSLNSLFKSLLKIIIF >itb04g17160.t1 pep chromosome:ASM357664v1:4:19726414:19727566:1 gene:itb04g17160 transcript:itb04g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIEGETKLATLLASERVLPEKQRDAERGRRPEPAVCATAIVDAPASLSRRRHHSPHAVPSTVQRQTTKTRERDDDCHRRSADRDFKLRRRHLETTGPETAAVRPCSRHQPSSADRDANNRKLSANQAKDRERQAAASVDRESSLVDHRKRSSGEDAIAKNPVSPPPAAVFLVTTPAPIVQR >itb11g13450.t1 pep chromosome:ASM357664v1:11:10426577:10435647:-1 gene:itb11g13450 transcript:itb11g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLVMYLFSFLIVAFAHWVYRWRNPKCKGLLPPGSMGFPIIGESIQFFTSHALRGIPPFIQQRMTRYGSLFRTSIVGQNVIVSTDPEINHFIFQQEGKLVHCWYTESAAQITGPQGFTVHHGDSHKYLRNLALNLVGPENLKETLLFEMDQTARQHLDQWTGLSKLDIKQATEIMLFKLAASKVLSYDEKKAMELRNYYKAFMEGFISFPLYVSGTSFYASMQGRKNAIKLIKETLNERRSSCNKKKNDFLDYILSEVDKEETFLTEKIGVDVICMLIFAAYETTSSAITLALKFLNQHPHVLKQLQEEHEHIIASREDKESSITWKEYRSMKFTHMVINETVRLANIAPGIFRKVVQDFQIKGYTIPKGWMFMICPSSVHLSAEKYDDPLSFNPSRWNGQELHSSSKQFMAFGGGQRLCAGADFAKLAMAIMLHYLVTKYKWKVLDEGNIVRKPGLAFLDGFKEKKIEGIIKGRRRNHASRPRSLRCGVGEYRVRGGHCLPFVLLTRLPVGTQVASPMRGGRRAVTGLEALPPNTVVPQGRGGGPRGPTASPPVVPLGL >itb01g21960.t1 pep chromosome:ASM357664v1:1:27941830:27943198:1 gene:itb01g21960 transcript:itb01g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSTQILPPEPFSPSPLSAAHQEFSIMVSALQNVMNGGDATLDANFDSQPHFSSFSAAASTTTTLTIPQTAFEGFAELPPLQDTCQLCKYEGCLGCNFFAAPDARQMAKKKKSNAVVMKRKKNNYRGVRQRPWGKWAAEIRDPRKAVRVWLGTFKTAEEAARAYDRAAIEFRGPRAKLNFSFADYTNITTMPATMTSKTRQKHDRSPSTSSSVSQQPRQQVVQENSDHETNWSAPAKMEMRNGDEEFQEWMRMLMDFNDVSSDYILQLQ >itb13g19300.t2 pep chromosome:ASM357664v1:13:26287976:26291477:-1 gene:itb13g19300 transcript:itb13g19300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MLLGPNGSGKSTLLKILAGLLCPNTGSFYVKKPKSFVYQNPDHQVVMPTVEADVAFGLGKFNLTMDEIRPRVAKALDTVGMYEYLQKPVHTLSGGQKQRVATAGALAESCKVLLLDELTTFLDENDQIGVIKALKNSIDASNEITALWVTHRLEELEYADGAIYMEDGRIIMQSDASIVYNFIEARRASYLDQINL >itb13g19300.t1 pep chromosome:ASM357664v1:13:26287976:26291477:-1 gene:itb13g19300 transcript:itb13g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MIQSACIRASAFHLPPLYSHSTRHSATGESCAIEARNLSYSVVTKQGKHLPILKDCSLSVPSGQLWMLLGPNGSGKSTLLKILAGLLCPNTGSFYVKKPKSFVYQNPDHQVVMPTVEADVAFGLGKFNLTMDEIRPRVAKALDTVGMYEYLQKPVHTLSGGQKQRVATAGALAESCKVLLLDELTTFLDENDQIGVIKALKNSIDASNEITALWVTHRLEELEYADGAIYMEDGRIIMQSDASIVYNFIEARRASYLDQINL >itb03g04910.t1 pep chromosome:ASM357664v1:3:3248611:3251137:1 gene:itb03g04910 transcript:itb03g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRWWLLAAVMLVVSVSEPAFSDPQTNQIGNLGCGPYNASDAPDYTRELNISFADLRNQLSSANKRFATSTQRAVYAMVQCRKYLSTADCVACFDAAVIVTRNCPIATTSAIVIFDGCFLRYQESYFYDQITDPITEGSYPVCGNRTARNQDIFNATAQELLNELLLATPKINGFYAAVKLQEESPGGATIYAVAQCAETVSESNCQDCLSLVYNNIKGCLPNSADGRAVDAGCFLRYSDTPFFADNQTIDIAPLLGKGNSSGKKKPILAGVVGTVGIILVLAALFLWYLQSRKQNAWIRGNILGAKSYIYKDLKAATNDFSEENILGKGGFGDVYKGTLQSGDVVAVKKLTTISSRAKANFETEVSLITNANHPNLIRLLGYSGNGKVLILVYEYMKNASLDRYIYGEKRGMLNWKQRVDIILGTARGLAYLHEQLDVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENKSHLTTKFAGTLGYTAPEYANHGHLSEKVDIYSFGIVILEVISGRRSSELQVEPVTEYLLELAWKLYENNEHLGLVDNNLDPNEYEVEEVKRMLEIALVCTQSPSKIRPSMSEVVVMLSSTDASIIQKPQNRPTTITDFSKKIATTTSSSTLTNATISFSRFSGR >itb04g01050.t1 pep chromosome:ASM357664v1:4:569239:575145:1 gene:itb04g01050 transcript:itb04g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARVSDETVCVAVGKDVKESKYTIEWALQNPVGRSLCILHVHTPARKIPMLLGGNFGISLTNELGARAYHEKERQDTRNILNQYLLMCGRAGAQVKVLCVEKDNIEKGIVDFISEHGIKKLVMGAAACKHYSREMTEVKSKKAMYVCEQAPPFCHIWFICKGAHIYTSCITQQHLPYVYRPCIQERVNAVVASPPLGSSRYASEGQTNQADTIGALPELESVQSVNITINLPGPRSATRKGLMSFPSSSSSAEESTYEWYETPTGSFSLGSHHSSKSPGHMASDSYSVSLCDHCHISPPRTAVECVEEKLYDRLEQTVPEGENSKPEAFEEPNKFRANAPETINAEEILARSKEEIEQIRREFNMMVQKFEQNMVSNVELLLKYKQERDDLEGKLDTALRAAEGMSKKLDKEASTSSSSSSSAKFYAEFSWSEIKEATSNFDSSLKIGNGGYGSVYRGMLCHTPVAIKILNPESIQGSSEFQQEVNILSKVRHPNLVTLIGACPETLTLVYEYLPNGSLDDHINAQGNTPPLPWQTRIRIASELRAALIFLHSWNGHGLVHGDLKPANVLLGPNFATKLSDFGICRVLSEEELSDDKFTRRCITDPKGTIVYMDPVFFATRELTPKSDVYSFGIILLRLLTGKPALGIIKLVQCGLLDKGKFKDLLDPSAGKWPVLQAEQLARLALRCCEMNRKERPELDSQVWKVLDG >itb05g23740.t1 pep chromosome:ASM357664v1:5:28798497:28804420:-1 gene:itb05g23740 transcript:itb05g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDHSSFTSYLLDGTFPSRTGSRHNSSPSVVVIGGGISGIAAARILQNASFKVLLLESKDRIGGRIHTDYTFGCPVDMGASWLHGVCNENPLAPMIRHLGLTLYRTSGDNSVLYDHDLESFMLFGKDGKQVPKQTVVEVGDAFKKILNETEKVRDEYSQDMSIRQAISIILDRHPELKQNGLAHEVLQWYICRMEAWFAADTNMISLKSWDQEQVLSGGHGLMVQGYYPLMKALAKDIDIRLNHRVKRITNGYNKVMVTVEDGRIFVADAAIVTVPIGVLKANLIEFEPKLPEWKVSAITDLGVGNENKIALQFDNVFWPNVELLGVVASTSYACGYFLNLHKATGNPVLVYMAAGSLADDLEKLSDEALVDFVMLQLKKMFPNATNPVQYLVSRWGTDPDILGCYSYDAVGKPMDIYDKLRAPIGNLFFGGEAVSMDHQGSVHGAYSAGVLAAENCRHHLIKKLGSLEMIQLVSSREEFLEAAAVVPLQISRM >itb13g26890.t1 pep chromosome:ASM357664v1:13:31985828:31988519:-1 gene:itb13g26890 transcript:itb13g26890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGSSLVHLLAIVLSLTAFGFAIAAERRRSTGTLHDDTTSNRTYCVYSSDVATGYGVGAFLFLLSSESLVMGVTKCMCFGRPLSPGGNRAWTIIYFISSWLSFVVAEACLVAGAKKNAYHTKYRDMILAENFSCETLRKGVFVAGAVFVVATMILNVYYYMYFTKATTQPAHKANRNSSNVGMTGFA >itb13g22650.t1 pep chromosome:ASM357664v1:13:28928111:28930004:1 gene:itb13g22650 transcript:itb13g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTGSSVSLSVSVKQSQGISRAANVKAFSVSFNGNSFPSLRVQPTTRRLVVTAAAKPETVTKVCDIVKKQLALPEGTEVAGHSKFAHLGADSLDTVEIVMGLEEEFGITVEEDSAQSIATVQDAADLIEELIAKKD >itb06g15310.t1 pep chromosome:ASM357664v1:6:19674400:19675764:-1 gene:itb06g15310 transcript:itb06g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQNLLFFFLVFLFCPPCFSSMSIHDLLKSKGLPAGLFPKEVSNYTFSETGLLQVFLDEPCLTKFDTMAFYDSVVSANLTRGCLTGVQGFSQEELFVWLPVKDIIVDDPSSGLILIDIGLAHKQLSLSLFEDPPDCKKDARGLKKKSRKMEKGFEAQR >itb05g22820.t2 pep chromosome:ASM357664v1:5:28094416:28096784:-1 gene:itb05g22820 transcript:itb05g22820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPINIGLTFLFGGILGWIAVKVLKPKPHLEGLIIATCSSGNLGNLLLIIIPAICNEDGSPFGDKSVCSTIGMSYASFSMALGGFYIWTYTYQLVRNSSVKLKAQLAAEAAAEIVKEPSKDYNANEKSGLLENEALAVSVLPAGNDLENPNNGSVEKKEGGTFLSHFAGVLHSILEELMAPPTVGAILGFLFGAVTWLRNLIIGDSAPLRVIQDSVTLLGNGTIPCITLILGGNLTQGLRKAKISPLVILAVVCVRYILSPVVGICIVRAASNMGFLPADPLFHFVLMVQFTLPPAMNIGTMTQLFDVAQEECSVLFLWTYLVATIALTLWSTVFMWILS >itb05g22820.t3 pep chromosome:ASM357664v1:5:28094340:28098885:-1 gene:itb05g22820 transcript:itb05g22820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLIISVLGAVMATDYFKLLPADARRSLNKIVFVAFTPSLIFANLAKTVTLEDIISWWFMPINIGLTFLFGGILGWIAVKVLKPKPHLEGLIIATCSSGNLGNLLLIIIPAICNEDGSPFGDKSVCSTIGMSYASFSMALGGFYIWTYTYQLVRNSSVKLKAQLAAEAAAEIVKEPSKDYNANEKSGLLENEALAVSVLPAGNDLENPNNGSVEKKEGGTFLSHFAGVLHSILEELMAPPTVGAILGFLFGAVTWLRNLIIGDSAPLRVIQDSVTLLGNGTIPCITLILGGNLTQGLRKAKISPLVILAVVCVRYILSPVVGICIVRAASNMGFLPADPLFHFVLMVQFTLPPAMNIGTMTQLFDVAQEECSVLFLWTYLVATIALTLWSTVFMWILS >itb05g22820.t1 pep chromosome:ASM357664v1:5:28094340:28100543:-1 gene:itb05g22820 transcript:itb05g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLIISVLGAVMATDYFKLLPADARRSLNKIVFVAFTPSLIFANLAKTVTLEDIISWWFMPINIGLTFLFGGILGWIAVKVLKPKPHLEGLIIATCSSGNLGNLLLIIIPAICNEDGSPFGDKSVCSTIGMSYASFSMALGGFYIWTYTYQLVRNSSVKLKAQLAAEAAAEIVKEPSKDYNANEKSGLLENEALAVSVLPAGNDLENPNNGSVEKKEGGTFLSHFAGVLHSILEELMAPPTVGAILGFLFGAVTWLRNLIIGDSAPLRVIQDSVTLLGNGTIPCITLILGGNLTQGLRKAKISPLVILAVVCVRYILSPVVGICIVRAASNMGFLPADPLFHFVLMVQFTLPPAMNIGTMTQLFDVAQEECSVLFLWTYLVATIALTLWSTVFMWILS >itb05g22820.t4 pep chromosome:ASM357664v1:5:28094340:28098885:-1 gene:itb05g22820 transcript:itb05g22820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLIISVLGAVMATDYFKLLPADARRSLNKIVFVAFTPSLIFANLAKTVTLEDIISWWFMPINIGLTFLFGGILGWIAVKVLKPKPHLEGLIIATCSSGNLGNLLLIIIPAICNEDGSPFGDKSVCSTIGMSYASFSMALGGFYIWTYTYQLVRNSSVKLKAQLAAEAAAEIVKEPSKDYNANEKSGLLENEALAVSVLPAGNDLENPNNGSVEKKEGGTFLSHFAGVLHSILEELMAPPTVGAILGFLFGAVTWLRNLIIGDSAPLRVIQDSVTLLGNGTIPCITLILGGNLTQGLRKAKISPLVILAVVCVRYILSPVVGICIVRAASNMGFLPADPLFHFVLMVQFTLPPAMNIGTMTQLFDVAQEECSVLFLWTYLVATIALTLWSTVFMWILS >itb09g30640.t4 pep chromosome:ASM357664v1:9:31269281:31276161:1 gene:itb09g30640 transcript:itb09g30640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g30640.t1 pep chromosome:ASM357664v1:9:31270059:31276161:1 gene:itb09g30640 transcript:itb09g30640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g30640.t3 pep chromosome:ASM357664v1:9:31270059:31276161:1 gene:itb09g30640 transcript:itb09g30640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g30640.t2 pep chromosome:ASM357664v1:9:31270052:31276207:1 gene:itb09g30640 transcript:itb09g30640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g13100.t1 pep chromosome:ASM357664v1:9:8442414:8445339:1 gene:itb09g13100 transcript:itb09g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYTNFHSQHWLKLHHFPPPNTSTTFHFSQTTPPSPPLREALPLLTLGPARHNENNGQEEEGDEGVTVALHIGPPNPSASDLQAAASWSCSVTQDNYKDGRNQQQDINEDEEDDCGVECLPYMMNKLNKGQYWIPTPSQILIGPTQFECPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAAGCRNNIDHPRSKPLKDFRTLQTHYKRKHGVRPFTCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGDGHGAYGDDGFEEEEDPASEIEQDGEPNSIHR >itb12g22590.t2 pep chromosome:ASM357664v1:12:24640313:24641876:1 gene:itb12g22590 transcript:itb12g22590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSSRGSTELLLPKKWNSDRETSPERTVVWTEPKSSSSKTEKRVPVIYYLSRNGQFEHPHFIQVPLSSPEGLYLKDVINRLNVLRGEGMASLFSWSSKRSYKNGYVWHDLSDDDLIYPVNGRDYVLKGSELLPRSVSFRMCETASKTEDSGEIHKLPASIAERRTRNQSWDSFDNNNPQNDYRAMYKSESSREFSAKFAAEVGTQKAESRAGGRGGRTTREAAQGSKSVELSREELPSPPSISSWEYTDGVSRSGNVHRRTLTRDHTAENDLSSGRVKASRVVMHLITCGSSTTVDSALTKSKSRNKF >itb12g22590.t3 pep chromosome:ASM357664v1:12:24640313:24641876:1 gene:itb12g22590 transcript:itb12g22590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSWSSKRSYKNGYVWHDLSDDDLIYPVNGRDYVLKGSELLPRSVSFRMCETASKTEDSGEIHKLPASIAERRTRNQSWDSFDNNNPQNDYRAMYKSESSREFSAKFAAEVGTQKAESRAGGRGGRTTREAAQGSKSVELSREELPSPPSISSWEYTDGVSRSGNVHRRTLTRDHTAENDLSSGRVKASRVVMHLITCGSSTTVDSALTKSKSRNKF >itb12g22590.t1 pep chromosome:ASM357664v1:12:24640313:24642411:1 gene:itb12g22590 transcript:itb12g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSSRGSTELLLPKKWNSDRETSPERTVVWTEPKSSSSKTEKRVPVIYYLSRNGQFEHPHFIQVPLSSPEGLYLKDVINRLNVLRGEGMASLFSWSSKRSYKNGYVWHDLSDDDLIYPVNGRDYVLKGSELLPRSVSFRMCETASKTEDSGEIHKLPASIAERRTRNQSWDSFDNNNPQNDYRAMYKSESSREFSAKFAAEVGTQKAESRAGGRGGRTTREAAQGSKSVELSREELPSPPSISSWEYTDGVSRSGNVHRRTLTRDHTAENDLSSGRVKASRVVMHLITCGSSTTVDSALTKSKSRNKF >itb14g11780.t1 pep chromosome:ASM357664v1:14:13434380:13434994:1 gene:itb14g11780 transcript:itb14g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADLGNMKIRISIKGDNPEKGLKIIKNDNKKPGSKKRGSDDFNRSITQDGGRVFPAPIRRRSKGCLQNPGGNDYQDMNNSTPPLQKEKESCSSDQSSSPTGAQLSPTKALRAAQMKKRYAHLISKANQGDNNNADPQQERERIAEKGRIDEEIIKASGETVLPQRQREREAARIALEKMKQTVEFDDALQIMSDFESLLGAK >itb08g16340.t1 pep chromosome:ASM357664v1:8:18506721:18509886:1 gene:itb08g16340 transcript:itb08g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIGVGIARPPPPSQLKLQICCALSKQGDRFLRSLVVTSPNHNLHSLLRKFVSSSSKNVAITTLSHLLSPTTTATHPRLSSFALPLYLVITEASWFDWNPKLVADLIALLHKQQRFDEAGTLVAETVSKLSPRKRDLCSFYCHLIDSQSKHKLREGVFESYAQLKQLLLSGSSSSDYLKRRGYESMIKAMCEVGLPFEAEEMMEEMRGLGLKPSKFEFRCLVYAYGKIGLFGEMKRIVDQVEGEGFPPDTIISNMVLSSLGAHRELAEMCCWLQKMKALGVVISIRTYNSVLNSCPKLNLLLHGNMKNMPLSLEGLAEDLSGDERSLVLHLVDASSTSVLKEVMEWKASELKLDLHGMHLCSAYLIMLQWFDELQSKFQAGNDYELPNEIRVVCGSGKHSAVRGKSPVKDLVKKMIVQMKCPLRNDDKNIGCFVAKGNVLKEWLMLYHYHMKPANQPASRIGFSSFCSQ >itb12g01150.t1 pep chromosome:ASM357664v1:12:810605:812642:-1 gene:itb12g01150 transcript:itb12g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH131 [Source:Projected from Arabidopsis thaliana (AT4G38070) UniProtKB/Swiss-Prot;Acc:P0CB25] MQTFPSFYEVGSCSESYNNFLQGIMGSSEMMMNSLEDTSCVSPRSLAEAKAIAANKSHSEAERRRRKRINGHLATLRTLLPNTIKTDKASLLAEAVKCVRELKKTTTELAAAPESDTDDDSSFIGGAGGEVRRTKYMFPSETDELKLSYAAGEEGSGNLKAALCCEDRPEIITEVVRALKTVEGKVVRAEMATVGGRIKCVLWVHVEGLNNGGGRGGGEEVVAAVRRALKVVLDRSNAVVNSSQSDLTGHKRPRLYQY >itb13g01730.t1 pep chromosome:ASM357664v1:13:1572733:1574397:1 gene:itb13g01730 transcript:itb13g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVSVFPIKSLCFSRYELKSLTILPKLVLWDEGADCCRWPGLSCNAATGYITSLDLSYDYSIRGGFNVSLLHKLPSLSVIRLDGVNFSAPFPDLFTDFTNLTVLTLADCDFSGTVPQKVFQVPTLQTIDLSYNFMLGGSLPDFPENGSLKSLTLRETMFSGNLPESIGNLRLLSHIDLAYCDFSGSIPVSIIKLSKLVELSLSQNSFSGPIPASLFFLPSLQTLYLDGNKLSGHINELRNVTSPLEYLDLSRNNLEGTIPLFFFHLQNLTSLDLSSNKFNSIVHLTKFKSQYIETLDFSNNNLVIETTISTSKLPLLPQFGYLNLASCNLQKIPDILKSQSKLWWLDLSNNTISGEIPNWIWGICNGQFSRLNLSHNSLTHMKEPMEYGSLYSLDLNSNMLSGQIPRPPPWAMYLDFSNNNFSMIPLDFADQIPYFLQFFSIAKNRVSGKISTSWCRAAYLKVLDLSYNALHGTIPSCLVQNNSNLVVVNLRGNHLSGEISLKFQQSCSLDALDLSQNLLEGKVPPSLINCTELRILNLGNNKISDTFXLIAF >itb01g30940.t1 pep chromosome:ASM357664v1:1:34757382:34760792:-1 gene:itb01g30940 transcript:itb01g30940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGSKKPLTNGYENSLGPEAQKQKIDEVRKLIGQLSGNLALYCSDASISRYLRARNWNVKKAVKMLKATLKWRLEYKPENIRWDDIASEAETGKIYRSDYKDKHGRTVLVMRPRCQNTKSTKGQIRYLVYCMENAIINLPEDQEQMVWLIDFHGFNVSHISLKVTKETAHVLQEHYPERLGAAILYDAPKIFEPFWKVAKPFLEPKTANKVSFVYSDDPNSKKIMEDLFDTTQLESAFGGNESADFDIAKYAERMREDDKKVAAFWMVEDNSRLGAPPALPAVPSLEATNSDSDSDASNKKAEKSIHVDDNGEASDGEPFPAPISGNNGTK >itb01g30940.t2 pep chromosome:ASM357664v1:1:34757382:34760786:-1 gene:itb01g30940 transcript:itb01g30940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKATLKWRLEYKPENIRWDDIASEAETGKIYRSDYKDKHGRTVLVMRPRCQNTKSTKGQIRYLVYCMENAIINLPEDQEQMVWLIDFHGFNVSHISLKVTKETAHVLQEHYPERLGAAILYDAPKIFEPFWKVAKPFLEPKTANKVSFVYSDDPNSKKIMEDLFDTTQLESAFGGNESADFDIAKYAERMREDDKKVAAFWMVEDNSRLGAPPALPAVPSLEATNSDSDSDASNKKAEKSIHVDDNGEASDGEPFPAPISGNNGTK >itb10g06440.t1 pep chromosome:ASM357664v1:10:7210346:7213538:-1 gene:itb10g06440 transcript:itb10g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQVFTVDLNKPLVFQVGHLGESYQEWVHQPIPSREGPRFFESDFWEFLTRTVWWVIPLVWLPVVCWFVSMSLRMGLTIPHVALLVVFGIFIWTLVEYILHRYLFHIKTKSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAAAAVLCVPFWNLVKLFATPLTAPALFGGGLLGYVMYDVTHYYLHHGQPTSDVPKNLKKYHLNHHFRIQNKGFGITSALWDRVFGTLPPSKSDLKSR >itb04g29380.t2 pep chromosome:ASM357664v1:4:32798479:32800436:1 gene:itb04g29380 transcript:itb04g29380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWERYPIKFFRPYCKNPGERLQEYETPLRGMEMALVDNNPPQFPVTVISSSEVKDSLDYLTGHISKQVKGTQFDLATVPESNAENAVKFLQKLHQPVTLQDLSSFFFLFSLKLLLIKPSFSPLKDGSNDKDGSKEQEERFLNKIYSNLGGQVNNKGFVAALKYALSLGLAVFFGSIYSKPDGFWAGLTVAISHVSAREATFRIANVKVQGTVLGSIYGVLGCFVFAKYEELRFISLIPWFIVCSFLRRSRMYGPAGGISACIGAVLILGRKGFGPPSAFAMTRIMQTFLGLTCSILVELLFRPTRASSLAKIQLSKSLQLLNQCIISIDYSSNSKLEETQKILKLHVTQLGKFIGEAEVEPNFWFRPFHSACYGKLVGSLSKAVEYLYFVAQALRFLEQESATRPLWKESMDSDHLSLFRDYVGPSVKSFAEIISLVGSVSVLDKEFEKKKNSIDPELGKLPTSNNITGLSDEEIENKLKSFLEYSRQFVDEKDEDDDEDEEEDNNEVMNSQVALSLSALAFCMRGIVRETKEIDKAIKELVQWENPSIPVNLHEISCKIRALAHTVTN >itb04g29380.t1 pep chromosome:ASM357664v1:4:32797452:32800436:1 gene:itb04g29380 transcript:itb04g29380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPVLWRSSLASAFRTALACSIVGVATLFGPVALKRQIAFPAFSYVAVILILADTTLGDALRGCWYAIYATCLGVCPAILSLWLIGPAHLTALTAAAAVGITAFIVVLPENSHVIAKRIALGQTVLVYTLAYVNGAQTEPIMHPLRVAASTTIGVGACVLALLFPYPSLACSEVKHNCHLFAENVSQRLNLLVKAFSAEDNASALSLVSQAKSLNASGAKLLQNIKSKQESMQWERYPIKFFRPYCKNPGERLQEYETPLRGMEMALVDNNPPQFPVTVISSSEVKDSLDYLTGHISKQVKGTQFDLATVPESNAENAVKFLQKLHQPVTLQDLSSFFFLFSLKLLLIKPSFSPLKDGSNDKDGSKEQEERFLNKIYSNLGGQVNNKGFVAALKYALSLGLAVFFGSIYSKPDGFWAGLTVAISHVSAREATFRIANVKVQGTVLGSIYGVLGCFVFAKYEELRFISLIPWFIVCSFLRRSRMYGPAGGISACIGAVLILGRKGFGPPSAFAMTRIMQTFLGLTCSILVELLFRPTRASSLAKIQLSKSLQLLNQCIISIDYSSNSKLEETQKILKLHVTQLGKFIGEAEVEPNFWFRPFHSACYGKLVGSLSKAVEYLYFVAQALRFLEQESATRPLWKESMDSDHLSLFRDYVGPSVKSFAEIISLVGSVSVLDKEFEKKKNSIDPELGKLPTSNNITGLSDEEIENKLKSFLEYSRQFVDEKDEDDDEDEEEDNNEVMNSQVALSLSALAFCMRGIVRETKEIDKAIKELVQWENPSIPVNLHEISCKIRALAHTVTN >itb05g17610.t2 pep chromosome:ASM357664v1:5:24466322:24470022:1 gene:itb05g17610 transcript:itb05g17610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDPQKLKKIAAAAYDYENDPKWADYWSNILIPPHMASRSDVVDHYKRKFYQRHIDPDLVVEPMAPSSSSQQAKPSAPQTSSSSTSNGQSRAHTSESSGRTSRTSTDSTTPASNPTSLRWDRQTVQFSVNAWVFVMAILAIFPLIPTNLSNRAYRLSFIGTTCSALYSLYTAHGKPRAWNMQALQVWLQSVIVAKDFIYFIYCLTFVTSHLYLKFALIPILCQELEHIVKFLRRNFSRTSLYRKYFDDACVWVESNASTLKILTSQAEIGLGFLLIISLFSSVLCFNFPL >itb05g17610.t1 pep chromosome:ASM357664v1:5:24466300:24471094:1 gene:itb05g17610 transcript:itb05g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDPQKLKKIAAAAYDYENDPKWADYWSNILIPPHMASRSDVVDHYKRKFYQRHIDPDLVVEPMAPSSSSQQAKPSAPQTSSSSTSNGQSRAHTSESSGRTSRTSTDSTTPASNPTSLRWDRQTVQFSVNAWVFVMAILAIFPLIPTNLSNRAYRLSFIGTTCSALYSLYTAHGKPRAWNMQALQVWLQSVIVAKDFIYFIYCLTFVTSHLYLKFALIPILCQELEHIVKFLRRNFSRTSLYRKYFDDACVWVESNASTLKILTSQAEIGLGFLLIISLFSWQRNIIQTFMYWQLLKLMYHAPATAGYHQSAWTKIWRVINPLVQKYAPFLNTPISAAQKWWLR >itb12g13340.t1 pep chromosome:ASM357664v1:12:12164198:12165451:-1 gene:itb12g13340 transcript:itb12g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKTQNSIALNPLIHSFPRFWLLRVCFSASASPFHHSTIPPLTDDVRRFSGLYDSAPHCLTLTAHCPSVPRHFSLVYGLSAQGLCLLACCNRITATVSVESYASCPWMSEWRRRQ >itb04g06750.t1 pep chromosome:ASM357664v1:4:4455311:4458619:-1 gene:itb04g06750 transcript:itb04g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIEKSLAKENNGEESSHPQKINELSEIVEESSLNVHQTRQNSVSETPKRVTEESSDDCLRMNVPLSPTPKRVNFSPLPSPCHGKLDGSPDSSSRGKSSSVKSFIPKLSFKFRNTTTDIERAAMLALGCSPGRQEKPSILRQLSVTKIFNPRMKRTSSLPVSPIAHSNPESAHGGLNLAKIGSEHPIHRSNSVPDLNKDGSTRQVGCVESLYRVIPSTPCVAVQSVATSAAAPADSADGTNELGEDITQEDAVCRICLVELGENMDTLKMECSCKGELALAHQECAVKWFSIKGNKICDICRQEVRNLPVTLLRVQTRALGIPQSAVIQYRIWQDVPVLVIVSMLAYFCFLEQLLVGRMGSGAIAISLPFSCILGLLASMTSTTMVRRKYAWVYATTQFALVVLFAHIFFKLLRIQAVLAVLLATFAGFGGVMCATSILFELMKWRWQTWGNQQPTSQESELPSQSSQSNPTPQTDQHPVDVETGNAGVDRGRQEQ >itb08g04590.t1 pep chromosome:ASM357664v1:8:3770606:3773807:-1 gene:itb08g04590 transcript:itb08g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVNEDTTSFALPPGSGFYPSDEQLVRYYLSLKNDGDLHGGGANVIKEINLYNFDPFNLPETSCFRFGRGGRRRHWFCFVARALKDRGIRRAGGGYWKRRRRDRVVVDRSLGKAVVGKRKCFVFYFGDSQSPKTAVKTDWFMYEYELLNHPTAAFVLCRIFSKSHHGNNLSENTVSSCAEESVASVRNIGFQCNGTATSATEEKMQDEKNEVLNFPVDTVSKIDNLVSEPIGDQIRSPGLFSTGATFIEALPLAAEEGLGILEGDFLELDDLVCPLLGID >itb08g04590.t2 pep chromosome:ASM357664v1:8:3770606:3773807:-1 gene:itb08g04590 transcript:itb08g04590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVNEDTTSFALPPGSGFYPSDEQLVRYYLSLKNDGDLHGGGANVIKEINLYNFDPFNLPETSCFRFGRGGRRRHWFCFVARALKDRGIRRAGGGYWKRRRRDRVVVDRSLGKAVVGKRKCFVFYFGDSQSPKTAVKTDWFMYEYELLNHPTAAFVLCRIFSKSHHGNNLSENTVSSCAEESVASVRNIGFQCNGTATSATEEKMQDEKNEVLNFPVDTVSKIDNLVSEPIGDQIRSPGLFSTGATFIEALPLAAEEGLGILEGDFLELDDLVCPLLGID >itb10g22970.t2 pep chromosome:ASM357664v1:10:27575409:27578142:-1 gene:itb10g22970 transcript:itb10g22970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] METADSPPDCADNVVSDEQATESNNNVPDNGHSSPPQTTSEPAVSDSQPEQVPAPEQQLPAGPPPVKRRRRRKRFFTDMISTAPSAAAVSGLRVRRPNPKPSAGYAYSETDFAAGEEDLSKNPHRRKRVSDLAKEVDIEALIAISVGFPVDSLTEEEIEANVVSQIGGDEQANYIVVRNHILARWRSNVSVWLTKDHALESIRAEHKNLVNSAYNFLLHHGYINFGLAPAIKEAKLKPPEGVSKGTVIVVGAGLSGLVAARQLIFLGFTVVVLEGRARPGGRVRSKKMSGGNNGVIAAADLGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPNGKTVNSDIDSKVETSFNKLLDRVCKLRQAMMIEVKSIDVSLGTALETFRSVYKVAEDPQERMLLDWHLANLEYANASLMSELSMAFWDQDDPYEMGGDHCFIPGGNERLVRALAEDLPIFYNRTVESIRYGVDGVLVYAGSQEYRADMVLCTVPLGVLKKGAIEFVPDLPKQKKDAIARLGFGLLNKVAILFPYDFWGGEIDTFGHLTEDSSMRGEFFLFYSYSAVSGGPLLVALVAGEAAIRFEKMSPLEAVGRVLEILKGIFSPKGIAVPDPLQAVCTRWGQDQFTYGSYSYVAIGAAGDDYDILAESVADRVFFAGEATNRQYPATMHGAFLSGMREAAHILRVANRNSIATAEKANNPTQETKDINRLFENPDLRFSRFSVLYDPTSPELESNSLLRVSFELDKIDPDSPLYLYALIPRKQAVELSEVEGDTNRIQKLTLDFGIKLCGWRRICSDDESLVNSIFSSRSQCE >itb10g22970.t1 pep chromosome:ASM357664v1:10:27575409:27578142:-1 gene:itb10g22970 transcript:itb10g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] METADSPPDCADNVVSDEQATESNNNVPDNGHSSPPQTTSEPAVSDSQPEQVPAPEQQLPAGPPPVKRRRRRKRFFTDMISTAPSAAAVSGLRVRRPNPKPSAGYAYSETDFAAGEEDLSKNPHRRKRVSDLAKEVDIEALIAISVGFPVDSLTEEEIEANVVSQIGGDEQANYIVVRNHILARWRSNVSVWLTKDHALESIRAEHKNLVNSAYNFLLHHGYINFGLAPAIKEAKLKPPEGVSKGTVIVVGAGLSGLVAARQLIFLGFTVVVLEGRARPGGRVRSKKMSGGNNGVIAAADLGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPNGKTVNSDIDSKVETSFNKLLDRVCKLRQAMMIEVKSIDVSLGTALETFRSVYKVAEDPQERMLLDWHLANLEYANASLMSELSMAFWDQDDPYEMGGDHCFIPGGNERLVRALAEDLPIFYNRTVESIRYGVDGVLVYAGSQEYRADMVLCTVPLGVLKKGAIEFVPDLPKQKKDAIARLGFGLLNKVAILFPYDFWGGEIDTFGHLTEDSSMRGEFFLFYSYSAVSGGPLLVALVAGEAAIRFEKMSPLEAVGRVLEILKGIFSPKGIAVPDPLQAVCTRWGQDQFTYGSYSYVAIGAAGDDYDILAESVADRVFFAGEATNRQYPATMHGAFLSGMREAAHILRVANRNSIATAEKANNPTQETKDINRLFENPDLRFSRFSVLKQAVELSEVEGDTNRIQKLTLDFGIKLCGWRRICSDDESLVNSIFSSRSQCE >itb09g06880.t1 pep chromosome:ASM357664v1:9:3965173:3967692:1 gene:itb09g06880 transcript:itb09g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCASTAVKGPSYSHPAPNSKHRITSPPLITHPLLEEETVKEVLSSETPTVNVPKLAKPPVDNNGPHKHPPLSPEPENGGDLKKPPAAVTDFNPDDLSEDFSASEICSTLSESLPATTPIEKPQRSPARVRNRAFPGEKSVGNSPSRRFEPSSLGRVRSGTGRDNSARRSPANRPRRENYENAGRRSVSPAMRRENGGARTGLVRSPSVRKTGKSPGRVRSDVGDKNRKLEENRGNKEKWETTNSNDLLENPLVSLECFIFL >itb10g14910.t1 pep chromosome:ASM357664v1:10:21228308:21230067:-1 gene:itb10g14910 transcript:itb10g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSGPVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDQKNVHLMELEGAKERLTLCKADLLDFQSLRQAIHGCDGVFHTASPVTDDPQMVEAAMMGTKNVLMAAAEVGGIRRVVFTSSIGAVYMNPNRAPDKNWYCYGKTVAEQIAWEVAKEKGIDLVVLNPMLVLGPLLQPTVNASVVHILKYMTGSVKTYANAVQGYVHVRDVALAHILLYETPSASGRYICADRILHRSEVVEILAKFFPEYPVPTKCKDEMKPRAIPYKFNNGKIKNIGLEFTPVKQCLYETVKSLQENGHLPVPIQNEDLIQIQV >itb01g13920.t2 pep chromosome:ASM357664v1:1:15053762:15061267:-1 gene:itb01g13920 transcript:itb01g13920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLLGIADVEMAQSGGKNDTGNEPNASYSDANLTDDETQPVDSQCFAKGGDVKGEEAHNWLMMQNTLPVDNLLQCTDGFETQPINLYGETQVLELYDDTQVVEISAETQVVEIGGDTQVVCDHDGVEYINTQLLDECNSEVATDSDCEGSDRTEILYETQEISDDDLKDNAKSMSCLNLQNTSLVEEADSGYKVRSDALNKDKHHSGPLHGGFTSIRIASMRASGLAAQSMAQIRTTGDSNKSNSSLELLTTKQDVPFVSRDFSNVEREVSKAHGWEDNAKHSKDVGNESRCKLGSSAVRRLFTEDTSDEIEKPEDGNNHAENGADLPQMFDSENALAGLSYLDSQEPGDESQANALEIVDRFLKFNAVECGQDIDLGKSVEKSVSVPSAKGTKSLAQRATRSTHEVGGIFDWDDNREDEGGGDFFQKNKDLLFDKKSSTVPRKPRRLDTDCVGKVEDSCKKKKDFSRSNSRVKSNIMRLNNESENPKSRNIFVKELNKQLIDMYDNGVADNKTANDVPDIPDVGLDTQMAAEAMQSLCFGGSLIEHQSITADLQNGSSKSGANNERQLRQSSSKKRIRSTRPATRQSLKTERTVATSVKSSENSKKQCDGELLETKRTRSNVRLQHAQKINRTEELKEESANRASNTDDFDRSLGPPSNGCRSLKKRPFHEQLGSLTPVAHRTRRRIVTNNQKCTGNTSDSSPEEINDLHSASTSDKSLPDDDARKTSTKDEFVTKLGNMDSAMFKSDKMAHPKGIRSRRTRASIARENYNQSNTRSSRTITNGSAPVDLSLRKRRRLTEENLMHKYSENGHAEYPNITDAADDIGGASIPNDVVNAKASKKCTRKSIMQGRKSVDAIKENGVEASPKDQSKTSASPSTTPINCMTPRNEASPICMGDEYRKRSCRRSMSGSSLIREINSLHTVGLPTASSVKDSRRRREMTNVRVLFSRHLDLDITKHQKKILTRLGASLASSMSDATHFVTDEFVRTRNILEAIAFGKPVVTHLWLESCAQACCLIDEKNYILRDAKKEREFGFSMPISLARASQHPLLQGHRVFITPNTKPGTEILAGLVKAVHGLPIERCGRSAMKDVTLADDILVLSCEDDYDLCVPFLEKGKTIYSSELLLNGIVTQKLEYERYQLFTDHFKKTRSTVWMKKNNNQNCPVTKSKS >itb01g13920.t1 pep chromosome:ASM357664v1:1:15053762:15061517:-1 gene:itb01g13920 transcript:itb01g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGGKNDTGNEPNASYSDANLTDDETQPVDSQCFAKGGDVKGEEAHNWLMMQNTLPVDNLLQCTDGFETQPINLYGETQVLELYDDTQVVEISAETQVVEIGGDTQVVCDHDGVEYINTQLLDECNSEVATDSDCEGSDRTEILYETQEISDDDLKDNAKSMSCLNLQNTSLVEEADSGYKVRSDALNKDKHHSGPLHGGFTSIRIASMRASGLAAQSMAQIRTTGDSNKSNSSLELLTTKQDVPFVSRDFSNVEREVSKAHGWEDNAKHSKDVGNESRCKLGSSAVRRLFTEDTSDEIEKPEDGNNHAENGADLPQMFDSENALAGLSYLDSQEPGDESQANALEIVDRFLKFNAVECGQDIDLGKSVEKSVSVPSAKGTKSLAQRATRSTHEVGGIFDWDDNREDEGGGDFFQKNKDLLFDKKSSTVPRKPRRLDTDCVGKVEDSCKKKKDFSRSNSRVKSNIMRLNNESENPKSRNIFVKELNKQLIDMYDNGVADNKTANDVPDIPDVGLDTQMAAEAMQSLCFGGSLIEHQSITADLQNGSSKSGANNERQLRQSSSKKRIRSTRPATRQSLKTERTVATSVKSSENSKKQCDGELLETKRTRSNVRLQHAQKINRTEELKEESANRASNTDDFDRSLGPPSNGCRSLKKRPFHEQLGSLTPVAHRTRRRIVTNNQKCTGNTSDSSPEEINDLHSASTSDKSLPDDDARKTSTKDEFVTKLGNMDSAMFKSDKMAHPKGIRSRRTRASIARENYNQSNTRSSRTITNGSAPVDLSLRKRRRLTEENLMHKYSENGHAEYPNITDAADDIGGASIPNDVVNAKASKKCTRKSIMQGRKSVDAIKENGVEASPKDQSKTSASPSTTPINCMTPRNEASPICMGDEYRKRSCRRSMSGSSLIREINSLHTVGLPTASSVKDSRRRREMTNVRVLFSRHLDLDITKHQKKILTRLGASLASSMSDATHFVTDEFVRTRNILEAIAFGKPVVTHLWLESCAQACCLIDEKNYILRDAKKEREFGFSMPISLARASQHPLLQGHRVFITPNTKPGTEILAGLVKAVHGLPIERCGRSAMKDVTLADDILVLSCEDDYDLCVPFLEKGKTIYSSELLLNGIVTQKLEYERYQLFTDHFKKTRSTVWMKKNNNQNCPVTKSKS >itb02g08040.t2 pep chromosome:ASM357664v1:2:5017686:5020143:1 gene:itb02g08040 transcript:itb02g08040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METTPQSHRSEPRSRLKSASRLSKLRCSDDHEIPHLDMAPSPPPSASSPKHHYSLPVRDLLLLSPSLLRKSRTRLAEKLEIGEDGVELSGGRRRSRNGNAGGGAVLGCASPRNHRRRSRRRLELEMMREDKDLGVGEEMIKRKKRHSGRSKKDKLGLVPISSPKSSECEGEGVNLDRIGEMVNDLVMWRDVARSSLWFGFGSICFLSSCFASGVSISIVSLVSQLGLLFLVVSFLSNSIRPRGNVEVKCDIQLKEDDILRMGRMILPTANLAILKTRELFSGEPAMTLKVVPFLLVGAEYGHLLSLWRLCAFGFFMSFTAPKLYSSYSSQICRKAGEYLKCRVMESWGACSHKKTIAASALTAFWNLTTARTRIFAAFICFVVIRYCRQRSEANMEEEIKLPEDKDEPEDGKALIVFE >itb02g08040.t1 pep chromosome:ASM357664v1:2:5017686:5020143:1 gene:itb02g08040 transcript:itb02g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTPQSHRSEPRSRLKSASRLSKLRCSDDHEIPHLDMAPSPPPSASSPKHHYSLPVRDLLLLSPSLLRKSRTRLAEKLEIGEDGVELSGGRRRSRNGNAGGGAVLGCASPRNHRRRSRRRLELEMMREDKDLGVGEEMIKRKKRHSGRSKKDKLGLVPISSPKSSECEGEGVNLDRIGEMVNDLVMWRDVARSSLWFGFGSICFLSSCFASGVSISIVSLVSQLGLLFLVVSFLSNSIRPRGNVEVKCDIQLKEDDILRMGRMILPTANLAILKTRELFSGEPAMTLKVVPFLLVGAEYGHLLSLWRLCAFGFFMSFTAPKLYSSYSSQICRKGEYLKCRVMESWGACSHKKTIAASALTAFWNLTTARTRIFAAFICFVVIRYCRQRSEANMEEEIKLPEDKDEPEDGKALIVFE >itb01g12260.t1 pep chromosome:ASM357664v1:1:11669044:11673996:-1 gene:itb01g12260 transcript:itb01g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSEGGDFPPMEMASSAVDLPAKKLARQLDFTGFGGGGASPAAVLPDQPPLTAKPSQQMLQKPSMRFLKPESPRARSRQNASEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNIENEAAKREAVEAILERNPNAFRPKIASSPLGVRDKDEARDGLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCLDCKNFEGGEERRALFHGDHANNVAYLQQAANAAITGAIGASGYGSPPVNKKRKCQELYFGSMTKNPVHELGQFLQPNHIKSFVPPSKVSSIPAAHVGKAAALGHSKFTYRSLLADVIQPLDMKDLCSVLVYYSREAAKILADETKAREKQAREWKETSLASSSQEQFENKKDSVEKPLHDDCSSLDHVEKPSPDEPNLDGSDVSKRRPMSPGTLALMCDEVAASPTELSNLGCKTASQSLHGQGVTEVYAEQERVVLTKFRDCLNKLITLGEIKGKNKMFFNGTGFSVSIPKGCDLQWHRCS >itb01g12260.t2 pep chromosome:ASM357664v1:1:11669382:11673996:-1 gene:itb01g12260 transcript:itb01g12260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSEGGDFPPMEMASSAVDLPAKKLARQLDFTGFGGGGASPAAVLPDQPPLTAKPSQQMLQKPSMRFLKPESPRARSRQNASEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNIENEAAKREAVEAILERNPNAFRPKIASSPLGVRDKDEARDGLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCLDCKNFEGGEERRALFHGDHANNVAYLQQAANAAITGAIGASGYGSPPVNKKRKCQELYFGSMTKNPVHELGQFLQPNHIKSFVPPSKVSSIPAAHVGKAAALGHSKFTYRSLLADVIQPLDMKDLCSVLVYYSREAAKILADETKAREKQAREWKETSLASSSQEQFENKKDSVEKPLHDDCSSLDHVEKPSPDEPNLDGSDVSKRRPMSPGTLALMCDEVAASPTELSNLGCKTASQSLHGQGVTEVYAEQERVVLTKFRDCLNKLITLGEIKETRCSSMARASVSVYQKDVICNGTAVAETRNLQVPHTNGVVTKSAIQPFQRQ >itb01g12260.t3 pep chromosome:ASM357664v1:1:11669044:11673996:-1 gene:itb01g12260 transcript:itb01g12260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSEGGDFPPMEMASSAVDLPAKKLARQLDFTGFGGGGASPAAVLPDQPPLTAKPSQQMLQKPSMRFLKPESPRARSRQNASEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNIENEAAKREAVEAILERNPNAFRPKIASSPLGVRDKDEARDGLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCLDCKNFEGGEERRALFHGDHANNVAYLQQAANAAITGAIGASGYGSPPVNKKRKCQELYFGSMTKNPVHELGQFLQPNHIKSFVPPSKVSSIPAAHVGKAAALGHSKFTYRYKNISSVYVYFFSVNSLSALLPKVPVSRRNPTARYEGPLLGIGLLFKGSCQDTCR >itb01g01200.t1 pep chromosome:ASM357664v1:1:608822:609608:-1 gene:itb01g01200 transcript:itb01g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAATITQTSFAQPACESDCIKEFLDVHNAAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVAESVKG >itb15g01780.t1 pep chromosome:ASM357664v1:15:1053735:1057727:-1 gene:itb15g01780 transcript:itb15g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHLSSELPAEAFWWSKQPEYIVESSRKGVRFDLNDDEEPEEQLTEERDQVMVPKEHLFEKPLTPSDVGKLNRLVIPKQHAEKYFPLTGQDSAAAAGGGEKGLLLSFEDESGKLWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDVVLFQRHRLDGDRLFIGWRRRSAGAAAAAAGVHEGGAVGPPGTVVGGGWGQVYYPYPPAALPFQSPDSLHAGGSMGQSQTPSSGSSRTLRLFGVNLECQPAVDEAEPETPDGSTTSSQGQTGHHYLYQYYSNPLASYNNHYTHMQDLNFSRDVNQMRYQQG >itb05g01930.t1 pep chromosome:ASM357664v1:5:1496595:1497597:-1 gene:itb05g01930 transcript:itb05g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNFHSAKTHSFPDFNPPKSQSNPFLAALAVSGEETQRRRCRRHRVFAVSPPCSRQLTHRSSDQPRRDVNPAELERWMKGSVEEIVRNLEGGSAAAAAAALVVEVYAGDGEARFKAEKAVADEWAALREELERKCPDGLIFVEELCDGGDGGGDRCWGIVIQGRDCAAPVCYLLKTCRAAAAAGVELSCTHFCLVKVKSFRESAFGQFMNSWLAK >itb03g20110.t1 pep chromosome:ASM357664v1:3:18016748:18019870:1 gene:itb03g20110 transcript:itb03g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELSLDCKPQHSYSMLLKSFGDQQQTTTTDHHQTSQKLEEFLARLEEERLKIDAFKRELPLCMQLLTNAMEASRQQLQSYRANNEGGTTRPVLEEFIPLKNSSSSCDNNRGAEKMAAANMASDKANWMTSAQLWSDQGNELGAGGKKQQPSSIGVITSSPKENEIIGFSMSPKVGNLIEKRNNNNNNNGGGGGGGGGGAFLPFSKDRSSPAPTLALASSCEDNIEADKKNNNVSEIIIVNPPPSNKANNHSGGDASSMSQADGQTTSATAAATQPQRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPVPSPQTAAAGAPQLVVLGGIWVPPEYATAAATHGGAPAAVAAATLYGAHPSVHAHAASQQHFCATAAPQVAHHEFYAPPPPPLHQLHQPLLHHHHHQLHMYKPPSHAHTHSSPVSGDRSESIEDGKSSASGGSWKGDSGSENGGGERKVLVLREEGEVGNNGTEISLKF >itb12g08350.t1 pep chromosome:ASM357664v1:12:6522509:6541366:-1 gene:itb12g08350 transcript:itb12g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEATPLDSSTCINKFEDLEFMWGKKRGVGGKRKEVQFYESFTYDGVQYALYDCVYMHTKSGGEPYIGKLIKIWENGDKSKKVKVQWFFRPSEIMYHLKDEKVMVNEIFLASGEGIGLSNVNPLEAIAGKCNVLCISKDSRNRKHSEEDLKNADFVFYRVFDVGRCTLDMIGNKVGGLEVKFVFNRNESEMASDVLKLPSDQKEDKCANVMVNRQKSSSDLKIGKGDGNSSGIVLKKNGREVKHGDQNVLSSELGSLNKTKSIAVEPIEDNKVTTKALKDPCGLGKDVKSAKYLVDRPSKKAKIDISTKISEDKNKSNVQEPNAWYGENEDKVLPKAVNSSVSKSSARLDNNSNSKISGPYDRKSLVKSAPPAAVTTQTKAGHVKHSREMGKDNKSEKESIVVEERTSKKAKFDTFDKVSEDGKSNVQKRSIKHGEHATKASLNATNSFKERAIALKSSSGLDEGLPKAKSSGKPNKLSNSNALPLGDAYTNKNQEFEGKSFEATPRHVAEPVTWFKELSWEERMQTANDQGRLVLLQNLDPGFISAEVEDIIWHALEEKCSTKMFQRTASSSSNSGQALAIFRTREAAERVIKRLNNKCLMVSNERPLVADIVALPKSERSSAPSFAGHIFIDRVRHQMQREMREAVSTSHCSQPNTIEYEMAMEWRLLQSRSDFWWKMLFEQQRRDRRRIATKLKSK >itb10g08200.t1 pep chromosome:ASM357664v1:10:10281095:10281664:-1 gene:itb10g08200 transcript:itb10g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGASTPFLWAFEEREKLLEFYERVSGARMHASFIRPGGVAQDLPLGLCRDIDSFTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGSGVCWDLRKAAPYDVHDQLDPDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCLNQMPSGMIKSDDRKLCPPSRSRMKLSMESCAV >itb04g23660.t1 pep chromosome:ASM357664v1:4:28635135:28635997:1 gene:itb04g23660 transcript:itb04g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPARLLAACLAFFLGVAPAIGDSLTAYEILQEYDFPVGILPTGVESYELNRNTGKFAAYFGGSCSFTIKGYNLKYSKKITGTISQDRLSNLSGVQVKILLFWLNIVEVTHKGDNLEFSVGIASAGFGIDNFYESPQCGCGFSCVNKNTSNLRTLVSSS >itb03g06010.t1 pep chromosome:ASM357664v1:3:4257206:4257723:1 gene:itb03g06010 transcript:itb03g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAIVFTRQRQTAALGDDGSGLSGDLSAFSRRSPARSETALAVSTAGGPWQRRNLCRICVSGDSNPGVMAPTLFVGGTAQPPRRRCCSAASSGSTPASLLFFDC >itb12g19310.t1 pep chromosome:ASM357664v1:12:21683787:21687523:1 gene:itb12g19310 transcript:itb12g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKVLQRDKDSEKILWDQVKSPSSGTPRSISGSQNRAMAKFMVWLILFVSATYVVYTLKLVSSSKACNDDVFPHRHSAALPIRRQINSTVEPYAALGETGIRKSEKTGLEHIVFGIAASAKLWDKRKNYTKLWWKPEEKMRGIVWLDKAVKKAKGEDSSLPELRISEDTSRFAYKNRQGHRSAIRISRIVSETLRLGMENVRWFVMGDDDTVFVTDNLVRILNKYDHNQFYYIGSSSESHLQNIYFSYSMAYGGGGFAISYPLAKALDKLQDRCIQRYPGLYGSDDRMQACMSELGVPLTKELGFHQYDVYGNLFGLLASHPVTPLVTLHHLDVVEPIFPNVTRVQALQRLLIPANLDSAGIMQQSICYDKARSWTISVSWGFAVQIFRGVLSPREIEMPSRTFLNWYKRADYTAYAFNTRPVMRNPCQRPFVFYLSSAKMDPLGNQTVSKYTRHRVPHPACKWKMAQPSEIEKIEVYKKPDPHLWDRSPRRNCCRVLKSEGKNMVLDVGVCREDEVSEI >itb04g29240.t1 pep chromosome:ASM357664v1:4:32685193:32690431:1 gene:itb04g29240 transcript:itb04g29240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKEAIARQLIELANEISAISDFRSTVKKQYCNLARRLKLLTPLFEEIRDSKEPLPEASLKALLSLKAALESAKDLLKFGGEGSKIFLVLERDQITNQFQEVTNQLEQALGEISYENLDISDEVKEQVELVLLQFRRAKARADSPDDELYEDLLSLYNGNSDTAVDPAVFRRLVEKLQLAGINDLTQESLALHEMVTATGGDPEETIEKMSMLLRRIKDFVQTENPDIISSAKEVSSSCGQASTEGSHKTPAIPDDFRCPISLELMRDPVIVATGQTYERSCIEKWLEAGHTTCPKTQQPLTSNTLTPNYVLRSLITQWCEANGVEPPKRPGSSQSCQTTSACSTAEGSKIETLISKLRSGNPEDQRTAAGEIRLLAKRNADNRIAIAEAGAIPLLVNLLSTPDSRTQEHAVTALLNLSICEDNKGAIISSGAVPGIVHVLKKGGMEARENAAATLFSLSVVDENKIIIGGSGAISPLVTLLNEGSQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMQLLTEPQGNMVDEALAILAILASHPEGKVAIGGAKAVPVLVGVIANGSPRNKENAAAVLVHLCSGEQHVVEAQELGIMGPLLDLAQNGTERGKRKAAQLLEKINRFAEQQNPAQTVAPPAETPAQTENQLQSRPPASTATVDG >itb08g04040.t1 pep chromosome:ASM357664v1:8:3232139:3234206:1 gene:itb08g04040 transcript:itb08g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTEVKTAVERREILGPAGNRVREVEEQQKKKDGVKKAERGKKLGAESPKPGPVVVRSTGAVDRSAASSCGGSTLKKLNSKGRVNGNANKPAKVVPDGAVAMSPPMAPVPLKRCDWITPNTEPHYACFHDEEWGVPVHDDAKLIELLVLSQALAELTWPVILDRRDALRRLFNSFDPSYVANLDEKKLIANGNRLLSEAKLRAIVENAKQLLKIQSEFGSFSNYCWRFVNHQPLRGRFQYARQIPAKTPKSEFISKDLMRRGFCCVGPTVIYSFMQAAGLVNDHLITCFRYNECSSNSVVKSKPMLEKGVARGEPEEKTGTAKQSLNM >itb04g27640.t1 pep chromosome:ASM357664v1:4:31612054:31623654:1 gene:itb04g27640 transcript:itb04g27640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGDGARSKSRPSSSSLAASLLPQGATTVGFGGFVGSSRVESSLPSEDAAPFLDVDSEVAQHLKRLARKDPTTKLKALTSLSQLFKQKTAKEIVPIIPQWAFEYKKLLLDYNREVRKATHETMTNLFNVVGRDLAPHLKSLMGPWWLSQFDSAIEVSQAAKRSFQAAFPTHEKRQDVLILCISEIFIYIEENLKLTPQSMSDKMTAQDELEEMHRQVISSSLLALATILDIVVSMLSERPVSEAESKRVMKARGIAISYAEKLFSAQKYFLEFFKSQSPAIRSAVYSVIRSYIKNVPSVINETNIKNLAPVILGAFQEKEPLCHSSMWEMILLFSKTFPNSWTNLNVQKNVLNRFWQFLKSGCFGSQQASYPALILFLDVIPPKAVVGQKFLLEFFQNLWAGKSFCHSSIDRQALFHAIRECLLWVFRNASRYCDKEGAISNFHGSLTDQILLKLLWHDYLLFENSKDKDESSSDSSFNQGSTLVLNTNSSVGYLQDLGNCIIEILSGINSLEHNMLLLFSSAFQETCLGIFQLPESSIKDVEQIKRVTEFLLLLDQQVVRKGESWPFSDLVGPTLVKCFPLIKKHDSPYAVRFMVASVYIFGPHKIVEELLCTKLGKEEFLHAFNETFIPWCLLDHSPSIGSRLDLILALLDDQCFSEQWDSIIVHATNLEQLKNTDKNLDFDNISVLAMLLEKARERIQSICYQQGLSAAHWHHKLLDSTAIAVAREFPSFGAGSARFVCAALGGLVGDGGTSFLSRDATIIIFEEILRKLMIFVKDSSFTWVKDAYSLITIKQNESEMGFESSMNVLDMAHFALEVLDVSFFWLKLLADEGWLVSGILSAVFVIDWECSLVTVCHDEFGEDNKQNIKTRLSSCKSVNVTRSHIDCQFLRSLGTSIQNNLENILIQSVRNAVLKEDNLDLEKITSLCCNWIGELLECLCQEQSEEQKLFDKLLLQSDSWPKWVLPNFNSGERTACLKCENVSFNAQASEDHRLVSLIDKLVSKFGFHRFIGGSVLPSCSTELAHTELTTTDSHYSRAWLAAELLCTWNWPSGSALSSFLPSLITYVKSESYSPEDGLLDSIVTILLDGALVRGGSCGPSLCILWPITLDEVDSLAEPFLRAHVSLLLTLFEENIWEKDKAIFYFKLLLTKLYIGETINANCLKILPSIVDVLIRPLSIIFEQDDVIKQPDSSKGSEVQEVLMDWLKRTLLFPPLNVWLTGEDMEDWFHLVMSCYPIRGVRGEKRLSTERRVSSEEKMLLIELYRKQRLNSGASSSVNKLPVVQILLSKLVLVSVAYCWQEFDEEDWDFALYHLRRWIELAVVMMEEVAENVNDAIAHTSSSSNLEMTLEMLESTVSVRDPIPLKLARNALVGFSLFCEVIELQKKEHEENSHSLIDKWEIVVDRILEGILRLFFSTAAAEAIASSYCAEAYSIITSSRLYHSKFWDLVASHVVKSSSHVREKAVKSVEIWGLSKGPINSLFVLLFSPKPLPSLQFAAYVILSTEPVSHLAFGTLRKESSSDEDASNNQDYRSPEPFAEENIHLREEISSKLENFPTEVLEMDLLSSERINVFLAWSLLLSHLVSLPSSSPSREKIVQYIQDSASSTILDCLFQHIPLEFCAPSAVKKKDLELPASVSEAARAATRAITCSSALFALESLWPVGPEKIAMLAGAIFGLILQTLPAYVRGWFGDIRDRSTSSAVEFFTKSYCSPPLIANELSLIKKAKFVDENFSVSVSKSANEIVATYTKDETGMDLVIRLPASYPLRSVDVDCTRSLGISEVKKRKWLMSMMSFVRNQNGALAEAIQIWKSNFDKEFEGVEECPICYSVIHTSNHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >itb13g07710.t1 pep chromosome:ASM357664v1:13:9691429:9692760:-1 gene:itb13g07710 transcript:itb13g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENSQKQHETSSSSSAVTHYCKVCKRRFHCAGALGGHMRSHGVGDHPDKPHLEDDYVVKSYRSKPQAEGQKHSYFLRTNTNRFVSNRGGGGGGVKDHDQYQDHRDNTMSSSPSRGDGEYCASREEEDLANCLVMLSSNGSFVSSTYEEDENHNLPEGNKAKEMEINNNNNNNNNNNNKGLFQCKACKKVFNSHQALGGHRASHKKVKGCYAAKLNNINNNNNNSDDNDYYLDGGASVGPQHSDYSLPSPPPPAAAALSRKRSRVHQCSICHRIFSSGQALGGHKRCHWLASGMTEATLIQNFQEIAAYDHSKSPPLIFKNPAFMATPPPHPSPLDLNFPPLLSQPAGDISKAAARKPETPTRSGYMKLWGTEELSTINTKTTTNTNYHNHHQNSRNNIKFADEKPKMKSPKLSDLKDLKLDGGSASGWLQMGIASSTPALI >itb04g07680.t1 pep chromosome:ASM357664v1:4:5259720:5266675:1 gene:itb04g07680 transcript:itb04g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLNFFVSSSSRSAATLPVSKPSLSFSSAAAAALRLPAKISGRGSIARASTSDLDSSSSVLVSHNGAGAGVAPAATREHGFGDALAAAIEVDAVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVAGMNVARINMCHGTREWHKMVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAFESSQPERTINVNYDGFAEDVKVGDDLLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGKLVRERNAMLPTVSSKDWLDIDFGITEGVEFIAVSFVKSAEVINHLKSYIKARARDSDIAVIAKIESIDSLKNLEEIIQVSDGAMVARGDLGAQIPLEQVPSEQEKIVQLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVRQRADALMLSGESAMGQYPDKALTVLRTVSLRIEKQWREQKQHEAMELPSIASSFGDSISEEICNSAAKMANNLGVDALFVYTKDGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKARGMIKSGDLVVAVSDMLQSIQVMNVP >itb14g15580.t1 pep chromosome:ASM357664v1:14:18894609:18896301:1 gene:itb14g15580 transcript:itb14g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTASPSAGEQTSAQTSTRPPSVALSSSGQVVGRQTSAPACTRPWIASPSAGEQTSAQTCTRLPSDSLSSSSGQQVAGQQTSAPSKSSPVVGESPRRLASISLVPAGMQTPSPVRMRSPPIGLSSGGQTVVGQHTPAPSRPPSMVGKSPRIPSRPPLISSITPSSGNPRLWCEIRAPAPHLQSFRPSMSASTAGPSPIPSARPNLSSYSSSLNHVPIRPGVMSSQNPQHKEQPLSLQQPPASISDSLSALELHTNLDNQPNPNRPRQSGWPSLSTPANMSSSSSPSLNHFKTVPLVLDSSQLLQKMDHPLPHPQNQPSEPDNRPLSAVNILRLSATELLKNLDNHCRNFDNQASATQPKQSGGPGNCIAANSSSSSPSLNHVPSQQPSALDSSKLSQDQEQPQPLGANDFNPQNQPAVNILPFSALELLRNVDNQATASANQPND >itb10g01900.t1 pep chromosome:ASM357664v1:10:1537333:1538259:-1 gene:itb10g01900 transcript:itb10g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNFSEEEKWWGDNHLEQINGFWFMPMLIPRIHRVLAEFNPRPSDVILSSFPKTGTTWLKSLLYSIINRSSLDSLVNNNPRDLVPFLEIQVYGDQESSDSSTYLSSEDTPRIFSTHIPYQLLGKTLESSGCRVVYVARNPKDTLNSLWHFANKWEMAVEGPWELEEAVEKFVSGVVPDGPYYEHVLGYRMAGLNNPDKVFFITYEELMDDTKTHVKKLAEFLGCPFVEEEDKKVEEIVKCCSFGVLKNHEVNKSEDYTTWAPTPYTSFFRQAKVGDYRNHLSDDAIKRIDARTEEKFYKSGFVYGI >itb01g07260.t1 pep chromosome:ASM357664v1:1:5478847:5483432:-1 gene:itb01g07260 transcript:itb01g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSWGCLLFVLLLATSIDGGKGSSSVDPNAQQKLDAVLDLPGLGFNVSFEQYSGYVTVNQESGRALFYWFFEAVEDPSSKPIVLWLNGGPGCSSIAFGLGEEVGPFHVEKDGKTLYWNPYSWNLAANLLFVDSPVGVGYSYSNTSSDILTNGDKRTAEDSLEFLLNWFERFPQYKGREFYITGESYAGHYVPQLSQAIVKHNANAKDIVINLKGFMVGNALTDDYHDHLGLFQFMWASGLISDQTFKQANLLCDHQSFVHPSEECDKVLDTASDELGNIDTYSIFTPTCTESFSLMSRVLKRSDKKVGLLRRIYDPCTERHSIIYFNLPEVQKALHVHITNSSFKWVTCSDEVYDFWKDSPTTVLDVYQELISAGLRIWIFSGDTDAVIPVTSTRYSIDALKLPTVSPWRPWYDNGQVGGWTQEYEGLTFVAVRGAGHEVPLHRPKLALTLVESFLAGTSMPGLRISDW >itb03g18720.t1 pep chromosome:ASM357664v1:3:16960923:16962536:1 gene:itb03g18720 transcript:itb03g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAFRKYSEYLYTKWERTILWDMVEPYRRPRSFTPLVTIYICAFYTGVVGAAITEQLYKEKYWEDHPGEMVPFMKPMFYGGPWRVMRGDVPPTGKFEL >itb11g03540.t1 pep chromosome:ASM357664v1:11:1888603:1891995:1 gene:itb11g03540 transcript:itb11g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMPVGFRFYPTEEELVSFYLGNKLRGERPDIDLVIPVVNIYLHRPWELPLMEDMPVGFRFYPTEEELVSFYLGNKLRGERPDIDLVIPVVNIYLHRPWELPQLAGVASYGDDEQWFYFMPGPENIARGGKPNRLTTEGYWKATGCPSLVFSINNRVIGEKRTMVFYTGRAPNGTKTEWKINEYKAVHGEASASAPLSDLELRQEFSLCRLYKKPKCDRAFDRRPLGVTTVRRRIAQQPPPQDSVQTAAMILPQQDNNPPANNMSGAGNSSPASGDAVIPSNNAMGTQVFWDNLTPIWDWEDCFNLL >itb09g07050.t1 pep chromosome:ASM357664v1:9:4085974:4088880:-1 gene:itb09g07050 transcript:itb09g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPIATTTILHCSNTSPSSSFVPKTPQVSINRKSPSRLHVSCNAGKDDDNQSSSSLGKFDRRNVLIGLGGLYGAASLANPFAFAAPINGPDIYKCGPADLPEGADAVNCCPPTAAKIIDFDLPPPPATLRVRPAAHLADKAYIEKFTRAIDLMKALPADDPRNFMQQANVHCAYCDAAYDQLGFPNLELQVHNSWLFMPFHRCYLYFFERILGKLIDDPTFAMPFWNWDNPAGMKMPAFYTNRNSPLYDPLRDAKHQPPTIIDLDFNGTDSNISNEQQLSQNLTIMYRQTVSLGRTASTFLGSPYRAGDEPDPGMGSLENIPHGSVHVWTGDRTQPNIEDMGNFYSAARDPLFFGHHSNIDRIWSIWKTIGGRRQDFTDRDWLEASFLFYDENAQLVRIKVRDCLDTRKLGYVYQDVATPWLNSRPTPRRNRILSKAKKLVEAKAADAAPAPEDVFPVTLEKAVRVMVKRPNRKRSKKQKEEIEEILVIEGIELDRDVFAKFDVLINDEHDAEVTAQNTEFAGSFVNVPHRQHKQGTKTKIKTKLRLAITEVLEDLDCEDDEHLLVTLVPRGGSGAVKIGGVKIVLED >itb02g00080.t1 pep chromosome:ASM357664v1:2:66527:68913:-1 gene:itb02g00080 transcript:itb02g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGHWVFAFGVLGNIISFIVFLSPLPTFYQIYKKKSAEGYQSIPYVVALFSSMLWIYYALLKSNATLLITINSFGCVVETIYIGFYIYYASKKARVQTIKMLVLLVIVGFGAVALVSQFLFKGAIVRAQVVGWVCLVFSLCVFVAPLCIVRQVIRTKSVEHMPILLSVFLTVSAVMWFFYGLLLKDINIAVPNVLGFIFGILQMVLYAMYKGKKQQPDDEKQLPQVVIPTVVALEEKQKLPKELTEEQIVDIVKLGSLICSDKINVAAAACCSIQDKMHQGGGAAAAADHIPTKPPQTNAPNLQPPVPLQV >itb14g04240.t1 pep chromosome:ASM357664v1:14:3812346:3821977:1 gene:itb14g04240 transcript:itb14g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDELYMSPPKRPINSSRAELPVQQQMMSGNGMQKLTTGDALAYLKNVKDIFQDKRDKYDEFLEVMKDFKAQRVDTSGVIARVKDLFKGHQNLILGFNTFLPKGYEITLQSDDESQPPPIKKPVEFEEAISFVNKIKTRFQGDDSVYKCFLGILNMYRKENKSISEVYQEVSSLFHEHPDLLVEFANFLPDTSATTQVNRTHILRRDDKIAPIIMGKPAEKRANALHADHGHGLDRSDPEQCRPERRERGFDEKELDKKSAFREDSIAGHFNQGVVDQDLMFFDNIKERLQNSDDYQQLLKCLHTYSGETITKEQLLSLVSALLGGHADLMGGFNEFITRCDNIDGYLTATLNKRSLWNDGKVPRLVKSEDRDRDRDCELEERNQDRDTRERDRLKELHGQKMFSSKDKYIAKPIHELDLSNCERCTPSYRLLPKNYPNPIASQRTEIGKEVLNDRWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTRRVEELLDKINDNTINSDSPVRVEDYLTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWARCRYDFNKVWAEIYVKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEIGETKCKEDDVLLSMATGNRLPVIPHLEFAFPDPDIHEDLYQLIKFSCEEFCTAEQVDKVMKVWNTFLEPMFGVPSRPQGAEDGECIEKECNQVVESIAGAVGDTEGSPASASGTAINSRQSNASKNRDEGPPPENSSSSRVCLADTGDLVKDNGSRGVDISAGRIDTLCNPSHLGKSPSRPSGANMVDATSAEQLAHLSATAGKETAGRIGRENTSALQNLPSLTRLCATGVPPRASDLAVDSGHEIRTWKEPKEVSFTKAVQDGNEAKKSHEHSVEKLKIEREEGELSPNDDFEDNFGASNESEVETAHTTKDTTTNKQYQTGDREETCGRVAGGENDADADDEGDESAQRTSEDTGNASEHGDVSGSESADGEGSHDEPDEDGDHDENENKAESEGEIEGMDDVHDTEGDGVPLPLSVRGIQTAKPLTMLVPSSLHDKDGLRIFYGNDSFYVLFRLHQTLYERMHKAKLHSSSVENRWRVSNDANPTDIYARFMTALRNLLDGSSDNAKFEDDCRAIIGAQSYLLFTLDKLIYKLVKQLQTIATDEMENKLLQLYMYEKSRNSSVSFDVVYHENVRVFLHDENIYRIQCSSSPAQLSIQLMDYGYDKPEATGVVMDPNFAAYLSNDLLLCAPEQKGIPGVFLKRNRQKYGSRDETSATLEAIAGLKVLNGLECKIACKSLKVSYVLDTEDSMFRMKKRRRTLHQSVPFNSHPNSLNGSH >itb11g10200.t1 pep chromosome:ASM357664v1:11:6929329:6934105:1 gene:itb11g10200 transcript:itb11g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFLQSPYPHSLFVSSSSSSSSSSSHSSLLSPSHSPFFFSPRNARNGKKLRQAMARMGAETPNNDDSDICNRRLILFAGISVLPLLQLRARALEVSPADISELKATDQKQEVERKIQGGESQSQNPFLSLLNGFGFYGTGVLGALYALARKEKAISDEALESISAKLEEKEATIVSMGKKFESELLKEKEKQNKQQKEANEERQALLNRLNSANITIKNLEREVQKEKKFVEELKVAMDRLQADLRKAGEEKNKLHEELEEKAGTVEVLQEKTNLQSLEIKDKEDRLHKTSTKLAETEARVNELSSSYQRSQSELVGLTSENKELKNEILKIERELESKNAVVDGLEVQLNSLIVERNELNKKLDAIQNDYNNLKSASEKKAASDAKLLDEQQQKLQQLQKQLDIASDEASKSKVIITNLTLEQVDLKKMLDAELDTVKGLKQELQIAQENLEKSRNEVSDLKNELEQSRKLCSGLEAEVSAVRSDFVKTRESLHKTIDEAKRSAELLAAELTATKELLRKTNEEMEIISRELASVSETRDSLQKELVDVYKKAESAANDLKEERNIIANLKKELQAVETQILKDKEVQKSLEADLEETTKSLVEMNQNAMVLSKDLELANSTISGLEDEKEMLQKSVSEQKQVSQEARQNMEDAHTLVMRLGKERENLEKRAKKLGEELASAKGEILRLRSQMNSSKTPVNDQPKQKVEVANKATVSARKYTRRKKSVPEQDDS >itb11g10200.t2 pep chromosome:ASM357664v1:11:6929329:6934105:1 gene:itb11g10200 transcript:itb11g10200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCGQRKIQGGESQSQNPFLSLLNGFGFYGTGVLGALYALARKEKAISDEALESISAKLEEKEATIVSMGKKFESELLKEKEKQNKQQKEANEERQALLNRLNSANITIKNLEREVQKEKKFVEELKVAMDRLQADLRKAGEEKNKLHEELEEKAGTVEVLQEKTNLQSLEIKDKEDRLHKTSTKLAETEARVNELSSSYQRSQSELVGLTSENKELKNEILKIERELESKNAVVDGLEVQLNSLIVERNELNKKLDAIQNDYNNLKSASEKKAASDAKLLDEQQQKLQQLQKQLDIASDEASKSKVIITNLTLEQVDLKKMLDAELDTVKGLKQELQIAQENLEKSRNEVSDLKNELEQSRKLCSGLEAEVSAVRSDFVKTRESLHKTIDEAKRSAELLAAELTATKELLRKTNEEMEIISRELASVSETRDSLQKELVDVYKKAESAANDLKEERNIIANLKKELQAVETQILKDKEVQKSLEADLEETTKSLVEMNQNAMVLSKDLELANSTISGLEDEKEMLQKSVSEQKQVSQEARQNMEDAHTLVMRLGKERENLEKRAKKLGEELASAKGEILRLRSQMNSSKTPVNDQPKQKVEVANKATVSARKYTRRKKSVPEQDDS >itb04g33310.t1 pep chromosome:ASM357664v1:4:35591679:35594065:-1 gene:itb04g33310 transcript:itb04g33310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGDALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQKHIRVGRQVVNVPSFMVRVDSQKHIDFSLSSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDEE >itb12g27890.t3 pep chromosome:ASM357664v1:12:28067938:28073357:-1 gene:itb12g27890 transcript:itb12g27890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYTRVDVRKPSSNYCSTVTIVIFVALCLVGVWMSTSSSVVPIQKLHMPSQEIQSDSKAQVSSKNEYNSGSNENKTSHENSSSEVGKSKQFEDIHTDLPEEASKGDVVTQKENNPSIQETQKHTEQTQEGEIEEKSVVDKNNEWAEKKEEESNENGELMARDKESEAGEKNESKSGGEGLDVNNSNVREKEETSAEEKDGDYKNGKIGERGEDKMDLEGEMSENEPGQGENKEVSNMNKPYPGDAEIEHEETTGEAKGGNKIDKVRDKKDLEGSSEKRASYENFPFVSLSELLNETTTQNGAFSTQATESTNVKEAQKSSESDKESGHSWKLCNVTAGPDYIPCLDNLEAIRNLKSTKHYEHRERHCPDDPPTCLVPLPQGYQTPIKWPTSRDKIWYHNVPHTKLARFKARQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQTLPEIAWGTRTRVVLDVGCGVASFGGYLFERDVLTMSLAPNDEHEAQVQLALERGIPAISAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGALLLELNRLLRPGGYFVWSATPVYQKRRDDVEIWEAMKKLTKSMCWELLTTKKDRRNRAGVAIFQKPTSNECYEQRSRYDPPLCQESDDPDAAWNVPLQACMHKVPTASLERGSRWPEMWPDRLKKPPYWLLSSQTGVYGKPAASDFIVDYQHWKRVVTKSYLDGIGINWSAVRNAMDMRAIYGGFAAALRELNVWVMNIVSIDAPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSNIKTKCSLPALVAEVDRILRPDGKLIVRDNVKTISELENMFQSMHYEIRVTYSKDNEGLLCVVKTLWRPKEAETLTYAIA >itb12g27890.t4 pep chromosome:ASM357664v1:12:28067938:28073357:-1 gene:itb12g27890 transcript:itb12g27890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYTRVDVRKPSSNYCSTVTIVIFVALCLVGVWMSTSSSVVPIQKLHMPSQEIQSDSKAQVSSKNEYNSGSNENKTSHENSSSEVGKSKQFEDIHTDLPEEASKGDVVTQKENNPSIQETQKHTEQTQEGEIEEKSVVDKNNEWAEKKEEESNENGELMARDKESEAGEKNESKSGGEGLDVNNSNVREKEETSAEEKDGDYKNGKIGERGEDKMDLEGEMSENEPGQGENKEVSNMNKPYPGDAEIEHEETTGEAKGGNKIDKVRDKKDLEGSSEKRASYENFPFVSLSELLNETTTQNGAFSTQATESTNVKEAQKSSESDKESGHSWKLCNVTAGPDYIPCLDNLEAIRNLKSTKHYEHRERHCPDDPPTCLVPLPQGYQTPIKWPTSRDKIWYHNVPHTKLARFKARQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQTLPEIAWGTRTRVVLDVGCGVASFGGYLFERDVLTMSLAPNDEHEAQVQLALERGIPAISAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGALLLELNRLLRPGGYFVWSATPVYQKRRDDVEIWEAMKKLTKSMCWELLTTKKDRRNRAGVAIFQKPTSNECYEQRSRYDPPLCQESDDPDAAWYYFFY >itb12g27890.t1 pep chromosome:ASM357664v1:12:28067938:28073357:-1 gene:itb12g27890 transcript:itb12g27890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYTRVDVRKPSSNYCSTVTIVIFVALCLVGVWMSTSSSVVPIQKLHMPSQEIQSDSKAQVSSKNEYNSGSNENKTSHENSSSEVGKSKQFEDIHTDLPEEASKGDVVTQKENNPSIQETQKHTEQTQEGEIEEKSVVDKNNEWAEKKEEESNENGELMARDKESEAGEKNESKSGGEGLDVNNSNVREKEETSAEEKDGDYKNGKIGERGEDKMDLEGEMSENEPGQGENKEVSNMNKPYPGDAEIEHEETTGEAKGGNKIDKVRDKKDLEGSSEKRASYENFPFVSLSELLNETTTQNGAFSTQATESTNVKEAQKSSESDKESGHSWKLCNVTAGPDYIPCLDNLEAIRNLKSTKHYEHRERHCPDDPPTCLVPLPQGYQTPIKWPTSRDKIWYHNVPHTKLARFKARQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQTLPEIAWGTRTRVVLDVGCGVASFGGYLFERDVLTMSLAPNDEHEAQVQLALERGIPAISAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGALLLELNRLLRPGGYFVWSATPVYQKRRDDVEIWEAMKKLTKSMCWELLTTKKDRRNRAGVAIFQKPTSNECYEQRSRYDPPLCQESDDPDAAWNVPLQACMHKVPTASLERGSRWPEMWPDRLKKPPYWLLSSQTGVYGKPAASDFIVDYQHWKRVVTKSYLDGIGINWSAVRNAMDMRAIYGGFAAALRELNVWVMNIVSIDAPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSNIKTKCSLPALVAEVDRILRPDGKLIVRDNVKTISELENMFQSMHYEIRVTYSKDNEGLLCVVKTLWRPKEAETLTYAIA >itb12g27890.t2 pep chromosome:ASM357664v1:12:28067938:28073357:-1 gene:itb12g27890 transcript:itb12g27890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYTRVDVRKPSSNYCSTVTIVIFVALCLVGVWMSTSSSVVPIQKLHMPSQEIQSDSKAQVSSKNEYNSGSNENKTSHENSSSEVGKSKQFEDIHTDLPEEASKGDVVTQKENNPSIQETQKHTEQTQEGEIEEKSVVDKNNEWAEKKEEESNENGELMARDKESEAGEKNESKSGGEGLDVNNSNVREKEETSAEEKDGDYKNGKIGERGEDKMDLEGEMSENEPGQGENKEVSNMNKPYPGDAEIEHEETTGEAKGGNKIDKVRDKKDLEGSSEKRASYENFPFVSLSELLNETTTQNGAFSTQATESTNVKEAQKSSESDKESGHSWKLCNVTAGPDYIPCLDNLEAIRNLKSTKHYEHRERHCPDDPPTCLVPLPQGYQTPIKWPTSRDKIWYHNVPHTKLARFKARQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQTLPEIAWGTRTRVVLDVGCGVASFGGYLFERDVLTMSLAPNDEHEAQVQLALERGIPAISAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGALLLELNRLLRPGGYFVWSATPVYQKRRDDVEIWEAMKKLTKSMCWELLTTKKDRRNRAGVAIFQKPTSNECYEQRSRYDPPLCQESDDPDAAWYYFFY >itb12g27890.t5 pep chromosome:ASM357664v1:12:28067938:28073357:-1 gene:itb12g27890 transcript:itb12g27890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYTRVDVRKPSSNYCSTVTIVIFVALCLVGVWMSTSSSVVPIQKLHMPSQEIQSDSKAQVSSKNEYNSGSNENKTSHENSSSEVGKSKQFEDIHTDLPEEASKGDVVTQKENNPSIQETQKHTEQTQEGEIEEKSVVDKNNEWAEKKEEESNENGELMARDKESEAGEKNESKSGGEGLDVNNSNVREKEETSAEEKDGDYKNGKIGERGEDKMDLEGEMSENEPGQGENKEVSNMNKPYPGDAEIEHEETTGEAKGGNKIDKVRDKKDLEGSSEKRASYENFPFVSLSELLNETTTQNGAFSTQATESTNVKEAQKSSESDKESGHSWKLCNVTAGPDYIPCLDNLEAIRNLKSTKHYEHRERHCPDDPPTCLVPLPQGYQTPIKWPTSRDKIWYHNVPHTKLARFKARQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQTLPEIAWGTRTRVVLDVGCGVASFGGYLFERDVLTMSLAPNDEHEAQVQLALERGIPAISAVMGTKRLPFPGRVFDIVHCARCRVPWHIEGGALLLELNRLLRPGGYFVWSATPVYQKRRDDVEIWEAMKKLTKSMCWELLTTKKDRRNRAGVAIFQKPTSNECYEQRSRYDPPLCQESDDPDAAWNVPLQACMHKVPTASLERGSRWPEMWPDRLKKPPYWLLSSQTGVYGKPAASDFIVDYQHWKRVVTKSYLDGIGINWSAVRNAMDMRAIYGGFAAALRELNVWVMNIVSIDAPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSNIKTKCSLPALVAEVDRILRPDGKLIVRDNVKTISELENMFQSMHYEIRVTYSKDNEGLLCVVKTLWRPKEAETLTYAIA >itb04g32100.t1 pep chromosome:ASM357664v1:4:34795966:34806396:-1 gene:itb04g32100 transcript:itb04g32100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWKPRDNAVESYKRACKIFIFETDPIRIWDFSGQTASFFSISNQSNDKDLKDSPRQLEQDMLLELQVYGLSDSVRNRAKKNEIGVQYSNGTSYLMNGSASLIRSPCETGTLGLTGLQNLGNTCFMNSAVQCLAHTPKLVDYFLGDYKSEINYDNPLGMNGEIASAFGDLLKQLWAPGATPVAPRTFKLKLSHFAPQFSGFSQHDSQELLAFLLDGLHEDLNRVKCKPYVEAKDGDGRPDEEVADEYWKNHRARNDSIIVDVCQGQYRSKLVCPVCRKVSITFDPFMYLSLPLPSTSMRSMTISVMKSYGDIQLSVVTVNVPKDGKYSDLISSLSTACSLGADETFLVAEVYNSRIIRYLGGSADSLSLIRDGDRLVAYRLLKSSGEDPLVVFTHQQTEEHYISGKLTPGWKMFGIPFVASCRVENGCDLRNLYLRLLLPFIVHNEDFVGKSDKVENGATEVLAEMEATSPRLVGLSENTDAENIDAKLDSDFEFLLTDEKGMLSNSAIVMNEPLKFSDMPERLNVLVCWPDKMLEQYNRSAFSSLPEVFKSGFFTKRPQESVSFYKCLEAFLKEEPLGPEDMWYCPGCKEHRQATKKLDLWRLPEIMVIHMKRFSYNRFLKNKLETFVDFPIHDLELSSYIAHQDGQSSCRYMLYAISNHYGSMGGGHYTAFVRQGGEQWYDFDDSHVSPVNVEKIKTSAAYVLFYRRVEENHIEEMNKTIIRC >itb04g32100.t2 pep chromosome:ASM357664v1:4:34795966:34806396:-1 gene:itb04g32100 transcript:itb04g32100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSEDSEEQTQQQQTESNGNDDQQVYFVPFRWWKEAQDTASADGKKGTLYTASPGPSYGGPMKIINNIFSSDVAFNLRKEDDPSLQTCDNGEVGVSGRDFALVPGDMWIQALKWHSGSKTPAKNSKSFSTSDDDMTDVYPLQLRLSGLQEGNSLGVRISKKDNAVESYKRACKIFIFETDPIRIWDFSGQTASFFSISNQSNDKDLKDSPRQLEQDMLLELQVYGLSDSVRNRAKKNEIGVQYSNGTSYLMNGSASLIRSPCETGTLGLTGLQNLGNTCFMNSAVQCLAHTPKLVDYFLGDYKSEINYDNPLGMNGEIASAFGDLLKQLWAPGATPVAPRTFKLKLSHFAPQFSGFSQHDSQELLAFLLDGLHEDLNRVKCKPYVEAKDGDGRPDEEVADEYWKNHRARNDSIIVDVCQGQYRSKLVCPVCRKVSITFDPFMYLSLPLPSTSMRSMTISVMKSYGDIQLSVVTVNVPKDGKYSDLISSLSTACSLGADETFLVAEVYNSRIIRYLGGSADSLSLIRDGDRLVAYRLLKSSGEDPLVVFTHQQTEEHYISGKLTPGWKMFGIPFVASCRVENGCDLRNLYLRLLLPFIVHNEDFVGKSDKVENGATEVLAEMEATSPRLVGLSENTDAENIDAKLDSDFEFLLTDEKGMLSNSAIVMNEPLKFSDMPERLNVLVCWPDKMLEQYNRSAFSSLPEVFKSGFFTKRPQESVSFYKCLEAFLKEEPLGPEDMWYCPGCKEHRQATKKLDLWRLPEIMVIHMKRFSYNRFLKNKLETFVDFPIHDLELSSYIAHQDGQSSCRYMLYAISNHYGSMGGGHYTAFVRQGGEQWYDFDDSHVSPVNVEKIKTSAAYVLFYRRVEENHIEEMNKTIIRC >itb05g01200.t1 pep chromosome:ASM357664v1:5:1025477:1027100:-1 gene:itb05g01200 transcript:itb05g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVPIANDDLEEHIQMPESSFNYYCVLDPQRWIAPEFIRFLRDECFPTFQVGGDPIVIALDNRGRLVHSNALHMIFTWGFQLTNERTIRSSDDVIPLLENELRERTLGADRVIGDIEEQTCDFARGVRKKINDWVEDIMTKTKSSEVKRVCDYAFDSSSHKFFWIRLQGMALSRIQYLSKARLDEENDEIMQGLKKLLAYEAEGSTVGVWALLSKADRIIACDIGEKMYAVMNEYEKWKKNAEDNGFDKAFKDCYEMLGSSSPTLTQHLCCSLNYSSNLDEISETVSCPQCNHSMQKFVTFSCCHGSMTSLYNFNFN >itb10g20550.t1 pep chromosome:ASM357664v1:10:26087135:26087791:1 gene:itb10g20550 transcript:itb10g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGKKGEGIVRSHSFGRKRVVLSSALQFDDFITNTETKKPCREVSFPNSENSPLESLPQDVLIRIIFGVDHDDLSSLFHVSKTIREVTMIAKKWHFEYSTPRKTMDSQILVGFEDPMDCDEVEAPNAPRQTRVPKLRLSHKKLSGIAVTLFP >itb03g21520.t1 pep chromosome:ASM357664v1:3:19403567:19409820:1 gene:itb03g21520 transcript:itb03g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILCGKRSSIFSEDLQSSSAPASTSPSSPPLSKRFRCSSFSPSSSTAASFSSISSRHDYLIASFPGMDKQLLEKTLQECGDDLDSAIRSLNELHLGSGKVMSNGEVTPPKDPSTAKEHLIDSAEWVERFVQEMMISSNVDDAKARASRALEVLERSIYGHAAEAAVQCFQQENGVLKQQLEASLQENTILKRAVSIQHERQKEFDERGQEMNNLKQILAQYREQLRNLEVNNYALAMHLKQTQQSNSMPGHFNPDVF >itb04g28820.t1 pep chromosome:ASM357664v1:4:32413975:32420197:1 gene:itb04g28820 transcript:itb04g28820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTCPPHLAPPPATMQHHHPLPPTSPLPLLLLLSLLLLLLSALLLLQCRAGDKSKHRRRLRLPPGSMGWPYLGETLKFYTENPNTFFSNRLQRYGEIFKTHILGCPCVMISSPKAARIILVSQAHLFKPTYPPSKEKIIGPEALFFHQGPYHSKLKKLVLASFLPATLRAAVPEIEKIVLKLLQSWDEKSSINTLQEMKKYAFDVAMISALGSQAEVEVESIKHLYQTLEKGYNSMPIDFPGTPFHKAMKARKQLTEKLRRLIEKRKDREEHGGGLLGVLLRENHQNQLTDSQIADNIIGVIFAAHDTTASVLTWLLKYLHDNLHVLQSVTREQEEIGCKFVEGTEGLTWDDTRRMPLTMRVIQETLRRASILSFTFREAVEDVEFEGYFIPKGWKVLPLFRTIHHSADFFPQPEKFDPSRFEVPPSPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTTTYRWRVTGDEDGIQYGPFPVPKRGLPIKVQRRLKTM >itb04g28820.t2 pep chromosome:ASM357664v1:4:32413996:32420196:1 gene:itb04g28820 transcript:itb04g28820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRKKKNICVYVFLSFGCCRYGEIFKTHILGCPCVMISSPKAARIILVSQAHLFKPTYPPSKEKIIGPEALFFHQGPYHSKLKKLVLASFLPATLRAAVPEIEKIVLKLLQSWDEKSSINTLQEMKKYAFDVAMISALGSQAEVEVESIKHLYQTLEKGYNSMPIDFPGTPFHKAMKARKQLTEKLRRLIEKRKDREEHGGGLLGVLLRENHQNQLTDSQIADNIIGVIFAAHDTTASVLTWLLKYLHDNLHVLQSVTREQEEIGCKFVEGTEGLTWDDTRRMPLTMRVIQETLRRASILSFTFREAVEDVEFEGYFIPKGWKVLPLFRTIHHSADFFPQPEKFDPSRFEVPPSPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTTTYRWRVTGDEDGIQYGPFPVPKRGLPIKVQRRLKTM >itb03g23670.t1 pep chromosome:ASM357664v1:3:21906520:21911486:-1 gene:itb03g23670 transcript:itb03g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEAIRAKTIAEGKLEKKDFAGAKKFALKAKALYPALEGLYQLLTILDVYISAENRISGEVDWYGVLGVNPSADDDTVRKQYRKLALILHPDKNKSVGADGAFKLLSEAWSLLSDKSKRLAYNQRRSSKGFQQKGPTHPGGPSASSRANGFHNFSGKTSSSSKSQSASARAHPTNVNPPSHQRNDTFWTICHRCKMHYEYLKIYLNHTLLCPNCQEAFLATETPPPFDHPRPSNANSRQHQNIGNPASNGNQFHSGRNVASSKLSGHAPGGHHTANFSNINWDPLSGASGIGNTDPSIAAKAANVVQQAHERMKRERDSSQTATGWDRAHVSGSIPKDEMLFKQRRLNEDITRYGSNAARNTDRAFGISANYSKLNIPRELTHVEIRNMLMEKAKKDIVRKLNEWRLEPVPKALKTEKERIKVNKKGKAGTAKVSGHGTNWNSNSSSLKEVPNANNNIAASAGDVNEVDPVTDSMNVPDPDFHNFDLDRTESCFGDNEAWAAYDDDDGMPRFYALIHKVISRNPFKVKLSWLNSKTNSEFGPMEWVASGFYKTCGEFRVGRHEMSTSINSFSQKVRWSKGPRGTIHIFPKKGETWALYRDWSPNWSEHTPDEVIHKYDMVVLLDDYNEEKGVSVAPLVKVDGFKTVFQPHLDPEKVKRIPKEEMFRFSHQVPSYLLTGEEAQNAPKGCLELDPAATPLELLQVTTDANQVTTQSNGDGKGNLLPNSQETRISETAAALGHGEAS >itb10g25500.t1 pep chromosome:ASM357664v1:10:28799347:28799977:-1 gene:itb10g25500 transcript:itb10g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKKSSTSSTNVPKGHCAVYVGESQKKRFVIPISFLSQPLFQDLLSKAEEEFGFSHPMGGLTIPCREDVFVDIASRLSSRTC >itb09g09920.t1 pep chromosome:ASM357664v1:9:6091884:6096496:1 gene:itb09g09920 transcript:itb09g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPEKLSPKALLKLLKSEKNPNSALALFDIASQHPGYAHNDAVFNYILRRLSSDRRFAIHITRITDMIRTQKCPWSEDLALTVIKAYSKNSMVDKAVEVFQNMKAIFGCQPGVRSYNSMLNAFVVSNQLGKAELFFRHFETMRVSPNSETYNILITIACKRRKFVQAKEILNLMWERNLKPDVYSYGTLINGLVKNGDLNDALEVFDEMFSRGVTPDAMCYNILMDGLFKEGDSGGAKKIWDRLLQSESSYPNVVSYNVMISGLSRCGRFSEAVELWDRMKKNKVKMDVFTYSSLIHGLCESGNINGAERLYKEMTESGILPDVVIYNALLNGYCKFKKIKDCFELWELMAREDCRNVSSYNIFMKGLFEKGMVEEAIAVSELFKENGYVADSMTYGILVHGLCKNSNVNMALQVLKEADDSRGEPDSYLYSAIIKGLCTEGRLCEAAAIIDHMGKSGSKVNPAVCNALISGFIQANKIEDAMRFFGELGNKNCLTNVVTYNILVHGLCNAEKFGEAYDIVKDMLQKGWKPDIITYSLLINGLCKTDKLDMSLNLWNQVLSRGIKPDTTMGNIMIHGFCSAGKLEQALRLYLNMSQWNCAPNLVTLNTLMEGFYKARDSRNASAIWARILRGGFQPDIISYNITLKGLSFCNRISDANLFLNDALSRNVFPTVITWNILVRAVIYGEIQCDNADLSTMQILENQGHEVSSP >itb15g21970.t1 pep chromosome:ASM357664v1:15:24626118:24628597:1 gene:itb15g21970 transcript:itb15g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVASSSSPAEPPRRRAITHAQPLADRIVRAVSHPLSLLHRSDTLFFVLGATGNVYTVNIAATPSCTCPDRTAPCKHILFVMIRVLGINLDDSCLLRRTLRPCQVNRMLGMPISTDVLAGAEVREKFHQLFFRERAEAASRAAAVEIPEGAACPVCLEEIGREERVAACGTCKNPIHEDCLMAWRRSNRPRRFISCVLCRARWRDVRAAAEQEKYLNLSAYNHVSEDHDMLEGERRCGD >itb06g05570.t1 pep chromosome:ASM357664v1:6:8266247:8267479:-1 gene:itb06g05570 transcript:itb06g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAPPPHQVESSPPNSNGSSTSTISNNNGTLTPPLTPKTLSRSEANNPYPTTFVQADTGTFKQVVQMLTGSSETAKNASSSKPSDPPSSSAAKPFCGGIPPKKEGFKLYKRRNSLKNNNELMISPLIPGVGAGSAGFSPRKPEILSPSILDFPSLVLSPVTPLTEDPFYKSSEEEKAIAEKKFYLHPSPRANAATPPGDSDPPQLLPLFPVSSPGAD >itb09g14660.t1 pep chromosome:ASM357664v1:9:9891911:9895609:1 gene:itb09g14660 transcript:itb09g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSICLCFFSFFLSLLISGHLGNVEGSGHRRRDEKKAPTALFVFGDSYADTGNLPKAVASSWKQPYGITFPGKPSGRFTDGRLFTDFLAKLLGLKSPIPFKWIKYAMHRLQYGMNFAYGGTGVFDTLVAAPNMTTQIDILEKLIKDSVYTKKDLESSLFLVSVAGNDYAYYIATGGTFAGLPAFSGRLMDQLVADLKRIRGLGAKRIAVTSLPPLGCLPRSTAEFSFKQCNGSANLGASYHNSLLQNAVAELNKETKASSYVVLDLYTSFYDILQKKQGNTSTNSYLFPCIRLDIKHYRQFGQLSWFLSSKSNVQGYRIKP >itb01g11060.t1 pep chromosome:ASM357664v1:1:9673933:9674280:1 gene:itb01g11060 transcript:itb01g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSGTSPRGVDGGVGDLDEESVLCVGSRCGLLWDCEAISQINQEEEEKTRNLLQWAREKTSRARERKLGESYQITFLRSRLFVCHDKNSLHCFIVCCIARFKRNVSDARCPFS >itb09g05840.t1 pep chromosome:ASM357664v1:9:3313314:3315811:1 gene:itb09g05840 transcript:itb09g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQRAIAAVFVLLLLHVCAADPPDTPCSSNRGDYKANSTFDNNLKRLLGSLPARAAQNEGFYNASVGDGADRVLGRALCRGDINSTDCQNCLEKASEEIKRQCQTREAVIRYDQRCKVEYGSTLLKRYNGKYLESNKDKKNVSADPSQFSQALKELMDGLSEEAAYNSRLMFAAQSRKFSEQITIYGLVQCTRDLSNQECRVCLESASGDLDGCCGSREGGTVYGAACSVRFEIFQFYYSATDTSGNKKSKTWVPVTIVCVLAFLIAVLVGSFARYRQKRKQAKTDKEEKGHSGKVGEIESRPASNSLSIVGKKLEPLQSVLPGGEEVAVKRLSRKSWQGVDELKNEVMLIAKLQHRNLVRLLGCGLEGSEKLLIYEYMPNKSLDLFLFDREKRLLLDWKTRFNIIDGIARALVYLHEDSRLKVIHRDLKPSNILLDSDMIAKISDFGIARIFCEKQNIANTRRVVGTYGYMAPEYAMGGLFSIKSDVFSFGVIMLEIISGQRNNNFYINGSSNQALLSYAWQLLNEEKELELADPVLIESSSPMEEIGRCIHIGVLCVQEDPADRPRMSEVVHLLKGGQMPMSKPSRPPISMGRRSNPAGIDLKPSSTASSSLNHFTDSCATTFID >itb10g19550.t1 pep chromosome:ASM357664v1:10:25360740:25363125:-1 gene:itb10g19550 transcript:itb10g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINVVLRLNKTRKIMTNWFLKRKKKKVEKMNNIARRWKLLSGRNQWEGLLDPLDNDLRKYILHYGEMAQATYDNFNHEKASKFAGSGRYAKQNLFDMVGLGKGNPFKYSITKYLYATSSVQVPEAFIVKSMSREAWSRESNWIGFVAAATDEGAAALGRRDILVAWRGTVRTLEWVNDFEFAFADAPKIFGENYDPKVHRGWYSIYTSEDPKSSFTKISARDQVLGEISRLVDHYKNEEMSITIAGHSMGAAVGTLNAIDIVINGFNKVAAAGKPTCPVTAFLFASPRVGDSAFKNAISKLQNLHILRVRNAMDVVPNYPLIGYADVGTELAIDTTKSEYLKSPGDLSTWHSLEAYMHGVAGYQGAKGGFKIETGIRDIALVNKHLDVLKDEYLVPVSWWVEKNKGMVQQDDRSWILIDHEDDI >itb05g07470.t1 pep chromosome:ASM357664v1:5:9743181:9747252:1 gene:itb05g07470 transcript:itb05g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASFAVQREMKVVAGAAGYVLEDVPHLSDYISDLSAYPNPLQDNPSYSVVKQYFVDVDDTVAQKVVVQENSSRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYYMYGVKRVMGINGGYRGFYSKNLIPLTPKFVNNIHKRGGTILGTSHGGHVTKKIVDCIQDRGINQVYIIGGDGTQRGAAEIFEEVRKRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAISAAHVEALSIENGIGLVKLMGHYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKSLKEHGHMVIVVAEGAGQDLVSESVLSSAQPDAESNKLQQDIGLWISQKIKDHFSKHEKMSINLKYIDPTYMICAVPSNASDNVCCTLLAQSAVHGAMAGYTGFVTGPVNGRHAYIPFNRIIEKQNRVVITDRMWARALSSTNQPSFLKPRVIVSDQEEDLPAQLLDGDLVEKDVKC >itb09g11780.t4 pep chromosome:ASM357664v1:9:7335375:7341522:-1 gene:itb09g11780 transcript:itb09g11780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWTREFNDATKLSDEINSMIKASPPSGPETQRHFSAARRKVTILRTRLDTLQSLLSKLQSTQPLSKKEMKRRHDMLDNLVTKANQMATALNMNTLTIRDSLLGPETKQPDVVSRATGLDNQGLVSFQRQVMKEQDDDLGKLEESVMSTKHVALAINEELNLHTGLLDALDHHVDTTNTRLQVLCG >itb09g11780.t2 pep chromosome:ASM357664v1:9:7336089:7341522:-1 gene:itb09g11780 transcript:itb09g11780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWTREFNDATKLSDEINSMIKASPPSGPETQRHFSAARRKVTILRTRLDTLQSLLSKLQSTQPLSKKEMKRRHDMLDNLVTKANQMATALNMNTLTIRDSLLGPETKQPDVVSRATGLDNQGLVSFQRQVMKEQDDDLGKLEESVMSTKHVALAINEELNLHTGLLVGDNICIFRMLWITMLTRQTPDFRYYVVEEDL >itb09g11780.t3 pep chromosome:ASM357664v1:9:7335375:7341522:-1 gene:itb09g11780 transcript:itb09g11780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWTREFNDATKLSDEINSMIKASPPSGPETQRHFSAARRKVTILRTRLDTLQSLLSKLQSTQPLSKKEMKRRHDMLDNLVTKANQMATALNMNTLTIRDSLLGPETKQPDVVSRATGLDNQGLVSFQRQVMKEQDDDLGKLEESVMSTKHVALAINEELNLHTGLLVGDNICIFRYYHPFS >itb09g11780.t1 pep chromosome:ASM357664v1:9:7335375:7341522:-1 gene:itb09g11780 transcript:itb09g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWTREFNDATKLSDEINSMIKASPPSGPETQRHFSAARRKVTILRTRLDTLQSLLSKLQSTQPLSKKEMKRRHDMLDNLVTKANQMATALNMNTLTIRDSLLGPETKQPDVVSRATGLDNQGLVSFQRQVMKEQDDDLGKLEESVMSTKHVALAINEELNLHTGLLDALDHHVDTTNTRLQRVQRRLAMLNKRTKGGCTWLFLLVIFIVILIVGIFAVIKYL >itb03g11580.t1 pep chromosome:ASM357664v1:3:9826114:9858075:-1 gene:itb03g11580 transcript:itb03g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCETEVPPILQLKKWDPSEYQLELSKFREGFLSPTREILLLLSYHCEALLLPLAREKSIKYPENCNYGNSKDSTPLTSRMPTFAVPCSEELGDDIPSTSKSIEMDGIHTLESDTRKSNNYPFIHDVNSLTWGICEDSYSQYEDKPFRELLFVVGNHGVTVHAFSQSFTYKEEMGSTSENDTGQGSWVEWGPGPPATLSQELDGQQESGWHYDASFNVFDISRNSAAEGRPYSICTKVTNDLPFENISEKRWLRTLLTKVETLKSDEVVYTRFPENSLFDPSAMAVSFRLFHNDSLLLDFLSHGCSSSQEKENTNLSILDPQNSTSADSMPINVGCYRCLRVFSNGSQCLIGFALTLTEFVPHTNERKNSKILVAVAKLLSWGLKWVFTVALDENVERHAAAEWTDFRFSCALLICLNASGLIYFYDAKNGLYVACLDLLHICGDLSVKIDGNCVEDKDYIQLHNKAHNRVCQSRFERLFVMLNALTLVVIDKHGVSYVIQASDYIPRKYHQLENLLPYYEDIGLGPLAAWDVGAADIGYQRDISGEGNTVTKKSSFTSSAWNKGVKRSPESNFKEPIVKNWCEFLGCKLPSGLMRKYFLPIYKFHEDDVICLSPFGITRLLKGRNSQDKSKCRLVHSSLQVDLSLNDDNNYNVQGWEAIVNEAIGFTFQGCLYLVTENGISVVLPSLSISSNFYPIEAIGYRQSGYLYGLECDFDTFAKIERVKKPLSSWKVEILDKVLLYEGPEQADQLCLENGWDLSLPRIRCLQLALDYLKFEEIENSLEMLAGANLAEEGIMRLLFASVYLMFHKVGNDSEVSAASRLLALATGFATKMIRTYGLSLHKRDNPKSWNDQNALATLHTLEQIDMNSEKMENSRKIQKMAHFLEIIRSLQWQLNSKFKRPGQGLADRDGLLSDMEFSLEDSKILDLSRDVLPFDGSNQRESAVPAVELESTNDENLALMPVDSLDSKTHLALENPKDMIARWESDNLDLKTIVKDALLSGRLPLAVLKLHLHRLQDLPEQESHDTFSEVREVGKAIAYDLFLKGETGIAVATLQKLGEDIETSLKQLAFGTVRRSLRLQIVDLMKRYGYLGPHEWKTLEMIALIERVYPCRSFLGTFCSRQQKINGEFNGNVPEEINLQILHPLAKDLVIVCGELDGVVLGPWTNIAEYTSHCETDDDSTHTSYWTAAAVWSGAWDQRIVDCIVLDQQFLLGVNVSWESQLEYHIRHNDWLQVSRVLEVIPSYALSHGNLGVNLESSRSAPVVEYPHEPEAPDSGNYAYFLEELDSVCLNVPDVQILRFPAHIICSMWLRVLMEQQLAKEYIFLKDYWGSVEEMVNLLARSGFIIDTHDSPVLEEGSFEKFSDSLLSTNDSRSQSNTIQALHKLIVYYCAQHNLPNFLDLYLDHHKLGMDDEALSLLENAAGDNQWVRWLLLQRVKGKEFEASFSNACAVASRGVAPGNNLTVMEVQDIIHTVDDIAEGGWEMAALATLMYSPIPIQDCLSSGSVSRHHNSAQCTLENLRPALQCFPTLWRALVAACFGQDPTCNVQGSRLKFFACSDLLDYLTWREDVFFSSGRDASILQMLPCWFPKAVRRLIQLYIQGPLGWQSFTDLPMYETFLPRDVDHAAISPMSWEIAIQKHIEEELYDSSLKESGRGIEHHLHRGRALAALNNLLAVRAQKLKSESAQRGRPGTSSHAQSQTIIQSDMQTLLGPITETEQLLLSSVIPLAILHFKDSVLVASCAFLLELCGLPAATIQIDVAALRRIASFHKSGDYADHYRQLNTRSSSFHSTIDGDVSESLARALADYYRHHDCLGCGSQKDNQSSITSRHQSQALMLVLQHLEKASLPLVTEGVTCGSWLMTGNGDGVELRSQQKAVSQHWNLVIAFCHAHHIPLSTKYLAVLAKDNDWVGFLSESQIGGYPSETVIHVASEEFSNPRLKTHILTVLRSMQARKKVSSTSSLDTAEKEGKTSFSKENLYAPTELFGIIADCENQKSPGQVLLQKAKNLCWSLLAIIASCFPDVSPLSCLTVWLEITAARETSAIKVNNIASQIANNVGAAVEATNSLPASARAPTVHYNRRNSKRRRLMDPMSIDSLTFRAPEVSSPSSGVSARGIITEDAMDKQVAQDEKVSIDSTEVFASLSRMVAVLCEKNLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPHVQANMWKEGQAGSSWICSTAVKAADAMLLKCASPYEKRCLLQLLAATEFGDGGSAAASYRRLFWKINLAEPSLQKDDSLHLANESLDDASLLIALEKHGYWEHARSWARQLETSVGSWKSAVHHVTEMQAESMVAEWKEFLWDVQEERVALWGHCQTLFIRNSFPPLQAGLFFLKHAEEAEKDLPTRELHELLLLSLQWLSGMITQSNPVYPLNLLREIETRAWLLAVESESQVKSEGEITSKSCNREITTSKSSNIVEHTATIITKMDNHINHLRSKIVERTDARDINQTQLRTPQVLDSTSGAKAKRRTKSFVPSRKPFADALEKGSESEGLFQFNLKDDTQLVDENFKVESFSRWQERVEPLELERAILSLLEFGQITAARQLQQKLSPDNMPSEFTIVDTALKLAAFSTPNQNVLTSVLDDGVRFIMHSYNLLHDQHIIDPLQVLESLAVVPAEGRGRGLCRRVIAVVKSANVLGLSFSEAFDKQPIEILQLLALKAQDSFEEADVLVQTHPMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEVGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFYSLNFILGILIENGQLDLLLQKFSAAVDANAGFAEAVRGFRMAVLTSLKQFNPNDLDAFAMVYNHFDMKHETASLLMSRAEHSSQQWFFRYDKDQTDDLLASMRYYIEAAEVYSSIDAGNKTCGACAQASLLSLQIRMPDLHWLSLSETNARRVLVEQSRFLEALIVAEAYNLNQPGEWALVIWNQMLRPELIEQFIAEFVAVLPLQPSMLLELARFYRAEVAARGDQSQFSVWLMTGGGLPAEWAKYLGKSFRCLLKRTRDLRLQLQLASIATGFVDVIDGCNRALDKVPENAGPLVLRKGHGGGYLPLM >itb03g11580.t2 pep chromosome:ASM357664v1:3:9826538:9855495:-1 gene:itb03g11580 transcript:itb03g11580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCETEVPPILQLKKWDPSEYQLELSKFREGFLSPTREILLLLSYHCEALLLPLARGELSNSFASLAMSLANPTANGFPVFSTEKSIKYPENCNYGNSKDSTPLTSRMPTFAVPCSEELGDDIPSTSKSIEMDGIHTLESDTRKSNNYPFIHDVNSLTWGICEDSYSQYEDKPFRELLFVVGNHGVTVHAFSQSFTYKEEMGSTSENDTGQGSWVEWGPGPPATLSQELDGQQESGWHYDASFNVFDISRNSAAEGRPYSICTKVTNDLPFENISEKRWLRTLLTKVETLKSDEVVYTRFPENSLFDPSAMAVSFRLFHNDSLLLDFLSHGCSSSQEKENTNLSILDPQNSTSADSMPINVGCYRCLRVFSNGSQCLIGFALTLTEFVPHTNERKNSKILVAVAKLLSWGLKWVFTVALDENVERHAAAEWTDFRFSCALLICLNASGLIYFYDAKNGLYVACLDLLHICGDLSVKIDGNCVEDKDYIQLHNKAHNRVCQSRFERLFVMLNALTLVVIDKHGVSYVIQASDYIPRKYHQLENLLPYYEDIGLGPLAAWDVGAADIGYQRDISGEGNTVTKKSSFTSSAWNKGVKRSPESNFKEPIVKNWCEFLGCKLPSGLMRKYFLPIYKFHEDDVICLSPFGITRLLKGRNSQDKSKCRLVHSSLQVDLSLNDDNNYNVQGWEAIVNEAIGFTFQGCLYLVTENGISVVLPSLSISSNFYPIEAIGYRQSGYLYGLECDFDTFAKIERVKKPLSSWKVEILDKVLLYEGPEQADQLCLENGWDLSLPRIRCLQLALDYLKFEEIENSLEMLAGANLAEEGIMRLLFASVYLMFHKVGNDSEVSAASRLLALATGFATKMIRTYGLSLHKRDNPKSWNDQNALATLHTLEQIDMNSEKMENSRKIQKMAHFLEIIRSLQWQLNSKFKRPGQGLADRDGLLSDMEFSLEDSKILDLSRDVLPFDGSNQRESAVPAVELESTNDENLALMPVDSLDSKTHLALENPKDMIARWESDNLDLKTIVKDALLSGRLPLAVLKLHLHRLQDLPEQESHDTFSEVREVGKAIAYDLFLKGETGIAVATLQKLGEDIETSLKQLAFGTVRRSLRLQIVDLMKRYGYLGPHEWKTLEMIALIERVYPCRSFLGTFCSRQQKINGEFNGNVPEEINLQILHPLAKDLVIVCGELDGVVLGPWTNIAEYTSHCETDDDSTHTSYWTAAAVWSGAWDQRIVDCIVLDQQFLLGVNVSWESQLEYHIRHNDWLQVSRVLEVIPSYALSHGNLGVNLESSRSAPVVEYPHEPEAPDSGNYAYFLEELDSVCLNVPDVQILRFPAHIICSMWLRVLMEQQLAKEYIFLKDYWGSVEEMVNLLARSGFIIDTHDSPVLEEGSFEKFSDSLLSTNDSRSQSNTIQALHKLIVYYCAQHNLPNFLDLYLDHHKLGMDDEALSLLENAAGDNQWVRWLLLQRVKGKEFEASFSNACAVASRGVAPGNNLTVMEVQDIIHTVDDIAEGGWEMAALATLMYSPIPIQDCLSSGSVSRHHNSAQCTLENLRPALQCFPTLWRALVAACFGQDPTCNVQGSRLKFFACSDLLDYLTWREDVFFSSGRDASILQMLPCWFPKAVRRLIQLYIQGPLGWQSFTDLPMYETFLPRDVDHAAISPMSWEIAIQKHIEEELYDSSLKESGRGIEHHLHRGRALAALNNLLAVRAQKLKSESAQRGRPGTSSHAQSQTIIQSDMQTLLGPITETEQLLLSSVIPLAILHFKDSVLVASCAFLLELCGLPAATIQIDVAALRRIASFHKSGDYADHYRQLNTRSSSFHSTIDGDVSESLARALADYYRHHDCLGCGSQKDNQSSITSRHQSQALMLVLQHLEKASLPLVTEGVTCGSWLMTGNGDGVELRSQQKAVSQHWNLVIAFCHAHHIPLSTKYLAVLAKDNDWVGFLSESQIGGYPSETVIHVASEEFSNPRLKTHILTVLRNCENQKSPGQVLLQKAKNLCWSLLAIIASCFPDVSPLSCLTVWLEITAARETSAIKVNNIASQIANNVGAAVEATNSLPASARAPTVHYNRRNSKRRRLMDPMSIDSLTFRAPEVSSPSSGVSARGIITEDAMDKQVAQDEKVSIDSTEVFASLSRMVAVLCEKNLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPHVQANMWKEGQAGSSWICSTAVKAADAMLLKCASPYEKRCLLQLLAATEFGDGGSAAASYRRLFWKINLAEPSLQKDDSLHLANESLDDASLLIALEKHGYWEHARSWARQLETSVGSWKSAVHHVTEMQAESMVAEWKEFLWDVQEERVALWGHCQTLFIRNSFPPLQAGLFFLKHAEEAEKDLPTRELHELLLLSLQWLSGMITQSNPVYPLNLLREIETRAWLLAVESESQVKSEGEITSKSCNREITTSKSSNIVEHTATIITKMDNHINHLRSKIVERTDARDINQTQLRTPQVLDSTSGAKAKRRTKSFVPSRKPFADALEKGSESEGLFQFNLKDDTQLVDENFKVESFSRWQERVEPLELERAILSLLEFGQITAARQLQQKLSPDNMPSEFTIVDTALKLAAFSTPNQNVLTSVLDDGVRFIMHSYNLLHDQHIIDPLQVLESLAVVPAEGRGRGLCRRVIAVVKSANVLGLSFSEAFDKQPIEILQLLALKAQDSFEEADVLVQTHPMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEVGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFYSLNFILGILIENGQLDLLLQKFSAAVDANAGFAEAVRGFRMAVLTSLKQFNPNDLDAFAMVYNHFDMKHETASLLMSRAEHSSQQWFFRYDKDQTDDLLASMRYYIEAAEVYSSIDAGNKTCGACAQASLLSLQIRMPDLHWLSLSETNARRVLVEQSRFLEALIVAEAYNLNQPGEWALVIWNQMLRPELIEQFIAEFVAVLPLQPSMLLELARFYRAEVAARGDQSQFSVWLMTGGGLPAEWAKYLGKSFRCLLKRTRDLRLQLQLASIATGFVDVIDGCNRALDKVPENAGPLVLRKGHGGGYLPLM >itb10g01600.t1 pep chromosome:ASM357664v1:10:1223416:1225956:-1 gene:itb10g01600 transcript:itb10g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVCCISYVLLAFLCFFCNLGLSGDSLRRGESVTQNQTLVSAGGNFALGFFRPGNSSSSFLGIWYNSINKTVIWVANRESPLPQDSEGVFTLGYDGNLQLLDGGGRNIIWSTNISGGGGGSTAAQLQDTGDLIVKQGESIVWESFDGDSDALMPGMRLKVNKKTGKRNLIRSWIGRDDPRPGKFSWGMDPKGSPQFLIWKEDKPYYRSNLYQDGFTFSRYFPALGYSAYYSFATENDEEFFSFGYADTSIQIRFILIPDGHIQVLLRQKKSDEWLMRWQVPATDCEFYSRCGAFGTCEQTESDSHSVCSCLQGFKPKSQKDWDKGDYGGGCVRRKDLQCDENDRFMRLPRMKWPDHSTSLGNLTFEECEMACSRNCSCSGFAYANISTDSTVNCLNWFGDLVDLTQNYSAGLNGFGQDLYVRVHASELNGSSGNDDSSHRNKRGLVAIIVASVTSLFLLTVLVYILTCGRKEFGMVAIKRLSKQSSQGLNEFMNELKLIAKLQHTNLVRLLGCCIEDEEKILIYEYLPKRSLDNFLFDEFQKENLDWNTRFQIIEGVAQGILYLHKYSRLKIIHRDLKASNILLDEAMKPKISDFGMARIFGINQTQAKTNHVVGT >itb00g01170.t1 pep chromosome:ASM357664v1:16:7147654:7150117:-1 gene:itb00g01170 transcript:itb00g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAILLILLQTGTTDLQISLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPLKLGTYGFLRFSIPMFPEATLCSTPFIYTLSAIAIIYTSSTTLRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAVRSPILSYGHTRPKHVCRACDPSTY >itb04g03540.t2 pep chromosome:ASM357664v1:4:2163470:2166335:-1 gene:itb04g03540 transcript:itb04g03540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMTHSSSFLLPTVPAAADNGPAPTYALVVLNQSLPRFTHLLWKHARLRVCADGGANRVFDELPQLFPDEDASDVRKRYTPDVIKGDLDSIRSEVQEFYTGLGSKVVDESHDQDTTDMHKCIAYIRDLPELETPNLCILVVGALGGRFDHEMGNINALCHFATTRIILLSDDCLVQLLPKTHHHEIHIHHSVEGPHCGLIPIGSPSGSTTTTGLKWNLGEQ >itb04g03540.t1 pep chromosome:ASM357664v1:4:2163470:2166335:-1 gene:itb04g03540 transcript:itb04g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMTHSSSFLLPTVPAAADNGPAPTYALVVLNQSLPRFTHLLWKHARLRVCADGGANRVFDELPQLFPDEDASDVRKRYTPDVIKGDLDSIRSEVQEFYTGLGSKVVDESHDQDTTDMHKCIAYIRDLPELETPNLCILVVGALGGRFDHEMGNINALCHFATTRIILLSDDCLVQLLPKTHHHEIHIHHSVEGPHCGLIPIGSPSGSTTTTGLKWNLDNTEMRFGGLVSTSNIVQESVVTVQSDTDLLWTISLKKA >itb02g07380.t1 pep chromosome:ASM357664v1:2:4578333:4581281:-1 gene:itb02g07380 transcript:itb02g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MSITLSTFRSPNIFFKKPIKLSSHPPLFNRPHDNNQAPFDSYQPRTSILRLRNAHKFPGLLSTNLGLSVTKNHGFLAKAENRGEGTENGANEVEIESRGESSMPERFRPLTKEAPDKPVRWPFLIVLAFLLYAWRAVLWELSIWKGVVMGFFRFIGTMMKFALANVLYYILHPVTASLRFVETILHIIRTFYSGVIKQAPIQELTKMIIFASLILAIAEATVPDSVNSQPYLLTVAGFIGFVAAKGYISELFFWTLLLGLFSFGRWVKKRDYVSSALPVAAVLAAVGEPWIQALVMISYTSLAIVQHSRERADGKEGEATNEVIRAPFPLLCVALAIGVRLAANWAGYRHLTWMIV >itb13g25920.t1 pep chromosome:ASM357664v1:13:31314243:31318348:1 gene:itb13g25920 transcript:itb13g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILETVGSIDWEQESYPSYRDLAVLPLFVLFFPAVRFLLDRFVFENLARWLIFAKGQRVVENETDERRKKIRKFKESAWKCVYFVSAEIFALSVTYNEPWFTKTKYFWVGPGDQVWPDQKYKAKLKALYMYAGGFYTYSIFALIFWETRRSDFGVSMSHHLATAILIVLSYLFRFARVGSIVLALHDASDVLLEIGKMSKYSGAETLASISFILFVLSWVLLRLIYFPFWVLWSTSYEVVWTLDKEKHKVEGPIYYYIFNSLLFCLLVLHIYWWVLMYRMLVKQIQSRGQVSDDVRSDSEDENEHED >itb13g25920.t2 pep chromosome:ASM357664v1:13:31314325:31318348:1 gene:itb13g25920 transcript:itb13g25920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILETVGSIDWEQESYPSYRDLAVLPLFVLFFPAVRFLLDRFVFENLARWLIFAKGQRVVENETDERRKKIRKFKESAWKCVYFVSAEIFALSVTYNEPWFTKTKYFWVGPGDQVWPDQKYKAKLKALYMYAGGFYTYSIFALIFWETRRSDFGVSMSHHLATAILIVLSYLFRFARVGSIVLALHDASDVLLEIGKMSKYSGAETLASISFILFVLSWVLLRLIYFPFWVLWSTR >itb05g01980.t4 pep chromosome:ASM357664v1:5:1543132:1546800:1 gene:itb05g01980 transcript:itb05g01980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKQFQLGTIGALSLSVVSSVSIVICNKALMSTLGFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFLSKKFSRSIQLSLFILLLGVGIATVTDLQLNMLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFFSGPFLDKLLTQQNVFAFKYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSWRNISGIMVALMGMVLYSYYCTLESRSKATEASLQLQLKEDQAPLVQLENGTGVISDIAAPKLPSGKDLQA >itb05g01980.t3 pep chromosome:ASM357664v1:5:1543143:1546771:1 gene:itb05g01980 transcript:itb05g01980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKQFQLGTIGALSLSVVSSVSIVICNKALMSTLGFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFLSKKFSRSIQLSLFILLLGVGIATVTDLQLNMLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFFSGPFLDKLLTQQNVFAFKYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSWRNISGIMVALMGMVLYSYYCTLESRSKATEASLQLQLKEDQAPLVQLENGTGVISDIAAPKLPSGKDLQA >itb05g01980.t5 pep chromosome:ASM357664v1:5:1543132:1546800:1 gene:itb05g01980 transcript:itb05g01980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKQFQLGTIGALSLSVVSSVSIVICNKALMSTLGFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFLSKKFSRSIQLSLFILLLGVGIATVTDLQLNMLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFFSGPFLDKLLTQQNVFAFKYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSWRNISGIMVALMGMVLYSYYCTLESRSKATEASLQLQLKEDQAPLVQLENGTGVISDIAAPKLPSGKDLQA >itb05g01980.t2 pep chromosome:ASM357664v1:5:1542516:1546800:1 gene:itb05g01980 transcript:itb05g01980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKQFQLGTIGALSLSVVSSVSIVICNKALMSTLGFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFLSKKFSRSIQLSLFILLLGVGIATVTDLQLNMLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFFSGPFLDKLLTQQNVFAFKYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSWRNISGIMVALMGMVLYSYYCTLESRSKATEASLQLQLKEDQAPLVQLENGTGVISDIAAPKLPSGKDLQA >itb05g01980.t1 pep chromosome:ASM357664v1:5:1542516:1546800:1 gene:itb05g01980 transcript:itb05g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKQFQLGTIGALSLSVVSSVSIVICNKALMSTLGFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFLSKKFSRSIQLSLFILLLGVGIATVTDLQLNMLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFFSGPFLDKLLTQQNVFAFKYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLQDPFSWRNISGIMVALMGMVLYSYYCTLESRSKATEASLQLQLKEDQAPLVQLENGTGVISDIAAPKLPSGKDLQA >itb10g18880.t1 pep chromosome:ASM357664v1:10:24666739:24667791:-1 gene:itb10g18880 transcript:itb10g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFLESEIVFPESVNDNGYAVDEERSFSGDSRESRSKKQRRRTIKMSAAAERRETNSLPVNIPAESWFRCVDVEAELFGEEEEEMVPPHVITGRRIARKMMSFSVCTGYGRTLKGRDLSEVRNSILRMTGFLEA >itb04g02370.t1 pep chromosome:ASM357664v1:4:1401444:1405020:1 gene:itb04g02370 transcript:itb04g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQAEAPPSMDTDEASASSLIFIESQEGKMRLLDSCTRDGSTDRHGKPALKRKTGGWKSGKLLLASEGLAALAFTGVEVNMVLFSKSVMRKSNADAANLFSTWMGTLNFFPLVGAFLSDSYLGRYHTCTISLAVMNIGLVALSLLTHVFMLEPEGCGRIGEVCNPQSRIEVAMFYVSIYLLAIGSGSIEPVLATLGADQFDEEDPEESKSKTKFYSYFYVAFNLGSLIAEIVLVYIENLGKWALAFWISTLCGFVSLALLIAGEYRYRHIRPFNNPVSRFCQVIVASLRKLKLKVPLHGEGLYEVHGRGEKYYRRISHTDGFEFLDRAAIKVSSEMTLLPDKSQVPNPWRLCTVTQVEEVKCVLRLLPIWVCTILASTVFVQVFSLFVEQGAAMNTKIADFHMPPASMTAVDIISSSTFIICYENIILPLYVKLMKREPKPLNELQRIGIGMVISIITMLIAGLVEQHRLRYANGNEETSSLSIFWQIPQYVLVGVAEAFIYVAQWEFFASQIPDSLKSIGLGLSMSSSALGSYLCSIILSIVMKITTKHGKPGWVPPNLNDGHLDRFFFLSAVIVALDLVLLIFCAKRYKAIVLEKLEETDMEGTPLS >itb04g02370.t2 pep chromosome:ASM357664v1:4:1401545:1404794:1 gene:itb04g02370 transcript:itb04g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQAEAPPSMDTDEASASSLIFIESQEGKMRLLDSCTRDGSTDRHGKPALKRKTGGWKSGKLLLASEGLAALAFTGVEVNMVLFSKSVMRKSNADAANLFSTWMGTLNFFPLVGAFLSDSYLGRYHTCTISLAVMNIGLVALSLLTHVFMLEPEGCGRIGEVCNPQSRIEVAMFYVSIYLLAIGSGSIEPVLATLGADQFDEEDPEESKSKTKFYSYFYVAFNLGSLIAEIVLVYIENLGKWALAFWISTLCGFVSLALLIAGEYRYRHIRPFNNPVSRFCQVIVASLRKLKLKVPLHGEGLYEVHGRGEKYYRRISHTDGFEFLDRAAIKVSSEMTLLPDKSQVPNPWRLCTVTQVEEVKCVLRLLPIWVCTILASTVFVQVFSLFVEQGAAMNTKIADFHMPPASMTAVDIISSSTFIICYENIILPLYVKLMKREPKPLNELQRIGIGMVISIITMLIAGLVEQHRLRYANGNEETSSLSIFWQIPQYVLVGVAEAFIYVAQWEFFASQIPDSLKSIGLGLSMSSSALGSYLCSIILSIVMKITTKHGKPGWVPPNLNDGHLDRFFFLSAVIVALDLVLLIFCAKRYKAIVLEKLEETDMEGTPLS >itb08g01110.t2 pep chromosome:ASM357664v1:8:846322:849588:1 gene:itb08g01110 transcript:itb08g01110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMQYNSNSLQGKSVLEVSRFGSAISPSLVPSDAAKLKKNGGFSSSEPVSVLDTRSPSPSTSTSAMEANPNPVGRKEKSLGELHPAPEWSEGPEKFDLGMEDWEGLLPESGGSDQFLLRWISGDVEDPSLSLKQLLQGGNPSEIHCNVGFGASDSDNYNGNSQGPNVNFPLAILGSGVSVQELQNEQKLQNFNSPVLTELQNVVTIPNVFSSELYGIQQEQPPLKRQNLGGVSSSGFRVSEGGVFVNPSNGLLVRKQVELGQLEQAQIGCDRPPDILCVHRGEQAPLLVPKREAGFGNDGLQEQQAVYDHVFKAAEVILTGDFLHAEMILARLNHQLSPGVKPLTRAAFYFKEALHLPLTMPISATSLPPRIPTPVDGVFKMGAYKVFSEVSPLIQFMNFTSNQAILEAVDGAECIHIFDFDIAFGAQWSSFMQELPRRNRRAPSLKITAFASPSTHHPIEINLMHENLTQFANEVGVKFELEVVNFDSFDPSSYPVSSFRSSESEVIAVNFPLWSISSYRATLPLLLHYIKQLSPKVVVSLDRGYERTELPFPHHLLNALQYFEALFKSIDAGSVTPEASNKIERFLFQPSIESIVFGHLRFPDQMPPWRNLFASAGFLPQPFSNFAETQAECVVKRTETRGFHVQKHHASLALCWQNRELLSATAWRC >itb08g01110.t1 pep chromosome:ASM357664v1:8:846322:849588:1 gene:itb08g01110 transcript:itb08g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMQYNSNSLQGKSVLEVSRFGSAISPSLVPSDAAKLKKNGGFSSSEPVSVLDTRSPSPSTSTSAMEANPNPVGRKEKSLGELHPAPEWSEGPEKFDLGMEDWEGLLPESGGSDQFLLRWISGDVEDPSLSLKQLLQGGNPSEIHCNVGFGASDSDNYNGNSQGPNVNFPLAILGSGVSVQELQNEQKLQNFNSPVLTELQNVVTIPNVFSSELYGIQQEQPPLKRQNLGGVSSSGFRVSEGGVFVNPSNGLLVRKQVELGQLEQAQIGCDRPPDILCVHRGEQAPLLVPKREAGFGNDGLQEQQAVYDHVFKAAEVILTGDFLHAEMILARLNHQLSPGVKPLTRAAFYFKEALHLPLTMPISATSLPPRIPTPVDGVFKMGAYKVFSEVSPLIQFMNFTSNQAILEAVDGAECIHIFDFDIAFGAQWSSFMQELPRRNRRAPSLKITAFASPSTHHPIEINLMHENLTQFANEVGVKFELEVVNFDSFDPSSYPVSSFRSSESEVIAVNFPLWSISSYRATLPLLLHYIKQLSPKVVVSLDRGYERTELPFPHHLLNALQYFEALFKSIDAGSVTPEASNKIERFLFQPSIESIVFGHLRFPDQMPPWRNLFASAGFLPQPFSNFAETQAECVVKRTETRGFHVQKHHASLALCWQNRELLSATAWRC >itb13g00840.t1 pep chromosome:ASM357664v1:13:760178:762867:-1 gene:itb13g00840 transcript:itb13g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKSRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDEALLGQIRGGFDEGKDLILTVMSAMGEEQICAVKDIGKTS >itb12g16890.t1 pep chromosome:ASM357664v1:12:18434114:18437422:1 gene:itb12g16890 transcript:itb12g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDSSTSPVTNSLLFSFESLRLSVVSHSQVLPPGSNQQVATLLEFMTIVQTSLIVHSPDFINSRSSYKGGSYKRVGDHWINFA >itb01g21360.t1 pep chromosome:ASM357664v1:1:27480299:27484516:-1 gene:itb01g21360 transcript:itb01g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSFSTEERSYGDAKLDDDGLQKRTGTFISTTAHIITGVIGSDVLSLAWAFAQLGWIAGPVSLLLFAVITWYTSVLLADCYRYPDGKRNHSYMDAVTAHLGGSNAKVCGTAQHSNFVGTSISYTITTALSMSAISKSNCYHKYGHGADCQHTNNTYIILFGVAQIILSQIPNFHKLSFLSLVAAVMSFAYSSIGLGLSIDRIARGGHVKTSLIGKPIGADLSSADKIWNSFTALGNMAFAYSFSPILVEIQDTIKASPKENMVMKQATFTGIAISTVFYMLCGVLGYAAFGNDAPSNFLTGFGFYDPFWLIDLANVCIIVHLLGAYQVFTQPLFAFLEAQCKRKWPESRFVNHESAIPALPALNFSAFRLVWRSAYVVVTTFVSMLLPFFNEVVGLIGAVAFWPLTVYFPIEMYIARAKIRRLSFTWVWLQILSMICFIISVLAAAGSIRGLIQSVKAFQPFQVES >itb07g05940.t1 pep chromosome:ASM357664v1:7:4105157:4112600:-1 gene:itb07g05940 transcript:itb07g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MDKENWLLDPMRESNLQLFALASVWISCKIHMSPPLSVKCLKSLGDKSITEQHFTTRDFLEAVLKFEIGVSNIAYRFLEDLVIQLKDVARVGEHMNFEACMDIMDLLYEKEEFLDLFNTSSCLAASILVATYVITVPVQSCVGYLMQGAGYCGKGQNHSEACV >itb07g05940.t2 pep chromosome:ASM357664v1:7:4105157:4112600:-1 gene:itb07g05940 transcript:itb07g05940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MDKENWLLDPMRESNLQLFALASVWISCKIHMSPPLSVKCLKSLGDKSITEQHFTTRDFLEAVLKFEIGVSNIAYRFLEDLVIQLKDVARVGEHMNFEACMDIMDLLYEKEEFLDLFNTSSCLAASILARFFYF >itb01g00550.t1 pep chromosome:ASM357664v1:1:252519:254984:-1 gene:itb01g00550 transcript:itb01g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHGYEEAASPSAQFLNSSVLSLTILGVLESEIPIQASDSLVISLLKDVFVPINPRFSSIMVSEGTDGRVKKWKRVEVNHSDHVKVPNFPAGKPIEFYDDCLSDYLSKMAMEAFPPNRPLWEIHLFKYPTTNAAGNLIFKLHHSLGDGYSLMGAILSCLQRADNPALPLTFPSRQRSAAAAAPRSKASKKICGFFKAMPRVLFGAFNTVYDFGWSVLKSTLVEDDRTPVRSGDHGVEFRPIATTTMSFSLDQIKQIKDKLEVTINDVVTGIIIYGTRLYMKQIEEESSKARCTALVLFNTRAIGGYKSVTEMIKPNAEMPWGNHFTFLPVSLPALTPATASDPLRFVRKAHRIIKRKRNSASVYLTGWLLQALMKTRGSEATARYIHGTSKNSSMGISNMIGPMERMSLANHPAKGIYFIIAGIPQSLCVTMVSYVGSLRIALSVEKDFIDAEKFKCCVDHAFEEISKAALGSS >itb15g19520.t5 pep chromosome:ASM357664v1:15:21883105:21890929:1 gene:itb15g19520 transcript:itb15g19520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLSDIDLSRSVATASFLELEAAARSSFQDEQQLTSEPRSPVNNTASSLEVHPSAGLSILAGSSSLASDYGSDTAYEASDVDSTSHGRGNPSELGTEDLSLDEDLTSPIDKFMKYGMSNIDEGLFMGQAILEQLGSFPRHKTHAKEISNVMEESMSNGSAMKSSYISGDTTAHFSEQDHSHIIHHARKFSAESVGSDIASQRGSELANSSFPNSFGDGCAEIPKASKASVNTEILGKDLSLPDHIQLVLPSDQRHKMHRVLTTMQRRLVTAKTDMEDLISRLNQEIAVKDYLSTKVKDLEVELEATKEKSKENLEQAILVERERVTQMQWDMEDLRRKSMEMEHKLNSQQGEINKDSSITNLNQEKDALQQELAATKLQFEDLLKRHQELEVKSRADIKVLIKEVKTLRSSQSELKHQLSESIKEKAESERRHAQERQISERSTAWWKLLHDCEILQQRVEECKINLADEEENLIKKFQSLPDAMHLLTTSDNQINILLIEVQQLSQGANSVSVDDTKDNVDSDTMEIIEKMRKMLTNVFINNGKLRKQVNSVLRCALETKMSFQSVTKGPQGEGENVQHDELDE >itb15g19520.t3 pep chromosome:ASM357664v1:15:21878979:21890963:1 gene:itb15g19520 transcript:itb15g19520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSPPKHRHDGTSPLPLGMDWSPPPKKWAGQETIWPHDPHTGWSYCVTIPSWVVLAKSRDSDPVVFYRVVVGLQSPDGITSTRIVLRRFNDFLKFHAALKKIFPKKNLPPAPPKGFSRLKTKVLLEERRSSLEEWMTKLLSDIDLSRSVATASFLELEAAARSSFQDEQQLTSEPRSPVNNTASSLEVHPSAGLSILAGSSSLASDYGSDTAYEASDVDSTSHGRGNPSELGTEDLSLDEDLTSPIDKFMKYGMSNIDEGLFMGQAILEQLGSFPRHKTHAKEISNVMEESMSNGSAMKSSYISGDTTAHFSEQDHSHIIHHARKFSAESVGSDIASQRGSELANSSFPNSFGDGCAEIPKASKASVNTEILGKDLSLPDHIQLVLPSDQRHKMHRVLTTMQRRLVTAKTDMEDLISRLNQEIAVKDYLSTKVKDLEVELEATKEKSKENLEQAILVERERVTQMQWDMEDLRRKSMEMEHKLNSQQGEINKDSSITNLNQEKDALQQELAATKLQFEDLLKRHQELEVKSRADIKVLIKEVKTLRSSQSELKHQLSESIKEKAESERRHAQERQISERSTAWWKLLHDCEILQQRVEECKINLADEEENLIKKFQSLPDAMHLLTTSDNQINILLIEVQQLSQGANSVSVDDTKDNVDSDTMEIIEKMRKMLTNVFINNGKLRKQVNSVLRCALETKMSFQSVTKGPQGEGENVQHDELDE >itb15g19520.t1 pep chromosome:ASM357664v1:15:21878979:21890987:1 gene:itb15g19520 transcript:itb15g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSPPKHRHDGTSPLPLGMDWSPPPKKWAGQETIWPHDPHTGWSYCVTIPSWVVLAKSRDSDPVVFYRVVVGLQSPDGITSTRIVLRRFNDFLKFHAALKKIFPKKNLPPAPPKGFSRLKTKVLLEERRSSLEEWMTKLLSDIDLSRSVATASFLELEAAARSSFQDEQQLTSEPRSPVNNTASSLEVHPSAGLSILAGSSSLASDYGSDTAYEASDVDSTSHGRGNPSELGTEDLSLDEDLTSPIDKFMKYGMSNIDEGLFMGQAILEQLGSFPRHKTHAKEISNVMEESMSNGSAMKSSYISGDTTAHFSEQDHSHIIHHARKFSAESVGSDIASQRGSELANSSFPNSFGDGCAEIPKASKASVNTEILGKDLSLPDHIQLVLPSDQRHKMHRVLTTMQRRLVTAKTDMEDLISRLNQEIAVKDYLSTKVKDLEVELEATKEKSKENLEQAILVERERVTQMQWDMEDLRRKSMEMEHKLNSQQGEINKDSSITNLNQEKDALQQELAATKLQFEDLLKRHQELEVKSRADIKVLIKEVKTLRSSQSELKHQLSESIKEKAESERRHAQERQISERSTAWWKLLHDCEILQQRVEECKINLADEEENLIKKFQSLPDAMHLLTTSDNQINILLIEVQQLSQGANSVSVDDTKDNVDSDTMEIIEKMRKMLTNVFINNGKLRKQVNSVLRCALETKMSFQSVTKGPQGEGENVQHDELDE >itb15g19520.t2 pep chromosome:ASM357664v1:15:21881417:21890987:1 gene:itb15g19520 transcript:itb15g19520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSPPKHRHDGTSPLPLGMDWSPPPKKWAGQETIWPHDPHTGWSYCVTIPSWVVLAKSRDSDPVVFYRVVVGLQSPDGITSTRIVLRRFNDFLKFHAALKKIFPKKNLPPAPPKGFSRLKTKVLLEERRSSLEEWMTKLLSDIDLSRSVATASFLELEAAARSSFQDEQQLTSEPRSPVNNTASSLEVHPSAGLSILAGSSSLASDYGSDTAYEASDVDSTSHGRGNPSELGTEDLSLDEDLTSPIDKFMKYGMSNIDEGLFMGQAILEQLGSFPRHKTHAKEISNVMEESMSNGSAMKSSYISGDTTAHFSEQDHSHIIHHARKFSAESVGSDIASQRGSELANSSFPNSFGDGCAEIPKASKASVNTEILGKDLSLPDHIQLVLPSDQRHKMHRVLTTMQRRLVTAKTDMEDLISRLNQEIAVKDYLSTKVKDLEVELEATKEKSKENLEQAILVERERVTQMQWDMEDLRRKSMEMEHKLNSQQGEINKDSSITNLNQEKDALQQELAATKLQFEDLLKRHQELEVKSRADIKVLIKEVKTLRSSQSELKHQLSESIKEKAESERRHAQERQISERSTAWWKLLHDCEILQQRVEECKINLADEEENLIKKFQSLPDAMHLLTTSDNQINILLIEVQQLSQGANSVSVDDTKDNVDSDTMEIIEKMRKMLTNVFINNGKLRKQVNSVLRCALETKMSFQSVTKGPQGEGENVQHDELDE >itb15g19520.t4 pep chromosome:ASM357664v1:15:21881284:21890987:1 gene:itb15g19520 transcript:itb15g19520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSPPKHRHDGTSPLPLGMDWSPPPKKWAGQETIWPHDPHTGWSYCVTIPSWVVLAKSRDSDPVVFYRVVVGLQSPDGITSTRIVLRRFNDFLKFHAALKKIFPKKNLPPAPPKGFSRLKTKVLLEERRSSLEEWMTKLLSDIDLSRSVATASFLELEAAARSSFQDEQQLTSEPRSPVNNTASSLEVHPSAGLSILAGSSSLASDYGSDTAYEASDVDSTSHGRGNPSELGTEDLSLDEDLTSPIDKFMKYGMSNIDEGLFMGQAILEQLGSFPRHKTHAKEISNVMEESMSNGSAMKSSYISGDTTAHFSEQDHSHIIHHARKFSAESVGSDIASQRGSELANSSFPNSFGDGCAEIPKASKASVNTEILGKDLSLPDHIQLVLPSDQRHKMHRVLTTMQRRLVTAKTDMEDLISRLNQEIAVKDYLSTKVKDLEVELEATKEKSKENLEQAILVERERVTQMQWDMEDLRRKSMEMEHKLNSQQGEINKDSSITNLNQEKDALQQELAATKLQFEDLLKRHQELEVKSRADIKVLIKEVKTLRSSQSELKHQLSESIKEKAESERRHAQERQISERSTAWWKLLHDCEILQQRVEECKINLADEEENLIKKFQSLPDAMHLLTTSDNQINILLIEVQQLSQGANSVSVDDTKDNVDSDTMEIIEKMRKMLTNVFINNGKLRKQVNSVLRCALETKMSFQSVTKGPQGEGENVQHDELDE >itb15g12150.t1 pep chromosome:ASM357664v1:15:10093517:10101675:1 gene:itb15g12150 transcript:itb15g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSDVKGGKQGVGGGGAQGVGGGAEGKNDAVDFFFRAQGHLATQIQLSLSASKLLDCDILSKSDPMAVVYEKQRDGTLLEVGRTEVIMNNLNPTWIQKINMTYQFELLQPLVFHIYDVDSKYNNVPVKALKLKDQDFLGEANCVLSEIVTKQNRLLTLNLRNRYESGSKNFGTLTIHAEEPVVSRNAVELTLRCTNLENKGIFSKSDPFLRISRIVEAGGSVPICKTEVAKNNVIPIWKPLCLSIEQYVNKDNPLIIECFDFKINGNHVLIGKLQTTVAELQNLHNANAGANFISPPSGFRKQEKLLKTQLFVEEFFEKELFSFLDYISSGFELNFMVAVDFTGSNGNPQYPSSLHYIDPSGNLNSYQRAIKEVGEVIQFYDYDRCFPAWGFGGMTYTGAVSHCFNLSGSSSSSEVQGVEGIMNAYSSALHSVTLSGPTLFSHVINNAARIAAESLKNHHNKYFVLLIITDGVLTDLQETKDAIVMASDLPLSILVIGVGNADFTQMEILDADNGQRLESSTGRVAARDIVQFVPMREVLGGQVAVVQAMLEELPWQFLSYMRSRNIKPLNVPPPSTAPTRPC >itb03g08470.t1 pep chromosome:ASM357664v1:3:6362503:6365189:-1 gene:itb03g08470 transcript:itb03g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEALLQENTERAAMAEEALLPPPEAGSKGFVGELKDVSHIALPMIIVTVSQYLLRTSPMLMLGHLGELPLSSASIATSLCNVTGYSLLFGMSSALETLCGQAYGAGQYRKLGAFTYGAILCLFLVCIPVLILWIFAGKLLILIGQDPSIAAEAGKYAIWLIPTLFPYAILQSLVRYLQTQSLIFPMMWTAVAALGVQLPICWAFVFKLNLGSAGAALSIGVSYWFNVILILIYVKYSSTCKKSHTSLSREVYSTMGEFFRFAVPSAVMVCLEWWSFELIVLLSGILPNPKLETSVLAICFTTTSVHYHIPYSFGAAASVRISNALGGGKPQAARVALSAVLILSVTEVLLASITIFCCRYVWGYVFTYEQEVITYIKDITPLLCLSIILDGTQAVLSGVARGTGWQHIGAYVNLGSYYLVGIPVALLLGFVLHLRGEGLWSGLVAGAFVQSIALSLITGFTNWEKQAVKARQRMFDEQMLPQNEFLE >itb12g24340.t1 pep chromosome:ASM357664v1:12:25915322:25915576:-1 gene:itb12g24340 transcript:itb12g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKNGESRGNGKKRMWGFNVRGKEWSYKTRVASFGGEASHGEPLLMQCAGLRIPRDGGVPLRIGTCIPAFSRPSGAFLLLTWH >itb09g05770.t1 pep chromosome:ASM357664v1:9:3290334:3292908:-1 gene:itb09g05770 transcript:itb09g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETVSSNGEFTEEFEDKTAHKIRVSDHILQYTNPSDSFVVEMDRFSHLTQKTNSRISRSLARKGSVKKTNHQNATNENSIIASSSSPRAASHVTAGAAADSPAAALQPLNQITVVTGGDIIGSSPTERRIGDKKFGFRRLPSSSWTLHPRRILLFFATLSSMGTILLIYFTLSIGTKLGGDETALN >itb13g11550.t1 pep chromosome:ASM357664v1:13:16238498:16240702:1 gene:itb13g11550 transcript:itb13g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSVISLLGGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFVFLVAGHMYRTNFGIGHSMKDLLEAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTSGPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >itb03g26660.t1 pep chromosome:ASM357664v1:3:26261976:26269495:1 gene:itb03g26660 transcript:itb03g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACRSAISGNEVTRDNFAPKREEGQRQSTRGWSSRALPGPPLADCAASAADSTHPSSIADSIASAVDCRQHSIPRPTADSSVVPAASAQAPNAPSASAALNSTQLSTLKATSAPLPNCLVIGLPSSSVESTLCSNPSLSGGLKEIRLRHCSDRSLSLVAQRVECEGNVAEAGIVSEEGGFDHNIGGEQDTEDGIEVEADSGFGSDYYSENETDLSIGSEHITSDSEKRTVCGSRDKSIRKIRVDEEPIPQSVAVGDEIHAPIPRKMTGRPKKKRKLEDDEKNKDKNKLSVEDNKLVLLLLLEEEDAVLLEEEEAMLVEEEDQSQYHLKESQLDCQCVFASMRMTSLTLSECVFPSADAPNSG >itb10g04160.t1 pep chromosome:ASM357664v1:10:3960664:3962481:1 gene:itb10g04160 transcript:itb10g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLRDLYYHHPFQEDERSHAGFLFSGAAATSQIHNTTTTNNPHHAFLDPPSSYMSFTDHLIGATEFGRPGGFGFSSSADAAAFSAVKDEQKPSIMNAGDGGGGGGGGNNNANETPVTPNSSISSSSTEAAGDEDSNKASKRDKQAVDASEDGEDKKETKGKKKAEKKQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCPVKKRVERSYQDPSIVITTYEGQHNHHIPTNLRGTIAGMLPPSLLTPSPLLAGGPPQQISFPPELLAQMTPPHHLFAAHANPFAGAGAGAFHPQNLAQLQLPPDFGLLQDMVPFFKQEP >itb03g11970.t4 pep chromosome:ASM357664v1:3:10837234:10840447:-1 gene:itb03g11970 transcript:itb03g11970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGVVAHKFRYGLKLLPRSASGLQVSVAATVLGVLGLVYAVKDGKFINFLSLQWSSSSSSLSEDSWVVPGLQNLGNNCFLNVVLQALASCKSFRTFLERTVGESPMEGSVGNLSFADALFWLLEELCTLQHGRTVLSPRKLMHAMNDYIPNFNLTSQQDAEEALSHLLSSLREELSESYVHECSSLADVTALPNCRVLALKKMTEKSEFKRWREYFIGPFDGILCSSLMCQSCSFQISLDFQLFQSLHLLPVVNGDGRINKLKITAVTAVGILLQ >itb03g11970.t2 pep chromosome:ASM357664v1:3:10837234:10840447:-1 gene:itb03g11970 transcript:itb03g11970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGVVAHKFRYGLKLLPRSASGLQVSVAATVLGVLGLVYAVKDGKFINFLSLQWSSSSSSLSEDSWVVPGLQNLGNNCFLNVVLQALASCKSFRTFLERTVGESPMEGSVGNLSFADALFWLLEELCTLQHGRTVLSPRKLMHAMNDYIPNFNLTSQQDAEEALSHLLSSLREELSESYVHECSSLADVTALPNCRVLALKKMTEKSEFKRWREYFIGPFDGILCSSLMCQSCSFQISLDFQLFQSLHLLPVVNGDGRIVGRCSVEDCLKQFFVAEQVENYCCNRCWHIAAIKYVSAMCQDQTVIEKLKLCPEQDSCDCKELSCLRALPWSNQFSHTFKQFSIAHSPQILCIHLQRASVNVFGELDKLQVFCAWHLSQKLA >itb03g11970.t3 pep chromosome:ASM357664v1:3:10837234:10840447:-1 gene:itb03g11970 transcript:itb03g11970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGVVAHKFRYGLKLLPRSASGLQVSVAATVLGVLGLVYAVKDGKFINFLSLQWSSSSSSLSEDSWVVPGLQNLGNNCFLNVVLQALASCKSFRTFLERTVGESPMEGSVGNLSFADALFWLLEELCTLQHGRTVLSPRKLMHAMNDYIPNFNLTSQQDAEEALSHLLSSLREELSESYVHECSSLADVTALPNCRVLALKKMTEKSEFKRWREYFIGPFDGILCSSLMCQSCSFQISLDFQLFQSLHLLPVVNGDGRIVGRCSVEDCLKQFFVAEQVENYCCNRCWHIAAIKYVSAMCQDQTVIEKLKLCPEQDSCDCKELSCLRALPWSNQFSHTFKQFSIAHSPQV >itb03g11970.t1 pep chromosome:ASM357664v1:3:10835840:10840447:-1 gene:itb03g11970 transcript:itb03g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHGVVAHKFRYGLKLLPRSASGLQVSVAATVLGVLGLVYAVKDGKFINFLSLQWSSSSSSLSEDSWVVPGLQNLGNNCFLNVVLQALASCKSFRTFLERTVGESPMEGSVGNLSFADALFWLLEELCTLQHGRTVLSPRKLMHAMNDYIPNFNLTSQQDAEEALSHLLSSLREELSESYVHECSSLADVTALPNCRVLALKKMTEKSEFKRWREYFIGPFDGILCSSLMCQSCSFQISLDFQLFQSLHLLPVVNGDGRIVGRCSVEDCLKQFFVAEQVENYCCNRCWHIAAIKYVSAMCQDQTVIEKLKLCPEQDSCDCKELSCLRALPWSNQFSHTFKQFSIAHSPQILCIHLQRASVNVFGELDKLQGHISFPLILDMSPFTKTQVGTKKWERELQIGLMKQQQDQQPFPYSNYLNRVPNPCLLDTQSSLDTTSFNMPSHPHDEGVSSSSSHLSSEHTQKHTYRLVSVVQHFGRVGSGHYMVYRRVAANINSDDDPSGQQGSAHEQWYCISDSEVHNVSENDVLDAEASLLFYEKVSEF >itb02g07030.t1 pep chromosome:ASM357664v1:2:4377397:4379169:1 gene:itb02g07030 transcript:itb02g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKIRLVDQPPSASSTDEDEEQSEREELSDLEVNKSEAEYESESEEEEERAPSTPILNQDHSVSECDESHATLPSPSASDFTIKPILSSKLPPVSPPKPPAPSKSSAKRAAEAIEKDSKKKRSKTGEGDANAEEKKSATSAGSNRLWSDEDQLAILQGMVDYQAQKGAYPDMSELHDFIKDKLHIEVSKIQMSEKLRRLKKKFFTLSEKGDELDFTKPHDSMSFELSKQIWGTASGSVNGKNLNAISNNVELNVKTANGKVKKPAEVRKISEKPVEAKKISEKTVEAKKISEKPVEVKKISEKPVEVKKISESRNSVKVDNYMNIPNEEESEKKRKKTAAKAEDIEKKKKKKQRIAFEEKEERVEQNALEEKDDPTVVEETDKQIALDESDKHIAIDERDKQIALEDKNKQVIKDIVNGEVETEEGDFNSKYPHLANSFIEKNYSWGSHETLNMLKKKLNLIGSSKAEEIEEKWTKLLEEQAELCVKIEGLLSQQMGLVLEAIRQKRGNL >itb06g13700.t1 pep chromosome:ASM357664v1:6:18394843:18395313:-1 gene:itb06g13700 transcript:itb06g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSETCFEPQCLHESRRFEIRATDVAQPQEHKLQVTLNNVLHPGKLKIAIVGFGNFGQFLTKAFISQGHTVLAHSQTNYSLKAHSISANPHDHCEQHPDVILLCTSIISTELVLRSLPIQHLKRNTLFVDVLWVKEFPKNIFLHLLSPHFDILC >itb09g04850.t1 pep chromosome:ASM357664v1:9:2738927:2744790:-1 gene:itb09g04850 transcript:itb09g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHIAANHFNGGPHPGSNDPLYKELWHACAGPLVTVPREGERVYYFPQGHMEQLEASTHQGVDQQLPSFDLPDKILCRVLNVHLQAEPDTDEVYAQITLLPEPDQSEITSPDPPLPEPQQYNVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQQPPWQELVATDLHGSQWHFRHIFRGQPRRHLLTTGWSVFVSAKKLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATAKHAITTGTFFSVFYKPRTSRAEFVVSVNRYLEAQDHKFSVGMRFKMRFEGEEVPERRFSGTIIGVGDNKSCRWPNSKWRNLKVQWDEPSSIYRPDRVSPWDIEPLVATPPQNPQPPQRNKRARQTILASNTQEFPAQGMWKPTVDSPSSFSHIDPSHAMDPYLSVKLSSDSKANNNLGYGENDSLPSVSAKSMYWSNQSKTDSTAPVAEKRQANGYRLFGIELLDHSTVEDTSPVAAPPAVVESHPNPPFDTESDQHSDPSDPTQSDIDPERSSLRSTHESQSKQIRSCTKVHMQGKAVGRAVDLAGFDGYEDLLKKLEAMFEIEGELCGSVKKWQVVYTDDEDDMMMVGDDPWHEFCCMVRKIYIYSNEEAKMLSPKIKLPAKDVKLGKPVSDAAPNITEEQA >itb01g28950.t1 pep chromosome:ASM357664v1:1:33250659:33254069:1 gene:itb01g28950 transcript:itb01g28950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVEDVVPQQVHGPGGDDAGVYYSIDEALSAAEFGRFQYSVLAYAGLGYLMDAMEVMLLSFIGPSVKSLWGLSAAEEGLITTVVFAGMLIGAYSWGVFSDNFGRRKTMLSIAIVTTIFALLSSFSPNYTSLLVLRALVGTGLGGGSVYLCWFLEFVPPKNRGMWMVIFSTFWTVGTILEAILAWVVMPGLGWRWLLVLSSLPSFAAVAFYGFSVESPRYLCTIGRIKDAHSILQRIAEVNQTKLPVGMLVCEQMTVVNQEALLPKQNNSYARYKAGFSSLFSIFSSSLIGTTLLIWVQYFGNAFLYYGVVLMTSELSSDQTSCMSTISDSNDGSSLYRDVFFTSLAEVPGLILAAVLVDKIGRKFSMVFMYALGFLFLLPLVLQQDEALTTLLLSGARMCFLGTFTITVIYCPEIYPTSVRTTGSGVANAVGRIAGMICPIVAVQFISGCEIMAAILLFEVIAVVSGLCVLLFRTETKGQQLSDTVVAS >itb01g28950.t5 pep chromosome:ASM357664v1:1:33250788:33254029:1 gene:itb01g28950 transcript:itb01g28950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVEDVVPQQVHGPGGDDAGVYYSIDEALSAAEFGRFQYSVLAYAGLGYLMDAMEVMLLSFIGPSVKSLWGLSAAEEGLITTVVFAGMLIGAYSWGVFSDNFGRRKTMLSIAIVTTIFALLSSFSPNYTSLLVLRALVGTGLGGGSVYLCWFLEFVPPKNRGMWMVIFSTFWTVGTILEAILAWVVMPGLGWRWLLVLSSLPSFAAVAFYGFSVESPRYLCTIGRIKDAHSILQRIAEVNQTKLPVGMLVCEQMTVVNQEALLPKQNNSYARYKAGFSSLFSIFSSSLIGTTLLIWVQYFGNAFLYYGVVLMTSELSSDQTSCMSTISDSNDGSSLYRDVFFTSLAGTLLLVSV >itb01g28950.t3 pep chromosome:ASM357664v1:1:33250788:33254029:1 gene:itb01g28950 transcript:itb01g28950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVEDVVPQQVHGPGGDDAGVYYSIDEALSAAEFGRFQYSVLAYAGLGYLMDAMEVMLLSFIGPSVKSLWGLSAAEEGLITTVVFAGMLIGAYSWGVFSDNFGRRKTMLSIAIVTTIFALLSSFSPNYTSLLVLRALVGTGLGGGSVYLCWFLEFVPPKNRGMWMVIFSTFWTVGTILEAILAWVVMPGLGWRWLLVLSSLPSFAAVAFYGFSVESPRYLCTIGRIKDAHSILQRIAEVNQTKLPVGMLVCEQMTVVNQEALLPKQNNSYARYKAGFSSLFSIFSSSLIGTTLLIWVQYFGNAFLYYGVVLMTSELSSDQTSCMSTISDSNDGSSLYRDVFFTSLAGTLLLVSV >itb01g28950.t2 pep chromosome:ASM357664v1:1:33250659:33254069:1 gene:itb01g28950 transcript:itb01g28950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVEDVVPQQVHGPGGDDAGVYYSIDEALSAAEFGRFQYSVLAYAGLGYLMDAMEVMLLSFIGPSVKSLWGLSAAEEGLITTVVFAGMLIGAYSWGVFSDNFGRRKTMLSIAIVTTIFALLSSFSPNYTSLLVLRALVGTGLGGGSVYLCWFLEFVPPKNRGMWMVIFSTFWTVGTILEAILAWVVMPGLGWRWLLVLSSLPSFAAVAFYGFSVESPRYLCTIGRIKDAHSILQRIAEVNQTKLPVGMLVCEQMTVVNQEALLPKQNNSYARYKAGFSSLFSIFSSSLIGTTLLIWVQYFGNAFLYYGVVLMTSELSSDQTSCMSTISDSNDGSSLYRDVFFTSLAEVPGLILAAVLVDKIGRKFSMVFMYALGFLFLLPLVLQQDEALTTLLLSGARMCFLGTFTITVIYCPEVIILFYFWIVMRFLF >itb01g28950.t4 pep chromosome:ASM357664v1:1:33250788:33254028:1 gene:itb01g28950 transcript:itb01g28950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVEDVVPQQVHGPGGDDAGVYYSIDEALSAAEFGRFQYSVLAYAGLGYLMDAMEVMLLSFIGPSVKSLWGLSAAEEGLITTVVFAGMLIGAYSWGVFSDNFGRRKTMLSIAIVTTIFALLSSFSPNYTSLLVLRALVGTGLGGGSVYLCWFLEFVPPKNRGMWMVIFSTFWTVGTILEAILAWVVMPGLGWRWLLVLSSLPSFAAVAFYGFSVESPRYLCTIGRIKDAHSILQRIAEVNQTKLPVGMLVCEQMTVVNQEALLPKQNNSYARYKAGFSSLFSIFSSSLIGTTLLIWVQYFGNAFLYYGVVLMTSELSSDQTSCMSTISDSNDGSSLYRDVFFTSLAGTLLLVSV >itb10g19280.t1 pep chromosome:ASM357664v1:10:25145446:25146189:-1 gene:itb10g19280 transcript:itb10g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFILSALATNITTDQSSLLSLKSSTSLSPNNTLANNWSIRASICNWIGVVCGSKHHRVVALDISNMGIVGTLPPQLGNLSFLVSLNISHNNFYGNLPRELASLSRLQYIDFGYNNFTGKIPEEIGNLENAKWLILEVNQLDGPIPFTIFNISTLQNLVLNNNSLSGSLPMKLCQHATRLKVLRLYCNKLSGYIPKNLSSCSELEQLRLAYNSFVGTIPREIGSLNMLQILKLGHNKLEGNIHTFDY >itb14g02680.t7 pep chromosome:ASM357664v1:14:2310433:2313913:1 gene:itb14g02680 transcript:itb14g02680.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb14g02680.t4 pep chromosome:ASM357664v1:14:2308131:2313913:1 gene:itb14g02680 transcript:itb14g02680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHYKDYYVPGYCSMRNHLSEGASSSNWSRFYGDRALTTNGQYCNGFMSRGAMDAYPGYDKDAVKQKMLEHEAIFKNQVLELHRLYRIQRDMMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb14g02680.t6 pep chromosome:ASM357664v1:14:2310040:2313913:1 gene:itb14g02680 transcript:itb14g02680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHYKDYYVPGYCSMRNHLSEGASSSNWSRFYGDRALTTNGQYCNGFMSRGAMDAYPGYDKDAVKQKMLEHEAIFKNQVLELHRLYRIQRDMMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb14g02680.t3 pep chromosome:ASM357664v1:14:2308131:2313913:1 gene:itb14g02680 transcript:itb14g02680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLSEGASSSNWSRFYGDRALTTNGQYCNGFMSRGAMDAYPGYDKDAVKQKMLEHEAIFKNQVLELHRLYRIQRDMMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb14g02680.t5 pep chromosome:ASM357664v1:14:2308162:2313913:1 gene:itb14g02680 transcript:itb14g02680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb14g02680.t2 pep chromosome:ASM357664v1:14:2308131:2313913:1 gene:itb14g02680 transcript:itb14g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHYKDYYVPGYCSMRNHLSEGASSSNWSRFYGDRALTTNGQYCNGFMSRGAMDAYPGYDKDAVKQKMLEHEAIFKNQVLELHRLYRIQRDMMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb14g02680.t1 pep chromosome:ASM357664v1:14:2308131:2313913:1 gene:itb14g02680 transcript:itb14g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAMDAYPGYDKDAVKQKMLEHEAIFKNQVLELHRLYRIQRDMMQEVKRKEPNRMSMEPSSSSNILGSQLPSEDAQKWHMTGFPLAHSSGYARASTCSTEIVNSPLSCAKGNNVVQSGRLQFQNGVSPKSCQALEARPSKVRKKLFDLHLPADQYIDTDDVEQLQDSKESFCPSYPAANGSFTIPQDNGVKLFLGGCETSDSQKDASMSNSCLRSAFGLADLNEPAQFEEAANIAPSSINFLGHCANPAKDAKIPNVTSRPNPGGVLASSREMMWNSHHGSSNGGLISNFSVDSKGKEREWLSYTYDSGNMKNNQFPVSQGFEQNKLPMQSRPAEIGILNKTHQNPGIYPSHGIRDELWRERTGYGLQQQPFERARENPNLSRVEPIGTSLFTSPSPFVSSSEFANSWSHSVSALGKPTSSSSQKLALFHANSSAALSSRNTQPSPNPLTGISRFNPGLGSDLPVKNGFYHGSSSSGSREPSAHLHSLPLDRLNYNKSDNVLSEHSANHKHEKFLVDSNSRDSKSAKGFDLNVTNEVINLQDEEFVGNNERNLEDVAATLPWLKAARPNEGRMQNNQNELFSQNVTSASRGREIKAKEEIGETQNVKKILGVPILENPRFSPKNESSLLVSTSAAIQPSPEEHNRNEKKNFVIDMNMVWELSNGELEDHVTPEPPLVGDKGTGSKDTSIRNHIDLNFCIPEEEEEDQSRPSAATSNVRRKSVIEIDLEAPAASDTEEDNLVEEEHMQNEASLQLPEHKDEQARDEVASFAAEAIVAISSSMQIEEKCRDPSEDPLEKSLQWFANAVSSSADEQLKSKSDKEIRASKDGVLNENSCLEGIDYFEAMTLQLTETKEEDYMPKPFIPEFQYADDIGAASVPNRPRRGQSRRGRQRRDFQRDILPGLASLSRHEVTEDIQTFGGLMRATGHTWTSGLTRRNGTRNGGARGRRRTVVIDTGPPPPPPPAPASSSVAPTPLAQQLSNIEAVLEDKSLTGWGKTTRRPRRQRCPPAGNPPALPLT >itb02g15500.t1 pep chromosome:ASM357664v1:2:11272151:11276496:1 gene:itb02g15500 transcript:itb02g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDIIRKFYSSGRTPLIDEAVPDLDPVNLSTPPFAGIKKVAALSIVEMGFLVTTLIFVAVGVIASLCITICCNRGASTNLLHLTLVLTATVCCWMMWAIVYLAQMKPLIVPILSEGE >itb13g18020.t1 pep chromosome:ASM357664v1:13:25006608:25009686:-1 gene:itb13g18020 transcript:itb13g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFSPALEGLKLLKCERGDIQTKPFLDVCKFVLPILDKFGASMTVVKADISGNISRLDSRYNSNTPRFNYLYSFVQAEVETGTAKSSSSCTNGLLWLTRAMDFIVELFRNLGEHRDWSMSQACSDSYSKTLKKWHGWLASSSFMVAVKLVPDRKKFMEVLGGEDSYGDMENFCTAFTPILKDIHKFLASCGLDNMKSS >itb03g17180.t1 pep chromosome:ASM357664v1:3:15893708:15896768:1 gene:itb03g17180 transcript:itb03g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSNKLFTRPPLFSTKLEPATDYKRSSSVIKCKKHEFRERNLTNLQSVKVSTENRNALIQLHDSKEIDIRRRLNRYCGAGKYDETLYLLQYMVKIGYKPDVVLCTKLIKGLFSVKKAEKAVKVMQLLEQFGEPNVHAYTALIGGFCKMNRVEAANGVLNRMRSRGFFPDVVTYNITIGSICDRGKLGLALKVLDQMKEDNNCKPDVVTYTVLIEATIVKEGISEAIKLVDEMLSRGLQPNIYTYNAILKGLCRERMVDRAYEFVRSLPARGCKPDVFSYNILLRALLDRRNWDDGEKLLKEMSSMGCEPHTFTYNIFVSSLCRDGKLNKAISMLKIMMEKGLTPSKFTYDPLISAFCKEGRLDLAIDLLDDMISNGCSPDIVNFNNILSTMCKNGNADLAIEVFEKLCETCALDVSAYNSMISALWNNGERTKALNMVSQMIDRGIEPNMITYNALISCLCRGAMVDEALELLKDMESCGFPPSVIAYNGVFLGLCKTHRFDEAIDVLEEMIGKGCLPTVTAYMRFIQGIGSQGWRVEAIELATILFQMNVISKKSLLSLKMAFPILDT >itb03g17180.t2 pep chromosome:ASM357664v1:3:15893708:15896011:1 gene:itb03g17180 transcript:itb03g17180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSNKLFTRPPLFSTKLEPATDYKRSSSVIKCKKHEFRERNLTNLQSVKVSTENRNALIQLHDSKEIDIRRRLNRYCGAGKYDETLYLLQYMVKIGYKPDVVLCTKLIKGLFSVKKAEKAVKVMQLLEQFGEPNVHAYTALIGGFCKMNRVEAANGVLNRMRSRGFFPDVVTYNITIGSICDRGKLGLALKVLDQMKEDNNCKPDVVTYTVLIEATIVKEGISEAIKLVDEMLSRGLQPNIYTYNAILKGLCRERMVDRAYEFVRSLPARGCKPDVFSYNILLRALLDRRNWDDGEKLLKEMSSMGCEPHTFTYNIFVSSLCRDGKLNKAISMLKIMMEKGLTPSKFTYDPLISAFCKEGRLDLAIDLLDDMISNGCSPDIVNFNNILSTMCKNGNADLAIEVFEKLCETCALDVSAYNSMISALWNNGERTKALNMVSQMIDRGIEPNMITYNALISCLCRGAMVDEALELLKDMESCGFPPSVIAYNGVFLGLCKTHRFDEAIDVLEEMIGKGCLPTVTAYMRFIQGIGSQGWRVEAIELATILFQMNVISKKSLLSLKMAFPILDT >itb04g23540.t1 pep chromosome:ASM357664v1:4:28560221:28562376:-1 gene:itb04g23540 transcript:itb04g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAKGPAEREAPAPVPQTQPAQGSKKSKK >itb03g23370.t1 pep chromosome:ASM357664v1:3:21557780:21559190:1 gene:itb03g23370 transcript:itb03g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSHILFMLEIDLVCAPLAPLSPHLQLSVSQHPAITSGDLHRRQLLCLRLDLRLLASLPLTASSPSLRKPKICLRYFMLVGFSGDCLGLEYVTGLKFVKDKSAKLKQASKTDHCVGLSVGG >itb06g12570.t1 pep chromosome:ASM357664v1:6:17212361:17221884:1 gene:itb06g12570 transcript:itb06g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWTGVVAINKTTVLCSSNMDVGGHHQHRLKRSLAYEGTSQDPRRNTWRYTQNPRLHDRRFYEPRRWQGIRVDCNNDLQRPNQSYGASQGYDSRFKHNKVSFFDPLTVPAGWLNCPPYGDSIGYLIPSKVPLSEAFNKNISRIKRYTPNQAIYEQRCLCREIGLVIDLTNTFRYYPESDWTSQGIRYVKIRCPGMDFPDDESINRFIDEVTKFKSQPENANKYVFLHCTHGYNRTGYMIVHFLVRIEKITVTEAIAKFSNARSPGIYKKDYIDALYRSYLESKPELVVYPKTPEWKKQPDRREDATSPSHNTVIRASSICNDALRDRASFGDIEKMRYFCNSALDLHVQAWQNTEFPGSLPVPLTRKNLHLLREQCYYVTWKGYGTRYMMLITHDGCYLIDRNFHFQKIQLRFPCKSIFEGITEVTHHYTLLDGAMEFNTEQETQEKRRYIIYDVIAINEVSVAKLPLHERLMLIEDEVIKPRNYERDLLCKGDNAYYQYDLEPFEVRRKEFYHLSASTKLVKEFLPFLSYATDGLLFQAWNNAYRPWAHEGLLKWKYPRGYTVDFLFKVGVNDDYALYLQHYGRNKQMDGCRVEFRDASNLSSYSGKILECSWDPKRGIWVFVRTRRERLAPDSVNTYEKVKQRMEDEIATDTLLSEISEVDIEMVFLVPVPRQVNHLGTKTPIGVNLKIKSGDAWIESMYL >itb09g10480.t1 pep chromosome:ASM357664v1:9:6492577:6495816:-1 gene:itb09g10480 transcript:itb09g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFNGLPSNDMREKTGYFKLPGPENGFANSCESTGALVTKDSLHLNGDNQKGEVSGGTLAEPGEKDLMYMKLKELAINLACSDAPVTGQAVESAALMESDSRYEAVEHDTSQEPNAVLEDLDEKNDKSSVDSTSYPSVIAQLVQGMEEFKAFKETHKLKVDCLEKKLAEAEKEIQQLKSRCVKLELVSNPLMIHADETVSQTVGLDLVTEESIYLVGGYDGETWLSALDLYSPSPNVIKSLQPMNSARSYTSVTKLNGELYALGGGTGCVWYDTVESYDPAKNLWTSHPSLKAKKGSMASATLNDKIFAIGGGNGHDCLSDVEMYDLQVGRWITTRSMQKKRFALAGAELNGALYAVGGYDGSIYLKSAERFDPREHSWTKIKSMNTERGCHALVAMDGKLYALGGYDGSAMVASTEVYDPRLGEWMTTEPMKHCRGYLAAAAINDTIFVIGGVKSGEDIADTIEVYKDGQGWQEKSSGTIGRRCFASAIVLSGDS >itb09g10480.t2 pep chromosome:ASM357664v1:9:6492577:6495816:-1 gene:itb09g10480 transcript:itb09g10480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFNGLPSNDMREKTGYFKLPGPENGFANSCESTGALVTKDSLHLNGDNQKGEVSGGTLAEPGEKDLMYMKLKELAINLACSDAPVTGQAVESAALMESDSRYEAVEHDTSQEPNAVLEDLDEKNDKSSVDSTSYPSVIAQLVQGMEEFKAFKETHKLKVDCLEKKLAEAEKEIQQLKSRCVKLELVSNPLMIHADETVSQTVGLDLVTEESIYLVGGYDGETWLSALDLYSPSPNVIKSLQPMNSARSYTSVTKLNGELYALGGGTGCVWYDTVESYDPAKNLWTSHPSLKAKKGSMASATLNDKIFAIGGGNGHDCLSDVEMYDLQVGRWITTRSMQKKRFALAGAELNGALYAVGGYDGSIYLKSAERFDPREHSWTKIKSMNTERGCHALVAMDGKLYVLFRLSLQCLPFVVLSKLVQQLCWGFLSLLTLISICIPMSPAN >itb12g23690.t2 pep chromosome:ASM357664v1:12:25319938:25322815:-1 gene:itb12g23690 transcript:itb12g23690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVSLEGKIYSCKHCGTHLALSQDVVSRSFQCRHGKAYLFNKVVNVTSGANEDRHMMTGVHTVADIFCVCCGSIVGWKYETAHDKSQKYKEGKSVLERFKISGPDGSSYWTSRETRAPSDTEDV >itb12g23690.t1 pep chromosome:ASM357664v1:12:25319950:25322815:-1 gene:itb12g23690 transcript:itb12g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVSLEGKIYSCKHCGTHLALSQDVVSRSFQCRHGKAYLFNKVVNVTSGANEDRHMMTGVHTVADIFCVCCGSIVGWKYETAHDKSQKYKEGKSVLERFKISGPDGSSYWTSRETRAPSDTEDV >itb09g23380.t1 pep chromosome:ASM357664v1:9:22665495:22669132:1 gene:itb09g23380 transcript:itb09g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTVDQTLRRVLEYVEQLMEMTKNADHLQIRDLMEPPSSSSGGQSPLKRSPKLEDAIMVGHAKEFDEFKGQLLRSSNEQRQVMGLVGMGGIGKTTFARRIYDESAVKSYFDCCGWATMSQDLNNRQVLLDLCRSVMPMRDDLNTKNDGELAEQLQKSLKGRRYLIIVDDIWSTEAWDNVQRCFPENNTSSRILLTTRLQDVASYACSSKSFIYEMRFLNCDESWNLFCQKLLIKESLNKGFETIGRKIVENCRGLPLTVVVLAGHLSANMAVDEWKSVESTLNSLVNFDLPQQFSRILSLSYNNLPCHLKCCFLYLGAFPEDSEIEIKKVVRLWIAEGFIKEVSQKTLEESGEEYLEDLMNRSLIMKSGRSSNTGKVESCKMHDLLHDLCASKAKKQKLLCTREGSELRHHNKFVRSDGNRWLSLKIASHLYQFSIALNKSRSILCFDMGEWNDADWFLNSLASSAKMTTNSFKMLRVMDLTVLDYNGSIPSDIIEVVLLRYLALTSNRLLTSIPLWKNRNLQTLIICEDINGVRQLPRGIWELPQLRHLELYHQLIPMCTPKVVQLNLQTVYWLKSFQCTKQVFLRIPNVKELGIIAQGCRCHRCLDDLNCLKKLEKLKVQGAYCPIKLRPYTFPQNLKEITFAKTLMPWEAMNVISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISFMDLKHWLLADDIDDHPFQKLERLVLRNCFEMKEMPSWIENLSINLKSVQLEQCHASLVSSARMIEEEQRECYGEEYGFQILEFHSTQSEDLAEKDEDEKNSHSADEEMEENEKSINDAEGIEGKQQEIDEAFEEQKYEEDLTRGIVCVVQSLRIEARSLGISWVDDPRYWTWTQNSGYEVAELLRVCWLDIRGTVDTRRLHKMTCYSAYLLYKTKPGHYGIGPARSSLRYVNDNNSNSENQVFLAGMRSYVDSNDEWLEIMLGEFNVRDGNEGVVEIRLWNTENWKSGLVIKAIEVRPK >itb15g19850.t1 pep chromosome:ASM357664v1:15:22345308:22349512:-1 gene:itb15g19850 transcript:itb15g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWSDEDMSMIVTQISVGGFGNDVNAKLLSDYFDEEVGHIWRCRLKTSSTPFDTYPNYEVDPQNVRRMNDYEKVVPHAFVHFVMPSSAISALAAAGRNELVLAGSPLKVSLGPKNPHRMNETRRTVMPLRLEHVRVEMGVMASHGDFVVCWRGPPKGVDFLVDPFNATCRLLFTKDIAFNTPREAKHAVIKCDFKIEFTLKEINKIERYDDLFSLVILLQLASSPLVYYRTADDDIEDLVPFELLDDDDPWIRTTDFTPSMAIGRCNTYRISIPPRKGPTFNKAVEYFGKQRVRIDHPKHKLRVRDKCDFKAPIPCPFFCIQNEEDLSFPVLFLLNAVLHKGIFNQHQMTDEFFKLLRNQPENVNLAALKHICAYKWPVYEASRELMFVQKWLLNNPKLIEGRKELDDIAEVRRLIITPTKAYCLPPEVELSNRVLRKYRDISDRFLRVTFMDEGMRTLNRNVLSFYPAPIVKDITKSSIPQKTVVFMRVKNILKSGFTLCGRQYTFLAFSPNQLRDRSAWFFADGPDIRVPNIKTWMGRFTNKNVAKCAARMGLCFSSTYATFHVRPSEVDGKLPEIERNGYVFSDGIGIISPDLAAKVAEKLQLSVNPPCAYQIRYSGFKGVVACWPGRKDRVHLYLRPSMKKFESTHTVLEICSWTRFQPGFLNRQIVTLLSALAVEDQIFWKMQETMISRLNQMVVDADVAFDILTASCAEQGNTAAIMLSAGFKPQTEPHLQGMLTSIRAAQLSDLRERARIFVPSGRWLMGCLDELGELEQGQCFIQVSSASLENCFVKHGSKFEARKNLKVIQGLVVIAKNPCLHPGDVRILEAVDIPSLHHLYDCLVFPQKGSRPHTNEASGSDLDGDLYFVTWDENLIPPSKQSWEPMEYAAAEVRELPRDVNQSDIIEFFAKNMVNESLGAICNAHVVHADLSEHGALDDKCLKLAELAAIAVDFPKTGKIVNMPNDLKPKMYPDFMGKEEFQTYKSKKILGKLYRRVTDGYDEENDGTSGPMCLPEDAYDPDLEIPGSETFFEDAWSCKCSYDGQVNSLLGQYKVNREDEVVTGHIWSMPKHSSNKIVDMKERLKHAYNNLRKDFRKVFDQIPPDVNQLSDDERNAFYERKASAWYQVTYHRDWVSKSQELQHPNGAGGSVMLSFPWIAADYLAMIKLRCRGMGNADSSKPINALRKYLVDRM >itb11g11420.t1 pep chromosome:ASM357664v1:11:8347384:8353504:-1 gene:itb11g11420 transcript:itb11g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSSNGGGGFGAKLEHFLYSGDKKHVVAGMAIIGALFGVPWYFMNRGSKHQSHQDYMDKADKARSQRLSAVSASRRLSLSIFCPDCPPILTPSLSLSLSLSLSNNESLKDLTSPSLFKPPVSALIFGVFFPVTGRKGLLSFRGGFAVVLQRTRYGKTSTRISSWTNRPNVATVSGDSQI >itb05g24330.t3 pep chromosome:ASM357664v1:5:29140879:29144322:-1 gene:itb05g24330 transcript:itb05g24330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQLGVRTISGAGVLTDYAQTTTTSCRISSSRSYCSSLPLLRRRGCSFQLLRSPNRHCMICRKPSRATVEMSSKSSRGSSGRKSNHSEDRDGDYLEAVVLVSETIKHHRMRLKGFQENTQWESFAHLSPFSVRANDPRGIDVSFIGTGFLRRYQSPTIFLKISCDGDFVLPIIVGEYAVERLIDSLYEDQAGDCPNQFQLIRNLLEKLDYEVKMVKITEKVVNTYFTRILFQKSGETDIISVDARPSDAINVANRCKAPIFVNKEIVLTDAIRMVHGMARSSNAKAIYDVSLDSASDGPDLLSEELVLMRNMNSAVKQERYSEAVMWREKLIKLRESKLEH >itb05g24330.t1 pep chromosome:ASM357664v1:5:29140865:29144326:-1 gene:itb05g24330 transcript:itb05g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQLGVRTISGAGVLTDYAQTTTTSCRISSSRSYCSSLPLLRRRGCSFQLLRSPNRHCMICRKPSRATVEMSSKSSRGSSGRKSNHSEDRDGDYLEAVVLVSETIKHHRMRLKGFQENTQWESFAHLSPFSVRANDPRGIDVSFIGTGFLRRYQSPTIFLKISCDGDFVLPIIVGEYAVERLIDSLYEDQAGDCPNQFQLIRNLLEKLDYEVKMVKITEKVVNTYFTRILFQKSGETDIISVDARPSDAINVANRCKAPIFVNKEIVLTDAIRMVHGMARSSNAKAIYDVSLDSASDGPDLLSEELVLMRNMNSAVKQERYSEAVMWREKLIKLRESKLEH >itb05g24330.t2 pep chromosome:ASM357664v1:5:29140879:29144311:-1 gene:itb05g24330 transcript:itb05g24330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQLGVRTISGAGVLTDYAQTTTTSCRISSSRSYCSSLPLLRRRGCSFQLLRSPNRHCMICRKPSRATVEMSSKSSRGSSGRKSNHSEDRDGDYLEAVVLVSETIKHHRMRLKGFQENTQWESFAHLSPFSVRANDPRGIDVSFIGTGFLRRYQSPTIFLKISCDGDFVLPIIVGEYAVERLIDSLYEDQAGDCPNQFQLIRNLLEKLDYESGETDIISVDARPSDAINVANRCKAPIFVNKEIVLTDAIRMVHGMARSSNAKAIYDVSLDSASDGPDLLSEELVLMRNMNSAVKQERYSEAVMWREKLIKLRESKLEH >itb04g15460.t1 pep chromosome:ASM357664v1:4:16679355:16679690:1 gene:itb04g15460 transcript:itb04g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNAINLINKIAKGTRKHTVHRGRRPSSGLDLPENEKPIRSAERRPIAGGRSGLVWIAGCTPPLATGIRSGNALADHVLISTVSCLYLFLSWNGPPLPPMKNPATTWFPD >itb05g24220.t2 pep chromosome:ASM357664v1:5:29079980:29087002:-1 gene:itb05g24220 transcript:itb05g24220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLKFTVVTAEVDSDYLLVANSLKCIAVIAVAVGKTIFCADVEDVNTSNIFSNKLWGNSDTIVFRTPAQALPYLTRKFFVWLLGGDLAGTTAVFTPSSIFFLCTQESFCRLRSLGGSLKIPVSVELGSYTTTEVMIIPVSVELKPDEEEGVSKLNEIIGSMRLRLNSGDLTVGCIDKEISKSKLLMSCCVNVNCGVRTLIDSRIYADNILQFNRGGVTTEFKQPDSTEKDKKAAQRRVTLFYSSCSTGFCFSKPKVKAGDDEESQLLNSSKTLKKDAAAAALWSDRANDHPVIIGYIDGEAPSSKVLHSCMCCGGGEVG >itb10g23680.t1 pep chromosome:ASM357664v1:10:27912483:27913209:-1 gene:itb10g23680 transcript:itb10g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFFMITIAMSTFHLSGAQYAAYDYVNPHNDARYNVNVTFLAWDEDLESYAQGYARALSPVDCDLIYDGGSYGVNLAKAYPDLDAAGAVKMWVDQKANYDYDSNTCVDGGEECRRYTQVVWGSSTRLGCARARCINGWRLIACLYYPAGNDQERPY >itb10g12910.t1 pep chromosome:ASM357664v1:10:19011236:19018025:1 gene:itb10g12910 transcript:itb10g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESNFGRKPYGRRKARSECKGSDESDEDYMVDENECDESEDEYSSFAGDESEEILGECEDEEEDDEEELERKKVKKVARQRAPQRKKNRAVKPRKRKRVSYREEDDEDYDDDDNDEDFKPDEVYGVDDDDVELPITKGNKKLGRARLHKDISKGEEDDEEDELPMAKGNKKWGKPQLRKSIAKGEEEEDDDDEFTPDEVDGADEDEDELPMTKRNKKVGKSRLHKGIAKGEEEEDDDDEFTPDEVDGADEDEDELPMTKRNKKVGKSRLHKGIAKGEEEEDDDVEFTLDEVDGADEDDEDELPMTKRNKKVGKSRLHKGISKGEEEEDGDAEFTLDEVDGADEDEDELPMTKRNKKVGQSRLHKGIAKGEEEEDDDAEFTLDVVDGADEDEDELPMTKRNKKVGKSRLHKGIAKGEEEEDDDAEFTLDVVDGADEDELPRTKRNKKVGKSRLHKGIAKGEDDDDDDDDEEFTLDEVDCPDDEVDELPMTKRNKKLGKTQLHKGISKGEEDDDVGFASNEXEFTLDVVDGADEDELPRTKRNKKVGKSRLHKGIAKGEDDDDDDDDEEFTLDEVDCPDDEVDELPMTKRNKKLGKTQLHKGISKGEEDDDVGFASNEVDEVDGVDEEDELPMAMGYGKLGKSRLRKGIAEGEEYDDDNDDDYEFTPDEVDDLEDEEDELPKMKGGRKLHKGIAKGEQSKTTSKVSKKIKRRKSRNKCGVKKKERVDEKGKINDMSSLEAKNKIIVEGRRNKRLIVHSDSDSVSSGPLDYEYTISEEEREQVREATEFCGGLTASWRCPAISKNLMEKETPRVQKKRSRRKDKEKVEEWKTEAGKQVCGICLSEEGKRRVRGTLNCCSHYFCFACIMEWSKVESRCPLCKQRFVTISKPAKSNTGFDLRTVVIQVPERDQVYQPSEEELRGYLDPYENVICTECQQGGDDALMLLCDLCDSPAHTYCVGLGHEVPEGNWYCEGCRPTALGTQQNLNLTPENRTSNNFSVGSSPVTNVRETFDLNEMYVPEAPLTQEACHVPSPRIGQAALPASGSGASTVFDRRRIQRQIQQILINNGRMRQIDNRTTGAMTSVAGNYLFGSQIGQFRELAPQPVVAALERAPRTSLHGRRLQENMNAFAATSSHFNEQSIQNQPSTSVQSSFSGLFQGEFGAINSDIGSGLVHQQLHPCSSRPNIVPDASTSPYQFREATVPSRTWHGGYNHHFS >itb12g20910.t1 pep chromosome:ASM357664v1:12:23325596:23330064:-1 gene:itb12g20910 transcript:itb12g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGALAPKLKLSLPPPDEVALSKFLTQSGTFKDGDLLVNKDGIRIISDNHVQTSTVIKPTDNQLSLADFEYVKVIGKGNGGVVRLVQHKWTAQFFALKVIQMNIEESIRRHIAQELRINQSSQCPYVVICYEAFFDNGAISIILEYMDGGSLQDFLKIVKRIPEPYLAAICKQVLKGLWYLHHEKHIIHRDLKPSNLLINHRGDVKITDFGVSVVLASTSGAANTFVGTYNYMSPERIEGGSHGYRSDIWSLGLVLLECATGDFPYTPPRPEEGWDNVYELMETIVDQPEPCAPPDQFSPEFCSFISKCVQKDPKKRLSANELMRHPFISMYDHLDIDLASYFTAVRPPPATF >itb12g20910.t3 pep chromosome:ASM357664v1:12:23325679:23329960:-1 gene:itb12g20910 transcript:itb12g20910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGALAPKLKLSLPPPDEVALSKFLTQSGTFKDGDLLVNKDGIRIISDNHVQTSTVIKPTDNQLSLADFEYVKVIGKGNGGVVRLVQHKWTAQFFALKVIQMNIEESIRRHIAQELRINQSSQCPYVVICYEAFFDNGAISIILEYMDGGSLQDFLKIVKRIPEPYLAAICKQVLKGLWYLHHEKHIIHRDLKPSNLLINHRGDVKITDFGVSVVLASTSGAANTFVGTYNYMSVSIVEY >itb12g20910.t2 pep chromosome:ASM357664v1:12:23325679:23329960:-1 gene:itb12g20910 transcript:itb12g20910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGALAPKLKLSLPPPDEVALSKFLTQSGTFKDGDLLVNKDGIRIISDNHVQTSTVIKPTDNQLSLADFEYVKVIGKGNGGVVRLVQHKWTAQFFALKVIQMNIEESIRRHIAQELRINQSSQCPYVVICYEAFFDNGAISIILEYMDGGSLQDFLKIVKRIPEPYLAAICKQVLKGLWYLHHEKHIIHRDLKPSNLLINHRGDVKITDFGVSVVLASTSGAANTFVGTYNYMSPERIEGGSHGYRSDIWSLGLVLLECATGDFPYTPPRPEEGWDNVYELMETIVDQPEPCAPPDQFSPEFCSFISKCVQKDPKKRLSANELMVLVLLYICYQKSSINLKSS >itb07g21910.t1 pep chromosome:ASM357664v1:7:26431193:26432492:1 gene:itb07g21910 transcript:itb07g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQELRPELGTIIKQTEQDSGSLTFFLPSFLVYIILAVVRAKGFREPARLPPWFPSHFFTDFVAVVDAEGRNAAPLVKPGTPLHDFHFVCCLFEHLLHARKLEFVDVGVRRLRIGSGRPLWVRFLGLMRNRNIGFVGDSLNEYFLVSFLCIQSGE >itb07g07710.t1 pep chromosome:ASM357664v1:7:5888366:5892834:-1 gene:itb07g07710 transcript:itb07g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQNQNNNNNPLLTSIKFYNAPLNLINLLSAFLFFACGLTCGLIFSSYFKSFSLNLQLITAAADQPLLLPPPPLQRTPVAAAAVGLREFLKPPENAMHGMSDEEVLWRASMVPKIREYPFERVPKVAFMFLTRGAVSLAPLWEMFFEGNEGFYSIYVHSDPSFNESAPEGSVFHGRRIPSQEVKWGDVNMIEAERRLLANALLDFSNQRFVLLSESCIPLFNFPTIYSYLMNSTTNFIEVYDLPGSTGRGRYKHRMSPVIKLRQWRKGSQWFEMDRDLAIEVISDDRYFSLFQYYCKGSCYADEHYLPTFVNIRFGRGNSNRSLTWVDWSHGGSHPARYLRYHVTVSFLEMLRSGDKCEYNGKETNVCYLFARKFSLHSLSRLLRFAPKVMRFNEKMKMNS >itb03g13270.t1 pep chromosome:ASM357664v1:3:13468957:13470246:-1 gene:itb03g13270 transcript:itb03g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDYFRWMYEDLWVWRKTGISREMVMSWQRTANFRLVIVNGTAYVEKYSKAFQSRDSFSLWGILQLLRRYPGKVPDLELMFDCGDRPVIQSTTTAPPPPPLFKYCASDETLDISFPDWSFWGWPEVNIKPWEGLLKDLKQGSEKIKWVDREVYAYLKGNPSVDATRQNLHAYVRGLVPLKHYWPIKTRDKCRSIKHAVDWGNTHPQQAQQFGKAGSKFIEDELKMEFVYDYMFHLLTHYAKLFNYQPTLPPQAVQLCSELMLCPAAGLEKQFMMDSMVKTPSRTPPCTMPPPFDPATLHSLLRTKQNSINQVHSWENQYWME >itb04g14980.t1 pep chromosome:ASM357664v1:4:16087352:16106559:1 gene:itb04g14980 transcript:itb04g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLVTTPFRVLEEGSSGTEYNPTDAVIFVGISLVLGIACRHVLRGTRVPYTVALLILGIGLGALDYGKHSQLGKLGNGIRIWANIDPDLLLAVFLPALLFESSFSMEMHQIKRCIVQMVLLAGPGVLISTFFLGCALKLTFPYNWSWKTNLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFLRMVLGWSFNWGSIIRFLLQVSLGAVAIGLAFGVASVLWLGFIFNDTVIEITLTLAVSYVAYFTAQEVADISGVLTVMTLGMFYSAVAKTAFKGEGQQSLHHFWEMVAYIANTLIFILSGVVIADGILRSDNFFKTHEYSWGYLFLLYVLVQVSRVVVVGALFPLLRCFGYGLDWKEATILVWSGLRGAVALSLSLSVKRSSDNSSYINSETGILFVFFTGGIVFLTLIINGSTTQFILRILSMDRLSTAKRRILNYTKHEMLNKALEAFGDLGDDEELGPADWPTVKRYITCLNDLEGERVHPHSSSENDDTLHHMNLKDIRIRFLNGVQAAYWGMLNEGRITQNTANILMQSVEEAIDLVPHVALCDWKGLRAYVNIPNYYKFLQTSIVPQKLIMFFTVERLESACYICAAFLRAHRIARQQLNDFIASGGHHQPLLESFAGHYCSPQLPSPEKMEVQRSLLLLLFCCTESEKEMGTKSRKLIKTGRTP >itb12g07700.t2 pep chromosome:ASM357664v1:12:5903060:5912344:1 gene:itb12g07700 transcript:itb12g07700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MTMDREKEREIELESAMYTNCLLLGLDPSIIGIGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPTQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASSPLPASLTDVAFSHAASLLPVTKARIALERRRFLKNAETAVRRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDELVSSSSQNSHMVQRATRLWDSLLSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSAVPRDIIASHSDKNDENDRSHEDVIRENYKANSDSSHIQGNDEKFSRMDDRTSRGHPTVDVAEVLRRWTHSLQRIHKQSLQLAKANDGEGPELLRNSHDGGTSSHAESLAATLAEHQQHLASIQVLINQLKEVAPAIQNSISELTEEVTSISSSMPALTRHHGRSNSPIQAQSSGRALDNSNDEVAEMNSRLSAIQLEKVSASPPALKLPPLFSLTPNSSGKGGNTQKRQMPAQNSHIENISEKKFLDQPLLNNHVDNPSQDNDIYFVQNLKRSVREAALLSQSYNPDSSQDSRADDSSDHYFIPLSGAGFTRVGQENKSSLSSAKQLFASGSDSSYLVTSGSENNVGGKSDGIPDLLNDLDSFDDFDAVNGFLSAGGSNSSVSDAPRSFYDMDETPNEVFSPPLLMDASFLADGYEDLLAPLSETDAALIEH >itb12g07700.t3 pep chromosome:ASM357664v1:12:5903060:5912344:1 gene:itb12g07700 transcript:itb12g07700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MTMDREKEREIELESAMYTNCLLLGLDPSIIGIGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPTQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASSPLPASLTDVAFSHAASLLPVTKARIALERRRFLKNAETAVRRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDELVSSSSQNSHMVQRATRLWDSLLSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSAVPRDIIASHSDKNDENDRSHEDVIRENYKANSDSSHIQGNDEKFSRMDDRTSRGHPTVDVAEVLRRWTHSLQRIHKQSLQLAKANDGEGPELLRNSHDGGTSSHAESLAATLAEHQQHLASIQVLINQLKEVAPAIQNSISELTEEVTSISSSMPALTRHHGRSNSPIQAQSSGRALDNSNDEVAEMNSRLSAIQLEKVSASPPALKLPPLFSLTPNSSGKGGNTQKRQMPAQNSHIENISEKKFLDQPLLNNHVDNPSQDNDIYFVQNLKRSVREAALLSQSYNPDSSQDSRADDSSDHYFIPLSGAGFTRVGQENKSSLSSAKQLFASGSDSSYLVTSGSENNVGGKSDGIPDLLNDLDSFDDFDAVNGFLSAGGSNSSVSDAPRSFYDMDETPNEVFSPPLLMDASFLADGYEDLLAPLSETDAALIEH >itb12g07700.t1 pep chromosome:ASM357664v1:12:5903060:5912344:1 gene:itb12g07700 transcript:itb12g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUG6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/TrEMBL;Acc:A0A178UQ56] MTMDREKEREIELESAMYTNCLLLGLDPSIIGIGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPTQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASSPLPASLTDVAFSHAASLLPVTKARIALERRRFLKNAETAVRRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEQWDELVSSSSQNSHMVQRATRLWDSLLSRKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSAVPRDIIASHSDKNDENDRSHEDVIRENYKANSDSSHIQGNDEKFSRMDDRTSRGHPTVDVAEVLRRWTHSLQRIHKQSLQLAKANDGEGPELLRNSHDGGTSSHAESLAATLAEHQQHLASIQVLINQLKEVAPAIQNSISELTEEVTSISSSMPALTRHHGRSNSPIQAQSSGRALDNSNDEVAEMNSRLSAIQLEKVSASPPALKLPPLFSLTPNSSGKGGNTQKRQMPAQNSHIENISEKKFLDQPLLNNHVDNPSQDNDIYFVQNLKRSVREAALLSQSYNPDSSQDSRADDSSDHYFIPLSGAGFTRVGQENKSSLSSAKQLFASGSDSSYLVTSGSENNVGGKSDGIPDLLNDLDSFDDFDAVNGFLSAGGSNSSVSDAPRSFYDMDETPNEVFSPPLLMDASFLADGYEDLLAPLSETDAALIEH >itb11g03600.t1 pep chromosome:ASM357664v1:11:1916757:1923553:-1 gene:itb11g03600 transcript:itb11g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVEKMASIDAQLRLLAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELSAEYEGKQDTQKLEELGRVVTSLDAGNSIVVTKAFSNMLNLANLAEEVQIASRRRSKFKKRDLSDEASATTESDIEETLRRLVGQLNKSPEEVFDAIKNQTVDLVLTAHPTQSVRRSLLQKHARIRDCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSQIEDLMFELSMWRCSEELRGRADDLHRTSKRDVKHYIEFWKQIPPNEPYRVILADVRDKLYSTRERARQLLANGFSDIPEEAAFTNVEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITLHLGIGSYKEWSEERRQEWLLSELGGKRPLFGPDLPKTEEIADVLDTFHVLAELPSDNFGAYIISMATAPSDVLAVELLQRECHVKNPLRVVPLFEKLDDLEAAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKTQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRYTAATLEHGMNPPIAPKPEWRALLDEMSVVATAEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIDKDIRNLQMLKDMYKEWPFFRVTLDLVEMVFAKGDPGIAALYDKLLVSKDLWPFGEQLRTKFQEAKSFLLQVAGHKDLLEGDPYLRQRLRLRDAYITTLNVSQAYTLKRIRDPSYNPHLAQEITESRKPATELVMLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >itb08g00100.t1 pep chromosome:ASM357664v1:8:117596:119348:1 gene:itb08g00100 transcript:itb08g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKKLTALVLFLCTIFHVGHCRLIIDGLVPNGNFEQGPQPSQMKGSRVIDPQAIPKWVISSGFVEYIKSGEKQGEMVLVVPQGSYAVRLGEDASITTTVRVIKGGYYSLSFSFVRTCAQEERLNVSVTPNSEPNDWGMLPLQTMYSSTGFDTYSWGFLAESNRIQIVLHNPAVEKDPSCGPIIDSVALKLLNPPRRLRGNMLKNGDFEEGPYILPNTSWGVLIPPNIEDDHSPLPGWIIESLKAVKYIDSNHFLVPSGTRAVELVAGRESALVQIVRTIPGKVYDLMFSVGDANNSCEGSMGVEAFAGKLTLKVPYSSKGKGGYLRAKHRFTAVSNRTRIRFLSSFYHMKSDNSGSLCGPVIDDVRLVGVRNPRIHF >itb14g04910.t1 pep chromosome:ASM357664v1:14:4251530:4254346:1 gene:itb14g04910 transcript:itb14g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTSPTAACDGDSESTALLNPKAPKDSFHLGYIIYFTLGTGYLLPWNAFVTAVDYFSYLYPDASVDRVFAVVYMVVGLICLLLIIAFAHKSSSFVRINVGLALFVVALLAVPLMDVFYVKGSVGVLGGYYLTVGMVGLCGLADGLVQSGVIGAAGELPDRYMQAVVAGTAASGILVSLLRILTKAVYPQDADGLRKSSNLYFIVSIAVMVLCIVFYNVAHKLPVIQYYNDLKAQAVNEEKEEKGNLSTKLWIATLWDIIGTIKWYGFGILIIYVVTLSIFPGYITEDVHSQILDDWYPILLITGYNVFDLVGKSLTALYAIGKAKVAIAACFARLLFLPLFYGCLHGPEFFRTEIPVTILTCLLGLTNGYLTSVLFILAPKTVQLQHAETAGIVVVLFLVIGLAAGSVLSWFWVI >itb10g03460.t1 pep chromosome:ASM357664v1:10:3191109:3193739:-1 gene:itb10g03460 transcript:itb10g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKGSKAKSNSKLGKSHKKKLEKLKEEEKKAILLSESLETMAKYKIGDNAYSLMLSSSSLGQAETTREKRRREVQYSKAGLEVPSDDRPSKKKTANSILCDAEQESDGMQCTFVVNDCPLQSPVSKDVSDTSSYLGTSHWQNKPHAFDGDSFTPIRHATDETNEPLMPKYMQNPLSTSSCHDEEKTRIMVGVDENQKAKLADCHPPRSFIAPTVVHVSRPTEVEDKRKDLPIVMMEQEIMEAINENTCVIICGETGCGKTTQVPQFLYEAGFGSKVMMVEGALLVLPSPAVLLYLQLPNEWHLSLAPYRKRGWLSSSA >itb10g03460.t2 pep chromosome:ASM357664v1:10:3191109:3193739:-1 gene:itb10g03460 transcript:itb10g03460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATADAAAAPESDDALCSSRLSPHLSLAASQLSTAAILTVFSLHCVILFSYGSKAKSNSKLGKSHKKKLEKLKEEEKKAILLSESLETMAKYKIGDNAYSLMLSSSSLGQAETTREKRRREVQYSKAGLEVPSDDRPSKKKTANSILCDAEQESDGMQCTFVVNDCPLQSPVSKDVSDTSSYLGTSHWQNKPHAFDGDSFTPIRHATDETNEPLMPKYMQNPLSTSSCHDEEKTRIMVGVDENQKAKLADCHPPRSFIAPTVVHVSRPTEVEDKRKDLPIVMMEQEIMEAINENTCVIICGETGCGKTTQVPQFLYEAGFGSKVMMVEGALLVLPSPAVLLYLQLPNEWHLSLAPYRKRGWLSSSA >itb13g05180.t1 pep chromosome:ASM357664v1:13:6144697:6154673:1 gene:itb13g05180 transcript:itb13g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSFLVKQDGGACPEGVAASTGLGDLPESCVALVLAHLDPKQICRLAMLNRAFRGASFADFVWESKLPVDYWGLVRRVFRDENFPGNLCKRDIFARLCTPNSIDGGTKVVWLDKSTGRVCLSIASNGLAITGIDDRRYWSRIPTEESRFHSVAYLQQIWWFEVDGEVEFPFPAGSYAVFFRLHLGRVSKRFYGRVCNSEHVHGWDKKPVRFQLSSSDGQQATTECYLSEAGKWVYQHAGDFVVSDSGKTMKLKVSMTQIDCTHTKGGLCVDSLLVCPAEYKPRFHSVAYLQQIWWFEVDGEVEFPFPAGSYAVFFRLHLGRVSKRFYGRVCNSEHVHGWDKKPVRFQLSSSDGQQATTECYLSEAGKWVYQHAGDFVVSDSGKTMKLKVSMTQIDCTHTKGGLCVDSLLVCPAEYKPRLKRL >itb01g34790.t1 pep chromosome:ASM357664v1:1:37306206:37306595:-1 gene:itb01g34790 transcript:itb01g34790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKFTSSRRVGEGRNEGRRLLPSFAADRHRSASTAELPVGDRRLPVAATGCCDASEERTPGRSGWICLMVLAATPPRRGDRRGEAGFALHLMDQIQ >itb07g24420.t2 pep chromosome:ASM357664v1:7:28708745:28711217:-1 gene:itb07g24420 transcript:itb07g24420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVFKDEVDGRLCFTGLTAEKDVDVHLLSTQAGNKIVATFWKHPYARFTILYSHGNAADLGQMIELFMELRAHLRINIMSYDYSGYGRSCGKPTELNTYYDIEAVLGCLKSEYEIKTEDMILYGQSVGSGPTLHLASCLQRLRAVVLHSAILSGIRVLFPVKTTLWFDIFKVIKFFFSFPIHFISAAHQHLKARLNLSSLICRI >itb07g24420.t1 pep chromosome:ASM357664v1:7:28708629:28711217:-1 gene:itb07g24420 transcript:itb07g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVFKDEVDGRLCFTGLTAEKDVDVHLLSTQAGNKIVATFWKHPYARFTILYSHGNAADLGQMIELFMELRAHLRINIMSYDYSGYGRSCGKPTELNTYYDIEAVLGCLKSEYEIKTEDMILYGQSVGSGPTLHLASCLQRLRAVVLHSAILSGIRVLFPVKTTLWFDIFKNIDKIRQVSCPVLVIHGTADEVVDFSHGKRLWELSKEKFDPLWIQDGGHCNLETFPEYIMHLRKFMNAMEKHSVSKRCQAELTQSLSITESKRTSRCWLIRFGKR >itb08g01960.t1 pep chromosome:ASM357664v1:8:1552588:1553898:-1 gene:itb08g01960 transcript:itb08g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGVLGMAPPTALAVAPVVVEGKVAGMVEAAEGEVAVEVVMGLVGSMVVGMGVGVVREEVWEGMGVVDMVVGVEVEKVVEEVMPPVESMVVDMGVGVVKEEVREGMGVVENMALDMVVEVVVERVVEAVMAPVESMAVVMEAAVVKAEAPDMVEVVVGAVAVVAHTAVDMEAVKGPEAGTAVGEDKAVARVVVTQEEPEKYPAVAEDTEAARAEVTPEEHPAVAEDKEAVRVEVTPEEHPAVAEDKEAALAEVTPEEHPAVAEDMEAVRVVVTPEEPEKHPAVVVVEDTVAVGVTPEEPAEHPVVDMVVEGARVGEQEEDTPVAAAPAVELVEEVPTAEEVSTPVDMVVAPAVVMVVATLLKIYYPNISIVSALQLLQMEAWKDSSSCLWNNKNINNVLN >itb03g17170.t1 pep chromosome:ASM357664v1:3:15890958:15893031:1 gene:itb03g17170 transcript:itb03g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYFSTEIFTQTPLFFKPTTTEYKHSIPVIKCNNHLNRERQRRNPQRIKVSTDNRNTEIQFNDFTEANFMKVLNSSCRAGNYDETVYILECMVKGGYKPDVYLCTKLIKELFSVKKGEKAVKVVQILEQFREADVFVYNALIVGFCRMNKVGAAKEVLNRMRAQGFRPNVVTYNIIIGTHCDRGELGLAFKVLDELKEDNILQPNVVTYTTLMEATLRKGAISEAMRLLDEMLCLGLQPNLYTYNAILRGLCREGMFGEAHELVRSLPDGRSKGRSNSYNILLKALLSRGNWDDGEKLVEEMLSTGCEPNMVTYNIFMSSLCRDGKLNEAISLLKIMTETGVTPNQIIYDPLICAFCKEGRLDLAIDLVDTMVSNGCSPDIVNYNHIISAMCKNGNDDLATGVIEELSAIGCPVDASTYSAMMCALWSNGESTKALNMVPQMIAKRIYPDETTYGALVSRLCKGGMVDEALHLLDVMERYGFPPTVVVYNAVLLGLCKSHRLDEAIDILEEMTERGCQPNEKTYIILIKGIGFHGWRDEAIKLETTLFQMNAISRIGNQAFVW >itb03g17170.t2 pep chromosome:ASM357664v1:3:15890958:15893021:1 gene:itb03g17170 transcript:itb03g17170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLNSSCRAGNYDETVYILECMVKGGYKPDVYLCTKLIKELFSVKKGEKAVKVVQILEQFREADVFVYNALIVGFCRMNKVGAAKEVLNRMRAQGFRPNVVTYNIIIGTHCDRGELGLAFKVLDELKEDNILQPNVVTYTTLMEATLRKGAISEAMRLLDEMLCLGLQPNLYTYNAILRGLCREGMFGEAHELVRSLPDGRSKGRSNSYNILLKALLSRGNWDDGEKLVEEMLSTGCEPNMVTYNIFMSSLCRDGKLNEAISLLKIMTETGVTPNQIIYDPLICAFCKEGRLDLAIDLVDTMVSNGCSPDIVNYNHIISAMCKNGNDDLATGVIEELSAIGCPVDASTYSAMMCALWSNGESTKALNMVPQMIAKRIYPDETTYGALVSRLCKGGMVDEALHLLDVMERYGFPPTVVVYNAVLLGLCKSHRLDEAIDILEEMTERGCQPNEKTYIILIKGIGFHGWRDEAIKLETTLFQMNAISRIGNQAFVW >itb07g11030.t1 pep chromosome:ASM357664v1:7:12463837:12465443:1 gene:itb07g11030 transcript:itb07g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRISQLPTEILDNILGFLPIVEAARTAVLSTIWRDCWSNLTQLNFDDQFFAYVRKKYPDSYNRTSTCMYVINKVLRQHHGPIRKFVLDFKGDVSIIGDGPNSRKFDFDQWFLFITQKGVEEMSIAFPNPDTDEIICEEYKYFRLPNCIFSCLTLKRLDLSAVLVAPTINVPCIFPNVTSLSFVGVVFDRINLDCVVDVPMLETISFSQCEDVEYFNIKAPRLGSLTLKDYEIEEDIEVYCSITPVNMNLSFIHTLCLNFSFQHFASKLTRWGPELNVECLELAYVQFCDDDDFSSFIHSLQICPKLRKLDIIMTVLIGAEISKYTLLEGFKSAAKRLKMLHTLKLRSFTGSESELLFIKMLLACFHALEKVVIIRDKVKFDSNNEFKVMQKLFNFPRASRNAKIIYI >itb14g03550.t1 pep chromosome:ASM357664v1:14:3131110:3134225:-1 gene:itb14g03550 transcript:itb14g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIKVPKAKLNSGHQMPLLGMGSGSLSLPEAEQLTSIFIQAIEMGYRHFDTAAVYGTEVALGRAVAAAVQQGLVASRDQLFITTKLWCSENERHLVVPALRRSLGRLGLDYVDLYLIHWPLRLKDCIEDAKFKTENVVSFDMKGTWEGMEECCNLGLAKSIGVSNFTSKKISQLLQNATIPPAVNQVEMSVAWQQSKLLEFCREKGIQVSAWSPLGANDAPWGNPGLLEIPQLKDAAMTKHKTTAQVALRWIYEQGACPIVKSFNKERMKQNLQIFTWELGEEEIGKIQQIPQRRGCRANTFVHPNGPYKSVEELWDGDI >itb15g16080.t1 pep chromosome:ASM357664v1:15:15178257:15191097:1 gene:itb15g16080 transcript:itb15g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEAVEAEDEVGGGRAWGRRRQRMRSEKVEGMGGCGVDALNSLKSEWEIVPPNWNGSDPCGDHWDGIKCFDSHVVSISLASNSFNGLIPHSIGNLSKLSWLDLSDNELTGTIPVSNHMQPGLDQLLSVEHFHLAKNQLSGTIPPKLFNPYMMLKHVILDHNQLSGEIPQTLGLVQTLEVVRLDSNLLNGSIPDNLNNLTNLHELYLSNNILTGPTPNLTGMNYLYYLYMVNTNLKGPIPADVLSLPQLETMLSGNPICRGNKATEKYCIVQKKNSTLLSLNYCSAAQDCDSGKIQSPTCMCSHPQTGTLHFFSYSFSNFENWTYYITLDNSLESAFISSGLPVESVSVTDLTIDVYSYLQFRVKVFPYAKDTFNRTEVSDIGFLFNYQDFPLQQYGPYLYIADDYCCFGGVNKSSHTSIIVGVSVVTSVLFLLIVCSAIYAFLQRSRAKRATKKSNPFASWDGDKSGSIPQLKGVRWFSFEMIRKCTDNFSDTNCIGSGGYGKVYRGLLAPGELVAIKRAQQGSLQGALEFKTEIELLSRIYHKNVVNLVGFCYEQGEQMLVYEYIPNGTLRESLSGKSGIQLNWMRRLKIALDAARGLAYLHELADPPIIHRDVKSNNILLDDNLNAEVADFGISKLLGDSDKGHVSTQVKGTFGYLDPEYYMTQQLTDRSDVYSFGVVLLELITAKPPIERGNHIVKVVSEAIDDQSNSRKLDQVIDEILTPCTNLKGLQKFINLAMSCVRESAAERPSMGQVVREIENIIQMAEAVLLTSSSSFTSSSSFDGINDGWNSN >itb06g17770.t1 pep chromosome:ASM357664v1:6:21529218:21530739:1 gene:itb06g17770 transcript:itb06g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MYAKLLAVVLTCAHLAFATSAASQHGLNIRQVTDDEIPAAGENLLGTATEVRFKSFMQEHGKAYDTHEEYVYRLGVFAKNLIRAAEHQILDPTAVHGVTRFSDLTADEFEKMYMGVLKGPARDSLLGGKEEAPRLDVSGLPDNFDWRDKGAVTEVKMQGSCGSCWAFSTTGAIEGANFIATGKLLNLSEQQLVDCDHTCDIKDKSACDDGCSGGLMTNAYEYLIESGGIEEEASYPYTGKSGDCRFESEKVAVKVGNFTNLPADEDQMAAYLVNHGPLAVGINAVYMHTYMGGVSCPLICSKRRLNHGVLLVGYGKKGFSILRLGFKPYWIIKNSWGKDWGEEGYFRMCRGHGMCGINTMVSAVITQ >itb12g23140.t1 pep chromosome:ASM357664v1:12:24998266:24999917:1 gene:itb12g23140 transcript:itb12g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCGGETETSTPVEPSSEGARRRRMEIHQFRFVAADATVAPPSENGRKRKRVEVEVEVSPVFAGGESEEFGSGRKVAKSKDSGSPESVVFGGVLDEPRFGITSVCGRRREMEDAVAIHPFFSRKPDGNSNDLHFFGVYDGHGCSHVAVKCRDRMHEIVKGELERGGDSGESTWQETMSRSFSKMDQEVADMTTGATGGARSSCRCELKSLHCDAGSTAVVAVVTPENIVVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELKRIQDAGGYVINWDVPRVGGLLAMSRAIGDSYFKPYVTSEPEVTVTERTGDDECLILASDGLWDVVSNETACNVARMCLKNAKPPSPSRSPGSDVTVTAAGDSSDDACSDASILLTKLALARHSTDNVSVVVVDLRRDV >itb12g23140.t2 pep chromosome:ASM357664v1:12:24998266:24999917:1 gene:itb12g23140 transcript:itb12g23140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCGGETETSTPVEPSSEGARRRRMEIHQFRFVAADATVAPPSENGRKRKRVEVEVEVSPVFAGGESEEFGSGRKVAKSKDSGSPESVVFGGVLDEPRFGITSVCGRRREMEDAVAIHPFFSRKPDGNSNDLHFFGVYDGHGCSHVAVKCRDRMHEIVKGELERGGDSGESTWQETMSRSFSKMDQEVADMTTGATGGARSSCRCELKSLHCDAGSTAVVAVVTPENIVVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELKRIQDAGGYVINWDVPRVGGLLAMSRAIGTPKFPQKLPYFILHRSDQRNSILFSLFFFRRQLF >itb12g23140.t3 pep chromosome:ASM357664v1:12:24998266:24999917:1 gene:itb12g23140 transcript:itb12g23140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKCGGETETSTPVEPSSEGARRRRMEIHQFRFVAADATVAPPSENGRKRKRVEVEVEVSPVFAGGESEEFGSGRKVAKSKDSGSPESVVFGGVLDEPRFGITSVCGRRREMEDAVAIHPFFSRKPDGNSNDLHFFGVYDGHGCSHVAVKCRDRMHEIVKGELERGGDSGESTWQETMSRSFSKMDQEVADMTTGATGGARSSCRCELKSLHCDAGSTAVVAVVTPENIVVSNCGDSRAVLCRNGVAIPLSVDHKVNPRGGNF >itb06g19040.t1 pep chromosome:ASM357664v1:6:22510237:22511084:-1 gene:itb06g19040 transcript:itb06g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPQILLDFNSKGLLLLRTWSKCAFGQAATSIKFFHSRKCLIGGFSPLEIFLFPLSIVLSEQFSLNDLHTRPNANSF >itb05g28040.t2 pep chromosome:ASM357664v1:5:31188263:31196084:1 gene:itb05g28040 transcript:itb05g28040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G79560 protein [Source:Projected from Arabidopsis thaliana (AT1G79560) UniProtKB/TrEMBL;Acc:B9DHQ6] MDVSTTIRQCTSPLHSSQIKLNIGKQAYSNLLFHSRHLFNHRRNLKLSRRRRPIFLASFSSSSDGPQGFSWLHLSQSIRRGSQRFLKKLGESVKKETGFDVEDAKVRASEFANNANDSATKGQAQLNRFRFELFPEFIEWNRWELWKDIKNWEPKRVAVLLLYVVVTVFSCQRIYMAIRAPIINRERKELTEAYMEALIPEPTPANIKRFNKGLWRKNIPKGLKLKKFIEGPDGDLIHDSSYVGEHAWEDDSGGPEHNIKEIIDREVAMNSETKEALKEDIGISAAENRDSKGNWRERLKVWNDILRKEKLAEQLDSLNARYVVEFDMEEVKNSLRKDVVEKTSETQGTRALWISKRWWRYRPKLPYTYFLQKLDSSEVAAIVFTEDLKRVYVTMKEGFPLEYTVDIPLDPFLFEMITSSGAEVDLQQKRQMHYFLKVIFALLPGILILCFIRESAILLHITTNRVLYKKYNQLFDMAYAENFILPVGEVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKQSGMPFVFASGAEFTDSEKSGVARINEMFSLARRNAPSFVFVDEIDAIAGRHARKDPRRRETFEALISQLDGEKEETGVDRFSLRQAVIFICATNRPDELDLEFVRRGRIDRRVYIGLPDAKQRVQIFGVHSAGKQLSDDVDFEKLVFRTVGYSGADIRNLVNEAGIMSIRKGHSRIYQQDIMDVLDKQLLEGMGVLLTEEEQQKCEQNISLEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREEMVDQGYTTFGYMKMQMVVAHGGRCAERIVFGDDITDGGTDDMEKITKIAREMVISPRNSRLGLTALTKKVGLGDRPDDPDGEIIKYKWDDPYVIPANMTVEVSELFTRELTRYIEETEALAMKGLMANRHILDIIVNELLEQSRITGLNVEEKMKGLSPVMFEDFVKPYQINLEEEGPLPHNDRLRYEPLDVYPAPLHRC >itb05g28040.t1 pep chromosome:ASM357664v1:5:31188058:31196179:1 gene:itb05g28040 transcript:itb05g28040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G79560 protein [Source:Projected from Arabidopsis thaliana (AT1G79560) UniProtKB/TrEMBL;Acc:B9DHQ6] MDVSTTIRQCTSPLHSSQIKLNIGKQAYSNLLFHSRHLFNHRRNLKLSRRRRPIFLASFSSSSDGPQGFSWLHLSQSIRRGSQRFLKKLGESVKKETGFDVEDAKVRASEFANNANDSATKGQAQLNRFRFELFPEFIEWNRWELWKDIKNWEPKRVAVLLLYVVVTVFSCQRIYMAIRAPIINRERKELTEAYMEALIPEPTPANIKRFNKGLWRKNIPKGLKLKKFIEGPDGDLIHDSSYVGEHAWEDDSGGPEHNIKEIIDREVAMNSETKEALKEDIGISAENRDSKGNWRERLKVWNDILRKEKLAEQLDSLNARYVVEFDMEEVKNSLRKDVVEKTSETQGTRALWISKRWWRYRPKLPYTYFLQKLDSSEVAAIVFTEDLKRVYVTMKEGFPLEYTVDIPLDPFLFEMITSSGAEVDLQQKRQMHYFLKVIFALLPGILILCFIRESAILLHITTNRVLYKKYNQLFDMAYAENFILPVGEVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKEVKFVRGVLLSGPPGTGKTLFARTLAKQSGMPFVFASGAEFTDSEKSGVARINEMFSLARRNAPSFVFVDEIDAIAGRHARKDPRRRETFEALISQLDGEKEETGVDRFSLRQAVIFICATNRPDELDLEFVRRGRIDRRVYIGLPDAKQRVQIFGVHSAGKQLSDDVDFEKLVFRTVGYSGADIRNLVNEAGIMSIRKGHSRIYQQDIMDVLDKQLLEGMGVLLTEEEQQKCEQNISLEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREEMVDQGYTTFGYMKMQMVVAHGGRCAERIVFGDDITDGGTDDMEKITKIAREMVISPRNSRLGLTALTKKVGLGDRPDDPDGEIIKYKWDDPYVIPANMTVEVSELFTRELTRYIEETEALAMKGLMANRHILDIIVNELLEQSRITGLNVEEKMKGLSPVMFEDFVKPYQINLEEEGPLPHNDRLRYEPLDVYPAPLHRC >itb13g19480.t5 pep chromosome:ASM357664v1:13:26485288:26494401:1 gene:itb13g19480 transcript:itb13g19480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDKPPRRFSIFGFPAGPGGGGDGGAAAAEGRSKGNADDDLPPPQLKLEVDREVYRPGDPVTITVEINNPTPSCSLLIERLAFEIKGIEKLDTQWFSTAKPSPDSKQRRGEFVFMDYVTPALVSNKVVCSGATVKFVVRTILPSIIPPSYRGATIRYLYYVRSTLRGQYLLVGNGHFREESIRDLAELETRIPLQIWVTQKGNGLQNDEGETDGIVPTSTIMLDVYLKEMDGDSEWAKVNETFDGIEDDYDSMRDEVSSVSSYSTMKENIHKTFGSSLSLPSSSARSSRKDLSYLEGHSSIPSPLTLPRLSVAEISFDSGVADVLSSSKSEAVSFPSHRLKQSKSFPREDESTSPSVSGTGESGASEGLYRGRSYNIRLDDQVLLRFSPKNSETTYYFSDMIGGTLTFFHEEGTRRCLELSITLEMTETISRRFVHPSRRHAPSITKVHSDHYEVVADLVQTSFLFSIPMDGPMSFSTRYASVQWVLRFEFFTSPKNVDWTRFEHPLLIDGRDKCEWVLPITVHAPPAGAAAIQAQNEKSLSLDPLWIRT >itb13g19480.t3 pep chromosome:ASM357664v1:13:26485319:26494248:1 gene:itb13g19480 transcript:itb13g19480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDKPPRRFSIFGFPAGPGGGGDGGAAAAEGRSKGNADDDLPPPQLKLEVDREVYRPGDPVTITVEINNPTPSCSLLIERLAFEIKGIEKLDTQWFSTAKPSPDSKQRRGEFVFMDYVTPALVSNKVVCSGATVKFVVRTILPSIIPPSYRGATIRYLYYVRSTLRGQYLLVGNGHFREESIRDLAELETRIPLQIWVTQKGNGLQNDEGETDGIVPTSTIMLDVYLKEMDGDSEWAKVNETFDGIEDDYDSMRDEVSSVSSYSTMKENIHKTFGSSLSLPSSSARSSRKDLSYLEGHSSIPSPLTLPRLSVAEISFDSGVDVLSSSKSEAVSFPSHRLKQSKSFPREDESTSPSVSGTGESGASEGLYRGRSYNIRLDDQVLLRFSPKNSETTYYFSDMIGGTLTFFHEEGTRRCLELSITLEMTETISRRFVHPSRRHAPSITKVHSDHYEVVADLVQTSFLFSIPMDGPMSFSTRYASVQWVLRFEFFTSPKNVDWTRFEHPLLIDGRDKCEWVLPITVHAPPAGAAAIQAQNEKSLSLDPLWIRT >itb13g19480.t4 pep chromosome:ASM357664v1:13:26485288:26494401:1 gene:itb13g19480 transcript:itb13g19480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDKPPRRFSIFGFPAGPGGGGDGGAAAAEGRSKGNADDDLPPPQLKLEVDREVYRPGDPVTITVEINNPTPSCSLLIERLAFEIKGIEKLDTQWFSTAKPSPDSKQRRGEFVFMDYVTPALVSNKVVCSGATVKFVVRTILPSIIPPSYRGATIRYLYYVRSTLRGQYLLVGNGHFREESIRDLAELETRIPLQIWVTQKGNGLQNDEGETDGIVPTSTIMLDVYLKEMDGDSEWAKVNETFDGIEDDYDSMRDEVSSVSSYSTMKENIHKTFGSSLSLPSSSARSSRKDLSYLEGHSSIPSPLTLPRLSVAEISFDSGVADVLSSSKSEAVSFPSHRLKQSKSFPREDESTSPSVSGTGESGASEGLYRGRSYNIRLDDQVLLRFSPKNSETTYYFSDMIGGTLTFFHEEGTRRCLELSITLEMTETISRRFVHPSRRHAPSITKVHSDHYEVVADLVQTSFLFSIPMDGPMSFSTRYASVQWVLRFEFFTSPKNVDWTRFEHPLLIDGRDKCEWVLPITVHAPPAGAAAIQAQNEKSLSLDPLWIRT >itb13g19480.t2 pep chromosome:ASM357664v1:13:26485320:26494021:1 gene:itb13g19480 transcript:itb13g19480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDKPPRRFSIFGFPAGPGGGGDGGAAAAEGRSKGNADDDLPPPQLKLEVDREVYRPGDPVTITVEINNPTPSCSLLIERLAFEIKGIEKLDTQWFSTAKPSPDSKQRRGEFVFMDYVTPALVSNKVVCSGATVKFVVRTILPSIIPPSYRGATIRYLYYVRSTLRGQYLLVGNGHFREESIRDLAELETRIPLQIWVTQKGNGLQNDEGETDGIVPTSTIMLDVYLKEMDGDSEWAKVNETFDGIEDDYDSMRDEVSSVSSYSTMKENIHKTFGSSLSLPSSSARSSRKDLSYLEGHSSIPSPLTLPRLSVAEISFDSDVLSSSKSEAVSFPSHRLKQSKSFPREDESTSPSVSGTGESGASEGLYRGRSYNIRLDDQVLLRFSPKNSETTYYFSDMIGGTLTFFHEEGTRRCLELSITLEMTETISRRFVHPSRRHAPSITKVHSDHYEVVADLVQTSFLFSIPMDGPMSFSTRYASVQWVLRFEFFTSPKNVDWTRFEHPLLIDGRDKCEWVLPITVHAPPAGAAAIQAQNEKSLSLDPLWIRT >itb13g19480.t1 pep chromosome:ASM357664v1:13:26485245:26494446:1 gene:itb13g19480 transcript:itb13g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDKPPRRFSIFGFPAGPGGGGDGGAAAAEGRSKGNADDDLPPPQLKLEVDREVYRPGDPVTITVEINNPTPSCSLLIERLAFEIKGIEKLDTQWFSTAKPSPDSKQRRGEFVFMDYVTPALVSNKVVCSGATVKFVVRTILPSIIPPSYRGATIRYLYYVRSTLRGQYLLVGNGHFREESIRDLAELETRIPLQIWVTQKGNGLQNDEGETDGIVPTSTIMLDVYLKEMDGDSEWAKVNETFDGIEDDYDSMRDEVSSVSSYSTMKENIHKTFGSSLSLPSSSARSSRKDLSYLEGHSSIPSPLTLPRLSVAEISFDSGVDVLSSSKSEAVSFPSHRLKQSKSFPREDESTSPSVSGTGESGASEGLYRGRSYNIRLDDQVLLRFSPKNSETTYYFSDMIGGTLTFFHEEGTRRCLELSITLEMTETISRRFVHPSRRHAPSITKVHSDHYEVVADLVQTSFLFSIPMDGPMSFSTRYASVQWVLRFEFFTSPKNVDWTRFEHPLLIDGRDKCEWVLPITVHAPPAGAAAIQAQNEKSLSLDPLWIRT >itb13g19480.t6 pep chromosome:ASM357664v1:13:26485245:26493984:1 gene:itb13g19480 transcript:itb13g19480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDKPPRRFSIFGFPAGPGGGGDGGAAAAEGRSKGNADDDLPPPQLKLEVDREVYRPGDPVTITVEINNPTPSCSLLIERLAFEIKGIEKLDTQWFSTAKPSPDSKQRRGEFVFMDYVTPALVSNKVVCSGATVKFVVRTILPSIIPPSYRGATIRYLYYVRSTLRGQYLLVGNGHFREESIRDLAELETRIPLQIWVTQKGNGLQNDEGETDGIVPTSTIMLDVYLKEMDGDSEWAKVNETFDGIEDDYDSMRDEVSSVSSYSTMKENIHKTFGSSLSLPSSSARSSRKDLSYLEGHSSIPSPLTLPRLSVAEISFDSGVDVLSSSKSEAVSFPSHRLKQSKSFPREDESTSPSVSGTGESGASEGLYRGRSYNIRLDDQVLLRFSPKNSETTYYFSDMIGGTLTFFHEEGTRRCLELSITLEMTETISRRFVHPSRRHAPSITKVHSDHYEVVADLVQTSFLFSIPMDGPMSFSTRYASVQWVLRFEFFTSPKNVDWTRFEHPLLIDGRDKCEWVLPITVHAPPAGAAAIQAQNEKSLSLDPLWIRT >itb01g34330.t1 pep chromosome:ASM357664v1:1:37091243:37094981:-1 gene:itb01g34330 transcript:itb01g34330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPATTPDAIDDYTHEQIFLVLEKAKNGSALPNNVSEDINPYQYLPSNLPAEIWFLVQQTESKKSEHGLWKAKGEACPIYQNGSICGWRSTLEFFKGPNGQKTGWVMQEYKTEKEGYYERSQKQSRSLCSIFRCSNDMPSEKKPAVASRGNAMNSMLLSAPNANPTSGVGSTSESQAKSKDAGSTQSPPLETSKDVSIERLLSAILSDEDYIEMDDLDEVPKLPAADEAIDPRDEALINQILMEDVLELDDLTGDIGPLPAANEAEDPRSEALSDQILKEGFMELDDLEDPEDITGDFLEMNDMDRRLSPSTSSVNTSHRSLASEDDFFATLRNMDDDKNGDPKGKGSSYKCRNMGPVKPDEVIIQLAPSGSLVKATGSKAGVETRPTSSTNSNIQLKPPQTQPLGARGQITEKKVEKTLHRAATKNKFKKYLCFFPF >itb01g32500.t1 pep chromosome:ASM357664v1:1:35989519:35991575:1 gene:itb01g32500 transcript:itb01g32500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSKEVKVTAKAVVSGKEGTEEEEEIGVVSRVVGSGRIAVTIPVPIPAKFVSAGPSFAPERRLYDPVRLLLQNYSNFKRSGEPARFMRYVEESWVDVEAEVFEAVKAGFSKGVSSVETEIGGSKCVFDLHRMIELNLDTGAFRSVAWIDVKGMCFFPKSFVCSQDGENGLVNLGEEPKNEVVGNANEKRYLVQPELEIEIEFTDDSQNEANDLNDNEAKLSKRKRVIIEPEGSSTNEKGKNIQDCELVSPRWPNTRVVGKQEVGYQILGNLFVPGMAAVGPGAMITAIHQRLRNVGSMERAEYYAFQRQSELVRQARGNSEVVFAWFGTTVQGVHSIMTHGFRAPGIMSGSGLLGVGLYLSPIRSPRMSAMTAQVDENGEKHLVLCQVILGKCEKIEVGSLQLSHSRMDFDTGVDDLNNPQWYGVLRANHVIPGAVISYRPANVVPGRVTAAPSLMFAPNAASVFYQTLISKLIISLPPPKVHAVQTLYSSLMAGKVGNDGFMRELGSVVGDEVLRSTIQQIRG >itb05g21400.t1 pep chromosome:ASM357664v1:5:27172954:27173865:-1 gene:itb05g21400 transcript:itb05g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAFWKHKTKTSPVFVNGKFCKNPDEVNADDFFFRGLNKPGDTSNPLGSKVSAVNVANLTGLNTLGISLARIDFAPYGLNPPHTHPRATEVIAVLEGTFYVGFVLSNPAPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGKTPAVLFAALSSQNPGVITIANAVFGSDPPINPDVLTKAFQVDDKVIEYLQSQFWYDNN >itb09g19080.t1 pep chromosome:ASM357664v1:9:15140714:15148118:1 gene:itb09g19080 transcript:itb09g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSNSNTDQVLVEESGCVRTFILNRQKQLNALSSAMVSRLLELFLACEKDSNVKLIVLKSSGRAFCAGGDVSAVVHDIRQGNWKLGAEYFRKEFTMNYVIATYSKPQVSILNGIVFGGGAGASIHGRFRVATEKSVFAMPETALGLFPDVGASYFLSRLPGFFGEYLGLTGSRLDGAEMLACGLATHFVPSEMLLPLEEALHNINSSDPTIISAIISEFSQVPKLKEKSPYNHLKIIDRCFSRRTIEEIMVALESEAANWKDDWISSAIQSLKKASPTSLRISLRSIREGRLQGIGTCLIREFRMVCHVLKGEFSKDFVEGCRAILLDKDRKPKWEPSKLELVSDDMVSHYFSKLNDEDWKDLQFPGRPCLPSYAIAKL >itb09g19080.t2 pep chromosome:ASM357664v1:9:15140714:15148118:1 gene:itb09g19080 transcript:itb09g19080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSNSNTDQVSRLLELFLACEKDSNVKLIVLKSSGRAFCAGGDVSAVVHDIRQGNWKLGAEYFRKEFTMNYVIATYSKPQVSILNGIVFGGGAGASIHGRFRVATEKSVFAMPETALGLFPDVGASYFLSRLPGFFGEYLGLTGSRLDGAEMLACGLATHFVPSEMLLPLEEALHNINSSDPTIISAIISEFSQVPKLKEKSPYNHLKIIDRCFSRRTIEEIMVALESEAANWKDDWISSAIQSLKKASPTSLRISLRSIREGRLQGIGTCLIREFRMVCHVLKGEFSKDFVEGCRAILLDKDRKPKWEPSKLELVSDDMVSHYFSKLNDEDWKDLQFPGRPCLPSYAIAKL >itb11g04270.t1 pep chromosome:ASM357664v1:11:2257449:2257820:-1 gene:itb11g04270 transcript:itb11g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREVEASLFAQVDVKFELKWEKNRLEHILVDKEERKELQEQIVLLNEELKKRETRALQSPLSKKNSLDIHKELECFEEEWLRKELEKSHFSTGRKRRDTIRKRRKVSSTEYMISRTSSVCWN >itb05g08920.t1 pep chromosome:ASM357664v1:5:12730833:12737786:-1 gene:itb05g08920 transcript:itb05g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTSEEEEALRAGVAKHGAGKWKNIQRDPEFNHLLHSRSNIDLKDKWRNLNVSANAQGSRDKSRNQKPKAIADVPMTPVPNAITHASSTPLLLETATPADAAVEDNSKCLLDGKTASKYNGMIYEALTNLKDPSGCDIGTIVNFIEQRHEVPPNFRRLLSSRLRRLVQQDKLEKIQNCFRIKKEFLHASSTTPTPKIKESGPKEDLQNTGYLGDTVEEAAIAAAYMIAEAENKSFVAAEAVKEAERVSRMAEDSDVLLQLAKDIYDKYARSSQYQCTVKHFEMHRALLDCQLWCIWKCLTVHFRDAWWCISKDKWRNLNVSANAQGSRDKSRNQKPKAIADVPMTPVPNAITHASSTPLLLETATPADAAVEDNSKCLLDGKTASKYNGMIYEALTNLKDPSGCDIGTIVNFIEQRHEVPPNFRRLLSSRLRRLVQQDKLEKIQNCFRIKKEFLHASSTTPTPKIKESGPKEDLQNTGYLGDTVEEAAIAAAYMIAEAENKSFVAAEAVKEAERVSRMAEDSDVLLQLAKDIYDKCARGEIVLLA >itb10g14180.t1 pep chromosome:ASM357664v1:10:20458914:20461164:-1 gene:itb10g14180 transcript:itb10g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKVASMSSRTGRDLQRYNVEGCRQVVGCIPYRYIKANQSICGTGTATIDDLEFLLVSSQKCPRMMFPKGGWEQDESLEEAAHRETFEEAGVHGKVGVCLGSWYFKSKSQGTFHEGFMLPLFVTEELDHWPEEDMRQRSWMSYKEAMNVCFHPWMKEALDCLVSQLNLSKRDENEPRNGMAADEKLRSEEQVDRDSAQSVQSKDEVLTVEEPRMILAAQSLRKNDEHRIDQSPGTAYLLELLITEGQTVSSVALSLQRNFVDRVIAILVTDETLLSIVAQKLNRKEEKTSDKVSDRVLEGRGSRTRYYSSILWT >itb10g14180.t2 pep chromosome:ASM357664v1:10:20458914:20460863:-1 gene:itb10g14180 transcript:itb10g14180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPKGGWEQDESLEEAAHRETFEEAGVHGKVGVCLGSWYFKSKSQGTFHEGFMLPLFVTEELDHWPEEDMRQRSWMSYKEAMNVCFHPWMKEALDCLVSQLNLSKRDENEPRNGMAADEKLRSEEQVDRDSAQSVQSKDEVLTVEEPRMILAAQSLRKNDEHRIDQSPGTAYLLELLITEGQTVSSVALSLQRNFVDRVIAILVTDETLLSIVAQKLNRKEEKTSDKVSDRVLEGRGSRTRYYSSILWT >itb05g15270.t1 pep chromosome:ASM357664v1:5:22663895:22667183:-1 gene:itb05g15270 transcript:itb05g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNPYSIYSCWWVVLYTLAKPQESEGFVFVTLAQDPEYHISQIATAIVVAKYLGAALVIPNLRQSDQSGQKRTFGEIYDLEKFTESLDEKVMAQAMDSQIAKIYNQRVTTVKVPNMVSLEFIKSNIEPIFKSTRNLRLSIYFPSTKEQTRMSPYGCLGTFDTLMLRPELQKSIDSMIRTLRSLNPNNSEGRFVAVDYKVVETMPQSSDSSCPLDNTTGIKHCFDAKEIAHFLKKIGFENGTTIYLTINGWHTSIEHLRKVFPNTFTKDAIIPADENSKFLDPERPELRQIVDFYVSSAADVYVPTSSSLFSDNVVARRMATGKGQVLVPANRSSLSAEDYVPPYDISEKEHWAYSCFC >itb04g30770.t1 pep chromosome:ASM357664v1:4:33816836:33817519:1 gene:itb04g30770 transcript:itb04g30770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVGSLKEEYGGDKRKKETQPKESTAANPVKAIPKKLAACKENPLQISLVTFLLLLLLASPLIAAIPTVFFLRYTHYYIECGCPIFTHQTQKRKERKTLASSWTDDLLRLYFDFVAILAQS >itb09g26790.t1 pep chromosome:ASM357664v1:9:27447186:27447808:-1 gene:itb09g26790 transcript:itb09g26790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGIRRSSSRTNRESEIPKGHFAVYVGESEKKRFVIPVSYLKDPSFQDLLCQAEDEFGFDHPMGGLTIPCLEDTFLDIISNLRS >itb05g03740.t1 pep chromosome:ASM357664v1:5:3188334:3189035:1 gene:itb05g03740 transcript:itb05g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCTLEKRGSVFILTLTGDDEHRLNPTLIDSIRAAIRRAREEAVGPSVLITTSHGKFFSNGYDLAWASSDTSRLESMDSNLKSLVSDLLTLPMPTIAAVTGHASAAGFVVALSHDYLLMRNDRGFLYMSELDKGMEIPAWFVALLKTKAASPTAWREVVLKAAKLTASMGMEVGVVDSAHDSPEETVEAAVELGEKLVARGWDGRVYAHCRRTVYSDVLVSIGSDLQTRSRL >itb07g04960.t1 pep chromosome:ASM357664v1:7:3341573:3344111:-1 gene:itb07g04960 transcript:itb07g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAASSNADSESAPLLLATAPSKIPEDSFHLAYITYFTLGAGYLIPWNAFITAVDYFSYLYPAASVNRIFSIVNMTVNLVSVSFVVAFAHRSRPAARINAGLALFTAALVGVPLVDAFYVKGNVGVYGGFYVTVGLLFVCGVADGMVQGSVIGTAGELPERYMQAVFAGTAASGVLVCLLRVVTKAVYPQDAEGLRKSANLYFIVGIALMVVSVVSYNLAQRLPVIKYYRDLKAQAVNKEMEQKGDEMGRELWTSNLRDIIGGVKWYGFGIVIIYVVTISIFPGCITEDVHSEILGDWFPILLITSFNLFDLVGKCLTAIYVIENSKVAIGASFARVVLVPLFYGCIYGPRIFRSEVPVTVLTCLLGVTNGYLTSVLMMLAPKTVELQHAETAGIVLVLFLVIGLAIGSVASWLWVL >itb13g19620.t1 pep chromosome:ASM357664v1:13:26593304:26597023:-1 gene:itb13g19620 transcript:itb13g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLISRNPLGPPAALSVSSLPLSFPHDFLSLGFYRNRLTPFSPKPKPPTSPMPSMATLHRLRHSPLPYSSAGSPLSGAGSKVVPKTVTSLRGSCRICRCSLESVAPVRAWVVLKQENARGAHAAWFATVAAGDSDTVVPAPEGEAEDASHMSGDENSKDLKERQAIRSHRRQRNGSSGAGTLPGSPDLLTIPGVGPRNFKKLVEKGFEGVAQLKQLYRDKFYGTSSGKMVEFLQSSVGIIHKNHAESITTFIKKSVDDELKEDLDSDVKGRQKKRITFCVEGNISVGKTTFLQRIANETLELQDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRLMQERESSRGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSTLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLEYLRDLHEKHESWLFPFESGNHGILSVSQLPQSFDNSLPSEIRDRVFYLEGNHMHPSIQKVPALVLDCEPNIDFNKDIDAKRQYARQVAEFFEFVKKKKEVNAPGAGEDSTKSNQPEVLLPERPGLWVPEGKFSESGLKSLDFRRTMSFMSH >itb14g03180.t1 pep chromosome:ASM357664v1:14:2826459:2828280:1 gene:itb14g03180 transcript:itb14g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATLSPLSTIKIVNTLLLPPLQKSATLSPPKPKQKPLLLRIKSPFLTHCSAKPHTHKDNATNGEDLSVELLSSRIEDEGEAKPIPEQAISSSLISRGLVLDLGCGDSWDNVEIGSPVVKRFLGDEEERWLMWYHGRSGKNPESESIGLAVSSNGIHWERGIGGVESSGDVGMVMNPSQDWWGFDTLSVIPGEVSIMSSAKLRANSAAVYWLYYTGFSAEKLEFPENFPFQNPERACSNSSKSPNCFKSLPGLAMSQDGRHWARIEGEHHSGALFDSGQEGEWDSLFISSPNVLFHGNGDLRMYYHSFDTKSGCFAIGIARSRDGIKWVKLGKILGGGGEGAFDEMGVMNPNVVRNKRDGGYVMGYEGVGLDGKRSIGMAVSSDGLKGWRRVNNSKSVLKQCEEEDGWDSEGVGSPCLVEMDNGGEDCQWRLYYRGVGRGGRTGIGLALSDGADLQRFQRWTGFHI >itb12g17700.t1 pep chromosome:ASM357664v1:12:19869208:19872072:1 gene:itb12g17700 transcript:itb12g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGDTGYKSSFTLKLLHVGTINKGKGPTYVGGQTAFFNNIKQDEYGFIVLREKLTELGYSGNEVKCYAFSQSTLRELQSDDEVWSLGVNELNPNREVEIWVNSTIVEEGIQYDKGTEGDVENDGQSEDCSDSEYEMDNTTPNRDDFDFDQNVGPTIEYSGVEINYNEPTGEKSWERNLDEPNLSDEASLKDSDSDVVNNPRKRVRSKKSQQTNSTSRKQQPIEPTVETLVELATNPTEVHDVYRPHPIEVHGVCIPHPTEGHDVLIQEDIDFEMTLNSFNFDDLIPNGVPIEVLENNQVSSHVEVTVAAQVEVPTEVIKN >itb03g14460.t1 pep chromosome:ASM357664v1:3:14165846:14171134:-1 gene:itb03g14460 transcript:itb03g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMHTDVLLDYVEFQIYPSQNRYEACICWDNEVEAVASGVLEQLAQHSSKVKALSSKGWDATFKLEPPDNVSEATWFSKSTLIRFLNIISSVDILDKANAMEKEISQLEEARGFHLSLYAKADDNSATSDSSKNELLRAMDVRLGALRRDLADAFDEAAGAPCSPEEIVEIGKFSDHFGAVKLRNFLQKFVRLSQVNQNYLGNDKRGLDENSQISSKPLLSEQPVRYGASPAKAAQIERQSSSEGEESSCTTEEDQPSVERSRPLVRSASPRRSASPMRRVQIGRSGSRRPTALAIKSLHYIPAREKLVCQKDEGESSSGEEASEKPSKRSDNNVRRMSVQDAINLFERKQRDQTTVDVQKTSSVSLGANKAVLRRWSAGMYESPKYPANAASENSASMNTENLEGEETISALETNLDSDPTPYSNPDEATEIEAEPVCEERVSSLEGQRADTLPNQTEESRKLTASAEWSRQKEAELNQLLIKMMETKPARYRNAAPSDNQDQRLPDQQRGGLYNHYKEKRDEKLKGETARKRVGKETQVKAKPDMASGKANTVSKRPVKRTQTPLNHSSESANSKSETPKPADVKKVSKKASSLPAIRKSWPTAPSPRANGASPAKTPVTTASATATRRRSHPTPLVPQSTPKVERSQVPAKSAKTLPNDAKKTVRNSAERNQQAVTKASSKPTKTKAQAPRVSSDSSAKPKLNKVTKKSSVVPLEPKDTPKEPKEPKPFLRKGSRTGPGVGPITKKKTSPPEQSLRDSVEAEKNEKDPIISEPSNQKGDVLVADSKVDLDLASETQIGSPEKCEDTESPNLVAPKNEEDNFCEKQECANIKNEVEEEINISPAAWVEIEEHDNGKVQNNDGANHIDSSADVPVRAASPRVRHSLSQMLLEESSEPDSMDWGNAENPPTMVYQKDAPKGFKRLLKFARKSKTDVNSSGFSSPSVFSEGEDDTEESKGSKKASDNLLKKATLHATNYGHGHELPAQATIGQLVSQSISQKLEEGHVSASVTTTKAPRSFFSLSAFKGSKQTDSKLR >itb03g14460.t2 pep chromosome:ASM357664v1:3:14166315:14171134:-1 gene:itb03g14460 transcript:itb03g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMHTDVLLDYVEFQIYPSQNRYEACICWDNEVEAVASGVLEQLAQHSSKVKALSSKGWDATFKLEPPDNVSEATWFSKSTLIRFLNIISSVDILDKANAMEKEISQLEEARGFHLSLYAKADDNSATSDSSKNELLRAMDVRLGALRRDLADAFDEAAGAPCSPEEIVEIGKFSDHFGAVKLRNFLQKFVRLSQVNQNYLGNDKRGLDENSQISSKPLLSEQPVRYGASPAKAAQIERQSSSEGEESSCTTEEDQPSVERSRPLVRSASPRRSASPMRRVQIGRSGSRRPTALAIKSLHYIPAREKLVCQKDEGESSSGEEASEKPSKRSDNNVRRMSVQDAINLFERKQRDQTTVDVQKTSSVSLGANKAVLRRWSAGMYESPKYPANAASENSASMNTENLEGEETISALETNLDSDPTPYSNPDEATEIEAEPVCEERVSSLEGQRADTLPNQTEESRKLTASAEWSRQKEAELNQLLIKMMETKPARYRNAAPSDNQDQRLPDQQRGGLYNHYKEKRDEKLKGETARKRVGKETQVKAKPDMASGKANTVSKRPVKRTQTPLNHSSESANSKSETPKPADVKKVSKKASSLPAIRKSWPTAPSPRANGASPAKTPVTTASATATRRRSHPTPLVPQSTPKVERSQVPAKSAKTLPNDAKKTVRNSAERNQQAVTKASSKPTKTKAQAPRVSSDSSAKPKLNKVTKKSSVVPLEPKDTPKEPKEPKPFLRKGSRTGPGVGPITKKKTSPPEQSLRDSVEAEKNEKDPIISEPSNQKGDVLVADSKVDLDLASETQIGSPEKCEDTESPNLVAPKNEEDNFCEKQECANIKNEVEEEINISPAAWVEIEEHDNGKVQNNDGANHIDSSADVPVRAASPRVRHSLSQMLLEESSEPDSMDWGNAENPPTMVYQKDAPKGFKRLLKFARKSKTDVNSSGFSSPSVFSEGEDDTEESKGSKKASDNLLKKATLHATNYGHGHELPAQATIGQLVSQSISQKLEEGHVSASVTTTKG >itb03g14460.t3 pep chromosome:ASM357664v1:3:14165846:14171134:-1 gene:itb03g14460 transcript:itb03g14460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMHTDVLLDYVEFQIYPSQNRYEACICWDNEVEAVASGVLEQLAQHSSKVKALSSKGWDATFKLEPPDNVSEATWFSKSTLIRFLNIISSVDILDKANAMEKEISQLEEARGFHLSLYAKADDNSATSDSSKNELLRAMDVRLGALRRDLADAFDEAAGAPCSPEEIVEIGKFSDHFGAVKLRNFLQKFVRLSQVNQNYLGNDKRGLDENSQISSKPLLSEQPVRYGASPAKAAQIERQSSSEGEESSCTTEEDQPSVERSRPLVRSASPRRSASPMRRVQIGRSGSRRPTALAIKSLHYIPAREKLVCQKDEGESSSGEEASEKPSKRSDNNVRRMSVQDAINLFERKQRDQTTVDVQKTSSVSLGANKAVLRRWSAGMYESPKYPANAASENSASMNTENLEGEETISALETNLDSDPTPYSNPDEATEIEAEPVCEERVSSLEGQRADTLPNQTEESRKLTASAEWSRQKEAELNQLLIKMMETKPARYRNAAPSDNQDQRLPDQQRGGLYNHYKEKRDEKLKGETARKRVGKETQVKAKPDMASGKANTVSKRPVKRTQTPLNHSSESANSKSETPKPADVKKVSKKASSLPAIRKSWPTAPSPRANGASPAKTPVTTASATATRRRSHPTPLVPQSTPKVERSQVPAKSAKTLPNDAKKTVRNSAERNQQAVTKASSKPTKTKAQAPRVSSDSSAKPKLNKVTKKSSVVPLEPKDTPKEPKEPKPFLRKGSRTGPGVGPITKKKTSPPEQSLRDSVEAEKNEKDPIISEPSNQKGDVLVADSKVDLDLASETQIGSPEKCEDTESPNLVAPKNEEDNFCEKQECANIKNEVEEEINISPAAWVEIEEHDNGKVQNNDGANHIDSSADVPVRAASPRVRHSLSQMLLEESSEPDSMDWGNAENPPTMVYQKDAPKGFKRLLKFARKSKTDVNSSGFSSPSVFSEGEDDTEESKGSKKASDNLLKKATLHATNYGHGHELPAQATIGQLVSQSISQKLEEGHVSASVTTTKAFKGSKQTDSKLR >itb13g04260.t2 pep chromosome:ASM357664v1:13:4775407:4782605:1 gene:itb13g04260 transcript:itb13g04260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTNTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAESGDFEDYRGSKVKSTPIRIKEAKVLKRKHIYDYAEVGVPPDYSQVLSKGLDEDTSNRSSSGSAVSNSESCAQFAGTELSDLTGPTQPNIWDTMVPSKKRTCVGRPKPSPVEKLTKDLCTILHEQQSSYFSGSSEEDLLFESDKPMVSVEIGHGSMLIRHPSSIGREEESEASSLSVDNKQNPVNEAYSPWPTPSVHIHNKVFNSPSVGTESIKKPTGHGMDQELVKRDKDRLEKLQMLGHHNSPLCYVDLKDIVNFDEFTSKLTTDEQQQLLKCLPSVDTSAPPDSFRGMFESAQFKENLSCFQKLLAEGVLDNSLSGVKIEDCRTLKRFAMCYLTKSKWVEQYNLLKDVKCKNSSSGFGVAGLPNDVGIGHSVNVKRSLDGQNQKISATTVMKSPKRVVSKGSYENEENDGSFFSPKCLFALPPYSSSPALESSDDQDLLLDVPSNSSFPQAELLLPSRFDAQASTNSSSVFLK >itb13g04260.t3 pep chromosome:ASM357664v1:13:4777758:4782660:1 gene:itb13g04260 transcript:itb13g04260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKKRTCVGRPKPSPVEKLTKDLCTILHEQQSSYFSGSSEEDLLFESDKPMVSVEIGHGSMLIRHPSSIGREEESEASSLSVDNKQNPVNEAYSPWPTPSVHIHNKVFNSPSVGTESIKKPTGHGMDQELVKRDKDRLEKLQMLGHHNSPLCYVDLKDIVNFDEFTSKLTTDEQQQLLKCLPSVDTSAPPDSFRGMFESAQFKENLSCFQKLLAEGVLDNSLSGVKIEDCRTLKRFAMCYLTKSKWVEQYNLLKDVKCKNSSSGFGVAGLPNDVGIGHSVNVKRSLDGQNQKISVMKSPKRVVSKGSYENEENDGSFFSPKCLFALPPYSSSPALESSDDQDLLLDVPSNSSFPQAELLLPSRFDAQASTNSSSVFLK >itb13g04260.t1 pep chromosome:ASM357664v1:13:4775407:4782660:1 gene:itb13g04260 transcript:itb13g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTNTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAESGDFEDYRGSKVKSTPIRIKEAKVLKRKHIYDYAEVGVPPDYSQVLSKGLDEDTSNRSSSGSAVSNSESCAQFAGTELSDLTGPTQPNIWDTMVPSKKRTCVGRPKPSPVEKLTKDLCTILHEQQSSYFSGSSEEDLLFESDKPMVSVEIGHGSMLIRHPSSIGREEESEASSLSVDNKQNPVNEAYSPWPTPSVHIHNKVFNSPSVGTESIKKPTGHGMDQELVKRDKDRLEKLQMLGHHNSPLCYVDLKDIVNFDEFTSKLTTDEQQQLLKCLPSVDTSAPPDSFRGMFESAQFKENLSCFQKLLAEGVLDNSLSGVKIEDCRTLKRFAMCYLTKSKWVEQYNLLKDVKCKNSSSGFGVAGLPNDVGIGHSVNVKRSLDGQNQKISVMKSPKRVVSKGSYENEENDGSFFSPKCLFALPPYSSSPALESSDDQDLLLDVPSNSSFPQAELLLPSRFDAQASTNSSSVFLK >itb03g18440.t1 pep chromosome:ASM357664v1:3:16732006:16734331:1 gene:itb03g18440 transcript:itb03g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNPSLTSPNKLNVGSFRASSTHMVQIRTFQLVSPAGGRRGNSLLMKAKSSAEADILADDSVSVGVDDKNDYGVVSMHHVGILCENLERSLDFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSKLKVILDKAGIPYTLSRSGRPALFTRDPDANALEFTQV >itb08g02710.t1 pep chromosome:ASM357664v1:8:2319680:2323164:1 gene:itb08g02710 transcript:itb08g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALHSVAPKPENCSPQSMDSATTNQPADAAPDDKPCLAYPLQTNTQSFLQRALYPIALKFEEVVYKVGGEDKGSCCTGKSSTREKTILNGVTGMVCPGEILAMLGPSGSGKTTLLTALGGRLYGKLSGKITYNSQPFSGSIKRLTGFVAQDDVLYPHLTVKETLLFTALLRLPQSLTREEKTQHVESVITELGLSRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRIITTIKRLAMGGRTVVTTIHQPSSRLYHMFDKVVLLSEGCPIYYGSAPNALEYFSSIGFSTPLTVNPADLMLDLANGIKPDSSNVIEQGNSTEQERQSVRETLLSAYDKNISTTLKTELCNLDSHSYNYAVDASRRSQAKGDQWCTSWWHQFKVLLMRGLKERRYDAFNRLRIFQVISVAFLAGLLWWRTPTSHIADRVAMLFFFAVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLAKTVGDLPLELALPTAFTFILYWMGGLKAEPLTFTLSLLIVLFSVLVSQSLGLAFGAILMDVKQATTLASVTTLVFLIAGGYYVQQIPPFILWLKYLSYSFYCFKLLLGVQYNDNDYYECSKGHYCRVAEFAAVKAVGFNHLWVDVSIMAMMLVGYRFIAYLALHRVR >itb13g22230.t1 pep chromosome:ASM357664v1:13:28558256:28562956:-1 gene:itb13g22230 transcript:itb13g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTINRKRGEDYFAVNYRTPVPNFDRVSKKPRLSPSVVRQVPDLGRPVSAKSIVSRLFRYPEVVAPIKREVHAPCRQSRFGFSANSRNSSSSGDFREKSGSEMGNIFGRPSKKEKPRGVESSRFGKEVIEIDCDTRKGDASDDSTVEEIEVVEKARRVSPPKDGHGDVEMLDNFEKNDVGRKFQPTRSSGFAELNDAVMKVETPVKTLDLAPTGDEFDNLGLPFYKKLLNSVHKKHTDDNFKRLNFQIDYHERRRKTYQLLRPQKKSEELIEDVIPEPFVPKEPFIPLTEKEEAEVASAFSHSNRGRVLVTHKNSNIDITGKVLQCLGPGKWLNDEVINVYLELLKEREKREPQKFLKCHFFNTFFYKKLNGSTGYNYQSVRRWTSHRKLGYCLFECDKIFVPIHKEIHWCLAVINKKDEKFQYLDSLRGRDSKVLKVLARYYADEVKDKSGEVIDVSSWEQEFVEDLPEQENGFDCGVFMIKYADFYSRNIGLCFNQEHMQYFRLRTAKEILRLKAE >itb03g22990.t1 pep chromosome:ASM357664v1:3:21095616:21098186:-1 gene:itb03g22990 transcript:itb03g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYASFIGLFVVFVSISLHFLFYKSKPGGNGTLPPGKTGWPLIGESLEFLSAGWKGQPEKFVFERMAKYSSTVFRTHLLGEKAAVFCGPAGNKFLFSNENKLVQAWWPESVNKLFPSSTQTSSKEEAIKMRKMLPTFFKPEALQRYVGIMDAIAQRHFSGEWDGKHQVIVFPLAKHYTFWLACKIFVSVGEPEAVAQLALPFNAIASGLITLPIDVPGTPYNRAIKASNLIRKELVGMIRQRKVDLAQGKATPNQDILSHMLLTSDENGRFMGESNIADKILGLLVGGHDTASSACTFVVKYLAELPEIYHGVYKEQMEIANSKAPGEVLSWEDIQKMKYSWNVACEVLRLAPPLQGAFREALADFMFNGFYIPKGWKIYWSANSTHRNSDYFSEPEKFNPSRFEGSGPGPYTFVPFGGGPRMCPGKEYARLEILVFMHHLVKRFKFSKVIPDEKIVVDPMPIPEKGLPIRLYPHNKEN >itb14g05060.t1 pep chromosome:ASM357664v1:14:4369782:4372534:-1 gene:itb14g05060 transcript:itb14g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELNPSFVGCLLMLLLLLPHVSHSDFASLIQPICRDKLERNTTSFVPSLDGVFDSISKQITNQGWGLFANGLAPDVVYGLAQCYGYLSLHDCVLCYAEARTVLPECFPYNGGRIYLDGCFMRVENYTFFQEVLGPEDRHICENSTRKDSVFGETVRRAVLQAVSNVSNNTEYAKVELPVSGRKNETVYVVANCWKTVDNCRECLGNASRSMLQCLPSSEGRALYTGCFMRYSGINFLDPIISNGGLNRTYGDLNRTNGGLSRGKVIIIIVAVVCSVIVLILIALYIKLKRIHNTKGPNAAQKLAMMLHDSSLNFKYSVLEKATGSFDEANKLGQGGFGSVYKGVLPDGREVAIKKLLFNTTKRAEDFYNEVNIISSIEHKNLVKLLGCSFSGPESLLVYELLPNKSLDQFIFDPEKGKILNWNKRFNIITRIAEGLIYLHGNSTKRIIHRDIKASNILLDSRLHAKIADFGLARSFQADKSHISTAIAGTLGYMAPEYVARGQLTEKADVYSFGVLLLEIVARRQNNKCTTPEYSGSLVNIVWVHFQQGAVEELFDPNLMLHNCGNSVRSEASRAVHVGLLCTQEIPSRRPYMSKALEMLMKKEEHLPTPSKPAFTYEKSIELCEAAGLREGDAATNATISQSSFYPR >itb04g08000.t1 pep chromosome:ASM357664v1:4:5584426:5585096:-1 gene:itb04g08000 transcript:itb04g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKKYLSGDRRQSSRLQRKAPASIQINRSTDWNVAIPLLSPLITSPDSSDLTAAIKSCSNASGKKDESREAEKPQTVVFKKWQHPAAPFSYDPAPFLQFVCTGKADR >itb06g18140.t1 pep chromosome:ASM357664v1:6:21873345:21875745:1 gene:itb06g18140 transcript:itb06g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNTSSPNLLEEALTGGDIVSGPKRRGRVVVIEDCVETSGGFLLHHFIKRALSSHSSDVVVFLAFAQPFSHYDRILRKMGCNLAVHRDNQRFLFLDMLMMEFPDGGETIENGLLALYGKLQKTVEVSFASEGLRNITVMIDDVSQIEVAANGSSSHVLDFLHYCYTLTTEFGCSLVTLNHEDIYLSMDKPGMILQMEYLADVVIKTEPLSTGLATDVHGQLTVINRGVGYGSGSSGTKARNFHYQIKESNVEYFYPGSRN >itb02g00670.t1 pep chromosome:ASM357664v1:2:394817:399754:1 gene:itb02g00670 transcript:itb02g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIGRQRSGTSTAHHQRQYSDNFLESSSNGRWLQSAGLQHLHTSNNSNPPLQDFGYYAGAQGSRMYKGPQRTYSGGGDVFAEPLTPPANHRQRKNGDEQVSPTEFSPGLLDLYSLDTELLPEMPVAGQYGAPVHHFAQGKSFDDLESYFVNNKQTGRVRGMADSNATKNFAADKDKASNVAKIKVVVRKRPLNKKELAKNDGDIVETCSNSLTVHETKLKVDLTQYIEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTFTMKPLPLKASRDILRLMHYTYRNQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVEMIKELIDRGNATRSTGTTGANEESSRSHAILQLSIKRSADGSEPKPARVIGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKGNNSKKDTSSSTLNLRESTVLPMSVVAPSASTYEDDTGDSWPEQTEKDDYEDFYEQEKPISKRNVKVEAFSISNPEEKMRRGNDQTKWKEPPRTEPKINDNDDLNSLLKEEEDLVNAHRRQVEETMDIVREEMNLLVEADQPGNQLDSYISRLNSILSQKAAAIVQLQGRLAQFQRRLREHNVLASSGH >itb03g10710.t1 pep chromosome:ASM357664v1:3:8555379:8558201:1 gene:itb03g10710 transcript:itb03g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRFLRLCLLLLAAFASANSVDAKVRHYKWDVKYEFKSPDCYRKLAITINGKSPGPTIVAQQGDTVVVEVKNSLLTENLAIHWHGIRQIGTPWADGTEGVTQCPVVAGDTHVYKFVVDRPGTYLYHAHYGMQRQAGLQGMIRVYAADSEPFEYDYDRSILLTDWYHKSTYEQATGLALPAPNFTWVGEPQALLIHGRGKFNCSSASSDAVCEATNPQCSPYSMTVVPGKVYRLRIGSLTALSALSFEIEGHNMTVVEADGHYVDPFVVKNLFIYSGETYSVLIKADQDPSRNYWISSKIVSRPSNTSNGLGIFNYYPNHPRRNPPTTPPAGPRWNDTAPRVEQSKAVKAHPRFVHAPPRTSDRVIVMLNTQNEINGVRRWSVNNVSFNLPHTPYLIALKHKLLHAFDQTPPPEDYDHKTYDIFSVQNNSNAVASNAIYRLKFNSTVDIILQNANTMNLNNSETHPWHLHGHDFWVLGYGSGKFNRSSDPQNYNLENPIMKNTVPVHPYGWTALRFRADNPGVWAFHCHIESHFFMGMGVVFEEGIEKVGKLPSSIMGCGDSKGFGRP >itb13g23190.t1 pep chromosome:ASM357664v1:13:29287772:29291571:1 gene:itb13g23190 transcript:itb13g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLILPSESPASIIYASSSATAAVDDGGCEDGCSICLEPFSSNDPPTVTKCKHEYHLQCILEWSQRSKDCPICSRQVFLEDAASQELLAAVENERNARSRRSVQFAPEIHESNNLDEVDDSDFEERILRQFAALTSRARSINRRIRQTSSGVGPAQVLPSAPVAAGSIMHQISDSSEDSSEEHQSPVNEFFGSGLPPSGISSSTVGPPSSLSVFPSISNVSPTIAACGDGSVKLSESPPENPQRSSSSELHAFSESIKYKLSAASARYKETFSKSTRGFREKLLARNTTVKEFGKEVQREMSAGIAGVARMIERLDLSSKRGSASGPLSSDTVGTSSFAHSGKSVQGSVNPHFHDENKRESASGRSSNVTPLICTTIPDQTEVSVQQGRN >itb13g23190.t2 pep chromosome:ASM357664v1:13:29287781:29290893:1 gene:itb13g23190 transcript:itb13g23190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLILPSESPASIIYASSSATAAVDDGGCEDGCSICLEPFSSNDPPTVTKCKHEYHLQCILEWSQRSKDCPICSRQVFLEDAASQELLAAVENERNARSRRSVQFAPEIHESNNLDEVDDSDFEERILRQFAALTSRARSINRRIRQTSSGVGPAQVLPSAPVAAGSIMHQISDSSEDSSEEHQSPVNEFFGSGLPPSGISSSTVGPPSSLSVFPSISNVSPTIAACGDGSVKLSESPPENPQRSSSSELHAFSESIKYKLSAASARYKETFSKSTRGFREKLLARNTTVKEFGKEVQREMSAGIAGVARMIERLDLSSKRGSASGPLSSDTVGTSSFAHSGKSVQGSVNPHFHDENKRESASGRSSNVTPLICTTIPDQTEVSVQQVYKF >itb11g01310.t2 pep chromosome:ASM357664v1:11:595131:596913:-1 gene:itb11g01310 transcript:itb11g01310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPAKSQPLHNFGLPHLWKKNHHSNNHNRGRCGKLSSSGDGESGSSPSRYGLQREDSGSESGKNRKAARSPQNSAPESGKNGALSTDQAIGKSEKKRKVSEVDRENRSKILLKLPRKIRAEEAPQEKKAAAELQDAALVEEEAGGEPVSKTWNLRPRKPAHRSMNVDQFRGGGGATQENITQSCHRNVIRPEAEPNSSQRKKRLRFSISLSRDEIEEDIFSMTGSKPARRPKKRSKSVQKQLDSVFPGLWLASITPDSYKVTETQQKV >itb11g01310.t1 pep chromosome:ASM357664v1:11:595131:596913:-1 gene:itb11g01310 transcript:itb11g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPAKSQPLHNFGLPHLWKKNHHSNNHNRGRCGKLSSSGDGESGSSPSRYGLQREDSGSESGKNRKAARSPQNSAPESGKNGALSTDQAIGKSEKKRKVSEVDRENRSKILLKLPRKIRAEEAPQEKKAAAELQDAALVEEEAGGEPVSKTWNLRPRKPAHRSMNVDQFRGGGGATQENITQSCHRNVIRPEAEPNSSQRKKRLRFSISLSRDEIEEDIFSMTGSKPARRPKKRSKSVQKQLDSVFPGLWLASITPDSYKVTETQQKV >itb11g05110.t1 pep chromosome:ASM357664v1:11:2974130:2975651:-1 gene:itb11g05110 transcript:itb11g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQYPQGINPSDLQVWNNAAFDHGESEDLERSWPPLEPLSLKNSESFESVSGKENQIPLLENPAVCADSPRPVFKPIQPENSSGVVNLKPGLVSKNETLGEEIRDEKKIDKEIEEVEMEITRLSSRLKALRMEKAEKCVKNVEKRGRVVTAKFMEPKQSLSVSAKSKAQRRGLSLGPSEISAGIRRGLSMGPSEIFSASKSPQLCKQGMITPIQSIQNRRKSCLWKLQEIDEENHRSLSPKSRKTMAKTLPPRQAITTVQSKKTVKKDEVVLSSVQPKKLFRDGEKSVLGATKKPLKQGRIVASRYNQNTTVVSSSMRKRSFPENDKDDGKRCDKKRSLSIGKSGAAQAEIKNVGTETRAKKKWEIPDEIVLHREEAESEKSPGSSTSVVPDMLPRIRIARCVKESPRDSGPAKRVAELVGKKSFFSKDEEDVVEPAVCQALSFADEDEEEEEALSSANEDA >itb14g18660.t1 pep chromosome:ASM357664v1:14:21609423:21609728:-1 gene:itb14g18660 transcript:itb14g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSSVTVSFLPNSAVMTGWCRPRRRAMRRRKGSAIRLGKRRGRGFSLGSRTAAVHWGVVMACPFRLLKKIVMQLVANGRLVEAYYWSLPFLRPQLFPLC >itb09g17110.t1 pep chromosome:ASM357664v1:9:12348797:12350721:1 gene:itb09g17110 transcript:itb09g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGEGKVVCVTGASGFIASWLVKLLLRRGYTVHATVRCLKDPNKVSHLLALDGAKERLHLFEADLLDENSFDHAINGCEGVFHTASPVSFSPSATKTELVDPALKGTLNVLGSCVRTPSVKRVVVTSSTASIFVKRNPITPIEVVDETWFSDKEFAEETKLWYVLSKILAEEAAWKYAGENGIDMVSLHPCVVIGPILQPTLNFSTNVILELVKEEKEFSSGMNCYVDVRDVANAHIQAFELPSASGRYLLIGETIHSSQVLKIAAQLYPSLPIPDKYKGDLPVVPTFKVSQEKAKSLAINFTSFAVTLKDTIESLKEKNFLSF >itb12g03050.t1 pep chromosome:ASM357664v1:12:2026140:2027837:-1 gene:itb12g03050 transcript:itb12g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFSLIFGFSQVLSLFLHGDAATYWGDVEVLKQFKNGVNPGSVTPGSCLSSWDFSVDPCDNLSSEKFTCGFRCDVVVSSAARVTEVVLDRWGYSGSLAEISWNLPYLQTLDLSGNFFHGEIPASLVNLTRLRRLSLSRNLLSGWIPSSLGYIYNLEEIYLDNNKLEGAIPESFTGLTNLKTLELQDNELTGEFPDLGELNSVNFIDVSNNAISGELPARFPANLIELTARNNSITGNIPARISDLFYLQVLDLSHNNLSGAVPAPLFVHPALQQLTLSYNHYTSVEQPDPNLLQSSQLIAADLTNNEIRGFLPGFLGLLPKLSSLSLENNKLSGIIPAQYALKVLVPGDGTTTSSQFERLLLGGNYLIGAIPGPFLDLKPGSVTIRLGDNCLYRCPLRLFLCEGGEQKSLSECKAFGPIIP >itb09g06510.t1 pep chromosome:ASM357664v1:9:3735740:3737280:-1 gene:itb09g06510 transcript:itb09g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKDGLKKGPWTPEEDQKLVDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILNTPSPLYNYPCQQINLSRLLGLQQNLLNPHVLRLASSLFSPPVPRHGLNPELGLQNNVNQMCNLENPGFQTVQDNVQFSCPQSVTQEQTELPVQTDVNNVTFSQVTQQPNNVDQFQPQFSSFPIGNCQENEWQNNGIPSSLTEDYLPLQNYGCYQPATVDPQSIMDPPPSDASTFCRSFQSVLSTPSSSPAPLNSNSTYINSCSTTTMDDEIDISYSSNLIDFDYSSILEVNEFM >itb04g30060.t1 pep chromosome:ASM357664v1:4:33281925:33285589:1 gene:itb04g30060 transcript:itb04g30060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILFRIDEVCKKYEKYDVEKQRSNSASPEDAFARLYSTFESQIDLALKKAEMAERETNRAAAVAMKAEVRRVKARLLEEVPKLQKLAHKKVKGVSQEEREVRNDLVLALPERIKEIPDGTTSAAKSGGWGGSSSLKNVKFDSDGQMDDDFFQQSEESSQFRNEYEMRKIKQDEGLDFISEGLDTLKNLAEDMNEELDRQVPLVDEIETKVDKATAELKNTNLRLKETINNVRSTQNFFIDIILICIILGIAAYLYNILQ >itb11g06630.t1 pep chromosome:ASM357664v1:11:3999392:4001824:1 gene:itb11g06630 transcript:itb11g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILINTAISISIVKEIVRSILSVIGIRIASWEEYAIEGPLDSLECRGTPSESYIEEFRSRTPPIRYDAMCISNNPEQECSVCLAEFKPDAEINQLPCGHVFHTACLEKWLKYWNVTCPLCRNYMIPQGEDDGCPM >itb11g06630.t2 pep chromosome:ASM357664v1:11:3999392:4001824:1 gene:itb11g06630 transcript:itb11g06630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILINTAISISIVKEIVRSILSVIGIRIASWEEYAIEGPLDSLECRGTPSESYIEEFRSRTPPIRYDAMCISNNPEQECSVCLAEFKPDAEINQLPCGHVFHTACLEKWLKYWNVTCPLCRNYMIPQGEDDGCPM >itb11g10540.t1 pep chromosome:ASM357664v1:11:7516668:7517036:-1 gene:itb11g10540 transcript:itb11g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPGLPNDVAFECLIRISFDQFHKAASVSRAWNAVIKQPEFHRRWEVSGLTRPVIVMAQSMDGLIKSNLFKVYRLTLFEPALAGKKALAQFSADTGDGRRDAGVLWGRRDWAGAGGDRRV >itb10g17290.t1 pep chromosome:ASM357664v1:10:23483817:23485516:-1 gene:itb10g17290 transcript:itb10g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPTVDQSLGDPIANSEGGQIPTDETKKVDKPKGAASSPNINIRERSPEGERVSYKEKLAGNASFGSSGRQQASEASGNNRKSKHGTNEGGSGRENNPHVKPLGQSSRFGVLYGLDDPTEQMEPTYVEKTKSGLTNQGTFRDKGKKPVKQPTREHSDIDTDKALPKDKSGRNGGNYKGESSGTKTGNYKGESSGTKTRPKSRQAAAETEHTLVIGSRGGETVRYTVQEDIPPNESDWAMEEWGDWREHPNDPPHYGALEDDMEEEYFVEYG >itb06g18070.t1 pep chromosome:ASM357664v1:6:21816978:21822617:-1 gene:itb06g18070 transcript:itb06g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHRNVLQGSTTEGEEPSVDTDKLSYEIFSILESKFLFGYDDQKLWIPKTIAPAVEGDDKNEVAEVGGNDEVQAIINQRGKICILAIDGGGMRGILSGKALAYLEQALKVKSGNPEARIADYFDVAAGSGVGGIFTAMLFGTKDRSRPIFNADDTWRFLAENGKKLYSSSSKGSGSGGFLRRVFRGSDGTVSAAARLEKAMKEAFTDEKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETESYDFRLWEVCRATSAEPGVFEPVCMTSVDGSTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDILVLSLGTAQLLEGSFEYEQVKGWKAKQWAIPMARISGDGSADLVDHVVAMAFGHSRTTNYVRVQANGFNSGSCGVNLDTDPSPNNVKRLVGIADEMLKQKNVESVLFGGKRIGEQSNFEKLEWFAGELVQEHQRRSCRIAPTVAFKQATPTTPK >itb01g21620.t1 pep chromosome:ASM357664v1:1:27699378:27707202:1 gene:itb01g21620 transcript:itb01g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSFDSSRSANSGSKSKRSLSSNPTSSNTSSKRKKTSQKTLGMAWGANSRSSSRPAFSHSPFSDFGSYMAVKNRKLHQQFDAEASSSSYSGTSSSKESRPIFQGVSIFVDGYTVPSNQELRGYMLRYGGNFENYFSRHRVTHIICSNLPESKIKNVRSFSCGLPVVKPAWVLDSIAANRLLSWVPYQLEQVASEASNQPKLSAFFTSKNCTPAILEKCSTDQAISKGDTPLMEGSVSESGNSSGVRGSVDDSNACNPESSDPSHVSAIGAEAEESSCSGSGSGSYNASHPSTLNASDDCQDEIDKGESILKTSRPSNKQHSTLVDSNFVENYFKNSRLHFIGTWRNRYRKRFPSSSGGFRCITVNSAAKPQATSIIHVDMDCFFVSVVVRNHPELQGKPVAICHSDNPRGTAEISSANYPARNYGVKAGMFVRDAKALCPQLVILSYDFEAYEEVADKFYDILHKHCKKVQAVSCDEAFLDATDSGVEDIQAFVRLIRKEIVEITGCTASAGIAGNMLMARLATRTAKPDGQCCIPPEKVEEYLHELPVKALPGIGYVLEEKLRKREITTCGQLQIISKESLQKDFGIKTGNMLWNYSRGIDNRLVGMAQENKSIGADVNWGVRFKDLKDAQHFLGKLSEEVSLRLQGCGVKGRTFTLKIKKRKVDAGEPAKYLGHGICDNLSHSTTVPMATDEADVLRRIASQLFGHFQIDVEDIRGMGLQVTKLEMTENAKQGNEKNTIRAWLSSTTTKGSNHLKSSPAKGADTDDDIQSVDEDSAPLCSDSIGPLVQRNARLSTGNVGNRQDAALPPMHDLDVSVIESLPPELLSEINNMYNGKLLRFISEKKNNSADICPNNFEGPSEKVLASYEVHPYNKEEVQSVSFSNKLTVDEKTGPVSVSGVEKSNMIINASAAADTCIMPSSLSQVDTSVLQQLPEELKTDILELLPPHRTGVPLSDASLKYVDNPDSSRDSILSNELWVGHPPKWVDKFIVSNCWILKIFAEAYCKAGPKNLLSSILQKFISGICVPEEVGTDGWCDAVSCMCELVKQYVELKIETDIEEVYVCSCLLRRLTTRSKVFHEVYNSLLPYLQASVSEKYGGSLQLSPVKD >itb13g26610.t2 pep chromosome:ASM357664v1:13:31828186:31832217:1 gene:itb13g26610 transcript:itb13g26610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDESIIVEHVIATHACSGGINFNSKFVLDYVEKALNNTDLGETQQDSLNTQLELIHEDFSYQIRQLSSEISFKSLNEIDDHRSTICLLEKLSAYTWEAKVVMMLAAFAGIYGNKLNILSQQSYRNRLAIFKQTLCPTPSYNNIEKLVNDDSIKLMLNLAKCVADLYQLSSSSPPQSLILANYWITRNVLHYAHQILSFEPKNEVTEESTLIDKTKSILSVCYQLLDDEAIFYGCKIIGHRISRFENRGLLLMITSSTNVSEIIFLANELRLNRYVRTIWIPIVDYPDMWSNENSDWNLKLFDCCYRADPLEKIAPQFIRFVLKTCFPAFQIGGDPIIISLDNRGRLVHFNAFHMMMTWGNNELDAPKVIGGANLSALLLQNMKQMILYAGANYVIDDIDNRINIFVNDFSRRIINWTDDINSEMNRSVRSYNYTSSKEKALWNAETWSVNLVAHPFPFSAIIREWSDKGECIFLYGGNNIKCVEEFAMKIKEISSKIQLEMKLAYVGKSMKVKSVVENISDYVHDTSNSRRFWLRLGSVLVSRINYLNEIGIDERGDNITTGLKKIASL >itb13g26610.t1 pep chromosome:ASM357664v1:13:31828186:31832217:1 gene:itb13g26610 transcript:itb13g26610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDESIIVEHVIATHACSGGINFNSKFVLDYVEKALNNTDLGETQQDSLNTQLELIHEDFSYQIRQLSSEISFKSLNEIDDHRSTICLLEKLSAYTWEAKVVMMLAAFAGIYGNKLNILSQQSYRNRLAIFKQTLCPTPSYNNIEKLVNDDSIKLMLNLAKCVADLYQLSSSSPPQSLILANYWITRNVLHYAHQILSFEPKNEVTEESTLIDKTKSILSVCYQLLDAKRIDKSYEALLHVFSHSSNNLKVLKLIFNVTDDEAIFYGCKIIGHRISRFENRGLLLMITSSTNVSEIIFLANELRLNRYVRTIWIPIVDYPDMWSNENSDWNLKLFDCCYRADPLEKIAPQFIRFVLKTCFPAFQIGGDPIIISLDNRGRLVHFNAFHMMMTWGNNELDAPKVIGGANLSALLLQNMKQMILYAGANYVIDDIDNRINIFVNDFSRRIINWTDDINSEMNRSVRSYNYTSSKEKALWNAETWSVNLVAHPFPFSAIIREWSDKGECIFLYGGNNIKCVEEFAMKIKEISSKIQLEMKLAYVGKSMKVKSVVENISDYVHDTSNSRRFWLRLGSVLVSRINYLNEIGIDERGDNITTGLKKIASL >itb02g02930.t1 pep chromosome:ASM357664v1:2:1693323:1695660:-1 gene:itb02g02930 transcript:itb02g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVHQLQPIVTDVNDLIKNGKSVGCQQASFVAGMLMAMGFESTRIRKYRLDSTLEAYDEALRKGSEDGGVDAIMDELPYLKLFLGKYSRKYATVGPTYHTAGFGFAFRRGSPLVPNISRAILRVTESGAMIKIREKWFGDETHGQDTAAIQLNNPESLPLKRFKELFLIAGGAILLALIIFFVSFINENKAILASDMSIWEKPSALAKAFNSSRESQNPNEEGNQGVVSSPESVPEHHCPPNPENADSPEQGGLTQDAGSNTSEPRPPVHEASQQFHN >itb10g26220.t1 pep chromosome:ASM357664v1:10:29522387:29523027:1 gene:itb10g26220 transcript:itb10g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKLESAEGREPKAPREENRRGCEIERDDESIEAEPDPPKEVKPRRRGCETEKDDERRSPRLRETATEKMGRVRLFWVCHVCIY >itb10g13000.t1 pep chromosome:ASM357664v1:10:19087688:19088447:1 gene:itb10g13000 transcript:itb10g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCYMDWRKFDRRPRPIKPPLRSGLDCHLEKAGPKSKPASSRAWYSTPELKRKKRIAKYKLYLIEARMKDSLRKEFRSFKRRCSTILNGFP >itb07g13760.t1 pep chromosome:ASM357664v1:7:16104677:16105366:-1 gene:itb07g13760 transcript:itb07g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAAMGIIPHMKPALYRAAAAGTLEDYHAALRQMRTEGAEDCQVMPNGNTVLHVAVLQGNKHFVEKILREQKTHAAASSSSMLYARNNKNETVLHCAAEKGHADIVSALIKAVNDEELESGVGVKEMIQMTDDVKDMALHKAARMGHSGVVKLLIQEGNGFPYPTNCNNDVGETPIYLAAESHFFYCLKEILETCQNLTYVGPLGRNALHAAILSPSGINSTCVHLT >itb05g02130.t1 pep chromosome:ASM357664v1:5:1656517:1659302:1 gene:itb05g02130 transcript:itb05g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTTNRGMKEELKTLSQLRALNLHSTPVPQPQLPTFSIQTPLPCEGDSSKKVKGWNILEEDCCSWDGVSCDPATGYVIGLDLSFSMLSGEIFPIFNLHHLQTLNLSCNYFEFTPFPSGFEKLRNLTHLNLSHTSFSGQIPVGISMLTRLVSLDLSTIFDFVELRKPSLECFFMNLTSLKEVYLDGVDLSAQASNWSQVLSSALPHLQVLSFSNCHLNGPIHPSFATLKSLSYLQLSFNNLSSDFPKNVFLLPKLKVIDISNNHLRGGQFPEFPKHTSLQTISLSQTNFHGELPESIGNLPSLKILDISSCNLSGSIPSSLANLTSIVKLDISENRFIGSLPPFHSTSVPNLSYLDMSSNLLTGGIHSSLFTLPSLEYLLLDDNKFSGELEDFSNTSFSVLESLDLSDNQLSGVVPKSIFQLPNLTHLSLRSNNFNGSVKIEMLQNLKNLTFLDLSNNSLTVEENDDDRNFHLPQLQYLYLHKCNLSDFPIFLKSQVKLIDLNLVDNHIRGYVPSWLGNNTLQFLDLSGNPLDFLEPSSAQGNNSFVSLYFLGMHSCNISKFPQILKGIHDLQILDLSDNKIEELECNGYEWGTQITTQPHRRA >itb15g11570.t1 pep chromosome:ASM357664v1:15:9376287:9397674:-1 gene:itb15g11570 transcript:itb15g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDAAEHAILKLRSLSSPSEIAHSEDILNIFLMACEARTVKLSVIGLSCLQKLISHDAVAPSALKEILNTLKDHSEMADESLQLKTLQTILIIFQSSLQPDNEENTAQALGICLRLLESNRSSDSVRNTAAATFRQAVALIFDRVVTAESLPAGKFASGIYISRSNSVTSDVTRNINHLESLEQEFTSGTSTLTRNTLTKPGKLGHRLLEDLAALAANGSATWLRVGSLQRTFVLDILEFILSNYVVLFRTLIPYEEVLRHQIFSLLMTSLRTDSEFEGETGEPYFRRLVLRSVAHIIRLYSSSLITESEVFLSMLVRAISLDLPLWHRILVLEILRGFCVEARTLRVLFQNFDMHPKNTNVVESMVKSLARVVSSVQFQDTCEESLAAVAGMFSSKAKGVEWSLDSDASNTAVLVASEAHAVTLAIEGLLGVVFAVATLTDEAVDLGELESPRYEIDPPAKCTGKTAFLCISMVDSMWLTILDALSFILTKSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTISMPNEVERRSVVQSPSGLKRSEASLEQRETIVLTPKNVQALRTLFNIAHRLHNVLGPSWILVLETLAALDRAIHSPHATTQEVSTAVPKLTRDSSGQYSDFHILSSLNSQLFESSALMHVAAVKSLLSALRQLSHQHMSATFSGFVQASNQKVGSISFSVERMLSILVNNLHRVGPLWDDVIGHFFELTNSSNQHVRNMALNAMDQSICAVLGSNIFQEYASSKLLANNVWPENIDMRSLECSIISPLATLYSSTQSIEIRVASLKILVHVLERHGEKLQYSWPNILDMLRSVAHASEKDLISLGFQSLRVIMNDGLSTIPTDCLHVCIDVTGAYSAQKTELNISLTAVGLLWTSTDFVVKGLQDATEEDREADRISNGSREEQNLNSSNKESEQALRINVIDRDKLLFSVFSLLQNLGTDERPEVRNSAVRTLFQILGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAATSSKAEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPLLRNLSNFQSGWDSLLRFVENSILHGSKEVALAAINCLQSTVVSHSPKGNLPMPYLTSVLDVYELVLCKSPSYDDGATSKVKQEILHSLGDIYVHAQGMFDSCMYLKLLAVVDMGIKQARITNSNFEAEYGHLPPVQRTILEILPMLCPAKHLATMWPPLLGKLMQYLPGSDSSLEKIEDDVDQGTIPNSDEVSHGIASNAQKKAEGSLTAGPSGPSQLFAEKLIPVLVDLCLQAPAAEKYSALPDIIQVLGRCMTTRRDNPDGTLWRVAVESFNRFVIDDLCKQIENSGTDVANVRSAKIRSWKGVADIYEIFLVGYCGRALPSTALSESALKADESLELNILDILGDKILNSQIDAPLNIMQRLISTIDRCASRTCSLPLKTVELMPLHCSRFSLTCLQKLFSLSSHKPSDENLTTSEVSKISIATLMTRCACILDKFLKDESELGDCPLPAARVEEVLFVLQELARLVIHSDTASVLPISPQLKNGLVEENHANHLHLFVLFPSFCELVISREIRVRELVQVLLQLITSELGLQKLSLAS >itb08g04970.t1 pep chromosome:ASM357664v1:8:4063356:4064645:-1 gene:itb08g04970 transcript:itb08g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIMSGEQFVKEEEIGGEKGKEIVNGLREIFFASISATNVCDFFPVLRWFGYRGLEKQMVSLHKKRIEFLNGILDEFGRRNNGGFSEGDDEMMKSCREKKKSPVIETLLRLQKLEPEFYTNDLIMSIILMVFVAGTETATATIEWAMSLLLSYPEVLRKLRYEIDYNVGHKHLLNESDLSKLPYLRCVINETLRLYPPVPLLLPHYSLQDCVVAGYDVPKHTTVMVNAWAIHHDPQVWEEPEKFKPERFEAVEGEGEGSFNYKFVPFGIGRRSCPGNNMGLRLVSLALGACIQCFDWENTKEDKMCEAYLSRSILQKAERLEVICTPRKNCLQFLSQL >itb01g26360.t1 pep chromosome:ASM357664v1:1:31545420:31547103:1 gene:itb01g26360 transcript:itb01g26360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVVEVLGVNGGIGNTSYAKNSLPQQKAILKTKPITDQAITSLYTSSLNLKTISIADLGCSSGPNTFLTVSNLLKAVDRVRKKLRRDSPDFQIYLNDLPTNDFNSIFRSLPTHQEEFKREMGDGFGQCFFNGVPGSFYGRLFPADTLHFVHSSYSLQWLSQVPKGIEDNKANICMAPGSPPNVRKAFSAQFEIDFLTFLKCRSEELVKGGRMVLTILGRKNEKPSFLIIELLAMALNELVSEGLVAEEKLNSFNVPMYTPSLAEVKSLLEKDGSFTINSLEAFQVHWTGNESDVENISDKNNGGYNVARCMRAVTEPTLVSHFGEGIIEDVFPKYRKMIADYMSREKTEFTNVTVSLVKT >itb01g26360.t2 pep chromosome:ASM357664v1:1:31545420:31547103:1 gene:itb01g26360 transcript:itb01g26360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVVEVLGVNGGIGNTSYAKNSLPQQKAILKTKPITDQAITSLYTSSLNLKTISIADLGCSSGPNTFLTVSNLLKAVDRVRKKLRRDSPDFQIYLNDLPTNDFNSIFRSLPTHQEEFKREMGDGFGQCFFNGVPGSFYGRLFPADTLHFVHSSYSLQWLSQVPKGIEDNKANICMAPGSPPNVRKAFSAQFEIDFLTFLKCRSEELVKGGRMVLTILGRKNEKPSFLIIELLAMALNELVSEVDKYSILVQVIICIYYIPFLFSSISILV >itb12g28200.t1 pep chromosome:ASM357664v1:12:28217279:28218110:1 gene:itb12g28200 transcript:itb12g28200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTVKLEFTSNERSRRTKFKHRTKSLIKKIEQLSILCGIDVGAIIFAKHADAHQQLLVWPPQPQHLHQLLTLYKQKAKAKAKEVQVEAEPEAAEPSDTSSTASSHSQTQNLLPTSSGYHHLSLSLHQLNQLLHIMDRRIAAVDNKIQFLRKSKQGMPEEEVSNLSHSHRCPHAVHCCCRGCAVVDPGCSHHRGLNITLHGTCNSCMSSTHSNHLL >itb07g14320.t1 pep chromosome:ASM357664v1:7:16905668:16907760:-1 gene:itb07g14320 transcript:itb07g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHKRKGIPPSHISPQSYATFQQRYIVNSKYWGGAQSNSPIFAWLGAESPIDFDPESVGFLPNITPHFKALLVYIEHRFYGESIPFGEGLINDTIRGYFNSAQALADYAQYPHIALGALASSAPILYFDHITPEDGYYSIVTKDFREVSDTCYQTIKQSWSIIDTIASQPNGLSILSRKFNFCQDLNSALELKNYLDRMYCAASQYDTPAQQPVRVVCGGIDGAPKGADILDRIQAGVVAISGNQSCYTVSAIEGNQSFYTISAGGDDGWSWQTCSELVFPIAKGNDSMFDPAPFNLEQYSQSCISAFGVPPRPHWVTTYFGGQDIKLVLRNFGSNIIFSNGGRDPYSRAG >itb09g17360.t1 pep chromosome:ASM357664v1:9:12578376:12578765:1 gene:itb09g17360 transcript:itb09g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVHVRTANGGGSVGIAGEPVVASRWWRSRRSRSVGEASLVSTTAPKRRSCRSTEGCRPAAMQLMARGGGSGSMREDGAEGGAVGEEDERPWRREAEAVDDERSEWCGGNGILCDGSRFCPIRDVLLF >itb10g21600.t1 pep chromosome:ASM357664v1:10:26756430:26758835:-1 gene:itb10g21600 transcript:itb10g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEINNFIMVWTTVLACLCYCHFIGNLFPKPNFSRLSGVLPVAGIFFLLPLNLTSSNLGGGTAFLIGWLGSFKLLLFAFGRGPLCSSPPLPLSRFVALACLPIKFQEFDERSPEKKTGKSPANYLTKMVLLGMLFRVYTYENVPPKLLMLFYCCHIYFVLDLSLTAISAAVKAVGPVLSKDGVELEPPFDDPHLATSLQDFWGRRWNLMVTNILRPAVYDPVRVAAARILPEKWSALPAVAATFLVSGIMHEVVFYNIGRVKPSGEVMCFFLIHGVCLAMEIGAKKAINGRFCLPGIVSGPLSLSFVILTSFWLFFPPFLRNKPDVKGCQEVLAFIQFVKHKKLVSPSNITCPYL >itb10g21600.t2 pep chromosome:ASM357664v1:10:26756430:26758835:-1 gene:itb10g21600 transcript:itb10g21600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEINNFIMVWTTVLACLCYCHFIGNLFPKPNFSRLSGVLPVAGIFFLLPLNLTSSNLGGGTAFLIGWLGSFKLLLFAFGRGPLCSSPPLPLSRFVALACLPIKFQEFDERSPEKKTGKSPANYLTKMVLLGMLFRVYTYENVPPKLLMLFYCCHIYFVLDLSLTAISAAVKAVGPVLSKDGVELEPPFDDPHLATSLQDFWGRRWNLMVTNILRPAVYDPVRVAAARILPEKWSALPAVAATFLVSGIMHEVVFYNIGRVKPSGEVMCFFLIHGVCLAMEIGAKKAINGRFCLPGIVSGPLSLSFVILTSFWLFFPPFLRNKPDVKGCQEVLAFIQFVKHKKLVSPSNITCPYL >itb15g08690.t1 pep chromosome:ASM357664v1:15:6129938:6142759:1 gene:itb15g08690 transcript:itb15g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MDIDSPPSRTPEPNILSRLCICERLEILGVPKENLEQLQPGLVDYVKNNKSQIAEIVSAILPTDDEAVKAVKEDAAESPKASGGSGVEDLFHESMVWLQWLMFDGDPCTALEHLASLNVGQRGVCGAVWGNNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHRGAEQIQPLPDDYANSLGPVLDSLLSCWGKRLLFGETISMSSPGADNHDVELKNAAEELTSVLVEMLLEFCNSSESLLSFISGKVFSSAGLLEILVRAERFMGDEDVVRKLHELLLKLLGEPRFKYKFAKEFLSYYPTVVNEAIKECTDTVYKKYPLLSTFSVQIFTVPTLTPRLVKEMDLLAMLLECLEEIFVSCSGEDGRLQVAKWERLYETTLRVVEDIRFVMSHSVVPRYVTRDRRDILRTWMKLLSFVQGMNPQKREIGIHVEVENENMHLPFVLGHSIANIHSLLVGGAFSSCDETEEDDLANSCKPDFEEQDSQRHAKVGRLSQESSVSSVTNRGSFDHMSKATENSLFPPSVLWLAFECLRAIENWLGVDDTSGPLLYLLSRNTSPSSGSNLLALRKTLSKFRRGRHVFKLYGGPLPVNNKVTSKHPSRSTVNLDSAQNLDQEITGTSGSNDSIQEGDYTPELEALRVLSLSDWRDIAYTVSVQDISVHIPLHRLLSIILQRALGKCYGESVASSLPIHHDFFGYVLGGCHPHGFSAFVMEHPLRIRVFCAQVHAGMWRKNGDAAILSYEWYRSVRWSEQGLELDLFMLQCCAALAPADLFVKRVLERFELSNYLLLNFEQSSEYESTLVQEMLTLIIQIVKERRFCGLTSSECLQRELLYRLSIGDATRSQLVKSLPRDLSKIDKLQEVLDSIAVYSNPSGTNQGMYKLRKPYWKELDLYHPRWNSRDLQVAEERYMRFCNVSALTTQLPKWSKVYPPLGGIARVATCRTTLQIIRSSLVYALFPNKANASRAPYDVLLNVLHLLSLALDICYVQKGSGDRSCYEGDVIPLLAHAIEEVSISKYGDQSLLSLLVLLMKKYQKENDFMEIGNFNLSSLVESLLKKFAELEHGCMTKLQSLAPEMANQLLQSLPGGDVNGSGSLSDSDKRKAKARERQAAILEKMRAQQSKFLASIGSTEDAGADDSEFVKEECDADVGHASEEDTSITCSLCHNSTSKSPLSYLILLQKSRLLSLVDKGPPLWEQTRRSGKEPMSTVTKTEIVSSPRSNISSSSDVVSSFQLIPLIQDAVNDFASEGQPREVERFMEFIKSHFPSVKNIQLPCTPNIAVEKMETSLFQSFDEYMYSLIQERMHHNISRLEVIWSKRKSPSEQDSVACKGNIKSFVLGKYIAALARETPDNPSGSGNEYTSVSEPRKQVTAYDGFGPSDCDGIYLSSCGHAVHQGCLDRYLQSLKERYTRRIVFEGGHIVDLDQGEFLCPVCRGLANSVLPRLPRDVKMTPSVELSRFILDSNGCLASSNRVATSLLVKEALSLLQSVADIAESCEILRALPMQEYGRSRSNLESVSSVLGGMYYPGSDKILKSDRLSPSLILYDTLKYSLMSTEVASRSRKTSLASNCTLGSLYKELKSSNGLILSLLLSTVNSTRTKNSLDVLIRLRGIQLLAVSICSSIFIGENPSNRCSGNMQEILKLTDIEVQYPDVQFWNRASDPILAHDAFSSLMWIIYCLPCPFLSCEETFLSLVHLFYAITIIQGIATYCKKQQCNLSELDHNDGLVIDVYKVMGDFRATGDCFDSNHIKTSCDITEAIHSLSFPYLRRCALLWKLIHSSTLVPFSEGSCMSDGLSKSTDEMMQSAEDSTEDLLEIGKLEKIFKIPRLDIVLNDEFLRFVVPRWFRCLSEFQDCNKQCVLYSTPAVPFRLMVLPHLYQDLLQRYIKQHCPDCGSVPEEPALCLLCGRLCSPSWRTCCRQNSCQVHALACGAGTGVFLLIRKTTILLQRSARQALWHSPYLDAFGEEDIEMHRGKPLYLNEERYAALTHMVASHGLDRSSKVLRQTSVGAFFML >itb15g08690.t2 pep chromosome:ASM357664v1:15:6129938:6142759:1 gene:itb15g08690 transcript:itb15g08690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MDIDSPPSRTPEPNILSRLCICERLEILGVPKENLEQLQPGLVDYVKNNKSQIAEIVSAILPTDDEAVKAVKEDAAESPKASGGSGVEDLFHESMVWLQWLMFDGDPCTALEHLASLNVGQRGVCGAVWGNNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHRGAEQIQPLPDDYANSLGPVLDSLLSCWGKRLLFGETISMSSPGADNHDVELKNAAEELTSVLVEMLLEFCNSSESLLSFISGKVFSSAGLLEILVRAERFMGDEDVVRKLHELLLKLLGEPRFKYKFAKEFLSYYPTVVNEAIKECTDTVYKKYPLLSTFSVQIFTVPTLTPRLVKEMDLLAMLLECLEEIFVSCSGEDGRLQVAKWERLYETTLRVVEDIRFVMSHSVVPRYVTRDRRDILRTWMKLLSFVQGMNPQKREIGIHVEVENENMHLPFVLGHSIANIHSLLVGGAFSSCDETEEDDLANSCKPDFEEQDSQRHAKVGRLSQESSVSSVTNRGSFDHMSKATENSLFPPSVLWLAFECLRAIENWLGVDDTSGPLLYLLSRNTSPSSGSNLLALRKTLSKFRRGRHVFKLYGGPLPVNNKVTSKHPSRSTVNLDSAQNLDQEITGTSGSNDSIQEGDYTPELEALRVLSLSDWRDIAYTVSVQDISVHIPLHRLLSIILQRALGKCYGESVASSLPIHHDFFGYVLGGCHPHGFSAFVMEHPLRIRVFCAQVHAGMWRKNGDAAILSYEWYRSVRWSEQGLELDLFMLQCCAALAPADLFVKRVLERFELSNYLLLNFEQSSEYESTLVQEMLTLIIQIVKERRFCGLTSSECLQRELLYRLSIGDATRSQLVKSLPRDLSKIDKLQEVLDSIAVYSNPSGTNQGMYKLRKPYWKELDLYHPRWNSRDLQVAEERYMRFCNVSALTTQLPKWSKVYPPLGGIARVATCRTTLQIIRSSLVYALFPNKANASRAPYDVLLNVLHLLSLALDICYVQKGSGDRSCYEGDVIPLLAHAIEEVSISKYGDQSLLSLLVLLMKKYQKENDFMEIGNFNLSSLVESLLKKFAELEHGCMTKLQSLAPEMANQLLQSLPGGDVNGSGSLSDSDKRKAKARERQAAILEKMRAQQSKFLASIGSTEDAGADDSEFVKEECDADVGHASEEDTSITCSLCHNSTSKSPLSYLILLQKSRLLSLVDKGPPLWEQTRRSGKEPMSTVTKTEIVSSPRSNISSSSDVVSSFQLIPLIQDAVNDFASEGQPREVERFMEFIKSHFPSVKNIQLPCTPNIAVEKMETSLFQSFDEYMYSLIQERMHHNISRLEVIWSKRKSPSEQDSVACKGNIKSFVLGKYIAALARETPDNPSGSGNEYTSVSEPRKQVTAYDGFGPSDCDGIYLSSCGHAVHQGCLDRYLQSLKERYTRRIVFEGGHIVDLDQGEFLCPVCRGLANSVLPRLPRDVKMTPSVELSRFILDSNGCLASSNRVATSLLVKEALSLLQSVADIAESCEILRALPMQEYGRSRSNLESVSSVLGGMYYPGSDKILKSDRLSPSLILYDTLKYSLMSTEVASRSRKTSLASNCTLGSLYKELKSSNGLILSLLLSTVNSTRTKNSLDVLIRLRGIQLLAVSICSSIFIGENPSNRCSGNMQEILKLTDIEVQYPDVQFWNRASDPILAHDAFSSLMWIIYCLPCPFLSCEETFLSLVHLFYAITIIQGIATYCKKQQCNLSELDHNDGLVIDVYKVMGDFRATGDCFDSNHIKTSCDITEAIHSLSFPYLRRCALLWKLIHSSTLVPFSEGSCMSDGLSKSTDEMMQSAEDSTEDLLEIGKLEKIFKIPRLDIVLNDEFLRFVVPRWFRCLSEFQDCNKQCVLYSTPAVPFRLMVLPHLYQDLLQRYIKQHCPDCGSVPEEPALCLLCGRLCSPSWRTCCRQNSCQVHALACGAGTGVFLLIRKTTILLQRSARQALWHSPYLDAFGEEDIEMHRGKPLYLNEERYAALTHMVASHGLDRSSKVLRQTSVGAFFML >itb15g08690.t3 pep chromosome:ASM357664v1:15:6129946:6142749:1 gene:itb15g08690 transcript:itb15g08690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MDIDSPPSRTPEPNILSRLCICERLEILGVPKENLEQLQPGLVDYVKNNKSQIAEIVSAILPTDDEAVKAVKEDAAESPKASGGSGVEDLFHESMVWLQWLMFDGDPCTALEHLASLNVGQRGVCGAVWGNNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHRGAEQIQPLPDDYANSLGPVLDSLLSCWGKRLLFGETISMSSPGADNHDVELKNAAEELTSVLVEMLLEFCNSSESLLSFISGKVFSSAGLLEILVRAERFMGDEDVVRKLHELLLKLLGEPRFKYKFAKEFLSYYPTVVNEAIKECTDTVYKKYPLLSTFSVQIFTVPTLTPRLVKEMDLLAMLLECLEEIFVSCSGEDGRLQVAKWERLYETTLRVVEDIRFVMSHSVVPRYVTRDRRDILRTWMKLLSFVQGMNPQKREIGIHVEVENENMHLPFVLGHSIANIHSLLVGGAFSSCDETEEDDLANSCKPDFEEQDSQRHAKVGRLSQESSVSSVTNRGSFDHMSKATENSLFPPSVLWLAFECLRAIENWLGVDDTSGPLLYLLSRNTSPSSGSNLLALRKTLSKFRRGRHVFKLYGGPLPVNNKVTSKHPSRSTVNLDSAQNLDQEITGTSGSNDSIQEGDYTPELEALRVLSLSDWRDIAYTVSVQDISVHIPLHRLLSIILQRALGKCYGESVASSLPIHHDFFGYVLGGCHPHGFSAFVMEHPLRIRVFCAQVHAGMWRKNGDAAILSYEWYRSVRWSEQGLELDLFMLQCCAALAPADLFVKRVLERFELSNYLLLNFEQSSEYESTLVQEMLTLIIQIVKERRFCGLTSSECLQRELLYRLSIGDATRSQLVKSLPRDLSKIDKLQEVLDSIAVYSNPSGTNQGMYKLRKPYWKELDLYHPRWNSRDLQVAEERYMRFCNVSALTTQLPKWSKVYPPLGGIARVATCRTTLQIIRSSLVYALFPNKANASRAPYDVLLNVLHLLSLALDICYVQKGSGDRSCYEGDVIPLLAHAIEEVSISKYGDQSLLSLLVLLMKKYQKENDFMEIGNFNLSSLVESLLKKFAELEHGCMTKLQSLAPEMANQLLQSLPGGDVNGSGSLSDSDKRKAKARERQAAILEKMRAQQSKFLASIGSTEDAGADDSEFVKEECDADVGHASEEDTSITCSLCHNSTSKSPLSYLILLQKSRLLSLVDKGPPLWEQTRRSGKEPMSTVTKTEIVSSPRSNISSSSDVVSSFQLIPLIQDAVNDFASEGQPREVERFMEFIKSHFPSVKNIQLPCTPNIAVEKMETSLFQSFDEYMYSLIQERMHHNISRLEVIWSKRKSPSEQDSVACKGNIKSFVLGKYIAALARETPDNPSGSGNEYTSVSEPRKQVTAYDGFGPSDCDGIYLSSCGHAVHQGCLDRYLQSLKERYTRRIVFEGGHIVDLDQGEFLCPVCRGLANSVLPRLPRDVKMTPSVELSRFILDSNGCLASSNRVATSLLVKEALSLLQSVADIAESCEILRALPMQEYGRSRSNLESVSSVLGGMYYPGSDKILKSDRLSPSLILYDTLKYSLMSTEVASRSRKTSLASNCTLGSLYKELKSSNGLILSLLLSTVNSTRTKNSLDVLIRLRGIQLLAVSICSSIFIGENPSNRCSGNMQEILKLTDIEVQYPDVQFWNRASDPILAHDAFSSLMWIIYCLPCPFLSCEETFLSLVHLFYAITIIQGIATYCKKQQCNLSELDHNDGLVIDVYKVMGDFRATGDCFDSNHIKTSCDITEAIHSLSFPYLRRCALLWKLIHSSTLVPFSEGSCMSDGLSKSTDEMMQSAEDSTEDLLEIGKLEKIFKIPRLDIVLNDEFLRFVVPRWFRCLSEFQDCNKQCVLYSTPAVPFRLMVLPHLYQDLLQRYIKQHCPDCGSVPEEPALCLLCGRLCSPSWRTCCRQNSCQVHALACGAGTGVFLLIRKTTILLQRSARQALWHSPYLDAFGEEDIEMHRGKPLYLNEERYAALTHMVASHGLDRSSKVLRQTSVGAFFML >itb03g20960.t1 pep chromosome:ASM357664v1:3:18779027:18779605:-1 gene:itb03g20960 transcript:itb03g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNTSRGVARGRQRVPLSRIGNEVQRLVTFSKRRTGLFKKASEMSTLCGPEIAMVVFSPSGKAFSFSNPDMNRVLTKYFGEIPNREANIPEHIIRAHQEAKMRAMTSQINVLEAQIDEEKMVDQALREAEKGRPSISDLQLPELQLMKQKMETLLIQVTEKLNMFSILEAQSQAMETRFGANDGPGPSGV >itb11g15900.t1 pep chromosome:ASM357664v1:11:13724908:13735241:1 gene:itb11g15900 transcript:itb11g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGDSIKPRDVCIVGVARTPMGGFLGSLSSLSATKLGSIAIKGALERANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNPVVCTTINKVCSSGLKAIMIAALSITSGVNDVVVAGGMESMSNAPKYLVQSRKGSRLGHDTIIDGMIKDGLWDVYNDFGMGLCAELCADQYSFTRGEQDSYAIQSFERGIAAQSSGAFGWEIVPVEISGGRGKPPNIVDKDDGLRQFDASKLRKLRPSFKEGGTVTAGNASIISDGAAALVLVSGEKALKLGLQVIAKIRGYADAAQAPELFPTAPALAIPKAIRHSGLKTSDVDYYEINEAFSVVALANLRLLNLDPGKLNVHGGAVSLGHPLGCSGARILVTLLGVLREKNGKVGVAGVCNGGGGASALVVELMPEAKMVRPKL >itb01g20580.t1 pep chromosome:ASM357664v1:1:26833472:26839530:1 gene:itb01g20580 transcript:itb01g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSLRVVKLRSRVNIFTDVRVHQLGDQVIRAKVYLLLCGTRSNPHFIRELGLRIKQVEKTLREATKDSDLLRTFTKAAGASQRMLQGDVKLPTSSISTISAMVEATNQLAGEEEPRQCSISVAVGLQFERESRGRWLVLTAGLPWPPLCFIDNSHTSFRRRYQ >itb11g07080.t1 pep chromosome:ASM357664v1:11:4289377:4292462:-1 gene:itb11g07080 transcript:itb11g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPGGGGGSQQSSQSEVEVSEHERGLPIANVARIMKKALPPNAKITKEAKEFAEECATEFISFITSEASERGQIEKRKTLTGDDVLNSLNTLGFGDFVEPLRAHLMKYKERRRRGMEGAPGGGGSHQSSQSENHNPEYVRSLPIANVARIMKKALPDDANITAEAKVFVEECATEFISFITSEYVSINPIYLSIYHILWISVCGNAMIT >itb11g07080.t2 pep chromosome:ASM357664v1:11:4288552:4292462:-1 gene:itb11g07080 transcript:itb11g07080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPGGGGGSQQSSQSEVEVSEHERGLPIANVARIMKKALPPNAKITKEAKEFAEECATEFISFITSEASERGQIEKRKTLTGDDVLNSLNTLGFGDFVEPLRAHLMKYKERRRRGMEGAPGGGGSHQSSQSENHNPEYVRSLPIANVARIMKKALPDDANITAEAKVFVEECATEFISFITSDLSMELHLGLAAARWKHSSSSFSVFV >itb01g22880.t1 pep chromosome:ASM357664v1:1:28982674:28983744:-1 gene:itb01g22880 transcript:itb01g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFRYSIFVYAILFFQLVSQGSGSRKILEMNTHNKHSDSVSHMDPEVNIFFTPDDLKMGKTLQIFFASTSSSPRLLSRADADSIPFSSAELPHLLDLFSFSPASPQARAMEQTLGHCEFPAMKGETKFCAASLESMLDSVRGILGSDSQIRVVTTEFAANHSAALRNYTIVGAPREIAGRKMVGCHSLPYPYAVFYCHSQEGDTRVFEASLVSEDGEERAEAVAICHMDTSRWNRDHVSFRVLGIQPGSSPVCHFFPPDNLVWVSSDSQHGS >itb04g01880.t1 pep chromosome:ASM357664v1:4:1106714:1114849:1 gene:itb04g01880 transcript:itb04g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYRNGNHKAQNLRVSSSFKSKLPPSTSNIRRSSSAGDAVSGRVRVAVRLRPRNAEELAADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVFTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGQLGDDDPSTRGIMVRSMEDILANISLGTDSVSVSYLQLYMETIQDLLNTANDNISIVEDQKTGDVSLPGATVVEVRDQQSFLELLKLGEAHRYAANTKLNTESSRSHAILMVNIKRSVSGREADASGEFDDTSPLATNFKPPILRKGKLLLVDLAGSERVLKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLKDSFGGTSRTSLVVTIGPSPRHRAETASTILFGQRAMKVENMLKIKEEFDYRSMCKRLEVQVDKLIAENERQQKAFEDEVNKIKLEAQQRVFEAEQNFAEELKDEKIKCQMEYMDSIKKLEEKMLYQQKHPTNGVTDIGESHRGFATEEVSELKKLLQNEIQIRKAAEEEISNLKDQIIKLSKQELPGGSSDTIYLQKLLEEETREKKRLEEEVIILKSQLTFEDGQTRSYADRGRNGNSLSGLESLSSLTHPHYKNGSNGDRAAITNLHEQVGLHKIMSLLESEDASVQIHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRNSEDETIRRIAAGAIANLAMNESNQELIMAHSGISLLAMTAAEAEDPQTLRMVAGAIANLCGNDKLQTRLRSEGGIRALLGMVRCRHPDVLSQVARGIANFAKCESRASAQGIKLGRSLLIEDGALPWIVQNANNEASLIRRHVELALCHLAQHEVNAKDMISGGALWELYRISRDCTREDIKALARQTLASSPTFLSEMRRLHIDV >itb04g01880.t2 pep chromosome:ASM357664v1:4:1106714:1114849:1 gene:itb04g01880 transcript:itb04g01880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYRNGNHKAQNLRVSSSFKSKLPPSTSNIRRSSSAGDAVSGRVRVAVRLRPRNAEELAADADFADCVELQPELKRLKLRKNNWDTDTYEFDESVLDGYNGTVMAYGQTGTGKTYTLGQLGDDDPSTRGIMVRSMEDILANISLGTDSVSVSYLQLYMETIQDLLNTANDNISIVEDQKTGDVSLPGATVVEVRDQQSFLELLKLGEAHRYAANTKLNTESSRSHAILMVNIKRSVSGREADASGEFDDTSPLATNFKPPILRKGKLLLVDLAGSERVLKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLKDSFGGTSRTSLVVTIGPSPRHRAETASTILFGQRAMKVENMLKIKEEFDYRSMCKRLEVQVDKLIAENERQQKAFEDEVNKIKLEAQQRVFEAEQNFAEELKDEKIKCQMEYMDSIKKLEEKMLYQQKHPTNGVTDIGESHRGFATEEVSELKKLLQNEIQIRKAAEEEISNLKDQIIKLSKQELPGGSSDTIYLQKLLEEETREKKRLEEEVIILKSQLTFEDGQTRSYADRGRNGNSLSGLESLSSLTHPHYKNGSNGDRAAITNLHEQVGLHKIMSLLESEDASVQIHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRNSEDETIRRIAAGAIANLAMNESNQELIMAHSGISLLAMTAAEAEDPQTLRMVAGAIANLCGNDKLQTRLRSEGGIRALLGMVRCRHPDVLSQVARGIANFAKCESRASAQGIKLGRSLLIEDGALPWIVQNANNEASLIRRHVELALCHLAQHEVNAKDMISGGALWELYRISRDCTREDIKALARQTLASSPTFLSEMRRLHIDV >itb04g01880.t3 pep chromosome:ASM357664v1:4:1106714:1112947:1 gene:itb04g01880 transcript:itb04g01880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYRNGNHKAQNLRVSSSFKSKLPPSTSNIRRSSSAGDAVSGRVRVAVRLRPRNAEELAADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVFTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGQLGDDDPSTRGIMVRSMEDILANISLGTDSVSVSYLQLYMETIQDLLNTANDNISIVEDQKTGDVSLPGATVVEVRDQQSFLELLKLGEAHRYAANTKLNTESSRSHAILMVNIKRSVSGREADASGEFDDTSPLATNFKPPILRKGKLLLVDLAGSERVLKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLKDSFGGTSRTSLVVTIGPSPRHRAETASTILFGQRAMKVENMLKIKEEFDYRSMCKRLEVQVDKLIAENERQQKAFEDEVNKIKLEAQQRVFEAEQNFAEELKDEKIKCQMEYMDSIKKLEEKMLYQQKHPTNGVTDIGESHRGFATEEVSELKKLLQNEIQIRKAAEEEISNLKDQIIKLSKQELPGGSSDTIYLQKLLEEETREKKRLEEEVIILKSQLTFEDGQTRSYADRGRNGNSLSGLESLSSLTHPHYKNGSNGDRAAITNLHEQVGLHKIMSLLESEDASVQIHAVKVVANLAAEGDLSLHSPSPSSYMNKKNALWFCYC >itb01g09960.t1 pep chromosome:ASM357664v1:1:8259035:8260035:1 gene:itb01g09960 transcript:itb01g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLAAREDNLAFDYLFDKFSKDIDEFQKTTTGIQGTNDPANHEDFIDTFFSFIHSKHNNANDPSHSGSITNLILQDFEEFETAELPPCQADASEAQREEETQEMIDELRQETWEFSIDDDNNMCPTQSYNDFWCNVGSGDLTFPPFGCGPVVRYFFCGQTNKNTPRKRIKRKYRGVKLSEEEAARKREENKIKNRETAAKAHKMKLAHEARMHSMYLKLHQKNEVLKKQIMFLEFHERINIPPKPLKRTISALV >itb12g23750.t2 pep chromosome:ASM357664v1:12:25364770:25370801:1 gene:itb12g23750 transcript:itb12g23750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MSSAADHHSLSSDSDSDTGTNSSDRHSDLSSTIFKSYLDLTGGGGNQHQHDLIKIQSFLTSSRSGALSCLICLERIRPVDPTWSCSSRCFAVFHLLCIQSWGVQASNLAAARAASRAATTTDNSLLWHCPKCRIEYPKYQIPKTYYCFCGKLENPPHDPWVLPHSCGEICGRPLKYNCGHHCLLLCHPGPCPSCPKLVKSRCFCGAVEDMKRCGFKNFSCNGVCKKVLACKTHRCNETCHDGECPPCDAKAVYKCQCGKVKMERQCFERDFRCDNPCAELLGCGRHKCERGCHEGECGKCPLQGKRTCPCGKRVYEGMSCDVAAPVCGATCDKMLSCGFHRCPERCHRGPCIETCRIVVTKGCRCGSLRKQVPCYQDLTCERKCLKVRDCERHACKRRCCDGDCPPCSEMCDRKLRCRNHKCPAPCHRGACAPCPVMVTISCFCGETYFEVPCGTESEQKPPRCRKLCRMTTLCRHASTSKPHRCHYGACPQCRLICNEEYPCGHNCKLRCHGPIPPPLPEFTLKPKKKKSTHQNEPSPGTSCPPCPELVWKSCVGNHIGAERMMVCSNRGEFACDNLCGNLLKCGNHYCTKVCHAIKLNHSSASEGHGRGEPCEKCTLRCEKEREPTCPHPCPLPCHLGDCPPCKALIKRSCHCGAMVHAFECIYYNSLSQKEQVSARSCRGPCHRKLPYCTHLCPETCHPGECPSPDQCSKKVVIRCGCQTLKKEMLCKDVQAMYRLNGCDPKDISKSQYGLGLLPCNADCKSKVRVAESELQLRKPKPPEVFWKDEYYLSCILC >itb12g23750.t1 pep chromosome:ASM357664v1:12:25364770:25370801:1 gene:itb12g23750 transcript:itb12g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MSSAADHHSLSSDSDSDTGTNSSDRHSDLSSTIFKSYLDLTGGGGNQHQHDLIKIQSFLTSSRSGALSCLICLERIRPVDPTWSCSSRCFAVFHLLCIQSWGVQASNLAAARAASRAATTTDNSLLWHCPKCRIEYPKYQIPKTYYCFCGKLENPPHDPWVLPHSCGEICGRPLKYNCGHHCLLLCHPGPCPSCPKLVKSRCFCGAVEDMKRCGFKNFSCNGVCKKVLACKTHRCNETCHDGECPPCDAKAVYKCQCGKVKMERQCFERDFRCDNPCAELLGCGRHKCERGCHEGECGKCPLQGKRTCPCGKRVYEGMSCDVAAPVCGATCDKMLSCGFHRCPERCHRGPCIETCRIVVTKGCRCGSLRKQVPCYQDLTCERKCLKVRDCERHACKRRCCDGDCPPCSEMCDRKLRCRNHKCPAPCHRGACAPCPVMVTISCFCGETYFEVPCGTESEQKPPRCRKLCRMTTLCRHASTSKPHRCHYGACPQCRLICNEEYPCGHNCKLRCHGPIPPPLPEFTLKPKKKKSTHQNEPSPGTSCPPCPELVWKSCVGNHIGAERMMVCSNRGEFACDNLCGNLLKCGNHYCTKVCHAIKLNHSSASEGHGRGEPCEKCTLRCEKEREPTCPHPCPLPCHLGDCPPCKALIKRSCHCGAMVHAFECIYYNSLSQKEQVSARSCRGPCHRKLPYCTHLCPETCHPGECPSPDQCSKKVVIRCGCQTLKKEMLCKDVQAMYRLNGCDPKDISKSQYGLGLLPCNADCKSKVRVAESELQLRKPKPPEEKEPDAKINTTKRRRRRDRVQEDRKTSRLKSLITAIWRVLLFVAITVTLLISAYYGYKGLMWLSDRMNEAETQRQGRYPRRI >itb03g17650.t1 pep chromosome:ASM357664v1:3:16182859:16184980:1 gene:itb03g17650 transcript:itb03g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFTWDDVKVDKHRENYIGHSIKAPVGRWQKGKDLFWYARDKKSQQSDMDAAKEEIRRIKEEEEQAMREALGLAPKRAAPSQGNRLDKHEFSELVKRGSTAEDLGAGHAEAAQVHGLGFAKVPQPWKESSSLSNLPSSEKMEPSGNTNVQRPEAPTRSEQEGSENERSQKKRRREEKKHEKYDRREKHEKHDRREKRHSRDSDERRKHKRDKEKRRHDSD >itb03g21160.t1 pep chromosome:ASM357664v1:3:18960123:18965122:1 gene:itb03g21160 transcript:itb03g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVNLLNTIHFHFLQPLPCLSVDDRERIMIQSLCKKLRFLQDFLEDSEKNNINCPAWRGLETEIRDVAAEAERKIESKLYKHYLFYNEKTEIRDVPVKPCESLHQTLKQVKGDIESLQRRILQIESNRNHSLEPPTRNAAIQNIKADSSSKRFSEPNNVMVGCDDEFETIKRKLISDSNNLEVISITGMGGIGKTTLAQRVYNDKAVIASHFDIRAWTTVSQQHNLREMLCDLLGSNDTDLDISDLASQLRRKLLGQRYLIVIDDIWNTQAWDDIHRCFPEEDFNGSRILLTTRLKQVADYVSSGNNLYSMRFLNLNESWSLFYKKVFVEKKFPLEFEKIGRDIAEKCQGLPLTIIVVAGLLSSSFNKPSLNQWENVVANLDQLLNTDPEKKCSKILSLSYNHLPLHLKACFLYFGIFPEDRVIKVKKLIRLWIAEGFLKLELNKTMEEVAYAYLKDLVDRGLVQIDKWSHSDNKIKYCKLHDVLHSFSLREAKREKLLFVTNENHNVPEVVLATSSLDRKVCRWVVCCRLRDKHYDPISRSRYYMAHTSHELCSFLYLPRHSIVRNSIILPYSKLKLLRVLDISHSLNHYLPREIVDLVHLRYLALRIYQEASINDYQWCKLRCLQTIIIFGYLASFTPNNILGMPQIRHVHISQGILNYLHLPKLVQGNLQTLSWLNLPQRLQTEPDFKGIPNLKELGIRLMGYDPYYKMHSLSEKPWGLLPHISMEGLLNLHQLENLKFETNQWSLKCDLKLLKAFPPNLKKLTLRGTHFSWEDMAIINTLPNLEALKLREDAFCGPEWKATGNGFCKLKYLEVTAHSSLKHWSVDADHFPILECIFLNQCHLLVEFPTGFGEINTLQLIDLKNCPSRLVTSAKNFQEERQDLGDDKLVLREFYTASEGRWVGYDT >itb13g03610.t1 pep chromosome:ASM357664v1:13:3459379:3462562:-1 gene:itb13g03610 transcript:itb13g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPSELTLDCKPHTYSMLLKSFGDHHLHPHHQNDQTLQKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAMEASRQQLQSFRANNNNHGAARPVLEEFIPLKNSSSPEGTTDQKAAAAGDKANWMTTAQLWSQGNNSNNNNNNNESALQQKLQKIPDKETEIGFCVSPNPQMNNNNGGAFLPFSKDRSGSCPSPTLALASSDGKDVVEEKKSLEIVNLGNKCSNGPAATPEQGKSGGCGGGGGGGGATLPPPQDSQTAAGTAAQPHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPGPTPQAAAAAAPQLVVLGGIWVPPEYATAAAAAHGGAQAAAAAAATLYGAHPTTTHAHHPASQHFSVGHEFYAAAPTQPPPPQHLPLHHPLHHQFHMYKPPPQAPESDGRSESIEDGKSESGSWRADSGAENGGEGRALAALREDGEVSNGSDITLKF >itb03g01870.t1 pep chromosome:ASM357664v1:3:1058636:1059777:1 gene:itb03g01870 transcript:itb03g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQESPVQIENAAPKQPALPPVQKENAAPMQPALPSVQKENAAPMQPELPPVQKENAGQKEETLPPVTKPSLCSKTTFPDRLKVPKAFKYPERYTSPTDQMMSPVSKRLFGNGRIRKPATADATAATAPLPPSGNPPKPVKIQPQASRNLISIDT >itb15g05140.t1 pep chromosome:ASM357664v1:15:3297524:3302072:1 gene:itb15g05140 transcript:itb15g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPTRLSCGTATMSTATWLRTVIDPLPSKPSPELEKFLSSCDRDVTADVIRRAQIILEAIFPSPSGPGSIPAEECVAAGAGVEAGSSVSLQSSNLMDNKWAEQRRSEALKLYYRVLQAMCVAESQILRGNNLTSLLTNERFHRCMLACSAELVLATHKTTATVLFPAVLERTGIMAFDLSKVIESFIRHEDSLPQELRRHLNSLEERLLESVVWEKGSSMYNSLIVARPALSAEINRLGLLADPMPSLDAIANMSSAILPPVPSFHKLETSPGQNGDMRSPKRLCTEYRSVLVERNSFTSPVKDRLLALNPLKGKLPLPALQSAFASPTRPNPGGGGETCAETAISVFFGKISKLAAVRISGMVERLQLSQQIRENVYHLFQKILNQQTALLFNRHIDQIILCCFYGIGKISQLSLTFKEIICNYRKQPHCKTHVFQNVFVDLTSAQRNGKAGNDHVDIISFYNGIFVRAVKPLLVELGPTGTSQQSSNNAEAKNSSTGQPPASPKTSPFPSLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSFYACVGESTHAYQSPSKDLTAINNHLNGRKLRLNFDDVDVGLVSDSLVANSLHLQNGSCGSSSSAAVKCEQPDS >itb03g23290.t2 pep chromosome:ASM357664v1:3:21469460:21471951:-1 gene:itb03g23290 transcript:itb03g23290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQASISAANALVQSVEATLPKQKRCIATKEFKHAIIAHKRRAKAKCEEKGPIQLPQDVLAHMFHFLDLQTLLVAALVCRSWSEAASDNHLWQLIYTNFFGTSLNSSNDMECSRCTSAQNAQGICREETSTATSVDWKNAFKRAYKDRSSKMVLTSQRGYCSYCCSVVWLNNGMCSNKHNKNHYVKPVSVEQIVDYVMSDSVPISCSSDSDLEDSDYESLFKLWAYPKRIGKS >itb03g23290.t4 pep chromosome:ASM357664v1:3:21469288:21471960:-1 gene:itb03g23290 transcript:itb03g23290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQATRYRRLGLREALNRPYHYSIVCNELSLILRNAYSRFSKNLQSLIFDDILLAFRLLPEMQTQASISAANALVQSVEATLPKQKRCIATKEFKHAIIAHKRRAKAKCEEKGPIQLPQDVLAHMFHFLDLQTLLVAALVCRSWSEAASDNHLWQLIYTNFFGTSLNSSNDMECSRCTSAQNAQGICREETSTATSVDWKNAFKRAYKGTPEIYKMVLK >itb03g23290.t1 pep chromosome:ASM357664v1:3:21469288:21471976:-1 gene:itb03g23290 transcript:itb03g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQATRYRRLGLREALNRPYHYSIVCNELSLILRNAYSRFSKNLQSLIFDDILLAFRLLPEMQTQASISAANALVQSVEATLPKQKRCIATKEFKHAIIAHKRRAKAKCEEKGPIQLPQDVLAHMFHFLDLQTLLVAALVCRSWSEAASDNHLWQLIYTNFFGTSLNSSNDMECSRCTSAQNAQGICREETSTATSVDWKNAFKRAYKDRSSKMVLTSQRGYCSYCCSVVWLNNGMCSNKHNKNHYVKPVSVEQIVDYVMSDSVPISCSSDSDLEDSDYESLFKLWAYPKRIGKS >itb03g23290.t3 pep chromosome:ASM357664v1:3:21469288:21471226:-1 gene:itb03g23290 transcript:itb03g23290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFPLLSCQSCFWLQMFGEALLLNFFNFRMQTQASISAANALVQSVEATLPKQKRCIATKEFKHAIIAHKRRAKAKCEEKGPIQLPQDVLAHMFHFLDLQTLLVAALVCRSWSEAASDNHLWQLIYTNFFGTSLNSSNDMECSRCTSAQNAQGICREETSTATSVDWKNAFKRAYKDRSSKMVLTSQRGYCSYCCSVVWLNNGMCSNKHNKNHYVKPVSVEQIVDYVMSDSVPISCSSDSDLEDSDYESLFKLWAYPKRIGKS >itb04g11380.t1 pep chromosome:ASM357664v1:4:10978473:10984352:-1 gene:itb04g11380 transcript:itb04g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-5 [Source:Projected from Arabidopsis thaliana (AT4G13980) UniProtKB/Swiss-Prot;Acc:Q94BZ5] MDGLAPVPAGGGGGGPAPFLIKTYDMVDDSGTDEIVQWSENGNSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFLRGQKHLLKNIHRRKPIHSHSHPPGSTVDPERAAFEEEIDKLSREKSNLEANISTFILQQSTAKQQLEELTQRVGNMEQRQENLLACFKKAIRNPDFVERLAQKLESMDFSAYTKKRRLPQVENSQPVEETILVDNHSSSRPEFGNLCHQDFSNKLRLELSPAVSDVNLLSHSTQSSNEDGGSPLRRLSEGWPNDLQMRTADVLYAPETVELSDTGTSFTLKMDSPLTGKASGVTSPRLNSFQHTLTANEEVDGQMSCQLNLTLASSTLQVDSNPHSARMPQMGDMIRCSESRSNIDGNVADHSIPQKTRNPSIDNANLSSAHNASNNKQGSATPPARVNDVFWEQFLTERPGCSDNEEASSGIRAGSYDEQEDRRSNQGIARNTSSMDKLTL >itb09g01530.t2 pep chromosome:ASM357664v1:9:936126:938254:1 gene:itb09g01530 transcript:itb09g01530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLGCFRLVLLLVVCLEQIYNRYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNNWRDEFLIQASPSDPENFPFVVIGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGTNVEEAFQCIAKNALKSGEEEEIYLPDTIDVGSSTQPRASGCEC >itb09g01530.t1 pep chromosome:ASM357664v1:9:935649:938285:1 gene:itb09g01530 transcript:itb09g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNNWRDEFLIQASPSDPENFPFVVIGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGTNVEEAFQCIAKNALKSGEEEEIYLPDTIDVGSSTQPRASGCEC >itb01g16830.t1 pep chromosome:ASM357664v1:1:21320868:21321263:-1 gene:itb01g16830 transcript:itb01g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLNNEEVQHDKQMSFSSDRMFRNDEETVVAHENSVLFGELKRVKFWRKWRLMPIAGLVKCLYMMKNEEHFALRWLNTNDIQQALELNYINEVYMEKLSELQKAEKCRVDDDAFWGRGYVRKNRSAEKL >itb04g09460.t1 pep chromosome:ASM357664v1:4:8757687:8763804:1 gene:itb04g09460 transcript:itb04g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGDVPEDPVVSKNSGLLFEKRLIERHISDYGKCPVTGEPLTMDDIVPIKTGKIVKPRPVQAASIPGMLGMFQIEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARELLAQAEREIPMTAATATAANTPTLSNGKRAAEDEEMGPEGKKSRPGISSAIITELTDCNTNLSQQRKKRQIPPTLAPVDALERYTQLNSYPLHKTNKPGILSLDICYPKDIVATGGIDNNAIIFDRSSEQIISTLSGHSKRVTNVKFAVEGEVVVTSSADKTVRVWQGSENGSYDCRHILKDHTAEVQAVTIHATNNYFVTASLDGTWCFNELASGLCLAQVADTSGSESYTSAAFHPDGLILGTGTSGSIVKIWDVKSQANVARFDGHIGTVTAISFSENGYFLATAAQDGVKLWDLRKLRNFRTFTPYDDDTPTQTVEFDQSGSYLALGGSDIRVYQVASVKSEWGCIRTFPDLSGTGKATCLKFGPDAKYIAVGSMDRNLRIFGLPQEDDGQPED >itb07g01470.t1 pep chromosome:ASM357664v1:7:891995:892761:1 gene:itb07g01470 transcript:itb07g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHNYFTAIMSLQLVAMATLIACATAAMEEQAAPSSSKYAKWAENNKVKEYMKLSSSAEQIKSPSSSSAEEEKHNKESCPASSENEEKPKWTAEEMENMNDNQLKEVAASLMAEKDAPPKHKCWQKCAFDKLRPYCEHLVVQSTIPGCLLAGGKACALYCTGGSLK >itb10g12920.t1 pep chromosome:ASM357664v1:10:19017776:19022177:-1 gene:itb10g12920 transcript:itb10g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWSARLSSASRRYHSAFQPRSGMKWEGFDAEMLMGFEEIDMVDDDIREEFPCPFCSEYYDIVGLCFHIDDEHPLEAKNGVCPVCAMRVGVDMVSHITLQHGNIFKMQRKKKSRKGSSHSTLSLLRRELREGNLQPFLGGSSRTVSSSSSAPDPLLSSFILPMADDFGSAQAHSILETVLAKESTSKCVSERNVGQQPLLSTKDKEEKAKRSEFVQGLLLSTIVDDSS >itb03g01440.t1 pep chromosome:ASM357664v1:3:808395:812696:-1 gene:itb03g01440 transcript:itb03g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSRLKVAVYWYLQALLGFFSAFLFRLGKGKGGVDSLEKSLDFSETSAGEFTCGETEMMNMSEDSEVEGEKNSGGFTFRFPTYEEFISGNRGIGIGVESVDSEVALNKDLKQSDYEGEDASNEENGDSDIHVCSKEETEVTNFGEIKDLKQSHPEEEDLSSEENENSDIHVCSKEETEVTNFGEIKDLKQSHPDEEDVCNEENENVGSKEETEVTNFGEIKDLKQSHPGEEDVSYGENENVGSKEETEVMNFGEIKDLKQSHPDEEDVSNEENENSDSDVCRKEETEVTNFGEIKDLEQSHPDEEDVSNEENQNVDIHVCSKEETEVTDFGENKDLKQSDYRGKDVSDEENENPDSDVCRKVETEVANLGDEKREFGKAESDSQWDLDFTDKTSFKSEKDSLVTDSDSASLTFEHMQYLMGRLVDSYSEGFLSDEDFGGEFKLDDNSNHMDTEESHDEMSEENQEFGTSDQDDSAIMDEHLEEFKQDGNDSLETMESEFLSENDFHEGAGNFEDWGLDDSLESLEPEFLSENDFREDLGELEDWDSDDEVFTNNLSESQNPMSENESDLDYGDANKMESLWEHQDLLEQIKMELKKVRATGLPTIFEESESPKMDDLKPWKIDERFQREDCIGELMKFNKSYRERMRKLDILTYQKLYAIGFLQKDPLKDPFQLLSSQKSSGPGLKSLKSVWPFKQKSGEIDPVAKFIKEIQCELEVVYVGQMCLSWEFLHWQYGKALDLWDSDPRGIHRYNEVAGEFQQFQVLLQRFIEDERFQAPRVRYYIKSRYDFRNLLQVPVIREDNLKDRKKAMKFEKGDFAITSDILVEILEECIRIFWRFVKADNDSSTVQVKCQKGGVHPEIENADDLELLMEVRRSLQKKERKLRDTLRSENCVLRRFRRSCRDDDEDSDHVLYFFSQVDMKLVSRVLNMSRLTKEQLVWCHNKLSRIRFVNRRIHVDPSFLLFP >itb03g01440.t2 pep chromosome:ASM357664v1:3:808395:812696:-1 gene:itb03g01440 transcript:itb03g01440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMSEDSEVEGEKNSGGFTFRFPTYEEFISGNRGIGIGVESVDSEVALNKDLKQSDYEGEDASNEENGDSDIHVCSKEETEVTNFGEIKDLKQSHPEEEDLSSEENENSDIHVCSKEETEVTNFGEIKDLKQSHPDEEDVCNEENENVGSKEETEVTNFGEIKDLKQSHPGEEDVSYGENENVGSKEETEVMNFGEIKDLKQSHPDEEDVSNEENENSDSDVCRKEETEVTNFGEIKDLEQSHPDEEDVSNEENQNVDIHVCSKEETEVTDFGENKDLKQSDYRGKDVSDEENENPDSDVCRKVETEVANLGDEKREFGKAESDSQWDLDFTDKTSFKSEKDSLVTDSDSASLTFEHMQYLMGRLVDSYSEGFLSDEDFGGEFKLDDNSNHMDTEESHDEMSEENQEFGTSDQDDSAIMDEHLEEFKQDGNDSLETMESEFLSENDFHEGAGNFEDWGLDDSLESLEPEFLSENDFREDLGELEDWDSDDEVFTNNLSESQNPMSENESDLDYGDANKMESLWEHQDLLEQIKMELKKVRATGLPTIFEESESPKMDDLKPWKIDERFQREDCIGELMKFNKSYRERMRKLDILTYQKLYAIGFLQKDPLKDPFQLLSSQKSSGPGLKSLKSVWPFKQKSGEIDPVAKFIKEIQCELEVVYVGQMCLSWEFLHWQYGKALDLWDSDPRGIHRYNEVAGEFQQFQVLLQRFIEDERFQAPRVRYYIKSRYDFRNLLQVPVIREDNLKDRKKAMKFEKGDFAITSDILVEILEECIRIFWRFVKADNDSSTVQVKCQKGGVHPEIENADDLELLMEVRRSLQKKERKLRDTLRSENCVLRRFRRSCRDDDEDSDHVLYFFSQVDMKLVSRVLNMSRLTKEQLVWCHNKLSRIRFVNRRIHVDPSFLLFP >itb06g17110.t1 pep chromosome:ASM357664v1:6:21054611:21057342:-1 gene:itb06g17110 transcript:itb06g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRIVVSKAIIFSWVSRYRRWLLSFAGGALMVLALGTGNRSNLDLYTAGKGTNFEFPMSEVSVSKGPSFPPVLAYWIFGSKGDSKRMLRLLKAVYHPRNQYLLQLDSDSSDQERLDLVLSVEDEKVFRAFGNVNVVGKSYGVNQMGASGLAAMLHAAALLLRLSRDWDWFINLSSSDYPLLTQDDILYAFTCLPRDVNFLGFKNITEFNGQYDFNQIAVDPSLYSTENTPIFYAREGRGSPDAFKIFGGSPWTTLSRGLMEHCVEGWDNLPRKLLLYYTNVVSPLESYFHTLICNTPEFQNTTVNCDLRHTVKGALNLLQRDELTSDLAVFARAFEEDDEAMEGLDRKVLNRAPDGLVPGKWCLARGTNESEGKVCSRWGDINSVQPGTYGVKLHKILSKFSAEKESQTNLCRMYTV >itb06g18110.t1 pep chromosome:ASM357664v1:6:21853249:21861018:1 gene:itb06g18110 transcript:itb06g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKANSVSAGTTVALLNPPRISADHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWTDFLERQSDFAQLPINGTSSGNTCEELSYEPIKKEPDSGSQNGIENQMEIKEIPTSTEKKTHQIQVWSEVRSSLRAIEDMMSVRVKRVNKVKSEQDSGMVEHSSTIEEAKPTKGALEEDSEDEFYDLDRAESLDRSDSDSVQDVSLTESIAQASLPQESLPPWKEELECLVQGGVPMALRGELWQAFVGAKARRVEKYYQDLLAPETKSVNNIELKSKESLDNKSSLESNADSVCAEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEETAFWTLVGILDDYFDGYYSEEMIESQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTSPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALIDLYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVTESRLQELRIKHRPSVKAALEERSKGLKVWRDSQGLASKLYGFNQDPSSVAGTNKTDQAFNRDSNGNMDPSSANADGLYESTNKNMETDSGTDLQEQVVWLKVEMCKLLEEKRSAELRAEELETALMEMVKQDNRRELSAKVEQLEQDIAELRQALADKQEQENAMLQVLMRVEQEQRVTEDARIFAEQDAAAQRYATQVLQEKYEAAMASLSEMERRVVMAESMLEATLQYQSGQNKMQPSPRSAQQESNQDSPQEIPTRKISLLSRWRDRNKGKPSSEEPGEDKPVNEVQSPSTEQRETNGHPVEEKA >itb01g27210.t1 pep chromosome:ASM357664v1:1:32121466:32124674:-1 gene:itb01g27210 transcript:itb01g27210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMFLFTNLEEFLKNKVLWHRSLFYLRVTVYYIATVAEVLLLRDEKITFAHFLLWFLIYYWFFLYGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGRRLLAQGEDWCTFLKVAGLLYCLKLIVSLSLTAILWPLVLVFSVFFIYEQYEEEVDGVAKVLFSCGMSALSFLSRNSPMPLASILSNFEMSLKRKDLD >itb03g02790.t1 pep chromosome:ASM357664v1:3:1607749:1608599:-1 gene:itb03g02790 transcript:itb03g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICTSCESTSVATAKLILTDGRLEEFSYPVKASYVLQKDPTIFICNSDEMDFGDVVSAISGDEELQPGQLYFALPLSRLKRRLQAEEMAALAVKASSALTKSGGGACCDKKCGCRRKTLDFPVDKGSKAAAGDNGSVVAGRSSNGRRRSGKFAAKLSAIPE >itb07g05410.t1 pep chromosome:ASM357664v1:7:3719977:3721633:-1 gene:itb07g05410 transcript:itb07g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESWSLTAKLCDSCKAVSATVFCGADTAFLCLACDSKIHAANKLASRHARVWVCEVCEQAPASFTCKADAAALCVTCDRDIHSANPLARRHERLPVVPFYDSAAAAAKSHGAAGSASPGGDDDTKYFSNESDNQNAEEAEEEAEAASWLLPTPNNAKGGVDLEGSEYKSADYLFNDMDPYLDMEMMSGGGDQKPHHHHHHQHYNSDGVVPVQKKTETRHVPGPVVDGFPTYEIDFAAGSKPPFMYNFASQSISQSVSSSSMEVGVVPDHNAMADVSNAPFSRTSGGDAVPNPVSGLDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRAETEADSLLAADASYGVVPSY >itb13g24210.t2 pep chromosome:ASM357664v1:13:29930752:29933069:-1 gene:itb13g24210 transcript:itb13g24210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH92 [Source:Projected from Arabidopsis thaliana (AT5G43650) UniProtKB/Swiss-Prot;Acc:Q9FIX5] MDEFFQNGCNHQETATLQWFDDFPLVNPSAFVKYVDLRVGGVGSKGDGSGSSRDGNANKRMMEFLGKMWKPALQTMQTAQERVHRHMISERMRRERQKQSYLALHRLLPLGTKGDKNTIIQMAAARIQELEMCKEELMRRNSEIEMTLAASNNENDKGVSNKGKIINVKVAYPSCGIDSMLQVLKCLKNSGTKLRSMHSNFSPQEFCALLEIESKVST >itb13g24210.t1 pep chromosome:ASM357664v1:13:29930752:29933069:-1 gene:itb13g24210 transcript:itb13g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH92 [Source:Projected from Arabidopsis thaliana (AT5G43650) UniProtKB/Swiss-Prot;Acc:Q9FIX5] MDEFFQNGCNHQETATLQWFDDFPLVNPSAFVKYVDLRVGGVGSKGDGSGSSRDGNANKRMMEFLGKMWKPALQTMQTAQERVHRHMISERMRRERQKQSYLALHRLLPLGTKGDKNTIIQMAAARIQELEMCKEELMRRNSEIEMTLAASNNENDKGVSNKGKIINVKVAYPSCGIDSMLQVLKCLKNSGTKLRSMHSNFSPQEFCALLEIESKVGVAEVENAVQRRLMEAETNFHCNFLQE >itb06g18490.t1 pep chromosome:ASM357664v1:6:22125064:22125797:-1 gene:itb06g18490 transcript:itb06g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDECETPVSSAPFDENNETNDMLFMFAESKPPLHSTTSPRQTATAFIWLSFMKVICDHLGLGVKTGLPYIWHFKASNPFVNLKKEYKGIYWQEELIPFFQSVALPKDCTTVQKCYLELAKQVEAKLAKVDDYFNKLTDAMVTWIEAWDELNQSGTPAAAKVANGNSK >itb03g07090.t1 pep chromosome:ASM357664v1:3:5193220:5196669:-1 gene:itb03g07090 transcript:itb03g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCDGYSFVKLMKSYVDRRCKRTGTGTHVMAYANCSFLPRLGSQPNRSPKFCLSEEQQTLKLCYEFMTPSTAFLPHHLRRKMLVAVAAAAHIAVQ >itb09g23510.t2 pep chromosome:ASM357664v1:9:22840009:22843934:-1 gene:itb09g23510 transcript:itb09g23510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSVASGRSSVSDPQGGDSSIKRVKVAQDFDQRIAGKPESEEICTTAPMHEAASASQEDSSGISFEPRSDKSGYEELPKEMHEMKIKDDKSDNQNNLKDMEPVVITGNGTETGQIIVTTVGGRNGEQKQVLSYMAERVVGTGSFGVVFQAKCLESGEAVAIKKVLQDRRYKNRELQIMRMLEHPNVVQLKHCFYSTTENNEVFLNLVLEYVPETVYRVSRHYSRMNQHMPVLYVQLYTYQICRALNYIHNVIGVCHRDIKPQNLLVNPHSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFNKRMPPEAVDLVSRLLQYSPTLRCTALEACAHPFFDALRDPNASLPNGRPLPPLFNFTPQGLLLSIYDFLCYGIHFAHCCKNWPRRPS >itb09g23510.t1 pep chromosome:ASM357664v1:9:22839361:22843995:-1 gene:itb09g23510 transcript:itb09g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSVASGRSSVSDPQGGDSSIKRVKVAQDFDQRIAGKPESEEICTTAPMHEAASASQEDSSGISFEPRSDKSGYEELPKEMHEMKIKDDKSDNQNNLKDMEPVVITGNGTETGQIIVTTVGGRNGEQKQVLSYMAERVVGTGSFGVVFQAKCLESGEAVAIKKVLQDRRYKNRELQIMRMLEHPNVVQLKHCFYSTTENNEVFLNLVLEYVPETVYRVSRHYSRMNQHMPVLYVQLYTYQICRALNYIHNVIGVCHRDIKPQNLLVNPHSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFNKRMPPEAVDLVSRLLQYSPTLRCTALEACAHPFFDALRDPNASLPNGRPLPPLFNFTPQELSGVPDELRNRLIPEHARK >itb11g13110.t1 pep chromosome:ASM357664v1:11:10063468:10065624:-1 gene:itb11g13110 transcript:itb11g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDSQNVSARDYDDAIETTPTDVSSADSTRSPRVVGAIGGRRGAGGHRRGKSPRVVISHLHDDCRGTLRPPGKTALSTEAATERPVSPALPSGFTGWPPSATDLQVSRAATRRKEKAVDLEVEEIPPESVIPTREAIRDLETDQVGEMIAQNVLWLSHTTNDLFCRAKAAENVVRKEVIPLKESLAAKDKELGEKVQALEGRVAQAEHEVEAAKLEAAELNECMSSYANLTGFLCCNPQEADAYFRAFIHNEVGEGLAWRYGEWAYAKGRYEMQREIHEALEESINENDLATIMGVIPDQVPAPGPMPYADPAPGAEAPAPTKG >itb06g22230.t1 pep chromosome:ASM357664v1:6:24596378:24599082:-1 gene:itb06g22230 transcript:itb06g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGWWAKESHRGTPVVVKMENPNNWSMVELEGPAEEDFLYPAAAAAGGGGGGDISMPTNYRREKVRNKNAKQLTWVLLLKAHKAAGCLTSIGSALLSLGSAVRRRVAAGRTDTNASENPAVNSRFFTCIKVFLWLSVLMLGFEVAAYYKGWHFTASDLQLEYLYALTDPLAVKGVFDWLYSKWVLVRVDYLAPPLQFLANVCIILFIIQSLDKLILCLGCFWIRLRRIKPVASETIDLESGEEGGGYFPMVLVQIPMCNEREVYQQSIAAVCSLDWPKSKILIQILDDSDDPITRSLIKEEVQKWQKEGVNILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPMPDFLKRTVPYFKDNEKLGLVQARWSFVNQDENLLTRLQLINLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFVFLNDVECECELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKAKISVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEASLPSWIVCYIPATVSLLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKLGRSSEGDLASLVDEKPKQLRGASVPDLDELREEIKQKEKKDAPKKKHNRIYTKELALAFLLLTASVRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVN >itb02g00140.t1 pep chromosome:ASM357664v1:2:124211:129740:-1 gene:itb02g00140 transcript:itb02g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGTTAAGESRRNDKERRTSHSARVSKDGEKTATRIAQPPGPPDDRRNPRRQHQQPPPPPPQELCNLSSFAPNSATNQQGWPLWLVDVAGDAIKDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILVLRKLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAAVQGVKFTEPQVKCYMKQLLSGLEHCHNNGILHRDIKGSNLLIDNEGILKIADFGLASFYDPDSKHPMTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNATLFKPQQPYKRCTKETFKDFPSSSLPLIETLLAIDPNERGTATAALHSEFFTTEPYACEPSSLPKYPPSKEMDVKLRDEAVRRQRGASGKAHGSHVADGTRKIRVRDRVSRAIPAPEANAELQANLDRWKVMTQANAKSKSEKFPPPHQDGYIDAPYNGPLSFGAPDTSFASSNFDVKSLQTLKTTSAMAGTSKRRKNKGEEPHMAPSRKLIHTFLPSSVRLSLDLRLRSRASISENFGHSR >itb07g23800.t1 pep chromosome:ASM357664v1:7:28141935:28142898:1 gene:itb07g23800 transcript:itb07g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKALALVALLVLSSVFVVSQSRDIKAEKAAQVRTEGLGLDLGGIGIGIGAGIGIGLGGSGSGSGAGAGSGSGGSSSSSSSSSSSSSSSSGGGGGGAGSEAGSSAGSYAGSRSGSGGNGGGGSGYGGGQGSGYGGGGGRH >itb15g20610.t1 pep chromosome:ASM357664v1:15:23282323:23287716:-1 gene:itb15g20610 transcript:itb15g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPPDAAVGGGILTVVAVDKDKHSASAVKWAVDYLLVNNNITLILVHVRTKSSAHRTVDCSSPDANGGASDQDSQSVFTPFRAYSARKGLIVKEVIVEDSDVSKALVEYINKYRISNIVLGASTRSAIARKFWTHDVPTIINKTAPDFCSVYVISKGKQQSFRPAARPLACAPSQAQFTPPHSRPSSRNTSAELEDVRQSSHMRGEQNTRPKKILGADSFDAPIRRARNSSTRNSLSDNDSDDLASFRQGSLDLTVQNVDFTRVSDGSNENAASKDLEAEMKRLKLELKQTMDMYNTACKEAVSAKQMAKQLQQSKMEEARRFEQARLSEEAALAMVEMEKAKCKAAIEAAEKAQKLAEIEAQRVKFAEMKAKKEAQEKTQALNVLSHDARYRKYPIEEIEAATDKFSKAMKIGEGGYGPVFKGKLDHTPVAIKVLRPDAAQGRKQFQQEVEILSLIRHPNMVLLLGACPEYGCLVYEYMNYGSLEDRLFRKGNTPPIPWGIRFKIAADIATGLLFLHQSKPEPLVHRDLKPANILLDRHYVCKISDVGLARLVPQSVADSVTQYHMTSAAGTFCYIDPEYQQSGRLGTKSDIYSLGVMLLQIITARPPMGLTHQVEKAIEKGTFAELLDPTVQGWPIEETLNFAQLSLRCAELRKRDRPDLSSVVLPELNRLKELGMESVQKKYWS >itb15g20610.t2 pep chromosome:ASM357664v1:15:23283056:23287716:-1 gene:itb15g20610 transcript:itb15g20610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPPDAAVGGGILTVVAVDKDKHSASAVKWAVDYLLVNNNITLILVHVRTKSSAHRTVDCSSPDANGGASDQDSQSVFTPFRAYSARKGLIVKEVIVEDSDVSKALVEYINKYRISNIVLGASTRSAIARKFWTHDVPTIINKTAPDFCSVYVISKGKQQSFRPAARPLACAPSQAQFTPPHSRPSSRNTSAELEDVRQSSHMRGEQNTRPKKILGADSFDAPIRRARNSSTRNSLSDNDSDDLASFRQGSLDLTVQNVDFTRVSDGSNENAASKDLEAEMKRLKLELKQTMDMYNTACKEAVSAKQMAKQLQQSKMEEARRFEQARLSEEAALAMVEMEKAKCKAAIEAAEKAQKLAEIEAQRVKFAEMKAKKEAQEKTQALNVLSHDARYRKYPIEEIEAATDKFSKAMKIGEGGYGPVFKGKLDHTPVAIKVLRPDAAQGRKQFQQEVEILSLIRHPNMVLLLGACPEYGCLVYEYMNYGSLEDRLFRKGNTPPIPWGIRFKIAADIATGLLFLHQSKPEPLVHRDLKPANILLDRHYVCKISDVGLARLVPQSVADSVTQYHMTSAAGTFCYIDPEYQQSGRLGTKSDIYSLGVMLLQIITARPPMGLTHQVEKAIEKGTFAELLDPTVQGWPIEETLNFAQLSLRCAELRKRDRPDLSSVVLPELNRLKELGMESVQKKYWS >itb14g21640.t1 pep chromosome:ASM357664v1:14:23543759:23544022:-1 gene:itb14g21640 transcript:itb14g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLMTKTSGHISTLAWKRTELIPRNQSFVASSQSGAPLPPHPVHPKPSIQPRRPVGPRQRLIERSEIDSPLRRSVFEQLRPSARE >itb13g13470.t1 pep chromosome:ASM357664v1:13:19959322:19970661:-1 gene:itb13g13470 transcript:itb13g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEQKPPTSPTTSSPIISVPNPTPSDTIITESADNRSALALSLYVHPENEPLPLPLKVCYPDSDSPAPITSPRRSSRSTSNKYRSECVSPALSSKATPTRRLSLTSPLTVGMPLEFPNKRRRIDAGASDKTVVRRSPRLSQTESEGKLLALPDPEPKRHSRKKESKEVAHVGTQRGQLEKTDSEGGLRSPKVDPVSIALSDKGHDSPKTTSKREALTGDSEGDQKCSSPERRASVTSDLVPTDDLTEPVSSELSDSGEESELEGGNSGVKCLRSRKIQFPVVSERNAEKTPAFSNGCGVDSKDPTSKGNESCTSSKRKEKNKRPVACFIGEPIPTEEAQERWRWRYDLKSQRSKKQGWILNADEEDEVILNVDCHYAQAKVLSFILNIGDCVYVKGEGEKKHVGRILEFFKTTEGEDYFRVQWFFRAEDTVMRDAAALHDKKRLFYSTLMNDNLLDCIISKVNVTEISPGLGLEVNKSPLSGFYYDMEYCVDYSTFRNLPTVQSVNNWDSPSLIDASYKPITAYPVEHFKSCEPTKVELSLLDLYAGCGGMSTGLCLGAKLSGLNLVTKWAVDYEKSACDSLKCNHPETQVRNESVENFLKLLKEWEKLCKKYGSEPYAGSDDVLEDMEEGEDDANSLADSDDSDAEYEILRLVDICFGDPNDTGKPGIHFQVRWKGYGPSEDTWEPIDGLKDGQESIHDFVRRGKISKLLPLPGDVDVICGGPPCQGISGYNRHRNVSAPLDDERNRQIIVFMDVVEFLRPKYVLMENVPDILRFDKASLGRYALSRLVHVRYQARLGILAAGCYGLPQFRLRVFILGALPSEKLPPFPLPTHDVIVRYWPPPEFERNTVAYEEGQPRELEEALVLRDAISDLPAVTCREDREEMPYHMPPESDFQKYIRLPKHGCCLPSLGHSIYYFALVNMESKLYFLLGGSKIRT >itb06g23840.t1 pep chromosome:ASM357664v1:6:25602515:25605249:-1 gene:itb06g23840 transcript:itb06g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGTLTLLLVNLAGIMERADESLLPGVYKEVGEALHADPTRLGSLTLFRSIVQSLCYPLAAYLSVRHNRAHVIAYGAFLWAAATFLVAFSSSFFQVAVSRALNGIGLAIVAPAIQSLVADSTDDSNRGMAFGWLQFTSNIGSIVGGLLALLIAPITFMGIPGWRVSFHLVGIISVVVGILVRLFANDPHFPDGSLRGNSHGEAPEKSFWSEATDLVHEAKTVMKIPSFQIIVAQGVTGSFPWSALSFTPMWLELTGFSHLQTAILTGTFVVGCSIGGLFGGKAGDLLSQRLPNHGRIILSQISSASAIPLAAILLLALPNDPSSVFLHALVLLITGFFISWNSAATNNPIFAEIVPEEWRTSIYALDRSFESVLSSFAPPVVGLLSQNVYGYRPVPRDAQSIATDRKNATSLAKALFTAIGTPMVLCCFIYSFLYCTYPRDRDLARMKALIRSEMQLLNMGGNSALRGQYSQVLPCEAKERRLDAEQNYGKDGPDLDDSDEKVLITRLASNNTQSYRLIQEYRTSYH >itb07g05060.t1 pep chromosome:ASM357664v1:7:3435976:3437428:1 gene:itb07g05060 transcript:itb07g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISYCAFTFLLFLLAHFGESANINVAAKADANVAPALLKAWKEACAATTPSTVVIPKGTYPMTQVLLAGPCKAPIELKIEATLKAPPNPKDMEGKKEWLTIQYIDHFTLSGGGTLDGQGNVHVYGVKDTSFKSNKLPNNLSLNFLTNSVIRDITTLNSKLFHVNVLGGKNLTFDHFTIKAPGDSPNTDGIHIAKIIGVTVKDSVIGTGDDCISMGDGLENLKVTGVTCGPGHGISVGSLGKTPGEQPVKGIFVSDSKFIGTDNGVRIKTWPNSHPGIITDIHFENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYKNIKGTSATETAVFLTCSSGVPCDGVEIGDINLTFKGGAAKTVCKNVKPKLTGKQVPPVVCK >itb05g17750.t1 pep chromosome:ASM357664v1:5:24647946:24650102:-1 gene:itb05g17750 transcript:itb05g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIVYLLNIAFLVFAASKVTAEIAPSADTTDYSCSADTPASCDTYVTYRARPPYMDLGSISDVMGVSRLSIVKASGLASEGARLFPDQILLVPIKCFCNGSHYFSNVTYQIKKGDNLYTVSTGAFENLTDYHLVEDLNPTLNINNLTIGIEAVFPLVCKCADHSISDKGIQYLITYVWQPFDDASSVSHMFGAYATEVVRENNYRNFTAAICLPVLIPVKFPMILQPPPPSKNSRHRWILVAGLSFAGSLAIVLSLLMMAHMKKRVLARQSSCLEASDLIQTKKDSIDETFEPKAISQDKLLPGVSGYLGKPIVYDLNFIMEATMNLSEQFRIGKSVYRAIIKDQAVAVKKTNDAAEELRILQKVNHANLVKLMGVSSDKEKNFYLVYEYVENGSLDKWLFTKPSSSTSAAAILTWNQRLQIALDVANALQYLHEHTQPSIAHGDIRASNILLDSRFRAKIANFSTSKHAASELAVKADVFAFGVVLLELLSGKKGMQDGGEIVMDPSMKGFYSIDDALSLAALAKACTSEKWSERPRMTDIVFNLSFLTQSTFGMFQSCFASGDAEEPLHILSPVTAR >itb11g19440.t1 pep chromosome:ASM357664v1:11:20227175:20229521:1 gene:itb11g19440 transcript:itb11g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFFFKKATLGVAPILPKTAALELKEDPYSVSHYLRPSTGAVRLRQPIHRGSLVRREYFDPATPFSDSHSTLKFSSVKVIARNSYLQLLDSKFDLFVDVGNKVSDVCGEVYSNLSSVLKENQSSDKTEKELLSQIIDEANEYKDEFYKKRLVSLETNKEQNRSSFKHLIMLISSSSDSPAVLVPAFDGGDGFVGYDPRLSAHLFAQSESAMDPVADDDSSFFTSVPVQYILPPPPISVVGSIADPIGFSAFSLGANERSFGDEFVASKNEEGSVAKMFDRILKWTINLWHFQNLRKRFAKDECINAGGPTDSDSSSFSKDDSDGHKASVLTEQDQACLGASIDRKGVNDLTDGGRVTSLFDQVEQSSQQKPNPSEFDEQQDGEISQNDRPASPERIYRPALLKNRFADTILKILPIYKEVIFELIEM >itb10g17690.t1 pep chromosome:ASM357664v1:10:23836589:23846531:-1 gene:itb10g17690 transcript:itb10g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNISDLQNPAMVAQEFTVDLNKPLVFQVGHLGDTYQDWVHQPIASKEGPRFFESDFWEFLTLTVWWVIPLVWLPVVCFCVSKSIWMGHTVPEAALMVVFGIFIWTLLEYTLHRFLFHIKTKSYWGNTMHYLLHGCHHKHPMDGLRLVFPPAATAILCVPFWNIIALMATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTSEVPKGLKKYHLNHHFRIQNKGFGITSALWDRVFGTLPPSLSAKKSRAEMKKTTATQKKSKEVDTATEMPEQPETRGTKAGAKRKAKKKDLPKHQKTKKPRTTPEKSLITDLEYLQTRMSPKHFRELLSSLNPAQQQAVQDIGFGSMLTLTVFYWDSRLTRYLLEQFDPYKCSLDLQGDQMRISADDVYSTLELPNGQYHVIEGNQSRNAAYKVLYSLADVSRIKDLNWCEYTFKSLIDSAESWKKKPNAYFCGPALFLMLTYLDRLEFKGKKIQRIAPAITSWTEEKVKERIKSEVLSGQFGRGKVIPRFERKEERVHERIDENVQAELSDESLKKNDEILKNVGPKEKLISDYAFLDPTEERPLTEQLFEYAGYYLNRETFQSMRAGELESIIVDVWCLRLNQLDLNRRLDKPKRIFFSTQAFTDFEQCLDKQSSYQTDFEQCLDTEINNVADFEQCLDTEINNVGKLDISDAPEINNVGKLDISDAQLSTAFSEYLMKRGHTSLAERITKLPVELVKMNWRTADNRTDCGLYCMRHMETYMGNPQWKCGFTLKNPNDPYLASLRALYTSRIIMMPVNVHKANVIRNDEEHFIGKRLLQ >itb08g04140.t2 pep chromosome:ASM357664v1:8:3291432:3295817:1 gene:itb08g04140 transcript:itb08g04140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRIHGGFEGDAPPHSHGGMLVEMVDNYRSAWRKSAAQVLEEEQIQASSYGGRSWPHKDPLSLNQALPLMNLIHVKPSSPESNLIHQGFQVVSPMITMEAHQGLSLSLKMEEFQTRNGGINNNYYPNQELLPMGTHFLEGEILSRQEVNIMQVRHSRYLKPAQELLQEFCCLGREFHFKNGGRVKKKSGSGNPSSGDTETGDSPVERPPLSGAERSEFQRKKIKLLAMLEEVEDRYVRYREQMRVIVSSFDSVMGCGAAAPYMGFAQAAMSRHFRCLKDSIVSQLRETYRALGEKQLGVSGSFGLTKGETPRLKVLDQKLRQQKALNQMGMVLDSEPWRPQRGLPERSVNVLRSWLFEHFLNPYPSEADKVLLSRQTGLSKNQVSNWFINARVRLWKPMVEEMYEQETKEEEGGGEEINAHTPMLRDDDNNNTKEITATTSPTTTKPTTTLPSAAATKRCQFNATENDSSRTNIINNYAPQYASGNQITMIRNTAAVPPLSPVAGTPHNWPGQVVDCGGGFGTPATGDVSLTLGLRHPENSVNVTNFGGY >itb08g04140.t1 pep chromosome:ASM357664v1:8:3291419:3295823:1 gene:itb08g04140 transcript:itb08g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESYSQEFYNNPCREMMMRIHGGFEGDAPPHSHGGMLVEMVDNYRSAWRKSAAQVLEEEQIQASSYGGRSWPHKDPLSLNQALPLMNLIHVKPSSPESNLIHQGFQVVSPMITMEAHQGLSLSLKMEEFQTRNGGINNNYYPNQELLPMGTHFLEGEILSRQEVNIMQVRHSRYLKPAQELLQEFCCLGREFHFKNGGRVKKKSGSGNPSSGDTETGDSPVERPPLSGAERSEFQRKKIKLLAMLEEVEDRYVRYREQMRVIVSSFDSVMGCGAAAPYMGFAQAAMSRHFRCLKDSIVSQLRETYRALGEKQLGVSGSFGLTKGETPRLKVLDQKLRQQKALNQMGMVLDSEPWRPQRGLPERSVNVLRSWLFEHFLNPYPSEADKVLLSRQTGLSKNQVSNWFINARVRLWKPMVEEMYEQETKEEEGGGEEINAHTPMLRDDDNNNTKEITATTSPTTTKPTTTLPSAAATKRCQFNATENDSSRTNIINNYAPQYASGNQITMIRNTAAVPPLSPVAGTPHNWPGQVVDCGGGFGTPATGDVSLTLGLRHPENSVNVTNFGGY >itb08g04140.t3 pep chromosome:ASM357664v1:8:3291423:3295817:1 gene:itb08g04140 transcript:itb08g04140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESYSQEFYNNPCREMMMRIHGGFEGDAPPHSHGGMLVEMVDNYRSAWRKSAAQVLEEEQIQASSYGGRSWPHKDPLSLNQALPLMNLIHVKPSSPESNLIHQGFQVVSPMITMEAHQGLSLSLKMEEFQTRNGGINNNYYPNQELLPMGTHFLEGEILSRQEVNIMQVRHSRYLKPAQELLQEFCCLGREFHFKNGGRVKKKSGSGNPSSGDTETGDSPVERPPLSGAERSEFQRKKIKLLAMLEEVEDRYVRYREQMRVIVSSFDSVMGCGAAAPYMGFAQAAMSRHFRCLKDSIVSQLRETYRALGEKQLGVSGSFGLTKGETPRLKVLDQKLRQQKALNQMGMVLDSEPWRPQRGLPERSVNVLRSWLFEHFLNPYPSEADKVLLSRQTGLSKNQVSNWFINARVRLWKPMVEEMYEQETKEEEGGGEEINAHTPMLRDDDNNNTKEITATTSPTTTKPTTTLPSAAATKRCQFNATENDSSRTNIINNYAPQYASGNQITMIRNTAAVPPLSPVAGTPHNWPGQVVDCGGGFGTPATGDVSLTLGLRHPENSVNVTNFGGY >itb14g12400.t2 pep chromosome:ASM357664v1:14:14001644:14002833:1 gene:itb14g12400 transcript:itb14g12400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVGRKRANVASRDELEEFEGSDYEIDQWLVDDQDFDKYTQKDVEYAGDGVVEKGEQSTEKHRPFDEEVNLSNDGPIANNDIGIEVSNLGDSVRPPPPPSRFAFSIMAW >itb14g12400.t1 pep chromosome:ASM357664v1:14:14001644:14005891:1 gene:itb14g12400 transcript:itb14g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVGRKRANVASRDELEEFEGSDYEIDQWLVDDQDFDKYTQKDVEYAGDGVVEKGEQSTEKHRPFDEEVNLSNDGPIANNDIGIEVSNLGDSRRGVEVQPEVARAGEQLEVAREDEQAKVEVPTQAEVEVPTQPEVEVPTQPETEVQGQPETEMQGQGQPEIEVQREMTEVEVYAEADNANVDAEEMRLEWNSQLAVEWEIPVDPLNDVGDSIEPLFDDAGFDGMTNDTNQDQRQHDGKKKRKLSTKVNKGKLPQSVPESNPSNAKGKKTLGLPRLRNYMLRSKSVVQSKFGGKKSAPINID >itb03g23280.t1 pep chromosome:ASM357664v1:3:21449217:21454378:-1 gene:itb03g23280 transcript:itb03g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSGVTILPDLGTEILIPVCAVIGIAFALIQWVLVSKVKLSPDNSAPTAKNGFSESLIEEEEGINDHSVVHKCADIQNAISEGATSFLFTEYQYVGVFMVAFAILIFVFLGSVESFSTKSRACTYDSSRMCKPALATAVFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLYPLHVSSVGILVCLLTTLFATDFFEVKAVKEIEPALKKQLIISTALMTVGIAVITWIALPSSFTIFNFGVQKAVKSWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKIF >itb13g17810.t1 pep chromosome:ASM357664v1:13:24738078:24740413:-1 gene:itb13g17810 transcript:itb13g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMKPFQLLEINVMSAQDLEPVSKKMKTYATVCLNPTRRLTTAVDYDGRNNPTWNDKFVFRVDNEFLQRDTSAIQIQIYAVKWFRNSLVGSVRFLVGNLFPPPARGQRQNFGMRFVALQVRRKSGRPQGILNIGVALLDSSMRSMPLYRQMSSSAVGVRDLMQEDNLLHNANPNAVLRRMNSERSTLMSYDDVSVNNSSILAIPKKKNKAFAFDDKESSILSISFVPPPPPPVATKGAGSSKKGKASSVINGAELRGDRSVQKGKASSVVSDSVFSKETNIKGEESGKVGGPALPPIKTSLGPKWRANSILSDSEVGPSPSEVAMAMARYPLEQEGSSVMDGWSVDESVEGLRSKLERWRAEAPAMYDQAGYATTSSSFRSGSYMGRQTDGGGENPADGLFSCFGNMFGYECECVCGQPPTLDNNNNNNNNNNDTNNNYICRSPSVGGSSFL >itb15g02690.t1 pep chromosome:ASM357664v1:15:1704843:1706066:1 gene:itb15g02690 transcript:itb15g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAALLLAPLSPHQPWRKAKGNTVLGSEDSAVSVQELQVNEEEEDKEQEEDPQFGFGHSWRWRCWQSQRSGNVHFGGSGEPETMPEDPDWCALRILGYAGPQGAWYPVETDF >itb03g03590.t1 pep chromosome:ASM357664v1:3:2105372:2117325:1 gene:itb03g03590 transcript:itb03g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNMDQFEAYFQKADLDRDGRISGHEAVSFFQSFSLPKQVLAQIWTYADQNQTGFLSRQQFYNALKLVTVAQSKRELTPDIVKAALYSPASAKIPAPKINAVVASGPHPNSKPGAPVAPATGAAPLATPNGGIAGSQGFPSKQNQLISTPRLPTPNATLQPQSGVSSPGMPAGSSMPAFHSPNSSNWLGGGTVGPQPGVVPQFPNKGVNLNSQDGFGIAASGLASSQSKPQEATSLVQRSSPHSNHSASLGHQPPAKDSRATQVTGNGFASNSHFGDVFSATSVLPKQDSKPLTSSAGSLPASSALVPVSTGFQPNIKPSSINPLEITNSQQSVSHQYQQTQPTMKPNQRVPLQSSTIVHGGAGNSVSVQSQSQSPWPKMTQADFQKYNKVFVAVDTNKDGKITGEQARNLFLSWRLPREILRQVWDLSDQDNDSMLSLREFCISLYLMERYREGHPLPSVLPSSIMLDETLALASGKPPAAYPGAAWKHTPGLQQAQGVKGPRQAASAPLGKPPRPASITHLDEAKPPTQQKPKVPVLEKHLVDQLSTEEQSSLTSKFKEATDAEKKVAELEKEILDAKEKTHFYHTKMQELILYKSRCDNRLNEITERASSDKKEVESLAKKYEEKYKQSGDVASKLTIEEATFRDIQEKKMELYRAIVKMDQDGKADGIQDHANHIQLDIEKLVKSLNERCKTYGLRAKPTSLLELPFGWQPGIQEGAADWDEDWDKFEDEGFTLLKELTLDVANVIAPPKQKSSLIRDKVSLDDSETEKSHSEAGARTEKLSTVEDEASVLTGEQRAESPPESPSKTKHVESPSKEYHESQTRKEVSFDGSPHAPHSEHRGAESVFSGGKGFDESDWGAFDTQYDADAAWNFNDVASKDGDHVKDKETSLFDSDDWGLAPIKTGSKHADDTFPKQSPFFDSVPSTPNYNIGPIGAADPFPKHGLFFDSVPSTPSYNIGPMGTSDGLQKQSPFFDSVPSTPSYNVGSTHAGDAFSKQSPFFDSVPSTPSHNAGFSYTENASSKSPFFDSVPSTPSYGSSLHADDMFRGKSSYAFADSVPSTPMYSSNSPRRFSEGSEEHSKDFSRFDSFNMQDGGLIASGSGASFTRFDSMGSTRDSDYGHDLFSSSRDSLARFDSFRSTADSEYTFGNYAPQESSSFARFDSMRSTKDSDYGQHFSSFDDADPFGSGGPFKTSLESETPRRDSDHWSGPFKTSLESETPRRDSGHWNAF >itb06g11720.t1 pep chromosome:ASM357664v1:6:16242764:16245450:-1 gene:itb06g11720 transcript:itb06g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLVLRGTMKAHTDWVTAIAAPIDNADFIVSASRDKSIIVWSLTKDGPQFGVARRRLTGHSHFVEDVVLSSDGQFALSGSWDAELRLWDLQTGTTARRFVGHTKDVLSVAFSVDNRQIVSASRDRSIKLWNTLGECKYTIQEQDGHSEWVSCVRFSPNNLQPTIVSASWDRTVKIWNLTNCKLRSTLAGHTGYVNTVSVSPDGSLCASGGKDGVILLWDLAEGKRLYSLEAGSIIHSLCFSPNRYWLCAATESSIKIWDLESKTIVVDLKVDLKQESEMAAEGNTSSKNKVIFCTSLSWSADGSTLFSGYTDGVIRVWGIGRY >itb05g18070.t1 pep chromosome:ASM357664v1:5:24882679:24883278:-1 gene:itb05g18070 transcript:itb05g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETQQDNLTNARVADFWDATTGWEWAVLNSLPEETKEKLELLMLEQDDEPDELYWAHEASGNAERKRRGMTGDGNCKFCADCEETTAHILKDCRKAKEVWATIFGSAKRREWNQMNLKRWMINNISGNNHADDRDGPRLFTITVWWLWR >itb12g03730.t1 pep chromosome:ASM357664v1:12:2439688:2442743:1 gene:itb12g03730 transcript:itb12g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALLSSLNSSFTFGVQKAVSAKQIGRYKLTQALPIRSNYLGSCRGKSFAIGVLQHSSAILGDSTSRFSGYSTYLCNPRIMAASGSTAAPGDFTLGGLISSSGNVSSFANPASIHFGDRSCRNCWKTSMSVQHREVKTAPIVHCYFIFDITGRSSNSSLVTRRGLKSYSTSSSSPPYSEGSSHDEHLSSLAIPVETKTLDNRSLMLVSGSCYLPHPAKVETGGEDAHFICADEQAVGVADGVGGWADVGINAGEYARELMYYSMDAILHEPKDSIDPARVLEKAHSKTKAKGSSTACIIALNSQGLHAINLGDSGFIIVRDGCTIFESPVQQHGFNFTYQLESGSRADLPSSGQVFTIAVSSGDVIVAGTDGLFDNLYKDEVAGVVRDAVNSGCNPEATAQKIAALARQRALDRKRQTPFADAAQEAGYQYYGGKLDDLTVVVSFVT >itb03g25650.t1 pep chromosome:ASM357664v1:3:24839663:24842691:1 gene:itb03g25650 transcript:itb03g25650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDLEGGPQSGRAGVFRIHTAVAGFRRLIVNTIGTPNDNLHLRQRLQSSRVQIGQLVKETSAKLKPVADKHEQNHPVLKTYFQLQPPPVEEIANARLAKDVQSVLKEFEKAHHHHLLTPEKDTIILSPSNNNNVGQTSSFMESMRQEVILQLENEIDLDDESMSMFEEREEGGMKEIQEQMNEVNEIFRDLADHLLVHEQQGITIEGIVPNTEICNTAITAQATSQLPKPSRNHSSESSMTCLSLVIFGVILLIVLILLTA >itb03g25650.t2 pep chromosome:ASM357664v1:3:24839663:24842691:1 gene:itb03g25650 transcript:itb03g25650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDLEGGPQSGRAGVFRIHTAVAGFRRLIVNTIGTPNDNLHLRQRLQSSRVQIGQLVKETSAKLKPVADKHEQNHPVLKTYFQLQPPPVEEIANARLAKDVQSVLKEFEKAHHHHLLTPEKDTIILSPSNNNNVGQTSSFMESMRQEVILQLENEIDLDDESMSMFEEREEGGMKEIQEQMNEVNEIFRDLADHLLVHEQQGITIG >itb03g25650.t3 pep chromosome:ASM357664v1:3:24839742:24842425:1 gene:itb03g25650 transcript:itb03g25650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDDDLEGGPQSGRAGVFRIHTAVAGFRRLIVNTIGTPNDNLHLRQRLQSSRVQIGQLVKETSAKLKPVADKHEQNHPVLKTYFQLQPPPVEEIANARLAKDVQSVLKEFEKAHHHHLLTPEKDTIILSPSNNNNVGQTSSFMESMRQEVILQLENEIDLDDESMSMFEEREEGGMKEIQEQMNEVNEIFRDLADHLLVHEQQGITIAFIFQRASFQILRSATPLSQHKPLPNYQSHQETTALNHL >itb08g09400.t1 pep chromosome:ASM357664v1:8:8653698:8656760:-1 gene:itb08g09400 transcript:itb08g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS10 [Source:Projected from Arabidopsis thaliana (AT1G62960) UniProtKB/Swiss-Prot;Acc:Q9LQ10] MTRSRDTSPSRTSGGGGGGGRGEGSTTAMRVIVPLQGVVQGRGGLVLGSAIPCVLFYFLQLYLRRNRGGPSSNSNSSSGTPPEASSASIHSPEVNSGHVLQRVQSRLLLSPRASGGQAQVSSRANSVISRHADNSPSYVGLQRASDDPYHESSNPNGVIQLGLAENRLSLDLVQEWLAENLKESIMGPDMNITGIATYQPFDGLRELKVAVTGFMSQVMEESVSFSPSQIVLTAGATPAIEILSFCLADHGNAFLVPSPYYPDLDWDVKWRTGVEIIPVPCRSADNFSLSVTALERAFNQAKKRGLKVRGLIISNPSNPVGNLFSRDTLYSLLDFAAEKNIHIISNEMLAGSTHGSEEFVSMAEMIESDDLHRNRVHIVYGLSKDLSLPGFRVGVIYTCNESVLAAARKLTRFSSVSVPSQQLLVSMLSDTRFISMFIKNHRERLRRMYSEFVSGLKKLGIECMQSNGGFYCWADMSGLIRSYGEKGEIELWDKLLNIAKINVTPGSSCHCVEPGWFRLCFTTINERDIPVVMERIQKVSEICKSRT >itb10g20870.t1 pep chromosome:ASM357664v1:10:26290519:26292198:1 gene:itb10g20870 transcript:itb10g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGLPFSQGSIDLNIAAKDVGLILSQEIQFGSLVPALSRTHESRDSLSDNGENGDSEGNEVVDKRNMKVTKNLFSNQNNSRPSFSIREPEGDDCLLEMLESDSDIIDLGEDEVFNIEEEKFFCLLGRFAGRFPGVFAIYQLINYWKVNCKLEKVANGHVIFWFKNEADRDKVAGEGPYFIYGKRLFLDFLPDGFVLKNEDFCVLPIWIRLPGLPKKCWHVKALSKIATRIGKPIFMDRHTKEMKKSDFARILVDVDCSFKPCDSITFRMPDGSLWTQKVFYEYLPLFCSRCGGEKHVNASCPLSRVERNLRHREKDKALHSPVKDAQNLEDDVIPYEIQNSLNIPSGTDALEMRPEKSDSIPLTFEKGETSHANLKGVFGDKESLSNEGEHCLVGNSGEDHVNQQGLCDKDSNICNEVADCRRLRCLRSSDLELIKALYSKLFGEALFHRNLPLLLGFVLGIVFQLKTTSNSWILRINAPYVGRNRRMLTTSSSLVISQNRFGKRLEQDLGSLERHAQSKGLSSGHIEMHVDLECIQKLVYWLFYALFIIFGKPGMQ >itb04g03210.t1 pep chromosome:ASM357664v1:4:1988245:1990251:1 gene:itb04g03210 transcript:itb04g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKYQAPPYPSAAKLADSDCFPQYTASLKCLEQFHTDKSKCQEHFDNYKECKKKEREARLERNRSRSFFS >itb14g12940.t1 pep chromosome:ASM357664v1:14:14782162:14784948:1 gene:itb14g12940 transcript:itb14g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTTLNFQSDHSRQWNSIIKRQAKLKNDNAILKAYTQMESLGIFPDNTTLPLVLKACGKLQAVERGRKIHGDINGTHLIKDVRVGTALIDFYCKCGSFEDALHVFDGIPEKDVVSWNAMISGSVECREYEVALCLVKEMQRENFRPNSRTAVSLLIASGELSDFRLGMGVHGYCLRNGLLDRNAHVATSLIGFYSRFDVDIAYRVLESLTSRCIASWNAMISGFLDNGEYMDVLKNFSAMLKKGLNYDLVTILVVIQACGEIGSVELGMQVHQLAVKCGYDKDLNVVNALINMYSEMGYIETCYVLFQSSSTKDTALWNSMISAYVERGCTEEAVKLINKMHVEDISVDERTIVIMLPLCLSFANGLRFGNSLHGQVIKLGVENNMYTINALLSMYGELNCVEDALRIFSKTKNLDVMSWNIMISALAHNELGNQAFTLFCQMHEMDVQPNSHTIISVLIACNNDSFLCVGRSIHGYAIKQCLDTDPQVNTAITEMYMQCSDEAAAMNLFESFGNKDVVSWNAMIANYVNCGEPFKALLIFHHMVIQMEPNLATIINALNSCTHLVYLPQGQCIHAYAMRRASSLGFDLSMANAFISMYARCGCMQYAEKIFKALPKKNIVSWNAMIAGYGMHGRGQDAMLVYSQMLENGFSPTRVTFVSALSACSHSGLVKDGLQLYHSMVNEFGMIPELVHYSCVVDLLARGGLLDEAWNFINSMPISPDASVLRALLGGCRVYGETKHANIIFEKLVELEPMNAGNYILLSNIYAAAGLWTEVSRLRAMLEEKGLVKPPGKSWIVTKNKVHQFIAGDKTHPQCVEIYKKLNSLLSLIKERGYVPDLRWVLHDESEEEKLMRISSHSEKLAIAFGLINVREGGQILIIKNLRICGDCHEFSKHVSQVTGREIVMRDGNRFHHFINGVCSCKDYW >itb14g20500.t1 pep chromosome:ASM357664v1:14:22851421:22852872:1 gene:itb14g20500 transcript:itb14g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALKKASLGFLHMLSTRLVIITIINKRSSSSLSYLELHYSVFMAFLSYSRCSQQRTRHPPNCAAAVGGGRGMQAIFLDSGRSCSGTGVFLPRQTGTNGHYASTKQFRPPPPVLLPDRVVQALNLNVHELGMQNKPCRDSVKNTKGNKDCNNRKKASDCHSPEIFLPKEWIY >itb05g00390.t1 pep chromosome:ASM357664v1:5:291331:293910:-1 gene:itb05g00390 transcript:itb05g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDRVLATAQQIVKSLHTSTNVNTDDMLLIFSSFDNRLSNLSNFMASSSATSTPSSAKAAAAAADDDSFLDRRFEEAEKLILDAGVGDPASTEVYLAAVDEIIRLTEDLDLPPDNDAVMDRAEAALQLAMAHLEDEFRHILIRSTVPLDAERLHNSSFLRRSSMSASASEIPDFETGTVSEGQEDGSSGRYNHLRGPSLGGIELSLDLINPDATVELKEIADRMIRSGYEKECCQVYSTVRRDVLDECMSILGVEKLSIEEVQRIEWRSLDEKMKKWIYAVKIVVRVLLSAEKQLCEQIFNGSELTKEICFIETAKGCLMQLLNFGEAVVIARRSSEKLFRILDMYDALSAVLPDLELLFCHKAGEMVCSEAKGVLDGLGEAAIGTFVEFENAVRGEASRTPIQGAEIHPLTRYVMNYVKLLVDYSNTINDLLPSVESELESEGLQVDDSNDSELESKSLFARRLSLLIKSLEANLEEKSRMYEDSGMQYIFLMNNLLYIVQKVKDSDLRKHLGDLWVRKRRGQVRQFATGYLRASWSKVLSCLKDEGIGGSSSSASKIALKERFKNFNTCFEELYRIQTSWKVPDAQLREELRISISEKVIPAYRSFMGRFGSHLESGRHSGKYIKYTPEDLENYLLDLFEGTPLSLHHMRRKSS >itb04g21170.t3 pep chromosome:ASM357664v1:4:26260445:26265032:-1 gene:itb04g21170 transcript:itb04g21170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKGVPSANLVHNNGVAHNQQLDTGAASMDQISGGNNNPSLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSHGQKADKKESKDMISGLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQERLSGVLSEVPGFGTPSAGGENGPESDNRTDPATPVPTSENHYGDKPVKALATAKSLSFDESFSSHHEPLTPDSSCHRTSPSASSEGERSAKKLKMSVTAAFNELTKPGTVLTRQMLDSSLSLPVPASTFNFPKKGAI >itb04g21170.t2 pep chromosome:ASM357664v1:4:26260470:26264742:-1 gene:itb04g21170 transcript:itb04g21170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKGVPSANLVHNNGVAHNQQLDTGAASMDQISGGNNNPSLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSHADKKESKDMISGLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQERLSGVLSEVPGFGTPSAGGENGPESDNRTDPATPVPTSENHYGDKPVKALATAKSLSFDESFSSHHEPLTPDSSCHRTSPSASSEGERSAKKLKMSVTAAFNELTKPGTVLTRQMLDSSLSLPVPASTFNFPKKGAI >itb04g21170.t1 pep chromosome:ASM357664v1:4:26260445:26265039:-1 gene:itb04g21170 transcript:itb04g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKGVPSANLVHNNGVAHNQQLDTGAASMDQISGGNNNPSLASKQRLRWTHELHDRFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSHADKKESKDMISGLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQERLSGVLSEVPGFGTPSAGGENGPESDNRTDPATPVPTSENHYGDKPVKALATAKSLSFDESFSSHHEPLTPDSSCHRTSPSASSEGERSAKKLKMSVTAAFNELTKPGTVLTRQMLDSSLSLPVPASTFNFPKKGAI >itb01g30030.t1 pep chromosome:ASM357664v1:1:34135221:34139743:1 gene:itb01g30030 transcript:itb01g30030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIARDIKDSIGSLSRRSFEFRLTGHQRGKSHGAVHELHDQPVIIQNSCWASLPPELLRDVIKRLESSESAWPARKHVVACAGVCRSWREMCKEIVHNPEFSGKLTFPVSLKQPGQRDGAIQCFIKRDKSNLTYRLFLCLSPALLVENGKFLLSAKRNRRTTHTEYIISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNSTHISDPGCTSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRKMHCVMYSIPISSLEPGGIVPGQPELLPRSLEDSFRSISFSKSIDNSTEFSSARYSDIIGPRDDDDDDAAKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPPAGAPTPAQPPQSDPDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >itb04g32390.t4 pep chromosome:ASM357664v1:4:34971185:34974148:-1 gene:itb04g32390 transcript:itb04g32390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPLLLMGCGGVGRQLLQHIVSCRSLHAKLGVRLRVVGVCDSKSLVVAPDVFTAELEDSLLLEVCKAKSSGASLDTLRNFGVCETFSSTDVIRKVTDIGGLLGRSTGLAFVDCSASSETVGVLSRVVDFGCCVVLANKKPLTSSMEDYDKLVAQHRRLRHESTVGAGLPVIASLNRIISSGDPVYRVIGSLSGTLGYVMTEVEDGKLFSQVVNAAKSLGYTEPDPRDDLGGMDVARKVWKQFFLTPQL >itb04g32390.t2 pep chromosome:ASM357664v1:4:34969890:34974147:-1 gene:itb04g32390 transcript:itb04g32390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPLLLMGCGGVGRQLLQHIVSCRSLHAKLGVRLRVVGVCDSKSLVVAPDVFTAELEDSLLLEVCKAKSSGASLDTLRNFGVCETFSSTDVIRKVTDIGGLLGRSTGLAFVDCSASSETVGVLSRVVDFGCCVVLANKKPLTSSMEDYDKLVAQHRRLRHESTVGAGLPVIASLNRIISSGDPVYRVIGSLSGTLGYVMTEVEDGKLFSQVVNAAKSLGYTEPDPRDDLGGMDVARKALILARLLGRRLNLESMKIESLYPAHMGPDVMPLDEFLKNGLPLLDKGIQERTEKASSNGNVLRYVCLIDDSRCEVGIQEVPKNSALGRLRGSDNLEIYSRCYKEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >itb04g32390.t1 pep chromosome:ASM357664v1:4:34969890:34974148:-1 gene:itb04g32390 transcript:itb04g32390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPLLLMGCGGVGRQLLQHIVSCRSLHAKLGVRLRVVGVCDSKSLVVAPDVFTAELEDSLLLEVCKAKSSGASLDTLRNFGVCETFSSTDVIRKVTDIGGLLGRSTGLAFVDCSASSETVGVLSRVVDFGCCVVLANKKPLTSSMEDYDKLVAQHRRLRHESTVGAGLPVIASLNRIISSGDPVYRVIGSLSGTLGYVMTEVEDGKLFSQVVNAAKSLGYTEPDPRDDLGGMDVARKALILARLLGRRLNLESMKIESLYPAHMGPDVMPLDEFLKNGLPLLDKGIQERTEKASSNGNVLRYVCLIDDSRCEVGIQEVPKNSALGRLRGSDNVLEIYSRCYKEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >itb04g32390.t3 pep chromosome:ASM357664v1:4:34969890:34974147:-1 gene:itb04g32390 transcript:itb04g32390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPLLLMGCGGVGRQLLQHIVSCRSLHAKLGVRLRVVGVCDSKSLVVAPDVFTAELEDSLLLEVCKAKSSGASLDTLRNFGVCETFSSTDVIRKVTDIGGLLGRSTGLAFVDCSASSETVGVLSRVVDFGCCVVLANKKPLTSSMEDYDKLVAQHRRLRHESTVGAGLPVIASLNRIISSGDPVYRVIGSLSGTLGYVMTEVEDGKLFSQVVNAAKSLGYTEPDPRDDLGGMDVARKALILARLLGRRLNLESMKIESLYPAHMGPDVMPLDEFLKNGLPLLDKGIQERTEKASSNGNVLRYVCLIDDSRCEVGIQEVPKNSALGRLRGSDNVVSIL >itb14g03300.t2 pep chromosome:ASM357664v1:14:2914883:2918197:1 gene:itb14g03300 transcript:itb14g03300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHLFSFTRRSRHLTAPCLTQHTRFASQLAQAAEAPAPPSPPPPDLMIYDRLAERVKSKLRKLERPDPRFLQHNSPHPIQTDHTSILSVPETRVTTLPNGLRVATESNLAAKTAAIGVSIDAGSRFETDDNNGVAHFLEHLIFKGTEKRIPKAFEEEFENMGGQINGSTSREMTTYCAKVQGKDVTRALQLLSDIVQNPKLEDEKINHERKVILREMEEGETDPKKIIFDQLHATAFQYTPLGRTVTGSAENIKKISKEDIQNYISTQYAAHRMVISAAGAVKHEDFVEQVKKFFTKLSANPVTTPQLVANSPAIFTGSEVRIIDDLPLAQFAVAFNGASWTDPDSTALMVLQQMFGSWNKSCGGGKHMGSALVQRVAINEIGESVMGFNINYKDTGLFGVYAVAKPDCLDDLAYSVMYEICKLCYRVSEADVIRARNQVLITD >itb14g03300.t1 pep chromosome:ASM357664v1:14:2914883:2919350:1 gene:itb14g03300 transcript:itb14g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHLFSFTRRSRHLTAPCLTQHTRFASQLAQAAEAPAPPSPPPPDLMIYDRLAERVKSKLRKLERPDPRFLQHNSPHPIQTDHTSILSVPETRVTTLPNGLRVATESNLAAKTAAIGVSIDAGSRFETDDNNGVAHFLEHLIFKGTEKRIPKAFEEEFENMGGQINGSTSREMTTYCAKVQGKDVTRALQLLSDIVQNPKLEDEKINHERKVILREMEEGETDPKKIIFDQLHATAFQYTPLGRTVTGSAENIKKISKEDIQNYISTQYAAHRMVISAAGAVKHEDFVEQVKKFFTKLSANPVTTPQLVANSPAIFTGSEVRIIDDLPLAQFAVAFNGASWTDPDSTALMVLQQMFGSWNKSCGGGKHMGSALVQRVAINEIGESVMGFNINYKDTGLFGVYAVAKPDCLDDLAYSVMYEICKLCYRVSEADVIRARNQLKSSLILQINGSSATAEEIGHQLITYGRRIPYAELFARIDAVDASTIKRVANRFIFDREIAIAARGAIQGYLPDYNWFRRRTYWLRY >itb03g08850.t2 pep chromosome:ASM357664v1:3:6688411:6691383:1 gene:itb03g08850 transcript:itb03g08850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRRPFCTSIPRDRDSSSSAKDKPQEQQTTTLPSPRLGASRFAFFSNPSTPRLQSHPVSTSGLRCRTTVTPPPSSVPESPKLQSKSPRFFQRSNPSSPRSPSAFSILKSSLRLSKSRCGICLRTVKSGQGTAIFTAECSHSFHFPCVAAHVKKQGSLLCPICSSPWKELPVLSVQDDPIKTVKVEEETIPQVSPKGNMDVRVKSDGNKPHGRGVLKVYNDDEPLMSPTSGARFNPIPESDEENESEVEEFQGFFLSKVSPVKHAVAGLNAVEARLLPEAVVVSAGRSHETYAIVLRLRAPPASTMAARRAPIDLVAVLDVSGKMTTENIQMMKRAMRLVVSSLSAADRLSIVAFSATSKRLLPLRRMTTTGRRSARRIVDAIVSLEGSASAIDALKKAAKVLEDRRERNSAASILLLSDCHYDRSVANSIYQRRQSPIVSSSKLFGHTEIPVHSVALNDASSGFGSGYGMSESGLTKCIGGLLNVVAHDLRVQLGFVFGSAPAEVAAVYSNGDRPVSHGSGLIRLGDFYAEEERELLVELKVPSSAIGAHHALSVRCSYQDPSTQELIQCKEQALLVPRPQAVRSSSENIRRLRSLFVSTRAVAEARRLADRNDFTGAHHMLSSARALLLQSNSGHADEFISGLEAQLSELHWRRQNQPQQIQRRRTSGEREDEKAEPLTPTSAWRAAEMLAKVAIMRKSLNRVSDLHGFEDARF >itb03g08850.t1 pep chromosome:ASM357664v1:3:6688411:6691383:1 gene:itb03g08850 transcript:itb03g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRRPFCTSIPRDRDSSSSAKDKPQEQQTTTLPSPRLGASRFAFFSNPSTPRLQSHPVSTSGLRCRTTVTPPPSSVPESPKLQSKSPRFFQRSNPSSPRSPSAFSILKSSLRLSKQSRCGICLRTVKSGQGTAIFTAECSHSFHFPCVAAHVKKQGSLLCPICSSPWKELPVLSVQDDPIKTVKVEEETIPQVSPKGNMDVRVKSDGNKPHGRGVLKVYNDDEPLMSPTSGARFNPIPESDEENESEVEEFQGFFLSKVSPVKHAVAGLNAVEARLLPEAVVVSAGRSHETYAIVLRLRAPPASTMAARRAPIDLVAVLDVSGKMTTENIQMMKRAMRLVVSSLSAADRLSIVAFSATSKRLLPLRRMTTTGRRSARRIVDAIVSLEGSASAIDALKKAAKVLEDRRERNSAASILLLSDCHYDRSVANSIYQRRQSPIVSSSKLFGHTEIPVHSVALNDASSGFGSGYGMSESGLTKCIGGLLNVVAHDLRVQLGFVFGSAPAEVAAVYSNGDRPVSHGSGLIRLGDFYAEEERELLVELKVPSSAIGAHHALSVRCSYQDPSTQELIQCKEQALLVPRPQAVRSSSENIRRLRSLFVSTRAVAEARRLADRNDFTGAHHMLSSARALLLQSNSGHADEFISGLEAQLSELHWRRQNQPQQIQRRRTSGEREDEKAEPLTPTSAWRAAEMLAKVAIMRKSLNRVSDLHGFEDARF >itb15g14700.t1 pep chromosome:ASM357664v1:15:13081182:13087419:-1 gene:itb15g14700 transcript:itb15g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSNFLPLFVMVIVQLGYAGMNIVATAVMGAGMNPFIHIAYQQMFATIALAPLAYFLERKSRPKMTPKIFFQIFLASTFGLTGDQIAYFVGLNNSTPTVASALANLIPAITFIAAVLFRLESVGLRTKGGQAKVFGTLICIGGAMLLTLYRGPVVIGQSSINWKYAKNTVDKNTSSSHGNVILGPIMVILSCCAYTAFLITQAKLSKQYGAPYSSTALACLVAFFQCVIIALCVDHSVSDWILTPMRAVSTTYNGLVYSALAICLTSWCIERKGPLYVAVFNPLLLVLTAILSWAFLREKIYTGTVVGSILIVVGLYIVLWGKKNELQVMINVEDNNKLKENDAEKTEAGEC >itb12g06470.t1 pep chromosome:ASM357664v1:12:4806594:4808223:-1 gene:itb12g06470 transcript:itb12g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHIIAIPYPAQGHVSPLMELCQCLVKHGCKVTFVNSEFNHNRIIESMSEADNVINLVSVPDGLAVEEDRNDLKKLTEAQFEVVPGKLEALIQKINESDENRISCLIADESLGWALDLAKKLGLQRVAFWPAAAASLSMMFNVPKLIDDGIVGKNGEILRKQSIKLLPHTPAMNTTDLLWNCFSDPGLQRLVFDMAFKNNESVKAAEWILCNSSQAMEYEVFAAYPKLIPIGPLLASNRLGKTSCHFWREDTDCLKWLDQQPLNSVIYVAFGSHTIFDMAEFQELALGLELTNRPFLWVIRQGFIEEARNAYPEGFTDRTRNRGLLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVSNGLPFLCWPYFADQFFNQSYICDVWKIGLGFNRNENGVIGRQEIKNKVEQLFGDENFKGRAVDLQSEVLSSVKKGGSSYGNFSSLVNWIKAPN >itb09g17770.t1 pep chromosome:ASM357664v1:9:13149564:13163912:-1 gene:itb09g17770 transcript:itb09g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSGFWVEETKDQFSFPLKRKRSFKSKKLVFVGWGSKPLIEFLQSIGRETSREYSRHEVNGIILDYVNSNNLLNPQKKKRINSNNLLNPQKKKRILCDERLKTLFGKKSIPRIKIYDLLEVHFIENRDDSDEENSLAQEDAEEGDGYLVSKERKTSIPQKRKVQEAPKSCFAALTSQNIKLIYLKRTLLQSLLKSDERFEDKVVGSFVRIKSDPNDFLQKNSHQLQQVTGVKKTFGSGDAGMETYLQLSNWTKDVLISSLSDDDFSEEECEDLHGRVKAGLLKRLTVVELELKAHVLHEDMTEHWIDKEIKMLQRRIDHANEKGWRRELYEYLQKRQLLMTKSEQERLLSEKPKVVAEELEPEATKAGASERDEEKSGSPEPEQTRLFGENLKVEAKEPEKETMPLDAPEEPEKETMPLDAPEKPGKETMHLDAPEKREKETMPLDASKEVGAVKCFTGSTLRGPSDLLAAGEKVRFEVCLRTLDNSLVRHMDSSKDPTDNSTTQGTGSTQDSDTIKTRGATHMKKVIQGRVTSGKKVVQFDGGHPVGLIGAEFKSYVALAARVNIQITIESWLKVPDETKDLIWEDILSIYDIPNTNIMKERWIQYVGQRWKDFKTKLVSKYIYGTLSHKNPCEKYSFLDQETWNEFVKLHTGPEYEAKRKKHQEIQAKNVHPHSLSRGGYQRLKKVMMDEKMKEQQEIVDSDPSHTISPPSPCRHEKWKRARIDKSGNFRTEATRIVAEKMDSLELQASQGEFVASGRHDILSVALGTDEHPGRVRGMGRGHGIKSVFGHAKRPPQMPTEAVKQMVDSAVAAVEARFETRIAEMMKQFSNMQTPLQQAAQSRQEDDICEHVVAQDDSQKSSSPDPFVDLPTLGARCQLYLEDPIRHLVGFGIAYTGGQVNGVALNANQVKVCVDKIIDSTANVPFPTSEVQLLDAAFQHFIVWPKNLVELCTQADKVCTI >itb09g17770.t2 pep chromosome:ASM357664v1:9:13149564:13161100:-1 gene:itb09g17770 transcript:itb09g17770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSGFWVEETKDQFSFPLKRKRSFKSKKLVFVGWGSKPLIEFLQSIGRETSREYSRHEVNGIILDYVNSNNLLNPQKKKRINSNNLLNPQKKKRILCDERLKTLFGKKSIPRIKIYDLLEVHFIENRDDSDEENSLAQEDAEEGDGYLVSKERKTSIPQKRKVQEAPKSCFAALTSQNIKLIYLKRTLLQSLLKSDERFEDKVVGSFVRIKSDPNDFLQKNSHQLQQVTGVKKTFGSGDAGMETYLQLSNWTKDVLISSLSDDDFSEEECEDLHGRVKAGLLKRLTVVELELKAHVLHEDMTEHWIDKEIKMLQRRIDHANEKGWRRELYEYLQKRQLLMTKSEQERLLSEKPKVVAEELEPEATKAGASERDEEKSGSPEPEQTRLFGENLKVEAKEPEKETMPLDAPEEPEKETMPLDAPEKPGKETMHLDAPEKREKETMPLDASKEVGAVKCFTGSTLRGPSDLLAAGEKVRFEVCLRTLDNSLVRHMDSSKDPTDNSTTQGTGSTQDSDTIKTRGATHMKKVIQGRVTSGKKVVQFDGGHPVGLIGAEFKSYVALAARVNIQITIESWLKVPDETKDLIWEDILSIYDIPNTNIMKERWIQYVGQRWKDFKTKLVSKYIYGTLSHKNPCEKYSFLDQETWNEFVKLHTGPEYEAKRKKHQEIQAKNVHPHSLSRGGYQRLKKVMMDEKMKEQQEIVDSDPSHTISPPSPCRHEKWKRARIDKSGNFRTEATRIVAEKMDSLELQASQGEFVASGRHDILSVALGTDEHPGRVRGMGRGHGIKSVFGHAKRPPQMPTEAVKQMVDSAVAAVEARFETRIAEMMKQFSNMQTPLQQAAQSRQEDDICEHVVAQDDSQKSSSPDPFVDLPTLGARCQLYLEDPIRHLVGFGIAYTGGQVNGVALNANQVKVCVDKIIDSTANVPFPTSEVQLLDAAFQHFIVWPKNLVELCTQADKLKGKTKLTFESVVESHHHELTLADPNSLSNTCKKVYKIACSLEHNLVMHMPRGVVGNIDYELQLESLEIMRFLNMETLDISLFQFFIR >itb05g12780.t1 pep chromosome:ASM357664v1:5:19473889:19474819:1 gene:itb05g12780 transcript:itb05g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQLCRSHQDCKANSLYTGNFCINKISESEIGHCVGFGSTLETEQENSKCKKEKHKKKKKQKKSKAKPSGCGKCETDDDCRGCPAAAACEKIILDGMCA >itb01g28740.t1 pep chromosome:ASM357664v1:1:33112288:33113334:1 gene:itb01g28740 transcript:itb01g28740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGNVGPSGIDWVGGPTFCGSVVWCYGTVGSQDFMTPSPHIICIGFHSVSCALRCVFCLPGLRLWIRLFGTENKGFLLRVSDDGDSGVLTVELRT >itb05g09090.t2 pep chromosome:ASM357664v1:5:13029807:13033416:-1 gene:itb05g09090 transcript:itb05g09090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFLVDNLEVSTNSNSSQQAETGQATTILHSSYNCSGDDPNFNGLIFTAYGTQPHEVVGFSSARLPLPFDVADDGLIFVNAKQYHGILRRRQMRAKLVAQNRLLKTRKPYMHESRHLHAVNRVRGSGGRFLSSKKPHHHNSPSSSPNSVQASTSAIAP >itb05g09090.t3 pep chromosome:ASM357664v1:5:13030258:13033416:-1 gene:itb05g09090 transcript:itb05g09090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFLVDNLEVSTNSNSSQQAETGQATTILHSSYNCSGDDPNFNGLIFTAYGTQVNPHEVVGFSSARLPLPFDVADDGLIFVNAKQYHGILRRRQMRAKLVAQNRLLKTRKPYMHESRHLHAVNRVRGSGGRFLSSKKPHHHNSPSSSPNSVQASTSAIAP >itb05g09090.t1 pep chromosome:ASM357664v1:5:13029807:13033666:-1 gene:itb05g09090 transcript:itb05g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFLVDNLEVSTNSNSSQQAETGQATTILHSSYNCSGDDPNFNGLIFTAYGTQPHEVVGFSSARLPLPFDVADDGLIFVNAKQYHGILRRRQMRAKLVAQNRLLKTRKPYMHESRHLHAVNRVRGSGGRFLSSKKPHHHNSPSSSPNSVQASTSAIAP >itb11g21150.t1 pep chromosome:ASM357664v1:11:22637129:22645060:1 gene:itb11g21150 transcript:itb11g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETQLNPDIPISKVAEDKDSKADPIKVTEKEGKKEEEDAGFDDVVSLSSASREFLESQEKVRELEVELERVMGSLKDSESRNAQLNDELSLTKEKLGEIGKKYEDLEIDHKKLQEQIAEEEGRYSAQLSALQDAIHAGEVKHKELESVKEAFERLSLEFDSSKKKREELEQELQASVGESRKFEELHIQSGSLAESETKRASEFERLLEQSKLSAKEMEDQMASLQAELKVLSEKTAEGERAEEALKLKLEEEVTTKGQVEAKLRSQEEQFAKMFDELGKLSKEKEALEDAISDLTKNAAEMKELCSDLEAKLRNSDENFCKADSLLSQALANSTELEQKLKTLEELQYESGHAATTANQKNVELEDLLQASYAAAEHAKTQLVESESRFIAAEQRNLELEQKLNLVELKSSDAEREVREMSEKISELHVTLEKVMEEKNHLNAEVQEFQDKISKVESDSNQVAACNSELEQELKGALKKCAEYEDRVNITDQRSRELEDLMQISHSKAEEASKKVSELELLLETEKYRIQELEEQISALEKKCGDAEAESNQYCNKVSELEEELKAFQSKTLSFETALQSATEKEKELSEYLNVTTEDKRTLEDAYRSSTERLAESENLLEVLRNELKVTQQRLESIECDLTAAGLREGEVMEKLKSAEEQLDKQGKVLEQATARSLELESMHEAVARDFELKYQEAAAKFSSRDSEVQSLYEKIKTLEDQARTYEEQITEATERSDAVKHELEQVLLKLSLSENTTEDFKNKISETEDRAAEVMSENELLTETNIQLKKRINDLEELLNSASTDKEATIQQLASHINTITELADKLARASEMQLAAESRISETETKLEEAIQTVAKKELEAKHLMEKLNSLRDQVKAYEEQAHDTSSLAESLKVRLEQTHTTLRDQEGTMEELKSKSTDLEEERARLLEVNSELTEKLASYEPKVIDLETKLAAAFIERNEAVDELQASNTVVANLTQDINSERQKLQLQLSSALEENKQLQEMYESSEKKLQGVIANLEEQLNEHASSKDALKSQVEVLTADISQKSELQNLVKELEEQLASAKAQFKQQKELDTEKEMDQESSLKELQAKKNEVSLLENKVKELEQKLQLGDAARLKEKDVGGSSTDPKEDMEVKSRDIGSMVSTPSKRKSKKKSEASATQAASTDTQVRSTNEAALPFTAQFILGVALVSIIIGIILGKRY >itb11g21150.t2 pep chromosome:ASM357664v1:11:22637129:22645060:1 gene:itb11g21150 transcript:itb11g21150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETQLNPDIPISKVAEDKDSKADPIKVTEKEGKKEEEDAGFDGEFIKVEKETLESKDVAHTATENTASPDVVSLSSASREFLESQEKVRELEVELERVMGSLKDSESRNAQLNDELSLTKEKLGEIGKKYEDLEIDHKKLQEQIAEEEGRYSAQLSALQDAIHAGEVKHKELESVKEAFERLSLEFDSSKKKREELEQELQASVGESRKFEELHIQSGSLAESETKRASEFERLLEQSKLSAKEMEDQMASLQAELKVLSEKTAEGERAEEALKLKLEEEVTTKGQVEAKLRSQEEQFAKMFDELGKLSKEKEALEDAISDLTKNAAEMKELCSDLEAKLRNSDENFCKADSLLSQALANSTELEQKLKTLEELQYESGHAATTANQKNVELEDLLQASYAAAEHAKTQLVESESRFIAAEQRNLELEQKLNLVELKSSDAEREVREMSEKISELHVTLEKVMEEKNHLNAEVQEFQDKISKVESDSNQVAACNSELEQELKGALKKCAEYEDRVNITDQRSRELEDLMQISHSKAEEASKKVSELELLLETEKYRIQELEEQISALEKKCGDAEAESNQYCNKVSELEEELKAFQSKTLSFETALQSATEKEKELSEYLNVTTEDKRTLEDAYRSSTERLAESENLLEVLRNELKVTQQRLESIECDLTAAGLREGEVMEKLKSAEEQLDKQGKVLEQATARSLELESMHEAVARDFELKYQEAAAKFSSRDSEVQSLYEKIKTLEDQARTYEEQITEATERSDAVKHELEQVLLKLSLSENTTEDFKNKISETEDRAAEVMSENELLTETNIQLKKRINDLEELLNSASTDKEATIQQLASHINTITELADKLARASEMQLAAESRISETETKLEEAIQTVAKKELEAKHLMEKLNSLRDQVKAYEEQAHDTSSLAESLKVRLEQTHTTLRDQEGTMEELKSKSTDLEEERARLLEVNSELTEKLASYEPKVIDLETKLAAAFIERNEAVDELQASNTVVANLTQDINSERQKLQLQLSSALEENKQLQEMYESSEKKLQGVIANLEEQLNEHASSKDALKSQVEVLTADISQKSELQNLVKELEEQLASAKAQFKQQKELDTEKEMDQESSLKELQAKKNEVSLLENKVKELEQKLQLGDAARLKEKDVGGSSTDPKEDMEVKSRDIGSMVSTPSKRKSKKKSEASATQAASTDTQVRSTNEAALPFTAQFILGVALVSIIIGIILGKRY >itb05g24130.t1 pep chromosome:ASM357664v1:5:29029640:29030461:1 gene:itb05g24130 transcript:itb05g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSRQRFAGWFFGSLLRHMRSLLFRILSVGVIPKHIAFILDGNRRYSRKMKIPEAVGYRAAFLALICILSYCYELGVKYVTIYAFSIDNFKRRPDEIKVLMDLMLSKLEALLKYQNALDEYGVRVHFVGNLHLLDNRIKAAAEKAMEATKKNSNFTLLVCLAYTSTDEIVHATEACCEEKNELRAGGEEERDITVGDLERHMYMGLAPEVDILVRTSGETRLSNFLLWQTSSCLLYSPGALFPEIGLRHLVWAVLNFQRAHPYLQKRRMVS >itb05g00130.t2 pep chromosome:ASM357664v1:5:118888:124502:1 gene:itb05g00130 transcript:itb05g00130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPYPYQLITQHLRPRDDHYNNNNRTTPTSDLPNYFSLRIEPPTHTHHAPPNSPLSTAPRLLSLDVFRGITVALMILVEDAGGIFPSINHSPWNGLTLADIVMPLFLFIVGVSLGLAYKNLSNRTAATGKAIFRALKLLIIGVFLQGGYFHGLNNLTYGVDITQIRWTGVLQRIAIAYFVTAISEIWLKNDCKVDSGLSLLKRYQYQWAMAFVLIAIYLSLLYGLYVPDWEYQIPAEMVSSDTKILSHSLPFKVKCGVRGDTGPACNAAGMVDRTVLGIQHLYRRPIYARTKECSVNSPDYGPLPIDAPSWCQAPFDPEGLLSSLMAIVTCFVGVHFGHVIIHFKDHKTRILQWIIPSCCLLLLGVTCNFFGMHINKVLYSFSYTCVTAGTAGVVFAGVYIMVDVYGCRHFTSLLEWMGMNALLIYVLVSCNIIPIIVQGLYWRLPENNIVSMIGIGHK >itb05g00130.t5 pep chromosome:ASM357664v1:5:118888:124502:1 gene:itb05g00130 transcript:itb05g00130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPYPYQLITQHLRPRDDHYNNNNRTTPTSDLPNYFSLRIEPPTHTHHAPPNSPLSTAPRLLSLDVFRGITVALMILVEDAGGIFPSINHSPWNGLTLADIVMPLFLFIVGVSLGLAYKNLSNRTAATGKAIFRALKLLIIGVFLQGGYFHGLNNLTYGVDITQIRWTGVLQRIAIAYFVTAISEIWLKNDCKVDSGLSLLKRYQYQWAMAFVLIAIYLSLLYGLYVPDWEYQIPAEMVSSDTKILSVKCGVRGDTGPACNAAGMVDRTVLGIQHLYRRPIYARTKECSVNSPDYGPLPIDAPSWCQAPFDPEGLLSSLMAIVTCFVGVHFGHVIIHFKDHKTRILQWIIPSCCLLLLGVTCNFFGMHINKVLYSFSYTCVTAGTAGVVFAGVYIMVDVYGCRHFTSLLEWMGMNALLIYVLVSCNIIPIIVQGLYWRLPENNIVSMIGIGHK >itb05g00130.t1 pep chromosome:ASM357664v1:5:118888:124502:1 gene:itb05g00130 transcript:itb05g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPYPYQLITQHLRPRDDHYNNNNRTTPTSDLPNYFSLRIEPPTHTHHAPPNSPLSTAPRLLSLDVFRGITVALMILVEDAGGIFPSINHSPWNGLTLADIVMPLFLFIVGVSLGLAYKNLSNRTAATGKAIFRALKLLIIGVFLQGGYFHGLNNLTYGVDITQIRWTGVLQRIAIAYFVTAISEIWLKNDCKVDSGLSLLKRYQYQWAMAFVLIAIYLSLLYGLYVPDWEYQIPAEMVSSDTKILSVKCGVRGDTGPACNAAGMVDRTVLGIQHLYRRPIYARTKECSVNSPDYGPLPIDAPSWCQAPFDPEGLLSSLMAIVTCFVGVHFGHVIIHFKDHKTRILQWIIPSCCLLLLGVTCNFFGMHINKVLYSFSYTCVTAGTAGVVFAGVYIMVDVYGCRHFTSLLEWMGMNALLIYVLVSCNIIPIIVQGLYWRLPENNIVSMIGIGHK >itb05g00130.t4 pep chromosome:ASM357664v1:5:119681:124502:1 gene:itb05g00130 transcript:itb05g00130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLSCHNIFKPNLQRGTFFSLPWPPMLPMDPLLCLKYMEICHCSYLPFSHVLCFVLQLMILVEDAGGIFPSINHSPWNGLTLADIVMPLFLFIVGVSLGLAYKNLSNRTAATGKAIFRALKLLIIGVFLQGGYFHGLNNLTYGVDITQIRWTGVLQRIAIAYFVTAISEIWLKNDCKVDSGLSLLKRYQYQWAMAFVLIAIYLSLLYGLYVPDWEYQIPAEMVSSDTKILSHSLPFKVKCGVRGDTGPACNAAGMVDRTVLGIQHLYRRPIYARTKECSVNSPDYGPLPIDAPSWCQAPFDPEGLLSSLMAIVTCFVGVHFGHVIIHFKDHKTRILQWIIPSCCLLLLGVTCNFFGMHINKVLYSFSYTCVTAGTAGVVFAGVYIMVDVYGCRHFTSLLEWMGMNALLIYVLVSCNIIPIIVQGLYWRLPENNIVSMIGIGHK >itb05g00130.t3 pep chromosome:ASM357664v1:5:119681:124502:1 gene:itb05g00130 transcript:itb05g00130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLSCHNIFKPNLQRGTFFSLPWPPMLPMDPLLCLKYMEICHCSYLPFSHVLCFVLQLMILVEDAGGIFPSINHSPWNGLTLADIVMPLFLFIVGVSLGLAYKNLSNRTAATGKAIFRALKLLIIGVFLQGGYFHGLNNLTYGVDITQIRWTGVLQRIAIAYFVTAISEIWLKNDCKVDSGLSLLKRYQYQWAMAFVLIAIYLSLLYGLYVPDWEYQIPAEMVSSDTKILSVKCGVRGDTGPACNAAGMVDRTVLGIQHLYRRPIYARTKECSVNSPDYGPLPIDAPSWCQAPFDPEGLLSSLMAIVTCFVGVHFGHVIIHFKDHKTRILQWIIPSCCLLLLGVTCNFFGMHINKVLYSFSYTCVTAGTAGVVFAGVYIMVDVYGCRHFTSLLEWMGMNALLIYVLVSCNIIPIIVQGLYWRLPENNIVSMIGIGHK >itb03g13620.t1 pep chromosome:ASM357664v1:3:13704866:13706000:-1 gene:itb03g13620 transcript:itb03g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFHHQNPFFPSQQNNEDYSELFSAPHTTTQTHDDDAPFLFQQDPTLLQHQDLQFDVGYLELDDPVAAAALEVSNYPTPDNVNAAPRNKGKKKQQKPWAAAGRGQGESSASDGKQKRVIHRDIERQRRQEMANLYASLRVQLPLEYLKGKRSTSDHVMEAVNYIEDKQKSIRELEEKRDRLKRLGSSGSDVVDEDYNRRTGNSSSSAAAPAMVTVQTCLDGVEIFINSGFPNQGFRISRALDLLVQQGHHVLSCVCSRVDDRLLHTIRVQVSPQAGVDLQVLQQNLSDSINQQY >itb10g21420.t1 pep chromosome:ASM357664v1:10:26630923:26636314:-1 gene:itb10g21420 transcript:itb10g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGLAAAINIISAFVFLVAFAILRLQPFNDRVYFPKWYLKGLRSSPSQSGAFVKRIVNLDWRSYVRFLNWMPEALKMPEPELIDHAGLDSAVYLRIYLLGLKIFVPITLLSWAVLVPVNWTNSTLKDSNLTYSDIDKLSISNIPQGSPRFWTHIVMAYAFTFWTCYVLHKEYAKVADMRLHFMASEKRRPDQFTVLVRNVPPDPDESYSESVEHFFLVNHQDYLTNQVVCNANKLAKLLKQKASKQNWLDYYQLKYSRGGDMSKRPMMKTGCLGLCGNRVDAIDHQTAEIERLSKEIAEEKERVKNDPKSLMPAAFVSFKSRWSAAVAAQTQQSRNPTLWLTEWAPEPRDVYWDNLAIPYVSLTVRRLIMAVAFFFLTFFFMIPVASVQGLANIEGIEKRAKFLKPIIEIPFIKAFIQGFLPGLALKIFLIVLPSILMLMSKFEGFLSLSSLERRAASRYYIFNFVNVFFASIIAGAAFEQLNTFIHSSADSIPKTIGSAIPMKATFFITYIMVDGWSGTAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDAGSIGFNTGEPQIQLYFLLGLVYAVVTPILIPFILVFFGLAFVVYRHQIINVYNQEYESAAAFWPAVHGRIIFALVFSQISTLGLLSTKHAATSAPFLIALPVLTISFHLFCKGRYEPAFTKYPLQEAKMKDTLERAREPTLNLKAYLQDAYVHPVFKEGDDDEDDEMSEKMEMDSILVPTKRQSRRNTPAPSKVSGGSSPDVILEKEP >itb12g20800.t1 pep chromosome:ASM357664v1:12:23271997:23279278:1 gene:itb12g20800 transcript:itb12g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINETPLESSGVGQGSGGEVGDSGSESSNVRNCDLIQKLRRRRGLEAAADAVVVDGDAKSAAAEDKSEIRSVGGGMESVGESGNKFSDGNDQNGGNGGGSREADDGMSFKVSYRASSPAHRRIKESPLSSDAIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRMGFWFSSKSLMDWPVLMCCLSLPIFPITAFLVEKLVQKKRIIDPVAVVLHMMITTAAIVYPVLVILCSFCDFVPLFLSLSVQQNSYSNGDLLTSIFSGEGSCGEQSWPAKISGSGWCDPAITSGVTLMLFACTMLLKLISYAHTNYDMRALSKSHHKGESNMDYTYEVSFKSLLYFMAAPTLCYQLSYPRNACIRKRWVASLLVKFIIFAGLMGFIIEQYINPIVRNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTIDEYWRMWNMPVHKWMVRHVYFPCLRIGIPKGVAILIVFFISAAFHELCIAVPCHMFKCWAFIGIMFQVPLVILTNLLQNKFRSPMVGNMIFWSFFSIFGQPMCVLLYYHDMMNRKLNDQG >itb09g10490.t1 pep chromosome:ASM357664v1:9:6495946:6497977:-1 gene:itb09g10490 transcript:itb09g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKKMNTFTPHQYPPSSPNKLFNASGFRNLKKGQLGGVIFGCKNDTIKECLLKQLFGLPFQHFSYVKNIVPGLPLFLFNYSDRKLNGIFEAAGTGQLNINPYAWTSNGSERTQFPAQAL >itb07g06180.t1 pep chromosome:ASM357664v1:7:4336514:4339520:-1 gene:itb07g06180 transcript:itb07g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDLLTRVDAICKKYDKYDVEKQKDSNISGDDAFARLYGEIESDIETCLQKAENAGTEKSRASVVAINAEIRRTKARLLEEVPKLQRLAVKKVKGLSGEELAARNDLVLALPDRINSIPDGSSAPPKQSGGWGASGSRAEIKFDSDGRFDDEFFQQTEESSNFRQEYEMRKMRQDQGLAVISEGLDTLKDMAHNMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKDTVTQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >itb09g10220.t1 pep chromosome:ASM357664v1:9:6359868:6362183:1 gene:itb09g10220 transcript:itb09g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSNFLQRVLSYVINEFVVNGLANSPRFQRFAVRTSKTIEEVSKLAEEKKKEIAEQIKDASKKI >itb08g04210.t1 pep chromosome:ASM357664v1:8:3331842:3336949:-1 gene:itb08g04210 transcript:itb08g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKSDLRANSMPRVVTPSEKVIVAVKAEKVITKAALAWALTHVVRPGDCITLLAVYSERKTERKSFWGFRKLKGDCRSGDRVNSSPDRICQITDSCSQMVLQFNDQIDVRVRIKVVSANFAGAVAAEAKSNAASWVILDKKLKQERKFCVEELRCNIVVMKGSQPKVLRLNLGCSDEPQTPYVSAEASPVLDNRNSYGHRMKHSTPVSSPEDQSPLYMRTPVENFTRQDSFLLYQHNPLYEGPNKAKFFSAHKDNEYDDQLNAMDSVGERIITLSSFQKSETESRERIFWIPQNHIIDKNLSTVESQINTSGKDKNTITSRNEHDNFSPHNQGLMRRDQNFEIDFVNSSIREAVSLGRTSSKPPPLCSICQLKAPSFGKPPRQFLYEELEEATDGFSDTNFLAEGGFGLVHKGILRDGLVVAVKQLKFLGSQADTDFCREVRVLSCAQHRNVVLLVGFCIQQNRRLLVYEYICHKSLDFHLHGKNRTTLDWHSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVMDFGLARLHSEWVFSDDKHLLGTSGYLAPEFFTDGKVTEKVDIYAFGLVLLELITGKKTSDFLYYKGQSLLLENSYPSATVEPIHILAHKHQLLDSNLASTQLHNLPRELQAMGFAASLCLQREPDLRPPMSKVLRVLEGGATVLPLDLDSNLVSNRSSQMQGVNISSRPEPRRHSRRLSY >itb08g04210.t2 pep chromosome:ASM357664v1:8:3331842:3336949:-1 gene:itb08g04210 transcript:itb08g04210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKSDLRANSMPRVVTPSEKVIVAVKAEKVITKAALAWALTHVVRPGDCITLLAVYSERKTERKSFWGFRKLKGDCRSGDRVNSSPDRICQITDSCSQMVLQFNDQIDVRVRIKVVSANFAGAVAAEAKSNAASWVILDKKLKQERKFCVEELRCNIVVMKGSQPKVLRLNLGCSDEPQTPYVSAEASPVLDNRNSYGHRMKHSTPVSSPEDQSPLYMRTPVENFTRQDSFLLYQHNPLYEGPNKAKFFSAHKDNEYDDQLNAMDSVGERIITLSSFQKSETESRERIFWIPQNHIIDKNLSTVESQINTSGKDKNTITSRNEHDNFSPHNQGLMRRDQNFEIDFVNSSIREAVSLGRTSSKPPPLCSICQLKAPSFGKPPRQFLYEELEEATDGFSDTNFLAEGGFGLVHKGILRDGLVVAVKQLKFLGSQADTDFCREVRVLSCAQHRNVVLLVGFCIQQNRRLLVYEYICHKSLDFHLHGKNRTTLDWHSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVMDFGLARLHSEWVFSDDKHLLGTSGYLAPEFFTDGKVTEKVDIYAFGLVLLELITGKKTSDFLYYKGQSLLLENSYPSATVEPIHILAHKHQLLDSNLASTQLHNLPRELQAMGFAASLCLQREPDLRPPMSKVFPCLYSKTKVLQVFTLWLLNQFPLLR >itb08g04210.t3 pep chromosome:ASM357664v1:8:3331842:3336949:-1 gene:itb08g04210 transcript:itb08g04210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQPKVLRLNLGCSDEPQTPYVSAEASPVLDNRNSYGHRMKHSTPVSSPEDQSPLYMRTPVENFTRQDSFLLYQHNPLYEGPNKAKFFSAHKDNEYDDQLNAMDSVGERIITLSSFQKSETESRERIFWIPQNHIIDKNLSTVESQINTSGKDKNTITSRNEHDNFSPHNQGLMRRDQNFEIDFVNSSIREAVSLGRTSSKPPPLCSICQLKAPSFGKPPRQFLYEELEEATDGFSDTNFLAEGGFGLVHKGILRDGLVVAVKQLKFLGSQADTDFCREVRVLSCAQHRNVVLLVGFCIQQNRRLLVYEYICHKSLDFHLHGKNRTTLDWHSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPLVMDFGLARLHSEWVFSDDKHLLGTSGYLAPEFFTDGKVTEKVDIYAFGLVLLELITGKKTSDFLYYKGQSLLLENSYPSATVEPIHILAHKHQLLDSNLASTQLHNLPRELQAMGFAASLCLQREPDLRPPMSKVLRVLEGGATVLPLDLDSNLVSNRSSQMQGVNISSRPEPRRHSRRLSY >itb09g14120.t1 pep chromosome:ASM357664v1:9:9340066:9340743:-1 gene:itb09g14120 transcript:itb09g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVNHDVHHILILQQAPGSVVYVSFGSMASLSPRQMVELALGLESNKRPFLWALGKKGKNVDAFEDWNVSSGFEQRNRGRGLLVRVWAPQVLILSHISVGGFLTHCGWNSTLEAISAKVPMVTWPLIAEQFLNEKLVVEVLGIGVSLGLKISVDWDGEDKNDVVMVKSEEIKEAIDKIMDEGGIEIRKKVRELGEMAKKAVQKGGSSQLNLISLVQNISSFAQP >itb13g22390.t1 pep chromosome:ASM357664v1:13:28678591:28681182:1 gene:itb13g22390 transcript:itb13g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKRLCFVFPDDDETAPTEPVPAPAPASRRRCGGHVLEFVKESLHRFLDSGWVSFCSPEVAGGGKKQFSGQFHDTEGVQMGENNKVGSDNPRIFSYSELFVGSNGFSESEILGSGGFGKVFRAVLPSDGTVVAVKCLAEKGERFEKTFAAELVAVAHLRHRNLVRLRGWCVHDNQLFLVYDYMPNRSLDRVLFKKSEKLGLDWERRKKIVHGLAAALFYLHEQLETQIIHRDVKTSNVMLDSGFNARLGDFGLARWLEHDLVYQTRTPSMKNHQFRLAETTKIGGTIGYLPPESLQKRGVATAKSDVFSFGIVVLEVASGRRAVDLTCPDDQTILLDWMRRLSDEGMVLQGGDSRLKDGSYKLAEMERLIHIGLLCTLHEPHCRPNMKWVVEALYGEIYGKLPELPSFRSHPLYISLSSSSNSATSHTMSTRTTLSTSSTTIVPFNNSENFVTASGETVFVSAESSNSSVSSSLSGNHYSSAGLQPSGFPVVDTPREFTFKEIVAATKNFSDSRRVAEVDFGTAYRGFLDSYQQILVKRLGMKTCPALRVRFCNELQNLGRLRHRNLVQLRGWCTEQGEMLVVYDYSANHLLSHLLFHHRPSERHTALKWRHRYNIVKSLASAIRYLHEEWDEQVIHRCITSSAVILDPDMNPRLGSFALAEFLARNESGHHVVVDKNKSVRGIFGYMSPEYMESGDATPMADVYSFGVVLLEVVSGQMAVDFRRPEVLLVHRLHEFELRKRPYEELADRRLDGEINKRELIRMVRLGMACTRSDPAQRPSMRQIVSILDGRDQWFMENGLRKEKKEDWRKRNACSLSHVRRIQALGIH >itb03g17970.t1 pep chromosome:ASM357664v1:3:16363715:16365957:-1 gene:itb03g17970 transcript:itb03g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLSAISSSLSPYYHLIFTSLAFLLSGFIFLLSRKSKSKNKPNLPPGPPGWPVVGNLFQVARSGKTFLQYVRDLKPKYGPIFTLRMGTRTMVIITSADLAHEALIVKGQIFASRPRENPTRSIFSSNKFSVNAAVYGPVWRSLRKNMVQNMLSSARLKEFQSARSAAMDRLIERIGSEAKANDGAVWVLRNARFAVFCILLAMCFGVEMDEETIDSVDQMMKSVLIVLDPRVDDFLPILSPFFSKQRKKVLEVRKQQIETIVPLIEKRRRALENPGADKSAATFSYLDTLFDLTVDGRKSSPTNAELVTLVSEFLNGGTDTTATAVEWAIARLIENPEIQSKLYEEIKSVVGDRKVDEKDIEKMPYLNAVVKELLRKHPPTFFALTHAVTQPTKLGGYDIPMDCGVEFFLPAISDDPKLWSDPEKFDPDRFLSGGEDADITGVTAVKMMPFGVGRRICPGLGMATVHVNLMLARMVQEFGWSVYPENTKVDLSDKFEFTVVMKNPLRAKATPRGCK >itb05g18370.t1 pep chromosome:ASM357664v1:5:25162766:25168700:-1 gene:itb05g18370 transcript:itb05g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MGSSVLYTSIHTSSVLFSRTQFPFLVHREFAAEFCPSLHGGCCLRTQENGHAKRVAKVKATLAAETAKVATCGVGDGDSKASKRKLKILVAGGGIGGLVFALAAKKKGFEVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMDVAEEVMSAGCITGDRINGLVDGVSGNWYCKFDTFTPAAQRGLPVTRVISRMTLQQILACAVGEDIIMNESNVVDFKDEGNKVTVILENGQKHEGDLLVGADGIRSKVRTNLFGPKDATYSGYTCYTGIADFVPADIETVGYRVFLGHKQYFVSSDVGGGKMQWYAFYNEPAGGEDAPNGKKERLLKIFEGWCDNVIDLLLATDEDAILRRDIYDRTPSLTWGKGRVTLLGDSIHAMQPNLGQGGCMAIEDGYQLALELDKAWRQSVESGTPIDIASSLKSYEKERRLRVGIIHGLARMAALMAATYKAYLGVGLGPLSFLTKFRIPHPGRVGGRFFIDLAMPLMLSWVLGGNGEKLEGRPKQCRLSDKANDQLRKWFDDDDALERAMNGEWLLSPLKNASTASEPIFLRRDEKTPCIVGSVPHPNFPGTSLVVASTEVSELHARISYKDGAFFVTDLRSKHGTWITDNEGRRYRLSPNFPTRFHPSDIVEFGSDRKAAFRVKVMRFPPFSGEEEMKVLQAA >itb15g08570.t1 pep chromosome:ASM357664v1:15:5992263:5993769:1 gene:itb15g08570 transcript:itb15g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHVTPKSGTHHISTQSSKLNPELFHRSFNQSSPQSTDMASTLSSSFLSLSTKLKPQHNQLFFFTNRIHSSTISHKPISFKIQAAKLPAGVELPKEQPKLERPFLGFTRTAEIWNSRACMIGLIGTFIVELILNRGILQIIGVDVGKGLDLPL >itb02g01010.t2 pep chromosome:ASM357664v1:2:581719:583900:-1 gene:itb02g01010 transcript:itb02g01010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLFAGVPWTEEEHRLFLLGLQKLGKGDWRGISRNYVTSRTPTQVASHAQKYFIRQTNVTRRKRRSSLFDMVADMATESQPFPEEQFMLPPRALETDEAEAEIEAVETDNAHSLPSLELSLKPEFESMEATPSEPVENTEENVAPSLEAPPVFPTFIPTYIPIPFPLWPSNAVPLDEDTGADTSHHQILKPIPVVPKEPVNVDELVGMSQLSLGESISCQIEPSPLSLKLTGEPSRQSAFHASTPLHSSSEIVKGEASPLHSKQFKCTC >itb02g01010.t1 pep chromosome:ASM357664v1:2:581718:584647:-1 gene:itb02g01010 transcript:itb02g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCSHCSNNGHNSRTCPARGGAAAGGGGGGGVKLFGVRLTDGGSIMKKSASMGNLSALYHSSSSAAASPNPGSPSSDALREPVHLPDGYASDDPTHASCSTNRRAERKKGVPWTEEEHRLFLLGLQKLGKGDWRGISRNYVTSRTPTQVASHAQKYFIRQTNVTRRKRRSSLFDMVADMATESQPFPEEQFMLPPRALETDEAEAEIEAVETDNAHSLPSLELSLKPEFESMEATPSEPVENTEENVAPSLEAPPVFPTFIPTYIPIPFPLWPSNAVPLDEDTGADTSHHQILKPIPVVPKEPVNVDELVGMSQLSLGESISCQIEPSPLSLKLTGEPSRQSAFHASTPLHSSSEIVKGEASPLHSKQFKCTC >itb05g23720.t1 pep chromosome:ASM357664v1:5:28786401:28791478:-1 gene:itb05g23720 transcript:itb05g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose synthase 5 [Source:Projected from Arabidopsis thaliana (AT5G37180) TAIR;Acc:AT5G37180] MAAVTAPMLKRSESIADSMPEALRQSRYHMKRCFAKYIEKGRRVMKLKHLMEEMEKVIDDHVERNQLLQGLLGYILCTTMEAAIVPPHIAFATRQNPGFWEYVKVNAYDLSVEGISATDYLKFKEMVVDDDWAQDDNALEIDFGAIDFSIPHLTLSSSIGNGLDYVAKFLSTKLNARSELAQALVDYLLTLNHQGDKLMINETINTVQKLQTALVVADAALSLVPKDTPYQSFELRFKEWGFEKGWGDTAERVQDTMRTLSEVLQAPDPLNTQKFFSRLPTVFNVVLFSVHGYFGQADVLGLPDTGGQVVYVLDQVVALEEELLLRIKRQGLNAKPQILVVTRQIPDAKGTKCDQELEPILNTKHSHILRVPFRTEDGVLNPWVSRFDIYPYLERFTKDAACKIIEMMEGNPDLIIGNYTDGNLVASLMASKLGIPLGTIAHALEKTKYEDSDMRWKEFEPKYHFSCQFTADMIAMNSADFIITSTYQEIAGSKDRPGQYESHGSFTMPGLYRVVSGINVFDPKFNIAAPGADQSIYFPYTENQRRFISFRPSIDELLFSKVDNYEHIGYLEDKKKPILFTMARLDTVKNTTGLTEWYGRNKRLRNLVNLVVVGGSFEPSKSKDREEAGEIRKMHMLIEKYQLRGQIRWIAAQTDRQRNGEIYRAIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGEESSNKIADFFQKCTEDPDYWDRISAQGLARIYECYTWKIYANKVLNMGSIYSFWRILFKDQKQAKQRYLQAIYNLQFRNLAKNITSKSNDAPPEAPKPEPKQKPKAQSCCFM >itb07g03610.t1 pep chromosome:ASM357664v1:7:2420316:2422225:-1 gene:itb07g03610 transcript:itb07g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIPREIVAEFLSRVPAQPLLRLRCVSKSWREIIDSPDFIKLHLNRALQTNSDRKLILRSTFVYVADFDELEQTNTAVYTALDLPYKRSAFGTDILGSCHGLLCLDTTGDEDRMVLWNPTTHKYHRFPFPEFENLGESAICIWCLVFGFGYDKVSDDYKVLRIFQSHECQSEAKVYSLKLNSWRKIPCFPYCLKYKRANGVLVAGALHWAVCRTPQLYMENLIGAFDLGTEEYRLVPEPNYSEGALYVTVGVLGESLCVVCNYYDSSYVDIWVMKSYGVKESWTKLFTVTESDLSGKPLEFVTPLAFSKSGREVFTVVNGKQPLWYDIEQRKIRDSAFRIDSVPAIMVHQMCFGSLVRIDGGVGRVVDVTEGEGSGGSNGRNHKKRDEFLSEGFRLIL >itb08g00430.t1 pep chromosome:ASM357664v1:8:352815:353784:1 gene:itb08g00430 transcript:itb08g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSQSLHHPALPSRGGTSAGKHPMYRGIRSRGGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALRGSEAVLNFPAHAPRYPVPATSSPADIRNAATAGAAIFQPGTAASQPPAAAPGGEYIDEEAIFDMPNLLVDMAGGMMVSPPRMNPQSSDDSPGWNSDAETCLWSF >itb09g18540.t1 pep chromosome:ASM357664v1:9:14453167:14456657:1 gene:itb09g18540 transcript:itb09g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEATGGMRKGGYVSGFGSDTAHFFPEARIHRKSNIGSSTSHSSCEARIRQLEEQNQVMQQQQQDMHEENRRIRNIVQKMEATLAQFSANLGSLDQDPNKNSSTLPPSTSDDDTLAPSSQD >itb11g21730.t1 pep chromosome:ASM357664v1:11:23430886:23431750:-1 gene:itb11g21730 transcript:itb11g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAGEVYKDPSITTYFYKQAWVTVSQEYTVVQMLRCLIACVSASSDEHSCNDKGRLAETLRKCLKYQSYLIVIDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLKEVAEYASSVFEQIGRDIVKKCNGLRLAIVVIASLLSKTEETVEKWNNVAENRDFWGQRTIKIERKWPWNACKILLVEVLFLLANKATMGK >itb01g09450.t1 pep chromosome:ASM357664v1:1:7716276:7718145:-1 gene:itb01g09450 transcript:itb01g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKVAVLTGCSWIRQLDSECMTGDWKFSRESGEAREEERACGRRIPPGYYYHKGDGDNWVIFLEVGGWCLDAANCKSRAMGNIGSSKYMSPVHELGNLLSENRNENPDFYNWHKVYVAYCDGSSYTSDANEVDPTTNLTYKSAKIDFLFFNEGV >itb01g26520.t1 pep chromosome:ASM357664v1:1:31666737:31670023:-1 gene:itb01g26520 transcript:itb01g26520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEEGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQTLEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALVVYDISRRSTFDSIPRWLDELKTHSDTTVTRMLVGNKCDLENIREVSVEEGKSVAEAEGLFFMETSALDSTNVKQAFEVVIREIYSNVSRKVLNSDSYKAELSVNRVSIVNDGNDGVKQNKGYCCSR >itb01g30190.t1 pep chromosome:ASM357664v1:1:34264515:34268190:-1 gene:itb01g30190 transcript:itb01g30190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPISIGNLRNLTILQLHTNQLSGHIPQEIRLLTSLIDLKFESNNLIGQIPTSIGNLKNLRTLYLYKNGLSGSIPATIGNLSNLSGNIPKGVKNCTTLQRVRLEHNKLSGDISEDFGIYPNLTYIDLSSNNFHGQLSSNWGLCSKLEALKISKNRISGKIPPELANASHLEFLDLSFNQLVGNIPKSFSTLHSLGVLKLVGNKLSGNITLGIGESSLLTELDLAANRFIGFIPEGLRSCQKLTVLNFSQNMFDGRIPYDIGSLQFLQTLDLSQNMLTGKLPQQFGGLISLQSLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGVLPNNKAFENATFESLRNNKDLCGNIAALKPCSSGSPEKKTDQGHKTTTLVLKIVIPIGVIGVVAMVVVIWLIPLRRDPTEATDNFDSRYCIGVGGSGSVFRAELSNGEIFAVKKLNEPIQGDESRDFRSFSNEIRTLSEVRHRNTVRLYGFCSHVRHSFLVYEYLEGGSLAQVLSHDEKSIEFDWIKRVNVAKAVAKALSYMHQGSFPTIVHRDISSKNILFDHEYEAHISDFGTARLLNSNSSNWTSFAGTFGYTAPEFAYTMEVNEKCDVFSFGVVVLEVIMGRHPGELVSSISSSSLSENQNVFLKEVLDPRLSSPGKHEAEELVLIAKIAFAYLNFNPGSRPTMFQVSALLSKKMQPSNLFPHITLSQLFGLEFPTV >itb07g05810.t1 pep chromosome:ASM357664v1:7:4019017:4022724:1 gene:itb07g05810 transcript:itb07g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLSHLQKSLSLSAILVWAVLFPVFSDPGPARSIKTDAEALLGFKKMIQRDPTGALAGWELKNDPCSWNGVSCSAGRVSAVDLSQAGLIGDVSFYPFGSLDMLIALNVSGNNGLSVNASSSLRMLPSGLKQLELSFSGLAGPVPEDLFVKCPNLEYVNVGFNNITGSLPVNFLFRTGKLQHLDMSFNNLTGSISGMRIEVCDSLSFLDLSGNRFTDSIPAGFGNCTGLSEMILASNSFTGPIPREFGQLKSLLRLDISSNLLTGWIPPEFGNVCGSLLDLKLSHNNLTGAIPISFSACSWLQNLDLSNNNLTGPFPDSILRNLGSLETLLMSSNKISGAFPPSLSFCKKLRVIDFSSNNISGVIPPDLCPGAAALEELRAPDNFLYGQIPAQLSKCSQLRTIDFSLNYLNGSIPPELGNLGNLEQLIAWYNNLEGNIPAELGNCGRLKDLILNNNYLTGKIPPELFNCGNLEWISLTSNGISGEIPPQFGSLTRLSVLQLGNNSLTGEIPRELAKCTSLVWLDLSSNRLTGEIPPRLGRQQGAKPLSGMLSGNTMIFVRNVGNSCRGVGGLLEFSGIRPERLLQIPSLRSCDFTRLYSGPVLNLFTRYQTLEYLDLSYNEFRGKIPDEFGDMIALQVLVLGHNHLSGEIPSSLGGLKNLGVFDASHNRLQGHIPDSFSNLSFLVQIDLSNNELTGQIPQRGQLSTLPATQFANNPGLCGVPLSDCQYDYNSPAVTNPGGGKSSRRGTAASWANSIVLGILISVASVCILIVWAIAMRARRREAEDVKMLSSLNACHAATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFAAASMIGSGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEYMENGSLEEVLHSRPRTPGRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDQELDARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREAKSMEVIDPELLTVAKGQTDEAEAAEVKEMVRYLEITLQCVDDFPSKRPNMLQVVAMLRELIMPGSGSSSSSA >itb03g23510.t1 pep chromosome:ASM357664v1:3:21708975:21711430:-1 gene:itb03g23510 transcript:itb03g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQSNRRVQIEPDPVGKVRYDDDDDEDEGSMKLRPSGSNVTEDQELFMGVKVRRKASLHRDYLGDYLDVPSHPYLMKILEKQGDRKVLFADKVLKFTGSGKMKRRILLITDFAIYIVDPDTGALKRRIALAAVEKLCLSELSDNFVAIIIPTEYDVLIASTRKTEIVTVLVDATKSASNYELDVLLSNRFEYNAAADLVKEVQFEGVEGGVKTKFVRK >itb03g23510.t2 pep chromosome:ASM357664v1:3:21709130:21711415:-1 gene:itb03g23510 transcript:itb03g23510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQSNRRVQIEPDPVGKVRYDDDDDEDEGSMKLRPSGSNVTEDQELFMGVKVRRKASLHRDYLGDYLDVPSHPYLMKILEKQGDRKVLFADKVLKFTGSGKMKRRILLITDFAIYIVDPDTGALKRRIALAAVEKLCLSELSDNFVAIIIPTEYDVLIASTRKTEIVTVLVDATKSASNYELDVLLSNRFEYNAAADLVKEVQFEGVEGGVKTKFVRK >itb10g03060.t1 pep chromosome:ASM357664v1:10:2729922:2734003:-1 gene:itb10g03060 transcript:itb10g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKVKVEELTKVAGIYSSLLRGGRLLTKVAGNRGGRRRSIAARLLLAARRRRGGKRRRSTELLRRRSHTAVAAACYADLQPSSRKRKDGRAVHRHCLSATDAAPCRRRGRERGNEREKERETNLPLPNMPRLRRRNCPPPFLVAGVLSVREKERERERGCPPPFLVCSFRGTIRLSFQARRRFRLSFQARRLSEIDPSFVAIEVSLKFKYIFLGTDGDEYNYERSLGCVNRFLSS >itb01g21010.t1 pep chromosome:ASM357664v1:1:27175916:27176443:-1 gene:itb01g21010 transcript:itb01g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSLKISKNHHPHHHRQAEIKKPQLNSSIKVLKPKVYITHSSNFKTLVQQLTGNASPVSSPAIPPTPIAHNPRYQEPTLDELCMDNNNSSFHEIPVDIPCMEETFNMVYEFPERNNLEPWAFATDNLCSYDNSVGSCDDDVCGVPFISQQELGLSVFDYDICCSYDDYPIIQY >itb07g00370.t1 pep chromosome:ASM357664v1:7:256522:257434:-1 gene:itb07g00370 transcript:itb07g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHCSNYAVVLFVILVSLIADGRELRPSEHGLGNQDSSSGNTSEMHTFFGGSPESSELPEARNFTASLWNGVAGGGRSIGGSSRDGKKDDAIREALFVASMVCGLTGVVLVVASAFLLIFRLRKRKSETTSLSEANVAQK >itb02g16120.t1 pep chromosome:ASM357664v1:2:11889300:11892255:-1 gene:itb02g16120 transcript:itb02g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGIVSLIKVLFQNFDVLAGPVVSLVYPLYASIRAIETKNPVDDQQWLTYWVLYSMITLFELTFAKVLEWFPIWSYAKLIATCWLVIPYFSGAAYVYEHYVRPYIVKGEVVNIWYVPRKKDFFSKPDDILTAAEKYIEEHGTQAFEDIIHRAERESKYRNSNYAFYDDDYRY >itb05g17870.t1 pep chromosome:ASM357664v1:5:24746907:24748660:1 gene:itb05g17870 transcript:itb05g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGDDSDSDAPEEFTSLQGIEQDEEIRKVERENKARVVREAKERRRKWAEKLTPRPTLNDESIEDATESEQPLESKDTRGMLPDNIVQLLVAREKKVFSSDSEEEEPKKRASSKKKRPKKSGLEPVILKEIPPPQCLENSLDFLKKRKMQVSRSSAVLNNSSQALRLLSKTGLLSNK >itb09g26910.t1 pep chromosome:ASM357664v1:9:27471179:27472132:1 gene:itb09g26910 transcript:itb09g26910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFSRQNDDTDCCSTSSAVNKGHFVVYSADQKRFVVPLSYLENEIIRQFLSMSEEEFGLPSDGPITLPCDAVFMDYIISLLSRGLTRELENALLVSVTSHRCSSAPLHQEGWRSQDLLVY >itb07g04390.t1 pep chromosome:ASM357664v1:7:2949560:2954264:1 gene:itb07g04390 transcript:itb07g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGEEENDAVLSDVEADEPVEIDVKTPSPEDVSLEKFREVLAELDRERQARIAAENSKSQLEVSLNRLKVLAHEAIRKRDESSKQRDQALREKEETLVKVDKVSTELSGAVKERDEVLKQKEELQKEFEEVMKAKESKRVEVETAASMLVSGIDKISGKVSHFKNFTAGGLPRSQKYTGLPAVAYGVIKRTNDIVEEMLRQIDSTTKSRNEAREQMDQRNYQIAIEISQLEATMSGLREEISKKDALVEDLRKSLADKDKSFSEYEKEMLDKQNAMESELIGLRQMLSESEGKISSLELKLESLRSLLTDQLNYVSQIHKQVCNVIKVVDVTKSSELSDSLFLAREMDVEENMRASLAGLESIYDLSQIGFEKTRDLMEEKNRELKSLKELVSQLMQEKEQIGSLLRSALSRRASVDLSSKTNELFKIAENGLKEAGINYRFNNNLGEHKSPALDDKLQASDIEEDEVYALAGTLENIIKQSQLEIIELKHSLEEQRAESSLLKEHVDAQAKELSQWKQQVEELEEKERVAKENVEGLMLDIAAAEEEIRRWKVAAQQEAAAGKSVEQEYLAQLSAIRQELEEAKRSVIESEKKLKFKEETAAAAMAARDAAEKSLRLADSRATRLRERLEELTHQLEELDSRETSRPGLTRPRYICWPWQWLGIDFVGTNRPTETQRDGSNEMELSEPLI >itb03g21990.t1 pep chromosome:ASM357664v1:3:19915694:19918332:-1 gene:itb03g21990 transcript:itb03g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGLSSEVPALTETVVMSVLEAVVAETLLVAQRSAACLFIVTGCLLRNNGALPDLSRVEQRFPFEELHKKNEAEVENKDGSDTEDDDEDDDGDGGDDQDDDDAGDEDFSGDEGGEDDDEGDPEEDPTANGNEGSDDEDEDDDGDEDEDGDEEDEEEEDEEDEEDQPPAKKRK >itb04g16130.t1 pep chromosome:ASM357664v1:4:17431333:17435318:-1 gene:itb04g16130 transcript:itb04g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPDGEVMPWLDLYKKVKRLRLFEPSVGVLGFFLVTICVVFGFALLDSGSVSKGLRLSSQTQRILWLKFERFGGERKRFDFLSENGGSCDVFEGDWVWDESYPLYHSRDCRFLDEGFRCSENGRPDLFYTKWRWQPKDCDLPRFNAKAMLEKLRSKRLVFVGDSIGRNQWESLLCLLSSVVANKTSIYEVNGSPITKHKGYLAFKFVDYNCTIEYYRAPFLVLQSRPPAGAPGKIRTTLKLDQMDWSSAKWRDADVLIFNSGHWWNYEKTIRGGCYFQEGSELKMDMKVDVAYQKSLQTVVNWIQREVDPNRTLVFFRTYAPVHFRGGDWRTGGTCHMETLPELGSSLVPSHTWARYNIFFDMLSSSQSNTSDLKPLNVLNITHMTSRRKDGHSSLYYLGPEVGPAAINRQDCSHWCLPGVPDTWNELLYALFMKREASRMIQNTSTFHFQ >itb13g11150.t1 pep chromosome:ASM357664v1:13:16020092:16021168:1 gene:itb13g11150 transcript:itb13g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMPVNRVGDFGLAPGISGCFTLFQTVDFSTIFARASAPRNSWISCNMRFNAITLICILLFIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITFAGAMTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRSLFLTFLVPTNSFGRDILRCHDAPIPMAIPLILLALGSLFVGYLAKV >itb03g05650.t2 pep chromosome:ASM357664v1:3:4001478:4003801:1 gene:itb03g05650 transcript:itb03g05650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETEIGELEEEIRVLREQLGCAEGERNQALDELRELRQAVEEANYLKEMLYSTRQELNAKDRSTECLKSELDKAKQVVKMLREAMDNAEEAARDSVSDHRRRIQELEDEVEKRKFSEANVLVALEQNKVEMEVCKREMARLYEKIKSMEEENSRSCNGETAAKEEASLKIKHLTDEVSRLENELKMATEAEEKSRKAMDTLASALKEVAEEATEAKETVKHKLGATQIELDQVKKENAKLKERVAEIEDKQKKDLEEAKKEMEMHRNAAERVRLEAEETLLAWNGKEIGFVSCIKQAEEERDSAQREIVRLRDILKQAISEANAAKLAANTAINENSKLKDAITDKEDALHFLELEIERLKTNKVSRECQEKD >itb03g05650.t1 pep chromosome:ASM357664v1:3:4001478:4003801:1 gene:itb03g05650 transcript:itb03g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKSRSGKKWHPSILSRTKLCRGDSSAIDSDALVSLERKPSQSQNSPQNRSIRVLEMPETEIGELEEEIRVLREQLGCAEGERNQALDELRELRQAVEEANYLKEMLYSTRQELNAKDRSTECLKSELDKAKQVVKMLREAMDNAEEAARDSVSDHRRRIQELEDEVEKRKFSEANVLVALEQNKVEMEVCKREMARLYEKIKSMEEENSRSCNGETAAKEEASLKIKHLTDEVSRLENELKMATEAEEKSRKAMDTLASALKEVAEEATEAKETVKHKLGATQIELDQVKKENAKLKERVAEIEDKQKKDLEEAKKEMEMHRNAAERVRLEAEETLLAWNGKEIGFVSCIKQAEEERDSAQREIVRLRDILKQAISEANAAKLAANTAINENSKLKDAITDKEDALHFLELEIERLKTNKVSRECQEKD >itb15g13060.t1 pep chromosome:ASM357664v1:15:11005355:11006179:-1 gene:itb15g13060 transcript:itb15g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSIGAGATKGGKKKGSTFVIDCSKPVDDKIMDIASLEKFLQERIKVGGKPGALGDSVTVSRDKTKITVTADSNFSKRYLKYLTKKYLKKNNVRDWLRVISSNKDRSVYELRYFNIAENDAEDEE >itb03g18370.t2 pep chromosome:ASM357664v1:3:16677349:16679107:1 gene:itb03g18370 transcript:itb03g18370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRPSLPAEGTAAHTDGAHRNTLDIYPLNCYFFGSKDAVTFKDETLADRVGRMKLNYDTYGLRTCVQAVLLVELFKHPHLLLLQVRNSIYKLPGGRVRPGESDIDCLKRKLTSKLSMDGHGSWEVVECIGMWWKPDFETLVYPYLPPNIERPKECTKLFLVRLPASCKFIVPKNFNLLAIPLCQVHENRKVL >itb03g18370.t1 pep chromosome:ASM357664v1:3:16677349:16679425:1 gene:itb03g18370 transcript:itb03g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRPSLPAEGTAAHTDGAHRNTLDIYPLNCYFFGSKDAVTFKDETLADRVGRMKLNYDTYGLRTCVQAVLLVELFKHPHLLLLQVRNSIYKLPGGRVRPGESDIDCLKRKLTSKLSMDGHGSWEVVECIGMWWKPDFETLVYPYLPPNIERPKECTKLFLVRLPASCKFIVPKNFNLLAIPLCQVHENRKTYGPIISGVPQLLSKFSINVVEP >itb10g04170.t1 pep chromosome:ASM357664v1:10:3968866:3973256:1 gene:itb10g04170 transcript:itb10g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGWRPVELRACLRLRVLRDRGLMTDFPTPPSKARRARRSPLHSSSPTSIVALRVFSSPRLSLRPQPQSSRPHCLSPSCRPQTPSRRVANAYTFPPSRPRRRWPLSAQLTTLSQSVRRSTSGPPPSDCKGSSDRYVWCIARTCLTVYL >itb15g22390.t1 pep chromosome:ASM357664v1:15:25131244:25133985:1 gene:itb15g22390 transcript:itb15g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMAAIRRARVSCLTSSLKVLTSLHLSPYFRLSFRAFSTSFPAVPNEPFPRPQNQGHPDYKYSNAEGHNNNQMVKGPSPQNQGFAQHPNSGNVNQWINQNQGYPNPSSHRGGYVSSPQGGYRNQGYANQGGYQDQGYAHQSSPRGEYQNTGYSNQSFPQGGYQYQGNANQSIHPQYVGGNQWNNQTQSNMPPKGAPVVQEQWNHHQPQNVQPVGAYATNSLSQNQGNLVNDQSSGDNILSTADLLPLCQQGKVKDAIEFMEKGVVADMQCFHLLFESCGKSRKLEDAKAVHDYFLRSKCRSDLELNNKVIDMYSNCWSMMDARRVFDHMPERNIDTWHLMINGYALNGLGDDGLSLFEQMRTLGIQPNGQTFLAVFEACASADAIDEAFIHFESMKNDYGIAPQIEHYLGLLGVLAKCGHLTEAEEFIEKLPFEPTVAVWEALMNFARIHGDIDLEDRAEQLWRASQVPLEDNVPSKITPPPPKKQPINMLEGKSRLAEFRSPTLYKDEEKMRAAMKEQAYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >itb12g28190.t2 pep chromosome:ASM357664v1:12:28212915:28215881:-1 gene:itb12g28190 transcript:itb12g28190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPHIGNTGVNFDDEESRQCFLAGLVIKSLSLSTSNWRCTETLGDYLAARNIMGIYDVDTRAITRRLREDGSLIGVLSTEQQKTDEELLELSRTWDIVGVDLISGVSCKAPYEWVDSTKSAWEFNSNGRSQESFNVVAYDFGIKHNILRRLASYGCKISVVPSTWPASETLNMNPDGVVFSNGPGDPSAVPYAVETVKEIIGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVCNLRNGSVEISAQNHNYAVDPESLPEGVEVTHVNLNDGSCAGLAFPGQKLMSLQYHPEASPGPHDSDLVFAEFIQLMKHNRG >itb12g28190.t1 pep chromosome:ASM357664v1:12:28212915:28216428:-1 gene:itb12g28190 transcript:itb12g28190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALKTQYSFLVATSPISHKYSPKLRGGFIVKCSSPPPSVAPPSGLVQRPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIKSLSLSTSNWRCTETLGDYLAARNIMGIYDVDTRAITRRLREDGSLIGVLSTEQQKTDEELLELSRTWDIVGVDLISGVSCKAPYEWVDSTKSAWEFNSNGRSQESFNVVAYDFGIKHNILRRLASYGCKISVVPSTWPASETLNMNPDGVVFSNGPGDPSAVPYAVETVKEIIGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVCNLRNGSVEISAQNHNYAVDPESLPEGVEVTHVNLNDGSCAGLAFPGQKLMSLQYHPEASPGPHDSDLVFAEFIQLMKHNRG >itb09g11390.t1 pep chromosome:ASM357664v1:9:7098465:7103421:1 gene:itb09g11390 transcript:itb09g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYTNVQPSIRGRNVYIQFSSHQELTTMDQNTQSRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQANQSAVSARNALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPSEQKGKSSQHGYGDAGGMYSLQAPVGFPQMGNAAAIAAAFPGGLPPGISGTNDRCTILVSNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGGMLFGKKLEVNFSKHPNITTGPDTHDYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVSESEIVTHLEEHGTIVNSKLFEMNGKKQALVLFENEEQATEALVCKNASSLGGSTIRISFSQLQNI >itb09g11390.t2 pep chromosome:ASM357664v1:9:7098465:7103421:1 gene:itb09g11390 transcript:itb09g11390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVNALQFYTNVQPSIRGRNVYIQFSSHQELTTMDQNTQSRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQANQSAVSARNALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPSEQKGKSSQHGYGDAGGMYSLQAPVGFPQMGNAAAIAAAFPGGLPPGISGTNDRCTILVSNLNPDRIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGGMLFGKKLEVNFSKHPNITTGPDTHDYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVSESEIVTHLEEHGTIVNSKLFEMNGKKQALVLFENEEQATEALVCKNASSLGGSTIRISFSQLQNI >itb05g03760.t1 pep chromosome:ASM357664v1:5:3201700:3206466:-1 gene:itb05g03760 transcript:itb05g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCNERVVKWLNNDAKPYLGVVFLQVTSAGSAILSKAALNQGMNPFTFSFYRNAIAAVIFAPFALFLERQLNLNLYYVGMRYTTATISVTMLNLIPAMTFLLAWIFRLEKVKIKSLHSQAKIVGTASAIGGAMIMTLVKGPIILLPWTKHSNHVVFHSAQHVMNQHSDPIKATLLLAGSCISTAIFIILQGLVRSGAVYYIYGIIMKVKGPVFVTAFNPLSMVLVAIIGSFVLSEKLYMGRVLGAVIVLVGLYLVIWGKSNDQTSQDNNDQNHALPIDHKQPQVVQGVSKDITVSLN >itb07g05340.t1 pep chromosome:ASM357664v1:7:3649889:3651963:-1 gene:itb07g05340 transcript:itb07g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRRSVFHIPFHSFADRTCFRERKREKAKKKQTEMGAETWSLTAKLCDSCKRSSATVFCRADTAFLCAACDSKIHAANKLASRHARVLVCEVCEQAPASFTCKADAAALCVTCDRDIHSANPLARRHERLPVVPFYDSPGDAAKSHGSPSVSSGDHYPEAAEEEAEAASWLLPTPNNAKGVDLEGPEYKTADYLFGDMDSYLDLEMIPGGADQKPHLQNPRQHYNSDGVVPVMNKTETTHVPGPVVDGFPTYEIDFTAGSKPPFMYNFATQTISHSVSSSSMDVGVVPDHSAMGDVSNPAFGRSTGTGEGVPNPLSGVDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRADLEVDSLLAADASYGVVPSY >itb07g05340.t2 pep chromosome:ASM357664v1:7:3650279:3653119:-1 gene:itb07g05340 transcript:itb07g05340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSWRLLNLIVPFYDSPGDAAKSHGSPSVSSGDHYPEAAEEEAEAASWLLPTPNNAKGVDLEGPEYKTADYLFGDMDSYLDLEMIPGGADQKPHLQNPRQHYNSDGVVPVMNKTETTHVPGPVSSSSMDVGVVPDHSAMGDVSNPAFGRSTGTGEGVPNPLSGVDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRADLEVDSLLAADASYGVVPSY >itb04g32860.t1 pep chromosome:ASM357664v1:4:35255352:35259832:1 gene:itb04g32860 transcript:itb04g32860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLITSFTFITLLYKSLAFKLVPTWGSEFRLISFRLWKDLSLLALIRKNTRVLDLIPLRMLPLRSKPSGCSHVETVSQMSVLDLPDLALECILEKVPPEGLCHLASVCSSLRERCMSDHLWERHLKQKWGGIIGPAAYREWQWHIALRKDSRLFNQAKQKGLVSYLSRLWPVSVIVGPNLGAGDKGNNLPPIDSVMYWYLALESGKFWFPAQVYNRENGHVGFMLSCYDAELSYDPRTDTFHARYPPHGRMAVAIETGVTWDRLRAPLVDTPAHDLHISDCLYDLRPGDHIEIQWRRNKKFPYGWWYGVVGHLETCDGNQNYCRCHECDTVVLEFNQYSPGSRWRRTSVRRKDHREEGNETDGFYGGIQKVESREVISTWKRLWPAEVLE >itb03g14010.t2 pep chromosome:ASM357664v1:3:13910525:13913845:-1 gene:itb03g14010 transcript:itb03g14010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEPIEETNYKKPPGIQFLEGTKKTAFSYKTYQAIVLIVTFFAYGAYHATRKTTSIVKSALDPQSTEVELKSSWLRGYAQNSRQNLGGSWVLGSGWYPFDGPNGTELLGELDVAFLFVYALGMYFSGHIGDRMDLRVFLTVGMVGTGLFTALFGVGYWANIHVFYYYLIVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNSHTSLGNITGSLIASGLLKFGWGWSLVVPGLSIAFIGLVVFLLLPVDPESVGAGNDEEDDDLSPEKEWKEVTEPLLKSDSEESAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTGISTVIETILHCNRVSSTQKKKKQLLKFCFVLSSTKKKHFRKS >itb03g14010.t1 pep chromosome:ASM357664v1:3:13910525:13913845:-1 gene:itb03g14010 transcript:itb03g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEPIEETNYKKPPGIQFLEGTKKTAFSYKTYQAIVLIVTFFAYGAYHATRKTTSIVKSALDPQSTEVELKSSWLRGYAQNSRQNLGGSWVLGSGWYPFDGPNGTELLGELDVAFLFVYALGMYFSGHIGDRMDLRVFLTVGMVGTGLFTALFGVGYWANIHVFYYYLIVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNSHTSLGNITGSLIASGLLKFGWGWSLVVPGLSIAFIGLVVFLLLPVDPESVGAGNDEEDDDLSPEKEWKEVTEPLLKSDSEESAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAIDGRYLSNEESGNLSTMFDVGGVVGGILAGYISDRLDARAMTAASFMYCAIPALFFYRNYGHVSMPVNIILMLITGAFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISAKSWGAVFTMLMGAALIAGLLLTRLVISEVATKIQKSKSQGEPRSQSPVNLV >itb03g05260.t1 pep chromosome:ASM357664v1:3:3594106:3604440:1 gene:itb03g05260 transcript:itb03g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNTGGGRSAAGGGRDETPRGKVAPWDSDEIIKELKMEVPDELRCRRSDGRKWRCSGFRIADKNLCQKHFLQPYIRRLNLERDNNTVLSPPTAATPPRASKIGSATRQSSGLAASKVRRSVLSVKRPRGKRAEESASDDVIPKKKRRIKGNVEGETGKKPVRNKKKIESDGEEEANFDKIPMTTKGQRTKRYGVGVERKGEGDERVENADDEMEESEDEKVCKFYMNRKETHKKEWQGQRIKIERKQSDNEELEETEGSESKRDSSEEEEENEGSESKRVDSEEEEENEGSKSERVSSEEEEENVGSKTGEKLEQETKEDDGDTGESDPGNLKSVKTARRGNKDGKKELRLGKGKEGKNTVTSGSSEDTESDELIKSAKKGVTAVPLTIGKMFQEKEGVKMNLDVKEEKKDVIYQRKKKKNLYGLAHESDSEKGKDSAAKMGDASGSKKSQFLDKEFPKVNFENSRKGFASLEKSAKTGSRTESLLKTKEKKLQENKGLRIKSGEDKGDDYDDRDGYGNFSLNEKRAKVVKNGIGSGKYNSQGKKDDFAKRKLVKLEKEGGSDANSDSDKQFAAKIVRHGAKGVPKDNLLKRNKKLQEKTKFEDGEGWKDDGNYSEDEEGQGNGAAGRKRPRLEGVKEMAQNGENADFLDDSGKKGGVGSVKSSGKSVGAGYMVNRKNEKLPKNKPIKAEKAQSGNVANYNNEEDSGSSFRPEKRMKEDGRIDLFQKRRNDSKSSTSMSEGHKECGFLNESTGKGNLKKERLGGVKSKVDLRRKHFSKDDPYDDCQMCHQCMRSDRKVVRCRNECLKRYCIPCITRWYPQLTEESIAENCPFCRGNCNCKDCLRRPTTYKDRKYVGVPEDNNEKRSRLKYLVNVLYPFIRKFDHDQMDEKKIEAKIQGVSLSELKISQEHCYEDERIYCDNCSTSIVDLHRNCPICSYDLCLSCCREIREGCLQGGDKEVMVPYVDSEKPYLHGDISHSQVKGSSELCNDSAAKDQASKLPKWKARENGEIPCPPKERGGCGHDRLELKHFFAENWASDTMKRVENLVEINAFSNETQVPKEQCPCFRFDTDHGAKNVRKSASREDSNDNYLYCPSASDIQHGDLEHFQRHWIMGEPVIVTDVLETTSGLSWEPMVMWRAFRNISIKEGTSDLEVTAIDCLDWCEVGINIHKFFTGYAEGRKHDTQWPEMLKLKDWPPSTLFEERLPRHGVEFIRALPYKEYTHPHSGILNVATKLPDGALKPDLGPKTYIAYGFAEELGHGDSVTKLHCDMSDAVNVLMHTTEVPLKEYQLSKIEELKKKNDAKDLNELFTVGGDEQGVENPAQVPAHNFKSESSKNGPTDEVSNAMVNASEKDSVQDGVPSDVRNEADDTADALGVKADNTAELLMDNKSVQDEKECQSNVNDVILSDMNVQQAGELSSDQNISSEEKPNGLDVSDGGAVWDIFRRQDVNKLEEYLRKHHKEFRHTHCKPVEQVVHPIHDQVFYLSSYHMKKLKEEFGVEPWTFVQKVGEAVLIPAGCPHQVRNIKSCIKVALDFVSPENVGECIRLTEESRHLPQKHIAKEDKLEVRKMTIHAIKNAVAELERLEMDCKDEVQQSDADSTPPHD >itb11g00290.t2 pep chromosome:ASM357664v1:11:127856:132271:1 gene:itb11g00290 transcript:itb11g00290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYPNPPPGQEPYCYYTKTGLPGMYSPSPGTTVNHTASGDPNFQGGGFAPDDQNKKVRKPYTISKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHVPPPRPKRKAAHPYPQKAPKNGLLQPSPVEPGFVTSPDSLSVPAHAIGSRVLSPWSCNNVPAFSVSHVTKDDARFTSTTVTQNCNSSSNESINGSRPNNETSENGKNKHNKQIRAVPDFAQVYKFIGSIFDPNSSDHLQRLNQMDPINVETALILMKNLCANLVSPEFEGFRRLLSSYGTVSEDENKAGAPLKNLGEVGT >itb11g00290.t3 pep chromosome:ASM357664v1:11:127856:132271:1 gene:itb11g00290 transcript:itb11g00290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYPNPPPGQEPYCYYTKTGLPGMYSPSPGTTVNHTASGDPNFQGGGFAPDDQNKKVRKPYTISKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHVPPPRPKRKAAHPYPQKAPKNGLLQPSPVEPGFVTSPDSLSVPAHAIGSRVLSPWSCNNVPAFSVSHVTKGNVGCDYLFCWVNRSVSFLKEQLRFITMELIISASSLDDARFTSTTVTQNCNSSSNESINGSRPNNETSENGKNKHNKQIRAVPDFAQVYKFIGSIFDPNSSDHLQRLNQMDPINVETALILMKNLCANLVSPEFEGFRRLLSSYGTVSEDENKAGAPLKNLGEVGT >itb11g00290.t1 pep chromosome:ASM357664v1:11:127856:132271:1 gene:itb11g00290 transcript:itb11g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYPNPPPGQEPYCYYTKTGLPGMYSPSPGTTVNHTASGDPNFQGGGFAPDDQNKKVRKPYTISKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGANEHVPPPRPKRKAAHPYPQKAPKNVEPGFVTSPDSLSVPAHAIGSRVLSPWSCNNVPAFSVSHVTKDDARFTSTTVTQNCNSSSNESINGSRPNNETSENGKNKHNKQIRAVPDFAQVYKFIGSIFDPNSSDHLQRLNQMDPINVETALILMKNLCANLVSPEFEGFRRLLSSYGTVSEDENKAGAPLKNLGEVGT >itb15g20480.t2 pep chromosome:ASM357664v1:15:23143763:23148142:-1 gene:itb15g20480 transcript:itb15g20480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQGSTLSTNVAGLVEGSSARREFYYLDSLPIYAKEFIAGGTAGAFAKTAVAPLERVKILLQTRTEGYRSLGVSQSLKKLLQQEGFVGFYKGNGASVLRIVPYAALHFMTYERYRSWILDNYSPLGTGPIVDLLAGSVAGGTAVLCTYPLDLARTKLAYQVVDRTPESSIRCSRPQPAYNGIKHVFQAVYREGGARALYRGVGPTLVGILPYAGLKFYIYEELKTHVPEEHQKSIMMRLSCGALAGLFGQTFTYPLDVVRRQMQVENLQQGNARYRSTLDGLSVIVREQGCRQLFAGLSINYIKIVPSVAIGFTAYDLMKAWLGIPPRQKEKTVSSAT >itb15g20480.t1 pep chromosome:ASM357664v1:15:23143743:23148142:-1 gene:itb15g20480 transcript:itb15g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQGSTLSTNVAGLVEGSSARREFYYLDSLPIYAKEFIAGGTAGAFAKTAVAPLERVKILLQTRTEGYRSLGVSQSLKKLLQQEGFVGFYKGNGASVLRIVPYAALHFMTYERYRSWILDNYSPLGTGPIVDLLAGSVAGGTAVLCTYPLDLARTKLAYQVVDRTPESSIRCSRPQPAYNGIKHVFQAVYREGGARALYRGVGPTLVGILPYAGLKFYIYEELKTHVPEEHQKSIMMRLSCGALAGLFGQTFTYPLDVVRRQMQVENLQQGNARYRSTLDGLSVIVREQGCRQLFAGLSINYIKIVPSVAIGFTAYDLMKAWLGIPPRQKEKTVSSAT >itb15g20480.t3 pep chromosome:ASM357664v1:15:23143743:23146680:-1 gene:itb15g20480 transcript:itb15g20480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRGNGASVLRIVPYAALHFMTYERYRSWILDNYSPLGTGPIVDLLAGSVAGGTAVLCTYPLDLARTKLAYQVVDRTPESSIRCSRPQPAYNGIKHVFQAVYREGGARALYRGVGPTLVGILPYAGLKFYIYEELKTHVPEEHQKSIMMRLSCGALAGLFGQTFTYPLDVVRRQMQVENLQQGNARYRSTLDGLSVIVREQGCRQLFAGLSINYIKIVPSVAIGFTAYDLMKAWLGIPPRQKEKTVSSAT >itb12g09390.t2 pep chromosome:ASM357664v1:12:7376571:7379585:1 gene:itb12g09390 transcript:itb12g09390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSFLTLVMILVICNQRSCRYIAYLGAYESEEAAARTYDLAALKYWGPVTTLNFPIETYSKELEEMEKLSREEFLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVSGNKYLYLGTFSTQEEAATAYDMAAIEYRGSNAVTNFDISVYADKLKEIRERNEREQQGNDESSCEVQSNAQEEHKEESQKWNEEEKYFVEEIVPMLEDARSNSEGRMEHPKIPKLEFAPSPSSPMITAIDPLEEPESFWNSPCMDAGHDSFPVHDLHLDEKPSYLLDFFNDSSIGNSLDFLFEEPSSGNEFGCSDICGSTTLISDEFEAAMVNEGHNAAFTAPSPSSNSTTLSVYAEISAASKLLNC >itb12g09390.t1 pep chromosome:ASM357664v1:12:7376571:7379701:1 gene:itb12g09390 transcript:itb12g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSFLTLVMILVICNQRSCRYIAYLGAYESEEAAARTYDLAALKYWGPVTTLNFPIETYSKELEEMEKLSREEFLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVSGNKYLYLGTFSTQEEAATAYDMAAIEYRGSNAVTNFDISVYADKLKEIRERNEREQQGNDESSCEVQSNAQEEHKEESQKWNEEEKYFVEEIVPMLEDARSNSEGRMEHPKIPKLEFAPSPSSPMITAIDPLEEPESFWNSPCMDAGHDSFPVHDLHLDEKPSYLLDFFNDSSIGNSLDFLFEEPSSGNEFGCSDICGSTTLISDEFEAAMVNEGHNAAFTAPSPSSNSTTLSVYAEISAASKLLNC >itb12g25870.t1 pep chromosome:ASM357664v1:12:26989637:26991358:-1 gene:itb12g25870 transcript:itb12g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLNKIKFSSPIQRSEIPSFNTCVCPLPKQLERVMAPKQQNTGLFVGLNRGHVVTKKELAPRPSNRKGKTSKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAGGGGEKKK >itb04g25130.t1 pep chromosome:ASM357664v1:4:29786624:29790861:1 gene:itb04g25130 transcript:itb04g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYVAQTKYVLQNVEQDEKVKLLNGHSERLAMSYVLLVTNDTTPICIMKNLRVCSDCHTFIKLASELLEREIIVRDAKRFHHFRNGPSSPAPAIAPAPTLRIIHRCHRKIIHHPVHLRWVHPLMNLSIIDGNAKLLGNDSNSATLISGMLLHLNVAVQNLVAQAPAAMVHPHQPLPAPVHFHLAAFFRRRHGGPHVPHRPNLPHRPFN >itb04g24900.t2 pep chromosome:ASM357664v1:4:29619724:29622747:-1 gene:itb04g24900 transcript:itb04g24900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVTDIESSEKQDIPNKKAVPILSHYCSIITSLEFSPDGRFIISADRDFKIRVTLFPQNPLNGAHEIQSFCLGHTEFVTCLAFVCNPDCPQGFLVSGGGDSTIRLWDYACGSLLDTCHVGSQAGVAESNGGEEDIIPAVTDLCTTPDGSLVAVAIQSLPGVMLLSCDLSTKSLSVFKVTRLPLMAINHLCCSLNFYCHFAPFPKTRIVSFFFCRWCALMGKLSFLRAWERELPQQNFYGWSWASPV >itb04g24900.t3 pep chromosome:ASM357664v1:4:29619723:29622760:-1 gene:itb04g24900 transcript:itb04g24900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNMDDSEQNRETEAAPALIAVHPTQESVAVAVGSDLRVFNLRGNSVSLLDESGGNKHKDSIRAIRYGAEGKLFVSAGDDKLVKIWVTDSWRCTHSVLSEKRVSAVAISKNGRFVCFADKFGMVYVTDIESSEKQDIPNKKAVPILSHYCSIITSLEFSPDGRFIISADRDFKIRVTLFPQNPLNGAHEIQSFCLGHTEFVTCLAFVCNPDCPQGFLVSGGGDSTIRLWDYACGSLLDTCHVGSQAGVAESNGGEEDIIPAVTDLCTTPDGSLVAVAIQSLPGVMLLSCDLSTKSLSVFKVTRLPLMAINHLCCSLNFYCHFAPFPKTRIVSFFFCRWCALMGKLSFLRAWERELPQQNFYGWSWASPV >itb04g24900.t1 pep chromosome:ASM357664v1:4:29619723:29622760:-1 gene:itb04g24900 transcript:itb04g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNMDDSEQNRETEAAPALIAVHPTQESVAVAVGSDLRVFNLRGNSVSLLDESGGNKHKDSIRAIRYGAEGKLFVSAGDDKLVKIWVTDSWRCTHSVLSEKRVSAVAISKNGRFVCFADKFGMVYVTDIESSEKQDIPNKKAVPILSHYCSIITSLEFSPDGRFIISADRDFKIRVTLFPQNPLNGAHEIQSFCLGHTEFVTCLAFVCNPDCPQGFLVSGGGDSTIRLWDYACGSLLDTCHVGSQAGVAESNGGEEDIIPAVTDLCTTPDGSLVAVAIQSLPGVMLLSCDLSTKSLSVFKVVRIDGETFIPTSLGAGATSAEFLWLVMGVSSLNASESGSLARVRVLSGFGKTDQEPSFLEDKDVPGGEATLEKLQGDLCIKTEVFLTAAEAVKTAMRNLLIKKQYSAERREFRKRGRNDKKK >itb03g10580.t2 pep chromosome:ASM357664v1:3:8394115:8422531:-1 gene:itb03g10580 transcript:itb03g10580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MSMAAAAQSIRHPVAATGAGSLDALYKVLSDLCTRGNPKDGAALALRRLVEEESRDLTGEAFSRFMDHLYERITNLLESNEVAENLGALRAIDELIDVTIGENASKVAKFSNYMRTVFEVKRDPEILVLASQVLGHLARAGGAMTADEVECQVKNALQWLSGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPIHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILHVLKIPAERASGFIALGEMAGALDGELINYLPTITSHLRDAIAPRRGRPSVEALACVGNIAKAMGPAMEPHVHSLLDAMFSSGLSLTLVEALDQISISIPTLLPTIQDRLLECISTILSRSHQSRSSVTSSRGHIANATQQVPELSGSALVQLALQTLARFNFKGHDLLEFAKESVVLYLEDEDGGTRKDAALCCCKLVSNSFSGISCTQFSSSRINRTGGRRRRLVEEIVEKLLIAAVADADVTVRHSIFSSLYGNGGFDDYLAQADSLTAIFAALNDEDFEVREYAIFLVGRLSEKNPAYVLPALRRHLIQLLTYLEQSADNKCKEESAKLLGCLIRSCERLVLPYIAPIHKALVAKLREGTGVNANNGIISGVLVTVGDLSRVGGFAMRQYIPELMPLIVDALLDGAAATKREVAVATLGQVVQSTGYVIAPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHVHKRNQQSLPGSHGEVTRTTNDTGPHIRSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLQKVLPDLFHIVRACEDGLKEFITWKLGTLVSIVRQHVRKYLPEMLSLISELWLSFCSPAASRPVHISAILHLVEQLCLALNDEFRKFLPEILPSCIQFLSDAERFNEYEYIPNILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEVRRGAIKTLMRLIPRVQVIGHISALVHHLKLVLDGNKEELRRDAVDALCCLAHALGEDFTIFIPSIHKLLVKHRLQHKEFEEIEGRLKRREPLILGSTASQKLSRRLPVEVISDPLSDGESDHYEDGMDVHRQLKSHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNEASQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSNRRDTNPVAVVEALIHINNQLHQHEAAVGILTYAQQNLGVQLKESWYEKLQRWDDALKAYTAKASQASSPHLALDATLGRMRCLAALARWEELNNLCKEYWTPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRVLGNTTASGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIEYCTLPVGNPVSEGKRALIRNMWNERIKGTKRNVEVWQTLLAVRALVLPPTEDSETWIKFANLCRKSSRISQARSTLVKLLQFDPETSPETMRYHGPPQVMLAYLKYQYSLGEDQKRKEAFNRLQELASDLSRTLQPAMQPGLVTNGSAPLISRVYLILGTWKWALSPGLDEDSIQEILSAFRKATHCAPKWGKAWHKWALFNTAVMSHYTLRGFPSLAAQFVVAAVTGYFHSIACAAHAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHELLEEGAMGNNTTIKEKAFIQAYRHELLEAYECCMKYRRTGNDAGLTQAWDLYYHVFRRIDKQLQALTTLDLQSVSPELLECRNLELAVPGTYRADSPVVTINKFEPQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYDVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKITLNQEHKLMLGFAPDYDHLPLLSKVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMPTLMSTHAPAAVNSEESASKELLQPQRGVREKELLQAVNQLGDANEVLNERAVAVMARMSNKLTGRDFSTSPAPMSSAQHALDHSALISGETREPDHGLSVKLQVQKLILQASSHENLCQNYVGWCPFW >itb03g10580.t1 pep chromosome:ASM357664v1:3:8394084:8422538:-1 gene:itb03g10580 transcript:itb03g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MSMAAAAQSIRHPVAATGAGSLDALYKVLSDLCTRGNPKDGAALALRRLVEEESRDLTGEAFSRFMDHLYERITNLLESNEVAENLGALRAIDELIDVTIGENASKVAKFSNYMRTVFEVKRDPEILVLASQVLGHLARAGGAMTADEVECQVKNALQWLSGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPIHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILHVLKIPAERASGFIALGEMAGALDGELINYLPTITSHLRDAIAPRRGRPSVEALACVGNIAKAMGPAMEPHVHSLLDAMFSSGLSLTLVEALDQISISIPTLLPTIQDRLLECISTILSRSHQSRSSVTSSRGHIANATQQVPELSGSALVQLALQTLARFNFKGHDLLEFAKESVVLYLEDEDGGTRKDAALCCCKLVSNSFSGISCTQFSSSRINRTGGRRRRLVEEIVEKLLIAAVADADVTVRHSIFSSLYGNGGFDDYLAQADSLTAIFAALNDEDFEVREYAIFLVGRLSEKNPAYVLPALRRHLIQLLTYLEQSADNKCKEESAKLLGCLIRSCERLVLPYIAPIHKALVAKLREGTGVNANNGIISGVLVTVGDLSRVGGFAMRQYIPELMPLIVDALLDGAAATKREVAVATLGQVVQSTGYVIAPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHVHKRNQQSLPGSHGEVTRTTNDTGPHIRSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLQKVLPDLFHIVRACEDGLKEFITWKLGTLVSIVRQHVRKYLPEMLSLISELWLSFCSPAASRPVHISAILHLVEQLCLALNDEFRKFLPEILPSCIQFLSDAERFNEYEYIPNILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEVRRGAIKTLMRLIPRVQVIGHISALVHHLKLVLDGNKEELRRDAVDALCCLAHALGEDFTIFIPSIHKLLVKHRLQHKEFEEIEGRLKRREPLILGSTASQKLSRRLPVEVISDPLSDGESDHYEDGMDVHRQLKSHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNEASQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSNRRDTNPVAVVEALIHINNQLHQHEAAVGILTYAQQNLGVQLKESWYEKLQRWDDALKAYTAKASQASSPHLALDATLGRMRCLAALARWEELNNLCKEYWTPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRVLGNTTASGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIEYCTLPVGNPVSEGKRALIRNMWNERIKGTKRNVEVWQTLLAVRALVLPPTEDSETWIKFANLCRKSSRISQARSTLVKLLQFDPETSPETMRYHGPPQVMLAYLKYQYSLGEDQKRKEAFNRLQELASDLSRTLQPAMQPGLVTNGSAPLISRVYLILGTWKWALSPGLDEDSIQEILSAFRKATHCAPKWGKAWHKWALFNTAVMSHYTLRGFPSLAAQFVVAAVTGYFHSIACAAHAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHELLEEGAMGNNTTIKEKAFIQAYRHELLEAYECCMKYRRTGNDAGLTQAWDLYYHVFRRIDKQLQALTTLDLQSVSPELLECRNLELAVPGTYRADSPVVTINKFEPQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYDVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKITLNQEHKLMLGFAPDYDHLPLLSKVEVFEYALENTEGNDLSRVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMPTLMSTHAPAAVNSEESASKELLQPQRGVREKELLQAVNQLGDANEVLNERAVAVMARMSNKLTGRDFSTSPAPMSSAQHALDHSALISGETREPDHGLSVKLQVQKLILQASSHENLCQNYVGWCPFW >itb03g10580.t3 pep chromosome:ASM357664v1:3:8399971:8422536:-1 gene:itb03g10580 transcript:itb03g10580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MSMAAAAQSIRHPVAATGAGSLDALYKVLSDLCTRGNPKDGAALALRRLVEEESRDLTGEAFSRFMDHLYERITNLLESNEVAENLGALRAIDELIDVTIGENASKVAKFSNYMRTVFEVKRDPEILVLASQVLGHLARAGGAMTADEVECQVKNALQWLSGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPIHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILHVLKIPAERASGFIALGEMAGALDGELINYLPTITSHLRDAIAPRRGRPSVEALACVGNIAKAMGPAMEPHVHSLLDAMFSSGLSLTLVEALDQISISIPTLLPTIQDRLLECISTILSRSHQSRSSVTSSRGHIANATQQVPELSGSALVQLALQTLARFNFKGHDLLEFAKESVVLYLEDEDGGTRKDAALCCCKLVSNSFSGISCTQFSSSRINRTGGRRRRLVEEIVEKLLIAAVADADVTVRHSIFSSLYGNGGFDDYLAQADSLTAIFAALNDEDFEVREYAIFLVGRLSEKNPAYVLPALRRHLIQLLTYLEQSADNKCKEESAKLLGCLIRSCERLVLPYIAPIHKALVAKLREGTGVNANNGIISGVLVTVGDLSRVGGFAMRQYIPELMPLIVDALLDGAAATKREVAVATLGQVVQSTGYVIAPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHVHKRNQQSLPGSHGEVTRTTNDTGPHIRSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLQKVLPDLFHIVRACEDGLKEFITWKLGTLVSIVRQHVRKYLPEMLSLISELWLSFCSPAASRPVHISAILHLVEQLCLALNDEFRKFLPEILPSCIQFLSDAERFNEYEYIPNILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEVRRGAIKTLMRLIPRVQVIGHISALVHHLKLVLDGNKEELRRDAVDALCCLAHALGEDFTIFIPSIHKLLVKHRLQHKEFEEIEGRLKRREPLILGSTASQKLSRRLPVEVISDPLSDGESDHYEDGMDVHRQLKSHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNEASQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSNRRDTNPVAVVEALIHINNQLHQHEAAVGILTYAQQNLGVQLKESWYEKLQRWDDALKAYTAKASQASSPHLALDATLGRMRCLAALARWEELNNLCKEYWTPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRVLGNTTASGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIEYCTLPVGNPVSEGKRALIRNMWNERIKGTKRNVEVWQTLLAVRALVLPPTEDSETWIKFANLCRKSSRISQARSTLVKLLQFDPETSPETMRYHGPPQVMLAYLKYQYSLGEDQKRKEAFNRLQELASDLSRTLQPAMQPGLVTNGSAPLISRVYLILGTWKWALSPGLDEDSIQEILSAFRKATHCAPKWGKAWHKWALFNTAVMSHYTLRGFPSLAAQFVVAAVTGYFHSIACAAHAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQVKP >itb11g08280.t4 pep chromosome:ASM357664v1:11:5412391:5415443:-1 gene:itb11g08280 transcript:itb11g08280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFEYAYFTSGPETIRIKIPMTSNSFEPEVELVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDVDDEGIGEVIDEVIDDEHQYFDLVHMFDPTKFDGIPFENIEQLENLSCGCMVFPSVIRAEDRIYLLSERDFFGYPFDVIFQYFDPNKNLFETLPPPPVDRKFDSHLLGVRCSFSLRGYIYVLITDTRTFLQTSKFNTTNSKWEDCKSMVDKFKEKNVPFPLFHAGDMGISDELVDNTWILVALNGGVLPIAYNVNFSDEGDIQPISHRVLAELYTSDFDMHGLGSDREQLADMGGGRFCVMYCALEEDFLIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPFSLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb11g08280.t1 pep chromosome:ASM357664v1:11:5412378:5415447:-1 gene:itb11g08280 transcript:itb11g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFEYAYFTSGPETIRIKIPMTSNSFEPEVELVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDVDDEGIGEVIDEVIDDEHQYFDLVHMFDPTKFDGIPFENIEQLENLSCGCMVFPSVIRAEDRIYLLSERDFFGYPFDVIFQYFDPNKNLFETLPPPPVDRKFDSHLLGVRCSFSLRGYIYVLITDTRTFLQTSKFNTTNSKWEDCKSMVDKFKEKNVPFPLFHAGDMGISDELVDNTWILVALNGGVLPIAYNVNFSDEGDIQPISHRVLAELYTSDFDMHGLGSDREQLADMGGGRFCVMYCALEEDFLIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPFSLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb11g08280.t2 pep chromosome:ASM357664v1:11:5412403:5415442:-1 gene:itb11g08280 transcript:itb11g08280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFEYAYFTSGPETIRIKIPMTSNSFEPEVELVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDVDDEGIGEVIDEVIDDEHQYFDLVHMFDPTKFDGIPFENIEQLENLSCGCMVFPSVIRAEDRIYLLSERDFFGYPFDVIFQYFDPNKNLFETLPPPPVDRKFDSHLLGVRCSFSLRGYIYVLITDTRTFLQTSKFNTTNSKWEDCKSMVDKFKEKNVPFPLFHAGDMGISDELVDNTWILVALNGGVLPIAYNVNFSDEGDIQPISHRVLAELYTSDFDMHGLGSDREQLADMGGGRFCVMYCALEEDFLIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPFSLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb11g08280.t3 pep chromosome:ASM357664v1:11:5412404:5415438:-1 gene:itb11g08280 transcript:itb11g08280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFEYAYFTSGPETIRIKIPMTSNSFEPEVELVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDVDDEGIGEVIDEVIDDEHQYFDLVHMFDPTKFDGIPFENIEQLENLSCGCMVFPSVIRAEDRIYLLSERDFFGYPFDVIFQYFDPNKNLFETLPPPPVDRKFDSHLLGVRCSFSLRGYIYVLITDTRTFLQTSKFNTTNSKWEDCKSMVDKFKEKNVPFPLFHAGDMGISDELVDNTWILVALNGGVLPIAYNVNFSDEGDIQPISHRVLAELYTSDFDMHGLGSDREQLADMGGGRFCVMYCALEEDFLIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPFSLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb01g18560.t1 pep chromosome:ASM357664v1:1:24086993:24096434:1 gene:itb01g18560 transcript:itb01g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKNDLLDEEFMLKGKWYQRKDLEVKNSRGCVLKCSHYIPIICPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRKAVLKKAKFDITELNTIKVAKACFVPALLGHACDDDFIRPHHSDRIFDAYMGDKNIIKFEGDHNSPRPQFYFDSISIFFNNVLQPPDDELGSTFFGMPPDYFGKSSWSNVHQVGSPEDVRTAPVGGATSSTEDCIKQLRSKRPMSKTEVPSNISSNDKQDGDKEEQSRADLTPSSSNMISFELSNGDAYGRNVPVSIDDEEYVEYTLDNLADFPSSMEEEERMFMEAVLESLKDLEVSKTPDVESSNGQPDPFPKNILDSSTSKQCVPMNEPESNGHTRAKEPESKPPAAGVVNGHNRAKEAESSKASPPRNQSSSSTDMVGHTKATVTVVKNPSGNIMDGLMRRWDLSFFKNR >itb01g18560.t2 pep chromosome:ASM357664v1:1:24087003:24095574:1 gene:itb01g18560 transcript:itb01g18560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKNDLLDEEFMLKGKWYQRKDLEVKNSRGCVLKCSHYIPIICPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRKAVLKKAKFDITELNTIKVAKACFVPALLGHACDDDFIRPHHSDRIFDAYMGDKNIIKFEGDHNSPRPQFYFDSISIFFNNVLQPPDDELGSTFFGMPPDYFGKSSWSNVHQVGSPEDVRTAPVGGATSSTEDCIKQLRSKRPMSKTEVPSNISSNDKQDGDKEEQSRADLTPSSSNMISFELSNGDAYGRNVPVSIDDEEYVEYTLDNLADFPSSMEEEERVSTFNDFYSARNDLHCPFSTYAIVDPRFLAH >itb05g26830.t3 pep chromosome:ASM357664v1:5:30513940:30518352:-1 gene:itb05g26830 transcript:itb05g26830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVVGRHALFFDDDAMAAFVNSADALVEWNSLKIDRYDVRHLLSAPPPQPRRSIPSLSHAIDSSLKSELDLERYLDLPPPSDEPEEEGEDANAVNTSGYHAIPFSYGNSNDCAEQAGSAAGFENSGFRPPFSVPENLLQCLPPSEKDHQIIAKTAQFVSRHGGQSEIVLRVKQGDNPTFGFLMPDHYVHPYYRFLVDHQELLKSDGKPQNEERKDVNEPDGALSLLGSVYGSGEDEDAPNNMASNEAVDALSYTAQEKGESSANVPRQDEIISKYPPPSGSGLDKEKSHLLKKNPLISGSKNGSTSRKKLKDDSISASVSAALEKIKASGLGTFKIEPVVLEPPSDLKNLIGKIVEFIVKNGKQFEATLIEQDSKHGRFPFLLPSNMYHPYYLKVLHGAQESKVSGKSLHSGIDDSIGQGLEKRASLSKEGGTLPFGSGEGDVPYELDKKEKFKMVIGKSKKESQEPLDKGQLQEHGFSVDAAAAAAILQAAARGIKNPNIGILSKAAVNANSQGNTTDGSLGTITSSGHDNMTAGSRAIAKSNAEADSSETQLSKEQKLKAERLKKAKMFVAMLKNGAAPRGVSMEPPESGLSGSGTELNHHGEQREGSSAPLDGDVSDQGGRLKPGDEYNERRSMRKYRSRSGRHEVDEDGEGERKQQSTRKEHYSSMKNGGEESEDAKDHKRSKRKHHRSHYSDEDEASDKCEEERGHRHSRKKHHRSHHSDEDEASDKYEEERGHRHSRKKHHRSHDSDEDEHEASDKYEEERGHRHSRKKHRSHRTSNKYDDDEERDEENRRHSRKKHKSHRSHHSTHNKNKHKRLKEASDDEQHRHKSNKHSKGTAKREDLEEGEISGKVSDQSKGSLGGTISREASQDISSPRQRAPSEPSDDLRAKIRAMLMETRR >itb05g26830.t2 pep chromosome:ASM357664v1:5:30513940:30518352:-1 gene:itb05g26830 transcript:itb05g26830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHYVHPYYRFLVDHQELLKSDGKPQNEERKDVNEPDGALSLLGSVYGSGEDEDAPNNMASNEAVDALSYTAQEKGESSANVPRQDEIISKYPPPSGSGLDKEKSHLLKKNPLISGSKNGSTSRKKLKDDSISASVSAALEKIKASGLGTFKIEPVVLEPPSDLKNLIGKIVEFIVKNGKQFEATLIEQDSKHGRFPFLLPSNMYHPYYLKVLHGAQESKVSGKSLHSGIDDSIGQGLEKRASLSKEGGTLPFGSGEGDVPYELDKKEKFKMVIGKSKKESQEPLDKGQLQEHGFSVDAAAAAAILQAAARGIKNPNIGILSKAAVNANSQGNTTDGSLGTITSSGHDNMTAGSRAIAKSNAEADSSETQLSKEQKLKAERLKKAKMFVAMLKNGAAPRGVSMEPPESGLSGSGTELNHHGEQREGSSAPLDGDVSDQGGRLKPGDEYNERRSMRKYRSRSGRHEVDEDGEGERKQQSTRKEHYSSMKNGGEESEDAKDHKRSKRKHHRSHYSDEDEASDKCEEERGHRHSRKKHHRSHHSDEDEASDKYEEERGHRHSRKKHHRSHDSDEDEHEASDKYEEERGHRHSRKKHRSHRTSNKYDDDEERDEENRRHSRKKHKSHRSHHSTHNKNKHKRLKEASDDEQHRHKSNKHSKGTAKREDLEEGEISGKVSDQSKGSLGGTISREASQDISSPRQRAPSEPSDDLRAKIRAMLMETRR >itb05g26830.t1 pep chromosome:ASM357664v1:5:30513940:30518352:-1 gene:itb05g26830 transcript:itb05g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHYVHPYYRFLVDHQELLKSDGKPQNEERKDVNEPDGALSLLGSVYGSGEDEDAPNNMASNEAVDALSYTAQEKGESSANVPRQDEIISKYPPPSGSGLDKEKSHLLKKNPLISGSKNGSTSRKKLKDDSISASVSAALEKIKASGLGTFKIEPVVLEPPSDLKNLIGKIVEFIVKNGKQFEATLIEQDSKHGRFPFLLPSNMYHPYYLKVLHGAQESKVSGKSLHSGIDDSIGQGLEKRASLSKEGGTLPFGSGEGDVPYELDKKEKFKMVIGKSKKESQEPLDKGQLQEHGFSVDAAAAAAILQAAARGIKNPNIGILSKAAVNANSQGNTTDGSLGTITSSGHDNMTAGSRAIAKSNAEADSSETQLSKEQKLKAERLKKAKMFVAMLKNGAAPRGVSMEPPESGLSGSGTELNHHGEQREGSSAPLDGDVSDQGGRLKPGDEYNERRSMRKYRSRSGRHEVDEDGEGERKQQSTRKEHYSSMKNGGEESEDAKDHKRSKRKHHRSHYSDEDEASDKCEEERGHRHSRKKHHRSHHSDEDEASDKYEEERGHRHSRKKHHRSHDSDEDEHEASDKYEEERGHRHSRKKHRSHRTSNKYDDDEERDEENRRHSRKKHKSHRSHHSTHNKNKHKRLKEASDDEQHRHKSNKHSKGTAKREDLEEGEISGKVSDQSKGSLGGTISREASQDISSPRQRAPSEPSDDLRAKIRAMLMETRR >itb06g24520.t2 pep chromosome:ASM357664v1:6:26044849:26047203:-1 gene:itb06g24520 transcript:itb06g24520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A5 [Source:Projected from Arabidopsis thaliana (AT1G13710) UniProtKB/Swiss-Prot;Acc:Q9LMX7] MSPQDFSLLFLPNSGLSVLSFPVLACFFSLLGVVAFWLRPGGLAWALSNVHLRNRAAIPGPHGLPLLGMVLAFTGPLTHRVLQRLAKALKAESLMAFSVGFTRFIISSNPDTAKEILGSPAFADRPVKESAYELLFHKAMGFAPYGDYWRNLRRISSTHLFCPKRIASFGAYRNEIGLKMVDEIKSLMEKEGEVKIKKILHFASLNNVMKTVFGRCYDFTTQKGSELEELVSEGYELLGTFNWTDHFPVLGWFDFQGVRRRCRDLVGKVNVFVGDIIDDHRVKRSQNQNVAKPDDFSGDFVDVLLDMENENKKCCLGGLIRWRFCWNGFLRGWLFIRRFRLGHRRRLTTLLVGRGRCRIPTFQICHI >itb06g24520.t1 pep chromosome:ASM357664v1:6:26044849:26047203:-1 gene:itb06g24520 transcript:itb06g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A5 [Source:Projected from Arabidopsis thaliana (AT1G13710) UniProtKB/Swiss-Prot;Acc:Q9LMX7] MSPQDFSLLFLPNSGLSVLSFPVLACFFSLLGVVAFWLRPGGLAWALSNVHLRNRAAIPGPHGLPLLGMVLAFTGPLTHRVLQRLAKALKAESLMAFSVGFTRFIISSNPDTAKEILGSPAFADRPVKESAYELLFHKAMGFAPYGDYWRNLRRISSTHLFCPKRIASFGAYRNEIGLKMVDEIKSLMEKEGEVKIKKILHFASLNNVMKTVFGRCYDFTTQKGSELEELVSEGYELLGTFNWTDHFPVLGWFDFQGVRRRCRDLVGKVNVFVGDIIDDHRVKRSQNQNVAKPDDFSGDFVDVLLDMENENKLSASEMTAVLWEMLFRGTDTVAILLEWILARMVVHPEIQARAQAEIDNVVGRERAVSDSDLPNLPYLSAIVKETLRVHPPGPLLSWARLAVGDTHVGEHFIPAGTTAMVNMYAITHDERIWSEPEKFRPERFMEEDVPIMGSDLRLAPFGSGRRVCPGKTMGYATVQLWLAQLLHNFSWEASGEKGLDLSEVLKLSMEMKQPLACKAVARVF >itb05g23360.t1 pep chromosome:ASM357664v1:5:28492508:28497862:-1 gene:itb05g23360 transcript:itb05g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCTSCRWQWYLRMVILFAQFFLLPAAQFGVLSREALPGVQLDIQRSYAVMSNGILEVNMTVPEGFVTGVSYLGIQNLLNTDNTFNNRGFWSITWVNPENDIQMDKLEGTSFKVITQTEDQVEVSFTRKWDGSQTQPRLNVDHRVIMLRGLSGFYTYSIFERLKGWPALTLGENRIVYKLNTDTFDFMAISNGRQRMMPRDEDRKTGQKLDYAEAVLLTNPIDPSMKGEVDDKYFYAKDSKDIKVHGWVSTKHDPPLGFWMISPTMEARVGGPFKTDLSSHCGPTLLSKFGGRHFAGKELDSKFQNGEPWKKVFGPEFVYLNKDPSAKNDPSLLWNDAVKRRNQEVENWPYDFPTSKDYIKSQQRGTVRGRLLVHDWFADKQPVPASNAYVGLALPGEPGAWQRETKGYQFWTETDKDGNFFIKKVIVGTYALYATVPGRIGTYKNDKPVNIEPGSEIELGDLVYKPPRNGATLWDIGVADRTAAEFFIPKPDPKYKVYPFKDQPENR >itb14g14790.t2 pep chromosome:ASM357664v1:14:17689253:17701443:-1 gene:itb14g14790 transcript:itb14g14790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSYLSTVPLWASSLQIRSHQSNLLDRAHHVVPSCPCLKISPCVDYLNLWPEFGRAEAAFVMGVSGKWIRSLIGLKRPEKSNSSVNDENRTGGTRKSWHRRRHSVEIDSELLCSELSHNDNASTSVEDATTASVPIAASSPSSSHQNQDAPQIHHNIGEEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLQCMQALVRVQARVRARRVRMALESQAAPQKLQQQPEQAAHVREIEEGWCDTIGSVEEIQAKLLKRQEAAAKRERAMAYALANQEGWCDTIGSVEEIQAKLLKRQEAAAKRERAMAYALANQWQAGSRQQAAPAGFEPDASNWGWNWLERWMAVRPWENRILDINHRDGAMTCDNGSADPKNGTRNQLKSVGMKPISSNISNEKMGPSHSSTNKLSEKLIANKSEGRKTSSIKSASTPEALTMFNKPKSKQNVEHLVEEAGSRPTLGSRSKSNPKERSIPSDIQGNKRLSLPNSRKSYGAQITRQSSRTGQKPMEDKSKLNGNDPMATKLVSQD >itb14g14790.t1 pep chromosome:ASM357664v1:14:17688693:17698679:-1 gene:itb14g14790 transcript:itb14g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRSLIGLKRPEKSNSSVNDENRTGGTRKSWHRRRHSVEIDSELLCSELSHNDNASTSVEDATTASVPIAASSPSSSHQNQDAPQIHHNIGEEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLQCMQALVRVQARVRARRVRMALESQAAPQKLQQQPEQAAHVREIEEGWCDTIGSVEEIQAKLLKRQEAAAKRERAMAYALANQWQAGSRQQAAPAGFEPDASNWGWNWLERWMAVRPWENRILDINHRDGAMTCDNGSADPKNGTRNQLKSVGMKPISSNISNEKMGPSHSSTNKLSEKLIANKSEGRKTSSIKSASTPEALTMFNKPKSKQNVEHLVEEAGSRPTLGSRSKSNPKERSIPSDIQGNKRLSLPNSRKSYGAQITRQSSRTGQKPMEDKSKLNGNDPMATKLVSQD >itb11g10480.t2 pep chromosome:ASM357664v1:11:7479330:7493476:1 gene:itb11g10480 transcript:itb11g10480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVSIWNYQTQTMAKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPNLSYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPFLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVFVEIAGRCLRREPRQRPDMADVVKNLELAVALQQRAAEIALINXSEDGTVRLWHATTYRLENTLNYGFERVWAIGYIKGSRRVVIGYDEGTVMVKMGREVPIASIDNSGKIIWAKHNEIQTVNIKSVGSDYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSADRIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLLAIASDSSFYILKYNRDVVSAHFDGGRSVDDQGIEEAFELLYEISERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWGTKNEGKESA >itb11g10480.t3 pep chromosome:ASM357664v1:11:7479330:7493542:1 gene:itb11g10480 transcript:itb11g10480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVSIWNYQTQTMAKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPNLSYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPFLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVFVEIAGRCLRREPRQRPDMADVVKNLELAVALQQRAAEIALINXSEDGTVRLWHATTYRLENTLNYGFERVWAIGYIKGSRRVVIGYDEGTVMVKMGREVPIASIDNSGKIIWAKHNEIQTVNIKSVGSDYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSADRIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLLAIASDSSFYILKYNRDVVSAHFDGGRSVDDQGIEEAFELLYEISERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWGTKNEGKESA >itb11g10480.t1 pep chromosome:ASM357664v1:11:7479330:7493476:1 gene:itb11g10480 transcript:itb11g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVSIWNYQTQTMAKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPNLSYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPFLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVFVEIAGRCLRREPRQRPDMADVVKNLELAVALQQRAAEIALINXSEDGTVRLWHATTYRLENTLNYGFERVWAIGYIKGSRRVVIGYDEGTVMVKMGREVPIASIDNSGKIIWAKHNEIQTVNIKSVGSDYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSADRIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLLAIASDSSFYILKYNRDVVSAHFDGGRSVDDQGIEEAFELLYEISERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWVLTPHQ >itb01g32630.t1 pep chromosome:ASM357664v1:1:36053214:36057730:1 gene:itb01g32630 transcript:itb01g32630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYGQLGEETGAAAARQRGSGTGFMYGGSVLGPPSYGRTGGESNHVATGFHLQPGGGGECYGQSDGPPHTSVKSEGGGSSGSHHHHHNHHQMFQYPLMIRDHLHPRQQESAGDNSLSEGDAMKAKIIAHPQYSNLLEAYVDCQKVGAPPEMAARLSAARQELEGKQRASFIGSRDSSKDPELDQFMEAYYDMLMKYRDELTRPFQEAMEFMRRIESQLNMLSDGPVRIFNSDDKCEGVGSSEEDQDNSGGETELREIDPRVQDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWELHYKWPYPSETEKVALAEATGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHHQNAALYMDGHYMGDAPYRLGP >itb08g15520.t1 pep chromosome:ASM357664v1:8:17682176:17684521:-1 gene:itb08g15520 transcript:itb08g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQRNALSWFFFSNMSWRRIRTASSTPISPNFASGTASSNHNNSSVSMNQSKFKKSCNDLDDALKLFHQMAHTRPLPCVIHFNKLLCRIVKLKRYSVVVSLFQEMRIMGIPISVRTINILVDVYCRSSRVDCGFCLLGVVFKCGFEFNVVTFTTLIKGLFMDNKIVEAIGLFKKLVRENVYKVDQITYGTVINGLCKAGHTQNALDLLVVMQKEGPKPDTIAYNTVIDSLCKDRMVDQALGLLSEMIERGVPPNIFTYTSLIQGLCNFNRWKEVTKVMNEMVLHNVYPGVYIFNILADALCKEGKLESAETIIQIMIQRNIYPDVVTYNALVEGYCLQGRMDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEARKVFGRMVESGIQPDVMTYNTLINGYCKIKEMDEAVHLFCEIPHPNVVTYNTMLQGLFLVGRCSAALELFQEMLVAGHKPNFYTSNVLLSGLCYNGLVEEAISVYHKLDRNGNGSHVYDRIVIDRVCKIGRLNIARHVFNGLISKGRRLAVSTYTAMINGLCREGFLDEALELLRKMEKNDCLPNAWTYNVILQEFVRQKKCHEANLLLDEMVGKGISPNEHTLFFINNLIALKSGDEKFAANHVK >itb01g03660.t2 pep chromosome:ASM357664v1:1:2383351:2388711:1 gene:itb01g03660 transcript:itb01g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSPFLGHKPLRTWKSSPGRFADNSICKFKIQALFWGQRKTVQPAVKDLTLGEFSLTGKGSEGDSENLGKKISVSVVSSISEVSPEEWDACSLDATGPEQFNPFLTHAFLSSLEESGSAVKKTGWIPQHIIARDELKNVLGVIPLYLKSHSYGEYVFDHSWANAYHSYGLNYYPKLQCSIPFTPVTSPRILVRNTSYQGEVFDMLVSAMKDLAVKLKVSSLHITFNSPNEWEKLGEKGFLQRIGMQYHWKNRNYKNFDEFLMDMKQSKRKNIRQERKKISSQNLTMKRLRGYEIKAKHWDTFYQFYRNTTDNKWGSAYLTRDFFHHMGSKMGDQVLLIVAEDGDEIVAGALNLIGGDTLYGRLWGCLPEAYYPNLHFEACYYQAIEAAIELNLQTVEAGAQGEHKIQRGYLPVTTYSSHYLVDKVFRDVITDFLVREAAQLKLVMKLLHDSGPFKDDLELK >itb01g03660.t1 pep chromosome:ASM357664v1:1:2383351:2389300:1 gene:itb01g03660 transcript:itb01g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATSPFLGHKPLRTWKSSPGRFADNSICKFKIQALFWGQRKTVQPAVKDLTLGEFSLTGKGSEGDSENLGKKISVSVVSSISEVSPEEWDACSLDATGPEQFNPFLTHAFLSSLEESGSAVKKTGWIPQHIIARDELKNVLGVIPLYLKSHSYGEYVFDHSWANAYHSYGLNYYPKLQCSIPFTPVTSPRILVRNTSYQGEVFDMLVSAMKDLAVKLKVSSLHITFNSPNEWEKLGEKGFLQRIGMQYHWKNRNYKNFDEFLMDMKQSKRKNIRQERKKISSQNLTMKRLRGYEIKAKHWDTFYQFYRNTTDNKWGSAYLTRDFFHHMGSKMGDQVLLIVAEDGDEIVAGALNLIGGDTLYGRLWGCLPEAYYPNLHFEACYYQAIEAAIELNLQTVEAGAQGEHKIQRGYLPVTTYSSHYLVDKVFRDVITDFLVREAAQLKLVMKLLHDSGPFKDDLELK >itb10g20570.t2 pep chromosome:ASM357664v1:10:26095681:26101134:1 gene:itb10g20570 transcript:itb10g20570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRATLIQRFSNTFRGNSKLSKLLIVVTVSGGSLVAYSEATKPYQGADIGDLGDANSKRKKVVVLGTGWAATSFLKNLKDPSYDVQVISPRNYFAFTPLLPSVTSGTVEGRSIVEPIRNIIRGKNVHMHYSEAECVKIDAKNKKVYCRTNLSNEEKEEFAVDYDYLVVGVGARVNTFNIPGVVENTYFLKEVEDAQRIRRAVIDCFEKASLPNLSDEEKQRMLHFVIVGGGPTGVEFAAQLHDFVSDEVVRLYPKAKDFVKITLVEATDHVLNMFDKRITAFAEEKFKRDGIDVKTGSMVVKVSDSEISTKDAKRGEVTSTPYGMVVWSTGIGIRPVIMDFMREIGQGNRRVLATDEWLRVEGCDNVYAIGDTATINRRKVMEDIAAIFRKADKDSSGTLTVKECQEVLADVCERYPQMELYLKSKQMRSLVDLVKEGKGGDVKEPVEVDIEEFKSALSKVDTQMKNLPATAQVASQQGVYLANCFNRMEECVKNPEGPIRFRGEGRHRFHPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGYGTQWLWYSVYASKQVSWRTRALVVSDWMRRFIFGRDSSQL >itb10g20570.t3 pep chromosome:ASM357664v1:10:26095681:26101134:1 gene:itb10g20570 transcript:itb10g20570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRATLIQRFSNTFRGNSKLSKLLIVVTVSGGSLVAYSEATKPYQGADIGDLGDANSKRKKVVVLGTGWAATSFLKNLKDPSYDVQVISPRNYFAFTPLLPSVTSGTVEGRSIVEPIRNIIRGKNVHMHYSEAECVKIDAKNKKVYCRTNLSNEEKEEFAVDYDYLVVGVGARVNTFNIPGVVENTYFLKEVEDAQRIRRAVIDCFEKASLPNLSDEEKQRMLHFVIVGGGPTGVEFAAQLHDFVSDEVVRLYPKAKDFVKITLVEATDHVLNMFDKRITAFAEEKFKRDGIDVKTGSMVVKVSDSEISTKDAKRGEVTSTPYGMVVWSTGIGIRPVIMDFMREIGQGNRRVLATDEWLRVEGCDNVYAIGDTATINRRKVMEDIAAIFRKADKDSSGTLTVKECQEVLADVCERYPQMELYLKSKQMRSLVDLVKEGKGGDVKEPVEVDIEEFKSALSKVDTQMKNLPATAQVWRPQRFLFCGISDRQILEFY >itb10g20570.t1 pep chromosome:ASM357664v1:10:26095681:26101134:1 gene:itb10g20570 transcript:itb10g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRATLIQRFSNTFRGNSKLSKLLIVVTVSGGSLVAYSEATKPYQGADIGDLGDANSKRKKVVVLGTGWAATSFLKNLKDPSYDVQVISPRNYFAFTPLLPSVTSGTVEGRSIVEPIRNIIRGKNVHMHYSEAECVKIDAKNKKVYCRTNLSNEEKEEFAVDYDYLVVGVGARVNTFNIPGVVENTYFLKEVEDAQRIRRAVIDCFEKASLPNLSDEEKQRMLHFVIVGGGPTGVEFAAQLHDFVSDEVVRLYPKAKDFVKITLVEATDHVLNMFDKRITAFAEEKFKRDGIDVKTGSMVVKVSDSEISTKDAKRGEVTSTPYGMVVWSTGIGIRPVIMDFMREIGQGNRRVLATDEWLRVEGCDNVYAIGDTATINRRKVMEDIAAIFRKADKDSSGTLTVKECQEVLADVCERYPQMELYLKSKQMRSLVDLVKEGKGGDVKEPVEVDIEEFKSALSKVDTQMKNLPATAQVASQQGVYLANCFNRMEECVKNPEGPIRFRGEGRHRFHPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGYGTQWLWYSVYASKQVSWRTRALVVSDWMRRFIFGRDSSQL >itb08g09410.t1 pep chromosome:ASM357664v1:8:8673855:8674253:1 gene:itb08g09410 transcript:itb08g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSTFMAIVAVFAISAAMASAAPTRPYLGRKLAQYATPATPSISPSSWSSPPAAPPSSWSTFPATPASATPSYWPVVPTTPSASPSSWSAVPATSPAAAPSWPMSPSISSSYWPTVPPPTEAPYYARAY >itb05g08810.t1 pep chromosome:ASM357664v1:5:12583536:12586063:1 gene:itb05g08810 transcript:itb05g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKTDSFRGIDSEGVIRVNGPGKMIRKNSIRLRNFEPTKLMLERNLSFKDLVLDIERSSNAKPTPTPTSLQEPSIMFSPRPVSELEAAAVKLQKVYKSYRTRRNLADCAVVAEELWLDVGDGKEINLEKCPRTRLHLQCIQYLGPKERECYEVIIENGRLVYKQSGIFVETIEGSKWIFVLSTSRTLYVGQKKKGVFQHSSFLAGAAITAAGRLVAHRGVLEAIWPYSGHYHPTEENFQEFISFLKEHLVDLSNVKRCAVDDDSFSFKTVDEESDGRLSMDVSAANQSDDDRIASSNTDGSVSSRTSTLDHCEDEKATVFGLAKHLPSKWSTGTGPRIVCVRDYSPELQSRALEQVNLSPRVVNGGFINYGPVPSPRPSPKVRLSPRIAHMGMPSPRTHIPVASS >itb14g04540.t1 pep chromosome:ASM357664v1:14:4052533:4052955:-1 gene:itb14g04540 transcript:itb14g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEMGNTSRDGDRADMLPNQLPNDKPEDWIEYFKLKYSRDTTSDARNALLVVAALLVQVTFQAGINPPDYIYKKKNKSGDELKVSSSSLTVFLVANTLSLSSAMAMIEYLTANMPYQRELRIAMACMMFAYGWSSGSI >itb10g20950.t1 pep chromosome:ASM357664v1:10:26332821:26334836:-1 gene:itb10g20950 transcript:itb10g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCCVLEVDVNGEETFLVDKNVLASFSGKLSKLFSKVRGETRRMKVVFNDFPGGAEGFELIAKFCYNGGSVELAPPSLFLLHSAANYMEISEQTKGFFRSIQSLNWCELVSGLKKCQELPSFLISPSVLQQFLDCLVCRLSIPCVSSPRTPCSDNSSILFSGDISIDSSRSCASQTKWWFQDLKFLNIDMLEKVVRTMVSHKLDQTMVSSFLSYYKNMNFLLATSVQKNRIIETIIDLLSLLDTSFVSVRGLFDILQASRSLKCCTEKLEVLIGSQLDRATIDDLLIPSPPGKRYAYDVSLILKLLKTFLRENSRYFFSYSLKKVGTLIDLYIMEVAPDQFLQPSKFMALATALPDTARDSYEILYEAIDVYFKVHKGLSAKEKIKISCALNYDKLSDQTLMDLSHNENFPVCASVTALVTNQSKLRIKHNAIKHLSNLTDGSSRRGGKGVSTRQYGCDSHHAPEIKKLDDLHRKGKKLSRPNVQNRRKLQINVSNNFASMHRLCA >itb15g08280.t1 pep chromosome:ASM357664v1:15:5795805:5797648:1 gene:itb15g08280 transcript:itb15g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVSTKFVVLVLIYVIHSITCPARGTLPGTWELLVPNAGIASMHTAVTHYNTVVLLDRTNIGPSKIRLPAHRCRNDPNEPILKKDCFAHSVVLDLKTNAIRPLEILTDTWCSSGQFLPDGTLLQTGGDLEGFRKIRKFFPCNASSFCDWVELKDVQLAEGRWYATNQRLPDGRVIIVGGRGAHSVEFFPPQNGAVPLPFLGEVEDDQLDNLYPYVHLLPNGHLFIFANTKAVMYDYVKNVFVRDFPVLDGGPRNYPSAGSSAMLALTGDYSSASIVICGGAEYGSYLQHITDSPAHTSCGRIEATGSDPVWEMEDMPFPRIMGDMVMLPTGDVMIINGAMKGTQGFGMASNPVLNPVLYRPDEPVGLRFMTLNPGTVPRMYHSTANLLPDGRILLAGSNPHVFYTFKGDFPTELKIEAFSPEYLSTDRANLRPVLVDLPEKVAFGEQFEAIVTVELPVVGIVEVNFASAPFATHSFSQGQRLVKAAVSSAIPKGAGKYKICCTAPPNGNVAPPGYYMVFAVNQGVPSVARWVQVTA >itb05g04400.t1 pep chromosome:ASM357664v1:5:3947781:3948083:1 gene:itb05g04400 transcript:itb05g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGPRNDRLEEAVGSSNINRLIVSLLYLPKGKKISESSFLNRKESTGVLSITKRNSSCKISNETVAEIEILFKEKDSKSLEFLFVYYMDDDSTHKDHI >itb12g08010.t1 pep chromosome:ASM357664v1:12:6159697:6160732:-1 gene:itb12g08010 transcript:itb12g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNAVPELPPKDVDANEEMQEVEYGKRGCCFWIPCFGFAGSSVRTWELISTSENPKDETSTWLSKSVKAFKKVREWSEVVAGPKWKTFIRRFNKNRSKTGKFQYDPLSYALNFDNGPDHNGDSEDSVAYPDFSSRYAWIPSSMELGKNAATFT >itb01g04560.t1 pep chromosome:ASM357664v1:1:3076945:3077886:1 gene:itb01g04560 transcript:itb01g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DYT1 [Source:Projected from Arabidopsis thaliana (AT4G21330) UniProtKB/Swiss-Prot;Acc:O81900] MIADTLKREFPDAAAAFVDLCSTEESGRKGGGRMDRKKKCVVEVQDDREFKSKNLQAERRRREKLSQRLLELRSLVPNITNMTKETIITDAISYIEELQNNVKELSSQLLEMEATSGVEMEVKAQTDSAAEDMKKFGIEAEVQVAQINENKLWIKVICQNRKGAFTKLMEAMNVLGVHLYDTSATTSKGALLVTSTLELNQSGAAEAHQAQQFLQEIINSI >itb10g25640.t1 pep chromosome:ASM357664v1:10:28858312:28860313:1 gene:itb10g25640 transcript:itb10g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQSLCRRVLLGFLLSLFIAGFCYGTDESTVRVVGFGECADCKENNIKTSQAFSGLRVTIDCKLENGEMQRKGVGELDKDGKFEVSLPKEIVKEECYAQLHSASAAPCPAHNGIQASKIVAKRDGKHALEPAGNLKFSTAVCQSAFLWPHPKLPPFPKLHPWKKHFPNLPPLPPLKHFGHPFPIPPISIPPIKPLPPKPPIYFPPIKPLPPKPPVYIPPIKPLPPKAPVYVPPVKPLPPPVPIYKPKPKPPVYVPPVKPLPPPVPIYKPKPKPPVYVPPVKPLPPPVPIYKPKPKPPIYVPPVKPLPPPVPIYKPKPKPPVYVPPVKPLPPPVPIYKPKPKPPVYIPPVKPLPPPVPIYKPKPKPPVYVPPVVKPCPPTVPIYKPKPKPPIYIPPIKPLPPPVPIYKPKPPVYIPPVKPLPPPVPIYKPKPPVYIPPVKPLPPPVPIYKPKPPVYIPPVKPLPPPVPIYKPKPPIYIPPIVKPLPPPVPIYKPKPKPPIYIPPIVKPLPPIYKPKPKPPIYIPPIIVKPLPPPIPIYKPLPPIIKKPCPPKIPSLPPFHHPLLPPLPPHIPHP >itb07g05550.t1 pep chromosome:ASM357664v1:7:3824267:3826837:1 gene:itb07g05550 transcript:itb07g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVVGKLKLKGKALDVKSGSAKKKKHKKLRDRDHVFQVTRDDQTTGGSGGLSDNLYDKHDSHLTPGLSDNLYDKHDSHLTPAERRYLEHWEKINIRRLAKEAMKSHRDRIQEFNQYLANLSEHYDIPKVGPG >itb12g20760.t1 pep chromosome:ASM357664v1:12:23240683:23247495:-1 gene:itb12g20760 transcript:itb12g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQENPNMSDLTALNPEDRADLVNALKNKLQDLTGKHSVVLENLSPNVRKRVEVLREVQTQHDELEAKFNEERAALEAKYQKLYQPLYTKRYEIVTGVVDVEVDKSEKSAADQEEGEAAVEKGVPDFWLTAMKNNEVLAEEITERDEGALKYLKDIKWSRIEEPKGFKLEFFFDTNPYFKNSVLTKVYHMIDEDDPILEKAIGTEIEWYPGKSLTEKILKKKPKKGSKNVKPITKTEQCESFFNFFSPPQVPEDEEDIDEDAAEDLQNLMEQDYEIGSTIREKIIPHAVSWFTGEAAEDDEFELDGEEEDDDDDDEEDEDDEGEEEDEDEDDEDDGKTKKKQPFGTRKKTGRAAAVEGEKPPECKQQYASHLKQPTTSTPTQSSTYMASNPGITQKKISIQNKYNEKLVGILHETGSPDIVVLCHGFLCTKNDTIMVSLAAALEAEGISAFRFDFAGNGESEGQFMVCCQRQVEDLRSVVQHFVGQNRKIGVILGHSKGGSVVLLYASMYHDVHTVVNVSGRFDLLRSVRKELDDDEFMERIKKDGFIDVRNAEGLSDSYFPRRSCVPYF >itb12g20760.t3 pep chromosome:ASM357664v1:12:23240683:23247495:-1 gene:itb12g20760 transcript:itb12g20760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQENPNMSDLTALNPEDRADLVNALKNKLQDLTGKHSVVLENLSPNVRKRVEVLREVQTQHDELEAKFNEERAALEAKYQKLYQPLYTKRYEIVTGVVDVEVDKSEKSAADQEEGEAAVEKGVPDFWLTAMKNNEVLAEEITERDEGALKYLKDIKWSRIEEPKGFKLEFFFDTNPYFKNSVLTKVYHMIDEDDPILEKAIGTEIEWYPGKSLTEKILKKKPKKGSKNVKPITKTEQCESFFNFFSPPQVPEDEEDIDEDAAEDLQNLMEQDYEIGSTIREKIIPHAVSWFTGEAAEDDEFELDGEEEDDDDDDEEDEDDEGEEEDEDEDDEDDGKTKKKQPFGTRKKTGRAAAVEGEKPPECKQQYASHLKQPTTSTPTQSSTYMASNPGITQKKISIQNKYNEKLVGILHETGSPDIVVLCHGFLCTKNDTIMVSLAAALEAEGISAFRFDFAGNGESEGQFMVCCQRQVEDLRSVVQHFVGQNRKIGVILGHSKGVVKLCYWFCP >itb12g20760.t2 pep chromosome:ASM357664v1:12:23240683:23247495:-1 gene:itb12g20760 transcript:itb12g20760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQENPNMSDLTALNPEDRADLVNALKNKLQDLTGKHSVVLENLSPNVRKRVEVLREVQTQHDELEAKFNEERAALEAKYQKLYQPLYTKRYEIVTGVVDVEVDKSEKSAADQEEGEAAVEKGVPDFWLTAMKNNEVLAEEITERDEGALKYLKDIKWSRIEEPKGFKLEFFFDTNPYFKNSVLTKVYHMIDEDDPILEKAIGTEIEWYPGKSLTEKILKKKPKKGSKNVKPITKTEQCESFFNFFSPPQVPEDEEDIDEDAAEDLQNLMEQDYEIGSTIREKIIPHAVSWFTGEAAEDDEFELDGEEEDDDDDDEEDEDDEGEEEDEDEDDEDDGKTKKKQPFGTRKKTGRAAAVEGEKPPECKQQYASHLKQPTTSTPTQSSTYMASNPGITQKKISIQNKYNEKLVGILHETGSPDIVVLCHGFLCTKNDTIMVSLAAALEAEGISAFRFDFAGNGESEGQFMVCCQRQVEDLRSVVQHFVGQNRKIGVILGHSKGGSVVLLYASMYHDVHTVVNVSGRFDLLRSVRKELDDDEFMERIKKDGFIDVRNAEGEVVYRIFELCVTNLLLNPNMHDACCEIDKVCRVLSIYGSSDEVNPIEDALEFEKAIPNHKLHIVEGADHFYTSHLSELVSIAISFIHKRMNEDLQHN >itb04g12180.t1 pep chromosome:ASM357664v1:4:11949318:11951206:-1 gene:itb04g12180 transcript:itb04g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVPRMKLGSQGLEVSAQGLGCMGMSCFYGPPKPDEDMIKLIHHAIHSGVTLLDTSDMYGPHTNEILLGKALKGGVREKVQLATKFAYSFGEGGIDIRGDPEYVRAACEASLKRLEVDCIDLYYQHRVDTRVPIEVTMGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQIEWSLWARDVEEEIIPTCRELGIGIVAYSPLGRGFLSSGAKLVENFSEGDIRKHLPRFHGENAEHNANLYERINKLAEQKGCTPSQLALAWLHHQGNDVCPIPGTTRIENFNQNIGALSVKLTANDISELECIASAVKGDRYPPGMNTWKESDTPPVSAWKAA >itb12g12160.t1 pep chromosome:ASM357664v1:12:10675400:10678827:1 gene:itb12g12160 transcript:itb12g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGYTAEVTSLSPIATEKDIHDFFALCGKIDHIEIVRAGEHASTAYVTFRSSHALETAVLLSGATILDQQVCIARWGYYEDEYDYWNQSSWKPEEARDSTEHTQGHQYVPSAGEAVTMAQDVVKTMLSGGYVLGKDALGKARAFDESHQLSATAAAKVADLSERMGLTDKIFAGVEAARSVDQRYHIVDNTKSAVSATGRSAVAAANAVVNSSYFSKGALWVSDALSKAAKAAADLGGGQGINK >itb10g04550.t1 pep chromosome:ASM357664v1:10:4304209:4308732:-1 gene:itb10g04550 transcript:itb10g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MEPEPESDSGSGSRGVPIPITSHTVAATASSPPLDDEDDDHHPDFSPVGSPDFHEDLPQHSDQPHPSSTAALTDDLRLKIIKQVEYYFSDENLPTDKFLLKYVTKSEEGYVPLKVIASFRKLKKLTREIPLIVTALKESSLLVVSSDEKRVKRLNPLPYIEARDPQLCTVLVENLPEDHSVENLRQLFGSAGRIKHISIREPHAERDPKKCTIAEKLLSGKLHALVEFDSVESAEKAVATLNNEQDWRFGLRVKLLKKAASKRGQKKKVWRESDPDRHTCGQASDQAIDEENHHPSEHHGDSHDEEEGDHLSKETIGEHAPRERNGHTGRNRGRGRRPQKRGTNMPVHGMHPSSGHGHGTEPSKPPPGPRMPDGTRGFTMGRGRPLASSPV >itb04g32520.t2 pep chromosome:ASM357664v1:4:35034163:35037914:-1 gene:itb04g32520 transcript:itb04g32520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASLCTSQLYNPLNANTKNRFLTRPQIGSSSYFHKKSQFKKTLVVRATAPDSRATRKQVELVYDLEEKFNKLADEVDRQAGLSRLTLFSPCKVNIFLRITGRREDGFHDLASLFHVISLGDKIKFSLSPSKSKDSLSTNAPGVPLDERNLIIKALNLYRKKTGTDKHFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSDGLATEKDLQEWSSEIGSDVPFFFSHGAAYCTGRGEVVQDISFPTPFDIPMVLIKPPEACSTAEVYKRLQLDKSSKVDPSILLEKILKNGVSQDVCVNDLEPPAFEVLPSLRRLKQRIAAASRGQYDAVFMSGSGSTIVGIGSPDPPQFLYDEEEYKEVFLSEASFITRAPNQWYTESVSVDPCNSPTE >itb04g32520.t1 pep chromosome:ASM357664v1:4:35030457:35037900:-1 gene:itb04g32520 transcript:itb04g32520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASLCTSQLYNPLNANTKNRFLTRPQIGSSSYFHKKSQFKKTLVVRATAPDSRATRKQVELVYDLEEKFNKLADEVDRQAGLSRLTLFSPCKVNIFLRITGRREDGFHDLASLFHVISLGDKIKFSLSPSKSKDSLSTNAPGVPLDERNLIIKALNLYRKKTGTDKHFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSDGLATEKDLQEWSSEIGSDVPFFFSHGAAYCTGRGEVVQDISFPTPFDIPMVLIKPPEACSTAEVYKRLQLDKSSKVDPSILLEKILKNGVSQDVCVNDLEPPAFEVLPSLRRLKQRIAAASRGQYDAVFMSGSGSTIVGIGSPDPPQFLYDEEEYKEVFLSGRRKKRRRS >itb05g16850.t1 pep chromosome:ASM357664v1:5:23958108:23960949:-1 gene:itb05g16850 transcript:itb05g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPNIQVAVVDISVQRINAWNSDQLPIYEPGLDDVVKGCRGKNLFFSTDVNKHVCESDIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGNKAVKALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICDCNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVSNKKVAILGFAFKKDTGDTRETPAIDVCQGLLGDKAQLSIYDPQVNEDQIQRDLTMKKFDWDHPLHLQPMSPTAVKKVSVVWDAYEATKDAHAVCILTEWDEFKKLDYKRIYDNMQKPAFIFDGRNIVNAEKMREIGFIVYSIGKPLDAWLKDMPAVA >itb12g18010.t1 pep chromosome:ASM357664v1:12:20259139:20263510:-1 gene:itb12g18010 transcript:itb12g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGSLSSQQQQSYISAPGQDDLLYEELWKLCAGPLVDVPRTHDRVYYFPQGHMEQLEASTNEELDQKTSMFSLSPKILCQVVNVKLQVEPETDEVYAQITLLPEDEMEHTNLDSYSHETSSSHEPSRPKVYSFCKVLTASDTSTHGGFSVLRKHATECLPPLDLNQQTPSQDLVALDLHRIEWRFKHIFRGQPRRHLLTTGWSNFVSSKRLVAGDSFLFLRGESGELRVGIRHHAQKQNSIPSSVISSRNMHLGVLATASHAVTTKTLFVVNYKPRMSQFIVGLRKYKEAVNQGFLVGMRFKMRFEVEDSPERRFMGTIVGVEDISTQWKGSDWRSLKVHWDEPVPITRPDRVSPWDIEPFVPSIPSSLALPVAPKNKRPRQVIETTTVLEPTLSTASTVWNPSVDSLQANSSPQGQRVVECAWPSHVKLNASTWSVASNHVPAHTVEQKDNLTTRPGDKRKSDAVPPCRLFGIDLNSTSAGSTIEISKAAVEGCFSKTPSFGDSEQKSDLSIDTKGLKQEQSQVTQPKEGQTNQNSSIRSRTKVQMQGVAVGRAVDLTAIKGYDELTSELEDMFEIHGELRPRKKWEIVFTDDEGDTMLMGDHPWLEFCSVVRRILICSNTEVKKTSAGNTPPSSVSESITVNDRNSPF >itb10g14760.t2 pep chromosome:ASM357664v1:10:21044067:21047850:-1 gene:itb10g14760 transcript:itb10g14760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTEKSPNPMETLNGFSHVPSTPVFWKSRKRSVSGKKLDLDSATDEKTPEKQEELSADEKKEEMDVDALSVLSERRKALFEPLEPITNASGKRPSAESLLPPPDFDSSSYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEADARCLEHLQLQLLDERSKRADVERQNNMLQNQINMLMDMLQENDAVEGEDSSPFLVFNSSSGRIEAFGSSFRGTRNRSPTGTTLKKLLTTIATQRFPNCVRVTCKTCRQRPPAQAAVSDPM >itb10g14760.t1 pep chromosome:ASM357664v1:10:21044069:21047850:-1 gene:itb10g14760 transcript:itb10g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTEKSPNPMETLNGFSHVPSTPVFWKSRKRSVSGKKLDLDSATDEKTPEKQEELSADEKKEEMDVDALSVLSERRKALFEPLEPITNASGKRPSAESLLPPPDFDSSSYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEADARCLEHLQLQLLDERSKRADVERQNNMLQNQINMLMDMLQENDAVEGEGTEDP >itb06g18190.t1 pep chromosome:ASM357664v1:6:21903437:21909201:1 gene:itb06g18190 transcript:itb06g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGVNSVAASETEPASGETLMGNLEQGGDESDIMVEVKGSDVFVDGDCLKKVDSESKTEDLDGGVDLGAKEVQEGKTETGVEDLQDVAGAARDVDEMECAEAVSERTDVAEAARDVVEMECAEAVSEQTVVVEEVGDEDTEKGVEGVELSSTGVESDVSVSNPGNEPANEPESKSSENEPVTENAASIPKEDSSSKDEAIPREETSTKDDVIPGEETSTKDETRHDSVTDAGVSSSENEQGLVNSTLCEGVDKDRPAESENSQSQLAREDDPLMPREEETTGFKDEAMRDPVANVGVSSSENDQDCPSEPRSSPSEIVREDATLMPGEEDTNFKDKDTHIEDAGLSSLENDQSLATPATCPVIDKDSSGHATDKILDSPVEAATERETGEVNKDEILHSKAESMENIVDHKNSPHNEEGLQVDGEKCTAICDMVVAGSQSPDERADASDGCGAREVDSKGSDNPNCGVLDESESDKIIQTEAPKSADNDVKDNSEVLPDQQPSTESGTNNINGEESELSHAKCNNLEKGDAMEVEVEGVLDSENRTSIHYSENDITLETSNNTVCPADVNEDKLDVTNATNLGPAKDSDVVQTDAMDIDEDKLGFVNATNLGSPKDSDIVQTDSELSVKNTVVVPANTQVIDSNTVAKSELEGLGGHESAPKDDENVGLVNKGNQAGNDCATDTEMIDDNSTFEFIDDGFWQEDEGKNTIPRGDNARSEGTPDMGSVQSHGQIGTEESLVECQGNVVQSDATPGTDTEICNQMSSQSTCISNEEVVMQQNLVLTPEADHGQSTVMTTVAEEQVEGATGSGAYNEVIGQQAIEMENADGFLDAHVPETTVVDEQREEENEKLHSDEKHDIGEQREIQENASQIDQSLVSGVKATKPANFANIYPGFLLPPEKLGKFTVSDLVWGKVRSHPWWPGQIFDPADASEKAVRYYKKGCFLVAYFGDRTFAWNDATVLKPFWSHFSQIEKQSNSETFQNAVRGALDEVSRRIELGLACSCIPKSSYNKIACQVVENTGIREESSRRYGVDKSTGVKSFTPDKVLLFLRGVARSPTCGADQLDLVIARAQLLAYSRFKGCSELSEFLSGGELLENDEEGVTVNKGPSHKRKHNLKDSLQPTKKERRMSEIMGNSELDETLADGSDRTKSVYSAKVSSTTSVTPNPPPFKIGERILRAASHLKGDSTQPEASSPLQNPPKEKVAGPSELPSIDELFSQLQLVAQAPMGDYSFLNTFIHCILDLRYPKHSELQNSSTGRPVVGRKRRASQAPDGAAEDFEFDDINDSYWTDRIVQNYSEEQLLQNGENGGREEFPVSPFDSEKVHKATRRSYSRKRYSIGNNEIGVDECNEEAEKRKLEPAELILNFAEGNRLPTEMSLNKTFRRFGPIKELETEVHPDCARARVVFKRGSDAEVAYSSAGKFNIFGSMQVNYELNYTPVISVRPLLLTIPQGQEEAI >itb06g18190.t2 pep chromosome:ASM357664v1:6:21903050:21909174:1 gene:itb06g18190 transcript:itb06g18190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGVNSVAASETEPASGETLMGNLEQGGDESDIMVEVKGSDVFVDGDCLKKVDSESKTEDLDGGVDLGAKEVQEGKTETGVEDLQDVAGAARDVDEMECAEAVSERTDVAEAARDVVEMECAEAVSEQTVVVEEVGDEDTEKGVEGVELSSTGVESDVSVSNPGNEPANEPESKSSENEPVTENAASIPKEDSSSKDEAIPREETSTKDDVIPGEETSTKDETRHDSVTDAGVSSSENEQGLVNSTLCEGVDKDRPAESENSQSQLAREDDPLMPREEETTGFKDEAMRDPVANVGVSSSENDQGLVNRTVFNKADTDCSGHDNNKISDCPSEPRSSPSEIVREDATLMPGEEDTNFKDKDTHIEDAGLSSLENDQSLATPATCPVIDKDSSGHATDKILDSPVEAATERETGEVNKDEILHSKAESMENIVDHKNSPHNEEGLQVDGEKCTAICDMVVAGSQSPDERADASDGCGAREVDSKGSDNPNCGVLDESESDKIIQTEAPKSADNDVKDNSEVLPDQQPSTESGTNNINGEESELSHAKCNNLEKGDAMEVEVEGVLDSENRTSIHYSENDITLETSNNTVCPADVNEDKLDVTNATNLGPAKDSDVVQTDAMDIDEDKLGFVNATNLGSPKDSDIVQTDSELSVKNTVVVPANTQVIDSNTVAKSELEGLGGHESAPKDDENVGLVNKGNQAGNDCATDTEMIDDNSTFEFIDDGFWQEDEGKNTIPRGDNARSEGTPDMGSVQSHGQIGTEESLVECQGNVVQSDATPGTDTEICNQMSSQSTCISNEEVVMQQNLVLTPEADHGQSTVMTTVAEEQVEGATGSGAYNEVIGQQAIEMENADGFLDAHVPETTVVDEQREEENEKLHSDEKHDIGEQREIQENASQIDQSLVSGVKATKPANFANIYPGFLLPPEKLGKFTVSDLVWGKVRSHPWWPGQIFDPADASEKAVRYYKKGCFLVAYFGDRTFAWNDATVLKPFWSHFSQIEKQSNSETFQNAVRGALDEVSRRIELGLACSCIPKSSYNKIACQVVENTGIREESSRRYGVDKSTGVKSFTPDKVLLFLRGVARSPTCGADQLDLVIARAQLLAYSRFKGCSELSEFLSGGELLENDEEGVTVNKGPSHKRKHNLKDSLQPTKKERRMSEIMGNSELDETLADGSDRTKSVYSAKVSSTTSVTPNPPPFKIGERILRAASHLKGDSTQPEASSPLQNPPKEKVAGPSELPSIDELFSQLQLVAQAPMGDYSFLNTFIHCILDLRYPKHSELQNSSTGRPVVGRKRRASQAPDGAAEDFEFDDINDSYWTDRIVQNYSEEQLLQNGENGGREEFPVSPFDSEKVHKATRRSYSRKRYSIGNNEIGVDECNEEAEKRKLEPAELILNFAEGNRLPTEMSLNKTFRRFGPIKELETEVHPDCARARVVFKRGSDAEVAYSSAGKFNIFGSMQVNYELNYTPVISVRPLLLTIPQGQEEAI >itb06g18190.t5 pep chromosome:ASM357664v1:6:21903437:21909307:1 gene:itb06g18190 transcript:itb06g18190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGVNSVAASETEPASGETLMGNLEQGGDESDIMVEVKGSDVFVDGDCLKKVDSESKTEDLDGGVDLGAKEVQEGKTETGVEDLQDVAGAARDVDEMECAEAVSERTDVAEAARDVVEMECAEAVSEQTVVVEEVGDEDTEKGVEGVELSSTGVESDVSVSNPGNEPANEPESKSSENEPVTENAASIPKEDSSSKDEAIPREETSTKDDVIPGEETSTKDETRHDSVTDAGVSSSENEQGLVNSTLCEGVDKDRPAESENSQSQLAREDDPLMPREEETTGFKDEAMRDPVANVGVSSSENDQGLVNRTVFNKADTDCSGHDNNKISDCPSEPRSSPSEIVREDATLMPGEEDTNFKDKDTHIEDAGLSSLENDQSLATPATCPVIDKDSSGHATDKILDSPVEAATERETGEVNKDEILHSKAESMENIVDHKNSPHNEEGLQVDGEKCTAICDMVVAGSQSPDERADASDGCGAREVDSKGSDNPNCGVLDESESDKIIQTEAPKSADNDVKDNSEVLPDQQPSTESGTNNINGEESELSHAKCNNLEKGDAMEVEVEGVLDSENRTSIHYSENDITLETSNNTVCPADVNEDKLDVTNATNLGPAKDSDVVQTDAMDIDEDKLGFVNATNLGSPKDSDIVQTDSELSVKNTVVVPANTQVIDSNTVAKSELEGLGGHESAPKDDENVGLVNKGNQAGNDCATDTEMIDDNSTFEFIDDGFWQEDEGKNTIPRGDNARSEGTPDMGSVQSHGQIGTEESLVECQGNVVQSDATPGTDTEICNQMSSQSTCISNEEVVMQQNLVLTPEADHGQSTVMTTVAEEQVEGATGSGAYNEVIGQQAIEMENADGFLDAHVPETTVVDEQREEENEKLHSDEKHDIGEQREIQENASQIDQSLVSGVKATKPANFANIYPGFLLPPEKLGKFTVSDLVWGKVRSHPWWPGQIFDPADASEKAVRYYKKGCFLVAYFGDRTFAWNDATVLKPFWSHFSQIEKQSNSETFQNAVRGALDEVSRRIELGLACSCIPKSSYNKIACQVVENTGIREESSRRYGVDKSTGVKSFTPDKVLLFLRGVARSPTCGADQLDLVIARAQLLAYSRFKGCSELSEFLSGGELLENDEEGVTVNKGPSHKRKHNLKDSLQPTKKERRMSEIMGNSELDETLADGSDRTKSVYSAKVSSTTSVTPNPPPFKIGERILRAASHLKGDSTQPEASSPLQNPPKEKVAGPSELPSIDELFSQLQLVAQAPMGDYSFLNTFIHCILDLRYPKHSELQNSSTGRPVVGRKRRASQAPDGAAEDFEFDDINDSYWTDRIVQNYSEEQLLQNGENGGREEFPVSPFDSEKVHKATRRSYSRKRYSIGNNEIGVDECNEEAEKRKLEPAELILNFAEGNRLPTEMSLNKTFRRFGPIKELETEVHPDCARARVVFKRGSDAEVAYSSAGKFNIFGSMQVNYELNYTPVISVRPLLLTIPQGQEEAI >itb06g18190.t4 pep chromosome:ASM357664v1:6:21903424:21909307:1 gene:itb06g18190 transcript:itb06g18190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGVNSVAASETEPASGETLMGNLEQGGDESDIMVEVKGSDVFVDGDCLKKVDSESKTEDLDGGVDLGAKEVQEGKTETGVEDLQDVAGAARDVDEMECAEAVSERTDVAEAARDVVEMECAEAVSEQTVVVEEVGDEDTEKGVEGVELSSTGVESDVSVSNPGNEPANEPESKSSENEPVTENAASIPKEDSSSKDEAIPREETSTKDDVIPGEETSTKDETRHDSVTDAGVSSSENEQGLVNSTLCEGVDKDRPAESENSQSQLAREDDPLMPREEETTGFKDEAMRDPVANVGVSSSENDQGLVNRTVFNKADTDCSGHDNNKISDCPSEPRSSPSEIVREDATLMPGEEDTNFKDKDTHIEDAGLSSLENDQSLATPATCPVIDKDSSGHATDKILDSPVEAATERETGEVNKDEILHSKAESMENIVDHKNSPHNEEGLQVDGEKCTAICDMVVAGSQSPDERADASDGCGAREVDSKGSDNPNCGVLDESESDKIIQTEAPKSADNDVKDNSEVLPDQQPSTESGTNNINGEESELSHAKCNNLEKGDAMEVEVEGVLDSENRTSIHYSENDITLETSNNTVCPADVNEDKLDVTNATNLGPAKDSDVVQTDAMDIDEDKLGFVNATNLGSPKDSDIVQTDSELSVKNTVVVPANTQVIDSNTVAKSELEGLGGHESAPKDDENVGLVNKGNQAGNDCATDTEMIDDNSTFEFIDDGFWQEDEGKNTIPRGDNARSEGTPDMGSVQSHGQIGTEESLVECQGNVVQSDATPGTDTEICNQMSSQSTCISNEEVVMQQNLVLTPEADHGQSTVMTTVAEEQVEGATGSGAYNEVIGQQAIEMENADGFLDAHVPETTVVDEQREEENEKLHSDEKHDIGEQREIQENASQIDQSLVSGVKATKPANFANIYPGFLLPPEKLGKFTVSDLVWGKVRSHPWWPGQIFDPADASEKAVRYYKKGCFLVAYFGDRTFAWNDATVLKPFWSHFSQIEKQSNSETFQNAVRGALDEVSRRIELGLACSCIPKSSYNKIACQVVENTGIREESSRRYGVDKSTGVKSFTPDKVLLFLRGVARSPTCGADQLDLVIARAQLLAYSRFKGCSELSEFLSGGELLENDEEGVTVNKGPSHKRKHNLKDSLQPTKKERRMSEIMGNSELDETLADGSDRTKSVYSAKVSSTTSVTPNPPPFKIGERILRAASHLKGDSTQPEASSPLQNPPKEKVAGPSELPSIDELFSQLQLVAQAPMGDYSFLNTFIHCILDLRYPKHSELQNSSTGRPVVGRKRRASQAPDGAAEDFEFDDINDSYWTDRIVQNYSEEQLLQNGENGGREEFPVSPFDSEKVHKATRRSYSRKRYSIGNNEIGVDECNEEAEKRKLEPAELILNFAEGNRLPTEMSLNKTFRRFGPIKELETEVHPDCARARVVFKRGSDAEVAYSSAGKFNIFGSMQVNYELNYTPVISVRPLLLTIPQGQEEAI >itb06g18190.t3 pep chromosome:ASM357664v1:6:21903478:21909201:1 gene:itb06g18190 transcript:itb06g18190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGVNSVAASETEPASGETLMGNLEQGGDESDIMVEVKGSDVFVDGDCLKKVDSESKTEDLDGGVDLGAKEVQEGKTETGVEDLQDVAGAARDVDEMECAEAVSERTDVAEAARDVVEMECAEAVSEQTVVVEEVGDEDTEKGVEGVELSSTGVESDVSVSNPGNEPANEPESKSSENEPVTENAASIPKEDSSSKDEAIPREETSTKDDVIPGEETSTKDETRHDSVTDAGVSSSENEQGLVNSTLCEGVDKDRPAESENSQSQLAREDDPLMPREEETTGFKDEAMRDPVANVGVSSSENDQDCPSEPRSSPSEIVREDATLMPGEEDTNFKDKDTHIEDAGLSSLENDQSLATPATCPVIDKDSSGHATDKILDSPVEAATERETGEVNKDEILHSKAESMENIVDHKNSPHNEEGLQVDGEKCTAICDMVVAGSQSPDERADASDGCGAREVDSKGSDNPNCGVLDESESDKIIQTEAPKSADNDVKDNSEVLPDQQPSTESGTNNINGEESELSHAKCNNLEKGDAMEVEVEGVLDSENRTSIHYSENDITLETSNNTVCPADVNEDKLDVTNATNLGPAKDSDVVQTDAMDIDEDKLGFVNATNLGSPKDSDIVQTDSELSVKNTVVVPANTQVIDSNTVAKSELEGLGGHESAPKDDENVGLVNKGNQAGNDCATDTEMIDDNSTFEFIDDGFWQEDEGKNTIPRGDNARSEGTPDMGSVQSHGQIGTEESLVECQGNVVQSDATPGTDTEICNQMSSQSTCISNEEVVMQQNLVLTPEADHGQSTVMTTVAEEQVEGATGSGAYNEVIGQQAIEMENADGFLDAHVPETTVVDEQREEENEKLHSDEKHDIGEQREIQENASQIDQSLVSGVKATKPANFANIYPGFLLPPEKLGKFTVSDLVWGKVRSHPWWPGQIFDPADASEKAVRYYKKGCFLVAYFGDRTFAWNDATVLKPFWSHFSQIEKQSNSETFQNAVRGALDEVSRRIELGLACSCIPKSSYNKIACQVVENTGIREESSRRYGVDKSTGVKSFTPDKVLLFLRGVARSPTCGADQLDLVIARAQLLAYSRFKGCSELSEFLSGGELLENDEEGVTVNKGPSHKRKHNLKDSLQPTKKERRMSEIMGNSELDETLADGSDRTKSVYSAKVSSTTSVTPNPPPFKIGERILRAASHLKGDSTQPEASSPLQNPPKEKVAGPSELPSIDELFSQLQLVAQAPMGDYSFLNTFIHCILDLRYPKHSELQNSSTGRPVVGRKRRASQAPDGAAEDFEFDDINDSYWTDRIVQNYSEEQLLQNGENGGREEFPVSPFDSEKVHKATRRSYSRKRYSIGNNEIGVDECNEEAEKRKLEPAELILNFAEGNRLPTEMSLNKTFRRFGPIKELETEVHPDCARARVVFKRGSDAEVAYSSAGKFNIFGSMQVNYELNYTPVISVRPLLLTIPQGQEEAI >itb15g16600.t2 pep chromosome:ASM357664v1:15:16276036:16279732:1 gene:itb15g16600 transcript:itb15g16600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARTQESGISSLPRFFYHVFLSFRGEDTRKNFTDHLYNALINAGIRTFRDDDEIGRGENIDAELRRGIRESRISIIVFSKDYASSRWCLDELLTILGRRKSEGHDVFPIFYSVGREDVEKQSGSFAEAFERHAKREKMEVEEGRVEWKGKVEKWREALREVAGLQGMVLQEECDGHEAEFIQKIIREIVKKLNLTVVSVPSYTVGLASRVRKINEWLQDESSDVGIGVICGLGGVGKTTVAKVAYNLNYDRFEGSSFLANVRKASEIPNGLVGLQKQIFENILKGREEIIFNTDEGIIKIKDAIGNKKVFIVFDDVDQLELLDVLIGARDWFSRGSKIIITTRCENLLKGHERHLQYKIKELDDEESLKLFSRYAFGQDHPLEHLMEYSVKAICHCGGLPLALKDLGSYLSGRSMDVWRSKLAKLETILHHKIQRNLEISLNPLDDYDKRLFILIACHFVGKDRDFAINILEKCDLHPVVGIQNLIDISLVTVDNGNKLMMPQLIQNMGKEILRQDSRDDLHTLSKLLGQLVSSTENTVSVFFWSLYIKLS >itb15g16600.t1 pep chromosome:ASM357664v1:15:16276036:16279732:1 gene:itb15g16600 transcript:itb15g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARTQESGISSLPRFFYHVFLSFRGEDTRKNFTDHLYNALINAGIRTFRDDDEIGRGENIDAELRRGIRESRISIIVFSKDYASSRWCLDELLTILGRRKSEGHDVFPIFYSVGREDVEKQSGSFAEAFERHAKREKMEVEEGRVEWKGKVEKWREALREVAGLQGMVLQEECDGHEAEFIQKIIREIVKKLNLTVVSVPSYTVGLASRVRKINEWLQDESSDVGIGVICGLGGVGKTTVAKVAYNLNYDRFEGSSFLANVRKASEIPNGLVGLQKQIFENILKGREEIIFNTDEGIIKIKDAIGNKKVFIVFDDVDQLELLDVLIGARDWFSRGSKIIITTRCENLLKGHERHLQYKIKELDDEESLKLFSRYAFGQDHPLEHLMEYSVKAICHCGGLPLALKDLGSYLSGRSMDVWRSKLAKLETILHHKIQRNLEISLNPLDDYDKRLFILIACHFVGKDRDFAINILEKCDLHPVVGIQNLIDISLVTVDNGNKLMMPQLIQNMGKEILRQDSRDDLHTLSKLLGQLVSSTENTGTETDKGVMNESASHVNSPKRPYSQEFQDNSILPHQSNWKRQFMGIFSLLPSLPKFFFLQNKRCS >itb15g16600.t3 pep chromosome:ASM357664v1:15:16276045:16279732:1 gene:itb15g16600 transcript:itb15g16600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARTQESGISSLPRFFYHVFLSFRGEDTRKNFTDHLYNALINAGIRTFRDDDEIGRGENIDAELRRGIRESRISIIVFSKDYASSRWCLDELLTILGRRKSEGHDVFPIFYSVGREDVEKQSGSFAEAFERHAKREKMEVEEGRVEWKGKVEKWREALREVAGLQGMVLQEECDGHEAEFIQKIIREIVKKLNLTVVSVPSYTVGLASRVRKINEWLQDESSDVGIGVICGLGGVGKTTVAKVAYNLNYDRFEDQLELLDVLIGARDWFSRGSKIIITTRCENLLKGHERHLQYKIKELDDEESLKLFSRYAFGQDHPLEHLMEYSVKAICHCGGLPLALKDLGSYLSGRSMDVWRSKLAKLETILHHKIQRNLEISLNPLDDYDKRLFILIACHFVGKDRDFAINILEKCDLHPVVGIQNLIDISLVTVDNGNKLMMPQLIQNMGKEILRQDSRDDLHTLSKLLGQLVSSTENTGTETDKGVMNESASHVNSPKRPYSQEFQDNSILPHQSNWKRQFMGIFSLLPSLPKFFFLQNKRCS >itb15g16600.t4 pep chromosome:ASM357664v1:15:16276045:16279732:1 gene:itb15g16600 transcript:itb15g16600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARTQESGISSLPRFFYHVFLSFRGEDTRKNFTDHLYNALINAGIRTFRDDDEIGRGENIDAELRRGIRESRISIIVFSKDYASSRWCLDELLTILGRRKSEGHDVFPIFYSVGREDVEKQSGSFAEAFERHAKREKMEVEEGRVEWKGKVEKWREALREVAGLQGMVLQEECDGHEAEFIQKIIREIVKKLNLTVVSVPSYTVGLASRVRKINEWLQDESSDVGIGVICGLGGVGKTTVAKVAYNLNYDRFEDQLELLDVLIGARDWFSRGSKIIITTRCENLLKGHERHLQYKIKELDDEESLKLFSRYAFGQDHPLEHLMEYSVKAICHCGGLPLALKDLGSYLSGRSMDVWRSKLAKLETILHHKIQRNLEISLNPLDDYDKRLFILIACHFVGKDRDFAINILEKCDLHPVVGIQNLIDISLVTVDNGNKLMMPQLIQNMGKEILRQDSRDDLHTLSKLLGQLVSSTENTVSVFFWSLYIKLS >itb08g07950.t1 pep chromosome:ASM357664v1:8:6848213:6850939:1 gene:itb08g07950 transcript:itb08g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQGGGNGGVLKTFVRYMSNSRKRAPNLRKINPKVPQQEAASIAEALYNVIRQHGPLSVSNTWNHAKEASINGLNSKTHMKIMLKWMMGRHMLKLFCNHVGSNKKFFLSTLPEEPHIKQAIEAMELKAKAETPTKSRKRTR >itb03g10430.t1 pep chromosome:ASM357664v1:3:8287569:8289705:1 gene:itb03g10430 transcript:itb03g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRGRPPFRRTASMTGITFDLGNDVEEGRPPALFVDDGFKPLEQGPSSERQNAAVVPNGNGYDLQYTAMISPRYYAAWRASGDGYNIQTADFLRSCGLCNRRLTPGRDIYMYRGDTAFCSQECREQQMKQDERKEKCKVKLGSKGENHHNLSEISTANSDAAAASGKNETVAAA >itb03g05190.t2 pep chromosome:ASM357664v1:3:3542172:3546334:-1 gene:itb03g05190 transcript:itb03g05190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKKKKQQRPGQGLIDAVFSWSLEDVMNKNFYRDKVKEIPDTFMSTDHYLKSFTNPLLKETHADLYSNMKNSLRNAPSREVFEVKISKGFKAPKDLLYNILLKTTREGDGESKQPYEPEAGDLIAFCDVKPKRVEDLNRPKMSYVIAVVQGRKDDGSARFPILSSKPVTFRKKMERGREGDKLFVVYLTSLTTNIRIWKSLNMDKESANLKIIKTVLQIDPKDEGDCALCTYGGTQATTLMNAKAAIQSFGLDNSQEEAVMSCVKARKCVHRSSVKLIWGPPGTGKTKTVASLLSVLFNMKCRTLTCAPTNVAVIGVAKRLMELVRRSLQSDSYGLGDIVLFGNGERMKVNDHEDLLDAFLDYRVDALASCLSPLVGWQAGLNWMINLLEEPEEQYQKYLDKIKDENEESDDELETGSSSGELSSTEEKDGLITDKYLNKTKGNNSNKHLKKFIVQTIKENKKKKLKDESSQKKSIDKVAKCHRGKDRIGEVTIWTFEEFVLKKYKSLAEQLDFCMTTLYTHLPTSYIPREVAKKMVRALNLLQTLEGLLKTVAGIPGGLRDGLKGIKVSSSKWKKHINELQITKSECVVILKLLRGSIKLPNFAENYQIRNFCLKFAVLLFCTVSTSSKLHSEGMAPIELLVIDEAAQLKECESTIPLQLPGLGNAILIGDEKQLPAMVQSKICEKAGFGRSLFERLVKLGHKKHLLNIQYRMHPSISSFPNKKFYNKKVMNGPNVKNITYEKRFLTGKMFGPYSFINISQGKEELDEKCSSKNMAEVSAVAEIIAMLYKEFLRSKQRVRVGCISPYKAQVCAIQEKLGKKYSTDVESDFSVNVRSVDGFQGGEEDVIIISTVRSNGNGSVGFLSNFQRTNVALTRAKYCLICFPIQIKLTNCY >itb03g05190.t3 pep chromosome:ASM357664v1:3:3543056:3546334:-1 gene:itb03g05190 transcript:itb03g05190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKKKKQQRPGQGLIDAVFSWSLEDVMNKNFYRDKVKEIPDTFMSTDHYLKSFTNPLLKETHADLYSNMKNSLRNAPSREVFEVKISKGFKAPKDLLYNILLKTTREGDGESKQPYEPEAGDLIAFCDVKPKRVEDLNRPKMSYVIAVVQGRKDDGSARFPILSSKPVTFRKKMERGREGDKLFVVYLTSLTTNIRIWKSLNMDKESANLKIIKTVLQIDPKDEGDCALCTYGGTQATTLMNAKAAIQSFGLDNSQEEAVMSCVKARKCVHRSSVKLIWGPPGTGKTKTVASLLSVLFNMKCRTLTCAPTNVAVIGVAKRLMELVRRSLQSDSYGLGDIVLFGNGERMKVNDHEDLLDAFLDYRVDALASCLSPLVGWQAGLNWMINLLEEPEEQYQKYLDKIKDENEESDDELETGSSSGELSSTEEKDGLITDKYLNKTKGNNSNKHLKKFIVQTIKENKKKKLKDESSQKKSIDKVAKCHRGKDRIGEVTIWTFEEFVLKKYKSLAEQLDFCMTTLYTHLPTSYIPREVAKKMVRALNLLQTLEGLLKTVAGIPGGLRDGLKGIKVSSSKWKKHINELQITKSECVVILKLLRGSIKLPNFAENYQIRNFCLKFAVLLFCTVSTSSKLHSEGMAPIELLVIDEAAQLKECESTIPLQLPGLGNAILIGDEKQLPAMVQSKICEKAGFGRSLFERLVKLGHKKHLLNIQYRMHPSISSFPNKKFYNKKVMNGPNVKNITYEKRFLTGKMFGPYSFINISQGKEELDEKCSSKNMAEVSAVAEIIAMLYKGNFSLL >itb03g05190.t1 pep chromosome:ASM357664v1:3:3542132:3546334:-1 gene:itb03g05190 transcript:itb03g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKKKKQQRPGQGLIDAVFSWSLEDVMNKNFYRDKVKEIPDTFMSTDHYLKSFTNPLLKETHADLYSNMKNSLRNAPSREVFEVKISKGFKAPKDLLYNILLKTTREGDGESKQPYEPEAGDLIAFCDVKPKRVEDLNRPKMSYVIAVVQGRKDDGSARFPILSSKPVTFRKKMERGREGDKLFVVYLTSLTTNIRIWKSLNMDKESANLKIIKTVLQIDPKDEGDCALCTYGGTQATTLMNAKAAIQSFGLDNSQEEAVMSCVKARKCVHRSSVKLIWGPPGTGKTKTVASLLSVLFNMKCRTLTCAPTNVAVIGVAKRLMELVRRSLQSDSYGLGDIVLFGNGERMKVNDHEDLLDAFLDYRVDALASCLSPLVGWQAGLNWMINLLEEPEEQYQKYLDKIKDENEESDDELETGSSSGELSSTEEKDGLITDKYLNKTKGNNSNKHLKKFIVQTIKENKKKKLKDESSQKKSIDKVAKCHRGKDRIGEVTIWTFEEFVLKKYKSLAEQLDFCMTTLYTHLPTSYIPREVAKKMVRALNLLQTLEGLLKTVAGIPGGLRDGLKGIKVSSSKWKKHINELQITKSECVVILKLLRGSIKLPNFAENYQIRNFCLKFAVLLFCTVSTSSKLHSEGMAPIELLVIDEAAQLKECESTIPLQLPGLGNAILIGDEKQLPAMVQSKICEKAGFGRSLFERLVKLGHKKHLLNIQYRMHPSISSFPNKKFYNKKVMNGPNVKNITYEKRFLTGKMFGPYSFINISQGKEELDEKCSSKNMAEVSAVAEIIAMLYKEFLRSKQRVRVGCISPYKAQVCAIQEKLGKKYSTDVESDFSVNVRSVDGFQGGEEDVIIISTVRSNGNGSVGFLSNFQRTNVALTRAKYCLWVLGNGATLINSGSVWGDLVQYSKARGCYYDACSDKNLKEVIADSSDELTTKLSAMSLSDKPGSSFKASGKVKNFKKNRAWFLSKGGRG >itb13g25120.t1 pep chromosome:ASM357664v1:13:30553701:30554751:1 gene:itb13g25120 transcript:itb13g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCHEDEGLSAGDEDEQQRRPPSEREASTTNSGGDDEGLERRRRMRSNSVVDQPSGVSGPVATSSSYGSVGGEDRRHISGSVRVPRDKMESMDIVGKSKDDALLPNGFYFSSFVS >itb13g15660.t1 pep chromosome:ASM357664v1:13:22550748:22551113:1 gene:itb13g15660 transcript:itb13g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKMVMRPKKIMVWTKMESPLVCIFPNSTTRPRPGSWNSSPGLNSTNSTTAITTGPQSAISEALSLLKLPARRKRIYSCRFRGSDCSVKAPQQGRNQASDLQLEKGSEKKSVFLWIWVF >itb07g11980.t1 pep chromosome:ASM357664v1:7:13556521:13558730:1 gene:itb07g11980 transcript:itb07g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLSPKLAKKVYGGDGGSYHAWCPEELPMLRRGNIGAAKLALEQYGFALPSYCDSARVAYVLQGSGVAGIVLPEKEEKVIAIKKGDAIALPFGVVTWWYNKMDTELVVLFLGDTKTAHKPGEFTDFFLTGSNGIFTGFSTEFVIRAWNVDEGVAKKLVGSQSGKGIVKLDAGAKMPEPNPDHRKGMALNCEEAPLDVDIKNGGKVVLLNTKNLPLVGEVGLGADLVRLNGNAMCSPGMSCDSALQVTYIVRGSGRAQVVGVDGKRVLETTVKAGNLFIVPRFFAVSKISDPDGLEWFSIITTPNPIFTHLAGRTSVWKALSPQVLQAAFSVPPEVEKEFSSKRTAEEIFFPPN >itb03g23600.t1 pep chromosome:ASM357664v1:3:21821374:21822180:-1 gene:itb03g23600 transcript:itb03g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRASMLAVAIFALVLAAVSFPAVADQAAPAPSPTATSDGTSIDQGIAYLLMLLALVLTYIIH >itb13g16390.t1 pep chromosome:ASM357664v1:13:23365261:23369374:-1 gene:itb13g16390 transcript:itb13g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5 [Source:Projected from Arabidopsis thaliana (AT5G57390) UniProtKB/Swiss-Prot;Acc:Q6PQQ3] MDMDSSSSSSSAHNWLAFSLSNPQTPPNPSQLSFFHSFNSNSNSAVVRGEENGGGPKLEDFLGGSVGSSAGGAGGACLIAGESAAVSEPESYDSELKSIAASFLRGFTADQNDTQKPQQAVAAPPPDPPAKKAPETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGPTTTTNFPVSNYKKELEEMKHMTRQEFVASLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKTIGNSNLPIGGLSNKPKTSSESDAKISDDRDQTSASSSVSFTPIPPPAPAFALPIKQDSNDFWSMLGCHNNNNTKNPCPSAGVNFPGPPSSTSIQAQSTYIQAQSTPLFGMEIPLTSHINQANHNFPGMNFHHHSNTAGGLSSAPTPETRGGWGNIPSSLSAFQAAKSDLSAFHQTPIFSME >itb13g13400.t2 pep chromosome:ASM357664v1:13:19896163:19902215:1 gene:itb13g13400 transcript:itb13g13400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTFRLPCGVPIRDDAYVLLTLRTLYEMMCTLRFLANLGFTSFVRRLPSLLTYNSLCLNDSTRPSPPETSTGDNYSVSGSIFASLPLYRSQPRLRLSGFSGDRLGLEYVTGLKFVKDKSAKLKQASKTDHCVGLSFRWVANLYFLMISILSCTPIRNVSRMTCQLKYSCRRLSRSEMDDCSLEETSGWGHCET >itb13g13400.t1 pep chromosome:ASM357664v1:13:19896163:19902215:1 gene:itb13g13400 transcript:itb13g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTFRLPCGVPIRDDAYVLLTLRTLYEMMCTLRFLANLGFTSFVRRLPSLLTYNSLCLNDSTRPSPPETSTGDNYSVSGSIFASLPLYRSQPRLRLSGFSGDRLGLEYVTGLKFVKDKSAKLKQASKTDHCVGLSFRWVANLYFLMISILSCTPISPVSRITNVVPLSLVLLVSLIKEAWEVWKRFQNDMSIKILM >itb05g12250.t1 pep chromosome:ASM357664v1:5:18757837:18760502:1 gene:itb05g12250 transcript:itb05g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAKSAALYSLNTKHRSAAAPLTSPSTSLQLPRQLRCVRIGFHGSDPQSRPRTLPLVQAKETFSSFDDVLENSDKPVLVDFYATWCGPCQFMVPILNDVGNAMKDKIQVVKIDTEKYPSIADRYKIQALPTFILFKDGEPCDRFEGALSADNLIKRIEDALKLVQ >itb05g12250.t2 pep chromosome:ASM357664v1:5:18757837:18760030:1 gene:itb05g12250 transcript:itb05g12250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAKSAALYSLNTKHRSAAAPLTSPSTSLQLPRQLRCVRIGFHGSDPQSRPRTLPLVQAKETFSSFDDVLENSDKPVLVDFYATWCGPCQFMVPILNDVGNAMKDKIQVVKIDTEKYPSIADRYKIQALPTFILFKDGEPCDRFVSNQIIGHLFPIYPCFGLPVKELA >itb08g08680.t1 pep chromosome:ASM357664v1:8:7666543:7668288:-1 gene:itb08g08680 transcript:itb08g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGPVKYKYSEHRRQTKMFGPAPAPAAGFSGKRKSPEVNGGLPRVVRISVTDDDATDSSGDEGEPEPSGGRRRVKKYVNEVTIERCGRDSYGNDGVLKRRKMAPAPEPESRLKKKKMVNNVRKYRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPHALTNFSVPSTPASLVDNHRKPESPSLSGYNSGEESHASRRSPNSVFLFNSEADSEPIFAHPDDVVISENFSSFSPFPDHWFENPVQEPGLFHEPGFPDEIFEESCSEMFIGSSHNFGLGSSTIPADDYFQDFEDVFGSDPLVAL >itb07g12070.t2 pep chromosome:ASM357664v1:7:13637063:13638002:1 gene:itb07g12070 transcript:itb07g12070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSSSPSPPHYTFCEKLLGDTIVTVEPMIRKWDVQASATFARISYLFRDSPVEAKQFLGCVDDLQHAMRFSVRHCSDSELLVRAQTLMQIAMKRLQKEFYTILSGNRYFLDLETVSARSSRASSQSTVPDSEGDSPQEDGDVLDKVAGISIPDSAVAYLKAIATCMIGAGYGKECVKVYNMIRKSVIDETLYDLGIEKFNSSQIQKMDWEVMERKILNWQSCIRIAVKTLFLGERILCDEVFSAFDHIRESCFAEISKDASILLSP >itb07g12070.t1 pep chromosome:ASM357664v1:7:13637026:13638645:1 gene:itb07g12070 transcript:itb07g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSSSPSPPHYTFCEKLLGDTIVTVEPMIRKWDVQASATFARISYLFRDSPVEAKQFLGCVDDLQHAMRFSVRHCSDSELLVRAQTLMQIAMKRLQKEFYTILSGNRYFLDLETVSARSSRASSQSTVPDSEGDSPQEDGDVLDKVAGISIPDSAVAYLKAIATCMIGAGYGKECVKVYNMIRKSVIDETLYDLGIEKFNSSQIQKMDWEVMERKILNWQSCIRIAVKTLFLGERILCDEVFSAFDHIRESCFAEISKDGAINLFGFLELAAKYKKISIEKMFRYLDLYEAVSDLSPEIESIFSFDSTVAVKSQAATSVSKLGDAIRAMLSEFEAAIQKDSTKPPPGGAIHPLTRYVMNFLVFLADYSGTVSEIIADWPPSSQSPLPESYFSSPSSMNDDSTVSTKFAWLVLVLLCKLDSKARHYGDVPLSYLFLANNLNYVVSKVRSSNLSLVLGSDWISKQEGKVKQFIANYERLT >itb05g20700.t1 pep chromosome:ASM357664v1:5:26749591:26750323:1 gene:itb05g20700 transcript:itb05g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQELKNKKKRNGGEWKNRISFSASLPDDAHEAFSDSICAVMYSTDPVSDIRASILEMIHDVGVQDWGEMEELIYCYIVLNSLEVHGFIAEAFLSLCSTSSTT >itb05g13280.t1 pep chromosome:ASM357664v1:5:20153853:20154528:1 gene:itb05g13280 transcript:itb05g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAALAEAYVMRKLHKQKMKKTTENSATAQNHSPAKPSSAGCFPLIFKKVHPSAAPPSSASSRFPAETAMSDIKS >itb03g02800.t1 pep chromosome:ASM357664v1:3:1612735:1615532:-1 gene:itb03g02800 transcript:itb03g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVARPFHPTIELQRPTLGIQIIFTAKTGLYVLWHAAAAAMDHEVPGAFGTSASLALRLGQTIFSIASLIFMCLDVEFYSYTAFCFLVTIMGLLVPWSLSLAIADMICIFFRRPALQPGLISILVLGDWVLSFMSLAASCSAASVADFLLASSASSCSAFLCNRYQLSAAMAILCWFLEFSSSLFNLWLLPSL >itb03g02800.t2 pep chromosome:ASM357664v1:3:1612735:1615532:-1 gene:itb03g02800 transcript:itb03g02800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVARPFHPTIELQRPTLGIQIIFTAKTGLYVLWHAAAAAMDHEVPGAFGTSASLALRLGQTIFSIASLIFMCLDVEFYSYTAFCFLVTIMGLLVPWSLSLAIADMICIFFRRPALQPGLISILVLGDWVSKHLDHSPYL >itb01g18260.t1 pep chromosome:ASM357664v1:1:23244906:23247594:-1 gene:itb01g18260 transcript:itb01g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHITGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELIAAASQPLPDDDDDTFE >itb15g01730.t1 pep chromosome:ASM357664v1:15:1028958:1030262:1 gene:itb15g01730 transcript:itb15g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSESTKIDVAESSKERKGKAPLLGALNVASDNKAAPAPVGGGGYKKGLAIFDLILRICTVATAIAATVTMATAEETLPFFTQFFQFEASYDDIPTFSFFVISMGIVSGYLVLSIPFSIVCIARSYALGPRMFLIIADTVAITLATAAAGSSAAIVYLAHNGSSDANWLAICQQFGDFCQRASSAVVVAFVTVVILIIMVVFSACALRRR >itb05g02710.t1 pep chromosome:ASM357664v1:5:2193531:2195892:1 gene:itb05g02710 transcript:itb05g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSDSPAVPVPAFDDDGTFVGYDPRLSAHLFTQSESAMDSAADDDSSFFTSVPVQYILPPPPISVAGSIADPIGFSAFSLGANERSFGDEFVASSGTLLPPPTEMSEGGFVREWTRQNAIRLELRDKTEKELLSQIIDEANEYKDEFYKKRLVSLETNKGIFREKEKLFLSSHEKFYAEADKHYWETIAELVLNEVPTIVKKNTENQQRKHSIAVIQGPKPGKPADLSRMQEILLKLKHNAPPHLDLSQPPSTTTATTKGGRNEAATPGAAGVISPRSVAVA >itb01g04910.t1 pep chromosome:ASM357664v1:1:3343486:3347835:-1 gene:itb01g04910 transcript:itb01g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFGSGPGTGKAYPGNLNGYVTFTCIVAAMGGLIFGYDIGFQKNADTSTNQYCKFDSVPLTLFTSSLYLAALFSSLVASYVTRKLGRRLSMLLGGLLFCAGALLNAFARDVAMLIVGRILLGFGVGFANQEQQWRVVVLALVLALEKHTLVISMVMSLLLASLLPWEALFSVTISDFRGCDIYGFVLEKFFHSVYRKKNADTSTNQYCKFDSVPLTLFTSSLYLAALFSSLVASYVTRKLGRRLSMLLGGLLFCAGALLNAFARDVAMLIVGRILLGFGVGFANQVLYCLRSIYSLA >itb01g14690.t1 pep chromosome:ASM357664v1:1:16797257:16800786:1 gene:itb01g14690 transcript:itb01g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASELPDELWRRILETGIHLSNLSYRDLCCISITCRRLNRISGEDFLWYSLLSSDFPQPHHNDPSSASTSSKKKSLYKIRYERDRERKRLAHRRAVLRMESEVAEHRRKVQELELQLAEEKEKMKSAVVELSNLRRVRQASVALNVWQPDVIRGRQKQVVEQCNVPVDSRINSTEMELRLCRQQIEGFDKALYY >itb01g14690.t2 pep chromosome:ASM357664v1:1:16797257:16800786:1 gene:itb01g14690 transcript:itb01g14690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASELPDELWRRILETGIHLSNLSYRDLCCISITCRRLNRISGEDFLWYSLLSSDFPQPHHNDPSSASTSSKKKSLYKIRYERDRERKRLAHRRAVLRMESEVAEHRRKVQELELQLAEEKEKMKSAVVELSNLRRVRQASVALNVWQPDVIRGRQKQVVEQCNVPVDSRINSTEMELRLCRQQIEGFDKALRVEVRRLEAAKEKLASVKYHPLQDNNLAPSPLGTRKKKLKSSSNVS >itb01g14690.t3 pep chromosome:ASM357664v1:1:16797257:16800786:1 gene:itb01g14690 transcript:itb01g14690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASELPDELWRRILETGIHLSNLSYRDLCCISITCRRLNRISGEDFLWYSLLSSDFPQPHHNDPSSASTSSKKKSLYKIRYERDRERKRLAHRRAVLRMESEVAEHRRKVQELELQLAEEKEKMKSAVVELSNLRRVRQASVALNVWQPDVIRGRQKQVVEQCNVPVDSRINSTEMELRLCRQQIEGFDKALVSNYLLLTSAILYFVLCYL >itb01g14690.t4 pep chromosome:ASM357664v1:1:16797257:16797955:1 gene:itb01g14690 transcript:itb01g14690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASELPDELWRRILETGIHLSNLSYRDLCCISITCRRLNRISGEDFLWYSLLSSDFPQPHHNDPSSASTSSKKKSLYKIRYERDRERKRLAHRRAVLRMESEVAEHRRKVQELELQLAEEKEKMKSAVVELSNLRRVR >itb07g17210.t1 pep chromosome:ASM357664v1:7:21425361:21428587:-1 gene:itb07g17210 transcript:itb07g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSQDPSSGRCLIVGNYCHDVLIKDDVVIAESLGGAASFISSVLDGFSVSSIYVSKVGPDFGYCMNHRPIVSSSSSQTTLFHAHFSSEIKHQDRVLKRVTACDPIYPSDLPDSEFEFGLAVGVGGEILPETLGRMVEICKTVFVDIQALIRVFDPIDGTVGLVELKKTGFFHLLPRIGFLKASSEEAPYVDIMEATKWCCVVVTNGKEGCTVYRKDRKLQIAPFPTTQVDPTGAGDSFLGGLVAGLVHGLSVPEAALLGNLFGSLTVGQIGLSKFDSWQLQRVKDEILRRRLQSFGCQEKQAEDPNIMKTADLEMFHTALSAAKSVPAYSLQECKRDLPNSPRAKCSEQQRYLVHSVCEEPLKSVENKQ >itb12g03240.t1 pep chromosome:ASM357664v1:12:2134730:2136266:1 gene:itb12g03240 transcript:itb12g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISCFFMAITLLAFAPVSLCYKGYGGSLYPQYYEKSCPRALEIVRSEVAKAVAKEARMAASLIRLSFHDCFVQGCDASILLDSGNGITSEKNSNPNRNSARGFDVIDDIKAALEKECPQTVSCADIMQLAARDSTVLSGGPFWEVPLGRKDSRSASLSGSNNNIPAPNSTFQTILNRFKNQGLDLVDLVALSGSHTIGNSRCVSFRQRLYNQAGNNQPDSTLDQSYAAQLRNRCPRSGGDSNLFFLDFVSPTKFDNSYFKLLLANKGLLNSDQVLTTKNDASLQLVKAYAENNELFLQHFASSMIKMANISPLTGSNGEIRKNCRKINS >itb03g16630.t1 pep chromosome:ASM357664v1:3:15516857:15519473:-1 gene:itb03g16630 transcript:itb03g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRSFRFIVFKIEEKQKQVIVEKLGEPAQSYEDFTASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDIARVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVIRSRAGCI >itb03g14420.t2 pep chromosome:ASM357664v1:3:14146336:14148252:-1 gene:itb03g14420 transcript:itb03g14420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKTAVADIPYGGAKGGIGCNPKDLSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLSEYGKPIKDMTFAIQGFGNVGSWAAKLLHERGGKVVAVSDITGALKNPNGIDIPALLKHKEATGKLTNFSGGDAMDSNELVTYKCDVLIPCALGGALNRENASDIKATFIVEGANHPTDPEADEILSKKGTVILPDIYANSGGVTVSYFEWVQNIQGFMWDEDQVNEELKKYMTRAFGDIKNMCQSHACNLRMGAFTLGVNRVARSTLLRGWGS >itb03g14420.t1 pep chromosome:ASM357664v1:3:14146265:14150109:-1 gene:itb03g14420 transcript:itb03g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRLASRILGLDSKIEKSLLIPFREIKVECTIPKDDGTLVSYIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPKDLSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLSEYGKPIKDMTFAIQGFGNVGSWAAKLLHERGGKVVAVSDITGALKNPNGIDIPALLKHKEATGKLTNFSGGDAMDSNELVTYKCDVLIPCALGGALNRENASDIKATFIVEGANHPTDPEADEILSKKGTVILPDIYANSGGVTVSYFEWVQNIQGFMWDEDQVNEELKKYMTRAFGDIKNMCQSHACNLRMGAFTLGVNRVARSTLLRGWGS >itb11g22660.t1 pep chromosome:ASM357664v1:11:24588640:24589380:-1 gene:itb11g22660 transcript:itb11g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQSKGGPVVPESVLKKQKRNEEWALAKKQEIAAVKKKNAENRKLIFCRAKQYAKEYEEQQKELIQLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPNTKKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKVNKQRIALTDNSVIEQTLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >itb12g10470.t1 pep chromosome:ASM357664v1:12:8546528:8549773:1 gene:itb12g10470 transcript:itb12g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLYRHAVDGNIEAISTLRRDGTSLEWVDREGKTPLIATCMSSERFATARTLIELGADVNAYRPGHNAGTPLHHAASRGLDNIVQLLLFHGANALVKNDDSQTPLQVARVKGFSNVVRTIESHICIFSGWLREFYGPSFLEVLAPKLLSRKIWAVVVPHDSTKPLKLELAIYSSLQDAQPRTVISLWRTNVEEPNFQQVDPAVIISDKSTKSQYKFASGSHGDKHQLHFLYKACIGVQVTRPDIADNFQMRVLESAAETAAEAMELARGISASIQSSTEAREPRTVIAHSSEAKNANGWGSVVRPTPSDMSFQGWGNEPPKVRDNEWGNGVDGSNHYGKPPPADALAKVQCNGWESAAQNPTQDPRGSTSRLTSSDVYSSEWIDNPTKENSRRRDNVHGRSPGKNQLDNSGTQDGIHHTTDFAVPSAPPAPEPVLSERSVHYPTIDLTPVEFSSSPVDEQEGLQKTDKLHNSPLCIICWESPVEAACVPCGHMFGCLSCSNDIKSRKGDCPVCRQMIDQVIKLYAV >itb01g08520.t1 pep chromosome:ASM357664v1:1:6885046:6887470:1 gene:itb01g08520 transcript:itb01g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLQSKRRRKRPDCLPEVPGGDGGDHRDEKRVVAKTRGLKEIITSLLLLEEQEKQEREEYEREEEESKSHLEANHKKRTRVMWEFFSNSQHHQSAAEQIDGARKREERANTRAAAAAIAAAAEQEADSGSSKTEKTAAPQRRLWVKNRSTAWWDECNSADFPEEEFKKAFRMGKDTFEMICNELSSAVARENTMLRDAVPVKQRVAVCIWRLATGEPLRLVSKKFGLGISTCHKLVLEVCTAIRTVLMPKYLQWPEETKITSIKHEFETISGIPDVVGSMYTTHIPIIAPKISVASYFNKRHTERNQRTSYSITVQGVVDPKGVFTDVCIGWPGSMPDDQVLEKSALSQRANGGLLKGVWIVGSSGFPLTDWVLVPYSQQHLTWTQHAFNEKIAEVQKAAKDAFARLKGRWSCLQKRTEVKLQDLPVVLGACCVLHNICEIRNEAMDPELAIELIDDVTVPEALLRSTSARISRDNIAHNLLHHNHAGTSFLS >itb08g01030.t1 pep chromosome:ASM357664v1:8:760360:761170:-1 gene:itb08g01030 transcript:itb08g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKVSALLFICMACMASPPVYGCGGCPPLPSPPYHRPSPPKPRPHPPKTPRPHPPPVVNPPVILPPPGVLPPIINPPGILPPIINPPIINPPGGGGYPPYTPAPGGGGGGIFPPPAAKCPINALKLGLCLDVLGGLVHVGIGNPVENVCCPVLQGLLELEAAVCLCTTIRIKLLNLNIFLPLALQVLATCGLTPPPGFTCPPLL >itb01g17930.t1 pep chromosome:ASM357664v1:1:22793895:22794224:-1 gene:itb01g17930 transcript:itb01g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDPRPATDTTFIIYALQNMAKEQKSQFKTIKKVIRRAKMDVEKKNDDSQPPSTFHNTDFEEGTLKVQSNNDTMIGYLMEGLTNIQKGQITSVSKRELISRTLSLIW >itb15g09900.t1 pep chromosome:ASM357664v1:15:7155065:7155641:1 gene:itb15g09900 transcript:itb15g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKGSMTTLVVVFIMVTAMLELSMAQETCDVSDLSPCGPAVMIRSDPTTECCSILKELRPKCFCEYLKNPVYQMYEHNARQVFKDCGLHAPTC >itb09g10110.t2 pep chromosome:ASM357664v1:9:6280305:6283533:1 gene:itb09g10110 transcript:itb09g10110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCCIFPVCIEKENLCLYGHPNETWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDCWLLSVAFYFGAQINRNERKRLFSLINDLPTVFEVVTERKPVKDKPSADSGSRSRGSTKRTSDGQVKSAPKLADESYEEDEEEHGETLCGSCGGNYNADEFWIGCDICERWFHGKCVKITPAKAENIKQYKCPSCSLKRGRP >itb09g10110.t1 pep chromosome:ASM357664v1:9:6279752:6283563:1 gene:itb09g10110 transcript:itb09g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSPRTVEEIFKDFSARRAGIVRALTQDVDEFYGLCDPEKENLCLYGHPNETWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDCWLLSVAFYFGAQINRNERKRLFSLINDLPTVFEVVTERKPVKDKPSADSGSRSRGSTKRTSDGQVKSAPKLADESYEEDEEEHGETLCGSCGGNYNADEFWIGCDICERWFHGKCVKITPAKAENIKQYKCPSCSLKRGRP >itb12g01180.t1 pep chromosome:ASM357664v1:12:848846:852398:-1 gene:itb12g01180 transcript:itb12g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVHEKLEEAMAENEKLRKEHEMKAESMENLRRAYDEQVMKAEDGSSKVEKLTQELSLKEDELSAAKQKFEELNANLKAKESAIKHLSSANDRLRLDSAEKAKKLEEENRKMVRALDEANAVRMDQNQQIQSLRNENERLREMVSASQKMCSEAEERAKACRELRQRDEVLFKLEEEKIKLEDELKWKKEQFCHLVEAHENLQKKFREREKEWEEEKETLFDHISTLQSTLDSQTRISEDLRTRLQMCNQALAHEETRRKFMEAQLSESKSCIDSVSAEYEEAKSRIDGLCAQRDKEIANLRDAMGSREAIYKETEYRFRKLEQEKQELMASLKEFQEAGIKASGNSSLSKLRNKLKAKEAQWASEMEGLAGDLDCCKSELKNKDGLITELQKELQSCHSLMFELTLQNEETSVMLLVLKSHLSEVYQSLANAYAAVDFKNKEDELHISTLMQQLDEKNSTLVIAEKDLEDERHKVAALSARIESLNLIDEEQVPLQTEVDKLKEMLKEASAYQEHLKEQVMRTESDLTEAQDALDRANEELADVLYEGNEAVFELQIWRTIAERLKSSLDENLIMRRKVEDSLFAQMHVEIDLRQEKDSLIANLAEKETETTDLKQKIALLNEELEKRDLQKELEWLREELEGSILAQQEAERIHEKEKASFHHRIEDLQKLVLSLEQEFENTTTSFSSRLVQMQADTVKFRQTWETVREAVVLKEIEIQETNMINSELENDFTTLKQKVEQQNRRLSTLSSNLGNQTSNSDREMLLDMLTGLSDRMSQLSMEDKQLTKELGRIMQSFNSNGGLRVNEFFDPAKENLNRCPSPTKTVEAAMAGERSPLRALNN >itb01g26240.t1 pep chromosome:ASM357664v1:1:31469888:31474864:-1 gene:itb01g26240 transcript:itb01g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSGPDGKKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMHVVKPLPARDKDLCRFHADDYVSFLRSITPESQQDNLRHLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGQCDIAVNWSGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGDIGDVGYGKGKYYSLNVPLDDGIDDESYQMLFKPIMGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQMLEDMRAKLLDNLSKLQHAPSVQFQERPPDTEFPEADEDEDVKDERWNHDSDVKDDQRKPQSGRVKREILEPEAMGTDDMQIDERPRELDSTFTDSKGSIAAAAEAQMQGNSNKAFDQPVDMNL >itb01g26240.t2 pep chromosome:ASM357664v1:1:31470338:31474849:-1 gene:itb01g26240 transcript:itb01g26240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSGPDGKKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMHVVKPLPARDKDLCRFHADDYVSFLRSITPESQQDNLRHLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGQCDIAVNWSGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGDIGDVGYGKGKYYSLNVPLDDGIDDESYQMLFKPIMGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQMLEDMRAKLLDNLSKLQHAPSVQFQERPPDTEFPEADEDEDVKDERWNHDSDVKDDQRKPQSGRVKREILEPEAMGTVLIVDLQF >itb07g03370.t1 pep chromosome:ASM357664v1:7:2265205:2266964:1 gene:itb07g03370 transcript:itb07g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIPSPEIHKIQVPSHISTIICHLSNSPNQRAATDCISHHLHFPLCILLSAFRPTRIMASLAASTAAASLSKSEMLGNPLKFSNAPRSAPAPSTPATFKTLALFKKKAPPAKAKPSAVSPVDDELAKWYGPDRRIFLPDGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVVAVVAEVVLLGGAEYYRIINGLNLEDKLHPGGPFDPLGLANDPDQAAILKVKEIKNGRLAMFSMLGFFIQAYVTGQGPVENLAAHLSDPFGNNLLTVIAGSAERTPTL >itb11g21870.t1 pep chromosome:ASM357664v1:11:23657964:23665227:1 gene:itb11g21870 transcript:itb11g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTAESGGASLPSTGTDAKKRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHNLVVHYSLHRRMEISRPFPADAVDIRRFHSPDYVDFLASVSPETLHDHTHSRHLKRFNVGEDCPVFDGLFKFCQASAGGSIGAAVKLNRQDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKYHRRVLYVDIDIHHGDGVEEAFFTTDRVMTASFHKFGDFFPGTGHIKDIGVGLGKYYALNVPLNDGMDDESFRSLFRPIIQKVMEVYQPDAVVLQCGADSLAGDRLGCFNLSVKGHADCLHFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHVEPHPMENQNSPRDLEKIRNMLLEQLSELPHAPSVPFQMTPSTIEVPEEREEDMDQRRNPRIWNGDEYFSDGEEDEKPRLRRSSDANMTPKKNSNMREYFDGMDDDMIDADN >itb11g17660.t1 pep chromosome:ASM357664v1:11:17631372:17635606:1 gene:itb11g17660 transcript:itb11g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKQGFVFTTLLLLLLLLTLLTCHGVYCHRPAPAAKADSSSATPGRIVRRSMLTNGLGLTPPMGWSSWNHFGCNIDEKIIKQTADALVSTGLAKFGYRYVNIDDCWAEINRDDQGNLMPKNSTFPSGIKALADYVHSKGLKLGIYSSAGYFTCSRKMPGSLGREEQDAKTFAYWGIDYLKYDNCYHDGSKPTVRFPVMTGALMKAGRPIYYSLCEWGDMHPALWGGKVGNSWRNTNDITDKWDSMVTRADENEVYADYARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLIIGCDVRTATKETLEILTNKEVIAVNQDPLGVQAKKVRKEGDIEIWAGPLSGYRVGVVLLNRGPWRYEITAEWDDIGFPLKTAAIARDLWEHKTLKTRFVGNLTATVNPHSCKMYILKAVA >itb10g05010.t1 pep chromosome:ASM357664v1:10:4985146:4988243:-1 gene:itb10g05010 transcript:itb10g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLRRITILQFLLFLSGFGFLREVESLGINYGQIGNNLPAPEKALQLLEALRITKTRIYDTNPEILTAFANSNVELIVTVENEMLASLAGDPQQANQWVSANIKPYFPAAKIAGISVGNEIITDDDTSLMGYLVPAMVNIHAALVKQGFDRYIQISSPHSLAVLGNSFPPSSGYFKPEIAGVMKQFLQFLAATGSPFWINAYPYFAYKDSPAKISLDYVLFNPNAGMVDPYTRLRYDNMLYAQVDAVVFAISRMGFTGVEVRVSETGWPSKGDADEVGASLQNAAIYNRNLMRRQLLNEGTPLRPNVRLEIYVFALFNEDMKPGPTSERNYGLFQPDGTMAYNVGLLSSTSASSSPSSASVSLASSATKVEHMEYQSLGFWMLVHLLAWQAMIRRQH >itb06g08000.t1 pep chromosome:ASM357664v1:6:11904706:11907596:1 gene:itb06g08000 transcript:itb06g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCSNCGRAGHNSRTCNNSSINHHHESKSSTSCSHTISIKLFGVKLGISQSSSSPTNILSSSSSPLPPASLAAIRKSFSFECLSSPTITNSLPANRGGTGHSGYLSDGLTTRNHHNKKGLAWSEEEHRVFVVGLEKLGKGDWKGISKQFVTTRTPAQVASHAQKYFLRLNNLRTKNTRPALFDVVLPPQNPVATDESTICNGNLKKSKSSIINLRCSKSSECSMPAVISSPVDQSQLITTPPSITPTTQNINNGVYTSSPLPAADLELTLAITPPRNQNKSPASACHVGGTIAVV >itb12g23810.t1 pep chromosome:ASM357664v1:12:25456964:25459853:1 gene:itb12g23810 transcript:itb12g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKAKLCSFDLDMEKKNGVLCKSYSKLLELSATDDVAGFICEVEEKGCDVNELSLWYGRKIGSKKMGLEERTPLAIASMYGSTGVLKYIIGTGKVDVNMACGSDGATALHCVVAGGSDSSLEVAKVLVGANADVNALDANGNKPGDLIASFQKLSGNLKRRTLGLLLKGGEVELGDEEEEQVKAVAPLFAKEGCEKKEYPIDTSLPDINTGIYGTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPSKYTYTCVPCPEFKKGTCAKGDACEFAHGVFESWLHPAQYKTRLCKDETGCSRKVCFFAHKPEELRPLYASTGSAIPSPKSGSVSSMDTATLGPLALGSSLLMPTTSTPPMSPTVSCSSPMGGNTWQNKMNFIPPALQLSGSRLKTALNARDLDPDMELLSLESIRTQQQQQRQQLIEEMAGLSSPSRWNGDYNRLGDLKPTNLDDVFGSLDSSLSHLQGLSPKVARNSSSQLQSPTGLQIRQNMNQLRASYPSNISSSPARKPSTYGYDSSAAVAAAVMNSRSAAFAKRSHSFIDRGGVIPRSSNSPPLMTSKMSDWGSPDGKLDWGFNGEELNKLKKSASFATRNGSPASTRASFLPSHSDEPDVSWVHSLVKDVSSGSAGLYDSEQKRRVHDSVPSWIDQMYIDQEQVVA >itb01g19280.t2 pep chromosome:ASM357664v1:1:25249477:25251380:-1 gene:itb01g19280 transcript:itb01g19280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVIKMGSTRPDLSCRVSPEPVDHFDCLPDSLVVLVFNRIGDVKALGRCCVVSKRFHALVPQVENVVVKVDCVISDDDASSSASADDGAARRSRHSVSSLLRLAFSGLLKPLQSFSQFIATSARCDAVSSALGDDDFDRDDVTHHSPSQVLKNFSEIKFLQIELPSGELGIEDGVLLKWRADFGSTLENCAILGAAKLIPPLKLEGNDASISIPIAALSEQAPVNNNAAIDGNGENEFHTNGGLKMRVVWTISSLIAASARHYLLQPIIAEHKTLDRLVLTDASGQGILSMNREQLEELRVKPLLASAASKRTMVPALNMRLWYAPHLELPDGTVLKGASMVVIRPSEQPRRDVVGSDENSVASLFEQPYGTAVRMLMKRRTYCLEMNSF >itb01g19280.t1 pep chromosome:ASM357664v1:1:25247790:25251380:-1 gene:itb01g19280 transcript:itb01g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFVIKMGSTRPDLSCRVSPEPVDHFDCLPDSLVVLVFNRIGDVKALGRCCVVSKRFHALVPQVENVVVKVDCVISDDDASSSASADDGAARRSRHSVSSLLRLAFSGLLKPLQSFSQFIATSARCDAVSSALGDDDFDRDDVTHHSPSQVLKNFSEIKFLQIELPSGELGIEDGVLLKWRADFGSTLENCAILGAAKLIPPLKLEGNDASISIPIAALSEQAPVNNNAAIDGNGENEFHTNGGLKMRVVWTISSLIAASARHYLLQPIIAEHKTLDRLVLTDASGQGILSMNREQLEELRVKPLLASAASKRTMVPALNMRLWYAPHLELPDGTVLKGASMVVIRPSEQPRRDVVGSDENSVASLFEQPYGTAVRMLMKRRTYCLEMNSF >itb10g04340.t1 pep chromosome:ASM357664v1:10:4127203:4128614:-1 gene:itb10g04340 transcript:itb10g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPSFAGKAVKLSPSTPEITGNAKFSMRKSAAKTVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb05g17380.t2 pep chromosome:ASM357664v1:5:24345888:24348889:-1 gene:itb05g17380 transcript:itb05g17380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MASHLYITKLLFSPPKLSLSYFALKTTVQLRAATTVAAAQTSPFYNQTFCHIFRECSRRRALYAGKQAHALMVTSGFEPTVYVTNCLILMYTRCSDLNYADKVFDRMPNRDVATWNAMISRYSAVGDLGNAQMMFDCMPERDVISWNSMMSGYLQNGDCQKSVEVFGEMGREGIAFDSATFVIILKTCLALENYGLGLQVHGLGIKVGLVMDVMTGTAILDMYAKCKRLDDSVYFFSEMPEKNTVTWSALVAGYVQNNQLVDGLELFKKMQNEGVGVSQSAYASVFRSCAGLSNLRFGSQLHGHALKTNFGSDIIVGTSTLDMYAKCNKLVDARKVFNLLPIRNLQSYNALIVGYARGNESFEALRLFRLLVNSGLGFDEVSLSGVFSACAGVKGHLQGVQVHALAMKTPFHSNVSVANAILDMYGKCGGPFEAHCVFDEMEVRDAVSWNAIIAAYEQNGFTEETLLLFVWMIRSGMEPDEFTYGSVMKVCAAQQALHYGVEIHSRIIKSGMGFDSFVGSSVVDMYCKCAKLEDAEILHDRLQEQGTVSWNSMISGFSLHEKSEEAQTIFSKMLSEGIQPDNFTYATVLDICANMATVGLGKQIHAQIIKQELLLDAYIVSTLVDMYSKCGNLQDSRLMFEKAPNRDNVTWNAIICGYAQHGLAEEALQIFGHMELEGIAPNHSTFLSVLRACVHMGLVKKGFHYFNLMLEKYELEPQIEHFSCMVEILGRAGQVTDALNLIQEMPFEPDDVIWRTLLSTCKMHGNVEVAEVAANSLLQMDPEDSSTYVLLSSVYATAGMWNRVAELRKVMRFGSLKKEPGCSWIEVNSEVHMFVVGDKAHPRCTEIYMNLQLLISEMKLAGYELDGEFAPTYLGKEDSPQELFLD >itb05g17380.t1 pep chromosome:ASM357664v1:5:24345888:24348889:-1 gene:itb05g17380 transcript:itb05g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MASHLYITKLLFSPPKLSLSYFALKTTVQLRAATTVAAAQTSPFYNQTFCHIFRECSRRRALYAGKQAHALMVTSGFEPTVYVTNCLILMYTRCSDLNYADKVFDRMPNRDVATWNAMISRYSAVGDLGNAQMMFDCMPERDVISWNSMMSGYLQNGDCQKSVEVFGEMGREGIAFDSATFVIILKTCLALENYGLGLQVHGLGIKVGLVMDVMTGTAILDMYAKCKRLDDSVYFFSEMPEKNTVTWSALVAGYVQNNQLVDGLELFKKMQNEGTNFGSDIIVGTSTLDMYAKCNKLVDARKVFNLLPIRNLQSYNALIVGYARGNESFEALRLFRLLVNSGLGFDEVSLSGVFSACAGVKGHLQGVQVHALAMKTPFHSNVSVANAILDMYGKCGGPFEAHCVFDEMEVRDAVSWNAIIAAYEQNGFTEETLLLFVWMIRSGMEPDEFTYGSVMKVCAAQQALHYGVEIHSRIIKSGMGFDSFVGSSVVDMYCKCAKLEDAEILHDRLQEQGTVSWNSMISGFSLHEKSEEAQTIFSKMLSEGIQPDNFTYATVLDICANMATVGLGKQIHAQIIKQELLLDAYIVSTLVDMYSKCGNLQDSRLMFEKAPNRDNVTWNAIICGYAQHGLAEEALQIFGHMELEGIAPNHSTFLSVLRACVHMGLVKKGFHYFNLMLEKYELEPQIEHFSCMVEILGRAGQVTDALNLIQEMPFEPDDVIWRTLLSTCKMHGNVEVAEVAANSLLQMDPEDSSTYVLLSSVYATAGMWNRVAELRKVMRFGSLKKEPGCSWIEVNSEVHMFVVGDKAHPRCTEIYMNLQLLISEMKLAGYELDGEFAPTYLGKEDSPQELFLD >itb06g21480.t1 pep chromosome:ASM357664v1:6:24028553:24030504:-1 gene:itb06g21480 transcript:itb06g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGRRSLLRALPTFFASPAFPSSNGIAGKTSPVKTAPCPRKCRSLVVRAQQRPTWLPGLDPPSYLDGTLAGDFGFDPLGLGENPESLRWYVQAELVHARFAMAGVAGILFTDLLRVTGIKDLPVWYEAGATKFEFASTRTLIVVQLLLMGFAETKRYMDFLNPGSQAQEGTFLNIEAALEGLEPGYPGGPLFNPLGLAKDIKNAREWKLKEIKNGRLAMVAMLGFFVQASVTHVGPIENLAQHLSDPWHKTIIQTLAGSGS >itb04g24390.t1 pep chromosome:ASM357664v1:4:29089816:29091975:-1 gene:itb04g24390 transcript:itb04g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERAKEAGGDSKNGDSNDEVFPGVNDSKGKTKVEFPSSSSSLSSTELRSCDELNERSDESDAKEGPEETTPLSEWEDPMAVELEKLLIPNIKKATLTAMKKIVECGFTEEQAEWAVLNSGSYQGYFDIISNIFTGAWVVLTCSNKDGLDFSIPLFDGIESLAAYILLEMVCVLKEVKPFLSVAEAMWLLLICDLNVLEAVEHGTVNTNPVLCSCCTSKHSECKNDTPRAASRDQGGVKREWECTICLTKATSVAFLPCAHQVLCKDCNTLHENQGMKDCPSCRTPIVQRIHARFPPRRPH >itb10g19750.t1 pep chromosome:ASM357664v1:10:25482418:25483488:1 gene:itb10g19750 transcript:itb10g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADEDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSSESKSTIGVEFATRTIEVDDKLAKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITRHITFDNVERWLRELRDHTDQNIVVMLVGNKADLRHLRAVTTPTAQAFAERENTFFIETSALEALNVEMAFTEVLTQIYRITSRKALDIGDDPMALPRGKAINIGESSNPSGGGCCSS >itb04g33170.t1 pep chromosome:ASM357664v1:4:35498725:35504213:-1 gene:itb04g33170 transcript:itb04g33170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDYKAFGAKLLLVNHWFGNCFHFVHRVMTIWSNERSPTSGRQRKRLFGYNNDQFHGSPSNLKKQKIDVTTKYPEYFDGLHASAETVVLALPAARRLAPSHVDKSSGSQHISSKELDASKPRTGSMEVDLPAILKGTNLYNVASGEDCSKGYGETCKLVQVRRNYDSDIVEVEGHGICNLIPHESNSFQSNHESSLSNDRIHEQLGKQIVDGERQRLCSRYKKTKKLIDKNKHVDSVDSEQNLVISPTLCNDSASEYLNIAPTNECSLVDSRKQVLDALEHFKQVYNKLLEEAKRGLIKNAKGGVPMKAASFLRKQQKWLQDKPTFGVIPGVKIGDTYQFRVELAIVGLHLQYFAGIDYTDLNGKFHATSVVDSGHYENEVKSLDTLIYVGSGANKKLDDQKLEKGNLALKNSMDAKLPIRVIRLQKTVGMSSQGYTYAGLYIVSNYKQERDQRGKSVFKFELHRLSGQPNLTLPMAWDWQPIELSEREGGVNNRVVVDDVSQGKEKFPIPAVNDIDDEKPPPFTYITKMVYPCWFHCPSPTGCNCKNGCSDSKPCSCTHRNGCEIPFNNRGSILKAKPVIYECGPSCKCPPSCYNRVSQNGPKYQLEVFKTKSRGWGVRSRDYISSGSFICEYLGELLDEKEAEKRTDCDEYLFDIGNYDEGEDSDIADICRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLMLFAQEGIPPLQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb04g33170.t5 pep chromosome:ASM357664v1:4:35498725:35504213:-1 gene:itb04g33170 transcript:itb04g33170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDYKAFGAKLLLVNHWFGNCFHFVHRVMTIWSNERSPTSGRQRKRLFGYNNDQFHGSPSNLKKQKIDVTTKYPEYFDGLHASAETVVLALPAARRLAPSHVDKSSGSQHISSKELDASKPRTGSMEVDLPAILKGTNLYNVASGEDCSKAGYGETCKLVQVRRNYDSDIVEVEGHGICNLIPHESNSFQSNHESSLSNDRIHEQLGKQIVDGERQRLCSRYKKTKKLIDKNKHVDSVDSEQNLVISPTLCNDSASEYLNIAPTNECSLVDSRKQVLDALEHFKQVYNKLLEEAKRGLIKNAKGGVPMKAASFLRKQQKWLQDKPTFGVIPGVKIGDTYQFRVELAIVGLHLQYFAGIDYTDLNGKFHATSVVDSGHYENEVKSLDTLIYVGSGANKKLDDQKLEKGNLALKNSMDAKLPIRVIRLQKTVGMSSQGYTYAGLYIVSNYKQERDQRGKSVFKFELHRLSGQPNLTLPMAWDWQPIELSEREGGVNNRVVVDDVSQGKEKFPIPAVNDIDDEKPPPFTYITKMVYPCWFHCPSPTGCNCKNGCSDSKPCSCTHRNGCEIPFNNRGSILKAKPVIYECGPSCKCPPSCYNRVSQNGPKYQLEVFKTKSRGWGVRSRDYISSGSFICEYLGELLDEKEAEKRTDCDEYLFDIGNYDEGEDSDIADICRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLMLFAQEGIPPLQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb04g33170.t2 pep chromosome:ASM357664v1:4:35498725:35504213:-1 gene:itb04g33170 transcript:itb04g33170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWSNERSPTSGRQRKRLFGYNNDQFHGSPSNLKKQKIDVTTKYPEYFDGLHASAETVVLALPAARRLAPSHVDKSSGSQHISSKELDASKPRTGSMEVDLPAILKGTNLYNVASGEDCSKGYGETCKLVQVRRNYDSDIVEVEGHGICNLIPHESNSFQSNHESSLSNDRIHEQLGKQIVDGERQRLCSRYKKTKKLIDKNKHVDSVDSEQNLVISPTLCNDSASEYLNIAPTNECSLVDSRKQVLDALEHFKQVYNKLLEEAKRGLIKNAKGGVPMKAASFLRKQQKWLQDKPTFGVIPGVKIGDTYQFRVELAIVGLHLQYFAGIDYTDLNGKFHATSVVDSGHYENEVKSLDTLIYVGSGANKKLDDQKLEKGNLALKNSMDAKLPIRVIRLQKTVGMSSQGYTYAGLYIVSNYKQERDQRGKSVFKFELHRLSGQPNLTLPMAWDWQPIELSEREGGVNNRVVVDDVSQGKEKFPIPAVNDIDDEKPPPFTYITKMVYPCWFHCPSPTGCNCKNGCSDSKPCSCTHRNGCEIPFNNRGSILKAKPVIYECGPSCKCPPSCYNRVSQNGPKYQLEVFKTKSRGWGVRSRDYISSGSFICEYLGELLDEKEAEKRTDCDEYLFDIGNYDEGEDSDIADICRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLMLFAQEGIPPLQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb04g33170.t3 pep chromosome:ASM357664v1:4:35498725:35504213:-1 gene:itb04g33170 transcript:itb04g33170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDYKAFGAKLLLVNHWFGNCFHFVHRVMTIWSNERSPTSGRQRKRLFGYNNDQFHGSPSNLKKQKIDVTTKYPEYFDGLHASAETVVLALPAARRLAPSHVDKSSGSQHISSKELDASKPRTGSMEVDLPAILKGTNLYNVASGEDCSKGYGETCKLVQVRRNYDSDIVEVEGHGICNLIPHESNSFQSNHESSLSNDRIHEQLGKQIVDGERQRLCSRYKKTKKLIDKNKHVDSVDSEQNLVISPTLCNDSASEYLNIAPTNECSLVDSRKQVLDALEHFKQVYNKLLEEAKRGLIKNAKGGVPMKAASFLRKQQKWLQDKPTFGVIPGVKIGDTYQFRVELAIVGLHLQYFAGIDYTDLNGKFHATSVVDSGHYENEVKSLDTLIYVGSGANKKLDDQKLEKGNLALKNSMDAKLPIRVIRLQKTVGMSSQGYTYAGLYIVSNYKQERDQRGKSVFKFELHRLSGQPNLTLPMAWDWQPIELSEREGGVNNRVVVDDVSQGKEKFPIPAVNDIDDEKPPPFTYITKMVYPCWFHCPSPTGCNCKNGCSDSKPCSCTHRNGCEIPFNNRGSILKAKPVIYECGPSCKCPPSCYNRVSQNGPKYQLEVFKTKSRGWGVRSRDYISSGSFICEYLGELLDEKEAEKRTDCDEYLFDIGNYDEGEDSDIADICRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLMLFAQEGIPPLQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb04g33170.t4 pep chromosome:ASM357664v1:4:35498725:35504213:-1 gene:itb04g33170 transcript:itb04g33170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWSNERSPTSGRQRKRLFGYNNDQFHGSPSNLKKQKIDVTTKYPEYFDGLHASAETVVLALPAARRLAPSHVDKSSGSQHISSKELDASKPRTGSMEVDLPAILKGTNLYNVASGEDCSKGYGETCKLVQVRRNYDSDIVEVEGHGICNLIPHESNSFQSNHESSLSNDRIHEQLGKQIVDGERQRLCSRYKKTKKLIDKNKHVDSVDSEQNLVISPTLCNDSASEYLNIAPTNECSLVDSRKQVLDALEHFKQVYNKLLEEAKRGLIKNAKGGVPMKAASFLRKQQKWLQDKPTFGVIPGVKIGDTYQFRVELAIVGLHLQYFAGIDYTDLNGKFHATSVVDSGHYENEVKSLDTLIYVGSGANKKLDDQKLEKGNLALKNSMDAKLPIRVIRLQKTVGMSSQGYTYAGLYIVSNYKQERDQRGKSVFKFELHRLSGQPNLTLPMAWDWQPIELSEREGGVNNRVVVDDVSQGKEKFPIPAVNDIDDEKPPPFTYITKMVYPCWFHCPSPTGCNCKNGCSDSKPCSCTHRNGCEIPFNNRGSILKAKPVIYECGPSCKCPPSCYNRVSQNGPKYQLEVFKTKSRGWGVRSRDYISSGSFICEYLGELLDEKEAEKRTDCDEYLFDIGNYDEGEDSDIADICRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLMLFAQEGIPPLQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb04g33170.t6 pep chromosome:ASM357664v1:4:35498725:35504213:-1 gene:itb04g33170 transcript:itb04g33170.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWSNERSPTSGRQRKRLFGYNNDQFHGSPSNLKKQKIDVTTKYPEYFDGLHASAETVVLALPAARRLAPSHVDKSSGSQHISSKELDASKPRTGSMEVDLPAILKGTNLYNVASGEDCSKAGYGETCKLVQVRRNYDSDIVEVEGHGICNLIPHESNSFQSNHESSLSNDRIHEQLGKQIVDGERQRLCSRYKKTKKLIDKNKHVDSVDSEQNLVISPTLCNDSASEYLNIAPTNECSLVDSRKQVLDALEHFKQVYNKLLEEAKRGLIKNAKGGVPMKAASFLRKQQKWLQDKPTFGVIPGVKIGDTYQFRVELAIVGLHLQYFAGIDYTDLNGKFHATSVVDSGHYENEVKSLDTLIYVGSGANKKLDDQKLEKGNLALKNSMDAKLPIRVIRLQKTVGMSSQGYTYAGLYIVSNYKQERDQRGKSVFKFELHRLSGQPNLTLPMAWDWQPIELSEREGGVNNRVVVDDVSQGKEKFPIPAVNDIDDEKPPPFTYITKMVYPCWFHCPSPTGCNCKNGCSDSKPCSCTHRNGCEIPFNNRGSILKAKPVIYECGPSCKCPPSCYNRVSQNGPKYQLEVFKTKSRGWGVRSRDYISSGSFICEYLGELLDEKEAEKRTDCDEYLFDIGNYDEGEDSDIADICRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLMLFAQEGIPPLQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb15g08080.t1 pep chromosome:ASM357664v1:15:5685358:5686234:1 gene:itb15g08080 transcript:itb15g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHPESQCLSLNYWLSYAMRFFPGWPEFEAPGSYWLSLCLLFLLAFSAEFCSLISSIKKQEMGLKSLLYDAGVHALRVFMAYLVIISIITTDFSFFLAAVFGHGAGHLASQLYQWLMDQEMNTHLLPGTLSDGLVTKV >itb04g25420.t1 pep chromosome:ASM357664v1:4:30025171:30026204:1 gene:itb04g25420 transcript:itb04g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGSPCGACKFLRRKCTADCIFAPYFRSEQLGAAKFAAIHKVFGASNVSKLLSNVPVHDRYEAALTIAYEAQARIKDPVYGCVAHIFALQQQVAYLQAQLLQVKGELAQSRLNVNSRKGENDWPSDRVGGTGTGYSTEGDGFLEMQSSSRKRASQSDLGELQALASRMMKN >itb01g02080.t1 pep chromosome:ASM357664v1:1:1337379:1339919:-1 gene:itb01g02080 transcript:itb01g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLLQSYSSAEEEEDDAGEGEQQPGYDRSEDEDDDDEDGVPQNRYKPLFNPNPSSSSSLPSALDAFSEVSGPPEFLNNSVQEAANDADNQQRWRHGRRKNNRDKKDLPSGAVVEAKAQLTGIHERVRSDVEGTMPKTSTGQRSVSSATAQGGKSSEDAADLMRICLTCGIPKTYSHSRGIVCPMCGDRTATDANTEAGKKKGSTIKDKEKSKRMKGQSSHASWKSETEMALRQQFD >itb07g06080.t1 pep chromosome:ASM357664v1:7:4246509:4253177:-1 gene:itb07g06080 transcript:itb07g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLAEKAAAFRGRCIYDVFLSFRGEDTRRTFTDQLYKALVDAGLRTFRDDNEIERGENIQSELLKGIHRAKSSIIVLSENYATSSWCLDELVVIMENRRSGHAVLPVFYLVDPSHVGKQMGSFAKAFAQHEMRFVAQNCEDGEEWAQKIKGWRCALKEVSEIGGMTVQNHTEWNESKFIQKILKVITDKVNRTVHSIAPYLVGIINRSDYINLWVQDGSNDVDILVMCGMGGIGKTTIARFVYITNCDKFEGSSFVANVGEVSKKQNGMVMLQRNLLSDIFKRKEEQISSVDEGIRRIRAAIGSKKILLVLDDVDQPEQLNDLFGIRDWFYPGSKIIVTTRKERLLSHETCKVYKVESMLECESLELFCWHTFGQAHPMDEYLDYSMKVVERCDGLPLALEILGSSLAGKDLDVWKSTIKKLEAIPNNRILDKLRLSYDSLQDDDDRNIFLHLACFFVGKDRDFAIAVLDSCDLHGGIGVQNLIERNLLVIYEHNNKLSMHQLVQDMGREIVRQQSPKEPGKRSHVWHPKDSFYVLTEKTGTERVEGIKLEMQMLEANESAKETINMNYGKKGKLAEFLGKSEGHLSKKSQYGFFWHSRDCAEASNLLIFTSDAFLKMQRLKFLQLNSAKLIGSYEQFPKRLRWLCWRGLELESIPNDFPLESLIALDLRYNSFKQVWKGSRVLRFLKILNLSHSYKLTRTPNFLGVPSLEKLILKYSTSLTEIHETIGCLEGLVLLNVKGCKNLRRLPESMCSLKCLETLVVSGCSNLDWPTNLEKIDSLKVLHADEIAMNQVVSRSGVHPWHSPYSFLWSLVGKRNICPKISHIDLPRSLVHLSLAKCNLSDDKFPIAFSNLSMLESLDLSNNLVCSLPESIRCLRGLQDLNFTSCPRLKSLIGLPHISKMLGVEDCMSLEKISYQAELAEGLRMVCRRCKILAEIEGYFKLEPLENVNTDICGIFGLSNLATIRNIMVKMRSRYFFELPCLKLSPQILYQPGIFTTFLPGDCIPSWFNSEFTFTSPQSSFTLPTIDNYRIQGLSFCLVYLCSDDKEAALTYTDPSIHINDKTNLLGWWLQPPYFGIPNGREGMMWLSHWNFGNWLQSGDVLVIIARIFKPLRFKELGIKIWYVKEQQVNTEESSFETVSKHANPCGDVVPMENPGQPRFSLWLESMRLKKPKQDKSFPHC >itb10g06970.t2 pep chromosome:ASM357664v1:10:7978496:7991880:1 gene:itb10g06970 transcript:itb10g06970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKPSTKPEPESKSHSRTVLKLLAEFNPLDSNSSQIRSLAISTLSDSQALIYLGTTSGSLLSLSVNPNSPSTSSALVCHSQVTVGAGPVNSIHVLDDIGKIIVVSDGFLYLVDLLLLDPIKKLSLIKGVTALTRRFRSSRISTGSDNVVQRLNGMKLKEDGCFFAVAVGKKLVLVELVFSGSLVILKEFPGVSEGIISLAWVDDSIIFGTRNGYYLYSCSTGQSGLLFSLPDLSGPPLTKILCKEWKVMLMMDNVGVIVDAEGQPVGGSLVFREAPDSIGELGSCVVVMRNGKVELFHRKSGNCVQRVPFAGEVGNKCIVADEGNDRGKLVALATGSKVICYQKVPPEDQIKDLLRKKNVGEAVSLIDELWSEGEITKDMLSFVHAQVGFLLLFDLHFAEAVDHFLLSETMQPSELFPFIMREPNRWSLLVPRNRYWGLHPPPAPLEKVIDDGLMAAQRAIFLKKAGVESAVDDKFLLNPPSRADLLEVAVKNMTRYLEVSRFKDLTLPVKEGVDTLLMYLYRALNRIDDMEKLASSDNNCVVEELESLLNESGHLRTLAFLYASKGLSSKALAIWRILARNSSNMKEPAVVNDLQDNSKSVDSDQETAVVEASKILEESSDQDLVLQHLGWISDINQVLAVQVLISEKRMDLFSPDDVIAAIDPKKVEILLRYLQWLIEDKELDDTQFHTTYALLLAKSALDAFEIEHLSENSIFDSHVRERLQIFLQSSDLYDAEEVLDLIEGSELWMEKAILYRKLGQEALVLQILALKLEDCEAAEQYCAEIGRPDAYMQLLDMYLDPMNGKEPMFAAAVRLLHNHGEMLDPLQVLERLSPDMPLQLASETMLRMLRARLHHHHQGQVCCNEQ >itb10g06970.t3 pep chromosome:ASM357664v1:10:7978496:7991836:1 gene:itb10g06970 transcript:itb10g06970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKPSTKPEPESKSHSRTVLKLLAEFNPLDSNSSQIRSLAISTLSDSQALIYLGTTSGSLLSLSVNPNSPSTSSALVCHSQVTVGAGPVNSIHVLDDIGKIIVVSDGFLYLVDLLLLDPIKKLSLIKGVTALTRRFRSSRISTGSDNVVQRLNGMKLKEDGCFFAVAVGKKLVLVELVFSGSLVILKEFPGVSEGIISLAWVDDSIIFGTRNGYYLYSCSTGQSGLLFSLPDLSGPPLTKILCKEWKVMLMMDNVGVIVDAEGQPVGGSLVFREAPDSIGELGSCVVVMRNGKVELFHRKSGNCVQRVPFAGEVGNKCIVADEGNDRGKLVALATGSKVICYQKVPPEDQIKDLLRKKNVGEAVSLIDELWSEGEITKDMLSFVHAQVGFLLLFDLHFAEAVDHFLLSETMQPSELFPFIMREPNRWSLLVPRNRYWGLHPPPAPLEKVIDDGLMAAQRAIFLKKAGVESAVDDKFLLNPPSRADLLEVAVKNMTRYLEVSRFKDLTLPVKEGVDTLLMYLYRALNRIDDMEKLASSDNNCVVEELESLLNESGHLRTLAFLYASKGLSSKALAIWRILARNSSNMKEPAVVNDLQDNSKSVDSDQETAVVEASKILEESSDQDLVLQHLGWISDINQVLAVQVLISEKRMDLFSPDDVIAAIDPKKVEILLRYLQWLIEDKELDDTQFHTTYALLLAKSALDAFEIEHLSENSIFDSHVRERLQIFLQSSDLYDAEEVLDLIEGSELWMEKAILYRKLGQEALVLQILALKLEDCEAAEQYCAEIGRPDAYMQLLDMYLDPMNGKEPMFAAAVRLLHNHGEMLDPLQVLERLSPDMPLQLASETMLRMLRARLHHHHQGQLMQAWQDWRKDHGMF >itb10g06970.t1 pep chromosome:ASM357664v1:10:7978496:7991880:1 gene:itb10g06970 transcript:itb10g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKPSTKPEPESKSHSRTVLKLLAEFNPLDSNSSQIRSLAISTLSDSQALIYLGTTSGSLLSLSVNPNSPSTSSALVCHSQVTVGAGPVNSIHVLDDIGKIIVVSDGFLYLVDLLLLDPIKKLSLIKGVTALTRRFRSSRISTGSDNVVQRLNGMKLKEDGCFFAVAVGKKLVLVELVFSGSLVILKEFPGVSEGIISLAWVDDSIIFGTRNGYYLYSCSTGQSGLLFSLPDLSGPPLTKILCKEWKVMLMMDNVGVIVDAEGQPVGGSLVFREAPDSIGELGSCVVVMRNGKVELFHRKSGNCVQRVPFAGEVGNKCIVADEGNDRGKLVALATGSKVICYQKVPPEDQIKDLLRKKNVGEAVSLIDELWSEGEITKDMLSFVHAQVGFLLLFDLHFAEAVDHFLLSETMQPSELFPFIMREPNRWSLLVPRNRYWGLHPPPAPLEKVIDDGLMAAQRAIFLKKAGVESAVDDKFLLNPPSRADLLEVAVKNMTRYLEVSRFKDLTLPVKEGVDTLLMYLYRALNRIDDMEKLASSDNNCVVEELESLLNESGHLRTLAFLYASKGLSSKALAIWRILARNSSNMKEPAVVNDLQDNSKSVDSDQETAVVEASKILEESSDQDLVLQHLGWISDINQVLAVQVLISEKRMDLFSPDDVIAAIDPKKVEILLRYLQWLIEDKELDDTQFHTTYALLLAKSALDAFEIEHLSENSIFDSHVRERLQIFLQSSDLYDAEEVLDLIEGSELWMEKAILYRKLGQEALVLQILALKLEDCEAAEQYCAEIGRPDAYMQLLDMYLDPMNGKEPMFAAAVRLLHNHGEMLDPLQVLERLSPDMPLQLASETMLRMLRARLHHHHQGQIVHNLSRALEVDASLARLEERSRHVLINDESVCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVTGRNFKKDSLYKPGWLVTR >itb11g21980.t1 pep chromosome:ASM357664v1:11:23763628:23765475:-1 gene:itb11g21980 transcript:itb11g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLKRCLPDSMGDADRGFRFSDPKKPRNNDVLPGELDRCFVNSRILARNPPMECGGPKPYPSPEDVIPEMIQVVCNETEGMYYPGLHMVQCGCKPCTMKKNNDKRSVGDWEKHAGSRAKKWKVSIKVKATRQPLGEWVSNKSAITTPAGLGFNFNPGLNPSRLSAFLTQKHTPIPQKWTPERCAVCRWNEDWDYDKIVICNKCEMAVHQKCYGVSHINDFTHWLCNACKNPSLNPECCLCPVKGGALKPCDVKPFWVHVICAWFRPEMYFPSDKMEPALGLMRVPPKTFQQTCQICNQTHGACVQCCKCSNNYHIPCAFRAGFSLEMMLIANKNTNKLILHCNLHKPPNPDSKLEMRILHKTRNQALESSSSNKPEPEPEPDDQALESSEPETSKPDSSPNEPEPNPGSESEPETGQFSAARCRVYDRTAWKRDKPEAIINARLNYKKEPENGLGFSSMPERMKHLQRTMKQRICFGKSGIHGWGVFARRRIREGEAVGEYVGEVVRRSVSDVREARYNAAGRDCYLFGINEDMVIDATMKGSLTRLFNHCCDPNCYSRIVNLGEGDYGVVLIAKRDVDAGEELTMDYMFDHNKDYKPTSPCFCGAPNCRKFM >itb08g13530.t1 pep chromosome:ASM357664v1:8:14612051:14616713:1 gene:itb08g13530 transcript:itb08g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKGMVNLLRIVATTILPIPAIPKQNYNLRPQSPQARRPPLTGGTAQSPTAHRLLAACTPQSLPARLHASRPHATHSGTIATGVNYYRTRALPFFLQATVSTSILPTCNSAAPQQPAVPKRPTPVSSRQWVRVGANISPNVGMGRGNMPRIFPALS >itb13g20820.t1 pep chromosome:ASM357664v1:13:27558520:27560826:-1 gene:itb13g20820 transcript:itb13g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKYASLLFLVCIAIGASMAMADAPTAEGGESESENKTENVDLTTSTKLLSGFTGGAGGTQRGLPVVGFNLLDPLGFHKHSRDIVQAAIKLPRPKDYILSFMRVSAEQFRGAINNVAEMAKQADDSLNKMAVDDCKELLNDAIDELQESLSSVSDCPIKLLMQILVDLKNWLSAVLTYSNTCIDGFSNPNLQSNIHNFLKASTLHAGQALSVVTVIPQILAGLKIHGHGHGHANMGASGGVGFGAHMGGGGHGGFGGMGGGGGGGHGGFGGMGGGGGHGGFGGMGGGGGHGGFGGMGGGGGGQQFPMWMPRADRRLLMSKQGGGPGRGGVELRPNAVVAKDGSGQFTTITDALAAYKPKKNDNSTRHVIYVKAGVYNEDILVQKKQINLFMYGDGPRKTVITGKKCFRDGVSTFRTAPFSVVGNGFICKSMGFENTAGPEGHQAVALRVQSDMSAFYNCRMDGYQDTLYAHTHRQFYSNCVISGTIDFIFGDAAAVFQDCTIVVRKPMDNQKNIVTAHGRTHFAEPTGLVIQNCQFVAEDGLIAKKAQIKSYLGRPWKPYSRTVIMESTIGDFIDPEGWMPWTGTLGLDTLYYAEYRNRGPAADTSRRVNWKGYHVLRNSKEAMKFTVDPFIQGSEWLPATGAPFFVGLKAAK >itb04g04400.t1 pep chromosome:ASM357664v1:4:2719570:2723826:-1 gene:itb04g04400 transcript:itb04g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTPPPPPNPSSSASAAPATAATATTTATRPLYSNSRPITAQPHPFPPQSQRFSSNQNPIYSQLAPRPDPSTAPPPPQQHSILYPVASSGRGFLSRPAAPSPNQTNYLTRPAVAYSSSIPPFGISAHMDPGLGQGLGFTRPANLPHAHVNSTANAGPIMPVVVKGVPVTSAHPKLAPPLPSFSDFSGSKDGRDRSKDDSFAIVRERKVKVSETASLYALCRSWLRNGFPEATQPQYMDVVKSLPRPLPMAPQDAESPAKKEEDKGEEEEDDASVEHLSTEKLLQLHVKRAKRIRSKLREERLRRIARYKTRLALLLPPMGDQQFRNDSTSGN >itb03g01120.t1 pep chromosome:ASM357664v1:3:588345:589991:-1 gene:itb03g01120 transcript:itb03g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDSSNVHREFPTIDRCSSDGRDDHSVVADLDGTLLVGRSSFPYFAVVAFEAGGIFRLLFLLLATPLAGVLYYFISESAGIRVLIFATFAGMRVSSIESAARAVLPKFYSADLHPETWRVFSSCGRRCVLTANPRIMVETFLKEYLGADVVMGTEISTWKGRATGLVKHPGILVGENKANAIRSVFGSSSAPDIGLGDRHSDFPFMKLCKESYLVPQEPEVKPMSAEKLPRPIVFHDGRLVEKPSPLMALLIILWIPLAFLLACLRIAAGALLPMPVVYYAFWALGVRVTVKGTPPPPASKSTGQTGVLFVCSHRTLLDPIFLSAALGRPIPAVTYSLSRLSEFISPIKTVRLSRDRVTDANMIKKLLQEGDLAICPEGTTSREPLLLRFSALFAELTDELVPVAMSNRMSVFHGTTARGWKGMDPFYFFMNPSPAYEVNFLNKLPRELTCSAGKSSHEVANYIQRIIAATLSYECTGFTRKDKYRALAGNDGTVNNKPGLAPNKVMGC >itb05g11700.t2 pep chromosome:ASM357664v1:5:17855444:17870285:-1 gene:itb05g11700 transcript:itb05g11700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRVEEIEKEKEGSEIRCAIEALSMVVKFKADDHSRSTAHIATKPFLCVCRNLLLHVLDKIGSSMTVLRQDIHQNIQILEKLHDSDPSVHSNMVEILKKEAIEGIAKKGSSCSKAFVWLTRSLDFSLALLQQLVEDFEKNMERAVEESYTITLKPWHGWISKAAYKHLN >itb05g11700.t1 pep chromosome:ASM357664v1:5:17855444:17870285:-1 gene:itb05g11700 transcript:itb05g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRVEEIEKEKEGSEIRCAIEALSMVVKFKADDHSRSTAHIATKPFLCVCRNLLLHVLDKIGSSMTVLRQDIHQNIQILEKLHDSDPSVHSNMVEILKKEAIEGIAKKGSSCSKAFVWLTRSLDFSLALLQQLVEDFEKNMERAVEESYTITLKPWHGWISKAAYKVALKLVPDTRSLITILMAKDKNCDTLKKEMQALISLLEPALQEIHNLLEMYGLDRLKSI >itb14g06730.t1 pep chromosome:ASM357664v1:14:5973365:5973947:1 gene:itb14g06730 transcript:itb14g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSKEVMKRKYLGVRRRKWGKWVSEIRIPATAERLWLGTYATAEAAAVAHDVAYICLRQPTTLDKLNFPAATLTATAGVPRGMSPVAVQKAASDAGMAVDATTKFKEISVGENPNFFMHGN >itb14g16690.t2 pep chromosome:ASM357664v1:14:20028769:20030963:-1 gene:itb14g16690 transcript:itb14g16690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYLEPGTGQKFRSMREVERHLNGETVTRSRRRGSTALTVYNRSKSSSRKMIVYGGKMLRLDEKELSANRQAIVPSGAVVNRHYELPDGWIVEEVPRKFGNSKDKYYYEPGTGRKFRSRAEVERHLTELSEHISLSEALEELNENRPLSKMFKLHHPTTNSASQKRKTSREDLVLRPPSKVNWVLASPKGDEWNPFIGDTLVSDSVKQEWSNRFTSLMNNETSA >itb14g16690.t1 pep chromosome:ASM357664v1:14:20028764:20030963:-1 gene:itb14g16690 transcript:itb14g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSSSLKPSASMLTGDEDSSCRELALVTQSDITPFSGGLRFSLPPGWGVEEVPRSDGSRIDRYYLEPGTGQKFRSMREVERHLNGETVTRSRRRGSTALTVYNRSKSSSRKMIVYGGKMLRLDEKELSANRQAIVPSGAVVNRHYELPDGWIVEEVPRKFGNSKDKYYYEPGTGRKFRSRAEVERHLTELSEHISLSEALEELNENRPLSKMFKLHHPTTNSASQKRKTSREDLVLRPPSKVNWVLASPKGDEWNPFIGDTLVSDSVKQEWSNRFTSLMNNETSA >itb04g20690.t1 pep chromosome:ASM357664v1:4:25583344:25585168:-1 gene:itb04g20690 transcript:itb04g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHMQTTPSSTALAAGCAAIHKPSELASITCLELGEVCKEVGLPPGALNILTGLGQEASAPLVSHPHVDKETVTNLKQTQPQEAMIHRKSNIGSSTSHSSCKARIKQLEEQNQVMQQQQQDMHEENRRIRDIVQKMEATLAHFSANLGSLDQDPNKNSSNDDTLAPSSQD >itb12g22080.t1 pep chromosome:ASM357664v1:12:24287726:24289451:-1 gene:itb12g22080 transcript:itb12g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSSVSLKLLIDKKTQKVIFAEAEKPFVDFLFHLMSLPLGTVIKLVSQKGAMVGSLGNLYSSIKNISQTYLQPNLNRDVLLNPKIATPSFNVPLLLAAGGGASQAKKFYVCTGSNGYACGRRSISEDPKAICPNCRSYISSEASYVAPVKADCSSRDNESGGGFVKGVVTYMVTDDLKVMTQSTISTITIMNDFNIKDFGSVEEKDVRVGVDEGLKLLKAALHTDSVLTAVFLGE >itb13g15680.t1 pep chromosome:ASM357664v1:13:22574646:22579630:1 gene:itb13g15680 transcript:itb13g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKTKTSQKYPKEKKLKTPKPQNHCEGKEVQKPHSWAVVRSLFTCKHLQAQIEQQQQQKQEEQQVQAEQPKVQSEKQSVQSKTSKLEPHHHHQAIEESSKKCKKLKCSGSICSNTKVMHRPELSSSSSPEEHKRKSPFSSCKNLNGSTRSMKVTLNDLNNNNNSSSSSSLSAPTSSPSIVGSFRAMPFRKLSGCYECRMVVDPVLGMTRDPSLRTTISSCPVCGEIFMKPENLELHQSVRHAVSELGPEDTSRNIVEIIFQSSWLKKDTPICKIDRILKVHNTPRTISRFEEYREATKAKANKQSKKHPRCIADGNELLRFHCTTFACSLGLNASSNLCNSIPNCHVCGIIKNGFKAAEDVGGGAGKGILTTATSGRAHDSARVVSGDDNKRAMLVCRVIAGRVKKNMEGGLEEYDSVAGAAGVYSNLDELYVFNHRAILPCFVIIKEDEQIETVVHSQSAEFQFVHAESLIDTVRQGRIQQPRQRDEIIQIFSFFPRFRFRSIMVSKPSRRNTYTAAVTDESQEKREASLITRSPVRTSSTAIP >itb13g25000.t1 pep chromosome:ASM357664v1:13:30505769:30508521:-1 gene:itb13g25000 transcript:itb13g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRARRRVVLNLRHLSTSVRNRAEDEGDWLYSSEWWDGKGTDGQTVFQSFSDKGNGQVSVIAYPSSKPEKVYWERTEKWLQQRYGEVHPGYKHEGNFKILGYQWRALRFNDDTRQSTVKVMAAYRKSEPGSLFLMQQAHCLAIPYVKSMISAGLATISSCNYDLHKAIHGAKTMNILCIGHGGGSIPLFLASKIQGAVVHDVEIDPTVISASVKAMGFPSSSVVVTPSGNCTSTFDPIEEVLWKGTRERILLYESDAEKFINETTGLYDIVFIDAYDGDDVFPYKLWDPHFPFLKALGDRLHPEHGTVVVNLHSDVDYSAGGFNPAGEHFLPMGRYVKQVCGAYKEALLGNGDSRNGLAYVVSVPWVCNTSLVVARGFSKGDDGSLNREMVLNRLACRSFEVENDLGLPFSCLQYIKRGFILVD >itb02g17970.t1 pep chromosome:ASM357664v1:2:14352621:14358191:1 gene:itb02g17970 transcript:itb02g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSIKLREAHKNSSGDASVCSILWDQSGDHIVTASSSDSSICIHDAIFAATPPKVLRNHRDGVTALALSSNSTCLASGSVDHSVKLYKFPSGEFETNITRFTLPLRALAFNKLGTMLAAAGDDEGIKLINTIDGSVARVLKGHRGSVTGIAFDPKSEYLASVDSRGTVIHWELQSGRIIHTLKDIAPVTRLGDAFINMLSWSPDGELLAVPGLKNDVVMYDRDTAEMLFSLRGDHVQPICYLSWSPNGKYMATSGLDKQVLIWDVDKKQDIDRQKFDNMICCMAWKPQGNTLAVIDAMGKFGLWESAVPSSMKSPTEDVPSWGSKGSNGLLLFDEEEEPSAAGSLSDPGEDSHDESDLPSRKRLRKQSICDDDWEDFNELDSFAKLESRKKPAHGHQASLDRKKAELKSTAVSTGLKMQEAFQPGATPVQPGKRRFLCYSMLGSITTMEHEGYSHIEIDFHDTSSGPRVPAMTDYFGFTMSALNENGCAFANPCKGEKNMSTLMYRPFSSWANNSEWSMRFEEEEVRAVALGNAWVAAITSLNFLRIFSDSGLQRYILSLDGPVVTAAGFKDELAVVTHASPSLPSNEQVLEFRVFNIRNGTQPLRGRLPLTPGSSLTWFGFSEEGHLSSFDSKGVLRVFINQFGGNWLPLFSESKLKKPEEKYWVVGLNASKLFCVVCKSPDSFPQATPKPVLTLLDLSFPLASSDLGAENLENEFILNNMHLCRVQKAIEEKIAVGQDSTLLDDEAFNLETALDRCILRLIASCCNGDKLERATELVKLLSLEKSVKGAIKLVTALKLPNLAERFSTILEERLSNEAMASSVVAESNLNYSSFRANAVSNITPESSKTLHILSTTPSSSTRKAGLPEQPAQARAIPSAKAGEVRISTEDSKTRAIPSAKAGEVRISTEDLKSHKKVNDGEKVEKMKVKNEGVDGMKPVRPSNPFAKSSNTQHTPSLFDSLKKMNGESTSKK >itb04g26030.t1 pep chromosome:ASM357664v1:4:30421204:30436523:1 gene:itb04g26030 transcript:itb04g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISLGVGSLAWLEDPDVAWIDGEVLEVKGEDVKVLCTSGKTVVVKSSNAYPKDAEAPSCGVDDMTRLAYLHEPGVLHNLKSRYDINEIYTYTGNILIAVNPFRRLPHLYDTHMMAQYKGAAFGELSPHPFAVADAAYRLMINEGVSQSILVSGESGAGKTESTKQLMRYLAYMGGRAATDGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPAEDVQRYKLGNPRTFHYLNQSNCYELDGLDDSKEYLITRKAMDVVGIHSEEQDAIFRVVAAILHLGNIEFSKGKEMDSSVPKDEKSLFHLRTAAELFMCDVKALEDSLCKRVIVTRDETITKWLDPEAAAVSRDALAKTVYSRLFDWLVDRINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTDLFLDKNKDYVIGEHQALMSASKCPFVSNLFPQSSDESSKQSKFSSIGSRFKQQLQSLLETLTHTEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFMDRFGILSPEVLDASMDEVTACKKLLEKVGLRGYQIGKTKVFLRAGQMAELDARRIEVLGRSASIIQRKFRSYMARKGFTLLRNSAIQMQSACRGELDRRVYNSLRREASSLRIQRNLRMHLARKAYKELCSAAVAIQTGMRGMCARDELRFRRQTRAAMVIQTQCRTFLSQSNYKKLKKAAITTQSAWRARIARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENVKLQSALQDLQVQFKEAKEMLLQEREVAKKAAEQIPIIKEVPIIDHEMMNKITAENEKLKGMVCSLEKKIGEAEKKFEETNKLSEQRLRQAMEAESKIVQLKTAMQRLEEKVLDLESEKQILQHQGLMTSAKQVSSPLTLSKILENGHHLNEESNASESPSGSPAKKFETPDSKLRKPPIDRPHEDVDALINCVMKDVGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENQDSNDHMAYWLSNTSTLLFLIQESLKPAGSVAATPVHKTPTSLFGRMAMGFRSSPSTANINAAAAAAVLVVRKVEAKYPALLFKQQLIAYVEKIYGIIRDNLKKELGSLLSLCIQAPRTSKGNGLRSGRSFGRDSQTNHWLGIIECLNSLLCTLKENFVPPILAQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGAAWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDSYNTRSVSPDVISSMRVLMTEDSNSAESSSFLLDDNSSIPFSTDELSNTLQVKDFIDVKPAEELLENPSFQFLHE >itb14g07170.t9 pep chromosome:ASM357664v1:14:6525639:6528655:-1 gene:itb14g07170 transcript:itb14g07170.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMVCEFPTMIPFNHFQYQQSSFSIFLTYCSLQSKEVNDLISWKSLISMQLEQIIIENSNLRFEFFT >itb14g07170.t4 pep chromosome:ASM357664v1:14:6525556:6528722:-1 gene:itb14g07170 transcript:itb14g07170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRFEFFT >itb14g07170.t7 pep chromosome:ASM357664v1:14:6525270:6528655:-1 gene:itb14g07170 transcript:itb14g07170.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMVCEFPTMIPFNHFQYQQSSFSIFLTYCSLQSKEVNDLISWKSLISMQLEQIIIENSNLRFEFFT >itb14g07170.t2 pep chromosome:ASM357664v1:14:6525327:6528649:-1 gene:itb14g07170 transcript:itb14g07170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRSKKYLIIEKVG >itb14g07170.t3 pep chromosome:ASM357664v1:14:6525327:6528001:-1 gene:itb14g07170 transcript:itb14g07170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRSKKYLIIEKVG >itb14g07170.t6 pep chromosome:ASM357664v1:14:6525660:6528578:-1 gene:itb14g07170 transcript:itb14g07170.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRFEFFT >itb14g07170.t8 pep chromosome:ASM357664v1:14:6525327:6528121:-1 gene:itb14g07170 transcript:itb14g07170.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRLKVEEVQQRQVYMESKGIIIFLVCLIFGMLAVLRVFIDLVLSIFRSENSRKFWSKDSSWIFLLSSCSITIIILAL >itb14g07170.t1 pep chromosome:ASM357664v1:14:6525270:6528722:-1 gene:itb14g07170 transcript:itb14g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRLKVEEVQQRQVYMESKGIIIFLVCLIFGMLAVLRVFIDLVLSIFRSENSRKFWSKDSSWIFLLSSCSITIIILAL >itb14g07170.t5 pep chromosome:ASM357664v1:14:6525556:6528121:-1 gene:itb14g07170 transcript:itb14g07170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRDLLQRRSLEKSIWGKNILSSVSLLVLVLWGFVFLLNLWIGHGGIDKDGCGGYHFSTQHWDEYELMFDRGIHSNPSERINSEETDNASLEDAKETRDIPSEPLESSKNLTSAPAIEEQADMGKSGSTGNSEKDFKSDRFSQSISLSLGEFRNKALNTKVQDLNDQAGSITHRVEPGGAEYNYASASKGAKVVAHNKEAKGASNILSRDKDKYLRNPCSAEEKYVVIELSEETLVDTVEIGNFEHYSSTLKDFELLGSPVYPTDTWVKLGNFTAGNVKHAQRFVLPEPKWVRYMKLNLLNHYGSEFYCTLSVLEVYGVDAVEKMLEDLISVPEKKFASDQRNSEQKPMTTQHSLAEDGHNSETDPELEHSDVKHEVMTASVPDEEIHHLQVNRMPGDSVLTILMKKVQSLDINLSVLERYLEELNSRYGKIFTEFDKEILDKDSLFEKITSDIKSLSKSRDVMSKEVNDLISWKSLISMQLEQIIIENSNLRFEFFT >itb08g05390.t1 pep chromosome:ASM357664v1:8:4434791:4437806:-1 gene:itb08g05390 transcript:itb08g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLPQSEENINIVSLNCTEIIVIRHGETEWNAEGRIQGHLDVELNDIGRQQAHAVADRLSREPKISVVYSSDLKRAFETAETIASSCGVLEVVKDPDLRERHLGDIQGLTVSEASQKSPNAYKAMVSRRTDQEIPGGGESLDQLFQRCTSSLQRIAEKHRGKRVVVVTHGGVIRALHRRASSNGRSVGKITNTSINIFHLSEGDHWTIKVWGDISHLDKAGFLKSGFGGDRNSG >itb08g05390.t2 pep chromosome:ASM357664v1:8:4435614:4437806:-1 gene:itb08g05390 transcript:itb08g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLPQSEENINIVSLNCTEIIVIRHGETEWNAEGRIQGHLDVELNDIGRQQAHAVADRLSREPKISVVYSSDLKRAFETAETIASSCGVLEVVKDPDLRERHLGDIQGLTVSEASQKSPNAYKAMVSRRTDQEIPVSSSIPIAFSSALYLYSSPALPLFSKLHMCSPFLLIN >itb14g17790.t1 pep chromosome:ASM357664v1:14:20981621:20984820:1 gene:itb14g17790 transcript:itb14g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALELVVSQCKPNARVVDICEKGDAFIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLSNDDTVLEDGDMVKIDMGCHIDGFIAVVGHTHVLQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNSDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSSSNPETRVDEAEFEENEVYSIDIVTSTGEGKPKILDEKQTTIYKRAVDKNYNLKMKASRFIYSEISQKFPLMPFTARDLEEKRARLGLVECVNHELLQPYPVLHEKPGDLVAQIKFTVLLMPNGSDRITAHPLQELSVTKPIDDPEIKAWLALPTKTKKKGGGKKKKGKKGDKTEEAPQTEPTDEATNESKS >itb08g01330.t1 pep chromosome:ASM357664v1:8:980925:984109:1 gene:itb08g01330 transcript:itb08g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQINEIITSDYDVLESIRQHLLNDFEDYPAMPENAFELSPSVKLEPEMGFPDRPAVLNFPAAAGAPPMGKRYRGVRVRPWGKFAAEIRDPAKNGNRRWLGTYGTAEEAALAYDRAAFCLRGTRALLNFPWRVNSGEPAPVRITSRKRSALSSESHDPCDYTINQTWPKFKVFLYILVTMSSPQYQQNIAKAAAAVTLLGGGLLSLAGLTFAGTLIGLTDFLELRLKSRQVTWNPT >itb07g04110.t1 pep chromosome:ASM357664v1:7:2766284:2770590:1 gene:itb07g04110 transcript:itb07g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFGKIPKKQQKSGGNNTSDSNASTSSKRSDGGSKKQGGSSNTVISSPFSVSNTGHSTGDAFNRDVNSKINRNAVVAVYELLPSFRDVPSSEKQSLLIRKLNMCCVVFPFNDPTKNLKEKEVKRQTLLELMEYVTSANVKFTETVMLEAVKMVSANLFRELKPQPRENKVEAFDVEDDEPAMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLRFLDLFDSEDPREREYLKIIVHRIYGKFMVHRPYIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEILEATQPPEFQRCVVPLFHQVARCLSSFHFQVAERALFLWNNDHIDSLIKQHRKVVLPIIFPALEKNARSHWNQAVHCLSLNIRKVFYDLDPDLVKECLHNFQEDESKEEEVRSRREAQWKRLEELAAKNAASNEAVLVPGLGIPRT >itb07g02720.t1 pep chromosome:ASM357664v1:7:1767458:1771306:1 gene:itb07g02720 transcript:itb07g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVRIQLPGGELPSGGDTQVMFHVDQVHLLVTHERQLAIYDASKMELVHQWIPGVFLSPRICSATYSCNSQLIYASFIDGNIGVFDADTLTLKCRIVPSAYLPHQLVLNGREDVYPVVIAAHPQEPNQFAIGLTDGSIKVIEPLESQGNWQVSPPVDDEMLNVIMLLSSVVLTYRVFERLKEQVEVKDECEGLKRSWNDAMLENEILKRKLLQACLCHLPFSHLQYSGGGELPFPSSPPTRQ >itb15g14810.t1 pep chromosome:ASM357664v1:15:13230631:13232542:1 gene:itb15g14810 transcript:itb15g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKPQKVPIVPADGPISRTRKTCLPVEEITKASAKMGYEVMSSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEETQMQFAASLLEVLASVY >itb04g03780.t1 pep chromosome:ASM357664v1:4:2369099:2371879:-1 gene:itb04g03780 transcript:itb04g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSLFSTPPIHPPSKSSKSSLSPSPERLKFLIDKSKTIKQLLQIHAFLIRHGIDCDPILNFRLQRSYSSLGHLKHSVTLFRSTPSPSVFTYTSIIHSHTINNLHEQAFLLYVEMLTQDIEPNQFTFSAILKACPLKSGEAIHGQALKFQCDSDTYVRTSLVDVYARGGDLVSARKLFDTMPERSLVSLTTMIAGYAKNGDVDKARELFDRMEERDVVCWNAMIDGYTQYGRPNEALALFRHMLLSNVKPNEVTVLAVLSACGQSGALESGQWVHTYVKNNRIPVNLRVGTALIDMYSKCGSLEDARIVFNQMNAKDVVAWNSMIVGYAMHGFSQEALQLFREFCRLKLQPSDITFIGILNACANAGLVNEGWGYFHSMKEYGIEPKIEHYGCMVNLLGRAGHLQEAYEFIRSMKIDPDPVLWGTLLGACRIHRDIKLGEKIVEFLVECNLANSGTYVLLSNIYAAAGDWDGAAKVRAMMKKSGVEKEPGCSSIEVNNKVHEFLAGDMKHPKTKEIYSMLEEINRWLEAHGYTPQTDIVLHDIGESEKQKSLAVHSEKLAIAFGLISTQPGTTIKIVKNLRVCQDCHAVSKLISKITGRKLIVRDRNRFHHFENGSCSCGDYW >itb04g03780.t2 pep chromosome:ASM357664v1:4:2369099:2371642:-1 gene:itb04g03780 transcript:itb04g03780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSLFSTPPIHPPSKSSKSSLSPSPERLKFLIDKSKTIKQLLQIHAFLIRHGIDCDPILNFRLQRSYSSLGHLKHSVTLFRSTPSPSVFTYTSIIHSHTINNLHEQAFLLYVEMLTQDIEPNQFTFSAILKACPLKSGEAIHGQALKFQCDSDTYVRTSLVDVYARGGDLVSARKLFDTMPERSLVSLTTMIAGYAKNGDVDKARELFDRMEERDVVCWNAMIDGYTQYGRPNEALALFRHMLLSNVKPNEVTVLAVLSACGQSGALESGQWVHTYVKNNRIPVNLRVGTALIDMYSKCGSLEDARIVFNQMNAKDVVAWNSMIVGYAMHGFSQEALQLFREFCRLKLQPSDITFIGILNACANAGLVNEGWGYFHSMKEYGIEPKIEHYGCMVNLLGRAGHLQEAYEFIRSMKIDPDPVLWGTLLGACRIHRDIKLGEKIVEFLVECNLANSGTYVLLSNIYAAAGDWDGAAKVRAMMKKSGVEKEPGCSSIEVNNKVHEFLAGDMKHPKTKEIYSMLEEINRWLEAHGYTPQTDIVLHDIGESEKQKSLAVHSEKLAIAFGLISTQPGTTIKIVKNLRVCQDCHAVSKLISKITGRKLIVRDRNRFHHFENGSCSCGDYW >itb10g22660.t1 pep chromosome:ASM357664v1:10:27424120:27427386:1 gene:itb10g22660 transcript:itb10g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRKAIGAVKDQTSISLAKVGGSASISDLEVAIVKATRHEEYPPEEKHIREILCLTLYSRAYVGACVVTISRRLSKTKNWVVALKSLMLIHRLLTNGEQSYEQEIFFATRRGTRLLNMSDFRDSRSNFWDYSAFVRTYSLYLDELLELRMQNRRGKQSKHSALASGNEEEEEDEGEEEEEEAANTICNSTAIVVACTPIAEMKNEYLYSRIQHYIQILDRFLACKPAGAARSSRLVLVALYQVVKESFQVYYSMAEILGILVDRFMKLDIPDSRKVHEIFQRIAKQWDELEMFYSWSKAAGISRESEYPEVENYPKEKLDIMEEIIREKMEMARGRVMRSREQSPEVEEPEPEEEAEEDMNAIEALPPPEDFLEEKEEMTVVEAPKEEEKKAQDIGDLLNLGEDAPTPEEHANQLALALFDGIPTFNPAKTTTTPWEAFNGSGDWETALVQTASQLSNQKAQLPGSFDELMLDGMYRQGALNQAVASSGVLTTGSASSVAFGSAGMPAMLALPAPPSADGAANTTASGADPFAASLSVAPPAYVQMSELEKKQTLLFEEQQMWQQYANDGMHGQVGLEKAQQNIQPHNYYGY >itb10g19380.t1 pep chromosome:ASM357664v1:10:25243773:25248218:1 gene:itb10g19380 transcript:itb10g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIWKNRRLKAFATSTTHGDVVLPNHIIHLEDEPDHLLVLVHGILASTSDWTYAQAELKRRLGRKFLIYASSCNTYTKTFTGIDGAGKRLADEVRLVVEKAESLKKISFIAHSLGGLIARYAVAVLYTPNISSNQSQDMVASSAANGKPVCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLFGVLILEKLAAPMAPFFIGRTGRQLFLTDGKPNMPPLLLRMASDCEDGKFISALGAFTCRAIYANVSYDLGWRTSSIRRETELRKPPKRSLVGYKHVVDVEYCPPVSSDGPHFPPEAAKAKEAAQNEPSVQNTLEYHEIMEEEMTRGLQQLGWRKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGAGVISHVADSIKQQEKLQGSNTFISANL >itb10g19380.t3 pep chromosome:ASM357664v1:10:25243773:25248218:1 gene:itb10g19380 transcript:itb10g19380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIWKNRRLKAFATSTTHGDVVLPNHIIHLEDEPDHLLVLVHGILASTSDWTYAQAELKRRLGRKFLIYASSCNTYTKTFTGIDGAGKRLADEVRLVVEKAESLKKISFIAHSLGGLIARYAVAVLYTPNISSNQSQDMVASSAANGKPVCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLFGVLILEKLAAPMAPFFIGRTGRQLFLTDGKPNMPPLLLRMASDCEDGKFISALGAFTCRAIYANVSYDHIVGWRTSSIRRETELRKPPKRSLVGYKHVVDVEYCPPVSSDGPHFPPEAAKAKEAAQNEPSVQNTLEYHEIMEEEMTRGLQQLGWRKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGAGVISHVADSIKQQEKLQGSNTFISANL >itb10g19380.t2 pep chromosome:ASM357664v1:10:25243773:25248218:1 gene:itb10g19380 transcript:itb10g19380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIWKNRRLKAFATSTTHGDVVLPNHIIHLEDEPDHLLVLVHGILASTSDWTYAQAELKRRLGRKFLIYASSCNTYTKTFTGIDGAGKRLADEVRLVVEKAESLKKISFIAHSLGGLIARYAVAVLYTPNISSNQSQDMVASSAANGKPVCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLFGVLILEKLAAPMAPFFIGRTGRQLFLTDGKPNMPPLLLRMASDCEDGKFISALGAFTCRAIYANVSYDHIVGWRTSSIRRETELRKPPKRSLVGYKHVVDVEYCPPVSSDGPHFPPEAAKAKEAAQNEPSVQNTLEYHEIMEGSSNTNTWQRLFHIVFITHRGLGLKQRK >itb11g20930.t1 pep chromosome:ASM357664v1:11:22333871:22338372:1 gene:itb11g20930 transcript:itb11g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNWAAANVESTIKTKMRASPSFTSLRSYRVSMASTLHPPTNTSLETENFKKPFSPPREVHVQVKHSMSPEKREIFDSLQNWAEQNLLVHLKPVEKCWQPSDFLPDPSSEGFDEQVKDLRERCKELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKEHGDLKLAQICGIIASDEKRHETAYTKIVEKLFEVDPNGTVLALADMMRKKISMPAHLMYDGRDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWEVEKMTGLSGEGCKAQDYVCGLAPRIRRLEERAQARAKQRNDVPFSWVFGREIKL >itb10g16390.t1 pep chromosome:ASM357664v1:10:22640366:22645070:1 gene:itb10g16390 transcript:itb10g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVDRTSSSFSSLRQNGNPSGKDDLYDELWKLCAGPLVDVPRPDEWVYYFPQGHMEQLEASTNQEMDQRISMFPLQSKILCRVLNIHLLAERDTDEVYAQITLMPEEQMKATNPNPCPPEPPSPKIHSFCKVLTASDTSPHGGFSVLRRHATECLPPLDMSQETPSQDLVAKDLHGVNWHFKHIYRGRPRRHLLTTGWSTFVSSKRLVAGDSFVVLRGENGELRVGVRRLARQQSSMPPSVISSQSMHLGVLATASHAVTTQTLFVVYYKPRTSQFIIGLNRYEEAINRGFSVGMRFKMRFEVEDSPDRRFLGTIVGVEDISTQWEDSDWRSLKVQWDEPSPVTKPERVSPWEIEPLVQLIPPSLAQPVAMKNKRPRLHIKPTVLEPLSSKASSDITQPNGTTTQGQSADRLFSQLTTQSDASGSLLNGSRSCSTRSHTHTEAVWPSHVKASLSVYADETEDSKAASARSVYSSHVPPRSGEQNDKLQLGTASTCRLFGIDLKSTSVVLVPESPRIACSGDLGQKPDNSVHSKDLKQEQSLGRAKEGKNKPSYSTRSRIKVQMQGVAVGRAVDLTALKGYDELIAELEEMFEIQGELHPRKKWEIVFTDNEGDMMLMGDHPWLEFCSVVRKILICSSADVKKMSAGIEAM >itb10g16390.t2 pep chromosome:ASM357664v1:10:22640366:22645070:1 gene:itb10g16390 transcript:itb10g16390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEQMKATNPNPCPPEPPSPKIHSFCKVLTASDTSPHGGFSVLRRHATECLPPLDMSQETPSQDLVAKDLHGVNWHFKHIYRGRPRRHLLTTGWSTFVSSKRLVAGDSFVVLRGENGELRVGVRRLARQQSSMPPSVISSQSMHLGVLATASHAVTTQTLFVVYYKPRTSQFIIGLNRYEEAINRGFSVGMRFKMRFEVEDSPDRRFLGTIVGVEDISTQWEDSDWRSLKVQWDEPSPVTKPERVSPWEIEPLVQLIPPSLAQPVAMKNKRPRLHIKPTVLEPLSSKASSDITQPNGTTTQGQSADRLFSQLTTQSDASGSLLNGSRSCSTRSHTHTEAVWPSHVKASLSVYADETEDSKAASARSVYSSHVPPRSGEQNDKLQLGTASTCRLFGIDLKSTSVVLVPESPRIACSGDLGQKPDNSVHSKDLKQEQSLGRAKEGKNKPSYSTRSRIKVQMQGVAVGRAVDLTALKGYDELIAELEEMFEIQGELHPRKKWEIVFTDNEGDMMLMGDHPWLEFCSVVRKILICSSADVKKMSAGIEAM >itb02g00310.t1 pep chromosome:ASM357664v1:2:238485:241923:1 gene:itb02g00310 transcript:itb02g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGYLCVPIILVMVHVIGRFLVERLRNLPPTPFPALPLPVVGHLYLLLRKQQPFHKSLYDVGKKYGRVLFLRFGSRPVLLVSSPSAAEECFTKNDVVFANRPRLLVGKYLGYNYTSLPNSSYGERWRNLRRISTLELLSSHRIYQLAEIRGEEVKALICSIFRHDHKQQEVELKPLLHDFTFNVMSRMIFGRKYYGENVENSEAAKLFQEISKDQIRVIPKANVLDFLPFMRWFGFGHIEEALMRIFEKRDKFMQNVIDEQREMTNACSGGAPPKLTATKTVLDVLLELQAEEPQFYTDQTIRDLLLVLLQAGSDTSAVTLEWAFSYMLDNPHVWKKAQADIDKHVGHNRLVDESDLPGLPYIRCIVNETLRMHPAAPLLVPHFSSQDCTVAGFRIPRGTILVVNAWGIHHDPTVWEDPEVFNPERFQGFDGGKKMNGCRFMPFGSGRRKCPGENLAIRVLELAVASLLQCFDWERPGGDKTTTAESEGTGGFTISAMTHPLRVKCCPRPITHHLLS >itb12g19750.t1 pep chromosome:ASM357664v1:12:22166383:22169479:-1 gene:itb12g19750 transcript:itb12g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGILLKLLQSMNTNVRVTGDHRSPLLQVVGIVPALSTSDSLWAHHGFYVQLSDSLNSTYVSLSDRDTDLILTNRLQLGQFVHVDRFVFDSPPVPRAVNVRPIAGRHSFIGSPEALIARVSAKNGGFVIQPVSDSDPIAAYLSRNGRSENEPKGNGKTEDKIGARKVFAAKENVDLDEVSTKISSEKAPVPPRFSSPGSVKQRSISAGKKNVAVVERDPSPAGKVKRSASPAPSKCVVPSLVAAKEENRRNSREPAIIVPSRYRQPSPTSGRRQASPVVSRRMSLSPGRRLSGALKDSSGKKKITAIAAGISKVSEAIVGSSKSSRKSWDEGPAVSGGSSEHKEKVPTKNKPDLQAILRTQAAISRRLSDVSICQDDGTNDEKVKSTESFPAPEKPNMAPVIPVHEKKWTDGSVPLDSLTSDLAKLGKEAMRRRVAASMAAAEALEEALATESIVRGLSMFSDLSSSSKPENPLPTIDRFLSVYEDVMKSTSVVESITTSHSTAKPQENISIDHSKPSSLWVEAALATDLEVVSLLTNQNFEPPSTLDKNPSKKPSKSSVKNHSMVPSVWIRGQGMNETLELAKKLLSEMELWFLKFVEESLNVGFQVFQKCSQAGGPIAAILSQLKRVNGWLDLISKKDEVLVEKIENLKMKIYGFVIQNVGTTVENAASC >itb02g25430.t1 pep chromosome:ASM357664v1:2:26192865:26196479:-1 gene:itb02g25430 transcript:itb02g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLSIQLLRSTPKSGRFGSAAAASSSSSTYSSAAVLRPSVALPSRHFSAESETVVSKKRVEDVMPIATGHEREELEAELQGKKILDIDFPEGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDEDGHHH >itb04g05320.t1 pep chromosome:ASM357664v1:4:3302492:3306143:1 gene:itb04g05320 transcript:itb04g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDEAACNTYNYGDALYWDARYVQEAGCGPFDWYQRYAALRPFVRKYIPSSSRVLMVGCGNAVMSEDMVKDGYEDIVNVDISSVAIDMMKRKHEHIPQLQYMQMDVRDMSLFPDESFDSVIDKGTLDSLMCGTNAPISASQMLGEVSRLLKPGGVYMLITYGDPSVRMPHLNRPVYNWKIELYNIPRPGFQKSVDSTSDLKSYLEPIPLTEAGLLPADYVMEDPDSHFIYICKKPETR >itb14g18560.t1 pep chromosome:ASM357664v1:14:21505994:21509611:1 gene:itb14g18560 transcript:itb14g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKQRKDHEMIFGALIVQNSDGKSVPGRSVSIQLYSSTKVDPSTGKGKLSEKVKLKQGKSITDKISGIKTTSYRFRVAVEPDFGLPGAFIVKNQHKHEFYLQSLVLQVQDDTLIHFECNSWIYPSQLTQVARVFFSNNCYLPNETPNGLLELRKEELLSLRGDGTGQIEEWDRIYDYGKYDDLGDPARGPQYVRPVLGGSDVYPYPRRAKTNHKQSNNGSKSLEKTNQKGVFDIEITVPPDERFSPEKLSELTKNCIQAALHFVVSQGKSDFRDFKDTRPFHSFIEMYGLFRRYKSHEVDEWAIKKLRTLVPDELFKKIKSAIKEYTVRFPLPQIIRGDEFAWKEDEEFARQMLAGTNATVIQCLQKFPPESSKGVVSSIKLSRIQHNLGGLTLQEAMNQWRIFILDHHDYLMPFLERVSQHGICAYASRTLLFLKDDGTLKPLAIELCFPHSSSGSEREIQRVFYPKAEESEAAMWHLAKAHVAVNDSGYHLLINHWLKTHAVVEPFIVATRRQLSRMHPVHRLLEPHFKDTMHINALYRKVVMNAGGILEKTLFTGEVSMEISSALYKHWRFDEQSLPADLVKRGMAFYNPEHPAGVQLVIEDYPYGADGLEIWVAIKKWVADFCSHFYHDDESLKSDNEIQEWWSEIRNIGHGDKSTETWWFSMTTLSELIEALTTLIWLSSGFHASVNFGHYGYTGYPPNRATKCRRFIPHEGTREFGDFLEDPDRYFLGMLPNRFDVTLHMALAEVVSRHASDEVYLGQQQSPDYWMDDEWIQHRFRRFIKELTEVEKRIRERNADLRLSNRWGPAKISYTLLYPDVSNSASGRSLSGRGIPNSISI >itb01g31910.t3 pep chromosome:ASM357664v1:1:35533015:35534318:-1 gene:itb01g31910 transcript:itb01g31910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDDWEDDFRQVQTVEEYNCSARHQERQRRRDGEKEEVEEEESPHINFDFLSLISAPKDYYRILEVDYDATEEAIRSNYIRLALKWHPDKQKDHGSATSKFQEINEAYQGYPPFLLADYYTSWFIMTGKRLSIINLCLLPIISLTIVAGTLFPF >itb01g31910.t2 pep chromosome:ASM357664v1:1:35531367:35534318:-1 gene:itb01g31910 transcript:itb01g31910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDDWEDDFRQVQTVEEYNCSARHQERQRRRDGEKEEVEEEESPHINFDFLSLISAPKDYYRILEVDYDATEEAIRSNYIRLALKWHPDKQKDHGSATSKFQEINEAYQVLIDPVKRQEYDRKGTLRAYDYNIVVSYTPFVYV >itb01g31910.t1 pep chromosome:ASM357664v1:1:35531367:35534318:-1 gene:itb01g31910 transcript:itb01g31910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDDWEDDFRQVQTVEEYNCSARHQERQRRRDGEKEEVEEEESPHINFDFLSLISAPKDYYRILEVDYDATEEAIRSNYIRLALKWHPDKQKDHGSATSKFQEINEAYQVLIDPVKRQEYDRKGTLRAYDYNIVDYLNRYKGLILTCNGLGMKHSIL >itb12g02900.t1 pep chromosome:ASM357664v1:12:1902966:1904078:-1 gene:itb12g02900 transcript:itb12g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLTEILVRLPPRSVLRLQCVSKQCLSIISSPNFRRLHSRRSAAVVTGLFFPPPMHFPLPAESHFVALPNGKRQHNTIANRLIEVCDGRFVVSLSSCNGLFCIGLSDENVLFRECEYYVYNPTTNQRRRIPQVQSESKYVSNVAIAFDASKSNHYKLVCVCGYLRVWVFSSESRVWRNTGTRLPGRCLNVYSFPSPYLNGAIHWYIFKKEFLCLDIDTCELRPMPRISCVNNDPGWCKYLGECGGHLHFIGERGRGGQLLNVFEMKNDYSQWVFKYQVDVGYVARFYPIVMDEFKIRLHFSIHLLLTGHDDDEEGEGLVISLPNGKVIFWRLHDMSITQLVERCNEIQHHMSRCYYTSKHIDTLAFIY >itb04g32870.t1 pep chromosome:ASM357664v1:4:35259252:35262976:-1 gene:itb04g32870 transcript:itb04g32870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEEEEEEDIVWLDQSFFMNDNYELTTFSFGSQVLQLYCLQSASTDFDLTGQLVWPGAVLLNDYLAKNADILQGCSVIELGSGVGITGILCSKFCREVVMTDHNAEVLKILKKNIDHVSSDISIPHAGLKAEKLEWGNTDQLSYILQGHPEGFDLANVPLLFDTVEQLLKVRGGNKCKFILAYVSRAKVMDAMVINEATRHGLQISEVAGTRSVVKNLEGLIFEIKIRE >itb04g32870.t3 pep chromosome:ASM357664v1:4:35259252:35262976:-1 gene:itb04g32870 transcript:itb04g32870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEEEEEEDIVWLDQSFFMNDNYELTTFSFGSQVLQLYCLQSASTDFDLTGQLVWPGAVLLNDYLAKNADILQGCSVIELGSGVGITGILCSKFCREVVMTDHNAEVLKILKKNIDHVSSDISIPHAGLKAEKLEWGNTDQLSYILQGHPEGFDLANVPLLFDTVEQLLKVRGGNKCKFILAYVSRAKVMDAMVINEATRHGLQISEVAGTRSVVKNLEGLIFEIKIRE >itb04g32870.t4 pep chromosome:ASM357664v1:4:35259240:35262976:-1 gene:itb04g32870 transcript:itb04g32870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEEEEEEDIVWLDQSFFMNDNYELTTFSFGSQVLQLYCLQSASTDFDLTGQLVWPGAVLLNDYLAKNADILQGCSVIELGSGVGITGILCSKFCREVVMTDHNAEVLKILKKNIDHVSSDISIPHAGLKAEKLEWGNTDQLSYILQGHPEGFDLANVPLLFDTVEQLLKVRGGNKCKFILAYVSRAKVMDAMVINEATRHGLQISEVAGTRSVVKNLEGLIFEIKIRE >itb04g32870.t2 pep chromosome:ASM357664v1:4:35259252:35262976:-1 gene:itb04g32870 transcript:itb04g32870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEEEEEEDIVWLDQSFFMNDNYELTTFSFGSQVLQLYCLQSASTDFDLTGQLVWPGAVLLNDYLAKNADILQGCSVIELGSGVGITGILCSKFCREVVMTDHNAEVLKILKKNIDHVSSDISIPHAGLKAEKLEWGNTDQLSYILQGHPEGFDLANVPLLFDTVEQLLKVRGGNKCKFILAYVSRAKVMDAMVINEATRHGLQISEVAGTRSVVKNLEGLIFEIKIRE >itb09g02380.t1 pep chromosome:ASM357664v1:9:1377228:1378812:1 gene:itb09g02380 transcript:itb09g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTFFFFVIIFSVFLSGDSRTAFSDVEALKAFKSSIKASTVPSYSCLGSWDFASADPCSLPRLTHFLCGLTCSGDRVTQLVLDKAGYSGTLSPLISKLTQLIMLDIQGNNFYGPIPASLSSLPNLQNLVLRANSFSGSVPPSITMLKALQTLDLSLNSLSGSVPVSMNSLAALRRLDLSFNRLAGPIPRLPSSLIELAIKANFLSGSLPKSSFNGLTQLEVVELSQNSFGGTLESWFFQLPALQQVNLANNSFTGLSVPTPTKQNSDLVALDLGFNKIEGYLPVNFASYPLLSSLSLRYNRLRGRIPAQFSKQQSLRRLFLDGNFLKGSPPVGFLSGGTTVSGSLGDNCLQQCPPSSELCLKPQKPASICQQAYGGKPRS >itb02g24030.t1 pep chromosome:ASM357664v1:2:24349307:24351517:-1 gene:itb02g24030 transcript:itb02g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVAETPAAPALGEPMDAMTALQLVLRKSLAHGGLARGLHEGAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCNDHNVNLITVPSAKTLGEWSGLCKIDSEGKARKVVGCSCVVVKDFGEETEGLHVVQDYVKTH >itb04g23460.t1 pep chromosome:ASM357664v1:4:28502526:28505185:-1 gene:itb04g23460 transcript:itb04g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRNEVFRRHRNTLNQNRIPFTSSSKAFGGGSPVIEMATSSFFNSRSSYAPLSTEDPGPSTSSYDVHTVGLAPVWVDVSEEVAANINQTRDKMAELVKAHAKALTPSFIDGKGDQCRIEALTQEITDLLRKSEKTLQNLSAGGRSEDSTVKRNVQRSLATDLQNLSVDLRKKQSTYLKCLNQTEGLDELDWEMNLNGTSCTVDDEICGLGFNEHQMAKLKNNKQFTEDREKEIKQAMKSVNELAQIMKDISVLVIDQGTIVDRIDHNVQSVVASVEEGTKQLRKAKRNQKEGGMVRCATVLVITCFILLILLVLKEILV >itb08g06060.t2 pep chromosome:ASM357664v1:8:5212574:5216325:1 gene:itb08g06060 transcript:itb08g06060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDQASSICSHCDRAIPSSNLDLHFAHCSRNLEKCKICGDMVPKIHAEHHFLSTHAPVSCSLCSETMEREVLAVHKGENCPQRIVTCEYCEFPLPAIDLFEHQEVCGNRTELCELCSRYIRLRERDVHESRCNGITDNVAETSRNAREAEQDRGAPRCPNEFSKKRLLFTIAITGIAVLLGSLFYPKKAEHVQVH >itb08g06060.t1 pep chromosome:ASM357664v1:8:5212574:5216325:1 gene:itb08g06060 transcript:itb08g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDQASSICSHCDRAIPSSNLDLHFAHCSRNLEKCKICGDMVPKIHAEHHFLSTHAPVSCSLCSETMEREVLAVHKGENCPQRIVTCEYCEFPLPAIDLFEHQEVCGNRTELCELCSRYIRLRERDVHESRCNGITDNVAETSRNAREAEQDRGAPRCPNEFSKKRLLFTIAITGIAVLLGSLFYPKKAEHVQVH >itb04g13120.t1 pep chromosome:ASM357664v1:4:13156900:13157974:1 gene:itb04g13120 transcript:itb04g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAATATFIAALAMIFAVEVSVAQETIGNSDDAVRHYKVNGFDFKMEKGNEACYIACYNNVFATNSSPFTAEKRCHKKCSSSAGGSLVSASSAVAAAKHTLTPNRGIFYTGAKKPRVSSAGTGAAAAEKPAVSSAAEKPAFPSAGTGAAAEKPAISSAGTAAEAPVVSSAGAAAEAPVVSSAGAAAEGPAVWSAGTEETNFGNPYS >itb13g03080.t1 pep chromosome:ASM357664v1:13:3038864:3039474:1 gene:itb13g03080 transcript:itb13g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGDWMCAACQHLNFKKRDACQRCSCPKYASASDVALYGLNKSEVLAGDWYCTAMNCGVHNYASRTTCFRCGASKVDYYGYAAAYEANAVPGWKSGDWICNRYGCGTHNYASRTECYKCKTPRDYAQDL >itb10g25790.t1 pep chromosome:ASM357664v1:10:28970321:28973239:-1 gene:itb10g25790 transcript:itb10g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEMEATAGVEGVDEEFAVWKKNTPLLYDLVISHSLEWPSLTVQCLPSPPSHDGPFSVHKLLLGTHTSDDCPNFLMLAQAYLPRNPASGLEHNLTEFQTPKVEIVQKILCDGEVNRARCMPQNTGLVAAKTNGCEVYVFDSANQHLNHDEGGSCDPYLRLRGHDKEGYGLSWSPFREGSLLSASNDCKICLWNISATPNDKVLEADHIYKEHEDVVEDVSWHLKNENLFGSVGDDCRLIIWDLRTNKPQHSVIVHEKEVNYLSFNPYNEWVLATASSDTTVGLFDMRKLSSPLHVLSSHTDEVFQVEWDPNHETVLASSAGDRRLMVWDLNRIGDEQMEGEAEDGPSELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNSLQIWQMAESIYRDDEELQTDGS >itb06g20460.t1 pep chromosome:ASM357664v1:6:23423966:23432192:1 gene:itb06g20460 transcript:itb06g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 9 [Source:Projected from Arabidopsis thaliana (AT1G44970) UniProtKB/Swiss-Prot;Acc:Q96512] MSLCFLCSNLNPLILQSEPHFRWVPKPTRQLRIIQQKQAKRGFCGSIRAFEDGIGVLSPDDTVSVRNPVIETGDGDEAEDFHQGFNGAASTEAEEKAQSSVLKKIERKKGAQVEETDDGRFTLRNGREVFEEKAYLVGVACKNSIDDSFGIEESLKELAQLADTAGLLVAGSTYQKLSTPNPRTYIGSGKVAEIKSAIHAFGVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATREASLQVSLAQMEYQLPRLTRMWTHLERQAGGQVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRTSVPVPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLMVHLVDISHPLAEQHIEAVDKVLSELDASSIPKMMVWNKVDNAADPEKIKLEAKQKDVICISALTGEGLNDFCDAVQTKLKDTMVWVEALIPFDKGELLSTIHQVGMVDRTEYTANGTLVRAYVPLRFARILTPMRQILVMMMVVFSAANPGLSPFFYDASCPQANDIVMSVLERAIAEDPRMAASLLRLHFHDCFVQGCDASILLDKTSGMASEKDAGPNKNSIRGIEVVDEIKAQLEQVCPHTVSCADILALAARDSTVLSGGPHWEVPLGRRDSKTASLKKANTNIPAPNSTIQHLITLFARQGLDEQDLVALSGGHTIGVARCVSFRQRLYNQNGDNQPDATLERAYYNDLKAACPKTGGDNNVSPLDVASPVRFDNTYFKLLLRRKGLLASDEALLTGDVQKTVELVKGYASDEELFFHHFAKSMVKMGNINPLVGLKGEIRHNCHHVN >itb12g18360.t1 pep chromosome:ASM357664v1:12:20596893:20603146:-1 gene:itb12g18360 transcript:itb12g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSKTEVNLRRLLATAPQQQNQAKLIHYVATLREQLEQLAEERTPEGLPRVSKAKVNEYSEKIEAIAAKVAVTVEEPQRPLADVYSAETCKAEGESAPHSPTGGLRRRFAPPSNAKDTSHEKVGADQSAPVKLDDAAWTHIDKHRKLQESLTDEMVVLARQLKESSLMMSQSVKNTEKILDSTEKAVEHSLASTGHASSRAMEVYSQSFKTTCFTWLLIFAMTCIFIMVVLLIRVT >itb02g05290.t1 pep chromosome:ASM357664v1:2:3166975:3176276:1 gene:itb02g05290 transcript:itb02g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVVAFYTFFAPFLGGRIWEYALIGAYSPVALLVFVLYVRCTAINPADPGIMSKFDSDILSNTSPKHGLSSKGLSGRFDEPGTGAQSSPSSVLRSPVSASNSGKRSQLEAERTDGQVISSRRKSCCSKIGGILCVPFVHRDCRREDSASQQEGAAEDALFCTLCNAEVCKFSKHCRSCDKCVDGFDHHCGWLNNCIGRKNYVTFISFMATSLVWLAIEAAVGIAVFVRCFVNKRKIEAEIVDRLGNGFSRAPFASVVAFCTVLSLLACVPLGELFFFHMILIRKGLTTYEYVVAMRAMSDAGAGESVDEEPGVIQYSPSGSATTGISGGSSLGLQYKGAWCTPPRVFVDYQEEVAPQLEPGMVPSTVDPDAIGSGEKGSKAPKRAVKIRAWKLARLDSGEAMKAAAKARESSSVLRPIDNHHSVDPDLSTSENTSVRSSMSADTIGNKETRNELRISPLCAPSQGSRDEYETGTQSMSSFSSPSHVHGPVTLSAIPPTQGLPRPDATTSVLRLLSEQANAPGTASSNRNSVLSYTSSEFDEKILQKNSATDPLLLSAAAPATSLLRDIKRTSVVWDQEAGRYVSVPLSAAEARNRPALRGGISNLNAGSSSNDKRPTPLPREPSKPAAQHPVQQSEKLTYTGESIFFGGPLFRGPDRGSGSRNGQERLLTNVARESRFKRDAVLHQLPVFLPGDHLERCNPVYLPGDHLEPNVSSRSGLSTFQQVGSHVEHLPAVIGSCKSLTRMPAPVRWISTRASGKNNVSTTDDKGFSGHDMLAPFTAGWQTTNLDPLLVVKSEGSYVYDIQGRKYLDTLAGLWSTALGGNEPRLVAAATKQLNTLPFYHSFWNRTTKPSLELAKELLNTFTAKKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARKKSYHGSTLISASLTGLPALHQEFDLPAPFVFHTDCPHYWRYHLPGETEEDFSTRLANNLENLIVKEGPETIAAFIAEPVMAAGGVIVPPATYFEKVQAVLKQERNIVEQVNKMAPKFQDGLKAFADSPIIGEIRGTGMILSTEFADGKSPDDSFPPEWGVGAYFGSQCQKNGMLVRVAGDTIMMSPPLIITPQEVDELISTYQEALQETENRVKELKSQKK >itb07g04150.t1 pep chromosome:ASM357664v1:7:2786377:2788185:1 gene:itb07g04150 transcript:itb07g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKRGPWTIEEDHKLMTFILNNGIQCWRLVPKLAGLMRCGKSCRLRWINYLRPDLKRGALTEAEEEMIIKLHSQLGNRWSKIAAHFPGRTDNEIKNHWNTRIKKKLKLRGLDPTTHKPLDQPGSSIAKINGVDDHNQQQSSSSGEEEMMMIMKNKDYPIPNYEYALQQTLNSSLDESLELESNIIPAGSEDMDTLSMDMYNPDDQRQEPYQTWIGSPLQWDLFNNLDGNFL >itb15g19910.t1 pep chromosome:ASM357664v1:15:22426172:22431568:1 gene:itb15g19910 transcript:itb15g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKENDAYEEELLDYEEEDEKAPDSVAAKVNGESAKKGYIGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPIAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNITIHKDLLKNECPHIVVGTPGRILALAREKHLSLMNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEMEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSSSDSGVLNQVQERFEVDIKELPEQIDTSTYMPS >itb03g04310.t1 pep chromosome:ASM357664v1:3:2693738:2695424:-1 gene:itb03g04310 transcript:itb03g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSYETEHPVKAFGWAARDTSGVLSPFKFSRRETGEKDVQFKVLYCGVCHSDLHQLKNEWGNTTYPIVPGHEIVGVVTEVGTKVEKFKVGDKVGVGCLVGSCQKCDNCASDLENYCPGAIPTYNANKTMTFGGYSDIMVSEEHFVLSWPENLPLEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGAKVTVISTSASKKEEAIERLGTDSFLISREQEQMQAAMSTLDGIIDTVSAVHPVLPLLCLLKTNGKLVMVGAPEKPLDLPVFPLLMGRKLVAGSAIGGIKETQEMLDFSAKHNITPDVEIIPMEYINTALERLVKADVKYRFVLDIGKTSKSA >itb15g00480.t1 pep chromosome:ASM357664v1:15:285857:288431:-1 gene:itb15g00480 transcript:itb15g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRRSFIIIFISLLLVTLFSSSSSSSSSTPPLFNLTTLPSQHPNPEAVVQEFQSKVNASLWRHLSRRKLLSSGQSCSTGNPIDDCWRCDSNWQLNRQHLAECGIGFGQYAQGGKGGRYYVVTDSSDPDPVNPPPGTLRFAVIQSEPLWIVFAASMTIRLSQELIFNSYKTLDGRGANVHVTGGGCITLQYISNVIIHNIHVHNCYDSGDTNVRSSPTHFGFRSKADGDGISVFGSRDIWIDHCSLSECKDGLIDVVMGSTGITISNNHFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGQNLVQRMPRCRRGFIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYMAPFDRNAKEVTKRVDTEEDEWRNWNWRSDGDIMGNGAFFVASGDSVEIQYEKAYSVEPKSANFIDQLTMNAGVLVGRGGNTGKWTAAGNASSGGSDGGGTDLVAISGDRDDYDGDDSGGGYRLCCYPIVLFSLITLLTFMVV >itb13g21350.t1 pep chromosome:ASM357664v1:13:27972703:27976998:1 gene:itb13g21350 transcript:itb13g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGLFISATKLAGVLVTLSVAANAFSYNRYRKKNLKPFDSPIDESSDTLAVFDVNPAEGEKGFFFGLATAPAHVEDRLNDAWLQFAEETPCEQSKSNNESQLADALLASATGDGGTQQASVLPRAASQTIKRRKSLKIAMEAKIRGFEKYIEVEESVPAEACHHTVAAWHNVPHPEERLRFWSDPDTELKLAKDTGVQVFRMGIDWSRIMPEEPKNGLKESVNYAALERYKWIVNRVRFYGMKVMLTLFHHSLPPWAGEYGGWKMEKTIDYFLEFTRLVVDSVSDVVDYWVTFNEPHVFCMLTYCAGAWPGGNPDMLEVATSALPTGVFNQAMHLMAIAHSKAYDYIHERRGSGTLVGVAHHVSFMRPYGLFDIAAVSVANSLTLYPYLDAISEKLDYIGINYYGQEVVCGAGLKLVETDEYSESGRGIYPDGLYRVLLQFHERYKHLNVPFIISENGVSDGTDVIRRPYMLEHLLAVFAATLAGVPILGYLFWTISDNWEWADGYGPKFGLVAVDRSNNLARIPRPSYHLFSKVVTSGKITRQDRENAWNELQIAVKEKKTRPFYRSVNKHGLMYAGGLDEPIWRPYIERDWRFGHYEMEGLQDPLSRFSRYILRPFSIKKKSKSKTVNEEATLEPLMQSL >itb14g10560.t1 pep chromosome:ASM357664v1:14:11937782:11943607:-1 gene:itb14g10560 transcript:itb14g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGNIVAASMPEALRGPAADIAAQMGLMWELIKAPLIVPLLRLSVYVCLAMSLMLFFERLYMGIVIILVKIFWKKPEKRYKWEAMRDDLESGNASYPMVLIQIPMYNEKEVYKISIGAACNLSWPSDRIVIQVLDDSTDFVIKDMIEKECIRWASKGVNIRYQIRETRGGYKAGALKDGLKHDYVKECEYVAIFDADFRPEPDFLRRAIPFLVHNSNIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTGGVWRIGAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKRVNVWKKFYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVEVPKWGAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMSFHRTKATFIGLLEFKRANEWVVTEKLGDALKNKSTAKPVARKTKSFFGDRILPHELGFAVFLFFCGCYDFLYGKNQYFIYLFLQTITFTIAGFGYIGTIVPS >itb03g15170.t1 pep chromosome:ASM357664v1:3:14579420:14583191:1 gene:itb03g15170 transcript:itb03g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPENEKEPIHSAVADDEYEEFDEEEDDDEDEEELETLGQDAGIPMDRARMESLVRQLSRRGVPVRVHSVLIKGNANTKDSLIEAELEGLKSASTVQELLQAASIANARLQQLGIFDSVSITLDAGPPELPGTATVVVEVAEAENFLTGDVGIFSKPEDRSWSLEGSLKLKNLVGCGDLWDGSLSYGWDQTSEVSAGVTFKKFTPVTARLSLLSNDWFKFSSYKDQALGLSLGLLSSRNHELVFNTSWRPLTEPSQTASTAVRQLLGHDLLSVLKYTFKIDKRNSSWRPTRGYAFASTSQIGGLAPDYQSLCFLRQEFGFRYALPLGFYNAALNIGISAGAIFPWGTGSLNRPLYLRERFFMGGNSSPVCSFGGPISILGFKSSGLGHAEPQSEVREGANSESSNFSGDLAVTAFADLSFDLPLKLFRDAGIHGHAFACAGNLDRLTENTFRDLSLQKLQESYKASAGFGIVVPLKRLRMEVNYCHILKQQEHDRGKTGLQLSFSSSSSS >itb07g18780.t1 pep chromosome:ASM357664v1:7:23208741:23210885:1 gene:itb07g18780 transcript:itb07g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLCLKAARQSPPSKNPPRCRNLHLAAVQASSPLSQSPPRRRPSLISSLFQQARNQFRSFANRRPWPNASNQFRSSATTTDDYRPLSPTETSPPGSVIE >itb11g11540.t1 pep chromosome:ASM357664v1:11:8454438:8456157:1 gene:itb11g11540 transcript:itb11g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPKSDSIQIREVWDYNLEEEFDLIREIVDDYPYIAMDTEFPGIVLRPVGSFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDEKGNLPTCGTDKYCIWQFNFREFNPNEDVFASDSIELLRQSGIDFEKNTEKGIEARRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKILTCQALPETQPGFFALINMYFPVVFDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTACTFRKLKDNFFSGSLEKYAGVLYGLGVENGQYSSSSTHW >itb07g14110.t1 pep chromosome:ASM357664v1:7:16547125:16552350:-1 gene:itb07g14110 transcript:itb07g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPTVNLLHLPAKSSELRLFVAGAYSILRLRTSGLWSPVVATKQPSIEAVRCLTKPENLSSDEEEEVRESQQDSLDAARPEVSNGSAVSKTLAGDSLSLGIREPVYQVVEVKSSGMVSTRKINRRHLLKSSGLRPRDIRSVDPSLWLTNSMPSLLVREHAILLNLGSLRAIVMQESVLIFNYNRKGGKAFIDALLPRLNPKNMNGGPSMPFVLEVVEAALHSRIQRLEQRLWDLEPRVQALLEVLPNRLTADVLEQLRISKQTLVELGSRAGDLKQMLLDILEDTHEIRRICIMGRNCTLTKGNDEMECAVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGAFCVAIGALVSGIFGMNLKSYLEEHAFAFWLTTAGIMVGAAVTFFLMYRYLRRRKIL >itb07g14110.t2 pep chromosome:ASM357664v1:7:16547125:16551725:-1 gene:itb07g14110 transcript:itb07g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRKINRRHLLKSSGLRPRDIRSVDPSLWLTNSMPSLLVREHAILLNLGSLRAIVMQESVLIFNYNRKGGKAFIDALLPRLNPKNMNGGPSMPFVLEVVEAALHSRIQRLEQRLWDLEPRVQALLEVLPNRLTADVLEQLRISKQTLVELGSRAGDLKQMLLDILEDTHEIRRICIMGRNCTLTKGNDEMECAVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGAFCVAIGALVSGIFGMNLKSYLEEHAFAFWLTTAGIMVGAAVTFFLMYRYLRRRKIL >itb14g08150.t1 pep chromosome:ASM357664v1:14:7523203:7524537:-1 gene:itb14g08150 transcript:itb14g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MASYNGRAAYSPSAPPLPGTDEPSIAHPYHPTTTYSVPSYAPSPPSARPHSPSPPSTRPHSSSSSSYAHFSYTAFPPGTDPDVIRSFQMVDRDHSGFIEDKELQQALSSGYQNFSLRTIRLLIFLFKNPTESSLRIGPKEFAALWSCLGQWRAAFERFDRDRSWKIDATELRDALYSLGYMVPPSVLQLLVSRYDGGNGRAELNFDSFVE >itb03g09680.t2 pep chromosome:ASM357664v1:3:7480496:7481675:-1 gene:itb03g09680 transcript:itb03g09680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQDEFWGCTYGLFLNVFICASVLVILMAVTALVLKLLGFFAGFSAAAVPETASETSGLLPKDVAPVIIYGAFEEDEESGKCSRSSSSEDLYEGHVCVICYDKKRGCFFDPCGHCATCYACAKRIVEDARTCPFCRRIIHKVRRLLSA >itb03g09680.t3 pep chromosome:ASM357664v1:3:7480496:7481675:-1 gene:itb03g09680 transcript:itb03g09680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTALVLKLLGFFAGFSAAAVPETASETSGLLPKDVAPVIIYGAFEEDEESGKCSRSSSSEDLYEGHVCVICYDKKRGCFFDPCGHCATCYACAKRIVEDARTCPFCRRIIHKVRRLLSA >itb03g09680.t1 pep chromosome:ASM357664v1:3:7480496:7481675:-1 gene:itb03g09680 transcript:itb03g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDQDEFWGCTYGLFLNVFICASVLVILMAVTALVLKLLGFFAGFSAAAVPETASETSGLLPKDVAPVIIYGAFEEDEESGKCSRSSSSEDLYEGHVCVICYDKKRGCFFDPCGHCATCYACAKRIVEDARTCPFCRRIIHKVRRLLSA >itb02g26090.t4 pep chromosome:ASM357664v1:2:26897690:26905372:1 gene:itb02g26090 transcript:itb02g26090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t6 pep chromosome:ASM357664v1:2:26897716:26905359:1 gene:itb02g26090 transcript:itb02g26090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t3 pep chromosome:ASM357664v1:2:26897690:26905372:1 gene:itb02g26090 transcript:itb02g26090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t7 pep chromosome:ASM357664v1:2:26897690:26905372:1 gene:itb02g26090 transcript:itb02g26090.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t8 pep chromosome:ASM357664v1:2:26897690:26905372:1 gene:itb02g26090 transcript:itb02g26090.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t9 pep chromosome:ASM357664v1:2:26898419:26905332:1 gene:itb02g26090 transcript:itb02g26090.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t5 pep chromosome:ASM357664v1:2:26897716:26905359:1 gene:itb02g26090 transcript:itb02g26090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t1 pep chromosome:ASM357664v1:2:26897034:26905372:1 gene:itb02g26090 transcript:itb02g26090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb02g26090.t2 pep chromosome:ASM357664v1:2:26897223:26905372:1 gene:itb02g26090 transcript:itb02g26090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSTPMDTGGNRRRPANIGDVSVFVPGLRIPKPVDFSLALGDHLSKSLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLGDLLQALEDYLPVLLGLVKDGSTLQHKVQFDWVNQEDDAEETAMFSAWYEVLSVLHLMAMLSLSQANLLLLPRTSLDGYQPKVSEESRRSSVDIFLKAAGYLDCAVRHVLPQLPTEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEDFNAAVPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPLVDASWNREATNK >itb07g04590.t1 pep chromosome:ASM357664v1:7:3084445:3085086:-1 gene:itb07g04590 transcript:itb07g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNLGNSRSKVAKPRTTTKKQPIKENSAVSSLELSSLREITVRIVHPGGREELFQDAISASIVLERYPGMSVAKPGIFKHPNESVLAADDRLLPGQKYYIVPSSTVEKLKRRHSRRVARAKRAAARAKRAAESGEDEASLSVEEEVTDVSDEDSFSSAKDYYFSREEWPEFVLKRTKKRDEKKKFVPPIQTPRMWKEVGWEPSLNSIQEVSP >itb02g06660.t1 pep chromosome:ASM357664v1:2:4161939:4166011:-1 gene:itb02g06660 transcript:itb02g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKSRGGGGEGVSKSLISKKWTLLLCISSFCAGVFFTNRMWTVPESKGITITPSSETEGLKLISEGCGTKYLQPKDVKVSKDVFGEVSKTHHAIQTLDKTISNLEMELAAAKAAQESILSGSPMSEDGEKSGSSKRRKYFMVIGINTAFSSRKRRDSVRNTWMPQGEKRKKLEEEKGVVIRFVIGHGATVGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKTYFSTAVKLWDAEYYIKVDDDVHVNIGTLGETLARHRKKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGETGNKYFRHATGQLYAISKDLAAYISANQHVLHKYANEDVSLGAWFIGLDVHHVDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSADRIKEVHRRCGEGEKVLWNAAF >itb09g26970.t1 pep chromosome:ASM357664v1:9:27521688:27522332:-1 gene:itb09g26970 transcript:itb09g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFPRLNEDTDSCSTSSAVNKGHFAIYTADQKRFVVPVSYLENDIISQLLSMSEEEFGLPSDGPITLPCDAVFMEYIISLLSRGLSQELENALLVSVTSHRCSSAPLHSEGWRNQELLVC >itb06g01820.t1 pep chromosome:ASM357664v1:6:3131545:3132186:-1 gene:itb06g01820 transcript:itb06g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPSLGRQKIKIEKIEMKNHLQVTFSKRRSGLFKKASELCILCGVEVAIIVFSPAGKVFSFGHPNVELIIDRFLSGNQHPLSSNNATLQLVEAHRSATVRELNLQLSQMMAESEIERKRGEALDEMRKESRRQHWWEAPVCELGLPELQQVRDAMEELKKNVTAQAEKINTCTFLGMDGKTVFDHPETKPTRIMVNYTHALHDFGYDLTFS >itb13g26240.t1 pep chromosome:ASM357664v1:13:31572280:31575660:-1 gene:itb13g26240 transcript:itb13g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSVLTSNRPQVHEVTRRSANFHPSVWGDHFLAYASHETKSEAQEWQEHQQLKEKVKNMLVEAPCISSQKLELINKIQCLGVSYQFEKEIEATLQLIFNTHYEFNAEKDENDLYAVSLGFRLFRQHGYHAPCSVFENFTTCDGKFKESLTDNVQAILAFYEASHLRVRGEKILDEALIFTTSYLESLLPNLTDPLRSQVSEALKRPIYKRLTRIEARRYISIYEANQTHDIVLLKFAKLDFNMLQKEHQRELGNLTRWWKELDAPTNFPFARDKLVEGYFWMLGVYFEPQYSLARKFLVKITAITTIIDDIYDAYGNLDELHLFTYAINRWDASVVNELPEYMRVCYVALLDVYAEMEKELAINGESYRINYAKNEMKKLVGAYFDEAKWFHNRCTPKFEEYMKVALVSCAYMMLSTSSLVGMQEDFVTIEALDWMSKEPLIVQAATIICRLMDDMAGHEFEQQRGHVDSAVECYMKQYGKLKEETFNEFHERVSNAWKDINQECLNPTAFPMSILIRVVNLARVIDLLYKDGDTYTHSATELKDIITPVLIDPIL >itb10g16770.t1 pep chromosome:ASM357664v1:10:23040444:23042578:-1 gene:itb10g16770 transcript:itb10g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEALFPSSLRFSIPFFFYVLLIAYQALAGSIGINYGQVADNLPSPEDVVPLVKAVGATRLKLYDTDPKVLKAFGNTNVEFIVSVPNEYLSKIKDPAKAQAWVKQNVQAYLPATKITCIAVGNEVLTFNDTTLSGNLLPAMQSVHAALVSLNLDKQVTVTTAHSLAVLETSYPPSAGAFRRDLVDCICQILEFHVKTSSPFLINAYPYFAYKANPKQVSLDFVLFQPNPGIVDPVSNLHYDNMLFAQMDAVHSALDSLGYKNVCVQISETGWPSKGDADEAGATEDNARKYNCNLMKLMTSKKGTPMRPTNDLNIYVFAMFNENMKPGPTSERNYGLFKPDGTPAYPLGINLSTNISSGGSGTNSGGSTPSTSSPPGTSSTGYLSISSDSARQMVCRGRRTEMFLQIAVSIMLVHQLLNRV >itb05g27850.t1 pep chromosome:ASM357664v1:5:31030958:31032749:-1 gene:itb05g27850 transcript:itb05g27850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDRKDKQNPQGQTARILVEFLEVAITSVIFLKGVYPNGAFERRRYMNLVVHKARHPELRDYIHSTVTGLLPFIQKGQVERVAVILFDSKSVPFERFVFKIDVNQSYGSMVEEADLEFSLRSFFIKLPISQSLTQTLPQDCRWEITAYFRSLPDSSTSKDAGIWVPTDTKQWQQPPLIIPIKSMRIEPLGVQLYVEHPTLHEQKN >itb05g27850.t2 pep chromosome:ASM357664v1:5:31030958:31032527:-1 gene:itb05g27850 transcript:itb05g27850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVVHKARHPELRDYIHSTVTGLLPFIQKGQVERVAVILFDSKSVPFERFVFKIDVNQSYGSMVEEADLEFSLRSFFIKLPISQSLTQTLPQDCRWEITAYFRSLPDSSTSKDAGIWVPTDTKQWQQPPLIIPIKSMRIEPLGVQLYVEHPTLHEQKN >itb03g25120.t3 pep chromosome:ASM357664v1:3:24068642:24070556:-1 gene:itb03g25120 transcript:itb03g25120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTQTNAMEFLCRTWSPSSNEFLHMLSSGNHLLPMRSTDLEYQQEQLEEMSRNTTSKALATREDDSSVMKQWSKGNLLTRFFRSHQEKKEKLRLRTAKVHAALSLTQLAAAIAGLASSSKAETQEFHFNSAISGEQRNNMGGVLASAAALVTTVCAEAAESLGAGRVQVAAAVNSGLAIHTPIDMLAVTATTATCLRGAAILKSRILEDSLSRTPCITRVSARIWIIMPSGRIEDKWVTVHLKQKQLILSVGKNNFWALRTSKDYKLMRIAEECTEGQHNYILSLKTDSGIVKLLFEDMNQSRIWISTISYLLDKNKAS >itb03g25120.t2 pep chromosome:ASM357664v1:3:24068642:24070829:-1 gene:itb03g25120 transcript:itb03g25120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNSVSYSPAIEPEKICWRRSQDAVEASPFHSYPQSREMTSTQTNAMEFLCRTWSPSSNEFLHMLSSGNHLLPMRSTDLEYQQEQLEEMSRNTTSKALATREDDSSVMKQWSKGNLLTRFFRSHQEKKEKLRLRTAKVHAALSLTQLAAAIAGLASSSKAETQEFHFNSAISGEQRNNMGGVLASAAALVTTVCAEAAESLGAGRVQVAAAVNSGLAIHTPIDMLAVTATTATCLRGAAILKSRILEDSLSRTPCITRVSARIWIIMPSGEQHNQNLPPKHSISVYSQVCPQNLTHA >itb03g25120.t1 pep chromosome:ASM357664v1:3:24068642:24070829:-1 gene:itb03g25120 transcript:itb03g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNSVSYSPAIEPEKICWRRSQDAVEASPFHSYPQSREMTSTQTNAMEFLCRTWSPSSNEFLHMLSSGNHLLPMRSTDLEYQQEQLEEMSRNTTSKALATREDDSSVMKQWSKGNLLTRFFRSHQEKKEKLRLRTAKVHAALSLTQLAAAIAGLASSSKAETQEFHFNSAISGEQRNNMGGVLASAAALVTTVCAEAAESLGAGRVQVAAAVNSGLAIHTPIDMLAVTATTATCLRGAAILKSRILEDSLSRTPCITRVSARIWIIMPSGRIEDKWVTVHLKQKQLILSVGKNNFWALRTSKDYKLMRIAEECTEGQHNYILSLKTDSGIVKLLFEDMNQSRIWISTISYLLDKNKAS >itb15g04640.t2 pep chromosome:ASM357664v1:15:2988695:2991565:1 gene:itb15g04640 transcript:itb15g04640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFSVGLYMINLWPNSLILAAIYGAVESASSALLGPVIGKWVDMLTYAKVLKFWLLTKNLSFMVAGASVVAVLACPDLIPINSAPFMLLIILINVSGAAAVLSNLAGTILIEREWVVVISEGHPPELQTNMNSIIRRIDLACKLFAPVISGCIISFISLTASAMALALWNMVSVCIEYWLLTSVSNGVPALRESSQRRALRSLPNSKEISPSVCHEEEERFLNSDRDSLMETSESSSCWGKIGGRVSTIPYISAWNVYLQQDVVLPGFALALLYFTILSFGTLMSATLEWEGIPAYIIGIARGISAAIGIAATFLYPVLQSQISTLRTGLWSIWSQWSCLLVCVASIWVHKKVMSASMLMAGVAASRLGLWMFDLSVIQQMQDHVSESDRCVVGGVQSSLQSIFDLMTYLVGIVISDPRDFWELILLSFVLVTLAAVLYSIHIYRVRKHIFHFEKLKTFIGF >itb15g04640.t1 pep chromosome:ASM357664v1:15:2987595:2991565:1 gene:itb15g04640 transcript:itb15g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAAVFHQENQQPQFPSSLLYHLYIGHFLSRWTARMWEFSVGLYMINLWPNSLILAAIYGAVESASSALLGPVIGKWVDMLTYAKVLKFWLLTKNLSFMVAGASVVAVLACPDLIPINSAPFMLLIILINVSGAAAVLSNLAGTILIEREWVVVISEGHPPELQTNMNSIIRRIDLACKLFAPVISGCIISFISLTASAMALALWNMVSVCIEYWLLTSVSNGVPALRESSQRRALRSLPNSKEISPSVCHEEEERFLNSDRDSLMETSESSSCWGKIGGRVSTIPYISAWNVYLQQDVVLPGFALALLYFTILSFGTLMSATLEWEGIPAYIIGIARGISAAIGIAATFLYPVLQSQISTLRTGLWSIWSQWSCLLVCVASIWVHKKVMSASMLMAGVAASRLGLWMFDLSVIQQMQDHVSESDRCVVGGVQSSLQSIFDLMTYLVGIVISDPRDFWELILLSFVLVTLAAVLYSIHIYRVRKHIFHFEKLKTFIGF >itb15g06860.t1 pep chromosome:ASM357664v1:15:4607379:4608986:1 gene:itb15g06860 transcript:itb15g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGSSKTRKRRNGGESVEEMLLRWKALNNEAATSNSSATAPDGARKKKRKFQAKGSRKGCMRGKGGPENSGCSYRGVRQRTWGKWVAEIREPVSATDECNSERHRRRFWLGTFSTAVEGALAYDMAARVMYGPNAILNFPGAFLQNASGGGLRVNYEGESSAASVNNDVPKDVSKAQELNVLRRNDSSSPICSTGESRVVPIVKELEDLVEPELPAYSYVKKVNDDITELEDSKLFNGSNGANISCNVDAGMQNIETKVDAEERRLDFNCSEYERSDDKRFSQDENRDSDERKPELQSQLDYFLKILLEDNHSTENMNISETFSLMENHEGSDFFKNLLKESFSKDPILELNTDDTEVKQDGKDEPSNHSTLVD >itb01g09520.t3 pep chromosome:ASM357664v1:1:7759266:7765357:1 gene:itb01g09520 transcript:itb01g09520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELHIHHLYSSSFQFFTSPAIHSTKFPRELKTQKSIQFYRVCAAKSISENASSLDLQKCSKKELSRILRTEAAIEAIERKANSSKYNNLWPKAVLEALDDAIKGNRWESALKIFRLLRKQHWYEPRSRTYARLLVMLGKCRQPNQASLLIDLMRSDGLQPTLDVYTALASAYGLSGLLDEAWHTIHDMKSISDCKPDVYTYSILIKCCMKFQRYDMIEHILAEMSYLGVECSTVTYNTIIDGYGKANLFEQMENSLLEMIESGMSLPDVFTLNSVIGAYGKCGNIEKMEKWFDEFQLMGIKPDVMTFNILIKSYGKAKMYAKMGSVLDYMGKRFYSPTTVTYNTIIETFGKAGNIDKMEEFFLKMKHQGMKPNSITYCSLISAYSRAGILEKVDSILRQVENSDVVLDTTFFNCAIHAYGQAGDIERMVKLFLEMKDRQCRPDNITYATMIKAYSAQGMIDAAEDLQSRMINGNDFPETKLIGSPTPID >itb01g09520.t4 pep chromosome:ASM357664v1:1:7759263:7765082:1 gene:itb01g09520 transcript:itb01g09520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELHIHHLYSSSFQFFTSPAIHSTKFPRELKTQKSIQFYRVCAAKSISENASSLDLQKCSKKELSRILRTEAAIEAIERKANSSKYNNLWPKAVLEALDDAIKGNRWESALKIFRLLRKQHWYEPRSRTYARLLVMLGKCRQPNQASLLIDLMRSDGLQPTLDVYTALASAYGLSGLLDEAWHTIHDMKSISDCKPDVYTYSILIKCCMKFQRYDMIEHILAEMSYLGVECSTVTYNTIIDGYGKANLFEQMENSLLEMIESGMSLPDVFTLNSVIGAYGKCGNIEKMEKWFDEFQLMGIKPDVMTFNILIKSYGKAKMYAKMGSVLDYMGKRFYSPTTVTYNTIIETFGKAGNIDKMEEFFLKMKHQGMKPNSITYCSLISAYSRAGILEKVDSILRQVENSDVVLDTTFFNCAIHAYGQAGDIERMVKLFLEMKDRQCRPDNITYATMIKAYSAQGMIDAAEDLQSRMINETKLIGSPTPID >itb01g09520.t2 pep chromosome:ASM357664v1:1:7759260:7765357:1 gene:itb01g09520 transcript:itb01g09520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHIHHLYSSSFQFFTSPAIHSTKFPRELKTQKSIQFYRVCAAKSISENASSLDLQKCSKKELSRILRTEAAIEAIERKANSSKYNNLWPKAVLEALDDAIKGNRWESALKIFRLLRKQHWYEPRSRTYARLLVMLGKCRQPNQASLLIDLMRSDGLQPTLDVYTALASAYGLSGLLDEAWHTIHDMKSISDCKPDVYTYSILIKCCMKFQRYDMIEHILAEMSYLGVECSTVTYNTIIDGYGKANLFEQMENSLLEMIESGMSLPDVFTLNSVIGAYGKCGNIEKMEKWFDEFQLMGIKPDVMTFNILIKSYGKAKMYAKMGSVLDYMGKRFYSPTTVTYNTIIETFGKAGNIDKMEEFFLKMKHQGMKPNSITYCSLISAYSRAGILEKVDSILRQVENSDVVLDTTFFNCAIHAYGQAGDIERMVKLFLEMKDRQCRPDNITYATMIKAYSAQGMIDAAEDLQSRMINGNDFPETKLIGSPTPID >itb01g09520.t1 pep chromosome:ASM357664v1:1:7759260:7765357:1 gene:itb01g09520 transcript:itb01g09520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRETVGSLLLRLLRKQHWYEPRSRTYARLLVMLGKCRQPNQASLLIDLMRSDGLQPTLDVYTALASAYGLSGLLDEAWHTIHDMKSISDCKPDVYTYSILIKCCMKFQRYDMIEHILAEMSYLGVECSTVTYNTIIDGYGKANLFEQMENSLLEMIESGMSLPDVFTLNSVIGAYGKCGNIEKMEKWFDEFQLMGIKPDVMTFNILIKSYGKAKMYAKMGSVLDYMGKRFYSPTTVTYNTIIETFGKAGNIDKMEEFFLKMKHQGMKPNSITYCSLISAYSRAGILEKVDSILRQVENSDVVLDTTFFNCAIHAYGQAGDIERMVKLFLEMKDRQCRPDNITYATMIKAYSAQGMIDAAEDLQSRMINETKLIGSPTPID >itb12g15870.t1 pep chromosome:ASM357664v1:12:16412200:16412604:-1 gene:itb12g15870 transcript:itb12g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGLVSSALFLCVGVLYDRHKTRLVRYYGGSVSTMPNLPTIFFSSTLANMSSPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRAVSGNLKPDFLHKFSDPNGREVSIFIPFLVGGATVR >itb01g28120.t1 pep chromosome:ASM357664v1:1:32651259:32656990:1 gene:itb01g28120 transcript:itb01g28120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSVPQEPTKQMGYASKLGTFVFDYSQKSISSNFASGNLSFNYLYCDDLGRRGCVSQQKRRVLCLSTKGSASARVGRLLNLFNRAIGFHCEKIPLGFASIQSGSAESNGFAGDGNGVLEDEGIPIAGAEGGAPKKVLILMSDTGGGHRASAEAIKAAFSQEFGEKYQVIVCDLWTEHTPWPFNQLPKSYSFLVKHSSLWRMTYYASAPRVIHQSNFAATSTFIAREVAKGLMKYKPDIIISVHPLMQHVPLRILRSKGLLNKIVFTTVVTDLCTCHPTWFHKLVTRCYAPSDEVAHRAIKAGLRFSQIKIFGLPVRPSFVKPIRPKAELRDELGMDEQLPAVLLIGGGEGMGPIEATARALGKALYDERLGEPTGQILIICGRNKKLAAKLLSIDWKVPVKVKGFVTKMEECMGACDCVITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVNNGCGKFSKVPKEIANIVAQWFGSRRHELEAMSRNCLRMARPEAVFKIVRDMDELLTERSFVPEYCPA >itb01g26350.t1 pep chromosome:ASM357664v1:1:31538421:31540159:1 gene:itb01g26350 transcript:itb01g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADEVFRMNGGIEDINYANNSLLQRNVIHMTKLITDKAITSLYTSLNDPKTISIADLGCSFGPNALLAVSNLVKAVDDQRKKLRRRHSPEFQIYLNDLPTNDFNTIFQSLPKHEEDLRREIGDGYGLCFFNGVPGSFYGRLFPSDSIHFFHSSFSLHWLSQVPKGIEENMGNICMATASPPSVIKAYYDQFEQDFSTFLKCRSKELVKGGRMILTMPGRQSKNPTFPTFELLALVLNDLVAEGYLEQEKLNLFNVPLYTPFLAEVKLLVEKDGSFTIDCLETFQSHWTRGYIYNGNGDDNIVSDEDTGGYDVARSLRAVIEPMLVSYFGEGIINEVFHRYNKMIVDFMSIQPEKSLLTVIIISLIKL >itb08g14820.t1 pep chromosome:ASM357664v1:8:16626349:16627101:-1 gene:itb08g14820 transcript:itb08g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTNSVTSPPPLESSNNTITTSHSKTTEKCHNQLCKQGLGAVTGVVTVGAFCLGFAALALIWVYSKKFKNVKNSEILASNIIKMPKEFTYKNLKSATKSFDSTRIIGHSAFSSVYKGIILENNEVIAVKRCSHNGQGKTEFLSKLSITGTLRHRNLVRLQGWCHEKGKILLVYDLMPNGSLDKALFESRMVLPGPHRQKIFLGVALALSYLHQECENQVIHRDIKTNNIMLDESFNAKIERFQVSHAS >itb12g05550.t1 pep chromosome:ASM357664v1:12:4074829:4075158:1 gene:itb12g05550 transcript:itb12g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKLVLTALLLLITLPHFIQSTEARHLRHGSKNGFSKLHLHTKISKPDVNSTSSRVFDDEPAPVAPTAPTLPSPTAPVSHSSPPGRVDGLRPTAPGHSPGIGHSLHN >itb09g09690.t2 pep chromosome:ASM357664v1:9:5955544:5957634:-1 gene:itb09g09690 transcript:itb09g09690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporter [Source:Projected from Arabidopsis thaliana (AT2G37920) UniProtKB/TrEMBL;Acc:Q8LG21] MAVLQSSFAMKPIQQSLSSSVSLSSPASLFLDTKFSQLRLTPATTANVPFKTTTIRMGGGPRTYPGGVSKWQWKRMQMKKSKQLLKARLARERQIYEMRKRAELKAAISELERPWEVVEKAPTLFSVSADEQLKVLADRFQRPGGFDMWSDKDGPELLKSETDLPSARFFPKGVVHSIKPYGKVENISDDESEDNRTLGKNKTSFEWDTVKANSLNRERNVSHSGEQNLKGMPYNNRNDKVMKNNFRKGNRRKYNPNLERLSSDKVGVRLNDGNNRGRVNSANEFRRQNGRTKYNHSKSAVFDMSLQSDGSYEFQAEN >itb09g09690.t1 pep chromosome:ASM357664v1:9:5956249:5957634:-1 gene:itb09g09690 transcript:itb09g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporter [Source:Projected from Arabidopsis thaliana (AT2G37920) UniProtKB/TrEMBL;Acc:Q8LG21] MAVLQSSFAMKPIQQSLSSSVSLSSPASLFLDTKFSQLRLTPATTANVPFKTTTIRMGGGPRTYPGGVSKWQWKRMQMKKSKQLLKARLARERQIYEMRKRAELKAAISELERPWEVVEKAPTLFSVSADEQLKVLADRFQRPGGFDMWSDKDGPELLKSETDLPSARFFPKGVVHSIKPYGKVENISDDESEDNRTLGKNKTSFEWDTVKANSLNRERNVSHSGEQNLKGMPYNNRNDKVMKNNFRKGNRRKYNPNLERLSSDKVGVRLNDGNNRGRVNSANEFRRQNGRTKYNHSKSAVFDMSLQSDGSYEFQAEN >itb05g20010.t1 pep chromosome:ASM357664v1:5:26262031:26264217:1 gene:itb05g20010 transcript:itb05g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVSSSAARSLRGFNNRFSARLCPLKGPFILSFKTDKTKNAALVSPKEAESLLIQSSKEDEKRLGKPSKLSAGRVCGVVSTDEASPSTIELDYNETAAKLEKLYKSSPETAGSDAEPGDRTVKRGRRRRKRIGEANEEAEKEIIIRNPKKKAKRLSLDKRITLRKKKEGELVASISQKREHREATNDEKIDMLVREYSIGTNLVTLDWKKMKIPPVLSSSEHSWLFKLMQPMKAIFQVKENLQNDLGREPTDVEIAEATNMDVVQLRKTLEVGQAARNKLIKHNLRLVLFVVNKYFQDFASSPRFQDLCQAGVKGLITAIDRFEPKRKFRLSTYGLFWIRHAIIRSMTLSSFTKVSFGLESVRAEIQKARMELLVKLQRMPTENEITERVGISQERYHEVMKASKPVFSLNAKHKTTQEELIDGITDVDDVEGDKRKHPALLRLALDDVLDSLKPKESLVMRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYIF >itb08g12080.t1 pep chromosome:ASM357664v1:8:12121837:12124581:-1 gene:itb08g12080 transcript:itb08g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVEDEVMVPNIIVVRIDGRDFGRFSEAHEFDKPYDAKALHLMNACSTAILEEFPDIAFAYGFSDEFSFVFKKETKFYQRRASKIYSLIVSFFTSVFVTKWKDFFPQLELRIPPSFKSCVICCASMEVLQAYLTWRQNECHIKNLYATCFWELVKCGKPEIEAKLILKGTQKQEKNELLFQQFGINYKKDVQEIFRQGSCALRKEIEDIVKYQEDGTPVRRRRKKVIIVHSENITARSFWNDQQSLSKDVGSFGECIENIKPEYIKSFQFESRLMPSTWIVIRIDGCHFHR >itb01g30220.t1 pep chromosome:ASM357664v1:1:34298402:34300501:1 gene:itb01g30220 transcript:itb01g30220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFIKVANDGDSDEFAIFQATGNRREEFGAVESVFTESFDGMMQRRQLQTETDYVLSAAAAAPPQPIFSEFGQMREMSAMVTALTHVVSGQQRSYQTESAAPVKSPLVGGSGLYSANSPSSAYSSSSSGSLAGQKRRREQEEGVTQFADQAPRVYGGFRGGESSSSSVKLETTNFITPPIMAVATSSTVTTAVAAAVQDEGNGERRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAEAAARAYDEAALRFRGNRAKLNFPENVRSLPPPQAAGTRLAISANAASQPSFQSHLQPIQGSPAVAGDYLAYSQLLQNPGDVHGQRPNSLLEQMFRASSMAAFHSHPFPSSSPSGFSTASSPFPLTFSGQQSSYFRPQSSQSPATGSSFQAPYWTNSGTQYPPSSS >itb12g03420.t1 pep chromosome:ASM357664v1:12:2238239:2239303:-1 gene:itb12g03420 transcript:itb12g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDLENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKKWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQAIYSTLENTKDCIWDYQYHDFTLPLLDFEGDAQVVQETSNPGIIIVDEKLTPKDPWSFQMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKFKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKHLTKLSYSEHDASSSTPVDVKSEGIN >itb02g08020.t1 pep chromosome:ASM357664v1:2:5013647:5014677:-1 gene:itb02g08020 transcript:itb02g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKIFTCSPNYREDGNLYCTCTPNQHSIVTAGGLLQERNGKTQEEVSKLLSESSIATLKNRGDFHGSDSPARDDRSSCRRATGFVVIRVSPR >itb01g07910.t1 pep chromosome:ASM357664v1:1:6270060:6270527:1 gene:itb01g07910 transcript:itb01g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNLLPILSLTLSFLLFSTHSAAAAAASFLDADDDEAEEYILDAPFSGAPRLRSRFLASIRKGKRCDAVSNNVCNGVSANNGTSLLYCCKKHCRNVLGDMNNCGGCGRKCGFGQRCCGGVCTDVVYNALHCGKCTTACLPGVKCNYGSCGYA >itb07g06410.t1 pep chromosome:ASM357664v1:7:4560146:4568198:1 gene:itb07g06410 transcript:itb07g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGAARSSSVACRFLNFKEFKKGVTRNQFQEKIVDALCSGERDRASTLLLELGHERPTLSSNDFISILQHCTKLSDPLFLMETWKLMEEKEIDISRECYYLAIQALCKGGYLNEAFRLMNIMRDNPSVHYFLPIYNKLLGACVQMHNISYVNQCLDLMDHQMVGKNEITYYQLLKLAVFQQNLPAVHEIWKECTNLYKPSIIFLRKFIWSFSKLRDMESAYLTLQQMVDMVFQGGFLFSKTAEGKMRNLRLDIPAPCKGDSSLLRSAKENEGFMHSANRYYNDMGMHASTSEHYFNIGNIKKNAFDISKSTVRKQKSIAAMKLLKWSFSDVMHACAVMQNDVLAEQLLYQMQSLGLQPSRQTYNAFTMAVLNARGFHDAIEVVKIMEKKNMKPYDSTLVCLSVSCSRNLELDLAESFLDQISTFEGPYPFNAFLEACDALDLPERAVQSLTKMKKLNIQPDIRTYELLFSLFGSVNSPFMEENLMFQNDAAKQIRAIDMDMMKNGVQHSQLSMMNLLKALGTRGLVKEMIQFLQAAENPIPHYQSSLGTSLYNTVLHVLVRAKETNAAISIFKGMISCGASPDAATYTIMIDCCTIIDNFKSACTLVSRMIRDGFVPQIFTYTSLIKILVESEDFDKAFRLLNQGILDGIQPDVLLYNRIVQKASEKGRIDVIELFVEQMHRDRVQPNSSICRNVFFAYVDGRFYKTAIEALQVLSMRMISLDDNVLDERQAEYEHLILNEETEDETKITNLFIEDGREDHSVALLILRWCAILGFPISWTSNKSQWAKRLSMNYDRSILVADDNSESDRFFSTTLTRRQA >itb15g02000.t1 pep chromosome:ASM357664v1:15:1194645:1195898:-1 gene:itb15g02000 transcript:itb15g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSKVSPLPDEDETKVAVLGYPPLQPPLPHHHYPGYPLPAPAYHPGFPPSPSPYATGPPGYPNHHHQYPPMQTYYQPPQHPGYYNAAAVPPHVEFEPRRKLLLARVILCLLVFLILGTAAMSVLTYVVFGSAVPFFDVVAFSANFTVENPNHGLDVMFERIESMVYYNEFLLANKVEKPMELKRDGKAVLATRLSSPASKERSTGEPPLKEMKSELDGTRSLEFRLAMGVLATFKSGSDLSRRMTFTVVCYGLKTQFKDIPGVGVWDGVKPTCTLIF >itb09g11000.t1 pep chromosome:ASM357664v1:9:6882585:6885362:-1 gene:itb09g11000 transcript:itb09g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVITFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELMTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAQKKK >itb04g23270.t1 pep chromosome:ASM357664v1:4:28404076:28405806:-1 gene:itb04g23270 transcript:itb04g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNFFSSSSSPCSSSQSSTFTFSHIPISSNSSAKAYEQGKASSSGKIIDDNKQLASGFQGDGDDVDLFCAKYGFYQENDQPLGIERQFITSNSSNNIQESTKLQAEKTWPPLSPAILRILGNRPNSLAAAASSSDGGRDHHQKLSAMQIVRLAGERFVQFSDHKFININIFKHPYSSTLSELCSQDKQDVELVQLLLAAAEKVSEQQFDRAIKLVSQCRNSASCTGSPVQRAAFYFADALQSRIERQTGSSGHEDDNRNGVKDGECLASRFNKAYLILHQTLPFSQVVQLSATQTILDHVVTKPKVHLVDFYLRTGVQWSTLMQALSERAAAEDYGQYYFRFTAIETTEKEKVEETGKRLQSFAAQFNLPFSFNVLYIPDLKHLKAEQVEIKADEAVIIHASFVLRAMISKPLELESVMRAITRLKPCVMVVQEVEANLNSPSFVHRFIDALFYYSAYLDALEDTMRRDDQHRASIEAGSVLDGIRNIVAAEGRERVTRSVSLQVWREFFGRFGLEETELSQTCWAHANLVVQRFACKSCCAVSGNGKSLVVGWKGTPIYSFSAWKLRSLRRDN >itb05g02650.t1 pep chromosome:ASM357664v1:5:2128078:2131121:-1 gene:itb05g02650 transcript:itb05g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPPNPRSVEDIFKNFAARRSAVLYALTRDVDDFFSQCDPDKDNLCLYGHPDERWEVTLPAEDVPPEIPEPVLGINFARDGMEKRDWLSLVAMHTDSWLLAVAFYFGAQLNRNERNRLFSMINELPTIYEIASERKPSRERPIVNVGNKSMTNAKKDNQVLGNPRMHENYEEDENEDEDEGEDEDDESNTICGSCGGNYNESEFWIACDICERWFHGKCVKITPAKAQSIKTYKCPYCSHKAHKKVKG >itb01g11940.t1 pep chromosome:ASM357664v1:1:11296001:11307545:1 gene:itb01g11940 transcript:itb01g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWNYVVTAHKPTNVTHSCVGNFTGPQELNLIVAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDAEASEVITRSMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGHLKEAFNVRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHIKTYEVSLKDKDFIEGPWSQNNLDNGADLLIPVPPPFCGVLIIGEETIVYCSATAFKAIPVRPSITRAYGRVDADGSRYLLGDNNGLLDLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSCGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSATDDAYDTFLVVSFISETRILAMNLEDELEETEIEGFQSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTSRELKHEWFAPAGYSINVATANTMQVLLATGGGHLVYLEIGDGTFSEVKYAQLEHDISCLDINPIGENPNYSHLAAVGMWTDISVRIYSLPELNLITKEHLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFILNMQSGELTDRKKISLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEETRTFAVCSLKYNQSNAEDSEMHFVRLLDDQSFEFISTYPLDQFEYGCSILSCSFSDDNNVYYCVGTAYVMPEENEPSKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDSTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNASWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHDQYVFLEKLQTHLRKVIKGVGGLSHEQWRSFYNEKKIVDAKNFLDGDLIESFLDLSRNRMEEISKAMVVPVEELMKRVEELTRLH >itb01g11940.t2 pep chromosome:ASM357664v1:1:11296009:11307380:1 gene:itb01g11940 transcript:itb01g11940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDAEASEVITRSMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGHLKEAFNVRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHIKTYEVSLKDKDFIEGPWSQNNLDNGADLLIPVPPPFCGVLIIGEETIVYCSATAFKAIPVRPSITRAYGRVDADGSRYLLGDNNGLLDLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSCGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSATDDAYDTFLVVSFISETRILAMNLEDELEETEIEGFQSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTSRELKHEWFAPAGYSINVATANTMQVLLATGGGHLVYLEIGDGTFSEVKYAQLEHDISCLDINPIGENPNYSHLAAVGMWTDISVRIYSLPELNLITKEHLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFILNMQSGELTDRKKISLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEETRTFAVCSLKYNQSNAEDSEMHFVRLLDDQSFEFISTYPLDQFEYGCSILSCSFSDDNNVYYCVGTAYVMPEENEPSKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDSTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNASWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHDQYVFLEKLQTHLRKVIKGVGGLSHEQWRSFYNEKKIVDAKNFLDGDLIESFLDLSRNRMEEISKAMVVPVEELMKRVEELTRLH >itb11g15590.t1 pep chromosome:ASM357664v1:11:13385833:13386774:-1 gene:itb11g15590 transcript:itb11g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYMEWTIWMGVGLTSQQQSRQAQEKPNPCLSVTAPKPLLPMADYEAPTGALIHHETALQALNTIIQLHFEKTLEKKRAVDLQKKELWKMFQLFFLFLSLVFLGQAQSPRLQCRHCWVPIGLLSMAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATERLRRLKMRMSSGGAGAEDEIAEEFEIHYQEPPESYFGKFKRNWALHFGFLIFIYVFMISSSVVLLCF >itb03g19160.t4 pep chromosome:ASM357664v1:3:17308293:17315013:1 gene:itb03g19160 transcript:itb03g19160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MYCWSLQLLVAVKQSHERGVCHGDIKCENVLVTSWNWLYLADYASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGVETHVAQDAPLKLSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPNQLLEKIPDSGIRKMILHMIQLDPESRCSAESYLQSYAGVVFPSYFSPFLHNFYCLLNPLNSDARVLICQTSFQEILKQMSNGPDGESSPAMCMSPSVTSHGLQGKDECQSSNRLKDTLNKREGTERGSIHDRFDLLGDVNTLLRDVKQSNNRCSAVKPMLEDAADIASKNQMHSGMQSPGELFQTVSNVFKRNHHPFLKKITMNDLTSLMSDYDNQSDTFGMPFLPLPDDVMSCEGMVLIASMLCSCIRNVKLPFMRRGAILLLKSCSLYIDDEGRLQRVLPYVIALLPDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSLLPDDPEESVRICYASNISKLALTAYGFLVHSISLSEAGVLNEVNLSHKSPVSSSEPSGRPPSLNSNTQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDVLLPILPAFLNDRDEQLRAVFYGQITYVCFFVGQRSVEEYLLPYIEQALTDVTESVIANALDCLAILCRSSFLRKMILLEMIDHAFPLLCCPSQWVRRSAVAFIAASSESLGAVDSYVFLVPVIRPFLRRQPASLASEKTLFSCLKPPVPREIYYKVLENAKSSDMLDRQRKIWYNSSSQPKQWETLDLFERNPTEIDQMAYWHDRKHDSQGHKFGSDKPLDFTDCDDNETKLKALGSLIQNPSSTMDSQDRHPSEKLQLSGFVSPQVSSMNCLIDKPSEGIPLYYFKVDNKRAAGIGPAASDTSLPCTTLGFGSSSLPWMDPVNKSFSLANTVPAPKLVSGSISIGNNSTQLRRVVHEVEDRETEQTSYIDSKFQDLGVSGTKKGGSFTMEDNLAATDGTEMPSFGRMSTIPDSGWKPRGVLVAHLQEHRSAVNDIAISTDQSFFVSASEDSTVKIWDSKRLEKDISFRSRLTYSLGGSRALCISVLQGSAQVAVGACDGTIHMFSVDYISRGLGNVVEKYSGVADVKKHGVGEGAILSLLNYSPDGGPCKMILYSTQNCGLHLWDARTSSNAWNSKVYPEEGYVSSLVAGPCGNWFVTGSSRGVLTLWDLRFCIPVNSWQYTLACPIEKMCLFVPPPTTSLSGAARPLVYVAAGCNEVSLWNAENGSCHQVLRVANNESEAENSDLPWALAKPSSKATPKQDKRRNPNSKYRVDELNESPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHCSPERTYCVCGPSIKGVPNDDFYEVKSSFGVQVVQEAKRRPLATRLTAKAILSAAATDSAGCHRDSILSLGSVKLNQRLLISSSRDGAIKVWK >itb03g19160.t3 pep chromosome:ASM357664v1:3:17308077:17314936:1 gene:itb03g19160 transcript:itb03g19160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MVQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLVAVKQSHERGVCHGDIKCENVLVTSWNWLYLADYASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGVETHVAQDAPLKLSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPNQLLEKIPDSGIRKMILHMIQLDPESRCSAESYLQSYAGVVFPSYFSPFLHNFYCLLNPLNSDARVLICQTSFQEILKQMSNGPDGESSPAMCMSPSVTSHGLQGKDECQSSNRLKDTLNKREGTERGSIHDRFDLLGDVNTLLRDVKQSNNRCSAVKPMLEDAADIASKNQMHSGMQSPGELFQTVSNVFKRNHHPFLKKITMNDLTSLMSDYDNQSDTFGMPFLPLPDDVMSCEGMVLIASMLCSCIRNVKLPFMRRGAILLLKSCSLYIDDEGRLQRVLPYVIALLPDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSLLPDDPEESVRICYASNISKLALTAYGFLVHSISLSEAGVLNEVNLSHKSPVSSSEPSGRPPSLNSNTQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDVLLPILPAFLNDRDEQLRAVFYGQITYVCFFVGQRSVEEYLLPYIEQALTDVTESVIANALDCLAILCRSSFLRKMILLEMIDHAFPLLCCPSQWVRRSAVAFIAASSESLGAVDSYVFLVPVIRPFLRRQPASLASEKTLFSCLKPPVPREIYYKVLENAKSSDMLDRQRKIWYNSSSQPKQWETLDLFERNPTEIDQMAYWHDRKHDSQGHKFGSDKPLDFTDCDDNETKLKALGSLIQNPSSTMDSQDRHPSEKLQLSGFVSPQVSSMNCLIDKPSEGIPLYYFKVDNKRAAGIGPAASDTSLPCTTLGFGSSSLPWMDPVNKSFSLANTVPAPKLVSGSISIGNNSTQLRRVVHEVEDRETEQTSYIDSKFQDLGVSGTKKGGSFTMEDNLAATDGTEMPSFGRMSTIPDSGWKPRGVLVAHLQEHRSAVNDIAISTDQSFFVSASEDSTVKIWDSKRLEKDISFRSRLTYSLGGSRALCISVLQGSAQVAVGACDGTIHMFSVDYISRGLGNVVEKYSGVADVKKHGVGEGAILSLLNYSPDGGPCKMILYSTQNCGLHLWDARTSSNAWNSKVYPEEGYVSSLVAGPCGNWFVTGSSRGVLTLWDLRFCIPVNSWQYTLACPIEKMCLFVPPPTTSLSGAARPLVYVAAGCNEVSLWNAENGSCHQVLRVANNESEAENSDLPWALAKPSSKATPKQDKRRNPNSKYRVDELNESPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHCSPERTYCVCGPSIKGVPNDDFYEVKSSFGVQVVQEAKRRPLATRLTAKAILSAAATDSAGCHRDSILSLGSVKLNQRLLISSSRDGAIKVWK >itb03g19160.t1 pep chromosome:ASM357664v1:3:17307299:17315013:1 gene:itb03g19160 transcript:itb03g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQASATEYYLHDLPSSYNLVLKEVLGRGRFLKSILCKHDEGLVLVKVYFKRGDSIDLREHERRLSHICEIFNGIENPHVWPFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLVAVKQSHERGVCHGDIKCENVLVTSWNWLYLADYASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGVETHVAQDAPLKLSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPNQLLEKIPDSGIRKMILHMIQLDPESRCSAESYLQSYAGVVFPSYFSPFLHNFYCLLNPLNSDARVLICQTSFQEILKQMSNGPDGESSPAMCMSPSVTSHGLQGKDECQSSNRLKDTLNKREGTERGSIHDRFDLLGDVNTLLRDVKQSNNRCSAVKPMLEDAADIASKNQMHSGMQSPGELFQTVSNVFKRNHHPFLKKITMNDLTSLMSDYDNQSDTFGMPFLPLPDDVMSCEGMVLIASMLCSCIRNVKLPFMRRGAILLLKSCSLYIDDEGRLQRVLPYVIALLPDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSLLPDDPEESVRICYASNISKLALTAYGFLVHSISLSEAGVLNEVNLSHKSPVSSSEPSGRPPSLNSNTQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDVLLPILPAFLNDRDEQLRAVFYGQITYVCFFVGQRSVEEYLLPYIEQALTDVTESVIANALDCLAILCRSSFLRKMILLEMIDHAFPLLCCPSQWVRRSAVAFIAASSESLGAVDSYVFLVPVIRPFLRRQPASLASEKTLFSCLKPPVPREIYYKVLENAKSSDMLDRQRKIWYNSSSQPKQWETLDLFERNPTEIDQMAYWHDRKHDSQGHKFGSDKPLDFTDCDDNETKLKALGSLIQNPSSTMDSQDRHPSEKLQLSGFVSPQVSSMNCLIDKPSEGIPLYYFKVDNKRAAGIGPAASDTSLPCTTLGFGSSSLPWMDPVNKSFSLANTVPAPKLVSGSISIGNNSTQLRRVVHEVEDRETEQTSYIDSKFQDLGVSGTKKGGSFTMEDNLAATDGTEMPSFGRMSTIPDSGWKPRGVLVAHLQEHRSAVNDIAISTDQSFFVSASEDSTVKIWDSKRLEKDISFRSRLTYSLGGSRALCISVLQGSAQVAVGACDGTIHMFSVDYISRGLGNVVEKYSGVADVKKHGVGEGAILSLLNYSPDGGPCKMILYSTQNCGLHLWDARTSSNAWNSKVYPEEGYVSSLVAGPCGNWFVTGSSRGVLTLWDLRFCIPVNSWQYTLACPIEKMCLFVPPPTTSLSGAARPLVYVAAGCNEVSLWNAENGSCHQVLRVANNESEAENSDLPWALAKPSSKATPKQDKRRNPNSKYRVDELNESPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHCSPERTYCVCGPSIKGVPNDDFYEVKSSFGVQVVQEAKRRPLATRLTAKAILSAAATDSAGCHRDSILSLGSVKLNQRLLISSSRDGAIKVWK >itb03g19160.t2 pep chromosome:ASM357664v1:3:17307299:17315013:1 gene:itb03g19160 transcript:itb03g19160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MSDASRIFVRSSMASKIPMFGPSSLLFMVQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLVAVKQSHERGVCHGDIKCENVLVTSWNWLYLADYASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGVETHVAQDAPLKLSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPNQLLEKIPDSGIRKMILHMIQLDPESRCSAESYLQSYAGVVFPSYFSPFLHNFYCLLNPLNSDARVLICQTSFQEILKQMSNGPDGESSPAMCMSPSVTSHGLQGKDECQSSNRLKDTLNKREGTERGSIHDRFDLLGDVNTLLRDVKQSNNRCSAVKPMLEDAADIASKNQMHSGMQSPGELFQTVSNVFKRNHHPFLKKITMNDLTSLMSDYDNQSDTFGMPFLPLPDDVMSCEGMVLIASMLCSCIRNVKLPFMRRGAILLLKSCSLYIDDEGRLQRVLPYVIALLPDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSLLPDDPEESVRICYASNISKLALTAYGFLVHSISLSEAGVLNEVNLSHKSPVSSSEPSGRPPSLNSNTQLAQLRKSIAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDVLLPILPAFLNDRDEQLRAVFYGQITYVCFFVGQRSVEEYLLPYIEQALTDVTESVIANALDCLAILCRSSFLRKMILLEMIDHAFPLLCCPSQWVRRSAVAFIAASSESLGAVDSYVFLVPVIRPFLRRQPASLASEKTLFSCLKPPVPREIYYKVLENAKSSDMLDRQRKIWYNSSSQPKQWETLDLFERNPTEIDQMAYWHDRKHDSQGHKFGSDKPLDFTDCDDNETKLKALGSLIQNPSSTMDSQDRHPSEKLQLSGFVSPQVSSMNCLIDKPSEGIPLYYFKVDNKRAAGIGPAASDTSLPCTTLGFGSSSLPWMDPVNKSFSLANTVPAPKLVSGSISIGNNSTQLRRVVHEVEDRETEQTSYIDSKFQDLGVSGTKKGGSFTMEDNLAATDGTEMPSFGRMSTIPDSGWKPRGVLVAHLQEHRSAVNDIAISTDQSFFVSASEDSTVKIWDSKRLEKDISFRSRLTYSLGGSRALCISVLQGSAQVAVGACDGTIHMFSVDYISRGLGNVVEKYSGVADVKKHGVGEGAILSLLNYSPDGGPCKMILYSTQNCGLHLWDARTSSNAWNSKVYPEEGYVSSLVAGPCGNWFVTGSSRGVLTLWDLRFCIPVNSWQYTLACPIEKMCLFVPPPTTSLSGAARPLVYVAAGCNEVSLWNAENGSCHQVLRVANNESEAENSDLPWALAKPSSKATPKQDKRRNPNSKYRVDELNESPPRLPGIRTLLPLPGGDLLTGGTDLKIRRWDHCSPERTYCVCGPSIKGVPNDDFYEVKSSFGVQVVQEAKRRPLATRLTAKAILSAAATDSAGCHRDSILSLGSVKLNQRLLISSSRDGAIKVWK >itb03g18290.t3 pep chromosome:ASM357664v1:3:16610699:16614633:1 gene:itb03g18290 transcript:itb03g18290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLQLQGHASPPAQSSFQSPREVTAARKTTSQDQCASSGSEESRGKHVPAQIKPMFVISNPEFPVNPAQGEMGPSTIHSNYNYSDPYFSGLFTAYGPQPFPQMVGIAPARVPLPLDLAEDGPIYVNAKQYHGILRRRQIRAKLEAQNKLVKTRRPYLHESRHLHAVNRVRGSGGRFLSTKKLQESLSMNCGSGSDSAKRHKASTASTPHGMSQGVHGNVMFQPPDHHHRLSSLPSHMAAAAASMQGGGGLTCNAYSPVVR >itb03g18290.t4 pep chromosome:ASM357664v1:3:16612275:16614740:1 gene:itb03g18290 transcript:itb03g18290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLQLQGHASPPAQSSFQSPREVTAARKTTSQDQCASSGSESRGKHVPAQIKPMFVISNPEFPVNPAQGEMGPSTIHSNYNYSDPYFSGLFTAYGPQPFPQMVGIAPARVPLPLDLAEDGPIYVNAKQYHGILRRRQIRAKLEAQNKLVKTRRPYLHESRHLHAVNRVRGSGGRFLSTKKLQESLSMNCGSGSDSAKRHKASTASTPHGMSQGVHGNVMFQPPDHHHRLSSLPSHMAAAAASMQGGGGLTCNAYSPVVR >itb03g18290.t2 pep chromosome:ASM357664v1:3:16610476:16614633:1 gene:itb03g18290 transcript:itb03g18290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLQLQGHASPPAQSSFQSPREVTAARKTTSQDQCASSGSEESRGKHVPAQIKPMFVISNPEFPVNPAQGEMGPSTIHSNYNYSDPYFSGLFTAYGPQPFPQMVGIAPARVPLPLDLAEDGPIYVNAKQYHGILRRRQIRAKLEAQNKLVKTRRPYLHESRHLHAVNRVRGSGGRFLSTKKLQESLSMNCGSGSDSAKRHKASTASTPHGMSQGVHGNVMFQPPDHHHRLSSLPSHMAAAAASMQGGGGLTCNAYSPVVR >itb03g18290.t1 pep chromosome:ASM357664v1:3:16610445:16614740:1 gene:itb03g18290 transcript:itb03g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLQLQGHASPPAQSSFQSPREVTAARKTTSQDQCASSGSESRGKHVPAQIKPMFVISNPEFPVNPAQGEMGPSTIHSNYNYSDPYFSGLFTAYGPQPFPQMVGIAPARVPLPLDLAEDGPIYVNAKQYHGILRRRQIRAKLEAQNKLVKTRRPYLHESRHLHAVNRVRGSGGRFLSTKKLQESLSMNCGSGSDSAKRHKASTASTPHGMSQGVHGNVMFQPPDHHHRLSSLPSHMAAAAASMQGGGGLTCNAYSPVVR >itb15g01430.t1 pep chromosome:ASM357664v1:15:860108:862644:1 gene:itb15g01430 transcript:itb15g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPRKVSAAAARSHTRKSKQKGSLLSGLFGKILLVSFIGVLAYAYQATRPPPPKICGSPDGPPITAPRIKLSDGRYLAYKEHGVPKDKAKYKIVFVHGFDSCRHSVVIGSELAPDVIESLGIYIVSFDRPGYGESDPNPKRTEKSIAFDIEELADQLGLGSKFYVVGFSMGGQVVWTCLKYIPHRLAGATLIAPVVNYWWRNFPANLSKEAYYEQLPQDQWTLRVVHYAPWLAYWWNTQKYFPASSVAAHKLDIFNSHDRELLSKVVSFGKGHMGQIRQQGEFESLHRDLMVGFGSWEFDPMDLKNPFPNNEGSVHLWQGDEDGLVPITLQRYIAQQLPWIHYHELPGAGHMFPFADGMAGKILKALLVGESDH >itb05g10100.t3 pep chromosome:ASM357664v1:5:14973454:14976242:-1 gene:itb05g10100 transcript:itb05g10100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MELQLAVTTQFSHSPPRTRASFWRNKPSNPMISSSRLQLFCPPPLSLSLFSSPCLVASYRESGLRASKFEELLKFRDGNEDDDEGSDSDTDEMEGDDSFVMDEEERLEWRRKIREVMDMRPEVEEEVDPVEKRRRMEKLLADYPLIMEEEDPNWPEDADGRGFKLDQFFNKISIKNVKKDNDDEGYDSENEIVWQDDDYIRPIKDLTTAEWEEAVFKDFSPLIVLVHNRYRRPKENEKIRDELEKAIQIIWNCRIPSPRCVAVDAIVEHDLVSALKVSLFPELIFTKSGKILYREKALRTADELSKIMAFFYYGAAKPSFLNVTGNDEETIPTIQISNKQG >itb05g10100.t1 pep chromosome:ASM357664v1:5:14973079:14976271:-1 gene:itb05g10100 transcript:itb05g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MELQLAVTTQFSHSPPRTRASFWRNKPSNPMISSSRLQLFCPPPLSLSLFSSPCLVASYRESGLRASKFEELLKFRDGNEDDDEGSDSDTDEMEGDDSFVMDEEERLEWRRKIREVMDMRPEVEEEVDPVEKRRRMEKLLADYPLIMEEEDPNWPEDADGRGFKLDQFFNKISIKNVKKDNDDEGYDSENEIVWQDDDYIRPIKDLTTAEWEEAVFKDFSPLIVLVHNRYRRPKENEKIRDELEKAIQIIWNCRIPSPRCVAVDAIVEHDLVSALKVSLFPELIFTKSGKILYREKALRTADELSKIMAFFYYGAAKPSFLNVTGNDEETIPTIQISNKQG >itb05g10100.t2 pep chromosome:ASM357664v1:5:14973079:14976177:-1 gene:itb05g10100 transcript:itb05g10100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MELQLAVTTQFSHSPPRTRASFWRNKPSNPMISSSRLQLFCPPPLSLSLFSSPCLVASYRESGLRASKFEELLKFRDGNEDDDEGSDSDTDEMEGDDSFVMDEEERLEWRRKIREVMDMRPEVEEEVDPVEKRRRMEKLLADYPLIMEEEDPNWPEDADGRGFKLDQFFNKISIKNVKKDNDDEGYDSENEIVWQDDDYIRPIKDLTTAEWEEAVFKDFSPLIVLVHNRYRRPKENEKIRDELEKAIQIIWNCRIPSPRCVAVDAIVEHDLVSALKVSLFPELIFTKSGKILYREKALRTADELSKIMAFFYYGAAKPSFLNVTGNDEETIPTIQISNKQG >itb13g16510.t3 pep chromosome:ASM357664v1:13:23474550:23479847:-1 gene:itb13g16510 transcript:itb13g16510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKNKYKVIRKVKEHHKKKSKEAKKAAKSGLHRKKVEKDPGIPNEWPFKEQELKALEDRRNRALEELEQKKAARKERAKKRKLGLLEDDDLSKLADMASMKEQKFVGEIIDDGFAAVGKKHDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVMRSGHDKHLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCNTQEQKSNLSWKSSKAGKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGIIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVLLDKNVKLLDCPGVVMLRSAENDASIALRNCKRIEKLDDPIGPVKEILKLCPAATLVTLYKVPNFETADDFLQKVATLRGKLKKGGIVDINAAARIVLHDWNEGKIPYYTMPPKRNEVEHSEVKVVSELGKEFNVDEVYGSESSFIGSLKSVNEFNPVEVPSNCPVSFDEKMLEDNPQQPPTNSEGDQLVDNGDDEAMGSGEEGAGTTGTKSASSKQNERLYTEEGMLNTKLVKAEKRRRKKDKESSMMEDDDDYDFMVDYAKSSSAMDVSENIVSADDSNRNRFELPSGVTLEDE >itb13g16510.t1 pep chromosome:ASM357664v1:13:23474460:23479879:-1 gene:itb13g16510 transcript:itb13g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKNKYKVIRKVKEHHKKKSKEAKKAAKSGLHRKKVEKDPGIPNEWPFKEQELKALEDRRNRALEELEQKKAARKERAKKRKLGLLEDDDLSKLADMASMKEQKFVGEIIDDGFAAVGKKHDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVMRSGHDKHLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCNTQEQKSNLSWKSSKAGKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGIIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVLLDKNVKLLDCPGVVMLRSAENDASIALRNCKRIEKLDDPIGPVKEILKLCPAATLVTLYKVPNFETADDFLQKVATLRGKLKKGGIVDINAAARIVLHDWNEGKIPYYTMPPKRNEVEHSEVKVVSELGKEFNVDEVYGSESSFIGSLKSVNEFNPVEVPSNCPVSFDEKMLEDNPQQPPTNSEGDQLVDNGDDEAMGSGEEGAGTTGTKSASSKQNERLYTEEGMLNTKLVKAEKRRRKKDKESSMMEDDDDYDFMVDYAKSSSAMDVSENIVSADDSNRNRFELPSGVTLEDE >itb13g16510.t2 pep chromosome:ASM357664v1:13:23474460:23479879:-1 gene:itb13g16510 transcript:itb13g16510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKNKYKVIRKVKEHHKKKSKEAKKAAKSGLHRKKVEKDPGIPNEWPFKEQELKALEDRRNRALEELEQKKAARKERAKKRKLGLLEDDDLSKLADMASMKEQKFVGEIIDDGFAAVGKKHDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVMRSGHDKHLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCNTQEQKSNLSWKSSKAGKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGIIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVLLDKNVKLLDCPGVVMLRSAENDASIALRNCKRIEKLDDPIGPVKEILKLCPAATLVTLYKVPNFETADDFLQKVATLRGKLKKGGIVDINAAARIVLHDWNEGKIPYYTMPPKRNEVEHSEVKVVSELGKEFNVDEVYGSESSFIGSLKSVNEFNPVEVPSNCPVSFDEKMLEDNPQQPPTNSEGDQLVDNGDDEAMGSGEEGAGTTGTKSASSKQNERLYTEEGMLNTKLVKAEKRRRKKDKESSMMEDDDDYDFMVDYAKSSSAMDVSENIVSADDSNRNRFELPSGVTLEDE >itb08g15640.t1 pep chromosome:ASM357664v1:8:17797813:17800567:-1 gene:itb08g15640 transcript:itb08g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLDESPTIKSEKTALPNLGSARGYDVIEAAKGELEKACPGIVSCADVLSLAARDATVAVGGPSWTVKLGRRNSTMASRTIDLPSPFDNLDRLISNFVSKGLNTRDLVALSGAHTLGQAQCFLFHDRIYGNGTDIDADFANTRRRNCPKDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDNIVSEYARSPQAFQTDFASAMIKMSEIQPLTADFLFFLPRFVVHDLVLIQRVEAARIQSLEDVLKIVLMNVDVVDESPTIKSEKTALPNLGSARGYDVIEAAKGELEGCDAYLIRRVTDH >itb11g21260.t1 pep chromosome:ASM357664v1:11:22769233:22771791:1 gene:itb11g21260 transcript:itb11g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILDGEEDENRSIAHDGVLMAPPPNFSAVEAYCIYRSGFPQSSNFPFLHSLNLRSVIYLCQEPYPDENKEFLRLNNIKLFQFGIDGTKEPSTIPMTTITEAVKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCLSSVLEEYKHFAGTKSRETDLRFLETYDVSCVRHCLQSVIYRYYTSKNRRILYREDCVKKPGIASI >itb09g13140.t1 pep chromosome:ASM357664v1:9:8508836:8511823:-1 gene:itb09g13140 transcript:itb09g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREKAPRVSEENSEEEDEEMEEDQEAAHASASNGKSLYEILGVGRSASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVIAVLGDEEKRAVYDQTGCAEEADLAGDAIQNLKEFFQAMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMSRLFCCMLCSDPKLDSHRFKDIIDAAIAAGDLKLTKAYEKWAKQVSEKKPPTSPLRQRQKSNKGSEDLYAIISQRQNERRDKMNSLFSSLASKYGGDQSTPEPSEEAFEAARRKLESKKKSKRK >itb09g13140.t2 pep chromosome:ASM357664v1:9:8508836:8511823:-1 gene:itb09g13140 transcript:itb09g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREKAPRVSEENSEEEDEEMEEDQEAAHASASNGKSLYEILGVGRSASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVIAVLGDEEKRAVYDQTGCAEEADLAGDAIQNLKEFFQAMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMSRLFCCMLCSDPKLDSHRFKDIIDAAIAAGDLKLTKAYEKWAKQVSEKKPPTSPLRQRQNFFDCAQTGNSSTGLIKGLKIYTL >itb15g07450.t2 pep chromosome:ASM357664v1:15:5108812:5110520:1 gene:itb15g07450 transcript:itb15g07450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMRKSYKDSLKALEADIQHANTLASDYPREYEGACLQMRLSYSPCAHVFLFLVRWTNCHLAGALGLLRILIYKAYEDGKTSMYVHERKATIKEFYGVIFPSLLLLQRGITDVEDKKQRELCANKYSKRDETSKGKIPEVEIEREEECGICMELKTKVVLPDCNHSLCMKCYRKW >itb15g07450.t1 pep chromosome:ASM357664v1:15:5108758:5111193:1 gene:itb15g07450 transcript:itb15g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMRKSYKDSLKALEADIQHANTLASDYPREYEGACLQMRLSYSPCAHVFLFLVRWTNCHLAGALGLLRILIYKAYEDGKTSMYVHERKATIKEFYGVIFPSLLLLQRGITDVEDKKQRELCANKYSKRDETSKGKIPEVEIEREEECGICMELKTKVVLPDCNHSLCMKCYRKWRARSQSCPFCRDSLKRVDSGDLWIYTHNSEIADLGAIAKENLMRLIIYIEKLPLSIPDPTLVSYDPCFR >itb06g25830.t1 pep chromosome:ASM357664v1:6:26720645:26723962:1 gene:itb06g25830 transcript:itb06g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNTKSVVLAQETSTEEEYKNMGAASTEKTFPAVISTLLFVAFLLLSSFSPVVVSETSTVVVGSGRDDHRHLLANQTFRPRQETMKLRRIRAYLKKINKPAVKTIQSPDGDLIDCVQSHLQPAFDHPELRGKKPLEPPERPKGHNSNNNINNNDAMKESFQRWSDSGESCPEGAVPIRRTREKDVVRASSVRRFGRKTIRRVRRDTMSSDHEHAVAFVNGDEYYGAKASINVWTPRVTDQYEFSLSQIWVISGTFGNDLNTIEAGWQVSPGLYGDSYPRFFTYWTTDAYQTTGCYNLLCSGFVQTNNRIAIGAAISPRSSYNARQFDIGITIWKDPKHGHWWLEFGSGLLIGYWPSFLFSHLRGHASMIQFGGEIVNSRSTGSHTATQMGSGHFADEGFGKASYFRNLQTVDWDNSLVPLSNLHLLADHPNCYDIRAGRNNVWGNYFYYGGPGRNSNCP >itb05g20150.t1 pep chromosome:ASM357664v1:5:26359101:26363222:-1 gene:itb05g20150 transcript:itb05g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQCMKNNKAGIIICSKCKKKRYCFECIAKWYPERTNNEIENACPFCCGNCNCTTCLQGDIRAQAHKEEADKEAHLQRSLYLLLNILPLLRHIQEEQRAELDVEARIRGVQVTEEDITKSIVDEDDRVYCDNCNTSIVNFHRNCPNPDCAYDICLNCCRELRCGFPLGLTEAQSKSVERPSDHNAVLNKKSSSDVGERPDALLGKHDMSSGWKAKPDGSIPCPPMECGGCGSSLLALRCIFEPNWVSELIKGAEELTSNCQLPEIDFSQPCPMCLTVNSVGKGDHHNVRRAAFRENGQDNLLYCPNAIDLLDQEFMHFQMHWRRGEPVIVRNAQATASGLSWEPMVMWRAFRNARKKLNEESFSVKAIDCLDWCEVEINIHQFFRGYLEGRKHRNGWPEMLKLKDWPPTNLFEECLPRHDAEFVSMLPFHDYTNPRSGSLNLATKLPDGSLKPDLGPKTYIAYGSEEELGRGDSVSKLHCDISDAVNILTHTTKVNFTSRQRRRIEKLRKQFGIEDLKELYGSANQKDTESETSEIKYSGGGKFDDKNSCLLENGNGEKEVEDLERLPSSECMVGPDTTSLVLGIHSDSGTDSSALPEADITLAEHPLESGKGCLDVEHGGALWDIFRREDVPKLTEYLRKHWKEFRHINNVPVNSVAHPIHDQTFYLNEKHKKQLKDEFDVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVAVDFVSPENVQECIRLTEEFRVLPKSHRSKQDILEVKKLALYAARVAVDEARNLLMELPSREEDEHVEEGLLNPSFASC >itb09g04770.t1 pep chromosome:ASM357664v1:9:2663487:2666185:1 gene:itb09g04770 transcript:itb09g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRILLRSLRRSSSNATTSVAAAARTQFISVNHLQNPSFLLPHRSFAFSSAEEAAAERRRRKRRLRIEPPLGALRRDSAPRPPPSDDPRSRLPDSTSALVGPRLNLHNRVQSLIRAGDLDSAAAVARHSVFSNTRPTVFTCNAIIAAMYRAGRYNDAKALFQYFFNQSNIIPNVVSYNNLIVSHCDSGEVDEAIKVYNHILENAPFSPSYVTYRHLTKGLINSGQINEAVDFLREMLNKGHGADSLVYNNLILGFLELGNLEKANELFDELKERCLVYDGTVNATFMDWFFKQGREREAMDSYKDLLDRKFRMVPATCNALLEVLLKHGRDKEALDLFDAMLDDHTPPVFQAVNSDTFNIMVNECFKLGKVSEAMDTFKKAGKSVKSKPFAMDVAGFNNMITRLCELEMMEEAEKYYTELCNKSLNPDVTTYRTMIEAYVKAEKIDGVLEKYTKMVEAGLRVIPVYANKWFNFLINKGKVLECVPILTKMGEREPRPDVMTYDIVIRALCQEGNYDASFNLLSQMVNYGVGLTSAFKEFVLEEFGKQGRRDEIDSLFSRNPTYFPNSRPRPPQVPRQAAAHQMPNHLSWQNQAMHQASQPTVMAGQGVSQIPGQPSGFAQMPRQSERYPQSAGHTTPPVMGGQGSTYNQMSGQSERYPQSAGHTTPPVMGGQGSYNQMSGQSERYPQSAGHTTPPVMGGHGSYNQMSGQSERYTQSAGHTTPPVMGGQGSAYNQMSGQTERPHWGFTPPSNVMGGQPHAFNQGSNFEQMSGQTGRPQWGQTPPSNFMGGEPRAYEQVQGHNVRPQFTEQASPPYFMEQQGGQTASGQIVGPHGAGHGSPHASTARQEAEVPQMAGRVAQFDSFGR >itb07g00340.t1 pep chromosome:ASM357664v1:7:244733:246079:-1 gene:itb07g00340 transcript:itb07g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLGKTILITGATGFLAKVLLEKILRTQPNIKKIFLLIRAKDSESARQRFNNEVLEIELFRVVKEKVGGSLSLSALAEEKVFPVPGDISSGDELGIVNSHLKDQVLREVDIIINSAATTTFDERYIELQQFVLRDSLYLRTTRHCSKL >itb10g17960.t2 pep chromosome:ASM357664v1:10:24029059:24033704:1 gene:itb10g17960 transcript:itb10g17960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPQEVNEKGGGDNEGDEHRFSWGRKTGVQYYLSFTYNGVHYSLYDCVYIRCDDEPETGIGKLVKMFETANGIRKVKVVWFFRPAMVQKWLGDIRTLPNELFLASGEGRGVFSLNPLENICGKCSVICISKDRRNPQPTEEESRKAKYVFFRAFDVKTRAISKIFPDVIAEVEVKNYFNQRKDSKLLHPAQESLSPTVTLEKKASSSAKNWATSISPLKKNCESALDTSLPKKRKIEKDSGPSPRSKTSQGKYSDDRGVNLDRKVSKFPARADHLEKKKGVITNSQITGGSRRPDEDSVKWFKEQPWEIRMRMAQENGTLVLLENLDPSFTSADVEDIFWHAFKMKVSAKMIRRSTFSSPHSGKALAIFKSKEAAESVISDLKTRCLVLGDGRPLIAQTGGHKKQSNPRVFVGHLTIGERRRQRKRPEMRMAVSTSHHSQSNTIEYELALGWFVLQEQSNKWWKTLYENHAKEITALKNKVKIP >itb10g17960.t4 pep chromosome:ASM357664v1:10:24029209:24033704:1 gene:itb10g17960 transcript:itb10g17960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFETANGIRKVKVVWFFRPAMVQKWLGDIRTLPNELFLASGEGRGVFSLNPLENICGKCSVICISKDRRNPQPTEEESRKAKYVFFRAFDVKTRAISKIFPDVIAEVEVKNYFNQRKDSKLLHPAQESLSPTVTLEKKASSSAKNWATSISPLKKNCESALDTSLPKKRKIEKDSGPSPRSKTSQGKYSDDRGVNLDRKVSKFPARADHLEKKKGVITNSQITGGSRRPDEDSVKWFKEQPWEIRMRMAQENGTLVLLENLDPSFTSADVEVSAKMIRRSTFSSPHSAGKALAIFKSKEAAESVISDLKTRCLVLGDGRPLIAQTGGHKKQSNPRVFVGHLTIGERRRQRKRPEMRMAVSTSHHSQSNTIEYELALGWFVLQEQSNKWWKTLYENHAKEITALKNKVKIP >itb10g17960.t1 pep chromosome:ASM357664v1:10:24029059:24033704:1 gene:itb10g17960 transcript:itb10g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPQEVNEKGGGDNEGDEHRFSWGRKTGVQYYLSFTYNGVHYSLYDCVYIRCDDEPETGIGKLVKMFETANGIRKVKVVWFFRPAMVQKWLGDIRTLPNELFLASGEGRGVFSLNPLENICGKCSVICISKDRRNPQPTEEESRKAKYVFFRAFDVKTRAISKIFPDVIAEVEVKNYFNQRKDSKLLHPAQESLSPTVTLEKKASSSAKNWATSISPLKKNCESALDTSLPKKRKIEKDSGPSPRSKTSQGKYSDDRGVNLDRKVSKFPARADHLEKKKGVITNSQITGGSRRPDEDSVKWFKEQPWEIRMRMAQENGTLVLLENLDPSFTSADVEVSAKMIRRSTFSSPHSAGKALAIFKSKEAAESVISDLKTRCLVLGDGRPLIAQTGGHKKQSNPRVFVGHLTIGERRRQRKRPEMRMAVSTSHHSQSNTIEYELALGWFVLQEQSNKWWKTLYENHAKEITALKNKVKIP >itb10g17960.t3 pep chromosome:ASM357664v1:10:24029059:24033704:1 gene:itb10g17960 transcript:itb10g17960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPQEVNEKGGGDNEGDEHRFSWGRKTGVQYYLSFTYNGVHYSLYDCVYIRCDDEPETGIGKLVKMFETANGIRKVKVVWFFRPAMVQKWLGDIRTLPNELFLASGEGRGVFSLNPLENICGKCSVICISKDRRNPQPTEEESRKAKYVFFRAFDVKTRAISKIFPDVIAEVEVKNYFNQRKDSKLLHPAQESLSPTVTLEKKASSSAKNWATSISPLKKNCESALDTSLPKKRKIEKDSGPSPRSKTSQGKYSDDRGVNLDRKVSKFPARADHLEKKKGVITNSQITGGSRRPDEDSVKWFKEQPWEIRMRMAQENGTLVLLENLDPSFTSADVEDIFWHAFKMKVSAKMIRRSTFSSPHSGKALAIFKSKEAAESVISDLKTRCLVLGDGRPLIAQTGGHKKQSNPRVFVGHLTIGERRRQRKRPEMRMAVSTSHHSQSNTIEYELALGWFVLQEQSNKWWKTLYENHAKEITALKNKVKIP >itb14g12070.t1 pep chromosome:ASM357664v1:14:13656411:13656725:-1 gene:itb14g12070 transcript:itb14g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPLNLLHLLFSTLLLLLFHTCAQNNNATIGVGTTLKATTATTKPWVSSPSGDFAFGFQQLQGTDEFLLCIWYAKLDERTIVWHANTSAAPEGSTVGLEADSP >itb04g14220.t1 pep chromosome:ASM357664v1:4:14663078:14663359:-1 gene:itb04g14220 transcript:itb04g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSASGDGEGKGNGDKGKRKMVSSTDTCKDDTPLVLSQHELLEESLPKTRAEMESKATETCSSSAAPGSDDNIIYDAQPLRSMAPRMRKMW >itb04g02190.t1 pep chromosome:ASM357664v1:4:1319935:1321128:-1 gene:itb04g02190 transcript:itb04g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLPNIPNEIILHILLQLPMKAVIRCQCVCKQWRSLIDDSDFKLSYRGERRVIFLSLGSESQDYNWNSKFLVRSTSHDSRLQRHELPFGEADYPLIRASDEYPVRSLCSCNGFVLLMAVRDRDIFLWNPSTRCLRKVLEFPYPEVLVILGGLCYDSCTRDYKVVLSIRPGLVFGDRFVISASLNHKEWRPVQFPFSLNYARGGLEFRNTFHWWANDIKDPHFSGSGRNKILYFDPVRDEFKILSTPERGQNFSIVGLGVIDDCLSLACMVNKQEKLKTTTTTLQVLIMKEYGIQESWMTAFAIQMPQLPYTSMSRFSLTFYSQKNNAQEVVFLSTMGYFLGKVYVYDRKEDELRSGLLMNFAKNDSGRRISCVSMCFYVESLACLPLQQHMIN >itb09g26920.t1 pep chromosome:ASM357664v1:9:27490659:27491467:1 gene:itb09g26920 transcript:itb09g26920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLLIIATAVLALASSFAEASDPSPLQDFCVAVNDSKAAVFVNGKICKNPMEVNADDFLFQGLNKPGNTSNPLGSAVSPVNVNNLPGLNTLGISLARIDFEPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPAPGMKNKLFTKVLKEGDVFVFPQGLIHFQFNIGHSKAIAFAGLSSQNPGVITIANAVFGSDPPISPNVLTKAFQVDKNVINYLQSQFWYNNN >itb01g35890.t1 pep chromosome:ASM357664v1:1:37846376:37846627:-1 gene:itb01g35890 transcript:itb01g35890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSAFLVLFALALLLAPTTMAQQCGSQANGRLCANGLCCSQWGYCGSTAAYCGAGCQSQCRPTASANESTAKSDPAAGGAN >itb08g01710.t2 pep chromosome:ASM357664v1:8:1368850:1371839:1 gene:itb08g01710 transcript:itb08g01710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIELDLDSDALAEEEKKKMVEINLRIIGPSPPSRLVVPSSIKVHDLRKMIAKNRHLPIEALKLVLQGRVLHDIQNGDDQTIQFNNGDSLIIAVKPKSPPKHIRDEFDDEDDDLKFHLPQTSSRWKKRLFSILREKLKLPDMLLMAIFSISFKMWAIIVMWFILAPVAHRFGIGPLYILGTGFGIIFYNLGHRQQGELR >itb08g01710.t1 pep chromosome:ASM357664v1:8:1368850:1372624:1 gene:itb08g01710 transcript:itb08g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIELDLDSDALAEEEKKKMVEINLRIIGPSPPSRLVVPSSIKVHDLRKMIAKNRHLPIEALKLVLQGRVLHDIQNGDDQTIQFNNGDSLIIAVKPKSPPKHIRDEFDDEDDDLKFHLPQTSSRWKKRLFSILREKLKLPDMLLMAIFSISFKMWAIIVMWFILAPVAHRFGIGPLYILGTGFGIIFYNLGHRQQGELSAYSIFNEDFRELPGTLNADHIDRDIRAGRL >itb13g00980.t1 pep chromosome:ASM357664v1:13:923323:923838:1 gene:itb13g00980 transcript:itb13g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIVFYLFLFVLVVEFQTSFGQGGVNGAYWLADAGVPASDIDSTLFTHIFCAFANLDPQTNKVTLPSASFSQFTPTVRRKNPSVKTLLSIGGGTFPAMASQPSSRKSFIDSSISVARANGFSGLDLNWEYPRTTNQMADLESLLKEWRAAVAAEVTAALNGGDLLPIQN >itb07g08990.t1 pep chromosome:ASM357664v1:7:7659159:7665191:1 gene:itb07g08990 transcript:itb07g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEATRDIIPHLVSIGPNHYGNPKFSDMETQKTELLDLESREWNSQKASSLTNALLELEEKTRKCYPHSFNHMDGNAFVRMMLTDAFFLINLFTDYPKFRKGEELPNRCIFRTRWMLPYICEDLLMLENQVPFFILAKVYVVLTDNESADCLKKLALQFFKQVPLIGKVAESCRSPENPKHLLDLFHSSFVNQIDRGKHSPSSSSHKMGTKFWVDNASTLRSKGVTFIARDREARLPLDIEFSWLGLLRIPTFYMDERIVRVLKNLLAYEQGSRQVKPYFSCVAVFFSNIATTKRDVKFLREAGIIQHQLQVLYEAVEFSSDQDCLLKCEVERINRYAASVPGKFISYVKRILLPKFVPFVLLFVTLFLSLSPADTLKPFKIRA >itb14g07360.t1 pep chromosome:ASM357664v1:14:6735594:6737933:-1 gene:itb14g07360 transcript:itb14g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVKLPAKKKAEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPQVVRIQRKRRILKQRLKVPPALNQFSKTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTPELKKPIVVKYGLKHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTSVKNEDKLEFSKILEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAKERVLQKEAAQRMS >itb11g10570.t1 pep chromosome:ASM357664v1:11:7561956:7567246:1 gene:itb11g10570 transcript:itb11g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVSDDDFEVNHRAFGQQKQYLDLSAAKELPESHAWTTSADGDVVVGGGGAEESIPVVDLASKSGAAAEQIGRACKTWGAFQVINHGISGELLGRVEAAGKRLFSLPLHQKMRAARSPNGVSGYGVARISSFFPKLMWSEGFTIVGSPLEHSRLLWPQDFNFFCEVVEEYEKEMKKLAGRMMKLILESLGVAEEDAKWAMESKGRCSALQLNSYPACPDPGRAMGLAEHTDSTLLTILHQNNTSGLQVFRQATSTAAGAWVTVPPIPGALVINAGDLLHILSNGLYHSVLHRAVVNRSRHRISVAYLYGPPPGIKISPLQKLVAEGQPPLFRAITWSEYLRTKAKHFDKALSSVRLICAPRNAGFSDTNDHNRVQIHKACFTQSTYLNSGTVVGFPKYQRVEGVEGSTEL >itb11g10570.t2 pep chromosome:ASM357664v1:11:7561884:7563880:1 gene:itb11g10570 transcript:itb11g10570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVSDDDFEVNHRAFGQQKQYLDLSAAKELPESHAWTTSADGDVVVGGGGAEESIPVVDLASKSGAAAEQIGRACKTWGAFQVINHGISGELLGRVEAAGKRLFSLPLHQKMRAARSPNGVSGYGVARISSFFPKLMWSEGFTIVGSPLEHSRLLWPQDFNFFCEVVEEYEKEMKKLAGRMMKLILESLGVAEEDAKWAMESKGRCSALQLNSYPACPDPGRAMGLAEHTDSTLLTILHQNNTSGLQVFRQATSTAAGAWVTVPPIPGALVINAGDLLHILSNGLYHSVLHRAVVNRSRHRISVAYLYGPPPGIKISPLQKLVAEGQPPLFRAITWSEYLRTKAKHFDKALSSVRLICAPRNAGFSDTNDHNRVQVG >itb09g09140.t1 pep chromosome:ASM357664v1:9:5462657:5464246:1 gene:itb09g09140 transcript:itb09g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKAPKCSFLLALISLISFPATINASPQDFLYCLSSKLRNATSQVVYTPNNPSFLPILNFSAQNFRFTAPGTPKPVVIITPLRESHVQAAVICCRKYNIKLRTRSGGHDFEGLSYVSRLPFAVLDLIRFRSIEVNVGEKSAWVQTGATLGEVYYAVANKSDTLGFPAGFCPSVGTGGHISGGGYGAMVRKYGLAADHVIDARIVDVNGRILDRKSMGEDLFWAIRGGGGANFGVILAWKLELVSVPKIVTVFTIPKTLEQNLTNLIYKWQLFANKADRNLLIRILVGNTNTNQTGRTVRGLFSALYLGRSEALLATMQKGFPELGLTKSDLTEMSWIKSVLYHYDNGPVESLLNRTYQFKRSYKIKSDYAKRPFTQQVLEGIWKLFKEEDDINAPQMQLTPYGGRMDEIPPSETPYPHRAGNLFFLEYNVGWVEGGQVAAQKHLSWIRKLYAYLAPYVSNNPREAYVNYRDLDLGQNNLVGKTSLAQASAWGYKYFLNNFYRLARVKALVDPNNFFRDEQSLPVSSPV >itb06g22450.t1 pep chromosome:ASM357664v1:6:24716695:24718307:-1 gene:itb06g22450 transcript:itb06g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALITVHPSPPMALFKSGFHHLHGPVLIHMRKNSVPPICSVKLPTIGQFDEPSKFKMQLCYAKQKLWDLFPDSLKKFQWEKAESVAVQQLLVFGKEVLKWLLTALFIGGSVFDTIYSISTNKELLVPCGLFAGCIMADFLKEVSQELLSNSKEEGLTLQLLGIGSFFALLKIISIFFTPGVKVFLLHLVNGGLMQILWNLRILTRKEQGIKENSLLEEASVQGQEN >itb06g22450.t2 pep chromosome:ASM357664v1:6:24716695:24718278:-1 gene:itb06g22450 transcript:itb06g22450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIITMALFKSGFHHLHGPVLIHMRKNSVPPICSVKLPTIGQFDEPSKFKMQLCYAKQKLWDLFPDSLKKFQWEKAESVAVQQLLVFGKEVLKWLLTALFIGGSVFDTIYSISTNKELLVPCGLFAGCIMADFLKEVSQELLSNSKEEGLTLQLLGIGSFFALLKIISIFFTPGVKVFLLHLVNGGLMQILWNLRILTRKEQGIKENSLLEEASVQGQEN >itb01g01800.t1 pep chromosome:ASM357664v1:1:1074498:1074980:-1 gene:itb01g01800 transcript:itb01g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKIGSDYDHLKANCSLSSPHNLPNSDGNCHQSRPSEPVHSDSDAVMTFMITWWFLIWRSSGVKLAGCKEETAQAKSWRTPQGDVSQVAGEHNHKELFDQLE >itb10g00290.t1 pep chromosome:ASM357664v1:10:190551:192386:-1 gene:itb10g00290 transcript:itb10g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSIIFRSPFLSPYPQLHHQHSLKSPTHHFTPIKATATSDDPPAALAQTPKTHSHRRNADENIRDEARRLTSSHNFSARYVPFGADPSSDEWYSLDEIVYRSRSGGLLDVQHDMDALKKFDGQYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPGIASDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMHKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANRISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCRELGLVDRIPRLVCAQAANANPLYLHYKSGWKEFKAVKANTTFASAIQIGDPVSIDRAVFALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIKPTDRTVVVSTAHGLKFTQSKIDYHSHEIKDMACRYANPPVPVKADFGSVMDVLKRYLLSKNSNK >itb14g13230.t1 pep chromosome:ASM357664v1:14:15169214:15171094:-1 gene:itb14g13230 transcript:itb14g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLQISLNHIFSEGLAPPLMNITKPSNSFVFPLTLLQPSWSPQKPYSLKCRVSLSAAAINAPLQRESSNKHDEEEDEDEEMYVEEDGRNLHEIWEEIQGSKDWEGLLEPMNSHLRREIIRYGEFAQACYDSFDWYGEFAQACYDSFDFDPHSKYCGTCKYDGAQFSKYCGTCKYDGAQFFEKLGMAGRGYRISRYLYATSNINLPNFFQHSSVNRIWSQHANWMGYVAVATDEEEIRRLGRRDIVIAWRGTVTYLEWIHDLKNILHPAHFRDDPDIKIESGFFDLYTNSKKDDSCNFCSYSAREQLLAEVNRLIERYKGENLSITVTGHSLGAALALLSAYDIAEMKLNVVHQGEGETSASATKIPVSVFSFAGPRVGNLRFKERCDELGIKVLRIVNVRDKVPTVPGIIANEKFQYQKQLETTLKNFPWSYAHVGVELALDHSHSPFLKTGTDLGCAHNLEGHLHLVDGYHGQGRRFRSATGRDIALVNKDSNFLKREQGVPPRWRQDENKGMVRTSDGRWVVPERSRIEAHPPDTGHHFRKVLRLARARLHLFEKRVHVAKQ >itb03g12210.t1 pep chromosome:ASM357664v1:3:11248162:11250760:1 gene:itb03g12210 transcript:itb03g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPDVRRETPTGHCKRATAHRQRATAPQPSVFLSHGQTATAPQPLPPTATLSHSKVTAQVRHHPTAHFPSLRQPPQPSLSLHSVRHRQ >itb04g14800.t1 pep chromosome:ASM357664v1:4:15505795:15506193:1 gene:itb04g14800 transcript:itb04g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKTTKLQSLIFLVLFFSYLAAHCNGLRREFADSDADAALAKYEKCLDLCDPLEGQRIEYMCRQKCKETYEEETAEKGRRSPPSGGEEESHHGWLEECWKECEDRDEGIQTKFCKKSCETAYGSGRGRKPV >itb03g27450.t1 pep chromosome:ASM357664v1:3:27213762:27220068:-1 gene:itb03g27450 transcript:itb03g27450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLCCRTTVAAQNPVAAPPPGPPLATFLNMSPSPPRSPRAASTPWRRAQLRLRSISEAHRRSTPQNPLPSVKIKEMVGNGISGILYKWVNYGRGWRPRWFVLQDGVLSYYKIHGPRKIVTNTQTEKGSMVIGQKSLRRINSSKLPSSSKSLRRKPCGEIHLKVSSVRENKSDDRRFSLYTGMKTLHLRTESRDDRIQWVEALVAAKEMSPRVPNGELLLMTQMNDNLAVSTEKLRRRLMEEGISEAAIQDSEQIMRSEFAAWHKDLLLLKHKELALVDKVRQLEAEKVDLENTLVDESQRQAYDAHFQDKFREGSINESDDDSHDTDRYHAIEEESDDDDDDMFADARDFLSSNPVRAGGNDDGKSSSENDDEGNHPSEGGVSVENKYPNVSRRKKLPDPVEEEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKSFEDFEYSYLLDEAYESGKVGNSLARILKVAAFAASGYASTDGRHCKPFNPLLGETYEADYPDKGLRFISEKVSHHPMILAFHCEGRGWKLRADCNLKSKFWGRSIQLDPVGILSLEFDDGEVFKWSKVTTSIYNLILGKIYCDHYGTMSIQGNRNYSCRLKFKERSLIDRNPHELNGVVQNNGKTVATLFGKWDQSIHYCQDDCLGKDKGPVSMLNAELLWKRSKPSKCKSKYNFTSFAITLNELTPGLKEKLPPTDSRLRPDQRFLENGEYEMANSEKLRLEQRQRQAVKMQESGWKPKWFSKQKDSDMYRYIGGYWEAKEHGNWGTCPNIFGEVSTI >itb04g01060.t1 pep chromosome:ASM357664v1:4:585972:592061:1 gene:itb04g01060 transcript:itb04g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRSLRYEKDQAGCMWGFISIFDFRRGLATRKLLADRRRANRQVGAASSPKLIIPDSNEESLIVEDDEEREVATLDVKTRVKELMEEDMSSKPSNKNPRNDTEIEPELYCSHQASHTTKTLKKTYKTCKRSRNLSLSDLDDIRSAGSGVSHHKVLNSDDLQVAMEEHGQNCLISREGNLHTDTDQSYPVLEEKLSAAIEVFINHKLKNSKPLMEDEITDNTKELTDALQTLRSNKELFRRLLQDPNSRLVKHIESLEDGLEKGLRSNSMLKSNFSENKPVCAKTDEIIVHKQPHFFRRRSRSQGSYPLMEDVRSQPPSKIVILKPGPVHFPGTQLNADPSSHSADTMKNKVQNERNSSYFSFTEIKRKLKHAMGKDRQGISPEGVVRRVPPEYKKRSESEKGITGENAGAGWSSPNRNHFYTERFAKPSSILKRGDKRGKPKDAEEAIRNETSDYPKQGVPNIYLEAKKHIFEMLDNGEEKEEPMRMHLPRSLGRILSLPDYYTSPSSSSSNSDSSDEQIPSSQMRVAPPDSLTTANQSIHQTIHEDREICQFNLETQSCVAENPSNQDIESDKANLNVPCEHYHDIILEANVPSHGGVALEDTEESSQTRCQEDDRVSNAPLEISSSSITINMDSAHVTEACIEESSSQSLELAPEYLKTESQGAAQILSSPPASLAQSLDTRRADPDCVMDRTERPSPISVLEPLFMEDDISPASTVCRSVELEIQPRKIHFEEPAYSTINQPLCIRTCLESEETAFEYVEAVLLGSDLNWDDFLLRWLSSDQILDPSLFDEVELFSSRSCHDQKLLFDCTNEVLKEVVDRYFGCFSCTPILKQNIRPVPKGMDLIHEVWNGVEWYLLKNPPPHSLEQLVRKHLARSGEWMDLQYDIGNIGIGIEATILEDLLEETIFILADDTTENDILSSADKDVVISCD >itb04g01060.t3 pep chromosome:ASM357664v1:4:585972:592173:1 gene:itb04g01060 transcript:itb04g01060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRSLRYEKDQAGCMWGFISIFDFRRGLATRKLLADRRRANRQVGAASSPKLIIPDSNEESLIVEDDEEREVATLDVKTRVKELMEEDMSSKPSNKNPRNDTEIEPELYCSHQASHTTKTLKKTYKTCKRSRNLSLSDLDDIRSAGSGVSHHKVLNSDDLQVAMEEHGQNCLISREGNLHTDTDQSYPVLEEKLSAAIEVFINHKLKNSKPLMEDEITDNTKELTDALQTLRSNKELFRRLLQDPNSRLVKHIESLEDGLEKGLRSNSMLKSNFSENKPVCAKTDEIIVHKQPHFFRRRSRSQGSYPLMEDVRSQPPSKIVILKPGPVHFPGTQLNADPSSHSADTMKNKVQNERNSSYFSFTEIKRKLKHAMGKDRQGISPEGVVRRVPPEYKKRSESEKGITGENAGAGWSSPNRNHFYTERFAKPSSILKRGDKRGKPKDAEEAIRNETSDYPKQGVPNIYLEAKKHIFEMLDNGEEKEEPMRMHLPRSLGRILSLPDYYTSPSSSSSNSDSSDEQIPSSQMRVAPPDSLTTANQSIHQTIHEDREICQFNLETQSCVAENPSNQDIESDKANLNVPCEHYHDIILEANVPSHGGVALEGTIDTEESSQTRCQEDDRVSNAPLEISSSSITINMDSAHVTEACIEESSSQSLELAPEYLKTESQGAAQILSSPPASLAQSLDTRRADPDCVMDRTERPSPISVLEPLFMEDDISPASTVCRSVELEIQPRKIHFEEPAYSTINQPLCIRTCLESEETAFEYVEAVLLGSDLNWDDFLLRWLSSDQILDPSLFDEVELFSSRSCHDQKLLFDCTNEVLKEVVDRYFGCFSCTPILKQNIRPVPKGMDLIHEVWNGVEWYLLKNPPPHSLEQLVRKHLARSGEWMDLQYDIGNIGIGIEATILEDLLEETIFILADDTTENDILSSADKDVVISCD >itb04g01060.t2 pep chromosome:ASM357664v1:4:585944:591997:1 gene:itb04g01060 transcript:itb04g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRSLRYEKDQAGCMWGFISIFDFRRGLATRKLLADRRRANRQVGAASSPKLIIPDSNEESLIVEDDEEREVATLDVKTRVKELMEEDMSSKPSNKNPRNDTEIEPELYCSHQASHTTKTLKKTYKTCKRSRNLSLSDLDDIRSAGSGVSHHKVLNSDDLQVAMEEHGQNCLISREGNLHTDTDQSYPVLEEKLSAAIEVFINHKLKNSKPLMEDEITDNTKELTDALQTLRSNKELFRRLLQDPNSRLVKHIESLEDGLEKGLRSNSMLKSNFSENKPVCAKTDEIIVHKQPHFFRRRSRSQGSYPLMEDVRSQPPSKIVILKPGPVHFPGTQLNADPSSHSADTMKNKVQNERNSSYFSFTEIKRKLKHAMGKDRQGISPEGVVRRVPPEYKKRSESEKGITGENAGAGWSSPNRNHFYTERFAKPSSILKRGDKRGKPKDAEEAIRNETSDYPKQGVPNIYLEAKKHIFEMLDNGEEKEEPMRMHLPRSLGRILSLPDYYTSPSSSSSNSDSSDEQIPSSQMRVAPPDSLTTANQSIHQTIHEDREICQFNLETQSCVAENPSNQDIESDKANLNVPCEHYHDIILEANVPSHGGVALEGTIDTEESSQTRCQEDDRVSNAPLEISSSSITINMDSAHVTEACIEESSSQSLELAPEYLKTESQGAAQILSSPPASLAQSLDTRRADPDCVMDRTERPSPISVLEPLFMEDDISPASTVCRSVELEIQPRKIHFEEPAYSTINQPLCIRTCLESEETAFEYVEAVLLGSDLNWDDFLLRWLSSDQILDPSLFDEVELFSSRSCHDQKLLFDCTNEVLKEVVDRYFGCFSCTPILKQNIRPVPKGMDLIHEVWNGVEWYLLKNPPPHSLEQLVRKHLARSGEWMDLQYDIGNIGIGIEATILEDLLEETIFILADDTTENDILSSADKDVVISCD >itb03g21630.t2 pep chromosome:ASM357664v1:3:19534437:19536919:-1 gene:itb03g21630 transcript:itb03g21630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MEKYLANANRGDPGVPHCDPKHFWSCWIGSAAFSSIPCFNPYMWQFTADDKNLNMSNGGKVENKRERENTVDKRVDSRDFGSAISRIAVAQICESVGFESCNESALDSFADIAIKYLFDLGKSATSYANLAGRSECNVFDIVFALEDLYTHTGFLGAAEVNGCGINSGVMREIVEYVESAEEIPFAQPVPHFPVVKVPRMIPSFEEMGETPEFKHIPPWLPAFPDPHTYIHTPTWNERESDPRADKIELVRQRRKAERSLLNLQQRLVCNDLPVASSSEEPNDTENGLGHKEIKNPFLVKTLQAGEKDVSPIALPVKFSAKVHTNDHASLLETFAPAIEAMKDGLSETGNGMEKILPDKRPAVCLEFRPGKKVLGDSLNLRLWNKSSSRTATWFRRDEDKDDKKRRAELILRQSVENQQELTQL >itb03g21630.t1 pep chromosome:ASM357664v1:3:19534437:19536919:-1 gene:itb03g21630 transcript:itb03g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSNGGKVENKRERENTVDKRVDSRDFGSAISRIAVAQICESVGFESCNESALDSFADIAIKYLFDLGKSATSYANLAGRSECNVFDIVFALEDLYTHTGFLGAAEVNGCGINSGVMREIVEYVESAEEIPFAQPVPHFPVVKVPRMIPSFEEMGETPEFKHIPPWLPAFPDPHTYIHTPTWNERESDPRADKIELVRQRRKAERSLLNLQQRLVCNDLPVASSSEEPNDTENGLGHKEIKNPFLVKTLQAGEKDVSPIALPVKFSAKVHTNDHASLLETFAPAIEAMKDGLSETGNGMEKILPDKRPAVCLEFRPGKKVLGDSLNLRLWNKSSSRTATWFRRDEDKDDKKRRAELILRQSVENQQELTQL >itb03g21630.t3 pep chromosome:ASM357664v1:3:19534760:19536186:-1 gene:itb03g21630 transcript:itb03g21630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSNGGKVENKRERENTVDKRVDSRDFGSAISRIAVAQICESVGFESCNESALDSFADIAIKYLFDLGKSATSYANLAGRSECNVFDIVFALEDLYTHTGFLGAAEVNGCGINSGVMREIVEYVESAEEIPFAQPVPHFPVVKVPRMIPSFEEMGETPEFKHIPPWLPAFPDPHTYIHTPTWNERESDPRADKIELVRQRRKAERSLLNLQQRLVCNDLPVASSSEEPNDTENGLGHKEIKNPFLVKTLQAGEKDVSPIALPVKFSAKVHTNDHASLLETFAPAIEAMKDGLSETGNGMEKILPDKRPAVCLEFRPGKKVLGDSLNLRLWNKSSSRTATWFRRDEDKDDKKRRAELILRQSVENQQELTQL >itb05g09970.t1 pep chromosome:ASM357664v1:5:14671729:14675243:-1 gene:itb05g09970 transcript:itb05g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSQLLSALPSNQTPMDEVTRRSANFHPTIWGDYFLTYASQPMEVDTQDLLEHQKLKEEIKNMLVEVPNISSQKLDLINKIQRLGVCYQFENEIDASLEYIFKAYDDFNDGEDENDLYVVSLRFRLLRQDGYHLLANVFEKFKDGNGKFKELLTKNVQAMLSLYEASHLRVHGEQILEEALTFTTSHLESMLGLPHLSNPLRSQVSEALKQPIRRRLTRLDAQKFISSYEPNGTQDALLLKFAKLDFNLLQKQHQRELGSLTRWWKGLDVPNKLPFARDRLVECYFWTLGTYFEPKYQLARKFILKIISLTSIIDDIYDVYGTSDELKLFTDAVQRWDVSATNQLPEYMRSTYIYLLDTYAEMEKELSNEGASYRVNYAKIEMTKLVGAYHDESKWYNDGCSPTFEEYMKVALVTSGYTMLATTSLVGMQEDFLTKEAFDWMISGPLIVRASEIVGRLMDDITGYEFEQQRGHFESSVQIFMKEYGKSKEETTTELQERVINAWKDINQECLKPTVFPMPILTRILNLTRVIDLLYHDGDLYTHSKTKLKQIITSTLVNPIQ >itb08g17220.t1 pep chromosome:ASM357664v1:8:19550175:19566026:-1 gene:itb08g17220 transcript:itb08g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDNLRALLSSSSSSQVKPLSKELERITTLVKHVPSIIKKTCVGVLDAFVDSTFEFVDQPLLPSQSNFSPVEEIGEAVGVTTIEGKIPEDFPIGVYVRNGPNPLFGGLKSTKSMFGKSSHVWIEGEGMLHALYFVKDRRTAAWNISYKNKHVQTDTFKLEKVRKKPGFLPAIEGDSLAVVLAYLLNMLRFGEVNKYLSNTNVFEHSNKYYSIAENHLPQEIDIFSLQTLRNWNLNGSWNRPFTSHPKKAPGTGELVLMGIEPKKPYFMLGIISADGKKIVHKADLRFNRCSLCHEIGVTQRYNVVMDFPLTLDIMRLIRGGPLIKYEKEGYARIGVMPRYGDADSIRWFEVEPCCVFHIINSFEHNDEVVVIALRACKSAIPGPDFGLEKFEWFSKGFQHTKDHIDENCDEFSKDDESFLCRVCEWRLNMHTGEVKQRILTGTDFSMEFPMINHNFVGVRNKFGYTQVVGSQASSISGMAKYGGLAKLNFEDWRFETSMDGKESQDLIQVEYHMLPNNTFCSGATFVPRIGGVDEDDGWIITFVHNENTNISQVYIVDAKKFSSEAVAVISLPSRVPYGFHGAFMSLDC >itb09g24430.t1 pep chromosome:ASM357664v1:9:24087192:24090426:-1 gene:itb09g24430 transcript:itb09g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGELLLIEPTDLEFSFELKKQISCSMHLTNKSDNYVAFKVKTTNPKKYCVRPNTGVVTPHTTCDVIVTMQAQKEAPTDMQCKDKFLLQSVVVSPGTAAKDITPEMFNKESGNQVDECKLKVVYVQPPQPPSPVREGSEEGSSPRASLSENGAMNSSEHSNVSRTQIESQDKSLEAKALISKLTEEKNSAIQINKKLQQELELLRRESNRNRGGIPFMYVVIIGLVSIVVGYLFKRT >itb09g01630.t1 pep chromosome:ASM357664v1:9:996797:999990:-1 gene:itb09g01630 transcript:itb09g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPAT5 [Source:Projected from Arabidopsis thaliana (AT3G18850) UniProtKB/TrEMBL;Acc:A0A178VAY6] MDVQAPCTSRNRPRHQPLTPFRVVRGVLCLVVLILTAFMLLVFCGFWAVMGLRFFSVHYSRTTTAFFFGCWIALWPFLFEKVNKTKVVFSGDCVPNRERVLLIANHRTEVDWMYLWDLALRKGCVGSIRYILKSSLMKLPVFGWVFHVMEFIPVERKWEADESKLRRMLSSYTDRKDPLWLAVFPEGTDFTEQKCIRSQKYASENGLPILKHVLLPKTKGFCACLEELRGSLDAVYDLTIGYKHNCPSFLDNAFGVDPAEVHIHIRRIALSDIPHSENEAASWLMDTFSRKDQLLRDFYSQGHFPREGIEGELSMWKCLLNFAFVVFLTGVCTFLTLFASVWFKIYVTTACALLATATYFNFRPSPIVCL >itb05g24900.t1 pep chromosome:ASM357664v1:5:29567577:29571469:-1 gene:itb05g24900 transcript:itb05g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELALPQWHHHMSLFPAAAAAASPPREYNCFCASVRYTRSSCNACLLQFSPKTLNSNRPINFKLHATASRQTNPELERLVEDKEAHDFYVGDRATSRISYGEEEEEEDDDSGVVLSLTEKPDRNFALLDEYELEELDTASDNPNHKSGYVAVLGKPNVGKSTLSNQMVGQKLSIVTDKPQTTRHRILGICSAPEYQMILYDTPGVIEKKMHKLDTMMMRNVRNAAVSADCVVVVVDACKAPEKIDEVLYEGVGSLKDRLPILLVLNKKDLIKPGEIAKKLEWYEKFTDADEVIPVSAKYGHGVEDVKDWILSKLPLGPAYYPKDIASEHPERFFVAEIVREKIFMQYRNEIPYACQVNVVSYKNRPNAKDFIQVEVIVEKNSQKVILIGKEGKALKLLATAARLDIEDFLQKKVFLEVEVKVKENWRQNEGLLKHYGYGGQIKAL >itb14g04360.t1 pep chromosome:ASM357664v1:14:3916083:3920951:1 gene:itb14g04360 transcript:itb14g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHKSKSEKREDSSPLRRDPYEVLGVNKYSTDQEIKTAYRKLALKYHPDKNANDPKAADTFKEVTFSYNILSNPDKRRQYDSAGFEAVESDNQDMELDLSSLGPVNTMFAALFSKLGVPIKTAVSATVLEEALNGSVSIRPLPLGQPICRKVEKQCAHFYSVTITEKEAKGGFVCRVQSSDKSKFKLLYFDQEENSGLSLALQEDSAKTGKVTSAGMYFFGFTVYHMDPAHSSMASAKDQDSALFKKLDGFQPCEITELKPGTHVFAVYGDNFFKSVSYSIEAVCAEPFAEDKENLRAVETQILSKRVELTKFETEYREVLAQFTEMTSRYAQEMQAIDELLKQRNEIHASYTTAPPMKRSSSGRSKSSSASKESNEGSPVRPKKPTRERLKKKKWYNIHLKVDKRKPC >itb14g04360.t2 pep chromosome:ASM357664v1:14:3916084:3920951:1 gene:itb14g04360 transcript:itb14g04360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHKSKSEKREDSSPLRRDPYEVLGVNKYSTDQEIKTAYRKLALKYHPDKNANDPKAADTFKEVTFSYNILSNPDKRRQYDSAGFEAVESDNQDMELDLSSLGPVNTMFAALFSKLGVPIKTAVSATVLEEALNGSVSIRPLPLGQPICRKVEKQCAHFYSVTITEKEAKGGFVCRVQSSDKSKFKLLYFDQEENSGLSLALQEDSAKTGKVTSAGMYFFGFTVYHMDPAHSSMASAKDQDSALFKKLDGFQPCEITELKPGTHVFAVYGDNFFKSVSYSIEAVCAEPFAEDKENLRAVETQILSKRVELTKFETEYREVLAQFTEMTSRYAQEMQAIDELLKQRNEIHASYTTAPPMKRSSSGRSKSSSASKESNEGSPVRPKKPTRERLKKKKWYNIHLKVDKRKPC >itb04g11540.t1 pep chromosome:ASM357664v1:4:11221043:11227363:-1 gene:itb04g11540 transcript:itb04g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLPPISQHFFSCLKKMKHSSCYLVFISIAVLVLFPLACFSRPHLRRVLLSHEAGNYAVIFDAGSTGSRVHVFHFDENLDLLPIGDGVEFFLKTKPGLSSYADDPEAAAKSLEPLLLEAEGVVPTELQPDTPLELGATAGLRMLEGDASDKILQAVRDMFKNESNFKYKAEWVSILEGTQEGTYFWVAMNYLLGNFGKGYQNTIATIDLGGGSVQVSYAISGDTFANAPIPDNGEEPYVQEKYFLGAQYYLYVHSYLNYGQLAGRAEMFKASGNSTNPCVLEGYDDYYTYNDVLYKVTAPPNGASLKNCRKLARKVVDFRAPCKYQNCTFNGVWSGGGGVGMENVYISSYFYDIAVDVGIIDEEKASKIVKPRSYLVAAKLACETKFEDVKSTFPNAVEKDYPYLCMDLVYQYTLLVDGLGLHPYKEITLMKKVEYKNYMVEAAWPLGCAIDVISSSANKLLSKS >itb05g14460.t1 pep chromosome:ASM357664v1:5:21578585:21579925:1 gene:itb05g14460 transcript:itb05g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTVTGEGFIAQGITFRNTAGPKNHQAVALRSGSDHSVFYQCGFEGYQDTLYVHSQRQFYKDCDIYGTVDFIFGNAAVVLQNCIIYARKPMNKQRITITAQGRTDRNQNTGISIHNSRVMAGSDLKPVAGSFKAYLGRPWKKYSRTVFLRTYMESIVDPTGWVEWDGKFALNTLYYGEYKNSGPGSSTKRRVKWRGYRVITSATEAAQFSVQSFIAGRSWLPATKVPFRAGL >itb05g14460.t2 pep chromosome:ASM357664v1:5:21574558:21579925:1 gene:itb05g14460 transcript:itb05g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRLLCFLLIVLLSIPSFILSGDLNGLCNQTPYPDQCKHFMTHTNFKGKSDFKKMAIRVAMERALSASSQANGLGPKCRNPREKAAWADCLSLYEDTILQLNRTLDPAVKCTDFDAQTWLSAAVTNLETCRTGFAELGVSNGAVFPAISDANVTRLISNTLALINNASAPRETYGGDFPTWVSPGDRKLLQDGSAVRPNLVVASDGSGDFKTVKAALVAAAKRRRGSGRFVIHIKRGVYRENVEIGWKTKNIMLVGDGLRRTIITGSRSVGGGSTTFNSATVAVTGEGFIAQGITFRNTAGPKNHQAVALRSGSDHSVFYQCGFEGYQDTLYVHSQRQFYKDCDIYGTVDFIFGNAAVVLQNCIIYARKPMNKQRITITAQGRTDRNQNTGISIHNSRVMAGSDLKPVAGSFKAYLGRPWKKYSRTVFLRTYMESIVDPTGWVEWDGKFALNTLYYGEYKNSGPGSSTKRRVKWRGYRVITSATEAAQFSVQSFIAGRSWLPATKVPFRAGL >itb04g33840.t1 pep chromosome:ASM357664v1:4:35958584:35959066:1 gene:itb04g33840 transcript:itb04g33840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPPQLLANDHIQCRLFVGMDMVNQAAKGMKVVQLDLGENISYLRALEKRQFETKAAAQVQQYASANLSGGLQGDGKDSGSKLSLKEIIEAHAQQNGLLFKPKPGRIHDGHQIYGFGSISIVIDSLNEKVFAQTEDKWSRVSLELLLELHHRYSLKRR >itb05g25680.t1 pep chromosome:ASM357664v1:5:29953659:29954048:1 gene:itb05g25680 transcript:itb05g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNATRNLNRDNQIPIPIPIHESWTVISSPVQCTATVITNAHVSILRFHAVSAPGQASPTKRQYMSCPNCPIIFFWREREPENFPHSRQMRYRPRNAVLIRDCNLSLIVFGPNLWVRALVDRLLVGPV >itb03g08640.t1 pep chromosome:ASM357664v1:3:6481917:6483574:-1 gene:itb03g08640 transcript:itb03g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKNLESCESYYATEFGPGSDHSSPEIYAINDGGRSSEKTVGNRDNGVAVAAAKSFGTSGASSRLSSPSSSNSNGYHHAAATKYLSSEEEDGRSVITFRGGQFGNFTNASGPSSLLSFDQNQGFYPSFWDETMQYNNNNTSGNYQNHPLTPKCSAASPGHLVENSGTNIPFGWLNPNTDSAGAATIQEHGKQESSSLTKRPYSEESLQASKKQCTTATKKAKTSSIPSKDPQSIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPMQGGKAPDLSQVKEAIDAILASQKDRNSSSK >itb02g14860.t1 pep chromosome:ASM357664v1:2:10797994:10803648:1 gene:itb02g14860 transcript:itb02g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGQYSDDELDEGSSEGPIRAGSEDSSVAHDDQGKVASTEEKNDAKGVIGSDTAKVKDEAKENGSASENFLQRPEEDEHKGSDAIYSVEKLKEKDVMSQNTTLGAFDAQVGGDVISGWKVVFHEESNQYYYWNVSTGETSWEVPNALIPSSELENDEKATDGVDVEDAMQRTYESHTNLNVKPGDSNPGDTCDDSNDNNECKMEMFNDGFKCNAQQEKGVEDDAKMSDTKKMSGQLDSCRDISSPVGCSSKLSGDILLSHLSESGEDVEKHRDVASAGEFDIEADFSSHLVEHCESLLEKLKVMQGSEKSLQKHDQISKYVLEVDIRLTDIRSLACNGLSLLPFWVHSEKQLKLLEAAINEVCEQCNSLRGHDVEALHTSQHEFTDDTKVDANEKIAIHHCATEYTGSPETRKDAHVEPYHDGASTNSGSNTIEEGEVVELAAHEELAPKTVLLPEEVDMDVDMEVEDVGPPCGPKVGDASVGQYHLVPEKDMVTALSANEGSSLPDKTSDVPPPPPDEDWVPPPPPDDEPFPPPPPDEPPETTYSQPSDLSSVQPFPYTLSYPVSGYEYYGQINNVPPNSNLYSHAEGQITVSHQQLYYEAVPNLYSSDPMAVNAVNPSAYYGFQGGAAHHVPVVAADSSVLPSGSINEKAISGSIGSFGTLTEVSSVLPIQNKPNGVVDVGSSGVSLSFPSQTSLQVTDTVLPPENTLVASTSSIPSATSTATASNVPSKVSRKKKGTIAVGSTLRSNKKVSNLVDKWKAAKEELHAEEEEERASAYEILEKKRQREIEEWRAQQIASGEARDNANFQPLGGDWRERVKRRRAEKMKEAEKKPTDGAEENRQPDLNASSIGLPSGWQAYWDESSKQVYYGNAATSETTWTRPTS >itb02g14860.t4 pep chromosome:ASM357664v1:2:10799933:10803633:1 gene:itb02g14860 transcript:itb02g14860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNTTLGAFDAQVGGDVISGWKVVFHEESNQYYYWNVSTGETSWEVPNALIPSSELENDEKATDGVDVEDAMQRTYESHTNLNVKPGDSNPGDTCDDSNDNNECKMEMFNDGFKCNAQQEKGVEDDAKMSDTKKMSGQLDSCRDISSPVGCSSKLSGDILLSHLSESGEDVEKHRDVASAGEFDIEADFSSHLVEHCESLLEKLKVMQGSEKSLQKHDQISKYVLEVDIRLTDIRSLACNGLSLLPFWVHSEKQLKLLEAAINEVCEQCNSLRGHDVEALHTSQHEFTDDTKVDANEKIAIHHCATEYTGSPETRKDAHVEPYHDGASTNSGSNTIEEGEVVELAAHEELAPKTVLLPEEVDMDVDMEVEDVGPPCGPKVGDASVGQYHLVPEKDMVTALSANEGSSLPDKTSDVPPPPPDEDWVPPPPPDDEPFPPPPPDEPPETTYSQPSDLSSVQPFPYTLSYPVSGYEYYGQINNVPPNSNLYSHAEGQITVSHQQLYYEAVPNLYSSDPMAVNAVNPSAYYGFQGGAAHHVPVVAADSSVLPSGSINEKAISGSIGSFGTLTEVSSVLPIQNKPNGVVDVGSSGVSLSFPSQTSLQVTDTVLPPENTLVASTSSIPSATSTATASNVPSKVSRKKKGTIAVGSTLRSNKKVSNLVDKWKAAKEELHAEEEEERASAYEILEKKRQREIEEWRAQQIASGEARDNANFQPLGGDWRERVKRRRAEKMKEAEKKPTDGAEENRQPDLNASSIGLPSGWQAYWDESSKQVYYGNAATSETTWTRPTS >itb02g14860.t3 pep chromosome:ASM357664v1:2:10799005:10803499:1 gene:itb02g14860 transcript:itb02g14860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGQYSDDELDEGSSEGPIRAGSEDSSVAHDDQGKVASTEEKNDAKGVIGSDTAKVKDEAKENGSASENFLQRPEEDEHKGSDAIYSVEKLKEKDVMSQNTTLGAFDAQVGGDVISGWKVVFHEESNQYYYWNVSTGETSWEVPNALIPSSELENDEKATDGVDVEDAMQRTYESHTNLNVKPGDSNPGDTCDDSNDNNECKMEMFNDGFKCNAQQEKGVEDDAKMSDTKKMSGQLDSCRDISSPVGCSSKLSGDILLSHLSESGEDVEKHRDVASAGEFDIEADFSSHLVEHCESLLEKLKVMQGSEKSLQKHDQISKYVLEVDIRLTDIRSLACNGLSLLPFWVHSEKQLKLLEAAINEVCEQCNSLRGHDVEALHTSQHEFTDDTKVDANEKIAIHHCATEYTGSPETRKDAHVEPYHDGASTNSGSNTIEEGEVVELAAHEELAPKTVLLPEEVDMDVDMEVEDVGPPCGPKVGDASVGQYHLVPEKDMVTALSANEGSSLPDKTSDVPPPPPDEDWVPPPPPDDEPFPPPPPDEPPETTYSQPSDLSSVQPFPYTLSYPVSGYEYYGQINNVPPNSNLYSHAEGQITVSHQQLYYEAVPNLYSSDPMAVNAVNPSAYYGFQGGAAHHVPVVAADSSVLPSGSINEKAISGSIGSFGTLTEVSSVLPIQNKPNGVVDVGSSGVSLSFPSQTSLQVTDTVLPPENTLVASTSSIPSATSTATASNVPSKVSRKKKGTIAVGSTLRSNKKVSNLVDKWKAAKEELHAEEEEERASAYEILEKKRQREIEEWRAQQIASGEARDNANFQPLGGDWRERVKRRRAEKMKEAEKKPTDGAEENRQPDLNASSIGLPSGWQVS >itb02g14860.t2 pep chromosome:ASM357664v1:2:10797990:10803665:1 gene:itb02g14860 transcript:itb02g14860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKERRLAAMGASSRRVKLDLFAEPSGDLGGSSQDGVGGDEASKNQAELPNSPSSSGLQQENPLMLLGQYSDDELDEGSSEGPIRAGSEDSSVAHDDQGKVASTEEKNDAKGVIGSDTAKVKDEAKENGSASENFLQRPEEDEHKGSDAIYSVEKLKEKDVMSQNTTLGAFDAQVGGDVISGWKVVFHEESNQYYYWNVSTGETSWEVPNALIPSSELENDEKATDGVDVEDAMQRTYESHTNLNVKPGDSNPGDTCDDSNDNNECKMEMFNDGFKCNAQQEKGVEDDAKMSDTKKMSGQLDSCRDISSPVGCSSKLSGDILLSHLSESGEDVEKHRDVASAGEFDIEADFSSHLVEHCESLLEKLKVMQGSEKSLQKHDQISKYVLEVDIRLTDIRSLACNGLSLLPFWVHSEKQLKLLEAAINEVCEQCNSLRGHDVEALHTSQHEFTDDTKVDANEKIAIHHCATEYTGSPETRKDAHVEPYHDGASTNSGSNTIEEGEVVELAAHEELAPKTVLLPEEVDMDVDMEVEDVGPPCGPKVGDASVGQYHLVPEKDMVTALSANEGSSLPDKTSDVPPPPPDEDWVPPPPPDDEPFPPPPPDEPPETTYSQPSDLSSVQPFPYTLSYPVSGYEYYGQINNVPPNSNLYSHAEGQITVSHQQLYYEAVPNLYSSDPMAVNAVNPSAYYGFQGGAAHHVPVVAADSSVLPSGSINEKAISGSIGSFGTLTEVSSVLPIQNKPNGVVDVGSSGVSLSFPSQTSLQVTDTVLPPENTLVASTSSIPSATSTATASNVPSKVSRKKKGTIAVGSTLRSNKKVSNLVDKWKAAKEELHAEEEEERASAYEILEKKRQREIEEWRAQQIASGEARDNANFQPLGGDWRERVKRRRAEKMKEAEKKPTDGAEENRQPDLNASSIGLPSGWQAYWDESSKQVYYGNAATSETTWTRPTS >itb09g00820.t1 pep chromosome:ASM357664v1:9:519151:520076:-1 gene:itb09g00820 transcript:itb09g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIRKSNKIRHIVRIRQMLLQWRRKAAACSSAHGSGGVVPADVPEGHVAICVGISSYTRFVVRATYLNHPILKTLLAQAAEEYGFAHQGPLAIPCEESLFQEILRFISRPRSSNSVKVGEFNRCKCLVGYHNGLDVFAESRPLLRT >itb14g20070.t1 pep chromosome:ASM357664v1:14:22538237:22542406:1 gene:itb14g20070 transcript:itb14g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHVPHPATPTSDVVLPKRGKKRGNYNCGRCGMPKKGHVCHLPKDYTTPTSDANTTALSDATSSAASPLPPPPPSSQPGSRLRRALSFDDVDVSESPGSDDDEEDFEFLDLGGSGNLPFACAWEVLKRLPPPALLSAASVCRGWRDTARRLWRAAEELRLRVPAKAQIGHVRSLLQKCPGLVRLSLRMESDVDAIMLACVAFSCPNLESLEIFTSNTSVNRITGEELGHFVSDRKCLTNLKMEGCSNLGGFSLCSTKLSTLWLSGLRCLSKMVFNCPNLNEISLDFSPEENDSTDLSAMVEGLGRGCPKLQIIHIASARLSHAVVLALTAANLRALRMLSLVMGSEITDASVAAIASSYSSLELLDLSGSSISDSGIGMICNVFPENLSKLLLALCPNITSSGIQFAAAQLPNLEIMDCGFTICDPNPDSENPEANGDHDVQKMPNSRQHLVYQKLIIKHSRLKKLSLWGCSGLDALYLNCPELNDLNLNSCSNLQPERLLLQCANLENVHASGCHEMLVETIQNQVCSSDFMAVENNIPCKRLPDGSKRIQVPHLFSPQPSDGEKKKRKISRSRCAVLVDT >itb14g20070.t2 pep chromosome:ASM357664v1:14:22538237:22541513:1 gene:itb14g20070 transcript:itb14g20070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHVPHPATPTSDVVLPKRGKKRGNYNCGRCGMPKKGHVCHLPKDYTTPTSDANTTALSDATSSAASPLPPPPPSSQPGSRLRRALSFDDVDVSESPGSDDDEEDFEFLDLGGSGNLPFACAWEVLKRLPPPALLSAASVCRGWRDTARRLWRAAEELRLRVPAKAQIGHVRSLLQKCPGLVRLSLRMESDVDAIMLACVAFSCPNLESLEIFTSNTSVNRITGEELGHFVSDRKCLTNLKMEGCSNLGGFSLCSTKLSTLWLSGLRCLSKMVFNCPNLNEISLDFSPEENDSTDLSAMVEGLGRGCPKLQIIHIASARLSHAVVLALTAANLRALRMLSLVMGSEITDASVAAIASSYSSLELLDLSGSSISDSGIGMICNVFPENLSKLLLALCPNITSSGIQFAAAQLPNLEIMDCGFTICDPNPDSENPEANGDHDVQKMPNSRQHLVYQKLIIKHSRLKKLSLWGCSGLDALYLNCPELNDLNLNSCSNLQPERLLLQCANLENVHASGCHEMLVETIQNQVPYAYCLMDSNVNG >itb14g20070.t3 pep chromosome:ASM357664v1:14:22538237:22542406:1 gene:itb14g20070 transcript:itb14g20070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHVPHPATPTSDVVLPKRGKKRGNYNCGRCGMPKKGHVCHLPKDYTTPTSDANTTALSDATSSAASPLPPPPPSSQPGSRLRRALSFDDVDVSESPGSDDDEEDFEFLDLGGSGNLPFACAWEVLKRLPPPALLSAASVCRGWRDTARRLWRAAEELRLRVPAKAQIGHVRSLLQKCPGLVRLSLRMESDVDAIMLACVAFSCPNLESLEIFTSNTSVNRITGEELGHFVSDRKCLTNLKMEGCSNLGGFSLCSTKLSTLWLSGLRCLSKMVFNCPNLNEISLDFSPEENDSTDLSAMVEGLGRGCPKLQIIHIASARLSHAVVLALTAANLRALRMLSLVMGSEITDASVAAIASSYSSLELLDLSGSSISDSGIGMICNVFPENLSKLLLALCPNITSSGIQFAAAQLPNLEIMDCGFTICDPNPDSENPEANGDHDVQKMPNSRQHLVYQKLIIKHSRLKKLSLWGCSGLDALYLNCPELNDLNLNSCSNLQPGTF >itb14g11560.t2 pep chromosome:ASM357664v1:14:13181657:13190199:-1 gene:itb14g11560 transcript:itb14g11560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MELLRSNLSRVRIPEPTTRIYKHECCVSFDTPRSEGGLFVDMSTFLAFGKEYVGWNYEKTGNPVYLHIKQTVKAVAEDRPSKKPTLLAIGLEGGFDNNEPEYDESYQIVLLPDYLTLPFPSVELPEKIRLAVDAILLAESAERKEQVAAWTADKKLTSKYAMELVQLDNGVVVPPSGWKCSKCDKTENLWLNLTDGTILCGRRNWDGSGGNDHAVNHYRETGYPLAVKLGTITADLEGADVYSYPEDDSVVDPLLAQHLAHFGIDFSSLQKTEMTTAERELDQNFNFDWNRIQETGQDVEPLFGPGYTGLVNLGNSCYLAATMQVVFSTHSFCTRYFLEQSLKTAFTMAPADPTVDLNMQLTKLAHGLLSGKYSVPGTEKQEGIRPRMFKSVIAASHPEFSTMRQQDALEFFLHFIDQVERMNSGNSKIDPSRSFKFGIEERIQCPSGKVAYNKRNDYILSLNIPLDKAINKKELEEFQKSKAEKAAEGKEMPADEIVRPRVPLKDCLDCFSSPEEIHDFYSSALKTRTTATKTAGLTSFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDTIDISDMRSRGLQPGEELLPEGGAGDSEEQKLVADEGIVSQLMSMGFSSLHCQKAAINTSNTGVEAAMNWLLAHMDDPDIDAPISQVGKNVDIDQSQVDTLVSFGFHEDLARKALKASGGDIEKATDWIFNNPGASETSDMDTSCSDGTSVDAALPDGGGRYRLIGLVSHIGTSTQCGHYVAHIYKDGRWVIFNDEKVGVSKNPPLDMGYLYFFERLSD >itb14g11560.t1 pep chromosome:ASM357664v1:14:13181657:13190199:-1 gene:itb14g11560 transcript:itb14g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MELLRSNLSRVRIPEPTTRIYKHECCVSFDTPRSEGGLFVDMSTFLAFGKEYVGWNYEKTGNPVYLHIKQTVKAVAEDRPSKKPTLLAIGLEGGFDNNEPEYDESYQIVLLPDYLTLPFPSVELPEKIRLAVDAILLAESAERKEQVAAWTADKKLTSKYAMELVQLDNGVVVPPSGWKCSKCDKTENLWLNLTDGTILCGRRNWDGSGGNDHAVNHYRETGYPLAVKLGTITADLEGADVYSYPEDDSVVDPLLAQHLAHFGIDFSSLQKTEMTTAERELDQNFNFDWNRIQETGQDVEPLFGPGYTGLVNLGNSCYLAATMQVVFSTHSFCTRYFLEQSLKTAFTMAPADPTVDLNMQLTKLAHGLLSGKYSVPGTEKQEGIRPRMFKSVIAASHPEFSTMRQQDALEFFLHFIDQVERMNSGNSKIDPSRSFKFGIEERIQCPSGKVAYNKRNDYILSLNIPLDKAINKKLEEFQKSKAEKAAEGKEMPADEIVRPRVPLKDCLDCFSSPEEIHDFYSSALKTRTTATKTAGLTSFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDTIDISDMRSRGLQPGEELLPEGGAGDSEEQKLVADEGIVSQLMSMGFSSLHCQKAAINTSNTGVEAAMNWLLAHMDDPDIDAPISQVGKNVDIDQSQVDTLVSFGFHEDLARKALKASGGDIEKATDWIFNNPGASETSDMDTSCSDGTSVDAALPDGGGRYRLIGLVSHIGTSTQCGHYVAHIYKDGRWVIFNDEKVGVSKNPPLDMGYLYFFERLSD >itb03g16230.t1 pep chromosome:ASM357664v1:3:15261939:15263388:1 gene:itb03g16230 transcript:itb03g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRLQFLMMLCTFMAINSLCCCVSSKQKPLMLLTLEAKELSSVSATLKAPNKLPFNHNVSLTVNLAVGSPPQAVTMVLDTGSELSWLRCKKTPNTPSVFSPGFSRTYSPVPCSSATCRTRTRDFPIPVSCDPKSLCHVILSYADASSVEGNLAGETFRVGNSGLPGVVFGCMDSGSSSNPGEDAKTTGLMGMNLGGLSFVSQMGFPAFSYCIPGRNTAGVLLFGEANFPWLKPLSYTPLVKISTPLPSFDRAAYTVQLTGIKVSGTVLPIPEPVLNPDHTGAGQTMVDSGTQFTFLLGPAYTPLKNEFTSQTRGILRLLNEPNFVFQGAMDLCFLVESTRASLPALPAVTLMFNGADMSVSGDKLLYKVPGQTRGKDQIYCFTFGNSDLLGIEAYVIGHHHQQNLWMEFDLAKSRVGLAEVRCDLASQRLGLGL >itb02g06260.t2 pep chromosome:ASM357664v1:2:3893261:3897970:-1 gene:itb02g06260 transcript:itb02g06260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVLRPPQLSLAAKPDPNLFSRYTPGGTLQFQLCSNSHPRKLRLTVASASKKEQLQESKPQVQKQDGGNDSFQENGTVEAVDLGWLPAFPHILIASMSNFLFGYHIGVMNGPIVSIAKELGFEGNSLLEGLVVSIFIGGAFIGSISCGSLVDRLGCRRTFQIDTIPLILGALISAQAHSTDEILWGRFLVGLGIGVNTVLVPVYTSEVAPTKHRGTLGTLCQIGTCLGIIASLFLGIPSENDPHWWRILFYIASVPGLLLVFGMQYAVESPRWLCKAGRVDDAKEVIRNLWGASYVNQAIEEFQSVIRNDGGDLDSSWLELLEEPHSRVALIGGMLFVLQQFAGINGVLYFSSLTFKDVGISSSALASLYVGLTNFAGALCASYLMDRQGRKKLLIGSYIGMAVSMFLVVYAVSFPVDKDISGNLSILGSLLYIFTFAIGAGPVTGLIIPELSSSRTRGKIMGFSFSVHWVHLSVSLFNNGVVMFNYTHSFPLSSLTSQ >itb02g06260.t1 pep chromosome:ASM357664v1:2:3893261:3897970:-1 gene:itb02g06260 transcript:itb02g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVLRPPQLSLAAKPDPNLFSRYTPGGTLQFQLCSNSHPRKLRLTVASASKKEQLQESKPQVQKQDGGNDSFQENGTVEAVDLGWLPAFPHILIASMSNFLFGYHIGVMNGPIVSIAKELGFEGNSLLEGLVVSIFIGGAFIGSISCGSLVDRLGCRRTFQIDTIPLILGALISAQAHSTDEILWGRFLVGLGIGVNTVLVPVYTSEVAPTKHRGTLGTLCQIGTCLGIIASLFLGIPSENDPHWWRILFYIASVPGLLLVFGMQYAVESPRWLCKAGRVDDAKEVIRNLWGASYVNQAIEEFQSVIRNDGGDLDSSWLELLEEPHSRVALIGGMLFVLQQFAGINGVLYFSSLTFKDVGISSSALASLYVGLTNFAGALCASYLMDRQGRKKLLIGSYIGMAVSMFLVVYAVSFPVDKDISGNLSILGSLLYIFTFAIGAGPVTGLIIPELSSSRTRGKIMGFSFSVHWVCNFLVGLFFLELVEKLGVAPVYLSFGGFSILAAAFAYYFTVETKGRSLEEIEMSLNPNFQGKNE >itb05g08360.t1 pep chromosome:ASM357664v1:5:11742684:11744201:1 gene:itb05g08360 transcript:itb05g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSRRRRRSLLKNVGRKVDIYRFPTQTPSPPHPLLRLRRFSRRRRRRCCFSSPVPYLLQGIEAADEESETALSFRALAQAGGGELRRWSSYSWISHDQEYFQLCVNTHMAVNPKPAVAGNPKLLSIEVAEGVGIARRPFLRSTLHLCSVWLLEISTSRVGRSFPQGLR >itb14g02610.t1 pep chromosome:ASM357664v1:14:2238018:2242881:1 gene:itb14g02610 transcript:itb14g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEGGSDHSATGSGGDAPTAEKKKDKARVNRTSLILWHAHQNDLPAVRKLLEEDKTLVQAIDYDKRTPLHVAALHGWIDVAKCLIEYGADVNAQDRWKNTPLADAEGARKHNMIELLKSYGGLSYGQNGSHFEPRPVPPPLPNKCDWEVDPTELDFSNSVMIGKGSFGEIVKASWRGTPVAIKRILPNLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKGPLSPSTAINLAMDIARGIAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVYSFAMILYEMLEGDPPLSGHQPYEAAKHAAEGQRPIFRAKGFIPELKELVEQCWAADMNQRPSFLEILKRLEKIKEILPSEHHWSIFTTN >itb11g22420.t1 pep chromosome:ASM357664v1:11:24343734:24344135:-1 gene:itb11g22420 transcript:itb11g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTNPIKKSNRKSARVGQSPERGITDIAEDYYNEEQREVYRVEQPPERGIIDAAQEWVSTRSGRNSVVDNDRTHYRLYLADWLCSASRRSLFFTVNATGLKIL >itb11g04560.t1 pep chromosome:ASM357664v1:11:2404679:2408641:1 gene:itb11g04560 transcript:itb11g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYLEGDDEVVLEPWPASPFSHYMNSSVLSFTIIAVLEIGVPIEDDDSVILSEIRDVFLPINPRFSSIMVAGKNGGKNWKPVKVNLKDHLKVPIFPVGKPLEFYDDCLSEYLTKIAMDPFPETRPLWEIHIFRYPTSNAAGNCIFKLHHSLGDGYSLMGALLSCLQRADNPALPLTFPRRERSGKDQGKNSNAVARAVKAVPRLLSGVVDTVTDFSWSVLKGSLIDDDKTAIHSKEDGVEFRPISVTTMPFSLYDLKQIKSSLNVTINDVISGILTYGLRLYMQETDRETCNAECTALVVFNTRAMGGYKSVSEMIKPNAEMPWGNRFTFLPFTIPKLHGESSNPLRFIYNAHRMVKRQRNSASVYLTSQLLEFSRKLRGPEATAQFIHGTIRNTSLTMTNMIGPVEETTLANHPVKGMYFAVSGAPLSLLVTMVSYVDKLRLTFVTEKDFIDVKKLKSCIESAFDEIFRLALNSSPPRPPSA >itb06g14680.t1 pep chromosome:ASM357664v1:6:19181341:19186189:1 gene:itb06g14680 transcript:itb06g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVKKKKKGRPSKADLAARRSSGSAAEKSDGELRRSGRRRNVRYAFEIDDYLDDDEYFVDEDEEEEDESRREKKLKLLLKLQNMEGSGGGGGVAESTPSPTRHVRHAPTASGSSSDFGKPSKIRKIDGDEEYEENDGGDDGDTENDGDEGRGRKPERKTISTTAEHPSGIPLPDKKTLELILNKLQKKDIYGVYAEPVDPEELPDYHDVIEHPMDFQTVKNKLGNGSYATLEQFESDVFLICSNAMQYNAPDTIYYKQARTIQELAEKKFQKLRINFERTENTKSEQKPKSSTAVKKVMKKPIGWMVQKPVGSDFFSGATLATAGDIQNGSNAFHLGGSERPSSIDRLIERNSSAVDNNMDKEESHLGKALSRFGRKAAVPDENRRATYNITSQPVTNLESIFTTFDGESKQLVPVGLYTDYSYARSLARYAATLGPNVWKVASRRIEQALPPGFKFGRGWVGECEELPTPVLMPENYTLKEPAFLAKFVHRTDAEKDKFVNPASSKENPVKGPKTEGQLPYFGSSGTKPTADNSPNISINGRNELPVSDANIGSNAFVTGNSGNKPSGSASPKYHQQNSQPQNFIKSEKKVVKHVELNSVPLANERNANFSSQSQVTKSVAVPGSRSFETVPMNINMLSSGSYKQLNINSVSAGVPDGKSPNNGFDSNGTVRQPSNDFVNSMNKAATLFANGQGQGLSDPVRRMMLSEKNPNQQKSSSQQARVDVPPVSPATVSLPKDGPNNAASAAARAWMSIGEASYKQAGENINSQNNQISPGSTHNPRDFQSQFRGELPAHGMYIQPGKGNFPFPFHAFVPHPARVGTEGQSPYEPMVFPQSVPADLSRFQMQQSSRPNLNAPAPPRQKRESVPPDLNVSFQSSGSPGRPSGVLVDSQHPDLALQL >itb06g14680.t2 pep chromosome:ASM357664v1:6:19181341:19186189:1 gene:itb06g14680 transcript:itb06g14680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMVKKKKKGRPSKADLAARRSSGSAAEKSDGELRRSGRRRNVRYAFEIDDYLDDDEYFVDEDEEEEDESRREKKLKLLLKLQNMEGSGGGGGVAESTPSPTRHVRHAPTASGSSSDFGKPSKIRKIDGDEEYEENDGGDDGDTENDGDEGRGRKPERKTISTTAGTLSEHPSGIPLPDKKTLELILNKLQKKDIYGVYAEPVDPEELPDYHDVIEHPMDFQTVKNKLGNGSYATLEQFESDVFLICSNAMQYNAPDTIYYKQARTIQELAEKKFQKLRINFERTENTKSEQKPKSSTAVKKVMKKPIGWMVQKPVGSDFFSGATLATAGDIQNGSNAFHLGGSERPSSIDRLIERNSSAVDNNMDKEESHLGKALSRFGRKAAVPDENRRATYNITSQPVTNLESIFTTFDGESKQLVPVGLYTDYSYARSLARYAATLGPNVWKVASRRIEQALPPGFKFGRGWVGECEELPTPVLMPENYTLKEPAFLAKFVHRTDAEKDKFVNPASSKENPVKGPKTEGQLPYFGSSGTKPTADNSPNISINGRNELPVSDANIGSNAFVTGNSGNKPSGSASPKYHQQNSQPQNFIKSEKKVVKHVELNSVPLANERNANFSSQSQVTKSVAVPGSRSFETVPMNINMLSSGSYKQLNINSVSAGVPDGKSPNNGFDSNGTVRQPSNDFVNSMNKAATLFANGQGQGLSDPVRRMMLSEKNPNQQKSSSQQARVDVPPVSPATVSLPKDGPNNAASAAARAWMSIGEASYKQAGENINSQNNQISPGSTHNPRDFQSQFRGELPAHGMYIQPGKGNFPFPFHAFVPHPARVGTEGQSPYEPMVFPQSVPADLSRFQMQQSSRPNLNAPAPPRQKRESVPPDLNVSFQSSGSPGRPSGVLVDSQHPDLALQL >itb03g07880.t1 pep chromosome:ASM357664v1:3:5883798:5885222:1 gene:itb03g07880 transcript:itb03g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFKLRMSRVITSLQSCNSKDTSTLPEDPVPSFLKQSSSPNTTKLITAVDFPVTNTHRSSSALRRHVSSALISVGCGLTSRNSGARSRPPPEFKWREEENWHVVANICDETKPRQKIYSSSVSGDDKNEVLALPPPSTAERKKRRARKKKTTAAAVRISTSSADESGLFSNDEEETETLVSSLSTDSSFEFNSKTDHNNRIIRRSKRKPKKRAAKRGTAPTARRPSVSSTTSSEGEVPARLSVFKKLIPCSVEGKVKESFAVVKKSRDPHEDFKRSMMEMILEKQMFEKDDLEELLQCFLSLNARQYHGIIVGAFSEIWAELFFAANNVSSSPRMSSSAFGPRTPSCS >itb11g02200.t1 pep chromosome:ASM357664v1:11:1101559:1106413:-1 gene:itb11g02200 transcript:itb11g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNFNVSRERRYILEVLFNGLRRLEYRGYDSAGISIDATSASAPAPLVFRQEGNIESLVKSVYHDVAATDLNLEQSFSVHAGIAHTRWATHGEPAPRNSHPQTSGAGNDFLVVHNGVVTNYEALKETLIRHDFTFESETDTEVIPKLAKFVFDKANEEGDESVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKDFEEEAAVGASFSDPKFPSSNGQPKELFLSSDANALVEHTKKVLVIEDGEVIHIKDGGVSIYKFDQTKKQHGGTLTRSGSVQRALSILEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARSILEELSGIPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLQALDYALENGALCVGITNTVGSAIARKTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALVIGGDTLSSQARREAIIDGLFDLPTKAKEVLKLDQEMRDLAEQLIAEQSLLVFGRGYNYATALEGALKVKEVSLMHSEGILAGEMKHGPLALVDETLPIFVIATHDACFSKQQSVIQQLHARKGRLIVMCTQGDAASVRVGSCRVIEVPQVEDCLQPVINIVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTE >itb03g22750.t1 pep chromosome:ASM357664v1:3:20818157:20825115:-1 gene:itb03g22750 transcript:itb03g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFVVKRNSRHKKIKLVKRMASSSSSFFFSLLFIFAVFSAVGESKTSYFPGGINLQASLKKQSAKPKLPYEVQYFPQVVDHFSFIPSGYKIFYQKYLINKDYWHKGGPIFVYTGNEGDIDWFAANTGFMLDIAPKFHALLLFIEHRFYGESLPFGKQSYKSAKTLGYLNSQQALADYAILIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHVAIGALASSAPILQFDNITPWSSFYDAVSQDFKDVSLNCYEVIKGSWSELDGLSTAEATKIFRACKDLKFVYSAKDWLSSAFVYTAMVNYATEANFMKPLPAYPVEKMCKIIDGFPAGASKISRAFAAASLYYNYSGTQKCFHIEDESDDHGLHGWDWQACTEMVMPMVVSEESMFPPSSYSYEDTERSCQRMYGVKPRPHWITTEFGGNGIEQVLKRFGSNIIFSNGMQDPWSRGGVLKNISDSIVALVTEKGAHHADFRSATKNDPDWLIHQREKEVDILQKWIGEYYRDINQN >itb10g17740.t1 pep chromosome:ASM357664v1:10:23861683:23866459:-1 gene:itb10g17740 transcript:itb10g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRLLIFAVWFGFAIGQLPSQDILALLEFKKGIKHDPTGYVVQSWNEESIDFNGCPSSWNGIMCSGGNVAAVVLDNLSLSAVVDLGVFANLSMLVKLSIANNFISGKLPDSIAEFKSLEYLDVSGNLFASSLPPEIGKLGSLKNLSLAGNNFSGSIPDSISGLASIESLDLSHNSFSGPLPSSLTKLSGLVCLNLSMNGFTKKIPKGFELMQKLEVLDLHGNMLDGHLDPEFLLLTTAAYVDFSGNLLVNSASQQQSFLPGISETVKYLNLSHNQLTGSLISGGEAQIFGNLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFSGFIPNDLLKGDSLVMTDLDLSGNSLTGAISMITSTTLNILNLSSNALSGELPLVTGSCAVLDLSKNQFEGGLSRLKKWGNIEYLDLSQNRLNGSIPEDTAQFLRLHHLNLSRNTLSGSLPKVITQFPKLTVLDLGFNQLGGPLLTALLTLPTLEELILEHNLFSGGIEFSPPPSSGSSLRVVDLSHNNLSGYFPDGFGSLTRLQVIDVSGNNFSGSLPSSIGDINALSSLDVSNNQFSGPLPNNLPSTLQRLNASDNDFSGTVPENLRKFPLSSFYPGNSKLQFPNPPPGSGQTPTGSNKKKPLKTIIKVVIIVACVVALVILVLVGILLCYVRKRRPRPHVTDKDIYRQSATNPSDLSRREGASGLVVSAEDIVTSRKGTSSDIISPDEKMAAAITGFSPSKTSNLSWSPESGDSYSAENLARLDVRSPDRLAGELYFLDDTISFSPEELSRAPAEVLGRSSHGTSYRATLDNGLLLTVKWLREGVAKQRKDFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPALTWPQRLKIAVDVARGLNYLHFDREVPHGNLKATNVLLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPLPSFKSDVYAFGVILLELLTGKCAGDVVSGEDGGVDLTDWVRLKVAEGHGSDCFDSVLLPEMGNPVVEKHMKEVMGIALRCIRSVSERPGIKTIYEDLSSI >itb15g20250.t1 pep chromosome:ASM357664v1:15:22802972:22810126:-1 gene:itb15g20250 transcript:itb15g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSSQCLLLVTFSMFLSFVSSAESDEGATLLEIKKSFRDVDNVLYDWTDSPSSDYCAWRGVTCDNVTFNVVALNLSGLNLDGEISPAIGQLKSLVSIDLKQNRLSGQIPDEIGDCSSLINLDLSFNELYGDIPFSISKLKQLETLILKNNELIGPIPSTLSQIPNLKVLDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPREIGNCTAFQVLDLSYNKLTGEIPFNIGFLQVATLSLQGNRLSGKIPSVIGLMQALAVLDLSCNELTGPIPPILGNLSYTEKLYLHGNKLTGSIPSELGNMTKLHYLELNNNQLTGHIPSELGKLTELFDLNVANNLLVGPIPDNLSSCTNLNSLNVHGNKLNGTIPPAFQKLESMTYLNLSSNNIKGPIPIELSRIGNLDTLDLSNNKISGSIPSPLGDLEHLLKLNLSKNDITGYLPPEFGNLRSIMEIDISSNHLSGPIPEELGQLQNLYLLKVENNNLSGDVMSLASCLSLSVLNVSYNNLVGNIPTGNNFSRFSPDSFIGNPGLCGYWMSSPCHAPRPTMRVTISKAAILGIALGALVILLMILVAACRPQYPNTFMEGSIDKPVNYSTPKLVILNMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCILKNCKPVAIKKLYSHYPQYLKEFETELETVGSIKHRNLVSLQGYSLSSAGHLLFYDYMENGSLWDLLHGATTKKKKLDWDTRLRIALGAAEGLAYLHHDCNPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCTSKSYTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILTKAANNAVMESVDAEISATCQDLGDVKKVFQLAILCTKRQPSERPSMHEVTRVLGSLMPSSIPKQVNQTPSSLAPLPSAKVPCYMDEYTNLRTPQLLACPSMSTSDAQLFLKFGEVISQNST >itb15g12530.t1 pep chromosome:ASM357664v1:15:10421792:10426281:-1 gene:itb15g12530 transcript:itb15g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSKIKATSSPWETPNQWRPASMELTRERNSGSDSKLRQQRQQAPTNFQATYGASGDSWATKMMLCIKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVNKETKPNASKETDTVNKETKTKTPEEEAKEDEEKGLVLMRYAHQPDFKHGLIKGSMRTQRQ >itb04g08540.t1 pep chromosome:ASM357664v1:4:6872190:6872513:-1 gene:itb04g08540 transcript:itb04g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENYVFPHKHIFTLLLKAFSKAKAGNPLKVFAQLLKFGFGSDHFVQNSLVSTFVVCAYIELSRKVFVEMQKRDVISYTALIDGFKRNRRSAEALELFLEMKKLRLV >itb03g17860.t1 pep chromosome:ASM357664v1:3:16284303:16285392:1 gene:itb03g17860 transcript:itb03g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKKQKRRRRKKSILFHNPFLLSYKCQTTPSIKSIQVATILCVSSSSQSNSSPCLLRLLFSRLECHAKAVLGLSRGFWARWKVHIYIHTYIHTYIHSILSSHHNFTSGVESFDIDMEEQKVTVKGNVQPDEVLQTVSKTGKKTSFWESEAPPPPQPSEAPPAQPESAAPAQALEEPPKQPEPEPPAQPSEEPPAQPKPEPSTAVATA >itb02g02020.t1 pep chromosome:ASM357664v1:2:1117050:1121041:1 gene:itb02g02020 transcript:itb02g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSSQLLAPGFRFHPTDEELVFYYLRRKVCAKPLRFDAISEIDIYKVEPWDLPGMSRLKTRDLEWYFFSMLDKKYGNGSRTNRATEKGYWKTTGKDRAVNHRSKVVGMKKTLVYHSGRAPRGQRSNWVMHEYRLVDEDLSKAGIVQDAFVLCRVFQKSGAGPKNGEQYGAPFVEEEWEDDEIAMVPKADLPEEAELADDIFLDGDDLEQILGADIPIDGALVPVNNTTGNIEDAAAHVEDFENILVDNVEYPCGLQHPDEPKPFDQPIHDFDPKPVKREYMGESSNSMTSENVNCLLNEPLMDASDGFQFNDGTFLETNDLSNPVEANTSGFDMFDFLNFYDAKDDFQDVLFDSDIFIGNDEFLEGQSPFADKDVCDTTEQAVLPNEKSVDAEHKNDIASSSKPEPTKLGSDFQHPFIKQASQMLGNIPAPPAFASEFPKDAALRLNAATQASSSVHVTAGLIQISDLTLGSKHGNYNIILSFDFLQGAQSPASLEPVGHGKMLSGGWLYFLLFWVLILSISFKVGTFIYAR >itb09g17050.t1 pep chromosome:ASM357664v1:9:12262688:12267372:1 gene:itb09g17050 transcript:itb09g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKRVCVSGASGFIASWLVKLLLHRGYTVHATVRNLKDPNKVAHLLALEGAKERLHLFEADLLKEKSFDSAINGCEGVFHTASPVNISPLATKAEVVDPAVKGTLNVLGSCVRTPSVKRAVLTSSTASIVYKQKPISPDDVVDETWFSDKEYVEETKQWYILSKILAEEAAWKCAEENGIDLVLLHPTLVIGPILQPTLCTSNQIFLDLVKKGKEMVPSEIFSFVDVRDVVHAHIQAFELPSASGRYCLVGTTMHSSKALKIVGQLYPSLAIPQKYEDNLLAIPSYQVSQEKAKSLGINFTSFEVSLKDTVESLMQKKFLNL >itb09g17050.t2 pep chromosome:ASM357664v1:9:12262688:12267372:1 gene:itb09g17050 transcript:itb09g17050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKRVCVSGASGFIASWLVKLLLHRGYTVHATVRNLKDPNKVAHLLALEGAKERLHLFEADLLKEKSFDSAINGCEGVFHTASPVNISPLATKAEVVDPAVKGTLNVLGSCVRTPSVKRAVLTSSTASIVYKQKPISPDDVVDETWFSDKEYVEETKQWYILSKILAEEAAWKCAEENGIDLVLLHPTLARKWSPVKSSVSLMLEMLCMHISKHLSCLLPVEDTVWLGQQCTLPKH >itb11g01790.t1 pep chromosome:ASM357664v1:11:890843:893230:-1 gene:itb11g01790 transcript:itb11g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHFDDIVKVVQVDADGKKYDKVSRLDAKSQDGKTDIVLDINSELYPVKKNELYRMVLSQTLALDGSATGSNPEGKMKSLADKFEYVMHGLLYKVAEESSKVVAYISFGGLQLKLSSEPLNMHKFKLDQRLFLLLRKM >itb07g01760.t1 pep chromosome:ASM357664v1:7:1067904:1068656:-1 gene:itb07g01760 transcript:itb07g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCFIRCSRHADPNHSLFLLHHMTREDYERLDLNPRQDLASPLTALAFFSAALDLYRDELGAFADEIARTAAMMAFSDAQNGNWRHGMLVIMVIETVPGPDVHILPPRRTPHEVASILAMEDTNLAVSGGTDRSPPTAGIGVELTDFNGNSDSDSSDDSSDEDEDEEEEEEAARVGLSEGDISMLKKVELESVDNIDESCCVCLEEFKVEEVITPLSPCSHRFHNLCIVRWLRINGTCPICRSICKVD >itb09g07450.t3 pep chromosome:ASM357664v1:9:4335975:4340081:-1 gene:itb09g07450 transcript:itb09g07450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFWTSRLAAAKRQFNLQHHHHTYYHNNTSQLDRLSLDDFEVEEEARPDFPCPYCYEDFDITSLCSHLEDEHSCDSKVTVCPICSVKVSRDMLSHITLQHGQLLKLQRRRRLRRVAIPSSQALSLLGRDLREAHLQVLLGGSGYRSNTATSSSAPSDPFLSSLVLNFPGSEVEEISKSVVSSIEDSPTKSAGSEHIWKLSLDPSLSYEEREKRIEQATGRAFFIQDMLASTLLAD >itb09g07450.t2 pep chromosome:ASM357664v1:9:4335217:4340081:-1 gene:itb09g07450 transcript:itb09g07450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFWTSRLAAAKRQFNLQHHHHTYYHNNTSQLDRLSLDDFEVEEEARPDFPCPYCYEDFDITSLCSHLEDEHSCDSKVTVCPICSVKVSRDMLSHITLQHGQLLKLQRRRRLRRVAIPSSQALSLLGRDLREAHLQVLLGGSGYRSNTATSSSAPSDPFLSSLVLNFPGSEVEEISKSVVSSIEDSPTKSAGSEHIWKLSLDPSLSYEEREKRIEQATGRAFFIQDMLASTLLAD >itb09g07450.t1 pep chromosome:ASM357664v1:9:4336178:4340081:-1 gene:itb09g07450 transcript:itb09g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFWTSRLAAAKRQFNLQHHHHTYYHNNTSQLDRLSLDDFEVEEEARPDFPCPYCYEDFDITSLCSHLEDEHSCDSKVTVCPICSVKVSRDMLSHITLQHGQLLKLQRRRRLRRVAIPSSQALSLLGRDLREAHLQVLLGGSGYRSNTATSSSAPSDPFLSSLVLNFPGSEVEEISKSVVSSIEDSPTKSAGSEHIWKLSLDPSLSYEEREKRIEQATGRAFFIQDMLASTLLAD >itb11g19160.t1 pep chromosome:ASM357664v1:11:19799604:19801068:-1 gene:itb11g19160 transcript:itb11g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVILLSFLVALSIQLLSIEAQIISDPVLDSSGEEVLTGTDYNIVPAAGDTEGGGGLTLASGPNSTCPHLVVQSSDASSNGFAVQFSSINPAFNNVQVSIDHNIKFSAVTTCVTSTVWRLNSEEISGESIVTIGGEEGNPGRDTANNWFNVRRDGNAYRLNFCPILCDDCSCGDLGLLEVDGITILAITDNPLRVVFKKA >itb03g09810.t1 pep chromosome:ASM357664v1:3:7615709:7620027:-1 gene:itb03g09810 transcript:itb03g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEQRKKKFAPLQIDYVVHIQMIRPWPPSESLRSVEFVLLQWENGDRNSGFVTSTVEDDFLEFNKSFTLFLNLRRGKKSREKFKKNCLEFHLYESPRENASQGQLLGTASINFAEYGVIRDGLSISVPMNCKKSSKGLLQPSLYVKVQPVDNDRQESGFEMVNDEIEYESDIASYTDDNSSHSSSTFASSIFEAAWASSPSQIEKNDFHGEIAVGKSKSEENTQQPKEKYIDRLISKITSSQMPIQGGKEFEPTNSGYSQDFQGSKVKQSEAIDASDTHLGSKELLLEDTRQYSQNKVIGNGMRRQGTMNSMKNAIGAQMNKDRLKSVRSVQIRGSATLSELFSDSKIMKEVRNDVAVDASAYARNAARIEKKEMKSELHPSIASIEKGVPDAELSNGKPEWESKIKMLEEELKEAAAIEIGLYSVVAEHGSYVNKLHTPARRIARFYLHAWREGSKAKQASAARAAVSGLALVSRACGNDVPRLTFWLSNCIVLRAIISQATGNDGSNGGSTWDKKYKQRMVKPSATEGMKNNLIKNTDDWEDIDSFIKALEKVEAWIFSKVIKSVWWQVDHSSRQCLTPHMQTAVVKANRTRGSTVKRTYGSKHALADQEQGNNSIKIWRKAFKDACERICPIRAGGHNCGCLPMLSKLAMKHLVNRLDVAMFNAILRRSAEDMPTDPAFDPISDPNILPISIGQSSFRAGAQLKNAVGNWSRWLTDLIGIEDDDPSADNNVIGDSFRAFGLLNALSNLMMLPFEMLVDETSRNEFCPIFGPALIKRVLSSFVPDEFRPEPIPKTVFQALDFEDNMDAASGERITTFPCTAISTSYTPPPTASLTSFLEKIGNQAPKRSGLSSLKKSYTSDVELDELDSPLTSILADCFKDSPNLMKPGRTNAVRYQLLREVWRDAP >itb03g24570.t1 pep chromosome:ASM357664v1:3:23294358:23299429:1 gene:itb03g24570 transcript:itb03g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEAAEAERKKDDESGGSAAVFRWERFLAKMAVRVLLVEADDSTRHIISALLTKCGYKVAAVCDGLKAWEVLKKKPHNVDLILAEVDLPSISGYALLTLIMEHQICKNIPVIMMSSQDSVSTAYGCMLRGAADFLVKPIRKNELTNLWQHVWRRQALSSGAMVENNDISNGECREKGSEDQSCCSKPDIDTEREMTEHIQDLLQPNWDRSIPIVDQASDEDIESCKQGIDLIGAFDDYLNCNHINPSSNTSPNKQVDSAAPELDLSLTRTHPTSMLNQFVDNHRLNHSDGSAFTPYVNKGTQKHGGLTIPIGVRFEGASSPVISPSDSPGSGNLDSPPGLDPNPVHPIPSTADECRKEEVSLAQDGNSQRSSQREAALTKFRLKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRRQPIGTGDNEMISAVNQ >itb04g31700.t1 pep chromosome:ASM357664v1:4:34452573:34461850:-1 gene:itb04g31700 transcript:itb04g31700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPRKPEMLTPVYYSVMVLVFVLVACVELGDAVTAVDVYRLVQYDLSGVPYGSRFASLNHHAGSSFLSPDDGSGSFADLSRSVIMLPLRELNLTLIKEYIGQNKLLGGLLFLLPPKFSPDNLDTAGGADVNSEIDLTRNTLNELEQLLINANIRYPVYFAFEDDNINSVLADIKRSDALGQPATATTGGFKLVVSAREPKKIASPTISNIQGWLPGLKSDGESQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLELARLFSILYSNPKTRGRYNLLFGLTSGGPYNYNGTYKWLRSFDQRLRESIDYAICLNSIGSSNNELWMHVSKPPENAYIKQIFQGFSNVGEELGVKVGLKHKKINISNPRVAWEHEQFSKLRVTAATLSELSAPPELLESTGGLADNRHSVSEDSIIQGVKLVAKSLANHIYGHEGKNINIFADGSSLAINPFYIRSWIDLLSTTPRVAPFLSKNDPLIMALKKELADHTADVSVQHEVLDGMFTFYDSTSSKLHIYQVASVTFDLLLLLALGSYLITLFSFLVVTTRGLDDLISIFRRPPSRKVKTA >itb12g03370.t1 pep chromosome:ASM357664v1:12:2187022:2191370:-1 gene:itb12g03370 transcript:itb12g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNMPRYSKQTQAQISSAMRQRRSGYEPSDAETDWPESPARETIKNGEDTSSFEAEKAFNLSHRHAVILRRETAASPIKAPVLTSPPRRRPSKSPYRPRAAVDGDVHNPHNTLHRSSNRNVSPFSKSENRRWAGGEGNVLDSEFFSKSEQRRRNNRGNDFLENGTVLSPYRKHQNNQIKVNEFGRANEKSNLSRRTNSAPRGSYSYHKYDHKGERIRKMERITATPSPLPRTLRETPTKNRPSVGEINEMVGNATISTTPAGNNPMFDSTGSISPGDIFFSREYAAFTMQKITFPKTGFASPVDSRYGARPVVFTDNGNLDSLDRTGSGRRISSSNSNLSSGVSRQSSNLSGSTTMSEKKFAANRQKSQRAEGLFSCIKRGYCRRSSRESPERRRPADEALFIEKASVVESLRQFWADKHRPVSLDGFSCHKQEALLLKRLAADETLSHILLKGPPGSGKKTLAMALLHEVYGDSISNISHDVRYFYVQETNKPVQVVVPVTSSPHHVELNVHLERNSRYALMALVKNISTEYAAIPEISKANMKADYKVLVLYDVDKATENIQHLIKWIMDCYSDSCKLVLCCEDDTTIVESVKNSCKVVKVEAPVTHEVMEVLIQIARKEGLELPMSFAAKIAAKSKQNLSQAIMALEACNAHNYPFTEDQPIPLGWELAVLEMAAEILADPSPKRVFSIRGKLQKLLLEFVHPKLILLKLVEQFLRRIDPSLRRQVYYWNAYYEKRLPTGTSALLKLEEFVAKFMSIYRKSCGSQYAQ >itb01g21200.t2 pep chromosome:ASM357664v1:1:27381449:27384096:1 gene:itb01g21200 transcript:itb01g21200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKDSSSNESSSSKPPNKRTRVKWTVQQSQVLDAISAGKSVFVTGSAGTGKTFLIQHIIRKLRRIHGRSRVYVTASTGVSACALNGYTLHSFAGIGLGEATAEELLHKVCSNQRACHRWKMVQALIIDEISLISGELYDKLEFIARKIRSSINGSDEEMVWGGIQVVASGDFFQLPPIFNKKTQKFAFEASIWNLSFDTQIDLTTVFRQSDPQLVKLLQGIRKGEYDFEDLNLLKNHCSKLEPDPLAVKLYPRNDDVYIVNKKHLDSLHQDLIGYRAVDSGEDSWKQQLKLGIAPDFLELCVGARVMLTKNLDARHKLVNGAAGTVIGFESVNGTDKTFGTNWLPIVKFDFQPEKLIIGPETWSIMDGEEVVAVRKQIPLILAWALSIHKCQGMTLDCLYTDLNRAFGYGMVYVALSRVKSLEGLHLSSFNPNMIKVHPKVLNFYNRLCSQLDQPKEDAVTKKRTKKQGGSSTCNQ >itb01g21200.t1 pep chromosome:ASM357664v1:1:27381647:27384096:1 gene:itb01g21200 transcript:itb01g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFSSAIATYRCFSSKAAAGKNRHLAQRAMSSKKDSSSNESSSSKPPNKRTRVKWTVQQSQVLDAISAGKSVFVTGSAGTGKTFLIQHIIRKLRRIHGRSRVYVTASTGVSACALNGYTLHSFAGIGLGEATAEELLHKVCSNQRACHRWKMVQALIIDEISLISGELYDKLEFIARKIRSSINGSDEEMVWGGIQVVASGDFFQLPPIFNKKTQKFAFEASIWNLSFDTQIDLTTVFRQSDPQLVKLLQGIRKGEYDFEDLNLLKNHCSKLEPDPLAVKLYPRNDDVYIVNKKHLDSLHQDLIGYRAVDSGEDSWKQQLKLGIAPDFLELCVGARVMLTKNLDARHKLVNGAAGTVIGFESVNGTDKTFGTNWLPIVKFDFQPEKLIIGPETWSIMDGEEVVAVRKQIPLILAWALSIHKCQGMTLDCLYTDLNRAFGYGMVYVALSRVKSLEGLHLSSFNPNMIKVHPKVLNFYNRLCSQLDQPKEDAVTKKRTKKQGGSSTCNQ >itb03g08590.t1 pep chromosome:ASM357664v1:3:6439154:6440050:-1 gene:itb03g08590 transcript:itb03g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARITPTDLTLHNLSLLPFSPTIFITILAILAVLATLVLLCGAHRALTLTRGNNVEQKKKKSVRLGGKKPARLHISKALLMAKLISWRKVQDEEEEEEDEDDCDGDEQAIWKRTIIKGEKCRPLDFSGKILYDSNGNMIAAD >itb01g16970.t1 pep chromosome:ASM357664v1:1:21510807:21513505:-1 gene:itb01g16970 transcript:itb01g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIEELKATGKFESMDLHVDEAEHSMEMHLPYLAKVFRGYVLDTEPSKVMFLETELVNCSGRYEVKVVPILVGALSAENEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFRYTYYDKTHGAIYKSIEALDKMGMDIIETGDPDAFKQYLLETDNTICGRQPISVFLHMSKNCSAKIKIRFVRYEQSSQCKNMRDSSVSYA >itb10g25150.t1 pep chromosome:ASM357664v1:10:28580740:28581422:1 gene:itb10g25150 transcript:itb10g25150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKKIIGLVFFFILLSVLLPASFAGRQAMLANNHLEKQDMNATYEEEVNVIHERVLRANTKDYGKYDPSPTFVKPPFKLIPN >itb02g02680.t1 pep chromosome:ASM357664v1:2:1562240:1563254:1 gene:itb02g02680 transcript:itb02g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSKAADGFGNALAAPLKAMLGGSCEEVCSGVWDITCFITHLCVSDLMRLFMILVLCYITLLFFYLFFKLGICQCVGKSVCKMYCSACKAYWSALGCMTCFFWHKLTNVKRVNRRRRRRRHFPDVETSESSTSGGGSDRDASVSRKRKVITKEMDSSRHHNHHSRHRHRHHHHRMNGRQFGVEVKSRRLKLKKLRHHRHRHHPRKNTVALGTETASFKRRRLV >itb13g01810.t2 pep chromosome:ASM357664v1:13:1625236:1630499:1 gene:itb13g01810 transcript:itb13g01810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MDAESVIPSGIMSFKDWVLSQLVSKTLASSRPLSTSESFLSPESPNEEYQNQACTTNVAMLPASSNTSYSSNNNPENHNQLPSEQVFDEISCQSSSNVVEKNPIVRIEQLQIKFLRVLHRLGLPLDNIMVSKVLYRIHLATMIRAGESDLKRCNLKIEKAREIAAEQEGFGQPELDVSFKVLLLGKTGVGKSSTINSIFDQNKAMTNAFHPATKHVQEITGTVKGVKIAFIDTPGLLPSSPSTARRNRKILRSVKRYVRKNPPDLVLYFERLDLINVGYSDFPLLRLVTEVFGPAIWFNTVLVMTHSSSALPEGPHGYPVTFESYVTHCTDLVQHYIHQAVSDTKLENPVILVENHPHCKTNDAGEKLLPNGQVWRFQFLLTCLCTKVLGDVNTLLDFGNRIKLGPSNVSRLPSLPHLLSSFLKHRAQLTDTGTEDVVDEVCFSESDEEDEYDQLPPIRVLTKAQYQKLSPQQKNDYLDELDYRETLYLKKQMKEEARQRREALRSQSGISAPNGDSDGQQERPEPVLLPDMDIPPSFSSDYPVHRYRCLTTSDQWLARPVLDPHGWDHEVSFDGINLETTAKVTKNISASVTGQMSKDKQDFSIQSKCTAAYSDPSDSRASVYTAGLDIQSARQELICSLHSNAQARTLKHNITECGVSLTSFGGNYFLGTKVEDSFTIGKRLNFTVTGGRMGGARQAALGGSFGATLRGRDYPVRNESVSFTMTLLSFNKETVLSGNLQSDLRLSRGTNVSVNANLNSQKMGQVSIKTSSCEHMEIAFIALFSILRGLLRRKVTDHGSTGTLETGSTL >itb13g01810.t1 pep chromosome:ASM357664v1:13:1625236:1630499:1 gene:itb13g01810 transcript:itb13g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MDAESVIPSGIMSFKDWVLSQLVSKTLASSRPLSTSESFLSPESPNEEYQNQACTTNVAMLPASSNTSYSSNNNPENHNQLPSEQVFDEISCQSSSNVVEKNPIVRIEQLQIKFLRVLHRLGLPLDNIMVSKVLYRIHLATMIRAGESDLKRCNLKIEKAREIAAEQEGFGQPELDVSFKVLLLGKTGVGKSSTINSIFDQNKAMTNAFHPATKHVQEITGTVKGVKIAFIDTPGLLPSSPSTARRNRKILRSVKRYVRKNPPDLVLYFERLDLINVGYSDFPLLRLVTEVFGPAIWFNTVLVMTHSSSALPEGPHGYPVTFESYVTHCTDLVQHYIHQAVSDTKLENPVILVENHPHCKTNDAGEKLLPNGQVWRFQFLLTCLCTKVLGDVNTLLDFGNRIKLGPSNVSRLPSLPHLLSSFLKHRAQLTDTGTEDVVDEVCFSESDEEDEYDQLPPIRVLTKAQYQKLSPQQKNDYLDELDYRETLYLKKQMKEEARQRREALRSQSGISAPNGDSDGQQERPEPVLLPDMDIPPSFSSDYPVHRYRCLTTSDQWLARPVLDPHGWDHEVSFDGINLETTAKVTKNISASVTGQMSKDKQDFSIQSKCTAAYSDPSDSRASVYTAGLDIQSARQELICSLHSNAQARTLKHNITECGVSLTSFGGNYFLGTKVEDSFTIGKRLNFTVTGGRMGGARQAALGGSFGATLRGRDYPVRNESVSFTMTLLSFNKETVLSGNLQSDLRLSRGTNVSVNANLNSQKMGQVSIKTSSCEHMEIAFIALFSILRGLLRRKVTDHGSTGTLETGSTL >itb13g01810.t3 pep chromosome:ASM357664v1:13:1625266:1630303:1 gene:itb13g01810 transcript:itb13g01810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MDAESVIPSGIMSFKDWVLSQLVSKTLASSRPLSTSESFLSPESPNEEYQNQACTTNVAMLPASSNTSYSSNNNPENHNQLPSEQVFDEISCQSSSNVVEKNPIVRIEQLQIKFLRVLHRLGLPLDNIMVSKVLYRIHLATMIRAGESDLKRCNLKIEKAREIAAEQEGFGQPELDVSFKVLLLGKTGVGKSSTINSIFDQNKAMTNAFHPATKHVQEITGTVKGVKIAFIDTPGLLPSSPSTARRNRKILRSVKRYVRKNPPDLVLYFERLDLINVGYSDFPLLRLVTEVFGPAIWFNTVLVMTHSSSALPEGPHGYPVTFESYVTHCTDLVQHYIHQAVSDTKLENPVILVENHPHCKTNDAGEKLLPNGQVWRFQFLLTCLCTKVLGDVNTLLDFGNRIKLGPSNVSRLPSLPHLLSSFLKHRAQLTDTGTEDVVDEVCFSESDEEDEYDQLPPIRVLTKAQYQKLSPQQKNDYLDELDYRETLYLKKQMKEEARQRREALRSQSGISAPNGDSDGQQERPEPVLLPDMDIPPSFSSDYPVHRYRCLTTSDQWLARPVLDPHGWDHEVSFDGINLETTAKVTKNISASVTGQMSKDKQDFSIQSKCTAAYSDPSDSRASVYTAGLDIQSARQELICSLHSNAQARTLKHNITECGVSLTSFGGNYFLGTKVEDSFTIGKRLNFTVTGGRMGGARQAALGGSFGATLRGRDYPVRNESVSFTMTLLSFNKETVLSGNLQSDLRLSRGTNVSVNANLNSQKMGQVSIKTSSCEHMEIAFIALFSILRGLLRRKVTDHGSTGTLETGSTL >itb01g21290.t1 pep chromosome:ASM357664v1:1:27445389:27448951:1 gene:itb01g21290 transcript:itb01g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSAIMGTKIIPTRSILGFKNPRVFAAPIPNPNFSFATKRLNDNKAALRVMAAIHIENASKLKSLKGIDAVSEEELKEKGFLGLRKTKLVCTIGPACSSLDNLEDLALGGMNVARLNMCHNSREWHQDVIRKIKKLNQEKGFCVSVMIDTEGSQIHVVDHGAPSSIKAEEGSIWNFTAQKFEGLRPLTVQAHFEGFSEGINIGDEVVIDGGMATFEVIEKIGNDLRCKCTDPGLLLPRAKLSFWRDGKVVGGNNELPTLSAKDWADIEFGISEGVDFVAVSFVKDAEAIKQLKNYLSTKSSDSIKTLAKIESLESLRKMEEIVEASDGIMIARGDLGVEIPLAQIPTVQEKITYVCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGLYGQKALDVLRTASSCMEQSSRAENRQTSLHQLTLGVSLPDKIAEQICNCAVEMANNIGVDAIFVYTRNGQMASLLSRNRPNPPIFGFTNESSTRMALNLQWGIIPVLVDLSDDMEANIEKTIDLIKAKGLIKRDDAVLVVSDIIPTSTPQIVFQSIQVKTIV >itb04g16470.t2 pep chromosome:ASM357664v1:4:18135825:18141208:1 gene:itb04g16470 transcript:itb04g16470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWICISCFKLKWRTFYHQASADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTYIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARIRNEKARRYLSSMRKKKPIPFSYKFPNADPLALCLLERMLAFDPKDRPTAEEALADPYFRNLAKVEREPSAHPVTKMEFEFEQRRITKEDVRDIIYREILEYHPKMLKEYVEGAEPTGFMYPSAVDKFKKQFAYLEEHYGKGGGVVAPPERQQASSLPRPSVLYSKNCGGQSPADVTNDFSKCSIKEGGNPAMDRKSGIPMTRIPLQVPQAVHGGSGRPGRVVNSATGRMAAADASEQRRMGRNIPPPQYAISNSAASYPRRHPNCKSKNDGGEEEPKPNQYMPRKVAAAAPGGSGSQWY >itb04g16470.t1 pep chromosome:ASM357664v1:4:18134898:18141208:1 gene:itb04g16470 transcript:itb04g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKASADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTYIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARIRNEKARRYLSSMRKKKPIPFSYKFPNADPLALCLLERMLAFDPKDRPTAEEALADPYFRNLAKVEREPSAHPVTKMEFEFEQRRITKEDVRDIIYREILEYHPKMLKEYVEGAEPTGFMYPSAVDKFKKQFAYLEEHYGKGGGVVAPPERQQASSLPRPSVLYSKNCGGQSPADVTNDFSKCSIKEGGNPAMDRKSGIPMTRIPLQVPQAVHGGSGRPGRVVNSATGRMAAADASEQRRMGRNIPPPQYAISNSAASYPRRHPNCKSKNDGGEEEPKPNQYMPRKVAAAAPGGSGSQWY >itb04g16470.t3 pep chromosome:ASM357664v1:4:18134922:18139625:1 gene:itb04g16470 transcript:itb04g16470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKASADVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTYIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARIRNEKARRYLSSMRKKKPIPFSYKFPNADPLALCLLERMLAFDPKDRPTAEEALADPYFRNLAKVEREPSAHPVTKMEFEFEQRRITKEDVRDIIYREILEYHPKMLKEYVEGAEPTGFMYPSAVDKFKKQFAYLEEHYGKGGGVVAPPERQQASSLPRPSVLYSKNCGGQSPADVTNDFSKCSIKEGGNPAMDRKSGIPMTRIPLQVPQAVHGAI >itb08g05560.t2 pep chromosome:ASM357664v1:8:4596006:4604551:1 gene:itb08g05560 transcript:itb08g05560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCLVCFGLYSILRKQPSLVDVYFSQNLAHVRLKRYDPFCLERFVPSPSWIVKAWETSDEEITSIGGLDALVFVRTIVFSLRVFSIAAIMCIFVVLPLNYFGQEVEHTHFRAESLEHFTIDNVKEGSEWLWVHCLTLYIISCSACILLYVEFENITTMRLGYITSSASNPSYFTILVRGIPHSPEESYSDTVAKFFTNYYAPSYLSHQMLYRSGSVQKLVTDSEKMYMILKSSAKEKHCESSLFRCGLCGASSPLMLSTDPELQRARSGYSSELRKKECAAALVFFKTRYAALVASQGLQSPNPMLWATDLAPEPEDMYWANICVPYKLHWIRKIAMHVASVCFVIFFLVPVSVTQGLVHLDKLQKTFPSLRLMQRRYIVQLVTGYLPSVVLVLFSYLVPPIMMFFSTMECYISRSGRKKSACYKIMYFMIWNAFFAQTISGSVIDGWSALGHLGKNLKDIPNLLATALPSTATFFITYVLTSGWVTLSTELMQPFGLLYNFVYRTFLKNKDSSYGTMTFPYHTELPRILLLGLLGFTCSILAPLILPPLIIYFCLAYLVYRNQILNVYSTQYQTGGLYWLLVHNVTIFSLVLMQIIALGVFGLKKSTVASSSTIPLVLVDMDRQDEESGRMKEIHRKVISAYCQFNGGNSFKLGNPVPPNPNEGETRVLQPTSEDDINPAPLPTRPSQHTSLEIEELHQPK >itb08g05560.t4 pep chromosome:ASM357664v1:8:4596006:4604551:1 gene:itb08g05560 transcript:itb08g05560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCLVCFGLYSILRKQPSLVDVYFSQNLAHVRLKRYDPFCLERFVPSPSWIVKAWETSDEEITSIGGLDALVFVRTIVFSLRVFSIAAIMCIFVVLPLNYFGQEVEHTHFRAESLEHFTIDNVKEGSEWLWVHCLTLYIISCSACILLYVEFENITTMRLGYITSSASNPSYFTILVRGIPHSPEESYSDTVAKFFTNYYAPSYLSHQMLYRSGSVQKLVTDSEKMYMILKSSAKEKHCESSLFRCGLCGASSPLMLSTDPELQRARSGYSSELRKKECAAALVFFKTRYAALVASQGLQSPNPMLWATDLAPEPEDMYWANICVPYKLHWIRKIAMHVASVCFVIFFLVPVSVTQGLVHLDKLQKTFPSLRLMQRRYIVQLVTGYLPSVVLVLFSYLVPPIMMFFSTMECYISRSGRKKSACYKIMYFMIWNAFFAQTISGSVIDGWSALGHLGKNLKDIPNLLATALPSTVTPLSLSLKIVGNFSYIYSL >itb08g05560.t5 pep chromosome:ASM357664v1:8:4598133:4604551:1 gene:itb08g05560 transcript:itb08g05560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYITSSASNPSYFTILVRGIPHSPEESYSDTVAKFFTNYYAPSYLSHQMLYRSGSVQKLVTDSEKMYMILKSSAKEKHCESSLFRCGLCGASSPLMLSTDPELQRARSGYSSELRKKECAAALVFFKTRYAALVASQGLQSPNPMLWATDLAPEPEDMYWANICVPYKLHWIRKIAMHVASVCFVIFFLVPVSVTQGLVHLDKLQKTFPSLRLMQRRYIVQLVTGYLPSVVLVLFSYLVPPIMMFFSTMECYISRSGRKKSACYKIMYFMIWNAFFAQTISGSVIDGWSALGHLGKNLKDIPNLLATALPSTATFFITYVLTSGWVTLSTELMQPFGLLYNFVYRTFLKNKDSSYGTMTFPYHTELPRILLLGLLGFTCSILAPLILPPLIIYFCLAYLVYRNQILNVYSTQYQTGGLYWLLVHNVTIFSLVLMQIIALGVFGLKKSTVASSSTIPLVICTLLFNQYCRQRFHPLFMNNPAQVLVDMDRQDEESGRMKEIHRKVISAYCQFNGGNSFKLGNPVPPNPNEGETRVLQPTSEDDINPAPLPTRPSQHTSLEIEELHQPK >itb08g05560.t1 pep chromosome:ASM357664v1:8:4596005:4604551:1 gene:itb08g05560 transcript:itb08g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCLVCFGLYSILRKQPSLVDVYFSQNLAHVRLKRYDPFCLERFVPSPSWIVKAWETSDEEITSIGGLDALVFVRTIVFSLRVFSIAAIMCIFVVLPLNYFGQEVEHTHFRAESLEHFTIDNVKEGSEWLWVHCLTLYIISCSACILLYVEFENITTMRLGYITSSASNPSYFTILVRGIPHSPEESYSDTVAKFFTNYYAPSYLSHQMLYRSGSVQKLVTDSEKMYMILKSSAKEKHCESSLFRCGLCGASSPLMLSTDPELQRARSGYSSELRKKECAAALVFFKTRYAALVASQGLQSPNPMLWATDLAPEPEDMYWANICVPYKLHWIRKIAMHVASVCFVIFFLVPVSVTQGLVHLDKLQKTFPSLRLMQRRYIVQLVTGYLPSVVLVLFSYLVPPIMMFFSTMECYISRSGRKKSACYKIMYFMIWNAFFAQTISGSVIDGWSALGHLGKNLKDIPNLLATALPSTATFFITYVLTSGWVTLSTELMQPFGLLYNFVYRTFLKNKDSSYGTMTFPYHTELPRILLLGLLGFTCSILAPLILPPLIIYFCLAYLVYRNQILNVYSTQYQTGGLYWLLVHNVTIFSLVLMQIIALGVFGLKKSTVASSSTIPLVICTLLFNQYCRQRFHPLFMNNPAQVLVDMDRQDEESGRMKEIHRKVISAYCQFNGGNSFKLGNPVPPNPNEGETRVLQPTSEDDINPAPLPTRPSQHTSLEIEELHQPK >itb08g05560.t3 pep chromosome:ASM357664v1:8:4596006:4604551:1 gene:itb08g05560 transcript:itb08g05560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCLVCFGLYSILRKQPSLVDVYFSQNLAHVRLKRYDPFCLERFVPSPSWIVKAWETSDEEITSIGGLDALVFVRTIVFSLRVFSIAAIMCIFVVLPLNYFGQEVEHTHFRAESLEHFTIDNVKEGSEWLWVHCLTLYIISCSACILLYVEFENITTMRLGYITSSASNPSYFTILVRGIPHSPEESYSDTVAKFFTNYYAPSYLSHQMLYRSGSVQKLVTDSEKMYMILKSSAKEKHCESSLFRCGLCGASSPLMLSTDPELQRARSGYSSELRKKECAAALVFFKTRYAALVASQGLQSPNPMLWATDLAPEPEDMYWANICVPYKLHWIRKIAMHVASVCFVIFFLVPVSVTQGLVHLDKLQKTFPSLRLMQRRYIVQLVTGYLPSVVLVLFSYLVPPIMMFFSTMECYISRSGRKKSACYKIMYFMIWNAFFAQTISGSVIDGWSALGHLGKNLKDIPNLLATALPSTATFFITYVLTSGWVTLSTELMQPFGLLYNFVYRTFLKNKDSSYGTMTFPYHTELPRILLLGLLGFTCSILAPLILPPLIIYFCLAYLVYRNQILNVYSTQYQTGGLYWLLVHNVTIFSLVLMQIIALGVFGLKKSTVASSSTIPLVICTLLFNQYCRQRFHPLFMNNPAQVNM >itb01g28480.t1 pep chromosome:ASM357664v1:1:32900475:32910298:1 gene:itb01g28480 transcript:itb01g28480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNGMPSGQLQEPLLPFIRRGSDSCPGCGNSKLSEHLYDAGYRNITNIDFSKVVISDMLRRNVRNRPEMKWRVMDITDMQFTSESFDVILDKEVYLPIKVYLLKSNSLHFVFGNHQVKRVLKAGGSFFVTLAEAHVLGVLFVKFRYGWKMSVHAIAQKQSDKSSLQTFMVMVEKDDSSMLSQIFSSFDQSSIHSPGNQADGLRQALEVENRLREEYSNSLDIIYSLEELKLGAKGNLTERRPGQRLQLTLGEPGISSFSYKAALLDAREEFGPFSYHCGVFLVPKTRAHEWLFSTEEGQWIIVENSKAARLIMILLDSSHLNASMDDIQSDLSPLVKQLAPSECQDASQIPFMAASDGIKKRKIVHEITSSLTGPITVDDVIYEQTDENISCLFQSDNVMFRRLTFQRTESLVQSEAVLTSEGSQKNITDKDQKKNLRSKSRKKGSKTSSDGSRNDLKVDHSYLASPYHTGIISGLTLISSYLSSAASKGGMVKTVVIGLGAGSLPMFLHRHTPFLEIEVVELDPVVLDLARDYFDFREDGNLKSEARLARVFREESVFRGIAKMSTMKKFCPKCNNENQLYPKEDEAWKIRVYACRTCDHQEVAQHYVVAANRKDLRLIYSRLAICPKCDRLGAEFFPLTTSKGRVVGLSFICNKSDCGHRYWDDDEKAEK >itb01g28480.t2 pep chromosome:ASM357664v1:1:32900475:32906763:1 gene:itb01g28480 transcript:itb01g28480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNGMPSGQLQEPLLPFIRRGSDSCPGCGNSKLSEHLYDAGYRNITNIDFSKVVISDMLRRNVRNRPEMKWRVMDITDMQFTSESFDVILDKEVYLPIKVYLLKSNSLHFVFGNHQVKRVLKAGGSFFVTLAEAHVLGVLFVKFRYGWKMSVHAIAQKQSDKSSLQTFMVMVEKDDSSMLSQIFSSFDQSSIHSPGNQADGLRQALEVENRLREEYSNSLDIIYSLEELKLGAKGNLTERRPGQRLQLTLGEPGISSFSYKAALLDAREEFGPFSYHCGVFLVPKTRAHEWLFSTEEGQWIIVENSKAARLIMILLDSSHLNASMDDIQSDLSPLVKQLAPSECQDASQIPFMAASDGIKKRKIVHEITSSLTGPITVDDVIYEQTDENISCLFQSDNVMFRRLTFQRTESLVQSEAVLTSEGSQKNITDKDQKKNLRSKSRKKGSKTSSDGSRNDLKVDHSYLASPYHTGIISGLTLISSYLSSAASKGGMVKTVVIGLGAGSLPMFLHRHTPFLEIEVVELDPVVLDLARDYFDFREDGNLKVNLSIFLKHLQKIEI >itb05g02740.t1 pep chromosome:ASM357664v1:5:2219072:2226662:-1 gene:itb05g02740 transcript:itb05g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPNCRAHRSDVIPTTMTLHPAISLNLGLPSLPDIAHKLTESISTFSVSSMTSTGYRDRTSEFLSLSKTLKKIGATPPPNQYQSDAVSSPSQPPPNPDRSEFGKKASRIGLRIHQTSQKIDRLAKLAKKSSIFDDQSKEIQELTALIKDEITGLNVAVSDLQTLQSIEISDGNYSQDKIVHCNAVCDDLKSRLMGATKQFQDVLTTRTKNMRAHENRKQIFSTNVSRESPLRQPTPSVTEPPPWTTSPSSGGILPSSVPPANGVQVGNQLRRRLATDNTPSHQMEVSMIQQVVPRHESYSQNRAVALQSVESTISELSGIFTNLATMVAQQGELAIRIDDNMDDTLANVEDIDQDQSTEESVATNSEKLCKRDGKSIASEQQRESLACAILNGKPIIKKMKMAFSREVDRRKCRVLRWMKTLFFLATMLVSLLLLSAPVLLLIADAILPSALLSAAAPSIISLQSLSSHLSNYDFRYSLIDIPLISIVRSAIILCVYSLCDGPRLSRGPYLGIATICSVSSLVFLSLKAGYIFGNYSSSSPGSNRERLGHTGVDVALFTCSFLMAIAHIVMAYRINCRERRKLLVFKIDIETVS >itb05g06630.t1 pep chromosome:ASM357664v1:5:7238638:7239015:1 gene:itb05g06630 transcript:itb05g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 3 [Source:Projected from Arabidopsis thaliana (AT2G06255) UniProtKB/Swiss-Prot;Acc:Q8S8F5] MEGGPGDTFSRLGSGVVAGGGQIDGKVLQTFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIKRVVDLYAHLSTSFGKSMDASSEGESSGALKSSDGKPATHKRTRPAV >itb03g14570.t1 pep chromosome:ASM357664v1:3:14218048:14220746:1 gene:itb03g14570 transcript:itb03g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSSKLIIRNLHHYISSPQNAASSGASHFLTRHPGIPQTPIPSPWLSQRTHFSSSPFPKLLQSPTRKLDLDGKLAIDFLSRRNLLGFRWFSMKGSQLGAKMSGVFGKNGVKIPVGALKNTVSRYKEAVGLQIDAFWKRNSLVLFGGVGVMVCILLWKLLFGIANTFIGLSEGMAKYGFLALSTAIVAFAGLYVRTRFTINPDKVYRMAMRRLNTSAGILEVMGAPLTGTDLRAYVMSGGGVTLKNFKPRFRSKRCFLIFPMQGSERKGLVSVEVKNKKGQYDMKLLAVDIPMVSGPDQRLYLIGDEEEYRVGGGLISELRDPVVKAMAASKEFEDLDQLEDEEDEERKRQEAERRHREEIEKIEKRDS >itb15g09450.t1 pep chromosome:ASM357664v1:15:6738057:6742899:1 gene:itb15g09450 transcript:itb15g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKGFVISDWQGIDRITSPAHSNYTYSVEAGILAGIDMVMVPYKYTEFIDDLTSLVKSNVVPMDRINDAVERILLVKFTMGLFEDPYTDLSLVHEVGSQAHRNLAREAVRKSLVLLKNGKTADDRLLPLPKKVPKILVAGSHADNLGFQCGGWTINWQGFSGNNATSGTTILGAIYSTVDKDTEVVYRETPDADFIKSNDFNYAIVVVGEPPYAEMAGDSPTLEISDPGPDVIKSVCGSVKCVVVIISGRPLVVEPYVSSIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLSKTWFRTVDQLPMNVGDLHYDPLYPFGFGLTTNASAARVASAGVDKRPYFFAIALSVFIGLYFQVIKRGELRSWERIINPHTVASRYMSSHLFNNFGRNGGPSIQLSHAQSSSESSQLL >itb15g09450.t5 pep chromosome:ASM357664v1:15:6738057:6742266:1 gene:itb15g09450 transcript:itb15g09450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKGFVISDWQGIDRITSPAHSNYTYSVEAGILAGIDMVMVPYKYTEFIDDLTSLVKSNVVPMDRINDAVERILLVKFTMGLFEDPYTDLSLVHEVGSQAHRNLAREAVRKSLVLLKNGKTADDRLLPLPKKVPKILVAGSHADNLGFQCGGWTINWQGFSGNNATSGTTILGAIYSTVDKDTEVVYRETPDADFIKSNDFNYAIVVVGEPPYAEMAGDSPTLEISDPGPDVIKSVCGSVKCVVVIISGRPLVVEPYVSSIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLSKTWFRTVDQLPMNVGDLHYDPLYPFGFGLTTNASAARVASAGVDKRPYFFAIALSVFIGLYFQGISVA >itb15g09450.t7 pep chromosome:ASM357664v1:15:6738069:6740267:1 gene:itb15g09450 transcript:itb15g09450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKVVKDLDPLTIMIHLTLEFTFCYNHISLFLLNRSMLLLF >itb15g09450.t3 pep chromosome:ASM357664v1:15:6738069:6742897:1 gene:itb15g09450 transcript:itb15g09450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKGFVISDWQGIDRITSPAHSNYTYSVEAGILAGIDMVMVPYKYTEFIDDLTSLVKSNVVPMDRINDAVERILLVKFTMGLFEDPYTDLSLVHEVGSQAHRNLAREAVRKSLVLLKNGKTADDRLLPLPKKVPKILVAGSHADNLGFQCGGWTINWQGFSGNNATSGTTILGAIYSTVDKDTEVVYRETPDADFIKSNDFNYAIVVVGEPPYAEMAGDSPTLEISDPGPDVIKSVCGSVKCVVVIISGRPLVVEPYVSSIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLSKTWFRTVDQLPMNVGDLHYDPLYPFGFGLTTNASAARVASAGVDKRPYFFAIALSVFIGLYFQDTCHHIYSIILEGTVGPQSS >itb15g09450.t4 pep chromosome:ASM357664v1:15:6738069:6742897:1 gene:itb15g09450 transcript:itb15g09450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKGFVISDWQGIDRITSPAHSNYTYSVEAGILAGIDMVMVPYKYTEFIDDLTSLVKSNVVPMDRINDAVERILLVKFTMGLFEDPYTDLSLVHEVGSQAHRNLAREAVRKSLVLLKNGKTADDRLLPLPKKVPKILVAGSHADNLGFQCGGWTINWQGFSGNNATSGRRH >itb15g09450.t6 pep chromosome:ASM357664v1:15:6738069:6742231:1 gene:itb15g09450 transcript:itb15g09450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKGFVISDWQGIDRITSPAHSNYTYSVEAGILAGIDMVMVPYKYTEFIDDLTSLVKSNVVPMDRINDAVERILLVKFTMGLFEDPYTDLSLVHEVGSQAHRNLAREAVRKSLVLLKNGKTADDRLLPLPKKVPKILVAGSHADNLGFQCGGWTINWQGFSGNNATSGRRH >itb15g09450.t2 pep chromosome:ASM357664v1:15:6738061:6742753:1 gene:itb15g09450 transcript:itb15g09450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSRVLWLWWAGLLVLWWSGRAAMAQEKYKDPKQPVGARVKDLLARMTLAEKIGQMVQIDRSVASAQVMRDYFIGSVLSGGGSIPLPQATPSDWVAMVNEFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELLRRIGDATAIEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDQKVVQEMTDIILGLQGEIPNGSRKGVPFVDGKKKVAACAKHFVGDGGTTKGIDENNTVTDMHTLLSIHMPAYSDSIIKGVSTIMVSYSSWNGKKMHANRDLITGFLKGTLKFKGFVISDWQGIDRITSPAHSNYTYSVEAGILAGIDMVMVPYKYTEFIDDLTSLVKSNVVPMDRINDAVERILLVKFTMGLFEDPYTDLSLVHEVGSQAHRNLAREAVRKSLVLLKNGKTADDRLLPLPKKVPKILVAGSHADNLGFQCGGWTINWQGFSGNNATSGTTILGAIYSTVDKDTEVVYRETPDADFIKSNDFNYAIVVVGEPPYAEMAGDSPTLEISDPGPDVIKSVCGSVKCVVVIISGRPLVVEPYVSSIDALVAAWLPGTEGQGVTDVLFGDYGFTGKLSKTWFRTVDQLPMNVGDLHYDPLYPFGFGLTTNASAARVASAGVDKRPYFFAIALSVFIGLYFQGTVGPQSS >itb15g01860.t1 pep chromosome:ASM357664v1:15:1098758:1103064:1 gene:itb15g01860 transcript:itb15g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQGRGQPRSRPPLAEASLGRSGLWPRPPLQSRSSRLRLLFSSHRHQHPSLFSWSSAVTATTAAAVLLQIPAVFSAIMSRRNGPKLDLKLNLSPPRRGGGGGRAAARESPGRSSTVSPTSPPSSCVSSENPEESRRYSSSPEGAAMVLAGCPRCLMYVMLAKDDPKCPKCKSTVLLDVFCDKSAGKSS >itb01g22040.t1 pep chromosome:ASM357664v1:1:28002922:28008563:-1 gene:itb01g22040 transcript:itb01g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEDSDAGDVYNELAVVEYVEDIYKFYKEAESTRCTTITVMAYVRWVLWMIPTRFEDPAEADKLLKIQRELDETKIVLDPAEADKLLKIQRELDETKIVLVSNVLTRKLTVFMNVGEKLDSSVEKSSDLSATSQVGVPNESTCSTNRFITI >itb06g03920.t2 pep chromosome:ASM357664v1:6:6410036:6418411:1 gene:itb06g03920 transcript:itb06g03920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKKLEEGLAKHSQPITEEPTNSPQGMKEGGGGHGHELKGIVKEELENKEEEQQPLVKQKAKRIATLDAFRGLTIVLMILVDDGGGAYARIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRIPKVSYAIRKVILRTLKLLFWGVLLQGGYSHAPDDLSYGVDMKHIRWFGILQRIALVYFIVALIEILTTKLRPATLNPAGKFSIFTAYKWQWLGGFIAFVIYTVTTYSLYVPDWSFVVVDQDGKRQQFTVKCGMRGHLGPACNAVGHVDREVWGINHLYQYPVWQRSKACTFSSPNSGPFRDDAASWCHAPFEPEGLLSSVSAIMSGTIGIHYGHVLIHFKGHAERLKQWLSMGFGFLILAIILHFTDGKNPLFWSFL >itb08g08770.t1 pep chromosome:ASM357664v1:8:7740459:7741591:-1 gene:itb08g08770 transcript:itb08g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLTTLALDAPTKSFCGTAVDKALCEKTVKGAKTWDDAITKAITAATTQLTKATKSGDKDGSLNVKLTAASTSVSDCGGAVKDPAKKKLNTAVDQAIKVCLAVEKSKSAL >itb15g06330.t1 pep chromosome:ASM357664v1:15:4141659:4143527:1 gene:itb15g06330 transcript:itb15g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFHYFPFFILAFVLCFHNSCGFFHGGDPSDSGGDWKNGHATFYGGADATGTMGGACGYGNLYSQGYGTNTAALSTALFNTGSSCGACYELKCNEEDPRWCRPGTITVTATNFCPPNYALANDHGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPIAYRRVRCEKKGGMRFTINGHSYFNLLLITNVGGPGDVRSVFIKGSKTGWMPMSRNWGQNWETHSYLNGQSLSFRVTASDGRTVVGFDVAPPDWQFGQTFEGGQF >itb07g03980.t2 pep chromosome:ASM357664v1:7:2681266:2686140:-1 gene:itb07g03980 transcript:itb07g03980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSQKMQDGVESAKSNFGREHIDSDTLQALVPAASLESVPSRCLESPAEGTSGKSNIVRGLEHKITTHIEEVDSSKHPTKIDTQPVEDNCLGKISSSIATSDGCIQTSLDLDPGRPHDLQESQWRKLSHAENKFVSDLHVGLVGGKDINDKLETEQDEERIPREDLHVGLQEPEKRKMDHPETDVINNLNIGFVGGEDIKEKLETEQDDERIRRDDLHVNLKESQERSTGHAESEVANDLHVGLVGGKDKTEQGEGIIPVDDSHVGLQESQERKMGHEYEVSSIHDIWGEKDPNEKLEIQQEEDRIPRDNLDVGVQESQERQMKMGESKVIDNLHADLLGGKDINKKLETEQGELRIPRDDLHVGLWEPQEITISHAESEVVNNLQAGLAGGKDIKEKLETEQDEEAIRLDDLNVSRQEPQEVKMDHAESEVITSLHVGLEGGKDIKEKPETDQVERSLPRDDLDLHVSVQESQDREMKTGHAEGEVNNLHACLMGGKGGVKEKLETEQDAEILLDAQNLSDINSASGHNTEKAAKVNVTEDASSSANAEINKSTNRLPDQENEWKSRIEILEEELREAAAVEVSLYSIVAEHVSSSNKVHAPARRLSRLYFHACKVKSQTKQASCARTAVSGLALVSKACGNDVPRLTFWLSNTIMLRAIVSQAAADTVDKNRPNIRSTGGKTGDKGRYSEQNCEFDYTNEGRKSVTNEQTDWGDRDVFTLALEQVEAWIFSRIVESVWWQIFTPHMQRTVAKTSGGSVSSNSKKGTRHDFGDEEPGSFSIDLWEKAFQDACERLCPVRAEGHECGCLSMLAKMVMEQLVSRLDVAMFNAILRDSADEMPTDPVSDPISNPKVLPIPVGKLSFGAGAQLKNAVGNWSRWLSDLFETEDNEFPDSSNTMLVEDKQHESHKPFRLLNALSDLMMLPLEMLADPLTRKEVCPLFSPSLIKIILSLFVPDEFSPHPVPQEVFVALDSEDDMDASSESFTMVPCTATPTVYSPPTAASVTRFMGESGTQSLARNTSSVLKKSYTSDDELDEVDSPLTSIIADRSRGCPTSTNMKWTPGVKGSRNLIRYQLLRELWRDNGQ >itb07g03980.t1 pep chromosome:ASM357664v1:7:2681266:2687305:-1 gene:itb07g03980 transcript:itb07g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSRHKKGASVQVDFVVHVEEVKPWPPSQSLRSVRSVLLVWQNGDQNSGSFISNVGDASIEFNESFALSVTLCHEKRTRDKFQKNLLNLYLYEPRKDKASRGQLLGTATLNLADFGAIEEDMSICTPVNSKKSSKSFEQPSMFVTIQPVHKDSSSSSPKVSLSKRTSTDKDEQVSIADSVNEGNDDQCEIASFTDDDVSSHSSLDVTKSSTEAAKAPPPQHEKDGVESAKSNFGREHIDSDTLQALVPAASLESVPSRCLESPAEGTSGKSNIVRGLEHKITTHIEEVDSSKHPTKIDTQPVEDNCLGKISSSIATSDGCIQTSLDLDPGRPHDLQESQWRKLSHAENKFVSDLHVGLVGGKDINDKLETEQDEERIPREDLHVGLQEPEKRKMDHPETDVINNLNIGFVGGEDIKEKLETEQDDERIRRDDLHVNLKESQERSTGHAESEVANDLHVGLVGGKDKTEQGEGIIPVDDSHVGLQESQERKMGHEYEVSSIHDIWGEKDPNEKLEIQQEEDRIPRDNLDVGVQESQERQMKMGESKVIDNLHADLLGGKDINKKLETEQGELRIPRDDLHVGLWEPQEITISHAESEVVNNLQAGLAGGKDIKEKLETEQDEEAIRLDDLNVSRQEPQEVKMDHAESEVITSLHVGLEGGKDIKEKPETDQVERSLPRDDLDLHVSVQESQDREMKTGHAEGEVNNLHACLMGGKGGVKEKLETEQDAEILLDAQNLSDINSASGHNTEKAAKVNVTEDASSSANAEINKSTNRLPDQENEWKSRIEILEEELREAAAVEVSLYSIVAEHVSSSNKVHAPARRLSRLYFHACKVKSQTKQASCARTAVSGLALVSKACGNDVPRLTFWLSNTIMLRAIVSQAAADTVDKNRPNIRSTGGKTGDKGRYSEQNCEFDYTNEGRKSVTNEQTDWGDRDVFTLALEQVEAWIFSRIVESVWWQIFTPHMQRTVAKTSGGSVSSNSKKGTRHDFGDEEPGSFSIDLWEKAFQDACERLCPVRAEGHECGCLSMLAKMVMEQLVSRLDVAMFNAILRDSADEMPTDPVSDPISNPKVLPIPVGKLSFGAGAQLKNAVGNWSRWLSDLFETEDNEFPDSSNTMLVEDKQHESHKPFRLLNALSDLMMLPLEMLADPLTRKEVCPLFSPSLIKIILSLFVPDEFSPHPVPQEVFVALDSEDDMDASSESFTMVPCTATPTVYSPPTAASVTRFMGESGTQSLARNTSSVLKKSYTSDDELDEVDSPLTSIIADRSRGCPTSTNMKWTPGVKGSRNLIRYQLLRELWRDNGQ >itb09g00220.t1 pep chromosome:ASM357664v1:9:252781:255973:-1 gene:itb09g00220 transcript:itb09g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGVPAMHAKSDSEVTSLDASSPPRSPRRALYYVQSPSHHDLEKMSYGSSPCGSPRNYQYQYHCSPIHHSRESSTSRFSASLKITRNPAPWKRLPAASNHLQPQEDDDQDEQLHDPYYTFKFYVLCFLFSFVLLFSIFSLILWAASTPYKPTVFVKSMKFEHFNVQAGIDGTGVQTDMLTLNSTVKIFYRNPATFFGVHVTATPIELYYYKLKIASGHMKKFYQPRKSKRVLVAVVEGNQIPVYGAVPILNDAKDHSGGVSVPLNLTFVIRSRAYILGRLVKSKFYNNILCQVTLRGNHVGKPMNMTIPGSCIYH >itb09g00220.t2 pep chromosome:ASM357664v1:9:252926:255849:-1 gene:itb09g00220 transcript:itb09g00220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGVPAMHAKSDSEVTSLDASSPPRSPRRALYYVQSPSHHDLEKMSYGSSPCGSPRNYQYQYHCSPIHHSRESSTSRFSASLKITRNPAPWKRLPAASNHLQPQEDDDQDEQLHDPYYTFKFYVLCFLFSFVLLFSIFSLILWAASTPYKPTVFVKSMKFEHFNVQAGIDGTGVQTDMLTLNSTVKIFYRNPATFFGVHVTATPIELYYYKLKIASGHQMKKFYQPRKSKRVLVAVVEGNQIPVYGAVPILNDAKDHSGGVSVPLNLTFVIRSRAYILGRLVKSKFYNNILCQVTLRGNHVGKPMNMTIPGSCIYH >itb02g24820.t1 pep chromosome:ASM357664v1:2:25519104:25526974:-1 gene:itb02g24820 transcript:itb02g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATMACTASLSLRPSLPPLPSSSSTFFAGGTHLWSHKRFLISISLSSSSSTSSQYDRKISARRFGRFVVAAAADYYSTLGVSKSASSKEIKAAYRKLAREYHPDVNKAPEATEKFKAIKDAYEVLSDDKKRALYDQYGEAGVRSTVGAEAGAYTTNPFDLFETFFGSGIGNFGMDAAGFGTATGRRSTVTKGEDLRYDITLEFSAAIFGAEKEFELSHLETCEVCAGSGAKVGSKMRICSTCGGRGQVMRTEQTPFGMFSQVSVCPNCGGNGEVISEFCRKCSGKGRVRVKKDIKVKIPPGVSKGSILRVAGEGDAGPRGGPPGDLFVYLDIEEIPEIQRDGINLMSTISVSYLDAILGTVVKVKTVEGITELQIPPGTQPSDVLVLARKGAPKLNKPSIRGDHLFTIKVSIPKRVSAKERELLEELASLNGSPIARTKTQPKVQQAARSTETKIDSDSGTEKSDESEDTDDVWKKLKDFAGSVANGALKWFKDNL >itb05g27950.t1 pep chromosome:ASM357664v1:5:31125729:31128267:1 gene:itb05g27950 transcript:itb05g27950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIKEYWRCAVALVAFLIFSFVYLRSMKPRRKIPRKWAVVGMLPALIHNAYRIHEFFNDFLKESGGTFEFKGLVSGTLDMIITCDPANIHHILSRNFSNYPKGPQFREIFDILGDGIFNVDSFLWELHRKTTLSFLNHAHFHTLLETNIWHKVEKGLLPVLDCFAEQGVEFDLQDIFQRFTFDSISKLVLDHDPGSLCVDLPYIRCEKAFNPMVDALLYRHLLPESYWKLQRWLGIGKEKKLIQSWEDLDEFIYHSIIRLRQAESISEDLSLFTAYVKTYDDDKEQLQQVLNTGSQEKFLRDTFLNLMLAGRDTTSSTLTWLFYLLAKNPWVQARIRDEIQHNLKQEDKESKFLGFFKAEECKKLVYLHGALCETLRLFPAVAIEHKVSAEMDVLPSGHMVKPNTRILLSFYSTGRMDEIWGEDCMEFKPERWISDRGGIKHQPSYKFPAFNAGARTCLGKDMAFIQMKMVAATILQRYDFQLHLDSHSVSPSDSIVIQAKNGLKLKFTKRNTTAA >itb15g19020.t1 pep chromosome:ASM357664v1:15:20988954:20994421:-1 gene:itb15g19020 transcript:itb15g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEESSKMRPTKKTSVIKRQWTKAEDAALIECLIDLSNDRSTKGDNGFKSGYLQQLEKMLQVKLPGSNIKATPHIESRYKLWRRQFLAIQEMLNKGSGFGWNDSEKCVTATKDVFEEWVKSHPTAAGLRNKPFPYLDELMAVWGNDHASGAGAETPADAVEELDQRGDNVDDFQVDWEIGEDEGLGQNEAEHNVDKADLSSCPSTNTANVKKQLTGKKRSRSDDGFNDLVAEIHDYVGAYKEANEKIKDIATYFKKEAENTDRKMKIFEEIIKLPGFSRQEIIEADHRLSCSVARLPPRILGSSPLLLSSGCPFLQELAALPVTGNTTQRQRL >itb04g27790.t1 pep chromosome:ASM357664v1:4:31722476:31726527:1 gene:itb04g27790 transcript:itb04g27790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFLRHHHSPLLRFSSSSSTASLAHSNSTMTASSLVLPTLPIGKRSRSSPGFHRSSTCLGFRNGACRSFRPVDFGKSRVSMSVSVGSHVAVEDSLFTDYKPSLAFLFPGQGAQAVGMGTESQKVPAAAELYKRANEILGFDLLDICVNGPKEKLDSTVISQPAIYVTSLAAVEILRAREGGQQIIDSVDVTCGLSLGEYTALAFAGSFSFEDGLKLVKLRGEAMQDAADAAQGAMVSIIGLDSEKVQQLCDAANEEVDEADKVQIANFLCPGNYAVSGGVKGVEAVEAKAKSFKARMAVRLAVAGAFHTGFMEPAVSRLEAALAATELRTPRIPVISNVDAQPHSDPETIKKILARQVTSPVQWETTVKTLLTKGLNKSYELGPGKVIAGIVKRVNKGADIENIAA >itb09g10510.t1 pep chromosome:ASM357664v1:9:6502736:6510660:-1 gene:itb09g10510 transcript:itb09g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRYQIRNEFSLADPELYRAADKDDPEALLEGVAMTGLVGVLRQLGDLAEFAAEIFHDLHEEVMATAARGHSLTIRVQQLEAEMPSIEKAFLSQTSHSSFFYNSGTDWHPNLRMDQNMVTQGDLPRFVMDSYEECRGPPRLFLLDKFDIAGAGACLKRYTDPSFFKEAASSFEMTNPDFQREKRTRKAKKKGSRWRNGETPEVLPTSHAKLHQLFLEEERIESGTNDSGRRVKLKRRLNGFPFDSKTGKSYMEKFLRTASPEHVVHEVSVDSSPLKLPASSAYEIGLDYVETNAKSADEETTHTKLSLSPSLSPPRSEESDAIKQPNDALNETVTAIVPTFHKVSTENEIIDGEDKREDSTNGYHSDDIVSEVENYVDAVASMDSELDTDSELRARTHSHFLNREKHLSTNHDQIQSHSSDSQSISNSTLSDDANSTSKKEVSSFSSGSPSTSVETAPLDGDTTTINEIREIQMCYSPVDLQPNNEGVASAQPSEQVAFSETSIVAAEHLRQSYDLEPLSTSSLLDSALVPGITEAGTVMKEDASVKPTANEMLSILDEGENYSADVAETAANQHMNVFAPICSDAPVQMRDDLPPIVSAENQLLDDIHTVDLSMGKYITSSNYSDVPHDSIDDLPANDQNGDNSALENLNLYTTSNPFAHQKRDELLSTENKFLDNIDGEVLDSVDNTNCSDNLSNHSTSRKDGHSPSSSSINYSTGELDDGDQNISSGVSVCLPNILEASSKEECVKHNLLVAPEEVNAEDDCIKVSEDHSDSLNLPVVQTENKALDSASEIENTSVKFDETLVSEEEYTEDNCTTNSDGGHIGPSNPSIIQTVPLDTRDVAYSQSSQANLDEAPSMTNTEDKFQSVPGPDLIEMVTSSLSPCLDSTSEEPAGHARVTQADRLNAEGAAASLGSSDECAGVASVSSLDIKELQDDSNSSSVHCTLNGLQRENLFLKDDSKDSGALNEIGHHTVMYDLHEIAGYNHLKSEVVTLDLDKNSSMQLPFEQSTLNVEETSSPRSDVIGDASPLQSHPCAEQESELPRDQLDNEEPLDNGEKNLSLIPPLEQELSCQSDQAGLFGASSKSVMSNIPVQAPLPKDKCKDASSSLLISQFAEHKAEIAHNQLDKEELLVGNGNGNVENTLLLPQLEQRQPSYHTELERSVNLSSIPVTAEVPIQCSLPKLLGQGNHADASSLPTHDCLEENVKLTCNQIEKEQLVVEDENISEQLYKLEKIEVPDHVHQEGFIDASSKTMLNGLPCKPSVSKLLPHGNNGIGLFPGSDQINLEEMPPLPPLPPVQWRIGKFQRVQERDGVQNGTGSLPSFPSQIDQAVQPSEKSLPLPAVTNEDSTLVYEYGAAHYGSFTLQAPHRISDENSKENLNVPTGKQPLNSSSQVIPVDKACTAAFGTSSEELILPVKQTTEDTSLKRQELEKGLRNSEGNQTTNNRLGPLVKPEDSGPLDASQSSDKELCEPPHQVALVTSMEEAKIDKGSTSLEDNLVTDKELIQPEHQVASEMSLKELKLGEDSSSSEVNLVTHDTIPTDKELTQPAPETSLNEQKLDKAPTILEENLVSHNTIPINKEFTQSEHQVAPEVNSKELESEKSSVRLEENLVIDDALRLAMEKNFQKPLQTKASLEEDIIWPAVEDGMVNGSRKMKLPRPRSPLIEAVAAHDKSKLRKVSDRVMPPVQKVDERDMALEHDKSKLRKVTDRVKPPVEKVDERDMLLEQIRNKSFNLKPASVTRPNIQVPQPNLRVAAILEKAKTIRQAFAGSDEEDDEDSWD >itb03g09440.t1 pep chromosome:ASM357664v1:3:7277237:7285387:1 gene:itb03g09440 transcript:itb03g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPPKKSQAAGGSSDDRSREPESMQNPRYEVHSRQFAQEPTFYPTDPGQTEKRPADIDAGINYTTRPINAHAQANEGSQLAMGERATNMTRKPGDQEQLMHVDTNSCNKLPGYSSLLLSLNQRSLWSTLDQGPHHILGETNTELAIPLDKDKKRRRQDTYGPDGAQARDESKSKNEENEQCGPTRNQTKKRETSVRECCKTTGWFSHPPLGTRVLILSSHFSALSHIPAAAAMEDVRTSTAWVAAHSSHVTVDSAGIEKVAETMKNEIPKVEWNFEGIHYFDDGPLTVQYLLVLDALNFCFWPDKDLSYDHLASGLKEALENDKSAFDAERLQKYTGPQLRKMLKWTKPLPLEDERVRLLHEVGLELERSFDGKASKLVESCGKSATRLVEIITSHFPGFRDHTVYKGRQTFLYKRAQIFVADLWGAFKGQGYGEFSDISSVTIFADYIVPAVLRKLGVLKYSSSLANIIETNSEIGSGSEEEVELRACSVYAVEKMRELISKKSGNQVLSVELDLWLWAFGIQCTSLQHHRTLSIYY >itb06g07650.t1 pep chromosome:ASM357664v1:6:11314068:11320621:1 gene:itb06g07650 transcript:itb06g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPRQRQHFSGFTEAEIQRMDCLLKESKEKVLDQDFCKKLAKVFNRSKGRAGKPIVKWTEVQNWFQNRQQNCLQTDTPADAFKVLPDVTEPNHLDKAKENSPVQNGVYIAEKVPDLTELEFEARSSKDGAWYDIDSFLSHRYLSSGDAEVLVRFIGFGEEEDEWINIRKSVRERSIALEHSECSKVRVRDRVLCFQEKKDQARYFEAQVTEIQKRLHDIRGCRCLFTIRYVHDSTEETVRLRRLCFRPSILARSEET >itb06g07650.t2 pep chromosome:ASM357664v1:6:11314068:11320621:1 gene:itb06g07650 transcript:itb06g07650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPRQRQHFSGFTEAEIQRMDCLLKESKEKVLDQDFCKKLAKVFNRSKGRAGKPIVKWTEVQNWFQNRQQNCLQTDTPADAFKVLPDVTEPNHLDKAKENSPVQNGVYIAEKVPDLTELEFEARSSKDGAWYDIDSFLSHRYLSSGDAVSNYIWMDCLLNLKQFTKCHILQEVLVRFIGFGEEEDEWINIRKSVRERSIALEHSECSKVRVRDRVLCFQEKKDQARYFEAQVTEIQKRLHDIRGCRCLFTIRYVHDSTEETVRLRRLCFRPSILARSEET >itb02g00820.t1 pep chromosome:ASM357664v1:2:461873:464883:1 gene:itb02g00820 transcript:itb02g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEDAVREERVVEARARNISHNVRCTECGSQSIEESQADIAILLRKLIRDEIREGKSDKEIYKKLEDDYGETVLYAPKFDLQTAALWLSPLMVAGGVAAFWAYRKHRRRSNVHVLALNLIRGVPLTPKERETMLKLLTPPPSQYSPSYWWQRLVRQ >itb10g23890.t1 pep chromosome:ASM357664v1:10:27990955:27995467:1 gene:itb10g23890 transcript:itb10g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEKPKAPILLGLLLLTLLESAAGRFVVEKNNLKVFSPDSIKGTYDSALGNFGIPQYGGSMTGTVVYPKDNRKGCKGFDGFGISFSSKAGSLPTFVIVDRGECHFALKAWNAQNAGASAILVADDVEERLITMDSPEETGSSSNYVENITIPSALIEKSFGEKLKKAVKDGEMVHVNLDWREAVPHPDDRVEYELWTNSNDECGYKCDMLMRFVKDFKGAAQILEKGGYTEFTPHYITWYCPQAFTVSRQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVIENLRQLCVFKVAKETKKPWIWWDYVTDFQIRCPMKEKKYNKECADGVINSLGLDLKKIENCMGDPNADADNSILKEEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLAKSAVLKAICAGFEETTEPAVCLNGEMETNECLDHNGGCWEDKVANITACKDTFRGRVCECPLVDGVQFKGDGYKSCKPSGPGRCQLNNGGCWHETRNGHTYSACVPTEEGKCTCPPGFKGDGKSCEDIDECKDKTACQCPECSCKNTWGSYDCTCSNDLLYIRDHDTCISKMATEVKSAWAAVWVILIGLGVVAGGAYLVYKYRLRSYMDTEIRAIMAQYMPLDNQSEIPNHVSDSRA >itb01g03030.t1 pep chromosome:ASM357664v1:1:1968164:1970707:-1 gene:itb01g03030 transcript:itb01g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFLASPPLTQLLHSPVSPSRTLDFSALPPSFIVSHSLTGFSLVSHLLTPSALGETPVILRTPRLPPATVAAVTAHC >itb06g23700.t1 pep chromosome:ASM357664v1:6:25527351:25531828:-1 gene:itb06g23700 transcript:itb06g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKLLKDEATEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNSKIHPMTIISGFRMAAECARNALLEKVVDNKQDAEKFRVDLMKIAMTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAELETAEKNKMREKVEKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASPHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKAVDELAKKTPGKRSHAIEAFSRALSAIPTTIADNAGLDSAELIAQLRAEHQKEGCTAGIDVITGSVGNMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >itb05g15920.t1 pep chromosome:ASM357664v1:5:23236994:23237719:-1 gene:itb05g15920 transcript:itb05g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNQSTLHIGILSSPGIGHIIPALLLGNRLAAVHNVKVTVFVITTANSPTERQLLESNRLNIVQIPPVDISALLDANTKRLTQLCLLVRAALPGVRSALWARRLDSLVVDFFCTEALPLADELNVSKFIYVSTNARFTALTVYCPVLDKEIVGQYVEQEKGLEIPGCKPVRPEDVADPMLDRNDEQYREYLRLGGGFSMADGILMNTWEDIDSVSLKALRENETLTKLLRRSPVYAVGR >itb02g19170.t1 pep chromosome:ASM357664v1:2:16176541:16177522:-1 gene:itb02g19170 transcript:itb02g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILCRHCKELTKTSLVWSFLKLNESTNDLSGEVTNGPKWCLKNIICQLYVYESAAVIDWGVYCCY >itb03g16740.t1 pep chromosome:ASM357664v1:3:15587787:15590740:1 gene:itb03g16740 transcript:itb03g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWLCTLLCSLFVVFFLNSLSALFFSGKPNKRLPPGPFTVPLIENIPWLRRSFNLEPILRDLKAKYGPIITHRPGARPEIFIANHSLAHQALVQNGAVFSDRPRSVPVIRLLSSNQRNISSAAYGPTWRLLRRNLTSEILHPSRIKSYSNARQWVLGVLVRKLQNDSSESGVKVIDHFQYAMFCLLVLMCFGEKLEEQQIRQIEESLRKIVLCYSRFNILNSWPTIGKVLFWNRWKELKELARDRENTLTPFIKARIEAKERAIGERKNEDDSALFGLAYVDTPIKLELPEEKRKLSYGEMATLCGEFLNAGTDTTSTALQWIMANLVKHPSIQAKLYEEILGVIETPEEEGVKEEDLQRMPYLKAVVLEGLRRHPPVHFLQPHLVTEEVELEGYVIPKNSVINFAVAELGRDPTVWEDPMEFKPERFLSGEALDLSGNREIKMMPFGAGRRICPGLALSVLHLEYFVANLVWFLEWKGVEGDDVDLAEKLVFSTVMKHPLRAHLYPRK >itb12g23230.t1 pep chromosome:ASM357664v1:12:25052105:25058711:1 gene:itb12g23230 transcript:itb12g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVKTKLNPKIWGSNCDFTFSFQLLKTRHCLSLNFARQPSVQPALLRFLQPRFTAKPLRRRCSLSEISLFTFIHINMIGSCVAKTSFFNTGLPFSGRIKMSRGEDKPARSALAPYEEAMEALSSLITKRSRADKSNSGDRFELLFDYIKILELEEPIKQMKVIHVAGTKGKGSTCTFTESILRNCGFHTGLFTSPHLIDVRERFRLDGADICEQKFLAYFWWCYDRLKESASDEVPMPTYFRFLALLAFKIFAAEQVDVAILEVGLGGKYDATNVVEKPVVCGISSLGYDHMEILGNTLGQIAGEKAGIFKRGVPAFTVPQPDEAMRVLEAKASELDVTLKVAAPLDASLQSSIHLGLEGEHQYTNAGLAMALCSTWLQRTGHVEVDYITETGSLPEQFLKGLATATLQGRAQIEPDKVIECETPGDLVFYLDGAHSPESMDVCAKWFSLAIKDDYQQQNSINNQLHETGTSCEPPQMEHYQKSNKSSTQILLFNCMSVRDPQLLLPRLMSACAMHGIYFKKALFVPNISVYYKVGTGSTIPADNQVDISWQLTLQRFWENLVREKGRDMAKNADQICEEGSADDTEKGTRSCENSTVFPSLPVAINWLRDNVRKNQSVRFQVLVTGSLHLVGDVLKLVKK >itb09g03660.t2 pep chromosome:ASM357664v1:9:2009160:2018774:-1 gene:itb09g03660 transcript:itb09g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MPRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDSMKEHDRVTIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPEQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILETQTKSCNSEEDLHNIWPLPMLRRYIHFVKRNFQPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAITAILCIESSMTISAIVDSVGNALHSNFAENPDEEYAKQERLILQKLSSMGEFPDLIMN >itb09g03660.t4 pep chromosome:ASM357664v1:9:2009160:2018774:-1 gene:itb09g03660 transcript:itb09g03660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MPRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDSMKEHDRVTIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPEQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILETQTKSCNSEEDLHNIWPLPMLRRYIHFVKRNFQPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEMLSKKD >itb09g03660.t5 pep chromosome:ASM357664v1:9:2011330:2018774:-1 gene:itb09g03660 transcript:itb09g03660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDTEVQQVKLQKYLETHHSEQLQSILLAPDPRLHYPLHVDFAEIMDEYPDLAHFIFSQPEDYLRLFDEAAFLAQKKISSQFKEARVKSNIHVRINACGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAAKMIEGEKWYECRRCKHRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDR >itb09g03660.t6 pep chromosome:ASM357664v1:9:2009225:2015626:-1 gene:itb09g03660 transcript:itb09g03660.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MIEGEKWYECRRCKHRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDSMKEHDRVTIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPEQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILETQTKSCNSEEDLHNIWPLPMLRRYIHFVKRNFQPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAITAILCIESSMTISAIVDSVGNALHSNFAENPDEEYAKQERLILQKLSSMGEFPDLIMN >itb09g03660.t1 pep chromosome:ASM357664v1:9:2009160:2018774:-1 gene:itb09g03660 transcript:itb09g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDEYPDLAHFIFSQPEDYLRLFDEAAFLAQKKISSQFKEARVKSNIHVRINACGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAAKMIEGEKWYECRRCKHRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDSMKEHDRVTIHEAMEQQTISVAKKLALSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILETQTKSCNSEEDLHNIWPLPMLRRYIHFVKRNFQPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAITAILCIESSMTISAIVDSVGNALHSNFAENPDEEYAKQERLILQKLSSMGEFPDLIMN >itb09g03660.t3 pep chromosome:ASM357664v1:9:2009160:2018774:-1 gene:itb09g03660 transcript:itb09g03660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDEYPDLAHFIFSQPEDYLRLFDEAAFLAQKKISSQFKEARVKSNIHVRINACGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAAKMIEGEKWYECRRCKHRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDSMKEHDRVTIHEAMEQQTISVAKKLALSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILETQTKSCNSEEDLHNIWPLPMLRRYIHFVKRNFQPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEMLSKKD >itb09g03660.t7 pep chromosome:ASM357664v1:9:2009160:2018774:-1 gene:itb09g03660 transcript:itb09g03660.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MDTEVQQVKLQKYLETHHSEQLQSILLAPDPRLHYPLHVDFAEIMDEYPDLAHFIFSQPEDYLRLFDEAAFLAQKKISSQFKEARVKSNIHVRINACGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAAKMIEGEKWYECRRCKHRFKVYPEVETRNSIPKPTYCPSQKPKFCESTNFQLVEDSMVCRDYQEIKIQESTQVLGIGAIPRSIPVILMDDLVDMVKAGDDVIVTGVLKAKWLSDLKDVRCDLEPILIANHVRRINELKSELDIPDDIILKFKQFWQDFEGSPLKGRNAVLRGVCPQVFGLFTVKLAVTLTLIGGVEHIDASGTKVRGDSHLLLVGDPGTGKSQFLKFAAKLSNRAVIATGLGSTSAGLTVTAVKDGGEWILEAGALVLADGGLCCIDEFDSMKEHDRVTIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPEQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILETQTKSCNSEEDLHNIWPLPMLRRYIHFVKRNFQPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQAHARLMFRNEMLSKKD >itb15g05930.t1 pep chromosome:ASM357664v1:15:3884716:3886410:-1 gene:itb15g05930 transcript:itb15g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSSKIHPAAADHRRTPHNDDDQPPPGPLPTAFTVWKRSSISFHGTDGFTVFDHLGRLIFRVDNYRRKRTARLSSSVVVGSGGGGCRAQVHAKEHGGGGLVLMDGFGKALLTLVPQLHMPSMHYQWIGYRGEDDQCKPRTPLFMMRRPSQSSPSLLSLRSLTTKIRCEAEVFVGDCHRGAIKSSRKPDYRIEGSFKRRDCKITGADGRTVASISRKLARTTNSANTGTSVLLSDDVFSLVVEAGMEPALVMAFIMAIDRLCPKPHTPFLCS >itb08g00620.t3 pep chromosome:ASM357664v1:8:470996:472815:-1 gene:itb08g00620 transcript:itb08g00620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAGMTFGYGYGFLLIIGGAAIGVSLPYFIGYLFQNKIQDWLDRYPKNASIIRLAGEGNWFNQFRAVTLIRISPFPYIVYNYSAMATGVKYAPYLLGSLIGMVPEIFVAIYTGIVIKTLANASQDGSSLSAVQILCTVLGFCLTMATTVLITVYAKRRLKQLQREELLLQ >itb08g00620.t1 pep chromosome:ASM357664v1:8:470984:473984:-1 gene:itb08g00620 transcript:itb08g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAGEVLERGEGDSNGDCYVKLKGYELEEESGEGASASSSEAVMVGCEGGGRWWWSVWWWAKLALVTVFLGALATVLLKWVAPFFMDKEIIPIINWETRTFSRPILAVLVFASVAFFPTLFLPSTPSMWVAGMTFGYGYGFLLIIGGAAIGVSLPYFIGYLFQNKIQDWLDRYPKNASIIRLAGEGNWFNQFRAVTLIRISPFPYIVYNYSAMATGVKYAPYLLGSLIGMVPEIFVAIYTGIVIKTLANASQDGSSLSAVQILCTVLGFCLTMATTVLITVYAKRRLKQLQREELLLQ >itb08g00620.t2 pep chromosome:ASM357664v1:8:471635:473984:-1 gene:itb08g00620 transcript:itb08g00620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAGEVLERGEGDSNGDCYVKLKGYELEEESGEGASASSSEAVMVGCEGGGRWWWSVWWWAKLALVTVFLGALATVLLKWVAPFFMDKEIIPIINWETRTFSRPILAVLVFASVAFFPTLFLPSTPSMWVAGMTFGYGYGFLLIIGGAAIGVSLPYFIGYLFQNKIQDWLDRYPKNASIIRLAGEGNWFNQFRAVTLIRISPFPYIVYNYSAMATGVKYAPYLLGSLIGMVPEIFVAIYT >itb03g07560.t1 pep chromosome:ASM357664v1:3:5577108:5586118:-1 gene:itb03g07560 transcript:itb03g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISMSVTWSASPLTSPKLRRVNRYSRMAFASGNKESPCLGVKVTEGLGNLPKVVLTSPGSEAELYLFGACITSWKVGNKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGQIQQHGFARNVDWSLVNSENVEGNPVITVELKDGPYSRSMWDYSFQALYKVTLDEKTLSTEFRVTNTDQKPFSFTTALHTYFRGSIGSVSVRGLKGCKTLNKHPDPKNPVEGNEERDVVTFPGFVDCVYLESPNELQLDNGLGDTISIKNTNWSDAVLWNPHLTMEACYKDFVCVENAKIGQVELEPNQSWTAVQHLSIP >itb06g13140.t1 pep chromosome:ASM357664v1:6:17762915:17767399:-1 gene:itb06g13140 transcript:itb06g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPKKPSSSSMNSHHDRPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDVERASTLELQRNSASSSGMIGRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLSGGDSHVGPAAGPYKLGMANNHHPPPPHHHQPDQLSGMKDFGFPAFQDLNIYGGGHDHLDLHQTIDASSSLDGFLSNDNLCLGGAARGKKRPSPCGTGKSPLIWSDDLGPTSCGQENDQMIQITLDRSGNDIVDDSVSDFYETKPSLNMGGGGAGEHGGEVEKKFEAAASQERQSPSRRERMNPGAILMNMNMNMQAAAAQGRNSTFG >itb06g13140.t2 pep chromosome:ASM357664v1:6:17762915:17767399:-1 gene:itb06g13140 transcript:itb06g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPKKPSSSSMNSHHDRPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDVERASTLELQRNSASSSGMIGRNMNDSNVRINDAIRMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLSGGDSHVGPAAGPYKLGMANNHHPPPPHHHQPDQLSGMKDFGFPAFQDLNIYGGGHDHLDLHQTIDASSSLDGFLSNDNLCLGGAARGKKRPSPCGTGKSPLIWSDDLGPTSCGQENDQMIQITLDRSGNDIVDDSVSDFYETKPSLNMGGGGAGEHGGEVEKKFEAAASQERQSPSRRERMNPGAILMNMNMNMQAAAAQGRNSTFG >itb06g12280.t1 pep chromosome:ASM357664v1:6:16918270:16919241:-1 gene:itb06g12280 transcript:itb06g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIEIQKTEQQSKDLGPSSILNRIVLDEFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTEFLDLIHYSLIKSGLNCVMLMGSMTMAARESAITKFTDDPECKIFLMSLKAGGVALNLTGASHVFLMDPWWNPAMERQAQDRIHRIGQYKPIR >itb04g09000.t1 pep chromosome:ASM357664v1:4:8289458:8290106:1 gene:itb04g09000 transcript:itb04g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVMQVEIETKPEKSSQESAGNDFWLFSKQFLRRHGLHLLATTTTWFLLYIAFYSQNLFQKDIFSAIGWIPKAETMNALEEVYGIAGAHTLIGLCSTMAGYLFTVAIDKIGRFAPQVCN >itb13g22380.t1 pep chromosome:ASM357664v1:13:28667783:28669972:-1 gene:itb13g22380 transcript:itb13g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEANYMWSLSSLAGSFLDLGIAFFCLCSSAAAFLTLKFLGFCGLGFPYPPCNWFFGISPNDAQKLQRLLTGLASEKVSNVKISVKERYHFNAQNVHLNLRLIGEREDVHSHNGYGFVEMEVEDQSSSVSDGGMPRNADGMEFMPGNRLVDHCRLFSSSRYNPRYVELQDDQQCHPRIDENGNEMSEDSEKVFSNGTEACSNVSGFGPRDSFKLDENVFPDDDDDDYEHSENGLFSEYPNGHVQVYNANDKSAIRLLEKALEEEKAARAALCLELEKERNAAATAADEAMAMISRLQDEKALIEMEARQYKRVIEEKSAYEADEMEVLKEILVRRETEKHFLEREVEAYRQMVYLQGNEQFTVDNEKLNLDNGAEWLRGRSKTAQDGGEKFALPFESLEREKVQLKLLEDIAGQLQEIRQLTLNEDSLLDFGEW >itb01g22810.t2 pep chromosome:ASM357664v1:1:28903293:28904825:-1 gene:itb01g22810 transcript:itb01g22810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSKKPAETSTSGINNLQYRTEMINSYEAACRVDVDLHNFDSTLQVRTNHVLSALAGGVEVRALSFDSLKEVTGCLLDMNQEVVKVILECKKDIWKNQELFELVEEYFENSLKTLDFCVELEKCLKRARDRQLLIHVALQEFEAETGVEGNSYARTLEELQNFREAGDPFTEEFFQIFQSVYMHQMLMLERLQSRKNKLDKKLKYIHAWRKVSSIIFAAAFAAVLICAVVAAAVAAPPVAGALAAASSVPIGSMGKWIDSLLKNYEIAIKGQKEIINSMQVGSYVSIKDLDTIRVLIDRLEVEIKSLLENADFAINHEGAVKIAIEEIKKKLDIFMKNVEDLGVQADVCSRDIRRARTVILQRIIKHPNH >itb01g22810.t1 pep chromosome:ASM357664v1:1:28903293:28905416:-1 gene:itb01g22810 transcript:itb01g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSKKPAETSTSGINNLQYRTEMINSYEAACRVDVDLHNFDSTLQVRTNHVLSALAGGVEVRALSFDSLKEVTGCLLDMNQEVVKVILECKKDIWKNQELFELVEEYFENSLKTLDFCVELEKCLKRARDRQLLIHVALQEFEAETGVEGNSYARTLEELQNFREAGDPFTEEFFQIFQSVYMHQMLMLERLQSRKNKLDKKLKYIHAWRKVSSIIFAAAFAAVLICAVVAAAVAAPPVAGALAAASSVPIGSMGKWIDSLLKNYEIAIKGQKEIINSMQVGSYVSIKDLDTIRVLIDRLEVEIKSLLENADFAINHEGAVKIAIEEIKKKLDIFMKNVEDLGVQADVCSRDIRRARTVILQRIIKHPNH >itb03g06930.t1 pep chromosome:ASM357664v1:3:5010301:5015125:-1 gene:itb03g06930 transcript:itb03g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTRKKSSSTNSNNSSSATNAASNNRKTTTKPPSTNTVTSRKQDVSNYLTQKAKEAASNKARNSNAKISSKKHSGVIPCGKRTDFGYDKNFEKMYIIGKLLGHGQFGYTYAATDKSTGDRVAVKKIEKNKMILPIAVEDVRREVKILSALTGHENVVQFHNAFEDENSVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGMVHRDMKPENFLFKSQEDSSLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLSGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISNSAKDFVKKLLVKDPYARLTAAQALSHQWVREGGIASDIPLDISVLSNMRQFVKYSRLKQIALRALATTLDPEELADLRDQFEAIDVDKNGVITLEEMRLALAKDIPWRMKESRVLEILQAIDSNTDGLVDFEEFVAATLHVNQMEEHNTEKWHQRSQTAFEKFDVDRDGYITLDELKMHTGLRGSINPLLDEADMDRDGKISLTEFRKLLRTASLSSSPSVRQRH >itb03g06930.t2 pep chromosome:ASM357664v1:3:5010319:5014907:-1 gene:itb03g06930 transcript:itb03g06930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTRKKSSSTNSNNSSSATNAASNNRKTTTKPPSTNTVTSRKQDVSNYLTQKAKEAASNKARNSNAKISSKKHSGVIPCGKRTDFGYDKNFEKMYIIGKLLGHGQFGYTYAATDKSTGDRVAVKKIEKNKMILPIAVEDVRREVKILSALTGHENVVQFHNAFEDENSVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGMVHRDMKPENFLFKSQEDSSLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLSGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISNSAKDFVKKLLVKDPYARLTAAQALSHQWVREGGIASDIPLDISVLSNMRQFVKYSRLKQIALRALATTLDPEELADLRDQFEAIDVDKNGVITLEEMRLALAKDIPWRMKESRVLEILQAIDSNTDGLVDFEEFVAATLHVNQMEEHNTEKWHQRSQTAFEKFDVDRDGYITLDELKMHTGLRGSINPLLDEADMDRDGKISLTEFRKLLRTASLSSSPSVRQRH >itb05g16860.t2 pep chromosome:ASM357664v1:5:23963448:23966571:1 gene:itb05g16860 transcript:itb05g16860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGKSTRGLSHCSRNSENVDPNVSSPGLKVARSPLITKSAKKAHKSASESLNPVASPSPQKKIRQRKFVVAKRNSKREDANSAPVACKCGKGGVQKCLCVAYESLRASHEEFFTSRRGVDDEESVLEEVKMRVEAEIGSKDEEEKNSKCVIGEIVGAHSYGDEAKADNFVSINGSINLELNAHKVIGEMGVKRRRDKLSEEATDDVPQLGYGNVMTLVKAFEKLLTIPSSDDAKENDEKEVAATKKGIKWELPDTRVSSSSFVPSDFFVTSESLGLNSHRSHSLDSNQGSRTCDGGRKSRRNSAGSSGTFARRHQKRRQLKATSHKPFNLRTEQRGKCKEEEFLNKLKQMMEEDKRLRVPIAQGLPWTTDEPECLLKPPVKESTRPIDLVLHSDIRAVDRAEFDHQVAEKLSFIEQFKMERDRLQKLEEEEEIRRLRKELVPKAQPLPYFDRPFIPRRSDKLLTVPREPKFRITQHKKIKSCDEMFGR >itb05g16860.t1 pep chromosome:ASM357664v1:5:23963448:23966573:1 gene:itb05g16860 transcript:itb05g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGKSTRGLSHCSRNSENVDPNVSSPGLKVARSPLITKSAKKAHKSASESLNPVASPSPQKKIRQRKFVVAKRNSKREDANSAPVACKCGKGGVQKCLCVAYESLRASHEEFFTSRRGVDDEESVLEEVKMRVEAEIGSKDEEEKNSKCVIGEIVGAHSYGDEAKADNFVSINGSINLELNAHKVIGEMGVKRRRDKLSEEATDDVPQLGYGNVMTLVKAFEKLLTIPSSDDAKENDEKEVAATKKGIKWELPDTRVSSSSFVPSDFFVTSESLGLNSHRSHSLDSNQGRTCDGGRKSRRNSAGSSGTFARRHQKRRQLKATSHKPFNLRTEQRGKCKEEEFLNKLKQMMEEDKRLRVPIAQGLPWTTDEPECLLKPPVKESTRPIDLVLHSDIRAVDRAEFDHQVAEKLSFIEQFKMERDRLQKLEEEEEIRRLRKELVPKAQPLPYFDRPFIPRRSDKLLTVPREPKFRITQHKKIKSCDEMFGR >itb01g21070.t1 pep chromosome:ASM357664v1:1:27253967:27254683:-1 gene:itb01g21070 transcript:itb01g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAERVVNQNQELVATAKLPKAVPVGEAIRRPRGRPAGSKNKPKPPIIITRDSANALKAHAMEVSSGCDVNESLVNFARRKQRGISVLSATGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSILPPPAPPGVTGLTIYLAGAQGQVVGGCVVGALIASGPVVIMAATFLNATFDRLPLHDDQVVSAANQQYQNNHHTHQNVEVSDIYGLPQNLLTNGALHPAEVYSWAPPKNLSKP >itb04g28720.t1 pep chromosome:ASM357664v1:4:32341868:32342739:1 gene:itb04g28720 transcript:itb04g28720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGKKFCFCIPRGKKNTKAKDDSETPMSSSGAKNEQTGHGNHVVSNHDGVASHDAGGMAAAMMASSHVSQMEGLGAAEGSSHGGAGHGGGEGGGGE >itb10g25440.t1 pep chromosome:ASM357664v1:10:28776932:28779207:-1 gene:itb10g25440 transcript:itb10g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >itb12g10990.t1 pep chromosome:ASM357664v1:12:9118799:9121745:-1 gene:itb12g10990 transcript:itb12g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCFGAFGSCKDRRGMRKQELETSNTRLFSYNAISLATKHFHPSNRIGVGGFGVVYRGALKDGTCVAIKCLSAESKQGTNEFLTEINTISNTLHPNLVKLIGCCVEGRNRILVYEYLENNSLANALLGTSSKHIYMDWPKRAAICLGIASGLAFLHEEAEHHIVHRDIKASNVLLDRNLNPKIGDFGLAKLFPDNVTHVSTRVAGTIGYLAPEYALLGQLTKKADVYSFGVLVLEIISGRSSSYDAFGEDLLILLEWTWKLREEGRLEKIIDPELREYPEAEVTRFIKVALFCTQAASQKRPDMKQVIQMLSKEVKLNENVLTGPGVYRPAHSSKKLVSDNTHTSSSSSHTQFDSL >itb01g19750.t1 pep chromosome:ASM357664v1:1:26020423:26023977:1 gene:itb01g19750 transcript:itb01g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSQLELPIVYLPFSSMLNPFRLQASSLMVECTQTQPKLSTNLIPSSLRNNSPSLCFSVSFNGRDLNGACYRSISLGEISIRCRAKWNATGFSEEQFSTVELPEDDCKDDKELQRRKKVGHGNKGKVPWNKGITHSAETREKISLRTREALSDPKIRKKMSECPRSLSKQTKMRIGTSQRKLWGERLKWIRSREKFLQSWAESIADAAKKGGGDQGELEWDSYDKIKREIYLQQIQRSAEKAKAKEQARLRAERAAQAKIEKMARLAQRKKEREERAEIREEIKRRRRRAKQEREELAIARESKLKARLMKIQKKKSITSHVSHQHERAWEKLDIEFMQRENIKKEVSLEDQLLLAKKKRSESLNVNVLTVLPSRSAERSPSVNSVPEQFVHIGMQI >itb11g20670.t1 pep chromosome:ASM357664v1:11:21902395:21903811:-1 gene:itb11g20670 transcript:itb11g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPSSPTPQTLRYHKLSLLDVLAGPFYGPHVLFYPSGAGPRRHDYDELKESLSKALSILYPLAGRLKDGSIIECNDEGADFVRANVTNYNLGEFLWHPKMEDLRQLLPLDPYPDAIDPAMPMLAVQVTRFRCGGTAVAFCTWHGVTDGGGMTGLYNILAGINRGEGPTDSAGGLVVDVAAMFSPAISSISQIMLTAYAGTKKELRKYTTKRIVFSKQDIERIRNQYSQSEHHRRPSRVEALSAFLWAAIGERK >itb04g18760.t1 pep chromosome:ASM357664v1:4:22424529:22426961:1 gene:itb04g18760 transcript:itb04g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARSDATAAEAVAPMKSEATKKNLIYSPSPIYNQEQSSDLLAVANHQERSSDLFAVAYHKERSPDLFVVAYHPEWSADAARRRHWSPKAARPESLLAGAHPIVYYQEGSMQPRTSTCQMLIAVDLEPLPLSVSPQPSSSGDRMSSGDVLLLSPSILTLSHL >itb08g02160.t2 pep chromosome:ASM357664v1:8:1740956:1745676:1 gene:itb08g02160 transcript:itb08g02160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFKASDPQSGKVPGGPSVTFARRTSSGRYVNLSRDSLDSEISGVEFANYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGYNSVTRAHLMDKVIESEANHPQMAGVKGSSCAIPGCDGKVMRDERGEDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKNTELDQSAVDPNRQPLSLPSNVGMSKMERRLSLMKSGSKSAIVRSQSGLSRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGLGNDKDDGEPSELLNKPWRPLTRKLKIPAAVLSPYRLLILIRVVVLGLFLEWRITNPNNDAIWLWYMSIICEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFEMPTPANPSGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAANYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDTYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYNAREEIKAMKLQREAAGDELLEPVKITKATWMADGTHWPGTWNVTSPEHSRGDHAGIIQVMLKPPSDEPLHGNSAEGSPLDFTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFDPPRTKEYHPGCCSCCFARRRKNHASVANAPEENKALRMGDFDDEEMNLASFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLVITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDENDDEFADLYIIKWTSLMIPPITIMMINLIAIAVGFSRTIYSSIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGSSEIGGSFQFP >itb08g02160.t5 pep chromosome:ASM357664v1:8:1741507:1745676:1 gene:itb08g02160 transcript:itb08g02160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFKASDPQSGKVPGGPSVTFARRTSSGRYVNLSRDSLDSEISGVEFANYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGYNSVTRAHLMDKVIESEANHPQMAGVKGSSCAIPGCDGKVMRDERGEDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKNTELDQSAVDPNRQPLSLPSNVGMSKMERRLSLMKSGSKSAIVRSQSGLSRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGLGNDKDDGEPSELLNKPWRPLTRKLKIPAAVLSPYRLLILIRVVVLGLFLEWRITNPNNDAIWLWYMSIICEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFEMPTPANPSGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAANYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDTYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYNAREEIKAMKLQREAAGDELLEPVKITKATWMADGTHWPGTWNVTSPEHSRGDHAGIIQVMLKPPSDEPLHGNSAEGSPLDFTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFDPPRTKEYHPGCCSCCFARRRKNHASVANAPEENKALRMGDFDDEEMNLASFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLVITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDENDDEFADLYIIKWTSLMIPPITIMMINLIAIAVGFSRTIYSSIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGSSEIGGSFQFP >itb08g02160.t3 pep chromosome:ASM357664v1:8:1740196:1745676:1 gene:itb08g02160 transcript:itb08g02160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFKASDPQSGKVPGGPSVTFARRTSSGRYVNLSRDSLDSEISGVEFANYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGYNSVTRAHLMDKVIESEANHPQMAGVKGSSCAIPGCDGKVMRDERGEDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKNTELDQSAVDPNRQPLSLPSNVGMSKMERRLSLMKSGSKSAIVRSQSGLSRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGLGNDKDDGEPSELLNKPWRPLTRKLKIPAAVLSPYRLLILIRVVVLGLFLEWRITNPNNDAIWLWYMSIICEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFEMPTPANPSGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAANYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDTYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYNAREEIKAMKLQREAAGDELLEPVKITKATWMADGTHWPGTWNVTSPEHSRGDHAGIIQVMLKPPSDEPLHGNSAEGSPLDFTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFDPPRTKEYHPGCCSCCFARRRKNHASVANAPEENKALRMGDFDDEEMNLASFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLVITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDENDDEFADLYIIKWTSLMIPPITIMMINLIAIAVGFSRTIYSSIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGSSEIGGSFQFP >itb08g02160.t4 pep chromosome:ASM357664v1:8:1740956:1745677:1 gene:itb08g02160 transcript:itb08g02160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFKASDPQSGKVPGGPSVTFARRTSSGRYVNLSRDSLDSEISGVEFANYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGYNSVTRAHLMDKVIESEANHPQMAGVKGSSCAIPGCDGKVMRDERGEDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKNTELDQSAVDPNRQPLSLPSNVGMSKMERRLSLMKSGSKSAIVRSQSGLSRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGLGNDKDDGEPSELLNKPWRPLTRKLKIPAAVLSPYRLLILIRVVVLGLFLEWRITNPNNDAIWLWYMSIICEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFEMPTPANPSGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAANYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDTYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYNAREEIKAMKLQREAAGDELLEPVKITKATWMADGTHWPGTWNVTSPEHSRGDHAGIIQVMLKPPSDEPLHGNSAEGSPLDFTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFDPPRTKEYHPGCCSCCFARRRKNHASVANAPEENKALRMGDFDDEEMNLASFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLVITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDENDDEFADLYIIKWTSLMIPPITIMMINLIAIAVGFSRTIYSSIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGSSEIGGSFQFP >itb08g02160.t1 pep chromosome:ASM357664v1:8:1740188:1745677:1 gene:itb08g02160 transcript:itb08g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFKASDPQSGKVPGGPSVTFARRTSSGRYVNLSRDSLDSEISGVEFANYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGYNSVTRAHLMDKVIESEANHPQMAGVKGSSCAIPGCDGKVMRDERGEDILPCECDFKICRDCYIDAVKTGDGICPGCKEPYKNTELDQSAVDPNRQPLSLPSNVGMSKMERRLSLMKSGSKSAIVRSQSGLSRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGLGNDKDDGEPSELLNKPWRPLTRKLKIPAAVLSPYRLLILIRVVVLGLFLEWRITNPNNDAIWLWYMSIICEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFEMPTPANPSGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAANYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDTYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYNAREEIKAMKLQREAAGDELLEPVKITKATWMADGTHWPGTWNVTSPEHSRGDHAGIIQVMLKPPSDEPLHGNSAEGSPLDFTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRTALYGFDPPRTKEYHPGCCSCCFARRRKNHASVANAPEENKALRMGDFDDEEMNLASFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLVITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDENDDEFADLYIIKWTSLMIPPITIMMINLIAIAVGFSRTIYSSIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGSSEIGGSFQFP >itb04g01790.t1 pep chromosome:ASM357664v1:4:1037628:1041277:1 gene:itb04g01790 transcript:itb04g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDQFGSMMEEIHSNGGVDDSSRHRPIISGEQLDIEAYDALYSGRTKIMRLLFIADRCNNASMQLEALRMAYDEIKKGENTQLFREVVQKINGRLGPNYGPDAAWTDSVDRRAEQRKEKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGQLGEAFKNYVRTRDYCTTAKHIIHMCLNAILVSIEMGQFTHVTSYVSKAEQSQDALDPITTAKLRCAAGLAHLEAKKYKLAARKFLEVGQDLANNYTEVIAPQDVATYGGLCALASFDRVELKSKVIDNINFRNFLELVPEVRELIQDFYTSHYASCLEYLGNLKANLLLDIHLHDHVETLYNQIRNKALIQYTHPFVSVDLNMMANAFKTTVTGLEKELESLITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGGEFDRDVRAMLLRANLLKHEYNARSSRKHGNI >itb05g26760.t3 pep chromosome:ASM357664v1:5:30463201:30467295:1 gene:itb05g26760 transcript:itb05g26760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MFPWGYGGADEVKMLERHLRETPVKIGVGANRAAIAASFFQRHGYMNPKDNSTRTNLCVDRIGAAVLDDGMQHLNVWRDIEIVMVNAMMPWGNHRLLPLGPLREPFTALNRADFVVVHHADLVSKQDIEAIESTVRKFNESVPIFFSTLAPLYFMKAGNISCKLSLKDISKAIILCVSAIGSADSFVQRIKKMGPMLVDRLDFRDHHLFLPEDIDMIRKRLQKLESEFASTPIVVVTEKDYDRAPEVLKHLNYEVLVLCSSLQILSSKGSKEDGFKKCLRQCLETRLSGGYTT >itb05g26760.t1 pep chromosome:ASM357664v1:5:30463201:30467295:1 gene:itb05g26760 transcript:itb05g26760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEKLARLVTQIAYTPPSQRLSTLSPLHLSLLPLLSFASSFFRLAVSLRHRLYRYGFLRQQRLPVPVISVGNLTWGGNGKTPMVEFLARWLADSGIPPLILTRGYGGADEVKMLERHLRETPVKIGVGANRAAIAASFFQRHGYMNPKDNSTRTNLCVDRIGAAVLDDGMQHLNVWRDIEIVMVNAMMPWGNHRLLPLGPLREPFTALNRADFVVVHHADLVSKQDIEAIESTVRKFNESVPIFFSTLAPLYFMKAGNISCKLSLKDISKAIILCVSAIGSADSFVQRIKKMGPMLVDRLDFRDHHLFLPEDIDMIRKRLQKLESEFASTPIVVVTEKDYDRAPEVLKHLNYEVLVLCSSLQILSSKGSKEDGFKKCLRQCLETRLSGGYTT >itb05g26760.t2 pep chromosome:ASM357664v1:5:30463201:30467295:1 gene:itb05g26760 transcript:itb05g26760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEKLARLVTQIAYTPPSQRLSTLSPLHLSLLPLLSFASSFFRLAVSLRHRLYRYGFLRQQRLPVPVISVGNLTWGGNGKTPMVEFLARWLADSGIPPLILTRGYGGADEVKMLERHLRETPVKIGVGANRAAIAASFFQRHGYMNPKDNSTRTNLCVDRIGAAVLDDGMQHLNVWRDIEIVMVNAMMPWGNHRLLPLGPLREPFTALNRADFVVVHHADLVSKQDIEAIESTVRKFNESVPIFFSTLAPLYFMKAGNISCKLSLKDISKAIILCVSAIGSADSFVQRIKKMGPMLVDRLDFRDHHLFLPEVNLSVISHGSHSPCICA >itb05g26760.t4 pep chromosome:ASM357664v1:5:30463201:30467295:1 gene:itb05g26760 transcript:itb05g26760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MIRQFTRPLSLHGPIRVPPPSLVVFNGETGKTGDPNSLHSTFAEALHSFTAPPLPSPTSVLCIIFFPASSFPPASPLPIWVLTPAKGYGGADEVKMLERHLRETPVKIGVGANRAAIAASFFQRHGYMNPKDNSTRTNLCVDRIGAAVLDDGMQHLNVWRDIEIVMVNAMMPWGNHRLLPLGPLREPFTALNRADFVVVHHADLVSKQDIEAIESTVRKFNESVPIFFSTLAPLYFMKAGNISCKLSLKDISKAIILCVSAIGSADSFVQRIKKMGPMLVDRLDFRDHHLFLPEDIDMIRKRLQKLESEFASTPIVVVTEKDYDRAPEVLKHLNYEVLVLCSSLQILSSKGSKEDGFKKCLRQCLETRLSGGYTT >itb04g02320.t1 pep chromosome:ASM357664v1:4:1377197:1379243:-1 gene:itb04g02320 transcript:itb04g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQMKHIDHMSSSPGKFKEKSAYNRIRLQSSLAKLTFWSFVFLGLIYVFFFRDPYSSSSNSMAASTDLHRRSLRTSFHGGSGWEKRVRASAKIRSRNGVSVLVTGAAGFVGTHVSVALKRRGDGVVGLDNFNGYYDPSLKRARQALLEHSGVYIVEGDINDSQLLKKLFDIVQFSHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEACKSANPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAINHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILERLLKVKAKRQIMKLPRNGDVQFTHANISFAQRELGYQPTTDLQTGLKKFVRWYLSYYGNGKTSAH >itb10g19080.t1 pep chromosome:ASM357664v1:10:24844199:24849806:-1 gene:itb10g19080 transcript:itb10g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVRDRILKDVNGNISDHLRNHIHLTNCIHLKNHMHKQSPILADRSLMRDLVILQRSRSLRDPSASPPSSPSAVGALLKRAERDSVISNGRRSVGIERPMEGRCFSGSSPQEFRFASSRVASGEANGDKNDGAAAASERSSKSGIREWRRVRREESSGRILGNDFIAGRNEVPDEINGFIQNTISRNCEQRDKSIKQNGRYVQDDHIKTLSEQLNEYRADSDEVASSTVYRQHAHTEKLAMEAEATVRRYCSGRVKRRKFRGARRARASVTVPQKEMSVASNSLAQGASNPRYHTAEEDADQNITRAPRNGCGIPWNWSRIHDRGKSFLDLAGRSLSCGLSDSRLKKGGAIGKDIAAMPVTSEYTSSSDKSVEALPLLVDASGSLGSKDNAAWVHDYSGELGIFADDLLKHEIDSDLVSEGRSGEQRKFHRHGPERHQSLTQKYTPRTFRDLVGQHLVAQALFNAVVKRKVGLLYAFYGPHGTGKTSCARIFARALNCQSSEHPKPCGICNSCVAHDMGKSRNIREIGPVSNFDYQNIMDLLDNMIFAHLPSPYRVFIFDDCDSLSPDSWSAIIKVLDRAPRRFVVVLICSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIATKEDLEIDKDALKLIATRSDGSLRDAEMTLEQLSLLGLRISVPLVQELVGLISDDKLVDLLDLALSADTVNTVKSLREVMESGIEPLALMSQLATVITDILAGSYDFTKDSPIRRFFRRQSLSKDDMEKLRQALKTLSEAEKQLRTSNDRLTWLTAALLQLAPDQQYILPTSSSAGTSLDQSPIGLNYRGGRERPRKSNAERGEVLPRERGLSTKIKVESFQTGSTSDSYNASKTRGTVDNRKLGSRQVSGKLRNEELEEIWLAVLEKIHINSLKQFLYQEGKLISVSFGTAPTVQLIFSSHATKYKAEKLRLHILQAFESVVGSPVTIEIRADLWKDTKARPIILPPSQDGISHTDNSTSHLGSPMPLTVYDGSGQRFQKDTEDLALTAVNSTGIEKNEIIEEVNREHFDNARFDRRSVDNDSTAKVSNVTSTSDLRKLGDRNQSQSLVRSRVSLAHVIQQAEGCVPESGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKATTPVSHRKLSRLRSRSRKPKGLLKLVSCGKCLSGRSPRQ >itb10g19080.t3 pep chromosome:ASM357664v1:10:24844209:24849804:-1 gene:itb10g19080 transcript:itb10g19080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVRDRILKDVNGNISDHLRNHIHLTNCIHLKNHMHKQSPILADRSLMRDLVILQRSRSLRDPSASPPSSPSAVGALLKRAERDSVISNGRRSVGIERPMEGRCFSGSSPQEFRFASSRVASGEANGDKNDGAAAASERSSKSGIREWRRVRREESSGRILGNDFIAGRNEVPDEINGFIQNTISRNCEQRDKSIKQNGRYVQDDHIKTLSEQLNEYRADSDEVASSTVYRQHAHTEKLAMEAEATVRRYCSGRVKRRKFRGARRARASVTVPQKEMSVASNSLAQGASNPRYHTAEEDADQNITRAPRNGCGIPWNWSRIHDRGKSFLDLAGRSLSCGLSDSRLKKGGAIGKDIAAMPVTSEYTSSSDKSVEALPLLVDASGSLGSKDNAAWVHDYSGELGIFADDLLKHEIDSDLVSEGRSGEQRKFHRHGPERHQSLTQKYTPRTFRDLVGQHLVAQALFNAVVKRKVGLLYAFYGPHGTGKTSCARIFARALNCQSSEHPKPCGICNSCVAHDMGKSRNIREIGPVSNFDYQNIMDLLDNMIFAHLPSPYRVFIFDDCDSLSPDSWSAIIKVLDRAPRRFVVVLICSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIATKEDLEIDKDALKLIATRSDGSLRDAEMTLEQLSLLGLRISVPLVQELVGLISDDKLVDLLDLALSADTVNTVKSLREVMESGIEPLALMSQLATVITDILAGSYDFTKDSPIRRFFRRQSLSKDDMEKLRQALKTLSEAEKQLRTSNDRLTWLTAALLQLAPDQQYILPTSSSAGTSLDQSPIGLNYRGGRERPRKSNAERGEVLPRERGLSTKIKVESFQTGSTSDSYNASKTRGTVDNRKLGSRQVSGKLRNEELEEIWLAVLEKIHINSLKQFLYQEGKLISVSFGTAPTVQLIFSSHATKYKAEKLRLHILQAFESVVGSPVTIEIRADLWKDTKARPIILPPSQDGISHTDNSTSHLGSPMPLTVYDGSGQRFQKDTEDLALTAVNSTGIEKNEIIEEVNREHFDNARFDRRSVDNDSTAKVSNVTSTSDLRKLGDRNQSQSLVRSRVSLAHVIQQAEGCVPESGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKATTPVSHRKLSRLRSRSRKPKGLLKLVSCGKCLSGRSPRQ >itb10g19080.t2 pep chromosome:ASM357664v1:10:24844199:24849802:-1 gene:itb10g19080 transcript:itb10g19080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVRDRILKDVNAAASERSSKSGIREWRRVRREESSGRILGNDFIAGRNEVPDEINGFIQNTISRNCEQRDKSIKQNGRYVQDDHIKTLSEQLNEYRADSDEVASSTVYRQHAHTEKLAMEAEATVRRYCSGRVKRRKFRGARRARASVTVPQKEMSVASNSLAQGASNPRYHTAEEDADQNITRAPRNGCGIPWNWSRIHDRGKSFLDLAGRSLSCGLSDSRLKKGGAIGKDIAAMPVTSEYTSSSDKSVEALPLLVDASGSLGSKDNAAWVHDYSGELGIFADDLLKHEIDSDLVSEGRSGEQRKFHRHGPERHQSLTQKYTPRTFRDLVGQHLVAQALFNAVVKRKVGLLYAFYGPHGTGKTSCARIFARALNCQSSEHPKPCGICNSCVAHDMGKSRNIREIGPVSNFDYQNIMDLLDNMIFAHLPSPYRVFIFDDCDSLSPDSWSAIIKVLDRAPRRFVVVLICSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIATKEDLEIDKDALKLIATRSDGSLRDAEMTLEQLSLLGLRISVPLVQELVGLISDDKLVDLLDLALSADTVNTVKSLREVMESGIEPLALMSQLATVITDILAGSYDFTKDSPIRRFFRRQSLSKDDMEKLRQALKTLSEAEKQLRTSNDRLTWLTAALLQLAPDQQYILPTSSSAGTSLDQSPIGLNYRGGRERPRKSNAERGEVLPRERGLSTKIKVESFQTGSTSDSYNASKTRGTVDNRKLGSRQVSGKLRNEELEEIWLAVLEKIHINSLKQFLYQEGKLISVSFGTAPTVQLIFSSHATKYKAEKLRLHILQAFESVVGSPVTIEIRADLWKDTKARPIILPPSQDGISHTDNSTSHLGSPMPLTVYDGSGQRFQKDTEDLALTAVNSTGIEKNEIIEEVNREHFDNARFDRRSVDNDSTAKVSNVTSTSDLRKLGDRNQSQSLVRSRVSLAHVIQQAEGCVPESGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKATTPVSHRKLSRLRSRSRKPKGLLKLVSCGKCLSGRSPRQ >itb15g07310.t1 pep chromosome:ASM357664v1:15:4953874:4957154:-1 gene:itb15g07310 transcript:itb15g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPISFAFRQLFSLSFILLVLSSAIAQTPTPNTTTTATNTTTTTTNATTTTITKGPTITKPGCPRKCGNLTVPYPFGVGLGSGCAINPSFEINCNTSYDPPRPMIWNIQVYEISDAHLRVSNIVAFKCYTPTGGVLQEFTPWVSLGTSSPYTFSPQNRFTVVGCDDGAILAGNNRLTGKTFANGCPVVCTKPEDVRVGNCLGASGCCQIPIPKGLKSFNISTIISLNNHTKVWSYDPCGYAFLGEGDRFNFRGVDDLRDLNFSRRTLDSVPILLDWAIGNLTCDDARKSDGYACKENSHCVDADNDSRGYLCSCNEGYEGNPYLSHGCQDIDECADPNKNDCEKICTNTAGSHKCSCPDGYSGDGKKNGSGCIAPNNNSEFPWIKFSVGLGAGFLSLVIGVTWLYFFFKKRKLIKLREKFFQQNGGLILKQRVTTTEGGVEATKIFTAEELEKSTNNYASDRELGRGGNGIVYKGILPDKRIVAIKKAKNVDDTKIEEFINEVVILTQVNHRNVVTLIGCCLEEKVPLLVYEYVSHGTLSEHIHNQGRSSWLSWENRLRIATETANALAYLHSSTAMPIIHRDVKSANILLDDYYTAKVADFGASRLIPLDQTHLATLVQGTLGYLDPEYFRTSQLTEKSDVYSFGVVLSELLTGLKPISSERNEEDKNLASYLVTSMNKNQLFKILDRRVLREAALEQIQKVAELAKRCLHLNGEDRPTMKEVSMELEGLRKLNRQAWNNGQQVLHEAFDGQMNDQDEPSDLYTVQINSSMFTSEYSGQYTSNSSNMTSPLNRSQQ >itb06g12490.t1 pep chromosome:ASM357664v1:6:17079468:17085495:-1 gene:itb06g12490 transcript:itb06g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELKKDAGDTNSKVPAKSTDTEVKANKKKKKGLLSRIWNAIFRSGRDDFEKRLQHISKEEAAVIERITRRSQSWRRMSRHLIILSVLFEVIAVGYAIVTTRSLHLNLKMRALHVLPMFLLPGLSFITYSALGSFTRICDNKDQKNLEKLRAERKAKIDELKEKTNYYITQQLIQRYDTDPAAKAAAATVLASKLGADTGLKVYVGDETKQNAPVGKSSDVEVVQSTGLRNRKQVPSRSVSSGSTVVDNPEEKMLQQTPLEGSDMSQHHPLVVEHHNPTGPNSQNGGWVSRIAALLVGEDPTQSYALVCGNCRMHNGLARKEDYPYITYYCPHCHALNMHKHSQDHASGPNTSDLTSSLVPDAEVIANASAPVAEEISASTETDIVSSNVPTTS >itb08g17630.t1 pep chromosome:ASM357664v1:8:20358463:20359599:-1 gene:itb08g17630 transcript:itb08g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLVEKMEVTRDREGGNNMHVKFPTARAYDAAVGVSIDLRFNVVSAVCSGTGRGETGCRILDNCKRKIGGGEERRLVHVFREQNIVVDWLAQRAVVGSEERIVHNKPPLGCIKLVQNDRIGGVVVRSIYEEE >itb13g15970.t1 pep chromosome:ASM357664v1:13:22871126:22874542:-1 gene:itb13g15970 transcript:itb13g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSFPPRFSIKLSQPELVAPKTPTPKEKKALSDLDDQASLRYQMPGLWFYESKESMVGHDPATVIKEGLAKALVFYYPLAGRLFEGPNKKLIVDCNGEGVLFLKAEANIALHKLGDFIHSPSPYLSKLQHNVPGSEGITGCPLLLIQVTRFTCGGIALGVRFNHTMVDGYGMSLFLKALCELALGGSTPSILPVWDRETLSATANPNPTSSHAVYEAPCFRNNFKLFDFEWWANKIFNVEKLASQPLFFFFPKILKPIFVQSSFIVGPKEIQALRDQAMAQDYGRCTTFELITACLWKCRTIALQPNPNATVRLTFPTNMRQKSLAGLKLSPGYYGNAIVMQSATSTAKLLCDSPITYAIQLIREAKNKVNADYVKSVIDFMVTRGRPRMSVLRNMMVSDISRIGLEKIDFGWGDAIYAGASIAAYGATFLERPKSSDSTTEKSILVPISLPHIYMQIFTHEFKKMTSSS >itb10g19430.t1 pep chromosome:ASM357664v1:10:25280909:25284756:1 gene:itb10g19430 transcript:itb10g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLFVFTRKVFSSLSHTYSTTPYIFIKTQKSDIYVLIYTIQTKFKLQFSLILPNHHPLPPYMSSLTSPNASTVHTTKWLYARRKLRFFSRIRRLLLHVKASRKEYRPSDDGVIGGGGKREEGVVMEREEKSDGGWAVLQKSVKKLHFGSWEEKEAAAMEIKTVAQLDLKRRKSMAELGVIPPLVSMFGSHVAERRRLAVQTLVQLANGSYTNKALMVEAGLLSKFPEKMDILEESTRQEFVQLLFSITSLANAQLPAINTSRIVPFLVSILESSTNNDTRELCLSTLHNLSSVLENSGILVKNGAVTILLNLSSVREVSDKALAVLGNLAVTLTGKKALEDSPAMPESLIEIMTWEESPKSQELSVYILMILAHQSSIQREKMAKAGIVPVLLEVALLGSALAQKRALKLLQWFKDERQSRMGPHSGPQMRRAATMGSPVHPREVDEGKRLMKSIVKQSLYKNMETITRRANGEGESSARLKAALVSSSSSKSLPY >itb06g17420.t1 pep chromosome:ASM357664v1:6:21267647:21268535:-1 gene:itb06g17420 transcript:itb06g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVAGEKRKACGIRRIVRLMGFARKWKRASRNNNSRSTTTTSVSPLTKAAPAKKSATDMPKGYMGVYAGQGELRRFIIPTTYLSDPLFKVLLEKVEEEFGFDHLGGLIIPCEIETFKYLLKCMEIHQRDQCRGGAAAPTLSQSTAANAA >itb14g20430.t1 pep chromosome:ASM357664v1:14:22793474:22794649:1 gene:itb14g20430 transcript:itb14g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMRSEATVVLLFTLMAVSRSPATVAGEVYKVGDAAAWTIGGIDYHQWASCRAFHVGDILIFEYNPEGHNVMRVGREDYKSCNTASPIATYASGNDSIRIMGPGHYYYICGFEGHCQGGQKVDIRVFKVPQPTEVPSGGSPALSPHHTVAPAPAPAPVSDISPPGPSPSGGSRRLGALRDVALLFGISVFLV >itb04g01590.t2 pep chromosome:ASM357664v1:4:910248:916407:1 gene:itb04g01590 transcript:itb04g01590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLRKFCLASSKKMGLISGMVMGMMVGVGLMASMRRMMRYRSSKRSAKAVDVKLMGCLNRDDLKKICGDKLPEWISFPVYEQVKWLNKHLSKLWPFVADAAEGVIRESVEPLLEEYRPTGITSLKFSKLSLGTVAPKIEGIRVQSLKEGQITMDIDLRWGGDPSIILAVEAALVASIPIQLKDLQVFTVIRVIFQLTEEIPCISAVVVALLAEPKPRIDYVLKAVGGSLTAIPGISDMIDDTVESIVTDMLQWPHRIVVPIGGIPLDTSDLELKPQGKLTVTIIKASDLKNQEMIGKSDPYVVVFIRPLFKVKTKTIDNNLNPVWNEIFELIAEDKETQSLIIEVFDKDNVGKDERMGIAKLPLIELEAETEKEIELRLLPKLDMLRVIDKKDRGTVTIKVLYHEFNKEEQQAALEEEKRILEERKKLKEAGIIGSTMDALDGAASLVGSGVGMVGTGIGAGVGLVGTGISAGAGLVGTGVGAGVGLVGSGIGAVGSGLGKAGKFVGRTFTGSSNSKTQQSDSSAPAPAPAPAPTPEEDSGAKPL >itb04g01590.t1 pep chromosome:ASM357664v1:4:910248:916407:1 gene:itb04g01590 transcript:itb04g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMMVGVGLMASMRRMMRYRSSKRSAKAVDVKLMGCLNRDDLKKICGDKLPEWISFPVYEQVKWLNKHLSKLWPFVADAAEGVIRESVEPLLEEYRPTGITSLKFSKLSLGTVAPKIEGIRVQSLKEGQITMDIDLRWGGDPSIILAVEAALVASIPIQLKDLQVFTVIRVIFQLTEEIPCISAVVVALLAEPKPRIDYVLKAVGGSLTAIPGISDMIDDTVESIVTDMLQWPHRIVVPIGGIPLDTSDLELKPQGKLTVTIIKASDLKNQEMIGKSDPYVVVFIRPLFKVKTKTIDNNLNPVWNEIFELIAEDKETQSLIIEVFDKDNVGKDERMGIAKLPLIELEAETEKEIELRLLPKLDMLRVIDKKDRGTVTIKVLYHEFNKEEQQAALEEEKRILEERKKLKEAGIIGSTMDALDGAASLVGSGVGMVGTGIGAGVGLVGTGISAGAGLVGTGVGAGVGLVGSGIGAVGSGLGKAGKFVGRTFTGSSNSKTQQSDSSAPAPAPAPAPTPEEDSGAKPL >itb04g24860.t1 pep chromosome:ASM357664v1:4:29592609:29595213:1 gene:itb04g24860 transcript:itb04g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLKSMISPFTRFWRRLHSSRPRRRTPFLSSSWQRNPHAVRGFRPAKFESSASRRRRQTKKQKKRDAGRDEDMADKAVTIRTRKFMTNRLLARKQFVIDVLHPGRANVSKAELKEKLSRMYDVRDPNSIFVFKFRTHFGGGKSTGFGLIYDSVDSAKKFEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKGGKKK >itb06g05750.t1 pep chromosome:ASM357664v1:6:8456467:8456988:1 gene:itb06g05750 transcript:itb06g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSRPLFSFTIGIVGAVITLSTSTTELRCKAPALSSSSSCSSCSLACVEQRRRTALLLLLSHYSGRRRSYDVQQRRTTMAPRRATVYNNGA >itb06g15060.t3 pep chromosome:ASM357664v1:6:19389874:19395103:1 gene:itb06g15060 transcript:itb06g15060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDKNKEWEFHLRSLSSGARDSNAAVDLSLLNSVKRLCELCKEEKPEELIVRVYPHLNRIFQRAVASISQSQTSCGLLLLAIIQFFIDFGDVVLHDADPSLRTFFRSCLSREFADPAVAEATLEFLNENKRKLLSSFPALLPQFFPLLLKLLAWNGEKLEKLFLRVFPGLISSGSFLPLFPSLVDLPILVVALEKVEKSSGSLVGSSIASIQQSTAPEMLLALMDEAYTGSTIGDAGADVESEDSSTIGVSDPLFLELLKDENDGLAERHWTSPAMAATLQAVINTPQSDRLKQALRITPRLLDVYFAIAIHDVNDSLLCALIPLLMVRYSTLFPDKIFSHEVQKRLLEFMLAAFYRSPNFVALLKVF >itb06g15060.t2 pep chromosome:ASM357664v1:6:19389799:19396551:1 gene:itb06g15060 transcript:itb06g15060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDKNKEWEFHLRSLSSGARDSNAAVDLSLLNSVKRLCELCKEEKPEELIVRVYPHLNRIFQRAVASISQSQTSCGLLLLAIIQFFIDFGDVVLHDADPSLRTFFRSCLSREFADPAVAEATLEFLNENKRKLLSSFPALLPQFFPLLLKLLAWNGEKLEKLFLRVFPGLISSGSFLPLFPSLVDLPILVVALEKVEKSSGSLVGSSIASIQQSTAPEMLLALMDEAYTGSTIGDAGADVESEDSSTIGVSDPLFLELLKDENDGLAERHWTSPAMAATLQAVINTPQSDRLKQALRITPRLLDVYFAIAIHDVNDSLLCALIPLLMVRYSTLFPDKIFSHEVQKRLLEFMLAAFYRSPNFVALLKKPITDRLGEAYASHAKTELALQLCWAIGEYGGGGESHKDAARELFESLELLLYENLSSSRLGLRESAIGSGSSSFRKSSQSRLLCFVVTAIAKLATYHHELLPRARVSLAKVARSRISDVRVWRRARDYLGLMNEPAICLSVLGPCKTSSTDVQRPGTVNWSEGGKKMVAHVPFYILGEKQGKMSAN >itb06g15060.t1 pep chromosome:ASM357664v1:6:19389799:19396810:1 gene:itb06g15060 transcript:itb06g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDKNKEWEFHLRSLSSGARDSNAAVDLSLLNSVKRLCELCKEEKPEELIVRVYPHLNRIFQRAVASISQSQTSCGLLLLAIIQFFIDFGDVVLHDADPSLRTFFRSCLSREFADPAVAEATLEFLNENKRKLLSSFPALLPQFFPLLLKLLAWNGEKLEKLFLRVFPGLISSGSFLPLFPSLVDLPILVVALEKVEKSSGSLVGSSIASIQQSTAPEMLLALMDEAYTGSTIGDAGADVESEDSSTIGVSDPLFLELLKDENDGLAERHWTSPAMAATLQAVINTPQSDRLKQALRITPRLLDVYFAIAIHDVNDSLLCALIPLLMVRYSTLFPDKIFSHEVQKRLLEFMLAAFYRSPNFVALLKKPITDRLGEAYASHAKTELALQLCWAIGEYGGGGESHKDAARELFESLELLLYENLSSSRLGLRESAIGSGSSSFRKSSQSRLLCFVVTAIAKLATYHHELLPRARVSLAKVARSRISDVRVWRRARDYLGLMNEPAICLSVLGPCKTSSTDVQRPGTVNWSEGGKKMVAHVPFYILGEKQGPLSHDFSFTDILPGK >itb01g05750.t2 pep chromosome:ASM357664v1:1:3974394:3985404:-1 gene:itb01g05750 transcript:itb01g05750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSEGESNKNAQHSPSDGQTKKPKRQMKTPFQLETLEKVYAMETYPSEAIRAELSEKLGLTDRQLQMWFCHRRLKDKKEAAGVAAMKPRVGPNGGASGKRSFAELTRDEVTIPEPGSDHRSGSGSGSGSGSGSGSSQFDNGDGMPTMPVRYYESPRTAKERKIIACIESQLGEPLRSDGPILGVEFDELPPGAFGAPIVPTERRDHYRHSYDTKLFGGYEPKQYNALPSGLHEPAEPKIRSDGYDQIAPSYHYGSPARGPTKPLSVMKANGHFSREYTVESQASTINVLPQHGFQGQFQSPPRESEFITPNEGTLQMDHERKNDEARIGREALAHEKRIRKELEKQDLLRRKREEQMKKEMERLDRERKKEEQRLMREQQRKEERFQREEKREMERREKFLQRELLRAERKRQKEELRKEREAAKQKAAMERAAARKFAKEAMELIEDERLELMELAASNKGLSSIASLDYDTLQNLELYREFLCEFPPKSIEMKRPFSIQPWIDSQENIGNLLMAWRFCINFADVLGLWPFTLDEFVQAFHDYDSRLLSEIHIALLKLIIKDIEDVVRTPSGGPGTNQYNAVNPEGGHPQIVEGAYVWGFDICNWQKHLNPLTWPEVLRQFALSAGFGPQLKKKNKEQGGLNDNDETKGCEDIVSTLRSGSAAENAVAIMHEKGFMLQRKSRHRLTPGTVKFAAYHLLALEGEKGLNVIELAEKIQKSGLRDLTTSKTPEASISVALSRDPMLFERIAPSTYCVRPAFRKDPANAEEIISVAREKIQRYVNGFLAGQNADDEERDDDSECDAADGQEIDDIGTPSDVIKNTDLQNDIGTCSVNGKDNPSVAVDKKNGFDTVGIGGSNPGQEGGEIDESQSGEPWVQGLTEGEYSNLCVEERLNALVAVIGIASEGNTIRAILEDRLDAATALKKQMWAEAQLDRRRMKEENIIKFHDSSFGAAAEGSQSPFTLPDNKVHEPAQAAAVNDASVGLDNAQNQLELLPAEKSLAPQDFSTSSFISSIQPSGYTAERSRMQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWLFVASGSSHDPGSGRIFVESPDGFWRLIDTEEAFDALLTSLDTRGLRESHLHIMMKKIEIPFRERVRRNTLQNGSVVQCGNATRNEAAEASSGGDSPSSMVCSTSSDRWEPSFKIELGKNEEEKRNALRRYQDFQIWMWKEHFGSSILCALAHGQKRCLPLLGVCDRCLDTFLFEEDFCSSCHRTFANVDFVVKLLESEISYGDIIQFDSENVVISSTSCPLRIILIKALLSMLEVSIPSEAFQSSWTEDTRRIWGLNLHNSSSTEDLLQILTQLEGFVKQDYLSSNFETTKELLRHYASSRAPACQSVSQLPWIPLTTAAVALRLFEFDASVSYDPEPKAEPCNGLKVESFPSPMGYASINDIQKVESTALDRGVHRKEENWNYLGTTPGSSRGKQMARGRGGGGRPRGRLQKGSARSASESTPPVARRGEMLTQALMQQGETQVQKHMRGRRTVRRRRERNLVIEDIHHEYLGDEDNNHRSVAESPESHRGQDDLMDISMERTPAENDNNSNSGEAMESDDSAQENPYEFGKWDPHFNASNRSNDLMEMSDEDADNTSNEEEEEDDDAGDRDEDGQNLESGGDLDMEDNGEDELDRNGDDQGSESDGSGDYSD >itb01g05750.t1 pep chromosome:ASM357664v1:1:3974380:3985415:-1 gene:itb01g05750 transcript:itb01g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSEGESNKNAQHSPSDGQTKKPKRQMKTPFQLETLEKVYAMETYPSEAIRAELSEKLGLTDRQLQMWFCHRRLKDKKEAAGVAAMKPRVGPNGGASGKRSFAELTRDEVTIPEPGSDHRSGSGSGSGSGSGSGSSQFDNGDGMPTMPVRYYESPRTAKERKIIACIESQLGEPLRSDGPILGVEFDELPPGAFGAPIVPTERRDHYRHSYDTKLFGGYEPKQYNALPSGLHEPAEPKIRSDGYDQIAPSYHYGSPARGPTKPLSVMKANGHFSREYTVESQASTINVLPQHGFQGQFQSPPRESEFITPNEGTLQMDHERKNDEARIGREALAHEKRIRKELEKQDLLRRKREEQMKKEMERLDRERKKEEQRLMREQQRKEERFQREEKREMERREKFLQRELLRAERKRQKEELRKEREAAKQKAAMERAAARKFAKEAMELIEDERLELMELAASNKGLSSIASLDYDTLQNLELYREFLCEFPPKSIEMKRPFSIQPWIDSQENIGNLLMAWRFCINFADVLGLWPFTLDEFVQAFHDYDSRLLSEIHIALLKLIIKDIEDVVRTPSGGPGTNQYNAVNPEGGHPQIVEGAYVWGFDICNWQKHLNPLTWPEVLRQFALSAGFGPQLKKKNKEQGGLNDNDETKGCEDIVSTLRSGSAAENAVAIMHEKGFMLQRKSRHRLTPGTVKFAAYHLLALEGEKGLNVIELAEKIQKSGLRDLTTSKTPEASISVALSRDPMLFERIAPSTYCVRPAFRKDPANAEEIISVAREKIQRYVNGFLAGQNADDEERDDDSECDAADGQEIDDIGTPSDVIKNTDLQNDIGTCSVNGKDNPSVAVDKKNGFDTVGIGGSNPGQEGGEIDESQSGEPWVQGLTEGEYSNLCVEERLNALVAVIGIASEGNTIRAILEDRLDAATALKKQMWAEAQLDRRRMKEENIIKFHDSSFGAAAEGSQSPFTLPDNKVHEPAQAAAVNDASVGLDNAQNQLELLPAEKSLAPQDFSTSSFISSIQPSGYTAERSRMQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWLFVASGSSHDPGSGRIFVESPDGFWRLIDTEEAFDALLTSLDTRGLRESHLHIMMKKIEIPFRERVRRNTLQNGSVVQCGNATRNEAAEASSGGDSPSSMVCSTSSDRWEPSFKIELGKNEEEKRNALRRYQDFQIWMWKEHFGSSILCALAHGQKRCLPLLGVCDRCLDTFLFEEDFCSSCHRTFANVDFVVKLLESEISYGDIIQFDSENVVISSTSCPLRIILIKALLSMLEVSIPSEAFQSSWTEDTRRIWGLNLHNSSSTEDLLQILTQLEGFVKQDYLSSNFETTKELLRHYASSRAPACQSVSQLPWIPLTTAAVALRLFEFDASVSYDPEPKAEPCNGLKVESFPKSPMGYASINDIQKVESTALDRGVHRKEENWNYLGTTPGSSRGKQMARGRGGGGRPRGRLQKGSARSASESTPPVARRGEMLTQALMQQGETQVQKHMRGRRTVRRRRERNLVIEDIHHEYLGDEDNNHRSVAESPESHRGQDDLMDISMERTPAENDNNSNSGEAMESDDSAQENPYEFGKWDPHFNASNRSNDLMEMSDEDADNTSNEEEEEDDDAGDRDEDGQNLESGGDLDMEDNGEDELDRNGDDQGSESDGSGDYSD >itb02g18360.t1 pep chromosome:ASM357664v1:2:14753908:14754288:-1 gene:itb02g18360 transcript:itb02g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRPAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKVDCKIIDNFFKSVRCNTLMSGFFCPKSNDSKKLIAERRETMKRVIEFVE >itb04g12420.t1 pep chromosome:ASM357664v1:4:12136807:12138913:-1 gene:itb04g12420 transcript:itb04g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCDIVMLQRQSLAEALRACAKNLLFHQGKLVHGAAVRRGYGFDLMINNDLIHMYAKCSRVKWARNVFDKMPTRNVVSWTALMCGYLQGGNAEESLLLLPQMMVHSDAKPNEFTFSTNIKACGILGAIEKGRQIHSFCGKSGFEWYPVVGNSMIDMYARCGKIDEAEKIFYAMPHRGQITWNVMIAGYALEGMGDKSLILFKQMQEHGETPDNFTFASTFKACTAFRAIHEGNQIHAFLIVRGFPISSDRVISGALIELYVKCGSLFEAQKVFDLAVQKGIVSWTALIVGYAQEGNLAKASDMFRELRASELPMDGILLSSLMGVFADFALIELGKQLHCYSIKVPCGLNTPVLNSAIDMYLKCGFIEEAERLFDDLQAKSVISWTAMITGYGKYGLGTKAVELFKRMESDNFEPDDVAYLSMLTACSHSGLIEESQKYFSKLCNERRVKPRVEHYSCMVDALGRAGRLTEAKNLIESMPVEPNIEIWQTLLSACRTHKNVEVGGEVGEMLLRLDGDNPVNYVMLSNLYAEANHWRECENLRNLAKTKGLKKEAAQSWVEVKKKMHFFYNRDERHPDMKAIHEILKEMERKMKIELGYEHAIRFSLHDVEEESREESLMFHSEKLAIGLALLSGEESGNKAKPIRIFKNLRVCGDCHEFIKGLSKILNKVFLVRDANRFHKFENGACSCRDYW >itb04g12420.t2 pep chromosome:ASM357664v1:4:12136807:12137811:-1 gene:itb04g12420 transcript:itb04g12420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKCGFIEEAERLFDDLQAKSVISWTAMITGYGKYGLGTKAVELFKRMESDNFEPDDVAYLSMLTACSHSGLIEESQKYFSKLCNERRVKPRVEHYSCMVDALGRAGRLTEAKNLIESMPVEPNIEIWQTLLSACRTHKNVEVGGEVGEMLLRLDGDNPVNYVMLSNLYAEANHWRECENLRNLAKTKGLKKEAAQSWVEVKKKMHFFYNRDERHPDMKAIHEILKEMERKMKIELGYEHAIRFSLHDVEEESREESLMFHSEKLAIGLALLSGEESGNKAKPIRIFKNLRVCGDCHEFIKGLSKILNKVFLVRDANRFHKFENGACSCRDYW >itb13g24240.t2 pep chromosome:ASM357664v1:13:29961089:29968393:1 gene:itb13g24240 transcript:itb13g24240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSNPGSQGGPGGRLRRRRGSNEVPAEVVKANGDNLLVNDRNKYKSMLIRGYSSVWMIGGFALIIYMGHLYICAMIVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKLVGRFIKYHMVTCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYLVGFFFGRTPLIKLSPKKTWEGFIGASVLTMFSAFLLANFFGHFQWLTCPRKDLSTGWLQCDPGPLFKPEHFTIPEWIPQWFPKREVEILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQNLSIEMILDQILMNLPFEDQLALFTKLGQIIQERRFAES >itb13g24240.t5 pep chromosome:ASM357664v1:13:29961109:29968393:1 gene:itb13g24240 transcript:itb13g24240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSNPGSQGGPGGRLRRRRGSNEVPAEVVKANGDNLLVNDRNKYKSMLIRGYSSVWMIGGFALIIYMGHLYICAMIVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKLVGRFIKYHMVTCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYLVGFFFGRTPLIKLSPKKTWEGFIGASVLTMFSAFLLANFFGHFQWLTCPRKDLSTGWLQCDPGPLFKPEHFTIPEWIPQWFPKREVEILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQNLSIEMILDQILMNLPFEDQLALFTKLGQIIQERRFAES >itb13g24240.t1 pep chromosome:ASM357664v1:13:29961109:29968246:1 gene:itb13g24240 transcript:itb13g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSNPGSQGGPGGRLRRRRGSNEVPAEVVKANGDNLLVNDRNKYKSMLIRGYSSVWMIGGFALIIYMGHLYICAMIVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKLVGRFIKYHMVTCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYLVGFFFGRTPLIKLSPKKTWEGFIGASVLTMFSAFLLANFFGHFQWLTCPRKDLSTGWLQCDPGPLFKPEHFTIPEWIPQWFPKREVEILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQNLSIEMILDQILMNLPFEDQLALFTKLGQIIQERRFAES >itb13g24240.t4 pep chromosome:ASM357664v1:13:29961345:29968393:1 gene:itb13g24240 transcript:itb13g24240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSNPGSQGGPGGRLRRRRGSNEVPAEVVKANGDNLLVNDRNKYKSMLIRGYSSVWMIGGFALIIYMGHLYICAMIVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKLVGRFIKYHMVTCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYLVGFFFGRTPLIKLSPKKTWEGFIGASVLTMFSAFLLANFFGHFQWLTCPRKDLSTGWLQCDPGPLFKPEHFTIPEWIPQWFPKREVEILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQNLSIEMILDQILMNLPFEDQLALFTKLGQIIQERRFAES >itb13g24240.t3 pep chromosome:ASM357664v1:13:29961089:29968320:1 gene:itb13g24240 transcript:itb13g24240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDSNPGSQGGPGGRLRRRRGSNEVPAEVVKANGDNLLVNDRNKYKSMLIRGYSSVWMIGGFALIIYMGHLYICAMIVVIQIFMAKELFNLLRRAHEDRQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTTDKFLYKLVGRFIKYHMVTCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYLVGFFFGRTPLIKLSPKKTWEGFIGASVLTMFSAFLLANFFGHFQWLTCPRKDLSTGWLQCDPGPLFKPEHFTIPEWIPQWFPKREVEILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQNLSIEMILDQILMNLPFEDQLALFTKLGQIIQERRFAES >itb01g25500.t1 pep chromosome:ASM357664v1:1:30905347:30906901:-1 gene:itb01g25500 transcript:itb01g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIAVSLVVLLAMASNVNGYGTGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYAIRCVGDKSCLPGTIQVTATNFCPPNNGLPNNAGGWCNPPLHHFDLSQPVFQHIAQYRAGIVPVAYKRVPCKRRGGIRFTINGHAFFNLVLVTNVGGAGDVHSVEVKGSRTAWQPMSRNWGQNWQSNANLNGQALSFKVTTSDGRTLVSNNVAPAGWSFGQTYSGAQFR >itb03g23780.t1 pep chromosome:ASM357664v1:3:22040651:22041403:-1 gene:itb03g23780 transcript:itb03g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYLINYLLLVIFATTVCYGHEHEDCEPKRCDQKGGPDVLFPFRLIGKQPDECGYNGFELSCTKTGETAMKLPFPVNPSINQTNLPILLSPSSVNLIIKKIDYESETMEISKVDGCHPALLSILDPLSSPFGLPEGSSSLEISFFSCSSSRTHRLMMPVSCLNKPGHHVYAVASHDQISDILPLLVSCTKMFSALAVDSWLQPGGSIELNWKAPSCKQCGAVGGDGYCWLENTTNSQQLECSAEKGEL >itb13g16570.t1 pep chromosome:ASM357664v1:13:23534572:23538306:-1 gene:itb13g16570 transcript:itb13g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEKIFIKKKETRGRQKIAIKMIDNHASRQVTFSKRRSGIFKKAGELSVLCGAQVAVVVFSPKGKVFTFGHPSFDAVVRRFQTAADALRVTQTPPSHPAVQEINALEEERKRLLENKDGSRGNNGAAAQDSVNLFWWNGDIEEMGREELGAFMAALEALKANVILKARDRVIAERLENIEEGNKIGITEGVKKKTDEVRGGAEEVETAEGEGVSVSDGGGGCYCCDGDNRLLGGGGGFAPLK >itb03g29030.t1 pep chromosome:ASM357664v1:3:29786264:29796137:-1 gene:itb03g29030 transcript:itb03g29030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSAPPPSPSGGAGGGLRSHQQDAELLFRTKPIAEIRNVEIATRRQIQDKSEELRQLVGNRYRDLIDSADSIVLMKASCESISANISAIHRGILHSLSSATAADSPKSIDHTKARIYGIACRVKYLVDTPENIWGCLDESMFLESSARYIRAKLVHRSLISNTESKNVLSNFPLLQHQWQMVETFKAQISQRSRERLLDQAVELGIHAYADALAAVAAIDDLDPKQVLTLFLERRKSCISQKLAGCCSNVNAISSDVISVYCQAIKIIQVTIGQVGELFLQVLNDMPLFYKTILGSPPASQFFGGIPNPDEEVRLWNLSRAELELKMVLLDREYISTVCSDWLKNCGKEIMNMVNNRYLIDVISSGQELAAAEKLIRDTMDSKQVLEGSLEWLKSVFGSEIEMPWKRTHELVLGGESDLWDEIFEEAFVQRMKAIVHKGFDDLSRVVNVRDSVQSVVGTPGEQLDFQAYLNRSPNSGGVWFMDPNVKRVSSLPASKTQQTDEDDFHYSLGAYFGAEVSRIRDAVDSCCEAVLKDLLSFLESPNASLRLKDLAPYLQSKCYESMSAILIELKDELDVLNTNLESKNFRDGLVPPPAVLVERSLFIGRLLFSFQKHSKHIPLVLGSPRSWVNESMVAGSIKPLTVIRHSMATLDYPSTDPASRRHSSLASTALYGAEDSSSLQLQELRKTTHELCIKAHNMWISWVSGELEVILSRSLKQDDALSTTAPLRGWEDTLVKQDQSEIKLSLPSMPSLYITSFLFHACEEIQRVGGHVLDKPILQNFASRLLDKVIDIYEDFLSTEEAHGSQVSEKGALQVLLDLRFVADILSGGDFIANKESSKVPKPKVSSRRRQDQVQTSSVTREHVNVLINRFSQILDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRLYTDTVQKLPTNSESNVMRCSIVPRFKYLPISAPALSSKGTNKASISTSMDHISSRSSWKSYSNDGLSRKVDGDENSGLGMAAPLLKSFMQVGSRFGESTLRLGSILTEGQGRFGDMLPAQAAGLLSSFTVARSDS >itb03g29030.t2 pep chromosome:ASM357664v1:3:29786264:29796137:-1 gene:itb03g29030 transcript:itb03g29030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSAPPPSPSGGAGGGLRSHQQDAELLFRTKPIAEIRNVEIATRRQIQDKSEELRQLVGNRYRDLIDSADSIVLMKASCESISANISAIHRGILHSLSSATAADSPKSIDHTKARIYGIACRVKYLVDTPENIWGCLDESMFLESSARYIRAKLVHRSLISNTESKNVLSNFPLLQHQWQMVETFKAQISQRSRERLLDQAVELGIHAYADALAAVAAIDDLDPKQVLTLFLERRKSCISQKLAGCCSNVNAISSDVISVYCQAIKIIQVTIGQVGELFLQVLNDMPLFYKTILGSPPASQFFGGIPNPDEEVRLWNLSRAELELKMVLLDREYISTVCSDWLKNCGKEIMNMVNNRYLIDVISSGQELAAAEKLIRDTMDSKQVLEGSLEWLKSVFGSEIEMPWKRTHELVLGGESDLWDEIFEEAFVQRMKAIVHKGFDDLSRVVNVRDSVQSVVGTPGEQLDFQAYLNRSPNSGGVWFMDPNVKRVSSLPASKTQQTDEDDFHYSLGAYFGAEVSRIRDAVDSCCEAVLKDLLSFLESPNASLRLKDLAPYLQSKCYESMSAILIELKDELDVLNTNLESKNFRDGLVPPPAVLVERSLFIGRLLFSFQKHSKHIPLVLGSPRSWVNESMVAGSIKPLTVIRHSMATLDYPSTDPASRRHSSLASTALYGAEDSSSLQLQELRKTTHELCIKAHNMWISWVSGELEVILSRSLKQDDALSTTAPLRGWEDTLVKQDQSEIKLSLPSMPSLYITSFLFHACEEIQRVGGHVLDKPILQNFASRLLDKVIDIYEDFLSTEEAHGSQVSEKGALQVLLDLRFVADILSGGDFIANKESSKVPKPKVSSRRRQDQVQTSSVTREHVNVLINRFSQILDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRLYTDTVQKLPTNSESNVMRCSIVPRFKYLPISAPALSSKGTNKASISTSMDHISSRSSWKSYSNDGLSRKVDGDENSGLGMAAPLLKSFMQVGSRFGESTLRLGSILTEGQGRFGDMLPAQAAGLLSSFTVARSDS >itb14g19590.t1 pep chromosome:ASM357664v1:14:22178379:22179750:1 gene:itb14g19590 transcript:itb14g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFFALETIASTTTEAEALLKWKSGLSSSSLKSWSLSNLTNLCNWRGIVCNADGAVSEINLSDADLSVEIQHQILSLQPFAIYVLS >itb08g06930.t1 pep chromosome:ASM357664v1:8:5928029:5932147:1 gene:itb08g06930 transcript:itb08g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRLLSPAALSVALLWLVHFSDASGEEGVIGYGYKVISVGADSTASSLTAKLQLIKQSSVFGPDIPALNLVASFETSDRLRVRITDANNQRWEVPQDVIPRQQPPAAEAFQPLIGNFLQRSISSPNSDLVFTLQNTTSSPFGFTVHRRSTGDVLFDTSPVANRPDTLFIFKDQYLQLSSSLPADRANLYGIGEHTKGSFKLSHNQTLTLWNADIGSANLDLNLYGSHAFYMDVRSPAGASHGVFLLSSNGMDVVYNGDRITYKVIGGIVDLYFFAGPSPAAVMDQYTQLIGRPTPMPYWSFGFHQCKWGYKSVYDIENVVANYAKAGIPLEVMWTDIDYMDAFKDFTLDPINFPLDLMKNFVDRLHQNGQKYVLIIDPGININDTYGTYIRGMAADIFIKHDNKPYKGVVWPGDVYYPDFLNPSCGIFWSNEINKFHSQLPIDGIWLDMNELSNFISSPVAPSTLEDPPYKINNWGTKAPINHNTVRATAVHFGNITEYNAHNLYGFLESKATNAALVKITSKRPFILARSTFPGSGKYTAHWTGDNAATWNDLAYSIPSILNSGLFAIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKDSIRQELYVWDSVAATAKKVLSLRYQLLPYFYTLMYEAHMKGTPIARPLFFSFPQDTNTYDISSQFLLGKGVMISPVLKPGENSVDAYFPAGVWFDLFNYSHSVSSNQGKYITLEALPDHINVHVREGNILAMQGEAMTTEVARETAFKLLVVLSSSENSTGELFLDDGEEVEMGIAGGRWTLVKFSSNSVGNTVVVESKVTNAEFALSKKWVIEKVTFLGLKHVSHLNSYKLGPKMGSGWKQSRRMLADIRVGEEFTVVEVSGMAIPVGKEFRLEFNANM >itb14g09230.t1 pep chromosome:ASM357664v1:14:9534473:9534763:-1 gene:itb14g09230 transcript:itb14g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSSQNCLRRLHHHHRRPRRLSRINRRNFLLRRLLPPRNLRILPSPAPPQSPAPTRTPDALLIPSTPSPSAVPVGGPPAGVDCNSARQSPPS >itb03g10570.t1 pep chromosome:ASM357664v1:3:8366453:8368042:-1 gene:itb03g10570 transcript:itb03g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLWTTLAVFAALSLIHGLLKKTKAKKLPPGPRGLPILGHLHLLGKNPHQDLSKLAKQYGPIMHLRFGFVDTIVASSPHAAQLFLKTHDLVFASRPPIEAAKYMSYNQKSLSFGEYGPYWRDMRKLCTLQVLSNHKVNSFQAMRREELCYLIDSLKQSALNRVAVDLSAKVSELSAEMSCRMVVGKKYENKDIDERGFKGVINEVMQLLAIPNLGDYFPYLGILDFQGLTRRMKVMAKVIDRFLERILDEHEQNGSSDQMTKDFVDIILSIMKSGETEFQFTRDHVKSVLMDMLAGSIDTSSSAIDWIMAELLRNPHVLKKVQQELGSKVGLDRMVNESDLQDLNYLEMVMKESFRLHPPGPLLIPREAREDSTVDGFHIPKKARIIVNVWSIGRDPNVWVDPEKFIPERFEGCNIDYRGSNFEFIPFSSGRRSCPGLQLGTTVVRLVVAQLVHCFDWELPNGMSPEELDMSEEFSLVMPRAKHLIAVPHYRLHT >itb09g26500.t1 pep chromosome:ASM357664v1:9:27116634:27117990:1 gene:itb09g26500 transcript:itb09g26500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKPKSGKAGQSRPPQVVVHPVYEDFRPAFERQHEEEAEKFLVYLPGFPKENIRVNTKGMNMVRIHGEHLVAGNKWNRFQEDFLAPENCDMTRISARFENGILTITMPTKKLVPHEVKETQMTPTPLKKSQHVSPPKFTSPMHSESKERDDNYDDNAKQGEQGGRQITRSARTYMQAVKSLTEPYEKRQLLMSAGVAVLVSVALGAYISLQYRESETT >itb04g22150.t1 pep chromosome:ASM357664v1:4:27314133:27318088:-1 gene:itb04g22150 transcript:itb04g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable CCR4-associated factor 1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT5G10960) UniProtKB/Swiss-Prot;Acc:Q9LEU4] MSVLLKNDSIQIREVWNDNLEKEFALIREIVDEYPFIAMDTEFPGVVLRPVGNFKNINEYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDHYCIWQFNFREFDMSEDIFANDSIDLLRQSGIDFKKNNEMGIDATHFGELLMSSGIVLNDGVQWVTFHSGYDFGYLLKLLTCKNLPDSQSGFFDLLNMYFPIVYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTSCAFKKLKENFFSGATEKYAGVLYGLGVENGSDNK >itb02g20500.t1 pep chromosome:ASM357664v1:2:18471908:18472675:-1 gene:itb02g20500 transcript:itb02g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSPKFPISLHNWMSIYIWGQALRDDQIRSDQNRLITILIKMKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPKLKDYLVNSAAAKKVYELCKVSMPKC >itb10g23660.t1 pep chromosome:ASM357664v1:10:27904519:27904971:1 gene:itb10g23660 transcript:itb10g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCNAQNSPQDFLDAHNAARAAVGVGPMTWDVTVAGYALNYANKRAADCSLTHSNSFQFGYGENIAAGGGPLTGKSAVAMWDAEKKYYHHASNSCSAPAGQSCGHYTQVVWRKSVKLGCARVKCTNGAGHFVTCNYSPPGNFNNERPY >itb12g04890.t1 pep chromosome:ASM357664v1:12:3235896:3238830:1 gene:itb12g04890 transcript:itb12g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGMMDSAYFIGRSEILSWINSTLHLNLSKVEEACTGAVHCQLMDAAHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVTKLVKGRPLDNLEFMQWMKRYCDSVSGGATHGYNALERREACKGGREANKKFAAPQPSGKTAAASTKHVPHNGRRNDVTNTSSATNPSGKTSRPSSSGGRPVYSETEKAAHEQQITELKLSIDSLEKERDFYFAKLRDIEIVCQCPEIENLPVVEAIKRILYATDADGSIVVEAQAMISQQQQVEPADDNIPEEEQDNKQKVENQKRKIIINSDVDVAASITLSPRQKIADASDVHCSGSPLVTY >itb07g07330.t1 pep chromosome:ASM357664v1:7:5564729:5565151:-1 gene:itb07g07330 transcript:itb07g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGTIPEAIGGLSFLSTFNISRNSFHGHVPDSIGFLTKLQTLDMSYNHLSGKIPATLYNVSSLLLIDLAYNHLSGTLPGGICDNFRLLQGLHLSANRLSGQIPSSLPKCTELRVLNLGKNEFHEAYHFKLATFPSLRG >itb04g07940.t1 pep chromosome:ASM357664v1:4:5488328:5500423:-1 gene:itb04g07940 transcript:itb04g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIATEEAGAGRSLEETSSEEQHCQSSEALAEWRSSEQVENGITSTSPPYWDSDDDDDSGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHEKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFLDSDTLIIKAQVQVIRERADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSRLGKLIEDKARWSSFCAFWLGMDQNSRRRMSKEKSDAILKVVVKYFFIEKEVTSTLVMDSLYSGLKALEGQHTGKKGKGRCLDVVEQPVPIVKMEKDMLLLVDDVLLLLERAALEQLPPKDEKGPQNRTKDGTSGDDFNKDSIERDERRLTELGRRTIEIVVLAQIFSKIEVAYQEAVALKRQEELIREEEAAWMAETEHKSKKEKKSKKKQGKQKRNNRKVKDKGKEEKPSVDLQDKTEGKLSITEGTEETESVLEKPDTPEETSDISDSVECVPEVLNHDSEGRDVSPVNWDTDTVEVHPSMDTSCSGLGSFPSSQNGIAGRRSPAVDDSSSTCSTDSTPSVVMNGSYRGNHLSNHKNQKSASRGRNHRRKSAKDTNWSDDSQTQQLDTTIDNGQLSDTSGSSWKPAGTKSRAAGLSSREQHVQKEVVVLQQKKRNEADTEGSSKNRTSVLSPPKAVTSTVQLKPELKSLGSTNNSHSVKKLTPDIHNVTDKSVQMKSPPESYAAKSDAPRSVDLKASKKPSSQQVPSTAARPLTHQVAATSEKPSSQVANVSSRPSRPLSAPLVGPRPTAPVVSIAQTPPLLARSLSMSGQLRPDSSAAAPHSYVPQSYRNAMMGCSSVTVSSAGFDQPRSSLAVSASHSISQPPHSLISAPFSQQGPEIAVPSSIRPSFSYETMNHGVIQNGPQWMDSAPQRDSRSIAPLDFPVLNDSRNSYLYKPVSSTSHDDLPSMFPPGTSRRQPPSVFTDEFPHLDIINDLLDDEHGLGMVSEKDTGFQSYSNGFHHLTRHSTFPGDLGMPSDAGPSMSSCRFERTQSYDNMFQHNSYPGGVFDGVSDMIPQSSQQSFVNNGKMNGFIPDHWQMVGSSPYLGMSNAESDAGYPYHHPMMPDYSNMACGVNGYPVFRTSNGL >itb03g16060.t1 pep chromosome:ASM357664v1:3:15180447:15182442:1 gene:itb03g16060 transcript:itb03g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCGGETEATTPVEPSSEGARRRRMEIHKFRFVAADVAVAPPLDNERKRLNNIFPASPPASESCDVSENCKKRRKLEGNDVCYVSKACEDEGFVVEGEIRAKVSSEDPTEDPDRPESATCSGTEPDCPKFGMTSVCGRRRDMEDAVAIHPFFRRNTENSTSSSHFFGVYDGHGCSHVAMKCRDRMHEIVQSEVGGEEPEWKEVMSRSFSKMDQEITDWSSGANAAARSSCRCELQTPQCDAVGSTAVVAVVTPESIVVSNCGDSRAVLCRNGVAIPLSIDHKPDRPDEVNRIEAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISEPEVTVTERTAEDECLILASDGLWDVVSNETACGVARMCLRQGKPPSPPRSPGRDDAVTAAAGESSDKACSDASILLTKLALARYSSDNISVVVVDLRRNP >itb03g16060.t2 pep chromosome:ASM357664v1:3:15180462:15182368:1 gene:itb03g16060 transcript:itb03g16060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCGGETEATTPVEPSSEGARRRRMEIHKFRFVAADVAVAPPLDNERKRLNNIFPASPPASESCDVSENCKKRRKLEGNDVCYVSKACEDEGFVVEGEIRAKVSSEDPTEDPDRPESATCSGTEPDCPKFGMTSVCGRRRDMEDAVAIHPFFRRNTENSTSSSHFFGVYDGHGCSHVAMKCRDRMHEIVQSEVGGEEPEWKEVMSRSFSKMDQEITDWSSGANAAARSSCRCELQTPQCDAVGSTAVVAVVTPESIVVSNCGDSRAVLCRNGVAIPLSIDHKPDRPDEVNRIEAAGGRVIYWDGPRVLGVLAMSRAIGMFYIPKRL >itb06g22870.t2 pep chromosome:ASM357664v1:6:25053809:25061944:-1 gene:itb06g22870 transcript:itb06g22870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRACGCDASSTKFLLGHERKGDNSDENESSGKERGDATFNQLTKEDPNSDRFTGGDKIHGTQLVAFVSWLAGKKSSLNQNRHVKVKVDEGCEVDNDEHRLLVPEVVGFDPPVPEQVTSAGNDKKLSLQRLSSSDSNYSSAGLQKENGGAGFRRQASGCNYSGVYGKEKALGFERHVPLQRLSSGESTSYAGSFFSGTTLEGNYWPSTVVSEVKDSDLSTTTGEVQAEKGVNGVDGLAQRSKESYYLQLTLAKTLVQQATLAADELMLLPECRSVKGHAGSSDPETVSYRFWVNGCLSYADKIADGFYNILGVNPYLWVMCNDLEEGKSLPSLVALKETKPSDTSMEVILIDRHGDSRLRELEDKAQEMYFTAENTLVLVEKLGKLVAVHMGGSFPVEQGDLHMCWKIASERLKDFERCIVLPIGNLSAGLCRHRAILFKKLADYVGLPCRIARGCKYCVEDHCSSCLVKIEDDRKFSREYVVDLIGEPGNVHDPDSSINGSVRFSVPSPFQISHLKEFQQPYMDCDIKCQLENSNYTFARPSGVCSGTVDGNHPVKDVQENNIPKNSLHGHNQSAGSESPKAFATAEIMGDGDTKLGDDKIVIRQTYKKEIILSKMPVYPGRPPKITFASSSDVTEIESSFENYEKHSTSTIPKYLKLEPSLAMDWLEISWDELHIKERVGAGSFGTVHRAEWHGSVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLINRPAAGEVMDQRRKLRMALDVAKGINYLHCLSPPIVHWDLKTPNLLVDKNWIVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLGPAQVVGAVAYQNRRLVIPQNVPPVLASLMEACWDDDPVQRPSFASIVGTLKKLLRSPSQSSIQTG >itb06g22870.t1 pep chromosome:ASM357664v1:6:25053577:25062523:-1 gene:itb06g22870 transcript:itb06g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRACGCDASSTKFLLGHERKGDNSDENESSGKERGDATFNQLTKEDPNSDRFTGGDKIHGTQLVAFVSWLAGKKSSLNQNRHVKVKVDEGCEVDNDEHRLLVPEVVGFDPPVPEQVTSAGNDKKLSLQRLSSSDSNYSSAGLQKENGGAGFRRQASGCNYSGVYGKEKALGFERHVPLQRLSSGESTSYAGSFFSGTTLEGNYWPSTVVSEVKDSDLSTTTGEVQAEKGVNGVDGLAQRSKESYYLQLTLAKTLVQQATLAADELMLLPECRSVKGHAGSSDPETVSYRFWVNGCLSYADKIADGFYNILGVNPYLWVMCNDLEEGKSLPSLVALKETKPSDTSMEVILIDRHGDSRLRELEDKAQEMYFTAENTLVLVEKLGKLVAVHMGGSFPVEQGDLHMCWKIASERLKDFERCIVLPIGNLSAGLCRHRAILFKKLADYVGLPCRIARGCKYCVEDHCSSCLVKIEDDRKFSREYVVDLIGEPGNVHDPDSSINGSVRFSVPSPFQISHLKEFQQPYMDCDIKCQLENSNYTFARPSGVCSGTVDGNHPVKDVQENNIPKNSLHGHNQSAGSESPKAFATAEIMGDGDTKLGDDKIVIRQTYKKEIILSKMPVYPGRPPKITFASSSDVTEIESSFENYEKHSTSTIPKYLKLEPSLAMDWLEISWDELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLINRPAAGEVMDQRRKLRMALDVAKGINYLHCLSPPIVHWDLKTPNLLVDKNWIVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLGPAQVVGAVAYQNRRLVIPQNVPPVLASLMEACWDDDPVQRPSFASIVGTLKKLLRSPSQSSIQTG >itb11g06830.t1 pep chromosome:ASM357664v1:11:4132420:4139899:-1 gene:itb11g06830 transcript:itb11g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGTRKSNLTARKPGRERTAADSPVTPLLENRRSNLDNAVPNRPSTGTPAPWASRLSVLARIPPTKRSDKGDDTDLVKPVFVGEFPQVLRDQQAVVLQNYAPGSASMSGGMDKETFLAWIISGSKLFIWSYLASAASRNCVVLELPSTFSGNDNVGKQSYSSDNWLVSVVSWDNMQHTNKVVQRCSSAGVIACNQKSRTLVYWPDIFSEARTSPVISYADPEEVELTFSPVDGKATPNKMWQRNKLGSSSTLNSINSLIASAIPENCQHHACIALACSSSGELWQFLCSPAGIYRKRIYENISRGDDGGHFVGGRGYPRSLVWHFLSHSSGKPKRQFLLLTDHEIQCFSIELSPDHIVSKIWSHEIISSDSDLGIQKDLAGQKRIWPLDLQIDNEGKVITILIAIFCKDRITSSSYTEYSLLTMQYKSGVDVYSESVQSTNERILEKQAPPQVIIPKARVEDEDFLFSMRLKIGGKPAGSVIILSGDGTATVSHYWGNSTRLYQFDLPYDAGKVIDASVFPSDDDGEGAWAVLTEKAGVWAIPERAVKLGAVEPPERSLSRKGSSNERSSQEEKKSLSFAGNIAPRRASSEAWDAGDRQRSSLSGIARRTAQDEESEALLNQLFHDFLSSGQVDGAFDKLKHSGAFERDGDTNVFVRTSKSIVDTLAKHWTTTRGAEIVALSVVTTQLIEKEQKHQQFLQFLALSKCHEELCYKQRHSLQIIMEHGEKLAAMIQLRELQSTISQNRGTGFGSYLHSDMQVAGALWDLIQLVGERARRRTVLLMDRDNAEVFYSKVSDLEELFHCLERQLEYIVSEEMTFSVQIQRACELSNACVILTRAAMNYRNEQHLWYPSTEGLMPWNSQEKVRNGLWSIASLMLQLSKQKYPVDDTVKLSFYSHLEKLSDILLEAYSGAITARIECGEGHKGLLDEYWSRRDALLNSLYQQVKDFVEGKNQDSVEEFKQEQEETFRKLSSGLFSIAKRHEGYQTLWNICSDLSDLNLLRTLMHESMGPTGGFSYFVFQQLYDNKQWSKLMRLGEEFQEELAIFLKQHQDLLWLHEVFLHQFSSATETLHVLALSQDDRSISDMDTFSYATNKRTSLSDRKRFLNLSKIAAMAGKDANFETKLKRIDADLKILNLQEIILELLPDDEMQNIGQRQLLPPMDLIELCLKSQSRELSLRAFDVFAWTSFSFLKSNTSLLEECWRNASNQDDWEKLYQASVAEGWSDEETLSILQDTILFQASSRCYGPNAITFEGSFNEVLPLRRESFELQNLKGTASSVETILMQHKDFPDAGKLMLTAIMLGSVQGDAIMEDPSPME >itb10g02440.t1 pep chromosome:ASM357664v1:10:2052535:2055866:-1 gene:itb10g02440 transcript:itb10g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMTRAPKLASGGPSSNNRSSWRPTFLHLLFAAAIFSIIVFTIQSSLFTGNGGVNVINREEIRILSDFQSNLQQCVANRGLGLTAQIIDHCNIILKFPQGTNSTWYNEQFKIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCYNRTLCEEHLNILLPAQPPFHPRQFRTCAVVGNSGDLLKTEFGPEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAAGNMITILNGSDDEVLIIKSLTHRDFNAMIKRIPNPVYLFQGIVLRRGAKGTLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRKQDWSDVPSREMINRAQKAALQLKRSAADQVGGLGQFVNCKVWGNAGPYGSGPISGSPDMTDVRKNSKYSKWEVLPFKNLREETRQHFLQMEGVSMYKMDGNKLDDLVCVRHPQKSEAEA >itb01g06150.t1 pep chromosome:ASM357664v1:1:4343308:4345530:-1 gene:itb01g06150 transcript:itb01g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFNLILTFLFIACLHFSSIFATESEIYIVHVELPDGLTSRDSHYQSFMSSAVETSTDSSNIIYSYQHVISGFAAKLSPDAVKAMEKMDGFVHARPQRVYNLHTTHTPNFLGLHLNSGFWNSSNYGEGVIIGLLDGGIFPEHPSFSDYGMPPPPAKWKGTCDSFNCNNKLIGGKGFLSGNQSTSFDNVNGHGTHTSSTAGGNFVDSANVYGSDNGTATGIAPRAHLAMYKVCGVGGGCSEIDILAGMDAAIEDGVDVLSISLGGFSGPFYDDNIALGAYSAMEKGIFVSCSAANAGPEHFTLSNEAPWILTVGAATVDRNVVATARLGNGDEVDGQSAYQPDDFSQELLPLVYPGMNASDFNAKYCGNSSLDNYDVKGKVVVCDMGGPVPTILKGAVVKEAGGAAMILVNQDYMGYTTFALANVLPATHLSFADGEKVKSYLNSTANPTATIVFKGTVIGDPHAPAVSFFSSRGPSVASPGILKPDIIGPGVSIIAAWPFSVENRTDSKPTFNIISGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAIITTSDTTNLGNNKIEDERGLPADIFTVGAGQVNPSRANDPGLVYDVAPEDYVPYLCGLGYTDKQVSLILRRNVTCSTSIQEAELNYPSFSLNFVNTTSTSGSQTYTRTVTNVGEASSSYTVEILPPDGVSVTVEPSTLSFSELNQKASYQVTFSRSASPTNATVVQGYLKWSSSSYVVRSPIAALLNNLEV >itb14g19500.t1 pep chromosome:ASM357664v1:14:22120614:22125163:-1 gene:itb14g19500 transcript:itb14g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEDAPPSTSRRFRFRDHSEEVKAVDDFNGDGHTTTDFSSVSSDLDDDTELESMSAQGIRHLCSELLELKMESEEEFQNIVLANYSSFIGIFEGAKNLEHDVKQLKYQVSTQKRLIEDLTNGILNKAFEQEKIEPVLDESLHAQTSIMTILGAHTEDCCDIVDNLLAEHRLDEAIFYLEREANSDESRQLLQGYSPDKLVSYNSAISEKRSAIVDQLVSVANNPRVSPPELQKALVGLCRLGEDHLATQLLLNYYHLRIASRINDLHSSKVFLHGVYIQEVGKFVFSMISQAVRSYVALHGGTSPYASELLQWADEETEVFANCFSIYVKSLVELSSGLPIVIEAMQITMSYCSLLESQGLVLHSNLIKHITPCIEQVLEVHIQHFKKVIGIFTSSDTWFLGRYLVSGMLNQDTSSTIKGQQSEYCPLTNSARKFITLFQAILEEVSPLIALHVEGSIISGLKDLFSTYILFIERALISGTEAEEGDLRINVAESLVQKLSLVANLSTLEQILSNMIQSVFIGFNHLKFEIDSYVLFIHDTCAQIRSNFFKQFVLKYSPSEGEWGNIPACCTGGQEDSNIHDPEPSISYQEFYLELRRLKKHAEDHFIDLDWLTDILKELMEAIFLWISREEIWEISKEHLSDQNCGNFTQLKLDLHFLVEIVRWGGWFSDNTMKAYSDIVSRMESALVSAGLNSKCNISDDEWATNAAIRTLQRLQESDENEAVTKVPAGELELDADLTGPNLRDINGSSEVSDIATSPKDAAYIATSPKDAADYIANLETNEGDTLDALMQQL >itb14g06160.t1 pep chromosome:ASM357664v1:14:5359396:5362689:1 gene:itb14g06160 transcript:itb14g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFSWEGKLLIMLASFSLYLGELSIVHGHKGLSGKLAILKGRESHLLPIVTHLIKSILRLTEYIVELAQSSSHYSSSIIPIGCYWIVTSILTYASYFTSGLMSMHSRCFVGSETQLSSLTVKIKGVISDCRPILEKKREVDSYNALCHAFFDENPIPSTSSNLDVLKLVFNVKKGGKHKLIYDGARKQMVELHVLKNKSLLLLISPRLLSVRNVQKSVAPRFVRFVKENFFPDFQIGGEPIIVSPDHNGRMVHRNAMHMVLMRGLDICVRMSDGIKIGDSVIPLLQKVLTERVSTVRDLIPDIDRKISEVANKVDGIINDWFRDIEEQIQNPVDSNIFTSKKEKDLWKIDTWCTKLVAELGYRIPKWVEKNRCIFLIGGHDIQYVKTFESKVMLQNQFNPQSKIKMSYVGSNMKVASMIAEDKDCKVIGDPVPSWFFWARLQSIFMSRIKFVEETHGDEECDEILRRVKKLLAYEANDLVVNDWAMLCKGNKIVVCDQGDKMLKVMIEYEKWKENATAKGFDQAFKDYHDEMLHSTFTSLHHHHRCALKYPCNFESVPEDVKCLECCHGMQKFVTFKCCHGSAYDDEAGLYCHDDEDSD >itb04g25010.t1 pep chromosome:ASM357664v1:4:29683558:29685574:-1 gene:itb04g25010 transcript:itb04g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYAYKGDEESGSAPLYPTMLENPELRWSFIRKVYSIVAIQLVMSIAVGAVVVSNHHISRFFATTGAGLALYIVLIITPFIVLCPLYYYHQKHPYNYILLGIFTVALSFAVGLTCAYTSGKVILEAAILTAAVVIALTLYTFWAAKRGQDFNFLGPFLFGAILVLMLFSLIQMFFPMGKISVMIYGGLASIVFCGYIVYDTDNLIKRYSYDEYIWAAVALYLDVINLFLSLLSIFRAADS >itb05g19420.t1 pep chromosome:ASM357664v1:5:25929331:25930768:1 gene:itb05g19420 transcript:itb05g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSSSPGFLLHKQEKPATKTLGGEYEREADDEEKARHEWDFRLSTVVSNSPSAGAVSDVLGVLSFDPSDTLLATGGIARKIRVYSAESLLLDSENDAVLLDHAVACGLCICTPAKLSSLRWKPGWGNRVIGSGDYDGVVTEYDLETSVAVFERDEHGGRRVWSMDYSHWDPAVGASGSDDGTMQTWDPRCPDGKCLGSVRPSPARSPVCCVEFSPFRGPILAAGCADSNLYAYDARMMPAPLFVLDGHLNAVTYVRFLDHRTAVTAAVDGSLKTWDTDERRLVRSYKGHVNTKRFVGLSAWTTGGLLCCGSENNQVFVYDKRWGQPIWAHSWDRSGGSDGGFIGSVCWMQRGYDDKCTLVAGGSDGVLKVFNGRRIEDRS >itb07g20050.t1 pep chromosome:ASM357664v1:7:24501983:24502735:-1 gene:itb07g20050 transcript:itb07g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACADVEFRCFVGGLAWATTERTLDETFGQHGEILESKIINDRETGRSRGFGFVTFKYEHSMRDAIEAMNGQSLDGCNITVNEAQSRGSGGGGGYFRGGRCEGGGGGGYGRREGGYGGGYGGGRARGYGCGDRDYGGCYSRGGGASDGSWRN >itb01g12270.t1 pep chromosome:ASM357664v1:1:11676905:11680474:-1 gene:itb01g12270 transcript:itb01g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDLEKESAAQVIRAKKGGMKTMPFVIANETFERVATVGLAANMILYLVNEYHFDNVLGANIMSWWVAISYFTPIFGAFLSDSYLGRYLVISLGTFTSLIGIILLWLTALLKSARPAPCTPGSENCEKPNSGQLAILFTPLALMAVGAGGIRPCSLAFGADQFDNPDNPNNKRILQTFFNWYYASVGVSIIVAATVIVYIQTEKGWVVGFGVPAVLMLVAAVMFLVGSRLFVKVKPNKSLLTGFYQVVVAAWKNRHLDLPEKGCGDCWYYGEKGSKLTLPSERVRFLNKACLVANPETDQNPDGSASDPRRLCTVKQVEGLKSVFKVLPLWSTGIIISVTISQHSFPVLQATTLDRHFIGNFQIPAGSFFVFSILTLTIWVAIYDRLLVPLIAKRTNNPRGLGLRQRMGIGLVLSCLAMAAAALVERERRARAIRQGLENKPLGLVDMSGMWLILQNCLSGLGEAFNAIGQIEFYYSQFPKNMTSIGVSLFSLGMGFGNLVASLIVVAVNHCSKHGGKISWVDDNFNKGHYDYYYWVLCFLSIGNFFYFLLCSWAFGSSDDAKIWDDDDDDESDSNKEGGAVMH >itb14g03690.t2 pep chromosome:ASM357664v1:14:3333912:3337267:-1 gene:itb14g03690 transcript:itb14g03690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGTKQIFSVLTYQLKHYTHLLQHHRTIVKVRLKWVKNRGLDHIIDVQTDLKAACLLKDAIIRSPTGYLTSKSLSDSQKLLGLTVPTLRFIRRFPTLFEEFPHPKYPSLPCFKLTHIAKVLHDQELKVFDDYQSDLMERLSKVLMMTKNRMVTLQALHSLKWDLGLPDDFDRKFIKKFPDDFMVVRGTNGLPCLKLVKWREEFAISELQKMNEKGESVDDNNIGSSNTSVYRQFRSGKSALVFPMSFPRGYGAQNKVKAWMEEFQKLPYISPYEDSRGIDPNSDLMEKRVVGVLHEILSLTLYKKTKRNYLRSLREEMNLPHRFTRIFTRYPGIFYLSLKCKTTTVALREGYRRGRLANPHPLTRHRDKFHHVMRTGLIYRSKGVDILPQLDNLIDDAGEDIGEGDTDEEEIGTSDECYEDGSSEDDCGSDED >itb14g03690.t1 pep chromosome:ASM357664v1:14:3333912:3337303:-1 gene:itb14g03690 transcript:itb14g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGTKQIFSVLTYQLKHYTHLLQHHRTIVKVRLKWVKNRGLDHIIDVQTDLKAACLLKDAIIRSPTGYLTSKSLSDSQKLLGLTVPTLRFIRRFPTLFEEFPHPKYPSLPCFKLTHIAKVLHDQELKVFDDYQSDLMERLSKVLMMTKNRMVTLQALHSLKWDLGLPDDFDRKFIKKFPDDFMVVRGTNGLPCLKLVKWREEFAISELQKMNEKGESVDDNNIGSSNTSVYRQFRSGKSALVFPMSFPRGYGAQNKVKAWMEEFQKLPYISPYEDSRGIDPNSDLMEKRVVGVLHEILSLTLYKKTKRNYLRSLREEMNLPHRFTRIFTRYPGIFYLSLKCKTTTVALREGYRRGRLANPHPLTRHRDKFHHVMRTGLIYRSKGVDILPQLDNLIDDAGEDIGEGDTDEEEIGTSDECYEDGSSEDDCGSDED >itb14g05730.t1 pep chromosome:ASM357664v1:14:4990005:4993597:1 gene:itb14g05730 transcript:itb14g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEMDTRGSVVYYPPKVWTDDFMQKQTSVYLGEKYSERAAKLKENVREMLENVKKASSDQLELIDVVQRLGLSYHFNREIQKILASLHTMMNNNVEQKIEDLCKTALQFRLLRQEGWHESVNLAERMDFARSRLVEGFLWSVGFSHEPQFEYCRKISTKSAELITVIDDICDIHATLHEVEIMADAVKRWDINALEELPNYTKICFLALFNYVNEVVYDILQEQGINVLPYIKKGWSDLCETYLVEAKWYNNGITPSLKEYLENALVSISGPLLILQAYISIANPIKMEDLQHLLTYPSIIRYPSLILRLTDDLGTSPDEMARGDTSKSIQCYMQETGRSEDDAHNMMREMVEATWKKMNKEILMDTHFCRDYIQTAMNLARISQCMYQYGDGYGRPDGVTKDRIKSLFFEPIPLA >itb03g09320.t3 pep chromosome:ASM357664v1:3:7156257:7160446:1 gene:itb03g09320 transcript:itb03g09320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNKHRKSTSLPCNAGSRCLWPIVVCSLVGCFILLHLYSLTGQKDLQIRETQIYVRHHERLQELEKVEEVNIQLPPPRRRSARAAKRKPKRPTTMIDEFLDENSQIRHIFFPGLKTAVDPRKDSNNDTYYYYSGRIWLDTEGNPIQAHGGGILYDDKTKMYYWYGEYKDGATYHAHKKGAARVDVIGVGCYSSRDLWTWQNEGVVLAAEEKNETHDLYTLNVLERPKVIYNERTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFDYLYSIRPHGFDSRDMTIFKDDDGKAYLVYSSVDNSELHVGPLNEQYLDVTRSMRRILVGQHREAPALFKNQGTYYMITSGCTGWAPNEALAHAAESIMGPWETIGNPCIGGNKIFQLTTFFAQSTFVLPLPGLPPGFFIFMADRWNPADLRDSRYVWLPLTVAGPVDQPLEYNFGFPLWSRVSIYWHKRWRLPYTWREQQ >itb03g09320.t1 pep chromosome:ASM357664v1:3:7156257:7160446:1 gene:itb03g09320 transcript:itb03g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDSLPLTARLHSGSRCLWPIVVCSLVGCFILLHLYSLTGQKDLQIRETQIYVRHHERLQELEKVEEVNIQLPPPRRRSARAAKRKPKRPTTMIDEFLDENSQIRHIFFPGLKTAVDPRKDSNNDTYYYYSGRIWLDTEGNPIQAHGGGILYDDKTKMYYWYGEYKDGATYHAHKKGAARVDVIGVGCYSSRDLWTWQNEGVVLAAEEKNETHDLYTLNVLERPKVIYNERTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFDYLYSIRPHGFDSRDMTIFKDDDGKAYLVYSSVDNSELHVGPLNEQYLDVTRSMRRILVGQHREAPALFKNQGTYYMITSGCTGWAPNEALAHAAESIMGPWETIGNPCIGGNKIFQLTTFFAQSTFVLPLPGLPPGFFIFMADRWNPADLRDSRYVWLPLTVAGPVDQPLEYNFGFPLWSRVSIYWHKRWRLPYTWREQQ >itb03g09320.t2 pep chromosome:ASM357664v1:3:7156257:7160446:1 gene:itb03g09320 transcript:itb03g09320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNKHRKSTSLPCNAGSRCLWPIVVCSLVGCFILLHLYSLTGQKDLQIRETQIYVRHHERLQELEKVEEVNIQLPPPRRRSARAAKRKPKRPTTMIDEFLDENSQIRHIFFPGLKTAVDPRKDSNNDTYYYYSGRIWLDTEGNPIQAHGGGILYDDKTKMYYWYGEYKDGATYHAHKKGAARVDVIGVGCYSSRDLWTWQNEGVVLAAEEKNETHDLYTLNVLERPKVIYNERTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFDYLYSIRPHGFDSRDMTIFKDDDGKAYLVYSSVDNSELHVGPLNEQYLDVTRSMRRILVGQHREAPALFKNQGTYYMITSGCTGWAPNEALAHAAESIMGPWETIGNPCIGGNKIFQLTTFFAQSTFVLPLPGLPPGFFIFMADRWNPADLRDSRYVWLPLTVAGPVDQPLEYNFGFPLWSRVSIYWHKRWRLPYTWREQQ >itb04g05230.t1 pep chromosome:ASM357664v1:4:3246420:3266658:1 gene:itb04g05230 transcript:itb04g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MAEPGPKRIKITRGEDDYMPGNITEIELHNFMTFSQLKCKPGSRLNLVIGPNGSGKSSLVCAIALGLGGEPQLLGRASSIGAFVKRGEDNGYIKISLRGDTKEEVITIMRKIDAKNKSEWLFNGKVVPKKHVLEIIQRYNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPRLPVMHSSLITKSEELKRLERTIQSSKETLDQLKQANAEIEGDVERFRQRDLLLAKAEQMKMKLPWLQYDLKKVAYKEAKNREKDAKEKVDDAAKTLNELMEPIKKQKQEKAAQDGKCKKLSDLLDNNAEKRMGILERDNFLVVQIRGKYREMEDQRRQEESRQEKLSKALNDLRDAELELANLPSSELPQNKLEGLRTVTFELEVTARELRSQKLEAEKSLERNRTSSRQCQEKLKELENMNNKRLHELRSSGAEKIFEAYRWVKEHHNEFNKDVYGPVAIEVNISSTTHANYLEGHIPFYAWKAFITQDSADRDLLVENLKSFDVPILNYTGVGNGTRQPFQLTEEMRRLGIYSRLDQVFEAPFAVKEVLISQFGLEYSYIGSKETDQRADQISRLGIHDFWTPENHYRWIKSRYGPNVSAMVETVNGSRLLSNMEPGEVDTLKAQKRELDKAAYTLEENLNKIKVELRNTENEGAELERQHERLVNAKIQDKKRRVEMEKLVEQRKLKLKSLERENDLDSTITNLSKQATELKAQRFRCAVQLKDLLTQAAAYRRSYAEQSMASIELEFKERLVNAKIQDKKRRVEMEKLVEQRKLKLKSLERENDLDSTITNLSKQATELKAQRFRCAVQLKDLLTQAAAYRRSYAEQSMASIELEFKIKDMETKVKHQEKLAEEACLHHECCKKKLEDFRDQLSAAMRHAESIALITPELEQAFLEMPSTIEELEAAIQDTVYQANSILCLNPNALEQYETRQRKIDSLSKKHEMDEKELSCHLNEINALKESWLPTLRDLVSQINDTFSRNFREMAVAGEVSLDEHDMDFDKYGILIRVQFRETGKLQILSAHHQSGGERSVSTILYLVSLQDLTTCPFRVVDEINQGMDPINERKMFQQLVRAASQRNTPQCFLLTPKLLPNLDYSDACSVLTVMNGPWIEQASKAWINGESWRSVKGASKERCH >itb12g02410.t2 pep chromosome:ASM357664v1:12:1581728:1587121:-1 gene:itb12g02410 transcript:itb12g02410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASVAGNRDGGGSDSENNAEAGGCSGTQVAVFIRSSNGSKFVVEASLGITVGSFKSLLAQQCDIPPEQQRLIYKGRILKDEQTLESYGLAATHTIHLVRGFAPAASTNTSTNTASAGLGASLFPGLNVNRPGSGAGLFGAGLPDFDQFQQHMSQNPNMMRDILNMPLFQNLMNNPEIIRNSILNNPQMRDIMDRNPELAHILNDPATLRQTMEAARNPELMRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMSGDMRSDTGSNPFAALLGGQGVGQGRDQLNNPPTTGSETSPTSPAPNTNPLPNPWASAGTAGTQTNTTARSNPAGDARAAPQGRLGRRGLPDLLSGMPDANTVNQLMQNPVISQMMQSVLSNPQYMNQILGLNPQLRSMLDSNPQLREMMQNPDLLRQLTSPETMQQLMNFQQSLSSQLGRNRTSRDPGQNGGAAGSPDNTGLEMLMNMFGGLGTGGFGVPNQPNVPPEELYATQLTQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNPGQ >itb12g02410.t1 pep chromosome:ASM357664v1:12:1581728:1587195:-1 gene:itb12g02410 transcript:itb12g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASVAGNRDGGGSDSENNAEAGGCSGTQVAVFIRSSNGSKFVVEASLGITVGSFKSLLAQQCDIPPEQQRLIYKGRILKDEQTLESYGLAATHTIHLVRGFAPAASTNTSTNTASAGNPAAPSPNQNAPRDTGPDVNVGGTFDGSGLGASLFPGLNVNRPGSGAGLFGAGLPDFDQFQQHMSQNPNMMRDILNMPLFQNLMNNPEIIRNSILNNPQMRDIMDRNPELAHILNDPATLRQTMEAARNPELMRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMSGDMRSDTGSNPFAALLGGQGVGQGRDQLNNPPTTGSETSPTSPAPNTNPLPNPWASAGTAGTQTNTTARSNPAGDARAAPQGRLGRRGLPDLLSGMPDANTVNQLMQNPVISQMMQSVLSNPQYMNQILGLNPQLRSMLDSNPQLREMMQNPDLLRQLTSPETMQQLMNFQQSLSSQLGRNRTSRDPGQNGGAAGSPDNTGLEMLMNMFGGLGTGGFGVPNQPNVPPEELYATQLTQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNPGQ >itb06g01710.t2 pep chromosome:ASM357664v1:6:3007686:3010451:-1 gene:itb06g01710 transcript:itb06g01710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSLLPSSNPQSPSLFSKPFSSAKRFSSRISASADVPDFLSADWLESRQKRPFGPRLSFSAEDAVRYQLDALMFNDQPRQDYGIEVMYRFAGFDPFERSTYFGRFFDLGQFERFRRIFHHSTYRILLGHRERKILSSLQVNEV >itb06g01710.t1 pep chromosome:ASM357664v1:6:3007686:3010451:-1 gene:itb06g01710 transcript:itb06g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSLLPSSNPQSPSLFSKPFSSAKRFSSRISASADVPDFLSADWLESRQKRPFGPRLSFSAEDAVRYQLDALMFNDQPRQDYGIEVMYRFAGFDPFERSTYFGRFFDLGQFERFRRIFHHSTYRILLGHRERKILSSLQVNENQYKQRVWVRGSRPEEEETFQFTMVQRVGGSWDGYWLTESLLHDGDAFSGGVAY >itb01g10630.t1 pep chromosome:ASM357664v1:1:9243974:9245371:1 gene:itb01g10630 transcript:itb01g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSSHHPTKLKTTPRPLFSCAFFRHCTQTVLSPTTTTPPPLPSSSSSDPLPPPHPPLHHSNTSPADSSSSSSNTSQSFTQWRFPLPTSPLPHIQTDPKPESAAAPPPPPPLLTVNLEELFHVAELQFSTASDADRVKTIHLLERSLVPNPADGAECPATVMREVVGCLKDRVSAKPASKVLLALCLAERNRRVAVEVGAVGMVVEALSDLEGPVAERALAALELLCTVEEGAAEVRSHALAVLMMVEVMGRMEGRGKEYAISVLSVIYGSGSPDHGAAIAPPEEVARAVMLALQGNCSSRGRRKGTQLLKTCKNMMATTQHA >itb08g10320.t1 pep chromosome:ASM357664v1:8:9750819:9755615:-1 gene:itb08g10320 transcript:itb08g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPEALAEGMSASGRMAEKLSLPSLQSKMKRDPDVYESELSLIYNQFKSLVELFEQQASHNFTSLSGVATDSTVAKDLGDRATFLAHVTPFYPKHLPQFPKELAQFLRSSSRALPSGLRVHVAQALILLINRKMIDIRETLELFMELQTLGDKLLRKLAFSHVIHSIRRLNLKHKNDPKNRELQSILFAMLQQEDELKAKRALITLCELHRRKVWFDERTANAICMACFHTSSRIMIAALSFLIDYEKIEGDDDSDDSDSEDDQSAQQPMVVVNKEAIYKANNKGTTSSKKKKKAKLQRVVRNMKKQQRLQAEQNTNSNYYSPLNYLKDAQGFAEKLFSRLQTCNERFEVKMMMLKVIARTVGLHHLILLNFYPYLQKYVQPHQRDVTNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAISVGLNVVREICLRVPLLMTEDLLQDLVLYKKSHEKAVSSAARSLLTLFREICPSLLAKKDRGRPSDPKARPKAFGEVHIASNVPGIELLEENDEESDDDVESGSIGSSKNDNESDEDVFPVEEDDQSDEDDVSEHAFSDSSIDHGADDDNGSGNDSTDEQEGDVLSDDDADDYRSEEDEDDEEELDRPSSRQGTSIPGSEGGEKKSKAQKRKFDDFDEKLQAAGNSLRALKKLAGAKLGNDSSDTQDGILSNEDFQKIKELTAKKDARIALSKHGFKLPNSDQLSSKRVDAAKLEANIRKKLSKEEKQALIKARREERGKYQARSAVKQKKTGGQSNLQKERKKLMPIAAKRAKVAKSRQEKKKKQQRAGKQFRGRKAWK >itb14g15120.t1 pep chromosome:ASM357664v1:14:18307602:18310148:-1 gene:itb14g15120 transcript:itb14g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANQKGESGDQKKADGGKKGGGGDNALVVLKADLHCEGCISKIVKTIRGCDGVEDVKVELSANKVTVTGKVDPAVVKEKVEAKTHKQVVVVSPAAGNKGGEKAKDGGGGGEKQKQKKKEDKKGEDSKEEKSKGEDDKKKAKEKEPAVTTAVLKMNLHCEGCIQKIYKIVSKTKGYHEMKLDRQKEVVTVTGAMDMKALAENLKKHLKRDVEIVPPKKEGGGGGEKKEKGGGGGNEKGNDKGKGGGKKEGGGGGGDGEKNKEEAANKMQVQLGYPYQGPYPYMYGPGYVQDQMYYNYPHAPQMFSDENPNACSVM >itb14g15120.t2 pep chromosome:ASM357664v1:14:18307602:18310148:-1 gene:itb14g15120 transcript:itb14g15120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANQKGESGDQKKADGGKKGGGGDNALVVLKADLHCEGCISKIVKTIRGCDGVEDVKVELSANKVTVTGKVDPAVVKEKVEAKTHKQVVVVSPAAGNKGGEKAKDGGGGGEKQKQKKKEDKKGEDSKEEKSKGEDDKKKAKEKEVLFSGKPLSLCHCQFVSPPICAVVFLPLFPQSTALSLCLLLRPAHPSTFHFTPSIFYSLPLSLSLFDRNF >itb09g28400.t1 pep chromosome:ASM357664v1:9:29009564:29010848:-1 gene:itb09g28400 transcript:itb09g28400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDEGAADDYLEFEDEDIDKI >itb06g15460.t1 pep chromosome:ASM357664v1:6:19793800:19797028:1 gene:itb06g15460 transcript:itb06g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEELKLYFFCSTLLSLLTFCTSVDTITTDHPITDGTTIVSAGGIFELGFFSPGNSKNRYVGIWYSKIPTKDAVWVANRQTPLNNTSGKLMIKDNGILVLLDGSNEEIWSSNSSKSLKNPVARLSDTGNLVVAEINEHNSENSAWQSFDYPGNTLLPGMKVGKNLATGHAWSLTSWKSPDDPALGEYTDMLDVNGFPQFIVFKGANKSVITRYGPWNGQIITGSPYNKNNSIFTIEIFMDETEIYYKYELKDHSVPTRSVLTPFGANQRLIWIERSQSWSVFLTGQTDNCGHYALCGAFGKCNINNSPPCDCLKGFIPKYPKEWDAADWSNGCTRKTQLECGDGDRFLKYTGIKLPDTRQSWFNGSISLEECKRLCLKSCNCSAYSNLDVRNGGSGCLLWFGDLKDIREFNEIDQYLYVRVAASDYEECKKRILTWQKRFEIAVGISRGLLYLHQDSRFKIIHRDLKASNILLDAELNPKISDFGLARIVGEDDAIAKTKRVVGTYGYMSPEYAVNGNFSVKSDVFSLGVILLELISGRKNRTFRHLDHHHNLLGHAWLLWDGGEPLKLMDNFLTETHVKSQVLRCIHVALLCVSKLPEDRPTIASVVFMLENEEVVLPNPKEPGFFIERNLTEASSTNGGICSFEIANMTFSTLEAR >itb09g12950.t1 pep chromosome:ASM357664v1:9:8254514:8260437:1 gene:itb09g12950 transcript:itb09g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSSRVSVVAIVMVFAVMLYLGNSSSDKEALGLAEVDVISEENKVVKESKIDQYFTPLSKSFYSELERRKLEICHCSPCCFACPYKSGGQKGKIGKMTTSTNLEPSTFQHTPFYCEENVYLLCKKLCADGVANPDSSDLFAVFISNEKKQVPLWNQKASHRADGVVLWDYHVICIQKKKDGNSSHLVWDLDSSLPFPSPLSSYIAETIRPSFPLFSEFKRSCRVVHGPIFLRSFASDRSHMKDTAGNWVAQPPPYEAIVAEDGIPNNLNEYIEISSEDAAENLNADVVKAALTQKFGIVVGESLLEEFLSLVDD >itb04g04460.t1 pep chromosome:ASM357664v1:4:2770151:2771620:1 gene:itb04g04460 transcript:itb04g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVLDATVATFNGVFEKFKAEAPKNKANFILFLADIDPSTSLSWCPDCVRAEPVIYKKLEASSDDVALLRAYVGDRPTWRTPQHPWRVDPKFNLKGVPTLIRWEDDAVKGRLEDHEAHLEHKIDNLLGGK >itb14g18280.t1 pep chromosome:ASM357664v1:14:21357977:21361608:-1 gene:itb14g18280 transcript:itb14g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNSTNSYEEARKQRVLDNKKRFEDLGISKISKSLSDLAKSEKKSPAKQLKVRQKRHEVYMLEPRRSSRARNPVPSYRDEVKIDVELLSFRKRSKLGSSWASYLARPIEEVKTASYEERCKALKEAEELQSNLQSENPSLVKSMVRSHVYSCFWLGLPTRFCENHLPKSTVDIVLEDEEGAEYEAVYISKRTGLSGGWRAFALDHKLDDGDALVFDLVEPTKFKVYIVRASHCSSQGDETYAREEETKDETAKRRKKRDAQSRDSTKSQEEVPSVVEETKHETTKSRKRRNTQSSDSTKSQKVVPTIAEETKEDTQSKDSTMLQKEAPLVASATRRSARLLSCRSG >itb10g23140.t5 pep chromosome:ASM357664v1:10:27651268:27656458:1 gene:itb10g23140 transcript:itb10g23140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLARHNMHSEKNENDLEHHHHHPQPPLQLQQDNRCTHAMLLKEYKDKDRHLRQLKGEDLQELGMEDLIRLEKQMERGLSRVQKSKDDIRLKEMSALKKKEATLKDENAQMKQFFDQMGSSMATQGDKPSPDQEGQYDDSVTKTCNLAHNHLPNCNSDTFLTLGLPFPD >itb10g23140.t2 pep chromosome:ASM357664v1:10:27649056:27654246:1 gene:itb10g23140 transcript:itb10g23140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKIEIKKIDSLSARQVTFAKRRRGLFKKAHELSTLCDVELGLIVFSATGKLSDYSSSSLRFAAFVWSLLCSLRFAPLRIIAQGMVQVLARHNMHSEKNENDLEHHHHHPQPPLQLQQDNRCTHAMLLKEYKDKDRHLRQLKGEDLQELGMEDLIRLEKQMERGLSRVQKSKDDIRLKEMSALKKKEATLKDENAQMKQFFDQMGSSMATQGDKPSPDQEGQYDDSVTKTCNLAHNHLPNCNSDTFLTLGLPFPD >itb10g23140.t4 pep chromosome:ASM357664v1:10:27649080:27653045:1 gene:itb10g23140 transcript:itb10g23140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKIEIKKIDSLSARQVTFAKRRRGLFKKAHELSTLCDVELGLIVFSATGKLSDYSSSSLRFAAFVWSLLCSLRFAPLRIIAQGMVQVLARHNMHSEKNENDLEHHHHHPQPPLQLQQDNRCTHAMLLKEYKDKDRHLRQLKGEDLQELGMEDLIRLEKQMERGLSRVQKSKHVVHLSG >itb10g23140.t1 pep chromosome:ASM357664v1:10:27649056:27654246:1 gene:itb10g23140 transcript:itb10g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKIEIKKIDSLSARQVTFAKRRRGLFKKAHELSTLCDVELGLIVFSATGKLSDYSSSSMVQVLARHNMHSEKNENDLEHHHHHPQPPLQLQQDNRCTHAMLLKEYKDKDRHLRQLKGEDLQELGMEDLIRLEKQMERGLSRVQKSKDDIRLKEMSALKKKEATLKDENAQMKQFFDQMGSSMATQGDKPSPDQEGQYDDSVTKTCNLAHNHLPNCNSDTFLTLGLPFPD >itb10g23140.t3 pep chromosome:ASM357664v1:10:27651268:27654202:1 gene:itb10g23140 transcript:itb10g23140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLARHNMHSEKNENDLEHHHHHPQPPLQLQQDNRCTHAMLLKEYKDKDRHLRQLKGEDLQELGMEDLIRLEKQMERGLSRVQKSKDDIRLKEMSALKKKEATLKDENAQMKQFFDQMGSSMATQGDKPSPDQEGQYDDSVTKTCNLAHNHLPNCNSDTFLTLGLPFPD >itb11g00880.t1 pep chromosome:ASM357664v1:11:387438:388136:-1 gene:itb11g00880 transcript:itb11g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRTLEVTVISGEDLRVSRSLPVKKNAFVTVRADSGDAQNTGMEKQGGEGYVAWNQKLTVHLPMHAHYLTLEVQCKTFSGKKCIGVARIPTSDFVGGMLPENYLHFLSYRLRDSHGERNGIINISIVVKEPAITRVTDYSRPCTGVHLPVPVPNSGAVTGIPVWTTW >itb15g04100.t1 pep chromosome:ASM357664v1:15:2559344:2561486:1 gene:itb15g04100 transcript:itb15g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYKSPGSFQDKVEGSAEKGIDESVHNLHAMNGQQKHRDSEEGNNKTNKADPEGGENVARAPGEVNMEAEITPDDVMRAGGFGARDDIGSFLPTAVDTTDFEASLRDARGYEEPQERVCRPGLGWTDEAAKK >itb07g05820.t1 pep chromosome:ASM357664v1:7:4024954:4026607:1 gene:itb07g05820 transcript:itb07g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFDMYDPDHHRQQPPQQPRKKSKLGLLLLASRVSTLASLLVSIVILRSNKKTYDGASVYYDDFHSYGFTFWVMIVGCVYSLLQIPFALYFFLRGELLIRYTGFVKFEFYADKVMVVLLATAVGATFGATTDMDEHVRNDNEWEHTLHDFWRLMYLPASFLLVGFATSIISAIFSSEIILAGSKL >itb02g14080.t1 pep chromosome:ASM357664v1:2:10287972:10296967:-1 gene:itb02g14080 transcript:itb02g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLGRKNDENAPDLTDFINDMFFGTVKVEKKEYNLTGTTTVDNNNDNNNNRRQERAEASNGTPRFVNDYSGGEEDYFDSSTRSSRRGGGREARRYSSRAGVISMEDDEDYEDFESSTRSVSSRLTQDWLKEAKRMVANSSPGRLVGSPRFAAVQGRLSVSSNTEKRDSFSRSARRGRTVDSFSEEILIKSAKHNRNKSETTLDQSSPDSPATAIHKWFSDILKPPVSIPDSPPGGDHSPSSPNANQTNLALPPRQSTLRKSRFQNASQTTKRTFKPPAVPDDPAVSPPKNFFDSSRRGSISSAPNDKILSPPRKLVESVHRRCISASTCSMDKIYRKNHGEDGVQLKEKSTEEGKELNGGRTVDSFSEEILIKSAKHNRNKSETTLDQSSPDSPATAIHKWFSDILKPPVSIPDSPPGGDHSPSSPNANQTNLALPPRQSTLRKSRFQNASQTTKRTFKPPAVPDDPAVSPPKNFFDSSRRGSISSAPNDKILSPPRKLVESVHRRCISASTCSMDKIYRKNHGEDGVQLKEKSTEEGKELNGFLRDQRRKIGMILNREIDLKARIVLSGHSNSTSSMVAAICYAMLLENRRRANNERDEVVVPVMNSTRANMWKQQQAAWLFHHVDLETLTESKQVIMQVLGEDILKTNGEVVSECTVLTDNYCEEAYDLLQTPMLKKLLLAGILLDTGNLMLSAANNKVPMKTKDAEAVQLLTVGSAPDYRNTLFDQLMQDHKDNKSFNETLQKSYGEPPAEGNHNEEALEEQVSVKSSNRRQSKSDKSCSDTKNSRSSKASSNTGAGKPTPSPKEVAAPTAPKAVDPPPRPKNRFFLAKWFGFGSK >itb06g25860.t1 pep chromosome:ASM357664v1:6:26736732:26737567:-1 gene:itb06g25860 transcript:itb06g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSAHYPSPLDDPSWSRRHMTERTPVVVGGGRYQQYPDAQMITKGCRIIFDDPEDQIAAGRGYGIRSSRHQTPPPPSVFCPGNHHVPEPHKKAVHFVEYQKTTEINNNDDKQLHQHKSFDIETEADIFIKRKHKNFELAKMDTFIIN >itb05g04630.t1 pep chromosome:ASM357664v1:5:4262581:4269669:1 gene:itb05g04630 transcript:itb05g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWKQAGQGQNPSKKVGKEPIIKVKYISSPVMVNARSASEFRAIVQQLTGKEDSPVADHAKVGGGGESSRAARVHVPVPNRMINCNEEEEEEFWREISGKKHGKTVITKYPDARGRGSEPPKTECKLTEAEAERRSLSSAPRSAEAGEGHAGPSLTRNPEHAIEGKDTQFIESSLLKTRRNEQSRNKQGWG >itb09g24950.t2 pep chromosome:ASM357664v1:9:24873255:24877677:1 gene:itb09g24950 transcript:itb09g24950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEERQAEHIDYFVKQASALNGSALATVVVEATSHPSLFAFSEILSVPNVLELEGTENSIYLDLLRMFAHGTWTEYKNIAGRVPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMHELDITNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIHTLTNWLTTSDNLLVSIQEKIKWADTMSELDKKHKKDMEEKVEDVKKSLSFKADIDYRGHEEMYSEPGGVMDYEEDRSRPKRRRHPIG >itb09g24950.t3 pep chromosome:ASM357664v1:9:24873255:24877677:1 gene:itb09g24950 transcript:itb09g24950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEERQAEHIDYFVKQASALNGSALATVVVEATSHPSLFAFSEILSVPNVLELEGTENSIYLDLLRMFAHGTWTEYKNIAGRVPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMHELDITNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIHTLTNWLTTSDNLLVSIQEKIKWADTMSELDKKHKKDMEEKVEDVKKSLSFKKLQTSRRTSTTEGTRRCTLNLVE >itb09g24950.t1 pep chromosome:ASM357664v1:9:24873255:24877677:1 gene:itb09g24950 transcript:itb09g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEERQAEHIDYFVKQASALNGSALATVVVEATSHPSLFAFSEILSVPNVLELEGTENSIYLDLLRMFAHGTWTEYKNIAGRVPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMHELDITNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIHTLTNWLTTSDNLLVSIQEKIKWADTMSELDKKHKKDMEEKVEDVKKSLSFKLQTSRRTSTTEGTRRCTLNLVE >itb12g13360.t3 pep chromosome:ASM357664v1:12:12180529:12184911:1 gene:itb12g13360 transcript:itb12g13360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPETVQFLSQCFLNTLSPMPQPRRQAEAGLAEAAEKLNYGLVVLRLVAEPSVDEQIRQAAAVNFKNHLKFRWSPSPSGPNAPTPAPIADPEKEQIKSLIVSLMISASPRIQSQLSEALTVIGKHDFPKAWPTLLPELVLSLDKLSQANDYASVNGVLATLNSLFNKFQYQFKTNELLLDLKYCLDNFAKPLLEVFKRTANVIDQAVASGSATEATLKPYIESQKLCCGIFYSLNFQELPEFFEDHMNEWMVEFKKYLTVKYPALEDSSNDGLAVVDDLRSAVCENISLYMEKEEELFQGFLSGFVEAVWSLLVASSASSSREQLTVKAIKFLTIVSTSIHHTLFARDDIQEQICQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIATFYKEKVTEKVSLQIKNCLAMFTQNPAGHWKFKDCAIYLVVSLAIKKAGGTSVSTDLVDVENFFASVIVPELQSQDVNAFPILKAGALKFFTMFRNQISKPIALALLPDVVRFLGADSNVVHSYAASCIEKLLLVKDDGARARYTASDISPFLLVLMTNLFNALKKPESEENQYIMKCIMRVLGAAEISREVALPSIAGLTQVLNIVCQNPKNPVFNHYLFESVAVLIRRACERDLSLISAFEESLFPSLQMILERDVSEFFPYAFQLLAQLVELNRPPIPQHYMQIFEILLLPDSWKKSANVPALVRLLQAFLRKAPNELNQQGRLSSVLGIFNTLIFSPSTDEQGFYVLNTVIENLGFDVVSPYVGHIWAALFKRLQERKTVKFVKNLVIFMSLFLIKHGCQNLAASIDAVQANLFRTIVEQFWVPNLKSITGSIELKLTAAASTKLICESPSLLDSGLWGKMLDSIVTLLSKPEDERVEEEPEVPDFGETGYSAAFVHLYNAGKREEDPLIDIQDPKQFLVASLANLSARCPGQYTQVIRENLLPANQAALLQLCNSYNLSIV >itb12g13360.t2 pep chromosome:ASM357664v1:12:12180529:12184911:1 gene:itb12g13360 transcript:itb12g13360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPETVQFLSQCFLNTLSPMPQPRRQAEAGLAEAAEKLNYGLVVLRLVAEPSVDEQIRQAAAVNFKNHLKFRWSPSPSGPNAPTPAPIADPEKEQIKSLIVSLMISASPRIQSQLSEALTVIGKHDFPKAWPTLLPELVLSLDKLSQANDYASVNGVLATLNSLFNKFQYQFKTNELLLDLKYCLDNFAKPLLEVFKRTANVIDQAVASGSATEATLKPYIESQKLCCGIFYSLNFQELPEFFEDHMNEWMVEFKKYLTVKYPALEDSSNDGLAVVDDLRSAVCENISLYMEKEEELFQGFLSGFVEAVWSLLVASSASSSREQLTVKAIKFLTIVSTSIHHTLFARDDIQEQICQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIATFYKEKVTEKVSLQIKNCLAMFTQNPAGHWKFKDCAIYLVVSLAIKKAGGTSVSTDLVDVENFFASVIVPELQSQDVNAFPILKAGALKFFTMFRNQISKPIALALLPDVVRFLGADSNVVHSYAASCIEKLLLVKDDGARARYTASDISPFLLVLMTNLFNALKKPESEENQYIMKCIMRVLGAAEISREVALPSIAGLTQVLNIVCQNPKNPVFNHYLFESVAVLIRRACERDLSLISAFEESLFPSLQMILERDVSEFFPYAFQLLAQLVELNRPPIPQHYMQIFEILLLPDSWKKSANVPALVRLLQAFLRKAPNELNQQGRLSSVLGIFNTLIFSPSTDEQGFYVLNTVIENLGFDVVSPYVGHIWAALFKRLQERKTVKFVKNLVIFMSLFLIKHGCQNLAASIDAVQANLFRTIVEQFWVPNLKSITGSIELKLTAAASTKLICESPSLLDSGLWGKMLDSIVTLLSKPEDERVEEEPEVPDFGETGYSAAFVHLYNAGKREEDPLIDIQDPKQFLVASLANLSARCPGQYTQVIRENLLPANQAALLQLCNSYNLSIV >itb12g13360.t1 pep chromosome:ASM357664v1:12:12180529:12184911:1 gene:itb12g13360 transcript:itb12g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPETVQFLSQCFLNTLSPMPQPRRQAEAGLAEAAEKLNYGLVVLRLVAEPSVDEQIRQAAAVNFKNHLKFRWSPSPSGPNAPTPAPIADPEKEQIKSLIVSLMISASPRIQSQLSEALTVIGKHDFPKAWPTLLPELVLSLDKLSQANDYASVNGVLATLNSLFNKFQYQFKTNELLLDLKYCLDNFAKPLLEVFKRTANVIDQAVASGSATEATLKPYIESQKLCCGIFYSLNFQELPEFFEDHMNEWMVEFKKYLTVKYPALEDSSNDGLAVVDDLRSAVCENISLYMEKEEELFQGFLSGFVEAVWSLLVASSASSSREQLTVKAIKFLTIVSTSIHHTLFARDDIQEQICQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIATFYKEKVTEKVSLQIKNCLAMFTQNPAGHWKFKDCAIYLVVSLAIKKAGGTSVSTDLVDVENFFASVIVPELQSQDVNAFPILKAGALKFFTMFRNQISKPIALALLPDVVRFLGADSNVVHSYAASCIEKLLLVKDDGARARYTASDISPFLLVLMTNLFNALKKPESEENQYIMKCIMRVLGAAEISREVALPSIAGLTQVLNIVCQNPKNPVFNHYLFESVAVLIRRACERDLSLISAFEESLFPSLQMILERDVSEFFPYAFQLLAQLVELNRPPIPQHYMQIFEILLLPDSWKKSANVPALVRLLQAFLRKAPNELNQQGRLSSVLGIFNTLIFSPSTDEQGFYVLNTVIENLGFDVVSPYVGHIWAALFKRLQERKTVKFVKNLVIFMSLFLIKHGCQNLAASIDAVQANLFRTIVEQFWVPNLKSITGSIELKLTAAASTKLICESPSLLDSGLWGKMLDSIVTLLSKPEDERVEEEPEVPDFGETGYSAAFVHLYNAGKREEDPLIDIQDPKQFLVASLANLSARCPGQYTQVIRENLLPANQAALLQLCNSYNLSIV >itb04g24360.t1 pep chromosome:ASM357664v1:4:29068245:29069986:-1 gene:itb04g24360 transcript:itb04g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLKLSRPHCPRESPVSHGKVFPKARISTLFIPLSLCSLYLDHRFTGRTIMAMGMIKFVALLAILLNSCFLVNGILDPVDFLALQAIRKRLVDLPGSNFFASWDFTSDPCNFPGVYCDGDKVIALNLGDPRAGSPGLTGRLDAAIGKLSGLAEFTVVPGRIFGGLPESLSQLKKLKFLGVSRNFISGQIPASLGQLRGLQTLDLSFNQFSGNIPWSIGQLPALSNVILCHNRLSGSIPVFVSKTLTRFDLKHNDLSGSLPANAFPPSLQFLSLSWNKLSGPVDRILTGLKNLNYLDLSLNQFSGEIPGNIFTFPIANLQLQRNQFSGRVIPVDQVTIPTVDLSYNHLYGEISPLFSTVQNLYLNNNRFTGEVPGGFVDRLLSASIQVLYLQHNFLTGIAISPTADIPVTTSLCLQYNCMVPPIQTPCPLKAGKQKSRPTNQCVEWKGQNRN >itb13g03710.t1 pep chromosome:ASM357664v1:13:3605155:3606571:-1 gene:itb13g03710 transcript:itb13g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNWLPPAQITGSGRPVLHPGEVECSLLSMVDLLSEENPSFPHLRSGLLILTTHRLLWLPDSTIPASTGAAVFIPLLPSHTYSLPENPSRLCLLVLESVSRLQPTRKVESRREVQNRDIKAYIQEFIHMECLDHRKSVTVSNFQSYVWTPEQSDGKVYAIACTLANMVARFDWESNVTCNSCNVRVFGISYKDVGRTGK >itb11g03940.t1 pep chromosome:ASM357664v1:11:2120597:2122601:-1 gene:itb11g03940 transcript:itb11g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSITLRCTICSRPLTPRTIITQTKHFSSSISSIHYPQIPNQWSGLQNWRQGTLNHDRFWGPNGPDVEPPLPGRSEELGSGSSLAEIGAAVLSTADPLKKSMLSHLGYCRWRQKGLPIGVFQPPAKPARPPIPKLVSPKEIPSHKHLGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPYCELLGEGFFADFAHVADDESRHFAWCSQRLAELGFSYGDMPAHNLLWRECDKTSDDVAARLAAIPLVQEARGLDAGPRLVQRLIGFGDHRTADIVARIADEEVAHVAVGVSWFLHVCQKMDRPPSSAFKDLLKEYDVELKGPFNYTARDEAGIPREWYDPLPSKIEDDKNQLSEVYDRLACIISMEKENSSMQR >itb05g02170.t1 pep chromosome:ASM357664v1:5:1680793:1683964:1 gene:itb05g02170 transcript:itb05g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALTLTPPQNGIFTNTGCAHKFSTCSGVPSRRSLKKSFLVSAKKEKSGEEPAAQQRKQSLFSSVTEALDFSQVRSAKDAELLEDARAATQSGERMSREQYGALRRKIGGTYKDFFKSYVEVDGQYVEEGWVDKTCKICKKDTKGEARQVDKLGRYAHVACLEKKATSGNFFTKLFS >itb05g02170.t2 pep chromosome:ASM357664v1:5:1680789:1682628:1 gene:itb05g02170 transcript:itb05g02170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALTLTPPQNGIFTNTGCAHKFSTCSGVPSRRSLKKSFLVSAKKEKSGEEPAAQQRKQSLFSSVTEALDFSQVRSAKDAELLEDARAATQSGERMSREQYGALRRKIGGTYKDFFKSYVEVDGQYVEEGWVDKTCKICKKDTKGEARQVDKLGRYAHVACLEKKATSGNFFTKLFS >itb10g15790.t1 pep chromosome:ASM357664v1:10:22022332:22022607:1 gene:itb10g15790 transcript:itb10g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDQAFSDLPASLGFQSLPAEKKAVVRRFHVLHSYYPLSSLESIVNPVQPTLATEQNGAGSFTLKIGRVNGSVAINTRIVQATVIQTVID >itb05g14870.t2 pep chromosome:ASM357664v1:5:22193948:22196156:1 gene:itb05g14870 transcript:itb05g14870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKSDILVKRRRKMGERRNEKTKKTRTEWPSIKPKSNLQVTRLKGNDLLTVKNYLTSAESRAFVEAAESIGFVHQGSLGPTWGEAYRDNDRISMDDPDLADAIWNSGLNKLFSDIKIRGKVAVGLNPNLRFYRYKVGQRFGRHIDESVDLGGGKVTHYTLLIYLSGGAFKSKTKVDKNAQDSLTEPLVGGETVFYGPRNALVAEIPPTEGMALFHLHGNKCMLHEARNVSKGVKYVLRSDVVFA >itb05g14870.t1 pep chromosome:ASM357664v1:5:22193955:22198155:1 gene:itb05g14870 transcript:itb05g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKSDILVKRRRKMGERRNEKTKKTRTEWPSIKPKSNLQVTRLKGNDLLTVKNYLTSAESRAFVEAAESIGFVHQGSLGPTWGEAYRDNDRISMDDPDLADAIWNSGLNKLFSDIKIRGKVAVGLNPNLRFYRYKVGQRFGRHIDESVDLGGGKVTHYTLLIYLSGGAFKSKTKVDKNAQDSLTEPLVGGETVFYGPRNALVAEIPPTEGMALFHLHGNKWFQQILDSCLDQCPAMG >itb13g04390.t1 pep chromosome:ASM357664v1:13:4942750:4944569:-1 gene:itb13g04390 transcript:itb13g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMSSTVPAHNLNKFQYSRQASFNCNASLLRTSMTISARTVKAVASSSTEMTRKHLSNLDKLLQKQSEAVPPDPAPVLMERSNGLKENQGRNLLEGLNLSRIWPEMKAAEEMSPRHLNRLQRLLSMSNQEYSPRNTLGSRWRDYHGRNDWAGLLDPLDENLRRELIRYGEFIQAAYHCFHSSPATSAEEGPSHPRDVALPDRSYKVTKSLYATSSIGLPKWVDDAAPDLGWMTQRSSWMGYVAVCDDRNEIQRMGRRDIVIALRGTSTCLEWVENVRDLLVQMPGQNDPSQVQAKVECGFLNLYQTSGENIPSLAQSVVDEVQRLIEQYKGEPLSITVTGHSLGAALALLVADELSTCTPDAPPVAVFSFGGPRVGNRGFANRLDAKNVKVLRIVNNQDVITKVPGMFVNESLDKKLRESEVATGLLNMLDDSMPWAYSHVGIELRVDTTMSPFLRPDADVACCHDLEAYLHLVDGFRASNSPFRSNAKRSLVRLVNEQRSNIKKLYTSKAKGLSSLKLERDYSHLIAQTCLPSPS >itb03g06890.t1 pep chromosome:ASM357664v1:3:4962724:4965945:-1 gene:itb03g06890 transcript:itb03g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, leaf isozyme 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66190) UniProtKB/Swiss-Prot;Acc:Q9FKW6] MAAALSAAVSLPSSSSKSNSLPRRASFTVAQAKVSFNKVPLYYGRRKVVPIRSQVTTEAPAKVVKESKKQDEDVVVNKFRPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDANGKPYKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDKGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHEDYKYNGLAWLFLGVPTGSSLLYKEEFEKMTEKYPDNFRLDFAVSREQTNEKGEKMYIQTRMAEYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMTSLAAKDGIDWFEYKKQLKKAEQWNVEVY >itb04g19750.t1 pep chromosome:ASM357664v1:4:24201560:24206941:1 gene:itb04g19750 transcript:itb04g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSEKAPKVPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENSTPQQICDKYHEIHKQVYQWFDISFDKFGRTSTPQQTEVCQSIFKKLLENNWLTENTMQQPYCDTCKKFLADRLVEGTCPTPGCNYDSARGDQCENRTASERGPAVAAAAGSGSRGWVRRSRRSRLLVVPAVAAAAVSGGRGCCCVQRSRLLLCPAVAAAAVYLVLFQMTAAA >itb04g27360.t1 pep chromosome:ASM357664v1:4:31397962:31399463:1 gene:itb04g27360 transcript:itb04g27360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHSCLAMVDERVEFSDSPVTLRAPVKFSEHWVASKKQVREIGESPARIRRKVRIVVTDGDATDSDDDEEERVGRRVKRYVTEISFVPPAKPARMEAASPAQVGKKRGLDGGLGSPEGDVSSRKKFRGVRQRPWGRWAAEIRDPTRGKRVWLGTFDTPEEAAAVYDRAAVLLKGVDAVTNFPRVTVTETTAVEGPKDDVTAALSPTSVFRFDDVTPSDSQPNGDVSTDDTSAKSDVSINDTSAKSDVSTNDIPENSDVSANDAALSPTSVLRFDGFTAFDNLPLVDLDSFGFDFDFSFHSPGFEKHIADEFGEFNFDDFALEVR >itb12g08220.t1 pep chromosome:ASM357664v1:12:6378726:6383670:1 gene:itb12g08220 transcript:itb12g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETTAIDYVMEAASGPHFSGLRLDGLLSAASSPRSSNQTPTAFASSFTPPPDFSGQKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLNSEELKRVHEYNFDHPDAFDTEQLLECVGKLKAGQSVQVPIYDFKTHQRCSDSFRQVNASDVIILEGILVFHDSRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDLNSVLEQEILLIMQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVINSTFQIRGMHTLIRDREISKHDFVFYSDRLIRLVVENGLGHLPFTEKQVVTPTESVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPEAHILFLNLISAPEGIHCVCKRFPSLKIITSEIDLAINEEFRVVPGMGEFGDRYFGTDDE >itb11g21820.t1 pep chromosome:ASM357664v1:11:23593214:23593564:-1 gene:itb11g21820 transcript:itb11g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMMFLKENNRKHKIYIKPTVFFVYTPSIRVPPSPPSPAIGRLLHSTGGSAQRRCGVQRPRSADSTAACASRAGGASAARGASAAAGDGSGAARRSAIAVARRSSDGRLCLCVRS >itb01g24340.t1 pep chromosome:ASM357664v1:1:29992156:29996455:-1 gene:itb01g24340 transcript:itb01g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEIKKVSRQDIQLVQNLIERCLQLYMTQKEVVRTLLDQAKIEPGFTELVWQKLEEENQEFFRAYHLRLIVKDQIQRFNKLLERHVELIRQICPTGVSSITLHNGSEMRPLHGNSTCTTTDNTGSIVKTENMHQNMNANLPNAYANGASTLQQCMPNTVDMSAHSRSISVSPNMPLAQTSSMEMLQGLNGGGMIKSEADYVGNSHFMFGSSNNVLEARSVIADPSISSFSSIESNPPLQNETILDADGSSFGFLGQIPRNFSLSDLTADFGNSTDILESYSKSPFLATDADYFLDPHSRGEHQDIKSLETISEGLSYEDFGSD >itb02g03100.t1 pep chromosome:ASM357664v1:2:1785515:1789495:1 gene:itb02g03100 transcript:itb02g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGPLHSSSLARRKCCCFNLSGIGFLVIAAVVFLSSAVIICSGACNEIDHQALLSFSLNISSSSSPLNWNNSGDCCDWEGVGCDGNGRVTRLWLPSRDLVGSISPAVANLTSLSQLNLSNNRLSGSLPDVFFSALNSLQVIDLSYNRLTGEVASDERLPSSIHAVNLSSNHFNGTIQSSFFQPATSLASLNFSNNSFHGGIPSLCSISPVIMALDFSFNDLEGHIPHGFGECSRMVILRAGFNKNISGPIPNDIYSVITLQELSLPGNKLSGSIAGNITRLISLRSLALYGNELTGRIPEDIGRLSSLEQLQLHINSLNGTVPPSLMNCTRLKVLNLRVNKLEGELSALDFSKINQLTTVDLGNNNFTGKLPPSLFSCRSLTAVRLATNKLTGEILPEIGELESLSFLSISNNSLTNFMGAIKILSRCKSLSTLILSKSFRDESLPGDENLIGPAGFQNLRTLGLGGCNFTGPIPFWLSKLKKLEVLDLSQNKIMDRIPGWLGNLENLFYMDLSQNLLYGGFPIELVGLWRLSTKEGADQARSYLELPVFVQPNNASNLQYNQMSNLPAAIYLGNNNLEGGIPAEIGQLKYIQVLDLGQNKFSGSIPNNISDLTNLEILNLSWNNLSGIIPASLKNLHFLSSFNVANNHLEGPIPIGGQFDTFPNTSFMGNPGLCGRVLQHPCSSQSPNAPASAQRKSGKKKIVIGLILGICFGITFTLIMIALWLFSKRRVLPRGDAEKADLDTISFNSNPGFSGEVVKDNSIVVLFPRHKNETKDLNIYDILQATDNFNQENIIGCGGFGLVYKATLADGTTLAVKKLTGDMGLMEREFKAEVEALSTAQHENLVSLQGYCVHDGCRLLIYSYMENGSLDYWLHEKTDGASQLDWPTRLKIAQGASCGVAYMHQICEPHIVHRDIKSSNILLDENFKAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYSQSWIATFRGDVYSFGVVMLELLTGKRPVEVCKPRMSLSRELVVWVQQMRNAGRLDEIFDPLLQHKGFDEEMQQVLDVACMCVNQNPVKRPTITEVVDWLKKVEFNRDTPKQG >itb04g32710.t1 pep chromosome:ASM357664v1:4:35165716:35168943:1 gene:itb04g32710 transcript:itb04g32710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKIFIVLEFVTGGELFDKIVNHGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQIRDDGLLHTTCGTPNYVAPEVLDDQGYDGSAADLWSCGVILFVLLAGYLPFDDPNLMNLYKKISCAEFTCPPWISFSAMKLITRILDPNPVTRITIPEILEDEWFKKDYKPPVFYEKEDTNLDDVEAVFKDCKEHHVTEKKEEQPTAMNAFELISMSKGLDLGNLFDEKGFKRETRFTSKCPANEIITKIEEAAKPLGFDVRKKNYKMRLENSKAGRKGNLNVSTEVFQVAPSLHLVEVRKAKGDTLEFHKFYKNLSTCLEDVVWRTEEDMQETT >itb04g32710.t2 pep chromosome:ASM357664v1:4:35165716:35168943:1 gene:itb04g32710 transcript:itb04g32710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQIRDDGLLHTTCGTPNYVAPEVLDDQGYDGSAADLWSCGVILFVLLAGYLPFDDPNLMNLYKKISCAEFTCPPWISFSAMKLITRILDPNPVTRITIPEILEDEWFKKDYKPPVFYEKEDTNLDDVEAVFKDCKEHHVTEKKEEQPTAMNAFELISMSKGLDLGNLFDEKGFKRETRFTSKCPANEIITKIEEAAKPLGFDVRKKNYKMRLENSKAGRKGNLNVSTEVFQVAPSLHLVEVRKAKGDTLEFHKFYKNLSTCLEDVVWRTEEDMQETT >itb06g13020.t1 pep chromosome:ASM357664v1:6:17634846:17636897:1 gene:itb06g13020 transcript:itb06g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFSLAFLIAISTLFTVSAESIDFLYNGFNGSNLNLQGATVIKPSGALKLTNRSHNAIGHAFYPTPFPFLNSTSHNKVVSFSTYFVFAIIPLGNNRGGYGFGFSLSPSMGFPGGQGDHYLGVFNSSNDGQESNHIFMVEFDTVNGHNEGKDDDGNHIGININGMRSVASKPASYYVNGTSNMEEVKLQSGEPIQAWIDYDGVNETVKITVSPIHVPKSSRPLMSEQIKLSSVLKEKMYAGFSASTGEKASSHYILGWSFGLNGPAPALNLSQLPIPPPENQTSAGKAKLKKALIATFSSLIFLALAVWVFLALYKRVRHFEVLEDWELDCPHRFRYKDLYIATRGFKDNELIGVGGFGTVYKGVIPTNGVEIAVKKISKNSLQGVREFVAEIESLGRLRHKNLVNLQGWCKNKNDLLLVYDYVPNGSLDSLLYRPKSNNNVVLTWEQRFNIVKGVAAGLLYLHEEWEQVVVHRDIKSSNILIDGDMNSRLGDFGLARLYDHGKNSHTTNVVGTIGYLAPELSRTGKASTGSDVFAYGVLLLEVATGRPPVIYSEDHGHVAALGDWVVECFQRGRILDAVDPKLTRCGYVVEEAVLVLGLGLVCSHPRPESRPGMREVMRYLNGDEAFGIEEALGSVGSRRVDEITSKFLEVFSTGTTSSRASYRHSSSIGQISTSSFEDGR >itb01g27130.t1 pep chromosome:ASM357664v1:1:32072460:32074433:1 gene:itb01g27130 transcript:itb01g27130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLISGGRCFSAFILFLLLLISQACDGFLLPRSYKHLFAKEDEVYVRVNSLTSIDTQLPFRYYSLPYCKPIMGIVESAQNLGQILMGDQVLNSPYRLRMNVNESLYLCTTPPLSEYDVKLLKQTTRDLYQVNMILDDLPLMRYDRRGGFEIRWIGFPVGYTAMETDDDYLMNHLKFRVLLHELEEKDYGEFYEIVGVEVVPCSIKYDPGKLQMYDPIPSQSCPLELDKYQVIREKERVSFSYEIEFVKSEVRWESRWDAYLATEDAQIHWSSIVNSLIVMLLFAGGVHKILKNSVGAYWARRNQEFNKQVHQATQPQAKEKLPGWRLIRGDVFREPGHSKLLCVMVGNGVQITGTAILTVVFAALGFMPPASQGMLPFGLIVFYLLLGVIAGYAGVRLWITIKGSPEEWKSVSWSIACFFPGFICVILALLDFIYRENHSTRAISIYARLQLYVLWFSISVPLNLSGGYLAARAERTPHPVHTNHVPREITAPRYRSWFLVLVGGSIVFSVLFLQLFFILSSIWLGHFYSAFGFLLIVLLLLVVVCAQVSVVLTYLRLRAEDWKWWWNAFFASGSAGFYVFSYCANYLIHDLNGLSGSASATLYLGYSLIISIAVMLSTGSVGFLTSFYFVRYLYSSLGIEGDQKSEETVELIAE >itb03g16650.t1 pep chromosome:ASM357664v1:3:15530748:15533884:1 gene:itb03g16650 transcript:itb03g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKHRLSESDSKAEPQAIKINQSDQGAAGQPAGKSACCA >itb03g16650.t3 pep chromosome:ASM357664v1:3:15530748:15533884:1 gene:itb03g16650 transcript:itb03g16650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETVSSIHLIYRTQVQFKLVLFVAFRCKLLQSAKTNMNVEEVFFSIARDIKHRLSESDSKAEPQAIKINQSDQGAAGQPAGKSACCA >itb03g16650.t2 pep chromosome:ASM357664v1:3:15530773:15533884:1 gene:itb03g16650 transcript:itb03g16650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTGAKGCSYFSMNLVDLDVELATLIFVYSIRSHCLHRHALPSSLSTSATYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKHRLSESDSKAEPQAIKINQSDQGAAGQPAGKSACCA >itb09g12300.t1 pep chromosome:ASM357664v1:9:7764340:7764963:1 gene:itb09g12300 transcript:itb09g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKYALLMNPCARSIEELLPPSLAGNFWCFVLLRTALAISTLFVAFTVPFFSTMMALVGSLCSVLMALIMPSLCFLKIVGDKATSRQVTLSIAIVILGIICGGVGTYSSIARLVEKL >itb03g10090.t3 pep chromosome:ASM357664v1:3:7853096:7857022:-1 gene:itb03g10090 transcript:itb03g10090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRNQKSKPNNKQNHIKKHGKQADISEFRAQLDALGLKIIQVTADGNCFFRAVADQLEGDEDKHEKYRSMVVQYIRKNREMFEPFIEDEVPFDEYCESMEKDGTWAGHMELQATSLVTHSNICIHRHMFPRWYIRNFDNCEAQMIHLSYHDGEHYNSVRLKEDSCSGPARPIIIKADADLSAKSSQTVNVSTKHKAEAGRNVVHPGSVKMVMSGSGCEDTVKVEQVLLQVGGDVDAAIEFLIAEQGSEIHLSINNESTCLANCSHGDSKGNCNDGIVQPEDNTRAHDSANNDIEGTHDNCSSQLDEKKVSRNKACPCGSKKKYKSCCGSVAGKSSARLAVNQAVEYGKGRKDKKQGKKGRAANVAGSNQSDAELPDMGALCI >itb03g10090.t4 pep chromosome:ASM357664v1:3:7853096:7857022:-1 gene:itb03g10090 transcript:itb03g10090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRNQKSKPNNKQNHIKKHGKQADISEFRAQLDALGLKIIQVTADGNCFFRAVADQLEGDEDKHEKYRSMVVQYIRKNREMFEPFIEDEVPFDEYCESMEKDGTWAGHMELQATSLVTHSNICIHRHMFPRWYIRNFDNCEAQMIHLSYHDGEHYNSVRLKEDSCSGPARPIIIKADADLSAKSSQTVNVSTKHKAEAGRNVVHPGSVKMVMSGSGCEDTVKVEQVLLQVGGDVDAAIEFLIAEQGSEIHLSINNESTCLANCSHVYSGDSKGNCNDGIVQPEDNTRAHDSANNDIEGTHDNCSSQLDEKKVSRNKACPCGSKKKYKSCCGSVAGKSSARLAVIL >itb03g10090.t1 pep chromosome:ASM357664v1:3:7853065:7857024:-1 gene:itb03g10090 transcript:itb03g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRNQKSKPNNKQNHIKKHGKQADISEFRAQLDALGLKIIQVTADGNCFFRAVADQLEGDEDKHEKYRSMVVQYIRKNREMFEPFIEDEVPFDEYCESMEKDGTWAGHMELQATSLVTHSNICIHRHMFPRWYIRNFDNCEAQMIHLSYHDGEHYNSVRLKEDSCSGPARPIIIKADADLSAKSSQTVNVSTKHKAEAGRNVVHPGSVKMVMSGSGCEDTVKVEQVLLQVGGDVDAAIEFLIAEQGSEIHLSINNESTCLANCSHGNGW >itb03g10090.t2 pep chromosome:ASM357664v1:3:7853065:7857024:-1 gene:itb03g10090 transcript:itb03g10090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRNQKSKPNNKQNHIKKHGKQADISEFRAQLDALGLKIIQVTADGNCFFRAVADQLEGDEDKHEKYRSMVVQYIRKNREMFEPFIEDEVPFDEYCESMEKDGTWAGHMELQATSLVTHSNICIHRHMFPRWYIRNFDNCEAQMIHLSYHDGEHYNSVRLKEDSCSGPARPIIIKADADLSAKSSQTVNVSTKHKAEAGRNVVHPGSVKMVMSGSGCEDTVKVEQVLLQVGGDVDAAIEFLIAEQGSEIHLSINNESTCLANCSHGDSKGNCNDGIVQPEDNTRAHDSANNDIEGTHDNCSSQLDEKKVSRNKACPCGSKKKYKSCCGSVAGKSSARLAVNQAVEYGKGRKDKKQGKKGFSRILQSAKREPEDKIETSDW >itb04g01070.t1 pep chromosome:ASM357664v1:4:593982:606126:1 gene:itb04g01070 transcript:itb04g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYHAGSCTSAVSNNTFGGASGRDTSRADATTIPTNFSRRSLQLTSYKLKCDKEPLNSRLGPPDYHPQTLNCPEETLNRDYVQSGYRETVDGLEEAREISLSQVPAFSKPVIIKCKEAIRKCHRTINESRAKKRKAGQVYGVPLEGSQLAKIGIFPDQRPCGEEPRKRWIEGLSQQHKRLRLLADHVPHGYRKRWLFEVLIRNNVPLLRATWFIKVTYLNQVRPVSSGLSSGVPDRTNFSRSEQWTKDVIDYMQCLLDEFVSRSSSHSSVNIRDRSPQMVYAGSIQIKNDPASTSADVGEPSLHFKWWYVVRILQWHHAEGLLFPSHVIDWVLNQLQDKEVLGLLQLLLPVIYGFIETVVLSQTYVRAMVRIAIHFIQETSPGGSDLVDNSRLAYTMSALVEMLRYLILAVPDTFVGLDCFPLPPCVANIVTDGGLFSKVSEDARKVKNGPLEVAGVLRDRVPEVRSESLSIDRVVSSIKKRADSLAKASRPDHPGQNAAKALHALDKSLVNGDLRIAYKILFENHCDGVVDESWITEVSSCLFSSLKHIGTMNLLFICSVFFICEWATCDFRDFRFSPPRGFKFTGRKDFSQIYIAIRLLKLKMREMQSSSHFKNENIVKIENVGKDPSQQNNFCRSFGYGVGESFYNSKTGVGKSKDFLSLFDSPGPLHDVIVCWIDQHEVLNGEGLKRLQLLIAELIRAGLFYPQAYVRQLIVSGVMDVKVPLVDPAKRNRHCKILKQMSGSHVYDALLEAQIAEPRILSDLMNVYSSERRLVLHGMVDHYKSPSGASGSRMKHKQVLDSGGENASPPFVDPCRSVQSAPSAPSKNMHKFVEVEELKASVCVLLQFPSSLLTETGLHESQKNVKKHIVSSTNSTDITEGTPGCEECRKAKRQKLSEEKSSHVIYPQNPSDDEDSWWVGRGHKSVESFKVDPPPKPSKQPSRGRQKIVRKTQSLNQLASSARIEGSQGASTSHVCDSRVSCPHHRSGLEGDPSKAGDGTKPQRFGDIVSIGKLLKKQRVVEKRTMTVWLIGVVKKFIEEAEKTLAKVGQYGRPFSTADDQGGIQWKLGEEELSMMLYLMDACNELVLAVRFLLWLLPKVHGAATATIHGSRSVLVIPRNADNNVCEVGESYVLSSIQRYENVLVAADLIPEALSAVMHRCAAIVASNGRVSTSPSLGYARYLLKKYGSVASVVEWQKNFKSTHDKRLTSELESGRLLDGEFGFPLGVPAGVEDLDDFFRQKISGVRVSRVALTMRDIVQRKVDEAFQIFYGKEKKHLGGVSIVRNPLSENWDDGYQIGQQVVMGLLDCMRQTGGAAQEGDPTLVSSAISAIVCNAGQVIAKIPDLASCNNHLNSLVTSDPLQIARRILRVHLTCLCLLKEALGERQSRVFEVALAIEASSAVAQNFTPGRAPRSQFQLSPESHDLTVKFGAAVSALVIGAILQGIVNLERMVSLFKLKEGLDVIHFIRSVRSNSNGNARSVGAFKGDSSVEVSVHWFRVLVGNCRTVTDGFIGDLLGDASIIALSRMQRTLPLSLIFPPAYSMFAFVLWRPFILSSSLGARDDIQQLYQSLLLALGDALRHLPFREVCLRDTHGLYDLIAADTVDTEFASFLESSGSDMRLRASAFLPLRARLFLNALLDCKLPPSIGQQEDVNRISVQGDLKVHYSEKAKLVHILDTLQPARFHWQWLEIRLLFNEQAVIEKLDRHDTSLVEALRSLSPNSDKAAASDNEGNLIEIILTRLLVRPDAAALFSEVVHLLGRSLQDAMLKQAKWYLEAHDVLSGRKSVHQKLFSVAVTKGLSTKPQYRRPWGWCAPDVSSLEEGEVVDEVMASKRSGRGSGHFQDVDGFPVAHQHKTQRAFVELVLPCVDQASDDTRNRFAADMIKLINAIEQQINTVTREASKPAGADSPTTKVSSRKGSRVGSPGLTRRTTGPTETVPPSPVALRASISLRLQLILRVLPIICADGELSGRNMRYTLASAIMRLLGSRVVYADAGHCLNSTFSSKREADSFMEVSGTTSEVVMGGSLFDCLLLVLHALLSSHQPSWLKMKSSSKSASESSKDHTAFDREVAESLQNDLDQMQLPDVIRWRIQTAMPVLFPSVQCSISSQPPSVSPAALASLLPSNPISVLQSGVTNSSQRNPTSLLRAATNSAGKTKQSPLPPPPQDQDLEFDPWILLEDGAGSSQSSSSSTIGGSDHSNLKAAGWLKGSVRVRRTDLTYVGAIDDDS >itb04g29990.t1 pep chromosome:ASM357664v1:4:33225627:33232100:-1 gene:itb04g29990 transcript:itb04g29990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGREQSSAPPKPSKFTVYQNPALSAALTSNSLRPSKSTFLVLLSLSSASAFALLSVISRENGIVESLKIGYASQETARLFTKVIQSIAGFFLIGTFLALVKAILLWRKRNTTELMITSPAKGSKEQMRLTSRQLGLLGIKPKVEQGTVESSKKPPKSKMTSPLDALVPVHQPISSASRSARLSSEKSSASSGSKIHTFSTPSKSPASQSLILVPTSSQSPSLQTSPWSNKRAPFHKEIMTEEDLEKFLADVDEKISESASKLSTPPPTISGFGVVSPSNMPSSANTSGTPRSTPLRPVRMSPGSQKFTTPPKKGEGDLPPPMSMIESIEAFEHLGIYPQIERWRDHLRQWFSTMLLNPLLNKIDTSHVKVMQSAAKLGITITVSQVGSEISSAGTTTVCTPGMTNEWQSSFSLDEDGLLHQLRANLVQTLDSCMSKLTPSIFQQSPQQSTLIPVVQECIDAITEHQRLLTLMKGEWGKGLLTQGSVRADYTVRRIRELAEGTCVKKYEYLGEVYDSSNKKWSSDLPTDSHLLLYLFCAFLEHPKWMLHVDPTAYAGSQSSKNPLFLGVLPPKERFPEKYVAVVSGVPSVLHPGACVLAVGKQSPPVFALFWDKKPQFSLQGRTAVWDSVLLLCYKIKVGYGGIVRGLHLGSSALDILSVLDPEPED >itb09g04550.t1 pep chromosome:ASM357664v1:9:2546588:2550022:1 gene:itb09g04550 transcript:itb09g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSRIISPLSVFFIPRGDMRLYLIALISVVSVLLHHLAVASASEPRHFEGFDADEVDELLDQNDASISDLELPPPPTTTLSVSDPAESHHGPPVSNPDTPITSQPSSDQKPSSSSTSFDYWDEDEFEGIPQHVPPESPVVTETVTSPDSDPSLEAEAESEPAVKIAKPLSSYTVEIVCVSFLIVFVINYFTGKRENENIALAWATKFATKDTIFDKNFSLLGVGETDDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLISRLYNMVVPCKDEITFEVYMNDDSMDQVVLAVARKKLAKSMQKELRDLQRFAGLMAPPSGRKWVTDEFTVVSESKEVAGDMITEVVLEQVFGEKAFEKFGKGFISMHFSDQHVGSHKKMLVFKFALPNANNMADMTRLVALIPYYIDLVGKYKLSPHARSKTDATRTKIAQDLYKELQNARQEAMQRKKAEQRKKLEEAEAKLSAEALRKKDAKDRARQLKKSMPKIKMTRAH >itb01g04900.t1 pep chromosome:ASM357664v1:1:3335478:3339188:-1 gene:itb01g04900 transcript:itb01g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFGSGPGTGKAYPGNLNGYVTFTCIVAAMGGLIFGYDIGISGGVTSMDSFLEKFFHSVYRKKNADTSTNQYCKFDSVPLTLFTSSLYLAALFSSLVASYVTRKLGRRLSMLLGGLLFCAGALLNAFARDVAMLIVGRILLGFGVGFANQSVPLYLSEMAPYKYRGALNIMFQLSITIGILVANVLNYFFAKITWGWRLSLGGAVIPALFIIIGSLFLPETPNSLIERGNHDVAKERLKKIRGLDNVDEEFNDLVEASEASRKVEHPWRNMLQRKYRPQLTMAIMIPFFQQFTGINVIMFYAPVLFNTIGFGDDASLVSAAVTGAVNVLATLVSIYYVDKLGRRFLFLEGGIQMFICQVVVAICIGVKFGVNGYAGELPKWYAFVVVLFICIYVAAFAWSWGPLGWLVPSEIFPLEIRSAAQSINVGVNMIFTFIIGQVFLMMLCRMKFGLFLFFAFFVVVMTLAVYFFLPETKNIPIEEMVVVWKEHWYWNRFMKGVDFPGKTAPSEVEMGIGGEGGHKNV >itb08g00830.t1 pep chromosome:ASM357664v1:8:613914:614800:-1 gene:itb08g00830 transcript:itb08g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTRVTVLMVVVAVVMAVTMTEAQQEQLPSCVQKLTPCFEYLNYTGELAPCCDALKEVMANEIPCLCQYARDNGLLSYSKVEDDDYRSPFDLPQECGVSDDIPCDDDNDGPPVVEHSKANGAGRMIARTAISSLLLFSVLWVML >itb03g28410.t1 pep chromosome:ASM357664v1:3:29182372:29183322:1 gene:itb03g28410 transcript:itb03g28410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKSIRDGRRFIIPCFFFLLFLCIFASINELRFDGRLINFHKCAFSDSNNLPISSAAAVDGGEIRILVGILTLPDQYQKRHFLRLVYGTQKSPAGVKVDVKFVFCNLTKEDQKILVALEIMRHDDIIILNCQENMNNGKTFTFFSSLPEILSGAGGGRPYPPYHYVMKADDDTYVRQENLAASLAPLPKQDLYYGFVIPCSSMDPFKEYMSGMGYLISWDLVEWISESDIPKANLEGPEDKVLGGWLRDGRRGLNRFNAKWSMYNFPDPPSGCTHELWPETVAVHLLKTQEKWIRTLSYFNVTTDLMPSKLYHMQ >itb09g18180.t1 pep chromosome:ASM357664v1:9:13757543:13758593:-1 gene:itb09g18180 transcript:itb09g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPVDLATASSLPSGLFRRRGKEKEGKRRLIWRPIAAESGCKAELCWKGLGLDISVDSRLERSKGLSYVFDGVWIQIVSEKGEWRRLWQSIEPEKLPTYCGRCGRYGHAAEDLVCKTFYDFWFYESSGSHSQFYGDGSSVSIEVSKKTEQIVDMMRRMPTHQPLVTVIKCNLALDFFFHSPLKLLVSTLYHLLQFVSVVSLCAIACWRKYYRFFVLTFNACCLLVAPFCCFVCCMRWHMNIQMLR >itb11g10550.t2 pep chromosome:ASM357664v1:11:7529690:7531786:1 gene:itb11g10550 transcript:itb11g10550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTRGRGRGAASRKRGGLEGGVGIMEDLLDISPKQPSPSSPPPSPPPAKASGFARCGALRSLPSTTKRAQRIIPAPKEIHNDAAPHNLPLDFEHQTEATDFHTTTVLCKPAADDATLVVHRQPENYETCKSVADHSTRSDNFNLTQILHLVDSDDEEVSSAANDFLVTVEGGYRVRPESAPLIREIFARYGDIVKGSALQSVEYRSSFLESICSIYLRLDTMNLLDIAAGELKSMLNLVSDLELAKVEIGWLHCRVEQIYEAKKSLMEAGSLKATKTKALQEMEGKKKAVADTKQELECCLEACRALQRKLTELEDDVGTAGVEMDKITERYSNIKSKVQRFYRHPLVSNLL >itb11g10550.t1 pep chromosome:ASM357664v1:11:7529534:7531908:1 gene:itb11g10550 transcript:itb11g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTRGRGRGAASRKRGGLEGGVGIMEDLLDISPKQPSPSSPPPSPPPGFSSLTTQTVCRGYVTRQAKASGFARCGALRSLPSTTKRAQRIIPAPKEIHNDAAPHNLPLDFEHQTEATDFHTTTVLCKPAADDATLVVHRQPENYETCKSVADHSTRSDNFNLTQILHLVDSDDEEVSSAANDFLVTVEGGYRVRPESAPLIREIFARYGDIVKGSALQSVEYRSSFLESICSIYLRLDTMNLLDIAAGELKSMLNLVSDLELAKVEIGWLHCRVEQIYEAKKSLMEAGSLKATKTKALQEMEGKKKAVADTKQELECCLEACRALQRKLTELEDDVGTAGVEMDKITERYSNIKSKVQRFYRHPLVSNLL >itb01g32370.t1 pep chromosome:ASM357664v1:1:35891986:35895170:1 gene:itb01g32370 transcript:itb01g32370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRAFCTTIPRDREPKFVDKHLYQDHEHPQSSPSPSPRSCAKLGFLSSGNNNNTKSGSSSASNTPRLRCRTAAAAASNENSDNLVSPNLHCKTTTPKSNSSKTPRTHRLASNPASPRSPFSILKNTLRLSRNSCGVCMQSVKTGQGMAIYTAECSHSFHFPCIVSHSRKQSSLACPVCNHAWKDVPLLSVHKLRQQEETSLGHKHEQVVDEKKIIEETETKLRHVNIKHESSLYVKQYGTDSKMYNDDEPLLSPTAGAGKFIAIPEVNEMEAECEEEEVEEFQGFFVNPISSDKAFANHKESRNVEVSLLPEAAIVSMGRTHETYAVVLKVKAPPPPPLGHSSSSSGHFSDPARRAPIDLVTVLDVSGSMSGAKLQMLKRAMRLVISSLGSADRLSIVAFSAAPKRLLPLRRMTAQGQKSARRIIDRLVCSQGTCVGEALRKAAKVLEDRRERNPVGSIMLLSDGQDDRVQPSSDPNGRRETSHESSTRFSHIEIPVHSSGFGKKAGYSHAPDEDAFSKCVGGLLSVVVQDLRIQLDFASGSDPAEVAAVYSYNGRPTVLNPGSIRLGDLYAEEERELLVEVRVPSMAVGSHHVLSFRCCYKDPATQEVVNGREHALLVPRPQAVRSSSPRIERLRNLFITTRAIAESRRLIEHNELTSAMHLLSSARELLIQSGSCYVDEYVRGLEAELTEVQLRKQYQQQMEQQKVIQRQRSFERETGVFLDENGEPLTPTSAWRAAEKLAKVAMMKKSMSRVSDLHGFENARF >itb08g00880.t1 pep chromosome:ASM357664v1:8:632308:635551:-1 gene:itb08g00880 transcript:itb08g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKGKGNAAFSAGNYAEAVRHFTEAISLAPTNHVLYSNRSAAYASLGNYSDALIDAQKTVELKPDWSKGYSRLGAAHLGLHSYDEAVAAYRKGLDVDPNNEALKSGLADAQSAQARSRGPSSSSPFGDAFSGPEMWARLTSDPTTRAYLQQPDFVKMMQDVQKNPNNLNLYLKDQRVMQAFGVLLGVKLQTRTPEDDAEMPEASPERKRPADSEPAKGKRPEPTPQPEPEPEPMEVSGEEKDIKEKKAQAQKEKEAGNAAYKKKDFETAIQHYSKALELDDEDISFLTNRAAVYLEMGKYEECIKDCDKAVERGRELRSDFKMIARALTRKGTALVKMAKCSKDFEPAIETFQKALTEHRNPDTLKKLNEAEKAKKDLEQQESFDPQVADEEREKGNQFFKEQKYPEAVKHYSESIRRNPKDPRAYSNRAASYTKLGALPEGLKDAEKCIELDPTFAKGYTRKGAVQFFMKEYEKALETYQEGLKHDPQNPELLDGVKRCVEQINKATRGDLTPEELKERQAKAMQDPEIQNILTDPVMRQVLVDFQENPKAAQEHMKNPLVMNKIQKLVSAGIVQVR >itb05g12680.t1 pep chromosome:ASM357664v1:5:19362199:19363069:-1 gene:itb05g12680 transcript:itb05g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >itb06g24590.t1 pep chromosome:ASM357664v1:6:26093582:26096016:-1 gene:itb06g24590 transcript:itb06g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWIAAVAAGAVYFAQHWKDLLKGKTNSGDSPHGSPRILKHNSLPNIHQALDKDCPSSGMMLRKGSAEDVSRARELGREDSMAEVASTNGLFIGNLGILDYSHVRDASSCSNSLVGSKCDKNFQECQEGNLNEVVDDLSVHPNTIQMGFSHRLASRRYRLRTRKTTRHIMNPSIFLESPVAQFSSEQIEGEYIFGSVPLQPTPRTFLVTDGSVIISRNSGDSFGMPVRTELDKLQKRVIPQGETTISRVPKLPNVEPSEFQRKDSASKKDQGRIFCNSSRSTNSKHHRSKGSSDEAFLLSLGASIGFFISFLLYKKERDELNHILKQKDNLVQDLQDELEMKDSLTVRELATEDYESEYKRIDASINEALYSLAPQQNLNDSSEYCVEEYHSPHTEEECRSKIEAELEAELERLELSMTSTKLAGKLTELVELDPDFIPDLAEGELRDDVFDRAEPLHYADRDESTDPTPQSVNYCVSPRELSLRLYEVVNSQLEERNRELETEVENLQRKARYMEVVLNTSCREFSNSEAGSSSAQGSPVTKDERLTADCVVMNLAGEALDAYNDGYDELSKIIESEEDDIMASGVGKIQHQELVQPRDHDLYHNGTLIGDENEDRDEVEKLLIEQIVKKVRKGNPAVFSAQKALFYLDEK >itb06g16020.t1 pep chromosome:ASM357664v1:6:20227075:20232285:1 gene:itb06g16020 transcript:itb06g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRGGARRGRRPKQQQQPETEANTNNDQTPIEGEAIAARTRRRRAAAAAAAPATLPVDENVGRIPPAAAAAAAAAEGRAVEKEEGIRAKRREEVGDKPMAMDDFDSGGAKSPEKGHAADDDGSAAPLPEKVQVGGSPVYKIEKKLGKGGFGQVYVGRRISGGNAIERTGPGATEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGVPRVHYKGQQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKLHLRGYVHGDVKPENFLLGQPGTPDEKKLFLVDLGLATKWRDSSTGLHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMGTSPEALCCFCPQPFKLFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPEIRPINTDGAQKLIYQVGQKRGRLTFEDEDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIDKGNEDGLYISSVASSTNLWALIMDAGTGFTHQVYELSPYFLHKEWIMEQWEKNFYISAVAGATNGNSLVVMSKGTQYLQQSYKVSESFPFKWINKKWREGFYVTSMATASSRWAVVMSRGAGISDQVVELDFLYPSEGIHRRWDNGYRITATAATWDQAAFVLSVPRRKPSDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >itb11g08140.t1 pep chromosome:ASM357664v1:11:5232040:5232930:-1 gene:itb11g08140 transcript:itb11g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLTKLKSVLKKMQSFKLGRANGSSVVVAAANSSSDDESSNNNSYSKDLRPVYVGKSRRRYLVSSDVVEHPLVRELVDRSGDESDDSVTVVGCEVVLFEHLLWMLENADPQPESLDELVDFYAC >itb06g06820.t1 pep chromosome:ASM357664v1:6:9518696:9525661:-1 gene:itb06g06820 transcript:itb06g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNGEYRNLYNHENVFIADHGGGAGNNWASGYHQGKQYEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHITTPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMAGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTGIRHLFSKCLSQYEKLRKRQAFLDNYRNHPMFADNDLSEFDESRDVIESLVDEYKACESPDYIKWGIEDPDHILTGEGNGSGAVDPRLAV >itb05g20520.t1 pep chromosome:ASM357664v1:5:26572965:26578690:-1 gene:itb05g20520 transcript:itb05g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKVVTDNDDVVATAGGGAVANNHHRPKPPPSPAAHQPSAANGNTPAYSFTASPWQSPYPAGIAPSPSPAGTPRRKFKWPFPPPSPAKPIMSALLKRQGPASAKPREGPIPEENGGGGGEAGDRPLDKSFGYPKNFGSKYELGKEVGRGHFGHTCLAKGKKGELKNQSVAVKIISKAKMTTAISIEDVRREVKILKALSGHHNLIKFYDAFEDAQNVYIVMELCEGGELLDRILSRGGRYTEEDAKRVIVQILNVVAFCHLQGVVHRDLKPENFLFTKREEDAPMKVIDFGLSDFIKPDQRLNDIVGSAYYVAPEVLHRSYNVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFEDAPWPGVSAEARDFVRRLLNKDHRKRMSAAQALTHPWLRLENSAVPLDILIYKLVKSYIRSSPLKRAALKALSKALTEQDLFYLRAQFELLEPIDGFISLENFRTALMKNMTDAMRESRVFEILSLMEPLSYKQMDFEEFCAAAISVYHLEALENWEQIASAAFENFDREGNRVISVEELAHEQNLGPTAYALLKDWIRADGKLNFVGYTKFLHGVTIRSANARFHR >itb01g22130.t1 pep chromosome:ASM357664v1:1:28083610:28087677:1 gene:itb01g22130 transcript:itb01g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPRCFLDISIGGELEGRIVVELYNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFHRVIKSFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVIKGMGVVRSVEHVQTGENDCPTDDVIISDCGEIPEGADDGIANFFKDGDMYADWPADLDNNPDELSWWESAVLSIKAFGNEYFKKQDYKMALKKYRKALRYLDVCWEKEGIDEDKSLYLRKMKSQIFTNSSACKLKLGDLKGALLDADFAMRDGENNAKALFRQGQAHLALNDIDAAVESFKKALELEPNDVGIKKELATAKKKIADRRDQERRAYAKLFQ >itb04g02160.t1 pep chromosome:ASM357664v1:4:1311787:1313007:-1 gene:itb04g02160 transcript:itb04g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSSNIPNEIIRIILLKLLVKSIIRFRCVCKQWRLMIQDLDFKLSYGGKGRLIILSNESERRGSQNSRKLVVRSTTRHGDLRLEREKWPLGEASAYPFIPGSDEYRVRALCSCNGFVLLAAGGRDILLWNPSTRCSTKVLVSPYPVLKSLGNLAGLCYDSCTRDYKVVLLIRSCRNYFGYKFECFDNPFVIYASLNHKKWSHVWFPYDFDSAIGSVEFRNTFHWWVNDIKGPYDWGSDYFPADRDRNRIVYFDPVHDKFRILPTPTELSSIVGLGVIDACLSMACIPHHIEEETKTIQVLIMKEYGRQESWMTVFAIQMPQLTVTYGGYGLTFYSQKNNTQENVLFMRRSSDRQHLYVYDRKKDEVKEVLMDFLKQNTGLGSYASMCFYVESFNCLPLQSHE >itb15g03830.t1 pep chromosome:ASM357664v1:15:2409395:2411138:-1 gene:itb15g03830 transcript:itb15g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENRNSWMGFTAITSRNETNSLSNSNSKSSGDNVGMGFLFLFFPENETPAAAADIHDRKKNLRRYSVSSGGGGGASLLSAAVQSTISVCALLIFLTLLLFTLCFDPAVVNSPPRRHLSLSLSSPLSPPWPHNAINLSFPHALQGMGSLYSRGTRAMNDLIVAHAVDSLTPLELKLFLRLFFASGLSSKSDLLLIFRSKSPAFDRVIAGENDSFLKLILGGRDRSSGEIPGVAQFKISEKKKKESGEPIWGRKIKRNSSHNNSEGNSTESTRMSYGSVVGFDADELDPEDSLAGFLDHVPMSLRRWACYPMLLGRVRRNFRHVTLVDVKEILLLGDPLGRVKNRTPESVYLTTHTPSKHVKNSGQNPVYPGIVAGGTRGVRRLSNAMLTEIVRAAMQRKRKNPVTESGVINQLIRSEFALRNVNLMVSSESIPRLSSLTGLNSKPGATFLPIVSKFALARPGNSNGDGSVVILKHLCSLSLDSQVYPDC >itb03g24680.t1 pep chromosome:ASM357664v1:3:23499497:23505144:1 gene:itb03g24680 transcript:itb03g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLTGNDPIIITQFNLSKSIKDSILANFGECGLASSLNSFQVKYVNPITKVCIIRTSRQEYQKVWAAITMITSIGNCPVVFNLLDLSGSIKACKDAALKCEESKFEHCKLLAGIQLNDDLKQHLQNCLEKIKVLEH >itb03g24680.t4 pep chromosome:ASM357664v1:3:23499497:23504797:1 gene:itb03g24680 transcript:itb03g24680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLTGNDPIIITQFNLSKSIKDSILANFGECGLASSLNSFQVKYVNPITKVCIIRTSRQEYQKVWAAITMITSIGNCPVVFNLLDLSGSIKACKDAALKCEESKFEHCKLLAGIQLNDDLKQHLQNCLEKIKVLEH >itb03g24680.t2 pep chromosome:ASM357664v1:3:23499500:23505144:1 gene:itb03g24680 transcript:itb03g24680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLTGNDPIIITQFNLSKSIKDSILANFGECGLASSLNSFQVKYVNPITKVCIIRTSRQEYQKVWAAITMITSIGNCPVVFNLLDLSGSIKACKDAALKCEESKFEHCKLLAGIQLNDDLKQHLQNCLEKIKVLEH >itb03g24680.t3 pep chromosome:ASM357664v1:3:23499497:23503429:1 gene:itb03g24680 transcript:itb03g24680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLTGNDPIIITQFNLSKSIKDSILANFGECGLASSLNSFQVKYVNPITKVCIIRTSRQEYQKVWAAITMITSIGNCPVVFNLLDLSGSIKACKDAALKCEESKFEHCKLLAGIQLNDDLKQHLQNCLEKIKVLEH >itb03g24680.t5 pep chromosome:ASM357664v1:3:23499500:23502073:1 gene:itb03g24680 transcript:itb03g24680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLTGNDPIIITQFNLSKSIKDSILANFGECGLASSLNSFQVKYVNPITKVCIIRTSRQEYQKVWAAITMITSIGNCPVVFNLLDLSGSIKACKDAALKCEESKFEHCKLLAGIQLNDDLKQHLQNCLEKIKVLEH >itb11g09540.t1 pep chromosome:ASM357664v1:11:6414259:6414972:1 gene:itb11g09540 transcript:itb11g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRAYAIRPIPMLLKGFPENEINKIECHMWKEEDCFLWLDSKNPKSIVYVNFGSLAVTSPDKVVELAIGLCKSQQNFLWIIRPELISGGDWSAILSPEFMDTIKGGGKGYVVGWCDQEQVLNHPSIGGFLSHCGWNSIVESITAGVAMICWSCFAEQQINRLCCCSQWGFGLEIDLDVNRENVESVVRELMEGEKGREVKQKAMFWKKRGEAATAMGGSSFVNLDKLIGQILLSDG >itb11g15350.t1 pep chromosome:ASM357664v1:11:12931525:12932881:-1 gene:itb11g15350 transcript:itb11g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEIHQKENVRPFSPTPHFLRNYKLSQIDQVEGTHYTSMLFFYTSGAHHHDYDELKQSLSKTLSVLYPLAGRVKDGMTIECNDEGVDFVRANVTNYDLSDFLQICKVDHLQQLLPYDPNPMDFDPSQPMLGVQVNKFRCGGTAVAICIWHGVADAAAIGGFVQTWTAINRGEGGRGDLVVDGAAIFPPTLNFDFSAPTSAAVRLNKTNRGKFSTKRFVFRKEEIERMRDEYMLSDRRRPTSVEALSAFIWAALIRANQNAKMHILIRTKSLLPVYLVSKPCHRNCPLYPATININSINNG >itb10g11790.t1 pep chromosome:ASM357664v1:10:17421037:17421321:1 gene:itb10g11790 transcript:itb10g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRKEHTYWRYMQAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKIE >itb10g14700.t1 pep chromosome:ASM357664v1:10:21004453:21014328:-1 gene:itb10g14700 transcript:itb10g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHQGFISSVTTNHIAGHHRIRRNTADHHHPLLLLAGSSMPKLLGRRRGRSINAIFFSTIFLQQLQLKFSLSTLPSSKSASVGPASLPQEKKNIPVIMERRRQWHWNTLRRLSAVAKAAAGPPPVLYAGIYIYIKAVENDQVSALQPTPSSSPSLQSPTLPTRCRRLPSPPLPSPTPPLPDGEAPVGSPGRSPSPSLTLGLQVAVSPSRGHRLSRHYRSPPLAVASARSPIHVAVAVSQSDTPRLQVAVAVSHSRTPVASRSAVLEAS >itb15g20700.t1 pep chromosome:ASM357664v1:15:23370356:23372273:-1 gene:itb15g20700 transcript:itb15g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKYITAEELKEHNKAGDLWVSIQGKVYDVSEWVKVHPGGELPLRSLAGQDVTDAFVAFHPGSVWHHLDTFFNGFYLRDYTVSEVSKDYRRLVSEFSKMGLFEKKGHGVFISMCFIVMIFAVSVYGVLCSENVWVHLGSGGLMGFLWIQSGWLGHDSGHYQIMMSKGSNRFAQILTGNCLAGISIGWWKWNHNAHHISCNSLDHDPDLQHLPFFVVSSKFFSSLTSYIYERKMNFDIFARFLVSYQHWTFYPVMCFARINLFAQSFALLLSNRKVPHRGQELLGLLAFWVWYPLLVSCLPNWWERIMFVVASFTVTGIQHVQFCLNHFSASVYVGPPTSHDWFEKQTNGTLGISCASWMDWFHGGLQFQVEHHLFPRLPRCHLRKVSPFVKDLCRKHGLPYNVASFWKANQLTLRTLRNAALQARDLTKPVPKNLVWEAVNTHG >itb15g08600.t1 pep chromosome:ASM357664v1:15:6010262:6011746:1 gene:itb15g08600 transcript:itb15g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSYFLSILFCIFFLVVDTNGNQIIVVNNCNESIWPGILGGAGQPTPKDGGFHLSSGEEVVLDVPEKWSGRIWARQNCCFDENGKGSCDTGDCEGQLHCKGRGGVPPATVVEMTLGTSSSPLHFYDVSLVDGFNLPVSMKPVGGGIGCGVASCEVDLNICCPSALEVRVGGKVVGCKSACLAMQSAKYCCTGQYSNPKTCKPTLFANLFKAICPKAYSYAFDDSSSLNKCRASRYVIIFCPPT >itb15g13910.t2 pep chromosome:ASM357664v1:15:11968060:11970253:1 gene:itb15g13910 transcript:itb15g13910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYHEKQKLPLLRDEGEGEGAFDYSQRVHIHGGDETEGEEFTGTPPFSWKKLWLFTGPGLLMSIAFLDPGNLEGGLQSGAIAGYSLLWLLFWATGIGLLVQLLSARLGVATGRHLAEACRSEYPKWARLLLWVMAEFALIGADIQEVIGSAIAIKILSRGHLPLWAGVFISAFDCFIFLFLENYGVRKLEALFAFLISVMALSFAWMFVQTKPSGIVVPKISSKTVKQAVAVVGCSIMPHNVFLHSALVQSRDIDTSKIGKVREAIKYYTIESSAALAISFVINVCVTTVFAKSFYGTEVAKNIGLVNAGNALQEKYGGGLFPILYIWAIGVLAAGQSSTITGTYAGQFIMGGFLHMRLKKWQRAMITRTCAIIPTLIVALAFDRSEDSLDILNEWLNILQSIQIPFALIPLLCLVSNKEVMGVFAIGPVLKAISWLVGTLVIVINGYLLVNFFSKEVSGPAIISFVVVFTTAYVAFVVYLILRGKPYLNWCRK >itb15g13910.t1 pep chromosome:ASM357664v1:15:11968060:11968590:1 gene:itb15g13910 transcript:itb15g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYHEKQKLPLLRDEGEGEGAFDYSQRVHIHGGDETEGEEFTGTPPFSWKKLWLFTGPGLLMSIAFLDPGNLEGGLQSGAIAGYSLLWLLFWATGIGLLVQLLSARLGVATGRHLAEACRSEYPKWARLLLWVMAEFALIGADIQEVIGSAIAIKILSRGHLPLWAGVFISAFDW >itb12g01980.t1 pep chromosome:ASM357664v1:12:1325682:1328079:1 gene:itb12g01980 transcript:itb12g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLPSSFSALLQPPPPPPPPLSLSLCVAGAKLSNTEFEGFELLSLPLPIRSCKHNFTARAKVLHCSSGLIMSGGPGLESLVDQTISVITNDGRNIVGILKGFDQATNLILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDANLDLSKLRAHPLKPVVH >itb14g01300.t1 pep chromosome:ASM357664v1:14:995842:999605:-1 gene:itb14g01300 transcript:itb14g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAIIPNLNLNNPRCFKCLNSKLSNQRASLKLFQRKPRLAFPSRRRFSRLLCSAVEDATEKQQASGLSSAVEDRIDAPNENIFQNDESDLEGSAIYNLLYPSKELLPDDKEMSIFDHLEELRQRLIVSVLAVGGAMAGCFAISKELILFLEAPVSAQGVRFLQLGPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTKAERRFLAPIVLGSSVLFYAGIVFSYYVLTPAALNFFVNYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVVQLLLGQTGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQVLLAGPLLGLYLGGAWVVKLSGK >itb13g01060.t1 pep chromosome:ASM357664v1:13:1002805:1007047:1 gene:itb13g01060 transcript:itb13g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAVTILMDTLHQHFLQPTPLFPLRNKTKVKLLYKNLSSLQTSLEKDFKLGYCDETMKALEAQMRDVSIELRFQIEHHLTLFYLGKSMKLRLHSAQKLLPILNAAINGGIETMDLNCVMEILRKHFTLPVSGMPPRIYKRVKSFCIQHKLFLYGEQYEERTGYEEESEDDEQQIDDEECRFLGNIVVLVIRYFIRPVWRLLSQVKKKVKSCFRRERKSDDDEKESENGEQSDDEKDSDDEEESEDDEQDSDDDEEEAERRKQRIRQNLLSMMYMLYEAESVIRQEVRASYLNKYMKQRILATQRIRQLFIQGISLTSNINKHMLKLKNAYHQSNNSQNNNNNNNNPAASLRGLEFDNITVGDSKSTIKMVGCDDVFNTIMDNLSQKSSKREVVSIVGMGGIGKTTLAKKIYEDSSFISYFDCRAWVTISQDYNPTQVFQCLLRSLAPAGASDNNGASNYELAEQVYRLLKHRRYLIVVDDIWTTNVWDDLMRYFQDDNIGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKICKRSITLLSPEFEKIGREIVDKCKGLPLAITVAAGLLSNSNQTFIHEWEHIAKCVPALSLDHSNHQEDDMIYESRIVDFWVSEGFLKVLKSESLKDVARKNLQDLVDRNLVLFNQYRNGVKFYQMHDVLRELVLREVQKENLLCSKKGYTISLRWKRNQSINSSHISQPWSVQSRICSYNSITPTNNTSSLMDGIYTSTGVGAHAQFKFIRVFAVISEDMDVVGKLSKLEDLSLGGYAVKGQKWKPKDGGFCRLRFLKIYSRPLQYWEATSNHFPVLEKLDIAFIKLKEIPSGFAEITTFKSINLFGCLESLISSAERIQKEKQEYGNDTFVVDIIRL >itb13g01060.t2 pep chromosome:ASM357664v1:13:1002805:1007047:1 gene:itb13g01060 transcript:itb13g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAVTILMDTLHQHFLQPTPLFPLRNKTKVKLLYKNLSSLQTSLEKDFKLGYCDETMKALEAQMRDVSIELRFQIEHHLTLFYLGKSMKLRLHSAQKLLPILNAAINGGIETMDLNCVMEILRKHFTLPVSGMPPRIYKRVKSFCIQHKLFLYGEQYEERTGYEEESEDDEQQIDDEECRFLGNIVVLVIRYFIRPVWRLLSQVKKKVKSCFRRERKSDDDEKESENGEQSDDEKDSDDEEESEDDEQDSDDDEEEAERRKQRIRQNLLSMMYMLYEAESVIRQEVRASYLNKYMKQRILATQRIRQLFIQGISLTSNINKHMLKLKNAYHQSNNSQNNNNNNNNPAASLRGLEFDNITVGDSKSTIKMVGCDDVFNTIMDNLSQKSSKREVVSIVGMGGIGKTTLAKKIYEDSSFISYFDCRAWVTISQDYNPTQVFQCLLRSLAPAGASDNNGASNYELAEQVYRLLKHRRYLIVVDDIWTTNVWDDLMRYFQDDNIGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKICKRSITLLSPEFEKIGREIVDKCKGLPLAITVAAGLLSNSNQTFIHEWEHIAKCVPALSLDHSNHQGENIIYLSYTFLPHHLKLCFLSFGCFPEDDMIYESRIVDFWVSEGFLKVLKSESLKDVARKNLQDLVDRNLVLFNQYRNGVKFYQMHDVLRELVLREVQKENLLCSKKGYTISLRWKRNQSINSSHISQPWSVQSRICSYNSITPTNNTSSLMDGIYTSTGVGAHAQFKFIRVFAVISEDMDVVGKLSKLEDLSLGGYAVKGQKWKPKDGGFCRLRFLKIYSRPLQYWEATSNHFPVLEKLDIAFIKLKEIPSGFAEITTFKSINLFGCLESLISSAERIQKEKQEYGNDTFVVDIIRL >itb14g15460.t1 pep chromosome:ASM357664v1:14:18757084:18766680:1 gene:itb14g15460 transcript:itb14g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQKKDEKDAFLLSFVCVSVFLLAPPATRRCYADVDVNNPEEVQLLTHNVSNQLKNFTALFGNKVTQQLGFCIDDVEAEWKRAFDFSDKEFLSNCVKRTKGDMMQRLCTASEIQFYAANIMMGALARKSTNFVTLNKNCNLSSWGAGCDPGWACTVGKNVLVDLKNIKEIPARTDDCQPCCEGFFCPHGLTCMLPCPLGSYCPVATLNEATAGCDPYNYQVQPGNSNQKCGGADMWADIITGNDLFCPAGSYCPSTIRKFPCDSGHYCRTGSITQTKCYRLATCDPQTENQNITAYGALFVAGIMLILLVIYSCSDRVLSTREKKQAKSREAAAKTVRETVRAQTWKTAKDIAKKHATGLQTQLSRTFSRKQSVREETNSTGQASPVTDASFSSSPSQENSESYDDFNIEIEDKKLKKKPTKTRHIHTRSEMFRYAYGEIEKEKARQENSPNLSYSGVISLASDTKVITRPPIEVVFKDLTLTLTGKKKHLLRCVSGKLSPGRVSAVMGPSGAGKTTFLSALTGKATGCTMSGVILINGKAETVQSYKKIIGFVPQDDIVHGNLTVEENLWFSAICRLPAKFLKAEKVLVVERVIESLGLQNVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLKALRREALEGVNICMVLHQPSYTLFNMFDDFILLAKGGLVVYHGPVKKVEEYFAGLGIHVPERVNPPDFFIDILEGIEKLSPDIGFNYKDLPLKWMLHNGYTVPPDMLNPAGLAAANGESPAHESNPASEEPDGSLFANLWGDLKSNVEQKRDQVKHYFLTLKDSSNRNTPGLLVQYKYFLGRIGKQRLREATVQAVDYLILLLAGICLGTLAKVSDESFGSMGYLYTVIAVSLLSKIAALRSFSLDKLHYWRESASGMSSLAYFMAKDTIDQLNIIIKPAVYLSMFYFFNNPRSSIQDNYIVLFCLIYSATGIAYVLAICFEPGQAQLWSVLLPVVLTLVANQKGDSFLAGIGDFCYTKWTLEAFLIANARRYSGVWLISRCGVLKTRGFALSDWYSCLGCLILTGILSRVIAFFCLITFQSK >itb09g10980.t1 pep chromosome:ASM357664v1:9:6871772:6872101:-1 gene:itb09g10980 transcript:itb09g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENALLQICWAKLVCSSLNQRVTTSSRSSSSRNHLNTKDDNNDGGSDFVEDFCIIYGSHSSTLDRLYAWERKLYDEVKAFELIRSSWNMILSTSNLDANQPKILVPM >itb07g09030.t2 pep chromosome:ASM357664v1:7:7776955:7780323:-1 gene:itb07g09030 transcript:itb07g09030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMDCLLEAEKSSRDQQRKKLGDTLIFDEPEEVPCADLPVDALKNVDVIPPKSKRVQKKKHTEKRAYDSEELVRHMSNLPSYLERGKVPREKAFNVGVLDWKRLERWQKQYKQIPGRSNGYSPSSSNTSSLFLAEGSSSNSSTGHSSSMHHSTLQSHLNMSSTEGSSGSVNLQELNSCAGKPLEIHQRVPKTTHSSVEVETSLKEFSRDSDLQHAIKNRRSQYIKDDCSTFNGETILEGDNELHISCSNANADFDCKERCKSIVLIVPKDRQESSNGLSSSTSDSAEKLKATKKSFYDVCNHTVSQAQTSSGVSHCCYIHNKADTISYSQTGLASKIDEKGMDLSSILNEPSTYPGKSPSSPTGGKNLESNKSSGVLKNPTTVTSFDGSNPIKENAEATKVRNPSPTHRFRIASSGKGWSSNAKDISTVPSVVKQHNAQSGQERVEGVVSIDHTCDKSSANGRSKSSPLRRLLDPLLKPRKSTSDHFTGSSEVDSTSRDRRSKSAPRQVESLTSRSMKVKLDLKGCKTIEVDNACPTAKHRPLRMQALFQVAVKNGVPLFKFAVENEGNILAATMKQVNSPTKQKNNWIYSFCTIREMKKKGGNWANQGGKDKSRGYISSVIAQMKVSDAPFSVSSQKSYCQSSIREFVLFATETKESGQQISELQPTHELAAIVVKLSHGSTRHLCSSAQQDLNSASAIESGSRGEVGGCSGREDFSMTVILPGGNHGLPGKGEPSPLIERWKSGGSCDCGGWDLGCKLRVLANHCEVIRGSSSANIQSTAGRFELFYQGDDQENKPVFSLCAFKDGIFSVEYSSSLTLLQAFSICISILNVRKQDGIREANSSCAENSSEGIMPSDFPNKAQVEFPDKYISIPPISPVGRV >itb07g09030.t1 pep chromosome:ASM357664v1:7:7776955:7782253:-1 gene:itb07g09030 transcript:itb07g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMDCLLEAEKSSRDQQRKKLGDTLIFDEPEEVPCADLPVDALKNVDVIPPKSKRVQKKKHTEKRAYDSEELVRHMSNLPSYLERGKVPREKAFNVGVLDWKRLERWQKQYKQIPGRSNGYSPSSSNTSSLFLAEGSSSNSSTGHSSSMHHSTLQSHLNMSSTEGSSGSVNLQELNSCAGKPLEIHQRVPKTTHSSVEVETSLKEFSRDSDLQHAIKNRRSQYIKDDCSTFNGETILEGDNELHISCSNANADFDCKERCKSIVLIVPKDRQESSNGLSSSTSDSAEKLKATKKSFYDVCNHTVSQAQTSSGVSHCCYIHNKADTISYSQTGLASKIDEKGMDLSSILNEPSTYPGKSPSSPTGGKNLESNKSSGVLKNPTTVTSFDGSNPIKENAEATKVRNPSPTHRFRIASSGKGWSSNAKDISTVPSVVKQHNAQSGQERVEGVVSIDHTCDKSSANGRSKSSPLRRLLDPLLKPRKSTSDHFTGSSEVDSTSRDRRSKSAPRQVESLTSRSMKVKLDLKGCKTIEVDNACPTAKHRPLRMQALFQVAVKNGVPLFKFAVENEGNILAATMKQVNSPTKQKNNWIYSFCTIREMKKKGGNWANQGGKDKSRGYISSVIAQMKVSDAPFSVSSQKSYCQSSIREFVLFATETKESGQQISELQPTHELAAIVVKLSHGSTRHLCSSAQQDLNSASAIESGSRGEVGGCSGREDFSMTVILPGGNHGLPGKGEPSPLIERWKSGGSCDCGGWDLGCKLRVLANHCEVIRGSSSANIQSTAGRFELFYQGDDQENKPVFSLCAFKDGIFSVEYSSSLTLLQAFSICISILNVRKQDGIREANSSCAENSSEGIMPSDFPNKAQVEFPDKYISIPPISPVGRV >itb03g13440.t1 pep chromosome:ASM357664v1:3:13583051:13585874:1 gene:itb03g13440 transcript:itb03g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKTKPTTTTALPSQMTNGGSRVAAAGDNDWEMRPCGMLVQKRNPDSHNRPPPPTIRVRVKYGSIYHEINISSQATFGELKKMLTGPTGLHHQDQKLLFKDKERDSNAFLDVCGVKDKSKVVLVEDPISQEKRYLEMRKNAKMEKAAKSVSEISLEVDRLGGQVSALESVISKGGKVEEKTLINLIELLMNQLLKLDGIVAEGDVKLQRKMQVRRVQKYVETLDMLKIKNSGLTSNGNHTPSPKQNGSSPHHQYGYSDNQRIQQQQARHSLGSSASETEQQPGMGRHSASSSSSGPVVITTQWETFDSSALGAAQLVPVSTPTTTSNNTAHPGFSWNLL >itb03g11790.t1 pep chromosome:ASM357664v1:3:10356123:10357872:1 gene:itb03g11790 transcript:itb03g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLSAMLFASTWTTLYTVVNPLGDEDERYKKFNDSYLVDKTFAVETRWHNIQHFLFPIITSQHYYLIHFDPLCERFDAIDNSSSVSKTEDKYGDVPKKLKENNDQLNALRVKYLTALVMSDLNEHKARNIQQAAEFGLEC >itb03g11790.t2 pep chromosome:ASM357664v1:3:10356123:10357872:1 gene:itb03g11790 transcript:itb03g11790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLSAMLFASTWTTLYTVVNPLGDEDERYKKFNDSYLVDKTFAVETRWHNIQHFLFPIITSQHYYLIHFDPLCERFDAIDNSSSVSKTEDKYGDVPKKLKENNDQLNALRVKYLTALVMSDLNEHKARNIQQAAEFGLEC >itb01g34370.t1 pep chromosome:ASM357664v1:1:37112417:37114823:1 gene:itb01g34370 transcript:itb01g34370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKTTKDNVNGSAESVEELEKKYGPYVRHDCYGVMGRGELPWTEKALLAIALVVLLPLRVAAATTFVVICNLSCRIATAFWSPGGEDDDYAHLSGWRRSIIMRASKFAGRALLFSFGFYRIHETHREHCVTRANSNDQEQSKEGERAGVIVSNHISYVDILYHMSSSAASFVAKESVANLPLVGLVSKCLGCIYVKREDKSSQLKGVSGMVNKRIQEAHQSKSSPMMVLFPEGTTTNGDFLLPFKTGAFLSKAPVRPVIIRYTYQRLSPAWDSISGARHLILLLCQFVNNMEVIWLPVYYPSQQEKDDPKLYAENVRKFMADEGCLILSDIGLAEKREYHAALNGNKSTPSVYHKKDE >itb01g34370.t3 pep chromosome:ASM357664v1:1:37112433:37114573:1 gene:itb01g34370 transcript:itb01g34370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKTTKDNVNGSAESVEELEKKYGPYVRHDCYGVMGRGELPWTEKALLAIALVVLLPLRVAAATTFVVICNLSCRIATAFWSPGGEDDDYAHLSGWRRSIIMRASKFAGRALLFSFGFYRIHETHREHCVTRANSNDQSKEGERAGVIVSNHISYVDILYHMSSSAASFVAKESVANLPLVGLVSKCLGCIYVKREDKSSQLKGMVNKRIQEAHQSKSSPMMVLFPEGTTTNGDFLLPFKTGAFLSKAPVRPVIIRYTYQRLSPAWDSISGARHLILLLCQFVNNMEVIWLPVYYPSQQEKDDPKLYAENVRKFMADEGCLILSDIGLAEKREYHAALNGNKSTPSVYHKKDE >itb01g34370.t2 pep chromosome:ASM357664v1:1:37112417:37113723:1 gene:itb01g34370 transcript:itb01g34370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKTTKDNVNGSAESVEELEKKYGPYVRHDCYGVMGRGELPWTEKALLAIALVVLLPLRVAAATTFVVICNLSCRIATAFWSPGGEDDDYAHLSGWRRSIIMRASKFAGRALLFSFGFYRIHETHREHCVTRANSNDQEQSKEGERAGVIVSNHISYVDILYHMSSSAASFVAKESVANLPLVGLVSKCLGCIYVKREDKSSQLKGVSGMVNKRIQEAHQSKSSPMMVLFPGDYFPFMCPGLKVNCGNS >itb08g07010.t2 pep chromosome:ASM357664v1:8:5990952:5996856:-1 gene:itb08g07010 transcript:itb08g07010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGSGSWTMIPNIQTNSNPSTPSNQDHLFLQQQQQQQQQFNQQQQQQLYQQQQQQFQQQQMQSPPQPTPPPPPPQQHQSLASHFHLIQLVENLADAVENGTRDQHSDALVNELKNQFEKCQQLLNSISASISSKSMTVEGQRQKLTEAEQLSNQRRELISKYKTSVEELINSEL >itb08g07010.t1 pep chromosome:ASM357664v1:8:5992768:5996856:-1 gene:itb08g07010 transcript:itb08g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYGSGSWTMIPNIQTNSNPSTPSNQDHLFLQQQQQQQQQFNQQQQQQLYQQQQQQFQQQQMQSPPQPTPPPPPPQQHQSLASHFHLIQLVENLADAVENGTRDQHSDALVNELKNQFEKCQQLLNSISASISSKSMTVEGQRQKLTEAEQLSNQRRELISKYKTSVEELINSEL >itb15g06550.t1 pep chromosome:ASM357664v1:15:4351137:4352278:-1 gene:itb15g06550 transcript:itb15g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYFLSTILLFSFATMAFSQSLSPFFYQRVCPLALPTIRRIVFNAVLQERRMGASLLRLHFHDCFVNGCDASILLDSTSTIDSEKNSLANANSARGFEVIDRIKSVVDKVCNGPVVSCADILAVAARDSVLALGGPSWTVQLGRRDSTTASRTDADNNLPSPFMDLTALIDNFSKQGLDVKDLVALSGGHTLGLAQCRTFRDRIYNDTNIDQGFAAQRQATCPRVGGNSTLAPLDPSPAYFDTRYFSNLVMNKGLLHSDQVLFNGGQTDNLVNTYSRSIRAFATDFAQSMIKMGNIKPLTGNNGQIRVNCRNVN >itb05g03610.t1 pep chromosome:ASM357664v1:5:3098394:3099932:1 gene:itb05g03610 transcript:itb05g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAVVTVPAYFNDSQRQATKDAGTIAGLNVMRIINEPTAAAIAYGLDKKATNVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHEIVLVGGSTRIPKVQQLLQDFFNGKDLCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEDHKKKVEAKNSLENYAYNMRNTIKDEKISSKLSDADRKKIENAIDQTIQWLDANQLAEVDEFDDKMKELEGICNPIIAKMYQGVGGGANMPPGMNADVPPGAAAAAAGGAGPKIEEVD >itb07g11750.t1 pep chromosome:ASM357664v1:7:13281345:13281863:-1 gene:itb07g11750 transcript:itb07g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRSREEVAAEAEALAMANCLELLSRPAGATAAGEKTPPSGWEFECKTCQKKFKSFQALGGHRASHKRPKHLEHNNNVNIKKRHECPVCGLEFGLGQALGGHMRKHRADQSHSDDKKSPDLLTVTTLKNEEHSAEIKKKNEVLIDLNFTLLENDSIVHRRFVPTTLDLFV >itb03g22530.t1 pep chromosome:ASM357664v1:3:20581493:20589216:1 gene:itb03g22530 transcript:itb03g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYVGLRPMFDVEVMNADAKLVLGDQEAQPSPSNIARDTVRKEAATITAVFPSSNDVMSILVQRVLEDRVPKLLEKFLVKPSLLNPPPMEEGGLILYLRLLAVAYEKTQELARDLRGVGCGDLDVEGLTESLFLPHKDSYIECEQASLRQLYKAKMEELRAESQQSSESSGTIGRSKGASVASSLQQISVAAVTEFVRWNEEAVSRCTLFSPHPAMLAANVRAVFTCLLDQVSLYVTEGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFKSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMAKAMSSAEGAAYKGLQQCIETVMAEVERLLSTEQKTTDFRSPDDGIGPDHRPTTACTRVVAYLSRVLESAFTGLDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELRDDYKSAKLASRFSSLFG >itb12g23280.t1 pep chromosome:ASM357664v1:12:25087052:25088274:1 gene:itb12g23280 transcript:itb12g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSYNYKQQVGGSSSSLTTDLFGPKDSSKSTTSGLFQSVFGPSSMGLGRGSTHSQVSGSSTTSDCATRRGRGDGAGISSKEMKSSVYQSESVEPCYLSSSIYYGGQDVYPPTTQTNTSRHTFKKDGDEDDQNGNNNSNCASRGNWWQGSLYY >itb12g02360.t1 pep chromosome:ASM357664v1:12:1558162:1560658:1 gene:itb12g02360 transcript:itb12g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGYKKTADSVNPSTTTPHTSSLRPSTPGTSTSWLSTVGSGSTSANGEILASANLKIYSFVDLKSATRSFRPDSVLGTGGFGTVFKGWVDENTLAPSRNGVGITVAIKKMNPESTQGFEEWQSEVNFLGRLSHPNVVKLLGYCFDDNELLLVYEFMQKGSLENHLFRRAMEPLSWKIRLKVAIGAAKALAFLHTLPRKVIYRDFKASNILLDGNYNAKISDFGLAKLGPSAGNSHITTRIMGTYGYAAPEYIATGHLYVESDVYGFGVVLLEMLTGLRAFDAQRPSDQQNLVEWAKPMLSQRKKLKTIMDARMEGQYHYSAALHASELTLRCIDEEPKKRPSMKQVMETLEQIEAMKRP >itb10g22480.t2 pep chromosome:ASM357664v1:10:27317158:27320960:1 gene:itb10g22480 transcript:itb10g22480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYVLLPSSDGKRKIRKIRGIVELIISVLCSLSLCVEGFLVDGAKNESRRENGVLLRAKIMASAVAEKTEEELRKEIDELNRQRREITERLRDPRGIRRGGFTGSGPRNFVANGGRQRGLVRPAERNDAEDQPTPKKRLLSTVVKIEDGEIVEDTPETPKEMKKDSIYEESSGKTIATQGESKPGTWSRRDGNQRTFKMGSDIPPPEHVPRVLPKDEDPKLVSRNKRMLGQLLGTLEKFRKEDMQLSGSEAYMRRSDSLKRAEQKAREESERLRQQEREQIVEKRKRDLTLRARIAAKAEEKRMELLFLKWSEHKKKLGNFIRTEAVPPIYYTFAKPLDEDTSVTEQKKEQIFQEWKAARREELSQYQKQIGEEYVLNVEKELERWQNGRRGRRPNNNTAANLQETMDKELETHRLEHGPKTRKIPGSDNNEDEEDVEDINVGEDDMMDDVLGVDENNQRGDETAKPEVANGSPQLENQGQ >itb10g22480.t1 pep chromosome:ASM357664v1:10:27317158:27320960:1 gene:itb10g22480 transcript:itb10g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYVLLPSSDGKRKIRKIRGIVELIISVLCSLSLCVEGFLVDGAKNESRRENGVLLRAKIMASAVAEKTEEELRKEIDELNRQRREITERLRDPRGIRRGGFTGSGPRNFVANGGRQRGLVRPAERNDAEDQPTPKKRLLSTVVKIEDGEIVEDTPETPKEMKKDSIYEESSGKTIATQGESKPGTWSRRDGNQRTFKMGSDIPPPEHVPRVLPKDEDPKLVSRNKRMLGQLLGTLEKFRKEDMQLSGSEAYMRRSDSLKRAEQKAREESERLRQQEREQIVEKRKRDLTLRARIAAKAEEKRMELLFLKWSEHKKKLGNFIRLFLILVQKCAYSQFY >itb09g02150.t2 pep chromosome:ASM357664v1:9:1291213:1297973:1 gene:itb09g02150 transcript:itb09g02150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MEIAPAAPASNDGGSGIATAAASSSSSSSSFSVDAIKGTTGTASSASSSASTMLPDAANKNSGATGSAFKYDDDEEEEDVCRICRNPGDADNPLRYPCACSGSIKYVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFIVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEGDRNAVQARRPPGQANRNFAGEGNGEDANGAQGIAGAGQIVRRNAENAAARWEMQAAHLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYLTWLLSSATSPVLSTVMPLTETALSLANITLKSAMTAVANLTSDNQENSLLGQVAEMMKSNATGLNEVSGNLSTVVSADLLKGASIGASRLSDVTTLAVGYMFIFSLVFFYLGVVALIRYTRGEPLTLGRFYGIASIAETIPSLFRQFVAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSITQRVEFFSISPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPTIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWSLGLTEFLLPRPEDNSGQENGNGDQGRQNRVHGQVGGQDRALVGLAPDDLNRIRHATTINGNSVDEDDGDEQTDSEYGFVLRIVLLLVVAWMTLLIFNSALIIVPISLGRALFNSLPLLPITHGIKCNDLYAFVIGSYAIWTALAGARYCVDHIRSRSATVLIALIWKWCGIVLKSSALLSIWILVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMMPLVDDSWRTKFERVRQDGFSRLQGFWVLREIVVPIIMKLLTALCVPYVLARGVFPILGYPLVVNSAVYRFAWLGCLGFSLLWFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEDIVEKQNEEGVSQEAQNAPLIQDDQQAADIGIRQRNAFRQDT >itb09g02150.t1 pep chromosome:ASM357664v1:9:1291213:1298016:1 gene:itb09g02150 transcript:itb09g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MEIAPAAPASNDGGSGIATAAASSSSSSSSFSVDAIKGTTGTASSASSSASTMLPDAANKNSGATGSAFKYDDDEEEEDVCRICRNPGDADNPLRYPCACSGSIKYVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFIVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEGDRNAVQARRPPGQANRNFAGEGNGEDANGAQGIAGAGQIVRRNAENAAARWEMQAAHLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYLTWLLSSATSPVLSTVMPLTETALSLANITLKSAMTAVANLTSDNQENSLLGQVAEMMKSNATGLNEVSGNLSTVVSADLLKGASIGASRLSDVTTLAVGYMFIFSLVFFYLGVVALIRYTRGEPLTLGRFYGIASIAETIPSLFRQFVAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSITQRVEFFSISPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPTIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWSLGLTEFLLPRPEDNSGQENGNGDQGRQNRVHGQVGGQDRALVGLAPDDLNRIRHATTINGNSVDEDDGDEQTDSDRYGFVLRIVLLLVVAWMTLLIFNSALIIVPISLGRALFNSLPLLPITHGIKCNDLYAFVIGSYAIWTALAGARYCVDHIRSRSATVLIALIWKWCGIVLKSSALLSIWILVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMMPLVDDSWRTKFERVRQDGFSRLQGFWVLREIVVPIIMKLLTALCVPYVLARGVFPILGYPLVVNSAVYRFAWLGCLGFSLLWFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEDIVEKQNEEGVSQEAQNAPLIQDDQQAADIGIRQRNAFRQDT >itb02g05730.t1 pep chromosome:ASM357664v1:2:3538284:3541467:-1 gene:itb02g05730 transcript:itb02g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAQIGRNAVRRQLKTASDGVLHHPLFYAVQGVRYRKVEVILTSSVSKLGKVGDTVKVAPGFFRNHLMPKLLAVPSIDKYRHLLEDQRKIYQPKEDEEVKVVPQTKEDRMKEYQAAANRLDRTKVVLRKFIKVDNEIREPVTKEEIVDEVARQLSVSIEPENLHLPSPLSSLGEFEVPLRLPRSIPLPDGKVQWALKIKIRRK >itb05g09780.t1 pep chromosome:ASM357664v1:5:14285396:14290254:-1 gene:itb05g09780 transcript:itb05g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEELLPQSVEELLQKICAEQSQEAPDVEVRRRLGAIGEEGANRILKKIASRPIKTTLNAFIIYMLNRYPKCVSSEDHRSPFSTPHKSHFTKSSPHKPTGLLRFYPPLQDTRRMLFPIDDLESRLAKKIGGCSSSQVSLPIVTHTATTSSTIRGTCSLLPPPQEKITITTTTAQKRSGSSSSSLQEKLKEPEVKKLKLGMDIDRNASIACGHIDALELVEKMAPPADLERLEGQETEELFKSSIHKSMEAIFHMVELQKRMGVCMSELKTYQEEKESLKKELSDEVEKVRLLSYQIATAEAKITSLTNNCKEVTTTMNELLCDEVEAAYLKGKVKMQQEIYQVLRDRFPDDFDICSWNLPVQLQLPSSSSNNTMIPPLVMLDEDDTELQEAGF >itb13g03980.t2 pep chromosome:ASM357664v1:13:4028836:4033206:1 gene:itb13g03980 transcript:itb13g03980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTIVTSGEMPSTSSQLNSSSRIICRVCQKQFSLYTCPRCNIRYCSLPCYKSHSLSCTESFMRENVMEELQQSQPDERSKRKMLEILKRLHSEDETESLDEDEPDFSWSESTIQKILSGSEISLDDLTLEEQKRYQKAIASGELSKLIKPWEPWWTKQSAKYISLGQYGTQLVQPISKEESTATSEDDIESEPLHDIPPGPESPLPSVSKLSAAAPSPLLAVHLVDIVYSYCFTLRIYNGDWQSDPTGSATVLLSVSSVMGQGAQPETVLEALSHCLEQTCSPALRHMGGLQLGFRLIEDVIELLYLGGAALVCLLTDLRRLIQSAEKEVKSAKQSKLERSEMKKKLKSAERKVYFIMCWVHEQPGEAWSSLAAIVKMERSQSMEYAAGTETSLPKKEKAERFGKPVIKEIQ >itb13g03980.t1 pep chromosome:ASM357664v1:13:4028836:4033206:1 gene:itb13g03980 transcript:itb13g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTIVTSGEMPSTSSQLNSSSRIICRVCQKQFSLYTCPRCNIRYCSLPCYKSHSLSCTESFMRENVMEELQQSQPDERSKRKMLEILKRLHSEDETESLDEDEPDFSWSESTIQKILSGSEISLDDLTLEEQKRYQKAIASGELSKLIKPWEPWWTKQSAKYISLGQYGTQLVQPISKEESTATSEDDIESEPLHDIPPGPESPLPSVSKLSAAAPSPLLAVHLVDIVYSYCFTLRIYNGDWQSDPTGSATVLLSVSSVMGQGAQPETVLEALSHCLEQTCSPALRHMGGLQLGFRLIEDVIELLYLGGAALVCLLTDLRRLIQSAEKEVKSAKQSKLERSEMKKKLKSAERKVYFIMCWVHEQPGEAWSSLAAIVKMERSQSMEYAAGTETSLPKKEKAERFGKPVIKEIQ >itb01g29780.t2 pep chromosome:ASM357664v1:1:33941603:33945589:1 gene:itb01g29780 transcript:itb01g29780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVRKRKSLWDAEEDTSLRVESNDKNAWSGRGQYPSYQENSASKTDFSSKNHSGCDLSPQNVPQESHRSSQHGERVPETEEADRKKDLYRSRRHPARDRSRSRGRGEGRSRSPGRRRDRARYPVQKRSGSTSRSRSRDRGNLKARSRSRSPPHNYKSDPHGWGDRRNGSRISSQTCRDFATGKCRKGSECRFLHADNLKSTDGFQLEDDLAETLGNRLEHGHVSRSANSEGHEIRDELPDAYDIEHDQTRKRNRVMITCKDFIKGKCHWGASCKFSHVGVSSDNYERGVKNASLNYVQEREPTKSTKQLCKYFAAGKCFHENCKFSHDGPTPSYIETRPSDNIGGGRLDGKNKHPSGPNWDDAQRDSNLSQIGIHPKRFMASPNGRDTNTSGSVLEKLTDSEGQTATSIASQSQSLNGSSHVYEQGKIQEASGVNLSNTVMKPEMSLNSFVMPYTFEDKGKFGPNALHEVKSSRNSVHPVLLPGQSYEVGENMTVSSEPSFFTSSNQSRPRNQKEAASSTDTQSMEFPHNLSTAALVKLASQMKNSSTSSTVTFGNESVKSELHLEVVPSDPSSALPMFSAKPNPDQYHAPGDSVELCTSGNFMMPLVNAPRLDEQKTKVPLEKLNLSAVNPGAGEKNDVGGSDVMRNKDPLLKRPELCTDLEVNGNNKIVANKCDDGQENKHSDNVEVHGKAEEVSGNKDDKAIRLFKNALIEFVKEILKPTWKEGRMSREVHKTIVKKVVDKVTSTIQGDHIPKTQEKIEQYLSYSKPKLSKLVQAYVERCLKAPDA >itb01g29780.t1 pep chromosome:ASM357664v1:1:33941594:33945589:1 gene:itb01g29780 transcript:itb01g29780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVRKRKSLWDAEEDTSLRVESNDKNAWSGRGQYPSYQENSASKTDFSSKNHSGCDLSPQNVPQESHRSSQHGERVPETEEADRKKDLYRSRRHPARDRSRSRGRGEGRSRSPGRRRDRARYPVQKRSGSTSRSRSRDRGNLKARSRSRSPPHNYKSDPHGWGDRRNGSRISSQTCRDFATGKCRKGSECRFLHADNLKSTDGFQLEDDLAETLGNRLEHGHVSRSANSEGHEIRDELPDAYDIEHDQTRKRNRVMITCKDFIKGKCHWGASCKFSHVGVSSDNYERGVKNASLNYVQEREPTKSTKQLCKYFAAGKCFHENCKFSHDGPTPSYIETRPSDNIGGGRLDGKNKHPSGPNWDDAQRDSNLSQIGIHPKRFMASPNGRDTNTSGSVLEKLTDSEGQTATSIASQSQSLNGSSHVYEQGKIQEASGVNLSNTVMKPEMSLNSFVMPYTFEDKGKFGPNALHEVKSSRNSVHPVLLPGQSYEVGENMTVSSEPSFFTSSNQSRPRNQKEAASSTDTQSMEFPHNLSTAALVKLASQMKNSSTSSTVTFGNESVKSELHLEVVPSDPSSALPMFSAKPNPDQYHAPGDSVELCTSGNFMMPLVNAPRLDEQKTKVPLEKLNLSAVNPGAGEKNDVGGSDVMRNKDPLLKRPELCTDLEVNGNNKIVANKCDDGQENKHSDNVEVHGKAEEVSGNKDDKAIRLFKNALIEFVKEILKPTWKEGRMSREVHKTIVKKVVDKVTSTIQGDHIPKTQEKIEQYLSYSKPKLSKLVQAYVERCLKAPDA >itb15g18330.t1 pep chromosome:ASM357664v1:15:19822066:19832801:1 gene:itb15g18330 transcript:itb15g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHDLQHAPYAKPPFTLGEIKKAIPPHCFQRSVLRSFSYLVYDLIIVSFLYYVATNYFHLLPHPLSYLAWPLYWICQGCVLTGVFVIAHECGHHAFSDYQWLNDTVGFILHSALLVPYFSWKYSHRGHHSNTGSLERDEVFIPKQKPDVLWYAKCQNTPQGRALFLFAHFTLGFPLYLMFNATGRPYPRLACHFDPYSPMYLDRERIQIIVSDIGVFATTFVLYKLATAKGVAWVFCVYGFPLLIVNGFLVLITYLHHTHASLPRYNSSEWDWLRGALSTMDRDYGILLSKVLHNITDTHVAHHLFPTIPHYHAMEATMAIKPVLGEYYQFDATPIFAAMFREAKECVYVEPDDDNGGKNKGVFCTTDLSSTAAPLISVLAVNGVRFRLDSRSSDNQRETRLPHQNATNNQTPSQPSDQKPVRQRRPCPFSIIPPAKIATGVTDDELFIAFSKSDVVRPPDPSPSASCWNSQPSITGSSRPTPRRRREDRKKKPHIT >itb06g13260.t1 pep chromosome:ASM357664v1:6:17957254:17968582:1 gene:itb06g13260 transcript:itb06g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSVPSGVGLMKYGARLYVVVIIAIAVIAAPQLLPAARAQTPAANSNATVDPAEARILNSIFQQWGIRANNLWNLSGELCSGAAVDSTSMQEQYLNPAIKCDCSFTPCHITALKVYALDVVGQIPDELWKLNFINDLNLGQNYLTGTLSPSIANMSGMQYLSIGINALSGELPKELGLLTDMRSFSIGTNNFSGPLPSGLGNLKRLTQIYIDSSGVSGAIPPTFSNLVNLETVWASNTELTGRIPDFIGSWSKLTTLRFEGNSFQGPIPSALSNLTSMVDLRISDLLNGSSSLDFVRNMKNLSKLVLRNNNISGSIPSNIGEYRSLSLLDLSFNNLIGRVPDQLFNLSSLQNLFLGSNKLTGSLPAQKSQSLQNIDLSYNEISGNFPSWTGGRGLQLNLISNNFTIDSSNGSALPSGLNCLQKNFPCHRGDPIYSSFAIKCGGQEITSSNQIVYETDNETLGPATHYMTSTGRWAVSNVGLPSDSSSPKYTTFSSSQFTNTLDSELFKTARLSAGSLRYYGLGLQNGNYTVTLQFAESIILNPNPPSWKTLGRRMFNIYIQGNLKEKDFDIQKLAGGSLRGLSRQYKVQVSENHMDIHLFWAGKGTCCVPNQGTYGPLISAISATPDFVPTVSNQPPSEKKKNRTGMVVGIVVGVGVVSFLSVFAVYCFVQRRKRQDTYDDEEFLGMDAKPYTFSYADLKAGTSDFSPSNKLGEGGFGPVYKGTLNDGRNVAVKQLSVASHQGKSQFVAEIATISAVQHRNLVKLYGCCYEGDRRLLVYEYHENKSLDQALFGGSNLYLDWPRRFEICLGVARGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALEIVSGRPNSDSSLEQDKIYLLEWAWHLHENNRDVDVVDENLPDFNEDEVKRVIGVSLLCTQASPSMRPSMSRVVAMLSGDTEVPTVTSRPSYLTDWKFDDKTSFMTDTHGSQTAGDNSSTVATSVTTTDLNSSPLDASGPMLHEIIGEGR >itb09g15790.t1 pep chromosome:ASM357664v1:9:11059626:11061994:1 gene:itb09g15790 transcript:itb09g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFASNESIGISCPQLSYETKVQEHMERLPLSSLTLPAPNFTIFTFHRSPVSIATAHRRPALTGDRSPPPTAVCRPATHYSPQANHSPQSAAYRFCSSPVGRRSPPDPHRRRPPPTTHVVKVSIPDFHSTGCDFHSSRPKQAVVQSRQWISSQIHSSI >itb12g17230.t1 pep chromosome:ASM357664v1:12:19192675:19194453:-1 gene:itb12g17230 transcript:itb12g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPLFFLVFFIFLSPLALAEIRFLQVRSDNKPVILFDEFGFTHRGRLQLNVSQISFDGPHNLDLTRLGFFLCTPESWMQILQQIDDGEINCVLKSGYAKVVFSFDNLHGNSSFDKLYVVSDAGQYSLIFANCLPQLAISMDVRSAMYNLEGKSGDKRDYLPAGKTILPRVYFIFSLVYFGLAGVWIHVLYKKRLTAFRIHFFMLLVVILKALNLLCEAEDKSYIKRTGYAHGWDVLFYIFSFLKGIMLFTLIVLIGTGWSFLKPYLHDREKKVLMILIPLQVLANIAQVVIDETTPYGIDWMTWKEVFFLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRHYYIVVICYIYFTRVVVYALETITSYRYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAVEALKLEDEFEL >itb08g14140.t1 pep chromosome:ASM357664v1:8:15798948:15804374:-1 gene:itb08g14140 transcript:itb08g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLIMFFLVFPLVTAEKYLFEEIDFLRDLDEWEPEEGDDELDLIDLPSWTAGRGHKVLVNVDSFGAVGDGVSDDTKAFEDAWKQACSTRRSVFLVPAGRRYLVNATRFRGPCAGNLVVQIDGTIIAPDEPKNWDPNNPRIWLAFLNLTGVSFQGKGVIDGSGSKWWAASCKKNKSNPCKGAPTAFTIDSSSAIKVKGITIQNSQQMHFTISRSDSVRVYGVRVSAPEDSPNTDGIHIAESTNVVLQGCKIGTGDDCVSIVNASSGIKMKKLYCGPGHGISIGSLGKDNSVGIVTKVVLDTAFLQGTTNGLRIKTWQGGSGYVRGVRFQNVRMEDVYNPIIIDQFYCDSPKSCENQTSAVEISEVIYKNITGTSKSQKAMKFACSDSVPCTQIVLDNINLDAQDGTAEVYCNSATGIGYGLIHPSAECLSSSDKEIKQQEVDAVTDYCKDPIVHTEL >itb06g17020.t1 pep chromosome:ASM357664v1:6:20979509:20983377:1 gene:itb06g17020 transcript:itb06g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRFFLPFLLKVAIITTFYTTSLSAQNSSAVKVDVGVILDLKTESGKMRQTCISLALADFYATRDHRARISPHFRDSNNDDVDAASAAIGLLKNDKVQAILGPQMSTQADFVIDIGKKVKVPILSPATSPALSPNQSSYFIRVGQSSRAQVKAIAAIVKAFGWRQVVFIYENSNFGSGVLPHLTDAMVEVGVSVPYRSILQPSAEDDEIITELYKLMTMQTRVFVVHLLPTIGSRLFQKAKDAGMMSQGYVWIITDAFTNVLDSMDPTTLDNMEGVIGVKPYVKSSIQLKNFTRRWRKKFYQENPDAETAELDVFGLWAYDSITALAMAFERVDTAHQNFTRAISGENLTDLDAIGTSDLGPSLIKSIRNIRLRGLSGDFHIVDGELQPSAFQIVNVNGRGKGIGFWTEEYGISKKLKPTDRTVITANRDDLGISWPGDSNVVPKGWEIPTNEKKKLRIGVPSKGGLEQFVKVTVDPQTNRTTATGFCIDVFEEVIRNLPYAVPFDYIPFSLLPTQNLPDYDDFVDQINLGNFDAVVGDVTILADRSEYVEFSLPFTESGVTTIVPVKQDMRKSAWIFLRPLKTELWVTTGAFFIFIGFVIWVLEHRVNKEFQGPRHKQVGMIFWFSFSTLVYAHREKVISNLSRFVIIVWVFVVLVLTSSYTASLTSMLTVQQLQPTITNVEDLIKNQEPVGYQDGSFVKGLLKKMKFDSSKFLNYSTLEQYDEALTKGSQNGGVAAIVDELPYIRLFLAKYCGKYTMIGPTYKTAGFGFAFPKGSPLVPDISRAVLSVMESESMMRITDKWFRNETDCSQQDRTLLASDSLPLDSFKGIFLIAGASASSALLIFFFRFLNQNKEILESDDSTTWQKICALATVFYEGNGDSPNGTEKPGEGNESVASPNKMPDTSPPFPQTIIAQSPGVFTCDEGFMSTEPPSPDHDTILVTESAAAER >itb10g01810.t1 pep chromosome:ASM357664v1:10:1464648:1466697:1 gene:itb10g01810 transcript:itb10g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVFYLLFSALFLLPFSAATLHSTTALFRPQLLSDPPQNDAPTAYFEVTKPIPLPKTKPCSYLLLEHDFGYTYGHPPVLANYTPPSNCPSQKFSKIVLEWTATCKGRQFDRIFGVWLGGVEVLRSCSAEPRASGIVWTVKKDITRYNSLLMSNQILAVYLGNLIDSTYTGVYHAKIKIHFYPEEGLSLSMKKSGNLFDSAADLIIPISRNLPLNDGLWFEVENSTDFESKEFKIPGNAYRAVLEVYVSFHENDEFWYGNPPNEYIFANNLTGLPGNGPFREVVVSLDDKVVGAVWPFTVIYTGGVNPLLWRPITGIGSFDLPSYDIEVTPFLGSLLDGNTHKVGFSVTNALNVWYIDANLHLWLDFKSDKTEGSLEKYISQPLSFSLISNFSGFDGSFLTKANRHITYTGWVKSSYGMITTEATQGFNFTNFMVMGNEGNLQIINQTIDFNDKVLAKMKSSVSSLKSDKKFIFTMYSDNVIHSNHSYSSIANLTLGFNEKRTKTSDSGQFFSSLVNLQNGQGSMLVKGSTVVSGLGNTQQVYHYIDDSFCYLRNISSSNYTILYDNESYDCKKRTRSQLHLQPVRRASLASHIVEKGAV >itb06g23600.t2 pep chromosome:ASM357664v1:6:25484541:25487283:1 gene:itb06g23600 transcript:itb06g23600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKNESIDVNLPPELMELLFSLASKWGDELDLDAMNGKHLSGAMTNAVYQISWPAKEEKVTRRVLVRVYGEGVEHFFDRDDEIRTFEFLSKNGHGPKLLDKVAEGRIEEFIEARTLSADDLRDREVSALIAKKLREFHSIDMPGTKETVLWGRLRNWLEKAKSLCSTEHIEELRLGDFDEEISLLEKELTSETQEIGFCHNDLQYGNIMIEDKAITFIDYEYACYNPIAYDFANHFCEMAANYHTDTPHVLDYSRYPGSDERRTFISSYLSSAGNNKEQIPLGDMH >itb06g23600.t1 pep chromosome:ASM357664v1:6:25484541:25487283:1 gene:itb06g23600 transcript:itb06g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKNESIDVNLPPELMELLFSLASKWGDELDLDAMNGKHLSGAMTNAVYQISWPAKEEKVTRRVLVRVYGEGVEHFFDRDDEIRTFEFLSKNGHGPKLLDKVAEGRIEEFIEARTLSADDLRDREVSALIAKKLREFHSIDMPGTKETVLWGRLRNWLEKAKSLCSTEHIEELRLGDFDEEISLLEKELTSETQEIGFCHNDLQYGNIMIEDKAITFIDYEYACYNPIAYDFANHFCEMAANYHTDTPHVLDYSRYPGSDERRTFISSYLSSAGNHPSDEEVEKLADIAEKYTLANHLFWGLWGVISAQVNTIDFNYVEYSRQRFEQYWLTKPELLGNSGQSHL >itb06g23600.t3 pep chromosome:ASM357664v1:6:25484541:25487283:1 gene:itb06g23600 transcript:itb06g23600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKNESIDVNLPPELMELLFSLASKWGDELDLDAMNGKHLSGAMTNAVYQISWPAKEEKVTRRVLVRVYGEGVEHFFDRDDEIRTFEFLSKNGHGPKLLDKVAEGRIEEFIEARTLSADDLRDREVSALIAKKLREFHSIDMPGTKETVLWGRLRNWLEKAKSLCSTEHIEELRLGDFDEEISLLEKELTSETQEIGFCHNDLQYGNIMIEDKAITFIDYEYACYNPIAYDFANHFCEMAANYHTDTPHVLDYSRYPGSDERRTFISSYLSSAGNNKEQIPLGDMH >itb12g20860.t1 pep chromosome:ASM357664v1:12:23302709:23303856:-1 gene:itb12g20860 transcript:itb12g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIAFDIYLYIVADADGFGEKGKPASGDVGAVPPNATLLINLELVSWKTVTNDTDDKKVIKKIVKEGEGYERPNDGAVVNLKSIGKLQDGTIFINKGHDGDNEDGLFEFKTDEEQVIDGLDRAVLTMKKGEVSLLTVAPEYAFGSSESKQELAVVPPNSIVSYEIELVSFVKERESWDMNTQEKIEAAGKKKEEGNVLFKAGKYARASKRYEKGVKFIEYDTSFSEEEKKQSKALKISCNLNNAACKLKLKDYKGG >itb12g20400.t1 pep chromosome:ASM357664v1:12:22874183:22880564:1 gene:itb12g20400 transcript:itb12g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESDAAQLVATQLSGENDVAGFDDGENGSRKRRTSSKHSSREYDQEKESSRSRDKERERGRDKDRDRDREKEKDRDKDRDRHHRDRSERKRTRDKDDDAEVGNDHGYRSRDYDRHRDRDESHRHRSKSYDRDREERRRHRSKSHDKDREERHSHRSHSRGRSGHKSKSRSPSPTQSRSRSRSKSKRVSGFDMAPSPSALLPGAPGLAGQIPGTAPTIPGIFPNMLTLAPGQLGALPVMPVQAMTQQATRHARRVYVGGLPPSANEQSVAIFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGTQVKVRRPTDYNPSLAATLGPSQPCPNLNLAAVGLAPGSSGGLEGPDRVFVGGLPYYFTENQIRELLESFGPLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESVLLHAQQQIAFQRLMFQPGGLPTKVLCLSNVVNEDELRGDEDYEDILEDMRTECGKFGTLVNLVIPRPRPDGEPTPGVGKVFLEYADIEGSTTAQQKLNGRKFGGNEVVAVFYPENKFSQGEYEG >itb12g20400.t4 pep chromosome:ASM357664v1:12:22877566:22880564:1 gene:itb12g20400 transcript:itb12g20400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIGQIPGTAPTIPGIFPNMLTLAPGQLGALPVMPVQAMTQQATRHARRVYVGGLPPSANEQSVAIFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGTQVKVRRPTDYNPSLAATLGPSQPCPNLNLAAVGLAPGSSGGLEGPDRVFVGGLPYYFTENQIRELLESFGPLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESVLLHAQQQIAFQRLMFQPGGLPTKVLCLSNVVNEDELRGDEDYEDILEDMRTECGKFGTLVNLVIPRPRPDGEPTPGVGKVFLEYADIEGSTTAQQKLNGRKFGGNEVVAVFYPENKFSQGEYEG >itb12g20400.t3 pep chromosome:ASM357664v1:12:22877216:22880564:1 gene:itb12g20400 transcript:itb12g20400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLAPGQLGALPVMPVQAMTQQATRHARRVYVGGLPPSANEQSVAIFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGTQVKVRRPTDYNPSLAATLGPSQPCPNLNLAAVGLAPGSSGGLEGPDRVFVGGLPYYFTENQIRELLESFGPLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESVLLHAQQQIAFQRLMFQPGGLPTKVLCLSNVVNEDELRGDEDYEDILEDMRTECGKFGTLVNLVIPRPRPDGEPTPGVGKVFLEYADIEGSTTAQQKLNGRKFGGNEVVAVFYPENKFSQGEYEG >itb12g20400.t2 pep chromosome:ASM357664v1:12:22874449:22880501:1 gene:itb12g20400 transcript:itb12g20400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESDAAQLVATQLSGENDVAGFDDGENGSRKRRTSSKHSSREYDQEKESSRSRDKERERGRDKDRDRDREKEKDRDKDRDRHHRDRSERKRTRDKDDDAEVGNDHGYRSRDYDRHRDRDESHRHRSKSYDRDREERRRHRSKSHDKDREERHSHRSHSRGRSGHKSKSRSPSPTQSRSRSRSKSKRVSGFDMAPSPSALLPGAPGLAGQIPGTAPTIPGIFPNMLTLAPGQLGALPVMPVQAMTQQATRHARRVYVGGLPPSANEQSVAIFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGTQVKVRRPTDYNPSLAATLGPSQPCPNLNLAAVGLAPGSSGGLEGPDRVFVGGLPYYFTENQIRELLESFGPLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESVLLHAQQQIAFQRLMFQPGGLPTKVLCLSNVVNEDELRGDEDYEDILEDMRTECGKFGTLVNLVIPRPRPDGEPTPGVGKVFLEYADIEGSTTAQQKLNGRKFGGNEVVAVFYPENKFSQGEYEG >itb09g17370.t1 pep chromosome:ASM357664v1:9:12580905:12584538:1 gene:itb09g17370 transcript:itb09g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MANDDDFTFCQVARPNYQEGTEAEKVVQDINGIRIEENPSNCVAASQSNGDFWQDKLPSTATLNKQGRVGSLSFNVIDTSQKQVSESSSQGASGNIKTPVKASQGPINSARKPAPRAKVPFEKGYSQMDWLKLTTTHPDLAGLKGHSNRRLISINEVKQHQSEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAEFLLEKCLVGILDESQ >itb09g17370.t2 pep chromosome:ASM357664v1:9:12580986:12584479:1 gene:itb09g17370 transcript:itb09g17370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MANDDDFTFCQVARPNYQEGTEAEKVVQDINGIRIEENPSNCVAASQSNGDFWQDKLPSTATLNKQGRVGSLSFNVIDTSQKQVSESSSQGASGNIKTPVKASQGPINSARKPAPRAKVPFEKGYSQMDWLKLTTTHPDLAGLKGHSNRRLISINEVKQHQSEGSMWTVLKGRVYNISPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAEFLLEKCLVGILDESQ >itb09g03750.t1 pep chromosome:ASM357664v1:9:2058626:2062032:-1 gene:itb09g03750 transcript:itb09g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEARCNGGKLQHAVCLPFPAQGHVNPMLKLAILLHHRGFHITFVNTEYNHRRLLRANSGRHSLDGLLGFQFKTIPDGLCSPQDEEEGNCTQDVPSLCDSTSKHCLAPFLRLLSDLNSSPGAPPVTCVVADNIMSFGLVAAEEIGVAGVSFRPTTACSFFCNALLERFRQKGLIPLKDSNGGILKTGVDSDRVLADFDVPGISRSNIRLRDLPTYALISNEINYPFIMSFVNTETKRALTKASAIIFHTLDSLEHHTLESLSAMCPPIYPIAPLHLLIDQLPDHGTLKFLGANLWKEDLSCLQWLDSKPPSSVVYVNFGSVTVITHKQLMEFAWGLAKSEQNFVWVIRSDGVIGESCAALPGEFFEETKGRGIVLRWCPQERVLKHRSTGAFLTHCGWNSMMESISSGVPMICWPFFADQHINCRLACAEWGVGVEIESEVDRDEVEKVVREVMDGEKGEEMKVNAREFKRKAEEAVGVGGSSHLILDQLVKNVINFLEPINSS >itb03g10610.t2 pep chromosome:ASM357664v1:3:8459093:8461884:-1 gene:itb03g10610 transcript:itb03g10610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNERASQIVFFDLETTVPKKAGQRFWVLEFGAILVCPRRLAELESYCTLIRPGDLSAVALRSGRSGGITRGAVANAPHFEEVADKIFDIMDGRIWAGHNIQRFDCVRIKEAFAAASRPAPLPVGTIDSLGVLTQKFGRRAGNMKMATLAEYFGLGQQKHRSLDDVRMNMEVLKHCATVMFLESSLLGMVNNECRVTPNIMTRSRTAMVRITEWIPF >itb03g10610.t1 pep chromosome:ASM357664v1:3:8459093:8461884:-1 gene:itb03g10610 transcript:itb03g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNERASQIVFFDLETTVPKKAGQRFWVLEFGAILVCPRRLAELESYCTLIRPGDLSAVALRSGRSGGITRGAVANAPHFEEVADKIFDIMDGRIWAGHNIQRFDCVRIKEAFAAASRPAPLPVGTIDSLGVLTQKFGRRAGNMKMATLAEYFGLGQQKHRSLDDVRMNMEVLKHCATVMFLESSLLGMVNNECRVTPNIMTRSRTAMNSLKEKWHTCSSVTTRSSKGKLRCREEETSRKSPATTTTSLGYHRAVPYTRQRAHFIQLHSSS >itb12g20730.t1 pep chromosome:ASM357664v1:12:23195873:23197976:1 gene:itb12g20730 transcript:itb12g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVSSTLFIFLYIINRFMSILDKLKPSNSSLPYEILSVDIAGRFRVDSWATTAASTDFGGIVQETPAGVLYPSSIDDVTNLVRFSYNFPSPFAIAARGHGHSVRGQATAKDGVVLEMGALRGGKIRVSWSESLGYFADVGGHELWVDVLHACLAHGVTPASWTDYLHLTVGGTLSNAGISGQMFRCGPQISNVLELDVITGKGEFITCSKDMNSELFFAVLGGLGQFGIITRARIALEKAPTRVKWVRMLYDDFSKFTRDQEHLISIHGLNYLEGSLMMNHTSPNNWRSSFFSLSDQSKIASLLTQHGLLYCLEVVKHYDDQTSSTVDQELKDLLKDLSFVPGMTFKKDASYFEFLNRVRSEELEPQENGLWEATHPWLNLFVPKSRILDFNSAVFENILLKHKTSGPMLVYPTTRKMYHSNLH >itb09g05760.t1 pep chromosome:ASM357664v1:9:3287005:3288024:1 gene:itb09g05760 transcript:itb09g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGESSDRPFEEAYKKLFNDLNAQRLSEGDEVMARVAQGRPPVIDLNKLNRGETAREECKEKLARAAKEWGVFYVVNHEIPWEIWVTLRKEQEKLFEKLTEKKEAIAKAKMNKELISSEGSSRSGSPPFATLIEDFLWVESFHFQLSDIYRNGDSSSAASVPPDGHNLGYAISINFPENEPNTQKQFFKKHFPEVIKIIIVYSNSRSIMRRVAMPLYELAQKLAGILAEKVGKSPTYFQETCLPGQSYIRLKRYPRLRSKFFNDRPPCSDFMTHKDYDFLTILHQDDVPGLQIVSGPIWLAINPYPGALIVNTGELFKVLRSPLFVSSLIACLYINM >itb09g18860.t1 pep chromosome:ASM357664v1:9:14914058:14916004:1 gene:itb09g18860 transcript:itb09g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPATASSSSPALDSHHDLLKLVRSHEVALAELNTIPASGTVFQRNGNLFFRTTAQKATSYEQSKNFTQLEPLLLL >itb09g18860.t2 pep chromosome:ASM357664v1:9:14914058:14916004:1 gene:itb09g18860 transcript:itb09g18860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPATASSSSPALDSHHDLLKLVRSHEVALAELNTIPASGTVFQRNGNLFFRTTAQKATSYEQKQLEMAKAKLQKLTS >itb10g20220.t2 pep chromosome:ASM357664v1:10:25849440:25852179:1 gene:itb10g20220 transcript:itb10g20220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLDDLLLEAAGRTSGSGRSRHSPRHRRHHRDDGSDSRDDDSDDDRGYSNRKLSGSQVPLKKRLDPTERDDDHSDREGEDDGFGRERDSDDDSIGSDLYKDENDRRELAQLTELEREMILTDRAAKRSDKQMHDKLRGKSSQARKSSPPPTQSRGTRSSTRALGRAADRDGALNEIRAKRARQQDPETHWKTRDAARRGSGNRGYSPVKRRTFTAATLGSSPNRAESGSQSDDGESGDEAMADSDDDKTSDSKLPTYEEIKEITIRRSKLAKWFMEPFFDELIVGCFVRVGIGKSKSGPIYRLCMVRNVDATDPNRQYKLENKTTYKYLNVIWGNESSAARWQMAMISDSPPLRDEFEQWVREVERSGGRMPSKQDVLEKKEAIQKTNTFVYSADTVKQMLQMKKSATWKPLNVAAEKDRLRREMEVAKMKNDDAEVKRINARLQELEATRQVQEKDDKARRLAEMNRKNRVENFKNASELKPMNSMLKEGEEGYDPFSRRWTRSRNYYSAKQNGEATESAAASSDATAATVGANSATGELGGMAATAAALQAAAGAGKLVDTNAPVDQGTESNTLHNFDLPISLAILQKFGGPQGAQAGFMARKQRIEATVGRRVPEDDGRRHALTLTVSDYKRRMGLL >itb10g20220.t1 pep chromosome:ASM357664v1:10:25848356:25852179:1 gene:itb10g20220 transcript:itb10g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLDDLLLEAAGRTSGSGRSRHSPRHRRHHRDDGSDSRDDDSDDDRGYSNRKLSGSQVPLKKRLDPTERDDDHSDREGEDDGFGRERDSDDDSIGSDLYKDENDRRELAQLTELEREMILTDRAAKRSDKQMHDKLRGKSSQARKSSPPPTQSRGTRSSTRALGRAADRDGALNEIRAKRARQQDPETHWKTRDAARRGSGNRGYSPVKRRTFTAATLGSSPNRAESGSQSDDGESGDEAMADSDDDKTSDSKLPTYEEIKEITIRRSKLAKWFMEPFFDELIVGCFVRVGIGKSKSGPIYRLCMVRNVDATDPNRQYKLENKTTYKYLNVIWGNESSAARWQMAMISDSPPLRDEFEQWVREVERSGGRMPSKQDVLEKKEAIQKTNTFVYSADTVKQMLQMKKSATWKPLNVAAEKDRLRREMEVAKMKNDDAEVKRINARLQELEATRQVQEKDDKARRLAEMNRKNRVENFKNASELKPMNSMLKEGEEGYDPFSRRWTRSRNYYSAKQNGEATESAAASSDATAATVGANSATGELGGMAATAAALQAAAGAGKLVDTNAPVDQGTESNTLHNFDLPISLAILQKFGGPQGAQAGFMARKQRIEATVGRRVPEDDGRRHALTLTVSDYKRRMGLL >itb12g06140.t1 pep chromosome:ASM357664v1:12:4565751:4567059:-1 gene:itb12g06140 transcript:itb12g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASEKVGWRKGPWTPEEDKLLGDYVSLYGEGRWSSVARCAGLNRNGKSCRLRWVNYLRPGLKRGHISPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKKPASGKTSEKQDRRKNRRKRNEEKVIKDTKPQETMSNNDSSCITAAAAPIGGTSANTTTSLYHEDIESWVDSFAMDMDGLWGGGLWNLDNDDSLNLHDPEAALLEQGHVIQNPCGFGADHAVNLWNGGFIF >itb09g28280.t1 pep chromosome:ASM357664v1:9:28915785:28918806:-1 gene:itb09g28280 transcript:itb09g28280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVLTVLSLENHYPFSMDSSTSSSQDDLDFEMNRQVILARPPDINLPLSAERSPTPQLSNSEHSDSLDVRVRAQVHETENFFSAPKVSRKCAKRGDSIWGAWLFFSFYFRPVFVEKSKAKVVRDCNGASGFDKSDLQLDVFMVQHDLENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPLPNLMALGEDERRRWVELTGRELNFTIPPEASDYGSWRNLQSTEFELEKQPPCAKGNNHSPTKKLLNGSGLNLSTLTSNHSNGDAMDLTPVSCKRKQDFFSNGNGDECHLQANPHYRIPDFEMHPNEPHWLNEFSGIIRNVYGPVTAAKSIYEDEKGYLIIISLPFVDLRTVKVSWRNTLTYGIIKVSCLSTSRIPFIKRQKRTFKLVDSSSEHCPQGEFVREIPLSTRIPEGANIEAYFDESGTALEILVPKLPEGPEEHEVHIRLRPDLSANDFMAFMDLEA >itb12g26270.t1 pep chromosome:ASM357664v1:12:27258345:27260372:-1 gene:itb12g26270 transcript:itb12g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNLAVLNALDSARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRIYFYRGSGPGKLTHFANNIVIGVALVGTLSGQLVFGWLGDKLGRKKVYGITLILMVICAIFSGLSLGSSPTAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMIISRIFLHFYGGPPPKIDGVFATEPEADYVWRIVLMMGALPAVLTYYWRMKMPETGRYTAIIQGNAKQAAIDMGKVMDIEIQADNDKLSQFKAANSYKLFSDEFWQRHGLHLVGTMSTWFLLDIAFYSQNLTQKDIFPVMHLTEPAKEVSRAMFVIALFGTFPGYWFTVFFIEKIGRWNIQVVGFFMMSLFMAIIGVKYDYLKESENRWTFATLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAAAGKAGAMVSAFGVQYYTQDGDSTKIKKAMLLLAFTNMLGFGCSFLLTETKGRSLEEISGEDGGGATETPATDRTTPPPPPPGHREGDWE >itb02g22980.t2 pep chromosome:ASM357664v1:2:22705746:22713845:1 gene:itb02g22980 transcript:itb02g22980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLKLCSDSSLRTANPKRRRREFSLFPCKKPRKDILSTASLQIKPFGLPLISKTTGSSCDCMLLEPYKLYTIGRSNQYCDFLFCEPRVSKVHCQFFFDLLNKKIYLSDGVFLSFGANCSSRVRASLNGVFVNGIRIGKGEVVEICAGDEVSLVCGKGDGCATGIQIGFSVTKVMYAAEIADRHVINCNGSGVYSDYALVRSKNGGVTAKANILLNMSREILSCNDPIKCIRKCVILDHDVGATHKVTKVASLVLQENVEVPAMGDGALRKDVSPCEGPEAGQILACEYCQQTAQTSERNTKFECCNIDQEIREQMDNTGVDLENGIVANDKHGALSLECMEKEAVPQVAGVGQEREGVHFVPPPGKMFYLNKLHCINQSSSENVVSLPELLHPVKSIVRLFVATFSSDILWFLSYCEIPPYLPITIACHSAERCWSSSPDKRTSMPYPEFPNLIVVYPPFPEVIAFGKDRRKSGIGCHHPKLFLVQREDSIRVVITSANLVPGQWHGVTNTVWWQDFPRLSSPDCLSFFTQLPEGGVDQDSRSDFSTQLVGFIASLLADVPSQAYWILELAKYDFKGAVAYLVTSIPGVYSHRSPLFSEPRYFLAGGQPMPLSHHVKSLGSVEASVAGLSYIFRTSADSNGARLKKLATFLRKCKENMYGMTEVVLRRDSKIPADANAVSILVPNPEEVFMGDCVQLGFLPKDVAKWVAPLSDVGLFAFSAYIYPEEVLGAALEGSNNKVKLLLRVFQGPSFSSISNITSTEHISATCSLVRALQRRFGLWRLHEVLGQYKWPEQTENDFVFGSSSIGSINAQFLAAFSAAAGKRSLRCSESEESDPDWGGWSASQEIRNPAIRVIFPTAERVKSSKSGILSSRRILCFSEKTWQRLKSVGILHDAVPYPSYREGIPMHVKVARRRFQSKADAPSFGWVYCGSHNFSAAAWGRPISGPLDRKANNNMRSNSVLGSRLHICNYEIGIVFIVPPTDTGDYANSETKLLDDIALPFLVPAPKYKPTDWPATPRAMKEALAELSEREKHILESAAISGEWMEEEIPDENDEALEDTAYVAAEKEDEKAYAYRLWCQVDSLQCR >itb04g30470.t1 pep chromosome:ASM357664v1:4:33585358:33587220:1 gene:itb04g30470 transcript:itb04g30470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MNRQYGVASRKRRVSQATAEAPISPDSSYNNAYTAYEDEGREPPLLPPQLQMAVLNHQTNRDESATLPSPGHVTVNHLYTDTYSREAPAEAVALSTTQRFHSKYVTVVLYKPSPRRGSG >itb07g02600.t1 pep chromosome:ASM357664v1:7:1666337:1666948:1 gene:itb07g02600 transcript:itb07g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKEDLVPAGYGEKWDDHSFGQVVGIVIFHNSFSLSSLRFLCVKDNIEQMCERHGKSVGKCEMIMLDYPNEFLIGVHGYYYDDLDIAIRSITFVTNNATYGPFGLEKTVGLTAFGFQFLGKGPRSWISGFYGTVYKGYLESLGVYVQTPPASITKRVFLGR >itb05g21110.t1 pep chromosome:ASM357664v1:5:27013286:27014741:-1 gene:itb05g21110 transcript:itb05g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFVLTVFILFPLAIAAKSPPLPPFKSIYQFGDSLSDTGNLLRLPGGRMFYPADRLPYGETYFRKATGRFSDGRLIVDFIAAALNLPFIDAYLNANGSFAHGVNFAVAGATASDEDFFTERNISTSNFKPAISKQLEWFETHINKSANSKKSFEEDSLFIFGEFGGNDYFPFFRQGWSIEEARALVPHVVGTIIHGIKRIVRLGAKRILVPGPFPFGCLPSQLAASPSNASDFNGLGCVKAFNDFSSYHNRYLRRALSSLNREFSGEGVVIVYGDYEGAFLEILQKSSSFGFDKEWLHKACCGAGGNYHFDPTKPCGTNGTDVCPSPAHAMHWDGVHLTDASYHRISQIIIDQSISQLI >itb05g20160.t1 pep chromosome:ASM357664v1:5:26363960:26366004:-1 gene:itb05g20160 transcript:itb05g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGEGGGGGCGVGGDDEKQRCKRANSTVGWRCKNKALDGGVYCEKHLIWHRKVAAKSRMNRLRNSTSGAAVSGGRNSGAASHGDGVVLAGGSTETEVRRVQKRKHDGVLGSENLNVGVKKRNLDNLEGIALNDDQGGLEGLGENKKNADIDKTGEILLQSVDAFCGNGIGGVNKDEGERIHLETKAEDKSNVLVEGHEKSSVSQSTGTLCRKRGRPKGSKNKKKPVLGDRSGAAMLPSDGHCGGVTIEKKHDLEPLIFENSEVGVIVNEFSVCSVSRVGIKMGRGRPKGSKNKKKTVLGDESGKLLLLDSQYGGNEGSGMIVEKKNECELAIVVTGEDGGVLNEVADDNGDGIINEKDEHDWPNDLSSKKVFANPGKKIKQKNIAIDNAEDGAIEVGDGAIKWENGHESPKSKKRGRGRPKGSKGRKNLAAENGGRKIVNENLRQKKGRGRPKGSKKKLQLIATSEGTNVDSELSLAEPGCVQELDQADPTTSVVVFGTSAYGMEDSLGENKQRNTRTSRRISVRTNFSFLVPAKLFTSF >itb02g18020.t1 pep chromosome:ASM357664v1:2:14396009:14396374:-1 gene:itb02g18020 transcript:itb02g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDLFIPEDAVRILKTPVNREREDEWYWRYEFRGCYSVVSKIRNLLWRSIKEVLLVCAALRKRRINVEAVCPLCNNVEEIPPPQVNQTDYGW >itb01g22890.t1 pep chromosome:ASM357664v1:1:28994679:28995114:1 gene:itb01g22890 transcript:itb01g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKETGIHLSMKPFTAFYFLFTLLLLNYCFSTDARMIPAEEYWKRQMNGEAMPRAISDLTRNQDSSFKVAFVGNFNAKPNSAGIIYHANAQDDVSPKKQEKRNERSNP >itb05g01020.t1 pep chromosome:ASM357664v1:5:856974:860450:-1 gene:itb05g01020 transcript:itb05g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLLLLLLVLSAVVGCLSKTTSYLIAKPNCVDHCGNVSIPYPFGLTKGCYLNSDFFINCINNSTNGPPKPFLGSGNVELETISIEGQLSVLQGIARRCHEGDEVSANSWMKLSAFYVNQTANKFVAVGCNTIATITGNDGKVSYETGCIASCNCFLDVVNGSCSGIGCCQTTDIPILASNVNFTLRTITKFRYNHGVVNCSYAFVVKKDEFKFSSVMLTEKWKTQKVPLVVDWMVVSKNNCSNGKSVCKGNTTCEEYFGPDGGYRCACKKGYQGNPYLLPGCLDIDECENGQNTCSKNATCLNKPGSYTCPCKKGFQNNGNGGCQLPVKDECKDNGKRCRSSNRVNMIALGAALGTIVLLLICFSLNLGYRQRKSLRMREKFFKDNGGMILQQRIAQGGASSGTTKIFTAEELRKATNNYDQTRIIGQGGFGIVYRGHLLDNRIVAVKKAKMMDPTQVEQFINEQWDIVGASTQQRQGISNILVLSYLHSAASPPIIHRDVKSVNILLDDDYTARVSDFGASRLVPQDQTQLTTMVQGTFGYLDPEYLQTNHLTEKSDVYSFGVVIVELLTSRKALSFDGPEKERQLSQYFLSLLKENQLFQIFDDNIVCEGNSKELQEVALLAKRCLNVKGEDRPTMKEVAVELSGLRRATEHPWTNNLETSIESEALLTEQPIPFEHDATFSITTTEYDSLKHHMELPEAAGR >itb03g00190.t2 pep chromosome:ASM357664v1:3:98914:104233:1 gene:itb03g00190 transcript:itb03g00190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDAWKSDDLGIGLSELVSEQFHDQEDISHPQLYSRDHTPLRDVCSPHFVENDITCGSTSYKTNSIGMGRLCVTRFSLEECLEPMTFEDIENQMINDQESSQTCQTDEEQIKQPDSGGCNLTEIEKFCTFLLEDRLEPMIIDDEEAEAEADLAHEQIKHPESKMSVNEDELLNKSCNGKQPVDVESMNIQEMPSSNSGKHQISENHSVSLSVDVTPNSKFPGGSGATSPDLMLVRTPATKERPRALKKRKCLFDEPVVIPNQVFKRWLNNYDDLVCKRRKAPHFPLVAWKVQKFSSLPESLLEPLIPCSALVDTRLLVHKIGNRAEPVDCEEVPQDKGIPESPAKHRSREHTPIAPATPVTSNSWRLHEARRCVYILEPASSIKSIDKGIQQTEDHELDISLMDEEINSFDEDLQEKYKCSVRTRKVARYLLRNFLAQNGEAVNLSQALKVKTKKESARLFYEILVLKTGGWINVQQDNAYGDICVLESPNLKQTFAAEVAN >itb03g00190.t1 pep chromosome:ASM357664v1:3:98914:104233:1 gene:itb03g00190 transcript:itb03g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHVLLSKKGALGNIWIAAHCHKRLNKYQVSQTNISSSVDKILLDEVPVVTYRILGHLLVGIVRIYSKKVEYLFHDCHKVLTKLVDFASEKRPNKKFKATHSSYCLISRPKRFELDAFDLEILDDQDGLSAHVRPSEEIMLSDAWKSDDLGIGLSELVSEQFHDQEDISHPQLYSRDHTPLRDVCSPHFVENDITCGSTSYKTNSIGMGRLCVTRFSLEECLEPMTFEDIENQMINDQESSQTCQTDEEQIKQPDSGGCNLTEIEKFCTFLLEDRLEPMIIDDEEAEAEADLAHEQIKHPESKMSVNEDELLNKSCNGKQPVDVESMNIQEMPSSNSGKHQISENHSVSLSVDVTPNSKFPGGSGATSPDLMLVRTPATKERPRALKKRKCLFDEPVVIPNQVFKRWLNNYDDLVCKRRKAPHFPLVAWKVQKFSSLPESLLEPLIPCSALVDTRLLVHKIGNRAEPVDCEEVPQDKGIPESPAKHRSREHTPIAPATPVTSNSWRLHEARRCVYILEPASSIKSIDKGIQQTEDHELDISLMDEEINSFDEDLQEKYKCSVRTRKVARYLLRNFLAQNGEAVNLSQALKVKTKKESARLFYEILVLKTGGWINVQQDNAYGDICVLESPNLKQTFAAEVAN >itb03g00190.t3 pep chromosome:ASM357664v1:3:98914:104233:1 gene:itb03g00190 transcript:itb03g00190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHVLLSKKGALGNIWIAAHCHKRLNKYQVSQTNISSSVDKILLDEVPVVTYRILGHLLVGIVRIYSKKVEYLFHDCHKVLTKLVDFASEKRPNKKFKATHSSYCLISRPKRFELDAFDLEILDDQDGLSAHVRPSEEIMLSDAWKSDDLGIGLSELVSEQFHDQEDISHPQLYSRDHTPLRDVCSPHFVENDITCGSTSYKTNSIGMGRLCVTRFSLEECLEPMTFEDIENQMINDQESSQTCQTDEEQIKQPDSGGCNLTEIEKFCTFLLEDRLEPMIIDDEEAEAEADLAHEQIKHPESKMSVNEDELLNKSCNGKQPVDVESMNIQEMPSSNSGKHQISENHSVSLSVDVTPNSKFPGGSGRHPDLKGFLAHKPYKHLTFGYDVKNIASICSGATSPDLMLVRTPATKERPRALKKRKCLFDEPVVIPNQVFKRWLNNYDDLVCKRRKAPHFPLVAWKVQKFSSLPESLLEPLIPCSALVDTRLLVHKIGNRAEPVDCEEVPQDKGIPESPAKHRSREHTPIAPATPVTSNSWRLHEARRCVYILEPASSIKSIDKGIQQTEDHELDISLMDEEINSFDEDLQEKYKCSVRTRKVARYLLRNFLAQNGEAVNLSQALKVKTKKESARLFYEILVLKTGGWINVQQDNAYGDICVLESPNLKQTFAAEVAN >itb11g12180.t2 pep chromosome:ASM357664v1:11:9064685:9070228:1 gene:itb11g12180 transcript:itb11g12180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MSTATVSNSHAVDSDTGATDCQNTSSFSGAPFFSKGEESDAPTEHQKNNTNFDECVAGDSQTDDNDLYLSDCRLLILGFSAPEMRKLVNMVRKGGGSRYMSFNDKLTHIIVGSPSEIETKEIRNLAALGVIHVVKPDWLADCISEKKEVPVLRKHIANDLLFPRGSSASIAVVKQGKSNENVHHYKNFGFENSLEKRKEVENIKNKGASQIEKGSLQPQFCTLNCKEERKLQASSSTAAEDKKSSIVFKGKRFCFSSSFPANQRADIIGWVNQGGGKLVEDQVQRDVHFTIECHGAVPSQTDAARVTYVSSHWVKSCLEDGHLLDVGSHIIYSPLPCQVPFPAFKGFRLCVSQYDEKERQLLRNLCVVLGAKFVEKLTARVTHLLCKFTSGPKYDAACKLHIQPVTCEWIYECIKQNKIVAPDPFYPKEVTTEDREAGICTTSQFPTQAVQMISEDNVSQLQNQSKEINSVNREAFTARSTAREEMKYLPTSSKKAKLLADEKLKCPLLSLSSQSDAISGANPASNRRMESTKDCAIMTDVANLIEDCLEQTSKNLTPKSPSRNGCDKDLFTSECTILGRDHGEPHSALRLSEHWTIRFDKKDDSQPRHEDVPAATFYDGFSDPQTESQVVGYAQDLSGMQMIIDRVRTSNPSMNSDLEDNG >itb11g12180.t1 pep chromosome:ASM357664v1:11:9064685:9070228:1 gene:itb11g12180 transcript:itb11g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MSTATVSNSHAVDSDTGATDCQNTSSFSGAPFFSKGEESDAPTEHQKNNTNFDECVAGDSQTDDNDLYLSDCRLLILGFSAPEMRKLVNMVRKGGGSRYMSFNDKLTHIIVGSPSEIETKEIRNLAALGVIHVVKPDWLADCISEKKEVPVLRKHIANDLLFPRASIAVVKQGKSNENVHHYKNFGFENSLEKRKEVENIKNKGASQIEKGSLQPQFCTLNCKEERKLQASSSTAAEDKKSSIVFKGKRFCFSSSFPANQRADIIGWVNQGGGKLVEDQVQRDVHFTIECHGAVPSQTDAARVTYVSSHWVKSCLEDGHLLDVGSHIIYSPLPCQVPFPAFKGFRLCVSQYDEKERQLLRNLCVVLGAKFVEKLTARVTHLLCKFTSGPKYDAACKLHIQPVTCEWIYECIKQNKIVAPDPFYPKEVTTEDREAGICTTSQFPTQAVQMISEDNVSQLQNQSKEINSVNREAFTARSTAREEMKYLPTSSKKAKLLADEKLKCPLLSLSSQSDAISGANPASNRRMESTKDCAIMTDVANLIEDCLEQTSKNLTPKSPSRNGCDKDLFTSECTILGRDHGEPHSALRLSEHWTIRFDKKDDSQPRHEDVPAATFYDGFSDPQTESQVVGYAQDLSGMQMIIDRVRTSNPSMNSDLEDNG >itb13g21550.t1 pep chromosome:ASM357664v1:13:28134954:28140282:-1 gene:itb13g21550 transcript:itb13g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTGSSSKRFIEHQSSSAQIAQSSGTSNSNNRYPVSKAVAQYTEDARLHAVFERSGGTGKSFDYSESVKVATPFVAEQQIAAYLSNIQRGGHIQPFGCMIGVEEGSYRVIAYSENAREVLGLMPQSVPSLDRPDILGIGVDVRTLFRPSSSVLLQRAFGAQEITLLNPIWVHSKNSGKPFYAILHKIDVGIVIDLEPARSEDPALSIAGAVQSQKLAVRGISRLQSLPGGNIKHLCDVVVECVRELTGYDRVMVYKFHEDEHGEVLAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNATPVRVIQDESLKQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVIVNGNEDEGVGGRNSMRLWGLVVGHHTSARSIAFPLRSACEFLMQAFGLQLNMELQLASQLAEKHVLRTQTLLCDMLLRDSATGIVTQSPSIRDLVKCDGAALYYKGKYYPLGVTPTEDQIKDIAEWLLTYHGNSTGLSTDSLADAGYSGAASLGDAVRGMAVAYITSKDFLFWFRSHTAKEIKWGGAKHHPQDKDDGQRMHPRYSFKAFLEVVKRRSLPWENAEMDAIHSLQLILRDSFKDAEASNSKAVVHAPPGELELQGMDELSSVAREMVRLIETATTPIFAVDAEGHINGWNAKVAELVGLPVEEAMGKSLVHDLVHIESQETTAKLLFNALRGYEDRNVEIKLKTFGTEQHTKAVFVVVNACSSKDCTNKIVGVCFVGQDVTEQKVVMDKFIHIQSDYKAIVHSPNPLIPPIFASDENACCSEWNIAMEKLTGWSKGEMIGKMLIGELFGGVCRLKGPDAMMKFMITLHHAIGGKDTDKFPFYFFDRNGKYVQTLLTANKRVNMDGQIIGAFCFLQIASPELLQAIKIQRQQENKWLTKSKVMAYICQEIKNPLNGIRFTSSLLEATNLTEHQKQFLETSAACEKQMSKILRDAGLENIEDGSLELEKEEFHFGSVIDAIVSQVMLLLRERGLQFMLDIPDEMKTLKVYGDQARIQQVLADFLLNVVHHAPTPKGWVKIQVRPSLRQSSDGITIAHVEFRFICPGEGLPSALIQDVFHNSEWETREGLGLSMCRKIVTLMNGEVRYVREAERCYFLVILKLPVPTSGSKSG >itb13g21550.t4 pep chromosome:ASM357664v1:13:28134954:28140282:-1 gene:itb13g21550 transcript:itb13g21550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTGSSSKRFIEHQSSSAQIAQSSGTSNSNNRYPVSKAVAQYTEDARLHAVFERSGGTGKSFDYSESVKVATPFVAEQQIAAYLSNIQRGGHIQPFGCMIGVEEGSYRVIAYSENAREVLGLMPQSVPSLDRPDILGIGVDVRTLFRPSSSVLLQRAFGAQEITLLNPIWVHSKNSGKPFYAILHKIDVGIVIDLEPARSEDPALSIAGAVQSQKLAVRGISRLQSLPGGNIKHLCDVVVECVRELTGYDRVMVYKFHEDEHGEVLAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNATPVRVIQDESLKQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVIVNGNEDEGVGGRNSMRLWGLVVGHHTSARSIAFPLRSACEFLMQAFGLQLNMELQLASQLAEKHVLRTQTLLCDMLLRDSATGIVTQSPSIRDLVKCDGAALYYKGKYYPLGVTPTEDQIKDIAEWLLTYHGNSTGLSTDSLADAGYSGAASLGDAVRGMAVAYITSKDFLFWFRSHTAKEIKWGGAKHHPQDKDDGQRMHPRYSFKAFLEVVKRRSLPWENAEMDAIHSLQLILRDSFKDAEASNSKAVVHAPPGELELQGMDELSSVAREMVRLIETATTPIFAVDAEGHINGWNAKVAELVGLPVEEAMGKSLVHDLVHIESQETTAKLLFNALRGYEDRNVEIKLKTFGTEQHTKAVFVVVNACSSKDCTNKIVGVCFVGQDVTEQKVVMDKFIHIQSDYKAIVHSPNPLIPPIFASDENACCSEWNIAMEKLTGWSKGEMIGKMLIGELFGGVCRLKGPDAMMKFMITLHHAIGGKDTDKFPFYFFDRNGKYVQTLLTANKRVNMDGQIIGAFCFLQIASPELLQAIKIQRQQENKWLTKSKVMAYICQEIKNPLNGIRFTSSLLEATNLTEHQKQFLETSAACEKQMSKILRDAGLENIEDG >itb13g21550.t3 pep chromosome:ASM357664v1:13:28134954:28140282:-1 gene:itb13g21550 transcript:itb13g21550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGTGSSSKRFIEHQSSSAQIAQSSGTSNSNNRYPVSKAVAQYTEDARLHAVFERSGGTGKSFDYSESVKVATPFVAEQQIAAYLSNIQRGGHIQPFGCMIGVEEGSYRVIAYSENAREVLGLMPQSVPSLDRPDILGIGVDVRTLFRPSSSVLLQRAFGAQEITLLNPIWVHSKNSGKPFYAILHKIDVGIVIDLEPARSEDPALSIAGAVQSQKLAVRGISRLQSLPGGNIKHLCDVVVECVRELTGYDRVMVYKFHEDEHGEVLAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNATPVRVIQDESLKQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVIVNGNEDEGVGGRNSMRLWGLVVGHHTSARSIAFPLRSACEFLMQAFGLQLNMELQLASQLAEKHVLRTQTLLCDMLLRDSATGIVTQSPSIRDLVKCDGAALYYKGKYYPLGVTPTEDQIKDIAEWLLTYHGNSTGLSTDSLADAGYSGAASLGDAVRGMAVAYITSKDFLFWFRSHTAKEIKWGGAKHHPQDKDDGQRMHPRYSFKAFLEVVKRRSLPWENAEMDAIHSLQLILRDSFKDAEASNSKAVVHAPPGELELQGMDELSSVAREMVRLIETATTPIFAVDAEGHINGWNAKVAELVGLPVEEAMGKSLVHDLVHIESQETTAKLLFNALRGYEDRNVEIKLKTFGTEQHTKAVFVVVNACSSKDCTNKIVGVCFVGQDVTEQKVVMDKFIHIQSDYKAIVHSPNPLIPPIFASDENACCSEWNIAMEKLTGWSKGEMIGKMLIGELFGGVCRLKGPDAMMKFMITLHHAIGGKDTDKFPFYFFDRNGKYVQTLLTANKRVNMDGQIIGAFCFLQIASPELLQAIKIQRQQENKWLTKSKVMAYICQEIKNPLNGIRFTSSLLEATNLTEHQKQFLETSAACEKQMSKILRDAGLENIEDGSLELEKEEFHFGSVIDAIVSQVMLLLRERGLQFMLDIPDEMKTLKVYGDQARIQQVLADFLLNVVHHAPTPKGWVKIQVRPSLRQSSDGITIAHVEFRFICPGEGLPSALIQDVFHNSEWETREGLGLSMCRKIVTLMNGEVRYVREAERCYFLVILKLPVPTSGSKSG >itb13g21550.t2 pep chromosome:ASM357664v1:13:28134954:28140282:-1 gene:itb13g21550 transcript:itb13g21550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKFHEDEHGEVLAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNATPVRVIQDESLKQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVIVNGNEDEGVGGRNSMRLWGLVVGHHTSARSIAFPLRSACEFLMQAFGLQLNMELQLASQLAEKHVLRTQTLLCDMLLRDSATGIVTQSPSIRDLVKCDGAALYYKGKYYPLGVTPTEDQIKDIAEWLLTYHGNSTGLSTDSLADAGYSGAASLGDAVRGMAVAYITSKDFLFWFRSHTAKEIKWGGAKHHPQDKDDGQRMHPRYSFKAFLEVVKRRSLPWENAEMDAIHSLQLILRDSFKDAEASNSKAVVHAPPGELELQGMDELSSVAREMVRLIETATTPIFAVDAEGHINGWNAKVAELVGLPVEEAMGKSLVHDLVHIESQETTAKLLFNALRGYEDRNVEIKLKTFGTEQHTKAVFVVVNACSSKDCTNKIVGVCFVGQDVTEQKVVMDKFIHIQSDYKAIVHSPNPLIPPIFASDENACCSEWNIAMEKLTGWSKGEMIGKMLIGELFGGVCRLKGPDAMMKFMITLHHAIGGKDTDKFPFYFFDRNGKYVQTLLTANKRVNMDGQIIGAFCFLQIASPELLQAIKIQRQQENKWLTKSKVMAYICQEIKNPLNGIRFTSSLLEATNLTEHQKQFLETSAACEKQMSKILRDAGLENIEDGSLELEKEEFHFGSVIDAIVSQVMLLLRERGLQFMLDIPDEMKTLKVYGDQARIQQVLADFLLNVVHHAPTPKGWVKIQVRPSLRQSSDGITIAHVEFRFICPGEGLPSALIQDVFHNSEWETREGLGLSMCRKIVTLMNGEVRYVREAERCYFLVILKLPVPTSGSKSG >itb13g05830.t6 pep chromosome:ASM357664v1:13:6965702:6967640:1 gene:itb13g05830 transcript:itb13g05830.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVR >itb13g05830.t9 pep chromosome:ASM357664v1:13:6966253:6968477:1 gene:itb13g05830 transcript:itb13g05830.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVSFLPLQYLKMMIQVDDAN >itb13g05830.t5 pep chromosome:ASM357664v1:13:6965702:6968477:1 gene:itb13g05830 transcript:itb13g05830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVR >itb13g05830.t10 pep chromosome:ASM357664v1:13:6966253:6968477:1 gene:itb13g05830 transcript:itb13g05830.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVSN >itb13g05830.t8 pep chromosome:ASM357664v1:13:6966253:6967530:1 gene:itb13g05830 transcript:itb13g05830.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVS >itb13g05830.t1 pep chromosome:ASM357664v1:13:6965702:6968477:1 gene:itb13g05830 transcript:itb13g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVSN >itb13g05830.t4 pep chromosome:ASM357664v1:13:6965702:6968477:1 gene:itb13g05830 transcript:itb13g05830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVSFLPLQYLKMMIQVDDAN >itb13g05830.t7 pep chromosome:ASM357664v1:13:6966253:6968477:1 gene:itb13g05830 transcript:itb13g05830.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVSN >itb13g05830.t2 pep chromosome:ASM357664v1:13:6965702:6967530:1 gene:itb13g05830 transcript:itb13g05830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVS >itb13g05830.t3 pep chromosome:ASM357664v1:13:6965702:6967640:1 gene:itb13g05830 transcript:itb13g05830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVSN >itb13g05830.t11 pep chromosome:ASM357664v1:13:6966253:6968477:1 gene:itb13g05830 transcript:itb13g05830.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKADPDVFTTNNTSKPGWCNVDPDEASASKRSAIVSMMDSVRCLFRALVSINALGIAYAEVDFVR >itb05g17850.t1 pep chromosome:ASM357664v1:5:24741012:24741882:1 gene:itb05g17850 transcript:itb05g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSILAPTFPVRCMRIAADATSRKPHSTRASPAAVTGRRQWIVLLTATTALKGMEMPSRAEDIGLFGLRKKLKKVEEEAELLVKEGFEAADKGIEAAEKGIEAAETGLSTAEKGIEAAEKDIETAASFGGLAQAGAVAAAEFLGILIATSIVNGILGPEAQKS >itb12g12340.t1 pep chromosome:ASM357664v1:12:11059757:11061597:1 gene:itb12g12340 transcript:itb12g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQTLNASISKSNFEEGSYSVKVDDNYFASTYFRSSIYWYEYARGENLSEYLQTDEFLKFEPDGYLRTYGWLSTNEPYRLGAISDVFAASFGFFAYPLTCGRYGVCDDEDDHSCNCPPQLSFFTQINSSDPKQGCSLITPISCEHSQLHILLKMKDTTNIGQYLFTGFRLYTALESCKKPCLRNCSCKAAHFNSNDSLGICLLLNEVHSLVTTTSYTNAVYLKVQNSSTLHMHSHPWILQRHAKTTLGITGAFIAVVLTIIAIYLSLVRNKKVQLKDEEEEFLDGLPGLPTRFSYENLSVMTENFSRKLAATTGTWSHLAKKVAKGLAYLHEDCNHKIYHLDIKPQNIFLYQNLNAKVADFGLSKLVAKDQSKVFTTPRGTPGYIAPECTSLIITKKVDVYSFGIVMLEIVYGRKNVDWDQAEEEVHLLSVFKRKVEEDKVGEMFDMYNKNIEVQKEEGIEMMRIAGWCLQSEYTKKSSMSEVVKALQGLATVDNNLNLDYNFINQEREAAPDATNNTLLIPSILSGPR >itb15g07670.t1 pep chromosome:ASM357664v1:15:5240687:5241442:-1 gene:itb15g07670 transcript:itb15g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVIFSPEGEYERAVKVGRNKERVTTPVWSFKFPQKSSLFMPARFLKSLGAKMSKAVRLMSSSNRKRSSRKVSSASSLVRSRSYAETLVDSQRAEAIEDCIEFLNSSSSLPRSSSVSSSF >itb11g00720.t1 pep chromosome:ASM357664v1:11:328560:332715:-1 gene:itb11g00720 transcript:itb11g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRTNRDFVRQLGSLINGLTWLLPDEFGYSEIGPEAVSSLLGMMTTINEHIIDTTPTRMQTPPSEPSFLPLSLCLTLLKDLETLVEVAAEQLCGEEKKWNFIAITEAVKVLVRLIVFRNSGYKMLLLGGETENTENSSDVPRPEERNGNLQMHIPKNVRGLNSYNLEGRALSALNSLGEHARMVSEPTWLRRVQHQQTIIEPPTVVMKPSLSTFLSEKGFPGSLFVTGEAMFVLRPLIYVLLVRKYGARSWFPWVISLAVDVIGNGILSCINLCPQRSKRPQFQLSNSEKDELKRRKLLWALYLMRDPFFSTYTRRRLESTQKLVEPIPIVGFFTEKLVELIIGSQTRYTYFSGA >itb04g07040.t1 pep chromosome:ASM357664v1:4:4672011:4676421:-1 gene:itb04g07040 transcript:itb04g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSNLKVDAAPAVGAPTKPMQKGKREAEAAVEKVSAKKQKKDSALEQAIEKKKADAKIQKKVESSSSSSDDDSSDSEEELKKPALKTVAAPKKPVVAKNGKLSSSSDGSDSDSDEEMPAAKKGPAPKGKSAPVKTIKKEASSSDSSSDDGSSDEEMPAAKNGPAPKGKSAPAASIKKKDSSSDSSSDDSDEEMPDAKKGPAPKGKSAPKKDSSSEDDSSSDEDAPVAKKVPDAKKGPAPKGKIASKKDSSSEDDSSSDEDAPVAKKVPAKNGAVKPKQGESSSEEDSSSDEEEKVVKKPAPTSSAPTKKVESSSDDDSSDESSDDEPSKAEAVKKSSTGKKESSSDDSSSEESSDEEEDAPSKTPKMGADVKMVDASEKKVPKTPVTPKAESQGSKSLFMGNLSWSIEQADVENFFKDCGEVKDVRFASHPDGTFKGYGHVEFTTSEAALKALELNGQELMGREVKLDLAKERGAYTPNSGKFDNSFQKQGRGGGESTTVFVKGFDKNDTEDKIRSALEDHFGSCGEIKGTRIPTDPEGYIKGMAYVEFTDNDAVNKALELNNSQIGNNTLYVDEAKPRADNRGGGESGGRGGWGGRSGGGRSGGRDSGGRFGGRGGGRRGGGGGRFGSGGGGGRFGGGGRGGGRTPGKPSMTFSTGKKTTFDD >itb05g26690.t1 pep chromosome:ASM357664v1:5:30428960:30431833:1 gene:itb05g26690 transcript:itb05g26690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRAPEDLSVTKPLQKPFQSSFTLLPIKRRQLPSLVSLCLGVIGRHFEDIIEDLAEIASNFPPNIKMALTAIARRRKLLNDDVIMALAESSWEILDISGSDVSDVGLLEVVKTCKYLKAVDVSRCSKVTSAGVSELLQHCQSLEILRWGGCPRSEHTARGCLSMLKPNLKDVEGESWEEVDASEIAHGAQSLRWLVWPKIEKHLLENLQVECPRIIVNPKTSPLGFRGLEVPREATLDVALDDPIVEDIDPKTWAVSGVIRTTLPSVSRPPDELSIAEKFRLAFEERDTRLAPKRAKNARQRQRRAEREWVMMSTKAKALALASQATKSLHIRN >itb10g00460.t4 pep chromosome:ASM357664v1:10:306255:311335:-1 gene:itb10g00460 transcript:itb10g00460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKKPHHPNRSNETPQSSSSSSSSSSSSSTALTSSSSQSCASDHRTSTHLQSPQSPSTPPPPPSTVSAPVAGGGAPAVNRQQDYFASEEDYQIQLALALSVSSSQAEESFRCDVDSGKGQILGGGRYGADSAREREEATADLLSRQYWDYSILDYEEKVVDGFYDVYSLFNNSASRGKMPSLSELETNGGSSDFEVVIVNQKIDPSLEELIQVAHCIALDSPASEVGLLVQRLAELVTEQLGGPVKDANIILTRWMERSMELRTSLHTSVLPIGSLNIGLSRHRALLFKVLADHVGIPCRLVRGYTGVEDDVINIIKLPNGSEFLVDLMGAPGTLIPAEVLSAKDTSFKPYNPNVNNLPSVQSTSGSGDYYSRPNSSDDKMENDFSTITRPVKPESNPLVSNTGVGACSSGLGSRGPSQLDRIPSLVIGKSLYKGRGPNAAGDGSRLNVNVVPSDDPKNLFADLNPFQIKGSGLAQNNNGLQFPKNNPMNWKNRYACNEAPGLLPKNNRGANDYNASSMASSSSTTPLKVLSDTSRMPGKSYDVYREKNNTASGAGSTSMLASTQSEFRKFSLEDNQSSNHKQTYDREGVLESNDTDRPRAHVKDVTMQNDGKKITQYKLTGTNLKLKEPEHSNTKIGLSSSRIDPVLDDVNECEIPWEDLVLGERIGLGSYGEVYRADWNGTVRSSCFFLSSFPFLKNLTTFASFLSLVKCLKLQLVERNKNKLSKSS >itb10g00460.t3 pep chromosome:ASM357664v1:10:303449:311335:-1 gene:itb10g00460 transcript:itb10g00460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKKPHHPNRSNETPQSSSSSSSSSSSSSTALTSSSSQSCASDHRTSTHLQSPQSPSTPPPPPSTVSAPVAGGGAPAVNRQQDYFASEEDYQIQLALALSVSSSQAEESFRCDVDSGKGQILGGGRYGADSAREREEATADLLSRQYWDYSILDYEEKVVDGFYDVYSLFNNSASRGKMPSLSELETNGGSSDFEVVIVNQKIDPSLEELIQVAHCIALDSPASEVGLLVQRLAELVTEQLGGPVKDANIILTRWMERSMELRTSLHTSVLPIGSLNIGLSRHRALLFKVLADHVGIPCRLVRGYTGVEDDVINIIKLPNGSEFLVDLMGAPGTLIPAEVLSAKDTSFKPYNPNVNNLPSVQSTSGSGDYYSRPNSSDDKMENDFSTITRPVKPESNPLVSNTGVGACSSGLGSRGPSQLDRIPSLVIGKSLYKGRGPNAAGDGSRLNVNVVPSDDPKNLFADLNPFQIKGSGLAQNNNGLQFPKNNPMNWKNRYACNEAPGLLPKNNRGANDYNASSMASSSSTTPLKVLSDTSRMPGKSYDVYREKNNTASGAGSTSMLASTQSEFRKFSLEDNQSSNHKQTYDREGVLESNDTDRPRAHVKDVTMQNDGKKITQYKLTGTNLKLKEPEHSNTKIGLSSSRIDPVLDDVNECEIPWEDLVLGERIGLGSYGEVYRADWNGTVRIMQRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPQSQIDEKRRIKMALDVAMGMNCLHTSTPTIVHRDLKSPNLLVDNDWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLEIPKEVDPHVARIIWECWQMEPNLRPSFAQLTVALRPLQRLVIPSHVDEPSSALPQEISVNSTP >itb10g00460.t2 pep chromosome:ASM357664v1:10:303396:311335:-1 gene:itb10g00460 transcript:itb10g00460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKKPHHPNRSNETPQSSSSSSSSSSSSSTALTSSSSQSCASDHRTSTHLQSPQSPSTPPPPPSTVSAPVAGGGAPAVNRQQDYFASEEDYQIQLALALSVSSSQAEESFRCDVDSGKGQILGGGRYGADSAREREEATADLLSRQYWDYSILDYEEKVVDGFYDVYSLFNNSASRGKMPSLSELETNGGSSDFEVVIVNQKIDPSLEELIQVAHCIALDSPASEVGLLVQRLAELVTEQLGGPVKDANIILTRWMERSMELRTSLHTSVLPIGSLNIGLSRHRALLFKVLADHVGIPCRLVRGYTGVEDDVINIIKLPNGSEFLVDLMGAPGTLIPAEVLSAKDTSFKPYNPNVNNLPSVQSTSGSGDYYSRPNSSDDKMENDFSTITRPVKPESNPLVSNTGVGACSSGLGSRGPSQLDRIPSLVIGKSLYKGRGPNAAGDGSRLNVNVVPSDDPKNLFADLNPFQIKGSGLAQNNNGLQFPKNNPMNWKNRYACNEAPGLLPKNNRGANDYNASSMASSSSTTPLKVLSDTSRMPGKSYDVYREKNNTASGAGSTSMLASTQSEFRKFSLEDNQSSNHKQTYDREGVLESNDTDRPRAHVKDVTMQNDGKKITQYKLTGTNLKLKEPEHSNTKIGLSSSRIDPVLDDVNECEIPWEDLVLGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMQRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPQSQIDEKRRIKMALDVAMGMNCLHTSTPTIVHRDLKSPNLLVDNDWNVKVCDFGLSRLKHNTFLSSKSTAGTVRISYILLFDMVIFFFISKL >itb10g00460.t1 pep chromosome:ASM357664v1:10:303396:311335:-1 gene:itb10g00460 transcript:itb10g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKKPHHPNRSNETPQSSSSSSSSSSSSSTALTSSSSQSCASDHRTSTHLQSPQSPSTPPPPPSTVSAPVAGGGAPAVNRQQDYFASEEDYQIQLALALSVSSSQAEESFRCDVDSGKGQILGGGRYGADSAREREEATADLLSRQYWDYSILDYEEKVVDGFYDVYSLFNNSASRGKMPSLSELETNGGSSDFEVVIVNQKIDPSLEELIQVAHCIALDSPASEVGLLVQRLAELVTEQLGGPVKDANIILTRWMERSMELRTSLHTSVLPIGSLNIGLSRHRALLFKVLADHVGIPCRLVRGYTGVEDDVINIIKLPNGSEFLVDLMGAPGTLIPAEVLSAKDTSFKPYNPNVNNLPSVQSTSGSGDYYSRPNSSDDKMENDFSTITRPVKPESNPLVSNTGVGACSSGLGSRGPSQLDRIPSLVIGKSLYKGRGPNAAGDGSRLNVNVVPSDDPKNLFADLNPFQIKGSGLAQNNNGLQFPKNNPMNWKNRYACNEAPGLLPKNNRGANDYNASSMASSSSTTPLKVLSDTSRMPGKSYDVYREKNNTASGAGSTSMLASTQSEFRKFSLEDNQSSNHKQTYDREGVLESNDTDRPRAHVKDVTMQNDGKKITQYKLTGTNLKLKEPEHSNTKIGLSSSRIDPVLDDVNECEIPWEDLVLGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMQRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPQSQIDEKRRIKMALDVAMGMNCLHTSTPTIVHRDLKSPNLLVDNDWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLEIPKEVDPHVARIIWECWQMEPNLRPSFAQLTVALRPLQRLVIPSHVDEPSSALPQEISVNSTP >itb06g09080.t1 pep chromosome:ASM357664v1:6:13213405:13216276:1 gene:itb06g09080 transcript:itb06g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAMEEESASEIRLPADVDWEMLDKSRFFFLGAALFSGVSATLYPIVVLKTRQQVLTARIPCLKMSASMLRTEGLRGFYRGFGTSLVGTIPARALYMGALEMTKSSVGTAAVRLGFSEASAAAIANAAAGLNASMAAQVVWTPIDVVSQRLMVQGAENGGFKSYSGGLDAFRKIIYSHGVKGLYRGFGLSILTYAPSSAVWWASYSISHRAIWARTRRHFCRKDDLRPEGKAGLVAVQGISAAVASGVSALVTMPMDTIKTRMQVLDGEGNMRRPVTVVQTDRMSLRAMEEESASEIRLPADVDWEMLDKSRFFFLGAALFSGVSATLYPIVVLKTRQQVLTARIPCLKMSASMLRTEGLRGFYRGFGTSLVGTIPARALYMGALEMTKSSVGTAAVRLGFSEASAAAIANAAAGLNASMAAQVVWTPIDVVSQRLMVQGAENGGFKSYSGGLDAFRKIIYSHGVKGLYRGFGLSILTYAPSSAVWWASYSISHRAIWARTRRHFCRKDDLRPEGKAGLVAVQGISAAVASGVSALVTMPMDTIKTRMQVLDGEGNMRRPVTVVQTVRNLVKESGISGCYRGLGPRWASMSMSATTMITTYEFLKRLSTKRQERFV >itb06g05560.t1 pep chromosome:ASM357664v1:6:8258617:8262826:1 gene:itb06g05560 transcript:itb06g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLVVNGAVKMANTSQAYLESGKVRETKALITELCRHFYTQGWVSGTGGSITIKVHDDSIPKPQQLIVMSPSGVQKERMMEEDMYVLSPNGSVLCEPLAKPYPNKPPKCSDCGPLFLKAYEMRNAGAVIHSHGMESCLVTMINPSSKEFRITHMEMIKGIQGHGYYDELVVPIIENTAHERELTDSLAEAIKAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLHQLGLDWSTPTHGPIRKSIGVLGSLHPTKASAKEGSVASNGGIGPSRRCIVLDIEGTTTPISFVSDVLFPYARDNVGRHLDITYNTAETQDDIKLLRAQVEEDLRNGVAGAVPIPHDDAGKEEVIAALVTNVEAMIKADRKITSLKQLQGHIWRTGFQHNELEAIVYDDVPEALEKWNALGIKVYIYSSGSRLAQRLLFGNTNHGDLRNFLCGFFDTTVGNKKEMKSYIEITESLGVDNPSEILFVTDVYQEATAAKAAGLEVIISVRPGNGPLPENNEFRTVKSFADI >itb03g21120.t1 pep chromosome:ASM357664v1:3:18892243:18895417:-1 gene:itb03g21120 transcript:itb03g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20150) UniProtKB/Swiss-Prot;Acc:Q8LBH4] MKFGKSLSIQIEETLPEWRDKFLSYKELKKRLKLIEPKGSSASAGDGGGVDRPNKRPKLAVAGDSNGGGEKEAMTEAEVDFVKLLEDELEKFNSFFVEKEEEYIIRLKDLQDRVAKANDCNDEIIKIRKEVVDFHGEMVLLENYSALNYTGLAKILKKYDKRTGTLLRLPFIQKVLQQPFFTTDLLYKLVKECEILIDRLFPVKEAPSSTEAADGNEPSTSGTAKSDGLLRGPKELAEIEYMESLHMKSALSALRVLKEIRSKSSTVSVFSLPPLQTSGVDATWNKIPILEQAAK >itb12g18140.t1 pep chromosome:ASM357664v1:12:20393391:20395166:-1 gene:itb12g18140 transcript:itb12g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSALLKTFFILISLHHNSTSSAFEYHRNLIAGDQESSYPIHISVGNTYGPAPSPSPFLSPSPSPSPFPSPSPSPSASFSPPPKSSLVPALFVIGDSSVDCGTNNVLGTFARADRLPYGRDFDTHEPTGRFCNGRIPVDYLALRLGLPFVPSYLGQTSSIDDMIQGVNYASAGSGIIFSSGSELGQHISLSQQIQQVSDTFQLMMLSMGEKAASDLISRSLFYISIGSNDYIHYYLRNVSDVQSLYLPWNFNQFLAESMKQEIKNLYNAKVRKVVVMGLAPMGCAPYYLWLYENTDGKCVEMINDMILEFNFEMRQKIEELSVELADARIIFCDAYEASMDIMKHHRRYGFNVTAEACCGLGKYRGWLFCISPEMACSNASNHIWWDQFHPTDAVNAIIADNVWSSLHTNMCYPMNLQDMIAHTS >itb01g17490.t1 pep chromosome:ASM357664v1:1:22216178:22221771:-1 gene:itb01g17490 transcript:itb01g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLNFWLDVSRVSKVTFSSLQGGQPQTMSSTNYFNFKAFDEEGNREQMVTNDDTVLTREGSDSRKTMRIESGGEAKEADSTLRNENFGEDSSAKTLKRLRLVWTLQLHKRYEQSRRSRYPIKNESHLIIPLSLLYKPNPPPLLAITKKVLDRIKFPKSKQISSIISMAIQRGGVSLILLIALFWAGAMAQSSDDCTNVIISMSPCLNYITGNSSFPTAGCCTQLGTVVKSKPECLCQVLNGGGSNLGLNINQTQALALPAACKVQTPSTSTCNTGSPSGSPSGTSGSPNTGSGGGSKSTPSGATSVKLAPPLVFFIPLVASYVSTFINC >itb13g26390.t1 pep chromosome:ASM357664v1:13:31679565:31680644:1 gene:itb13g26390 transcript:itb13g26390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMARNPNDSFSFSRRYFNWRKKIEEGEDDDREEILTFHSELPDRRLPELDLAVPRPPKPNRRTAVSKIRSALTFGGKRRTGEVSSGLGTRVVGTLFGHRRGHVLFAFQEDPRTGPAFLVELAMPTSGLVREMASGMVRVALECERRTGKKPGKILDEPVWRTYCNGRKCGFAVRRECGPDEWKVLNSIGPISMGAGVLPAKDSDGVKPDGGELMYMRAKFERVVGSKDSEAFYMMNPDGHGGPELSFYLLRV >itb03g10780.t1 pep chromosome:ASM357664v1:3:8659396:8663487:1 gene:itb03g10780 transcript:itb03g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMNNLVASNAYWVWYHTHGRLFIGNPEHRQDQGYVQCSALLNDVMCFIRNLHLRAGEAGNDRARCLDLVREIHISTRDYLQRAGYGYFVDVPPHIFNNAPVDEPLQPRRTDLRRQRENRRGQPACGGRRMRAVNQALQAEAYQHQHETNNVEHDSEDDDGGSENRNALALMPVQYQGGYDGVHVSRSNVKLHCRICKKGGHNSRRCPQKRNMEPEIEAQKEGQADIAENGTKQAGIVVKNAEKWY >itb13g15610.t1 pep chromosome:ASM357664v1:13:22493996:22496856:-1 gene:itb13g15610 transcript:itb13g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGKMGKSKVVIVGGTGYIGRRIVKASLAQGHTTHVLLRPQIGLDIDKFQMLLSFKEEGARLVEASFDDHRSLVDAVKEVDVVICTMSGTHFRSHNILLQRKLVDAIKEAGNIKRFIPSEFGMDPARMGHALEPGRVTFDEKMEIRKGIEEANIPHTYISANCFAGYSCPNLSQLGSVLPPKHKLYIYGDGNAKVVYMDEDDVATYTMKTIDDPRALNKTIYLRPQENILTQRELVAIWEKLNGKKLEKISLSADEFLASMKGTINAQIIG >itb15g14510.t1 pep chromosome:ASM357664v1:15:12836465:12839492:1 gene:itb15g14510 transcript:itb15g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASNGSLVVARAAGRRAYVTFLAGNGDYVKGVVGLVKGLRKVGTAYPVVVAVWPDVPAEHRRLLVAQGCIVREIEPLYPSQDFQLPFARQYYVLNYSKLQFWQFTEYSKMVYLDSDMQVFENIDHLFDLADGYFYAVADCVCEEHGEPCQEILPWPTALGPRPPMYFNGGMFMFQPNMSTYTRLLNDFKLTPPTAFAEQDFLNMFFRDEYKPLPYVYNMLVNMVWRHPDKVELSKAKVVHYCVDGAKPWRYTGKENNMGREEMKMLVKKWWEIYNDPSLDYKYKQRGSVYELAGGAAHEVARFSNTGNLTQPRYVKGPPAA >itb10g16830.t1 pep chromosome:ASM357664v1:10:23079744:23080725:1 gene:itb10g16830 transcript:itb10g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFHMVEIPKALTLAFLFGFWSSLKFVVIAFLNGLGLYKPPPEEDNDNSSGYHPNSYILLLDGTSPSLVPIPIHVATAAVKRKVPIVFFRDYLQQREGEMEKDRCWCCSICLEEIELDHEVRDPVTCNHVFHRPCLDTWVDEGQVTCPVCRSMLLPRKLTSFRLEMPAPVPDPDVR >itb04g09700.t1 pep chromosome:ASM357664v1:4:8951561:8953891:-1 gene:itb04g09700 transcript:itb04g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKIVDSNRDCVEEISYEAENSQFESFPRKGSAAEEDTIVGVDDDILEIKGRLCGSPTSKLEILALVGMGGIGKTALARKVYEDPLVMHHFYLRAWITVSRQHRVGEMLLGLLRCMCPSSNEIYKTSEHQLAEELRRRLIGHKYLIVMDDVWTSEAWDDVKRCFPDDRNGSRIILTSRVMAVGVHAQPGSALHCLRPLDLHQSWELICQKVFGKTPCPSELVEIGKKIAERCGGLPLAIVVVAGSLAKVSKTRTSWSNFAKMVGSAANEQEKQKCLDILALSYHYLPCHLKPCFLYMGAFPEDYEIPAWTLIRLWIAEGFLPPETKLKSPEEVAEHCLEDLVSRSLVMVAKRRSDGGVKTCVIHDLLRELSLQEGEKENFMHVKKEHANIYSADAYNEQRFSFPSGVEIIDTKTDIICLNHSAPLTRSFLCFAKISTPPSETIFHVSAFRLLRVLHLVGHTFIHFPVEITQLVHLKYLALRFYHPDFPVSVSKLVNLQTLVIETSEVLNLPREVWEMEQLRHLHFRNSSFWPNPSPITSSVGSRSSNLLWNLQTLSLIGFNSCTTEVLFSISNLTKLGIAGRWPEVENGELQERWTTQQQLHNRLNDLVYLKLLETLKLYRVLEQHIPPWDVFPRNLKKLSITMCYLSPKSVTTFSMLPNLEILKLKDVNFKRYAFELSEQVFKTLKFLLIQSSTLKLWEVANSYHFPKLERLVLKHCFSLKEIPNEIGDIPTLEFIELHHSPAVERCARKIEDEQRDLGNDSFKVYINRSSVIS >itb11g03230.t1 pep chromosome:ASM357664v1:11:1674281:1674837:-1 gene:itb11g03230 transcript:itb11g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPTAMQKMAHPEGEYATARAASAAGTIMTVQEYRRGDPRPPNDQYATKLLESIATWFCLKDHSPQIFKIIS >itb04g04530.t1 pep chromosome:ASM357664v1:4:2801797:2814954:-1 gene:itb04g04530 transcript:itb04g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGKNRKGQNATSTTENAVSSDAPPVSNSVSSEANGNAELGASTDMKDEIKESLNGAPGNQPKQGDMHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMVPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHETGQTTAAAKSGEPVKADIPELDNMGFVDNISSLLSNLLSTNSKEVKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYVNSSMGNVLDPRPNKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLDQLPRRKTPDSHSEVESMGPLHLSSEKIPNNLPHGASEVSNVEKPTASSADHVNGTLDSALGGPAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMTIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGPGSRFCILRPELITAFCQVETADRSKSKCKAEGEVQETGDSSNASNTDELPKTEDNAMSQVDTREKLNENNDAKECCSQSESKDDIPEEILFNPNVFTEFKLAGNKEDVAADEELVKKVGLYLKDVVLPKFILDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHLPHLWDLCSNEVIVRSAKHIFKDIIRDSEDHDVGSAISHFLNCFFGNIQSVPSKVGTNSVHSRNQKKEHSSGKFSKGPAKKKSAASARKKQSSYMNVTSDSLWSDIKEFSKLKYQFDLPDDARIRVKKIPVLRNLCLKVGATIAARKYDLDAVTPFQATDVLNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYALFFEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCMGAYKLSHQHEKKTYDILAKQLGEEDSRTKDSQNWMNTFKMRELQMNAQKQRGQALNTASAHKAYDILKANPSLLHAFQAATGAAGFGGVNQSLNAAMVGDALPRGRGVDERAARAAAEVRKKAAARGLLLRPNAGPVQGLPPLSQILNVINSGITPDAVNKAETDGANKEASGDSATTPAADAQGDKPNPEQPDQTPVGLGSGLASLNAKKQKSKSKATS >itb04g04530.t2 pep chromosome:ASM357664v1:4:2801797:2814952:-1 gene:itb04g04530 transcript:itb04g04530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGKNRKGQNATSTTENAVSSDAPPVSNSVSSEANGNAELGASTDMKDEIKESLNGAPGNQPKQGDMHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMVPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHETGQTTAAAKSGEPVKADIPELDNMGFVDNISSLLSNLLSTNSKEVKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYVNSSMGNVLDPRPNKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLDQLPRRKTPDSHSEVESMGPLHLSSEKIPNNLPHGASEVSNVEKPTASSADHVNGTLDSALGGPAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMTIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGPGSRFCILRPELITAFCQVETADRSKSKCKAEGEVQETGDSSNASNTDELPKTEDNAMSQEKLNENNDAKECCSQSESKDDIPEEILFNPNVFTEFKLAGNKEDVAADEELVKKVGLYLKDVVLPKFILDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHLPHLWDLCSNEVIVRSAKHIFKDIIRDSEDHDVGSAISHFLNCFFGNIQSVPSKVGTNSVHSRNQKKEHSSGKFSKGPAKKKSAASARKKQSSYMNVTSDSLWSDIKEFSKLKYQFDLPDDARIRVKKIPVLRNLCLKVGATIAARKYDLDAVTPFQATDVLNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYALFFEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCMGAYKLSHQHEKKTYDILAKQLGEEDSRTKDSQNWMNTFKMRELQMNAQKQRGQALNTASAHKAYDILKANPSLLHAFQAATGAAGFGGVNQSLNAAMVGDALPRGRGVDERAARAAAEVRKKAAARGLLLRPNAGPVQGLPPLSQILNVINSGITPDAVNKAETDGANKEASGDSATTPAADAQGDKPNPEQPDQTPVGLGSGLASLNAKKQKSKSKATS >itb03g26010.t1 pep chromosome:ASM357664v1:3:25431799:25440040:1 gene:itb03g26010 transcript:itb03g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKLLETPTCDDAGSKKNQNKQDSVSKKVVATDSKERKRLMSQNSEEQAVSRKMPKRAAACSNFKEKTVKISKKSSLIETKKDQCIEEEAVAIRLTEGKDNGRPCRRLTDFVFHNTDGIPQAFEMLEVDDLFISGLILPLEDTDDKEKAKGIRCEGFGRIEEWAISGYEDGSPVIWVSTDEADYDCVKPSGSYKKYYDHFLAKATACIEVYKKLSKLSGGNPDSSLDELIGGVVRAMSSMKCFSDGFSIRDFIISQGEFIYNQLIGLDDTCKKTDQLFVELPVLAALRDEKNKQENVAQAPKINTGGSLRIGPKATNEEDMTKQSGVSSSPAEEDEDLKLARLLHEEECWRSMKQKKSHGSSSLSNKFYIKINEDEIANDYPLPAYYKTSYEEIDEYVVFDSGIDTCYIDDLPRSMLHNWALYNSDSRLIPLELLPMKPCADIDVSIFGSGLMMTDDGSGYNFDSDAGHSSSSASGAAEVDGIPIYLSAIKEWMIEFGASMVFISIRTDMAWYRLGKPSKQYAPWYEPVLKTARLAVSIITLLKEQSRVARLSFADVIKKVSELEKSNPAYLSSNPAVVERYLVVHGQIILQQFAEFPDENIRKCAFVISLNRKMEERHHTKWLVKKKKLLHRNELNLNPRAGMGPVISKRKAMQATTTRLINRIWGEYYSNYSAEESKAEVGGEVKDDDEVEEQEENEEDDAPEENMEVPNETQKPSTTTRRTKSCPNSKEVEWDGESVGKTASGEHLYRRAKVHGNEIAVGGSVLVEEDEADVLPTICFVEYMFEKLNGKKMLHGRIMQRGSQTVLGNTANEREVFLTHECMDLELEEVKQTVVVGVRLMPWGHQHRKANADADKIDRAKAEDRMKKGLPAEYYCKSLYWPERGAFFKLPYETMGLGSGLCHSCQVQDSAQDKDIFKVDASKTSFIYQGFEYSIHDFVYVSPYQFDSEKVECATFKGGRNVGLRAYVICQLIEVLVQKGPKEAVMDSTQVKVRRYFRPDDISAEKAYTSDIREIYYSEETHTIPICMLEGKCEVRKKQDLPSVDLPPIFDHIFFCECLYDPSKGSVKQLPSHVKIRYSQVKLDDADISRKRKGKGKEVENDMRTEQLQETSQENRLATLDIFAGCGGLSEGLHQSGVSHTKWAIEYEEPAAEAFKLNHPEAQVFVHNCNVILRAIMEKCGDEEDCVSTQEAGELAASMDEKEVNSLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYYRPKYFLLENVRNFVSFNQGQTFRLAVASLLEMGFQVRFGILEAGAYGVPQARKRAFIWAASPEVTLPDWPEPMHVFGVPELRIPLSKDSHYAAARSTADGGPFRSLTVRDTIGDLPAVSNGASKPTMEYQGDPVSWFQKKMRGNAMVLSDHISKEMNELNLIRCQRIPKRPGADWRDLPEEKVKLSTGEMVDLIPWCLPHTAKRHNQWKGLFGRLDWDGNFPTSITDPQPMGKVGMCFHPEQDRIVTVRECARSQGFPDTYQFAGNILHKHRQIGNAVPPPLAYALGKKLKEAVQGKNSA >itb13g13700.t1 pep chromosome:ASM357664v1:13:20252929:20258400:-1 gene:itb13g13700 transcript:itb13g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLSRCESKRSVIFASFKRFMLTSTGDSELQRRTLECSSKFEGLGQGADIVNQKNQEWSSNLDIVRQGVDSICKILESGPWKPSLENALSDNGEKHDIEVVIRVLRKLKDAKIAIDYFRWVERKTSQTHCPEAYNSLLMAMARSKNFEYLEQIFEEMSIAGFGPSSSTCIELVTACVKRRKLKEAFDLIQTMRKFKFRPAFSAYTTLIGSLSEVHEPDLMLTLFHQMQELGYEVNVHLFTTVIRAFARDGRVDAALSLLDEMKSNSFDADIVLYNVCIDCFGKAGKVDMAWKFFNELKALNIMPDDVTYTSMIGVLCKANRLPEAVGLFEQMEINRTVPCAYAYNTMIMGYGSAGKFDEAYSLLERQRLKGSVPSVIAYNSILTCLGRKRRVDEALRIFQEMKKDAAPNLSTYNILIDMLCKAGKLEVALQLRNDMQVAGLFPNILTVNIMVDRLCKVNKLDEARSIFEEMDHKVCMPDEVTFCSLIDGLGRHGRVDDAYRLYEQMLDSDRTPTAIVYTSLIKNFFKSGRKADGHKIYKEMVHRGVSPDLSLLNTYMDCVFKAGETDRGRALFEGIRTWGFTPDVRSYSILIHGLTKAGFAHETYELFYAMKEHGYVLDIQAYNIVIDGFCKSGKVNKAYQLLEEMKVKGREPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVVVYSSLVDGFGKVGRIDEAYLIMEELMQKGLTPNAQTWNCLLDALVKSEEIDEALVCFKSMKDLKCRPDTITYSILINGLCRVRKFNKAFVFWQEMQKEGLKPNMITYTTMISGLAKAGNVSEADKLFQKYKANGGMPDSACYNTMIEGLSVANRATEAYQLFEETRLRGCNIYTKTCVILLDALHRAECLEQAAIIGAVLRERAKSQHASRSL >itb13g13700.t2 pep chromosome:ASM357664v1:13:20252929:20258400:-1 gene:itb13g13700 transcript:itb13g13700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLSRCESKRSVIFASFKRFMLTSTGDSELQRRTLECSSKFEGLGQGADIVNQKNQEWSSNLDIVRQGVDSICKILESGPWKPSLENALSDNGEKHDIEVVIRVLRKLKDAKIAIDYFRWVERKTSQTHCPEAYNSLLMAMARSKNFEYLEQIFEEMSIAGFGPSSSTCIELVTACVKRRKLKEAFDLIQTMRKFKFRPAFSAYTTLIGSLSEVHEPDLMLTLFHQMQELGYEVNVHLFTTVIRAFARDGRVDAALSLLDEMKSNSFDADIVLYNVCIDCFGKAGKVDMAWKFFNELKALNIMPDDVTYTSMIGVLCKANRLPEAVGLFEQMEINRTVPCAYAYNTMIMGYGSAGKFDEAYSLLERQRLKGSVPSVIAYNSILTCLGRKRRVDEALRIFQEMKKDAAPNLSTYNILIDMLCKAGKLEVALQLRNDMQVAGLFPNILTVNIMVDRLCKVNKLDEARSIFEEMDHKVCMPDEVTFCSLIDGLGRHGRVDDAYRLYEQMLDSDRTPTAIVYTSLIKNFFKSGRKADGHKIYKEMVHRGVSPDLSLLNTYMDCVFKAGETDRGRALFEGIRTWGFTPDVRSYSILIHGLTKAGFAHETYELFYAMKEHGYVLDIQAYNIVIDGFCKSGKVNKAYQLLEEMKVKGREPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVVVYSSLVDGFGKVGRIDEAYLIMEELMQKGLTPNAQTWNCLLDALVKSEEIDEALVCFKSMKDLKCRPDTITYSILINGLCRVRKFNKAFVFWQEMQKEGLKPNMITYTTMISGLAKAGNVSEADKLFQKYKANGGMPDSACYNTMIEGLSVANRATEAYQLFEETRLRGCNIYTKTCVILLDALHRAECLEQAAIIGAVLRERAKSQHASRSL >itb07g21490.t1 pep chromosome:ASM357664v1:7:25873514:25874416:-1 gene:itb07g21490 transcript:itb07g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCNPAVLASHQGLPFGQVIQFAGIQAIIERVKNARKIHLVDINIRSGIQWTILMQALAEQHDSSPIERIRLTAIGVAEREKMEECGKRLQSFADSLNLPFCFHTVFLSDLKDFSEDLVQIEDEEVVAVLASTVLRTMIARPDYLDGLMRGIRGLRPAVMVVAEVEANHNSPSFINRFVEALFFFGAYFDCLDDCLDRDDPCRSTIEGLHFGEGIRNMVAAEGEERFAKSVKIEVWRAYFARFAMEEMQLSDSSVYQANLVGKKFRNGNSCTLDRSGKGLIFGWKGTPMHSVTCWKFS >itb10g22530.t1 pep chromosome:ASM357664v1:10:27344251:27348024:-1 gene:itb10g22530 transcript:itb10g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSMRSFDMRLPVHHRGRSHGSFHYLIDQLPVIQDSCWANLPPELLFDVIRRLEESESTWPARKHVVACAAVCRSWRSMCKEIVRGPESCGKLTFPVSLKQPGPRDGMIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMDAESISRSSNTYIGKLRSNFLGTKFKIYDTQPPHTGAHMPPPGRTSRRFNSKKVSPKVPTGSYNIAQIAYELNVLGTRGPRRMHCVMHSIPTSALEADGVVPGQPELCPRSLEDSFRSMSFSKSFDRTTEFNSARFSDIGVSTSEGDDCKTRPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPPAAAPGAPTSTSQPSSSQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >itb04g05140.t1 pep chromosome:ASM357664v1:4:3169913:3171828:1 gene:itb04g05140 transcript:itb04g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILIKNLIIFAAILACCIEATWLQGLNEDDDDVMAKRHEEWMAQYGRVYGDETEKAKRFQIFKENVKVIESFNQAGDQPYTLGINEFADLTNDEFASTKNGYKRPVFPRPPGGSTPFRYENVTAVPSAVDWRKKGAVTSVKNQGVCGCCWAFSAVAAVEGIVKISGGKLISLSEQELVDCDKGGGDQGCHGGRMDDAFKYIINHNGLSTESGYPYGGQDHGTCNLKRGSFRAAKTVAGYEDVPRNSEAALLRAVAHQPVSVAIDARGLQLQFYSGGVFTNKCGTELNHGVTVVGYGRGQNGMKYWVVKNSWGERWGENGYFRLERGVKAAEGLCGIAMDASYPTV >itb08g07340.t1 pep chromosome:ASM357664v1:8:6263702:6264458:1 gene:itb08g07340 transcript:itb08g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTSLSTQSVDESIIREQVLSTHNYDGREFNTNFILNMAKNTLNLGTGTAQKVMVKELNQLEELDTYQDLPLHFRQLSFEIASGAIAKNQHYFTTIHHLLSILSPYSWEEKLVLMLAAFSIIHGEFSLISQTVQLVHLKQSSHCPIPIPSSDNS >itb04g25150.t1 pep chromosome:ASM357664v1:4:29814032:29817609:1 gene:itb04g25150 transcript:itb04g25150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFSFSAGISPAPTGILSKGLVWNPSPIFDSRRRVSDVVKAQSSKMSVTNDVAGTVPLVLTPVVPVTMDPPAELTENASQRTKLVCTIGPATCGYSELKALAASGMNVARLNMCHGTHEWHRSVIESVKRLNEEMGLAIAIMLDTEGSEIHMDDLGGATSIKAEDGDIWTFTVRSCTSSLPEYTVTVNYDGFSEDVKIGDEIVVDGGMAGFEVVEKIGPDVKCRCIDPGLLLPCANLTIWREGRLVQERNAMLPTISSKDWLDIDFGIREGVDFIAVSFVRNADAVNDLKSYVAAHSDKNNVAVIAKIESVDSLKNLQDIIQASDGVMVARGDLGAQIPLEQVPSVQKEIVDLCKGLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVKQKADALMLSGESAMGQYPMKALSVLKSVSLRIEKWLREEKSQEPAELLYHAHLLPGGIQEELCNAAVNMANSLEADALVVFTRTGKMASLLSRGRPNCPIFAFTQSAPVQRCLNLHWGVTPLKLALSGNLEKDLSRALVFLRDSGMIKSGDTVVTMSAALQSIQVVSAP >itb09g26890.t1 pep chromosome:ASM357664v1:9:27468966:27469400:1 gene:itb09g26890 transcript:itb09g26890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKRLIKLAKRWKKFAAIRRKRTSFPRLNDGTDSWSTSFAVNKGHFVIYTTDQKRFVVPLTYIENEIIRQLLNLSKEEFGLPSDGPITQPCDAVFMDYIVSLLTRGLSQEIENALLVSVTSHRCSSAPLHHEGWRNQELLVC >itb04g28080.t2 pep chromosome:ASM357664v1:4:31911809:31919597:-1 gene:itb04g28080 transcript:itb04g28080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKNLASIFDGGENREDQGGSMLYVSLKIENFKLKFKTDLIPHVFGSAPLAGSWESSKALPMELESNSTWQLRFVVPPNHETLEFKFLLKPKYDNGPCIVEEGANRVLIGGTLQGDGRLALFKLNEEELIEYKVYIKADLVSPFDLAASWRAFHENRKPSTVRGIPDISINSGTELGGFSATVDLDLEHYVVPSPTTSANSGLVYAANLTETPRSLNGYGEMEVAVPNPTKEPSSSVILESKSVGTLSLQKNDIQKCISVDRGVGSPRLLKSPRTTTFTLDLNLNLETKNRMPAAAGAVAAAAIADQMLGPKEDRHLAIVLVGLPARGKTFTSAKLTRYLRWLGHDTKNFNVGKYRRLKHGTNKWADFFRGDNPEGLEARNEVAALAMEDMIAWMQEGGQVGVFDATNSTRQRRNMLMKMAEGRCKIIFLETICNDPQIIERNIRLKVQQSPDYAEEPDFEAGYRDFKNRLANYEKVYEPVDEGSYIKMIDTVSGHGGQIQVNDISGYLPGRIVFFLVNMHLTPRPILLTRHGESLDNVRGRIGGDTVISEAGELYAKKLAVFVEKRLKNERAASIWTSTLQRTILTASPIVGLPKIQWRALDEINVGVCDGMTYEEVKKNMPEEYKYVITLL >itb04g28080.t1 pep chromosome:ASM357664v1:4:31910483:31919597:-1 gene:itb04g28080 transcript:itb04g28080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKNLASIFDGGENREDQGGSMLYVSLKIENFKLKFKTDLIPHVFGSAPLAGSWESSKALPMELESNSTWQLRFVVPPNHETLEFKFLLKPKYDNGPCIVEEGANRVLIGGTLQGDGRLALFKLNEEELIEYKVYIKADLVSPFDLAASWRAFHENRKPSTVRGIPDISINSGTELGGFSATVDLDLEHYVVPSPTTSANSGLVYAANLTETPRSLNGYGEMEVAVPNPTKEPSSSVILESKSVGTLSLQKNDIQKCISVDRGVGSPRLLKSPRTTTFTLDLNLNLETKNRMPAAAGAVAAAAIADQMLGPKEDRHLAIVLVGLPARGKTFTSAKLTRYLRWLGHDTKNFNVGKYRRLKHGTNKWADFFRGDNPEGLEARNEVAALAMEDMIAWMQEGGQVGVFDATNSTRQRRNMLMKMAEGRCKIIFLETICNDPQIIERNIRLKVQQSPDYAEEPDFEAGYRDFKNRLANYEKVYEPVDEGSYIKMIDTVSGHGGQIQVNDISGYLPGRIVFFLVNMHLTPRPILLTRHGESLDNVRGRIGGDTVISEAGELYAKKLAVFVEKRLKNERAASIWTSTLQRTILTASPIVGLPKIQWRALDEINVGVCDGMTYEEVKKNMPEEYKSREKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADRPLKEIPNLEIPLHTIIEIQMGVTGVQEKRYKLM >itb07g02370.t3 pep chromosome:ASM357664v1:7:1509018:1514879:1 gene:itb07g02370 transcript:itb07g02370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLFNSIDVRDLLSVPDVDDVSAPLSAPDLRLLIDRLQLRSVDIKSKVRHYIISHHTDFSALFSQCSDVVSRSEHLSDRVSDLLRLISDRPVEVTTKAVIDEIVAKRRETREKRDLLEFLGVVLELSGKLELVKEELKAGNVENAAAALRDLKSALLVSENDLPTEKPPPLVYDLLKNQWTECFEEIQELLLKCIEDAVRFEHEGHTVRVKHHTSIHEIELPTILKAMEAIGIMDYGLAKIADSMIKTIVTPVVVDWSTTTFHVEEKTKESGHCADSVLRIVSSTDHKIDCSSGEAMYSTLIQIVKFINESLCFQNDAWMHCFGRLTWPRMSELIISNFLSKLVPDDASKLADFQKLVKHTSEFETILKDLKFISSDSKDERLSRFADNVEVHFASRKKVEILTKARNVLLQSDFRFREDSVKGTQVKDEENGNTTSDHVVDLLFSSEKCMVSEAALQLMKLVHQTLKDVCLSSPRVGVEFYQAARDSLLLYEAILPVKLEKQLDSINHAAVLIHNDCLYLSQEILGLAFEYRSNFPSFIKELAVFVDLAPRFQMMAEEVLQRQIQLVIVNLKQAIDGADGFQNTHLVKHYESAKLSIDQVVFILEKVHIVWKSLMLPSTYKRSMSMFLEEIFSRIAKDILLLDDMAAEETLQVLKISSIRLRS >itb07g02370.t1 pep chromosome:ASM357664v1:7:1509018:1514879:1 gene:itb07g02370 transcript:itb07g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MEAIGIMDYGLAKIADSMIKTIVTPVVVDWSTTTFHVEEKTKESGHCADSVLRIVSSTDHKIDCSSGEAMYSTLIQIVKFINESLCFQNDAWMHCFGRLTWPRMSELIISNFLSKLVPDDASKLADFQKLVKHTSEFETILKDLKFISSDSKDERLSRFADNVEVHFASRKKVEILTKARNVLLQSDFRFREDSVKGTQVKDEENGNTTSDHVVDLLFSSEKCMVSEAALQLMKLVHQTLKDVCLSSPRVGVEFYQAARDSLLLYEAILPVKLEKQLDSINHAAVLIHNDCLYLSQEILGLAFEYRSNFPSFIKELAVFVDLAPRFQMMAEEVLQRQIQLVIVNLKQAIDGADGFQNTHLVKHYESAKLSIDQVVFILEKVHIVWKSLMLPSTYKRSMSMFLEEIFSRIAKDILLLDDMAAEETLQLQSLIHLLFENLQPFLEFLLDLIQREKLRDYPIQTIDDLIPSLRKLKKLADLLDMPLKSITESWESGELVICGFTSSEVQDFIRAIFTQSTLRKECLHRIESTAFG >itb07g02370.t2 pep chromosome:ASM357664v1:7:1509018:1514879:1 gene:itb07g02370 transcript:itb07g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLFNSIDVRDLLSVPDVDDVSAPLSAPDLRLLIDRLQLRSVDIKSKVRHYIISHHTDFSALFSQCSDVVSRSEHLSDRVSDLLRLISDRPVEVTTKAVIDEIVAKRRETREKRDLLEFLGVVLELSGKLELVKEELKAGNVENAAAALRDLKSALLVSENDLPTEKPPPLVYDLLKNQWTECFEEIQELLLKCIEDAVRFEHEGHTVRVKHHTSIHEIELPTILKAMEAIGIMDYGLAKIADSMIKTIVTPVVVDWSTTTFHVEEKTKESGHCADSVLRIVSSTDHKIDCSSGEAMYSTLIQIVKFINESLCFQNDAWMHCFGRLTWPRMSELIISNFLSKLVPDDASKLADFQKLVKHTSEFETILKDLKFISSDSKDERLSRFADNVEVHFASRKKVEILTKARNVLLQSDFRFREDSVKGTQVKDEENGNTTSDHVVDLLFSSEKCMVSEAALQLMKLVHQTLKDVCLSSPRVGVEFYQAARDSLLLYEAILPVKLEKQLDSINHAAVLIHNDCLYLSQEILGLAFEYRSNFPSFIKELAVFVDLAPRFQMMAEEVLQRQIQLVIVNLKQAIDGADGFQNTHLVKHYESAKLSIDQVVFILEKVHIVWKSLMLPSTYKRSMSMFLEEIFSRIAKDILLLDDMAAEETLQLQSLIHLLFENLQPFLEFLLDLIQREKLRDYPIQTIDDLIPSLRKLKKLADLLDMPLKSITESWESGELVICGFTSSEVQDFIRAIFTQSTLRKECLHRIESTAFG >itb09g02970.t2 pep chromosome:ASM357664v1:9:1692808:1695087:1 gene:itb09g02970 transcript:itb09g02970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSSVVVQEGGAGDSLFDDDGRIKRTGTVITASAHIITAIIGSGVLSLAWAVAQLGSLPGSVALLVFSVITLYTSILLTDCYRSPEGTRNYSYMDAVRTHLGGIQYMFCALAQYTTLIGLGIGYVITTALSLGAIERTNCYHKYGHDWPNCSPSTRNYIIEFGAVQMILSQVSNFHKLSFISMVAAIMSFTYSIIGIALSAVRIAGGTPVTTSVTGVPIDNNTSAVDKMWKTFSALGNIAIAFAFSIVVIEIQASLSLNL >itb09g02970.t1 pep chromosome:ASM357664v1:9:1692808:1694372:1 gene:itb09g02970 transcript:itb09g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSSVVVQEGGAGDSLFDDDGRIKRTGTVITASAHIITAIIGSGVLSLAWAVAQLGSLPGSVALLVFSVITLYTSILLTDCYRSPEGTRNYSYMDAVRTHLGGIQYMFCALAQYTTLIGLGIGYVITTALSLGAIERTNCYHKYGHDWPNCSPSTRNYIIEFGAVQMILSQVSNFHKLSFISMVAAIMSFTYSIIGIALSAVRIAGTPVY >itb01g35830.t1 pep chromosome:ASM357664v1:1:37822499:37825224:1 gene:itb01g35830 transcript:itb01g35830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVPEKLIVVNRDHDGVGWIIINRPKSLNSLTKAMMTDLAQGFKSLTADKSVRVIILTATGPSFCSGVDLTAAEDVFKGDVKDVQADPVAQMQLCPKPIIGAIAGFAVTAGFEIALACDILVASNDAKFLDTHARFGIFPSWGLSQKLSRVIGPNKAREVSLTATPLTANEAERLGFVNHVVERSELLKKARQIAEAIIKNNQDMVLRYKAVINDGFNLDLGRALALEKERGHEYYDGMTKEQFQKMQEFIASRRSKKPSSKL >itb02g14010.t5 pep chromosome:ASM357664v1:2:10240344:10252100:1 gene:itb02g14010 transcript:itb02g14010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQEIDLLKNLNHKNIVKYLGSLKTKTHLFIILEYVENGSLANIIKPNKFGPFPESLVAHFIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIELSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPAITDFLRQCFQKDARQRPDAKTLLSHPWLQNSRRALQSSLRHSGTLRNIEEDESVDVGASNQDDHSAVETSSPDNAKDTGSVLPSLQAAEVSKLTETEKSSNDHVEGKVDNLEDDVMSDQVPTLAIHEKPHVQSSSVRFAGNHEAIPSSSTDLHEPQLDEQEKVSGNAILEPSESRMENGGPKKVEERWHALHVDHGSFGFRHNNHGHTSQKAMKALVNSGGNELSRFSDPPGDASLDDLFHPLEKNLENRTPEAFSSASSSHINQDNAYPESGKSNLATKLRATIAQKKMENESGQANGGDLLRLVMGVVKEDVIDIDGLGFDDKLLAENLFHLQAVEFSKLVSSLRPDEPDDVIVSACRKLMAFFPQRPEQIGGFVTQHGLLPLMELLEVPRTRVICSVLQVLNLIIKDNTDFQENACLVGLIPVVMSFATPDRPREIRIEAAYFFQQLCQSSSLTLQMFIANRGIPVLVGFLEADYAKYREMVHMAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASASGGGFPAADVLIPRPRSGSLDPSNSSFMQNDASLYGVDQPDLLKHKHGDHVLPAGAQEPSRTSSSQSPDSRFFSLESERIATAEASGSSKLPDAANVSSKDSASKDRESVDRYKNDVSWAEVDLRQQRGATANRTSTDRPPKFAEGTSNGVSVASATQQENVRPLLSLLEKEPPSRHYSGQLEYVRHLTGLEKHDSILPLLHASSNDKKTNGLDFLMAEFAEVSLRAKENTNTETLPKGTPKAANKKVGQVASTEGTASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADIAREYLEKVADLLLEFAAADTTVKSYMCSQSLLSRLFQMFNRIEPPILLKLLKCINHLSTDPHCLEHLQRADAIKYLIPNLDLKEGPLVPQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMLFIMSGSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNDSRKLEQALLKKDAIQKLVKFFQCCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >itb02g14010.t1 pep chromosome:ASM357664v1:2:10237375:10252100:1 gene:itb02g14010 transcript:itb02g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMTSTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLDNGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLFIILEYVENGSLANIIKPNKFGPFPESLVAHFIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIELSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPAITDFLRQCFQKDARQRPDAKTLLSHPWLQNSRRALQSSLRHSGTLRNIEEDESVDVGASNQDDHSAVETSSPDNAKDTGSVLPSLQAAEVSKLTETEKSSNDHVEGKVDNLEDDVMSDQVPTLAIHEKPHVQSSSVRFAGNHEAIPSSSTDLHEPQLDEQEKVSGNAILEPSESRMENGGPKKVEERWHALHVDHGSFGFRHNNHGHTSQKAMKALVNSGGNELSRFSDPPGDASLDDLFHPLEKNLENRTPEAFSSASSSHINQDNAYPESGKSNLATKLRATIAQKKMENESGQANGGDLLRLVMGVVKEDVIDIDGLGFDDKLLAENLFHLQAVEFSKLVSSLRPDEPDDVIVSACRKLMAFFPQRPEQIGGFVTQHGLLPLMELLEVPRTRVICSVLQVLNLIIKDNTDFQENACLVGLIPVVMSFATPDRPREIRIEAAYFFQQLCQSSSLTLQMFIANRGIPVLVGFLEADYAKYREMVHMAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASASGGGFPAADVLIPRPRSGSLDPSNSSFMQNDASLYGVDQPDLLKHKHGDHVLPAGAQEPSRTSSSQSPDSRFFSLESERIATAEASGSSKLPDAANVSSKDSASKDRESVDRYKNDVSWAEVDLRQQRGATANRTSTDRPPKFAEGTSNGVSVASATQQENVRPLLSLLEKEPPSRHYSGQLEYVRHLTGLEKHDSILPLLHASSNDKKTNGLDFLMAEFAEVSLRAKENTNTETLPKGTPKAANKKVGQVASTEGTASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADIAREYLEKVADLLLEFAAADTTVKSYMCSQSLLSRLFQMFNRIEPPILLKLLKCINHLSTDPHCLEHLQRADAIKYLIPNLDLKEGPLVPQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMLFIMSGSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNDSRKLEQALLKKDAIQKLVKFFQCCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >itb02g14010.t3 pep chromosome:ASM357664v1:2:10237375:10252077:1 gene:itb02g14010 transcript:itb02g14010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMTSTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLDNGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLFIILEYVENGSLANIIKPNKFGPFPESLVAHFIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIELSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPAITDFLRQCFQKDARQRPDAKTLLSHPWLQNSRRALQSSLRHSGTLRNIEEDESVDVGASNQDDHSAVETSSPDNAKAAEVSKLTETEKSSNDHVEGKVDNLEDDVMSDQVPTLAIHEKPHVQSSSVRFAGNHEAIPSSSTDLHEPQLDEQEKVSGNAILEPSESRMENGGPKKVEERWHALHVDHGSFGFRHNNHGHTSQKAMKALVNSGGNELSRFSDPPGDASLDDLFHPLEKNLENRTPEAFSSASSSHINQDNAYPESGKSNLATKLRATIAQKKMENESGQANGGDLLRLVMGVVKEDVIDIDGLGFDDKLLAENLFHLQAVEFSKLVSSLRPDEPDDVIVSACRKLMAFFPQRPEQIGGFVTQHGLLPLMELLEVPRTRVICSVLQVLNLIIKDNTDFQENACLVGLIPVVMSFATPDRPREIRIEAAYFFQQLCQSSSLTLQMFIANRGIPVLVGFLEADYAKYREMVHMAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASASGGGFPAADVLIPRPRSGSLDPSNSSFMQNDASLYGVDQPDLLKHKHGDHVLPAGAQEPSRTSSSQSPDSRFFSLESERIATAEASGSSKLPDAANVSSKDSASKDRESVDRYKNDVSWAEVDLRQQRGATANRTSTDRPPKFAEGTSNGVSVASATQQENVRPLLSLLEKEPPSRHYSGQLEYVRHLTGLEKHDSILPLLHASSNDKKTNGLDFLMAEFAEVSLRAKENTNTETLPKGTPKAANKKVGQVASTEGTASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADIAREYLEKVADLLLEFAAADTTVKSYMCSQSLLSRLFQMFNRIEPPILLKLLKCINHLSTDPHCLEHLQRADAIKYLIPNLDLKEGPLVPQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMLFIMSGSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNDSRKLEQALLKKDAIQKLVKFFQCCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >itb02g14010.t2 pep chromosome:ASM357664v1:2:10237375:10252100:1 gene:itb02g14010 transcript:itb02g14010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMTSTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLDNGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLFIILEYVENGSLANIIKPNKFGPFPESLVAHFIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIELSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPAITDFLRQCFQKDARQRPDAKTLLSHPWLQNSRRALQSSLRHSGTLRNIEEDESVDVGASNQDDHSAVETSSPDNAKDTGSVLPSLQAAEVSKLTETEKSSNDHVEGKVDNLEDDVMSDQVPTLAIHEKPHVQSSSVRFAGNHEAIPSSSTDLHEPQLDEQEKVSGNAILEPSESRMENGGPKKVEERWHALHVDHGSFGFRHNNHGHTSQKAMKALVNSGGNELSRFSDPPGDASLDDLFHPLEKNLENRTPEAFSSASSSHINQDNAYPESGKSNLATKLRATIAQKKMENESGQANGGDLLRLVMGVVKEDVIDIDGLGFDDKLLAENLFHLQAVEFSKLVSSLRPDEPDDVIVSACRKLMAFFPQRPEQIGGFVTQHGLLPLMELLEVPRTRVICSVLQVLNLIIKDNTDFQENACLVGLIPVVMSFATPDRPREIRIEAAYFFQQLCQSSSLTLQMFIANRGIPVLVGFLEADYAKYREMVHMAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASASGGGFPAADVLIPRPRSGSLDPSNSSFMQNDASLYGVDQPDLLKHKHGDHVLPAGAQEPSRTSSSQSPDSRFFSLESERIATAEASGSSKLPDAANVSSKDSASKDRESVDRYKNDVSWAEVDLRQQRGATANRTSTDRPPKFAEGTSNGVSVASATQQENVRPLLSLLEKEPPSRHYSGQLEYVRHLTGLEKHDSILPLLHASSNDKKTNGLDFLMAEFAEVSLRAKENTNTETLPKGTPKAANKKVGQVASTEGTASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADIAREYLEKVADLLLEFAAADTTVKSYMCSQSLLSRLFQMFNRIEPPILLKLLKCINHLSTDPHCLEHLQRADAIKYLIPNLDLKEGPLVPQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMLFIMSGSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNDSRKLEQALLKKDAIQKLVKFFQCCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >itb02g14010.t4 pep chromosome:ASM357664v1:2:10237375:10252077:1 gene:itb02g14010 transcript:itb02g14010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMTSTAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLDNGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLFIILEYVENGSLANIIKPNKFGPFPESLVAHFIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIELSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPAITDFLRQCFQKDARQRPDAKTLLSHPWLQNSRRALQSSLRHSGTLRNIEEDESVDVGASNQDDHSAVETSSPDNAKAAEVSKLTETEKSSNDHVEGKVDNLEDDVMSDQVPTLAIHEKPHVQSSSVRFAGNHEAIPSSSTDLHEPQLDEQEKVSGNAILEPSESRMENGGPKKVEERWHALHVDHGSFGFRHNNHGHTSQKAMKALVNSGGNELSRFSDPPGDASLDDLFHPLEKNLENRTPEAFSSASSSHINQDNAYPESGKSNLATKLRATIAQKKMENESGQANGGDLLRLVMGVVKEDVIDIDGLGFDDKLLAENLFHLQAVEFSKLVSSLRPDEPDDVIVSACRKLMAFFPQRPEQIGGFVTQHGLLPLMELLEVPRTRVICSVLQVLNLIIKDNTDFQENACLVGLIPVVMSFATPDRPREIRIEAAYFFQQLCQSSSLTLQMFIANRGIPVLVGFLEADYAKYREMVHMAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASASGGGFPAADVLIPRPRSGSLDPSNSSFMQNDASLYGVDQPDLLKHKHGDHVLPAGAQEPSRTSSSQSPDSRFFSLESERIATAEASGSSKLPDAANVSSKDSASKDRESVDRYKNDVSWAEVDLRQQRGATANRTSTDRPPKFAEGTSNGVSVASATQQENVRPLLSLLEKEPPSRHYSGQLEYVRHLTGLEKHDSILPLLHASSNDKKTNGLDFLMAEFAEVSLRAKENTNTETLPKGTPKAANKKVGQVASTEGTASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSHMVSPWNADIAREYLEKVADLLLEFAAADTTVKSYMCSQSLLSRLFQMFNRIEPPILLKLLKCINHLSTDPHCLEHLQRADAIKYLIPNLDLKEGPLVPQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMLFIMSGSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNDSRKLEQALLKKDAIQKLVKFFQCCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >itb08g07670.t5 pep chromosome:ASM357664v1:8:6584934:6593741:1 gene:itb08g07670 transcript:itb08g07670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFEMIIARAEIGEFVEYDLDNEDEDWLHEFNRERKILPAEKFETILYKLEVLDHKSRERAGVITPTLVSHIPVLLSFDAAVEALQSISTTYGVFQSIYSYWKDKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSLALPGFHSFPSKVGSSEDEFVDSDGVAASRQHVRPSMVQSMPFADSKMVAVSTGSIRREFKRRQTPSGWLQKLDPNEPVLLFTKPLDPEKLAAAGIMPPTDSSMANVISGRPFNFRGRIGRGGRLVFDRWNPLMHTPIECGDTLYLPPKPRPATHHR >itb08g07670.t3 pep chromosome:ASM357664v1:8:6579929:6593733:1 gene:itb08g07670 transcript:itb08g07670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVALECGLDHAETEVQQVSAKKLASEIPTPEFVIVDTYERDYSRTFSQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNRERKILPAEKFETILYKLEVLDHKSRERAGVITPTLVSHIPVLLSFDAAVEALQSISTTYGVFQSIYSYWKDKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSLALPGFHSFPSKVGSSEDEFVDSDGVAASRQHVRPSMVQSMPFADSKMVAVSTGSIRREFKRRQTPSGWLQKLVLACSSYNLLLSISPPWV >itb08g07670.t4 pep chromosome:ASM357664v1:8:6579929:6593733:1 gene:itb08g07670 transcript:itb08g07670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVALECGLDHAETEVQQVSAKKLASEIPTPEFVIVDTYERDYSRTFSQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNRERKILPAEKFETILYKLEVLDHKSRERAGVITPTLVSHIPVLLSFDAAVEALQSISTTYGVFQSIYSYWKDKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSLALPGFHSFPSKVGSSEDEFVDSDGVAASRQHVRPSMVQSMPFADSKMVAVSTGSIRREFKRRQTPSGWLQKLDPNEPVLLFTKPLDPEKLAAAGIMPPTDSSMANVISGRPFNFRGRIGRGGRLVFDRWNPLMHTPIECGDTLYLPPKPRPATHHR >itb08g07670.t1 pep chromosome:ASM357664v1:8:6583804:6593741:1 gene:itb08g07670 transcript:itb08g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSIRPRPLDFNKKLPIVKSVKDFEDDIDTPTNTRNSQILRLQAEATDTEVQQVSAKKLASEIPTPEFVIVDTYERDYSRTFSQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNRERKILPAEKFETILYKLEVLDHKSRERAGVITPTLVSHIPVLLSFDAAVEALQSISTTYGVFQSIYSYWKDKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSLALPGFHSFPSKVGSSEDEFVDSDGVAASRQHVRPSMVQSMPFADSKMVAVSTGSIRREFKRRQTPSGWLQKLDPNEPVLLFTKPLDPEKLAAAGIMPPTDSSMANVISGRPFNFRGRIGRGGRLVFDRWNPLMHTPIECGDTLYLPPKPRPATHHR >itb08g07670.t2 pep chromosome:ASM357664v1:8:6579929:6593733:1 gene:itb08g07670 transcript:itb08g07670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVALECGLDHAETEVQQVSAKKLASEIPTPEFVIVDTYERDYSRTFSQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNRERKILPAEKFETILYKLEVLDHKSRERAGVITPTLVSHIPVLLSFDAAVEALQSISTTYGVFQSIYSYWKDKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSLALPGFHSFPSKVGSSEDEFVDSDGVAASRQHVRPSMVQSMPFADSKMVAVSTGSIRREFKRRQTPSGWLQKLVLACSSYNLLLSISPPWV >itb08g07670.t6 pep chromosome:ASM357664v1:8:6583804:6593735:1 gene:itb08g07670 transcript:itb08g07670.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSIRPRPLDFNKKLPIVKSVKDFEDDIDTPTNTRNSQILRLQAEATDTEVQQVSAKKLASEIPTPEFVIVDTYERDYSRTFSQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNRERKILPAEKFETILYKLEVLDHKSRERAGVITPTLVSHIPVLLSFDAAVEALQSISTTYGVFQSIYSYWKDKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSLALPGFHSFPSKVGSSEDEFVDSDGVAASRQHVRPSMVQSMPFADSKMVAVSTGSIRREFKRRQTPSGWLQKLVLACSSYNLLLSISPPWV >itb07g14130.t1 pep chromosome:ASM357664v1:7:16586757:16590778:1 gene:itb07g14130 transcript:itb07g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKALTICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPASLAWADRIQFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTVGCFLPKDSPLRQDPAYYVLSEDTSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFIYIKDAIEAVVLMIENPGRANGHIFNVGNPNNEVTVRQLAEMMTQVYSKVSGEASLASPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKALSKPTAS >itb14g07490.t1 pep chromosome:ASM357664v1:14:6918234:6922070:-1 gene:itb14g07490 transcript:itb14g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGEKANFEMLSFEAPKIRLLRSLYIEGSDEMQVLDFAVFPRIEYDLPIFCANFFTTAKMNIILLPWGGKLTSESLRFFSPIVLWTKFSSGPHNHSILYSAFKDYYKAWLNLIDRAVEDTDASQIICNREAQHRYLTWRAEKDPGHGLLKRLIGETLAKELTMNFLFNGTGELGSKSFLDYFPEYKREDGSINEKRSMVGKSFENRPWDAEGNFIGDTTPQHNSSLTNLDH >itb01g23110.t1 pep chromosome:ASM357664v1:1:29166657:29172106:-1 gene:itb01g23110 transcript:itb01g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MEEKFKDIGTPPPASFSTPQKAAEAPPSDPPTSRRRGGGQKRKASALGSAGTSTPLSTSSKRQAREKAPAVPFPPIHNGPCTRARQQPSNAASVASPSNSGVRSEADAALLHRVGGGELRKTEEVSIEAKEDLEALEAKIEAEFEAIRSRDANAHVVPSHAGWFSWTKVHSLEEQTMPSFFNGKSPNRTPEMYMEIRNLIMRKYHADPNTRIEVKDLSELSTGVLEARQEVMEFLDYWGLINYHPFPQPDSAMNVDTNVEEAPKDDSLLDKLFRFESEATWTPVVPRANMTTPALTSGLFPESTLIEELGKSEGPSVEYHCNSCSGDCSRKRYHCQKQADFDLCTECFNNGKFDRDMAPSDFILMEPAEAGGASSGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVEMPIEDMFLDGDNKIDGILNTDVSVNDDNSASKGGPETTESKESKDDGNENQPASSSIEALKPDDVNDSNAEQEYGENIALKALREAFVAIGSFPSPGERLSFAEAGNPVMALAAFLVKLVEANVATASVRSSLKAVSGEQLAARHCFLLEDPPDDKKSSNSDRAVTDSTEPEVQQDEQRNNKQHEEPNLVNGKIDSSVEQNKENKQAEENNEKGETMEKKKQSEAGESSVKGQGEETLSHCEHSEKSESRKGTDVKVNDMVVESLHVNGRDEADLQKQETPSTGEGSDTQKSKVEPPSSSTKECEDGASVAIPSHSVDSPKDEDMMPATEKKEPEQSMSMVENKVKSTGEEKECKIEKKDASNKNDLDIDKIKHAAVTALSAAAVKAKFLAEQEEDQIRKLATSLIEKQLHKLETKLGFFSEMENTLVRVREQLDRSKQKLFHERAQIIASRFGISGSSARPMSQPLPANKPGMTFPGAAPRPLTGMGSAIRPPISRPLMASMPAPSSFMPTAVAGSSVQPSNTDKVSSVGNK >itb01g23110.t2 pep chromosome:ASM357664v1:1:29166657:29172106:-1 gene:itb01g23110 transcript:itb01g23110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MEEKFKDIGTPPPASFSTPQKAAEAPPSDPPTSRRRGGGQKRKASALGSAGTSTPLSTSSKRQAREKAPAVPFPPIHNGPCTRARQQPSNAASVASPSNSGVRSEADAALLHRVGGGELRKTEEVSIEAKEDLEALEAKIEAEFEAIRSRDANAHVVPSHAGWFSWTKVHSLEEQTMPSFFNGKSPNRTPEMYMEIRNLIMRKYHADPNTRIEVKDLSELSTGVLEARQEVMEFLDYWGLINYHPFPQPDSAMNVDTNVEEAPKDDSLLDKLFRFESEATWTPVVPRANMTTPALTSGLFPESTLIEELGKSEGPSVEYHCNSCSGDCSRKRYHCQKQADFDLCTECFNNGKFDRDMAPSDFILMEPAEAGGASSGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVEMPIEDMFLDGDNKIDGILNTDVSVNDDNSASKGGPETTESKESKDDGNENQPASSSIEALKPDDVNDSNAEQEYGENIALKALREAFVAIGSFPSPGERLSFAEAGNPVMALAAFLVKLVEANVATASVRSSLKAVSGEQLAARHCFLLEDPPDDKKSSNSDRAVTDSTEPEVQQDEQRNNKQHEEPNLVNGKIDSSVEQNKENKQAEENNEKGETMEKKKQSEAGESSVKGQGEETLSHCEHSEKSESRKGTDVKVNDMVVESLHVNGRDEADLQKQETPSTGEGSDTQKSKVEPPSSSTKECEDGASVAIPSHSVDSPKDEDMMPATEKKEPEQSMSMVENKVKSTGEEKECKIEKKDASNKNDLDIDKIKHAAVTALSAAAVKAKFLAEQEEDQIRKLATSLIEKQLHKLETKLGFFSEMENTLVRVREQLDRSKQKLFHERAQIIASRFGISGSSARPMSQPLPANKPGMTFPGAAPRPLTGMGSAIRPPISRPLMASMPAPSSFMPTAVAGSSVQPSNTDKVSSVGNK >itb11g22280.t1 pep chromosome:ASM357664v1:11:24177758:24182342:-1 gene:itb11g22280 transcript:itb11g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFDNRGVAVSFFKYVFQDYSQGMVQSGCIAAHLLAAMEMRLMAQDVLSWIVRRIGKCRSDEVVEFMWGEHNLYESDYSVLDSLMRAFLSAEMIPGALKILSMMREAGAQPSLSAVSILFKLLLRFRDCGSVWKLFRDMLHKGPCPNLYVYNVMILGFCKSGCLQIGESLLHLMRKFGCEPDAITYNILINAYCMKGWTSDALNWVHLMIEHGCNPSSITFGTIVNALCKEGNIIEARKIFDGMQEIGVSANTEIYNALMDGYVKAREIDQANALFEEMIKKGVVPDGITVNTLVAGYYKYRREEHADRLLKDLTMMELIPDCSLTDGRMQSAIDTYIEMQQSGVKPDIVTYNTLIDGFIKAFDMANADNLVSKLFASGWEPDITTYNIRLHGFCTSRKINRAVMMLDELISAGLVPNTVTYNTMMSGACNDILDRAMILAAKLVKMAFIPNIVTANLLLSQLCKQGLPQRALMWGQKLSQIGIEFDEITYKILDRAFFEIQDYTDCTKGMTEKSLFLDILMYITCDYLYRNRANYDRSDYNFEIVDGPGGSLKLVNRVDDFDAFKERILGSIEKKPLPFADNSGAGIALGLLPLASSWELL >itb11g22280.t2 pep chromosome:ASM357664v1:11:24177758:24180695:-1 gene:itb11g22280 transcript:itb11g22280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAIDTYIEMQQSGVKPDIVTYNTLIDGFIKAFDMANADNLVSKLFASGWEPDITTYNIRLHGFCTSRKINRAVMMLDELISAGLVPNTVTYNTMMSGACNDILDRAMILAAKLVKMAFIPNIVTANLLLSQLCKQGLPQRALMWGQKLSQIGIEFDEITYKILDRAFFEIQDYTDCTKGMTEKSLFLDILMYITCDYLYRNRANYDRSDYNFEIVDGPGGSLKLVNRVDDFDAFKERILGSIEKKPLPFADNSGAGIALGLLPLASSWELL >itb13g09750.t1 pep chromosome:ASM357664v1:13:14041786:14046860:-1 gene:itb13g09750 transcript:itb13g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGLPFSQGSIDLNIAAKDVGLILSQEIQFGSLVPALSRTHESRDSLSDNGENGESEGNEVADNGENGESEGNEVVDKRNMKVTKNLFSNQNNSRPSFSIRVSNQNNSRPSFSIREPEGDDCLLEMLESDSDIIDLGEDEVFNIEEEKFFCLLGRFAGRFPGVFAIYQLINYWKVNCKLEKVANGHVIFWFKNEADRDKVAGEGPYFVYGKRLFLDFLPDGFVLKNEDFCVLPIWIRLPGLPKKCWHVKALSKIATRIGKPIFMDRHTKEMKKSDFARILVDVDCSFKPCDSITFRMPDGSLWTQKVTFRMPDGSLWTQKVFYEYLPLFCSRCGGEKHVNASCPLSRVERNLRHREKDKALHSPVKDAQNLEDDVIPYEIQNQNLEDDVIPYEIQKSLNIPSGTDALEMRPEKSDSIPLTFEKGETSHANLKGVFGDKESLSNEGEHCLVGNSGEDHVNQQGLCDKDSNICNEVADPFGVLCDSDHLNLPPDGPNYKSSKYSKDLATGGNVKQKRKKKEKDQLNSLKDFFADLEDTPVPPRDNLVAGGGGDFNTVLSSLERRGSVEPSRYDIGDFEYCCMNLGLRDAFSTGNHFTWSNGSKEAKLDRCLINEYWLLNNLACHAEFKNMVSTSDHSQIIIKVFGQHKSGNRPFKFMNMWLKHPNFKKVVEDTWSNPVEGTKQFIIASYLKALKAPLKSLNKMEYGYISERVKRANEEFTIAHESLDVISATEFEREQVRILREKALFLMEAERMYFSQKLNTKHLLESDRSSRYFHNLVNKRNSAKVIPSILDNNGVPTTSLEQDKDFWSWTPTRNDSALMRSLTRIRDLLVRKCGGQSECILSLSKCVGPGGLSSSEVFEIIRPRAHKSFVFKTIWRGFIPPKFAFTSWLCLRNRLPTKDNLKFLDIEDKCTLCGKEPENVNHLFFSCDFSKQVWEEVRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb13g07300.t1 pep chromosome:ASM357664v1:13:9073505:9074470:1 gene:itb13g07300 transcript:itb13g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNATTASSIFTKFLSEKIRSTAATPLISRLFSTSSTDSGSGPDDPPIVLQGAPQRFKMENPFQTEGPGNVIHADNVKEGTLVRVSMPGVGPDGFKVWAEKNTLYFAGKGEIEMEGEESGRNYGGSLKYKPEINRVQDFKAEMKNGILKLFVPRAGSAQDKEDS >itb03g25630.t1 pep chromosome:ASM357664v1:3:24801500:24804082:-1 gene:itb03g25630 transcript:itb03g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTVKVSNVSLSASEEDIMEFFSFSGEIEYVEMQSANERSETAYVTFMDPQGAETALLLSGSTIVDQSVTIELDPEYKLPPNVLPGSTATENQPVSAADSVILTAEDVVSSMLAKGFILGKDALNKAKDFDEKHQFTSTASATVASFDQKVGFTEKIGIGATIVNEKVKEMDQKLQVSEKTKSAFAVAEQSVSSAGSAIMKNRYILTGATWVTGAFSRVTKAAEEVGQKTKEKMVEEEQGRNAYASEGYAQINTSSESSKAPTTQESRKS >itb06g09770.t1 pep chromosome:ASM357664v1:6:14001639:14002893:-1 gene:itb06g09770 transcript:itb06g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHIDDNAIIELVSASHNPDGTDFDINVALSVAETILDFETATDREAMEGKLKELGLNYKNVPFKITTTLLGGYKKGLTKQLTFVTQATNPTATSYKQNPFNDSIKHALDLTRCIVELKQSASYSLPQPVISAMPIASYWIGRSVVTNATYCPGLLTAGVK >itb12g00980.t1 pep chromosome:ASM357664v1:12:694822:696756:-1 gene:itb12g00980 transcript:itb12g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDKDEASSSSYVLQIPREDTPLLSDHKRLSSSFKTFANVFIAIVGAGVLGLPYTFKRTGWVMGVLMVFSVSALTYYCMMLLIHSRRKLESHPKALKLSSFGDLGFAVCGGVGRFAVDAMIVLSQAGFCVSYMIFISNTLAYIFNYATVSNPDPRILGISPKIVYIWGCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEDVLMYLQTRPVLQAFGGFSVFFYGLGVAVYAFEGVGMVLPLESEMRDKAKFGKVLGLSMALISLMYGGFGVLGYFAFGDETKDIITTNLGQGLLSCLVQIGLCINLFFTFPLMMNPVYEVLERRFCEGRYSLWLRWAGVLSVSLVALLVPNFADFLSLVGSSVCILLGFVLPSLFHLIVFKDELSLLGLASDGALIVMGICFAAYGTFSSIMEIFAVKA >itb07g18490.t1 pep chromosome:ASM357664v1:7:22959979:22960302:1 gene:itb07g18490 transcript:itb07g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVSKVVEDLYNFMKDKFQQELSAALGVEKEIQNLSSKLNKIRQVLDDAERKSFKEKHLKLWLQDIQAFCYDVEDVLDEWRITTPLLRLWMHQRFKVGILMPVM >itb14g02660.t1 pep chromosome:ASM357664v1:14:2281877:2287200:-1 gene:itb14g02660 transcript:itb14g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDGGSSSSAASRSAPERPTITLPPRSSVESLFTGGFMSGISPGPMTLVSNFFSEGDSYSECPSFSQLLAGAMASPAAFGGVRPPPPPQPVEAKEESAAGSGGDSDFRLKHNRPAGLAITQQSMFTIPPGLSPTTLLDSPGFSALFSPGQGAFGMSHQQALAQVTTQAAQAQVQMHIQPDYSSSSAAPATSYSQLQTIASNATINQQVPSQASDHNIMKESSEVSHSDQRIEPASFPVDKPADDGYNWRKYGQKHVKGSEFPRSYYKCTHPKCPVKKKVERSLEGQITEIIYKGQHNHQPPQNRKGAKDPGNSNGPYALQGGSELSSEGLTANFNKPKDQESSQATHEHASGSSESEEVGDAETRADGGDDDERESKRRAIEMQVPDPATSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTSPGCNVRKHIERAANDPKAVITTYEGKHNHDVPAARNSSHNTSNNNTGPQLRPHNVAGQQQAALGTDYSSNEQQVALLRFKEEQIT >itb14g02660.t2 pep chromosome:ASM357664v1:14:2281877:2287180:-1 gene:itb14g02660 transcript:itb14g02660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDGGSSSSAASRSAPERPTITLPPRSSVESLFTGGFMSGISPGPMTLVSNFFSEGDSYSECPSFSQLLAGAMASPAAFGGVRPPPPPQPVEAKEESAAGSGGDSDFRLKHNRPAGLAITQQSMFTIPPGLSPTTLLDSPGFSALFSPGQGAFGMSHQQALAQVTTQAAQAQVQMHIQPDYSSSSAAPATSYSQLQTIASNATINQQRIEPASFPVDKPADDGYNWRKYGQKHVKGSEFPRSYYKCTHPKCPVKKKVERSLEGQITEIIYKGQHNHQPPQNRKGAKDPGNSNGPYALQGGSELSSEGLTANFNKPKDQESSQATHEHASGSSESEEVGDAETRADGGDDDERESKRRAIEMQVPDPATSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTSPGCNVRKHIERAANDPKAVITTYEGKHNHDVPAARNSSHNTSNNNTGPQLRPHNVAGQQQAALGTDYSSNEQQVALLRFKEEQIT >itb03g03830.t1 pep chromosome:ASM357664v1:3:2287598:2288955:1 gene:itb03g03830 transcript:itb03g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITNLRDLIGVVKDKASQSKLALVSKPNTLSLRLAVLRVTAHSPNAPPDDRYLSSLLSLGDSSRAIASTLICALMDRLHRTGDSTVALKCLLTIHHVIKRGPFILQDQLSIFPAAGGRNYLKLSAFRDGATAATWILSAWVRFYARYLETLLFASRVSGYFLCSSSCAAAKDKQIEKLTSLMNSDLIGDVNSLIGLMEEMCKAPDSLLLEGNKLLYEVVGLLSSDYLSLTNELLPRLGELKERLGRLSFADSVELACDLKRLEDCKERLSGLFTVAKPPVQNLWSLVQNLREQIEMLKVCREGRLLTFGTPESKSARFDHRVSKFCNSVRFSSGKYDEINKFALVVVEP >itb13g08890.t1 pep chromosome:ASM357664v1:13:11764148:11769953:-1 gene:itb13g08890 transcript:itb13g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSSSPSFFSSLGIAILMLPAFRLIPSSYSLPFIVLHGIGDQCSNKGVKQFTEELSEWSKSDGYCLEIGNGAWDSWFMPLEDQTEVVCKKVKEMKELQQGYNIVGLSQGNLIGRGVVEFCYGGPPVKNFISLGGPHAGTASVPLCGSGIFCIIADTLIKSEVYSDYVQSHLAPSGYLKLPNNIPGYLESCRFLPKLNNELPDQKNSTYKERFSSLQNLVLIMFEHDTVLIPKETSWFGYYPDGEFKPVLPPQQTQLYIEDWIGLKTLDEAGRVKFVNVSGNHLGISKSDMKKFVVPYLEDESSENDAAGSHYSLDEQVVIEGLPSGWTFRAKSFFTRLTGLGKDEP >itb05g20230.t3 pep chromosome:ASM357664v1:5:26404679:26408293:-1 gene:itb05g20230 transcript:itb05g20230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSEVVLRKRTQLSKRLSLKSSPRKPPKSGPKDGDYSDVCCEECGSGEREDELLLCDKCDRGFHLFCLRPVIASVPEGSWICPSCSNIKNVAKFPLIQTKIVDFFCIQKSSESIDKLSQGSQKKRKRSSGLGRSKKRRKLLAFNPTRDPTRRLEQMASLATALTAAGAEFSNELTYISGVAPREANRAALEREGMQVLPKEDAETLNLCKIMIERGEWPPLMVVFDPIEG >itb05g20230.t1 pep chromosome:ASM357664v1:5:26404679:26408293:-1 gene:itb05g20230 transcript:itb05g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSEVVLRKRTQLSKRLSLKSSPRKPPKSGPKDGDYSDVCCEECGSGEREDELLLCDKCDRGFHLFCLRPVIASVPEGSWICPSCSNIKNVAKFPLIQTKIVDFFCIQKSSESIDKLSQGSQKKRKRSSGLGRSKKRRKLLAFNPTRDPTRRLEQMASLATALTAAGAEFSNELTYISGVAPREANRAALEREGMQVLPKEDAETLNLCKIMIERGEWPPLMVVFDPIEGFTVEADRFIRDLTIITEYVGDVDYLKNCENDNGDSMMTLLHAADPSKSLVICPDKRSNIARFINGINNHSPDGRKKQNVKCVRFDVNGECRVLLIASRDIAKGERLYYDYNGYENEYPTEHFV >itb05g20230.t2 pep chromosome:ASM357664v1:5:26404679:26408293:-1 gene:itb05g20230 transcript:itb05g20230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSEVVLRKRTQLSKRLSLKSSPRKPPKSGPKDGDYSDVCCEECGSGEREDELLLCDKCDRGFHLFCLRPVIASVPEGSWICPSCSNIKNVAKFPLIQTKIVDFFCIQKSSESIDKLSQGSQKKRKRSSGLGRSKKRRKLLAFNPTRDPTRRLEQMASLATALTAAGAEFSNELTYISGVAPREANRAALEREGMQVLPKEDAETLNLCKIMIERGEWPPLMVVFDPIEGFTVEADRFIRDLTIITEYVGDVDYLKNCENDNGDSMMTLLHAADPSKSLVICPDKRSNIARFINGINNHSPYALPFTQSVGFLYIHHCTSAPC >itb02g09200.t2 pep chromosome:ASM357664v1:2:5850932:5852861:-1 gene:itb02g09200 transcript:itb02g09200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSVNNGDLFNDSYNSESKTSALSAKIAALEQENHQLVHENELIKERVEKLHQSIDDSTNEKAELQKMVEESEYETRALRSITARSAELEGEVSQLRNGLDKALNDLEELSSEASLLRSTVAGLKSSENEKDIKIQAIEEENNLLILKVEKLEASRDDQKVEKEAKELHIIDLKNKLVNLEASVVINHGWDKEKAELEMAKKEVEARLDEMKGRLLEIEKKLEDKERVIADVHTNGNGKVAEGKNVCTFGFKVEWPLVTGSAIAAIALATTVIYLRNTRKT >itb02g09200.t3 pep chromosome:ASM357664v1:2:5850932:5852861:-1 gene:itb02g09200 transcript:itb02g09200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSVNNGDLFNDSYNSESKTSALSAKIAALEQENHQLVHENELIKERVEKLHQSIDDSTNEKAELQKMVEESEYETRALRSITARSAELEGEVSQLRNGLDKALNDLEELSSEASLLRSTVAGLKSSENEKDIKIQAIEEENNLLILKVEKLEASRDDQKVEKEAKELHIIDLKNKLVNLEASVVINHGWDKEKAELEMAKKEVEARLDEMKGRLLEIEKKLEDKERVIADVHTNGNGKVAEGKNVCTFGFKVEWPLVTGSAIAAIALATTVIYLRNTRKT >itb02g09200.t1 pep chromosome:ASM357664v1:2:5850932:5852861:-1 gene:itb02g09200 transcript:itb02g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSVNNGDLFNDSYNSESKTSALSAKIAALEQENHQLVHENELIKERVEKLHQSIDDSTNEKAELQKMVEESEYETRALRSITARSAELEGEVSQLRNGLDKALNDLEELSSEASLLRSTVAGLKSSENEKDIKIQAIEEENNLLILKVEKLEASRDDQKVEKEAKELHIIDLKNKLVNLEASVVINHGWDKEKAELEMAKKEVEARLDEMKGRLLEIEKKLEDKERVIADVHTNGNGKVAEGKNVCTFGFKVEWPLVTGSAIAAIALATTVIYLRNTRKT >itb02g23160.t1 pep chromosome:ASM357664v1:2:22953543:22954037:1 gene:itb02g23160 transcript:itb02g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIIFFSITLLFTSGAAINITTVTFPLVNRNSANTNSVLDATSPAVPVPSSSSSPTNSPPATPTPTNAPPTTPTSAPPSPPSPPSPNSAAPTSPTNPPQPAGPITPAAPSPRKILSPPLPPNIVTPAASPAPTTDDDSSVGRSLEVSAGIIVAANLFLMLMA >itb02g11970.t1 pep chromosome:ASM357664v1:2:8000483:8004901:1 gene:itb02g11970 transcript:itb02g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLRRKVASGAASAPTLGKSLRRIQPGAATPRVCCAAREESFIHPRGCYQAQNFCQLVLGHSMDMRPQRGIMTNAHQSGILQNWSRPYCSSGGDLVDAVVPFMGESISDGTLATFLKKPGDRVAVDEAIAQVETDKVTIDVTSPEAGIIQEFVAKEGDTVEPGTKVAVISKSSEGVNHVAPSEKAAPQPSDPVEQKEKPMTKVEAPPVKEKPKESSPPPPKTSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFIKAAVSALQNQPVVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIREADKMNFAEIEKTMNTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGNIVPRPMMYIALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDV >itb05g04610.t1 pep chromosome:ASM357664v1:5:4244267:4245440:-1 gene:itb05g04610 transcript:itb05g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPQDSDQREDWPRRSTTWPPSWPSTELGHAWYDLLLVFFEDVGFPALDIKVKQLKPRRLHISWRFLLGIVIFEGVMHGV >itb09g10740.t1 pep chromosome:ASM357664v1:9:6629813:6631222:1 gene:itb09g10740 transcript:itb09g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSVLMQRYEVGKLLGQGTFAKVHHARNLETGASVAIKIVDKEKIFKVGMMEQIKREISVMRMVRHPNIVQLYEVMASKTKIYIVMEYAKGGELFNKVAKGKMKGDVARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDDNGNLKITDFGLSALAESKRQDGLLHTTCGTPAYVSPEVINRRGYDGAKADIWSCGVILYVLLSGYLPFHDSNLMEMYRKICQAEFTYPKWFSSDACKLISKILDPNPNTRIPISKIMGTHWFRKGFNLKSAKPHEDTGLRDLEAKAADDAIFDHCENAANTTAEAKQESSKLTNLNAFDIISFSSGFDLSGLFEGSDQKSESRFTSMQPAQTIITKLEDIARKLKLKLTKKDGGCLKLEGSKLGRKGVLSIDAEIFEVTPAFHLVEMKKSNGDTLEYRKMMKQEIRPALKDIAWIWQGDELQQAEQKEGQPADMHSCQIPPLAVN >itb12g26150.t1 pep chromosome:ASM357664v1:12:27160035:27163652:1 gene:itb12g26150 transcript:itb12g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKQIHSESSNSPDEVLPVLIHSTSTNGVESFDSVKEEEEDDDLKRQDSSTSFNFPNHSSQVSGAYIDESPNIFNTEKKNITENLIPSPESARKNQSEHCRFYSVPSPEDSPAFSAPVVTANHEDSPAISAPVASEESALPKDMKWPFLLRFPISSFGICLGVSSQAIMWKALATASSTQFLHINLDVNLVLWCISVALVAIVAFIYALKVVFYFEAVRREYYHPVRVNFFFAPWITLLFLALGVPPPITESLHKSLWYVLMAPIFCLEVKLYGQWMSGGGRRLSKVANPSNHLSIVGNFVGALLGATMGLKEGPIFFFAVGLAHYAVLFVTLYQRLPTNDTLPKELHPVFFLFVAAPSVASLAWAKIQGSFDSGSKIAYFIALFLYVSLAVRINFFRGFKFSLAWWAYTFPMTGAGMATIKYSSVVTNTVSKCVAVIFCGISTLTVTALLVTTIIHAFVLRDLFPNDNAIAISDRSQTQLKRHHRKNGNSGQKDTYLRVVDDSADIKDIEAALSPPIPTGTSNLRNECR >itb11g11820.t1 pep chromosome:ASM357664v1:11:8694032:8698189:-1 gene:itb11g11820 transcript:itb11g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFQRLLRIRHRSNSHRVVSPFSHNLLIFVNDLLCFFQCPFARNAKFSTFDGVFFDDEMMKKIVREGKWEDSRILRLFDSALAPIWVSRILVELKREPRLALNLFNWAKTRSSFRHTTESYCLIAHILFCSRMYNDASSVLKELISLNNGNKAAIPCSHILDILWSTRNVCVPGYGIFDAFFSVLVELGFLEDAYGCFLRMRSFRVFPKIRSCNHLLDRLWKAGDQDFSWRFFEDLIAAGPSPNVYTYNIMIGYLCKEGDLDAARRLFVQMKENGVNPDVVTYNSLIDGTGKLGELQEMVSIYEEMKEAGCPPCVVTYNTLINCFCKYDKMDLAFNYLNEMKKSSLKPNVVTYSIFVDAFCKEGMLQEAMKFFIDMRRVGLIPNEFTYTSLIDANCKAGKMGEVLILVKEMLEVGFELNIVTYTALLDGLCEEGKLRDAEEVFRIILKDGIIPNEKLYTALAHGYMKSKKLEDAMNILEQMKEKNIRPDVLLYGTIVWGLCNQGKFEDAKIFFHEMEGQGIEATSVVYTTLIDAWFKAGKSTEARNLLSEMQKRNIAPTVVTYCAVIDGLCSSGFVQEAIDHFNNMPNIGLQPNLMVYTALIDGLCKNKFLEAAKNLFDEMLDKGLLPDKAAYTSLIDGNMKQGNVQDALLLKKRMIENGIELDLVAYSCLICGLSRNGQVEQARCLLDEMIEKGVSPDGIIYQCLIRKYYELGNTDEALFLQSEMVKRGCTAIKSENDVQNTSKLGNTDEALFLQNELVKSGSTNVTKCSGSFEHELCCLPKETTCVFVRCSGQTHRHAYGCVITLVFQEIHVIVKYLGRACRIMLKHFLLVSSQPATKHYNN >itb14g00790.t2 pep chromosome:ASM357664v1:14:551919:555956:-1 gene:itb14g00790 transcript:itb14g00790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNTNKITMILIYAVLEWTLICLLLLNSLFSYSIVKFAEYFGLKPPCFWCCRGEKSRNLLCESHSREISKLGFCETHRRLVESKAMCGDCSESMEDFQGFRLIPWMKEVENGEKCSCCGVGLEGTTFGSPYIVIKSSWDQLMIKGAEVDEGDHGEKGENSEEKWSDFEVARWENEDQVGIFDEKEGKEDLVLENAVLEDNNSERVMKDQGVQVEFSVESLPKHLEFFIDCSGNGLVPIELIDSMAETEEETKDPKEANLDEKLEKSGEEHKFSVFESMEIEEDENSLVFYAKDCFSEREGFAQFSKSDNELQILGSEEQQDKVSEEYSQMQINETEAEVSIGTEIPDLDIADDLHCSNEDPSTSSTNFIEVEDHGCKQDEEQTIELRSLSVDLNENAMSLNEAEETIELRALSVDLNENAMSLNEAEEEKVPDTPSSVDSLHRLHRKLLLLEKKDSASEESLDGSVIGDLDGLDPVSTIERLKTALKSERKALHAVYTELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMDEQSEYDQEALQLLNELMVKREKERQELEKELEVYRKRVLEFEAKEKVAVLKRSKDGSARSAFSSASCSNGEEDSDGLSIDLNQEAKEDESFYAHQESTPADEVLNFEDSLADFEGERMAILEQLKVLEEKLITMDYEEVGEMEDLKAGEINGHSNGHANGFAKETNGKHQILSSMGKSLLPLFDDAISDENGDVALHENGFYSNGVHHDSDITGDYDLQNNKRVALEEELDCLHERLQALEADREFLKHCISSLKKGDKGMDLLQEILQHLRDLRNVDLRVRNLSDGCLV >itb14g00790.t1 pep chromosome:ASM357664v1:14:551919:555956:-1 gene:itb14g00790 transcript:itb14g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNTNKITMILIYAVLEWTLICLLLLNSLFSYSIVKFAEYFGLKPPCFWCCRGEKSRNLLCESHSREISKLGFCETHRRLVESKAMCGDCSESMEDFQGFRLIPWMKEVENGEKCSCCGVGLEGTTFGSPYIVIKSSWDQLMIKGAEVDEGDHGEKGENSEEKWSDFEVARWENEDQVGIFDEKEGKEDLVLENAVLEDNNSERVMKDQGVQVEFSVESLPKHLEFFIDCSGNGLVPIELIDSMAETEEETKDPKEANLDEKLEKSGEEHKFSVFESMEIEEDENSLVFYAKDCFSEREGFAQFSKSDNELQILGSEEQQDKVSEEYSQMQINETEAEVSIGTEIPDLDIADDLHCSNEDPSTSSTNFIEVEDHGCKQDEEQTIELRSLSVDLNENAMSLNEAEETIELRALSVDLNENAMSLNEAEEEKVPDTPSSVDSLHRLHRKLLLLEKKDSASEESLDGSVIGDLDGLDPVSTIERLKTALKSERKALHAVYTELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMDEQSEYDQEALQLLNELMVKREKERQELEKELEVYRKRVLEFEAKEKVAVLKRSKDGSARSAFSSASCSNGEEDSDGLSIDLNQEAKEDESFYAHQESTPADEVLNFEDSLADFEGERMAILEQLKVLEEKLITMDYEEVGEMEDLKAGEINGHSNGHANGFAKETNGKHQILSSMGKSLLPLFDDAISDENGDVALHENGFYSNGVHHDSDITGDYDLQNNKRVALEEELDCLHERLQALEADREFLKHCISSLKKGDKGMDLLQEILQHLRDLRNVDLRVRNLSDGCLV >itb10g21170.t3 pep chromosome:ASM357664v1:10:26477285:26483448:1 gene:itb10g21170 transcript:itb10g21170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGFDVGNENCVIAVVRQRGIDVILNEESNRETPAVVSFGEKQRFLGSAGAASATMNPRSTISQLKRLIGRKYTEPSVQNDLKLFPFETSEGADGGILIHLQYMHEKHSFSPIQILAMLFAHLKQIAEKNIETQVSDCVIGVPSYFTDLQRRCYLHAAEIGGLKPLRLIHDCTATALGYGIYKTDFSAGSPTNVVIVDIGHCDTQVVVASFEHGHMKILSHAFDSELGGRDFDEVLFRHFARNFREQYNIDVYSNARASVRLRAACEKLKKVLSANMEAPLNIECLMDEKDVRGFIRREEFEKLSSDLLEKISIPCQKALLDSGLPLDNIHNIELVGSGSRLPAITKILTSLFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDSYPFSIGFASDGVPICSPSNGTLFPKGHPFPSVKMLTLHRSKTFHIEAFYTNQNELPSGVSTKISTFMIGPFQVDHSEKAKIKVRVQLNVHGLVTIDSVSLVEDQVSDCAAEDSFTDKSESDEPKKGKAIKRQDLPISEHVDGAMTLAELSIAQGKELQFSEHDKEVERTKDKKNTLEAYVYETRNKLLNTYRSFANDLERDEISSNLQQTEEWLYEDGDDESEQVYSKKLEDLKKIVDPVEHRYMDEEARAQETRNLLNCIVEYRTAAESLPASERDAVINECDKAELWIRDKSQQQDSLPKNANPILWSSEIKKKADILDAMCKHMMRSKFSPSNTDTARDSR >itb10g21170.t1 pep chromosome:ASM357664v1:10:26477256:26483448:1 gene:itb10g21170 transcript:itb10g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGFDVGNENCVIAVVRQRGIDVILNEESNRETPAVVSFGEKQRFLGSAGAASATMNPRSTISQLKRLIGRKYTEPSVQNDLKLFPFETSEGADGGILIHLQYMHEKHSFSPIQILAMLFAHLKQIAEKNIETQVSDCVIGVPSYFTDLQRRCYLHAAEIGGLKPLRLIHDCTATALGYGIYKTDFSAGSPTNVVIVDIGHCDTQVVVASFEHGHMKILSHAFDSELGGRDFDEVLFRHFARNFREQYNIDVYSNARASVRLRAACEKLKKVLSANMEAPLNIECLMDEKDVRGFIRREEFEKLSSDLLEKISIPCQKALLDSGLPLDNIHNIELVGSGSRLPAITKILTSLFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDSYPFSIGFASDGVPICSPSNGTLFPKGHPFPSVKMLTLHRSKTFHIEAFYTNQNELPSGVSTKISTFMIGPFQVDHSEKAKIKVRVQLNVHGLVTIDSVSLVEDQVSDCAAEDSFTDKSESDEPKKGKAIKRQDLPISEHVDGAMTLAELSIAQGKELQFSEHDKEVERTKDKKNTLEAYVYETRNKLLNTYRSFANDLERDEISSNLQQTEEWLYEDGDDESEQVYSKKLEDLKKIVDPVEHRYMDEEARAQETRNLLNCIVEYRTAAESLPASERDAVINECDKAELWIRDKSQQQDSLPKNANPILWSSEIKKKADILDAMCKHMMRSKFSPSNTDTARDSR >itb10g21170.t2 pep chromosome:ASM357664v1:10:26477256:26483448:1 gene:itb10g21170 transcript:itb10g21170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGFDVGNENCVIAVVRQRGIDVILNEESNRETPAVVSFGEKQRFLGSAGAASATMNPRSTISQLKRLIGRKYTEPSVQNDLKLFPFETSEGADGGILIHLQYMHEKHSFSPIQILAMLFAHLKQIAEKNIETQVSDCVIGVPSYFTDLQRRCYLHAAEIGGLKPLRLIHDCTATALGYGIYKTDFSAGSPTNVVIVDIGHCDTQVVVASFEHGHMKILSHAFDSELGGRDFDEVLFRHFARNFREQYNIDVYSNARASVRLRAACEKLKKVLSANMEAPLNIECLMDEKDVRGFIRREEFEKLSSDLLEKISIPCQKALLDSGLPLDNIHNIELVGSGSRLPAITKILTSLFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDSYPFSIGFASDGVPICSPSNGTLFPKGHPFPSVKMLTLHRSKTFHIEAFYTNQNELPSGVSTKISTFMIGPFQVDHSEKAKIKVRVQLNVHGLVTIDSVSLVEDQVSDCAAEDSFTDKSESDEPKKGKAIKRQDLPISEHVDGAMTLAELSIAQGKELQFSEHDKEVERTKDKKNTLEAYVYETRNKLLNTYRSFANDLERDEISSNLQQTEEWLYEDGDDESEQVYSKKLEDLKKIVDPVEHRYMDEEARAQETRNLLNCIVEYRTAAESLPASERDAVINECDKAELWIRDKSQQQDSLPKNANPILWSSEIKKKADILDAMCKHMMRSKFSPSNTDTARDSR >itb09g19610.t1 pep chromosome:ASM357664v1:9:15778614:15781935:-1 gene:itb09g19610 transcript:itb09g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLYFKDRNKGKAQKSAPVLKEQSKSSDISGAAERATRSSCSASSPRSFSEAYEGKAQNLREFSFNELRQATNNFCRLLKIGEGGFGCVYKGMVKPVDGKGDRIVVAIKKLSRDGYQGHKQWVAEVQFLGVLEHPNLVKLIGYCAVDGERGIQRLLVYEFMPNRTLEDHLFSTAYPPLSWLRRLQIVLGAALGLTYLHEELEVQVIYRDFKSSNVLLDNDFKPKLSDFGLAREGPTGLHTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILSGRRSLDRNRPRSEQKLLEWVKHYPADSRKFSMIMDPRLENQYSLGAARKVAKLADACLSKNSKERPKMSQVVESLKQIIQSCGGESSPSHECVEDDPVDDEKPKQMGPAESAKRRMAQLAKLSEHVGGISRRRFMMMQRAKVT >itb09g19610.t2 pep chromosome:ASM357664v1:9:15778627:15781698:-1 gene:itb09g19610 transcript:itb09g19610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLYFKDRNKGKAQKSAPVLKEQSKSSDISGAAERATRSSCSASSPRSFSEAYEGKAQNLREFSFNELRQATNNFCRLLKIGEGGFGCVYKGMVKPVDGKGDRIVVAIKKLSRDGYQGHKQWVAEVQFLGVLEHPNLVKLIGYCAVDGERGIQRLLVYEFMPNRTLEDHLFSTAYPPLSWLRRLQIVLGAALGLTYLHEELEVQVIYRDFKSSNVLLDNDFKPKLSDFGLAREGPTGLHTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILSGRRSLDRNRPRSEQKLLEWVKHYPADSRKFSMIMDPRLENQYSLGAARKVAKLADACLSKNSKERPKMSQVVESLKQIIQSCGGESSPSHECVEDDPVDDEKPKQMGPAESAKRRMAQLAKLSEHVGGISRRRFMMMQRAKVT >itb04g33540.t1 pep chromosome:ASM357664v1:4:35759054:35760071:-1 gene:itb04g33540 transcript:itb04g33540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVETVVQTKLTLSAGGRKIMELPARFFNNCLVRVVMMLLKLLKLAHLHSSEHVGTLASIDGQITREKGPIALSTKNMYKLLRSRKVFNHVLLFLF >itb09g01500.t1 pep chromosome:ASM357664v1:9:923083:926016:-1 gene:itb09g01500 transcript:itb09g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVIMMNDKGKPRLIKFYEHQPMEKQQELIRKIYAVLCSRPENVSNFVKVDSLFGSDARLVYKTYATLHVIFIFDGSENELAMLDLMQVFVETLDKCFSNVCELDVVFNFNKVHAILDEIVMGGQVLETSSLEVVKAVEDISKLEKGSNSIMLVPSIPGWQG >itb09g01500.t2 pep chromosome:ASM357664v1:9:923083:926016:-1 gene:itb09g01500 transcript:itb09g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVIMMNDKGKPRLIKFYEHQPMEKQQELIRKIYAVLCSRPENVSNFVKVDSLFGSDARLVYKTYATLHVIFIFDGSENELAMLDLMQVFVETLDKCFSNVCELDVVFNFNKVHAILDEIVMGGQVLETSSLEVVKAVEDISKLEKGSNSIMLVPSIPGWQGR >itb09g01500.t3 pep chromosome:ASM357664v1:9:923083:926016:-1 gene:itb09g01500 transcript:itb09g01500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVIMMNDKGKPRLIKFYEHQPMEKQQELIRKIYAVLCSRPENVSNFVKVDSLFGSDARLVYKTYATLHVIFIFDGSENELAMLDLMQVFVETLDKCFSNVCELDVVFNFNKMRLLWGVKYSRRALWKWLRQLKTSQSWKRVPIQSC >itb02g17680.t2 pep chromosome:ASM357664v1:2:13830062:13834581:1 gene:itb02g17680 transcript:itb02g17680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSTKGKLFEYATESCMEKILEQYERYSYAERQLNSTDQNSQGSWTLEHAKLKARMEVLQRNQRHYEGEDLDSLSLKELQNLERKLDSALKNIRSKKNQLMFESISELQKKDKALQDQNNQLAKKARH >itb02g17680.t1 pep chromosome:ASM357664v1:2:13829980:13835346:1 gene:itb02g17680 transcript:itb02g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSTKGKLFEYATESCMEKILEQYERYSYAERQLNSTDQNSQGSWTLEHAKLKARMEVLQRNQRHYEGEDLDSLSLKELQNLERKLDSALKNIRSKKNQLMFESISELQKKDKALQDQNNQLAKKIKQREKEIAQQQQQQQEVQWEQPNDDINSSYVVPPPLVHLSSEGEYGEAEGTHQRQQQSNTTAMPQWMLSHLHG >itb14g02450.t1 pep chromosome:ASM357664v1:14:2097182:2099277:-1 gene:itb14g02450 transcript:itb14g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNFSLIFSLVIISAFLCSTTTDAFGGFNYFDGMLGMEAKKSEHNQRTDLVGTPPPLYKVAGRRGLPTAPPPA >itb11g16150.t1 pep chromosome:ASM357664v1:11:14091156:14091500:-1 gene:itb11g16150 transcript:itb11g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRFCTSWTSIRLPLFFSFVLFCLFHPPFINQQHQHNPCVFHHLTPICCSFSPPPRFRHTYLPMTRRPVEAELLVDAVRRRGEERAKSGGDPAAKLITALLPSSSIAGLPARE >itb04g07830.t1 pep chromosome:ASM357664v1:4:5412584:5416351:-1 gene:itb04g07830 transcript:itb04g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEHDVAPGQPKKRTFKKFSFRGVDLDALLDMSTDDLVKLFTARARRRFKRGLKRKPLALIKKLRKAKREAPPGEKPEPVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEVKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >itb04g03620.t1 pep chromosome:ASM357664v1:4:2222280:2224002:1 gene:itb04g03620 transcript:itb04g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPELIALAGAGAVLVFLWLRHDNHRDDDGPNAFTFSADVTDEEKRPFCAPELIALAGAGAVLVFLWLRHDNHRDDDGPNAFTFSADVTDEEKRPFCAVCLNGICGGERCRKLSACGHCFHVDCVDAWLQKQSTCPLCRAEVSDQVFRPEFEGGVLDLLVWWSEMVLEKICSPLNEELSSMVRENMMFVTQL >itb07g23760.t1 pep chromosome:ASM357664v1:7:28124685:28127393:-1 gene:itb07g23760 transcript:itb07g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRHCFTRYVEFHRCVAAKGGEVGDCEKFARYYRALCPGEWVEKWNEQRETGTFPGPL >itb11g10670.t1 pep chromosome:ASM357664v1:11:7625557:7629502:1 gene:itb11g10670 transcript:itb11g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDFVFTADEMAVDDNLGYPKAFAMLCKDRSFSPYSRAPPFTFIPYALPPQEVLRSKELDEMFPIIDPNAKPTAKAKIFVSLLWKQLNHLGNAGFDPEMFRVDPYGNVVYYHADSASPLAWHIDHWFPCSRGGLTVPSNLRILQWQVCKKKHNQLEFLIPWWDLQVGLSINQFLSIFASTNSDFRHRGFSWLFPEGENEELNESQTVDSHVFPQHYMESKKKIGLAPAAVVLSRRESYDSALKSLDINRRPRSSTPIIATSKKLKENEAPDMVSNPYQAIVIARNSLKQREEAAKMQGEIQKLDDEVSELKQKAEEEKASIQDLELILIKKRRRAEKCRKLSEAQSSHRAMLEKMIRDAMHQSVVYKEQVRLNLAASNALMARLEAQRAICDSAEKELYRKYKQRDELEQEVKPECEQRRKRSRMDILVEDNDDLQEKDGKMVLYLPDRDSSSSDQIHKQLRVFLEEEQKASEAELSAIEEEQEQEPIPEQLEKHGTNAVDVENENQGEKKLLEGTSVNLEFPGFHEPEIEEDEESRKQRGKGNVEKWLHMLLESTDGTADVEKLDLNYPPESNDAEEEEAVKAKENEKSNSNEENEEKQEETATAVKAKNTPSRNPPPYRLQPRKSMDATCKAVRHSGSSFDTSERPEGVTERKLTRSESARGFRRIPSSPSLIFSGMKKRVDCMGKKPLVTGDYDDTEDEEGVAAKKSFIKSSFKTIVKAMKI >itb10g18090.t1 pep chromosome:ASM357664v1:10:24135893:24139788:-1 gene:itb10g18090 transcript:itb10g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRYTYYRQKKFVWRFPKKKNPADASSSDPPPPPPPWVELPRDITANILHRLGTIDVLQTALKVCSTWRSVCLDPAMWRVIDMSSSDRLHSPALKAMCRYAVDLSQGQLVDISIEDFGTDDLVLYIAQRANQLRRLRLVSCYTVSSECLCKAATEFPLLQELQIYFSNISSEGIKVVGRSCPLLKSFTFDKYGSISPIECDDEALAIAENMHGLHHLALFGNKITNKGVQAILDGCPHLESLDLRRCFNVTLEEGDLGVRCSQRIKNLRCPNDSTSDYEFCDYVDDDDDYYGSDGFPDYYDYDYDDYFAYDDYTDPFSHEYLEMDDDYSSIPLAWLV >itb01g14750.t1 pep chromosome:ASM357664v1:1:16887083:16890297:-1 gene:itb01g14750 transcript:itb01g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWPSAEGGPPEVTLETSMGSFTVEMYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGLKFEDEITPQLKHTGAGIISMANAGPNSNGSQFFITLAPAQSLDGKHTIFGRVCRGMEIIKRLGSVQTDNTDRPIHDVKILRATAKD >itb12g06920.t1 pep chromosome:ASM357664v1:12:5151515:5156921:-1 gene:itb12g06920 transcript:itb12g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLLFYKHLLGAFFLSVPSYLLALLLSFITSFVFRLRRGGGSLDKRFDFADSVSEESNSGGSVAESENNCEEFNSSIGFSDSENEACLEFRFPSYDEFINRKEKDELRELVDSEVLLTSSSCTNYEFLSQSKGFSGEPEPEVHNINIAVVDSETILENQSTVALDKNLEQSDCEEGDDVHNKEMENLGTKEDEGTMLSWELGKAAENQTQADFELSEKESLVTDSDSVSIGFEHMHGLISRLVDYYSDDGFSSDQDFVGEFDLEVHHSEEKQAQIMAAAFNQVDTYVELEDHVGKLEQDHLDNLEILASQFLSENEEDEEEDELMNNGSKQSNNEAALDSGEANKLESLWEHQELIEQLQMELRKVRDTGLLPTIFEESESSKMEDLKPWKIDERFQRGDCMGELHKFYRSYRERMRKFDILTYQKMYAIGLLQKDPLQLLSSHKSPRPTFKSLLSQNLWLLKQKSHRADPMKKFIKELQSDLEVVYVGQMCLSWEFLNWQYGKALDLWDSDPRGVHQYNEVAGEFQQFQVLIQRFIEDEPFQGTRVQHYINRRCDARNLLQVPVIREDSIKDRKKSSRRYEESGDNGITIEMIVETLEESIRVFWHFVKADKDSAITTMKGQKGVHPDVQNAEDLELFMDVMKSLRKLQKENALKNILRSEQCILRRLRRCRDEDSDHVLYFFSQVDMKLVCRVLNMSRLTTDQLVWCHEKLSRISFVQRKIRVEPSFLLFPC >itb12g06920.t2 pep chromosome:ASM357664v1:12:5151515:5156915:-1 gene:itb12g06920 transcript:itb12g06920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLLFYKHLLGAFFLSVPSYLLALLLSFITSFVFRLRRGGGSLDKRFDFADSVSEESNSGGSVAESENNCEEFNSSIGFSDSENEACLEFRFPSYDEFINRKEKDELRELVDSEVLLTSSSCTNYEFLSQSKGFSGEPEPEVHNINIAVVDSETILENQSTVALDKNLEQSDCEEGDDVHNKEMENLGTKEDEGTMLSWELGKAAENQTQADFELSEKESLVTDSDSVSIGFEHMHGLISRLVDYYSDDGFSSDQDFVGEFDLEVHHSEEKQAQIMAAAFNQVDTYVELEDHVGKLEQDHLDNLEILASQFLSENEEDEEEDELMNNGSKQSNNEAALDSGEANKLESLWEHQELIEQLQMELRKVRDTGLLPTIFEESESSKMEDLKPWKIDERFQRGDCMGELHKFYRSYRERMRKFDILTYQKMYAIGLLQKDPLQLLSSHKSPRPTFKSLLSQNLWLLKQKSHRADPMKKFIKELQSDLEVVYVGQMCLSWEFLNWQYGKALDLWDSDPRGVHQYNEVAGEFQQFQVLIQRFIEDEPFQGTRVQHYINRRCDARNLLQVPVIRGRCKLDREIDIYLFQFL >itb03g21440.t1 pep chromosome:ASM357664v1:3:19279019:19279700:1 gene:itb03g21440 transcript:itb03g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYPVFLLFTHTFPSTPLLFFLSSVTINSFILKNISSVQTSQQTKTSNQKMSVEILDAATILNFVQDEKAFDEFVNERFDNLDINHDGVLSYAELLKELRSLRVLEMHFGVDVETDPVELNLVYDSMFVQFDRDSSGVVDVEEFKAETKNMMVAMANDIGFLPVQMLLEEHSFLKKAVENELAKVANAA >itb04g05630.t1 pep chromosome:ASM357664v1:4:3663389:3665511:1 gene:itb04g05630 transcript:itb04g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVCSLVGAAIFMVSACFLSEAHDDKRTRMSHSCCNMFEGSWVYDDSYPLYNSAACPFIRDDFNCVKYGRTNLQYLKYRWQPNDCDLPRFDGRDFLRRFKGKTIMFIGDSISLNFYESLKCLLNAAVSDIERKDIGRVLKLHSLKNGDVWKQADVLIFNTWQWWGRRGQGQPWDYIEHDGKVVKDMNRVAAFSAALDTWAKWVETEVNHTKTHVIYQGVTPSHYKGAEWGEPGVRNCLKETRPIKGSTYAVGLPVGEKIVKQAQNRMTKPFYLLDITRLSELRKDGHPAIYSGLNTMDCTHWCLAGVPDTWAQILYAHLVSGIGN >itb06g09270.t1 pep chromosome:ASM357664v1:6:13474733:13481789:1 gene:itb06g09270 transcript:itb06g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATPGRTPLDTHPTSTLAGPVANRLTPGLDANYLTPGPNANCLTPGPDSNRQPSPANNRQHAPTAILPTLSNRHTPNLIQPSYLLPYLTVIPLTLSNLHTPYLIQPPSHPNHPHIILASSLFSSLSNLPTMAFLQWFLLLCIVFTSASANAQSKVTDNPADELVAALNNNRTAKKLPSLYSNPGLACLALQYIKAYEGDCDAVGGSDAKKPPDSSFAETFAPNCGVQVNTLAQITGRFLACQSKYVSPSQAFSDILIRGQKSFDILYSKNHTEVGAGVSGSDGGGPYFWCVLFSNGKSNSSFALEGGTAKDTKPGCFSGANDVCSAANALSRTVQPWLVAASSFSNRKFVFTHQRVECAIAYKLQLRNPLTLAASQQLHRTAASA >itb04g01230.t1 pep chromosome:ASM357664v1:4:671198:672742:1 gene:itb04g01230 transcript:itb04g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGTTSYYMPRGMTGSVASVQGATSMHPLLTGAGVPFQSGSGNSLVGQTLVVDPSSTMSPRGGSVGAPSALPQSEPPVRRKRGRPRKYGVDSSKVSLALTPPSAPAARLTPSQKRGRGRPPGTGRKQQLASLGGWMSHSAGSGFTPHIITVAVGEDITTKIMSFLQQGPKAVCILSANGAVTTVTLRQPSNSGGTVTYEGQFEILSLSGSYLLSNSSGPQGLRTGSLSICLASPDGRVIGGGVGGVVIAASPVQVILGSFICVGSKAAKSKPPVSIEGAATHLNRQSFDNSVSPSDMQQNQNLNWPLQ >itb02g24610.t2 pep chromosome:ASM357664v1:2:25228631:25233022:-1 gene:itb02g24610 transcript:itb02g24610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEDVKDHCNHLFNQQEIVSLYQRFCQLDRSAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASMRQKFELIFKVYDSDGNGKVSFNNMTEVLQDLTGSFMSDKQREDVLRQVLHEAGYARDSLLLLDDFVKVFEHPGLKMEVEVPVD >itb02g24610.t1 pep chromosome:ASM357664v1:2:25228631:25233022:-1 gene:itb02g24610 transcript:itb02g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEDVKDHCNHLFNQQEIVSLYQRFCQLDRSAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASMRQKFELIFKVYDSDGNGKVSFNNMTEVLQDLTGSFMSDKQREDVLRQVLHEAGYARDSLLLLDDFVKVFEHPGLKMEVEVPVD >itb02g08850.t1 pep chromosome:ASM357664v1:2:5596862:5599550:-1 gene:itb02g08850 transcript:itb02g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVFGMAAVSFAVVFMVYLWTVVNWAWFRPRKLEKCLRQQGLKGNKYNFVFGDLKQLSKSNKEAMSKPMNLSSDDISPRVIPFYVDAIQRNGENSFVWHGTNPMVLIKEPELIKEVLTKYTVYHKPTYNPLTKLLVQGVATYEEEKWSKHRRIINPAFHMEKLKHMVPAFYMSCIEMLGEWEKKVGEQGLAEVDVWPHLQHLSGDAISRTAFGSNYEEGRKIFELQKEQIQHRVQVLESIYIPGLRFLPTRKNRRMKEIVKQVHASIRCIIDKRVKAMKAGEGSKDDLLGILLESNFKEIEKHGNSDFGMTTGEVIEECKLFYFAGQETTSVLLVWTIILLSRHQEWQTRAREEVFRLFGKDKPDINGLNHMKIVTMILNESFRLYPPSISMTRQTKHETRLGDLMLPPEVLILMPMMLIHHDEKIWGEDAKEFKPERFSEGVSRATEGQLTFFPFGGGPRICVGLNFAMIEAKLVMAMILQRYSFELSPSYTHAPTAVITVQPQYGAPLLLHKL >itb10g00840.t2 pep chromosome:ASM357664v1:10:618337:622870:1 gene:itb10g00840 transcript:itb10g00840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNAAVSLLAAALLFLAAQAEDPYRFFSWNVTYGTIYPLGVPQQGILINGQFPGPDIYSVTNDNLIISVFNNLDEPFLISWNGVQNRRNSYEDGVYGTTCPIPPGQNFTYILQMKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDDPAGDWTVLIGDWYKADHKALKGVLDSGHRLPFPDGILINGRGDNGASFTVEQGKTYRLRISNVGLENSLNFRIQGHTMTLVEVEGTHTVQTALSSLDVHVGQSYSVLVTADQPPQDYYIAVSSRFTQTILTTTAILHYSNSNLQVSGPPPGGPTIEIDWSLNQARSISKWTKTEPPRFVPLWYDKHHEDH >itb10g00840.t3 pep chromosome:ASM357664v1:10:618329:622954:1 gene:itb10g00840 transcript:itb10g00840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNAAVSLLAAALLFLAAQAEDPYRFFSWNVTYGTIYPLGVPQQGILINGQFPGPDIYSVTNDNLIISVFNNLDEPFLISWNGVQNRRNSYEDGVYGTTCPIPPGQNFTYILQMKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDDPAGDWTVLIGDWYKADHKALKGVLDSGHRLPFPDGILINGRGDNGASFTVEQGKTYRLRISNVGLENSLNFRIQGHTMTLVEVEGTHTVQTALSSLDVHVGQSYSVLVTADQPPQDYYIAVSSRFTQTILTTTAILHYSNSNLQVSGPPPGGPTIEIDWSLNQARSIRSNLTASGPRPNPQGSYHYGMINTTRTIRLANSADLLHNYQRYAVNSVSFVPADTPLKLADYFKIQGVFRVGSISDNPTGGALYQDTSVLGTDYRAFIEIVFENNEDILQSWHIDGYQFWVVG >itb10g00840.t4 pep chromosome:ASM357664v1:10:618337:622870:1 gene:itb10g00840 transcript:itb10g00840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNAAVSLLAAALLFLAAQAEDPYRFFSWNVTYGTIYPLGVPQQGILINGQFPGPDIYSVTNDNLIISVFNNLDEPFLISWNGVQNRRNSYEDGVYGTTCPIPPGQNFTYILQMKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDDPAGDWTVLIGDWYKADHKALKGVLDSGHRLPFPDGILINGRGDNGASFTVEQGKTYRLRISNVGLENSLNFRIQGHTMTLVEVEGTHTVQTALSSLDVHVGQSYSVLVTADQPPQDYYIAVSSRFTQTILTTTAILHYSNSNLQVSGPPPGGPTIEIDWSLNQARSIRYVYHLMFCFNH >itb10g00840.t1 pep chromosome:ASM357664v1:10:618329:622954:1 gene:itb10g00840 transcript:itb10g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNAAVSLLAAALLFLAAQAEDPYRFFSWNVTYGTIYPLGVPQQGILINGQFPGPDIYSVTNDNLIISVFNNLDEPFLISWNGVQNRRNSYEDGVYGTTCPIPPGQNFTYILQMKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFDDPAGDWTVLIGDWYKADHKALKGVLDSGHRLPFPDGILINGRGDNGASFTVEQGKTYRLRISNVGLENSLNFRIQGHTMTLVEVEGTHTVQTALSSLDVHVGQSYSVLVTADQPPQDYYIAVSSRFTQTILTTTAILHYSNSNLQVSGPPPGGPTIEIDWSLNQARSIRSNLTASGPRPNPQGSYHYGMINTTRTIRLANSADLLHNYQRYAVNSVSFVPADTPLKLADYFKIQGVFRVGSISDNPTGGALYQDTSVLGTDYRAFIEIVFENNEDILQSWHIDGYQFWVVGMDGGQWSPASRNEYNLRDAVARSTTQVYPRSWTAIYMALDNVGMWNVRSEFWARQYLGQQFYLRVYTTSTSLRDEYPVPKNAILCGRAAGRRTRPL >itb15g01160.t1 pep chromosome:ASM357664v1:15:665140:668131:-1 gene:itb15g01160 transcript:itb15g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYGMADLRNFINNRPLFTSTPHPHPPEFLSPHHRNLPPPPPPSSHHPYELVMPPVPRAFHHDFLPDSSANNAAATTTASVITGGGASSSAFSGLDIDAAAAGGLGFDGGNGRWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMCEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGEPSNSGSVSETHHAQSNFPYTNAAVAANNTAQDPAAAAFLHDSKVSDSLSLSNSSDYDTTSSDDPDSDHGAMASKKRKGKGRWKAKIKDFIDGQMRKLMEKQEAWLDKIMKTIEHKEEERMLREEEWRKQEASRMEREQKFWANERAWIEARDSALMEALHKVTGNECNKKRKDDDENNNNLGICYSFQNNNNGASYCPENQPINPAINDSCFRLLMGDNAENLWENYGLKLSKGGGDDGK >itb09g06020.t1 pep chromosome:ASM357664v1:9:3443804:3446171:1 gene:itb09g06020 transcript:itb09g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVWFSLKKSLNCRSEVGEVHDPNYGGGRNLGKISTSTRKSTTIRSGCSRSIANLKDVVIHGSKRHTENPQLQSPRSIGSSELLNPIVHEVVLNNSTCELKITSYPFQDGGGGCGGASGGGGGSGSPFVGTLKPGTPGPGGHQVAPSKRPGGLGSPMRIGSSGSLSKRTGSGFGGIVVRPRPRPRPKPNCGGDYNGFQALTCHKCGEQFSKWDAVESHHLSQHAVTQLVEGDSSRNIVEMICRTSWATKSDNTTNCNGIERILKVHNMQKTLAEFEEYRERVKIKASKLAKKHARCLADGNELLRFHGTTVECNLGANDSSSLCTSEKCNVCQILRRGFIIKKEINGGVGVFTASTSSRALEDIELSCGNQAKRKALIVCRVIAGRVHRPLENVEELAGQSGFDSLAGKVGLYSNVEELFLLDPRALLPCFVVICKA >itb08g01580.t1 pep chromosome:ASM357664v1:8:1171389:1172027:1 gene:itb08g01580 transcript:itb08g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELERSRAMEAGKKTRGRQKIPMRRIEKDGDRFATFSKRRKGFYKKATELCWLSGCDIGIVLTSPTGKMFSFFNPSPESIIRRVFGRGINLDGGELSQAVDEHSRRRVNELYEMIDGVEARREVLNQKSKALDQSISSNTWWETPVADFSLEDVERCQAFLEELQTKASICFDALNNGGGGSSISIASQPQNAALLSPYPLLPSNAGNVV >itb05g28590.t1 pep chromosome:ASM357664v1:5:32286510:32286886:1 gene:itb05g28590 transcript:itb05g28590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEIAAPCSLAVVAQFSIGLVTVVFVGHLGSLELADVFVVHNAIEGFVYGVMLWIGSALETLCGQAIGVEPFLCIRIATTKASPSE >itb07g18940.t1 pep chromosome:ASM357664v1:7:23363426:23373410:-1 gene:itb07g18940 transcript:itb07g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELVRAKDTKERMAGVERLHQLLETSRKSLSSSDVTSLVDVCLDLLKDNNFRVSQGALQALASAAVLSGDHFKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGCYAWMHKSFRVREEFARTVTSAIGLFASTELPLQRAILPPILQLLNDPNPGVREAATACIEEMYTQVGPHFSDELQRHNLPPMMLRDINARLERIEPKNRPTDGFTSNYSAPEFRSVSHSTKKSSPKAKYSTREVSLFGGDGDITEKPVDPIKVYSEKELIREFEKIASTFVPDKDWSIRIAAMQRVEGLVIGGAADYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLNFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEHWPDAPEIQRSSDLYEDLIKCCVADAMSDVRSTARTLYRMFAKTWPERSRRLFLSFDSVIQRIINEEDGGIHRRHASPSLRERSSHMLAPQASASPHLPGYGTSAIVAMDKSATLPSGASISSGLLLSQSKPAATGSERSLESVLHASKQKVSAIESLLKGLDTSEKTRSSSLDLGVDPPSSRDPPFPPAVPASNSLTNALLVDTPLGISKGNNSNGGLGLSDIITQIKSSKDSTKLSYHVNMGNEPLSVHSSFPARRVSEKLHERGFVEENPDIREARRYMNSHADRQYLDTPYRDTNFRDSHSNYVPHFQRPLSRKSSTGRMSASRRRSFDDSQFPLGDLSGYADGPASLSDALSEGLSSSSDWNARVSAFNFVHSLLQQGPRGIQEIIQSFEKVMKLFYQHLDDPHHKVAQAALSTLAELIPSCKKPFESYIERILPHVFSRLIDPKELVRQSCSTTLDIVGKTYGTDSLLPALLRSLDEQRSPKAKLAVIEFSIGSFNNHPSSSEGSFNIGILKLWLAKLAPLAHDKNTKLKEASITCIISVYTHFDATAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRTKTSYDPSDVIGTSSEEGYIGSSKKSHLFGRYSGGSVDSDGGRRWNSLQDSTYVTGSTGLSISDDTQDLYSSLETSSNTAIPTSKAKYGTNTPTETIGTFTKQLETDENGSTMELESISTRLDINGLVDSDQQQSTAGVGADKAPLPDLSPSYPKLAALKINSAPETGPSIPQILHTICNGNDGSPTTKKCAALQQLVEASVANDQSIWNKYFNQILTAILEVLSDSEPSIRELALSMIVEMLKNQKDAMEESIEIVIEKLLHVTKDAVPKVANEAEHCLTIVLSQYDPFRCLSVVVPSLVTEDEKTLVTCINSLTKLVGRLSQEELMSQLPSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGAPIDGTQS >itb02g12680.t1 pep chromosome:ASM357664v1:2:8726211:8731475:-1 gene:itb02g12680 transcript:itb02g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNIRIPSRLLFIDGEWREPVKKNRLPIINPATEQVIGDIPGATAEDVNIAVEAARRALAGEWGSTTGAQRAKYLRSIAAKVKERKPELVPLEAIDSGKPLVEADADMDDVSSTIEYYAGLAEALDSRQKTPVPLHLESIKSCVLREPIGVVGLITPWNYPLLMAIWKVAPALAAGCAAILKPSELASITCLELGEICREVGLPPGALNILTGLGPEAGAPLATHRHVDKVSFTGSLATGVKIMTAAAQLVKPVTLELGGKSPIVIFEDVGDLDKAVEWTLFGCFWTNGQICSATSRLILQESIAAEFVNKLLAWTKNIKISDPLEEGCKLGPIVSQAQYEKVMKCISTAKSEGATILYGGKRPEHLQKGYYVEPTIITDVNTSMQIWKEEVFGPVLCIKTFKTEKEAIELANDTEYGLAAAVLSKDLERCERMAKAFQTGAVWVNCSQPCFWQPPWGGKKRSGFGRELGEWGLENYFNIKQITQYVSDEPWGWYKPPSK >itb11g08050.t1 pep chromosome:ASM357664v1:11:5161262:5162644:-1 gene:itb11g08050 transcript:itb11g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRLNPVAGKIAGGPASPRSPLKSFDLGGVGLAIVAALEKSCERGEIAGRKVVCSRNSRRSVPIPVSSGRIPARIVGSFGRSLEEEEEEEEYTVVTCRGSDNKPYTKVYGEVPSSRNNRPSVFMISPARLGDIPACPDSDFLSSCHLCRKKLSGKDIYMYRGETAFCSTECRYRQIVMDEHKEKCSSEISRSADISSSPYTNGLMFSTGILAI >itb03g09540.t1 pep chromosome:ASM357664v1:3:7369037:7372099:1 gene:itb03g09540 transcript:itb03g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKMGHCKTLFVCVVMTSVLLELCYGSGAKQTYIVHMAKSEMPAGFEDHSHWYDASLKSVSESAEMIYVYRNAVHGFSARLTAEEAESLGNQPGILSVLPEMKYELHTTRTPSFLGLDKNAEFFPESDVATDVIVGVLDTGVWPESKSFDDNGLGPVPSSWKGVCQTGKNFKASDCNRKLIGARYFCSGYEATLGPIDESKESKSPRDDDGHGTHTASTAAGSVVAGANLLGYAPGSARGMATRARLAVYKVCWMGGCFSTDILAAMDKAIEDNVNVLSLSLGGGTSDYYRDSIATGAFAAMEKGILVSCSAGNAGPNQYSVSNLAPWITTVGAGTLDRDFPAFVSLGNGKNFSGVSLFKGEALPNKMLPFVYAGNASNATNGNLCLMGTLDPEKVKGKLVLCERGINARVQKGSEVKAAGGAGMVLTNTATNGEELVADAHLLPATAVGKKTGDELKTYLFSDSNPTATILFEGTKVGIEPSPVVAAFSSRGPNSITPEILKPDIIAPGVNIIAGWTGAVGPTGLSDDPRRVAFNIISGTSMSCPHVSGLAALLKGAHPDWSPAAIRSALMTTAYTAYKSGGGALVDVATGKPSNPFDHGSGHVDPVSALTPGLVYDMNVVDYLNFLCALGYTPSQINTLARRNFTCDSTKKFSVTDLNYPSFAVSFASQMGGAGGGGGSSSSVKYTRTLTNVGEAGTYKVSVNVPNSSVKILVEPQTLSFSQANEKKSYTVTFSAPAMAADTNVFGRIEWSDGKHTVGSPVAISWS >itb13g11190.t1 pep chromosome:ASM357664v1:13:16048985:16049789:1 gene:itb13g11190 transcript:itb13g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 9 [Source:Projected from Arabidopsis thaliana (ATMG00070) TAIR;Acc:ATMG00070] MSFLVGLTGDLQQVFLHFFCKKRNYKKTFFIFMDNQFIFKYSWETLPKKWVKKMERSEHGNRSYTKTDYLFQLLCFLKFHTYTRVQVSIDICGVDYPSRKQRFEVVYNLLSIRYNSRIRVQTSADEVTRISPVVSLFPSAGRWEREVWDMFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >itb01g07900.t1 pep chromosome:ASM357664v1:1:6250806:6252537:-1 gene:itb01g07900 transcript:itb01g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASPSCLGLFVQILRKTTRKRSLRISVYNEQSTSYNRFIKDLSRQCKQLLRHHLDSVTSPYSQVCYEDFLGTFGAGSNTIYNLNQASAGSFCLELSDGGPSLRSEVIPKDQENVPPEKNGQETTPGKIGEARDALRECQMTVPETPSPDQPCDANYGVKKELVNCDVGARKRQSRLIGSNKNPDNLRGQNVSLLFGSGDTNSRSASPYAEICSLAAKHFARMRDVLVEQSVSSTLNSGFLTPCRERLFVALGLDLFAVNDEKFMDMFVSPGSIDILQNERQSLQKRQKMLHSCLSEFKSVARGL >itb12g05030.t9 pep chromosome:ASM357664v1:12:3320315:3324538:1 gene:itb12g05030 transcript:itb12g05030.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t4 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t5 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t3 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t7 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t2 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t6 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t8 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb12g05030.t1 pep chromosome:ASM357664v1:12:3320315:3326001:1 gene:itb12g05030 transcript:itb12g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILWLRHKWQPSNFVMLSSFSSSNQQGNSQQEFSTRSNFINDAKSDARRILEVLYQDGPGFDTKTALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLIMKIFAESEEFKAMWRLVDEMIEKGYSVTARTLNILICTCGEAGLARKVVEKFIKAKVFNYRPFKHSFNAILHSLLTVKQYRLIEWVYQQMLDEGHTPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAAVNLLNHMNEVGYDPSILHFTTLIDGLSRAGNLDACKYFFDRMIKQGIVPDVVCYTVMITVYVMAGELDKAKELFSDMVNKGQLPNAFTYNSMIRGLCMSRKFEEACLMLKEMESKGRNPNFLVYSTLVKNLQNAGKLLEADRVVRYMVEKGCYMPLVSKIRKYKRC >itb07g18340.t1 pep chromosome:ASM357664v1:7:22769298:22774667:-1 gene:itb07g18340 transcript:itb07g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLQGEIPGEIGGLFRLRYLYLSSNALTGDLAKLNLSNCLQLRELKFSNNGLQGKLPAVLANLKKLQELSLGKNRLTGGIPPTFGNLSSLLNLSLEMNHLEGRIPHEIKRCWSLNILSLAANNFTGTLSPFFNMTSIQIFSVTQNSLEGTIPNYIGDTMPNLKRFYFANNQFHGTIPISFLNASKLQILELSKNYLVGKVPDNIGRLKDLLVLNLEYNLLGSNGSLNELAFITSLSNCSNLNIFSIERNTFEDKLYKAVAASLMSLGVPFAIK >itb11g22220.t1 pep chromosome:ASM357664v1:11:24066083:24068679:1 gene:itb11g22220 transcript:itb11g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAGKVYKDPSITSYFYKQAWVTVSQEYTVVEMLRCLIGCVSASSNDEQSSNDRDQLAGSLRKSLKDQRYLIVIDDIWSKEAWDSVQRCFPDDNNRSRILLTSRLKEVAEYAASTSIINMPFLEANESWNLFCNVFGKTEFLLVFEQISRDIVKKCKGLPLAITLVASLLSKTEEKVEKWKNVAESVIGDSNEVCSSVLSLSYNQLPHPSKACFLYFGVFPEDYEIPVKKLVKLWAAEGFFGTVNNKNMEEVATECLQDLVDRSLVLVDKQSYNGKIKIIRMHDLLRDFCLRETRCENLLNVIGNDYHGAVAGLVKLPFHKWISQHLFSKACPWYLKLEELMDVTRVCRLGGDEKLRATKLSCDGDDDGVA >itb01g06210.t2 pep chromosome:ASM357664v1:1:4400930:4404037:-1 gene:itb01g06210 transcript:itb01g06210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLNLSVPLISVRRINSNVRYSEGVNRKNVENPQPNRQQQEQPLCVPKSDCELSEVTKPVAVPFCWEQAPGKRRGENGGKVYPCEDASTMPRLPPGWKPDSRRFYSCERPCHEDSFRFQTEPLALKNHAAIMDSLVESMLAKGEFDVEGRECANPDVLDESLSLNCSIGDLSGCQGLDVEEGRDDVKPFGAISADSHSRDFMMSRFLPAAKAAVLEPPQFVPKNDLLVTEQPRLVKEAVVGVERKPLAKKYEPYVMSYYSDYEGDVVTENEDETNDNMTTDQHKRSRKFWKLFPRLSVKSSLGMLNPFPGMKSKSQAPTSSINDVKRLTRNAPSGPLNKARKAYSGPIEKQSYDAIYKQRFRSGALSGELCKSDKRSLSNQLFCSSDGQNSHRGSRSGAISPYRNVTTSSRFNEGTGFLGVPREAENLKGSMFSSFREVDSHFWDSPIKSTFEGESDSLSNVVEKTVYVDSVNYVKATSLDLCSSKPKGVMDSVENLTTMTSRIVEQTSSSAAKSCSRTQSALGLGQRLNPEEFKDLPERTSSNLNQEPNHKRGSRELSAVHFNGNTSRSSRENFKPDYRSYSDVTSSLSPYPPLPKSPSESWLWRTLPSIPSNQSPRATNTATKREITASSCSEHRCNSYYTEELIPYGSHIW >itb01g06210.t1 pep chromosome:ASM357664v1:1:4400930:4403826:-1 gene:itb01g06210 transcript:itb01g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLNLSVPLISVRRINSNVRYSEGVNRKNVENPQPNRQQQEQPLCVPKSDCELSEVTKPVAVPFCWEQAPGKRRGENGGKVYPCEDASTMPRLPPGWKPDSRRFYSCERPCHEDSFRFQTEPLALKNHAAIMDSLVESMLAKGEFDVEGRECANPDVLDESLSLNCSIGDLSGCQGLDVEEGRDDVKPFGAISADSHSRDFMMSRFLPAAKAAVLEPPQFVPKNDLLVTEQPRLVKEAVVGVERKPLAKKYEPYVMSYYSDYEGDVVTENEDETNDNMTTDQHKRSRKFWKLFPRLSVKSSLGMLNPFPGMKSKSQAPTSSINDVKRLTRNAPSGPLNKARKAYSGPIEKQSYDAIYKQRFRSGALSGELCKSDKRSLSNQLFCSSDGQNSHRGSRSGAISPYRNVTTSSRFNEGTGFLGVPREAENLKGSMFSSFREVDSHFWDSPIKSTFEGESDSLSNVVEKTVYVDSVNYVKATSLDLCSSKPKGVMDSVENLTTMTSRIVEQTSSSAAKSCSRTQSALGLGQRLNPEEFKDLPERTSSNLNQEPNHKRGSRELSAVHFNGNTSRSSRENFKPDYRSYSDVTSSLSPYPPLPKSPSESWLWRTLPSIPSNQSPRATNTATKREITASSCSEHRCNSYYTEELIPYGSHIW >itb04g28750.t1 pep chromosome:ASM357664v1:4:32367171:32374325:-1 gene:itb04g28750 transcript:itb04g28750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDRKSNPNSPAFDRHLQGDERVSSPFASPKCYDLEEAFPNQQSSKKSVLTKVKERARKLRHSLSSNSRKKHDNQGHGEVSTPTWGVTLEDEDEDDEDEDPEYLGAPMYESELAPDSYKETARQHPRADLLFSERNASPQCIKHGQEFEIENGKERSDSSNVAEISGATQPIDSKFLGLTIRNTRVQSSREQRTGENSDHGLALFGQYAEGRKYELQQQGIITPTKWDKGVSVKEYFMNKLEPGEDEKALSKVISEAMSPRRAPGERGVVEKVKEVVSSFLRPQEPSSDSNPSSSSFASTNPSLSRVRTSTSNTTSSPKASTGNSSPIIPCLMKGFMQGYPKQTDQDSSSNTWGNKRWYIESWWKPNTTRGYLFLDTPPLRHLPWPPTSPPFRISDDNSKYHLPYNRRGAPSTIRTVRVIQETFRAENGGGARWYVMAEDDTVLFVENLVGVLSRYDHRKMFYVGMNSECVVTNYDTSFELAFRGGGYALSYPLAKALAENMDACIKRYPFLIESDHILQSCIADLGVSLTHEKGFHQIDLTKDISGLLSSHPHSPALSLHNLDAVAPIFPSKNRQEALKHLMKAAEVDQPRLLQQSVCYLKKNNWSFSVSWGYSVQIYEQIIPPSVLQKPLETFSECRRGARPPYMLNARPRRLPTYDSCEAPHVLFFDSVEESVLDHVVTTYSKRSPRGMRPCANNSAEGIAKIRVLSPMKKLQLVGNRRECCDIVEIVGMDTMGIMLRSCMKNEMVGWT >itb12g06700.t1 pep chromosome:ASM357664v1:12:4962553:4963783:-1 gene:itb12g06700 transcript:itb12g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCFLSSNSFAKTTEAFPLIPAKFPSSTRTRPFSHLHFKKSSTPSSLKCCLGDSASSSPKDEERASAVDGDWRAFRARLVAGEGVADQGTKDQPAIISIGDKWAHKIHEPEKGCLLVATEKLDGVHIFERTVILLLSLGAVGPTGIILNRPSLMSIKEMRSSALDVAGTFSNRPLFFGGPLEEGVFLVEGEDEVGKSGVLNQVMKGLYYGTKESVGCAAEMVNGNVVGVDSFRFFDGYCAWEREQLRDEIRAGYWTIAACSPAVIGLPSIGSVGLWKAIVGLIGRRKVW >itb09g18330.t1 pep chromosome:ASM357664v1:9:14065226:14068048:-1 gene:itb09g18330 transcript:itb09g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNVLDDMIMDLDLNQEPSDLPTESVLGFRSFLNDLESAQSRIEERIRHLEAVTARVWERHRWRRARSSVEANILVGQNVNAEGDEDAAGVSSGSGRGCKRDSSHLVAKALAIDSEVKKVAKDGGTFFDCNICLDMAQEPVLTCCGHLYCWACFYQLPYVDSMVKECPVCKGEVADGHVIPIYCNGNSHCETELVSGVKIPPRPKAHRVESVRQQHLTRGLSHIPVAEALRRIRASIGMGDPPNRPEPIGINANVPTTSQELQNADGSHRVRSRVFSRVFSESTASLSSELDNAQRIFEDLAASITDRILQRNSAQGSTAADAMRAEDSFGRDENVARLEHPILDTATEISSPASVASSSRGNEDSDAVVQLGNLIPTTSGERDQPLPRTPSYRRRFSLLRFSDINNEVSRETRRRRLN >itb09g18330.t3 pep chromosome:ASM357664v1:9:14065809:14067903:-1 gene:itb09g18330 transcript:itb09g18330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNVLDDMIMDLDLNQEPSDLPTESVLGFRSFLNDLESAQSRIEERIRHLEAVTARVWERHRWRRARSSVEANILVGQNVNAEGDEDAAGVSSGSGRGCKRDSSHLVAKALAIDSEVKKVAKDGGTFFDCNICLDMAQEPVLTCCGHLYCWACFYQLPYVDSMVKECPVCKGEVADGHVIPIYCNGNSHCETELVSGVKIPPRPKAHRVESVRQQHLTRGLSHIPVAEALRRIRASIGMGDPPNRPEPIGINANVPTTSQELQNADGSHRVRSRVFSRVFSESTASLSSELDNAQRIFEDLAASITDRILQRNSAQGSTAADAMRAEDSFGRDENVARLEHPILDTATEISSPASVASSSRGNEDSDAVVQLGNLIPTTSGERDQPLPRTPSYRRRFSLLRFSDINNEVSRETRRRRLN >itb09g18330.t4 pep chromosome:ASM357664v1:9:14065226:14068048:-1 gene:itb09g18330 transcript:itb09g18330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNVLDDMIMDLDLNQEPSDLPTESVLGFRSFLNDLESAQSRIEERIRHLEAVTARVWERHRWRRARSSVEANILVGQNVNAEGDEDAAGVSSGSGRGCKRDSSHLVAKALAIDSEVKKVAKDGGTFFDCNICLDMAQEPVLTCCGHLYCWACFYQLPYVDSMVKECPVCKGEVADGHVIPIYCNGNSHCETELVSGVKIPPRPKAHRVESVRQQHLTRGLSHIPVAEALRRIRASIGMGDPPNRPEPIGINANVPTTSQELQNADGSHRVRSRVFSRVFSESTASLSSELDNAQRIFEDLAASITDRILQRNSAQGSTAADAMRAEDSFGRDENVARLEHPILDTATEISSPASVASSSRGNEDSDAVVQLGNLIPTTSGERDQPLPRTPSYRRRFSLLRFSDINNEVSRETRRRRLN >itb09g18330.t2 pep chromosome:ASM357664v1:9:14065119:14067903:-1 gene:itb09g18330 transcript:itb09g18330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNVLDDMIMDLDLNQEPSDLPTESVLGFRSFLNDLESAQSRIEERIRHLEAVTARVWERHRWRRARSSVEANILVGQNVNAEGDEDAAGVSSGSGRGCKRDSSHLVAKALAIDSEVKKVAKDGGTFFDCNICLDMAQEPVLTCCGHLYCWACFYQLPYVDSMVKECPVCKGEVADGHVIPIYCNGNSHCETELVSGVKIPPRPKAHRVESVRQQHLTRGLSHIPVAEALRRIRASIGMGDPPNRPEPIGINANVPTTSQELQNADGSHRVRSRVFSRVFSESTASLSSELDNAQRIFEDLAASITDRILQRNSAQGSTAADAMRAEDSFGRDENVARLEHPILDTATEISSPASVASSSRGNEDSDAVVQLGNLIPTTSGERDQPLPRTPSYRRRFSLLRFSDINNEVSRETRRRRLN >itb03g16780.t1 pep chromosome:ASM357664v1:3:15601176:15602518:-1 gene:itb03g16780 transcript:itb03g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHRIAIGAPAEASHPDALKAALAEFFSMLIFVFAGQGSGMAFSKLTDGGAATPAGLIAAALAHALALFVAVSVGANISGGHVNPAVTFGAFLGGNITLLRGILYWIAQLLGSVVACLLLKLATGGLETSAFALSSGVSVWNALIFEIVMTFGLVYTVYATAVDPKKGDLGTIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTCHWVYWLGPLVGAAIAALVYDNIFIGTHHHEQLPIADY >itb13g23360.t1 pep chromosome:ASM357664v1:13:29393062:29399110:1 gene:itb13g23360 transcript:itb13g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRILNTNVDGKQKIMFALTSIKGIGRRFANICCKKADIDMNKRAGELSSAEIDNLMTVVANPRQFKVPDWFLNRQKDYKDGGYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >itb10g24970.t2 pep chromosome:ASM357664v1:10:28498934:28501638:1 gene:itb10g24970 transcript:itb10g24970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGGATGVAAKKRQRIGSSNRLSSTVAVIDSSRSDENLDECSHKPSALRRTASHSHLPISSRGAFNDSASADTVLRLYVDCPFDDDDAESISAVDSLDQSDVQIYLHSDVLRRCEYFETLLSERWLNESKDTGGDSGSASKMHRFSLGVPDTPRSIDDHITVLQLLYTDDYSATIDSVSTALSLLEVALKLIFEECIKACVRFLEAVPWTEEEEKRVFSLIPLLRDEESKDLLARVAPIKHDSSEDMLRGLIRAALHNHTNMAFVKAFVAKLLRDFSSKELARRVLDRVFEMSLKVVKESLEEYSSPDFRCDHNDPEAIQRLNLHTAMTNGRHLLWLVERMIELRVADTAVKEWSNQVSFTADLQRAFRDDAWRNYVPGLPAVVLRCTCKLANAVSTGTILADRQVSFFFFFFLFKDEIVS >itb10g24970.t1 pep chromosome:ASM357664v1:10:28498869:28501638:1 gene:itb10g24970 transcript:itb10g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGGATGVAAKKRQRIGSSNRLSSTVAVIDSSRSDENLDECSHKPSALRRTASHSHLPISSRGAFNDSASADTVLRLYVDCPFDDDDAESISAVDSLDQSDVQIYLHSDVLRRCEYFETLLSERWLNESKDTGGDSGSASKMHRFSLGVPDTPRSIDDHITVLQLLYTDDYSATIDSVSTALSLLEVALKLIFEECIKACVRFLEAVPWTEEEEKRVFSLIPLLRDEESKDLLARVAPIKHDSSEDMLRGLIRAALHNHTNMAFVKAFVAKLLRDFSSKELARRVLDRVFEMSLKVVKESLEEYSSPDFRCDHNDPEAIQRLNLHTAMTNGRHLLWLVERMIELRVADTAVKEWSNQVSFTADLQRAFRDDAWRNYVPGLPAVVLRCTCKLANAVSTGTILADRQVRMKLVKHWLPVLIACKDNVSPMMPNHKALYLELEETFLRIISTLPLSDAQELLQQCLSFSTRNVEDCPHLISAFTTWFRRANRSHEQKDLGARKQFGDWAD >itb04g00710.t1 pep chromosome:ASM357664v1:4:385697:392455:-1 gene:itb04g00710 transcript:itb04g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEHTDHHEESLMEKIAEKIHRADSSSSSDSESEKEHPKADVSPSSVKAKIWRIFGRERPVHKVFGGGKPADVFLWRNKKISAGVLGGATAVWVLFELLDYHLLTLVCHVLIIALAISFLLSNASSFINKSSPRIPEVHIPEDPFLQVAAALRIEINRGLALLREIASGRDLKMFLAADVSPSSVKAKIWRIFGRERPVHKVFGGGKPADVFLWRNKKISAGVLGGATAVWVLFELLDYHLLTLVCHVLIIALAISFLLSNASSFINKSSPRIPEVHIPEDPFLQVAAALRIEINRGLALLREIASGRDLKMFLAVIAGLWVLSIVGSWCNFLTLFYIKFRVVFVCLXEKIHRADSSSSSDSESEKEHPKADVSPSSVKAKIWRIFGRERPVHKVFGGGKPADVFLWRNKKISAGVLGGATAVWVLFELLDYHLLTLVCHVLIIALAISFLLSNASSFINKWASTSLHDSKLGGLHSTKYVDDYFDRSSPRIPEVHIPEDPFLQVAAALRIEINRGLALLREIASGRDLKMFLAVIAGLWVLSIVGSWCNFLTLFYITFVLLHTVPVIYEKYEDKIDPIAEKAMIEIKKQYAVFDAKVLSKIPRGPLKDKKRA >itb04g19690.t1 pep chromosome:ASM357664v1:4:24141306:24147711:1 gene:itb04g19690 transcript:itb04g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTYFPFVVAVVLFSFGVIGGEGRSQLSGISSEVWARQEADRVTGLPDSPPVNFRQYAGYVRVSKKHGRALFYWFFEAMEKPEDKPLVLWLNGRPGCSSVGYGLLEELGPFLSQKGKPELRFNNYSWNTGHYVPQLSELIYDKNKVVPKVDQINFKGLLIGNALLDDEADQTGMIDYAWDHAVISDRLRDDIKAACNFSSTTSSAECDAQINNFYSAYNIIDMYSLYTPTCVETNSTATKKSVPVVRGIAPHLFSKMAGWHKKPSGYDPCAPDYTEAYLNRPDVQKALHANVTGISYPWVHCSGIISTWKDSPSTILPIIRKLAAAGFRIWVFSGDTDGRVPVTSTRYCLKKLGLNITEDWTPWYTDNQQVGGWTVAYEGLIYVTIRGAGHEVPAFKPKEALQLVNHFLANKKLPSSPY >itb13g24270.t1 pep chromosome:ASM357664v1:13:29983487:29986971:-1 gene:itb13g24270 transcript:itb13g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISCIITTNLSSSFPRPFPRKHPKISLNSNAPKRHNTISCASSSSNEDGHKNPLPSSENISDRKIDRRNVLLGLGGLYGAYTFDPFALAAPVPAPDVTKCSRATISFSVDNVPYSCCPPLYGTISDYEVPSFTRLNVRPAAHKVSKSYIKKYEAAIKKMKELPCDDPRNFYQQANVHCAYCNGAYQLAGQPYDVHSSWLFFPFHRWYLYFFERILQSLINDPTFALPYWNWDNPPGMYFPDIFDDKSSPLYDEFRNADHRGGYLMDLAYAGQDLAAEPKQIVNNNLAIMYRQMVTNAPCPLLFFGAPLRADGYGPGGFANGTIVSVPHNSVHRWVGDPRTSHNEDMGNFYSAAKDPVFYCHHSNVDRMWTIWKTLGGKRMDIADPDYLNSEFLFYDENKNLVKVKIGDCLDNEKLGYTFQDMPTPWKKFKLTRKRTKLLIKSAKSTPSTETVLPATLTKILTFSVARPATSRTEADKEDMEELLNLGLEYDDTKYIRFDVFLNEDEDVILFELDRAEFVSSFANLPHVHEGHGEGEGDAPTTTTWRLPITEVLENLGIEGEDTVVVTLVPKCEGEFMNITKAEIELVNCVD >itb13g24270.t2 pep chromosome:ASM357664v1:13:29984735:29986971:-1 gene:itb13g24270 transcript:itb13g24270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISCIITTNLSSSFPRPFPRKHPKISLNSNAPKRHNTISCASSSSNEDGHKNPLPSSENISDRKIDRRNVLLGLGGLYGAYTFDPFALAAPVPAPDVTKCSRATISFSVDNVPYSCCPPLYGTISDYEVPSFTRLNVRPAAHKVSKSYIKKYEAAIKKMKELPCDDPRNFYQQANVHCAYCNGAYQLAGQPYDVHSSWLFFPFHRWYLYFFERILQSLINDPTFALPYWNWDNPPGMYFPDIFDDKSSPLYDEFRNADHRGGYLMDLAYAGQDLAAEPKQIVNNNLAIMYRQMVTNAPCPLLFFGAPLRADGYGPGGFANGTIVSVPHNSVHRWVGDPRTSHNEDMGNFYSAAKDPVFYCHHSNVDRMWTIWKTLGGKRMDIADPDYLNSEFLFYDENKNLVKVKIGDCLDNEKLGYTFQVYSTPYWIQPKLN >itb10g25370.t1 pep chromosome:ASM357664v1:10:28730896:28734034:1 gene:itb10g25370 transcript:itb10g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWAMLKHPEDVYPVIKLTMAARRVKKHIPAAQPHWRFCYTILSKVSKSFTLAIMLLPNELREAVCVFYLVLRALDTVEDDMSIATEVKVPILKDFHRHIYDSKWSFSCGTNAYKVLMDQFHHVSIAFLELEKNYQEAIEDIAMRMGRGMAKFIRKEVETLDDYDEYCHYVAGLVGLGLSKIFHISCKEDLVSNSLWNSVGLFLQKTNIIRDYLEDINEIPKCRMYWPRQIWSKYVGKLEELKYEENSVKAVECLNEMVTNALSHVEDCLAYMCAIRDPSVLRFYAIPQVMAMGTLVMCYNNIQVFRGVVEIRHGLAAKIIDRTKTMEDIYGIFYDFSCMLKSKVDMDDPNASKTLERLEIIMKTCRESGALNNRKSYIVRSKPNYTSALMVVFFIIILAIYLGYQKQNVFQRDPRLH >itb14g17580.t1 pep chromosome:ASM357664v1:14:20828413:20831167:-1 gene:itb14g17580 transcript:itb14g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVKTLTKALIKNSNNPKLAWLLFKRSLSAPNPPPFQSIPPITRILIRANMFPQLHALHHFLLSQPPHASLPTLFTVVKIVAKSGHIDHAFSLFQSIRTLFPDRPPLVSLYNLLIQTSLKQNRQNSASWLYKDLTFAGVSPETYTFNLLISGLCDSGRLQDARKLFDKMSDKGCEPNEYTFGILIRGYCLSGIACEGLELLDLMKKMGLCPNMIVYNTLISTFCKEGKVKEAERLVQSMREEDGLVPDIVTFNSRISALCDLGKVPEASRIFMDMQVDEEYGLPRPNIITFNVMLEGFCKEGMLEEAKSLVESMKKLGLFSDVRSYNIWLLGLMRNAKMLEAQSLLTEMVDKGIEPSIYSYNIVIDGLCKNGMVADAGLLMGLLKFTGISPDTVTYTTLLHAYCIKGKVNEANNILNDMMNSGCTPNTYTCNTLLHSLWKEGKVSEAEKLLQKMNERGYPLDSVSCNIMIDGISKTGKVDKAVEIIGEMWTHGSAALGNLGNLFLGLVDGGNDQKKCLPDLITYSTIINSLCKDGRLGEAKKKFVEMMERKLFPDSIVYNTILHHLCNRGKIAYAFQVLKDMEKKGCKKSLQTYNSLILVLGSKKQIFEMSGLMDEMRERGIFPNVYTYNIMIQCLCEEGRTEEATFLLEEMLQKGTLPNTYTFELLIKTYCRIGEFRPAQELFEISLSICGHRETLYRIMFNELLAGGEIMEAKLLFETALDRCFDVGGFLYKDLIDRLCKGDNLEIARDVLKRMMSSIYGFDPASFMPVIDGLRRMGNKRESNELADHMLEMVSTGKVGSKAYQNYMELNHERQNKYGTTDWQKIVHRDDGSAIAMRSLKRVLNGWGQGMYI >itb01g27490.t1 pep chromosome:ASM357664v1:1:32287738:32290643:1 gene:itb01g27490 transcript:itb01g27490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEFMVCVDRIILTSSAAYCFRGDSSSVNGMESLLSNAGSIAGKPEEVVVNASCEGFCSAGCGEGCSKGGGVVRECRICQEEDDEKDMEAPCACNGTLKFAHRKCIQRWCNKKGDITCEICSQVFSPNYTLPPARSNPDVLAIDISQAWGPAIDLRDPRFLAFATAEHQLLQSEYDDYAIASSSSLACFRYVAIIMMLLLSVRQMLMVARDFQMLRDSSSFFNFQISLLQLAGFLLPCYVMARSWYVVQCRRRRQGY >itb01g27490.t2 pep chromosome:ASM357664v1:1:32288809:32290643:1 gene:itb01g27490 transcript:itb01g27490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLFVCLYLQFAHRKCIQRWCNKKGDITCEICSQVFSPNYTLPPARSNPDVLAIDISQAWGPAIDLRDPRFLAFATAEHQLLQSEYDDYAIASSSSLACFRYVAIIMMLLLSVRQMLMVARDFQMLRDSSSFFNFQISLLQLAGFLLPCYVMARSWYVVQCRRRRQGY >itb01g27490.t3 pep chromosome:ASM357664v1:1:32287738:32289765:1 gene:itb01g27490 transcript:itb01g27490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEFMVCVDRIILTSSAAYCFRGDSSSVNGMESLLSNAGSIAGKPEEVVVNASCEGFCSAGCGEGCSKGGGVVRECRICQEEDDEKDMEAPCACNGTLKFAHRKCIQRWCNKKGDITCEICSQVFSPNYTLPPARSNPDVLAIDISQAWGPAIDLRDPRFLAFATAEHQLLQSEYDDYAIASSSSLACFRYVAIIVRTNN >itb13g22030.t1 pep chromosome:ASM357664v1:13:28444752:28449039:1 gene:itb13g22030 transcript:itb13g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MNVDAQEQPGSSSDASGKIHTLQPLRDLESNWAVDLAKNLEAYLLKICSGEISGHDGAHQISVNFSEAALLLQGSVQVYSRKVEYLYSLVLHALQFISQKSEQDQQANASAPTEDGASDTPNDPFWGLDDIPVEAKSLLDNTTSKDGLLNCFVKAPANLVVLEGDCLDTTGDAGELESYLLATSNLYRDFILLDSCDVVAVDDFLNNNKTGKGLNSSCKVRKTGQHSARYSGGVGKQSSARKGQDANLNQSPRLNNNFSANDHNVGYCPSPCHTPENNDDMYKMGGGYSDPRDCDESDDDDPWKPLNPHEPGNLKVKPYRRVKPKRRQGMGSRKHIPITTEFPLARLHGTINSHLYDVWEKCCATKKMDESQSLPLYEKLRQSLFHGENNDHDAFDNHENNEDDDYDNGNHDFEAPDFDMPETAEHNEDEHLGKEKHGNDSIPFDHEGHEDPYTHSTLEDLCRSHLDSLLANLAETEHQTELAARVSTWKQRIEHNLNEQESHPPFDIHEYGEKVLSKLSLEENSGNGVSFSDIVAGQEKHDVARTFSALLQLVNNGDVDLQRDGSRESNGYTAVNPFYIRRLRHEDTRNGVPLQVPSKNKAKSPIPKKHPRGEKNKRGKENHPGCSSPPGSNSSCRFTVKLGKGGSRCTPDGKKRRKSRLLEPVNLHTAL >itb13g03920.t2 pep chromosome:ASM357664v1:13:3936963:3939849:1 gene:itb13g03920 transcript:itb13g03920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLYVDRMSQPSRAVLIFCKVNGIDFEEVIVQLDKGKHRSPEFQEINPMKQVPAIEDGRFKLFESHAILRYLACAFPGVADHWYPADLYKRAKIDSVLDWHHANLRRGAVGYALNTTLGPALGLPLNPQAAAEAEKVLLASLDKIESFWLQGNGRFLLGSTQPSIADLSLVCELMQLEVGEYCFCRICVEVLCRIVWLSCSDNAGFNESFWRFRSWKRRIREEY >itb13g03920.t1 pep chromosome:ASM357664v1:13:3936963:3939849:1 gene:itb13g03920 transcript:itb13g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLYVDRMSQPSRAVLIFCKVNGIDFEEVIVQLDKGKHRSPEFQEINPMKQVPAIEDGRFKLFESHAILRYLACAFPGVADHWYPADLYKRAKIDSVLDWHHANLRRGAVGYALNTTLGPALGLPLNPQAAAEAEKVLLASLDKIESFWLQGNGRFLLGSTQPSIADLSLVCELMQLEVVEEKDQGRILSPYKRVLSWIDDMKKVTSPHFDEIHTVLFKVKARLQKQRSAKANLHSKM >itb05g22920.t1 pep chromosome:ASM357664v1:5:28202838:28203760:-1 gene:itb05g22920 transcript:itb05g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTSYLIFTYGTLKRGFPNHAIMANLIRSGDVTFRGEYTTVDAYPLVCGPYGIPYLINLPGSGVRIKGELYAVTDSGIVPMDDLEGVEAGHYERLPLKLTAGDGGGPEGTVAAEAYFAHRSFGEELWKRCGGVGIGDFTTEMSSKYQIKEERPQDFNFLKDLELFISGVE >itb03g10250.t2 pep chromosome:ASM357664v1:3:8058052:8063863:-1 gene:itb03g10250 transcript:itb03g10250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSMASILKQIADLNEKLGEKALETYTGLRAREHELEDLKNKIQVAEKTLAELKKNFVSIMADYKSSSAYLDDAVKYPFMLAPQIFNERNRAESFFNRILSTQIGKELVLEYGKWSTRKDNSRCRVKSFRSLLRPYKGMT >itb03g10250.t1 pep chromosome:ASM357664v1:3:8058052:8063863:-1 gene:itb03g10250 transcript:itb03g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSMASILKQIADLNEKLGEKALETYTGLRAREHELEDLKNKIQVAEKTLAELKKNFVSIMADYKSSSAYLDDAVKYPFMLAPQIFNERNRAESFFNRILSTQIGKELVLEYGKWSTRKDNSRCRVKSFRSLLRPYKGMT >itb15g03000.t2 pep chromosome:ASM357664v1:15:1901190:1902790:1 gene:itb15g03000 transcript:itb15g03000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKNQPIIAANGSCCIVGVIWRGTLYVANLGDSRAVLGTLTESGRLKAEQLTREHNASYADIREELRSLNPGDENIVYLRQGVWRIKGIIQVSRSIGDAYLKRQEFALDASYPKYHLPEPLTRPVLRSDPAIFSKNLTPADKFLIIASDGLWDHLSNQQAVDIVNSSPRRGIARQLIKAALTEAARKAHMSYEVLKQVRPGNRRMFHDDITVFVIFIDHQLMEAGVAYPVLSVKGTKGVVNPDVNLEYGIEVGESSQTGRRSIGQSSQAGLRSIGQSSQAGLRSIGQSSQTGLKSIGQSLQARLRSFRQSPDAAGKGKGKIGQSSEAGTRLVYQSSLARPFGQSPEVAGKGKGKIGQPSEGEPSLVDQSSQADTRLVDQSSQAETRSVDQSSEETRLLDQPLEAEPSKEAELPAPAE >itb15g03000.t1 pep chromosome:ASM357664v1:15:1901190:1902790:1 gene:itb15g03000 transcript:itb15g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLCISDRECGVLKALYRCALAIWPILKNYLLCYMVSRSIGDAYLKRQEFALDASYPKYHLPEPLTRPVLRSDPAIFSKNLTPADKFLIIASDGLWDHLSNQQAVDIVNSSPRRGIARQLIKAALTEAARKAHMSYEVLKQVRPGNRRMFHDDITVFVIFIDHQLMEAGVAYPVLSVKGTKGVVNPDVNLEYGIEVGESSQTGRRSIGQSSQAGLRSIGQSSQAGLRSIGQSSQTGLKSIGQSLQARLRSFRQSPDAAGKGKGKIGQSSEAGTRLVYQSSLARPFGQSPEVAGKGKGKIGQPSEGEPSLVDQSSQADTRLVDQSSQAETRSVDQSSEETRLLDQPLEAEPSKEAELPAPAE >itb07g03880.t3 pep chromosome:ASM357664v1:7:2618030:2623353:-1 gene:itb07g03880 transcript:itb07g03880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKVDGKNARELGSPRISRHQKKLSENIQNQSKRVSDLITSSARKQKSVIGNLQLGLLFFLLILLGNTFSKKDEKSATGTELDSRFRLVDNDAANICWGQDAGYETNYTSVENKGYEDATANCQTDAIFSPAFRTTRSIAGEISTNVELSKPFQHGDQPLDDRGKENMGTDILNGHVQEISSNLGSKNPTPEVLSMYHTLRNSRLECVDEFNQDQVQTDISMEETESEEFDDFDPYFFIKNLPDLSAVVPTFRPLLLPKQTRSCPSTTLALDLDETLVHSTLEPCDDADFTFSVNFNLKDHTVYVRCRPHLKYFLERVSSLFEIIIFTASQSIYAERVLNVLDPKRKLFRHRVYRDSCVFVDGNYLKDLSILGRDLAHVIIVDNSPQAFGFQVDNGIPIESWFDDPCDQELLVLLPFLESLVGVEDVRPIIANKFNLKGRINAAICPYSSVNGNSFDR >itb07g03880.t2 pep chromosome:ASM357664v1:7:2618137:2623331:-1 gene:itb07g03880 transcript:itb07g03880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKVDGKNARELGSPRISRHQKKLSENIQNQSKRVSDLITSSARKQKSGNTFSKKDEKSATGTELDSRFRLVDNDAANICWGQDAGYETNYTSVENKGYEDATANCQTDAIFSPAFRTTRSIAGEISTNVELSKPFQHGDQPLDDRGKENMGTDILNGHVQEISSNLGSKNPTPEVLSMYHTLRNSRLECVDEFNQDQVQTDISMEETESEEFDDFDPYFFIKNLPDLSAVVPTFRPLLLPKQTRSCPSTTLALDLDETLVHSTLEPCDDADFTFSVNFNLKDHTVYVRCRPHLKYFLERVSSLFEIIIFTASQSIYAERVLNVLDPKRKLFRHRVYRDSCVFVDGNYLKDLSILGRDLAHVIIVDNSPQAFGFQVDNGIPIESWFDDPCDQELLVLLPFLESLVGVEDVRPIIANKFNLKGRINAAICPYSSVNGNSFDR >itb07g03880.t1 pep chromosome:ASM357664v1:7:2617846:2623353:-1 gene:itb07g03880 transcript:itb07g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKVDGKNARELGSPRISRHQKKLSENIQNQSKRVSDLITSSARKQKSGNTFSKKDEKSATGTELDSRFRLVDNDAANICWGQDAGYETNYTSVENKGYEDATANCQTDAIFSPAFRTTRSIAGEISTNVELSKPFQHGDQPLDDRGKENMGTDILNGHVQEISSNLGSKNPTPEVLSMYHTLRNSRLECVDEFNQDQVQTDISMEETESEEFDDFDPYFFIKNLPDLSAVVPTFRPLLLPKQTRSCPSTTLALDLDETLVHSTLEPCDDADFTFSVNFNLKDHTVYVRCRPHLKYFLERVSSLFEIIIFTASQSIYAERVLNVLDPKRKLFRHRVYRDSCVFVDGNYLKDLSILGRDLAHVIIVDNSPQAFGFQVDNGIPIESWFDDPCDQELLVLLPFLESLVGVEDVRPIIANKFNLKGRINAAICPYSSVNGNSFDR >itb05g18460.t1 pep chromosome:ASM357664v1:5:25247799:25250968:-1 gene:itb05g18460 transcript:itb05g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKAWRRNHPHGFVAKPETLQDGSVNLMVWHCIIPGKAGTDWEDGYYPLTMHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDYGWRPAITVRQILVGIQDLLDQPNPSDPAQTEGYHLFIQDAVEYKKRVRQQAKLYPPLI >itb01g16850.t1 pep chromosome:ASM357664v1:1:21327940:21328305:-1 gene:itb01g16850 transcript:itb01g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSPDTNVRTPRKTKDGLHFYPVSPGGSGEGLPYAPEDWPNLGDKWRWKVGKRVKGSGYSKDRYLYLPRRLVKAGQPKSFQSKFSVEQYVQSAFPCTDVNAFFASFRWNIPLKWFNSKG >itb07g00710.t1 pep chromosome:ASM357664v1:7:443772:444851:-1 gene:itb07g00710 transcript:itb07g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQATPPVTGYPAPAGAPPTNSNGYYSNRPPPSGTAYAYAAPPPRAYNYNYNNYNPYYQQPDADAVRRAVFLRRVFAVIIALFIIIGVVFFIIWLVLRPRIPEFRADSLSVSNFNLSNNFVTANWEFQFTARNPNKKISLDYQHIYAEIFYDDESLAETSVAPFYQDSRNETTSKAEFAAKGAFVEDWVVERINKERPDIVFKVWMLARVSFKAGSWRTRSRYLRVFCGGLTVGFARNSSSGNLVGAPRQCRAGL >itb14g03400.t1 pep chromosome:ASM357664v1:14:3031403:3033286:-1 gene:itb14g03400 transcript:itb14g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITSENPFPTQPKWRKVAYGGMQPGFDDNHTDESFLEDMIMNANVVKRDLLKVILDSVSISQYICTVCLVVLVWTYTLKSSLNENSLLVLNFSLLGLGFFILLLTADMLSFNLLLSYVLKISFFITGLYMLSPIYHTLTRSISSDSIWALTASLLVIHLFLHNYSGSTVKAPGTLENPTLTSNISLNASIVASLLIASRLPSRLHVFAIVLFSLQVFLFAPLVTYCVKKYSFRLHLCFSFGLMVLTLALIHRLHTLLFVLLLAVFIFVNLVCPYWLIRLQEYKFEINGPWDEAKLCFAITE >itb11g13840.t1 pep chromosome:ASM357664v1:11:10869027:10870781:-1 gene:itb11g13840 transcript:itb11g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKIKIKKIDNIATRQVTFSKRRRGLFKKAEELAVLCDADVALIVFSSAGKLFDFASSSMKHILQKYVSHSSNIHKYPSPLQFLQVSFTVYRTICINI >itb05g16600.t1 pep chromosome:ASM357664v1:5:23787298:23789411:-1 gene:itb05g16600 transcript:itb05g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELASQKVQEWGEAYIDYNSLKGVLKEISRFQRRNAAAAAATRKNSLKRRLSMYRAFSGLTNRLSIPRGGTPRSDHEDEVILVNAVEQEGIGGAPQYQTMFLMSSEAGGEYELVFFRRLDDELNKVVRFYREKVEEVRKEADELSKQMDALIALRIVVEKPPVPVDINGDQIRDSAAIEANKSHMDAIQEIEMSGEGMGEENTRIIEEKTTNKFTDFRPAPLHVLNDVRVNIQPETPISTLKNILKASNSQLKYSRAELRKAEERLRKAFMEFYGKLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEEVRVRHMFKEQNIVTYHLSKLAIHWRICWAKLENPPPRVWLSCLKTT >itb01g08120.t1 pep chromosome:ASM357664v1:1:6472029:6475629:1 gene:itb01g08120 transcript:itb01g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEESTQKKMGYRWFDIVLAMAWASRWRKAYKCKRVIRRLKQRIELQKNRMNAIIRQGRCDVAQLLQNGQLQLALSKVEQLHSDQCRLAAYNQLLQFCDCILTNLSGFSMHSKFSDEGREAASSIIYASARCSELPELQSLRDLFKHHFGKSFERTNVQLLPGNTVNSQTKHNLTRKPAMEDIKLQLTDEIANEYSITLGPQLKLLARKNYIASCELKKKDEEPEGGLSKNERKHKSYSRTLKLQGILQKIRSNTTLTSSKSAAEKDFYPSSRGNNNIDPLSIKISSNNASVSIQGKGIVPDERAEVVHHVEDPCSCSGQHSSSKRYPDKIIDQRHSHMFNKDDSYAREERNEEVQDSHIIDEDQKNRVVTHVHPKLPDYDRLAARFEDLKRQNAKDQQPLTKWMR >itb07g21730.t1 pep chromosome:ASM357664v1:7:26278525:26279617:1 gene:itb07g21730 transcript:itb07g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGEDTQNMSHLRVMKNQQLRRCKTSGASAWVGDECSVEKNKYGSVDFEVAVTVGEVVEVFAEFHPLHTLFVFEMQRNSDGQEGLPCNFINLNYKW >itb09g12070.t2 pep chromosome:ASM357664v1:9:7613565:7616655:1 gene:itb09g12070 transcript:itb09g12070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSWKAIVFFAATLCTFFAGAKTLQGNNGDKISSLPGQPKVTFQQYSGYVAVDQKQERALFYYFAEAETQPDSKPLVLWLNGGPGCSSVGVGAFCEHGPFKPSGSVLKGNHYSWNKEANMLYLESPAGVGFSYSANKSYYVYVNDEMTARDNLAFLENWFEKFPQYKNREFFITGESYAGHYVPQLAHLIVQSKAKINLKGIAIGNPLLEFNTDFNARGEYFWSHGLISDSTFELFNTVCNYSQIRRQGQIGKFTAPCALVNNRANAEISRFIDAYDVTLDVCLSSLFQQAEILNQWQETEKIDVCVEDETIEYLNRKDVQIALHAQLLGVNRWVSCSMTCRTWRFQPSRF >itb09g12070.t1 pep chromosome:ASM357664v1:9:7613447:7616888:1 gene:itb09g12070 transcript:itb09g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSWKAIVFFAATLCTFFAGAKTLQGNNGDKISSLPGQPKVTFQQYSGYVAVDQKQERALFYYFAEAETQPDSKPLVLWLNGGPGCSSVGVGAFCEHGPFKPSGSVLKGNHYSWNKEANMLYLESPAGVGFSYSANKSYYVYVNDEMTARDNLAFLENWFEKFPQYKNREFFITGESYAGHYVPQLAHLIVQSKAKINLKGIAIGNPLLEFNTDFNARGEYFWSHGLISDSTFELFNTVCNYSQIRRQGQIGKFTAPCALVNNRANAEISRFIDAYDVTLDVCLSSLFQQAEILNQWQETEKIDVCVEDETIEYLNRKDVQIALHAQLLGVNRWGSCSDVLQYDMQNLEVPTIPILGSLVKSGIRVLVFSGDQDSVIPLTGTRFLVNKLAQDLGLSTTVPYGTWFEGKQVAGWTQGYGELLSFATIRGAAHEAPFTQPERSLVMFSSFLAGKPLPRLDGKMAGKRSSPTRIFASLGYH >itb12g24530.t1 pep chromosome:ASM357664v1:12:26019182:26022330:-1 gene:itb12g24530 transcript:itb12g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVDCSGCRTPLQLPPGAKTIRCAVCHAVTRVVAPPPPAPPPYLQPAPQYYPPRPSSYNHPPPPPVHGRKRAVIIGICYRNSSNELKGCINDAKCMKFLLVNRFKFPAESILMLTEEETDPYRIPTKHNIRMAMYWLVQGCQAGDSLVFHFSGHGSQQRNYTGDEVDGYDETLCPLDFEAQGMIVDDEINATIVRPLPPGAKLHAIIDACHSGTMLDLPYLCRMERTGRYAWEDHRPRSGAWKGTSGGEVISFSGCDDDQTAADTDSLSKVTSTGAMTFSLIQAIERGQGTTYGSILNAMKSSIRSSDSDLGGGLVTSLLTMLVTGGSIGMRQEPQLSANEPFDVYTKPFSL >itb05g12150.t2 pep chromosome:ASM357664v1:5:18645043:18654840:1 gene:itb05g12150 transcript:itb05g12150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MFLGTATLPSASSLPYPYILRPPLSTVFSSQPCFSFSFRIRKEQTLSVSCDYSCFEIRDVSYRPPGTKLNLLDRVNLSLPERSLGLIFGRSGSGKTTLLQLIAGLSKPTSGSIHIQRYTDDGQPYQSPEPLNPERVGIVFQFPERYFVADNVLEEVTFGWPRQSGSLHLRELLALRLQKAITSVGLTGIPLDKDPNSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADIVKLLNSLKKELTLLVVSHDLKELATLVDRSWRMEMGGNLKVDRLPI >itb05g12150.t1 pep chromosome:ASM357664v1:5:18644913:18654840:1 gene:itb05g12150 transcript:itb05g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MFLGTATLPSASSLPYPYILRPPLSTVFSSQPCFSFSFRIRKEQTLSVSCDYSCFEIRDVSYRPPGTKLNLLDRVNLSLPERSLGLIFGRSGSGKTTLLQLIAGLSKPTSGSIHIQRYTDDGQPYQSPEPLNPERVGIVFQFPERYFVADNVLEEVTFGWPRQSGSLHLRELLALRLQKAITSVGLTGIPLDKDPNSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADIVKLLNSLKKELTLLVVSHDLKELATLVDRSWRMEMGGNLKVDRLPI >itb07g15390.t1 pep chromosome:ASM357664v1:7:18481659:18482231:1 gene:itb07g15390 transcript:itb07g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSRRLCCFTTALLLIVIVLAAVCAALFHTILKPRPPVVIPHPTRLRNVTVALFPEPSLSASLNMTITIKNPNYGDCSYRKATAEVYYRGTPVTALPLADGAVPAKGQIDVVAHADLVGGKVVSSPSFLADIGAGSLNFTSVAKMPVRVTVLKKLRFHVRVIISCNMSVRVSDQSYDVLSTCASKLKI >itb15g11800.t3 pep chromosome:ASM357664v1:15:9643089:9648521:1 gene:itb15g11800 transcript:itb15g11800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYFNWLLPSVVESRKQSTSTIRLANKSDKDVAFKVKTTNPKKYSVRPNAGVLSPKSSCDFTITMQAQREPPPDMICKDKFLVQGATVPEGTTEEDITSEMFAKDDGKYVQENKLRVILVNPSDSPVLSPMNGVHSHQARNVFADDVFGSAKFSALSEENGKELVDTKLLESKSRKDIEELESFKEVMKSKLNELELQLSAAEATIARLTEERKEIARERESLQRELAIMTSKKVVKKVHVGFPLMYVVMVAVFSLTIGCLLRRL >itb15g11800.t2 pep chromosome:ASM357664v1:15:9641927:9648576:1 gene:itb15g11800 transcript:itb15g11800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLLDIIPRDVKFIFESRKQSTSTIRLANKSDKDVAFKVKTTNPKKYSVRPNAGVLSPKSSCDFTITMQAQREPPPDMICKDKFLVQGATVPEGTTEEDITSEMFAKDDGKYVQENKLRVILVNPSDSPVLSPMNGVHSHQARNVFADDVFGSAKFSALSEENGKELVDTKLLESKSRKDIEELESFKEVMKSKLNELELQLSAAEATIARLTEERKEIARERESLQRELAIMTSKKVVKKVHVGFPLMYVVMVAVFSLTIGCLLRRL >itb15g11800.t1 pep chromosome:ASM357664v1:15:9641927:9648576:1 gene:itb15g11800 transcript:itb15g11800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLLDIIPRDVKFIFESRKQSTSTIRLANKSDKDVAFKVKTTNPKKYSVRPNAGVLSPKSSCDFTITMQAQREPPPDMICKDKFLVQGATVPEGTTEEDITSEMFAKDDGKYVQENKLRVILVNPSDSPVLSPMNGVHSHQARNVFADDVFGSAKFSALSEENGKELVDTKLLESKSRKDIEELESFKEVMKSKLNELELQLSAAEATIARLTEERKEIARERESLQRELAIMTSKKVVKKVHVGFPLMYVVMVAVFSLTIGCLLRRL >itb15g11800.t4 pep chromosome:ASM357664v1:15:9643089:9648521:1 gene:itb15g11800 transcript:itb15g11800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYFNWLLPSVVESRKQSTSTIRLANKSDKDVAFKVKTTNPKKYSVRPNAGVLSPKSSCDFTITMQAQREPPPDMICKDKFLVQGATVPEGTTEEDITSEMFAKDDGKYVQENKLRVILVNPSDSPVLSPMNGVHSHQARNVFADDVFGSAKFSALSEENGKELVDTKLLESKSRKDIEELESFKEVMKSKLNELELQLSAAEATIARLTEERKEIARERESLQRELAIMTSKKVVKKVHVGFPLMYVVMVAVFSLTIGCLLRRL >itb03g05860.t1 pep chromosome:ASM357664v1:3:4141785:4144581:-1 gene:itb03g05860 transcript:itb03g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRPHAVCIPYPAQGHIFAMLKLAKLLHHKGFHITYVLTQFNYAHIMKARNFLPLTQSPTFRFETIPDGLPSRENPDTALDIADLCFSTAKNCYAPFMELIDMLNRASDVPPVSCVVSDALMAFTVGASQELGIPVVFFFPISAVASLMHIYSSDIRDKVSKNKDVIFGDYIIDWIPGVKSIGLSDIPTTAWSTDPNDPFIDYLISQVSKSYKASAAIFNTFDELEPEVCKALSSMFNRVYTIGPIPMLLKGLPKSEIDKIECNLWKEDSTCIQWLDTKNPKSVVYVNFGSMAVTNPEKLVELAMGLSKSMKNFLWIIRPELISGDWSTILPPAFTDAFKDRGYIADWCDQEQVLNHPSVGGFLTHCGWNSMVESMSAGVAMVCWSFFADQPLNRYCCCSEWRLGLEIENDVKRENVESVVRELMEGEKGREVKKKALFWKERAEVATSVGGSSFLNLDKLIGEVLLSGGQHFPNGV >itb06g24090.t1 pep chromosome:ASM357664v1:6:25799493:25803439:-1 gene:itb06g24090 transcript:itb06g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRAVEGVAIGEDLTPPAPPIAVALHDSRRFINCSACFSPLASAPPFSNHANHVPSPSPFLRYCSPRCASVDSPLHFSSAEFHLLRQSPPSTYPDSSDLRLSLRLLHRFETLRVVPRNDGVFDRIGGLMTNRAELMRTGSDEDGEVMERIKEGARAMAAARRTRDGPDLESSSAGECAVEEAVLCLVLTNAVEVQDSAGCSVGVAVYGPSFSWINHGCTPNSSYGFSTMPCSGTVPRLLIYPASTDGDTANGNELINKFLSAIGNEEGYGPRLIVRSIKAIKKGEELLIAYTDLLQSKGMRQSELWLKYRFTCCCSRCNADPATYVDRVLQEIYVVDLDNPTSTSINNSDGDFAIKKFIDCFDDAVDDFMTFNNPTSCSGKLENLLIHGYANKKLKPGGETSCQRFKLHPFHHLSLNAYTTLASAYKVLASELLALDPKNDTDRFKAFSTNKASAAYSLLLAGSTHHLFCFEPSLIISVANFWTSAGESLLSLVRSSLWDSCLEFSPSFAESSSFSSQSCNKCTLHSRVKASFIWSRDLNVELSETTRQFLNCVTNLTPKFWHFLINKGHYLNSVKDPIDFRWIESTEFSVLPDHVASSELKSDSETEADMDSSCVRTGLFQIGFHCLLYGSFLSSVCCTQHPPSNGDQLTQPEGYATGTPTTAGTGAVAPQ >itb03g10600.t1 pep chromosome:ASM357664v1:3:8458764:8459616:1 gene:itb03g10600 transcript:itb03g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNLAYKIKNGKIVLKKFKNEDEDDDNKALLAKEIERWKKQQQNIAKGSQGDDDKAITKLCILVLGSGPGIA >itb10g14620.t1 pep chromosome:ASM357664v1:10:20969967:20971338:-1 gene:itb10g14620 transcript:itb10g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVEEEPEWKKTLPPGYMFAPFDKEIFKCYLLPKVKGEYFHPQVIPEFDIYQCQPSQLPGLDPKQAFSYFFTKTDKKHQNGKRLNRFTKDKKGHWRITSKIQEVTEEDGKTVIGRKNVLVYMYENKIKTNWIMHEYMLDHNYANKNEGDKLDTSVVVCRIYERKGKNNCENNSDESEVIRDVEQKHKRGSSSSSQSDDPGESSSRDKRWKQHCINNQTSLNSNPLDVQPAATMMMQTTYWEEQPLQPLSDHKLASNNNGCYPFDVQLNSMPQQPATYRDELQLPNFDPMKKLIPQPPKEPNLNVEEKEDDDSDFDFDTDSFFL >itb15g02270.t1 pep chromosome:ASM357664v1:15:1384040:1387099:-1 gene:itb15g02270 transcript:itb15g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILRFLSILELSTTVSRNNLFRLHQRFQLFTLSFDTKSYKLQKSKKTRESEAHNLNSLFNEIRDILESGNVRKVEKQSGFTLPGRTQPENVGDIVQKAACTLDVCGNAEENEEFLSSNDKQMGVLGALDVSPIVHKITEIVRDENDAVSMEERLKNASLEYTQGIVEMVLKRCFKVPHLALRFFNWVKSVEGFSHSTETYNVMIYMAAEAKDFGLVDKLLEEMENNSCEHNLKTWSIIISHCGHAKLIGKALLVFEKMKKNGPEPDTLAHKLMLNALCNAGKADIALEFYKEMSHQGMVLDERLFKKLLKCLAVSGDSVAAHRICDDMMSTLEISEHEVYGFMLRNLCNAGRIREALELIREMMKKNVSLDSENFEALVNGLCKADRINDALEIVDIMKKRSAGNENLYKIIVCAFLSRNELSKALDLMQSVKESGHLPTTATYTALMQRLFRANEFQKGVDLYHEMMERGVRLDCMAFTAVIAGYIRQNCVSEAWGVFKSMKEKGISPSRKSYSIFIKELLKVSGTNDILKFMKEMKDSKMEPGDDIFQQVTSYLRRKGEMNKLKEIEQMQGVSSLLEARVELNTAELGPASKSCHQHGVCEISQILSSSMDWCFVNEQLEKCSIRFTPELVTEILRNSRLHSGIALRFFSWAGKRSGYRHTTESYHMAIKIAGQAKDFKEMRSLFYEMRRKRCLITCNTWTIMIMQYGRTGLTDIALRTFREMKDGDHRPTETTYKFLIICLCGKKGRNIDEAIKLFHEMIEMDCRPDKELAEAYLDCLCEAGKLGDARSCIESLQKTGFSIPLSYSLYVRSLCRVGRLEEALGLIAEFDDDQHTLAQYTHGSIIHGLLQKGDLKTALAKIESMKQLGIQPTIHTYTSLIVYYFKEKQIEKVLACLEEMKESGCEPTLVTYSALLRGYINTGNVTDAWSVFQHIKQNGPFPDFKTYSIFISCLCRIGKSEEALQLISEMMNTGIVPSAVNFRTVFYGLNREGKRGLAQYVLQKKSHLKRMRKLSI >itb13g22980.t1 pep chromosome:ASM357664v1:13:29102982:29106612:-1 gene:itb13g22980 transcript:itb13g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLASCFASLTCGLCTSVASGISSRSARLGYCLIFGFSLVVSWVLREVAAPLLEKFSWINESESHTNEWYQMQAVLRVSLGNFLFFGLLALIMIGVKDQNDRRHACHHGGWIIKFAVWVVLIVLMFFLPNVIVNIYAIISKFGAGFFLLIQVLILLDATHAWNDAWVAKDEQKWYMALLAVSVVCYLGTYAFSGILFIWFNPSGNDCGLNVFFIVMTMILAFVFAVIALHPKVNGSLLPASVISIYCAYVCYTGLSSEPRDYACNGLHKSKAVTIGTLVLGMLTTVLSVLYSALRAGSSTAFMSPPSSPRSGERKSLLDSNELESGKAKGETEARPVSYSYSFFHLIFALASMYSAMLLSGWTSSSESTELIDVGWTSVWVRICTEWVTAALYLWSLMAPLLFPDREFF >itb10g20010.t1 pep chromosome:ASM357664v1:10:25659377:25660420:-1 gene:itb10g20010 transcript:itb10g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKPEGKRSINETESAEGGEDEDEDVEEDNKKKRALTISGRKLTGGGSTQLFCQVEDCSTDMTDAKPYHRRHKVCEIHAKAPSVLINGVQQRFCQQCSRFHELAQFDEAKRSCRRRLAGHNERRRRITYDSHGEGST >itb06g19450.t1 pep chromosome:ASM357664v1:6:22786591:22791808:-1 gene:itb06g19450 transcript:itb06g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRMQLWLVCVLAFSLILVAVEGLLVNITILESAVKSGAVCLDGSPPAYHLHRGFGSGINNWLIQLEGGGWCHNITNCLARKESRLGSSKNMASPLAFSGILHNKPIFNPDFYTWNRVKIRYCDGSSFTGDVKKVSPATNLHFRGARIFPAVINDLLAKGMKNAKNAILSGCSAGGLASILHCDQFRELLPKTAQVKCFSDAGYFVNVKAISGEPIFEKYYDDIVTLHGSAQNLPKSCTSKLKPGLCFFPQNVVSDIKTPLFLINSAYDHWQVRNAFIPGVADPSGKWSKCKLNILNCLPNQLKILQEYRLEFLKALFGLKPSPERGYFIDSCYLHCQTELQMFWHMPNSSRLSNKTVAQAAADWFFNRNLFRKIDCPYPCNKSCTKTPTLLLDSDLL >itb15g05610.t1 pep chromosome:ASM357664v1:15:3607339:3609402:-1 gene:itb15g05610 transcript:itb15g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MASILSFSVLKPTITKASVSSSSSSPTSTITTPSVPETLQEKFGRKGIKFSDAGDIVELTVRNGSSLKLQIPTAHVTSYRPKVYWKDDGFEEIVYTLPPAGPSSSSKGGIGLIMEEVPEPSPAPKVRSLSMAASSLAPPAPKSTPWTVTDADSDSIDAVQVELSCTRGALDLTYVVSLYPVSMATAVIVKNTGQKPVTLKTAILSHFRFKSRAGTGIQGLIGASYCSHPPLSSPFEILSPSEAMKAEDPGFFSFGWEPEKKTGVWAVQDVPITVLRHKMSRVYAVPPAERSKGFYNTLPSKYETLDQGRELFFRVIRMGFDDVYVSSPGSISQKHGSDYFICTGPASVLIPVVVKPGEEWRGAQIIEHDNL >itb11g16830.t1 pep chromosome:ASM357664v1:11:15431308:15431691:-1 gene:itb11g16830 transcript:itb11g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMGSFFRCLNPALTIAAALAYRDPFVLPINKKEEADAAKRSFAGDSCSDHIALLKAYEGWKDAKRQLCLTPPLFRQATQQLWWSYLSSLVFPVSLV >itb04g19350.t1 pep chromosome:ASM357664v1:4:23695194:23699330:1 gene:itb04g19350 transcript:itb04g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKNARREERLNQGPGERSAAAAVPPATKPADAGKKSKK >itb12g20120.t1 pep chromosome:ASM357664v1:12:22575076:22576227:1 gene:itb12g20120 transcript:itb12g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQITPQIVGKKLWNIVRIVFYMVRKGVWKSKLIQLDLQLMLKRGKLAAGKALSNLMLHHHHYSAALTTCRSHHSVRVFTAHAPPHHYEFSCSNSPANKRRNHRRENKIDADVFQRVFEMLNDRPEVDGSSPLTGLPGFGRTPNVRQLRVTDSPFPLKDSEAEMNSQVDMDAEEFIRKFYTQLNQQSKKAALESPSPYHIRAR >itb05g17040.t1 pep chromosome:ASM357664v1:5:24099260:24100448:1 gene:itb05g17040 transcript:itb05g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G60950) UniProtKB/Swiss-Prot;Acc:Q9FME5] MTYCVVLVAFLLSCFSFTSTDAYDPFDPYGNITIKTDVLSSTPDGYVAAVTIYNFQQHRQIEAPGWNLGWTWSEKEVIWSMVGGETMVSEECFRFNSSNTPQSCTKHPRFVDLSPSAPQNQQIADCCKGGVLSAWGEDAANAVSSFQITVGAAGTTNRTVRMPKNYTFFAPGPGGYTCGPAKIVRQTKLITPDGRRLYHAMMTWNVTCMYSAFLGYKDSSGSIALAPAPDPATRSAPNLAPIAIVTGSLSPAPLHSSFSSCSPSFSLLSFFVVSVFLCSLQSVM >itb11g10110.t3 pep chromosome:ASM357664v1:11:6869251:6871165:-1 gene:itb11g10110 transcript:itb11g10110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYVNRKFSNQYKATIGADFLTKEVHFDDRMYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLSNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVIPEKKAKAWCASKGNIPYFETSAKEGFNVDAAFQCIAKNALKKEPEEEVYLPDTIDIGGGHLQRSTGCEC >itb11g10110.t1 pep chromosome:ASM357664v1:11:6869250:6872916:-1 gene:itb11g10110 transcript:itb11g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVHFDDRMYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLSNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVIPEKKAKAWCASKGNIPYFETSAKEGFNVDAAFQCIAKNALKKEPEEEVYLPDTIDIGGGHLQRSTGCEC >itb11g10110.t2 pep chromosome:ASM357664v1:11:6869661:6872916:-1 gene:itb11g10110 transcript:itb11g10110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVHFDDRMYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLSNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVIPEKKAKAWCASKGNIPYFETSAKEGFNVDAAFQCIAKNALKKEPEEEVDTSSSVVWLAQILYSIKNIVM >itb14g01480.t1 pep chromosome:ASM357664v1:14:1131999:1136099:1 gene:itb14g01480 transcript:itb14g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.1 [Source:Projected from Arabidopsis thaliana (AT1G25390) UniProtKB/Swiss-Prot;Acc:Q9C6K9] MARICFPSFLILCQIFLNFHLADSKETAQNGECKDFTCGFLGTVGFPFCESPRPDCGLIKLDCAANISRPKLDLVGKKYEVVEKDGNFLRVVDRELESLLKNSSCETFYKNLSLPDSPVISYDVYHYLTLFRCDLGSDKSGLAGLGSYNKCNGYEGGFEVFYKRNPYDDPNFGYVVGRDTPAGCSRIELPIPLSTENRPHGDGPFGLLNASFLLEWKVSGDCYECRDRGGRCLVDERNRYRCSKGKVNFRLLLRAVIGAVGCVVVILVVIFMVMRYKRRRRNSLYALSRNTSSDGEGSNKDYGVPIFSHKELQKATNHFASSRELGDGGFGTVYYGKLQDGREVAVKYLYEHNYKRMEQFVNEIEILARIRHPNLVTLYGCTSRRSRELLLVYEYIPNGTVADHLHGARAKGDGYLIWPIRMNIAVETARALAYLHASDIIHRDVKTANILLNDRYCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHKCYQLTDKSDVYSFGVVLIELVSSMPAVDINRHRDEINLSDLAMKRILNCQFSELVDQTLGFESDVGVRRMTTLVAELGFQCLQMDKEMRPTMEEVLQRLVEIQGNDYGDEIIEKSENGVSNMHPSVEIPNSLESEIPQSPEKDDVVLLKKFKVPVSPNSVIHKWVSTTSRSSSIASTNE >itb10g14030.t1 pep chromosome:ASM357664v1:10:20293680:20293979:1 gene:itb10g14030 transcript:itb10g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWCPQEHVLAGPSMACFMIHYRWNLSMEALANEPKSLPSLNGAIKVANAKYLVDVFQNRIRLFRSEAADMIILREDIENCYERENTVKKENTIIPLL >itb03g28060.t1 pep chromosome:ASM357664v1:3:28687503:28687778:-1 gene:itb03g28060 transcript:itb03g28060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWKWVKLRCARSAARHGRVRASLPATVAGSARISKAQHSGRVTGAVLDLLASAISSADLISSHHRQEYSIWFNCLFMRVVSLLLCQLWE >itb04g11300.t1 pep chromosome:ASM357664v1:4:10872330:10873715:-1 gene:itb04g11300 transcript:itb04g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTKGGLSTKLLNISVVCFCKAKQLEKAEAVIIDGVKVGVEADVVTYNTLITAYCQFVGIHEGYSVLRRMKEAGVIPDVVTYNSLIAGATKHGLLSRCLDLFEEMLDLGIFPNVWSYNTLMHCFFKSGKPDEGYRVFRDILLQGIPPCPTTFNILINGLCKNGYTENAMMLFRYLKRHAFAPQLVTYNILIHGLCKSGRPAPARRLLKELVEKGHIPDTVTYTTVMKCCFSLRRFEEGLQVLADMRSKGYIFDSHAYCTVISCLLKAGRTKDAHQCLENMIMNGVNLDIVAYNTLINLYCKNRKLEYAYFLVEDAEKRGLVHDVYTHTILIDGLCKTGDIKGAEHHLECMNKMGFVPNLVAFNCFINGLCKAGQIDHALHVFKWMDEKDCFTYSSMVHGLCRTGRFREASKLLLSCIRGGMKILKSDKEAVINGLRRSGFLNEARKLQSRIRVAKLLHYH >itb05g22290.t1 pep chromosome:ASM357664v1:5:27729153:27730105:-1 gene:itb05g22290 transcript:itb05g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKRTFLLSIFIFWIFSTHTIFTCADEGQNEAKTAQESPSPQEVLYDTYALFTKASLQYWENVKSLFNQAQLSFKTKEDLSEGEKQIGATERLKDAAEKSFEQTKEAVEGSAKSAADAVHDAAEKVKDKMPAAAAGKDAAADHGDKMPAPAIGDKMPAAAAKDAAADHGEL >itb09g27230.t1 pep chromosome:ASM357664v1:9:27635793:27636219:1 gene:itb09g27230 transcript:itb09g27230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFPSLYDDADSYSTFSAVNKGHFTIYTADQKRFIVPLSYLENEIIRQLLTEVYLENLRVHCSSQLLHISVHQLCYTKKGGEIRNC >itb09g16860.t1 pep chromosome:ASM357664v1:9:11983918:11986251:-1 gene:itb09g16860 transcript:itb09g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLCLKDLHAYDDAVILFPSGNPSDQGFAGMMILGPAVPTSPHSPRHRSLKSERRLPPVTSLLAPHHRSFTVEDRPATRELNHSTAWLSSSLQYSLSPAVGVSSNLHLHRHRPQEKKGLFHCKVCRLA >itb04g22810.t1 pep chromosome:ASM357664v1:4:28085883:28088227:-1 gene:itb04g22810 transcript:itb04g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MAGGLVSKKVNDKGEGDGEKDDLVLQDTFAQETAVMVEDPNMLRYIDQELAKKRGKNINVANEAEGEVKRAEDELYQIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKVLQEKRFMGRTKTDSSIPSSYTADYFHRGKDYAEKLRREHPELYKDRNFEDNSMESTANDSVTDVAVRRQAATDEFMLERFRKRERHRVMRR >itb04g22810.t2 pep chromosome:ASM357664v1:4:28085883:28088227:-1 gene:itb04g22810 transcript:itb04g22810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MKTGRNFRKRSFVDEEEDDEQDRRSALEEVKFLQKQREKKLGIPALPPTAQPAPGAGAGAGAGVSSNGMAGGLVSKKVNDKGEGDGEKDDLVLQDTFAQETAVMVEDPNMLRYIDQELAKKRGKNINVANEAEGEVKRAEDELYQIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKVLQEKRFMGRTKTDSSIPSSYTADYFHRGKDYAEKLRREHPELYKDRNFEDNSMESTANDSVTDVAVRRQAATDEFMLERFRKRERHRVMRR >itb05g04830.t1 pep chromosome:ASM357664v1:5:4443596:4446525:-1 gene:itb05g04830 transcript:itb05g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALGAAKGLAFLHEAEKPVIYRDFKGSNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAASDVYSFGIVLLELLTGRRAIDKTKPQREQNLADWARPQLKDPRKLRRILDPRLEGMYSEAGAQKAALLAYECLSHRSKSRPTMSTVVKTLEPLKDYKEVSSVTFVYIAPTEKDTKTISVEKDHGEEEQKELKKETEEHRHKHHHHHRHHHQNRHQHDHKHRTRSPNSTMPVGSLALVFTLPNFTPPPPTAILQSSPRRPRLHSPAQHRSSALRPLPDGGPGRRSRQSPPALFSHSHSCRSANAQAPSVAAASVIA >itb15g15000.t1 pep chromosome:ASM357664v1:15:13495367:13495753:-1 gene:itb15g15000 transcript:itb15g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIWEKETVKPPPLLKLVAVVRSDRGAPLSLQPPEKRRSPFVAVAAGETEDTATLKSELLSELRVWTGGLPEGRHRPPVVAVWTGGLGSPRLRLARVWFLREAGKRRRLCAAAVLELGEGLKATLSP >itb15g08760.t1 pep chromosome:ASM357664v1:15:6187649:6189249:1 gene:itb15g08760 transcript:itb15g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLQFYFRLLGSLFTSRLSTLTFKPSKFLPIQLFVYSPSLCLSASSHHFTNHPLRDFFSFSISGVLLEAVLLNTGNLVLRERSNLSNIIWQSFDDPTYPWLPGAKLGYNKLTGKSLQKLSSWRNGEDPKPGPFKVVAEE >itb05g11830.t1 pep chromosome:ASM357664v1:5:18029271:18033016:1 gene:itb05g11830 transcript:itb05g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVRKESKHRGGDPLVLCFVDFVDAACAATALSALQGYKMDEHDPDSPYLRLQFSKYPGARSGGSGNRGKQR >itb05g11830.t6 pep chromosome:ASM357664v1:5:18029271:18032999:1 gene:itb05g11830 transcript:itb05g11830.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVRKESKHVCQSSFSNPYSHPPFYSFVLEFSLPIVITNKTSFPAWWRSSCSLFCGFCRCSLCGHCFECSARI >itb05g11830.t2 pep chromosome:ASM357664v1:5:18029271:18033016:1 gene:itb05g11830 transcript:itb05g11830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVRKESKHRGGDPLVLCFVDFVDAACAATALSALQGYKMDEHDPDSPYLRLQFSKYPGARSGGSGNRGKQR >itb05g11830.t7 pep chromosome:ASM357664v1:5:18029271:18031858:1 gene:itb05g11830 transcript:itb05g11830.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVARILLDAKRESNEWIRIYLYRVFVLNVLFFLAFLP >itb05g11830.t3 pep chromosome:ASM357664v1:5:18029377:18033016:1 gene:itb05g11830 transcript:itb05g11830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVRKESKHRGGDPLVLCFVDFVDAACAATALSALQGYKMDEHDPDSPYLRLQFSKYPGARSGGSGNRGKQR >itb05g11830.t5 pep chromosome:ASM357664v1:5:18029271:18032222:1 gene:itb05g11830 transcript:itb05g11830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVRKESKHRGGDPLVLCFVDFVDAACAATALSALQGDPFKF >itb05g11830.t4 pep chromosome:ASM357664v1:5:18029271:18032999:1 gene:itb05g11830 transcript:itb05g11830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQAPMHQPAGLVKRPRSDYDITPPTMPVAPDMHNYFARDDDRGMTRIKDTQTIGSAYDRYLQSSHVSSFSSGETSNYKGVGVGVGAGVGLGRVGGGDVMPGLAARDPVATARGAVAPELVTNGRGMGYVGRLPVDAIARPRETLPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVRKESKHVCQSSFSNPYSHPPFYSFVLEFSLPIVITNKTSFPAWWRSSCSLFCGFCRCSLCGHCFECSARI >itb14g05360.t1 pep chromosome:ASM357664v1:14:4694639:4700192:-1 gene:itb14g05360 transcript:itb14g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAGIQLDCKRTKTFLENPSARFHSRPSTVVASAVNPRRRPSSRLPSLADLSHRRRICSGVVQETADQPSTVVDVSPAVASAQPRRRFPPPSLLLPGTVASQPSLLPRSTGEKVDTDLQLRSLARLNGEAVTPTGSGSVYSLFSALMGGVVGVVVWFRCAVEFASNLRLFVESGCVLWSLLFSPSARFHSRPSTVVASAVNPRRRPSSRLPSLADLSHRRRICSGVVQETADQPSTVVDVSPAVASAQPRRRFPPPSLLLPGTVASQPSLLPRSTGEKVDTDLQLRSLARLNGEAVTPTGSGSVYSLFSALMGGVVGVVVWFR >itb04g17360.t1 pep chromosome:ASM357664v1:4:20138923:20139910:1 gene:itb04g17360 transcript:itb04g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLEMAQVLAEENEAVALEAKELAEIRKLYAEEKEEEVKLLERSIEELDCRGDLHTLRQRQPGTHFSELAVKGSTKKHCS >itb13g24290.t1 pep chromosome:ASM357664v1:13:29988723:29991753:-1 gene:itb13g24290 transcript:itb13g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTIPISCITTTNLSSSSPHPFPRNHPKISLINSNAPKRQNTISCASSSSNEDGHKNPVPSSSENISDRKLDRRNVLLGLGGLYGAYTADPFALAAPVPAPDITKCGTATISFSEDKVPYSCCPPFYGTISDYTVPSFTRLNVRPAAHTVSKSYIRKYKTAIKKMKELPCDDPRNFYQQANVHCAYCNGAYQLAGAAYDIHPSWLFFPFHRWYLYFYERILQSLINDPTFALPYWNWDNPPGMFFPEIFDDDESSPLYDEFRNQEHRGRFLMDLAYAGQDLAAKEPQIVKNNLAIMYRQMVTNAPCPLLFFGAALRADGYGPSGSANGTVVNVPHNSVHRWVGDPRTEHNEDMGNFYSAAKDPVFYCHHSNVDRMWTIWKTLGGKRMDIDDPDYLNSEFLFYDENKNLVKVKIGDSLDNEKLGYKFQDMPTPWRRFKLTRKRSKALRSVKSIPPAEDVLPATLTKILTFSVARPATSRTKADKEETEELLNLGLEYDDTKYIRFDVFLNDDEEVNELELDRAEYASSFANLAHVHEGGSDAPTTTTWSLPITELLEDLGIEGDDKVVVTLVPKCGGRAMNITKAEITLVAC >itb06g18220.t1 pep chromosome:ASM357664v1:6:21931940:21936168:1 gene:itb06g18220 transcript:itb06g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAAITLPLTASSRRSLSSSLIAPFRFSSPSPLSLQRRALQIPSCSPFRRPTFCISAALSMSSETTEKASPASFLDHKESGYLHFVKYHGLGNDFILVDNRDSTEPKITPEQAVKLCDRNFGIGADGVIFAMPGTNGTDYTMRIFNSDGSEPEMCGNGIRCFAKFIGELENLDGKQSFTIHTGAGLIVPEIQENGQVKVDMGEPVLKASDVPTKLPANRDQSVVKGGLDVDGTTWNVTCVSMGNPHCVTFGTGSKDLLVDEINLAEIGPKFENHIMFPARTNTEFVQVLSSSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGPLEIEWNKDDNHVYMTGPAEVVFYGSVPL >itb11g22730.t1 pep chromosome:ASM357664v1:11:24642323:24645750:1 gene:itb11g22730 transcript:itb11g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNKKTKGFFKFFNPETSSQKMEMPPEVSAYMRGKLPRTVFLRDRYKNMWEVKVGSSGNDLFFEEGWEKFIKDNCVELGDFLVFDYIDTKSLFDFKLLGHPCCDEKLGVGRPNFLVKEEVEWDPSNDSIINENNMSEDEYEFAEVEVKEEEEDDDDDGDGECRIEAALRRNHHKRNAFDYTGASIFKSGLYPQPINPYFVTKTRKGRKNELHIPEYVIRDFNLKLPSTMILRDPKGKDWEAKVKPWKDGRVVFAGGWKELCKWNLIQEEDSCICEFVAEEREMGRKELLLQIQVVHGPH >itb07g12050.t1 pep chromosome:ASM357664v1:7:13622172:13624484:1 gene:itb07g12050 transcript:itb07g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKSKAELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQTQKAALRKAYENKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYFPVRKYAIKV >itb07g12050.t3 pep chromosome:ASM357664v1:7:13622359:13624170:1 gene:itb07g12050 transcript:itb07g12050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKSKAELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQTQKAALRKAYENKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYFPVRKYAIKV >itb07g12050.t2 pep chromosome:ASM357664v1:7:13622433:13624481:1 gene:itb07g12050 transcript:itb07g12050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKSKAELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQTQKAALRKAYENKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYFPVRKYAIKV >itb04g09530.t1 pep chromosome:ASM357664v1:4:8806417:8807942:1 gene:itb04g09530 transcript:itb04g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATFTHEVASSVAAPRMFKALYVDSDSLLPKVMPQVIKSIEIVHGDGGAGTIKKMNYLEGDTLKYVKHKMEVVDVDNLICKYSLIEGDVMGDKLERVDYEATIEASGNGGCICKNTNKHHTKGDYVLKEEEIKEGVDKAMELFKVVEQYLLANPSVCA >itb04g28990.t1 pep chromosome:ASM357664v1:4:32532120:32535433:-1 gene:itb04g28990 transcript:itb04g28990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYETMMEISKYHKREKELAWEKVIVLQRELDRKQGLEMEIETLKHDIKVIRQMNKKRDLAAIINFTSIKEELKDKQEELNYFKNINNEFIIKQWRDNDQLQKARKALINNRRAILAQKKAYETMVETAKNHKREKELALEKVIVLQRELDGKQGLEMEIETLKHDIKVMRQMNEERDLADKIKLTSIEEELKDKQEELDYFKNINNEFIIKQRCDNDQLQDARKALINGLKDARTNICVKRMGELDAKPFIHAAKQKYSKEEAPGKALELCSLWEDHLRDPHWHPFKVVKNGEQHVGVIDEEDEKLVGLKKEYGDEVYVSVTTALMELNEYNSSGRYPVPELWNTKMKRKAELKEGIEQVLKRSRRLKN >itb03g04520.t1 pep chromosome:ASM357664v1:3:2872157:2874752:-1 gene:itb03g04520 transcript:itb03g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHFFFLFFFYFYFAASDLASDRAALLALRSAVGGRSLLWNITEPNICLWPGVQCSSDKKYVAELHLPGTGLSGVIPAGTISNLTRLQTLSLRYNALSGVFPSDIFSSLRDLRNVYLQHNFFSGEIPDGVFSLPNLVRLNLAHNNFSGSISPSVNNLTRLATLYLEENQFSGEIPDVNVPGLVQFNVSGNLLTGQIPEKLSTQPKSAFQGNSLCGAPLDLCDGQQTKSKKKLSGGAIAGIVVGSVIGLLLVLLLLFCLCRKRGEKEVRSNEAAGIKQTEIEIPPEKEVMAGAASAAAFGAKEKEVVNAEVNGGGKSLVFFAKAPGRNYDLDDLLKASAEVLGKGTFGTAYKAVLDAGITLVVKRLRDVTVPEKEFRQKIEEVGRMNHDNLVPLRAYYYSRDEKLLVYDYITMGSLSALLHGNKGASRTPLNWETRTSIAVGAARGISHLHSQGQSISHGNIKSSNILLTKSHDARVSDFGLAQLVGPSSTPTRVDGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHSLSNEEGVDLPRWVQSVVREEWTSEVFDLELLRYQNVEEDMVQLLQLAVNCTAQYPDKRPSMAEVTARIEDLCRPGFQDNNIVDNPEE >itb06g22400.t1 pep chromosome:ASM357664v1:6:24692876:24695712:-1 gene:itb06g22400 transcript:itb06g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLLAFLVLFSLSISNTSLANNLVSKTQFLKSGDDTLVSKGGIFEMGFFSRPTNSLNTYIGIWYRQDPKKTVVWVANRDHPLTNTSSAALKITLEGQLALVGDNNHAVWYANQSRSVQNPVAELLDSGNFVVRDADDENPENFLWQSFDYPTDHNLPGMKIGWNFQTGHEVFITSWKSEDDPASGQYTLHLDPSGYPQIVIKNGPNRIYASGPWTNLSSSESGGKGSILPFWLVRNKREAYMTYGLSKAISSLRFVLTSNGLVKGFVWENQTKEWDSFSTTSLDSCDTYGVCGGNGFCNVDGFPTCGCLEKFLPNNNASENLSLGCHRRKPLSCKDGSSFQIYSGIKLPDTNNSWYFESVINLQECEQICLRNCSCTAYSILSKRNGGIGCLVWFGDLIGIRSVSPNGQDIYIRLASSEVTAGNATGDNTKRVAGTHGYMSPEYAGHGIFSVKSDVFSFGISVLEIVSGRRNNEFINEDQYVTLPEHAWKLYREGKSILLVDQHIAGSYDVVQVLRSIHIGLLCVQQSPDDRPDMSSVVQMLVNNFALPQAKEPGFFFGKEYPSGTHSKRSQNEVTITLLSPR >itb02g23920.t1 pep chromosome:ASM357664v1:2:24255010:24262337:-1 gene:itb02g23920 transcript:itb02g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQSLFCMPSIFHSAKMAADGAASPAGFAEQELIKQLVDSGNELLQNPPASKEELLGKLERLGLLLSNVYQEPSGSFHEALQPSIKALFADGLLQHADLEVQTSTTYCMSEILRITAPDQPCDDKSIEEFFQLAIMAFRKLANVEDRCYSKAASILQTFAKYRLCVLMLDLELDALILEMFQLFLNSICPDNADALLPFMEAIMTMIIEDSEEISTDLLSILLTSAKKENQNPLNVCYVLGERVFRNSNVKLQQYIPGAVKSLGINVNDYAEIVELTWREAIKSENLDKKELAPNSASPRDVGTAQKLSSSDCPRETPSSDLAKVSGQDASCNTQFRSDQVNQNDIDVRNNPSHENRTSAFAAQKSLSPPTRRRGRKPNSLMKPEEGYDHTWINVEGKSDKTPCQGKESKKRIGRPRKHLVSKGSHFTSSQSLNTIEDFQTRERRAEEEIKPSDGEQLMPVSDSLKRAEEENKPSDGGFRSASTKKRSNNGETSKKRKRKCSPSEKAARKQAEKLVGSRIKVWWPIDQTFYEGSIASFDYFEKKHKVNYDDGDVEDLDLSIERWELIRDVKSAVDEQETVAGGANLSEIKLDHVTNSDRSARSTCKARDGAELPVVKPEVEQRKVSRSNSAPSTSERAQDASVELPVVKQEVEKRKVIRIKPTHQRVRNAAAELPVGEEGEKPKNKRRRTKKATPRFPVLDSEKVNVKGYQVKASAAPILEEIFEKHGDIAANCPYPSRGFRASVFEVICDVIRELRTNDWGTIVSMVGQMRTEVSDTAAAAHLEVSWLKQILDDISTEDDGQLASVIRNTALVSKAARKDLTQRKAELVIAERSYEEAKRQLERAEQSKRTAERAVEVLESVKKKAQNDMELNFNKRNDLQNRFFTLQ >itb02g23920.t2 pep chromosome:ASM357664v1:2:24255010:24262337:-1 gene:itb02g23920 transcript:itb02g23920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQSLFCMPSIFHSAKMAADGAASPAGFAEQELIKQLVDSGNELLQNPPASKEELLGKLERLGLLLSNVYQEPSGSFHEALQPSIKALFADGLLQHADLEVQTSTTYCMSEILRITAPDQPCDDKSIEEFFQLAIMAFRKLANVEDRCYSKAASILQTFAKYRLCVLMLDLELDALILEMFQLFLNSICPDNADALLPFMEAIMTMIIEDSEEISTDLLSILLTSAKKENQNPLNVCYVLGERVFRNSNVKLQQYIPGAVKSLGINVNDYAEIVELTWREAIKSENLDKKELAPNSASPRDVGTAQKLSSSDCPRETPSSDLAKVSGQDASCNTQFRSDQVNQNDIDVRNNPSHENRTSAFAAQKSLSPPTRRRGRKPNSLMKPEEGYDHTWINVEGKSDKTPCQGKESKKRIGRPRKHLVSKGSHFTSSQSLNTIEDFQTRERRAEEEIKPSDGEQLMPVSDSLKRAEEENKPSDGGFRSASTKKRSNNGETSKKRKRKCSPSEKAARKQAEKLVGSRIKVWWPIDQTFYEGSIASFDYFEKKHKVNYDDGDVEDLDLSIERWELIRDVKSAVDEQETVAGGANLSEIKLDHVTNSDRSARSTCKAQDASVELPVVKQEVEKRKVIRIKPTHQRVRNAAAELPVGEEGEKPKNKRRRTKKATPRFPVLDSEKVNVKGYQVKASAAPILEEIFEKHGDIAANCPYPSRGFRASVFEVICDVIRELRTNDWGTIVSMVGQMRTEVSDTAAAAHLEVSWLKQILDDISTEDDGQLASVIRNTALVSKAARKDLTQRKAELVIAERSYEEAKRQLERAEQSKRTAERAVEVLESVKKKAQNDMELNFNKRNDLQNRFFTLQ >itb02g23920.t3 pep chromosome:ASM357664v1:2:24255010:24262337:-1 gene:itb02g23920 transcript:itb02g23920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAASPAGFAEQELIKQLVDSGNELLQNPPASKEELLGKLERLGLLLSNVYQEPSGSFHEALQPSIKALFADGLLQHADLEVQTSTTYCMSEILRITAPDQPCDDKSIEEFFQLAIMAFRKLANVEDRCYSKAASILQTFAKYRLCVLMLDLELDALILEMFQLFLNSICPDNADALLPFMEAIMTMIIEDSEEISTDLLSILLTSAKKENQNPLNVCYVLGERVFRNSNVKLQQYIPGAVKSLGINVNDYAEIVELTWREAIKSENLDKKELAPNSASPRDVGTAQKLSSSDCPRETPSSDLAKVSGQDASCNTQFRSDQVNQNDIDVRNNPSHENRTSAFAAQKSLSPPTRRRGRKPNSLMKPEEGYDHTWINVEGKSDKTPCQGKESKKRIGRPRKHLVSKGSHFTSSQSLNTIEDFQTRERRAEEEIKPSDGEQLMPVSDSLKRAEEENKPSDGGFRSASTKKRSNNGETSKKRKRKCSPSEKAARKQAEKLVGSRIKVWWPIDQTFYEGSIASFDYFEKKHKVNYDDGDVEDLDLSIERWELIRDVKSAVDEQETVAGGANLSEIKLDHVTNSDRSARSTCKARDGAELPVVKPEVEQRKVSRSNSAPSTSERAQDASVELPVVKQEVEKRKVIRIKPTHQRVRNAAAELPVGEEGEKPKNKRRRTKKATPRFPVLDSEKVNVKGYQVKASAAPILEEIFEKHGDIAANCPYPSRGFRASVFEVICDVIRELRTNDWGTIVSMVGQMRTEVSDTAAAAHLEVSWLKQILDDISTEDDGQLASVIRNTALVSKAARKDLTQRKAELVIAERSYEEAKRQLERAEQSKRTAERAVEVLESVKKKAQNDMELNFNKRNDLQNRFFTLQ >itb07g13690.t1 pep chromosome:ASM357664v1:7:15964047:15965955:1 gene:itb07g13690 transcript:itb07g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLNHALFSSNVSVCQSGGSSSSVSQSLQAFTLMRNRCFRSSGLSQYSKATATESTSQTPSSLNAIKISRVARSDAQAALFEYLHYTRGFDFVDAEHISKSSPCFLQKLLLKVENEEDVSRALSRFLRYHPINEFEPFFESLGLSPDEIALLLPRNLMYLTDNHLLLDNYHVLSSYGIPRSNIGKIYKEAIEIFQYGEGVLNKRLMACEKLGLSRSTVIKLVSCSPTVLVGDVNNDLFEVIEKFKKMGFGNDWIGSYLSSEHSCNWSRILGTTFFLSEVGYNEPQMGTLFKANPALLFEGSGKCAYVLVAQLVKLGLDMDEIHSLLLEYPEILSLKCTKRFWKAMNFLFEIGMETKDIAKIVSRHIELLGSNSLKRPKTVLKYFKGDRRHLCQTIMEDPLNLFRLASKSEIKSIEQTAFRNPATSSEKTTFLLKLGYVENSDEMTKALKMFRGRGDQLQERFDCLVEAGLDCNIVVSMVKKAPTLLNQTKDVLEKKLGSLKKHLGYPVESILSFPSYLCYDMDRINLRFSMYAWLKEKGVAKPMLSLSTLLACSDARFMKYFVDVHPDGPAMWETLKKVYHAS >itb12g21710.t3 pep chromosome:ASM357664v1:12:23986851:23989124:1 gene:itb12g21710 transcript:itb12g21710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRKFSSTSSSIACCIRSLSSSPLPCIFHTTNHFKEEQQGRELEVDQHTVTNRAYWTRKIHKLCSFDRNVDEALRLIDQLRLYGYCPDSLNLSSVIHALCHARRFPEAHRRFFLAVSAHSNVNESTCNVIIARLLDSRSPESTLHVIRALFREKPEFVPSLMNYNRLMDQFCALSRPRDAHQVFLEMRNRGHCPNVVSYTTLINGYCGVGEIGDAWNLFDEMSERGVSPNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCLCREGLFHEVFRIAEDMPQGKCVVEEFSYSQMIDSLCRYGMYNGGARIIYIMKKRGFKPSLVAYNTIVHGICRECDFFRAYQLLEEGIQFGYSPSEFTYNLLIEGLCSVSDLDKAKNVLNIMLNKKVVDRTRIYNIYLRAICLNDNPTELLNTLVTMLQTQCQPDLITLNIVINGFCKMGRVEEALKVLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDEEALX >itb12g21710.t1 pep chromosome:ASM357664v1:12:23984712:23989124:1 gene:itb12g21710 transcript:itb12g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRKFSSTSSSIACCIRSLSSSPLPCIFHTTNHFKEEQQGRELEVDQHTVTNRAYWTRKIHKLCSFDRNVDEALRLIDQLRLYGYCPDSLNLSSVIHALCHARRFPEAHRRFFLAVSAHSNVNESTCNVIIARLLDSRSPESTLHVIRALFREKPEFVPSLMNYNRLMDQFCALSRPRDAHQVFLEMRNRGHCPNVVSYTTLINGYCGVGEIGDAWNLFDEMSERGVSPNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCLCREGLFHEVFRIAEDMPQGKCVVEEFSYSQMIDSLCRYGMYNGGARIIYIMKKRGFKPSLVAYNTIVHGICRECDFFRAYQLLEEGIQFGYSPSEFTYNLLIEGLCSVSDLDKAKNVLNIMLNKKVVDRTRIYNIYLRAICLNDNPTELLNTLVTMLQTQCQPDLITLNIVINGFCKMGRVEEALKVLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDEEALX >itb12g21710.t2 pep chromosome:ASM357664v1:12:23984661:23989124:1 gene:itb12g21710 transcript:itb12g21710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRKFSSTSSSIACCIRSLSSSPLPCIFHTTNHFKEEQQGRELEVDQHTVTNRAYWTRKIHKLCSFDRNVDEALRLIDQLRLYGYCPDSLNLSSVIHALCHARRFPEAHRRFFLAVSAHSNVNESTCNVIIARLLDSRSPESTLHVIRALFREKPEFVPSLMNYNRLMDQFCALSRPRDAHQVFLEMRNRGHCPNVVSYTTLINGYCGVGEIGDAWNLFDEMSERGVSPNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCLCREGLFHEVFRIAEDMPQGKCVVEEFSYSQMIDSLCRYGMYNGGARIIYIMKKRGFKPSLVAYNTIVHGICRECDFFRAYQLLEEGIQFGYSPSEFTYNLLIEGLCSVSDLDKAKNVLNIMLNKKVVDRTRIYNIYLRAICLNDNPTELLNTLVTMLQTQCQPDLITLNIVINGFCKMGRVEEALKVLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDEEALX >itb06g03510.t1 pep chromosome:ASM357664v1:6:5895303:5896066:-1 gene:itb06g03510 transcript:itb06g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITTALLVMLYCVRLAAVRSGRRANGVRVVTVGKTSSSLSSASLVHSTTSQLLAPEDEFDQIFRSLGLSLEDFGIPTDAWEAWRCFSVSNSVRSSRVTRSGVLDADKLADSFATRAVIRNGDELENHLDVAGLDTKLGLE >itb01g25980.t2 pep chromosome:ASM357664v1:1:31230664:31235384:-1 gene:itb01g25980 transcript:itb01g25980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQAISSCSRINPTFRGSVTKGSYRFDEKPSYSWKSTSVLEIGAKASDFLGHSIVQRNLKLALPRSNYAIQAVLTSDTDKHTTTAAAAEKQVCKKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDMELEGLEEKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELSVVAPWREWDIRGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDKPEYVNIGIVAGLPVSVNGKELSPATLLSHLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFTAVRELESLTIGREAMQRKDSIALDYAELVYAGRWFDPLRESYDAFMKEITKTTTGSVTLKLYKGSVTVTGRQSPYSLYRQDISSFESGELYNQADAAGFIRLYGLPMRVRAMLEKGL >itb01g25980.t1 pep chromosome:ASM357664v1:1:31230563:31235420:-1 gene:itb01g25980 transcript:itb01g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQAISSCSRINPTFRGSVTKGSYRFDEKPSYSWKSTSVLEIGAKASDFLGHSIVQRNLKLALPRSNYAIQAVLTSDTDKHTTTAAAAEKQVCKKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDMELEGLEEKAKASGACQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELSVVAPWREWDIRGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDKPEYVNIGIVAGLPVSVNGKELSPATLLSHLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFTAVRELESLTIGREAMQRKDSIALDYAELVYAGRWFDPLRESYDAFMKEITKTTTGSVTLKLYKGSVTVTGRQSPYSLYRQDISSFESGELYNQADAAGFIRLYGLPMRVRAMLEKGL >itb04g19700.t1 pep chromosome:ASM357664v1:4:24172072:24172935:-1 gene:itb04g19700 transcript:itb04g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEAPTGALIHHETALQALNTIIQLHFEKTLEKKRAVDLQKKELWKMFQLFFLFLSLLFLGQAQSPRLQCRHCWVPIGLLSMAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATERLRRRRRRGRDR >itb11g23590.t1 pep chromosome:ASM357664v1:11:25631862:25635067:-1 gene:itb11g23590 transcript:itb11g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRINMRLGYFWSRQWEAVMTLEMDLSPFSLAVGGGPPVAPPWPPAVENFPAASGSPEPEKNDCDLFLEVSDIAKEATVCPGRSDREFGSAIEAPRIEISLGEWEALADIERNTSLIGRFGRDRPSLDAIRDNFRSDLHISGDVCIGSLDAKTILVRLSADEDWRRILSRNRSIVAGASVWFSRWSLDWRPWRDSPLIPVWVHLPNLPIHLFNFSTLSRICNPIGRALVIDAATERRSRPNVASVRVEVDLRKPLVRKIWIVIGGEGNGFWQKIDYEYMPSYCPGCSRIGHSLSSCRMQRMVRVEEHTLRIPTVCDNDPVGDTSSPGAVDDQLRGLEQQVQSMEALGVQGNEAHLVEELEEAFIDGKLDKIDKIEEVLVMTPSSVMKRAKKQRKNAIKISTTSVSTQCSSSSSLENWIEGVKGELSYDEVQIRLIEEARHDVILFFEKSFEELGRKVYVRKSDEFALTAASMLLGKLQGKNRENELMSSGCSKKSDLNGECVAKNEIENEYIDKDDGEECLVFEGEVGSDSGEYGEEKCDGESACCEEEQLVNEEGNSEFGDESSPVNSEEEYVAGVNGEERGGCFDERSVNGNDEEFATDEIESLANDEIESLANDEFENKYVEEEVEPEGEEEMGTENSINDEHKNEGVEEEGEVDPTEGRDEFGEYDDIDDNRMGIEEESNVDDGEYDEYAFNCDEWLDWLERGLRYVGGGGNLMNEVLKEIHSYMRVVYDAQQQVFWEEESSITLKGCIILREIVRASPVRGLLHPESELGYHLQINEEVIGRSLCRWAWRRFRRDWEEKLMKLDVNYYCN >itb01g16350.t1 pep chromosome:ASM357664v1:1:20569325:20576678:1 gene:itb01g16350 transcript:itb01g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPFQYPMPINGKSENIFAGSFLSFGAFKKQSFRNKKKLSKFFHQYNPTSPLSTTISNNSTIFIRHFHCNKTSIVSVAASHIEGREWKSSQGPKLTREDSCPAVNPVKHLPSSYEMAPGQVKKCTKSSSTIEGTNGITVTTNNEVIRPTSSIPTSNCETNNTLSTNQVNQAMPTSISANTSRAEGGTTSKKRCRGPTRGIGLVKRNEVAGKKLQVVIDREHGRPLFKISLWRVLHI >itb10g22880.t2 pep chromosome:ASM357664v1:10:27542367:27545219:1 gene:itb10g22880 transcript:itb10g22880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLCCFDSLAGGRSSCGSGKGRSHHGPAKFGFNLVKGKASHPMEDFHVAKFVQMHGRELGLFAIYDGHLGDSVPAYLQKHLFSNILKENDFWTDPQTAIFKAYERTDQAILSHSPDLGRGGSTAVTAILINGQKLWVANVGDSRAVLSRRGEAIQLSIDHEPNTERGSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDVTSADINPDIELLILASDGLWKVMSNQEAVDIAKKIKDPQKAAKQLAAEALERDSKDDISCIVVRFKG >itb10g22880.t1 pep chromosome:ASM357664v1:10:27541929:27545226:1 gene:itb10g22880 transcript:itb10g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLCCFDSLAGGRSSCGSGKGRSHHGPAKFGFNLVKGKASHPMEDFHVAKFVQMHGRELGLFAIYDGHLGDSVPAYLQKHLFSNILKENDFWTDPQTAIFKAYERTDQAILSHSPDLGRGGSTAVTAILINGQKLWVANVGDSRAVLSRRGEAIQLSIDHEPNTERGSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDVTSADINPDIELLILASDGLWKVMSNQEAVDIAKKIKDPQKAAKQLAAEALERDSKDDISCIVVRFKG >itb06g12060.t2 pep chromosome:ASM357664v1:6:16582997:16604539:1 gene:itb06g12060 transcript:itb06g12060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELRKCCNHEQVVFLATLGVCTVFILALWRTVLLLPFKLVTVFIHEASHAIACKLTCGHVEGVQIHADEGGSTETRGGVYWFILPAGSRVAAGCLAVTLLVVLCVAKNWTLRGLCIGLILFLAVIWILQETTKIRILRYIILFIGVMNSLFSVCDIYSDLISRRVHTSDAEKFAEVCPCPCTGVGWGVIWGLISILFLCIATYLGLAVGFRPHCWKPSPVAEVGRVTPRWIPKSIRRAAAAAQEEGFMVPERFKVVALMACVMALCNADRVVMSVAVVPLATKYGWSNAFLGIVQAVGFRPHCWKPSPVAEVGRVTPRWIPKSIRRAAAAAQEEGFMVPERFKVVALMACVMALCNADRVVMSVAVVPLATKYGWSNAFLGIVQFVEGKLYSSTYVMGSREQDLSGNEGGFTLTLRHGGRINKTKSSYVGGKICSFQNIDVNEWGLFTLRDKLNEIGYEMVEHLKMFGSMSNGLIELVTDCEVWDLVKQIELHNAIEIWVVSDDGVDECEDVSGEAVDNSENESEGDWESEADYEEDDIEFETNVDPSVEFGGIEEQQAELTINDGNIEINFSNEGSEKDSDFEEEQEYKLPNFKGKTEMTSPKFCLGLTFGSKQEFKEAVYNYAMCHGKELLYVNDKVRCIVECQSKEKSKGESKERSSTCIFLNCPIFNSSIFNCPSFNTSTASRTQGEDDDSWLANIDIDAVVSQLIGTPAPSTEVTADEIPMTSQPPILEDTQEEVTNSPSMDKGKK >itb06g12060.t1 pep chromosome:ASM357664v1:6:16582997:16604539:1 gene:itb06g12060 transcript:itb06g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELRKCCNHEQVVFLATLGVCTVFILALWRTVLLLPFKLVTVFIHEASHAIACKLTCGHVEGVQIHADEGGSTETRGGVYCGLFGGFVLVLFSSLLSYGFCRKQPKSVFYGVMNSLFSVCDIYSDLISRRVHTSDAEKFAEVCPCPCTGVGWGVIWGLISILFLCIATYLGLAVGFRPHCWKPSPVAEVGRVTPRWIPKSIRRAAAAAQEEGFMVPERFKVVALMACVMALCNADRVVMSVAVVPLATKYGWSNAFLGIVQAVGFRPHCWKPSPVAEVGRVTPRWIPKSIRRAAAAAQEEGFMVPERFKVVALMACVMALCNADRVVMSVAVVPLATKYGWSNAFLGIVQFVEGKLYSSTYVMGSREQDLSGNEGGFTLTLRHGGRINKTKSSYVGGKICSFQNIDVNEWGLFTLRDKLNEIGYEMVEHLKMFGSMSNGLIELVTDCEVWDLVKQIELHNAIEIWVVSDDGVDECEDVSGEAVDNSENESEGDWESEADYEEDDIEFETNVDPSVEFGGIEEQQAELTINDGNIEINFSNEGSEKDSDFEEEQEYKLPNFKGKTEMTSPKFCLGLTFGSKQEFKEAVYNYAMCHGKELLYVNDKVRCIVECQSKEKSKGESKERSSTCIFLNCPIFNSSIFNCPSFNTSTASRTQGEDDDSWLANIDIDAVVSQLIGTPAPSTEVTADEIPMTSQPPILEDTQEEVTNSPSMDKGKK >itb05g20120.t1 pep chromosome:ASM357664v1:5:26345381:26349550:1 gene:itb05g20120 transcript:itb05g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRLGSERGGSSRREILQNSQSNEATSSSRRKSTVKVLVIIAAVLIVTAAISVGVLVGVRGRGSGDRITAKPSQAMARTCGKTLYPSLCLSSLLDFPGALSATDGDLVHISVNVTLQRVGKALYTVTDYNNLQMSTIVRSAYDDCLELLEDSMDLLDRSLSSILSGDAGANAQDVMTWLSAALTNQDTCTEGFSDANGPVKDQVTQRLKDLSELVSNSLAIFAATNGGDDFSGVPIQHRRKLLDHRDAVLASTDDDFPKWLSESDRKLLSTPVTPIHADIIVAKDGTGTVKTITEAIKKVPEHSTRRIVIYIKAGTYDEANLKVGKKKTNVMIVGDGKGKTVISGGRSVAEQFTTFHTASFAATGTGFIAKDITFQNTAGPSKHQAVALRIGADHGVIYNCQIIGYQDTLYVHSQRQFYRECDIYGTVDFIFGNAAVVLQKCNIYAQKPMSNQKNTITAQNRKDPNQNTGISIHASNILATSDLEASKGSIPTFLGRPWKMYSRTVYMLCNMGDHIHPRGWLEWSGNFALSTLYYGEYMNTGPGAGLAGRVKWPGYRVIKLETEANKFTVSQFIYGSAWLPSTGVAFLSGLSR >itb12g07800.t1 pep chromosome:ASM357664v1:12:5958152:5961108:-1 gene:itb12g07800 transcript:itb12g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSTPLEVLYNVLGWVAFVSWSISFYPQVILNFRRKSVIGLNFDFVVLNLTKHTSYLIYNASLFFGSAVQRQYRQKYGFDEMIPVAANDVAFSVHAVLLTAITLFQIAIYDRGTQKVSKSCTAIVSVAWLAIAVCVFIAIPKHSWLWLVSCFNILQVVMTVIKYIPQAVMNFRRKSTVGWSIGNILLDLLGGLTNYGQMAVQSIDQHSWVNFYGNIGKTLLSLVSVFFDILFIVQHYVLYPSRKAVTSDDADAEAVRKKLLINSSDHPHADDV >itb09g15960.t1 pep chromosome:ASM357664v1:9:11284910:11285809:-1 gene:itb09g15960 transcript:itb09g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGITLSIFFLLFITFISSTDALIHHRIPRSQLKRRLVKPLLTAAALPAMQMKPFVPQYDWIQQDFLRAHNDFRAKVGSPPLQWDSTLAKFAHDWAVQRKNDCNYRIHSRNGKYGENIFWQLYRESTPRDVVRTWFQEQKHFDHRRNVCVCKPERGGCECGHYTNLIWKSTKKVGCSTFVYCNNQKGVYVVCSYYPKGNIIGKNPLA >itb05g14970.t1 pep chromosome:ASM357664v1:5:22276987:22279255:-1 gene:itb05g14970 transcript:itb05g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMKNKTTSPAAAAAANGGGNGNEWEMRPGGMLVQKRGPDSENLPPPPNIRVRVKYGSIYHEISISSQATFGELKKMLTGPTGMHHEDQKLLFKDKERDSKAFLDTSGVKDKSKIVLIEDPISKEKRYLEMRKNAKMEKAAKTISEISLEVDRLAGQVSALESVISKGGKVAEKSVINVIESLMTQLVRLDGIVAEGDVKVQRKTQVARVQKYVETLDLLKLKNSAPTSNGNHSENLIPTSNGNHTPIARGDSFGNSPVLTPKHQIEPSRHSASGPVVITTQWETFDPAPAPLLDPFSTATSTSGSAHPRVSWDLLS >itb05g14970.t2 pep chromosome:ASM357664v1:5:22276987:22279255:-1 gene:itb05g14970 transcript:itb05g14970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMKNKTTSPAAAAAANGGGNGNEWEMRPGGMLVQKRGPDSENLPPPPNIRVRVKYGSIYHEISISSQATFGELKKMLTGPTGMHHEDQKLLFKDKERDSKAFLDTSGVKDKSKIVLIEDPISKEKRYLEMRKNAKMEKAAKTISEISLEVDRLAGQVSALESVISKGGKVAEKSVINVIESLMTQLVRLDGIVAEGDVKVQRKTQVARVQKYVETLDLLKLKNSAPTSNGNHSENLIPTSNGNHTPIARGDSFGNSPVLTPKHQIEPSRHSASGPVVITTQWETFDPAPAPLLDPFSTATSTSGSAHPRVSWDLLS >itb09g04150.t1 pep chromosome:ASM357664v1:9:2307113:2310535:1 gene:itb09g04150 transcript:itb09g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRGAGRRRGGRDSAAPDRRPPPPTPTAPNPNDAWQYTSAGGGRDSDASFASSRPSSSSIGLNPRSSGVPISDRNYQHSAIRAINAFLASHSLPFSLKPPFPSAKDITETIKFILSQFGVTKFQKVEDDFQHLLKSLNCPVKVNKSALRAPGTPHSWPSLLGAIHWLVQLLMYDDHQLNSTQMQLTFMENRMFRYVLESYSHFITGKDDEVDRVDSTFMQEMMQEKERVAEEMMALESNAKELEGTLERLKTGPSEREVLEKEKSMLELDVKKFRDMIEQLEGRKVAMSKLLEEKEKGVEIKNTEKDSILVENEELKKRVEEQGINARDAERMKRELQALEGNIAEIEAARNKWEEKVWELDSMIGQKYEKLEELMIECNQAIRRLKLGNEFHYQLNAKGSSTREVLGIDYKSILKPALASLEEEMKKSSMEKLEGLISLQQQSIDKTTKIEAKRNRLTTLQAHIDEVEGQLDLMRRETLDFASRCATEAKKMAEDIAAEAQNMDLVEREAAEFLKASNAKLQEIIMQTEEEIQLCARELFAVVDSVSKYKEYMASRTAIMKNDLIETSCSIANIHKGCLPAPFGVVSGVLDASVASNNK >itb10g03380.t2 pep chromosome:ASM357664v1:10:3128044:3132667:-1 gene:itb10g03380 transcript:itb10g03380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKYLTLRKCLLTGPIPDTIWKLNTLYYLDLSFNRLFGEIPKDVSSRLPEYIFLRGNELNGTIPAWIMNSTRHIDVSENLFTNNVTEIKNSNSSNLNLFSCLKSDEMATHWEQVGYSCSKKEHLLDHLYINCGGESMPINGTNYEGDLTSNGSSTFFMSSSSSWGFSSMGTYLLSRPWIDTYIINNTCIAGVDDGPLYSTARVSPISLKYYGFCLRNDKYTVKLHFAELVSNDYKAPYIKKSGRVFDVDIQGKNVLENYNIEQKAEGVNKAWTEEIKNVIVNNSKLEIHLYWSGKGSSEVPTDHYGPLISAISVYPSIESGMSPPKMAAISLSALLLLIVLIVYFWKMEDKSHEGVVELYPGGFYNFRKLKAAAKNFKDKLGEGGFGTFYEATLGNGTVVAVEKVSATKDIIRAFREKDSTISLMEHPNLVKLIGCIAEKNQLLLVYEDIGRNSLQNALFGIASISAGLYTEVFLHFSMSSSSKRGSFLAGSNRSKLDWATRRNICLGIAEGLAFLHECKQKIVHGNIKPTSIFLDEHRNAKISDFGFSRLHDQGKSRLEGTVVYMAPEYAKYDLLTTKADAYNFGVLVLIVVSGMKEKISMSSSGVDTEYLPDVAAREKHREGHFMNLVDRSMSNTVDWEQADTMLELAMLCLDQYPDQRPTMTQVVKVLKEELELKDLKERLKQLTSGRYRPEPYGEISTAEDTTWHTKSATTSRGGVTDTQISASPSTSRSV >itb10g03380.t1 pep chromosome:ASM357664v1:10:3128044:3132667:-1 gene:itb10g03380 transcript:itb10g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKYLTLRKCLLTGPIPDTIWKLNTLYYLDLSFNRLFGEIPKDVSSRLPEYIFLRGNELNGTIPAWIMNSTRHIDVSENLFTNNVTEIKNSNSSNLNLFSCLKSDEMATHWEQVGYSCSKKEHLLDHLYINCGGESMPINGTNYEGDLTSNGSSTFFMSSSSSWGFSSMGTYLLSRPWIDTYIINNTCIAGVDDGPLYSTARVSPISLKYYGFCLRNDKYTVKLHFAELVSNDYKAPYIKKSGRVFDVDIQGKNVLENYNIEQKAEGVNKAWTEEIKNVIVNNSKLEIHLYWSGKGSSEVPTDHYGPLISAISVYPSIESGMSPPKMAAISLSALLLLIVLIVYFWKMEDKSHEGVVELYPGGFYNFRKLKAAAKNFKDKLGEGGFGTFYEATLGNGTVVAVEKVSATKDIIRAFREKDSTISLMEHPNLVKLIGCIAEKNQLLLVYEDIGRNSLQNALFGSNRSKLDWATRRNICLGIAEGLAFLHECKQKIVHGNIKPTSIFLDEHRNAKISDFGFSRLHDQGKSRLEGTVVYMAPEYAKYDLLTTKADAYNFGVLVLIVVSGMKEKISMSSSGVDTEYLPDVAAREKHREGHFMNLVDRSMSNTVDWEQADTMLELAMLCLDQYPDQRPTMTQVVKVLKEELELKDLKERLKQLTSGRYRPEPYGEISTAEDTTWHTKSATTSRGGVTDTQISASPSTSRSV >itb03g03700.t1 pep chromosome:ASM357664v1:3:2178578:2181560:-1 gene:itb03g03700 transcript:itb03g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLLEVASMPILQLLIISGLGAVLATDHFKILPADARRYLNKVVFVAFTPSLTFASLARTVTLQDIISWWFMPVNIGLTFLVGSILGWIAVKLLKPKPYLEGIIIAACAAGNLGNLPLIIVPAICAEDASPFGDRATCSSLGLSYVSFSMALGGFYIWTFGYQMIRNSAKKLQAQEALSQAIKETNNDLDADLRAQLFHNSNDPENPNVQNGSGKAGRERNDNQDDVSFLSQMLGFFKTILGELMSPPVVGAILGLVFGAVTSLRRLLIGDKAPLRVIQDSIQLLGNGTIPCITLILGGNLIEGLRGKALVSKQIIVAMICVRYVFCPLIGIGVVKGAFNLGLLPPDPLFHFVLMIQFAVPPAMNIGTIAQLFDVGEAECSVIFLWTYVAAAFALTIWSTVFMWILS >itb12g06000.t1 pep chromosome:ASM357664v1:12:4466674:4470462:1 gene:itb12g06000 transcript:itb12g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASSAGANTGSQLVKTLCVVVLKGHWNNLLKPKIGLSVTSTIINHTLLTLSPCWFWLSWSFFKWVETIPNYRHSLQSSWSMIYILTKHKHFKSAKDMLHQIARKDFLSSPTVLNALVRSDDDSDVNSQVFSWLLIFYANSKRTWDAIQVFEHLRICRIKPDPHACTVLLNSLVKEGLTDTVWKTYKKMIKLGVVPNIHIYNVLIHACCKSGEVEKAEEFLSEMEFKGIFPDLYTFNTLISLYCRRGMHYEALSVQDRMERGGVEPDIITYNSLIHSQCREGRMREALRLFKDIKENATPNEVTYTTLIDGYCRVGEIDEALRLHSAMEEKGLCPRVATYNSILRKFCEEGRMKESTKLLNQMGEKKIEPDNVTCNTLINGYCKIGDIVSALKVKNKMLEAGLRPDPFTYKALIHGFCKAKDTERAKEVIFDMIAAGFSPSYCAYSWLVDTYCLQNNNEALIKLADEFSGRGYCVDISFYRAIIRRLCKRGKLDCAERIFGMMQGREISGDSVVYTSLAYTYFKEGNTRAASDLLDEMYKRRLMVTLKLYKSLNASYKDENSVLDLFWNNVMQRGLISQNVHNTIRLKLHS >itb07g04320.t1 pep chromosome:ASM357664v1:7:2912957:2916733:-1 gene:itb07g04320 transcript:itb07g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTINAGLPMSENITRATDTTPTVAPTTPITTTNPVHEIIPRATNLNPRSLTTFTPPPAYPVQQAYVPATTTNVGAITPPTQVVTPLKAKIIPAGRGIRKRGDLFASSEDTSMMDHVRGTHDVDAVHYKLKPLLHLIEDIMPRANAAAIPGYVQTRLDATMEEKALHSGLSEVIEALAYPVHRTSSEMIVGYSNNRDAHTATLSVLKLLANYWWDAKAVIAFAAFAQQYGEFALLARLYPTDPLAKSVATIVQIPELMESSESSRAVSSKFREVAKLADKMLEVTHKIVALKEIGSEEKSLKVKYRVNLYKELAESLSPVTAEQENIMAKASYYVVKAAVTCSLVILNVLAVGRDYYSSTEEELEISTLTHRLSYLLGDLQKAIQISNQEIGKIKHTIKRKILEETLARTHTDNKYSAELITCGENDPTPIIHGIGLHMKKHGLEILRRKYVFLLVSDLDIPNEVISLLRHMYQDSKQDPSRAESQFEIVWLPIVDRRSPWTEAKEEQFKLVKDSMPWYSVSHPSKIDEAVYGYVKEVWGFTHKPLLAVVDPQGKLTNINALPMFWIWGSVAFPFSKLKEESLWSETSWSMALLADSIDQNLFSWLNDGKYICLYGGEDLDWIRAFTKRTRYVAQQASIPLEMLYVGKMNVKERIKRNSAAIEAEGLSNVLQDPTMIWFFWERLESMWYLKGEKTLAMHDDTKPYIVSEESRDPILQEVKAILSYDGSNRGWAVFSRGLSEMTKGEGSNVVKVLNNFDGWKHEVRDVNAFIPALDKQLRGLYTKHHCTRMVVPAAVGHYPETVACVECGRTMEKFFMYSCCLDEYEN >itb03g08780.t1 pep chromosome:ASM357664v1:3:6597746:6603486:1 gene:itb03g08780 transcript:itb03g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELQWNAAQSIVIGVDLVAAAKQHLDFLGAVDRNRWLYEGPGLDKAINRYYSCWLPFLAKHSESPFFEGPLVVPLDCEWIWHCHRLNPVQYKSDCEQLYEVILGNHNVVSSVKGASKEETEQVWKQLYPTEPYELDLARALSDEPAKCFEHTKRSDYDLVSAVKRQSPFFYQVSRPHMNSELYLEGAVARYRGFLHLIRRNKERSIRCFCVPTYDIDLIWHTHQLHPISYCKDLVEILGKVLEHDDTDSDRAKGKKLDTGFSKTTEQWEKTYGCRYWRAGAMYRGSAPSPLAICHDSPNTLTKKVVVAHKDQRLFHLPERKVLEVMLEFVGLRNVPEEHKGRLFVSFSKEQPDSIFNAKRRLNILSESGNKQVAYFQCQASGKLLFELMSQSSSNLPLLKPAKTVGSVSVSLEELLSPASNLTMEKWLELVPNSNLASSKPICLRVAISVTMPTTAPYVLHMVRSRPFSKSSCLFPLPGKGQNWTRVIDEDGEEIISLQMRDFNKSKGKSDSMLRQEVIAVTKSGETRTLAEFVGTEWSLIDAQWSICFHNNQNSDGHLLKLTGPRNIRYFTGRKLDYQPKHCEKQRRENEFMTAIEFSAEHPYGKALAMVDLKFGVINVKEDWLLMPGAITAFILCDILRKVGYDGLSASGQNLKDKCSNQDVAYDAENNKISCDVDFKVNGHHKEDGGGESGYRFKSGGCGGSGGCGSCAAVVVNVGEHLEVEDGGEETGYRLKSGGCGSGCGGGCGGSVANVSNHHKEDGGGESGYRLKSGGCGSGCGGGCGSGGGCGGCSAFVGNVNDHHEENTTEAAKKNGAGCGGCTAFVGNVNDHHKDTIETTKIVAA >itb10g25910.t1 pep chromosome:ASM357664v1:10:29046677:29050843:1 gene:itb10g25910 transcript:itb10g25910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSNQPSKKGMRSGPAGSSSGSQGNRGSSGQTVKFARRTSSGRYVSLSREDLDMSGELSDDYMNYTVHIPPTPDNQPMDTSVAAKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVSHPQMAGCKGSSCAMPACDGKIMKDERGNDVAPCECRFKICRDCYLDAQKDSGLCPGCKEPYKVGDYDDDVPDFSSGALSLPGPDGSKGDSRNMSMMKRNQNGDFDHNKWLFETQGTYGYGNAYWPPDDMDGYDDDGGVQKGMLDTSADIPWKPLSRKLPIPNSIISPYRLLIVVRLVVLAFFLTWRIRHPNDEAIWLWLMSVTCELWFAFSWILDQIPKVNPCNRSTDLVVLREKFDMPSPSNPTGRSDLPGVDFFVSTADPEKEPPLTTANTILSILAVDYPVEKLACYISDDGGALLTFEAMAEACSFADLWVPFCKKHEIEPRNPESYFSSKGDPTKGKKRSDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAFNAREEMKMLKQMRESGAEAREPIKAKKATWMADGSHWPGTWSVGSSDHAKGDHAGILQVMLKPPSSDPLLGGSDDNKLLDFSDVDIRLPMLVYMSREKRPGYEHNKKAGAMNALVRCSAVLSNGPFMLNLDCDHYIYNCKAVREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGIQGPVYVGTGTMFRRFALYGFDPPQADINPQKGADAQPLNTTDFDPDLDVNLLPKRFGNSTMLAESIPIAEFHGRPIADHPAVKYGRPPGILRVPREPLDAATVAEAVSVISCWYEDKTEWGERVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLKFLQRLAYLNVGIYPFTSICLLVYCFLPALSLISGHFIVKNLNIAFLLYLLTITICLISLALLEVRWSGVGLEEWWRNEQFWLISGTSAHLAAVIQGLLKVIAGIEISFTLTSKSAGDENEDIYAELYLVKWTSLMIPPIVIAMVNIIAIVIAFSRTIYSAVPQWSKFIGGAFFSFWVLAHLYPFAKGLMGRRRKTPTIVFVWSGLIAITLSLLWIAISPPRGGTATAELGGGGGGGFQFP >itb06g19640.t1 pep chromosome:ASM357664v1:6:22876059:22877336:1 gene:itb06g19640 transcript:itb06g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIFSVFAVFYLFSAQSNGFTVDLIHRDSPLSPFFNASNSRFDSLRDSFRRSQARAAYFKKRAAVGSAKLVVDIEVIPGEYTMKFSVGTPPMETFAIADTGSDLTWTQCRPCDRCFDQDFPLFEPQKSSSYRSLPCDSPLCSEFFCAAGNVCQYQYSYADQSETVGVIATETLVLGNASFPNFAFGCGHQNGGTFSDAASGIIGLGGGPVSLISQLNKTIAGKFAYCLPPPQTLNISTHITFGPDAAVMASDTSVVSTPLTLKSPATFYWLTLENISVGNKTLKNLSHEIPALNPGEIGNIIIDSGTTFTYIPPDLYDLLESELKRLINKTPVNDPEGLFKLCFQTTGGLEVPTIVAHFTGADVELSPNGTFVFVEQTVVCLTLFPATEFDPIFGNLAQVDYIVAYDLVGKTVSFKPADCSKY >itb15g06950.t2 pep chromosome:ASM357664v1:15:4702618:4704685:1 gene:itb15g06950 transcript:itb15g06950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSLCKPSGALLPAGLGLGSSVSPVSNLAFPAGRKVGFSISAVSETADAPLTGVVFQPFQEVKNDAFMVPITPQVSLARQKYCDECEAAINEQINVEYSASYAYHSMYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQNIRGGKVKLHSILSPISEFEHVEKGDALYAMELALSLEKLVNEKLLNLHAVSGIIL >itb15g06950.t1 pep chromosome:ASM357664v1:15:4702618:4704685:1 gene:itb15g06950 transcript:itb15g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSLCKPSGALLPAGLGLGSSVSPVSNLAFPAGRKVGFSISAVSETADAPLTGVVFQPFQEVKNDAFMVPITPQVSLARQKYCDECEAAINEQINVEYSASYAYHSMYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQNIRGGKVKLHSILSPISEFEHVEKGDALYAMELALSLEKLVNEKLLNLHAVADRNNDPQMTDFIEGEFLEEQVEAIKKISEYVTQLRRVGKGHGVWHFDQMLLH >itb10g13150.t1 pep chromosome:ASM357664v1:10:19295468:19309761:-1 gene:itb10g13150 transcript:itb10g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAILCCILSIVFTLFLRPTYSIKKSYVVYLGGHSHGKQVSSADIERVKYSHHQLLGSYLGSSDKAKDAIFYSYTRHINGFAAMLEEEEAAEIAKNREVISVFLNRARRLQTTRSWEFLGLEGEGGLIHEGSIWKKARFGEDTIIANLDTGVWPESKSFSDEGFGPIPKRWKGICQNQKDKSFHCNRKLIGARYFNKGYSSAGGTLNSSSSFTPRDVGGHGSHTLSTAGGNFVPGANVFGLGNGTAKGGSPKARVAAYKVCWAEDYCSDADILAAFDRAIDDGVDVLSVSLVGEDPGPYFQDGLAIGSFHAVKNGIVVVAAAGNDGPDAGSVTNVAPWLITVGANTMDRQFQSNVVLGNKKHYTGESAAQLKLPTGKFYPLLSGASARINASISSQDALVCKPGTLDPEKVKGKVLVCLRGGIPRTDKSHQAALAGAVGMILANDEYFGNDIEADEHFLPATHVTYSDGLAIFDYINKTRNPVAHITHPNTLLGVKPAPVIAAFSSRGPNTVNPEILKPDISAPGVNVIAAFTEATGPSDNDYDKRIVSFNLLSGTSMSCPHVAGVVGLLKTVYPSWSPAEIRSAIMTTATVKTNSGKAITDDKTGVEATPFAYGAGHINPNCAADPGLVYDLKLKDYVNFICAQGYNETDITRVLGTPYKCPHHITLSTFNYPSIAIPHLKGAATVTRTLKNVGSPATYTASVRSPVGFSVTVNPNILKFEKVGEEKSFKVTLKAKGENASADYAFGVLKWSDKKHRVRSPIVVKAAV >itb10g01560.t1 pep chromosome:ASM357664v1:10:1184848:1194687:1 gene:itb10g01560 transcript:itb10g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVSNGGKRRRGSHTHMGSVCYVFLAFLCFFYNLGLSADSLRPGESITPNRTLLSAGGNFALGFFRPGNSSSSFLGIWYNSINKTVIWVANRESPLPQDSEAVFTLGYDGNLQLLDGDGRNIIWSTNISGSGLAGNSTAAQLQDTGDLIVKQGESILWESFDGDSDTLMPVMRLMVNKKTGKRNLIRCWSSSDDPRPGKFSGGLILKDLPNFLFGKKIFLIIGAPCQVQILSRPKTSEIWQIQWVSPTSDCEFYAGCGSFGSCERYDSHRVCSCLKGFKPKSQRDWDKGKYDAGCERSIALGCGQADTFMRLAMMKWPDHSSSRGNMTFQECEMECSRNCSCSAFAYANFSSDSVVNCINWFGDLVDLAHNYSPGGFSQDLYVRVHSSELSK >itb15g16410.t1 pep chromosome:ASM357664v1:15:15991725:15992084:1 gene:itb15g16410 transcript:itb15g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSTMVCDDDGRARQCDHLSSSDETSKAVPPLFSGDVQQSTTAAFAKQSTPARLMATPGSGGGLGDSWWQCWRFCEAELRSSLSPSCSALDERIATTSSPVFPARIFSPASWFSARG >itb01g06520.t1 pep chromosome:ASM357664v1:1:4744112:4745762:-1 gene:itb01g06520 transcript:itb01g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIAERWKVLSGSDNWEGLLDPLDSDLCRYLIHYATMVGPASEAFINEPASKYVGLSRYAKRNLLANSGVVKGNPFKYEVTKYFYAPSTDIIFQDKGYMVRPARVDAVLKESNWIGYVAVATDEGKVALGRRDVLIAWRGTKRPSEKLANLTFTFVNAPLIFGQDSNPLVHKGWYDMYTTINQDSQFNQTSARDQIREEVARLVELYKDEEISITVTGHSLGSSMATLNATDLAFNPINNNKDIPVAAFLYASPKVGDENFKNAISNQQNLRVLRITDVNDPVPTLPPPGPVDGTIWPIFPYVDVGEGIGIESKKSEFLKPEIDNLLTHDLMLYMHGIDGFRGSQGGFERQGYFDLAKVNKYQDALKDEYRTPTGWLGVKDNGMVQQEDGTYILDDHEQDETF >itb12g26840.t1 pep chromosome:ASM357664v1:12:27556696:27558635:1 gene:itb12g26840 transcript:itb12g26840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQVHGFMKFFSISFLWSFFQWFYSGGDQCGFANFPLFGLKAWKQSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGIMWPLILERKGSWFPATLSESSMRSLTGYKVFVSIALILGDGLYNFLKILVFTGRSIYTALNKKNSRTTVPEGENEPLDHLQRNEVFIRGSIPLWVACVGYMFFSVISIIVIPIMFPALRWYYVLVAYVLAPSLSFCNAYGAGLTDMNMAYNYGKVALFTLAALSGKENGVVAGLIGCGLIKSIVSTSSDLMHDFKTGHLTLTSPRSMLVSQGVGTAIGCVVAPLTFFLFYTAFDVGDQNGEYKAPYALIYRNMAILGVEGFSALPRHCLQLCYGFFAFAVSANLTRDVAPENVRKWVPLPMAMAVPFLVGASFAIDMCVGTLIVYVWHKLNGRKADLMVPAVASGLICGDGLWILPSAILALAKIKPGICMSFFPTKTS >itb12g26840.t2 pep chromosome:ASM357664v1:12:27556696:27558635:1 gene:itb12g26840 transcript:itb12g26840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVSIALILGDGLYNFLKILVFTGRSIYTALNKKNSRTIPEGENEPLDHLQRNEVFIRGSIPLWVACVGYMFFSVISIIVIPIMFPALRWYYVLVAYVLAPSLSFCNAYGAGLTDMNMAYNYGKVALFTLAALSGKENGVVAGLIGCGLIKSIVSTSSDLMHDFKTGHLTLTSPRSMLVSQGVGTAIGCVVAPLTFFLFYTAFDVGDQNGEYKAPYALIYRNMAILGVEGFSALPRHCLQLCYGFFAFAVSANLTRDVAPENVRKWVPLPMAMAVPFLVGASFAIDMCVGTLIVYVWHKLNGRKADLMVPAVASGLICGDGLWILPSAILALAKIKPGICMSFFPTKTS >itb03g15660.t1 pep chromosome:ASM357664v1:3:14937389:14939537:1 gene:itb03g15660 transcript:itb03g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKKGDAGCKGKKVKKTNLLDQHSIKHLLDESVSEIVTSRGYAEDFAMSNIRLLLGTVVIAIALFAQFYNKKFPENRNILIYIIVSALLLLIPYTKEKNAILFTYPPAGSFSSTGLVVSSKLPRFSDMYTLMIASADPQSISAKPPVEFTKTVTQWFTKDGVLAEGLFWKDVEALISNYAKENKKGK >itb10g08360.t2 pep chromosome:ASM357664v1:10:10375504:10379754:1 gene:itb10g08360 transcript:itb10g08360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAPLPSQKELEEMLIQSGIELLSTPPSSTAELLSLLEKLESELKSIGQDPSVSTRNVLEPIKKVLIEGKLLRYSDKINEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNCYSKALRVLEAVAKYKLCVRLLDFDYVLAVNVFQLFLKIISVNHTDAVLRDMVDIMSQLIEERDEVSLDFQVSILDSLRKRTLATAPCSTLLGKEVLEKCHARLKPCLLQLMTDMNMNLDDYCNVLGSVLHGVPEGENMVENVGSVPPVEGTSALQLPQVPLLDATPTMNNNSNLEPGTWSSLDINATSVVLALPAVTGKQSIPDVLAPSSTKTNINHVSPCKRGQPRKNQTDLTVRRRSERIRSAERQISPRKQSIPDVLAPNSTKTNINYGSPCKRGLPRKNQTDLTVRRRSERIRSAERKSKEKVIYTDDDVEILNLRR >itb10g08360.t1 pep chromosome:ASM357664v1:10:10375504:10380322:1 gene:itb10g08360 transcript:itb10g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAPLPSQKELEEMLIQSGIELLSTPPSSTAELLSLLEKLESELKSIGQDPSVSTRNVLEPIKKVLIEGKLLRYSDKINEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNCYSKALRVLEAVAKYKLCVRLLDFDYVLAVNVFQLFLKIISVNHTDAVLRDMVDIMSQLIEERDEVSLDFQVSILDSLRKRTLATAPCSTLLGKEVLEKCHARLKPCLLQLMTDMNMNLDDYCNVLGSVLHGVPEGENMVENVGSVPPVEGTSALQLPQVPLLDATPTMNNNSNLEPGTWSSLDINATSVVLALPAVTGKQSIPDVLAPSSTKTNINHVSPCKRGQPRKNQTDLTVRRRSERIRSAERQISPRKQSIPDVLAPNSTKTNINYGSPCKRGLPRKNQTDLTVRRRSERIRSAERKSKEKVIYTDDDVEILNLRR >itb07g06690.t2 pep chromosome:ASM357664v1:7:4809814:4820711:-1 gene:itb07g06690 transcript:itb07g06690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFKVSRVETTPFEGQKPGTSGLRKKVKVFKQPKYLENFVQATFNALGAEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGLNGLMSTPAVSGVIRERVAADGAKANGAFILTASHNPGGPTEDFGIKYNMENGGPAPEGITNKIYEITTTIKEYLIAEDLPDVDISAVGVTSFTGPEGQFDVDVFDSTTDYVKLMKSIFDFQCIQKLLSSPNFTFCYDALHGVAGVYAKRIFVDELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKTHPESNPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVGAIPYFSGGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLNILAHKNKDLSGKLVTVEDIVRQHWATYGRHYYTRYDYENVDASGAKELMAHLVKLQSSLDEVNKIVAGVRNDVSKVVHGDEFEYKDPVDGSISSHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKIGRESSDALAPLVEVALKLSKMQEFTGRSAPTVIT >itb07g06690.t1 pep chromosome:ASM357664v1:7:4809728:4820862:-1 gene:itb07g06690 transcript:itb07g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFKVSRVETTPFEGQKPGTSGLRKKVKVFKQPKYLENFVQATFNALGAEKVRGATLVVSGDGRYFSKDAIQIIIKMSAANGVRRVWVGLNGLMSTPAVSGVIRERVAADGAKANGAFILTASHNPGGPTEDFGIKYNMENGGPAPEGITNKIYEITTTIKEYLIAEDLPDVDISAVGVTSFTGPEGQFDVDVFDSTTDYVKLMKSIFDFQCIQKLLSSPNFTFCYDALHGVAGVYAKRIFVDELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKTHPESNPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVGAIPYFSGGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLNILAHKNKDLSGKLVTVEDIVRQHWATYGRHYYTRYDYENVDASGAKELMAHLVKLQSSLDEVNKIVAGVRNDVSKVVHGDEFEYKDPVDGSISSHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKIGRESSDALAPLVEVALKLSKMQEFTGRSAPTVIT >itb05g19750.t1 pep chromosome:ASM357664v1:5:26102858:26103823:1 gene:itb05g19750 transcript:itb05g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAMKTLIALQHPFLYLPKPIPSTQRISLLPHETANKNPNFNRMRARKLQAKAAKGFGGAPATKSKRKADSDEEGEDEDEKLPEDVWNRITRRILFYVGAPMATGLVLVQILGTVKERGIWDVPNWVPILTIFVFLSASTLGVAYGALSASWVSDREGSALGFEEAQRNWAEMWREDDDQNK >itb03g18840.t1 pep chromosome:ASM357664v1:3:17039504:17046724:-1 gene:itb03g18840 transcript:itb03g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDPTENGSTQLTPTRMAGENDAAGHNNQDGDYSSKKRSSSKHSDNEDEKESSRSRDKERERGRDKDRERERDREKDRGREKDHDRHHRDRSERRRTRDRDDDADNEDHYNGQDSDRHRDRDREERRSHRSKSFDRDREESHRVRSKSYDRDREERHKHRSRSRGRSDHRSRSRSRSRSRSKSKRISGFDMAPPASAMLPGAPSVTGQQMLGTPTPISGIFPNIFPLAPGQLGALSVMPVQAMTQQATRHARRVYVGGLPPSANEQSVATFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIILEGTPVKVRRPTDYNPSLAAALGPSQPCPNLNLAAVGLSPGSTGGLEGPDRIFVGGLPYYFTEAQIRELLESFGTLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESILMHAHQQIALQRFMLQPGGLPTKVLCLTNVVSAEELRNDEEYEDIVEDMRTECGKFGTLVNVVIPRPNPNGEPMPGVGKVFLEYADIESSTKAQAGLHGRKFGGNPVVAAFYPENKFSQGDYEG >itb03g18840.t3 pep chromosome:ASM357664v1:3:17039504:17046536:-1 gene:itb03g18840 transcript:itb03g18840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDPTENGSTQLTPTRMAGENDAAGHNNQDGDYSSKKRSSSKHSDNEDEKESSRSRDKERERGRDKDRERERDREKDRGREKDHDRHHRDRSERRRTRDRDDDADNEDHYNGQDSDRHRDRDREERRSHRSKSFDRDREESHRVRSKSYDRDREERHKHRSRSRGRSDHRSRSRSRSRSRSKSKRISGFDMAPPASAMLPGAPSVTGQQMLGTPTPISGIFPNIFPLAPGQLGALSVMPVQAMTQQATRHARRVYVGGLPPSANEQSVATFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIILEGTPVKVRRPTDYNPSLAAALGPSQPCPNLNLAAVGLSPGSTGGLEGPDRIFVGGLPYYFTEAQIRELLESFGTLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESILMHAHQQIALQRFMLQPGGLPTKVLCLTNVVSAEELRNDEEYEDIVEDMRTECGKFGTLVNVVIPRPNPNGEPMPGVGKVFLEYADIESSTKAQAGLHGRKFGGNPVVAAFYPENKFSQGDYEG >itb03g18840.t2 pep chromosome:ASM357664v1:3:17039578:17046642:-1 gene:itb03g18840 transcript:itb03g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDPTENGSTQLTPTRMAGENDAAGHNNQDGDYSSKKRSSSKHSDNEDEKESSRSRDKERERGRDKDRERERDREKDRGREKDHDRHHRDRSERRRTRDRDDDADNEDHYNGQDSDRHRDRDREERRSHRSKSFDRDREESHRVRSKSYDRDREERHKHRSRSRGRSDHRSRSRSRSRSRSKSKRISGFDMAPPASAMLPGAPSVTGQQMLGTPTPISGIFPNIFPLAPGQLGALSVMPVQAMTQQATRHARRVYVGGLPPSANEQSVATFFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIILEGTPVKVRRPTDYNPSLAAALGPSQPCPNLNLAAVGLSPGSTGGLEGPDRIFVGGLPYYFTEAQIRELLESFGTLRGFNLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGSLQPKPEQESILMHAHQQIALQRFMLQPGGLPTKVLCLTNVVSAEELRNDEEYEDIVEDMRTECGKFGTLVNVVIPRPNPNGEPMPGVGKVFLEYADIESSTKAQAGLHGRKFGGNPVVAAFYPENKFSQGDYEG >itb04g33380.t2 pep chromosome:ASM357664v1:4:35642771:35643655:1 gene:itb04g33380 transcript:itb04g33380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITARVIPNSTLLSFETRNFEMLLLHLHPCISLQKHPFFSPPSLFFNSHNKASVSAFRVGRWEALKKSRRRGQGPPVRAAEKDSDYEVDPDKAREALRKLDEQLQSLAQKKPDPPPKIRDVNGAPSRMTQVPEAKEITSSDLVNLAILLFVLTILYNVFFLAVIKPAVDGPEDIAPEITSVLETQQASSPFQGT >itb04g33380.t1 pep chromosome:ASM357664v1:4:35642771:35643655:1 gene:itb04g33380 transcript:itb04g33380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITARVIPNSTLLSFETRNFEMLLLHLHPCISLQKHPFFSPPSLFFNSHNKASVSAFRVGRWEALKKSRRRGQGPPVRAAEKDSDYEVDPDKAREALRKLDEQLQSLAQKKPDPPPKIRASDVNGAPSRMTQVPEAKEITSSDLVNLAILLFVLTILYNVFFLAVIKPAVDGPEDIAPEITSVLETQQASSPFQGT >itb03g11420.t2 pep chromosome:ASM357664v1:3:9604763:9609508:1 gene:itb03g11420 transcript:itb03g11420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPWADDIYEVADHYTTKIGGLPDWPVSVSMIRSHLLECSACKSNLCLLAQVYAPISSKSASIEERVIYIFGCVAPKCGSSPVSWRAIRVQKSVSGEGSKPPYDESAHAPAPSVSTTNNDWKKDLWTFDSTEEEDNESNDDIDLEDLSRAFSEAASLASQSKKQIHNHECDAKPSPLGHTARVIDEKIPVLPCFYIHAEEEKFSKESSSACSKDTLLSIKEQNADSDHSKDEMWEEESYEYDRAPNADRIYLKFKKRMDSYPQQCFRYSYGGKTLLASAEHSDPGICRLCGGSRHYEMQLMPPLLYFLHEATSNQLRQSLENWNWMTLIIYTCSESCSNYSSEENCGKDGWIVVEEAIVVQYE >itb03g11420.t1 pep chromosome:ASM357664v1:3:9604763:9609562:1 gene:itb03g11420 transcript:itb03g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVILGMPGPWADDIYEVADHYTTKIGGLPDWPVSVSMIRSHLLECSACKSNLCLLAQVYAPISSKSASIEERVIYIFGCVAPKCGSSPVSWRAIRVQKSVSGEGSKPPYDESAHAPAPSVSTTNNDWKKDLWTFDSTEEEDNESNDDIDLEDLSRAFSEAASLASQSKKQIHNHECDAKPSPLGHTARVIDEKIPVLPCFYIHAEEEKFSKESSSACSKDTLLSIKEQNADSDHSKDEMWEEESYEYDRAPNADRIYLKFKKRMDSYPQQCFRYSYGGKTLLASAEHSDPGICRLCGGSRHYEMQLMPPLLYFLHEATSNQLRQSLENWNWMTLIIYTCSESCSNYSSEENCGKDGWIVVEEAIVVQYE >itb15g05160.t1 pep chromosome:ASM357664v1:15:3313277:3315123:1 gene:itb15g05160 transcript:itb15g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MGYRFVWSSASFLARRAAQKERVRILYRRALKDTLTWAVHRHLFYPDADALRERFDANKHVEDVETIDRMIADGEATYNKWRHPDPYIVPWAPGGSKFNRNPVPPAGIEIIYNYGKEDND >itb08g01620.t1 pep chromosome:ASM357664v1:8:1232508:1233697:-1 gene:itb08g01620 transcript:itb08g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTGTARRRSCFFEDDEGLASILPDMEAGVSGNHHQSNSLVSTGPVYSQRRSSLRNLSSAAAVMGSPRSGGNGRCYYWSDGRFDESHCPQQSHFLDSCFLCRKPLDNRDIFMYRGDTPFCSEECRQEQIDMDESKEKRWNLSTSMKALRKKDQRRSTSPNKTSAQDYSFHTTNTVAAA >itb04g01010.t1 pep chromosome:ASM357664v1:4:541140:545951:1 gene:itb04g01010 transcript:itb04g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHDSEIIKPELMKSYVWLQTSDGSIQQVEQEVAIFCPFICHEIHLGLGSSKNYPISLPPTVNLAMLSMILDYCHFHQVPGRSNKERKSFDEKFIRMDIKRLCELTSAADSLELKPLVDLTSRALARMIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYARKRKELKERERLKNVEVEGEQVDQRSVDDLLSFINGANGDSKGVRTSKNRRKNRRRKDQQKNAPLNGSSTSDTTSSLINSTDPNLELNRTSLDHDATVNGHFDPKPDKTLNLTQFEGSSFVPEEEFDDGDLDDEIDPVMKEEIDREVADFARRLNSDWPERMQEILSLGQGRRQVPIAISGSSLRRH >itb04g01010.t2 pep chromosome:ASM357664v1:4:541212:545862:1 gene:itb04g01010 transcript:itb04g01010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHDSEIIKPELMKSYVWLQTSDGSIQQVEQEVAIFCPFICHEIHLGLGSSKNYPISLPPTVNLAMLSMILDYCHFHQVPGRSNKERKSFDEKFIRMDIKRLCELTSAADSLELKPLVDLTSRALARMIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYARKRKELKERERLKNVEVEGEQVDQRSVDDLLSFINGANGDSKGVRTSKNRRKNRRRKDQQKNAPLNGSSTSDTTSSLINSTDPNLELNRTSLDHDATVNGHFDPKPDKTLNLTQFEGSSFVPEEEFDDGDLDDEIDPVMKEEIDREVADFARRLNSDWPERMQEILSLGQGRRQVPIAISGSSLRRHAMINRDQN >itb04g01010.t3 pep chromosome:ASM357664v1:4:541212:545511:1 gene:itb04g01010 transcript:itb04g01010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHDSEIIKPELMKSYVWLQTSDGSIQQVEQEVAIFCPFICHEIHLGLGSSKNYPISLPPTVNLAMLSMILDYCHFHQVPGRSNKERKSFDEKFIRMDIKRLCELTSAADSLELKPLVDLTSRALARMIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYARKRKELKERERLKNVEVEGEQVDQRSVDDLLSFINGANGDSKGVRTSKNRRKNRRRKDQQKNAPLNGSSTSDTTSSLINSTDPNLELNRTSLDHDATVNGHFDPKPDKTLNLTQFEGSSFVPEEEFDDGDLDDEIDPVMKEEIDREVADFARRLNSDWPERMQEILSLGQGRRQVPIAISGSSLRRHASTIISFILHIYFNKGKLVLPLQNSLHDMASLAPPLTFNK >itb04g01010.t4 pep chromosome:ASM357664v1:4:541217:544467:1 gene:itb04g01010 transcript:itb04g01010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHDSEIIKPELMKSYVWLQTSDGSIQQVEQEVAIFCPFICHEIHLGLGSSKNYPISLPPTVNLAMLSMILDYCHFHQVPGRSNKERKSFDEKFIRMDIKRLCELTSAADSLELKPLVDLTSRALARMIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYARKRKELKERERLKNVEVEGEQVDQRSVDDLLSFINGANGDSKGVRTSKNRRKNRRRKDQQKNAPLNGSSTSDTTSSLINSTDPNLVSIKCFLL >itb06g17530.t2 pep chromosome:ASM357664v1:6:21360507:21362172:1 gene:itb06g17530 transcript:itb06g17530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRIPTFLFSFSFCLILQSLPLSISIGDDEVLIEFKESLHNAKGLDYTWVKGKSPCDKTRRWFGVQCHRNTVTGLRLYNMGLAGDIDVDALSNLQGLRMIAMANNSFSGPIPDFNKLRSLRSLFIQGNKFSGEISADYFKKMYGLRKLWLSGNGFSGKIPASLGKLPRLMELHLEGNGFSSVIPPLAQESLRNLDLSGNKLQGEIPSGMARFGAKAFQGNPELCGEPVGRQCRSDLHENGVAAGAHPNAQLNKSGMRWLLLSIVVALLLVGILFNKNQNEGNVKTRRKEKLDEVVVPVHIPRMAKRESINNRSSRRSGTPTTPGTSSGGDFVVVNEERGVFGLSDLMMAAAEVLGNGGLGSAYKAEMPIGLTVMVKRLKETNNRMNKDAFDAEIIKMGNLRHPNILPPLAYHYRKEEKLVVSEFVPNGSLLYLLHGQTLQFTCLS >itb06g17530.t1 pep chromosome:ASM357664v1:6:21360507:21363657:1 gene:itb06g17530 transcript:itb06g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRIPTFLFSFSFCLILQSLPLSISIGDDEVLIEFKESLHNAKGLDYTWVKGKSPCDKTRRWFGVQCHRNTVTGLRLYNMGLAGDIDVDALSNLQGLRMIAMANNSFSGPIPDFNKLRSLRSLFIQGNKFSGEISADYFKKMYGLRKLWLSGNGFSGKIPASLGKLPRLMELHLEGNGFSSVIPPLAQESLRNLDLSGNKLQGEIPSGMARFGAKAFQGNPELCGEPVGRQCRSDLHENGVAAGAHPNAQLNKSGMRWLLLSIVVALLLVGILFNKNQNEGNVKTRRKEKLDEVVVPVHIPRMAKRESINNRSSRRSGTPTTPGTSSGGDFVVVNEERGVFGLSDLMMAAAEVLGNGGLGSAYKAEMPIGLTVMVKRLKETNNRMNKDAFDAEIIKMGNLRHPNILPPLAYHYRKEEKLVVSEFVPNGSLLYLLHGDRGAAHNDLNAATRIKIIQGVASGMEYLHTEFATYELPHGNLKSSNILLAPTYDPLLTDYAFHPLLNGTPTLHFMFALKAPEGLQISHKSDVYCLGILVLEMVTGKFPSQYLNNQKGGTDVVQWVRHAVADGKETEVIDPEIAAADSNGQMVKLLQIGVACTESDVEKRLSMKEAIEGIRDIQD >itb14g10920.t2 pep chromosome:ASM357664v1:14:12407090:12410722:-1 gene:itb14g10920 transcript:itb14g10920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGYFADMSEMKKHGGKIAMANKIIIPAISAVKFPALEVAYSNGSSLKLPSMCSGKDTDADVPRASLLCLSFRASSEPMIHSWSVPFVDAFKDSNKVHLYEISFIDSWLLSRNPMKKLLLRVMRKPKPNGREDVLQRQITYSFGDHYEFRKELKILNLLTGYIFLLDEFGRIRWQGFGSATQEEMSSLLSCTSMLLQEERN >itb14g10920.t1 pep chromosome:ASM357664v1:14:12406956:12411128:-1 gene:itb14g10920 transcript:itb14g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTRLSSASAFLSSRSSLVRQDKLLIPLISQHNPPYQCSSTRFLDIYQLGNKAAIEKERARLTDEMSRGYFADMSEMKKHGGKIAMANKIIIPAISAVKFPALEVAYSNGSSLKLPSMCSGKDTDADVPRASLLCLSFRASSEPMIHSWSVPFVDAFKDSNKVHLYEISFIDSWLLSRNPMKKLLLRVMRKPKPNGREDVLQRQITYSFGDHYEFRKELKILNLLTGYIFLLDEFGRIRWQGFGSATQEEMSSLLSCTSMLLQEERN >itb08g06630.t1 pep chromosome:ASM357664v1:8:5649694:5653299:1 gene:itb08g06630 transcript:itb08g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVFAAHFIQSDLTRPHHSPAGKLIIHKRIRRNIAGEKQSRNASPKSTGSISSQALFIASINVSLQISMAQWTLEINLSEEQRSTVSRPSPRGEAKHFCWWTL >itb03g22010.t3 pep chromosome:ASM357664v1:3:19967676:19969150:1 gene:itb03g22010 transcript:itb03g22010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGVEGIGEEAGVEKLNQLEELINHEELPSHIRQLSFEIEQSTPYNKERENFLWQQEANCSLDLVVGTYNRSNHYIHHEIQKWFGMENYIFLYGGNDINWIREFTSKVHQLGSKIQLKVKLAYVGKNTMIRSFIDKEKMSICAFGNSYILGWFWTRLRSMFLSRICYLDSINHFDEECNDEILQGLKKLLAYKGKNTRMEGWALLSKGNKVVVCGHGAKILQVINDYEIWKENVATKGFDEAFKDHHEMLTSSSSLKSHSCCTLEYPTTLKKITENEKCPECSYLMHKFVTFTCCHGHGFDLDEKYH >itb03g22010.t1 pep chromosome:ASM357664v1:3:19965717:19969150:1 gene:itb03g22010 transcript:itb03g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSNIFLYLIVQLLIHGDGMLFGMERSKRRIIPHPTMILPSPRSQVLDESIIREQVLSTHNYDGRKFNTNFILSIVENILSAKMGIGEEAGVEKLNQLEELINHEELPSHIRQLSFEIEQSTPYNKERENFLWQQEANCSLDLVVGTYNRSNHYIHHEIQKWFGMENYIFLYGGNDINWIREFTSKVHQLGSKIQLKVKLAYVGKNTMIRSFIDKEKMSICAFGNSYILGWFWTRLRSMFLSRICYLDSINHFDEECNDEILQGLKKLLAYKGKNTRMEGWALLSKGNKVVVCGHGAKILQVINDYEIWKENVATKGFDEAFKDHHEMLTSSSSLKSHSCCTLEYPTTLKKITENEKCPECSYLMHKFVTFTCCHGHGFDLDEKYH >itb03g22010.t2 pep chromosome:ASM357664v1:3:19966669:19969150:1 gene:itb03g22010 transcript:itb03g22010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPHPTMILPSPRSQVLDESIIREQVLSTHNYDGRKFNTNFILSIVENILSAKMGIGEEAGVEKLNQLEELINHEELPSHIRQLSFEIEQSTPYNKERENFLWQQEANCSLDLVVGTYNRSNHYIHHEIQKWFGMENYIFLYGGNDINWIREFTSKVHQLGSKIQLKVKLAYVGKNTMIRSFIDKEKMSICAFGNSYILGWFWTRLRSMFLSRICYLDSINHFDEECNDEILQGLKKLLAYKGKNTRMEGWALLSKGNKVVVCGHGAKILQVINDYEIWKENVATKGFDEAFKDHHEMLTSSSSLKSHSCCTLEYPTTLKKITENEKCPECSYLMHKFVTFTCCHGHGFDLDEKYH >itb14g06450.t1 pep chromosome:ASM357664v1:14:5674381:5674907:-1 gene:itb14g06450 transcript:itb14g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWITKKITDDDLIALISNEVFQPQLVWKLEDLQVTCGSLGLSTATVKLIDADNKEHVACCVGTGPVDAAYKAVDLIVKV >itb06g09460.t1 pep chromosome:ASM357664v1:6:13755891:13758694:-1 gene:itb06g09460 transcript:itb06g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPLILLIITIVFLSSQTISIANESEETKFTYIKGAENGPEKWGKLHTNYTICNAGNMQSPINIDDSTVKITSKFGQLIRRYESSPAIIVNQGHALQIKWTGDAGKIIINGTEYFLVQCHWHTPSENTVNGKKFDMEMHLVHMSAKDEIAAVSILYEIGEPDHFLGQLLDSIKHVDHNGKDLGVLNPRKIRYGSKKYYRFIGSLTTPPCSQGVIWTVIKKTKTVSQEQINLLKEAVDDGYEQNARPVQPLNGRTVYLNMP >itb03g14850.t3 pep chromosome:ASM357664v1:3:14392389:14395387:-1 gene:itb03g14850 transcript:itb03g14850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METALSFRSSTFVPVTTSFPQSPAKLNLLRLKRSRRVQNRQNHCLLIVKSSGSSINGSSDPSPVSPNNIFVEEAIGAEYGEGFETFRADGLFKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLVFSWDNVVADTQALRLNAWKQLASEEGKEIAEDDSMQRLLLYAGVDHVLNKVLRWEKEENDLVRLRLRLSQLFCDNLLQLSKPIEGLKEWLDAISTARIPCAVVSNLDRKHMVDALEHLGLMKYFQAIVSEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYVNLLPFLPVFSR >itb03g14850.t2 pep chromosome:ASM357664v1:3:14391767:14395387:-1 gene:itb03g14850 transcript:itb03g14850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METALSFRSSTFVPVTTSFPQSPAKLNLLRLKRSRRVQNRQNHCLLIVKSSGSSINGSSDPSPVSPNNIFVEEAIGAEYGEGFETFRADGLFKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLVFSWDNVVADTQALRLNAWKQLASEEGKEIAEDDSMQRLLLYAGVDHVLNKVLRWEKEENDLVRLRLRLSQLFCDNLLQLSKPIEGLKEWLDAISTARIPCAVVSNLDRKHMVDALEHLGLMKYFQAIVSEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYVSFGSS >itb03g14850.t1 pep chromosome:ASM357664v1:3:14391767:14395387:-1 gene:itb03g14850 transcript:itb03g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METALSFRSSTFVPVTTSFPQSPAKLNLLRLKRSRRVQNRQNHCLLIVKSSGSSINGSSDPSPVSPNNIFVEEAIGAEYGEGFETFRADGLFKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLVFSWDNVVADTQALRLNAWKQLASEEGKEIAEDDSMQRLLLYAGVDHVLNKVLRWEKEENDLVRLRLRLSQLFCDNLLQLSKPIEGLKEWLDAISTARIPCAVVSNLDRKHMVDALEHLGLMKYFQAIVSEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYHLDQADITVGGYNELSVINLRRLFAHKGSNFMDLEKQIVEKIPPRRKLRIDTIF >itb09g08430.t1 pep chromosome:ASM357664v1:9:5032311:5033797:-1 gene:itb09g08430 transcript:itb09g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTDRVICSPLSLPSQSFGLQCHEESKKQLANTWRFSILRSLPFTTRDPSCVYFPFLSREVCLTVEE >itb06g09670.t1 pep chromosome:ASM357664v1:6:13924742:13927642:-1 gene:itb06g09670 transcript:itb06g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWKSLSAMIAHIAKVLPEWEEMFLSYKDLKKQLKLIFPNPKEGESSCRPSKRPRLEDGGDQPEVAKEVTDFETLLRKEIHKLNSFFMDQEEEYVINLELLKGRVKKANGSYVKLMNIGSEIVDLHGQMVLLENYSALNYLGIVKILKKYDKRSGALLRLPFIQTVLEEPFFNTDVLKQLVKDCEILLRNNLKTTMTKSEERQQPLRVPQELSEIDIMGNTYMALANSALQVLEQIRSVSSTKSMYSLPPLQGNNLDKFWKNHSVTGPA >itb01g32970.t1 pep chromosome:ASM357664v1:1:36281784:36282696:1 gene:itb01g32970 transcript:itb01g32970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRRGCGVNCILRPCLEEIDNAQAQANATLFVSKFFGRSDLMSFISSVPITRRPALFKSLLYEACGRTVNPVSGAVGLLSTGNWHVCVQAVETVLAGGALQPIATDFLSPPSFDEASRIFLHEGAWKIPEVSVVTQSQPSDPRKHQMPTASRGAGNKKKRVSSAAFGSETETETSSEVYESGCRSVRDVVGGKEPKLLNLFV >itb04g27760.t1 pep chromosome:ASM357664v1:4:31700354:31702763:-1 gene:itb04g27760 transcript:itb04g27760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSVLGLNATFRKYMLHDKGQFLTRNLGLIGFVYFFFLSGVRTDLGILRRVGRKHWYAVVITIGIPLLCNILVAIAFRKSMGKELAKASSILGVTSEFALTSFPVIYHIIKEFNLLSSEIGRMSLSMAVISHVIGIQFLTVFDASKQMEFKTFAGVGFIVSFIVVTASIFLGLRQAMIWIVRMTPEGKPVDQIYVIAILLGVLVIGFISDFLGLSMANGPLWFGLAVPEGPPLGATIVEKSETIVTEVLMPFSYAYIGTVTFVPGMIGQWTNLRPIFIMVIVGYTTKLLSVLLSSRFLNLPLRDTLAFGLILGLRGQTEILLYLHWMDTKVITPPFYAMLVLMTLVVTGISSPLISVLYDPTRPYRVNNRRNVQHTAANAELSMVACINDEDDIPGLIKLIEVSNPTVNSPFSVHAIHLVDLVARAVPVFIDHQVDQEQQSDQAANQNPIHNALKLFQESSTSGGNIKINSYTTISPKRSMYQDVCELALMMKASLIILPFHVSSEGGEVESEATQASESVAASVLSHAPCSVAILVDRGFAHSHTINFPTRRSKHHFAFLFLGGADSREALVCADRMAAKPDVSLTVIRFLSHDGEGDNEMEKKLDDGLVTWFWVKNEANRQVVYREAVVKNGEETLTAIQSMKDDHFDLWILGRKQGINPMIIEGLTDWSQNPELGVIGDYVANSDLGSTSSILVVQQQILRGRESTCSAFIGKLPWCL >itb13g19920.t1 pep chromosome:ASM357664v1:13:26804067:26807120:1 gene:itb13g19920 transcript:itb13g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTSTASSHDLSSTNRRMIDVNSPNIRLYQVWKGSNKFFFGGRLIFGPDFKSICFTLCLILVPVLFFCVFVAEGLINKLPSRVGYILLAVAVIFTTHIIVLLFLTSGRDPGIIPRNFHPPELDDEADTSSISTDWAGSQSGISLPPTKSVTVNGIVVRVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRHFFMFVSSTTLLCLYIFACCCVNIRLIMKSGNFSFWKAFAKSPCSGILMIYTFIVSWFVGGLTSFHIYLILTNQTTYENFRYRYERKMNPYNIGIAHNFRDVFCSTIPASKNNFRAMVKADFSSSFNSSAYPTSSSLSPEMSKVSHNIEIDKRQTVEKNEFEDIRKQIKSIGDLERCEPKRNNWDHKRERSPESHLTNVETQVEHGAKDREKNTRAR >itb15g22450.t1 pep chromosome:ASM357664v1:15:25159330:25159953:1 gene:itb15g22450 transcript:itb15g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGIPQRSGRRSGPRADLLQNQETSSEDTDDNDIDKTINRYLRISKTMTIVATLILTISFAAGFTVPGGYDSDKGYPILLRKTAFGYFVIADTAAFTSSVSAIFIYMIMVVLNSLGAIRETKIAMTLCALNIALTFCALTAVIAAFLSGMYTILAPFNSLAVGTTFLSSYLFLSVVFMYFKIKNYPFPIYSFLYLIYFLVSSIPTY >itb10g13700.t1 pep chromosome:ASM357664v1:10:19896074:19900438:-1 gene:itb10g13700 transcript:itb10g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGNGVVGGGGLALQQPSEAAEALYSGARISVWWDIENCQVPRGSDPHAIAQNISSALVKLNYCGPVSISAYGDTTKISPSVQQALNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAALVAAAKTVWLWTSLSAGGPPLTNSESKQFVNSSYGNFSSCDTPLLPVSESSQLNQSVDTLNESVYSGSQKFSNFGRGTDTKYKVKQMRRNMTQPNMSRTSSVTMGPQEDYNNLNFQQGYGYPKQFNDSPELSAANNSRIPFTGPGPAPNISYPEPPRMNGSNPTNPHQNYYTTPTRPNNVPMLPAYPPGNLLPPNPHLRPPHMMTMPDASNMTPSPLTNLPDIGKLKVGEYSNNGNNPPFHQPRNGEVRQSPSMNSSRHQNLNGSQKGHKVQKKSALFNEKEANWYAHPSPEIQPPPTSAIGSSNVSSNGVWGTPGFSNPSDYVLSLMGVVILSLDTLKNEKIMPTEANIADCIRYGDPNHCDIDVKKALESAVEHQMVVMQNLGALQLYVRKNEKLWKCVNPISGNPNKYSKATWDEIHKFLSSSTGQAALLATQCRYEAATIIKKMCLKELALGEILQILHMIINMKKWIMHHHSGWQPIKIALAETNSGPELVAAT >itb12g03190.t1 pep chromosome:ASM357664v1:12:2110510:2111315:-1 gene:itb12g03190 transcript:itb12g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLVEKSYLKFDQFKNVVKLFRVMELCFGLVFLSWTSARLPSALKISGYYFRQVLTMMISPLFIFIVSNFIVVILLLNSSLVYQNTSIDDETKLCKSLTESSIHCGKLSEIFAPVPAPDEIVFQDKRTISEVIANRESDREMETVTDVKCCSEQEASPVRIQTENSKDAVESESCEESPLTAEIEIYQTPSNPETVDELTNEELAPTEIENFRRLSNPDDATEEETVDELTNEEFQRAIEAFIAKQIKFHREER >itb15g07820.t1 pep chromosome:ASM357664v1:15:5493485:5495320:1 gene:itb15g07820 transcript:itb15g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEFDVIVVGGGIMGSCAAYQLAKRGLRTLLLEQFDFLHHRGSSHGESRTIRATYPEEYYAKMVLAAEALWREAEAEIGYKVFFKTRQLDMGPADNAALQAVVSSCRKVSIPVRVLDPKRVVEEFGGRFRLPENWVGVVTEHGGVIKPTKAVSMFQALAVKHGATLRDHVEVNGVERDSLTGGISVTAKSGERFSGKKCVITVGAWVRKLVTEITGSRVVLPVQPLETNVSYWRIKEGHERDFTIANGFPSFASYGEPYVYGTPPLEFPGLIKVGWHGGRECEAEGRTFAPLASSIPSLKQWIEGRFGGLVDSSAPVISQSCMYSMTPDEDYVIDFLGGELGKDVVVGGGFSGHGFKMGPLVGQILADLVWSGSSRGPHDLAHFRIDRFKENDKGNVKDFADQVNRKADFIMGRL >itb09g29280.t1 pep chromosome:ASM357664v1:9:29976431:29978921:-1 gene:itb09g29280 transcript:itb09g29280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQCLAVLIGTFLVIQSPGDAATCSDCFIQSQATYYPNSDDNGTDSGHCGFGTFGATMNGGDVSAASNLYRDGLGCGACYQVRCTNSLYCSDKGVTAVITDSGASGGSDFILSSGAFGRMAQTKDAARSLLSQGVVDIEYRRVPCSYPGKNITFRIHDKSGNPHYLAFVIMFEQGQKDITAVLLCETQNLQCKLMDRTYGSVWTTNSPPSGALEVRMLFSGEEDGDETWVVSPNNIPENWKPGDTYDSGVQVNAAA >itb06g15050.t1 pep chromosome:ASM357664v1:6:19386283:19388496:1 gene:itb06g15050 transcript:itb06g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCPAAGAATQAAAPSLAKPYRVNSILQLPLKLNKLTPRSHNARPVLCTLTGESSAVLQRPDQFGRFGKFGGKYVPETLMHALDELETAFKTLSNDREFQKELDGILRDYVGRENPLYFAERLTEHYKRPNGEGPHIYLKREDLNHTGAHKINNAVGQVLLAKYLGKKRIIAETGAGQHGVATATVCARFGLPCVVYMGAQDMERQALNVFRMRLLGAEVVPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPFPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVDDKEVRLIGVEAAGFGVESGKHAATLTKGEVGVLHGAMSYLLQDEDGQIVEPHSISAG >itb02g14830.t1 pep chromosome:ASM357664v1:2:10765629:10767066:1 gene:itb02g14830 transcript:itb02g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRSMNQKQADQKETEESATEQSFVTCIHLAKIADLLRTITVTWSKSLIGHTLSIIVENPSEDNHYTCKIDLKTWQFWGKKGLKSFNVDQERVDVYWDFRAAKFSSSPEPESNYYVALATGGEVVILLGDQKNEAFKRTKSRPPVVDATLVHKKESVYAKRCFCTRTMLGRGKREHNIIIETDLSGPTEPEMWISVDGIESVRVMNLNWRFRGNETVMVDNVAVDVFWDVHDWMFNDYSTSVGPGVFIFKQGNGDKEGEEGEGEGNNSLSQTSCDDSQSRPSEFCHVFYAWKTE >itb05g21840.t1 pep chromosome:ASM357664v1:5:27467756:27468307:1 gene:itb05g21840 transcript:itb05g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGGGGSLFWEESFRSGGEGWKKGSSVQFFSPQCLSMLFQLHSRSVHLFIRLSVILIFQWPSGKLAASACRRSHSSCAFCFDRSRQKSRSSGGMLSCTLHHLSCAASVANSCRMSTFQLQS >itb05g20090.t1 pep chromosome:ASM357664v1:5:26306658:26308256:-1 gene:itb05g20090 transcript:itb05g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSILERDDNGSQLGDNILDDEEGFCSFSPLQRIYAFSACLGAGIVCMFLSYIAFARPIRFALLFSFGNILAVGSTIFLVGPRKQIKMMLDPVRVFATVAYVICVIFALVCALWMHSVILSILAVIFEILALLWYGLSYIPFARGMVSNMIRRVFNRES >itb15g09250.t1 pep chromosome:ASM357664v1:15:6575484:6576143:-1 gene:itb15g09250 transcript:itb15g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLINMAKKWQKFVAIRRKRVSFPKQNEEVDSCSTSSAGHFVIYTADQKRFVIPLSFLDNKIIRQLLEMSEEVFGLPSDGPITLPCDAVFMKYIISLLSRGVSTELQNALLVSVTSNRCSSASLHQGWRNQPLLIC >itb09g17680.t1 pep chromosome:ASM357664v1:9:13045394:13047889:1 gene:itb09g17680 transcript:itb09g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDASCQAPQAPILCVNNCGFFGTEATMNMCSKCYKDTMLKQEQAKLAATSIENIVNGGSSSSGKAVHFVVGAEEDSAVSTVVPTQISCAPPVSDNGEQAKEGPKRCNTCRKRVGLTGFNCRCGHLFCAVHRYSDKHDCPFDYHKAAQDAITKANPVVRAEKLDKI >itb09g17680.t3 pep chromosome:ASM357664v1:9:13045415:13047561:1 gene:itb09g17680 transcript:itb09g17680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDASCQAPQAPILCVNNCGFFGTEATMNMCSKCYKDTMLKQEQAKLAATSIENIVNGGSSSSGKAVHFVVGAEEDSAVSTVVPTQISCAPPVSDNGEQAKEGPKRCNTCRKRVGLTGFNCRCGHLFCAVHRYSDKHDCPFDYHKAAQDAITKANPVVRAEKLDKI >itb09g17680.t2 pep chromosome:ASM357664v1:9:13045394:13047889:1 gene:itb09g17680 transcript:itb09g17680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDASCQAPQAPILCVNNCGFFGTEATMNMCSKCYKDTMLKQEQAKLAATSIENIVNGGSSSSGKAVHFVVGAEEDSAVSTVVPTQISCAPPVSDNGEQAKEGPKRCNTCRKRVGLTGFNCRCGHLFCAVHRYSDKHDCPFDYHKAAQDAITKANPVVRAEKLDKI >itb09g17680.t4 pep chromosome:ASM357664v1:9:13045394:13047888:1 gene:itb09g17680 transcript:itb09g17680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNDASCQAPQAPILCVNNCGFFGTEATMNMCSKCYKDTMLKQEQAKLAATSIENIVNGGSSSSGKAVHFVVGAEEDSAVSTVVPTQISCAPPVSDNGEQAKEGPKRCNTCRKRVGLTGFNCRCGHLFCAVHRYSDKHDCPFDYHKAAQDAITKANPVVRAEKLDKI >itb14g11870.t1 pep chromosome:ASM357664v1:14:13500797:13501456:-1 gene:itb14g11870 transcript:itb14g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVTLSHVFGSCEFVVRVWGAVGLLAPRQQVCMTAWLDALLRDRNGDTVIGSVGMWRLCMGSGWTTEAGTGARWMLRRFQQLGRWRLAFVFLTMGAVLLQFPTERLGCITLGIKPRDLNCDSDNDTRRTGY >itb13g10470.t1 pep chromosome:ASM357664v1:13:15218180:15220289:-1 gene:itb13g10470 transcript:itb13g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRWMSPNTYRYIVRAGKSYKAYNEILTIKEQWGFALLGCFVGRFPGIHAIQALVKEWKVECKFFTRPNGHVLFRFQSEEDRSAILKDGPYFLFGKRLFLKSLPEKFRLENEDFSILPIWVRFPFLPIECWSPTALGKIASCIGIPICADEKTREQRMGRDEFARILIDVDTSKRVPDSVVVNMPNGNSFRQKVTFELNPCYCTKCKSNDHLMDECTGKKPWTKKRNKKGKAAKWVATKASSPNNSVYKGQDSLGAASEYHTNIQEPCPQTNDAPNPCTDLPCQSMHPEPPCTDLPCQSMHPEPCPEAMEAVEPCSEPCPEAMEAVEPCSNLPSEAMEAVEPCSNLPSNIDSIPEIEDQRPDNASSDEAEKQTEEDTQSVTGEGKERDGTSSLDGTESLDAEQGDQPDDRSKQPNAPDTSGMSTRSKAKAGPKTSFKNALLSPPKDKGTAGGSRAGNRFVSLPVGGKPLARGGGRRQPISGSK >itb15g00130.t1 pep chromosome:ASM357664v1:15:87116:91333:-1 gene:itb15g00130 transcript:itb15g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVELLLYLIVALMILRVGGEGASLRIEMTEEELRREADRVMGLPGQPPVEFKQYAGYVTVNETHGRALFYWFFEATKNPHNKPLLLWLNGGPGCSSVGYGEAEELGPFFPQKGKPELKFNPHTWNKAANLLFVESPVGVGFSYTNTSRDLKELGDSITAKDSYAFLVNWLRRFPQFKSHDFYIAGESYAGHYVPQLSELIFDNNKLVPKEDYINFKGFMIGNALMDDETDQRGMIDYAWDHAVISDGLYHDIKTTCNFSVSKPSNSCNTFLDKYFDVYSIIDMYSLYTSTCPDSNFSTTPHHVPVIRGLAPLLFSHFDGWHKRPTGYDPCASDYTEVYMNRPDVQKALHANTTGIPYPWTHCRSDNTTSWGDAPASMLPTLNKLIAGGVRVWVYSGDTDGRIPVTATRYTLKKLGLNIIQDWTPWYTDNKQVGGWTIEYEGLVFVTVRGAGHQVPTFKPKQALQLLAHFLANHSLPSSPY >itb05g10700.t2 pep chromosome:ASM357664v1:5:16528829:16534230:1 gene:itb05g10700 transcript:itb05g10700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRKPKVKSRKAKIQRRESEVNEIKLLEEWIESGKPDCGINPLSVEPLPDKAPVGRLADGSFSRYTGCTRFSQLPLSKKTQDGLALSKYKTMTDIQRASLPHALCGRDILGAAKTGSGKTLAFIIPVLEKLYKARWGPEDGVGCIIMSPTRELADQLFEVLKSVGKYHGFSACVLIGGRKDIDSEKEHVNGMNILICTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDVGFKTQLNAIISQLPKHRQTLLFSATQTKSVKDLARLSLKDPEYLSVHEESTTATPSGLMQTAIIVPLHQKLDMLWSFIKKHLNKKILVFLSSCKQVKFVYEAFRKLRPGVPLKCLHGRMKLEKRIGIYSQFREEKRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDCATYIHRVGRTARYLSGGKSLLFVMPSEMKMLEKLREKKIPLQVTKAKAEFLQSVSGLMAALLVKYHDLQPLARRAFATYLKSIYKHKDKEIFDVTKLPVEEFSASLGLPMTPKIRFLKQKIKGKTVSEALSVMPENITNENLLELPIKNPNPEKSEEEVEDEDDILLSKDTQNAGEAKTAEAVDALPASRVLKKKKLKINVHRPLGTRVVFDEEGNTLPPLAKLAETKVSADSVHLDKNKVNERYAKMRQELKLMDKEDKALDRQRLKEKRIKEKIKWKRGRDEEEGEEEDEDDLLGSDANVGRERAKKQKIYFDSDGERDDDSFKTSIKADSVSVAEQEELALKLLSSMHS >itb05g10700.t1 pep chromosome:ASM357664v1:5:16528829:16534230:1 gene:itb05g10700 transcript:itb05g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRKPKVKSRKAKIQRRESEVNEIKLLEEWIESGKPDCGINPLSVEPLPDKAPVGRLADGSFSRYTGCTRFSQLPLSKKTQDGLALSKYKTMTDIQRASLPHALCGRDILGAAKTGSGKTLAFIIPVLEKLYKARWGPEDGVGCIIMSPTRELADQLFEVLKSVGKYHGFSACVLIGGRKDIDSEKEHVNGMNILICTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDVGFKTQLNAIISQLPKHRQTLLFSATQTKSVKDLARLSLKDPEYLSVHEESTTATPSGLMQTAIIVPLHQKLDMLWSFIKKHLNKKILVFLSSCKQVKFVYEAFRKLRPGVPLKCLHGRMKLEKRIGIYSQFREEKRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDCATYIHRVGRTARYLSGGKSLLFVMPSEMKMLEKLREKKIPLQVTKAKAEFLQSVSGLMAALLVKYHDLQPLARRAFATYLKSIYKHKDKEIFDVTKLPVEEFSASLGLPMTPKIRFLKQKIKGKTVSEALSVMPENITNENLLELPIKNPNPEKSEEEVEDEDDILLSKDTQNAGEAKTAEAVDALPASRVLKKKKLKINVHRPLGTRVVFDEEGNTLPPLAKLAETKVSADSVHLDKNKVNERYAKMRQELKLMDKEDKALDRQRLKEKRIKEKIKWKRGRDEEEGEEEDEDDLLGSDANVGRERAKKQKIYFDSDGERDDDSFKTSIKADSVSVAEQEELALKLLSSMHS >itb02g01760.t2 pep chromosome:ASM357664v1:2:968763:970597:1 gene:itb02g01760 transcript:itb02g01760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDESEDRNGELKGLKAGNEKMEQLEEVERVRLSEAEEEEEESSQEIRLPADIEWQMLDKSRFFFLGAALFSGVSAALYPVVVLKTRQQVAESRLSSITTAISVLRHEGIRGLYRGFGTSLMGTIPARALYMASLEVTKSNVGIATIKLGFPEATAAAIANAAAGLSAAMAAQLVWTPIDVVSQRLMVQGTRAHGRGASSSSLKYHNGIDAFRKILSTDGPRGLYRGFGISIVTYAPSNAVWWASYSIAQRLVWSSYAYGYYFFKKDEEDERVVTSMDPLIPDSKTVMVVQGVSAAIAGGISALITMPLDTIKTRLQVLDSDDNGRKGPTVVQTVRNLVREGGWAACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQDCWTAA >itb02g01760.t1 pep chromosome:ASM357664v1:2:968763:971295:1 gene:itb02g01760 transcript:itb02g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDESEDRNGELKGLKAGNEKMEQLEEVERVRLSEAEEEEEESSQEIRLPADIEWQMLDKSRFFFLGAALFSGVSAALYPVVVLKTRQQVAESRLSSITTAISVLRHEGIRGLYRGFGTSLMGTIPARALYMASLEVTKSNVGIATIKLGFPEATAAAIANAAAGLSAAMAAQLVWTPIDVVSQRLMVQGTRAHGRGASSSSLKYHNGIDAFRKILSTDGPRGLYRGFGISIVTYAPSNAVWWASYSIAQRLVWSSYAYGYYFFKKDEEDERVVTSMDPLIPDSKTVMVVQGVSAAIAGGISALITMPLDTIKTRLQVLDSDDNGRKGPTVVQTVRNLVREGGWAACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQDCWTAA >itb09g01840.t1 pep chromosome:ASM357664v1:9:1116571:1119116:1 gene:itb09g01840 transcript:itb09g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVGRLWDILRDADLETATAASVRRRLEEQLGVCLLDRKAFIRDQIDLFLQTHVVTPQYNKVERGADDSENVKQEKNENRDSQKEGTVKEEEEEEIDDQDEKEEEETAEKRTQKAKSNTKEKDDKKRGKGFNKPCAISPQLQVLVQEPELARTEVVKRIWAYIRENNLQDPKNKKKIICDETLLGIFRVKTIDMFRMNQTLSKHIWPIEKEDVTPVKSSPKERQRKKGRDEDSDSDFEPKQEVKRQKGLKGGGTGFLAPLQLSDSLVKFFGTGENALSRGDVVKRMWKYIKENELQDPSNKRRVICDDKLRELLEVDSFEGFTMTKLLTAHFIKTAG >itb12g03250.t1 pep chromosome:ASM357664v1:12:2138345:2139685:1 gene:itb12g03250 transcript:itb12g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISCFFMVISSLVAFAPLSLCYEVYGGEGGSLYPQYYEKSCPKALEIVRCEVAKAVAKEARMAASLLRLSFHDCFVQGCDASLLLDSGNGITSEKNSNPNRNSVRGFNVIDDIKAALEKECPQTVSCADILQLAARDSTVLSGGPFWEVPLGRKDSKSASLSGSNNNIPAPNSTFQTILTKFKRQGLDLVDLVALSGSHTIGNSRCVSFRQRLYNQAGNNKPDSTLDEYYAAELRNRCPRSGGDSNLFFLDFVSPTKFDNSYFKLLLANKGLLNSDQVLSTKNEESLQLVKVYAENNELFFQHFASSMIKMANISPLTGSHGEIRKNCRKINSY >itb03g09920.t2 pep chromosome:ASM357664v1:3:7722643:7725500:1 gene:itb03g09920 transcript:itb03g09920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQTFNVGRILCFFLLVFPCLGLNIDGVLLMSFKLSVSGDPLGVFSNWNAGDETPCSWRGVTCEALRVTGLALPDSQILGSLVSSLGMIQFLRNLDLSNNSINGSIPSALFAASQLQSLDFSNNQISGQLPETVGRLRSLRSLNLSGNALAGVVPESLTGLQNLTAVNLKDNYLSGSLHGGFDAVQELDLSSNLINGSLPPNFGGTNLRYLNVSFNRFSGEIPPEFPSRIPPNATLDLSFNNFSGEIPDSSVFLNQDVKAFAGNPGLCGVPLENLCPASSEPTSPPAIAAIPKTIHSNPAADSPDSGSSPAASRTRLKTGSIIAIVVGDVAAVAILALIFIYAYRAKRRKAIEGTIKKEAESAKDFDWASSSASPTEYNWLRSWTCLKTQRHADADADASDAASETTASESSESPKGQQPQLQAEQKTGELVTLDGEKELELETLLKASAYILGASGSSILYKAVLEDGTTLAVRRIGESGFERFREFETQVRVIAKLVHPNLVRIRGFYWGADEKLVIYDFVPNGSLANARYSKSSLPCIFFPFSIYLPANYCYVFTVLH >itb03g09920.t1 pep chromosome:ASM357664v1:3:7722640:7726422:1 gene:itb03g09920 transcript:itb03g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQTFNVGRILCFFLLVFPCLGLNIDGVLLMSFKLSVSGDPLGVFSNWNAGDETPCSWRGVTCEALRVTGLALPDSQILGSLVSSLGMIQFLRNLDLSNNSINGSIPSALFAASQLQSLDFSNNQISGQLPETVGRLRSLRSLNLSGNALAGVVPESLTGLQNLTAVNLKDNYLSGSLHGGFDAVQELDLSSNLINGSLPPNFGGTNLRYLNVSFNRFSGEIPPEFPSRIPPNATLDLSFNNFSGEIPDSSVFLNQDVKAFAGNPGLCGVPLENLCPASSEPTSPPAIAAIPKTIHSNPAADSPDSGSSPAASRTRLKTGSIIAIVVGDVAAVAILALIFIYAYRAKRRKAIEGTIKKEAESAKDFDWASSSASPTEYNWLRSWTCLKTQRHADADADASDAASETTASESSESPKGQQPQLQAEQKTGELVTLDGEKELELETLLKASAYILGASGSSILYKAVLEDGTTLAVRRIGESGFERFREFETQVRVIAKLVHPNLVRIRGFYWGADEKLVIYDFVPNGSLANARYRKPGCSPCHLPWGVRLRIARGVARGLSYIHEKKHVHGNLKPSNILLGGDMEPKIGDFGLERLITGESSHKAYGSARNLGSKRSTTSRESLQDFASGPTPSPSPSALGISPYHAPESLRSLKPNPKWDVFSFGVVLLEFLTGKVIVSDEMCPGPMAGAVKLGDEEKSRVLKMADVTIRADMEGKEEAFYTLVRLGYNCISPVPHKRPTMKEVIQALDNFPTTTSPSYYYAI >itb02g11040.t3 pep chromosome:ASM357664v1:2:7216589:7218728:-1 gene:itb02g11040 transcript:itb02g11040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MFLFASSPKFNLGSFSSLCVKQRHHCNSSHVSALNLLFSSSLKQRQTLNHVACFAMSRESEKSDTNVHQKDNSRPKKTIKLKITKGSEDVGKESSEIFPTTIPKKPRRGRRSEAAAVEDFVRDKLEQTFASIREQNSDIMKDKGSIMKDSVVESHDTDSSSDESDEDDVDNETEKKMLVEEEDPDWPLDADVGWGVRASEYFEKHPIKNTVGEDGVVVNWEGELDDCLVKEITCLEWEKFAFHPSPLIVLIFERYKSASKNWKVLKELEKAALVYWKAKDRLPPRTVKIDINIERDLAYALDVKECPQILFLRGNKIVHREKDMRSADELVQMIAHFYYNAKRPACINNLDVSPPF >itb02g11040.t2 pep chromosome:ASM357664v1:2:7216456:7219806:-1 gene:itb02g11040 transcript:itb02g11040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MFLFASSPKFNLGSFSSLCVKQRHHCNSSHVSALNLLFSSSLKQRQTLNHVACFAMSRESEKSDTNVHQKDNSRPKKTIKLKITKGSEDVGKESSEIFPTTIPKKPRRGRRSEAAAVEDFVRDKLEQTFASIREQNSDIMKDKGSIMKDSVVESHDTDSSSDESDEDDVDNETEKKMLVEEEDPDWPLDADVGWGVRASEYFEKHPIKNTVGEDGVVVNWEGELDDCLVKEITCLEWEKFAFHPSPLIVLIFERYKSASKNWKVLKELEKAALVYWKAKDRLPPRTVKIDINIERDLAYALDVKECPQILFLRGNKIVHREKDMRSADELVQMIAHFYYNAKRPACINNLDVSPPF >itb02g11040.t1 pep chromosome:ASM357664v1:2:7216451:7219806:-1 gene:itb02g11040 transcript:itb02g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MFLFASSPKFNLGSFSSLCVKQRHHCNSSHVSALNLLFSSSLKQRQTLNHVACFAMSRESEKSDTNVHQKDNSRPKKTIKLKITKGSEDVGKESSEIFPTTIPKKPRRGRRSEAAAVEDFVRDKLEQTFASIREQNSDIMKDKGSIMKDSVVESHDTDSSSDESDEDDVDNETEKKMLVEEEDPDWPLDADVGWGVRASEYFEKHPIKNTVGEDGVVVNWEGELDDCLVKEITCLEWEKFAFHPSPLIVLIFERYKSASKNWKVLKELEKAALVYWKAKDRLPPRTVKIDINIERDLAYALDVKECPQILFLRGNKIVHREKDMRSADELVQMIAHFYYNAKRPACINNLDVSPPF >itb15g20720.t2 pep chromosome:ASM357664v1:15:23385446:23388545:-1 gene:itb15g20720 transcript:itb15g20720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVQLVDDSMSNLTSASNDNAISISSTQKQPSTTNQAVKRKRNQAGNPVSTGLVLLDPEAEVVALSPNTLFATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKEEVVKKKVYVCPEASCVHHDPARALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSSSLTPLPNALFSTQPPPPLSATATSLQTLHNLQATFNHLRQPAEMPPWLACPPPLPPPPLLKATGPTQFLENPNPSSLLVSPPHMSATALLQKAAQLGVTSNNTIASTGNLIRPHHHQNQNQNQNQIHHHMMGTAAAGFFSSREELASSYGANKPAAGHASLTPGCMEMMGGTTGSDTTGFYYHHQGFNGGRENTDIEGLNTRDFLSLTASFSSSKGFSQNSACWPP >itb15g20720.t1 pep chromosome:ASM357664v1:15:23385446:23388545:-1 gene:itb15g20720 transcript:itb15g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVQLVDDSMSNLTSASNDNAISISSTQKQPSTTNQAVKRKRNQAGNPDPEAEVVALSPNTLFATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKEEVVKKKVYVCPEASCVHHDPARALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARSSSLTPLPNALFSTQPPPPLSATATSLQTLHNLQATFNHLRQPAEMPPWLACPPPLPPPPLLKATGPTQFLENPNPSSLLVSPPHMSATALLQKAAQLGVTSNNTIASTGNLIRPHHHQNQNQNQNQIHHHMMGTAAAGFFSSREELASSYGANKPAAGHASLTPGCMEMMGGTTGSDTTGFYYHHQGFNGGRENTDIEGLNTRDFLSLTASFSSSKGFSQNSACWPP >itb01g09580.t1 pep chromosome:ASM357664v1:1:7841172:7841955:1 gene:itb01g09580 transcript:itb01g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDHETFSLSSRKKKSFSFSVNLSCCFHRRRSLSSSVAPMSPSRWIKSRRNCRRQRCYCSSTDFTYDPLSYARNFDDHHTSNPRDNFLTRLRMPPPPPVPMEDPNAQDESAARVPHFSKKINKNMAEM >itb03g25860.t1 pep chromosome:ASM357664v1:3:25152888:25158068:-1 gene:itb03g25860 transcript:itb03g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIITINSRPKQQPHRRTPSPSPPAMEEEAGFHHHRSTTPSSPVKKLAGKEPLTQLLLPPITPPETHRRNLPPTAAIHSQCSSKVAESSPLHTADRRRRRPSNHTVGHHRHRRLQWRKKPVFTITVPPRHRRRSKARRKRATDAATTAADNATGDTIGGTCHPQPLFTANVHQRSPRARRCTPLIAGEEDPGRRSQFHHPCPVGSVSLSLSFFLSPFLFTLPFSSLSDVYVKMRNQNTLNAIFPSSNY >itb02g03300.t1 pep chromosome:ASM357664v1:2:1902280:1905422:1 gene:itb02g03300 transcript:itb02g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTLVLLLSCFLPQFLLSSVLAAGDGRKTFIVHVQRDAKPSVFQTHDHWYESSLNTLSDGDGANRMIHTYSNVFHGFSARMSAIEAKKMESLPGILAVIPEQVRRLETTRSPEFLGLTRTDSAGLLKESDFGSDLVIGVLDTGIWPERKSFSDEDLGPVPAKWKGECVAGRDFPASSCNRKLIGARYFTRGYEATNGKMNESTEYRSPRDSDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWISGCYDADILAAFDSAVADGVDVISLSVGGVVVPYYLDAIAIAAFGASDAGVFVSASAGNGGPGGLTVTNIAPWVTTVGAGTIDRDFPADVQLGNGKILPGMSIYGGPTLTPHRLYPLIYAGSVGSDGYSSSLCLDGSLDPNVVNGKIVLCDRGINSRAVKGEVVKRAGGIAMILANGVFDGEGLVADCHVLPATAVGAAAGDEIRKYISVASKTKSPPTATIQFRGTRLNVRPAPVVASFSSRGPNPETPEIIKPDVIAPGVNILAAWPDGVGPSGLPSDNRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIRSALMTTAYTVDNRGEAMTDESTGNSSTVMDFGSGHVHPQKAMNPGLVYDISSYDYVDFLCNSNYTTNNIHVITRKFADCRGAKRAGHAGNLNYPSFSAVFQQYSKHKLSTHFIRTVTNVGDPNSVYTVSIKPPPGTTVTVEPARLPFRRVGQKLNFLLRVQTEEVKLSPGNSRVSTGSITWSDGKHQVTSPVVVTLQEPL >itb10g11640.t1 pep chromosome:ASM357664v1:10:17268260:17272980:1 gene:itb10g11640 transcript:itb10g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLLRRRLFSLNPKPFHFLSLKLLSSLSSTPSTSGPTPSEPSSLSARMRFVFDQIDAIEKEHAEKDQTLQRIRAWRESKKSATLSQSQPQPQSQTQSEVSDVDSVPDKSKEMDSEKERCSVVGHGGGLMDKEVELVHPWPEWIELMERLVQQNYFDHKRKDEDKMIEDLGFSVSDAVEHEGFDFTRDWKTVQTAVLNFGRDRFDILRALSRHDLQILVGYGCPSADKRVVFSAKLLRKHVHLDEGDVCSSCNLRSSCEKAYLLTNKEDEARTIDVMRLLLTYAFDPVNGSVANKSLLKMKSVKSVIRKLLHEVVKLSAVPIDPNLPPPIIKKPPPKVKQPPPPPKKRVGRDDIEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPQCNFLNYRRNVVCFHCEFKRPPDDYTESQLKERQRGPRMSLDNKMPARVARQDVSNAWNFNFDDDESDGADVAAFEYADSKKLDIDFPLDKQVEVISRPPKGYETDFSGPQKRGLGFNDFDDEEDDIDSYEVDTRNEIQKNSQINYSELEVNSESEDMDDDNLLSRRHNSLTDRKSKSMRERVTLPGSEDSEIDFDSDNEHPIRSNRKYTSKPRTTTRHSSSFESDDDVGLSSGSEIDEDFRSRQRKGNKSIRDFGRRGSSKNKGEHFSDLETDDDDLNYHTSRQRGIGTGRNGRLNPTNRSDKIARKSNSFVDDSNRRTRDFHRNGKGIKDNRDSYRTDSTYGESWNRSGRGSYNRGQGSNNYGNRPDSYLDDERYRRPRINVR >itb10g11640.t2 pep chromosome:ASM357664v1:10:17268260:17272830:1 gene:itb10g11640 transcript:itb10g11640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLLRRRLFSLNPKPFHFLSLKLLSSLSSTPSTSGPTPSEPSSLSARMRFVFDQIDAIEKEHAEKDQTLQRIRAWRESKKSATLSQSQPQPQSQTQSEVSDVDSVPDKSKEMDSEKERCSVVGHGGGLMDKEVELVHPWPEWIELMERLVQQNYFDHKRKDEDKMIEDLGFSVSDAVEHEGFDFTRDWKTVQTAVLNFGRDRFDILRALSRHDLQILVGYGCPSADKRVVFSAKLLRKHVHLDEGDVCSSCNLRSSCEKAYLLTNKEDEARTIDVMRLLLTYAFDPVNGSVANKSLLKMKSVKSVIRKLLHEVVKLSAVPIDPNLPPPIIKKPPPKVKQPPPPPKKRVGRDDIEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPQCNFLNYRRNVVCFHCEFKRPPDDYTESQLKERQRGPRMSLDNKMPARVARQDVSNAWNFNFDDDESDGADVAAFEYADSKKLDIDFPLDKQVEVISRPPKGYETDFSGPQKRGLGFNDFDDEEDDIDSYEVDTRNEIQKNSQINYSELEVNSESEDMDDDNLLSRRHNSLTDRKSKSMRERVTLPGSEDSEIDFDSDNEHPIRSNRKYTSKPRTTTRHSSSFESDDDVGLSSGSEIDEDFRSRQRKGNKSIRDFGRRGSSKNKGEHFSDLETDDDDLNYHTSRQRGIGTGRNGRLNPTNRSDKIARKSNSFVDDSNRRTRDFHRNGKGIKDNRDSYRTDSTYGESWNRSGRGSYNRGQGSNNYGNRPDSYLDDERYRRPRINLERMISGTLSVNT >itb07g18100.t1 pep chromosome:ASM357664v1:7:22528543:22534003:1 gene:itb07g18100 transcript:itb07g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFFLLLVLVLFFASVSSDNRRILHQPFVPLDSIPPSPSPPAPTTPDYPFSASTPNNSPFFPEDPSPPPPPSPPSPSSPSSFASFPANISSLSVPQTSKPKPTSSKLVATAVACVVAAVIVVSIAVALRIRRVRSRSSSIESKTERSEASTRFNSGDNAGGGIPAIPKLRRPSQPSSEFLYLGTMVNSRGGIEPHNQQSSPTISAANSRKMESPELQPLPPLHGRNLRQNYENAEVGSGRDEDDEEEFYSPGGSLGGRESSIGTGSVSVSRRAFAAIEVENSGGSNYSSSSSSSSSSSSSSGSGSPRRSVSLSISPPGSSSPKSSMPKSPELAPVDTIAFLPLQPQSAASARKSQSSSPVSSSSPERGSKKSIDSSPRSSHVWDQNIDSPVRINSLSLNSPARISSPIESPARMSSSVVDSPVRISSSAVESTVRIGSPVMESPPVRISSSGLWSPARISSPDIDYPARISISAVESQVRISNPIMEESSERASSPVMESVTRRMNSPIMESPERAGSSLMESPPRIINSIIMESPERASSPVMQSLPRRINSPIMESPERAGSSLMESPPRIINSLIMESPERASSPLMESPPRRINSPIMESPERASSPLMESPPRRMSSLIMESPERASSPLMESLPRRINSPIMESPERAGSSLMESPPRIINSLIMESPETPRIINSLIMESPERASSPLMESPPRRINSPIMESLESPSSPLMESPPRRMSSLIMESPERASSPLMESLPRRINSPIMESESLPRRINSPIMESPESPSSPLMESPPRISSPGEESPVRISDQGMESPMRISSPVIGSPARISSLEVESPTTISSSVIDSLPIINSPVVEFPARISSPIVESPPRINSPGMQSPARTSSPVMEPLVTITYPVKENVPILASPSIECSMGNSSSSMESPVVTSPLHQNLPTLVPPQPPVPHISIPSRTPPPPPPPPPSKHWESPRTPNTRPQKLVSEPPVLVNPLRPIAIESPTLISPIQLPSHLEPAKMNSDIAESCSSENVEKNEETPKPKLKPLHWDKVRASSDREMVWDQLKSSSFKLNEEMIETLFVVNTPNMNPKETVQRPTLPTLSQEDRVLDPKKSQNIAISLRALNVTTEEVCDALLEGNADTLGTDLLESLMKMAPTKEEERKLIEYTDNSPFKLGPAEKFLKAVLDVPFAFKRVDAMLYISNFESEVDYLKKSFETLEAACEELRSSKMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDIKGADGRTTLLHFVVQEIIRSEGARLSSANQYEKSIVADDAKCRKLGLQVVSSLSSELTNVKKAAAMDSEVLHSDALKLSKGIVNIAEAVRLNEGMVLDESYSQKFSESMNRFTKMAEEEIIKLQALESVAMSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLMILERVCKEVGMINERTIVSSAHRFPVPVNPMQFPVPVNPMLQPVAGLFPGRPQFSSSGDESS >itb12g23010.t1 pep chromosome:ASM357664v1:12:24898045:24898751:1 gene:itb12g23010 transcript:itb12g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAGPPPPPPPPPHPYLAEKWKLSKKETSAARTRTTTAGAGAAISIKPSSHKRCAFTRKCAALVKEQRARFYIMRRCVTMLICWREYSDS >itb10g15120.t1 pep chromosome:ASM357664v1:10:21407130:21409353:-1 gene:itb10g15120 transcript:itb10g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYQSKTTTTSDFDEKGWVGHINRTLREELQEELEFSVLVFTVPKSLMACDPDSYVPQQVSMGPYHFLNHDVLEMEKYKIDAAKIFQKQIKSPLITFDHLVDQIKAVESKLRVSYDKPLKLGCDTLALMLAIDTAFLLEFLKIYAVKEGRLVGAAISSKMSHLVDLQGKKSSHNALLRDFLMLENQIPLFILRTMLQSQFSSPEVSDETLLAMLMGLSKDVSPFKTSKIAIKTYQLDGFAHLLDYLFRAIVPEMEGEHAGGEEIIIQQEEDEDDKAATFEHSSHVKEAFGKVWGMIRKVNVFKKLTSSRSIKALTKMPLDLVSKVPGVDKLKDTVEKLFFSGEEKDEENPDDSNPDSDKAPLIEEITIPSVTQLARAGINFVPSNNGISSLSFDSKTATLSLPVVCLDINSEVVLRNLVAYEACRAKGPLVLARYTEFMNGIVDTEEDAMVLISKGVIVSQLKSQAEVAGLWNGMDRSVRLTKVQFLDEVIEEVNEYYSQRWKVKAIKFARAYIFGSWKFLTFMATIMLLSMVALQAFCSVYTCSRWFTDIVLEDEAGKN >itb01g35700.t1 pep chromosome:ASM357664v1:1:37765622:37767401:1 gene:itb01g35700 transcript:itb01g35700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATNLEVRCTVEDEIRSLDHGGLFDLGHPLLNRIVDSFVKAAGIGAVQAVAREAYFTAVEGASGDTTGIPPEIGGVKKHHTFASVLKGFAAGVYSGLTYGLREAQGVHDWRNSALAGAITGAALAMTTKDHSHDHVIQCAITGAAISTAANLLKGIF >itb03g22310.t2 pep chromosome:ASM357664v1:3:20389699:20394332:1 gene:itb03g22310 transcript:itb03g22310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHFSQEMALQQQQQQQNAVVLHSMLPADHHSSSPKSPPSTWLNTSLLRQHSHHFSAPADAAAAAAGTSFLHLQTTNSDSSTSNHWLSPTGEGPKSDAVSEPMNNNNNNNSSNNNANNSEESSWEREKCKADILNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSVLGQGQQPLDDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDDEQADSDANFFDGGLDGSDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSQKSKRKSAGEKMSSEHFI >itb03g22310.t1 pep chromosome:ASM357664v1:3:20389699:20394435:1 gene:itb03g22310 transcript:itb03g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHFSQEMALQQQQQQQNAVVLHSMLPADHHSSSPKSPPSTWLNTSLLRQHSHHFSAPADAAAAAAGTSFLHLQTTNSDSSTSNHWLSPTGEGPKSDAVSEPMNNNNNNNSSNNNANNSEESSWEREKCKADILNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSVLGQGQQPLDDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDDEQADSDANFFDGGLDGSDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSQKSKRKSGSAGEKMSSEHFI >itb02g14410.t1 pep chromosome:ASM357664v1:2:10509024:10510298:-1 gene:itb02g14410 transcript:itb02g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGECVDVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGVTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKVSQAARKMKVGDVKFKQIARKMKVGDVKFKQICRKCGVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVKTMSKFKKKSNQDERVKVLQMEKERMMRDPRVEFSFETIKIKNSSWRKKRYKYLTKLSYSAHDASSSTTVDVKSEGIN >itb03g06500.t2 pep chromosome:ASM357664v1:3:4740156:4746572:-1 gene:itb03g06500 transcript:itb03g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSVEESVYLHGDLQLHIIEARKLPNMDLAAETLRRCFACDVCRKPDHAPDNGGATMSSYSERKNHLKGVIASDAYVTVSAPQTILARTRVIPNAQNPVWEEHFLIPLAHPMACLEFRVKDNDVFGAQCIGKVKIPAKDIASGDLISDWFELIDHSGKPPKPGAALRIEMKFIPFETNPLYKHGIAGDPERLGVRNTYFPLRKGCSVKLYQDAHVRNNLELPEVKLDGGIVYERGSCWEDICLAISEAHHLVYIVGWSVYQKIKLIREPTRDLPAGGDLTLGELLKYKSQEGVRVLLLVWDDKTSHDKFFINTAGIMATHDEETKKFFKHSSVICVLSPRYASSKLSFIKQQIVGTMFTHHQKCVLVDTQGDGNNRKITAFLGGLDLCDGRYDTPEHRLFHDLDTVFKGDFHQPTFPAGTKAPRQPWHDLHCRIEGPAAYDVLINFAQRWRRATRWREFAFLKKARSKWNDDAMIKVERISWILSPAFSIEKETTSIPEDDPKLYVNENDKSKYWHVQIFRSIDSGSVKGFPKRIDIAEKQNLICGKNLAVDKSIETAYIQAIRSAQNFIYIENQYFLGSSYAWPSYEDAGADHLIPMELAMKVVSKIRANERFAIYVVMPMWPEGDPKTATMQEILFWQSQTMHMMYKVIAQEIKSMQLDEHPSDYLNFYCLGNREDVPSFGITQVSALHDICACQRNDSGRRVCHSGIC >itb03g06500.t1 pep chromosome:ASM357664v1:3:4740156:4746572:-1 gene:itb03g06500 transcript:itb03g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSVEESVYLHGDLQLHIIEARKLPNMDLAAETLRRCFACDVCRKPDHAPDNGGATMSSYSERKNHLKGVIASDAYVTVSAPQTILARTRVIPNAQNPVWEEHFLIPLAHPMACLEFRVKDNDVFGAQCIGKVKIPAKDIASGDLISDWFELIDHSGKPPKPGAALRIEMKFIPFETNPLYKHGIAGDPERLGVRNTYFPLRKGCSVKLYQDAHVRNNLELPEVKLDGGIVYERGSCWEDICLAISEAHHLVYIVGWSVYQKIKLIREPTRDLPAGGDLTLGELLKYKSQEGVRVLLLVWDDKTSHDKFFINTAGIMATHDEETKKFFKHSSVICVLSPRYASSKLSFIKQQIVGTMFTHHQKCVLVDTQGDGNNRKITAFLGGLDLCDGRYDTPEHRLFHDLDTVFKGDFHQPTFPAGTKAPRQPWHDLHCRIEGPAAYDVLINFAQRWRRATRWREFAFLKKARSKWNDDAMIKVERISWILSPAFSIEKETTSIPEDDPKLYVNENDKSKYWHVQIFRSIDSGSVKGFPKRIDIAEKQNLICGKNLAVDKSIETAYIQAIRSAQNFIYIENQYFLGSSYAWPSYEDAGADHLIPMELAMKVVSKIRANERFAIYVVMPMWPEGDPKTATMQEILFWQSQTMHMMYKVIAQEIKSMQLDEHPSDYLNFYCLGNREDVPSSVSQSISGFGITQVSALHDICACQRNDSGRRVCHSGIC >itb03g06500.t3 pep chromosome:ASM357664v1:3:4740156:4746572:-1 gene:itb03g06500 transcript:itb03g06500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSVEESVYLHGDLQLHIIEARKLPNMDLAAETLRRCFACDVCRKPDHAPDNGGATMSSYSERKNHLKGVIASDAYVTVSAPQTILARTRVIPNAQNPVWEEHFLIPLAHPMACLEFRVKDNDVFGAQCIGKVKIPAKDIASGDLISDWFELIDHSGKPPKPGAALRIEMKFIPFETNPLYKHGIAGDPERLGVRNTYFPLRKGCSVKLYQDAHVRNNLELPEVKLDGGIVYERGSCWEDICLAISEAHHLVYIVGWSVYQKIKLIREPTRDLPAGGDLTLGELLKYKSQEGVRVLLLVWDDKTSHDKFFINTAGIMATHDEETKKFFKHSSVICVLSPRYASSKLSFIKQQIVGTMFTHHQKCVLVDTQGDGNNRKITAFLGGLDLCDGRYDTPEHRLFHDLDTVFKGDFHQPTFPAGTKAPRQPWHDLHCRIEGPAAYDVLINFAQRWRRATRWREFAFLKKARSKWNDDAMIKVERISWILSPAFSIEKETTSIPEDDPKLYVNENDKSKYWHVQIFRSIDSGSVKGFPKRIDIAEKQNLICGKNLAVDKSIETAYIQAIRSAQNFIYIENQYFLGSSYAWPSYEDAGADHLIPMELAMKVVSKIRANERFAIYVVMPMWPEGDPKTATMQEILFWQSQTMHMMYKVIAQEIKSMQLDEHPSDYLNFYCLGNREDVPSSVSQSISGKDNVSESLKFQRFMIYVHAKGMIVDDEYVIVGSANINQRSMAGSKDTEIAMGAYQPHHTWTRKQRRPHGQIYGYRMSLWEEHLGKVEGCFEEPNTLECVRRVNEIAQDNWKKFIDGSFTTPLQGHLLKYPLDVDRDGKVSPLPGCEQFPDVGGKVIGSYSTTIPDVLTT >itb12g06970.t1 pep chromosome:ASM357664v1:12:5192163:5196348:-1 gene:itb12g06970 transcript:itb12g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKRNFQIEAFKHRVVVDVKYAEKTWKIIEHAIHEIYNHNASGLSFEELYRNAYNMVLNKFGEKLYSGLVSTMTSHLKEIAMSVEAAQGCLFLEELNRKWADHNKALQMIRDILMYMDRTFIPSTHKTPVHQLGLNLWRDNVIHSSKIQTRLQDTLLELVQKERTGEVINRGLMRNAIKMLIDLGPSVYQEDFEKPFLQVSADFYSVESQQFIESCDCGDYLKKADKRLNEEIERVSHYLDAATEAKVTNVVEKEMIESHMHRLVHMENSGLVNMILDDKYDDLGRMYNLFRRVSNGLSLIRDVMTSHIREVGKQLVTDPEKLKDPIDFVQRLLDEKDKYDKVISKSFNSDKTFQNALNSSFEYFINLNSRSPEFISLFVDDKLRKGLKGVSEEDVEILLDKVMVLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLESMFTDMKTSQDTMQGFYSAMGAEIADGPTLTVQVLTTGSWPTQSVAPCNLPAEILCVCEKFKTYYLGTHTGRRLTWQTNMGTADLKATFGNGQRHELNVSTYQMCILLLFNNADRLSYKDIEQATEIPASDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDSFMFNDKFTSKFYKVKIGTVVAQKESEPEKHETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDETDRKLYRYLA >itb02g09590.t1 pep chromosome:ASM357664v1:2:6173553:6177285:1 gene:itb02g09590 transcript:itb02g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNRFLFAIAKNHTFRCIKSQGIGLLRTPFKLRNFSDLARNGYDVGTKDCIPILQSLGDAGDLISGEQIHSFLIKTGSNQEVFVQNNLMRLYSICGDLSSAHQVFDEISEPNLVSWTSLVSAYIHDGRVDTGLCMFLRMFQTGLMPNEFGFSVVLKACRMINQFVLGESIHGLILKCGLELSKFCSSSILGVYVESGDVKKVRKFFHAIPQWCRSEALCNMFLESCVLSCDLVEANNLFQQMGYFNIRPNCFTYAIMFKLCAAALVADFARSFHGKIVKIGFESDLIVGGALVDSYTNLGFLYDAYKVFKNLEGNDNMVFCALLAGHIQHGNPDQGLHLFLDFISEGNKPDPFTFASVFSLCSKSTIEGIGSQVHCSLIKHGFIMDPVIGSAIVNMYGNIGMISEAYKCFLEVESKNTICFSAMINYFLLDSRHDMALELFFKMRTLALELCQSTVSYVVRAYAHLNMLREGKSLHSYTLKHFIDIDLCLQNALIEMYVKCGLVEKAETLFNAMMIPNEFSWTTVITGYSDLGQSRKTFSIFQNMLLSPVAVTPSQYTIVPLLQVCCSGETFLAGKQVHGYVIKAGFEHNLFVGSALINMYAGFKHESKSAFLVFISMSQQDIVLWSSMITAWAQNGYYREALLLFVKFQNDSIFSVDESILVSCLSACTALTSLEIGKCFHALCMKTGIESSVHAASSIIDMYSKCGSIRDAYKFFEGSRKQTVVTWTAMICAYAYHGLGIEAIQLFHEMKAAGFKPDELTFTGVLTACSHAGLLAEGWKLFESMRSEYDLEVTIAHYTCMVDLLGRLEKVTEAEALINEAPFESKTQLWKILLGACSTHQNFEKGKQISEMLIELEPNEPTTYVMLSNIYAAASMWNHKLERLFELAHAWISQEADIAVKKSLRLLAYYMSLLARCHPNKAREFALECVCSSLAWKIFHWMLMSMRRKLKLESWA >itb07g15440.t1 pep chromosome:ASM357664v1:7:18529303:18533928:-1 gene:itb07g15440 transcript:itb07g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEKLGMMDWEQESIPRYEDFAALPLFALFFPAVRFLLDRFVFENVARWLMFPKGQRVLENETDERRKKVRKFKESAWKCVYFLSAEIFSLVVTYNEPWFKNTKYFWVGPGDQVWPDQKYKSKLKALYMYAGGFYTYSIFALIFWETRRADFGVSMSHHVATAILIVLSYIFRFARVGSIVLALHDASDIFLEIGKMSKYSGAETLASFAFVLFVLSWIVLRLTYFPFWILWSTSYEVLLTLDKEKHRIDGPLCYYIFNTLLFGLLVLHVYWWVLMYRMLVKQIQARGKVSEDVRSDSEGEDENEHED >itb07g15440.t2 pep chromosome:ASM357664v1:7:18529303:18533928:-1 gene:itb07g15440 transcript:itb07g15440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEKLGMMDWEQESIPRYEDFAALPLFALFFPAVRFLLDRFVFENVARWLMFPKGQRVLENETDERRKKVRKFKESAWKCVYFLSAEIFSLVVTYNEPWFKNTKYFWVGPGDQVWPDQKYKSKLKALYMYAGGFYTYSIFALIFWETRRADFGVSMSHHVATAILIVLSYIFRFARVGSIVLALHDASDIFLEIGKMSKYSGAETLASFAFVLFVLSWIVLRLTYFPFWILWSTSYEVLLTLDKEKHRIDGPLCYYIFNTLLFGLLVLHVYWWVLMYRMLVKQIQARGKVSEDVRSDSEGEDENEHED >itb14g19910.t1 pep chromosome:ASM357664v1:14:22418455:22426643:-1 gene:itb14g19910 transcript:itb14g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MASPHKPWKAEYAKSSRSSCKTCKSPIDKETFRLGKMVQATQFDGVMPMWNHAGCILKKAKQIKAADDVEGLESLRWEDQEKIRKYVEGGGCGSSNAPTPAAMEYGVETSQTSRATCKHCSEKIMKGEVRISSKAEGQGARSLAWHHAKCFMEKSPVTQVDKLLGWDSLPASDQAVVCSLVKNSTVKVAKEEPLQESTSTAGAKRKKSSNNDQKSKLAKTEPDIVTKSSELESQLKAQSKALWALKDDLKKHVSTAELREMLECNDCVSTGSELDLRDRCADGMLFGALSVCPLCSGHLCYSEGKYKCHGYLSAWSKCSYSTFEPKRVDGKWKIPEETRNEYLLKWYKSQKAKKPARILPPPSSSSPSTSKAADKLGQSSKGESIGDLKLAIAGMGKESMAEWKRKVEEAGAQVHAKIKKDTNCLVVSGVPDGNDAEIRKARRMKLPIVREDYMVDCIEKKKKLPFDLYKVEAIGESHSMVTVKVKGRSAVHESSGLQDSGHILEDANSIYNTTLNMSDLTTGINSYYILQIIEEDKGSDCYVFRKWGRVGNEKIGGSKLENMSKFDAILDFKRLFLEKTGNSWEAWEGKVDFQKQPGRFYPLDIDYGVDKNASKKKNLDDTNSKLAPQLIELMKLLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFQALTELQNLLRDDDSSVKDSLIIDVSNRFFTVIPSIHPHVIKDEDDFKSKVKMLEALQDIEIASRLVGLDNDNDSLDEKYGKLKCDISPITRDSEDFRLVEKYLQATHAPTHKEWALEVEQVFSLERTGELDKFAPFRKKLKNRMLLWHGSRLTNFVGILSQGLRIAPPEAPTTGYMFGKGVYFADMVSKSAQYCFTNRKNPVGFMLLSEVALGDMHELKKAQYMDKPPKGKHSTKGLGKTVPQESDYVKWRDEVVVPCGKPVPSKVKASELLYNEYIVYDVAQVKLQFLVKVRFQYK >itb01g21610.t1 pep chromosome:ASM357664v1:1:27694328:27695469:-1 gene:itb01g21610 transcript:itb01g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEDRDQGSVLGPGEWLSLGLGEGVSSSPTSHKVFSCNFCMRKFYSSQALGGHQNAHKRERGAVRKFNSRRMMTMLSMPFHNPMFRSLGVMPHSVQHKPSKDASITAARFSKANTRFSTSALPDPMDKAAADSMWPGSFWFNPQQSEEQSTNPYKLDLNLKL >itb01g21610.t2 pep chromosome:ASM357664v1:1:27694435:27695373:-1 gene:itb01g21610 transcript:itb01g21610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEDRDQGSVLGPGEWLSLGLGEGGHQNAHKRERGAVRKFNSRRMMTMLSMPFHNPMFRSLGVMPHSVQHKPSKDASITAARFSKANTRFSTSALPDPMDKAAADSMWPGSFWFNPQQSEEQSTNPYKLDLNLKL >itb13g01400.t1 pep chromosome:ASM357664v1:13:1327127:1328690:-1 gene:itb13g01400 transcript:itb13g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKKPKHSTSFSSARLWEDRISNIFKKEAEIQFDHLPPLCVFQVPKLLIDQNPEAYTPQLIGLGPYHHLKPELYHMERYKLAALKQILDPSQILNFQHCVVDKLRQKDPMIRACYSRFMDLQEGTLAWIIAIDSLFLIHVLQTLSSSYDVRGGCLSGDNILTRDIILLENQIPVALIKEILKLVELSSPPSDDEVFSMLLRFCEAHSPLKISMETRNFNHFRQPLHLLDLMYHLIVKDQGSCSVVEVPIQEISVQQKAIVETKLIVAQEHNGQDPDTFQNFEEIINGLESIGLKHTRHVKAVSNVIPWTTISGLFRTATKIDGDDEDEITIPSVASLWRYACIRCSPVLQGGICSHLQSLTRSHTLPPSHHSKLMLRSYSEKPRSVRGFHAKIKLGICAGTLSDQETADLFNGMKRFFTKPDKRSSIEVAIKKTNDFYDLRMIVRVYRKIKKNAHMTWKVFAVLSFILTLALLAFQTFCGSYHCSKLWAKEDG >itb07g17720.t1 pep chromosome:ASM357664v1:7:22017977:22018879:1 gene:itb07g17720 transcript:itb07g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKLVVFLVLVLTTPVLGAPGNSNLFREYIGAEFNNVKFSDVPVNPNVEFHFILSFAIDYTTSSSPSPTNGKFNVFWDSENLSPAHVSAVKSQNSNVKVAVSLGGDSVGGGSAYFTPSSIDSWVSNAVSSLTDIIQRYNLDGIDIDYEHFKSDPQTFAECIGRLITIMKKNNVISFASIAPYADGDVQSHYLALWKGYGHAIDYVNFQFYAYDEGTTVSQFMDYFSTQSDNYGGGRVLASFITGGSGGLSPENGFFTACSRLKSEGKLHGIFVWCADDSQSNGFKYEKQSQALLAISH >itb06g16080.t1 pep chromosome:ASM357664v1:6:20287023:20291843:-1 gene:itb06g16080 transcript:itb06g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKAGILIVLFGLFVLGAAPLCSSYPNPLNCSDPGRLCSSFLAFKPGPDETLPVIQSMFDVLPHDITVEGNGRDYVFIRKNCSCAPGNNQYLTNTTFTVRESNGSVYDLVIDAYDGLAYFPTNFTREAKKGAVVSLKLMCGCSSGLWNYLMSYVMEDGDSVESLASRFGVSMDNIESVNGIQNPDNFTAGALYYIPLNSVPGEPYPVKNDTLPAPVPAPSDADITGIQTDHKRHVPYRWIIGGLGVGLALIVIIIVALVSFRSSNCFSRDFESHAADSNQKSNSHKFQILRNTSLCCASGRSICCKLGDWKEPNAEPSNRQMNNIPKVIGTDVFDMEKPLVFTYEEIFSSTDGFSDANLLGNGTYGSVYYGLLRDQEVTIKRMTATKTKEFMAEMKLLCKVHHTNLVELIGYAASNDELFLIYEYAQKGSLRSHLHDPQNKGHTSLSWIMRIQIALDAARGLEYIHEHTKPHYVHRDIKTSNIVLDGSFKAKISDFGLSKLVGITNDGEASVTRVVGTYGYVAPEYLRDGLATTKSDVYAFGVVLFEIISGKEAVTRTEGGTAVKNTERRSLVSIMLAALKNSPDSTSMSALKECVDSNLMDLYPHDCLFKLATLARQCVDDDPILRPDMKQVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >itb01g08270.t1 pep chromosome:ASM357664v1:1:6656699:6660883:1 gene:itb01g08270 transcript:itb01g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKANVSKELNAKHKKILEGLLKLPENRQCADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEATLPPNYDKVGTETFIRAKYEDKRWACKDRKPESPSRMREVKAPQSSDRSGQGYASNSRHSSFERKNVQAPNKRHDGPAAIVRLPMPPKEHVAPVQLARQTSQNAEPLATSVSATQVVQPATAPKVDCALDLFDVFSMDGPRENSLATATVNDGGWATFPSAGEATPTEKTMITESDNIKPKSTSGCEDLFKDCCLVEETTWVVISDNIKPSQSISNIEDLFKDSAPVTNGSLSEKPQKNVSSDILSLFDKSNMVSPFAMHQQQLTGLTQQQSLLMTTPAAEATKIPGGAQQAPNNANVPNQSSQTFYSPFPGDLMMPAAKKNEQDKYMQEMGNTGATNSVENHSASSTSSGQAAGQNSCTNSAVHCGSSKQQEASPSSSTSAEPAKDFDHFSFLTQSMLSKR >itb01g08270.t2 pep chromosome:ASM357664v1:1:6656699:6660632:1 gene:itb01g08270 transcript:itb01g08270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKANVSKELNAKHKKILEGLLKLPENRQCADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEATLPPNYDKVGTETFIRAKYEDKRWACKDRKPESPSRMREVKAPQSSDRSGQGYASNSRHSSFERKNVQAPNKRHDGPAAIVRLPMPPKEHVAPVQLARQTSQNAEPLATSVSATQVVQPATAPKVDCALDLFDVFSMDGPRENSLATATVNDGGWATFPSAGEATPTEKTMITESDNIKPKSTSGCEDLFKDCCLVEETTWVVISDNIKPSQSISNIEDLFKDSAPVTNGSLSEKPQKNVSSDILSLFDKSNMVSPFAMHQQQLTGLTQQQSLLMTTPAAEATKIPGGAQQAPNNANVPNQSSQTFYSPFPGDLMMPAAKKNEQDKYMQEVKCLS >itb07g21670.t2 pep chromosome:ASM357664v1:7:26193928:26200479:1 gene:itb07g21670 transcript:itb07g21670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRPYSGFDVFLSFRGETRTSFSDHLYTSLSDAGVPTFRDKEGIREGNDISDELKQAIEGCEVSVVVFSKNYAQSRWCLNELLKMMECQQKGAQKILPIFYHVTPEEVRKQTGEFGIALNQHIERFGEEIVNGWKAALTNVANLAGYHITEDRYESGIIKIITQRVLMELNHAYMDIAKYPVGTDSRVKDIENLLQSPTNDGVKMIGIFGMGGVGKTTLAKVIYNRNFRRFDGSCFIANIGSEVSGGALARIQEKLVGLKRKMHEIENADHGITLIQRVLSSTKVLIVLDDINSRKQLDSLARQRNWFGPDSIIIITTRDVQLLSNLREHEKYEVNMLSFNESLQLLSLHALDVSVPNKEYSELSETIARYTKGLPLALEVIGSRLHRKPVQGWTYYAEKLKKMPPGDVQKILKISYDALDDDHAKNIFLDIACFFIGDDKNNTVKILEACNFVSAEGIEILRERCLLKINKSGNFEMHDLIRDMGREIVRMESPREPGKRSRLVDPKDIIDVLRGKKGTDAIEGMIVNSNMLKDEPFSTEAFAGMKNLRILILDGLHLRGSLEYLSHELRLFRLRNCHFSCSQWLSDSFLGKLWNLVELDMSRSNIKEFQPDMKHLTCLKILKLDDCEVEKTPDFTGAHSLEKVFFRSCSKLVEVHHSIGSLNKLLVLDFNSCSALKVLPAELGNLEKLSELNVRVTAIRNMPAPLRGLRNLKNLDLSLLRSTRTTRGHAGLLPPSVAELRSLERLSTHSTILYEIDLPVGLGSLTSLTNLHLSGCFYIQDLPFSLRDLSNLKNLNLDDWQNLRVLKDLPPTLESLSAGNCVSLEKIADISTLQRLQKLNIPNCKNLAPIPGLETLENLQLLEIRNCSRLTPVENWFQARSEGGSEDGSEGDSVKFRLEGSGVGYLACRVPTLLGPKFIRTDNPAIIEGPLEGLRISVSSTTTDRWVEFKDEYNYSKSAFTGYKIDFKVPRITGERLEVYAEFTPSELTLCLFEIQRNRDGEWRFFPSTRGWLPSAWGYLQQGDDEPTTSHREKRLKLLQVDEPTTSHRENRPKLLRVENLSTSITRGFRPKEYCCWLEEGKRPNLALGGKRIVGQRPSRPSEVKSSILTAN >itb07g21670.t1 pep chromosome:ASM357664v1:7:26193928:26200479:1 gene:itb07g21670 transcript:itb07g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRPYSGFDVFLSFRGETRTSFSDHLYTSLSDAGVPTFRDKEGIREGNDISDELKQAIEGCEVSVVVFSKNYAQSRWCLNELLKMMECQQKGAQKILPIFYHVTPEEVRKQTGEFGIALNQHIERFGEEIVNGWKAALTNVANLAGYHITEDRYESGIIKIITQRVLMELNHAYMDIAKYPVGTDSRVKDIENLLQSPTNDGVKMIGIFGMGGVGKTTLAKVIYNRNFRRFDGSCFIANIGSEVSGGALARIQEKLVGLKRKMHEIENADHGITLIQRVLSSTKVLIVLDDINSRKQLDSLARQRNWFGPDSIIIITTRDVQLLSNLREHEKYEVNMLSFNESLQLLSLHALDVSVPNKEYSELSETIARYTKGLPLALEVIGSRLHRKPVQGWTYYAEKLKKMPPGDVQKILKISYDALDDDHAKNIFLDIACFFIGDDKNNTVKILEACNFVSAEGIEILRERCLLKINKSGNFEMHDLIRDMGREIVRMESPREPGKRSRLVDPKDIIDVLRGKKGTDAIEGMIVNSNMLKDEPFSTEAFAGMKNLRILILDGLHLRGSLEYLSHELRLFRLRNCHFSCSQWLSDSFLGKLWNLVELDMSRSNIKEFQPDMKHLTCLKILKLDDCEVEKTPDFTGAHSLEKVFFRSCSKLVEVHHSIGSLNKLLVLDFNSCSALKVLPAELGNLEKLSELNVRVTAIRNMPAPLRGLRNLKNLDLSLLRSTRTTRGHAGLLPPSVAELRSLERLSTHSTILYEIDLPVGLGSLTSLTNLHLSGCFYIQDLPFSLRDLSNLKNLNLDDWQNLRVLKDLPPTLESLSAGNCVSLEKIADISTLQRLQKLNIPNCKNLAPIPGLETLENLQLLEIRNCSRLTPVENWFQARSEGGSEDGSEGDSVKFRLEGSGVGYLACRVPTLLGPKFIRTDNPAIIEGPLEGLRISVSSTTTDRWVEFKDEYNYSKSAFTGYKIDFKVPRITGERLEVYAEFTPSELTLCLFEIQRNRDGEWRFFPSTRGWLPSAWGYLQQGDDEPTTSHREKRLKLLQVDEPTTSHRENRPKLLRVENLSTSITRGFRPKEYCCWLEEGKRPNLALGGKRIVGQRPSRPSEVKSSILTAN >itb07g21670.t3 pep chromosome:ASM357664v1:7:26193928:26200479:1 gene:itb07g21670 transcript:itb07g21670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRPYSGFDVFLSFRGETRTSFSDHLYTSLSDAGVPTFRDKEGIREGNDISDELKQAIEGCEVSVVVFSKNYAQSRWCLNELLKMMECQQKGAQKILPIFYHVTPEEVRKQTGEFGIALNQHIERFGEEIVNGWKAALTNVANLAGYHITEDRYESGIIKIITQRVLMELNHAYMDIAKYPVGTDSRVKDIENLLQSPTNDGVKMIGIFGMGGVGKTTLAKVIYNRNFRRFDGSCFIANIGSEVSGGALARIQEKLVGLKRKMHEIENADHGITLIQRVLSSTKVLIVLDDINSRKQLDSLARQRNWFGPDSIIIITTRDVQLLSNLREHEKYEVNMLSFNESLQLLSLHALDVSVPNKEYSELSETIARYTKGLPLALEVIGSRLHRKPVQGWTYYAEKLKKMPPGDVQKILKISYDALDDDHAKNIFLDIACFFIGDDKNNTVKILEACNFVSAEGIEILRERCLLKINKSGNFEMHDLIRDMGREIVRMESPREPGKRSRLVDPKDIIDVLRGKKGTDAIEGMIVNSNMLKDEPFSTEAFAGMKNLRILILDGLHLRGSLEYLSHELRLFRLRNCHFSCSQWLSDSFLGKLWNLVELDMSRSNIKEFQPDMKHLTCLKILKLDDCEVEKTPDFTGAHSLEKVFFRSCSKLVEVHHSIGSLNKLLVLDFNSCSALKVLPAELGNLEKLSELNVRVTAIRNMPAPLRGLRNLKNLDLSLLRSTRTTRGHAGLLPPSVAELRSLERLSTHSTILYEIDLPVGLGSLTSLTNLHLSGCFYIQDLPFSLRDLSNLKNLNLDDWQNLRVLKDLPPTLESLSAGNCVSLEKIADISTLQRLQKLNIPNCKNLAPIPGLETLENLQLLEIRNCSRLTPVENWFQARSEGGSEDGSEGDSVKFRLEGSGVGYLACRVPTLLGPKFIRTDNPAIIEGPLEGLRISVSSTTTDRWVEFKDEYNYSKSAFTGYKIDFKVPRITGERLEVYAEFTPSELTLCLFEIQRNRDGEWRFFPSTRGWLPSAWGYLQQGDDEPTTSHREKRLKLLQVDEPTTSHRENRPKLLRVENLSTSITRGFRPKEYCCWLEEGKRPNLALGGKRIVGQRPSRPSEVKSSILTAN >itb04g03680.t2 pep chromosome:ASM357664v1:4:2297316:2305636:1 gene:itb04g03680 transcript:itb04g03680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFNATNLKQVQLRIAWPPQISPCLWEDTSILVQFGFLGFILLHMLRTFILSQWKGGKKAMTLEKSPAGVKLKLGLSYKCSVVCSTLSLVAHLVLLSVPQNKTEAHCKSRVPVLSAEILQVISWAVTLVLLYRSRKNVKLPWILRMWWISSFLLSLVHATMNAHSLITNHESLGLHEYADIFGLIVSACLLGISIQGKTGTALDSSNGITEPLLGGKPEKYSSDGRRDSPYGRASLLQLVTFSWLNPLFEVGKKKPLDQDEVPDVYIGDSADFLSSSFDESLKYVKERDGTTNPSIYKAIYVFGRKKAAINALFAVISASTSYVGPYLIDDFVTFLAEKKSRSLQSGYLLALGFLSAKMVETVAQRQWIFGARQLGLRLRAALISHIYQKGLVLSSQSRQSHTSGEIINLMSVDVQRITDFIWYLNIIWMLPIQISLAIYILHMNLGMGSLVALATTMVVMTINIPVTRIQKGYQTKIMESKDERMKSTSEVLRNMKTIKLQAWDNFYLQKLESLRKIEHNWLWKSLRLSALTAFIFWGCPTFISVATFVGCVIMGIPLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRIAAYLQEDEIQHDAVEFVPKHTTEFGIEINSATFSWNAELGNPTLDRIQLQVKRGMKVAVCGTVGSGKSSLLSCILGEMPKLSGIVKISGTMAYVPQSPWILTGNIRENILFGKSYDREKYERTVEACALKKDFELFSAGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTHLFKECLMGFLNNKTIIYVTHQVEFLPAADLILVMQDGRIAQAGKFEELLKHNIGFEALVGAHNQALESILTVENSSRPSENVTTNSEFDTDTNVDAEFPYIKQDSEHNLNIEITEKDGKLVQDEEREKGSIGKEVYLSYLTIVKRGAFVPVILLAQSSFQGLQVASNYWMAWACPTGDEDPIAAKMKFILSIYIILSVGSALCVLLRSTLVAITGLRTAEKLFRNMLHSILRAPMAFFDSTPTGRILNRASTDQSVLDLEMANKLGWCAFSVIQLLGTVAVMSQVAWEVFAIFIPVTAVCVWYQQYYIPTARELARLAGIERAPILHHFAESLSGAATIRAFNQKPRFVDANLCLIDNHSRPWFHNVSAMEWLSFRLNQLSNFVFAFSLVLLVTLPEGIIDPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYSNLASEAPMLIEDCRPPDNWPDTGSISFQNLQIRYAEHLPSVLKHITCTFPGSKKIGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDDVDICKIGLHDLRSRLSIIPQDPTLFEGTVRGNLDPLEQYSDTQIWEALDKCQLGDIIRAKPEKLESTVVENGENWSVGQRQLFCLGRALLKKSSILVLDEATASVDAATDAVIQKIISQEFKNRTVVTIAHRIHTVIDSDLVLVLSDGKGFYPYYV >itb04g03680.t1 pep chromosome:ASM357664v1:4:2297202:2306163:1 gene:itb04g03680 transcript:itb04g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFNATNLKQVQLRIAWPPQISPCLWEDTSILVQFGFLGFILLHMLRTFILSQWKGGKKAMTLEKSPAGVKLKLGLSYKCSVVCSTLSLVAHLVLLSVPQNKTEAHCKSRVPVLSAEILQVISWAVTLVLLYRSRKNVKLPWILRMWWISSFLLSLVHATMNAHSLITNHESLGLHEYADIFGLIVSACLLGISIQGKTGTALDSSNGITEPLLGGKPEKYSSDGRRDSPYGRASLLQLVTFSWLNPLFEVGKKKPLDQDEVPDVYIGDSADFLSSSFDESLKYVKERDGTTNPSIYKAIYVFGRKKAAINALFAVISASTSYVGPYLIDDFVTFLAEKKSRSLQSGYLLALGFLSAKMVETVAQRQWIFGARQLGLRLRAALISHIYQKGLVLSSQSRQSHTSGEIINLMSVDVQRITDFIWYLNIIWMLPIQISLAIYILHMNLGMGSLVALATTMVVMTINIPVTRIQKGYQTKIMESKDERMKSTSEVLRNMKTIKLQAWDNFYLQKLESLRKIEHNWLWKSLRLSALTAFIFWGCPTFISVATFVGCVIMGIPLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRIAAYLQEDEIQHDAVEFVPKHTTEFGIEINSATFSWNAELGNPTLDRIQLQVKRGMKVAVCGTVGSGKSSLLSCILGEMPKLSGIVKISGTMAYVPQSPWILTGNIRENILFGKSYDREKYERTVEACALKKDFELFSAGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTHLFKECLMGFLNNKTIIYVTHQVEFLPAADLILVMQDGRIAQAGKFEELLKHNIGFEALVGAHNQALESILTVENSSRPSENVTTNSEFDTDTNVDAEFPYIKQDSEHNLNIEITEKDGKLVQDEEREKGSIGKEVYLSYLTIVKRGAFVPVILLAQSSFQGLQVASNYWMAWACPTGDEDPIAAKMKFILSIYIILSVGSALCVLLRSTLVAITGLRTAEKLFRNMLHSILRAPMAFFDSTPTGRILNRASTDQSVLDLEMANKLGWCAFSVIQLLGTVAVMSQVAWEVFAIFIPVTAVCVWYQQYYIPTARELARLAGIERAPILHHFAESLSGAATIRAFNQKPRFVDANLCLIDNHSRPWFHNVSAMEWLSFRLNQLSNFVFAFSLVLLVTLPEGIIDPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYSNLASEAPMLIEDCRPPDNWPDTGSISFQNLQIRYAEHLPSVLKHITCTFPGSKKIGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDDVDICKIGLHDLRSRLSIIPQDPTLFEGTVRGNLDPLEQYSDTQIWEALDKCQLGDIIRAKPEKLESTVVENGENWSVGQRQLFCLGRALLKKSSILVLDEATASVDAATDAVIQKIISQEFKNRTVVTIAHRIHTVIDSDLVLVLSDGRVAEFDSPTKLLEREDSFFSKLIKEYSIRSKSLTA >itb02g03260.t1 pep chromosome:ASM357664v1:2:1877516:1879535:1 gene:itb02g03260 transcript:itb02g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISEEGQEAIFRVVAAILHLGNIEFAKGQEIDSSVIKDEKSRFHLNTTADLLKCDAKSLEGCTN >itb02g03260.t3 pep chromosome:ASM357664v1:2:1877168:1879536:1 gene:itb02g03260 transcript:itb02g03260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISEEGQEAIFRVVAAILHLGNIEFAKGQEIDSSVIKDEKSRFHLNTTADLLKCDAKSLEGCTN >itb02g03260.t2 pep chromosome:ASM357664v1:2:1877168:1879536:1 gene:itb02g03260 transcript:itb02g03260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISEEGQEAIFRVVAAILHLGNIEFAKGQEIDSSVIKDEKSRFHLNTTADLLKCDAKSLEGCTN >itb06g23590.t1 pep chromosome:ASM357664v1:6:25473607:25478295:-1 gene:itb06g23590 transcript:itb06g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-adenosylmethionine carrier 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G34065) UniProtKB/Swiss-Prot;Acc:F4HT41] MSTDLKAASSGGGRNFKVFNFRDEKNMLLASVNKQETLFDFLRILYEGAVAGAAAGVVVETVLYPIDTIKTRLQAVRGGGEIILKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKSLPENLSALAHLTAGAVGGAASSIIRVPTEVVKQRMQTGQFASAPDAVRLIISKEGFRGLYAGYGSFLLRDLPFDAMQFCIYEQLRLGYKLAAKRDLNDSENAMIGAFAGAVTGAITTPLDVIKTRLMVQGSAKQYQGVLHCVGTIAREEGTSALFKGMGPRVLWIGIGGSIFFGVLEKTKQLLAQKRPVDQ >itb15g01990.t1 pep chromosome:ASM357664v1:15:1189773:1190908:-1 gene:itb15g01990 transcript:itb15g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKECTHHKQKRKKLIRQCCAGLLIFLFVVLLTILITWAILQPKKPRFYLRDATIFNFNVTPPNIFSTTIQITVESRNPNDNIGIYYDRLAVFAVYRDQQITFATAIPPVYQGHKDDNLWSPFVYGNNVPIAPFFVPTLTAAEAAGGVGIIFRLDGRVRWKVGTLITSRYHIHVRCPAFIPFGNVPGPGGILVGNAVKYQFVERCEVSL >itb15g05750.t1 pep chromosome:ASM357664v1:15:3703653:3706939:-1 gene:itb15g05750 transcript:itb15g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNVHSMGIFGKKSLLCLFIITSILFMGSWFFVLHSAYRPNLVDLSFSPNSQLLAVAEQTLAENVEEGIGGAEKHSCSKILKVFMYDLPPQFHFGLLGWKPEGNSVWPDIRAKVPSYPGGLNVQHSVEYWLTLDLLASEVAGDLSGRSAVRVRNSSEAEVVFVPFFSSICMNRFSRLKRLQKVDANVLLQEKLVTFLTAQEEWKRSMGKDHIILAHHPNSLLDARTKLWPAMFILSDFGRYPPSIANVEKDVIAPYKQIVGSYVNDTSEFDSRPILLFFQGAIYRKDGGFVRQELFYMLKNEKDVHFAFGNIQKDGIKQATQGMHTSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSDFCVFVRTSDALKENFLLNMIRGIGKEEWTRMWNRIKEVEPFFEYRYPSRGNDAVQMVWQAIARKVPAVKLKIHRNWRYYRTPVSLDNSAAPRLPSNFW >itb15g09020.t1 pep chromosome:ASM357664v1:15:6399675:6407347:1 gene:itb15g09020 transcript:itb15g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKHRLAETDSKAEPQTIKINQADQADGVATAAQKSACCGS >itb02g24480.t2 pep chromosome:ASM357664v1:2:25054317:25059754:1 gene:itb02g24480 transcript:itb02g24480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDCQFRFRFTGLGPEAGAPLASHPHVDKIAFTGSNATGVKIMTAAAQLVKPVTLVLGGKSPIVIFPEEAQVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEFGLGPEAGAPLASHPHVDKIAFTGSNATGVKIMTAAAQLVKPVTLVLGGKSPIVIFPEEAQVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEFGDEYRLWPGTKDSFHQHLERFQFKENS >itb02g24480.t1 pep chromosome:ASM357664v1:2:25052413:25059754:1 gene:itb02g24480 transcript:itb02g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDCQFRFRFTGLGPEAGAPLASHPHVDKIAFTGSNATGVKIMTAAAQLVKPVTLVLGGKSPIVIFPEEAQVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEFGLGPEAGAPLASHPHVDKIAFTGSNATGVKIMTAAAQLVKPVTLVLGGKSPIVIFPEEAQVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEFGDEYRLWPGTKDSFHQHLERFQFKENS >itb04g09870.t2 pep chromosome:ASM357664v1:4:9115906:9120558:1 gene:itb04g09870 transcript:itb04g09870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGMCKSRKGFTLVWIGVLMASVLVVYHSEGLNFEGMYLLELKKSIHDPHNNLANWNPNDETPCGWVGVNCSSAYNNPVVESLDLQSMKLLGTLNSSIGGLAFLTSLDLSFNGFTGSIPKEIGNCSRLEFLLLRNNQFDGAIPPELGNLFNLALLNLSNNMISGPIPVEIGKLSSLVDFAAFTNNLTGPLPPSLGNLKNLQTFRVGQNAISGSLPRELGGCKNLEILGLAQNQLGGNIPRELGMLTWLTEIVLWNNQLSGSIPREIGNCTRLITLALYQNNLVGEIPAEIGNLKSLQNLYLYRNQLNGTIPRELGNLSSVIQIDFSENYLIGEIPRELSLIKGLQLLYLFQNQLTGVIPNEFSSLINLTKLDLSINYLTGPIPSGFQYLTKMVQLQLFDNSLNGTIPQGFGIYSRLWVLDFSDNNLTGRIPPHVCYHSNLILLNLGSNKLYGKIPPGVTNCASLVQLRLDGNRLIGDFPSDLCKLVNLSAVELGENNFGGAIPSEIGNCQKLQRLDLSGNYFSSELPRSIGNLTQLVTFNISSNFFTGRIPPEILNSKALQRLDLSHNRFVDAIPDELGNLSQLELLMLSENKLSGRIPAALGNLSHLTELQMGGNLLSGEIPSELGYLTGLQIAMNLSNNNLSGVIPPELGNLILLEYLLLNNNHLNGEIPSTFGNLSSLMGCDFSYNNLSGPLPDVPLFNNMSLSSFVGNSGLCGGPLGGCNESITSSLKPPLVTSTGASRGKIVTVVAAVIGGVSLVLIVVILYFMKRHPVDMAGSSQDKDILSPASDIYFPPKEGFTLQDLVEATNNFHDSYVIGRGAVGTVYKAVMQSGQTIAVKKLASNREGNNIENSFRAEILTLGRIRHRNIVKLHGFCYHQGSNVLLYEYMEKGSLGELLHGASCNLDWPTRFMIALGSAQGLLYLHHDCKPRIIHRDIKSNNILLDEKFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELITGRAPVQPLDQGGDLVTWVKHYIREHKFTRGILDSRLDLTDETTVNHMLSVLRIAMHCTSMSPFDRPSMREVVILLIESNEREGNFISSPVYDLPLKEGTL >itb04g09870.t1 pep chromosome:ASM357664v1:4:9115842:9120558:1 gene:itb04g09870 transcript:itb04g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGMCKSRKGFTLVWIGVLMASVLVVYHSEGLNFEGMYLLELKKSIHDPHNNLANWNPNDETPCGWVGVNCSSAYNNPVVESLDLQSMKLLGTLNSSIGGLAFLTSLDLSFNGFTGSIPKEIGNCSRLEFLLLRNNQFDGAIPPELGNLFNLALLNLSNNMISGPIPVEIGKLSSLVDFAAFTNNLTGPLPPSLGNLKNLQTFRVGQNAISGSLPRELGGCKNLEILGLAQNQLGGNIPRELGMLTWLTEIVLWNNQLSGSIPREIGNCTRLITLALYQNNLVGEIPAEIGNLKSLQNLYLYRNQLNGTIPRELGNLSSVIQIDFSENYLIGEIPRELSLIKGLQLLYLFQNQLTGVIPNEFSSLINLTKLDLSINYLTGPIPSGFQYLTKMVQLQLFDNSLNGTIPQGFGIYSRLWVLDFSDNNLTGRIPPHVCYHSNLILLNLGSNKLYGKIPPGVTNCASLVQLRLDGNRLIGDFPSDLCKLVNLSAVELGENNFGGAIPSEIGNCQKLQRLDLSGNYFSSELPRSIGNLTQLVTFNISSNFFTGRIPPEILNSKALQRLDLSHNRFVDAIPDELGNLSQLELLMLSENKLSGRIPAALGNLSHLTELQMGGNLLSGEIPSELGYLTGLQIAMNLSNNNLSGVIPPELGNLILLEYLLLNNNHLNGEIPSTFGNLSSLMGCDFSYNNLSGPLPDVPLFNNMSLSSFVGNSGLCGGPLGGCNESITSSLKPPLVTSTGASRGKIVTVVAAVIGGVSLVLIVVILYFMKRHPVDMAGSSQDKDILSPASDIYFPPKEGFTLQDLVEATNNFHDSYVIGRGAVGTVYKAVMQSGQTIAVKKLASNREGNNIENSFRAEILTLGRIRHRNIVKLHGFCYHQGSNVLLYEYMEKGSLGELLHGASCNLDWPTRFMIALGSAQGLLYLHHDCKPRIIHRDIKSNNILLDEKFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELITGRAPVQPLDQGGDLVTWVKHYIREHKFTRGILDSRLDLTDETTVNHMLSVLRIAMHCTSMSPFDRPSMREVVILLIESNEREGNFISSPVYDLPLKEGTL >itb14g03160.t1 pep chromosome:ASM357664v1:14:2816023:2821863:1 gene:itb14g03160 transcript:itb14g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MYNTCVSSTLSLKCDGGAPFSFNGYTYCTKNRFSKYPLCNSPSFCCSCCCSANSMHRVPLGPSYACGLRQSTLIQWPPCKKMILRSLDRCNADFPGFGIERKLYYEKSYSLNKRSVCRRERFGCMGFQEEKSEMKMPSLCDVDEAEVLLDLLCEDLDEEECVDFRGRNGRSWKKRVVQIEENNVENNCIDKKKGGKFGADKKSFEVESMVCVSMKEDKTKNEHRREKEKDALVRGEKSRRNAREVLGRNLVIQSHGTRVRGEDNEALSSGENQEASVVKDEREYFLRKSNIERERREEREDLSRREDHKQKVRKEGSSCSSYYSLSSTGELDSDNEMPIEQEQIQGELSNTNGRHSRSEEFTRYGEVGERVARHEDCSQEQGMMISSKEDTLAGSYVASADIDGSWRKKSEKRLTDVSIEDNEFRKESSRKQSRISGVHESNYGKELSSSEAYDDRKQKLTSTVDFDRSRRLQQRQTVTEQSETRMKHKQFLEMPVNRDPTETMTRMEEARDENRKTTDIVQREDESRKHSQKISKSQIQEVDVRGTFTGEIESSIRKTSSAVSSSRGRSSTHITDEQHNRASEVTEQVASRGKTQQLARDEHILSTYISQRQSKTRLTNQEEYKTHSLKSSLESRGESSQTSVEGTRSTEFLKGSEQTIALGDAREGLVACQNDVNIVNENEMNKRMSQAYSSSQLLSSSSTVESMSGFAAQRFSPSSAQSGSSEKPMEHSRDRPHLLQQETYGEVKRDETSGELLEFVSPEDGLGSANRLQKYSAEYVGEFVEKVRHEVSSSEIEKRSFETGEQHNQKIFSQNEQESQSKEQDSTSSLGSRAAGPSDEMWVTQPPSIQESAPSIEETSGTELKDDTQTVGSAEIKRTGQSMWHMIADIVRMRWISRSGKHASTSGSGGTSSSHHSTGSEAQISGHVPEGSDSESVKKEKRLLQKSSSEMHKKEKVQIQSEGEVSNLSRDQIKPEWEDASSSILPSTSISSASNEETLQKTVEGTSAGTVIAELSSPSPAMSVRPPALDKTTGAGQAAASSSRTEQVVPSASTETPIPEVKDGEVRQRKFQRANQMIRDRFDEWEEAYRTETEQRKVDEMFMREALLEAKKAASNWEVPVGAVLVQGGKIIARGCNLVEELRDSTAHAEMICIREASNVLRSWRLSDTTLYITLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGDGGDGQQSTDKPPAPVHPFHPNMTIRRGVLALECAEAMQQFFQLRRKKEKKSESPPSRDSRLPLSRHPNKFLSKMHDAFHLMFCL >itb10g00810.t1 pep chromosome:ASM357664v1:10:611054:611359:-1 gene:itb10g00810 transcript:itb10g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVHIQNKLSGELKFQCKAKGNDLGPKTISKTAEFTWKFGLHLVGKTIYSCDFDWGKKHAAFDVFSEDFAKSNCPTYNCVWVVKEDGFYMGNKILQHWK >itb04g21960.t2 pep chromosome:ASM357664v1:4:27073291:27080285:-1 gene:itb04g21960 transcript:itb04g21960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTDMMYDLIYKAESRDDSYITALDAVLEKYKLTAVDLLDGDELASFLSKLQGDIKNLKAMLRAIYIAGHATESFSAFVVGHGELWSAHLLSCVVRKNGVDCKWMDTREVLIVNPSGANQVDPDYLESQERLEKWYSRNPSKTIIATGFIASTAQNIPTTLKRDGSDFSAAIMGALFKARQVTIWTDVDGVYSADPRKVNEAVILKKLSYQEAWEMSYFGANVLHPRTIVPVMQYDIPIVIKNIFNLSAPGTMICRSNETEFEDGEKPESLVKGFATIDNVALVNVEGTGMVGVPGTASAIFNAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEVLESRFKQALGVGRISQIAVIPNCSILAAVGQKMASTPGVSCTLFSALAKANINIRAIAQGCSEYNITVVIKREDCVKALHAVHSRFYLSRTSIAVGIIGPGLIGGTLLNQFKDQTAVLKEKFNIDLRVLGIIGLNTMLLSEKGIDLSSWTDLLKEGEKPDLEKFVQHVSENHFIPNTVLVDCTADPYIASHYYEWLHRGIHVITPNKKANSGPLDKYLKLRDLQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILKIEGIFSGTLSYIFTNFIGERAFSEVVKEAKDAGFTEPDPRDDLSGTDVGRKVVILARECGLKLELSDLPVQSLVPEKLQASASAEDFMQQLPKFDQYMTKQRMEAENAGEVLRYVGIVDVANERGSVELQRYKKDHPFAQLSGSDNIIAFTTERYSKQPLIVRGPGAGAEVTAGGVFNDILRLASYLGAPS >itb04g21960.t1 pep chromosome:ASM357664v1:4:27073291:27082402:-1 gene:itb04g21960 transcript:itb04g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSISPCKLSSKPLLGAANVPPKTKKMPPADMHKICPFSALPRSAFSKLEFNTHGGRRELSSFSIYSAIISPERTADETIEKIQLPKGDSWSVHKFGGTCVGNSERIRNVANVIVKDQSERKLVVVSAMSKVTDMMYDLIYKAESRDDSYITALDAVLEKYKLTAVDLLDGDELASFLSKLQGDIKNLKAMLRAIYIAGHATESFSAFVVGHGELWSAHLLSCVVRKNGVDCKWMDTREVLIVNPSGANQVDPDYLESQERLEKWYSRNPSKTIIATGFIASTAQNIPTTLKRDGSDFSAAIMGALFKARQVTIWTDVDGVYSADPRKVNEAVILKKLSYQEAWEMSYFGANVLHPRTIVPVMQYDIPIVIKNIFNLSAPGTMICRSNETEFEDGEKPESLVKGFATIDNVALVNVEGTGMVGVPGTASAIFNAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEVLESRFKQALGVGRISQIAVIPNCSILAAVGQKMASTPGVSCTLFSALAKANINIRAIAQGCSEYNITVVIKREDCVKALHAVHSRFYLSRTSIAVGIIGPGLIGGTLLNQFKDQTAVLKEKFNIDLRVLGIIGLNTMLLSEKGIDLSSWTDLLKEGEKPDLEKFVQHVSENHFIPNTVLVDCTADPYIASHYYEWLHRGIHVITPNKKANSGPLDKYLKLRDLQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILKIEGIFSGTLSYIFTNFIGERAFSEVVKEAKDAGFTEPDPRDDLSGTDVGRKVVILARECGLKLELSDLPVQSLVPEKLQASASAEDFMQQLPKFDQYMTKQRMEAENAGEVLRYVGIVDVANERGSVELQRYKKDHPFAQLSGSDNIIAFTTERYSKQPLIVRGPGAGAEVTAGGVFNDILRLASYLGAPS >itb01g31190.t1 pep chromosome:ASM357664v1:1:35012126:35015749:-1 gene:itb01g31190 transcript:itb01g31190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVVARLLAIFSLHSFLLLLSSNVIPASSNEEAKGLLKWKSTFNHPNNTLDSSWTISENGGSPCNNWYGVHCVAGSVNRLNLTTSKINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLSKLVYLDMSVNYFTGTIPPQIGLLTRLKTLHMFANILHGPIPDEIGNLTSLTELALLGNSLNGSIPASIGNLKHLSSLQLYSNSLSGSIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDDNQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGKLSESFGIYPDLQFMWLSQNQFHGEISKNWGISRNLTNLQMAENNLTGRIPPEFQNLTQLGILNLSSNKLGGEIPAELGSLSSLLSLYLGDNNLSGQLPQELASLKKLNVLDLSKNQFSGPIPSFIGDYEYMHELDLSHNNFSQHLPVELSKISHLTTLDLSNNSLSGEIPHLFNSLVDLVNVDLSYNQLTGPIPDTMGFKQAFLKGNKGLCGDNKDLPPCSSTPTEMSSVEKKSGHKKQILSIVLPIVGALVLVSVFAVVLFTCGGKGDRGPDEEQCNSLRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMFRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEITDRKGFFNEITALTTIRHRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb01g01090.t1 pep chromosome:ASM357664v1:1:530379:535009:-1 gene:itb01g01090 transcript:itb01g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGYRKCSPEAKLEGISVEMPTSVVEGNGAVTGHIISTTIGGKDGEPKRTISYMAERVVGTGSFGIVFQAKCLETRETVAIKKVLQDRRFKNRELQLMRLMDHPNVVSLKHCFFSTTARDELFLNLVMDYVPESLYNVLRHYTNSNQRMPLIYVKLYTYQILRGLAYMHNVPGVCHRDVKPQNLLVDPLTHQLKICDFGSAKVLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSFRCSALEACSHPFFAELREPNARLPNGRPLPPLFNFKQEIAGASPELINRLIPEHVRRQTGYHFAHPT >itb01g01090.t2 pep chromosome:ASM357664v1:1:530379:535309:-1 gene:itb01g01090 transcript:itb01g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLGPQPQNPTDNHLLQHHHDQGGAAGVRQVTAAAARRPEAEPEKEMPTSVVEGNGAVTGHIISTTIGGKDGEPKRTISYMAERVVGTGSFGIVFQAKCLETRETVAIKKVLQDRRFKNRELQLMRLMDHPNVVSLKHCFFSTTARDELFLNLVMDYVPESLYNVLRHYTNSNQRMPLIYVKLYTYQILRGLAYMHNVPGVCHRDVKPQNLLVDPLTHQLKICDFGSAKVLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSFRCSALEACSHPFFAELREPNARLPNGRPLPPLFNFKQEIAGASPELINRLIPEHVRRQTGYHFAHPT >itb01g01090.t3 pep chromosome:ASM357664v1:1:530379:534239:-1 gene:itb01g01090 transcript:itb01g01090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVVEGNGAVTGHIISTTIGGKDGEPKRTISYMAERVVGTGSFGIVFQAKCLETRETVAIKKVLQDRRFKNRELQLMRLMDHPNVVSLKHCFFSTTARDELFLNLVMDYVPESLYNVLRHYTNSNQRMPLIYVKLYTYQILRGLAYMHNVPGVCHRDVKPQNLLVDPLTHQLKICDFGSAKVLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSFRCSALEACSHPFFAELREPNARLPNGRPLPPLFNFKQEIAGASPELINRLIPEHVRRQTGYHFAHPT >itb05g00100.t1 pep chromosome:ASM357664v1:5:85429:87221:1 gene:itb05g00100 transcript:itb05g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLTLDICQASMHWRRIVSELSKEYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATVLSAAMLLKYGLGEEKAAQRIEAAVLDTLNQGFRTGDIYSAGHKLVGCKEMGEEVLKSIEAQVPVLLG >itb03g07210.t1 pep chromosome:ASM357664v1:3:5273533:5277516:-1 gene:itb03g07210 transcript:itb03g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVQALEGHQSMILYFIVPFLLLFLLSRIRRKPYPPGPKGWPLIGYMNLMEHYSHRGLAKLAEQYGGLVHSRMGSLHMVVVSGPEEARQVLQVQDHVFSNRPASIAISYLTYDRADMAFAHYGPFWRQMRKVCVMKLFSRRRAESWDSVRDEVDQMVRIAATSTGSPVNVGELVFGLTKNIIYRAAFGSNSKEGQDDFITILQEFSKLFGAFNISDFFPWLKWADPQRLNPRLAKARATLDRFIDTIIDDHIQKRKDKSPENSGDNADSDMVDELLAFYSEDAKQNDADDLQNAIKLTRDNIKALIMDVMFGGTETVASAIEWAMAELMKTPDTLKKVQQELATVVGLDRKVEESDFEKLTYFKCCIKETLRLHPPIPLLLHETAAACEVNGYHIPARSRVVINAWAIGRDKKSWEDAESFKPDRFLRDGVADFKGNNFEFIPFGSGRRSCPGMQLGLYGLEMAVAHLLHCFTWELPDGMKPSELNMDDVFGLTAPLANRLVAVPTPRLLCPLY >itb13g23750.t1 pep chromosome:ASM357664v1:13:29641872:29645095:1 gene:itb13g23750 transcript:itb13g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTAIFPRKIGMDNFRHNMLYIFSMMMMILLVDCSPPENPLNCTKIANNCTITNTYHVFPDSTVCRAASAVYPKTEEELVAIVARATKEKRKMKATTRFSHSIPKLACPNGDNGVLISTNYLNRVVKIDKQSMTMTVESGVTLRQLINDAAKVGLALPYTPYWWGLTIGGLISTGAHGSTLRGLGSAVHDYVVCIRIVTPATPEEGYATIRQLEEGDPEINAARVSLGVLGVISQVTLKLEPMFKRSITYIGKDDTNLGDEAIKFGSQHEFGDITWFPNEHRVLYRVDDRVPTNTPGDGLNDFLAFQTTDSVSLAQGRKAEEIQESRNDADGKCANAITTRVTTEAVANGLKNNGYNFTGYPVIGYQNRMQSSGSCLDSKEDGLRTACIWDPRVKGSFFHQTTFSISLSKVKGFIEDVQKLANLEPKALCVLGQYGGVNMRYMTASSAYLGKQDNAIDFDFIYYRSRDPLAPRLYQDVLEEIEQLAIFKYGALPHWGKNRNVAFLGAINKYAKYREFLKVKEKYDPTGLFSSDWTDQILGLKDGLTITKEGCASEGLCICSEDSHCAPSKGYFCRAGKVYKKARVCTKINTN >itb05g20930.t1 pep chromosome:ASM357664v1:5:26877560:26883109:-1 gene:itb05g20930 transcript:itb05g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFNQSRSSLSISSDVQDSQNGKPPLPPTAVTFDRRTSSGRYVNYSRDDLDSELGSGEFPNYTVHIPLTPDNQPMDSIAQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCSIPGCDSKVMSDERGNDILPCECDFKICRDCYLDSVKTGDGICPGCKESYKITDLEEIAESRRPLPLPAPAGMSRMERRLSLMKSTKSVLMRSQTAEFDHNRWLFETRGTYGYGNAIWPKESGFANGKSDDIAEPTELMNKPWRPLTRKLKIPAAILSPYRLLILVRMVVLGFFLTWRVSHPNNDAIWLWGMSVVCEIWFAFSWILDILPKLCPINRATDLNVLKDKFETPTPNNPTGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKMDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIREMKQHRQQAEDEPMENIKIPKATWMADGTHWPGTWLDSAPEHSKGDHAGIIQVMLKPPSEDPLHGTADDGLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANRNTVFFDGNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGFCSCCFGRSKKNASLSNSEEHRALRRGDSDDEEMNLSLAPKAFGNSAVLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEEKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLATTKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIITVTLCMLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDEDDEFGDLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPEGTNQIGGSFQFP >itb05g20930.t2 pep chromosome:ASM357664v1:5:26877560:26882666:-1 gene:itb05g20930 transcript:itb05g20930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFNQSRSSLSISSDVQDSQNGKPPLPPTAVTFDRRTSSGRYVNYSRDDLDSELGSGEFPNYTVHIPLTPDNQPMDSIAQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCSIPGCDSKVMSDERGNDILPCECDFKICRDCYLDSVKTGDGICPGCKESYKITDLEEIAESRRPLPLPAPAGMSRMERRLSLMKSTKSVLMRSQTAEFDHNRWLFETRGTYGYGNAIWPKESGFANGKSDDIAEPTELMNKPWRPLTRKLKIPAAILSPYRLLILVRMVVLGFFLTWRVSHPNNDAIWLWGMSVVCEIWFAFSWILDILPKLCPINRATDLNVLKDKFETPTPNNPTGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHNIEPRNPESYFNLKRDPYKNKVKMDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIREMKQHRQQAEDEPMENIKIPKATWMADGTHWPGTWLDSAPEHSKGDHAGIIQVMLKPPSEDPLHGTADDGLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANRNTVFFDGNMRALDGLQGPVYVGTGCLFRRVALYGFDPPRSKEHHSGFCSCCFGRSKKNASLSNSEEHRALRRGDSDDEEMNLSLAPKAFGNSAVLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRDLLDASTVAEAISVISCWYEEKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLATTKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIITVTLCMLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDEDDEFGDLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPEGTNQIGGSFQFP >itb05g03840.t1 pep chromosome:ASM357664v1:5:3267368:3269326:1 gene:itb05g03840 transcript:itb05g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLAPSRSSSIFILFVFSIAITLGFHQIAITLGIHRAEKRVDFFDHHPLVGSIAITLGVH >itb02g20650.t1 pep chromosome:ASM357664v1:2:18734557:18736130:-1 gene:itb02g20650 transcript:itb02g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISTAISLCSRNVYPILPTNQTPIRFPSLRPSPSSILMHYRFFTSENPNPNCPIPELEPEQFERVADTDCNDSQDLSNEGYFYKLQIIRNDRAFCILVLTSYCIEEEDREAH >itb02g20650.t2 pep chromosome:ASM357664v1:2:18734557:18736130:-1 gene:itb02g20650 transcript:itb02g20650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISTAISLCSRNVYPILPTNQTPIRFPSLRPSPSSILMHYRFFTSENPNPNCPIPELEPEQFERVADTDCNDSQDLSNEALKKRIERLIEGDGEAIPEIFEAILKRKLSGKSEEADEELMKDIRSHPQLDRDFSDKEFDISDEDYDNSGKEEESD >itb12g15620.t1 pep chromosome:ASM357664v1:12:16035666:16042694:1 gene:itb12g15620 transcript:itb12g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIAPLVSTEGMGASLQFDTLKKITECERLEQEKPETSDDDSIVSRIEQLMKGKAFSRDEIIHLIEILNSKVDEQENNQKCMTAERDNERVLLLHETPRKLSERKLENMTRALPGPSTPIPESSVPEVSGSPIDIAIAYMGSQISDQTLGPNFVLIKDEPDPVNDICASEPVITSPSSKPSTSWPGALVPEQPGRFGFHEFSRTPYSRTLLSKSRTKSQADSRCLESSVKPFPQSQTSKYGQVRTKSDVYDYGYGSAGPIRRIRNRFSSESHHTGSIFLNSSKPTHSPIEKLTASKIFLPSIGKNMEIGESSGTSMPDIKGACTSGHAFPSEATTRMIIDHLNRHKPTPKEKAAELKLATEWNKSPGAEVSDARPNESALPQHLGNSKVGKRTELANPESLKGRGSDKDDYQVKSEERSMRVAEVAKDASALTSIKAGDFGVKTDASTEPSLDFKSTNSQFKSSISNFHDMSSDQDFVGSSSGQKATNQNLSFQHQSNGQNVSTTSRSIAAHTSGTKPTLPFISISKPHPRSAVSYDNSFGFTFPVPASSGSEPPTPSMALFSPSVIPPSIEPSAAAPSYSFGTKISSSQHLVFSFPSSGSAVQSDTSGIQFNFGADRKTTRLSFGKAVC >itb12g15620.t2 pep chromosome:ASM357664v1:12:16035666:16042694:1 gene:itb12g15620 transcript:itb12g15620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLYGERGAGGKLKNPPSRKPPASPYARPPATPATRIGSNGWLSKLVDPAYQLISSSATRIFPSFFSKSPSDVPPLLSIAQSNGVEVAEVIPNSRDEENCTPSHVSRSTEGMGASLQFDTLKKITECERLEQEKPETSDDDSIVSRIEQLMKGKAFSRDEIIHLIEILNSKVDEQENNQKCMTAERDNERVLLLHETPRKLSERKLENMTRALPGPSTPIPESSVPEVSGSPIDIAIAYMGSQISDQTLGPNFVLIKDEPDPVNDICASEPVITSPSSKPSTSWPGALVPEQPGRFGFHEFSRTPYSRTLLSKSRTKSQADSRCLESSVKPFPQSQTSKYGQVRTKSDVYDYGYGSAGPIRRIRNRFSSESHHTGSIFLNSSKPTHSPIEKLTASKIFLPSIGKNMEIGESSGTSMPDIKGACTSGHAFPSEATTRMIIDHLNRHKPTPKEKAAELKLATEWNKSPGAEVSDARPNESALPQHLGNSKVGKRTELANPESLKGRGSDKDDYQVKSEERSMRVAEVAKDASALTSIKAGDFGVKTDASTEPSLDFKSTNSQFKSSISNFHDMSSDQDFVGSSSGQKATNQNLSFQHQSNGQNVSTTSRSIAAHTSGTKPTLPFISISKPHPRSAVSYDNSFGFTFPVPASSGSEPPTPSMALFSPSVIPPSIEPSAAAPSYSFGTKISSSQHLVFSFPSSGSAVQSDTSGIQFNFGADRKTTRLSFGKAVC >itb04g19000.t1 pep chromosome:ASM357664v1:4:22939746:22949178:1 gene:itb04g19000 transcript:itb04g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYWVVSLPVQQSSSATSLWSRLQESISKNSFDTPLYRFNIPNLRVGTLDLLLALSDDLVKSNNFIEGVCSKIRRQIEELERASGVASSSLTVDGVPVDSYLTRFVWDEAKYPTMSPLKETVDGVHSQVAKIEDDLKVRVAEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPEDVITSEHLTTLLAVVSKFSQKDWLASYETLTSYVVPRSSKKLYEDNEYALYTVTLFTRDADNFRIKARERGFQIRDFEYNPETHESRKQELEKLMQDQETFRSSLLQWCYTSYGEVFSSWMHFCAVRVFAESILRYGLPPSFLSVVLSPSVKSEKKVRSILESLCDSSNSTYWKTEDEGAVGAFGGDAEVHPYVSFTINLV >itb07g11010.t2 pep chromosome:ASM357664v1:7:12418142:12420234:-1 gene:itb07g11010 transcript:itb07g11010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRLIKTTVADANASRDLISALPVEVKDRILECLPTRDAARTALLSKCWNDVWLQHGRLAFDGEFLLTVQQCQDDYGKTLVNIINNILFARSWPVKKFTLQISYEDP >itb07g11010.t1 pep chromosome:ASM357664v1:7:12418142:12420234:-1 gene:itb07g11010 transcript:itb07g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRLIKTTVADANASRDLISALPVEVKDRILECLPTRDAARTALLSKCWNDVWLQHGRLAFDGEFLLTVQQCQDDYGKTLVNIINNILFARSWPVKKFTLQISYEDP >itb01g10450.t1 pep chromosome:ASM357664v1:1:9052020:9054245:1 gene:itb01g10450 transcript:itb01g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGAVVGAGLGGWLNDKLGRRKSIIIADVLFFIGAVVMASAPAPWVIIIGRLLVGLGVGMASMTAPLYISEASPAKIRGALVSANGLLLTGGQFLSYLINLAFTRVPGTWRWMLGIAGVPALVQFILMFFLPESPRWLYRQNKVDEARAILERLYSADEVEQEMNALKESVEHEKAESKAIGDSMVAKLKSAWGNTVVRRGLYAGVTVQVAQQFVGINTVMYYSPTIVQLAGFASNRTAIALSIITSALNAAGTVVSMLLVDRFGRRKLIIYSMLGTITCLVVLSVLFQQASVHSPPVSVFESNHFGVNTTCPSFLKASNPASWSCMSCLKASQCSFCSNSASQYKPGACLSTTDPIEGMCKSERRAWYTQGCPSKFGIFAVGLLGLYIISYAPGIGTVPWIVNSEIYPLRYRGIGGGIAAVSNWLSNLVVSQTFLTLTEALGPWGTFLLFAGFAVIGLIAIFFLVPETKGLPFEEVEKMLEKGFKPKIFSSQKSKDTAPPPTSS >itb14g02720.t1 pep chromosome:ASM357664v1:14:2339874:2348992:-1 gene:itb14g02720 transcript:itb14g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSDGLEIVSIGKLYSGLWDKKYWSSSRGKDRYPYPVGYKALRNQNGVTYKMEILEGLNGPLFMISSTDGQSTSGQTPDIAWEFFQKKGCPRIKSLPGKRFSCKIDGVEVFGFKNPFVQRLLRELVSDINEAAEKLSPRSNFSNSVALQTNNQSQCIVSSADPNLLLHLKKPQTTGKRSRREKIMSVNSYRNTGLKKHRHQDKNDNLDASHSTGKRHEPRNSLKVLPGSVGLESIVRKEENQVSEENVSHVAPSNTNDHPKVNGLFSQDENKCSSFESQLPLEEVGILPKDGKPSDRSEVFKVEVLSNSFIKENNEEKQVTNGLCLTNDANLYAHDTLDDPTADSLNLNSTNVKDEISAPDTVIPNVLRADSHTNELGIPSSNVSSAKSEFETFDQEVAKSMMTVLLPRALPLLKTFSRRKANSVKPPEKSTCMSQEENKTDHAAGTCVSKLSQNSDLEMKEKIEFPDRSHASVLPCSGHAEFIIPDSFDNDEGGYVPDTELPQSCEIVEAEQSSPDLYTRLCETKVVPDIVDSEENSLVSHDFQMDLCKNSKEDMRRSGTSIACRKVHVPASTEKVPNTINGCGFVSSETLSAEPVVECVHLSESIVCREFRDDCAAEEGAKVNPSNAIHLSQGNDHDQRNVVERNFCGNEQKIDGSPLCPHNEDRVASTNCVLHDVAPTLQNQENSRILDGIHNSDAHPTNSCQEISKFNAPGEQNFVTSPLSYMQNQRMDTYDGMDEEKHDNDDSNFINHMDIEHNKSEIKGVFKFVACYVHPMPVSLVLVTTVEREISICVLCGPFLQKERKLFIYKAPMIRQDEGNPSFVGYASLMLPFDRDIDLDSTALQFSPHGQYLVLLNSIKAPCCREGDIYCPCLACTSDLEDNAVKILQIKDGYTSVIARLQSTESVRCILVCRPHHLLAADDSGKLNIWFMNSRWSKSTEEFFVQSPSCMPPLMELKRIPNFLYLVLGLYGFGEFILWDIDKRVLVSKFSSHTSIFGCVPLSLFTWKAKCNIPVDLCTEVHNEIMEATKASFSEKGYNQVLSYTEGDEVVAWFLVSTAPDLDKHRHQSSEQSDPLRSWRLALLVKNTLIMGDVLEPRAALVGASVGRGIIGRSDGQVYMWDLITGTKLGSLHHFKDASVSSIATDDSEAGALAIASDGGELLLYLPT >itb14g02720.t2 pep chromosome:ASM357664v1:14:2342853:2348992:-1 gene:itb14g02720 transcript:itb14g02720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSDGLEIVSIGKLYSGLWDKKYWSSSRGKDRYPYPVGYKALRNQNGVTYKMEILEGLNGPLFMISSTDGQSTSGQTPDIAWEFFQKKGCPRIKSLPGKRFSCKIDGVEVFGFKNPFVQRLLRELVSDINEAAEKLSPRSNFSNSVALQTNNQSQCIVSSADPNLLLHLKKPQTTGKRSRREKIMSVNSYRNTGLKKHRHQDKNDNLDASHSTGKRHEPRNSLKVLPGSVGLESIVRKEENQVSEENVSHVAPSNTNDHPKVNGLFSQDENKCSSFESQLPLEEVGILPKDGKPSDRSEVFKVEVLSNSFIKENNEEKQVTNGLCLTNDANLYAHDTLDDPTADSLNLNSTNVKDEISAPDTVIPNVLRADSHTNELGIPSSNVSSAKSEFETFDQEVAKSMMTVLLPRALPLLKTFSRRKANSVKPPEKSTCMSQEENKTDHAAGTCVSKLSQNSDLEMKEKIEFPDRSHASVLPCSGHAEFIIPDSFDNDEGGYVPDTELPQSCEIVEAEQSSPDLYTRLCETKVVPDIVDSEENSLVSHDFQMDLCKNSKEDMRRSGTSIACRKVHVPASTEKVPNTINGCGFVSSETLSAEPVVECVHLSESIVCREFRDDCAAEEGAKVNPSNAIHLSQGNDHDQRNVVERNFCGNEQKIDGSPLCPHNEDRVASTNCVLHDVAPTLQNQENSRILDGIHNSDAHPTNSCQEISKFNAPGEQNFVTSPLSYMQNQRMDTYDGMDEEKHDNDDSNFINHMDIEHNKSEIKGVFKFVACYVHPMPVSLVLVTTVEREISICVLCGPFLQKERKLFIYKAPMIRQDEGNPSFVGYASLMLPFDRDIDLDSTALQFSPHGQYLVLLNSIKAPCCREGDIYCPCLACTSDLEDNAVKILQIKDGYTSVIARLQSTESVRCILVCRPHHLLAADDSGKLNIWFMNSRWR >itb10g11160.t1 pep chromosome:ASM357664v1:10:16618888:16619136:-1 gene:itb10g11160 transcript:itb10g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWKEELQEYTYPCPCGDLFQITMEELKQGEEIARCPSCSLYISVIYNMKDFVGDKSKKSLDAPKQQAVTVN >itb09g18940.t1 pep chromosome:ASM357664v1:9:15017413:15021710:-1 gene:itb09g18940 transcript:itb09g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKWIGFQILRKMKGRKPKRYENSDSEEISLPEESVEYDFITIQNATNNFSKKNRIGEGGFGVVYKGTLKNGQQVAVKRLSKNLKGGSQEFKNEVSLMVKFQHRNLVKLLGFSLEGKEVFLVYEFVPNGSLDNFLYGSVKHKRLGWERRYKIIECIARGLVYLHEGSLIRIIHCNLKASNVLLDEDLNPKIVDFDMAKLFALDKIHDSTSRIMRTRYIAPEYTLQGEISIKTDVYSFGMLILEIISGHRISNFQNEESTNDDELLSYAWTHWKGGSASNVIDPMLRGIKSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNKLSIRLPEPLEPGLFIRGSISSEASSQFTKNVKSISDQYDRKMQKKKAKSYVKTVEESSSSVEINPVESHLKYELITIQNATNNFSKANKLGEGGYWPIYKGKLENGLEVAVRRLPKYGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPIKCGYLNWERRYKIIEGIGKGLVYLHEDSRLRIAHRDVKARSILLDADLNPKLADFGLAWSFALDETESSSPTGIVGTYGYIAPEYYLNGEFSIKTDVYSFGVLVLEIITGQNRMHVQNEGTTTNLLSSYAWKQWTNGSSSNLIHPMLRGISSPVNDIIKCIQIALLCIQVEPEDRPTMSEVVQMLSNLSMSIPVPLAPPELAPPGLDDDCSTVCSEGSINEMSVSEDDEYLR >itb09g18940.t3 pep chromosome:ASM357664v1:9:15017354:15021710:-1 gene:itb09g18940 transcript:itb09g18940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKWIGFQILRKMKGRKPKRYENSDSEEISLPEESVEYDFITIQNATNNFSKKNRIGEGGFGVVYKGTLKNGQQVAVKRLSKNLKGGSQEFKNEVSLMVKFQHRNLVKLLGFSLEGKEVFLVYEFVPNGSLDNFLYGSVKHKRLGWERRYKIIECIARGLVYLHEGSLIRIIHCNLKASNVLLDEDLNPKIVDFDMAKLFALDKIHDSTSRIMRTSRYIAPEYTLQGEISIKTDVYSFGMLILEIISGHRISNFQNEESTNDDELLSYAWTHWKGGSASNVIDPMLRGIKSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNKLSIRLPEPLEPGLFIRGSISSEASSQFTKNVKSISDQYDRKMQKKKAKSYVKTVEESSSSVEINPVESHLKYELITIQNATNNFSKANKLGEGGYWPIYKGKLENGLEVAVRRLPKYGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPIKCGYLNWERRYKIIEGIGKGLVYLHEDSRLRIAHRDVKARSILLDADLNPKLADFGLAWSFALDETESSSPTGIVGTYGYIAPEYYLNGEFSIKTDVYSFGVLVLEIITGQNRMHVQNEGTTTNLLSSYAWKQWTNGSSSNLIHPMLRGISSPVNDIIKCIQIALLCIQVEPEDRPTMSEVVQMLSNLSMSIPVPLAPPELAPPGLDDDCSTVCSEGSINEMSVSEDDEYLR >itb09g18940.t5 pep chromosome:ASM357664v1:9:15017624:15021378:-1 gene:itb09g18940 transcript:itb09g18940.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKPKRYENSDSEEISLPEESVENLVKLLGFSLEGKEVFLVYEFVPNGSLDNFLYGSVKHKRLGWERRYKIIECIARGLVYLHEGSLIRIIHCNLKASNVLLDEDLNPKIVDFDMAKLFALDKIHDSTSRIMRTSRYIAPEYTLQGEISIKTDVYSFGMLILEIISGHRISNFQNEESTNDDELLSYAWTHWKGGSASNVIDPMLRGIKSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNKLSIRLPEPLEPGLFIRGSISSEASSQFTKNVKSISDQYDRKMQKKKAKSYVKTVEESSSSVEINPVESHLKYELITIQNATNNFSKANKLGEGGYWPIYKVNLTLTLLCTGKLENGLEVAVRRLPKYGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPIKCGYLNWERRYKIIEGIGKGLVYLHEDSRLRIAHRDVKARSILLDADLNPKLADFGLAWSFALDETESSSPTGIVGTYGYIAPEYYLNGEFSIKTDVYSFGVLVLEIITGQNRMHVQNEGTTTNLLSSYAWKQWTNGSSSNLIHPMLRGISSPVNDIIKCIQIALLCIQVEPEDRPTMSEVVQMLSNLSMSIPVPLAPPELAPPGLDDDCSTVCSEGSINEMSVSEDDEYLR >itb09g18940.t4 pep chromosome:ASM357664v1:9:15018398:15021710:-1 gene:itb09g18940 transcript:itb09g18940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKWIGFQILRKMKGRKPKRYENSDSEEISLPEESVEYDFITIQNATNNFSKKNRIGEGGFGVVYKGTLKNGQQVAVKRLSKNLKGGSQEFKNEVSLMVKFQHRNLVKLLGFSLEGKEVFLVYEFVPNGSLDNFLYGSVKHKRLGWERRYKIIECIARGLVYLHEGSLIRIIHCNLKASNVLLDEDLNPKIVDFDMAKLFALDKIHDSTSRIMRTSRYIAPEYTLQGEISIKTDVYSFGMLILEIISGHRISNFQNEESTNDDELLSYAWTHWKGGSASNVIDPMLRGIKSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNKLSIRLPEPLEPGLFIRGSISSEASSQFTKNVKSISDQYDRKMQKKKAKSYVKTVEESSSSVEINPVESHLKYELITIQNATNNFSKANKLGEGGYWPIYKGKLENGLEVAVRRLPKYGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPIKCGYLNWERRYKIIEGIGKGLVYLHEDSRLRIAHRDVKARSILLDADLNPKLADFGLAWSFALDETESSSPTGIVGT >itb09g18940.t2 pep chromosome:ASM357664v1:9:15017354:15021710:-1 gene:itb09g18940 transcript:itb09g18940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKWIGFQILRKMKGRKPKRYENSDSEEISLPEESVEYDFITIQNATNNFSKKNRIGEGGFGVVYKGTLKNGQQVAVKRLSKNLKGGSQEFKNEVSLMVKFQHRNLVKLLGFSLEGKEVFLVYEFVPNGSLDNFLYGSVKHKRLGWERRYKIIECIARGLVYLHEGSLIRIIHCNLKASNVLLDEDLNPKIVDFDMAKLFALDKIHDSTSRIMRTSRYIAPEYTLQGEISIKTDVYSFGMLILEIISGHRISNFQNEESTNDDELLSYAWTHWKGGSASNVIDPMLRGIKSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNKLSIRLPEPLEPGLFIRGSISSEASSQFTKNVKSISDQYDRKMQKKKAKSYVKTVEESSSSVEINPVESHLKYELITIQNATNNFSKANKLGEGGYWPIYKGKLENGLEVAVRRLPKYGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPIKCGYLNWERRYKIIEGIGKGLVYLHEDSRLRIAHRDVKARSILLDADLNPKLADFGLAWSFALDETESSSPTGIVGTYGYIAPEYYLNGEFSIKTDVYSFGVLVLEIITGQNRMHVQNEGTTTNLLSSYAWKQWTNGSSSNLIHPMLRGISSPVNDIIKCIQIALLCIQVEPEDRPTMSEVVQMLSNLSMSIPVPLAPPELAPPGLDDDCSTVCSEGSINEMSVSEDDEYLR >itb04g31260.t1 pep chromosome:ASM357664v1:4:34175217:34175710:1 gene:itb04g31260 transcript:itb04g31260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSQSLSIESFSHTWSVNPEGSFWGSDESCFIEMDPTLPPSQRFFSVSLSDFSNFDFPSDSFDRAFDSPEKPTKQDQLRSSDEEIRCAQLKRCRRLSRRIFRKYLDVADLCQTAKIRIRVRTEKLQSGGGEEI >itb08g14550.t1 pep chromosome:ASM357664v1:8:16282908:16284697:-1 gene:itb08g14550 transcript:itb08g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNSLSFPQIGNLVCKSRLDVTYIRRFAEWLKSVNVKGRFIEVAMLRSEENVFSHSFDDLDENVEYLEKNGARRDWIGFVISRCPEILSFSMEELKMRVEFYLNMGMNENDSGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIKEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIVFPRFFSYSLEDRIIPRHKVLVENQINFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSTTCINGSVEDFSFNHRSNCSSRLETDQEN >itb14g20120.t1 pep chromosome:ASM357664v1:14:22588591:22594682:-1 gene:itb14g20120 transcript:itb14g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLGRFLPENIRPDDANPAWMNKGDNAWQLTSATFVGLQSIPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVCWGYQLAFGGSLFPFIGKPSRREMDQTFLLSQAFIGKFPNATMIYFQFVFAAITMILLAGALLGRMNFRAWMLFVPLWLTFSYTVCAFSIWSPGGWLFKMGIIDYSGGLVIHLSSGVAGFTAAYWVGPRAARDRERFPPNNILLMLAGAGILWMGWTGFNGGDPYVASADASLAVLNTHICAAASLLTWLLLDILFYEKPSVIGATQGMITGLVCITPAAGVVQGWAAIIMGILSGSLPWFSMMFVHKRVKLLKQVDDTLAVFHTHAVAGSLGAILAGFFANPKLSRIFYGVPDWENLIGLAYGLHNRRIAAGLRQMGIQLIGIGFVVVYNVVVTSLICLIVKLVVPLRLTEEQLIVGDSAVHGEDAYALWGDGEKLETSRNIHSNSNKIHSAIELDEDHPLPKPPRICECEDDAAAAIVPLMRVRALHRRGWRAASALA >itb01g32000.t2 pep chromosome:ASM357664v1:1:35608760:35615592:1 gene:itb01g32000 transcript:itb01g32000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKIKIKKIDNIAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSSTGKLFDFASSSMKHILQKYVSHSSNIKKYPPLQFLQQENSLQVRLSKEISDKTRELRRIRGDDLEGLSLEELEELEQKLEVGLNRVSETKDEQIRNEIATLQYKGAELMEENDRLKQRVAEMNNDRNGRIVGDMDRMILEEGQTSDQSITNNSTTQPPLQAADCSDTLLLKLGHVNHMKLLL >itb01g32000.t1 pep chromosome:ASM357664v1:1:35609186:35615800:1 gene:itb01g32000 transcript:itb01g32000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKIKIKKIDNIAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSSTGKLFDFASSSMKHILQKYVSHSSNIKKYPPLQFLQQENSLQVRLSKEISDKTRELRRIRGDDLEGLSLEELEELEQKLEVGLNRVSETKDEQIRNEIATLQYKGAELMEENDRLKQRVAEMNNDRNGRIVGDMDRMILEEGQTSDQSITNNSTTQPPLQAADCSDTLLLKLGDIS >itb02g05340.t1 pep chromosome:ASM357664v1:2:3203485:3208694:1 gene:itb02g05340 transcript:itb02g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGDKDLKDFTDSSSSLSDSALFDASQYAFFGRNVLEEVELGGLEDEEDSVPADGGVVGGRLGDEDIQEYHLFEKDEGSALGSLSDIDDLATTFSKLNRVVTGPRHPGVIGDRGSGSFSRESSSAAEWARDADFNEWFDQHLSDSECYQENKKWSSQPHLNSVHLAESKPLYRTSSYPHQPQIQQLQHCSSEPILVQKSSFTSFPPPGGRSQQASPRSLSHHYDLSSHGPQSPFSSANLSSMSSSNMQLSSLPHRVHYNGNIPQLTSSGPSLNNRLQNHWANHPNPFHRDYSGLLNNVLPHQFPHQNGLLSPQLMSPQQQRLHLSVQPSLAHFSALRSQLYNSFPAPAHLSKYGTPDARDSRTKSHKSKSVRFSQQGSDAASQKSESNAPQFRSKYMTGEEIESILKMQHAATHCNDPYVDDYYHQACLAKRAVESRSKHRFCPNKEQSSRSRNSTESLPHLHVDAEGRVSFSSIRRPRPLLEVHPPGFSSADGSHDQKTSDTPLEKEPMLAARITIEDGFHLLLEVDDIDRLLQFSQPLDGGAQLRRKRQMLLEGMAASLQLVDPLGKTSSHVGLNPKDDIVFLWLASIPKGKQLISRYLKLLIPGSELARIVCMAIFRHLRVLFGGLPSEQGSSETISNLAKIVSKSVCGMDLNSLSACLAAVVCSSEQPPLRPLGSPAGDGASIILKSVLDRATYLLKDPQASHSYSMPNPALWQASFDAFFGLLTKYCVSKYESIMQSIAQTQASTEMIGPEAARAVSREMPVELLRSSLPHTNENQRKLLLNFAQRSMPVTGFNSHGGSSGQINPESVSC >itb03g09950.t1 pep chromosome:ASM357664v1:3:7732863:7736509:-1 gene:itb03g09950 transcript:itb03g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLTALQQQARRSNSFRGSSPLDLSSDGAVKSPAAIFWLLLHGLCCLISLVLGFRFSRLVLFLIFSNSATPSNNLYSARFTDPGAATVAVQQAVDHGSPTLTNSRVVVGRHGILIRPWPHPNATEVMQAHKIIEIVQREQRFQYGVKTPRTLIAITPTYVRTFQTLHLTGVMHSLMNVPYNVIWIVVEAGGATNETASLLAKSGLRTIHLGLRDKMPIMWEDRHKLESKMRVRALRYIRDEKLDGLLMFMDDSNMHSLEVFDEIQKVKWFGALPVGIIAHSAGADEELSVIKKNGEKNSQLPVQGPVCNSSKHLIGWHTFDSSPFVERSARYIGDKAVVLPRKLEWAGFVLNSRLLWRDADDKPEWVKDLDIATEAGEDIESPLSLLKDSSMVEPLGSCGHKVMVWWLRVEGRADSKFPARWIIDPPLDVTVPAKRTPWPDAPPELPTVEKLVSNQENNIEKRTAKPRTKRRSSRKRKHVVKNADENISTKNSVEK >itb12g02470.t1 pep chromosome:ASM357664v1:12:1626781:1631814:1 gene:itb12g02470 transcript:itb12g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGLLVLVCALSLLTGTLAQVQSLISKQNFDQMLKHRNDAACPAKGFYTYEAFIQAAKAYPAFGTTGDTNTRKKEIAAFLGQTSHETTGGWATAPDGPYAWGYCYKQEQGNPGPYCQPSQQWPCAPGKKYYGRGPIQISYNFNYGPAGKAIGADLLNNPDLVANNAVISFKTALWFWMTPQPPKPSSHDVITGKWTPSAADKTAGRVPGYGVITNIINGGIECGKGSSTPQEKDRIGFYKRYCGILGVGVGSNLDCANQKPFA >itb03g09370.t2 pep chromosome:ASM357664v1:3:7192136:7196672:1 gene:itb03g09370 transcript:itb03g09370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKPKNSSDEALQRWRSLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFIQGVKPPGDYTLPKEVEEAGYEICADELGALVEGHDLKKLKFHGGVESIASKLHTSSTNGLSSDADALHRRQELFGVNKFKESEPKSFWVFVWEALHDMTLMILGMCAFVSLIVGLVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKIAIQVTRDGYRQKMSIYELVPGDVVHLSIGDQVPADGLFLSGFSVSIDESSLTGESEPVMVSAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLIQKMYVRKLREGTHWLLSGEDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCIAMNIKDINKPSDAAALCSELPDAVLKKLLQSIFNNTGGEVVASKTGKREMLGTPTETAILEFGLALGGDFQAERQACKLIKVEPFNSTKKRMGVVLELPEGGLRGHTKGASEIILAACDKVINSDGVIVPMDEALTNRMNSTIEQFANEALRTLCLAYMELENGFSPNEEIPLSGYTCIGIVGIKDPVRPGVRESVALCRSAGVTVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKSPEEMQKIIPKIQVMARSSPLDKHTLVKQLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKRAPVGRTGSFITNVMWRNILGQSLYQFIVIWFLQACGKFVFRLDGPDADLTLNTLIFNSFVFCQVRSKSLATALLLSVIFVLNMSAFHIRFFTPFAAFQ >itb03g09370.t3 pep chromosome:ASM357664v1:3:7192149:7197344:1 gene:itb03g09370 transcript:itb03g09370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKPKNSSDEALQRWRSLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFIQGVKPPGDYTLPKEVEEAGYEICADELGALVEGHDLKKLKFHGGVESIASKLHTSSTNGLSSDADALHRRQELFGVNKFKESEPKSFWVFVWEALHDMTLMILGMCAFVSLIVGLVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKIAIQVTRDGYRQKMSIYELVPGDVVHLSIGDQVPADGLFLSGFSVSIDESSLTGESEPVMVSAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLIQKMYVRKLREGTHWLLSGEDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCIAMNIKDINKPSDAAALCSELPDAVLKKLLQSIFNNTGGEVVASKTGKREMLGTPTETAILEFGLALGGDFQAERQACKLIKVEPFNSTKKRMGVVLELPEGGLRGHTKGASEIILAACDKVINSDGVIVPMDEALTNRMNSTIEQFANEALRTLCLAYMELENGFSPNEEIPLSGYTCIGIVGIKDPVRPGVRESVALCRSAGVTVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKSPEEMQKIIPKIQVMARSSPLDKHTLVKQLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGKEHLLNFRFPIF >itb03g09370.t1 pep chromosome:ASM357664v1:3:7192125:7197423:1 gene:itb03g09370 transcript:itb03g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKPKNSSDEALQRWRSLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFIQGVKPPGDYTLPKEVEEAGYEICADELGALVEGHDLKKLKFHGGVESIASKLHTSSTNGLSSDADALHRRQELFGVNKFKESEPKSFWVFVWEALHDMTLMILGMCAFVSLIVGLVMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKIAIQVTRDGYRQKMSIYELVPGDVVHLSIGDQVPADGLFLSGFSVSIDESSLTGESEPVMVSAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLIQKMYVRKLREGTHWLLSGEDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCIAMNIKDINKPSDAAALCSELPDAVLKKLLQSIFNNTGGEVVASKTGKREMLGTPTETAILEFGLALGGDFQAERQACKLIKVEPFNSTKKRMGVVLELPEGGLRGHTKGASEIILAACDKVINSDGVIVPMDEALTNRMNSTIEQFANEALRTLCLAYMELENGFSPNEEIPLSGYTCIGIVGIKDPVRPGVRESVALCRSAGVTVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKSPEEMQKIIPKIQVMARSSPLDKHTLVKQLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKRAPVGRTGSFITNVMWRNILGQSLYQFIVIWFLQACGKFVFRLDGPDADLTLNTLIFNSFVFCQLFNEVNSREMEKIDVLEGILNNYVFVTVISVTVLFQIIIIEYLGTFANTTPLSYCQWFLSIFFGFLTMPLAVYLKKIPV >itb13g22480.t1 pep chromosome:ASM357664v1:13:28727159:28728126:1 gene:itb13g22480 transcript:itb13g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNFNSSSSINSGVLNTGAYPQGGGASSSTVAPRRNYTARRAALESGAAARGPCGACKLLRRKCVPECIFAPYFDPNEGTARFAAIHKVFGASNVSKLLTHIPMDRRPDAAISISYKAEARMSDPIYGCVSTILALKQQVASLEAELAMVKTQIMISRFAAENNFLLQTPQQQQQDQNAAIMEQQQPVYFNTSFDSNSLLNLTNFTTNYNYIGEATPPNPQSFGPNIQPPHPPLPDQEHSHYCPVHFTNHIFR >itb13g22920.t1 pep chromosome:ASM357664v1:13:29078307:29083006:-1 gene:itb13g22920 transcript:itb13g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREPWTETYGDVRLQISSELSTAATGGDPTMFAPQSSEMTTKNSSTENSVSAKSAAAVRAPEKKLTLFALRLAVLEKAATGLGTLSFIWATVVLLGGFAIALERTDFWFITVILLIEGARIFSRSRELEWQHQATWPISAVKKASQHGGGEISGNSSPPPRQRRRHWHHRKTLPTRTWTSSEVPLFPYAKWMFTPRNMGKILHWLQIASATTCLVLSLMKLIRQDYGVVGEEDTDKKNRKSALNIFYSLALAEALLFLLEKAYWEWKVFFKNLLEEVNQECMVSVKRFFYDAYSKCVYGSIFDGIKMDMVSFAMELLASNSPDEQLSGALILRKFATSPRFSADTLQKIGTNIAVMERLVEMLNWKNDEDEEIRVSAAEILSKLAGKKQNALRVAGIPGAMESISSLLQISRDFGGACDEISEKRIIFDSESYGFWTFNHLGLLILKKLARDHDNCGKIGNTRGLLPKIIDFTQAGERLLRDKTTTPTQILTLKRSLQLVKMLASTTGATGKELRREISEVVFSISNIRDVLRYGEIHPSLQCLGIEILKSLALEEEATERIGGTGGVLKELFGIFFKEGLQKDQRHVRIAAGEALGMLALESKNNCHRILRLKVTGKLVAALQDPVLGINAARILRNLCVYSGPDSFEHLGSLTAAGPTILKAIMSEQNKMQEVMLGLAAHVFRFVTPEESSIMFGRSKVQESELAEKLVQILGRHQYPSIKVPRIRRFAIELAIWMMRDKTTNTEVFRSLGMEHQLECITETTSELESFNVFSGTVGINRHGATIHSLVETALKLLKDDQS >itb01g01470.t1 pep chromosome:ASM357664v1:1:846664:850869:-1 gene:itb01g01470 transcript:itb01g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKARELSILCDAEVGLIIFSSTGKLYDFASTSMKSVIERYTKMKEEQPQLMNPMSEVKQLHLGPKVMVSTCLDSQPGTFKMLETAPHSEEAYPIKRNEMRCQQLAPSGRSKVCKDTFNRIPLNDSSFLLQTWLNLAEYSDEGNEGKVDKTIRAKWLSGLGRS >itb01g01470.t2 pep chromosome:ASM357664v1:1:846664:847114:-1 gene:itb01g01470 transcript:itb01g01470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRSKHGRTSCNQQKSRLTFQQLHLGPKVMVSTCLDSQPGTFKMLETAPHSEEAYPIKRNEMRCQQLAPSGRSKVCKDTFNRIPLNDSSFLLQTWLNLAEYSDEGNEGKVDKTIRAKWLSGLGRS >itb03g00760.t1 pep chromosome:ASM357664v1:3:403204:405966:-1 gene:itb03g00760 transcript:itb03g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFISCHHNYSSSLAPPTFKSTKRNPIFSYIRSAPSKLYARHLPPLCSSQISPPPFRLTDHNQKDELFSSLKKCAISVMLAVGLLSGMPGQANANASNPALPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDISESLKLAGVKAIDSAERNVRQASRALKQGKAAVISGLAKSKVEHGTELLNKLEAGLDEFQQILEDKNRDAVAPKQKELLNYVGGVEEDMVDGFPYEVPEEYQSMPLLKGRATVDMKVKVKDNPNLDECVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSSEKTRTVPLEIMVDGEKAPVYGDTLEELGLFKAQTRLPFNAFGTMAMARDEFENNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDLLADLKVGDVIESVQVVSGLDNLVNPTYKIVG >itb01g31160.t1 pep chromosome:ASM357664v1:1:34972285:34972572:-1 gene:itb01g31160 transcript:itb01g31160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb06g01330.t1 pep chromosome:ASM357664v1:6:2574466:2580175:1 gene:itb06g01330 transcript:itb06g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSHGRQPRETAKEDNHGKQPRKTARGDSQGRQLGETTKGRQPRESASHESQPRETAMRVSQEIQPQETTKGDNQGRQPGGLVSIFKKKKDEEMRKGKRVTHEDSPAATKSQQGAKEEGKREVREREERWTNGGGTWQTELVSSRDVTRAQWRVESGEWRGDKREITVFVIIRSSHFLVSGYSLKTSFLHSQAIFATSRVSEARRKPRSLSSSASTANSIWSVAMIPMKAGKSTLNPHAEAYVPLSKRVLPTKDSAKSPGIENKDGNEAGLLPTGVTPAQYQQQLAQRTEQYYMQHSPSDDFFGSSSQGQHEFTDTEVLDMEFDIDMQYLRRTFRDISEESLSQVYLVNKCDLEETVDMLNQLELPDCLDIGDESEPGPSSGEAYSQKLKKVSDESSSTVASSSGSSCSEPVS >itb01g31080.t1 pep chromosome:ASM357664v1:1:34894950:34899711:-1 gene:itb01g31080 transcript:itb01g31080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVVARLLAIFSLLSFLQQLSSNVIPASSNEEANGLLKWKSTFFHANNTLDSSWTISENGGSPCNWYRVHCVAGSVNRLNLTTSNINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLTKLVYLDMSINYFTGTIPPQIGLLIRLQTLHMFGNNLHGPIPDEIGNLTSLNELALLGNSLNGSIPASIGNLKHLSSLQLYMNSLSGHIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDENQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGNLSESFGIYPDLQFMWLSQNKFHGEISKNWGISKNLTNLQMAENNLTGRIPPEFRNLTQLGILKLSSNNLGGGIPAELGSLSSLLYLYLGDNNFSGQLPIELASLKQLNVLDLSNNQFSGPIPSFIGDYQQMYELDLSHNNFSQHLPVELSKLSHLTTLDLSNNSLSGEIPHLFNSLRDLVNVDLSYNQLTGPIPDTMGFKQAILKGNTGLCGDNKDLPSCSSTPTEMSFVGKKSGHKTQILSIVLPIVGALVLVSVFAVVLFTCGKGDRGPDEEQQCNSFRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMYRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEIAYKKGFFNEITALTTIRHRNIVKLHGFCSNVKHEFLVYEYLERGSLSEMLSREGDAKKLDWMTRVNIIRGIAQGLSYMHHDCSPPIVHGNISSSNILLDADFKARISDFGTAKLLRRDASNFSTVAGTCGYIAPEVTSTKVMTESCDVYSFGVLTLEIIMGKHPGDDINVIKFFSKCLDPRLPHPENEEEKALIHIALLARQCLYPKARRPTMQQVMAEMSAIGPLQEQCNSSRLGDGDNNDENSDFFSISSFHGKALYLDILKATKEFHEMYRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEIADQNGFFNEITALTTIRHRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb03g15640.t1 pep chromosome:ASM357664v1:3:14932417:14933821:-1 gene:itb03g15640 transcript:itb03g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRPPSKPYDVDFTIVSAKHLKNVNWRHGDLKPYVIFWVDPDRRLATKSDDHGSTKPVWNERFVLPLAVPPQESLLTLEIFHSKPSETPKPLVGTLRLPLKDVMCLEDSTKVRSFELRLPSGRPQGKIRLKISIQERPSPPDFQIPPPSSYYFSTAPPQPASRDYRGYNIPPYNPHQPPSPAAMPPPPHPTLSPPLPTLSSPPPPHSHPYHYGGYSDPYSSYYPGYYTQPPYPPRPFLDRQPSFGRPGPSAPVDYAPYDNKRSGKMGLGTGLAVGAVAGALGGLALEEGLKYEEEKIAERVECDISGRDNYSDYHVDY >itb14g14240.t1 pep chromosome:ASM357664v1:14:16642808:16646204:1 gene:itb14g14240 transcript:itb14g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT5G21170) UniProtKB/TrEMBL;Acc:Q2V357] MGNANGREEGLLGGGGGGDDVPGRSNGESGMLDHAQNSRVPSADLMSSSPLHSPHRSPSPLLFAPQVPVAPLLPQGGDAAPGFNQIWCSDPQTTADHSLEQGIPTLITWSYGGNSVAVEGSWDNWRSRKTLQRSGKDHTILLVLPSGVYRYKFIVDGEVRYIPDIPFVADEIGGVCNLLDVNGYVPDDLQSVSEFEAPLSPDSTYCQAFPGDEDFAKDPPVVPPQLHLTVLDSEKSDEADASSKPQHVVLNHVFIEKEWASQSVVALGLTQRFQSKYVTVVLYKPLKR >itb01g20860.t2 pep chromosome:ASM357664v1:1:27072462:27073488:1 gene:itb01g20860 transcript:itb01g20860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLCFVDLQQKVVIGISMKDQKARSKAFKIAVSLSGVDSASIQAEKGQLEVVGDVDAVALANQLRKSLGQAELLSVGSAEKKEDKKEEKKDETPKPQTVTITYDPSSYRYAAPYQYHAYPVQDQQPECSIM >itb01g20860.t1 pep chromosome:ASM357664v1:1:27072370:27073488:1 gene:itb01g20860 transcript:itb01g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKVVIGISMKDQKARSKAFKIAVSLSGVDSASIQAEKGQLEVVGDVDAVALANQLRKSLGQAELLSVGSAEKKEDKKEEKKDETPKPQTVTITYDPSSYRYAAPYQYHAYPVQDQQPECSIM >itb14g00100.t8 pep chromosome:ASM357664v1:14:61668:67022:-1 gene:itb14g00100 transcript:itb14g00100.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPPQNSDQTPSKQHMQPTSHQAQFHPIQQSHQHHINQNQHMAHFSHNQHCGPHSHLPEIAAHTQHSGSISSHMACLQPIGHVGRRMHLLPTSPAKFCDECGAPYLRETSKYCSECGVKRLGI >itb14g00100.t4 pep chromosome:ASM357664v1:14:61551:67022:-1 gene:itb14g00100 transcript:itb14g00100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPTFTGTDNASQMAEAQGYHSVTEPQNSDQTPSKQHMQPTSHQAQFHPIQQSHQHHINQNQHMAHFSHNQHCGPHSHLPEIAAHTQHSGSISSHMACLQPIGHVGRRMHLLPTSPAKFCDECGAPYLRETSKYCSECGVKRLGI >itb14g00100.t5 pep chromosome:ASM357664v1:14:61582:66849:-1 gene:itb14g00100 transcript:itb14g00100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPIMLLRWLKPKVTILSLNHKIAIRLHRNNTCSQHRTRLNFILFSRATNTISTKTNTWPTSLTTNIVDPTHTCLKLLHTLSTLDLFHLTWLAYNQ >itb14g00100.t7 pep chromosome:ASM357664v1:14:61668:67022:-1 gene:itb14g00100 transcript:itb14g00100.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPPQNSDQTPSKQHMQPTSHQAQFHPIQQSHQHHINQNQHMAHFSHNQHCGPHSHLPEIAAHTQHSGSISSHMACLQPIGHVGRRMHLLPTSPAKFCDECGAPYLRETSKYCSECGVKRLGI >itb14g00100.t6 pep chromosome:ASM357664v1:14:61582:66849:-1 gene:itb14g00100 transcript:itb14g00100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPTFTGTDNASQMAEAQGYHSVTEPQNSDQTPSKQHMQPTSHQAQFHPIQQSHQHHINQNQHMAHFSHNQHCGPHSHLPEIAAHTQHSGSISSHMACLQPIGHVGRRMHLLPTSPAKFCDECGAPYLRETSKYCSECGVKRLGI >itb14g00100.t1 pep chromosome:ASM357664v1:14:61551:67022:-1 gene:itb14g00100 transcript:itb14g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPIMLLRWLKPKVTILSLNHKIAIRLHRNNTCSQHRTRLNFILFSRATNTISTKTNTWPTSLTTNIVDPTHTCLKLLHTLSTLDLFHLTWLAYNQ >itb14g00100.t3 pep chromosome:ASM357664v1:14:61668:67022:-1 gene:itb14g00100 transcript:itb14g00100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPTFTGTDNASQMAEAQGYHSVTEPQNSDQTPSKQHMQPTSHQAQFHPIQQSHQHHINQNQHMAHFSHNQHCGPHSHLPEIAAHTQHSGSISSHMACLQPIGHVGRRMHLLPTSPAKFCDECGAPYLRETSKYCSECGVKRLGI >itb14g00100.t2 pep chromosome:ASM357664v1:14:61668:67022:-1 gene:itb14g00100 transcript:itb14g00100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDLRSAAASYIGSSLHDLNAAEGLGGGGDNVDRGGGDVTEDSLDNDEESTAVDCMHETFRNSLPLHDVAVEENQTSLASSGSLRDPYNIVTNEDVSPIESARARFLDIIVDHFISSHVVEVLDMESDYVAQSSQDKLNKRKPREIRHEGDPRHVLPLMYVANMYETLVGEVNLRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGSCMYKRRELATSFETRSRFPELVIQEKKRVRFVVVNGLAIVERPTSMHIDDIEWFKRLTGRSEVAVSPKDYKFYAPRHKYRRVASNSIPNIPALPIMLLRWLKPKVTILSLNHKIAIRLHRNNTCSQHRTRLNFILFSRATNTISTKTNTWPTSLTTNIVDPTHTCLKLLHTLSTLDLFHLTWLAYNQ >itb01g25910.t1 pep chromosome:ASM357664v1:1:31197667:31198764:-1 gene:itb01g25910 transcript:itb01g25910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPTSPLLMISVEEETLFVSLNGDKAVPKLKKNSPKYFKPIGSSHGWLILLDENSEPYLLNPFNQSKIQLPKKISFPHINSITSKWFRGGECLFVEYTSGFTRWFDSTEDKSPLLSIKKAVLSANPSTHKDFVVAVIYGEKSRIAYCRNVEMETRSGVQFRMGILTHTMILHVLMMIYYLHWEKMHQLKFGGFDVFWFFYTAQEKGHNYSASISNKVFGNHERLSWNTLCQEVLLSPLSGQIFFIVRCVGEFVITDGKVVYEGDDDVICPYKAINFHVAWIQPP >itb02g07740.t1 pep chromosome:ASM357664v1:2:4847536:4850291:-1 gene:itb02g07740 transcript:itb02g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQQVQRQVAPIPLSERWKSLAPGAEELDSNPSGGFDCNICLDLVQDPVVTICGHLYCWPCIYKWMHSQNSCGEDGDHQHPQCPVCKAEVTEKTLIPLYGRGLTTKPSEGKALGIVIPQRPPSPRWGGQGHVLIPATTPNGSHASQHLHHRGYPPQHTPSYQPYPGSYSVPPMLNLSGTATTALNHPMIGMIGEMVYSGMFRNSGSSLYAYPNSSYHLAAGGTTPRQRRQIVQADRSLGRLCFFLCCCVVLCLLLF >itb02g13460.t1 pep chromosome:ASM357664v1:2:9609035:9614687:-1 gene:itb02g13460 transcript:itb02g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSFKVVADFSAVKNRPGSTRLGLRLADVDKKQWLNCRDNNLKRCRVVACTSEGSNSGASDSPIPAGSTQSQNQSSFLSRSQTYALLKQQLEVASKSEDYEEAARLRDSLKLFEDEEPVLRLKRRLKEAIDDERFEDAARYRDELKKVAPHSLLKCTSDATTLGIRVQVRSVYIEARSQPSKGLYLFAYRIRITNNSDRIVQLLRRHWIITDANGKTENVWGVGVIGEQPVILPNTGFEYSSACPLGTSSGRMEGDYEMKHIDRVGSRTFNVAIAPFSLSTFGDGTDNLSDQELWKL >itb03g04790.t1 pep chromosome:ASM357664v1:3:3145942:3147271:1 gene:itb03g04790 transcript:itb03g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQLCSEPVVSLDLSLCSFNSAGCDELRAGQDSKRLSTETSNNEVSTQMTTTRSSVFTRAFKCNFCHRKFCSSQALGGHQNAHKRERILAKRTMQMAVLSEKFPNMVYLPLRGSTPRSLQIKAMMKPLELKTSPEFVPALLSRSSYAFTGNSSTGYAQTTTFLHQGESGPDLTLRL >itb11g22080.t1 pep chromosome:ASM357664v1:11:23897177:23900775:-1 gene:itb11g22080 transcript:itb11g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSNSTHVGPALQPFPMSTNYFKSEFDDVFHTDSKGYNFQDLHPFDHHFSSSSTSPLVGPSPISFYPDLAIHMNGFDPFDPFSNDNSPSHHQNLNLFKPSQENSEIAHNNNNGGAVGGYLSYPNPKILSFHHDLKPTNVVVPDESSCVSANPGFRKETGGRKRSNRAHQTTDNNGASASMKKHSKGRKKTKSSKGQWTVEEDRLLIQLVEKHGVRKWSHIAQLLKGRIGKQCRERWHNHLRPNIKKDVWSEEEDEILIKAHVEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSRRKCRTKWPRPSSLLQNYIKTVLNSEKNCGGASRRNASTNAEASTVVEPPPPPPPKAEPMEYCGGDNLVPDYDFALDEKLFAGNGIESFIEDIPGGPLVLDEKYMEEMAYDMPPMMFGEPKELDLMDMISHVNL >itb04g05450.t1 pep chromosome:ASM357664v1:4:3529315:3533976:-1 gene:itb04g05450 transcript:itb04g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOB1 [Source:Projected from Arabidopsis thaliana (AT3G08550) UniProtKB/TrEMBL;Acc:A0A178V9W7] MAGLGASLRPQPPSSASAAASFAAKLLLLLTLLPLSLALFAFVLQWRGGGVDDPISRWSPEESRKFPGMDTSPLATMGHSSQSSDCSLLGRRNSATFPYYRDWKFNFESDLKPKICITTSTSGSLDQILPWMYYHKVIGVSTFLLFVEGKAASPEVAKVLESIPGVKVIYRTKELEEQQSKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMAREAGVEWIIHLDTDELLHPAGAREYSLRQLLQDVPSNVDMVVFPNYESSVERDDIKDPFTEVSMFKKNYDHLTKDAYFGMYKEATRGNPNYFLTYGNGKSAARIQEHLRPNGAHRWHNYMKSPNEIKLEEAAVLHYTYSKFSDVTSRRDRCGCKPTKDDVKRCFMLEFDRSAFIIASTATEEEMLNWYREHVVWTDKTLNLKLLRKGILTRIYAPMVIIQGLKESGVFGTIIASAQKSLSKDKFLASIEKGNSSRAVASQSLPSRKIGRSQDSQVTARKTLQTAPFESHEPAVPPLSPPGMDSETVIEA >itb01g23540.t1 pep chromosome:ASM357664v1:1:29512238:29516394:1 gene:itb01g23540 transcript:itb01g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSLIHRQMHREATTIDSIGDDLLHNIFSRLPAPFCATVACVCRSWNLIATRILSYPKFSSALSRNPSLQDAVNEVIEEVLSQPIRPQFAIASVGPSFSLEDAHALISRRLDSRIPVISCTSQGIIGRDALTHEFQEVQWEIVDDDDDDNAEDVNMLQNANVGVLLSVGFLPGLKANLIPLLWNTRGTRGLMVDEFVMSIREYSSLVSGTTSPQGIILFADQETDMKDVLVKMDYAFSAETVIVGDGAGKFLYQIDNGVNATINQDCTPAALALVFAKDRDKPPGVGDTQLHVMLSNGISAFGPTYKAVSVRERRTGNTTWLTAKRGAEQEDLDGQTLLEQIFHEVGDYFHCQALFIGVMKRRKCSIGEEKVKWSTLQEFHEVLRGDEEYLYVNGLGIKSGDLFRFYISDSNTALSSCKKVSDNLRCLKQEYDCRNHASGDAVNIDKKAILGGISFCCCGRGESFFGHANADSLPFLENFPTVPLAGNFCAGEIARVDLSSYGDGPEELSSIRCCLHVFSTVYLVMSYTPAGPA >itb01g23540.t2 pep chromosome:ASM357664v1:1:29512238:29516394:1 gene:itb01g23540 transcript:itb01g23540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSLIHRQMHREATTIDSIGDDLLHNIFSRLPAPFCATVACVCRSWNLIATRILSYPKFSSALSRNPSLQDAVNEVIEEVLSQPIRPQFAIASVGPSFSLEDAHALISRRLDSRIPVISCTSQGIIGRDALTHEFQEVQWEIVDDDDDDNAEDVNMLQNANVGVLLSVGFLPGLKANLIPLLWNTRGTRGLMVDEFVMSIREYSSLVSGTTSPQGIILFAETDMKDVLVKMDYAFSAETVIVGDGAGKFLYQIDNGVNATINQDCTPAALALVFAKDRDKPPGVGDTQLHVMLSNGISAFGPTYKAVSVRERRTGNTTWLTAKRGAEQEDLDGQTLLEQIFHEVGDYFHCQALFIGVMKRRKCSIGEEKVKWSTLQEFHEVLRGDEEYLYVNGLGIKSGDLFRFYISDSNTALSSCKKVSDNLRCLKQEYDCRNHASGDAVNIDKKAILGGISFCCCGRGESFFGHANADSLPFLENFPTVPLAGNFCAGEIARVDLSSYGDGPEELSSIRCCLHVFSTVYLVMSYTPAGPA >itb08g10310.t1 pep chromosome:ASM357664v1:8:9730655:9737268:-1 gene:itb08g10310 transcript:itb08g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G13180) UniProtKB/Swiss-Prot;Acc:Q9SAF1] MDPATSRPAVVIDNGTGYTKMGFAGNVEPCFILPTVVAVNDSFVNQPRASTKSSNWLAQHSAGVMADLDFYIGDEALARSKSSNTYNLSYPIKHGQVDDWDAMERFWQQCIFNYLRCDPEDHYFLLTESPMTAPESREYTGEIMFETFNVPGLYIAVQPVLALAAGYTASKCEMTGVVLDAGDGATHVVPVAEGYVIGSSIKSIPIAGRDVTLFIQQLMRERGEHVPPEDSFEVARKVKEMYCYTCSDIVKEFNKHDKEPAKYVKQWRGTKPKTGAPYSCDVGYERFLGPEVFFNPEIYNSDFTTPLPAIIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLVSDARLGGEIKAQPVEVNVVSHPIQRYAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >itb11g06760.t1 pep chromosome:ASM357664v1:11:4098990:4101308:1 gene:itb11g06760 transcript:itb11g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIEEALKAKADAEKRFADKDFVGAKSSALKAEKLCPDLEGIAQMVLTFGVYSAAEMKINGEVDFYSVLGLDPSADKAKVKKQYKKMAVLLHPDKNKSIGADGAFKLVSEAWTVLSDVAKKSSYDHRRNISSMHTASAASHSRLDTFWTVCTSCHVQYEYLRKYVNKRLSCKNCRGVFIAVETGLAPVNGSYQYCSWSYVPENGYGSHGCGVTHASTASIYCANNGVSGHHTKHGSEYASNFTFQWSTLPGNSAGVIDPSGISSSGSVTQSANRKGARQRANGKQQMEKVVNGFTIYNEQPVSKPGRPAKRRKVEPGNTYGNNNCEVPPKTEVEVKISNENGNPKHNSKLSTANENSTRRSLSVPTIDTRKLLIDKARTVICKKLEEMKLASEAAAAAAEMEKKKKALAGIEECSEKPKKAGLGNAGHQSEPRKTVSMAITVPDSDFHDFDKDRAEECFKPKQIWALYDEEDGMPRLYCLIRQVISVKPFKIHISYLSSKSDSEFGSVNWLCSGFTKSCGHFRAFNSETVEQVNIFSHLLSKEKAGRGGCVRIFPKTGDIWAVYRNWSSDWNRATPDEVRHQYEMVEILDGYSEEFGVRVAPLIKLGRFKTVYRRNSDQGASRWIPKREMLRFSHMVPSCLLKEGPNLPDGCWDLDPAATPEELLQGANHVEDESHAGNNADEHSKNKIEHRAEAESTVLPGKSHESVSGVQVQDIPNQAQNPPEPPPGFPVVSNQAQNPPEPPPGFPVVITDIQNRKEACQTQIFSSRV >itb05g09740.t1 pep chromosome:ASM357664v1:5:14254407:14259893:1 gene:itb05g09740 transcript:itb05g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSYEIIPTSAKISALNCIDLSSPDTKTPVALLKQACIDSGFFYVINHGISQEFMDEVFAQSKKFFELPIQEKMKLLRNEKHRGYTPMLDEHLDPVNQIHGDYKEGYYIGIELPKDDSEAERQFYGPNIWPSEDILPGWSKTMEKYHQEALKVTKAIARVIALALDLDVDFFEQPEYLGKPIATLRLLHYEGKYSDPTKGIFGAGAHTDYGLITLLALDDKYGLQICKNKDANPQVWEYVPPLKGAFVVNLGDMLERWSNLKFRSTLHRVLGNGQERYSIPFFVEPSHDCIVECLPTCQSKDNPPKFPPIKCEKYLLQRYMVTHAVLNAYK >itb05g09740.t2 pep chromosome:ASM357664v1:5:14254407:14259274:1 gene:itb05g09740 transcript:itb05g09740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSYEIIPTSAKISALNCIDLSSPDTKTPVALLKQACIDSGFFYVINHGISQEFMDEVFAQSKKFFELPIQEKMKLLRNEKHRGYTPMLDEHLDPVNQIHGDYKEGYYIGIELPKDDSEAERQFYGPNIWPSEDILPGWSKTMEKYHQEALKVTKAIARVIALALDLDVDFFEQPEYLGKPIATLRLLHYEGKYSDPTKGIFGAGAHTDYGLITLLALDDKYGLQICKNKDANPQVWEYVPPLKGAFVVNLGDMLERWSNLKFRSTLHRVLGNGQERYSIPFFVEPSHDCIVECLPTCQSKDNPPKFPPIKCEKYLLQRYMVTHAVLNAYK >itb02g06030.t1 pep chromosome:ASM357664v1:2:3742517:3744882:-1 gene:itb02g06030 transcript:itb02g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGKKVLLTSNADDVCNNIAFHLAQRGCQLVLAGNERKLKGVAEMIRQSLDDSVVVEVVGLDMEDEREIAFDEAVDKAWKILGYLDALVHCYSYEGKMQDPLELAEDEFQKIVKINFMAAWYLLKSVGKRVRDRKSGGSIVFLSSIIGAERGLYPGAAAYGSCLAGVQHLVKSSAMEMGKHQIRVNAIARGLHLHDEYPLSVGKERAEKLVKDAAPLNRWLDVKKDLASSVIYLISDDSRYMTGTTVIVDGAQSLVRPRLKSYM >itb15g07730.t1 pep chromosome:ASM357664v1:15:5291117:5294253:1 gene:itb15g07730 transcript:itb15g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIREEDDDHTTKDGTVDIRNEPADKTKTGTWKACPFILGTEGCERLAYYGMSTNLVNYLRQQLDQNNVTASKTVTNWQGTCYATPLLGAFLADSYLGRYRTIACFSTLYGMALLTMSASIKGLKPACDKEACHPTGVQSAICYVALYLIAFGTGGIKPCVSAFGADQFDDNDTKEKETKSSFFNYFYLAINMGALFATSALVWLQTHVGWGWGFGIPAVTMAISVLFFYAGTRLYRFQTPGGSPLTRILQVLVASIGKTRVRAPADKSLLYETNDIESNIRGSRKLEFLDKAAIETEHDRRDRGRVSPWKLCTVTQVEELKCIISLLPVWTTGIVFCAVYSQVSTMFVLQGNTLDQHIGPYFKIPSASLSIFDTISVIFWTPVYDQIIIRIARHFTGNERGFTTLQRMGAGLAISIFSMVSAGLLELYRLAYVRKINGYDLDTIPISIFWQIPQYFLVGCAEVFTFIGQLEFFYDEAPDAMRSICAALALMTNALGNYLSTLLVMVVTKVTTRHGQLGWITDNLNRGHLDYFYGLLAILSAVNFIFYLWIAKLYTYKKAVPIPS >itb02g10030.t1 pep chromosome:ASM357664v1:2:6457195:6460910:1 gene:itb02g10030 transcript:itb02g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRSFLPRRNLSRSLTFSRASRESKASETCVRGARDDCCIVNVRNEFDEKSGGAVEESGCGDAVSWANMLPEILGDIIRRVEVADERWPSRQNVVACGCVCKRWREVTLETVKSSLHAGKITFPACLKQPGPRDAPLQCFIKRDKKNSTFYLYLGLSPSLVDKGKFLLAARRCRTGAHTEYVISLDADDLSQRSKAYVGKLRSDFLGTNFTIYDSQPPHSGAKPSSSRSCRRFTSKQISPQVPAGNFEIGCVSYKFNLLKSRGPRRMVCSLECLSSGDTNTDRLHDTIMEKPSCAAIPYTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATLDQSQPGGKGDEETVILQFGKVGDDVFTMDYRKPLSAFQAFAICLTSFGTKLACE >itb12g28280.t1 pep chromosome:ASM357664v1:12:28264827:28265952:-1 gene:itb12g28280 transcript:itb12g28280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPKTRRRCFLLLSFLLCFMLIATQGAGRNLRSASSELEGAKKHTRNHHHHRQSVVVITKKKVPAKTTVDIDIDINNEDYTPAQKKPPIHN >itb09g14540.t1 pep chromosome:ASM357664v1:9:9799196:9803709:-1 gene:itb09g14540 transcript:itb09g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAARRNGGGMLEGLYRVIMRRNSVYVTFVVVGAFLGERAVDYGVHKLWENNNVGKRYEDIPVLGQRPSEE >itb05g04810.t1 pep chromosome:ASM357664v1:5:4438093:4443867:-1 gene:itb05g04810 transcript:itb05g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSHRKDVLFLIYIINFSLIGPAPSHGSKHETKVLNVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLNLLDNQGAMFVLVTVEPEGVVAIRGVQERKFIIFNIVCDELYLGIPEKAWYVVVLVILCLVVAFVIPSFLPPYLLPRSQNRNILDQVISKDS >itb03g00700.t1 pep chromosome:ASM357664v1:3:368861:372946:1 gene:itb03g00700 transcript:itb03g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIASGSGQLHFSEAAYKGHSCKQVYRFSSVTIQSSIQKEPSWSSRGVSKLLRLQRHNGSYSQAYVNRKSHRPAIASCLRNGSTKYFDFAVIGSGVAGLRYALEVAKHGTVAVITKAEPHESNTNYAQGGVSAVLCPKDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLEAVKKDPNIYVFEHHFAIDLLTSQDGSDTICHGVDTLNTETLEVVRFISKVTLVASGGAGHIYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIRPTIARENAFLITEAVRGDGGILYNLDMQRFMPLYDERAELAPRDVVARSIDDQLKMRNEKYVLLDISHRGKEKILSHFPNIAAECLRYGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVQGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMTGSRIDLGASSWWPRPIVPTSLGDVTQRKIILKTKEVRKQLQSMMWEYVGIVRSTTRLETADKRIGELELEWETYLFQQGWEPTMVGLEACEMRNLFCCAKLVVSSALSRHESRGLHYTIDFPHVEESLRLPTIILPSSPLSSTWSSRQLHKQAMC >itb09g10810.t1 pep chromosome:ASM357664v1:9:6680424:6684099:1 gene:itb09g10810 transcript:itb09g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKYKKKQSEKTIHVKRVNPQDNSPTATALPEPPLQTRSLQSAPPSFKTRIKSVQSNNRVRSSRTRALSAPSSLDTAEQVLMDSEEQESTKSRVGSMKEYQPPSPQPLPLPSPQNAATLKTMGSFKIGNASGPLTASGPLPLPPALPSTLLSTGNLRNFTYEEIAAACHNFSPERCMSEGLSSVLYRASFGDDPSGAKKLEATVTRLHPSSQGLKEFVNEVNTLASLEHPSLCKLIGFHAREGSGQRMLVYERLFHGSLDRLLFGRSDGPPIDWNARMKIAFCAAQGLTFLHEEGPFQAMFHEFSAGNIQIDKDFSAKLSGYGCITHIQETDISCSSAAIANLSAETLEKGLLTPKSNVWSFGIVLLELLTGRKNLDSRHPKEECNLVKWSWPFLADDGRLSLIMDPQLKGRFPAKAARTMADIAQRCLQKDPSGRPTMRTIVEQLKTVQDMKYTSRFPLQEPGRVSMKHRSNSPSLNGIIPPPPMLNLSPLSPTTRPPPPISPTQPLSLPPRTCSFSLEDTDQHESRRTSSSSVRRSSVEGF >itb14g13420.t1 pep chromosome:ASM357664v1:14:15392572:15393198:-1 gene:itb14g13420 transcript:itb14g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKALNPGKNFVCCFHGIHGGMSEELSQGGNWKRRNTVREESRTTIFFTICRVKSIYKPKEPEPEPQLDEEEEDESDGSKFSTSTTENALLEADLVLIELSLSPNDPFGE >itb03g20300.t1 pep chromosome:ASM357664v1:3:18230238:18234296:-1 gene:itb03g20300 transcript:itb03g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAAFFLGPHVPPESGAKTSSKIGGSAPASIDAQGIKLKSSTARNLQVKASAQAPPKVNGTVTSVMDGFKTDDEVTSSHPSKTIINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRPDMLIDPFGLGRIVEDGFVFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTVGIMGDGFGSTQEMCKKNLIWVVSKMQVIVDRYPAWGDLVEVDTWVAASGKNGMRRDWLLRDFYTGDTLMRASSVWVMMNKETRRLAKMPDEVKTEIGRHFSDRAPIVEEDSRKLPKLNDEAADCILTGLTPKWSDLDVNQHVNNVKYIGWILESAPLSILENYELAAMTLEYRKECGRDSVLQSLTSVLGNNVDNPETVECQHLLRLEGGDEIVKGRTEWRPKLANRPGNMGQLPAEST >itb01g35520.t1 pep chromosome:ASM357664v1:1:37677524:37680085:-1 gene:itb01g35520 transcript:itb01g35520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSQLKRVLGVSQIQLNYLATASDLGKALGWSSGLALMYLPLSAVMFIAAVMGLVGYGLQWLLMQRIIFLPYFAVFLLCLLAGLSITWFNTVCFVLCIKNFPSNRPLALSLTISFNGVSAALYNLAANALNPSSSALYLLLNAIIPLITSFAALIPILRQPPLEPLSPDGIKRDQLLFVVLNSLAVVTGIYLLFVHSSDKVTARFLLVGAIFLLVLPLGIPGVTYARKWFRDTIYSTFELKHSGFILVDADDLELHKQLLSREGSLANGLSYSVDGNNESNDQSTISWQRSSASDEGCVENVIGRDQLFMLGEEHNAPKLLKRCDFWLYYLAYFCGGTIGLVYSNNLGQIAQSLGHTSMTSKLITFYSSFSFFGRLLSAAPDFIRLKLYFARTGWLAIALLPTPIAFFVLAATGSYKALEAGTAMIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLLYGFLAAFVYDSSAGSSTNGMAEPVVCMGRKCYFTTFIWWGCISLLGFASSLLLFLRTRHAYQQFEQRRRATMLD >itb13g03250.t2 pep chromosome:ASM357664v1:13:3179699:3183632:1 gene:itb13g03250 transcript:itb13g03250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MTRPKQLPNELLSDLAVSMTTKLQSFRHFGKYFKQSAKALEKQVAREARFYGALIRLQQNWKIKRHRMVAATSGNEGFYIDLFDNTLHDPALVFRPSSVSVVRIEHDPAGMLAVNLPPNSCQTLQFEFLGAYSTGNARNTKTKVRGIIKDSAGESKKEKSDDEHVKETHCTLREVHRAIFDEQVFDLVNREAFNPSLGVDVTGIQENYLRLSIGQGASVSLSLVSSNEGDQIINGEGDDNLGAGVVPVESFAASKLDDSKLDLKKLGNLNQISFEIYLRQIFHEHVFARAKNRSLNSVKSQASGLPTKDGPNLLSHFCMSLAHRIFSNKVLAELECLVSRTPYVELISHPTWHSRTSSWTLLMKVPQSILNAGNESHASYLDKNVKSQFRTKVSVYDDCISVEGEGAPNVVGLFKGKSEVTCSMNRYDCDLADLPAILLQQVASQVIRWLREEALMVGIKANRDFLSLWFELEQGETVGLVAHIDPKDPIGCISWWLVMEDGYSEENKLQVDISNGESERRKLLGYLSLGVLYSTLLDLVSLSSGSGMN >itb13g03250.t3 pep chromosome:ASM357664v1:13:3179929:3183436:1 gene:itb13g03250 transcript:itb13g03250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MEEDLQISLDKLPIKRVEFVEENGAERFPSDVGYDEKTVSLIRRIDFAWAVEREDPSKKQKKSSADSSSSSKETSANQPWPWQSLVENLQLAHQELSVIIDLINTVEANDAVTVASMTRPKQLPNELLSDLAVSMTTKLQSFRHFGKYFKQSAKALEKQVAREARFYGALIRLQQNWKIKRHRMVAATSGNEGFYIDLFDNTLHDPALVFRPSSVSVVRIEHDPAGMLAVNLPPNSCQTLQFEFLGAYSTGNARNTKTKVRGIIKDSAGESKKEKSDDEHVKETHCTLREVHRAIFDEQVFDLVNREAFNPSLGVDVTGIQENYLRLSIGQGASVSLSLVSSNEGDQIINGEGDDNLGAGVVPVESFAASKLDDSKLDLKKLGNLNQISFEIYLRQIFHEHVFARAKNRSLNSVKSQASGLPTKDGPNLLSHFCMSLAHRIFSNKVLAELECLVSRTPYVELISHPTWHSRTSSWTLLMKVPQSILNAGNESHASYLDKNVKSQFRTKVSVYDDCISVEGEGAPNVVGLFKGKSEVTCSMNRYDCDLADLPAILLQQVASQVIRWLREEALMVGIKANRDFLSLWFELEQGETVGLVAHIDPKDPIGCISWWLVMEDGYSEENKLQVDISNGESERRKLLGYLSLGVLYSTLLDLVSLSSGSGMN >itb13g03250.t1 pep chromosome:ASM357664v1:13:3179699:3183632:1 gene:itb13g03250 transcript:itb13g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MEEDLQISLDKLPIKRVEFVEENGAERFPSSDVGYDEKTVSLIRRIDFAWAVEREDPSKKQKKSSADSSSSSKETSANQPWPWQSLVENLQLAHQELSVIIDLINTVEANDAVTVASMTRPKQLPNELLSDLAVSMTTKLQSFRHFGKYFKQSAKALEKQVAREARFYGALIRLQQNWKIKRHRMVAATSGNEGFYIDLFDNTLHDPALVFRPSSVSVVRIEHDPAGMLAVNLPPNSCQTLQFEFLGAYSTGNARNTKTKVRGIIKDSAGESKKEKSDDEHVKETHCTLREVHRAIFDEQVFDLVNREAFNPSLGVDVTGIQENYLRLSIGQGASVSLSLVSSNEGDQIINGEGDDNLGAGVVPVESFAASKLDDSKLDLKKLGNLNQISFEIYLRQIFHEHVFARAKNRSLNSVKSQASGLPTKDGPNLLSHFCMSLAHRIFSNKVLAELECLVSRTPYVELISHPTWHSRTSSWTLLMKVPQSILNAGNESHASYLDKNVKSQFRTKVSVYDDCISVEGEGAPNVVGLFKGKSEVTCSMNRYDCDLADLPAILLQQVASQVIRWLREEALMVGIKANRDFLSLWFELEQGETVGLVAHIDPKDPIGCISWWLVMEDGYSEENKLQVDISNGESERRKLLGYLSLGVLYSTLLDLVSLSSGSGMN >itb08g00960.t1 pep chromosome:ASM357664v1:8:691083:695416:1 gene:itb08g00960 transcript:itb08g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFREDDPRIDGIQSRIRVVPDFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISIVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVFRQEYDLEYGSDCLEMHVGAVEAGDRALVVDDLIATGGTLCAAMDLLERAGAEVVECACVIEIPELKGRDRLNGKPLYVLVESQ >itb11g17980.t1 pep chromosome:ASM357664v1:11:18133726:18134516:-1 gene:itb11g17980 transcript:itb11g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYYRYLAEFKIGNERKETVEGTMLAYKAAQNRLSNTGRTTTPEFSIRYQNRRFCAGILQTPTPTLETEIRFPRNNLGLRELT >itb10g19950.t1 pep chromosome:ASM357664v1:10:25612426:25615251:1 gene:itb10g19950 transcript:itb10g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLPRQTYQNQAKIVKILRHSSLLPIFFSISLSTHPSSSSSLSMDSDFWTSRLAAAKRQFNLQHHNHGHRHHPNAQLTSQLDRLSIDDLEVEEEVRPDFPCPYCYEDFDISSLCSHLEDEHSCESKVTVCPICSIKVSRDMLSHITLQHGHLLKLQRRRRLRRLAIPSSQALSLLGRDLREAHLQVLLGGSGYRSGSAKSSSAATNDPLLQSLVLNFPGSEADEISKSLVSSIEDTNSKNATPQHIWKLSFDPSLSSEEREKRIQQATGKAIFVQDLLASTLLAD >itb10g19950.t2 pep chromosome:ASM357664v1:10:25612475:25615249:1 gene:itb10g19950 transcript:itb10g19950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTSRLAAAKRQFNLQHHNHGHRHHPNAQLTSQLDRLSIDDLEVEEEVRPDFPCPYCYEDFDISSLCSHLEDEHSCESKVTRRRRLRRLAIPSSQALSLLGRDLREAHLQVLLGGSGYRSGSAKSSSAATNDPLLQSLVLNFPGSEADEISKSLVSSIEDTNSKNATPQHIWKLSFDPSLSSEEREKRIQQATGKAIFVQDLLASTLLAD >itb08g14540.t1 pep chromosome:ASM357664v1:8:16281993:16282295:1 gene:itb08g14540 transcript:itb08g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDYEIDCCPCILLAQVMFMLQRVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLYPIYSTAADPELKAAT >itb03g26700.t1 pep chromosome:ASM357664v1:3:26299497:26301006:-1 gene:itb03g26700 transcript:itb03g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQARSFLVQPLLSRDDGVVSKAIELNEQLDRVLSRHDALVAGSPMSISIPAGHEQSEEEEAEQLFRRMRKGKARIQPEDEDCHIDRPMGLLGSSVPGNMLHRPLIRPVSLEQKQENDMGKAAVTIPPPPAKHAEREKFFQEIKLDGSTLTRHVRGLSLHSRNASSSGSGSIDFSN >itb12g28070.t1 pep chromosome:ASM357664v1:12:28158063:28159016:1 gene:itb12g28070 transcript:itb12g28070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINHLKFISDNSSWGGEPSTIPMETDHHHHQFPFHDQTVWPNFQHHNHTENLQIPATCLGAGGSNNGEDGEEGGEEEELGAVKEMMFKIAAMQPVDIDPATIRKPKRRNVRISDDPQSVAARLRREKISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLQLNHHPPPPPAGNWAAAAATTFGGNAVTDDPTASMCLNHEVIGD >itb01g14370.t1 pep chromosome:ASM357664v1:1:16176282:16177430:-1 gene:itb01g14370 transcript:itb01g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLNSTATLLTLYLDNCNFGGVLPRFIANFSHLKDFQLEENIIGGYLPSEIHLLVNLQYLDLSENVIGGTIPSSWGGSLQQLIGLYLEGNNLFGEIPISLGNLSLLSELYLQFNELQGPLPVEIGGFKDLVTLNLSNNMFLGTLPTLIVFIYKQKNKKPLTLDATTEFMPKLSYWDIQNRAVQRSGSDGSGGRRLQPIGFRF >itb07g22280.t1 pep chromosome:ASM357664v1:7:26763909:26766593:1 gene:itb07g22280 transcript:itb07g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHAGRASKSSSLRLASARPSITLPPPSPIFTGGVSPGPMTLVSSLFGENDQDSECRSFSQLLAGAMDSPGARQQPPARRVDPNSKEEEVFRHNGSAITQPSMFTIPPGLSPASLLDSPGQGHFGISHHQALAQLTAQPCIQSDHPSSSLPPAPQFFQLQMPLPGRDHNSIKESSSNVSHSDNVSEPCSFPVDKPADDGYNWRKYGQKPIKGGEFPRSYYKCTHPNCPVKKKVERSLDGQITEIIYKGKHNHQPPQPRKGAKDTGNQNGLQGSSELNLMDGVPFQSLPMKDQESSLATQEHMSGSSESEKVSDAEARMDGREDDDERESKRRATEGQIPEPVTSHRTVTEPKIVVQTTSEVDLLEDGYRWRKYGQKVVKGNPFPRSYYKCTTAGCNVRKHVERLASDPKAVITTYEGKHNHDVPAARNSSHNTANNAPALQLRQHNPALDNQAALLQFKEEQIT >itb09g27820.t1 pep chromosome:ASM357664v1:9:28362566:28365586:-1 gene:itb09g27820 transcript:itb09g27820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIMITWIPMVLLFSNLFTINVMHTLGQCLPDQKSVFLHIRSELTYNSLTSTKLVLWDERVDCCQWPGLSCNDSGYITSLDLSDDGSIIAGFNVSLLLKLPSLSVIRLDGIAFSAPFPDFFADFTNLTVLSLAGCDFSGTVPQKVFQVPTLQTIDLSFNEMLGGSLPDFPKNGSLKSLTLYGTMFSGNLPESIGNLRLLSHLDLAYCDFSGAISVSITKLTNLVGMRLHGNHFSGWIPPLKLVKNLTYINLSNNDFTGEIPSSHWDGLNNLKNLDLSENSFSGPIPASLFFLPSLTTLSLYGNKLSGHINELQNVTSALEVIHFNGNKLEGTIPSFFFQLQNLSALYLSSNKFSGQMIDLQNVTSPLELLDLSSNNLEGTIPSFFFQLRNLTSLYLSSNKLFGQMIDLQNVTSPLKLLDLSSNNLEGTIPPFFFQLQNLTSLDLSSNKFNGTVHLTKFRNPENIKSIDISYNSLVIETTISARELPLLPQFERLRLASCNLQKFPNFLKNQSKLQWLDLSSNAISGEIPNWIWGISNGGLNLSHNHLTHMKEPMEYETLNVLDLNSNMLSGQVPQPPRDAEYLDLSNNNNFSMIPPDIGEQIPYVFFFSIAKNRVSGRISTSWCRTAHLEVLDLSYNALHGTIPSCLVQNNSNLAVMNLKGNHLSGEISQKFQHSCSLQTLDLSQNLLEGQLPPSLVNCTKLKCCIRICCGSRRNLRATFDVQQMEIIL >itb01g02500.t1 pep chromosome:ASM357664v1:1:1627607:1632205:-1 gene:itb01g02500 transcript:itb01g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLQTNDGLYRVNIPDFDPAHQPEFMLECVSKNLSGIITPTMGIFSKGQDIYLFGGLMFGVKGTIQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKALCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYNHIYLFIQGGAKDVNELHAFSFNTRSSKWKEIDSLLSKFEERNIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYHVRLGAAGSSLQPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDKSNEKEPKRKPGVVYLEIAELLVASLSSLALSFVASSLLTASRLTGRRQHRSAHLVSSQDNINFTSMGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIIPPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPLKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLQPKSYRQLLEHNYGVHNFSHLLDIGSQRFCAICSREDKHLLVYAFKMDFAVEYENQGKSASLAMPSIEILCSKKFFPSVVTCVCLA >itb14g06920.t1 pep chromosome:ASM357664v1:14:6273256:6274182:1 gene:itb14g06920 transcript:itb14g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPIDIIRPILLKLTVEALIRCQCVCKEWRSIIQDPHFNPGQRRRLAAASGYSLDVTSITNTSLPIKTLLQVPENASLHHRWTGVWCSCNGLVLFSVEKNIFLWNPYTRCCTKVLELPRLSTAFSDYVVSGLCYVSSTGDYKVVLLLCLHFDSNLMVASLKNKEWRKVSFPYQVNYPRIGINFQNTLHWRTNGCLRRPATIIYFKAESNEFKELPTPESHTDLKRSSFILGLGIIDCMVCGEKEADEEKLQVWVMKEYGVKESWVSQFVISAPAPATELFRFSPITTLYSSNCNTKVLICRCYKGSW >itb05g15580.t1 pep chromosome:ASM357664v1:5:22924174:22927563:1 gene:itb05g15580 transcript:itb05g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSICLALLSIFIAGLLRLFHHRRAIPSSKSRRKLPPGPRGLPIIGNLHMLGTLPHRSLNELSKKYGPIMFLKLGNVPTLVISSPSAAELILKTHDAVFASRPKSHVVDDTTNGSKGIAFAPYGPQWRHNKKFVTQELLSAAKMESFAEMRKGEIEALVKSIRAAAEGREAVDLSSEVGKVIENVTYKMLFWCSDNDRRHDLKCMVEEIVSLEGAFNIADYIPILKPFDLQGLNKRIKAVGKAIDKLFVEIINEHEQDARNGIPKGNNDIVDTMLVSQKCPSSSTHKLDLVSIKAILLDMIVGSMDTSYTWIEWTLAEIMRHPRVMKRLQEELETKVGLSRMVEEKDLPNLEYLEMVIKESFRLHPVATLLVPRESMEDIELDGHFIPKKTRILINCWAIGHDSNIWSDNVEEFVPERFMNKNIDLWGHDFHLLPFGYGRRVCPGVNLGLLTVKLIVAQLMHCFNWELPNGMSPTELDMTETFGLASPKVEHLVVVPSYQLFYQLP >itb10g24300.t1 pep chromosome:ASM357664v1:10:28200416:28201258:-1 gene:itb10g24300 transcript:itb10g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELIQPEILRYKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >itb04g08920.t5 pep chromosome:ASM357664v1:4:8172346:8178263:-1 gene:itb04g08920 transcript:itb04g08920.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYSTGQKYVYTGSTDSSVYIYDLVSGARVARLDFHEAPVRDCNWHPFLPMLISSSWDGVIANWEFPGNGDSPVPVMRPRRYRQPQMIW >itb04g08920.t6 pep chromosome:ASM357664v1:4:8172405:8178263:-1 gene:itb04g08920 transcript:itb04g08920.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYSTGQKYVYTGSTDSSVYIYDLVSGARVARLDFHEAPVRDCNWHPFLPMLISSSWDGVIANWEFPGNGDSPVPVMRPRRYRQPQMIW >itb04g08920.t8 pep chromosome:ASM357664v1:4:8172346:8178263:-1 gene:itb04g08920 transcript:itb04g08920.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYRWYLMAVLMIVLYSHFRAMNFCVKIIK >itb04g08920.t3 pep chromosome:ASM357664v1:4:8172315:8178175:-1 gene:itb04g08920 transcript:itb04g08920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYSTGQKYVYTGSTDSSVYIYDLVCGEWCTSCKARLSRSTRTRLQLAPFPTHANQLLVGWCHR >itb04g08920.t2 pep chromosome:ASM357664v1:4:8172315:8178175:-1 gene:itb04g08920 transcript:itb04g08920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYSTGQKYVYTGSTDSSVYIYDLVCGEWCTSCKARLSRSTRTRLQLAPFPTHANQLLVGWCHR >itb04g08920.t7 pep chromosome:ASM357664v1:4:8172315:8178175:-1 gene:itb04g08920 transcript:itb04g08920.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYSTGQKYVYTGSTDSSVYIYDLVCGEWCTSCKARLSRSTRTRLQLAPFPTHANQLLVGWCHR >itb04g08920.t1 pep chromosome:ASM357664v1:4:8172315:8178263:-1 gene:itb04g08920 transcript:itb04g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYRWYLMAVLMIVLYSHFRAMNFCVKIIK >itb04g08920.t9 pep chromosome:ASM357664v1:4:8172346:8178263:-1 gene:itb04g08920 transcript:itb04g08920.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYRWYLMAVLMIVLYSHFRAMNFCVKIIK >itb04g08920.t4 pep chromosome:ASM357664v1:4:8172405:8178263:-1 gene:itb04g08920 transcript:itb04g08920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRCFDVCEDKNRDRVVRGDGMDSLDHEIVQLTRVCSSPHPGLCAARPGKVTLPVSPVKMLGGREVNYNGRGRFTSAECCHVLSRYLPVYGPSVVDKMRSCAYVSQFSADGSLFVAGFQDSDIRIYNVNRGWKVQKVIRAKSLRWTVTDTSLSPDQRFLVYSSISPIVHIVDVGSGTKESVANVMEIHEGLEFSSNVDDYDDYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLETKKLSLRIDAHKSDVNAVCFADETGHLIYSGSDDNLCKVWDRRCFASEQKAAGVLIGHLEGVTFIDTRGDGRYLISNGKDQALKLWDIRKMSSNVNYIPRPRKYDWDYRWMDYPEHARNKRHPHDLSLTTYKGHSVLRTLIRCYFSPAYSTGQKYVYTGSTDSSVYIYDLVSGARVARLDFHEAPVRDCNWHPFLPMLISSSWDGVIANWEFPGNGDSPVPVMRPRRYRQPQMIW >itb09g07670.t1 pep chromosome:ASM357664v1:9:4521781:4527156:1 gene:itb09g07670 transcript:itb09g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYTCLPLVFFILHLIIFFPTPTIAVNHYRPFNNTVPAVFVFGDSTVDPGNNNFIDTVSRCDFPPYGRDFDPNHTPTGRFTNGRLVTDFIVSYAGIKDYVPPYLDSSLSIEELMSGVSFASGGTGYDPFTAQINGGVIPVLNQLEYFREYRRRVEKAVGKEKTEMLIRNAVFLLSAGTNDMLANYYLTPFRRQTYSVPQYHNLMLQLTEQFLQGLMKEGAHTIAVVGLPPIGCLPIAITALSDNMLSSRRCVDKYSAVARQYNSMLQNKLKAMQTPSTRILYADIYNPLEDIAKNPTKYGFEEAGQGCCGSGYFEFSILCNPKSVVCPDASKYVFWDAVHPTEATLIPYYTASYMGVKENVPPYLDQSLGVEELITGVSFASGGTGYDAFTAKSNGGVITVERQLQYFREYKKRLENLIGKEKTKWVVRNAAFFVSAGTNDLNNYFGTPSLRRQQYTLPAYQQFLIQLVQQFVLGLMEEGAQRIGVVGLPPIGCLPTTITRNSDTPLFHRHCLPTYSAAAREYNQLLQNKLKTMQNGALKLVYIDIFNPLEDMTQNPRKFGFNEVNRGCCGSGLFELSVLCNPESIVCSDASKYMFWDAVHPTQATYSQLFKALVPSIDYIIKG >itb01g17630.t1 pep chromosome:ASM357664v1:1:22403402:22405366:-1 gene:itb01g17630 transcript:itb01g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSYGDGASSDIAPKHMSTEKQVASESVRVVVIKAPCGACKFLRRKCIPSCIFAPYFEYSDQGVTRFAAVHKVFGTSNASKILLRTPANRRHCAAITLSYEAQARLFDPIYGCVSTILALKQQVASLEAELAMLKTEIMNSTFAVENGLQTSQQQEEATYFNTSSTAALNNDVLNISNFSSNFNLIGETIYADSQCFYPMVHLSCPFPVGGEEQSHYPIAFTNNMFY >itb12g08380.t1 pep chromosome:ASM357664v1:12:6580238:6587093:1 gene:itb12g08380 transcript:itb12g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGFSKNLVPLASKEVPKALLPVANRPVLSYVLDLLEQNNLKDLIVVVEGENAAVLVGAWISGAYVDRLHVEVAAVPEDEGTAGALRAIDHHLTAKDILVVSGDLVSDIPPGAVAAVHRRHDAVVTAMLCYAPVGGPSESGSSSKKPARYNIVGLDPTKQFLLHIASGFEVEKDMRVQKSILRAVGQMDIRPDLMDAHMYAFKRTALQEVLTLKPSFLSLKCDVLPYLVRSQLRSELSLNGVQAEENGNCKDASQNGTVLLAQLMANASTQSFHDLYTLGPEGSAPAPRKTHKCCVYIANNSNYCMRLNSIQAFSDINRDVIGDASHLSGYSFSAQNNIIHPSSVLGSKTTVGPHCMLGEGSEMGDKCSVKKSIIGRHCRIGSNVKVVNSVVMNHVTIGDGCTIQGSVICSNVQLQERVVLRDCQVGAGFVVTAGSEYKGESLAKKEKQ >itb04g27300.t1 pep chromosome:ASM357664v1:4:31322950:31328837:1 gene:itb04g27300 transcript:itb04g27300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MEAVAEGLWGLADYHEKKAEIGKAVKCLEAICQSHVSFFPIVEIKTRLRVATLLLKHSNNINHAKAHLERSQLLLKSIPSCFELKCRAYSLLSQCYHLVGAIVSQKQILNKGLELIASSEDGFSARLWSCNFNSQLANALIIEGDYLGSISALERGFYSAAEMCYPELQMFFASSILHVHLMQWENASTIQEVVNKCNLIWESIDQNKRQQCLGLLFYNELLQVFYLLRICDYKNAAQHVEKLDAAMKSDLQQMQHVKELNKELTEVNKSLSNSDLNYRDRSALSKKQALLEEQLNNLTGVGSTGKEFLEPTYFGNVKRAWGDKLELAPPPIDGEWLPKGAIYALVDLTVVVFSRPKGLFKDCLKRIQSGQLTIQEELEKLGINDGAKEVDLLHSAIWMASVYLNLLMQFFENKVAMDLTRSEFIEAQETLVQMRNWFIRFPTILQACESTIEMLRGQYAHSVGCYEEASFHFIEASKLTENKTMQAMCHIYAAISYICIGNAESSSKAVDLIGPILNVIDSFVGVREKTSVLLAHGFLLMRQQNLQEARVRLAAGLQTAHHWLGNLQLVSQYLSVLGNLALVIRDTGQAREILRSSLTLAKKLYDIPTQVWVLSNLTALYQQLGEKGNEIENHEYQTKKLGELQKRLHDACSSTHHLELIAKVKTEVHQWSNYDMKRTIANPPTGVDLDIPESVGLSTSAPNPSSSSRLMDVDLGRLRKRNI >itb01g23280.t1 pep chromosome:ASM357664v1:1:29338796:29343632:-1 gene:itb01g23280 transcript:itb01g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGGIGCELLKTLALSDFQDVHIIDMDTIEVSNLNRQFLFRKSHVGQSKSKVARDAVLKFRPGMKITPYHANVKDTDFNVDFFKQFNVVLNGLDNLDARRHVNRLCLVASVPLIESGTTGFLGQVTVHVKGKTECYECQPKPAPKIYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVYSSDSSSSSEHLEDIFECRPNEDIEQYGKRIYDHVFGYNIKVALSNEEKWKNRNKPRPTYIRDVLPSEEIQHNGNGDRGSKDVDPSSVSAMASLGLKNPQELWSLKENTTVFLECLKLFFLKRPKEIGNLSFDKDDQLAVEFVTAAANIRASSFGIPLHSLFESKGIAGNIVHAVATTNAIVAGLIVIEAIKVLQNDLKNCRMTYCLEHPSRKMLLMPVDPFEPNKSCYVCSETSLTLEINTHRSKLRDFVEKIVKAKLGMNLPLVMHGSALLYEVGDDLEEGMVVNYTANLDKVLCELPSPVTGGTTVTVEDLRQELNFNINIKHREDFDDEKEPDEMVLSGWTQAPATETINKEVHDNGTSTSIASQTAATQETNEDDDSVLLPTSIELPLGNERKLSDSTVAAGSDLSYSPNETEVKRKAEELNNDDGDVILLDDGNTNYCKKQRGAIVNFGA >itb08g01690.t1 pep chromosome:ASM357664v1:8:1363895:1364296:-1 gene:itb08g01690 transcript:itb08g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVKTENGYIKLKVQMQNKRDQYFTIRRNEALQTVLVGYCKLEDIEFEATRFLLENKRVQGWDTPEELEMEEEDVIDAFQDMLGGGVAENPYSFYHPNGHFSLKILNFQLSVAENLEFLMENGPSSGGLDT >itb10g15610.t1 pep chromosome:ASM357664v1:10:21836416:21840488:1 gene:itb10g15610 transcript:itb10g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGEGKGRSSSMVTNTGYWYSDAAINTQIQSGHMASQLQGFEPNPEIYNLSSGMEMMGGFPIKNLQAQSDMWKQAAGFGGGGGGSGPVPLSHTGNMVVGGGGWVVEANNSNSNNNNNEMKVSITQKGLSLSLSSTNPSAIGFHSFDDLRLVAASSSSSRLNNNNNNNTCIQHDDGFLGKPENLGHPSQDLFFQIRTSKYLGPAQELLKEFCCVETTSQSDEPKRKQPQMSSQWQQDAAASSENHSLGSLHFLELQKTKSKLLHLLDEVDRRYKHYRDQMTAVVSSFEAVAGDGAATVYSALASRVMSRHFRGLRDAILAQLKAVKKAMSEKESSSAPGATKGETPRLKMIDQTLRQQKAIQQLTMMDSHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEVKEQDNNILGSSEGARAAAVDGRERLDKKPNIVRLDSECISSLINIPGKGGDHHQFGAVELDFSPYTDAGAVGYRPGERKTGGGVSLTLGLQQHGGSGGIGLGFSPASHQNSLFYPRDQIGDCPPVQYSLLDGEAQNLPYRNLMGSQLLHDLAG >itb11g23180.t1 pep chromosome:ASM357664v1:11:25110364:25112522:-1 gene:itb11g23180 transcript:itb11g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPADMNRNTEWFTYPGVWTTYILILFFSWLVVLSVFGCTPGTAWTIVNLSHFAITYHFFHWKKGTPFADDQGMYNRLTWWEQIDHGKQLTRNRKFLTVVPVVLYLIASHTTDYEHPMLFFNTLAVLVLVIAKFPHMHKVRIFGINGDQ >itb12g15380.t1 pep chromosome:ASM357664v1:12:15674846:15675268:1 gene:itb12g15380 transcript:itb12g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVRAFRGAACDSAWEKGGGTAHFRVQSIVRMLRQGKPQESIGWFAPSSISAGILLSRGWALERTTHCCVAPTQGRAFGEEHCFEGEKAWLTNQSSEATGLECFHQMMHVG >itb08g03720.t3 pep chromosome:ASM357664v1:8:3021585:3024855:1 gene:itb08g03720 transcript:itb08g03720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLKLGFLCLGLGLILSFSLLCDAGVTSSYARKNGLSSESSDIDDMPLDSDVFRVPPGYNAPQQVHITQGDYEGKGVIISWVTPEEPGSKTVVYWAENSSVKRRADGVVVTYKYYNYTSGYIHHCTINDLEYDTKYYYELGSGDAKRQFWFVTPPKPGPDVPYTFGLIGDLGQTYDSNTTLTHYELNPVKGQSLLFVGDLSYADRYPNHDNNRWDTWGRFVERSTAYQPWIWTAGNHEIDFVPDIGETVPFKPYTHRFFMPFESSGSTSPLWYSIKRASAHIIVMSSYSAYGTYTPQWKWLQGELPKVNRSETPWLIVLMHCPMYSSYVHHYMEGETMRVLYEPWFVEYKVDVVFAGHVHSYERTERVSNVAYNIVNGLCSPKNDSSAPVYITIGDGGNSEGLATEMTQPQPSYSAYREASFGHGIFDIKNRTHAHFGWHRNQDGLAVEGDSLWFINRYWMSKEEASVSAV >itb08g03720.t1 pep chromosome:ASM357664v1:8:3021581:3024953:1 gene:itb08g03720 transcript:itb08g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLKLGFLCLGLGLILSFSLLCDAGVTSSYARKNGLSSESSDIDDMPLDSDVFRVPPGYNAPQQVHITQGDYEGKGVIISWVTPEEPGSKTVVYWAENSSVKRRADGVVVTYKYYNYTSGYIHHCTINDLEYDTKYYYELGSGDAKRQFWFVTPPKPGPDVPYTFGLIGDLGQTYDSNTTLTHYELNPVKGQSLLFVGDLSYADRYPNHDNNRWDTWGRFVERSTAYQPWIWTAGNHEIDFVPDIGETVPFKPYTHRFFMPFESSGSTSPLWYSIKRASAHIIVMSSYSAYGTYTPQWKWLQGELPKVNRSETPWLIVLMHCPMYSSYVHHYMEGETMRVLYEPWFVEYKVDVVFAGHVHSYERTERVSNVAYNIVNGLCSPKNDSSAPVYITIGDGGNSEGLATEMTQPQPSYSAYREASFGHGIFDIKNRTHAHFGWHRNQDGLAVEGDSLWFINRYWMSKEEASVSAV >itb08g03720.t2 pep chromosome:ASM357664v1:8:3021585:3024855:1 gene:itb08g03720 transcript:itb08g03720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLKLGFLCLGLGLILSFSLLCDAGVTSSYARKNGLSSESSDIDDMPLDSDVFRVPPGYNAPQQVHITQGDYEGKGVIISWVTPEEPGSKTVVYWAENSSVKRRADGVVVTYKYYNYTSGYIHHCTINDLEYDTKYYYELGSGDAKRQFWFVTPPKPGPDVPYTFGLIGDLGQTYDSNTTLTHYELNPVKGQSLLFVGDLSYADRYPNHDNNRWDTWGRFVERSTAYQPWIWTAGNHEIDFVPDIGETVPFKPYTHRFFMPFESSGSTSPLWYSIKRASAHIIVMSSYSAYGTYTPQWKWLQGELPKVNRSETPWLIVLMHCPMYSSYVHHYMEGETMRVLYEPWFVEYKVDVVFAGHVHSYERTERVSNVAYNIVNGLCSPKNDSSAPVYITIGDGGNSEGLATEMTQPQPSYSAYREASFGHGIFDIKNRTHAHFGWHRNQDGLAVEGDSLWFINRYWMSKEEASVSAV >itb07g19470.t4 pep chromosome:ASM357664v1:7:23883403:23886499:-1 gene:itb07g19470 transcript:itb07g19470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNAKSCMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVERYEARDEARKKYLKEQQLKKLEEKNNNNQNKEGDASDDDDDDDEDLEDALKVDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLHKNYKINKEKLKSKTKETIMEKYGNAANDEALPRELLLGQSEREVEYDRAGRIVKGQEMSLPKSKYEEDVYINNHTSIWGSWWKDHQWGYKCCKQTIRSSYCTGAAGIEAAEAAADLMRANIARKEATEGDAYKPTKEKRLATWGSDVPEDLVLDQSKVTEALKEERFLILLSLYALLACLKFHISQPHSLHNMISLNDLFTSSKLIRFSGGVCYFEQAGG >itb07g19470.t2 pep chromosome:ASM357664v1:7:23883473:23887334:-1 gene:itb07g19470 transcript:itb07g19470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHNAKSCMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVERYEARDEARKKYLKEQQLKKLEEKNNNNQNKEGDASDDDDDDDEDLEDALKVDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLHKNYKINKEKLKSKTKETIMEKYGNAANDEALPRELLLGQSEREVEYDRAGRIVKGQEMSLPKSKYEEDVYINNHTSIWGSWWKDHQWGYKCCKQTIRSSYCTGAAGIEAAEAAADLMRANIARKEATEGDAYKPTKEKRLATWGSDVPEDLVLDQSKVTEALKEERFLILLSLYALLACLKFHISQPHSLHNMISLNDLFTSSKLIRFSGGVCYFEQAGG >itb07g19470.t1 pep chromosome:ASM357664v1:7:23883403:23887334:-1 gene:itb07g19470 transcript:itb07g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHNAKSCMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVERYEARDEARKKYLKEQQLKKLEEKNNNNQNKEGDASDDDDDDDEDLEDALKVDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLHKNYKINKEKLKSKTKETIMEKYGNAANDEALPRELLLGQSEREVEYDRAGRIVKGQEMSLPKSKYEEDVYINNHTSIWGSWWKDHQWGYKCCKQTIRSSYCTGAAGIEAAEAAADLMRANIARKEATEGDAYKPTKEKRLATWGSDVPEDLVLDQSKVTEALKEERFLILLSLYALLACLKFHISQPHSLHNMISLNDLFTSSKLIRFSGGVCYFEQAGG >itb07g19470.t3 pep chromosome:ASM357664v1:7:23883403:23887334:-1 gene:itb07g19470 transcript:itb07g19470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMERRLTLIFLNICPLLLGISMLRDRCGAMTHNAKSCMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVERYEARDEARKKYLKEQQLKKLEEKNNNNQNKEGDASDDDDDDDEDLEDALKVDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLHKNYKINKEKLKSKTKETIMEKYGNAANDEALPRELLLGQSEREVEYDRAGRIVKGQEMSLPKSKYEEDVYINNHTSIWGSWWKDHQWGYKCCKQTIRSSYCTGAAGIEAAEAAADLMRANIARKEATEGDAYKPTKEKRLATWGSDVPEDLVLDQSKVTEALKEERFLILLSLYALLACLKFHISQPHSLHNMISLNDLFTSSKLIRFSGGVCYFEQAGG >itb10g09840.t1 pep chromosome:ASM357664v1:10:13338401:13344738:1 gene:itb10g09840 transcript:itb10g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYVMDGCFSEKSDVFSFGVMIMEIVSGKRNNGFYNPDRVSNLLGYAWDLWIEGKISDLIDQTMDKMISVIEATRYIQVGLLCVQDSATDRPTMTDVVSMLGNESTILPIPKQPGFSAIIGLKYGDVANNSKPCSLNEVTITEIEGEEGDRLPAPPVTTSRQQRGTAVAVAAAAEAANRRRRNGGCLRLSSPLHLSRLPPLFPGEQRREASRRVPPLFSASQSRRRWMASSFRRRKAVSSGGSPMVAEVFSGLHLPWRGSECWWPTVRKMEFLGGRLFNYSLCI >itb10g08600.t1 pep chromosome:ASM357664v1:10:10995224:11014870:1 gene:itb10g08600 transcript:itb10g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFFFCCFIGFGSALHSHISVGKNGHILGEQPLSKIAIHKAVLALRDSASIQAKPDLLGLKGEDTEWVAVNLQNSQPSNDDWVAVFSPAKFNESICYPEDAIEEKGCQTPFICTAPIKYKFANYSNDNYIETGKTTLKFQLINQRADFSFALFSGGLDNPKLISVSNFLSFVNPKAPLWPRLALGKSWDQMTVTWTSGYNIDEAYPLVQWGWKGQAQKRTPAGTTTYHRTSMCGPPARTVGWRDPGFIHTGFLKELWPNVIYTYKVGHLLLNGTYVWGKKYSFRAPPSPGQESLQRIIIFGDMGKQERDGSNEYANYQPGSLLTTDQLVDDLDNFDIVFLIGDLPYANGYVSQWDQFTAQVAPITSTVPFMIASGNHERTFTNSGSIYDGQDSGGECGVPAETTYYVPAENRAKFWYQTEYGLFHFCIADTEHDWRIGSEQYRFIEKCLASANRHKTPWLIFAAHRVLGYSSNDWYAREGSFEEPMGREHLQGLWQKYKVDMAFYGHVHNYERTCPIYQNQCVNDETSNYSGTVNGTIHVVVGGGGSHLSQFTSINTTWSLYKDYDWGFVKLTAFNQSSLLFEYKKSRDGKVYDSFTISRDYRDVLACVHDGCEPTTLAN >itb14g10960.t1 pep chromosome:ASM357664v1:14:12453210:12455683:-1 gene:itb14g10960 transcript:itb14g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDHEYILFDRRALASFLASLPLPLLASLSSPPASLPRCLSRPCLPENRLLPLSASRLLSGLRSPLWLCRSVPVSLSVPHGHRHTGDQSPAALPINRTAGTPPLLSGLRVKTSLFKI >itb02g19350.t3 pep chromosome:ASM357664v1:2:16395933:16403376:1 gene:itb02g19350 transcript:itb02g19350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLFIFTRGGLILWTCKELGNALKGSPIDILIRYCLLEERSGSTSYNYDVPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLMMVKKEFSKIYDPKRTLYNDFDDIFQQLKKEAEARVEEMKKSKQAGKPMKNTLAKKQGQVQRGMFDGGNKKSESGDDGGEDKVKNRSLENGDSNCRFGNESLPAEGADKGKENVNSKTGAFDVNKLQKLRSKAGKKTDTVVKKGSKAEPKKIMKKNRVWDDSPAKAKLDFTDHVTEDEVQNVAAVAVDQGESMMDKEEIINDSESEEDDEEPENDNKVDTKKKWWFSSMFQSIAGKANLEKADLELPLKSLKDMLMTKNVAEEIAEKLCESVAASLEGKKQASFTRISSIVKAAMEEALVRILTPKRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >itb02g19350.t1 pep chromosome:ASM357664v1:2:16395933:16403376:1 gene:itb02g19350 transcript:itb02g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLFIFTRGGLILWTCKELGNALKGSPIDILIRYCLLEERSGSTSYNYDVPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLMMVKKEFSKIYDPKRTLYNDFDDIFQQLKKEAEARVEEMKKSKQAGKPMKNTLAKKQGQVQRGMFDGGNKKSESGDDGGEDKVKNRSLENGDSNCRFGNESLPAEGADKGKENVNSKTGAFDVNKLQKLRSKAGKKTDTVVKKGSKAEPKKIMKKNRVWDDSPAKAKLDFTDHVTEDEVQNVAAVAVDQGESMMDKEEIINDSESEEDDEEPENDNKVDTKKKWWFSSMFQSIAGKANLEKADLELPLKSLKDMLMTKNVAEEIAEKLCESVAASLEGKKQASFTRISSIVKAAMEEALVRILTPKRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >itb02g19350.t4 pep chromosome:ASM357664v1:2:16395933:16403376:1 gene:itb02g19350 transcript:itb02g19350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLFIFTRGGLILWTCKELGNALKGSPIDILIRYCLLEERSGSTSYNYDVPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLMMVKKEFSKIYDPKRTLYNDFDDIFQQLKKEAEARVEEMKKSKQAGKPMKNTLAKKQGQVQRGMFDGGNKKSESGDDGGEDKVKNRSLENGDSNCRFGNESLPAEGADKGKENVNSKTGAFDVNKLQKLRSKAGKKTDTVVKKGSKAEPKKIMKKNRVWDDSPAKAKLDFTDHVTEDEVQNVAAVAVDQGESMMDKEEIINDSESEEDDEEPENDNKVDTKKKWWFSSMFQSIAGKANLEKADLELPLKSLKDMLMTKNVAEEIAEKLCESVAASLEGKKQASFTRISSIVKAAMEEALVRILTPKRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >itb02g19350.t2 pep chromosome:ASM357664v1:2:16395933:16403377:1 gene:itb02g19350 transcript:itb02g19350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLFIFTRGGLILWTCKELGNALKGSPIDILIRYCLLEERSGSTSYNYDVPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLMMVKKEFSKIYDPKRTLYNDFDDIFQQLKKEAEARVEEMKKSKQAGKPMKNTLAKKQGQVQRGMFDGGNKKSESGDDGGEDKVKNRSLENGDSNCRFGNESLPAEGADKGKENVNSKTGAFDVNKLQKLRSKAGKKTDTVVKKGSKAEPKKIMKKNRVWDDSPAKAKLDFTDHVTEDEVQNVAAVAVDQGESMMDKEEIINDSESEEDDEEPENDNKVDTKKKWWFSSMFQSIAGKANLEKADLELPLKSLKDMLMTKNVAEEIAEKLCESVAASLEGKKQASFTRISSIVKAAMEEALVRILTPKRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >itb03g00960.t1 pep chromosome:ASM357664v1:3:514181:515302:1 gene:itb03g00960 transcript:itb03g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTEAFPDLGRHCEFSDCRQLDFLPFKCDACRHVFCVDHRSYISHACLKSDRHSRKVLVCDACSMSIETTGCGGEDEEKAILQRHQKLGHCDPAKKKKPTCPVRRCKEPLTFSNTTVCKGCQIPVCLKHRFPADHACKGRATSSPAPAPLRGATNNKFLVAFAARNEKDCGNKSRESTSSPTTIPSVKAF >itb05g11670.t1 pep chromosome:ASM357664v1:5:17819659:17821715:1 gene:itb05g11670 transcript:itb05g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDEDIHLSQMVRNFIELDDSSSSSSSFPCANNNPSDYWTLQVEFFDQNPTTFLSLQETLESVTDSEAEILGKILFYWREVRNLEAEKLRKWIVSRLRMDDYEASLCTTSWVTTFGRPSAFRFRDCYEYIDVMMKSDSNGGESLRLIVDTDFRSQFELGRPTQAYKELSNSLPTIFVGTEDKLHSIISLLCAAAKQSLKENGLHVPPWRKASYMHSKWLSENCKKSSFSPLCT >itb02g06220.t1 pep chromosome:ASM357664v1:2:3869501:3871706:-1 gene:itb02g06220 transcript:itb02g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVLFSPILLLLSIFSIADGGSVGVNYGRIANNLPSAVKVVALLKSQGLDRVKVYDTDPAVLKAFSGSGIKITVNLPNERLYYAAKRQSFAQWWVRRNVAAYHPATQIEAIAVGNEVFVDTHNTTKFLVPAMRNIHQALVKLKLDGVIKVSSPVALSALQSSYPSSAGAFRSELVEPVIKPMLDFLRQTGSYLMVNCYPFFAYESNADVIPLDYALFRENPGVVDAGNGLKYFNLFDAQIDAVFAAMSALKYDDIKMVVTETGWPSKGDSKEVGAGVENAAAYNGNLVRRVLTGGGTPLRPHEELTVYLFALFNENKKIGPSSERNFGLFYPNGKKVYDIPLTTQGLKGYHDKQSPAAGGHILSKSARGKTWCVANGKAGKEKLQAGLDFACGEGGVDCRSIQPGSTCYNPNTLEAHASFAFNSYYQKKGGGISACNFGGAAYIVSHQPKFGKCELPH >itb04g06620.t3 pep chromosome:ASM357664v1:4:4311678:4316681:-1 gene:itb04g06620 transcript:itb04g06620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb04g06620.t1 pep chromosome:ASM357664v1:4:4311678:4316681:-1 gene:itb04g06620 transcript:itb04g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb04g06620.t7 pep chromosome:ASM357664v1:4:4311697:4315623:-1 gene:itb04g06620 transcript:itb04g06620.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb04g06620.t2 pep chromosome:ASM357664v1:4:4311678:4316681:-1 gene:itb04g06620 transcript:itb04g06620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb04g06620.t5 pep chromosome:ASM357664v1:4:4311678:4316543:-1 gene:itb04g06620 transcript:itb04g06620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb04g06620.t6 pep chromosome:ASM357664v1:4:4311699:4316680:-1 gene:itb04g06620 transcript:itb04g06620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb04g06620.t4 pep chromosome:ASM357664v1:4:4311678:4316681:-1 gene:itb04g06620 transcript:itb04g06620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTTVEVPQRTSPATPRTARKLRTSTDSDSVSSPNPASRTPKDRSPKVVDRRSPRSPATEKKRPAKVSELEARVAQLVEELKTAKDQLTSSEALKKKANLEMEETKKQLAAMSEKVEESQKQLLELSDSEDARVQELRKISQDRDRAWQSELEAVQKQHELDSTALSSAQSEIQKLKIQLDRVAESEAAQARCAESAHGEIQGLRIELAETLSLIEKLKSQLNDSKVSEAQALEEFSKAQMQLEVARMTEETLRLDCLKVTGACQSMAMELEQSKNRVSSLEELVGKLQSDLGKSRISQVDSLDVEKGNNEEASGLKTELNTLEAEANQLRAALEEAERRNQEEYIQSTLQIRSAYELMERTKSESFQKETELEAKLKMARADVEALQEKLMEKEAKLQIISTNENKQVNLQIDSPLANKRESELEAELKKSESLLADLEASLSAKETELQSITQENETLKSEIKKRDEEGNKVNEESAALVETAKAAEREALMKLGYLTEEADKSSRKAARVTEQLDAAQATNSELETELRKLKVQSDQWRKAAEAAAAMLTTGNNGKFVGRTGSMDYHTIGGKLGSPFSEDMEDDSPKKKNGSVLKKIGVLLKKGQK >itb05g16410.t2 pep chromosome:ASM357664v1:5:23616373:23620596:1 gene:itb05g16410 transcript:itb05g16410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVSKDPAIKLFGKTIQLPDAPAPAPPTEMDVDPGGGAASSPPPADEFSAKDSSCSEADGGEDEQLQKNENGESEEDEGTVLLEGEEIMDESVDEMRDGLKAPPVDEDGGGATEISTTEEEGKMSNGKDKTLKKPDKIIPCPRCDSMDTKFCYFNNYNVNQPRHFCKKCQRYWTSGGMTRNLPVGAGRRKSKNSAAHYHHLNAVKSFQSARVDHPERIQHHALKANGKVLTLASDSPLCDSVASALNIAEKTAGNGFYKPVELGIPVSYGAGDNGADHSSGSSSLTAASTKDDVCKNGLPDMLRQNYHGFAPQLPCFPGPPWPYPSNAVQWSSAVPPPGCFSPGFPMPFYPPAMYLGYTIPCSWNSPWVNRPVVPQQHTSSGPNSPTLGKHSRDDTVVKPANSKEGEPPKDSNPEKCLWVPKTLRFDDPEDAAKSSIWATLGIKHDRVDSIRGGAFKAFKLKDDEKSHASKNCRVLEANPAALSRSLNFHESS >itb05g16410.t1 pep chromosome:ASM357664v1:5:23616373:23620596:1 gene:itb05g16410 transcript:itb05g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVSKDPAIKLFGKTIQLPDAPAPAPPTEMDVDPGGGAASSPPPADEFSAKDSSCSEADGGEDEQLQKNENGESEEDEGTVLLEGEEIMDESVDEMRDGLKAPPVDEDGGGATEISTTEEEGKMSNGKDKTLKKPDKIIPCPRCDSMDTKFCYFNNYNVNQPRHFCKKCQRYWTSGGMTRNLPVGAGRRKSKNSAAHYHHLNAVKSFQSARVDHPERIQHHALKANGKVLTLASDSPLCDSVASALNIAEKTAGNGFYKPVELGIPVSYGAGDNGADHSSGSSSLTAASTKDDVCKNGLPDMLRQNYHGFAPQLPCFPGPPWPYPSNAVQWSSAVPPPGCFSPGFPMPFYPPAMYLGYTIPCSWNSPWVNRPVVPQQHTSSGPNSPTLGKHSRDDTVVKPANSKEGEPPKDSNPEKCLWVPKTLRFDDPEDAAKSSIWATLGIKHDRVDSIRGGAFKAFKLKDDEKSHASKNCRVLEANPAALSRSLNFHESS >itb14g20580.t1 pep chromosome:ASM357664v1:14:22902529:22903474:-1 gene:itb14g20580 transcript:itb14g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OEP7 [Source:Projected from Arabidopsis thaliana (AT3G52420) UniProtKB/TrEMBL;Acc:A0A178VGL9] MGEREKNSLKQAAVVFGALAAGWLAIELAFKPLLDKARAALNKSDPSHDPDDDAPEMLPLEAEDDAPKESS >itb09g07810.t1 pep chromosome:ASM357664v1:9:4659552:4668024:1 gene:itb09g07810 transcript:itb09g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIWSFVKILYVKGETIPRGVNLGGCPKHFSDQLTNNPLVVPYHFDLFKTLGRRKPYSFFTYLSSRYSPTSLMAPFSSFSFLVVLLASIGCATACYTAIFGFGDSLTDAGNLIHLETDGSVPHMYFPPYGETFFGHPTGRCSDGRVILDIIAEHYGLAIPPPSLSRKAQTGGNNIRQGVNFAVVGSRALPAEFYEKLEIYDTVTNVSMRDQLHAFKDMIPSLCNSPSDCKNLLENSLFVLGEFGGNDYTHALLSGKDITVIRPFIPIVAHAIAEGAHKLIELGARTVMVPSVLPLGCAASYLTYYPSPNPEDYDDLGCLIWVNDMASYHNQLLQKELNLVRERHPYANIIYTDIFNAAMQIYDGPDTLGFSGGALRACCGGGGEYNFNPDLQCGDEGATSCPDPSTYVNWDGYHLTEAAYKLITKSLLDGTYTYPTQYYGLEIPPPSLSRKAQAGGNNIRQGVNFAVVGSRALPAEFYEKLDIYDTVTNVSMKDQLHAFQDMIPSLCNSPSDCKNLLENSLFVLGEFGGNDYTHSLLSGKDISVIRPFIPIVAHAIAEGAHKLIELGARTIMVPSVLPLGCAASYLTYYPSPNIEDYDDLGCLIWVNDMAAYHNQLLQKELSLLRERHPYANIIYTDIFNASMRIYDGPETLGFSGGALTACCGGGGPYNFNPNLQCGDVGATSCPDPSKFVNWDGYHLTETAYILITNSLLDGTYTYPRMDTLCPANAKVAQV >itb09g30860.t1 pep chromosome:ASM357664v1:9:31421368:31423253:-1 gene:itb09g30860 transcript:itb09g30860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKLFSSLVFISMYVTCTFAANAKYNIQSYGAKPDGKTDSTKAFLAAWAAACASTAPATIYVPAGRFLVGSVSFGGQTCKSNAISFQIDGTLAAPSDYNVIGKGDNWIKFERVTGVSIIGGTLDAQGAALWSCKNSGKSCPDGAATLAFYNSNNVVINGLSSKNSQKFHIRIDSCHNAKLQAVKISAPGNSPNTDGIHVQSSSGVTILNSHIGTGDDCISMGPGNSNLWIETIACGPGHGISIGSLGWEEQEAGVQNVTVKTVTFTGTENGLRIKTWARPSKGFVKNIVFQHAVMSDVQNPIIIDQNYCPNDQNCPHQGSGVKISNIKYQDIHGTSATEVAMRFDCSKTQPCNGITLDDVNLTYKDHPAQASCSNAGGTSSGLVKPASCL >itb12g03140.t1 pep chromosome:ASM357664v1:12:2087268:2088595:-1 gene:itb12g03140 transcript:itb12g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFGEQYGEMQGQGQMRRGDGHGIGNPMAMEHQTMTEGMHSGPHGTTPTAVVEGMHVGGPHGATPTAMEGMHQQPQLRRSGSSSSSSSEDDGEGGRRKKGTKGKMMREKLGGEGQEGEHHHPASAEHITSNEGGEEAGAGGEKKGMMEKIKEKIPGMH >itb13g11600.t1 pep chromosome:ASM357664v1:13:16261197:16262003:1 gene:itb13g11600 transcript:itb13g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRSVDLVFNPLGVPSRMNLGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKVVFSELYEASKQTANPWAFEPEYPGKSRIFDGRTGNPFEQPVLIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIIGGTIPNPEDAPESFRLLVRELRSLALELNHFLVSERNFQINRMEA >itb13g15240.t1 pep chromosome:ASM357664v1:13:22003206:22005818:1 gene:itb13g15240 transcript:itb13g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVAQYPQVSNISHVLELFRLVREKPSFINAKIVHALVIKLGALRHLPTVTSLLMIYSRGGSFSSSMALFSEVVDKDVIVSNAIMTACIENNLFEAVVNFFLEMVEVGNEFDSTSLVILLSALSNMKDLRRSMGVHALCVKAGTLSDSFLSNALLDVYAKCNDLYSSENVFREMEFKDTVSWNSIISGCLYNGHPMMSLLYFKQMVSISEQVDSVSLSCAIAASSCLEELTSGLAIHGLVIKLGYAERGHISVANSLISFYSQYGEVDAAKYVFEEMECKDVITWNSMINGLALNEEVIESFNHLHIMQFIESMKPEATTLVSIISLAADFMLLREGKAVHGYIIRRGMESSLSMMNSLLNMYLSGNNAKKAEHLLRTMPVKDLVTWNTMISGYSQNGCNREAQTLFKKLQGQHLSCSLPTLLGVLSSCDAPQFLQFGKSIHCWHVKLGFENNILAVNSLMYMYIHCGDLVASFELLGEISFTADVDSWNAVISGCTQNNHFGMALEAFNLMRQISRVNHDSITLVSAISACGYLQLVNEGKSIHGLALKTSSGKDIRVQNVLITMYARLADTMSASLVFNLCHDRNMCTWNCMISALAQNNKAKEALALFHSFEFEPDEISLATVLSICTQLGIIRHGKQIHGHVLRSGYFKNSVISSALVDMYSSCGRLDIALLVFEKSPEKSIAAWNSVIAAYGLHSNGRKAIEVFTELIESGMSPTKATFVNLLTACSHSGLVDQGCWYYDHMLTSFGVQPTTDHHVCIVDMLGRSGRLQEAYNFVEDMLSQPESGIWGALLSACNYHGDLKLGKKVADILFSLKPENVGYYVSLSNMYVATGSWKEAVELRDIVQNKKLAKPAAYSLIDVGLG >itb07g06610.t1 pep chromosome:ASM357664v1:7:4748176:4752398:-1 gene:itb07g06610 transcript:itb07g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMGMPKSALLLLLLLLIILPLSVFSAASSGEESFIGVNIGTDLSDMPSPSQVVALLKAQQIRHVRLFDTDRAMLLALANTGIRVTVSVPNDQILGIGQSNATAANWVSRNILTHIPATNITAIAVGSEVLTTLPNAAPILVSAMKFIHSALVAANLDSQIKVSTPHPFNIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTSSYLMLNVYPYYAYRLSNGAIPLDYALFRPLPPNKEAVDSNTLLHYTNVFDAIVDAAYFAMDDLNFTNIPVVVTESGWPSKGDSSEPDATLDNANTYNSNLIRHVLNSTGTPKHPGIAVSTYIYELYNEDQRPGAISEQNWGLFYPSGMPVYILHLTGSGTVLANDTTNQTYCVARDNADKKMLQAALDWACGPGKVDCSPLLQGNPCFEPNTVAGHASYAFDAYYHRMGMADGTCNFNGVGTITTSDPSRGSCTFPGSGGRNGTFTNGTSLAPSSNSTTSGCRSQYLYDSNALVSSLIVGLTLWCAAFL >itb11g12910.t1 pep chromosome:ASM357664v1:11:9864287:9865193:1 gene:itb11g12910 transcript:itb11g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVISKSLGLEKSSSIREVLGEHMAVNFYPPCPETTYGLPGHTDPNALTILLQDTQVSGIQVLKDGKWLAVKPHPDAFVIKLGDQLQALSNGKYKSVGLRAIVNNDDRARMVVTSFKMDNHSNSNITAMPPLEAHHQQPAMMHQYPLPPAYYHAPPYPAHDQATPPHQGSGVWSKVRKLGGRLAAGLVINEIVDQLDDFNI >itb10g04000.t2 pep chromosome:ASM357664v1:10:3765669:3773874:-1 gene:itb10g04000 transcript:itb10g04000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIVSEASLQTRLGSWWEGIPFFTSTVIIACGVVYLVCLLVGYDSFAEVCFLPLEVISRFQVYRIFTSIIFHGSLLHVLFNMLAFVPLGSELERIMGSIRLFYIVVLLATSNAVIHLLIALIVAYNPILSYPELMHQCAIGFSGVLFSMIVIETSLSGIQSRSVFGLFNVPAKWYALILLVVFQLLMPNVSLLGHLSGILSGFLYTYGFFNIIIPDTSRFSAIESSSWLSTCVRRPKFMMCTGSNVSGHLPTYSSQNTTSRLLDDNDSQRHLSAPSLGGQQKPTGRPAAAENTVAAATTRESRHEGHVPSDEDIQKLVAMGFETTQVEVAVAAADGDLNVAVEILMAQKE >itb10g04000.t3 pep chromosome:ASM357664v1:10:3765653:3773872:-1 gene:itb10g04000 transcript:itb10g04000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIVSEASLQTRLGSWWEGIPFFTSTVIIACGVVYLVCLLVGYDSFAEVCFLPLEVISRFQVYRIFTSIIFHGSLLHVLFNMLAFVPLGSELERIMGSIRLFYIVVLLATSNAVIHLLIALIVAYNPILSYPELMHQCAIGFSGVLFSMIVIETSLSGIQSRSVFGLFNVPAKWYALILLVVFQLLMPNVSLLGHLSGILSGFLYTYGFFNIIIPDTSRFSAIESSSWLSTCVRRPKFMMCTGSNVSGHLPTYSSQNTTSSGLLSGNMWRNFSSLLPQRENPPQSMQEDSRFPGAGRTLGSNPNRGSSIGNPDSNLMARLLDDNDSQRHLSAPSLGGQQKPTGRPAAAENTVAAATTRESRHETQVEVAVAAADGDLNVAVEILMAQKE >itb10g04000.t1 pep chromosome:ASM357664v1:10:3765653:3773880:-1 gene:itb10g04000 transcript:itb10g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIVSEASLQTRLGSWWEGIPFFTSTVIIACGVVYLVCLLVGYDSFAEVCFLPLEVISRFQVYRIFTSIIFHGSLLHVLFNMLAFVPLGSELERIMGSIRLFYIVVLLATSNAVIHLLIALIVAYNPILSYPELMHQCAIGFSGVLFSMIVIETSLSGIQSRSVFGLFNVPAKWYALILLVVFQLLMPNVSLLGHLSGILSGFLYTYGFFNIIIPDTSRFSAIESSSWLSTCVRRPKFMMCTGSNVSGHLPTYSSQNTTSSGLLSGNMWRNFSSLLPQRENPPQSMQEDSRFPGAGRTLGSNPNRGSSIGNPDSNLMARLLDDNDSQRHLSAPSLGGQQKPTGRPAAAENTVAAATTRESRHEGHVPSDEDIQKLVAMGFETTQVEVAVAAADGDLNVAVEILMAQKE >itb04g03860.t1 pep chromosome:ASM357664v1:4:2427876:2430095:-1 gene:itb04g03860 transcript:itb04g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFHFDDIIKVVQVDADGKKYDKVSRIDAKSQDGDADIVLDINSELYPVNKNDTYRMVLSHTLNLDGSAVGPKGNPKSLADKFEYIMHGLLYKVAEESSKVVVYISFGGLQLKLRSDPLKMHKFKLDQRLFLLLRKM >itb10g06540.t1 pep chromosome:ASM357664v1:10:7360666:7365357:-1 gene:itb10g06540 transcript:itb10g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQGRGREGFCFLKDQAFPRPFVSAAFNAPICLTFFLDTLLDLTSIQSLDLSCNSFSGPLPSSLTKLTGLVYLNLSLNGFTKKIPQGFELMNNLKVLDLHGNLLEGDLDLQLLLLPNATHIDLSGNLLVSSASLHQKFPPGLSETVKYINLSHNQLTGSLIGGVEEQAFGRLNVLDLSHNQLSGELPVPDFLYDLQVLRLANNRFSGVIPNNLLQKDSLLLKELDLSGNNLTGQIGTITSTTLSILNLSSNALSGELPLVIGSCAVLDLSNNHFEGDLTRTEKWVNIEFLDLSRNHLTGPIPETTAQLLRLNYLNLSQNALSGNLTSVITQVPKITVIDLSFNQLEGPLLTSILNLPTLKELYLHNNSFSGGIEFSPPSSESNLNVLDISNNRLSGYFPDGLESFTRLQVVDVSGNNFFGSLPTSIGDISNLTSLDVSRNNFSGPLPTNLPDSLQIFNASYNDFSGIVPENLRKFPRSSFFPGNLNLQLPNAPPGSGQAPMGNQRRKPLRPIIIVVIIVSSVLALAILILLGLFVYWICKFRTPQPHVIDMDIRRQTPLLPSGFSRREGAGGLVVSAGDLMTSQKGSASEIISPDKKMAPAVTGYSQSKATQLSWSSESGNLSKSDTRSPDQLPGDLYLIDDTISFTHEELSRAPAEVLGRSSHGTSYKATLDNGLVLSVKWLRGVTKPKKEFAKEAKRLASIRHPNVLGLRGYYWGPTQHEKLILSDYVSPGSLANCLYDRPGRKGAPLSWPQRLKIAVDVARGLNYLHFDRETPHGNLKANNILLDGPNLNARVSDYCLHRLIVHTGTGGKMEQIFDAGAVGYRAPELAASTKLPLPSFKSDVYTFGVILMELLTGKCAGDVVSGEHGGGLDLTDWVRMKVKEAPRASEWFDTAVLGEIMGNPAVEKQMKDVLGIALQCIQPVSGRPGIKNVYEDLSSI >itb10g06540.t3 pep chromosome:ASM357664v1:10:7360666:7365357:-1 gene:itb10g06540 transcript:itb10g06540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIIRLVTFSIYFGFALGQFISQDVSVLLEFKKWIKYDPTGYVLQSWNENSTDSNACPASWNGIKCNGGNVAGVILNNLGLSADVDLSVFANLTMLVKLSIANNAISGKFPSNIADFKSLELFDVSNNLFTSTLPPEVGKSASLRNLSLAGNHFSGVVPDTLLDLTSIQSLDLSCNSFSGPLPSSLTKLTGLVYLNLSLNGFTKKIPQGFELMNNLKVLDLHGNLLEGDLDLQLLLLPNATHIDLSGNLLVSSASLHQKFPPGLSETVKYINLSHNQLTGSLIGGVEEQAFGRLNVLDLSHNQLSGELPVPDFLYDLQVLRLANNRFSGVIPNNLLQKDSLLLKELDLSGNNLTGQIGTITSTTLSILNLSSNALSGELPLVIGSCAVLDLSNNHFEGDLTRTEKWVNIEFLDLSRNHLTGPIPETTAQLLRLNYLNLSQNALSGNLTSVITQVPKITVIDLSFNQLEGPLLTSILNLPTLKELYLHNNSFSGGIEFSPPSSESNLNVLDISNNRLSGYFPDGLESFTRLQVVDVSGNNFFGSLPTSIGDISNLTSLDVSRNNFSGPLPTNLPDSLQIFNASYNDFSGIVPENLRKFPRSSFFPGNLNLQLPNAPPGSGQAPMGNQRRKPLRPIIIVVIIVSSVLALAILILLGLFVYWICKFRTPQPHVIDMDIRRQTPLLPSGFSRREGAGGLVVSAGDLMTSQKGSASEIISPDKKMAPAVTGYSQSKATQLSWSSESGNLSKSDTRSPDQLPGDLYLIDDTISFTHEELSRAPAEVLGRSSHGTSYKATLDNGLVLSVKWLRGVTKPKKEFAKEAKRLASIRHPNVLGLRGYYWGPTQHEKLILSDYVSPGSLANCLYDRPGRKGAPLSWPQRLKIAVDVARGLNYLHFDRETPHGNLKANNILLDGPNLNARVSDYCLHRLIVHTGTGGKMEQIFDAGAVGYRAPELAASTKLPLPSFKSDVYTFGVILMELLTGKCAGDVVSGEHGGGLDLTDWVRMKVKEAPRASEWFDTAVLGEIMGNPAVEKQMKDVLGIALQCIQPVSGRPGIKNVYEDLSSI >itb10g06540.t2 pep chromosome:ASM357664v1:10:7360666:7365357:-1 gene:itb10g06540 transcript:itb10g06540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIIRLVTFSIYFGFALGQFISQDVSVLLEFKKWIKYDPTGYVLQSWNENSTDSNACPASWNGIKCNGGNVAGVILNNLGLSADVDLSVFANLTMLVKLSIANNAISGKFPSNIADFKSLELFDVSNNLFTSTLPPEVGKSASLRNLSLAGNHFSGVVPDTLLDLTSIQSLDLSCNSFSGPLPSSLTKLTGLVYLNLSLNGFTKKIPQGFELMNNLKVLDLHGNLLEGDLDLQLLLLPNATHIDLSGNLLVSSASLHQKFPPGLSETVKYINLSHNQLTGSLIGGVEEQAFGRLNVLDLSHNQLSGELPVPDFLYDLQVLRLANNRFSGVIPNNLLQKDSLLLKELDLSGNNLTGQIGTITSTTLSILNLSSNALSGELPLVIGSCAVLDLSNNHFEGDLTRTEKWVNIEFLDLSRNHLTGPIPETTAQLLRLNYLNLSQNALSGNLTSVITQVPKITVIDLSFNQLEGPLLTSILNLPTLKELYLHNNSFSGGIEFSPPSSESNLNVLDISNNRLSGYFPDGLESFTRLQVVDVSGNNFFGSLPTSIGDISNLTSLDVSRNNFSGPLPTNLPDSLQIFNASYNDFSGIVPENLRKFPRSSFFPGNLNLQLPNAPPGSGQAPMGNQRRKPLRPIIIVVIIVSSVLALAILILLGLFVYWICKFRTPQPHVIDMDIRRQTPLLPSGFSRREGAGGLVVSAGDLMTSQKGSASEIISPDKKMAPAVTGYSQSKATQLSWSSESGNLSKSDTRSPDQLPGDLYLIDDTISFTHEELSRAPAEVLGRSSHGTSYKATLDNGLVLSVKWLRGVTKPKKEFAKEAKRLASIRHPNVLGLRGYYWGPTQHEKLILSDYVSPGSLANCLYDRPGRKGAPLSWPQRLKIAVDVARGLNYLHFDRETPHGNLKANNILLDGPNLNARVSDYCLHRLIVHTGTGGKMEQIFDAGAVGYRAPELAASTKLPLPSFKSDVYTFGVILMELLTGKCAGDVVSGEHGGGLDLTDWVRMKVKEAPRASEWFDTAVLGEIMGNPAVEKQMKDVLGIALQCIQPVSGRPGIKNVYEDLSSI >itb04g28400.t1 pep chromosome:ASM357664v1:4:32151450:32156373:1 gene:itb04g28400 transcript:itb04g28400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIESAGCVYRDPNAPVEDRVKDLLSRMNLKEKIGQMIQIDRAVATPSAITDYFLGSILSGGGSKPSDNAASEEWADMIDGFQKAALETRLGIPIIYGIDAIHGNNNVYGATVFPHFIGLGATRDADLVKRIGVVTALEVRASGAQYDFAPCVAVSKDPRWGRCYESFSEDTEVVRKLSCLVTGLQGQPPEGHPSGYPYVGGRNNVAACAKHFVGDGGTLKGINEGNTTISYDDLINVHMAPYLDCLAQGVCTVMASYSSWNGKKMHTNYHFLTEILKNKFGFKGFVITDWEGIDRISDPPGSNYRECVMLGINAGIDMVMVPFKFEILWNDLLSLAESGEIPMARIDDAVERILRVKFITGVFDHPFTDRSLLDMVGCKAHRELAREAVRKSLVLLKNGKDSEKPFLPLDRNAKKILVAGTHADDLGYQCGGWTSTWLGTGGRITIGTTILDALKEVMGDKTEIIFEPTPSEETFSGQDFSYAIVAVGETPYAETAGDDPELKIPLNGSDIVRLVADKVPTVMILISGRPMVIEPTLLDKIESLVAAWLPGTEGRGITDLLFGDYPFHGKLPVTWFKSIDQLPMHAQKNSSDPLFPFGFGLTTTK >itb13g11500.t1 pep chromosome:ASM357664v1:13:16220605:16220973:1 gene:itb13g11500 transcript:itb13g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYQDRMKSQRANRCFTTNHKFRVGRSIHTGNYDQRFLSQPPSTSSIPTETETLFTYKNSVSSHELVNSAGFFGTK >itb10g19740.t1 pep chromosome:ASM357664v1:10:25476951:25478206:1 gene:itb10g19740 transcript:itb10g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRHWPSMFKSKPCNTLHPWHRHRDILNSSLKPPSTPPSGGGSEERSAPEPKARWNPKPEQIRILEAIFNSGMVNPPREEIRNIRAKLQEYGQVGDANVFYWFQNRKSRTKHKLRHVQTSTKSPQQNPNPPLIVGGPSPSSSSSSSEKSSPNDSANHNSFFHARNELLTEPPPSIHQTSTGFASSDGGAFTQSLCFSTVSDQTTVENSPNLLLTELMGFAAAVQPPTTCGGETGPAKSTVFINDLAFEVAAAHFNVKAAFGDDAVLIHYSSGQPLLTNEWGLTLDPLQDGAYYYLVRT >itb03g26270.t1 pep chromosome:ASM357664v1:3:25795314:25804030:1 gene:itb03g26270 transcript:itb03g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAGGGGDGGGGGGGGGGGRQQQQQQPGMGQMLTGVIRMAIFWYFASKFFSPKRAPSDPSVQISNLFHKGEPLDMWLYLSEQEKFNDFGSETALFWHETNIPYAVWGHESSRSLSLKYFPSEALKHNGSLYAHVFFARSGYSPDPSDPEYQPLAAFGRTHPVVTYLPKSKADKKKSLLGNAEDSKDESDVPKVVEEDMADSKEDVPVEWVSYWKPNVTISLVDDFTRYTQNAVPPNIAPYLNIEPTTGNYFPTVFFNEFWLLKDKLIPINDTVTELPLHLEVSPISMTKWQLFLQIDQSFQIHRSYGSMLEGESDELKRVFLEGNPYLLAITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVIVNFICQLIVFLYLLDNETSWMILASSGIGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAGNKTKEYDDLAMKYLSYLLFFLVVCFSIYSLMYDRHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWIYPVDRKRVNEFGFGGEDVDQASSSTDATIQASSSTDATIQNEDEKKTN >itb06g18550.t1 pep chromosome:ASM357664v1:6:22185848:22191325:1 gene:itb06g18550 transcript:itb06g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMVVHGLAPPHMRPPVHDFRRGPFAKTTNVTTSSNSVIQLHHSQFHFNSQKRLFIGPSSDSGCSSSFALHSNVSSPTARGCTVLFATPEVDAVSSNETATREWAMQDFYILRKDVEVVSQRVEEIRSAAGLQQLEGDIAALEAAAADTALWDDRAKAQQTLQALTDAKEKLKLLNDFMTQVGDAETIINLTEEMDSIDTGLLEEAAGIIKELNKALDLFELTQLLSGPYDKEGAVITITAGAGGTDAQDWADMLLRMYVRWAEKQRYRTKVVERSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEDSTDVEIPDEDLEISFTRAGGKGGQNVNKVETAVRITHIPTGVAVRCTEERTQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGYETSDITSVMDGELDPFIRSFLKYKYSMSMSSGGE >itb05g22970.t1 pep chromosome:ASM357664v1:5:28224874:28225215:1 gene:itb05g22970 transcript:itb05g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVKNCFLFSMMITLCLANINSSSAARRLLQIPGAPPLPTIPSLPQMPSIPNMPTTTLPPLPAFNLPNMPLPTLPSAPKLTLPPLPANIPLPTSFPNFPAAFPTLSPPPSN >itb13g21570.t2 pep chromosome:ASM357664v1:13:28148525:28155754:-1 gene:itb13g21570 transcript:itb13g21570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIVNPGSEGFQKLFFGQEEIAIPVHSAIDAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYAKANNKVVIGPATVGGIQAGAFRIGDTAGTIDNIIQCKLYRPGSVGFVSKSFLKKRFYCMLNHGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALQDAGAVVPTSYEAFEGAIKETFAKLVEAGKITPVKEVTPPQIPEDLSTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >itb13g21570.t1 pep chromosome:ASM357664v1:13:28148309:28155850:-1 gene:itb13g21570 transcript:itb13g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIVNPGSEGFQKLFFGQEEIAIPVHSAIDAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYAKANNKVVIGPATVGGIQAGAFRIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALQDAGAVVPTSYEAFEGAIKETFAKLVEAGKITPVKEVTPPQIPEDLSTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >itb13g21570.t3 pep chromosome:ASM357664v1:13:28148525:28154604:-1 gene:itb13g21570 transcript:itb13g21570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKQPTIRVVAIIAEGVPESDTKQLIAYAKANNKVVIGPATVGGIQAGAFRIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALQDAGAVVPTSYEAFEGAIKETFAKLVEAGKITPVKEVTPPQIPEDLSTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >itb13g21570.t4 pep chromosome:ASM357664v1:13:28148525:28151774:-1 gene:itb13g21570 transcript:itb13g21570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALQDAGAVVPTSYEAFEGAIKETFAKLVEAGKITPVKEVTPPQIPEDLSTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >itb04g22280.t1 pep chromosome:ASM357664v1:4:27451467:27455378:-1 gene:itb04g22280 transcript:itb04g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSKLLGTSLRGFSSQAGMPIAKKYFEADGTLRKKVFAPYTIYKGKASLSVEPRLPTFSKLNSGALKVERKGSIMLTFLPAVGERKYDWEKKQMFALSATEVGTLLNLGPSDSCEFFHDPSMLQSNAGEVRKTLSVKALGDGSGYFMSLSVVNNAHKTNDRLTVPVTGAEFSVMRTAFNFALPHIMGWDQYTNLQQTNTSELPSGNVAQFAKSEWER >itb15g10500.t1 pep chromosome:ASM357664v1:15:8264465:8269490:1 gene:itb15g10500 transcript:itb15g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGKGRELDQTPTWAVALVCLVIVVISLMLEKILHKIGETLERKKKKALVEALEKIKGELMVLGFISLLLTFGQSYIAKICIPEAAGNTMLPCPAHVEHLEHHPPGHDPSGEHHASGEHHPSAEHHAEPEHHPEPEHHEPEHHEPEHHEPEHPPPENEHKKPAEAAAEHHRRLLWSMRRVLSGHATEECKAGFIPLISLNGLHQLHIFIFFLAVFHVIYGAITMALGRMKIRGWKEWEMATAEDEAANDPSRYRLTKETSFVKENTSFMTQTPVLFYSACFFRQFFRSVRRADYLAMRHGFISVHLAPGSKFDFQKYIKRSLEDDFKVVVGINLMLWCAAVLYLLLNVHGWHAMIWLSMMPLVTILSVGTKLQAIIGQMAIEIQERHAVVQGIPLVQVSDRHFWFSKPKLVLHLIHLTLFQNAFEITYFVWISYEFGLHSCFHSNFYLAVLRVCLGFGVQFMCSYITLPLYALVTQMGSTMKRSIFDEQTSKALMNWHKKAKHQAAVPKPGGMKTTTLGGGNPDDSPEGSPVHPASAGNSADPAQMANIVASVDIPGDSASADDGKK >itb05g14580.t4 pep chromosome:ASM357664v1:5:21688173:21691198:-1 gene:itb05g14580 transcript:itb05g14580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRLTAFSPRFLSSSSKLSHLLQVPAANGLKSWQGFCTEIHNQAEGGICSRKTTPFIAFVLGGPGSGKGTQCHRIVDNFGFTHISAGELLRKEMDSNSEYSAMIQKIMKEGAIAPSEVTVKLLRKAIESGENDRFLIDGFPRSEENRIAYEKIFGAEPNCVLFFDCPEDVMIKRVLNRNEGRLDDNEETVRARLKTFRAVSFPVIEYYAKKGKLYKVMVWLVNDVVKLGDMHIYIPYIVCSSLVQVNMFLNPLSPKNHFI >itb05g14580.t3 pep chromosome:ASM357664v1:5:21688173:21691198:-1 gene:itb05g14580 transcript:itb05g14580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRLTAFSPRFLSSSSKLSHLLQVPAANGLKSWQGFCTEIHNQAEGGICSRKTTPFIAFVLGGPGSGKGTQCHRIVDNFGFTHISAGELLRKEMDSNSEYSAMIQKIMKEGAIAPSEVTVKLLRKAIESGENDRFLIDGFPRSEENRIAYEKIFGAEPNCVLFFDCPEDVMIKRVLNRNEVFFLHGLSNGRASLSCSLSRNEK >itb05g14580.t2 pep chromosome:ASM357664v1:5:21688173:21691198:-1 gene:itb05g14580 transcript:itb05g14580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRLTAFSPRFLSSSSKLSHLLQVPAANGLKSWQGFCTEIHNQAEGGICSRKTTPFIAFVLGGPGSGKGTQCHRIVDNFGFTHISAGELLRKEMDSNSEYSAMIQKIMKEGAIAPSEVTVKLLRKAIESGENDRFLIDGFPRSEENRIAYEKIGRLDDNEETVRARLKTFRAVSFPVIEYYAKKGKLYKIDGTGTEDEIFEQVYPIFSAFR >itb05g14580.t1 pep chromosome:ASM357664v1:5:21688173:21691198:-1 gene:itb05g14580 transcript:itb05g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRLTAFSPRFLSSSSKLSHLLQVPAANGLKSWQGFCTEIHNQAEGGICSRKTTPFIAFVLGGPGSGKGTQCHRIVDNFGFTHISAGELLRKEMDSNSEYSAMIQKIMKEGAIAPSEVTVKLLRKAIESGENDRFLIDGFPRSEENRIAYEKIFGAEPNCVLFFDCPEDVMIKRVLNRNEGRLDDNEETVRARLKTFRAVSFPVIEYYAKKGKLYKIDGTGTEDEIFEQVYPIFSAFR >itb04g01260.t1 pep chromosome:ASM357664v1:4:712062:714858:1 gene:itb04g01260 transcript:itb04g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRILPMLNILDDEECSRILSLSYNHLLHNLKACFLYLGVFPEDHEINANELAKLWLAEGLVKAFENESFDAVANRLDEGGEYLKFPQLQDIDCASPFCGNPPNFVHKVGRIRDDDCSKEWLTNIPACLKKVHIKCKGSKINACIANLAYLEQLEGLKIFYTRSTHNRFYNSIVLLKNLRKLTLYEVGFACDEKIDILSKLPRLEVLKLRGKPFVGKEWEIQEEVIFCQLIALVIHDCDLKHWNASSQNFPKLEHLYIESCGELREIPIGFAEISTLKLIELLRCLPSAVRSATKIQDEQRDYGNNDMIVIEIETLDGYGNYDMDVIEQETLDRLEYERGLSEEESDVDSEEESDVDSDQEPNSI >itb04g01260.t2 pep chromosome:ASM357664v1:4:712062:714858:1 gene:itb04g01260 transcript:itb04g01260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRILPMLNILDDEECSRILSLSYNHLLHNLKACFLYLGVFPEDHEINANELAKLWLAEGLVKAFENESFDAVANRYMQELIDRNLIILSKLSSSGRKIKRFRMHDLLHAFCMREAQNENLLHVVESENSSYFPQKGFRWVSIQYVDFDVSTIHHFTWKSYRSFFSFVWRELYPDFRNVNLLRVLFFYHRHAMFKNDMNPVHLRFLKVENSIELSKTHGFLESSCRESVELSRSWNLQTLCSNRRVSYRLDEGGEYLKFPQLQDIDCASPFCGNPPNFVHKVGRIRDDDCSKEWLTNIPACLKKVHIKCKGSKINACIANLAYLEQLEGLKIFYTRSTHNRFYNSIVLLKNLRKLTLYEVGFACDEKIDILSKLPRLEVLKLRGKPFVGKEWEIQEEVIFCQLIALVIHDCDLKHWNASSQNFPKLEHLYIESCGELREIPIGFAEISTLKLIELLRCLPSAVRSATKIQDEQRDYGNNDMIVIEIETLDGYGNYDMDVIEQETLDRLEYERGLSEEESDVDSEEESDVDSDQEPNSI >itb08g00210.t1 pep chromosome:ASM357664v1:8:194137:195237:-1 gene:itb08g00210 transcript:itb08g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWQNLCKYFNNGALGEPIQKLGRGWYHTHQYSLELIFHSLILNHPCRVYNHKSLLRALLIYGRLDILRCHFKNNVSYASSQRLSGVGASEMARISARLVQEIRERSSWHVNDIGVPHPTYFHPQSDHDIISWQQKTISSTRRSLVSFAGAARPGSPENIRLILIKQCTGSHENCRFLDCRSGGCDQPESIINLFMESEFCMQPPGDSPTRKSVFDSLVAGCIPVLFDPLTAYYQYPWHLPQDHQKYSVLIDQADVRDMKVNVVERLAEIGVKERENMRRYIVYELLPGLLYRHPNSKLHNFKDAFSIAMNNLFERVNRLDG >itb08g01810.t1 pep chromosome:ASM357664v1:8:1441359:1445385:1 gene:itb08g01810 transcript:itb08g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDSSLNCHLPHHPQAQFRGGEGAARAMMMSAPPPPQNYAMAGNNGGVGGHGGGVMIQQQQQGRVFPFNSMAGPGGAVVGPPDCSGGSAASPRASGGFGIEAAPAKKRRGRPRKYSPDGNIALGLSPSPVTPVSDSGVAPAAIVAAVAPPLDAVAKKARGRPPGSVKKQLDALGSAGVGFTPHVITVHSGEDIAQKIMAFSQQGPRTVCILSANGSISNVTLRQPATCGGTLTLEGRYEIISLSGSFLLSESNGNRIRSGGLSVSLAGADGKVVGGGVSGMLTAATPVQVVVGSFIADGRRPKPRQSPMPTPIPQPQSSMLNFGGPTAAGLGASSPLSEGPSGESEEENNSRSTPLPHPHHGPLAYNNAGQAVQPISMYGNMGGWPNASSKMLP >itb09g08850.t3 pep chromosome:ASM357664v1:9:5281827:5284120:1 gene:itb09g08850 transcript:itb09g08850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYFVQRRLFNFVEKAVVEDVIPILMVAYHCELSQLLEYCVQRVTRSDLDNFTLEKELPPDVFSDIKANRLKFQQNLELDTVQVDSLKEKRIRRILRALDSDDVELVKLLLEESMVTLDDAYALHYAAAYCNPKLVNEVLELGMADLNLPNARGYTALHIAARRKDPSIILGLLNNGASVADLAPNGQGPVAICRRLTRPKDYNVPLKQGIETNKDRLCIDVLEREMRGNPMVGNMSLSSSTVADELFMGLYFLENRVALARTLFPREAKLAMEQAHADSTSEFAGLSSTNGLCGNLRGVDLNELPSDQVKRLKERLLALQNTVRNGRRFFPNCSEVLDRLLEDDVLDSLMLESGTLEEQRTKKMRYIELKEEVKKAFVKDKAEHNWSSISPPSSSSTSAKNNATTKLTSRVRKR >itb09g08850.t1 pep chromosome:ASM357664v1:9:5280395:5284120:1 gene:itb09g08850 transcript:itb09g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNEFSSPLSFTSSSCVSNGSSSPREAASNLELLSLSKLSSGLEKLLIDAEFDYSDAEIEVEGVCVGVNRCILAARSQFFHEKFKTGNDGSGKEGKPKFLMTELLPHGWVGYEAFKVFLNYLYTGKLKGSPPEVSTCVDEACVHCACFPAINYAVELMYASSTFQMKELKMLVQRRLFNFVEKAVVEDVIPILMVAYHCELSQLLEYCVQRVTRSDLDNFTLEKELPPDVFSDIKANRLKFQQNLELDTVQVDSLKEKRIRRILRALDSDDVELVKLLLEESMVTLDDAYALHYAAAYCNPKLVNEVLELGMADLNLPNARGYTALHIAARRKDPSIILGLLNNGASVADLAPNGQGPVAICRRLTRPKDYNVPLKQGIETNKDRLCIDVLEREMRGNPMVGNMSLSSSTVADELFMGLYFLENRVALARTLFPREAKLAMEQAHADSTSEFAGLSSTNGLCGNLRGVDLNELPSDQVKRLKERLLALQNTVRNGRRFFPNCSEVLDRLLEDDVLDSLMLESGTLEEQRTKKMRYIELKEEVKKAFVKDKAEHNWSSISPPSSSSTSAKNNATTKLTSRVRKR >itb09g08850.t2 pep chromosome:ASM357664v1:9:5280395:5284120:1 gene:itb09g08850 transcript:itb09g08850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNEFSSPLSFTSSSCVSNGSSSPREAASNLELLSLSKLSSGLEKLLIDAEFDYSDAEIEVEGVCVGVNRCILAARSQFFHEKFKTGNDGSGKEGKPKFLMTELLPHGWVGYEAFKVFLNYLYTGKLKGSPPEVSTCVDEACVHCACFPAINYAVELMYASSTFQMKELKMLVQRRLFNFVEKAVVEDVIPILMVAYHCELSQLLEYCVQRVTRSDLDNFTLEKELPPDVFSDIKANRLKFQQNLELDTVQVDSLKEKRIRRILRALDSDDVELVKLLLEESMVTLDDAYALHYAAAYCNPKLVNEVLELGMADLNLPNARGYTALHIAARRKDPSIILGLLNNGASVADLAPNGQGPVAICRRLTRPKDYNVPLKQGIETNKDRLCIDVLEREMRGNPMVGNMSLSSSTVADELFMGLYFLENRVALARTLFPREAKLAMEQAHADSTSEFAGLSSTNGLCGNLRGVDLNELPSDQVKRLKERLLALQNTVRNGRRFFPNCSEVLDRLLEDDVLDSLMLESGTLEEQRTKKMRYIELKEEVKKAFVKDKAEHNWSSISPPSSSSTSAKNNATTKLTSRVRKR >itb13g25010.t1 pep chromosome:ASM357664v1:13:30509137:30512070:1 gene:itb13g25010 transcript:itb13g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding YPKVKVRDQQEEDDQYAYGLGSLPSLKAFDAISISDLSSPDDSPTSVVRIPRSYISNSAKPASPVSTGATNNKSQKTSSEGTTKPRSSSVPRPRAVLSSPDNDQRIGVSNKPRAKLLPGLKSQNTCQSRHTQCKIIPRMAKAESPLNAIEFKDSDQSKIIPRMTIGESRPINAKEFKDSVHGETGLQVKGRLARTELNQKTHIRKGPGSARSKALGNSKVAE >itb15g20130.t1 pep chromosome:ASM357664v1:15:22644643:22645531:1 gene:itb15g20130 transcript:itb15g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKNVTLLFDFWKTDSWTSYTLTLLACLIFAVFYQYMEERRQRFKLISSLSAKKGVPPPSSQASPIDAPLLYSLPSVGGWRYAARFAGAVLFGVNSAIGYMLMLAIMSFNAGVLIAVVVGLSVGYLLFRSGGEEDVVVVDNPCACA >itb15g07490.t1 pep chromosome:ASM357664v1:15:5135812:5139545:-1 gene:itb15g07490 transcript:itb15g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTASPGSGSSDGEPGAENRPLGEDGVAETESTKEADAVENDGFGSQPPASAAGGLSPERQENGPTLPPPENMVREEGFALREWRRENAIRLEEKEKREKEIVKEIIEEADEYKAEYYRKWKLRCENSITSNREKEKLFLMSRDNFHAEAGKNYWKAIAELIPNEVPTIEKKGKKTPVVVVIQGPKPGKPTELSRMRQILVKLKHAPPPHMKSSPNQPSEPGKETKPGVTAAAHPAKPAAPSPPDAS >itb12g17080.t1 pep chromosome:ASM357664v1:12:18945804:18949435:1 gene:itb12g17080 transcript:itb12g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSALLGILQKPTIGDVIIEMMLFMAPIWIAIVVGVLVGWSWRPSWANLSGVKDCFDSTLSSSSKTFSSRISLQNVLTGLTSMKSQLPSCVSSNSEAETSAPIPHPCSLQPKSEKSVLVDDNDLHQLSQLVDENDGGPAWIQMMDRSAPNMSYQAWRRDLQNGLPQYRSRSVYEDARPEMVRDFFWDDEFRLKWDDMLIHAETLEECPATGTMMVQWVRKFPFFCSDREYIIGRRIWKSGQAYYCVTKGVPCSSVPRSNKPRRVDVYYSSWCIRPVESKIDGRLTACEVLLFHNEDMGIPWEIAKLGVRQGMWGAVKKIDPALRTYQKHRASEAPLSRSASMAQINTQFSVDKLRSLEGEKSLAEVENLDAPQKPAAGRNVPKLLLVGGAIALACSLDRGLLTKAVIFGVGRRFAGIGKRC >itb09g25300.t1 pep chromosome:ASM357664v1:9:25291104:25295289:1 gene:itb09g25300 transcript:itb09g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESDMPAAAKRLEWRVNMPDGTSRVLVSSSSRRRWKVCDIVWGFVVRIWSFIDKAWQLGKKEPRKVIHGFKVGLALSLVSLFYYLRPLYDDVGGNAMWAVMTVVVVFEYTVGATLSKCVNRTLATFLAGSLGVGVHWIANQSGQKFQPIILQASVFLLAAAATFSRFIPSIKARFDYGCTIFILTFSLVSVSGYRVDKLLDLAQQRLSTIALGTSICIFIAMLLCPVWAGDDLHRLITNNLEKLADSLEGCISEYLKPDGDDDKDDNASEKKLLAYKCVLNTKASEDSMANFAVWEPGHGRFNFRHPWKQYLKIGTSMRACAYCIETLHGSINSSTQVQSAEYILEPVSEECRRVCSTSAKVLRDLAKLMQSTTKSSIQDILVHDMNSAVEALQLAFKTLPSQSLTTTQVEAQKPSPDHMKQETKSSDDLVHLMEIAQLSTVASLLVEIAARIEGVVKEVNHLANLAGFRAQSNKKMAKQSQTQHKEQNVQIKPTNKDCTQL >itb03g22650.t1 pep chromosome:ASM357664v1:3:20691528:20694089:-1 gene:itb03g22650 transcript:itb03g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGLISLIRTVELEFLEPRPRLVVDYNDEIQCLHQRLSVLLTLLEESEKKLNVSEAERDVIARVKEISFRAEDEIESEVMEILSNGASHETLHQILQRLAQDTEGLIQQRRNTTQILSTSSYNPQSNQEHIIPEGASKLDGAMVGHAQELERTKEMLLQRSTQERQVISIVGMGGIGKTTMAKKIYDNSLIRSRFDLLGWATVSQHYDLRRILHDLCRSVIQMTDEEISKVESSAELADKVRRRLMGQRYFLVVDDIWDSGGWDDLQRCFPDESNGSRILLTTRLKEVADYSGCSGKYVCNLPFLNSNESWKLFSQKLGSIILPPEVQEIGRRIVNKCRGLPLAIAVAAGLLSKTKKSIENWQSIALAMNLPMTSDLHDQCSTILTLSYNNLPYHLKACFLYFGVFPKIRSLEEGAMDYLQDLIDRNLVLISKLSFIGNIKTCKMHDLLFDLCLREVEKDKFVSAFRQRSENVVELGDTEPLFEYANRWLTFQSETIQPKFRDNYNFHKSRTLLFFYGASGSSGLPVSWRQNMSFKMIRVLDIRDISFKESPTFDISDMILLRYLALGKIKHVRVLKHHHSLQTLIVKFPNPCRIEELDRDEEIGSKWLQGLWKSQNLRFIEYPYPFPIDTDEVPVQHSLHTLSWVPYLRCTKEFVLKIPNVKVLGIRCGETYGYQTETWWDNLHYLTKLEKLIVDDYESEPFELQSINSFPQSLKELKIMGTQLSWEFITVVSMLPNLESLKLRQAFNMGEEWETRDGGFPKLKFLLITEPKLKNWKTMSDHFPVLQRLALHHCHDLEKIPEGFAGITTLQLIELRDCCPSLVESAKDIQEEQMLYGNDQLVVRYYETAS >itb03g13750.t1 pep chromosome:ASM357664v1:3:13756147:13759501:1 gene:itb03g13750 transcript:itb03g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASGKDSATGPYPRTLVSILISVGGLGVFLLLASSFLTSGPIASAVRGHYYGVDNSRKNSLVREDAGACVDPPRNVTGIDSQAKDSKLREFSSKSVEKEPVADGQLDGKKDATQLNNSECDLYSGKWIYDSTGPLYTNNSCPIITQMQNCQGNGRPDKDYENWRWKPTQCDLPRFNPKQFLELMRGKTLAYVGDSVARNQMESMLCILWQYETPKNRGNKKMQRYYFKSTSTMIVRIWSSWLVNQTSEPFDYAPAGVTKLHLDVPDEGFMEHIPQFDIVVLSSGHWFAKQSVYILNNEIVGGQLWWPDKSKPMKINNIEAFGISVETALTAMVAHPNYRGLTIVRSFSPDHYEGGAWNTGGSCTGKVKPAVDSELAENGFTNIMHEKQMAGFSRAIKKKTNKSEVKFMDITRVFGYRHDGHPGPYRSLDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEMVFETIRREFQGPQTTPS >itb07g19910.t1 pep chromosome:ASM357664v1:7:24338337:24344289:-1 gene:itb07g19910 transcript:itb07g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEELYRAYRALAERYDHATGVIRQAQKTMAEAFPNQVPLNFIDDSPASIASGTDPHTPDQLQMAYSFDVGRNGAFTEDFDSDSVTKGNGLNPNQDLFGCGGHGEEQSLHSNVGNTLEAVEAYSDLEEAGESGELSELKSQGSRAQELGEQAGKAEAEIQALKVAISKMEAEKEASLQQYSECLDRISALEKALCRAREDSAALGERANKAELDVQSIEMIAKLENKLQNAEEDAIKLNERVKRAETEVELLKQSMPKLREEKEAAALKYQKCLETISSLEGKLSSAQEETQLLNAQIGNGGAKLKGAEERCLVLETSNQSLHSELEALTLKMDSQNQQLTEKNKDFGRLWACVQEERLRFVEVEIAFQTLQDVHGQAQEELRSLASELQNRVQLLKDLQTDNQRLNDEVMKRQEENKNLIELNLSSSMSIRNIQDEILSLRETKGRLEKEVELQVDQRNALQQEISCLREGMNDLNKKHLSILEEVHALGLDPECFGSSVKELQDENSNLKETCKRENGEKEVLLEKLKLFEQLLEKNSILENFLSDTSAEMEAVREKLKALEFSCQSLLVEKSTLLDERGALVGQLQLTTENLEKLSAKNAFLENSLSDAHDEVQSLKVKSKGLEDSYRILMNQKEDLSGKMESLIAELQTTQLRLHNLEKSYADIEDQTYLHGRIESIKESLCKTEEENQQLAVQILVLVTLLEKLKLEAENLHTEKSIIHQELRIRSHQFSILHSEAVELREMNEEMKAKLMEKESKENLLELQIENLSSKLMTLQVAYDALEREHLKLHEDKRSLSDEFSFWEEKRHISEQENYVICGELLSLDTLSLVFKNYMKENSLQIKTLISDVNKLHEVHGETTEKLSSIKRVLEKVKIENLSLIKTLQKVEDELNTARIVNDQLNHDIEIGKNLLFENELKLQEIRKKLSLTENERLELYESLEILRREHNDAKRTTVLQEQQIEALSAECEHLSTENGNLREAKLFLDNELHHLCEENGNTKKQKEALHSELLKQTNEIHRLESEMEKLFRELYMYMCYYVLYEQKVSELMARHERFEAESTSNDFKLLRERLSILDVESNTHKTQLAACCAAIVSLSKCISSLEKHIPGKLQEPDNEANLVANFHFGNNPRENESSISTDVVSDLQGLEARVEAIKKALIEMKQLEEEKNVRMHSKLQVAKKQIEELKSKNHDHRKNSKLAFGVLETENGMLTKDIMLDQISDWSPYSTGKRESVEADNHTLEVWDTADWNTSIGLTVCKARLAIADPPITGKNSNSRRKSVRKLKSDRLGSDEELGVDKLEVSKRSMDLQERNRRKVLERLSSDVQKLMNLQITVQDLKSKLESTEKSRRGKTVVECETLKGQLNDAMGAMNKLIDLSGKLMKNLEGGSSSSAVELEENQSVGRRRISEQARRVSEKIGVLQVEVQKVQFALLKLDDERDCTGKTGMSEEKRRVLLRDYLYGVRTRERRKKARCCACCVQPATQGD >itb14g17510.t1 pep chromosome:ASM357664v1:14:20740744:20741301:-1 gene:itb14g17510 transcript:itb14g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLDPKARELSRVGFNDPIKSTAFEESSSSLTGNRLHSTSLFRRKPRLAGELSKRLGYPGSVRFQIKLETFCKSGSRYFWDLVNFSPFREAFFVVSLNWKSV >itb01g21020.t1 pep chromosome:ASM357664v1:1:27185344:27185604:-1 gene:itb01g21020 transcript:itb01g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIGDMDPVLVDKKLKTICSTKVVHVGPAEAEVTTANNGGQGGIGVQQAPAAVTTVIPPLQPDNPYFNYYQNLYYPPSAPPWPFY >itb01g06600.t1 pep chromosome:ASM357664v1:1:4804419:4805443:1 gene:itb01g06600 transcript:itb01g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISAERWRVLSGSDNWEGLLEPLDSDLRSYLIHYGAMIAPCGDAFINEPASKNIGLPRYARKNLFKNTGLVNGNPLKYEVTKYFYASSGFTIPGYNDRPAKADAGLRESNWIGYVAVATDEGKVDLGQRDIVVVWRGTVRPLEWITDDTVTFGIAIESKKSDYLKPEVFNFHDLMLYLHGIDLYQRPEGNFELVFDYTQLNKYQDALKDEKQIPKEWFNIKDKGMVQQDKGNYILDDHEPDDDVMF >itb09g13770.t1 pep chromosome:ASM357664v1:9:9005421:9010196:1 gene:itb09g13770 transcript:itb09g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGAQELIAFFDLETVPIGEQGWAIVEFGAILVCPRTLVQVGEPYSTLIRPSRKSVVDNFSDRPNGITRRAIANAPRFTQVADDIYRILHGRVWAGHNIEEFDCPLIKEAYAKSKREAPRYKFLIDTLPLLTQWFGKRAGNLKLATLGNYFGHGEQTHRSLDDVSRNLNVLKHAAAVLFLESNFPDLLPENTWLSPKDISESRISATLAPFEDGYPKICILHENFPLQVHCKGMKVLYGINNKFNKAWLSFAVVAPPKLYDVLYACDDHALRRFGELGNDSEWKPVVKEGYNRFIRLSLRADTKIWRDNGHPTMQRVEHTELDDYELEMLFAPDTNVDAFFSLDTFINYKKMAGITLVAQKLFVHPSYR >itb08g05430.t2 pep chromosome:ASM357664v1:8:4474823:4476736:1 gene:itb08g05430 transcript:itb08g05430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDRNKLFLGGVSWETTDDTLRHHFSKYGTVVSSVIAKDRNTGNPRGFAFVSFSDPSAVDTALQDTHEILGRTVEVKRAIPKSEQQQNRGLNRNGRANGRNNDQFRTKKIFVGGLSANLTEEEFKSYFERFGRITDVVVMHDNMTHRPRGFGFITFDSEDAVEEVMQKNFHELCGKLVEVKRAVPKDGSISNIGYNARVTSGSSSTIGDYQHGNYPAYSPRYGVYPAYGPVTGYGSAAAAGYPYGAGMVGGGFPSGAGAYGGIGYGVASFQPRSPWNVPAMAGPRGSPVLYGGTAFYPAYMNGGAGAMSLSASGFNEFLGTGMNGKSGQFGMGVESQGASGSVHSQIGRGGVDFNSFGSLGAASSNQSRRG >itb08g05430.t1 pep chromosome:ASM357664v1:8:4474810:4476741:1 gene:itb08g05430 transcript:itb08g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDRNKLFLGGVSWETTDDTLRHHFSKYGTVVSSVIAKDRNTGNPRGFAFVSFSDPSAVDTALQDTHEILGRTVEVKRAIPKSEQQQNRGLNRNGRANGRNNDQFRTKKIFVGGLSANLTEEEFKSYFERFGRITDVVVMHDNMTHRPRGFGFITFDSEDAVEEVMQKNFHELCGKLVEVKRAVPKDGSISNIGYNARVTSGSSSTIGDYQHGNYPAYSPRYGVYPAYGPVTGYGSAAAAGYPYGAGMVGGGFPSGAGAYGGIGYGVASFQPRSPWNVPAMAGPRGSPVLYGGTAFYPAYMNGGAGAMSLSASGFNEFLGTGMNGKSGQFGMGVESQGASGSVHSQIGRGGVDFNSFGSLGAASSNQSRRGNDENKSYSAGNSN >itb11g13680.t1 pep chromosome:ASM357664v1:11:10713338:10714367:1 gene:itb11g13680 transcript:itb11g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTQSSSEAKTISDILIADLPREKGWIDDNKGYMYLYNGFWHSTWNLPALLFLQQHFKAHPNDVLLASYPKSGTTWLKALVFSIVNRAKYNVFNHPLLTSNPHDLVPHLDVYATENPTNPRPAESPLFHTHIPYQSFPHQHIHTSSSSSCRIVYVFRDPKDVLVSLWHFIRKLTPKDLSPISLQEAFHRFSRGVSPFGPYWDHVAAYYKASVQFPDKVLFLRYEDLKTDTVIHVKKLAAFLGQPFSEEEENEGAVQKS >itb15g10460.t1 pep chromosome:ASM357664v1:15:8237805:8241661:-1 gene:itb15g10460 transcript:itb15g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSKVKGERERFFLGGGKDYLNWPDGCGRAKGWTNRANCIADIVKRSKVHGICLGFVHLLCDLAAVLHFSHLTGTESQPVSSLFVGKQRTSAAVSSASSSQTPRKIPQSENGGKD >itb07g02430.t1 pep chromosome:ASM357664v1:7:1555154:1559637:-1 gene:itb07g02430 transcript:itb07g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHFPSRRKTHFSVTYPIYFRYSKDIDILRIFQYRCYYSNGIAIDLNPGWNCQSFVGFAVCFLAESEDDTLELHSYRDIVDVEHCTIIVKLSCKDNKNEALQRKCVIVKSTDDYEEDSLWFAYIPFSGLWPAKSNSVTPNDFSRFEVNFMDLEGIAHWGCSLLYERRKRPTSWDDSCKGSTTDIGPLGMLEYLNLSGKKFEHLPEDISLLCRLQYLDISGCRRLRRELPKLPPTIKELYATSYLASESNIVELATKYSKLYSVSFYRPIYPNLCPPSLVPGELAKKFIPITRPFLNRNTPFAVTYLLDSYTDYKISRCFKYPHHDPHHDPHKIFVSLDPSWYSHNFVGFVVCFVIPRKVRWESQPNIRPFMHCELITKLTHKDNGSERPLQTECVIGRLIDEEFNYPGDIVCFVYIPFSSLWPKSKAITNSISPNHYLVFEATIQVDSEISSDWSWYRKNRGWSCDLLYTYDKSLTEAIRQKRESGSTEGDLGIGSKTEGGEEEGEEEDGRSKHTEDSGGDDAIAQDNSNSGKEGEDEEMGDEEGSHQLEQRKKARLDKGKAPII >itb07g02430.t2 pep chromosome:ASM357664v1:7:1557087:1559637:-1 gene:itb07g02430 transcript:itb07g02430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHFPSRRKTHFSVTYPIYFRYSKDIDILRIFQYRCYYSNGIAIDLNPGWNCQSFVGFAVCFLAESEDDTLELHSYRDIVDVEHCTIIVKLSCKDNKNEALQRKCVIVKSTDDYEEDSLWFAYIPFSGLWPAKSNSVTPNDFSRFEVNFMDLEGIAHWGCSLLYERRKRPTSWDDSCKGSTTDIGPLGMLEYLNLSGKKFEHLPEDISLLCRLQYLDISGCRRLRRELPKLPPTIKELYATSYLASESNIVELATKYSKLYSVSFYRPIYPNLCPPSLVPGELAKKFIPITRPFLNRNTPFAVTYLLDSYTDYKISRCFKYPHHDPHHDPHKIFVSLDPSWYSHNFVGFVVCFVIPRKVRWESQPNIRPFMHCELITKLTHKDNGSERPLQTECVIGRLIDEEFNYPGDIVCFVYIPFSSLWPKSKAITNSISPNHYLVFEATIQVDSEISSDWSWYRKNRGWSCDLLYTYDKSLTEAIRQKRESGSTEGDLGTHTSPKLYFIIHIILNYITYIYS >itb04g31820.t1 pep chromosome:ASM357664v1:4:34520476:34521901:1 gene:itb04g31820 transcript:itb04g31820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLHLPSHYYTKTALFFSPPGAPLSSGKPNRASTSSANSKPSFSKLKVRALKEKTEEIKSQTSAEEVTKKYGLEAGLWKIFNSKDEDNQESKSKGDQAKELLAKYGGAYLATSITLSLISFGLCYALISAGVDVQALLQKVGISPNETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDKEK >itb11g09340.t1 pep chromosome:ASM357664v1:11:6204532:6204992:-1 gene:itb11g09340 transcript:itb11g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHLISSHLPSHPSSPSFLPPPPMAALSHSLTMLLAPCTAQNRRLAAAEAAAASAAAVSGSRSSSSLSSSLWPSPAPFSG >itb01g21090.t1 pep chromosome:ASM357664v1:1:27260627:27261825:1 gene:itb01g21090 transcript:itb01g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQNHHHLLHPPTTADSAGNTPESRDDFNNFSFRLLLIACVALVSVWATHEASKGFAITIINDAVAGGGDTFAGKRFHLFYVSNDQATRLILERSQFAENTLYSPSDDHPKKTVNHVILRLSSRNLSRPATTHSGDRDGEFVIHLSPSIMHDPNFKHAMFLAVQRGMARIWLWDGQGNAPITLINGIVDYISTLAVDGGSPPAPSGAELPEYSRSGCWTGNSDPRTVAGFLNYCEGKREGFVRRLNQEMKNNWHEKMVDDALGGMPAKTLCDSYHSSTQFSTSSI >itb01g05890.t1 pep chromosome:ASM357664v1:1:4096134:4099497:1 gene:itb01g05890 transcript:itb01g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLKIARRSRPAATYFCGHASFQSTVAKQHHTISISGNLQKNALPGDLLKWGSLGFCRTSKFATGFTPLQRKPLDSIMSVERAKNKSAEELADIWDDYHLGRGHIGASMTAKLYHLLEQRSGSCRYFVIPLRRGGGYSTMFVQVQTPHILITGLEDYKARGTQAAPYFTISYYTEFAESKDLVLVRGDIVFTSKLTDTEAKWLLETAHSFYLNDTRYKLVERFNKETRDFEFEDVLRALEMPIL >itb06g01310.t1 pep chromosome:ASM357664v1:6:2563365:2564858:-1 gene:itb06g01310 transcript:itb06g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHGIGDHSDKSYQSKPPARGRSNLTSCIQTLTVLSISNNQDQDHREKISVVTMSSSPSPPRGGEYCASREEEDLVNCLVMLSSNGSFIPSTFENNNLQEGNKAKEMEINNNNNNKGLFQCKADGVGVRPQHFDYSLPFPPPAAAATALSRKRSRLSFSGLAWATIESSLHDALSSFGKVLESKTGKSRGFGFVTFKDEQPMSDAIEGMNGQSLDGHNITVNEAQSCGSGGRGGCFHGGRCEGGGNGGYSRRDGGYGSDGYSRDKRYSRAGDGGIWRS >itb13g27020.t1 pep chromosome:ASM357664v1:13:32064881:32065336:-1 gene:itb13g27020 transcript:itb13g27020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATFLPFDDVFSVLHLQILDFSFCLILKFLLSDIGFQGGKSKSLYCREGHLGITLVKFASDQSGLKEALRLTEYFLKDNRGRNGWSRVHPLTLVKDEENNPDLVKVDQRTGEKKRVFYGYLATVSDMDKVDFETRKKVSIESIREFQSSS >itb06g24210.t1 pep chromosome:ASM357664v1:6:25865520:25868333:1 gene:itb06g24210 transcript:itb06g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRMNRFCEVLLVPGRLNTRFRSSCWLHSCSGTESEIENIVRVINDHSFPDQSLQPTLKRYIPSTVLSSSFVENVLGRLFAAHANGLKAYEFFKFCLVQSGYNPTADAFEKTVHILTRMRYFDKAWELMKEIQQTHPSLLTLKSMNIVLSRIAKFQSYEDTLEAFEKMERHVFVGKEFGTEEFNTLIRAFCTQRQMKEARSVFIKLHSRFSPNTKTMNILLLGFKESGNVTAVELFYHEMVRRGFRPNHVTYNIRIDAYCKKGCLGDALRLFKEMESVNCLPTLETITTLIYGAGIARNISKAKELFNEISKRGLQPDVGAYNALLSSLIRSRNVKAAAALMDEMDENNIGHDHFTYHSMFWALIRSKDIHAVTQLYKKMTERNFLPKIRSVVMLMKFFCENRRLDLGVSLWNYLVHKGQCPHNHALDLLVTALCSHGRVDEALECSKQMIERDRLPSELVLQMLKRILLELGESEKLQKLEQMIKKLEMLLPPSNVRRVSSVD >itb06g24210.t3 pep chromosome:ASM357664v1:6:25865520:25867501:1 gene:itb06g24210 transcript:itb06g24210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRMNRFCEVLLVPGRLNTRFRSSCWLHSCSGTESEIENIVRVINDHSFPDQSLQPTLKRYIPSTVLSSSFVENVLGRLFAAHANGLKAYEFFKFCLVQSGYNPTADAFEKTVHILTRMRYFDKAWELMKEIQQTHPSLLTLKSMNIVLSRIAKFQSYEDTLEAFEKMERHVFVGKEFGTEEFNTLIRAFCTQRQMKEARSVFIKLHSRFSPNTKTMNILLLGFKESGNVTAVELFYHEMVRRGFRPNHVTYNIRIDAYCKKGCLGDALRLFKEMESVNCLPTLETITTLIYGAGIARNISKAKELFNEISKRGLQPDVGAYNALLSSLIRSRNVKAAAALMDEMDENNIGHDHFTYHSMFWALIRSKDIHAVTQLYKKMTERNFLPKIRSVVMLMKFFCENRRLDLGVSLWNYLVHKGQCPHNHALDLLVTALCSHGRVDEALECSKQMIERDRLPSELVLQMLKRILLELGESEKLQKLEQMIKKLEMLLPPSNVRRVSSVD >itb06g24210.t2 pep chromosome:ASM357664v1:6:25865525:25868333:1 gene:itb06g24210 transcript:itb06g24210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRMNRFCEVLLVPGRLNTRFRSSCWLHSCSGTESEIENIVRVINDHSFPDQSLQPTLKRYIPSTVLSSSFVENVLGRLFAAHANGLKAYEFFKFCLVQSGYNPTADAFEKTVHILTRMRYFDKAWELMKEIQQTHPSLLTLKSMNIVLSRIAKFQSYEDTLEAFEKMERHVFVGKEFGTEEFNTLIRAFCTQRQMKEARSVFIKLHSRFSPNTKTMNILLLGFKESGNVTAVELFYHEMVRRGFRPNHVTYNIRIDAYCKKGCLGDALRLFKEMESVNCLPTLETITTLIYGAGIARNISKAKELFNEISKRGLQPDVGAYNALLSSLIRSRNVKAAAALMDEMDENNIGHDHFTYHSMFWALIRSKDIHAVTQLYKKMTERNFLPKIRSVVMLMKFFCENRRLDLGVSLWNYLVHKGQCPHNHALDLLVTALCSHGRVDEALECSKQMIERDRLPSELVLQMLKRILLELGESEKLQKLEQMIKKLEMLLPPSNVRRVSSVD >itb15g08110.t1 pep chromosome:ASM357664v1:15:5699330:5701009:-1 gene:itb15g08110 transcript:itb15g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGSWGSPFSRRVEMALRLKGVEYELIEEDLSNKSPLLLQYNPIHKKIPVLLHNGKPLAESLVIVEYIDDTFPGTPILPQDPYHRALARFWAKFIDEKCMPALTKALFAKGEEQEKGKEEACELLKILDKELETKKFLGGETIGFADIAGNFVAFWVGVLEEAVGVEIVTKEKFPNLCRWKEDFINCDEIKETLPARDKLLHVFKGGFIPATARASMQ >itb02g06450.t1 pep chromosome:ASM357664v1:2:4015624:4017186:1 gene:itb02g06450 transcript:itb02g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGVFTVELQFVHYAVSEPTRTYAIEDDKGKSYTVVVENGSNNISCTCRMYRRIGLLCRHAFVILKDERFDHIPPQHITPRWTRDAAQKTGRDPYSCTQAEELQSVAKSLEETQVINTFYKCLGLANGKVEKLQQIAHALGGVETSMSGDKDSGEANLGKHATMETYCGIPAPETILVHPPQVSKNKGSGKRIKSMKEIAIKGMRKKGRTCATCGLANGHNSRTCLKR >itb13g16150.t1 pep chromosome:ASM357664v1:13:23051657:23056980:1 gene:itb13g16150 transcript:itb13g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVKYGPIIDLADASAYIDAYVQLLVFVHRSTPIQYKILTKSGGREVIRTDVLVGDDKRTYFPVSIWHKQIASQIVSGSVVLLQNVKITRFGERVEAKTLHCSSILCLVQTYESILSKDVDDVAGGCQVSTSAKNKLQKVIRWLQKSENVHGNDELNHYQSKQLKLNWKVHKEPKCHDCFSLKELCLLFDSCEASFYASIGEIFLPITCRNLHESDTETLFISRRLYMLEDNNLIDDLVGIGCHLCGTPLNQDHGSTMTEASQFYCQKSSNHLHVVRHIYRPFMLYVWDDSRYISLLVKNKAAELLFGNIRAEEVYACYQRQKHCKTLDNSNTKPHSSGTGSKGHDVGVKPNLYRIWLILLKTLLQKEKNSLLKFKVHIDANRDWESGKFEMVSVSLPSFNRVD >itb13g16150.t2 pep chromosome:ASM357664v1:13:23051657:23056980:1 gene:itb13g16150 transcript:itb13g16150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVKYGPIIDLADASAYIDAYVQLLVFVHRSTPIQYKILTKSGGREVIRTDVLVGDDKRTYFPVSIWHKQIASQIVSGSVVLLQNVKITRFGERVEAKTLHCSSILCLVQTYESILSKGGCQVSTSAKNKLQKVIRWLQKSENVHGNDELNHYQSKQLKLNWKVHKEPKCHDCFSLKELCLLFDSCEASFYASIGEIFLPITCRNLHESDTETLFISRRLYMLEDNNLIDDLVGIGCHLCGTPLNQDHGSTMTEASQFYCQKSSNHLHVVRHIYRPFMLYVWDDSRYISLLVKNKAAELLFGNIRAEEVYACYQRQKHCKTLDNSNTKPHSSGTGSKGHDVGVKPNLYRIWLILLKTLLQKEKNSLLKFKVHIDANRDWESGKFEMVSVSLPSFNRVD >itb10g21300.t1 pep chromosome:ASM357664v1:10:26580923:26584081:-1 gene:itb10g21300 transcript:itb10g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKALLVTLFLWTLLFPLSFCASNGGLVRISLKKMKLDQENLLASKRGESMRASSIRKYNFHGGIGGSGGDDIVALKNYLNAQYFGEIGIGSPPQKISVIFDTGSSNLWVPSSKCLFSLACYFHSRYKSSRSNTYKRNGTAAAIQYGSGAISGFFSNDNVQVGDLIVKHQDFIEATREPSLTFLVAKFDGILGLGFQEISVGNAVPVWYNMVDQGLVNEPVFSFWLNRNAEEEDGGEIVFGGVDPNHYKGKHTYVPVTQKGYWQFDMGDVLIDGKPTGYCGHGCAAIADSGTSLLAGPTAVITMINHAIGASGVVSQECKAFVENYGQRIVDLLLTEESPKKICSKIGLCTFDGSHGVSMGIESVIDEKEGRSAGIRDSECETCEMAVFWMQHLLSQNHTQDRILSYANEFCDRLPSPLGESAVDCGSLSSMPSVSFTIGNKLFELSPDEYILKVGVGRAAQCISGFTALDIPPPRGPLWILGDVFMGRYHTVFDYGNLQVGFAEAA >itb09g11700.t1 pep chromosome:ASM357664v1:9:7304194:7307967:1 gene:itb09g11700 transcript:itb09g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGHHHTLLIDADNGTNGGLEDSPFSISLSRMASSSSDYHQIFMNRVDGDESGIYDDDDDDLALGDCGYSRPFVVLDVIWNLAFVVVSVFMLLTTIGERPSAPLRLWIGGYALQCLLHVGFVWVEYQRRNFDDLVGLQFHGLSLFALGHSSVMKRLESINTVISSIWWIFGFYWIFMGGQALLQDSPHLYWLSVVFLAFDVFFVIFCIAMAFMVFVAVFCCLPILASVAYAMKLGEGASENDIRTLPKYRYCQSNTMSNFPYDYEALEEGESMPKLSLSPEDSECCICLNRYSDGAELCTLPCNHHFHHRCICKWLRINATCPLCKFNILSSETLV >itb10g24830.t2 pep chromosome:ASM357664v1:10:28427254:28430129:-1 gene:itb10g24830 transcript:itb10g24830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKLRSSVGANGTQLPDSCHSLNKPAEDLIREIAVLEVEVEYLEKYLLAMYRERFSEWLGTIGHGDSGRHDWIIFNKKDSREMQKKHSVAELDDPSILRCQSSLSHTACSFRISPPIAEALDSCHSLPDSLQHCAQQSTANNVDQLCSFVSRQVHESPNWLSEEMIKCISAIYCKLASPSSALGLQTGEHSSFHSWSDNPFRLEEPSVTAVELRGLCRHGQSLNRVHHVLQRFRSLVSQMEQIDPRKMKHEEKLAFWINVHNALVMHAFLVYGIPRSNLKRASLLLKAAYNIGGKAVSVEMIQSCILRCQLARPGQVYVTLVNVVTKVQQCLDGAE >itb10g24830.t1 pep chromosome:ASM357664v1:10:28427254:28430129:-1 gene:itb10g24830 transcript:itb10g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKLRSSVGANGTQLPDSCHSLNKPAEDLIREIAVLEVEVEYLEKYLLAMYRERFSEWLGTIGHGDSGRHDWIIFNKKDSREMQKKHSVAELDDPSILRCQSSLSHTACSFRISPPIAEALDSCHSLPDSLQHCAQQSTANNVDQLCSFVSRQVHESPNWLSEEMIKCISAIYCKLASPSSALGLQTGEHSSFHSWSDNPFRLEEPSVTAVELRGLCRHGQSLNRVHHVLQRFRSLVSQMEQIDPRKMKHEEKLAFWINVHNALVMHAFLVYGIPRSNLKRASLLLKAAYNIGGKAVSVEMIQSCILRCQLARPGQWLQLFFPKLKFKPRDPRRAYAIQHEEPRLRFALCSGCHSDPVVRLYTPKRVFQDLEVAKEEYFQMNIRVHKEQKLLMPRNIECFVKEVGLCSSGLPEMIDQSLPEKFREKFRQTDAGKLWKKIVWVPHSFSFRYLISNELAEGVLSV >itb04g29170.t1 pep chromosome:ASM357664v1:4:32650297:32651233:1 gene:itb04g29170 transcript:itb04g29170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQFKPFFMANIPTKLNNSPSSIASTIFLSEKDLSAAALINSMDCNFLRETTVVSSRPSLEFPETCQMVTSSSSSRNLESEHHEGDNNGKVFEKHQEEENRYEVLVSSLKVKLTNTTVNKEKEEEEEQGCKTPTSPEYKIPAACPPAPRKPKAVPAKRRGCCHKRVFLDLSDETESLFPPLLLADLGKKIKKIRSS >itb05g16380.t1 pep chromosome:ASM357664v1:5:23596900:23598884:1 gene:itb05g16380 transcript:itb05g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFALFFFTLLAISIMLQPILLHASQGGHHHSNNQKPNSHYGPGSLKSSQCPSQCSRRCGKTQYHKPCMFFCQKCCRKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >itb13g17970.t1 pep chromosome:ASM357664v1:13:24933079:24934203:1 gene:itb13g17970 transcript:itb13g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYSRRILTPGAYRKRKEREETFYPSQPSSPVMAVSGTRDTTSSKQADPVSSTRLLAGYMAHEFLTRGTLLGQEFDPARVEAVAVNKTSEPRRGKPGHGLEPSQSYAEVAGLLKSDGARIVGIVNPTQLGRWIQM >itb12g19610.t1 pep chromosome:ASM357664v1:12:22024668:22028447:-1 gene:itb12g19610 transcript:itb12g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTSQEVSALQGGGNASAKEIYLKEWDPQNNSFPDGSNVERLRNFIKHVYVDRRYSGERRSEKPPRGKSETEDLNENRRVDTYRGGSRSPPYEDRYNDKPIPGGRSPGYDQENQRYNDYKRSPARAEVINDWRREDRFGNGRRSEEYQSSDGSSTFESKSPDRQRDLNSSSPPMVRPVRDILGENVAPLPVIEPPKENGGRSTNVSVQTQRTASSSSLASSNGNPTEIKTEMSLIDFDAVPEPVSTAAAPQTQQSTTATVSLPILSGADNWANFDSLQMRVSPSNTNPLDVLSDLVTPAPISSHLGGTPSFGSIAPVAAALGNSTAFSPDGTPAPATGPTSHAGGQWSNMQPQHPDLFQPTVGPVGQSILHHSAPVAGGPSSNQPWNPLAASSTQGLQNAATTQVPQTVTTAFEPTPGVAGISSSVEVKSTERTALPEDLFSATYLPTHAPIPGWYAAPPHGTGLTMQYNIPAPTTFAPPIKSSNPFDTPDDLSPLQASTFPSMASVQGALPNIEVSTGLQQPSSLGTVAPHPFAMPQQAPSYALPSNSYMGQQVAGSMPQKPHGLAGFGLDGAAFGAISTNLQLGGLDSAPTAQNTFSYAG >itb02g02570.t1 pep chromosome:ASM357664v1:2:1501667:1508814:-1 gene:itb02g02570 transcript:itb02g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKNVEALQTLLVFCDSDPNALQDTWYAVLEIVSRLEYVVSSPSMAANLMQGSNQTSRDSFLQSLRELAEKPAEQLFMNSAKLTSEAVVEFFTALCNVSVEELKQLPARVFSLEKLIEVSYYNIPRIRMVWARIWCVLASHFIFAGNHPDERVYVYSIDSLKQLVMKYLERTELTNFRFQNDILQPFVTLMCDSKSESMRKRIVDYIVQIIEFKAMNIKSGWRSVFMIFTAAAEDALEPMVESAFENVEQVKFLAVILEHFDRIVGNSFVDCVSCLIGFANNKCSHRISLKAIALIRICEDRLAEGLMPAGALESFDTNAVKSYHVTEDYWFPMLAGLSDLTSDTQPEVRNCALEVLFDLLNERGSKFSSSFWESIFHHVLFPIFYHRRAGKENSDSSRDEWLRENSKYSLQLLCNLFITFYKAVSFVLPQLLSLLLDCAKNADQSVVSVSLGALLHLIEVGGRQFSYSDWDTLLQSIRDASYTTQPLELLNDLGFEKAKDQTLSIRDLEVSSPHSSIGADGTAKVQNALKTQEDNQEMNPIDQKEIEGMPSPSGRAKESTASEGLQRSQTIGQKIMGNMIDNLFVRSFTSKPKNTNEALVPSPPKISEDLKPDERYEDESSILATIRSKCITQLLLLGVIDSIQKTYWNKLKAAQKIALMDIVLSVIEFAASYNSYSSLRLRIHQLPADRPPLNILRQELTGTSIYLDVLHKATAEANTEKDEMDKTGVSQNGDYLGRSSDETSIMEIEEDEFEGVAEQKLVSFCVKVLSDASDFQFSTEDNTSTDAHQVLELRSPVIVKVLRGMSHINRRVFRNHLNEFYPLITRLMCCDQMDVRGALADLLSMQVKALLV >itb11g17770.t1 pep chromosome:ASM357664v1:11:17866464:17867131:1 gene:itb11g17770 transcript:itb11g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELIQPEILRYKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >itb13g25310.t2 pep chromosome:ASM357664v1:13:30724611:30729623:1 gene:itb13g25310 transcript:itb13g25310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFSKRRGGLVKKAHEISVLCDAEVGLIVFSHKGKLFEYSTDSCMEKILERYERYSYAERRLLANNSESSENWTLEYAKLKAKVELLKRNHKHYMGEDLDSLSLKDLQNLEQQLDSSLKLIRSRRNQLLYESLSELQKKERAIREENNMLAKKIKEKEKTAAAAAAAQPQAPWEQQNQVPGSSSFLFQQPSLPGLNMGGSYQGEAAAEEARRNNNDLDLNLDSLYPPCHLGCFAS >itb13g25310.t1 pep chromosome:ASM357664v1:13:30724483:30729624:1 gene:itb13g25310 transcript:itb13g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFSKRRGGLVKKAHEISVLCDAEVGLIVFSHKGKLFEYSTDSCMEKILERYERYSYAERRLLANNSESSENWTLEYAKLKAKVELLKRNHKHYMGEDLDSLSLKDLQNLEQQLDSSLKLIRSRRNQLLYESLSELQKKERAIREENNMLAKKIKEKEKTAAAAAAAQPQAPWEQQNQVPGSSSFLFQQPSLPGLNMGGSYQGEAAAEEARRNNNDLDLNLDSLYPPCHLGCFAS >itb02g11710.t1 pep chromosome:ASM357664v1:2:7829828:7830232:-1 gene:itb02g11710 transcript:itb02g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLEEIKGGGGSIRVGTTGTISALMSRELDSIKPASQTPETSRNKAPSVCAFVVGDATSPKRVKSRTVSADEASSSGGNQRSPDETVCKTRNYHRRTNQIPILESLDNVSVDGTPVRQKGEKKELTWWKLWT >itb11g00050.t1 pep chromosome:ASM357664v1:11:21647:25139:1 gene:itb11g00050 transcript:itb11g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLQIFECETVPSNSSQIRGSAHNSNQMYAHMYRTTPICNLVLARTSSRKMATAASACQKLLTVGTKIIGVGRNFAAHAKELGNSVPKEPVLFLKPTTSYLQNGGTIEIPQPLETLHHEVELAVVIGQRARDVTPGSAMDYIGGYAVALDMTAREIQSAAKSAGLPWTVAKGQDTFTPISSILPCSLVPDPHDVELWLKVDGEIRQKGSTRDMIFKIPYLVSYISCIMTLAEGDVILTGTPEGVGPVKVGQKVEAGITGLLDVHFNVGRRQGATH >itb06g17490.t1 pep chromosome:ASM357664v1:6:21326357:21327647:-1 gene:itb06g17490 transcript:itb06g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPEKVRNDGVSSSNSSPSSSSSSSCKYRGVRKRNWGKWVSEIRLPNSRERIWLGSFDSPEKAARAFDAALFCLRGKNANFNFPDNPPDIVDGNSMSLAQIKVAAANFANSEPPSRGHVSDPSTSLSSSSLHAESPSPSVSDGAVHQAEAEAEGGEMTETALDHGFLQRFSSLGNANNNVVTDFGYFPEFDDFSNDYYVPPMPMPDTDYVEETYDGFASQGSFLWNF >itb11g12990.t1 pep chromosome:ASM357664v1:11:9905512:9906149:-1 gene:itb11g12990 transcript:itb11g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILQLDQLNQLREIFARFDMDADGSLTHLELAALLRSLGIRPSGDQVYVMLNNMDVNGNGSIEFEELVNAIMPDITAEILGNQEKLLEVFNSFDRDGNGFITLPELAGSMAKMGQALTYNQLKAMLEEADTDGDGAINFTEFTAIMAKSASEFLGLPLPPAVAQTENADKPTTPKLPGL >itb15g00100.t1 pep chromosome:ASM357664v1:15:67960:68670:1 gene:itb15g00100 transcript:itb15g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MGVLGALTSSWQIPAGLPLPPTRTVSFSPPAPPRNYKASSFLSPISTTSLRCGRLGKCSSISKSRLRLRVTCGAVREITQKEFSDVVLKSDRPVLVEFVATWCGPCRLIAPAIESLAQEQEYREKITVVKIDHDSNPQLIEEYKVYGLPTLILFKDGKEVEESRREGAITKVKLKKHLDGLLESICAV >itb05g21330.t1 pep chromosome:ASM357664v1:5:27139769:27140548:-1 gene:itb05g21330 transcript:itb05g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLIVSIAILALASSFAQASDPSPLQDFCVAVNDSKAAVFVNGKICKNPMEVNADDFLFQGLNKPGNTSNPLGSAVSPVNVNNLPGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPAPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGHSKAIAFAGLSSQNPGVITIANAVFGSDPPISPNVLTKAFQVEKNVINYLQSQFWYNNN >itb08g04230.t2 pep chromosome:ASM357664v1:8:3349332:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDSIKSIGFQILRKMKKLKPKRYENYRSWFADPDEISFVEFVEYDFITIQKATNNFSETNKVGGGGFGVVYKGTLEDGEQVAVKRLSKNLREGNLEFKKEVALMAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t4 pep chromosome:ASM357664v1:8:3349332:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb08g04230.t1 pep chromosome:ASM357664v1:8:3349332:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDSIKSIGFQILRKMKKLKPKRYENYRSWFADPDEISFVEFVEYDFITIQKATNNFSETNKVGGGGFGVVYKGTLEDGEQVAVKRLSKNLREGNLEFKKEVALMAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t10 pep chromosome:ASM357664v1:8:3349444:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDSIKSIGFQILRKMKKLKPKRYENYRSWFADPDEISFVEFVEYDFITIQKATNNFSETNKVGGGGFGVVYKGTLEDGEQVAVKRLSKNLREGNLEFKKEVALMAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFGKYLLNWTLGLVYEFETGHMF >itb08g04230.t7 pep chromosome:ASM357664v1:8:3349428:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t3 pep chromosome:ASM357664v1:8:3349332:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t13 pep chromosome:ASM357664v1:8:3350082:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb08g04230.t6 pep chromosome:ASM357664v1:8:3349428:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDSIKSIGFQILRKMKKLKPKRYENYRSWFADPDEISFVEFVEYDFITIQKATNNFSETNKVGGGGFGVVYKGTLEDGEQVAVKRLSKNLREGNLEFKKEVALMAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFGKYLLNWTLGLVYEFETGHMF >itb08g04230.t17 pep chromosome:ASM357664v1:8:3349444:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t14 pep chromosome:ASM357664v1:8:3349428:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb08g04230.t12 pep chromosome:ASM357664v1:8:3349444:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t9 pep chromosome:ASM357664v1:8:3350082:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDSIKSIGFQILRKMKKLKPKRYENYRSWFADPDEISFVEFVEYDFITIQKATNNFSETNKVGGGGFGVVYKGTLEDGEQVAVKRLSKNLREGNLEFKKEVALMAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb08g04230.t15 pep chromosome:ASM357664v1:8:3349428:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb08g04230.t5 pep chromosome:ASM357664v1:8:3349332:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t16 pep chromosome:ASM357664v1:8:3350082:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb08g04230.t8 pep chromosome:ASM357664v1:8:3349428:3353163:-1 gene:itb08g04230 transcript:itb08g04230.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALGNLQFKNEVALIAKLQHRNLVRLFGYCQEGREMILVYEFLPNGGLDGFLFDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGTYGYMAPEYLMHGQFSVKSDVYSFGVLVLEIISGQKCSYPGPDLDLMNYAWTHWKGGSSSNVINPMLRGLSSPVDDITKCIHIALLCVQENVEDRPTMSEVTQMLSDLSLRLPVPSVPAYLIDNNDSRISSSFSSEGNEMSISEDEYPR >itb08g04230.t11 pep chromosome:ASM357664v1:8:3350082:3353161:-1 gene:itb08g04230 transcript:itb08g04230.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDSIKSIGFQILRKMKKLKPKRYENYRSWFADPDEISFVEFVEYDFITIQKATNNFSETNKVGGGGFGVVYKGTLEDGEQVAVKRLSKNLREGNLEFKKEVALMAKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGGLDNFLFDPVKRGCLNWERRYKIIQCIARGLIYLHEGSPIRIIHCNLKASNVLLDENLNPKIVDFDMAKLFGLDKTHDSTTNRTSGYIAPECALQGEISVRTDVYSFGVLVLEIISGQKFARFQNEESMNNLLIYAWTHLKGGSASNVIDPMLSGISCPVHEITKCIHIALLCVQESVADRPKMAEILQMLSNLSLSLPVPLAPGFCSEASSQFTRNVKSVSDQYNRKMQKKKAKSYAKTVEESSSSVEISHVESHLKYELITIQNATNNFSEANKLGAGGYGIVYKGKLENGLEVAVKRLSKYSALDPVKCGYLNWGRRYKIIESIGKGLVYLHEDSRLRIVHRDIKASNILLDADLIPKIADFGIAWFFALDETEGSTYTIAGT >itb13g23480.t1 pep chromosome:ASM357664v1:13:29460069:29461076:1 gene:itb13g23480 transcript:itb13g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRMFLGFSALLISTAKQSSPPPPISCSDQLVLFSPCLPYISFSPNNKTNTPPPQCCDEMTFAVASGNAICLCYLVQRPRIFGFPVNSSRVISLSSVCPTTHREAIANFSIKALCSGKTALPPLKGITGSGNSPHLSGNAILKPQEFNLFDNPNLPHYSYKFKK >itb01g35690.t1 pep chromosome:ASM357664v1:1:37762872:37764244:1 gene:itb01g35690 transcript:itb01g35690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSDQLPRGEHTLGENGRLVFHHFAATVGTLAIDSRSRNGEVEEPHSSQPVLQGPQKWHQEAQKAPPYLHQRDGSQVLEEPEVCQKAQQQEWRGLR >itb04g26360.t2 pep chromosome:ASM357664v1:4:30667863:30672491:1 gene:itb04g26360 transcript:itb04g26360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVANISRQQLFVPRSSQILVRRKLYLENHNISQLSLWSAKGLVAPHSTKMKGSVNEGSCQRCFCFGSLFDLSDAATSDWVPSMDQMLLMTSIFLTYMAGVIPSEKSLANTVERISYDYVFPKETSLPGSIKKNEEDTKLQFVWDVVERKLSNSLLALKQGMELSISANEYDQNCTRQLSSLSAIAEGPRMRLLWASFQLLKKEVDSLSQKLATESLDKLALFTEIIQKSSKQLCVTWLEEELSLGKINIDRMCLSSMVDTLDEDGGILQNIRKLGKEDLYAELVSVIKFGLIRSGAYYDHGFFTEHGVAILEDLVITLAEGAASMYLELISVDSRVSNEMNSLGLSLCTLSTRELQKLRNELDW >itb04g26360.t3 pep chromosome:ASM357664v1:4:30667863:30672491:1 gene:itb04g26360 transcript:itb04g26360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVANISRQQLFVPRSSQILVRRKLYLENHNISQLSLWSAKGLVAPHSTKMKGSVNEGSCQRCFCFGSLFDLSDAATSDWVPSMDQMLLMTSIFLTYMAGVIPSEKSLANTVERISYDYVFPKETSLPGSIKKNEEDTKLQFVWDVVERKLSNSLLALKQGMELSISANEYDQNCTRQLSSLSAIAEGPRMRLLWASFQLLKKEVDSLSQKLATESLDKLALFTEIIQKSSKQLCVTWLEEELSLGKINIDRMCLSSMVDTLDEDGGILQNIRKLGKEDLYAELVSVIKFGLIRSGAYYDHGFFTEHGVAILEDLVITLAEGAASMYLELISVDSRVSNEMNSLGLSLCTLSTRELQKLRNEVAMHRWLHQNMEAVVSMYEDRFDLSTFECQSIGERGKRKDENHHWWKKLGVMRSETVASPLHVAFIYQVSIAVKRTKELRSLTGWRYYFSLFLELSDIAMPMIRTVIAKVSDAISFFLVCLIGRSLGLIYTGIRQSLRWR >itb04g26360.t1 pep chromosome:ASM357664v1:4:30667863:30672491:1 gene:itb04g26360 transcript:itb04g26360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVANISRQQLFVPRSSQILVRRKLYLENHNISQLSLWSAKGLVAPHSTKMKGSVNEGSCQRCFCFGSLFDLSDAATSDWVPSMDQMLLMTSIFLTYMAGVIPSEKSLANTVERISYDYVFPKETSLPGSIKKNEEDTKLQFVWDVVERKLSNSLLALKQGMELSISANEYDQNCTRQLSSLSAIAEGPRMRLLWASFQLLKKEVDSLSQKLATESLDKLALFTEIIQKSSKQLCVTWLEEELSLGKINIDRMCLSSMVDTLDEDGGILQNIRKLGKEDLYAELVSVIKFGLIRSGAYYDHGFFTEHGVAILEDLVITLAEGAASMYLELISVDSRVSNEMNSLGLSLCTLSTRELQKLRNEVVASEHGSSCINV >itb12g09000.t6 pep chromosome:ASM357664v1:12:7028768:7033394:1 gene:itb12g09000 transcript:itb12g09000.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIHCCSMNRRKPEKLQTQAPKLLKIAVSAATQLLSLLSPPHKHRLDELTGEGGNIELSVSDVDDVLTIIKDDYEREYFVTGLFTSAIYAEDCTFEDPTIKFRGRDLYSRNLKLLVPFFDTPSIKLEKIEKGANSNADFIMATWKLRTYLKLPWKPLISVNGCTVYDLDRQLRVVRHVESWDISALEAIGQIFTPGFQREEPRGNTYGNGGNAYGNWVAVL >itb12g09000.t4 pep chromosome:ASM357664v1:12:7028665:7032537:1 gene:itb12g09000 transcript:itb12g09000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEPAITFNYFAKSPPLSYPAARKMAVVWCYTYTLPSQSKMAHGIHCCSMNRRKPEKLQTQAPKLLKIAVSAATQLLSLLSPPHKHRLDELTGEGGNIELSVSDVDDVLTIIKDDYEREYFVTGLFTSAIYAEDCTFEDPTIKFRGRDLYSRNLKLLVPFFDTPSIKLEKIEKGANSNADFIMATWKLRTYLKLPWKPLISVNGCTVYDLDRQLRVVRHVESWDISALEAIGQIFTPGFQRSDEF >itb12g09000.t5 pep chromosome:ASM357664v1:12:7028768:7032537:1 gene:itb12g09000 transcript:itb12g09000.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIHCCSMNRRKPEKLQTQAPKLLKIAVSAATQLLSLLSPPHKHRLDELTGEGGNIELSVSDVDDVLTIIKDDYEREYFVTGLFTSAIYAEDCTFEDPTIKFRGRDLYSRNLKLLVPFFDTPSIKLEKIEKGANSNADFIMATWKLRTYLKLPWKPLISVNGCTVYDLDRQLRVVRHVESWDISALEAIGQIFTPGFQRSDEF >itb12g09000.t1 pep chromosome:ASM357664v1:12:7028665:7033394:1 gene:itb12g09000 transcript:itb12g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEPAITFNYFAKSPPLSYPAARKMAVVWCYTYTLPSQSKMAHGIHCCSMNRRKPEKLQTQAPKLLKIAVSAATQLLSLLSPPHKHRLDELTGEGGNIELSVSDVDDVLTIIKDDYEREYFVTGLFTSAIYAEDCTFEDPTIKFRGRDLYSRNLKLLVPFFDTPSIKLEKIEKGANSNADFIMATWKLRTYLKLPWKPLISVNGCTVYDLDRQLRVVRHVESWDISALEAIGQIFTPGFQRTKRKYIWKWRKCIWKLGCCALT >itb12g09000.t3 pep chromosome:ASM357664v1:12:7028768:7033394:1 gene:itb12g09000 transcript:itb12g09000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGIHCCSMNRRKPEKLQTQAPKLLKIAVSAATQLLSLLSPPHKHRLDELTGEGGNIELSVSDVDDVLTIIKDDYEREYFVTGLFTSAIYAEDCTFEDPTIKFRGRDLYSRNLKLLVPFFDTPSIKLEKIEKGANSNADFIMATWKLRTYLKLPWKPLISVNGCTVYDLDRQLRVVRHVESWDISALEAIGQIFTPGFQREEPRGNTYGNGGNAYGNWVAVL >itb12g09000.t2 pep chromosome:ASM357664v1:12:7028665:7033394:1 gene:itb12g09000 transcript:itb12g09000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEPAITFNYFAKSPPLSYPAARKMAVVWCYTYTLPSQSKMAHGIHCCSMNRRKPEKLQTQAPKLLKIAVSAATQLLSLLSPPHKHRLDELTGEGGNIELSVSDVDDVLTIIKDDYEREYFVTGLFTSAIYAEDCTFEDPTIKFRGRDLYSRNLKLLVPFFDTPSIKLEKIEKGANSNADFIMATWKLRTYLKLPWKPLISVNGCTVYDLDRQLRVVRHVESWDISALEAIGQIFTPGFQREEPRGNTYGNGGNAYGNWVAVL >itb09g12920.t1 pep chromosome:ASM357664v1:9:8235389:8242350:-1 gene:itb09g12920 transcript:itb09g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVKALEPAFQSAGQRAGIEIWRIENFKPVPLPKSDYGKFYSGDSYIVLQTTSGKGGPYLFDIHFWLGKDTSQDEAGTAAIKTVELDTILGGKGVQHREIQCLESDRFLSYFKPCIMPLEGGVASGFKTPQEEEFETRLYVCKGKRVVRMKQVPFARPSLNHDDVFVLDTKDKIYQFNGANSNIQERAKALEVIQFLKDTYHGGVCKVAIVEDGNLQAEADSGEFWELFGGFAPMGRKVASDDDIIPEKTPPKLFCIADGQVNPVDGELSKSILENSKCYLLDCGSEVFVWVGRATQLDERKAASQTAEEFLAKQNRPKATKITRLSQGYETISFKCEFDSWPSATASAPEEGRGKVAALLKQQGGGIKSGTKSTTPVKEEVPPLLDEGGKIEVWRIDENSKTPVPKEEFGKFYSGDCYVVLYSYHSHEKKDDYYLCWWIGKDSVAEDQSMAAELVTKMFTTLKGRPVLGRIFQGKEPPQFIAIFQPMVVLKGGLSSGYKNSIAGKKDETYTAESLALIQISGTSVHNNKVVQVDTVATSLNSNECFLLQSSSMFIWHGIQSTYEQQQLAAKIAEFLKPGAPVKHTKEGAEISAFWAALGGKESFTSKKATVEAVVRDPHLFTYSINKDTHAEVFVWLGQLTDANEKQTAFEIGQKYIDLAASLDGLSPKVPLYKVTEGNEPCFFTTFFTWDAGKAIAHGNSFQKKMFLLLGIGHSAEGKSGGNQDGPTQRASALAALNSAFSSSSTKPPSPRPTGSSGTQRKAAIAALSSVLTEEQKQAAEENADAEDEAAAAAAAEETGEADEDDHGGNTDNLRYTYDQVKAKSENPAAGIDLKHREAYLSDEDFEAILEMPKEAFYKLPKWKQDQLKKKVDLF >itb13g01070.t1 pep chromosome:ASM357664v1:13:1012046:1018291:1 gene:itb13g01070 transcript:itb13g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPILKEAIKGGIETMDFNSVMEILGKHFTLPVSGMPPHIYKRVKSFCRQHQFFLYGKQESKDDEQASDDDDEEESKNGEQIDDEEESKNGQQIDDEQESKNGEQIDDEQESRNGEQIDDEQESKNGQQIDDEECRLLVNIVIRYFIGSVWRVSSQIKKKVKSCFRRERKIDEVEIRRYMLLYEAESVIRQEVRASYLNKYMKQRIQATQRIRQLFIQGISLTSSINKQMLKVKNAYHQSNNNNPASLRGLELDTITVGDSKSTIKMVGCDDVFNTIMDNLRQHSSKREIVSTVGMGGIGKTTLARKIYEDASIISYFDCRAWVTISQDYNRTQVFQCLLRSLDPAEASHNNTGLAEKVYKSIKDQRYLIVVDDIWSTNVWDDLMRCFPDDNIGSRILLTTRHKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKVCKRSITLLSPEFEKIGREIVDKCKGLPLAITVAAGFLSNSNQTFIHEWEHIAKCVLALSLDHSNQQGEKIIELSYTFLPHHLKLCFLSFGCFPEDCKIYESKIVNFWISEGFLKVLRSKSLEDVARKNLQVLVDRNLVLSYGDGDDIEFYQMHDVLRELALREAQKENLLCFKKGYVISLRWKRNESIKSSHISQPWSIQSRICSYNSITPTTNTSSLIDNLYWTSRQDMDVVGKLSKLVDLRLQDVKDQIWKPKDGGFRRLRKIVNKYKGLPLAIVAVGLLSNSNQTFIHEWEHIAKCVPALSLDHSNQQEDDKIVESTIVDFWISEGFLKVVRFESLKDVAMKILQDLVDRNLVLICGERIDKKVYQMHDVLSELALREA >itb03g28480.t2 pep chromosome:ASM357664v1:3:29223917:29226864:1 gene:itb03g28480 transcript:itb03g28480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNSSQFDSVAAAFSGGGFVPSQSTQGPDASLSSAKVLNDAVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQNCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILYLPPVSITPFS >itb03g28480.t1 pep chromosome:ASM357664v1:3:29223917:29226864:1 gene:itb03g28480 transcript:itb03g28480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNSSQFDSVAAAFSGGGFVPSQSTQGPDASLSSAKVLNDAVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQNCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb07g00790.t1 pep chromosome:ASM357664v1:7:492926:494941:-1 gene:itb07g00790 transcript:itb07g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMSSSSNFSVIKSLKVVVEEEVEVAAPPTPPPLPPTKKSVGGGGKQGIIAILGSDSERGTKAGSIRRTLSADMSSKKWLSQNGFAYSPPAIKKTASSDELAAAPTAPAAEEEEDEEDEIKRRSLDVWSSILQSRKTVDSSSQFPPPYIHPQVNKSANSLTGKSLEICTESLGSETGSDCFSSYPNSESGDSADEEKDEHQSPPQHELIFPPFPEEFRAVKHSYGKKSPPRSFPPPLPSLVRGDDKPSVRMHSRRQHGRLILEAVSVPAQNRFRAERQDGRLILTFVNNEETEGWDDADEVLDEMSDREDNNPTIEEEEEEEEEEEEKEMEIMIGKNSKLLINLNTFMALNNNKNNLNRPALIEDFEDDQTTPLPHSLAPPQHRLFPEAPPTAAPFNPYDFFWKKKPTTIATLVHIPTITDQQYRNKIVTKCPKTSACEGKDHSLVLITGKYPSNNNNLILPIKNRGCKEPRMSLLFWDSNYCIATS >itb02g17560.t2 pep chromosome:ASM357664v1:2:13625677:13629267:-1 gene:itb02g17560 transcript:itb02g17560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSYLSGGGGGGGGGGKGLVCDESVSMSDHGVARSRNGLMGWELGGFEGIGNQGFVELGSQDLVRKAAMANNNSGGSGGGRAFAAFHNVFSREDDSSPNSRDSSLIDLKLGGFPDHIKSPKPNNTSSSSSSAHSSTPAKRLRGGGGGANSQNPPYCQVQGCKKDLTSCKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPHTGMHSARTGRNFQSYAATRFQGNAFSTSSFVCQDILPGSLLHPQKYETNEWYRNVKVEEGTDFSPQLAIPLANGQAQAKSVFGLYNSEKHCPPHDGGGGISELGRGRISESSNSYLHEMAGQDFVPRGAVYHSTSLGSEVLSCCAIQGLSGISSSGRALSLLSSHSQSSSTASIIPGAHSLINPGTNEHYDMAQVSDKFLGVSPPASTSALSPSGMNSSEDARLQQMMLFSNAAQTYAINPIVQGSGYMNVKNQISGEEDGPTIDLLQLSSQLQRVEHERHSVQVKQESGAFSGLRIT >itb02g17560.t1 pep chromosome:ASM357664v1:2:13625677:13629267:-1 gene:itb02g17560 transcript:itb02g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWSYLSGGGGGGGGGGKGLVCDESVSMSDHGVARSRNGLMGWELGGFEGIGNQGFVELGSQDLVRKAAMANNNSGGSGGGRAFAAFHNVFSREDDSSPNSRDSSLIDLKLGGFPDHIKSPKPNNTSSSSSSAHSSTPAKRLRGGGGGANSQNPPYCQVQGCKKDLTSCKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPHTATRFQGNAFSTSSFVCQDILPGSLLHPQKYETNEWYRNVKVEEGTDFSPQLAIPLANGQAQAKSVFGLYNSEKHCPPHDGGGGISELGRGRISESSNSYLHEMAGQDFVPRGAVYHSTSLGSEVLSCCAIQGLSGISSSGRALSLLSSHSQSSSTASIIPGAHSLINPGTNEHYDMAQVSDKFLGVSPPASTSALSPSGMNSSEDARLQQMMLFSNAAQTYAINPIVQGSGYMNVKNQISGEEDGPTIDLLQLSSQLQRVEHERHSVQVKQESGAFSGLRIT >itb12g01720.t1 pep chromosome:ASM357664v1:12:1143445:1144835:-1 gene:itb12g01720 transcript:itb12g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMVSISNLPADMLLEILKRLPAKTLMKSKCVSKYWLNIIRDSSFAESHFNHARTHRSEDASHLLFATVLNYWTHPIRTIYPATNDDLSLSSQLPSHTFGQYFQPCSNVVNGLVCVCLVEFYHQSQHCIWVLNLTTTEKKPLPEPPSLKAFKTRYPHVNLYGTSRFYLGFDQISNKYKLLHDMLGACEVLTLGINQTWRQVRGNPGVEDDLGLNRIRGGEGFILQGYGHAGMASINGRIYFRNLRERVIVFFDLKEEKFLQVAIPQSIEGISYKTGLVDLGGKLGVVVGLYEPVSQLRIWILEDDHDHNNINNNNWVEKRLTLPDVYYVETMGVTGNHKLILYCKHTSIRFTQPSYFFYYDLKTLERITTTAITMEPHRDPILYNFVGNIVPLDQI >itb02g06350.t5 pep chromosome:ASM357664v1:2:3957234:3962077:1 gene:itb02g06350 transcript:itb02g06350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVAAAINILSAFAFFVAFAVLRLQPVNDRVYFPKWYLKGLRNTPLHSGTLVHRFVNLDFRSYLRFLDWMPAALQMPEAELIDHAGLDSAVYLRIYLTGIKIFVPIAFIAFTVMVPVNWTNRTLEQSNLTYSDLDKLSISNIPRGSQRFWTHLVMAYMFTFWTCYVLKREYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESVSELVEHFFMVNHPDHYLTHQVVYNANKLSELVSEKKKKQNWLDYYQLKFARKQLERPRFKGGFLGCYGESVDAIDFYSSEIERLSREISTERTNIISKSKYIVPAAFVSFKTRWAAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNLAIPYVSLAIRKLVVGVAFFFLTFFFMIPIAFVQSLANIEGIEKVFPFLKTIIEMKAVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFGSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFLHQSANDIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFTLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIVTALIVSQLLLMGLLSTKRASHSTPLLITLPILTIWFHLFCKGRYEPAFIRYPLQEAMMKDTLERTKEPNLNLKQYLHNAYIHPVFNAGDDGDDSDTGSEDLEQQPTLVPTKRQSSRNTPRPSQKSQSSRYLLSEVELKSQV >itb02g06350.t3 pep chromosome:ASM357664v1:2:3956057:3961996:1 gene:itb02g06350 transcript:itb02g06350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNWTNRTLEQSNLTYSDLDKLSISNIPRGSQRFWTHLVMAYMFTFWTCYVLKREYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESVSELVEHFFMVNHPDHYLTHQVVYNANKLSELVSEKKKKQNWLDYYQLKFARKQLERPRFKGGFLGCYGESVDAIDFYSSEIERLSREISTERTNIISKSKYIVPAAFVSFKTRWAAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNLAIPYVSLAIRKLVVGVAFFFLTFFFMIPIAFVQSLANIEGIEKVFPFLKTIIEMKAVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFGSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFLHQSANDIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFTLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIVTALIVSQLLLMGLLSTKRASHSTPLLITLPILTIWFHLFCKGRYEPAFIRYPLQEAMMKDTLERTKEPNLNLKQYLHNAYIHPVFNAGDDGDDSDTGSEDLEQQPTLVPTKRQSSRNTPRPSQKSQSSRYLLSEVELKSQV >itb02g06350.t1 pep chromosome:ASM357664v1:2:3956057:3961996:1 gene:itb02g06350 transcript:itb02g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVAAAINILSAFAFFVAFAVLRLQPVNDRVYFPKWYLKGLRNTPLHSGTLVHRFVNLDFRSYLRFLDWMPAALQMPEAELIDHAGLDSAVYLRIYLTGIKIFVPIAFIAFTVMVPVNWTNRTLEQSNLTYSDLDKLSISNIPRGSQRFWTHLVMAYMFTFWTCYVLKREYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESVSELVEHFFMVNHPDHYLTHQVVYNANKLSELVSEKKKKQNWLDYYQLKFARKQLERPRFKGGFLGCYGESVDAIDFYSSEIERLSREISTERTNIISKSKYIVPAAFVSFKTRWAAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNLAIPYVSLAIRKLVVGVAFFFLTFFFMIPIAFVQSLANIEGIEKVFPFLKTIIEMKAVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFGSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFLHQSANDIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFTLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIVTALIVSQLLLMGLLSTKRASHSTPLLITLPILTIWFHLFCKGRYEPAFIRYPLQEAMMKDTLERTKEPNLNLKQYLHNAYIHPVFNAGDDGDDSDTGSEDLEQQPTLVPTKRQSSRNTPRPSQKSQSSRYLLSEVELKSQV >itb02g06350.t4 pep chromosome:ASM357664v1:2:3957234:3962077:1 gene:itb02g06350 transcript:itb02g06350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNWTNRTLEQSNLTYSDLDKLSISNIPRGSQRFWTHLVMAYMFTFWTCYVLKREYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESVSELVEHFFMVNHPDHYLTHQVVYNANKLSELVSEKKKKQNWLDYYQLKFARKQLERPRFKGGFLGCYGESVDAIDFYSSEIERLSREISTERTNIISKSKYIVPAAFVSFKTRWAAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNLAIPYVSLAIRKLVVGVAFFFLTFFFMIPIAFVQSLANIEGIEKVFPFLKTIIEMKAVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFGSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFLHQSANDIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFTLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIVTALIVSQLLLMGLLSTKRASHSTPLLITLPILTIWFHLFCKGRYEPAFIRYPLQEAMMKDTLERTKEPNLNLKQYLHNAYIHPVFNAGDDGDDSDTGSEDLEQQPTLVPTKRQSSRNTPRPSQKSQSSRYLLSEVELKSQV >itb02g06350.t2 pep chromosome:ASM357664v1:2:3956057:3961996:1 gene:itb02g06350 transcript:itb02g06350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVAAAINILSAFAFFVAFAVLRLQPVNDRVYFPKWYLKGLRNTPLHSGTLVHRFVNLDFRSYLRFLDWMPAALQMPEAELIDHAGLDSAVYLRIYLTGIKIFVPIAFIAFTVMVPVNWTNRTLEQSNLTYSDLDKLSISNIPRGSQREYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESVSELVEHFFMVNHPDHYLTHQVVYNANKLSELVSEKKKKQNWLDYYQLKFARKQLERPRFKGGFLGCYGESVDAIDFYSSEIERLSREISTERTNIISKSKYIVPAAFVSFKTRWAAAVCAQTQQSRNPTIWLTEWAPEPRDVYWDNLAIPYVSLAIRKLVVGVAFFFLTFFFMIPIAFVQSLANIEGIEKVFPFLKTIIEMKAVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFGSISALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFLHQSANDIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIMFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFTLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIVTALIVSQLLLMGLLSTKRASHSTPLLITLPILTIWFHLFCKGRYEPAFIRYPLQEAMMKDTLERTKEPNLNLKQYLHNAYIHPVFNAGDDGDDSDTGSEDLEQQPTLVPTKRQSSRNTPRPSQKSQSSRYLLSEVELKSQV >itb01g29800.t1 pep chromosome:ASM357664v1:1:33952338:33953824:1 gene:itb01g29800 transcript:itb01g29800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLVFSANTLACSPSAFPAALRRRVAPISAIAAPPQPLRYQTTHSMPAEKVEIFKSLESWASSSVLPLLKPVEKCWQPNEFLPDPSRPSDEFFDEVRALRERTSQLPDDYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASQNPWAAWTRAWTAEENRHGDLLRTYLYLSGRVDMTMIEKTVQYLLGVGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDLVLARICGSIAADERRHENAYANIVEKLLEVDPNGAMLAIADMMRKKITMPAHLMYDGQDINLFEHFSAVAQRLGVYTAHDYADILEFLIGRWKLEKMEGLNGDGRGAQDFVCRLPLRIRKLQERADERAKKLAPRGVKFSWIFNREVTI >itb12g25410.t1 pep chromosome:ASM357664v1:12:26648818:26651440:-1 gene:itb12g25410 transcript:itb12g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKTGTVAQPANHSSPAGDGAGREKEWEMRPGGMLVQKRSPDSDNLPPPPTIRVRVKYGSVYHEINISSQATFGELKKMLTGPTGLHHQDQKLLFKDKERNSNAFLDVSGVKDKSKMVLVEDPISQEKRYVEMRKNAKMERAAKTISEISLEVDRLAGQVSALESVISKGGKVAEKTVVNLIELLMNQLLKLDGINGEGDVKLQRKMQVKRVQKCVETLDMLKIKNSLPDSSSDKVNSPLPASNGNHIQKHQSPSPAKQHHHHKHRYSHDLISLPVLHEEEQTGHSFTNSPGKTPQQQQPSRRSASGSVVITTQWETFDSAPVPLLDHFSTPTTTTPSSINHRPNWDLL >itb02g14500.t1 pep chromosome:ASM357664v1:2:10560512:10568381:-1 gene:itb02g14500 transcript:itb02g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGHSFCLSFLLLLSLSLFVGFSSSSSISDGVFVSQSSTGRNLLQAKKACPINFEFQNYTVITSQCKGPQYPPKLCCGAFTEFACPYAEYLNDLTNYCAATMFSYINLYGKYPPGLFANECKGNKNGLECPALLPSELSNDHSGTYINYGVFVSQSSTGRNLLQAKKACPINFEFQNYTVITSQCKGPQYPPKQCCGAFTQFACPYAEYLNDLTNDCASTMFSYINLYGKYPPGLFANECKGDKKGLECPALSPSELSNDHSGTYINCKPSSMLVFAAIFLVLLARFI >itb04g24200.t1 pep chromosome:ASM357664v1:4:28948493:28948801:-1 gene:itb04g24200 transcript:itb04g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRSLASCKPVVIFSKSSCFMSHSIKSLVTSFGANATVYELDELPNGQQLERELVASGLRPSVPAVYIGGDFVGGESEIMSLHLKGQLVPLLKKAKAIWV >itb04g16980.t1 pep chromosome:ASM357664v1:4:19221404:19222236:-1 gene:itb04g16980 transcript:itb04g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKQVLSNGKKGGLNVGAVLILPEGFELAPPDRLSTEMKEKIGNLSFQSYGPNKKNILVVGPVPGKKYSEITFPILSPDPATKRDARFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAAGIVSKIIRKEKGGYEITITDASDSRQVVDIIPPGPELLVSEGESIKFDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQIFLVLKKKQFEKVQLAEMNF >itb06g20310.t1 pep chromosome:ASM357664v1:6:23337842:23340343:-1 gene:itb06g20310 transcript:itb06g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSLLSLLILALTTAACAVRADEDPLIRQVVSDGEDDDLLNADHHFTLFKSKFGKSYATQEEHDYRLSVFKANLRRAKRHQMLDPSAVHGVTKFSDLTPKEFRRTYLGIRKSSSSKQKLKLKLPADAHAAEILPTSDLPSDFDWRDYGAVTAVKDQGSCGSCWSFSTTGALEGANFLATGELVSLSEQQLVDCDHLCDPEEAGACDAGCNGGLMTTAYEYVLQSGGLEKEKDYPYTGRDGTCKFDKSKIAAAVANFSVVSLDEDQIAANLVKHGPLSVGINSIFMQTYIGGVSCPYICSKKNLDHGVLIVGYGAAGYAPIRFKDKPYWIIKNSWGENWGEEGYYKICRGNNICGVDSMVSTVTAASTIKQ >itb04g29570.t1 pep chromosome:ASM357664v1:4:32903453:32908695:1 gene:itb04g29570 transcript:itb04g29570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIESHEFKLLLSCPSGISPAQMSVAFDQAYDRILHPDPALESSISEIWDQRVQQSPSLFNGLKFRYGGFTFNSMNDSQQEPHVSLHLGLTDYRTFVGTNLSPLWERFLVQSEDDCKQCQHTSSPLGNGAIVETSDNKILVLRRSNRVGEFPGYLVFPGGHPEPNEVGVTCHKSDMDLNHSDIFNRKVSQEMFDSIVREVVEETGVPAVNLFSPVFIGVSRRVLNVRPTAFFFIKCNLQSKEIQHLYCNAEDSFESTQLQAVSMSDLESMGNKMPGCHRGGLELYKLMHKP >itb08g01180.t1 pep chromosome:ASM357664v1:8:888200:888940:-1 gene:itb08g01180 transcript:itb08g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQKCKLCWKQFANGKALGGHMRSHLAPLPLPPPQNQDSGGVDPIRKPTQGRSKRARRLFLSEENKESADQGEAETVTEENIAMCLLMLSDDVREDSGTIKRRKTSKDKENFQCEICNGVFKCSQALGSHRTAHRNKTISGGFDREKPRNLGVKMEGKRLHECPFCGKIFGSGQALGGHKRSHSSSGSAGSSSCSSKIDESLMVDSNPDGDDVLNTMIDLNFPAPVEEDNLNSNPESLITTSFQS >itb01g27950.t1 pep chromosome:ASM357664v1:1:32516693:32517941:-1 gene:itb01g27950 transcript:itb01g27950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEVKNKQVVLKHNIDGFPKESDMEIRSITLKLEAPQGMAGMTAYVGFHEVCSPKKGDTVYVSAASGAVGQLVGQLAKLFGCYVVGSAGTKQKVDLLKNKLGFDDAFNYKEEQDLDAALKWCELT >itb15g08270.t1 pep chromosome:ASM357664v1:15:5792091:5795107:1 gene:itb15g08270 transcript:itb15g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNAEMNRGQIGEEEGEIDNQKWVCDSSLDHKGRVPLRASTGVWKASLFIIVIEFAERLSYFGIASSLIIYLTKIIHQDLKTAAKNVNYWTGVTTLMPLFGGFLADACLGRFSTVLASSIVYLLGLLLLTMSRVIPSLKPCEGDVCGGRGKVHETIFFLAIYLISIGTGGHKPSLESFGADQFDDDHAGERKKKMSFFNWWNFGLCSGLLMGVTLIVYVQDHVSWALADVILSGVMGFSIVIFCVGRPVYRFRKAAGSPLTPLLQVLVAAIRKRNLDCPSNHGQLYEVPKSEYARGRLLCHTRKLKFFDKAAIVEDKAGSSNPWRLATVTKVEEMKLVINMVPIWVTTLPFGMCVAQAATFFIKQGATLDRKIINGFEIPPATIYSLAAIGMIISVAIYDRILVPVLRKATGNERGLTILQRIGIGMIFSVTTMAVAALVERKRLHFVRENATSDSMSVFWLAPQFLIIGIGDGFALVGLQEYFYDQVPDSMRSLGIAFYLSVNGAANFLSSLLITVVDNVTGKNGRSWFGKDLNSSRLDYFYWLMGGITAANLCVYVLVARNYTYKNVQSKTTMAVADCDDADDGGRGAMV >itb01g16250.t1 pep chromosome:ASM357664v1:1:20285911:20292992:-1 gene:itb01g16250 transcript:itb01g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFGCCTKPPTVISVQDRSKGLKIQGQQVRKKSLREDFWSSSACEMDNSAFPSHRSASSISTSNHAFDPICRTGTTNNHSEFVNNGFIVWNQIRQQWIGIRESQNRVPVERPKLSFEATYENLLGTNKRFPRPIPLSEMVDFLVDVWEQEGLYD >itb14g02770.t1 pep chromosome:ASM357664v1:14:2392833:2393327:1 gene:itb14g02770 transcript:itb14g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHELIARIRTEVPEVGSRVSLKRTSGHPAVPPRSLHHPPQPAASTAATAAAAAPEGEPAAAAAAPAAGPAAADEEREDHGGDEVGEGDLDPVNDCVFSGLRWKDLVEPLEGFSAQILYCLEKLFQLLNHEVHHIIKPHLSRSIVVFTSCCCWLFFFPALQS >itb14g16480.t1 pep chromosome:ASM357664v1:14:19828300:19828887:1 gene:itb14g16480 transcript:itb14g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVQYMVEDGSDRRQMVELDINQGTIECTCRMYTRIGLLCRHMYAALKHAGIDQVPTEYIKTRWTRNARIKIGANVETARDEESAHEEAKVRKTFLNCICLAKGRTDRLQQLAQILNEKEKSFINEDTMQKDLVEQSNPPQEFYDRGGPSTTTIHCPKVAKNKGSGKRLKSVKEIAVEKTAKQRARMRDVWQK >itb11g03010.t1 pep chromosome:ASM357664v1:11:1532595:1536640:-1 gene:itb11g03010 transcript:itb11g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSKEVEFFTEYGDANRYKILEIIGKGSYGVVCAALDTHTGEKVAIKKINDIFEHISDAIRILREVKLLRILRHPDIVEIKRIMIPPSRRDFKDIYVVFELMESDLHQVIKANDDLTHEHHRFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKICDFGLARVSFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGSPSPETISVVRNEKARKYLMDMRKKNPVPFSEKFPSVDPLALRLLQRLLAFDPKDRPTAEQALADPYFKGLAKIEREPSCLPISKLEFEFERRRLTKEDIRELIFREILEYHPQLLKDYMSGNDSSYLYPSALGHFRRQFAYLEEKSGKSGPVIPPERKHVSVPRSTINSSTIPPKTQPSMSVFDLRRASEEVSAGVRVTETVSNNLPKPSRPPPRVPAAAAAKPGRVVGPVLPLESDRTIKDVHNGHAYPQNPVLPPHYAAFRTNSGKNQAKYGAEVAKASSQISRVPHMLQSDAKLTQKTNNGVINSNPYFQAQANVGQLNSQMVALDAKLMQAQSQFGAVAAHREVGAVQYGLT >itb12g10510.t1 pep chromosome:ASM357664v1:12:8634300:8634847:1 gene:itb12g10510 transcript:itb12g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLVLCLILTDAFLLLSVADDDSVAANSPASSPSNVNGVSEGPSSRKIGKIWVESTRRHNDGGGFEAQKQESAGEDEKQQRRHRGFNKSIYGGGVILGGLATTFLVAVFCYIRATGRKNASDDSPVSSPSASPRVTDSV >itb01g04950.t1 pep chromosome:ASM357664v1:1:3367805:3372945:-1 gene:itb01g04950 transcript:itb01g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFARTFRENPSLSKLLIVFTVSGGSLVAYSEATKPHDSNNLGDLTEANNQKKRVVVLGTGWAGTSFLKNLKDPSYDVQVISPRNYFAFTPLLPSVTCGTVEGRSIVEPIRNIIRKKNVDMCYSEAECIKIDAKNKKVYCRSNLNGKEEFAVDYDYLVIAIGARVNTFNIPGVEENTFFLKEVEDAQRIRRTVIDCFEKASLPYLTDEERKRILHFVIVGGGPTGVEFAAQLHDFVSEDVVRLYPKVKDFVKITLVEATDHILNMFDKRITAFAEEKFGRDGIDVKTGSMVVKVSDKEISTKDVKSGDITSTPYGMVVWSTGIGTRPVVTNFMKEIGQGHRRVLATDEWLRVEGSDTVYALGDTATINQRKVMEDIAVIFQKADKDNSGTLTKKEFQEVLNDICERYPQVEIYLKNNQISGLVDLLKEAKGDDVKESVEVDIEEFKSALSKVDSQMKNLPATAQVASQQGVYLADCFNRMEKCEKDPEGPIRFRGEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKQVSWRTRALVVTDWTRRFLFGRDSSQI >itb13g11650.t1 pep chromosome:ASM357664v1:13:16282960:16284483:1 gene:itb13g11650 transcript:itb13g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRADEISKIIRERIEGYNREVKVVNTGTVLQVGDGIARIHGLDEVMAGELVEFEEGTIGIALNLESNNVGVVLMGDGLMIQEGSSVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEITASESRLIESPAPGIISRRSVYEPLQTGLIAIDAMIPVGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVTNFQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSLLGEGSMTALPIVETQAGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKKVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQPQSAPLTVEEQVMTIYTGTNGYLDSLELDQVRKYLVELRTYVKTNKPEFQEIISSTKTFTEEAEALLKEAIQEQMERFLLQEQA >itb12g20850.t1 pep chromosome:ASM357664v1:12:23300143:23301620:-1 gene:itb12g20850 transcript:itb12g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKIGSGSFGEIYLGTNLQTNEELEIKLENVKTKHPQLLYESKLYRILQGGTGVPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLTDQMIIRVEFVHTKSFLH >itb11g11350.t1 pep chromosome:ASM357664v1:11:8288722:8291116:-1 gene:itb11g11350 transcript:itb11g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSSSFLGFLLLLLVLTTSCDAVDSYGEKQVVFPLKKLQSRTWRKGYNLNPTCSSQKSRKENGATILEMKHKGLCSGAIKNWEEWQQKSLADDEIRVRSIQSHIKNSISRKKIEGDSAQAQTPITSGLKLQTLNYIVSISIGSRNMTVIVDTGSDLTWVQCQPCRSCYSQPQPLFNPSLSPSYLSVPCNASACQSLQFATGNLGLCGGNASVCSYSVSYGDGSYTNGELGRDHLVLGTTKVEGFVFGCGRNNKGLFGLASGLMGLGRSDVSLISQTKDVFGGVFSYCLPSTEADDSGSLVFGANPSVFKNTTPISYAAMLQNPQLYSFYTLNLTGTSVGGVQVQTSGSGSTNGIILDSGTVITRLPPSTYKAVKAEFLKQFSGYPVAPGFSILDTCFDLSAYDEVNIPTVKLHFDGGAAELSVDATGIFYFARRDASQVCLALASLAYEAEIGIIGNYQQRNTRVIYDTKNSHVGFAKETCSFM >itb14g06480.t1 pep chromosome:ASM357664v1:14:5706928:5710006:1 gene:itb14g06480 transcript:itb14g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTADRRPLLAWRPLLSSPTPERRCLLRPTQLPAPPCPSTASPKAYRPAPPTVAAPPSVVALPIAVAPPTAAAACLELKSNQQVLRICDIRGHRRT >itb14g06480.t4 pep chromosome:ASM357664v1:14:5706928:5710009:1 gene:itb14g06480 transcript:itb14g06480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTADRRPLLAWRPLLSSPTPERRCLLRPTQLPAPPCPSTASPKAYRPAPPTVAAPPSVVALPIAVAPPTAAAA >itb14g06480.t3 pep chromosome:ASM357664v1:14:5706928:5710006:1 gene:itb14g06480 transcript:itb14g06480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTADRRPLLAWRPLLSSPTPERRCLLRPTQLPAPPCPSTASPKAYRPAPPTVAAPPSVVALPIAVAPPTAAAA >itb14g06480.t2 pep chromosome:ASM357664v1:14:5706928:5710009:1 gene:itb14g06480 transcript:itb14g06480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTADRRPLLAWRPLLSSPTPERRCLLRPTQLPAPPCPSTASPKAYRPAPPTVAAPPSVVALPIAVAPPTAAAACLELKSNQQVLRICDIRGHRRT >itb01g25460.t1 pep chromosome:ASM357664v1:1:30863787:30865852:-1 gene:itb01g25460 transcript:itb01g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDLQNKDTNLVLSSDPKPRLKWTPELHQRFIDAVTQLGGSEKATPKSLMRLMNIHGLTLYHLKSHLQKFRLAKSQELQSYHDDKDEDYKQSQSQESQMHSESSDGAQNQMNESMQISQALQMQMEVQRKLHEQIKVQKHLQLRIEAQGKYLQSVLKKAQETLARYGPSDHAELAKSELSQLVSMVDTGCPSSSLSVLTEIGGSISNCTEQLRTGIGCSLDSSLTSSESSGRKEDTQERHENKENDERKENSVELSLMEMHPGQQNGSKDDLSGRKRSRSIISFDNCVEKPSDKRFQASINDEKLKKFGFLENIDLNSECVDDFDT >itb12g11480.t2 pep chromosome:ASM357664v1:12:9732215:9739587:-1 gene:itb12g11480 transcript:itb12g11480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLSLNSKIPATLRGRTDSSQSQATPLFVPREIIKLVSGDWRSCRVGVVRASASSSSTEVVDDRRGVAFQEKAEKGRVLRVGVICGGPSAERGISLNSARSVIDHIQGDDLHVSCYYIDSDLKAFAISSAQVYSNTPADFDFKLESLAQGFESLSDFAEHLAASVDIVFPVIHGRFGEDGGIQELLERSNIPFVGTRSAECQKAFDKYEAALELDKQGFVTIPNFLIQGNDLDESKLLNWFERNKLDAKLGKVVVKPTKAGSSIGVTVAYGVTDALLKANAIISEGIDDKVIIEIFLEGGSEFTAIVLDVGSGFDCQPVVLLPSEVELQLHDNANVKEKDAIFNYRRKYLPTRQVAYHTPPRFPVEVIQTIREGASLLFQRLGLRDFARIDGWFLPSSGHVSSSVGNKLGRTDLGTVIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPALLSNSIISGASQRKPNSSTPTEVLPNPKQKKKVFVIFGGDTSERQVSLISGTNVWLNLRAFDDLEVTPCLLAPGNGYSSKLDGNKQGVKSRTVWSLPYSLILRHTIEEVLDACLEAIEPDRVALTSHLRSQVMTDLMEGLRNHEWFHGFDISDELPERFSLGDWVKLAKETQATVFIAVHGGIGEDGTLQSLLEDEGVPYTGPGVVASRTCMDKVATSLALENLADSGVLTINKVVKRKEELLKLPVTGLWHDLITKLRSNTLCVKPARDGCSTGVARLCCDGDLTVYIKALEDYLPRIPPNSFSKAHGMIEMPINPPELLIFEPFIETDAITVSSKSRNENAHHLLWKGDSRWVEITVGVVGKLGAMRSLTPSITVKESGDILSLEEKFQGGTGINLTPPPPSIIRFIFFSSFFFGEIVFRMLL >itb12g11480.t1 pep chromosome:ASM357664v1:12:9731045:9739587:-1 gene:itb12g11480 transcript:itb12g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLSLNSKIPATLRGRTDSSQSQATPLFVPREIIKLVSGDWRSCRVGVVRASASSSSTEVVDDRRGVAFQEKAEKGRVLRVGVICGGPSAERGISLNSARSVIDHIQGDDLHVSCYYIDSDLKAFAISSAQVYSNTPADFDFKLESLAQGFESLSDFAEHLAASVDIVFPVIHGRFGEDGGIQELLERSNIPFVGTRSAECQKAFDKYEAALELDKQGFVTIPNFLIQGNDLDESKLLNWFERNKLDAKLGKVVVKPTKAGSSIGVTVAYGVTDALLKANAIISEGIDDKVIIEIFLEGGSEFTAIVLDVGSGFDCQPVVLLPSEVELQLHDNANVKEKDAIFNYRRKYLPTRQVAYHTPPRFPVEVIQTIREGASLLFQRLGLRDFARIDGWFLPSSGHVSSSVGNKLGRTDLGTVIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQRACLRFPALLSNSIISGASQRKPNSSTPTEVLPNPKQKKKVFVIFGGDTSERQVSLISGTNVWLNLRAFDDLEVTPCLLAPGNGYSSKLDGNKQGVKSRTVWSLPYSLILRHTIEEVLDACLEAIEPDRVALTSHLRSQVMTDLMEGLRNHEWFHGFDISDELPERFSLGDWVKLAKETQATVFIAVHGGIGEDGTLQSLLEDEGVPYTGPGVVASRTCMDKVATSLALENLADSGVLTINKVVKRKEELLKLPVTGLWHDLITKLRSNTLCVKPARDGCSTGVARLCCDGDLTVYIKALEDYLPRIPPNSFSKAHGMIEMPINPPELLIFEPFIETDAITVSSKSRNENAHHLLWKGDSRWVEITVGVVGKLGAMRSLTPSITVKESGDILSLEEKFQGGTGINLTPPPPSIISSGALERCKQHIELIANNLQLEGFSRIDAFVHVDSGEVLIIEVNTIPGMTPSTVLIHQALAEESPMYPHDFFRFLLDLASERSM >itb06g23120.t1 pep chromosome:ASM357664v1:6:25218502:25220884:1 gene:itb06g23120 transcript:itb06g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGLVCSPSTIHFCAQELPFSPPSFSSLYKSARPSSLLIPPTLSLRNSPLLLPFPLTPKTPRFHVLQATAPLSEAIGRTEDVQSGDEAPGNRVLAQNVPWNSTADDLRPLFEKYGTVVDIELSMYNKSRNRGLAFVTMGSHEEAVAALTNLDSYEFEGRILKLNWATPKRKKPSSPPVPKPIYNLFVANLPFQARAKDLREFFNADNGNVASAEVIFLEDPRRSAGYGFVSFNTKEAAEAALLAFEGKEFMGRPIRVARSKMYLREQTKLDIQSQSAPSESNPEGE >itb03g10410.t1 pep chromosome:ASM357664v1:3:8224272:8226427:-1 gene:itb03g10410 transcript:itb03g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMTEVGGRGCGGESRPDAAKTQDNNISTKNRVFSSSRPTFKRVQVVYYLSRNGHLEHPHYMEVTHLVHRQLLLKDVMDRLTALRGKGMPSLYSWSCKRSYKNGYVWNDLAENDVIYPCEGSEYILKGSELVQGSSEKFQMLQVSNSQQFQLVRNAHDGGDFLPKRKPVAMKRYPEPEEVQNNNYGEENEEDEDEEEEEYEEKISYSNCSATPHSRCSRRAFTEEKDKNSGELTRDDSSSPSSTSSLSEKGNKDGDPVVAESSLLSRNSVLFQLISCGGSASFRGKTLAPFTKQSAAAASVTVGRKSCSGSSLQKEVLIRAAARATPPKAAVVGTEDEEEIKYMSENPRFGNIQSEEKEYFSGSIVESITTEERAEIHPGNLKKSNSYNEERSCKAGLGDGAEKEGKRRGKSEKGGGKCIPIKKSSSKHFKK >itb10g01280.t1 pep chromosome:ASM357664v1:10:937521:941027:-1 gene:itb10g01280 transcript:itb10g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAGAFVYSRIPTGTKLHSKTYPTQCFSKKLEVAEFSGLKSSGGVTFSNREASFSDVVAAQLTPKAAVSAPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSAGVKNAAHLLKYDSMLGTFKADVKVVNNETISVDGKLIKVVSSRDPLKLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYDHDVAAIISNASCTTNCLAPFVKVMDEELGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPKLKGKLNGIALRVPTPNVSVVDLVVNVEKKGLTAEDVNAAFRKAADGPLKGILDVCDAPLVSVDFRCTDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVANKWPGVAAAGSGDPLEDFCKTNPADEECKVYEA >itb01g22450.t1 pep chromosome:ASM357664v1:1:28349801:28351304:1 gene:itb01g22450 transcript:itb01g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMFMRKPNKAASLKQLKAHVGMFGAWVVVIRVAPYVLHYFSDQKEELKHTENLRGCDLRVESPLAISTAQLTTSPSLLATGIPFTIDRSPLPLTLCTLAGGPSETPLAICCFPFQLFQFSHRLSTLSTFAWVDNQKD >itb01g07020.t1 pep chromosome:ASM357664v1:1:5233763:5235408:1 gene:itb01g07020 transcript:itb01g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDETPGQMPPGDGSVPPGKAMSVAQKAVDKGVQLIQSLKPMKQMGQHVCTFALYSHDLSRQIETHHYVARLNHDFLQCAVYDSDAPNARLIGVEYIVSEKIFDTLPPEEQKLWHSHAYEIISGLWVNPGVPEMVQKQELQQLSPTYGKFWCTWQVDRGDRLPLGAPALMVSPQGESMGTVKPELIRKRDEKYKISSEELGKSRVDVLVPENINPNADYWVQTGKGFAVDVEQVEMKKHSPFP >itb12g26190.t1 pep chromosome:ASM357664v1:12:27199116:27201483:1 gene:itb12g26190 transcript:itb12g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINRPPSFITNDNALSAIASSSSSLSRFSTVSPLIFTKFPPRPRPLTSSSLPRRPLLCSKPSGEAELSGTGDEWLERLPDKKKPLYAHSLPCIEAWLKSLGFYQSKDDRALWFVENPDWHAQLSLDATDLYIRYLKSGPGILEKDVERRFSYALSREDIENAILGGP >itb01g13720.t1 pep chromosome:ASM357664v1:1:14649776:14650144:-1 gene:itb01g13720 transcript:itb01g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPAAAQTRLILLILGLLLTASILLVRRLEQPPEASTPAPKNHRYSAMQLPPSAAATGSSKGFRVSFHGVPSGPNPDHNNSSSSSIV >itb08g11900.t1 pep chromosome:ASM357664v1:8:11934967:11935359:1 gene:itb08g11900 transcript:itb08g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQTTDSGWGNYHANPRNSSSSSSDPLERVVRLASGSAVVIFSVSTCCMCHAVKRLFCGMGVNPTVYELDQDPKGKEMEKALSRLLGNSPPVPVVFIGGKLVGTMDRVMASHINGTLVPLLKEAGALWL >itb01g31220.t1 pep chromosome:ASM357664v1:1:35052144:35053448:1 gene:itb01g31220 transcript:itb01g31220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHSPQWQKHEFSEGLSNKRKRGDERAKDINSLLGNELPLMAPLPLEWQRCLDIKSGQVYFFNTRTQEKTSRDPRLSPEPVPMSLDLELNLLCGSSEKHNNVGDNFTKSDVSHDLGDGGKKKRGGFLSLARSPSWLTFDGDQQAEMVTAVCKKCHMLVMVCKSSPTCPNCKFVNLPDQKSPTLSNQRPSLYC >itb11g23510.t1 pep chromosome:ASM357664v1:11:25503451:25516063:-1 gene:itb11g23510 transcript:itb11g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MDGEARVSVNAADDLKPSYWIDACEDIPCDDFLHEYVNCDSHATATLASQTSNHEEPDPCFFGEIDHILENIKNGSSGDPPSTPCNVNGHENHASSPVTSETSCAFKDPKFNHRNGHLHMNNNVKPSESGFGRAWPECKGNGYSQLSDKGRYGKRALLSESMNERRNPDKPLGRKRLREFSDIDRRDRDQIKRREHQGFFKRERDWNEGRGYWERDKERNEMVFRTGLWEADRNREGKLPSGKSLEWNGGVEIKPEKPKEQPPEEQARQYQLDVLEQAKQKNTIAFLETGAGKTLIAILLIRSLYTDLQKQNKKILAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFETKQVLVMTAQILLNILRHSIIKMESINLLILDECHHAVKKHPYSLVMSEFYHTAPKGKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTVKDRKELEKHVPMPSEIVVEYDKAATLWSLHEQIKRMEVEVELAAQSSSRRSKWQFMGARDAGVKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAFKVAHSFLTALQSDERPNYQLDVKFQESYLSKVVSLLQCKLTEGAVAESNARVVDMDICKTLDSNMPDEIEEGELLDSYVVSGGEHVDVIIGAAVADGKVTPKVQSLIKVLLNYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFVKSASLIGHNNSQEMRTCQMQETIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHETFLRNARNSEETLRKEAIERTDISHLKGASNLVSTEALPGTVYQVESTGAVVSLNSAVGLIHFYCSQLPTDRYSILRPEFIMERHEKPGGPSEYSCRLQLPCNAPFDRLEGPVCSSMHLAQQAVCLDACKKLHEMGAFTDMLLPDKGSGTESEKVEENDEGDPLPGTARHREFYPEGVADILQGEWILSGKDDCDSSKFFHLYMYAVKCENVGSSKDPFLTQASEFAVLFGNELDAEVLSMSMDLFIARTVITKASLVFQGPVEITETQLASLKSFHVRLMSIVLDVDVEPSTTPWDTAKAYLFVPIAGGKSGDPVKGIDWDLVEKITRTDAWNNPLQRARPDVFLGTNERALGGDRREYGFGKLRHGLAFGLKSHPTYGIRGAVAQFDVVKASGLVPSRLTIKMCDTVKSSNSKLVMFDCCSRAEELIGRIVTAAHSGKRFYVDSVRYEMTAESSFPRKEGYLGPLEYSTYADYYKLKYGVDLLYRQQPLLRCRGVSYCKNLLSPRFEHSEGESEEIIDKTYYVFLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIISYPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFSPSRWAAPGVPPVFDEDTYEGESSLFDQEILNATRAETYHDVDGYGDEETEDGELENDSSSYRVLSSKTLADVVEALIGVYYVEGGKHSANHFMKWIGIQIDFNSNDINNKICPSNIPENILRSVDFEALECALNIKFNDKGLLVEAITHASRPSSGVPCYQRLEFVGDAVLDHLITRYLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHSLHVHLRHGSSALEKQIRDFVFEVQNELSKPEFNSFGLGDCKAPKVLGDIVESIAGAVFLDSGCDTAAVWRVFQPLLDPMVTPETLPMHPVRELQERCQQQAEGLEYKACRNGNIATVEVYVDGVQVGIAQNPQKKMAQKLAARNALVALKEKEAAEAANKGEDEEGKKKNCSQTFTRQTLNDICLRRNWPMPLYRCVHEGGPAHAKRFTFAVRVNTSDRGWIDECIGEAMPSVKKAKDSAAAVLLELITKWYSS >itb11g23510.t2 pep chromosome:ASM357664v1:11:25503451:25516016:-1 gene:itb11g23510 transcript:itb11g23510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MDGEARVSVNAADDLKPSYWIDACEDIPCDDFLHEYVNCDSHATATLASQTSNHEEPDPCFFGEIDHILENIKNGSSGDPPSTPCNVNGHENHASSPVTSETSCAFKDPKFNHRNGHLHMNNNVKPSESGFGRAWPECKGNGYSQLSDKGRYGKRALLSESMNERRNPDKPLGRKRLREFSDIDRRDRDQIKRREHQGFFKRERDWNEGRGYWERDKERNEMVFRTGLWEADRNREGKLPSGKSLEWNGGVEIKPEKPKEQPPEEQARQYQLDVLEQAKQKNTIAFLETGAGKTLIAILLIRSLYTDLQKQNKKILAVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFETKQVLVMTAQILLNILRHSIIKMESINLLILDECHHAVKKHPYSLVMSEFYHTAPKGKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTVKDRKELEKHVPMPSEIVVEYDKAATLWSLHEQIKRMEVEVELAAQSSSRRSKWQFMGARDAGVKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAFKVAHSFLTALQSDERPNYQLDVKFQESYLSKVVSLLQCKLTEGAVAESNARVVDMDICKTLDSNMPDEIEEGELLDSYVVSGGEHVDVIIGAAVADGKVTPKVQSLIKVLLNYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFVKSASLIGHNNSQEMRTCQMQETIAKFRDGRVNLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHETFLRNARNSEETLRKEAIERTDISHLKGASNLVSTEALPGTVYQVESTGAVVSLNSAVGLIHFYCSQLPTDRYSILRPEFIMERHEKPGGPSEYSCRLQLPCNAPFDRLEGPVCSSMHLAQQAVCLDACKKLHEMGAFTDMLLPDKGSGTESEKVEENDEGDPLPGTARHREFYPEGVADILQGEWILSGKDDCDSSKFFHLYMYAVKCENVGSSKDPFLTQASEFAVLFGNELDAEVLSMSMDLFIARTVITKASLVFQGPVEITETQLASLKSFHVRLMSIVLDVDVEPSTTPWDTAKAYLFVPIAGGKSGDPVKGIDWDLVEKITRTDAWNNPLQRARPDVFLGTNERALGGDRREYGFGKLRHGLAFGLKSHPTYGIRGAVAQFDVVKASGLVPSRLTIKMCDTVKSSNSKLVMFDCCSRAEELIGRIVTAAHSGKRFYVDSVRYEMTAESSFPRKEGYLGPLEYSTYADYYKLKYGVDLLYRQQPLLRCRGVSYCKNLLSPRFEHSEGESEEIIDKTYYVFLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIISYPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFSPSRWAAPGVPPVFDEDTYEGESSLFDQEILNATRAETYHDVDGYGDEETEDGELENDSSSYRVLSSKTLADVVEALIGVYYVEGGKHSANHFMKWIGIQIDFNSNDINNKICPSNIPENILRSVDFEALECALNIKFNDKGLLVEAITHASRPSSGVPCYQRLEFVGDAVLDHLITRYLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHSLHVHLRHGSSALEKQV >itb03g28500.t1 pep chromosome:ASM357664v1:3:29239244:29244917:-1 gene:itb03g28500 transcript:itb03g28500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSEKGPVELCKGINGLDKVVLREVRGSSAEVYFYGAHLTSWKNEHGEEMLFVSSKAIFKPPKAIRGGIPICFPQFSNLGPLEQHGFARNRVWTADKDPPPVPPNSRAFIDLILKPSEDDLKIWSHSYEFRLRVTLGPAGDLMLTSRIRNTNTDGKPFTFTFAYHTYLSVSDICEVRVEGLETLDYLDNLQNRERFTEQGDAITFEGEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAVEKAITLKPGEEWRGRQELSVVPSSYCSGQLDPQKVLHGS >itb06g08470.t1 pep chromosome:ASM357664v1:6:12464983:12468856:1 gene:itb06g08470 transcript:itb06g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGMVVDESMSNLTSASNEASISSTSNNPAQPAAKKKRNLPGNPDPEAEVVALSPRTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKQEVIKKKVYVCPETSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESVRAISAPTNNPLLSSHIALQQPFPVLKQEPPPLPSFRPLRAAAQPELPPWLACPPQAAGPSSAAADLSAQLFGNIHENPNMSATALLQKAAQMGVTSSTNNNLVGAASGNVIGPHQGPVHMSAPAGGFFSEELALASFGVPNKPQTHHANLTSGCMETSLTAPPPHRNMIINSASTRDFLTLGAFPHHQRDTFLNMASLDHMPPPSSSSSSYDHHNLNQNQNQNLNQNHNQNQPPWLN >itb08g00140.t5 pep chromosome:ASM357664v1:8:147613:155090:1 gene:itb08g00140 transcript:itb08g00140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAVLSRLRHPNIVRYYGSEMVDDKLYIYLEYVSGGSIYKILQEYGHLGEVAIRSYTQQILSGLAYLHGKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNANGCNRAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDHLSDEGKNFVRQCLQRNPHHRPTAAQLLDHPFVRNVAPIERAILSPGAGESVSPVLQPGIGHSRSPPCLETEGAAGLQSRNLKSVAGIRSLSLSLSLCLQSTRIIK >itb08g00140.t3 pep chromosome:ASM357664v1:8:147613:155090:1 gene:itb08g00140 transcript:itb08g00140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAVLSRLRHPNIVRYYGSEMVDDKLYIYLEYVSGGSIYKILQEYGHLGEVAIRSYTQQILSGLAYLHGKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNANGCNRAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDHLSDEGKNFVRQCLQRNPHHRPTAAQLLDHPFVRNVAPIERAILSPGAGESVSPVLQPGIGHSRSPPCLETEGAAGLQSRNLKSVAGIRSLSLSLSLCLQSTRIIK >itb08g00140.t2 pep chromosome:ASM357664v1:8:147613:155090:1 gene:itb08g00140 transcript:itb08g00140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAVLSRLRHPNIVRYYGSEMVDDKLYIYLEYVSGGSIYKILQEYGHLGEVAIRSYTQQILSGLAYLHGKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNANGCNRAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDHLSDEGKNFVRQCLQRNPHHRPTAAQLLDHPFVRNVAPIERAILSPGAGESVSPVLQPGIGHSRSPPCLETEGAAGLQSRNLKSVAGISDPLMQRNISCPVSPVGSPHLHSRSPQHMSAILSPSPISSPRATSGTSTPLTSGSGAIPMFTPKSPTNPRSQSGFYPNGNACQDLKPDIFRGIPQTHLFGETLAASENGLRGDHFGHPAQGGPYDGQSLLADRVAQQLLRGHVKLSPLLDPNSCSPVLGHNNRV >itb08g00140.t4 pep chromosome:ASM357664v1:8:147613:155090:1 gene:itb08g00140 transcript:itb08g00140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAVLSRLRHPNIVRYYGSEMVDDKLYIYLEYVSGGSIYKILQEYGHLGEVAIRSYTQQILSGLAYLHGKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVSIILNCALALMILSYAATICFMWLLCRL >itb08g00140.t1 pep chromosome:ASM357664v1:8:147526:155090:1 gene:itb08g00140 transcript:itb08g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAVLSRLRHPNIVRYYGSEMVDDKLYIYLEYVSGGSIYKILQEYGHLGEVAIRSYTQQILSGLAYLHGKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNANGCNRAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDHLSDEGKNFVRQCLQRNPHHRPTAAQLLDHPFVRNVAPIERAILSPGAGESVSPVLQPGIGHSRSPPCLETEGAAGLQSRNLKSVAGISDPLMQRNISCPVSPVGSPHLHSRSPQHMSAILSPSPISSPRATSGTSTPLTSGSGAIPMFTPKSPTNPRSQSGFYPNGNACQDLKPDIFRGIPQTHLFGETLAASENGLRGDHFGHPAQGGPYDGQSLLADRVAQQLLRGHVKLSPLLDPNSCSPVLGHNNRV >itb08g00140.t7 pep chromosome:ASM357664v1:8:147554:150940:1 gene:itb08g00140 transcript:itb08g00140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQVSYNEQPTIAD >itb08g00140.t6 pep chromosome:ASM357664v1:8:147613:155090:1 gene:itb08g00140 transcript:itb08g00140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MRSWWGWSSSKEEKKKTTTESFIDTINRKFKIGSSEKSSGKSRSSQRCHSDNISEKGSRSRVHSRSPSPSTSIPVSRCQSFVDRPVRPCAQPLPLPVVHLSNIQHGEPESNVSAKSATEYAFKPLLTLPLPEPRLELHGQDAMDIDRDLPTATASCDSSSDIDDPTDSHLLSPQASDYENGGRTSINSPSSAKQKVQTSISFNTNPIETSKSANILSNNQAVSPPSRRRPLRSQVLDLQIPRHGAFYSAPDSSMSSPSRSPMRVFGHDVKNSGFWPGKPYGDITFLGSGQCSSPGSGQNSGHNSIGGDIGQVFWPHSRCSPECSPVPSPRMASPGPSSRIHSGAVTPLHPRAGEASGESSTTWIDDGKQPSHLLPLPPPPITVSSPHFSPSYSAGASPVIPRSPGRTENPPSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSRESAQQLGQEIAVLSRLRHPNIVRYYGSEMVDDKLYIYLEYVSGGSIYKILQEYGHLGEVAIRSYTQQILSGLAYLHGKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVSIILNCALALMILSYAATICFMWLLCRL >itb09g28380.t1 pep chromosome:ASM357664v1:9:28986000:28987842:1 gene:itb09g28380 transcript:itb09g28380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVEFLLDQLSAVIRDECSLLGGIREDAEDIMNALSRLRAALRVADEREEIDPQVEAWVKIGRRYIIVLDDVWSFDVWSPIKYAFPGQKFGSRIVITTRNSEIGRDACHETQEGFVNKENGHQVKEEVAEAYLNQLIHRNLIQIAEKSFAGKIIGLRVHDIVRKVILSKALEQNFAVIVTGQNKEWSNKFRRLMIHRFDDDILKSTSSKILHLRSLQLFTDGVVSSSLSKLLSFDYIPLKVLDLRDNGLKEIPKEVFKLFHLKYLSLRNTMLRNVPKSIGRLQNLEILDLKRTAVKSLPVEVERLHKLRHLIVGYYWGNGFNAPFKIGGLLSLQKLHYIQANETNGNRVVSEIGNLTQLRKLGVRNLRREDGKELCSSLEKLTNLSSLSLDSAKVDEIIDIQHFLSRVPLCLRRLKLSGRLERIPRWLSSLVSLTKLELVNSWLLEDPLPLLQDLPMLAQLFLSKSYNVEELCFKVESSQS >itb15g17430.t1 pep chromosome:ASM357664v1:15:18060700:18063446:-1 gene:itb15g17430 transcript:itb15g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSRMKSLLNATLAKPAAFCTHLQGSVVARRSFNLTRPFQTPSFVRTKIAVSLFGVSAASERNQLRSFQTKASLPEPGEIHVIVGPMFAGKTTTLLERIKAEIGNGRNVAIIKSDKDTRYGLDSIVTHDGERMPCWPLANLSSFKQKIGSGAYDKLEVIGIDEAQFFTDLYEFCCEAADHDGKTVIVSGLDGDYLRRSFGSVLDIIPIADTVTKLTARCELCGECAHFTLRKTEETRTEIIAGADVYMPVCRKHYVSGQGLKKAARDVLESQQQVERIQFCDTADAIA >itb05g28350.t1 pep chromosome:ASM357664v1:5:31781227:31781928:1 gene:itb05g28350 transcript:itb05g28350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNMKPPEMDDTNYSFWKAKMQIYLRAQGTRFWSDVVTSWARPAKPGPNNTTIVKPKAEWSEDEITLPDNNNKALDSIIGSLHESVFTVVVGIDEAKIAWKVLQTRFKVKGQMETKTNA >itb11g16720.t1 pep chromosome:ASM357664v1:11:15188422:15197785:1 gene:itb11g16720 transcript:itb11g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase biotin carboxylase subunit [Source:Projected from Arabidopsis thaliana (AT5G35360) UniProtKB/TrEMBL;Acc:F4JYE0] MESAAATSFCTKSISSSPFRPGLLIGRTSSISSSQCSFTVGKRINFPRQRLQASRVSPKSSKYGGALGAVCQADKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSNQSYLLIQNVLSAAVSRGCTMLHPGYGFLSENALFVEMCKEHGINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAVKLADEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYNQEDIVLRGHSIECRINAEDAFKNFRPGPGRITAYLPAGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTRERAIARMKRALDDTIITGVPTTIDYHKLILDIEDFRNGKVDTAFIPKHEDELAAPTVTEKELVKASA >itb07g03870.t1 pep chromosome:ASM357664v1:7:2610945:2614825:-1 gene:itb07g03870 transcript:itb07g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRFMCMAKKDSRENGRGGASRSKRAERSKRRSAMEEELLQRQALAMAIQQHQLSQRFEGSMSRRIGPTASRRRNDLPESLNDSKQSLEILENIKTKKFVLIHGEGFGAWCWYKTVALLEETGLHPTALDLTGSGIDLTDTNNITTLVEYSKPLIDYLQNLPDDEKVILVGHSTGGACISYALEHFSQKIAKAVFLCATMISDGQRPFDVFAEELGSAELFLQDSKFLVYGNGKDKPATSFMFEKEQMWGLYFNQSPTKDMALAMASMRPIPLGPMMEKLSLTPENYGTSRRFYVQTLDDNALSPDVQEKIVRENPPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIQ >itb07g03870.t2 pep chromosome:ASM357664v1:7:2610945:2614825:-1 gene:itb07g03870 transcript:itb07g03870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRFMCMAKKDSRENGRGGASRSKRAERSKRRSAMEEELLQRQALAMAIQQHQLSQRFEGSMSRRIGPTASRRRNDLPESLNDSKQSLEILENIKTKKFVLIHGEGFGAWCWYKTVALLEETGLHPTALDLTGSGIDLTDTNNITTLVEYSKPLIDYLQNLPDDEKVILVGHSTGGACISYALEHFSQKIAKAVFLCATMISDGQRPFDVFAEELGSAELFLQDSKFLVYGNGKDKPATSFMFEKEQMWGLYFNQSPTKDMALAMASMRPIPLGPMMEKLSLTPENYGTSRRFYVQTLDDNALSPDVQEKIVRENPPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIQ >itb01g13080.t1 pep chromosome:ASM357664v1:1:12898742:12903024:-1 gene:itb01g13080 transcript:itb01g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLSPENASLVFFSHPPISTLFCSEACFWAFFCFGKRGWNWKIQKMLGEFITSSLVLILGYAYPALECFKSVEKNRVDIAELRFWCQYWIIVALVRVLESIGDLSVSWMPMYTEAKLALFIYLWHPKTKVLNIFTDCYIK >itb01g08870.t1 pep chromosome:ASM357664v1:1:7086148:7086474:1 gene:itb01g08870 transcript:itb01g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVLVDLGGEKFCVVTGSDEIDVLMYVFQIDFGLEHHIQSSSSGVGASSRILYYKKLQIGSNIQSFCIASTPPPIEDLDRVENTSKTEMSGDETVHKTKFLRSL >itb13g04690.t1 pep chromosome:ASM357664v1:13:5582603:5589280:1 gene:itb13g04690 transcript:itb13g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLGTVASSTANSITNHFFSNSILHSRRRSVTLIFPRKPKKIAVFASNGDKPPLKLNQWEQMELKFGRLMGEDPKLTLAKIMGKRSNPDMSYLEIEESFRKNKGKVFGNDIEEVPFDVSTKRGSLNSKNELNLVRPVPKEGFEFDIDDKEPIKTREIKQSKQISRPVENSESSVPNVILRKPTVAEEDTGSVKTSSFGMKPNLTQESIDTKEMKPSKQISEPVENSKSSVPNVTLRKPTVYSEEDTGSVRPSKFRMKPNLTLNMRKEPLNMSDMTLLKKPEPIRTSASENEKDGHSSDAKSEARDDIGESIPTNVHVTTGGPQDVIPLKKPEPLDLNQNNDQEQKTVDSIDEESLNLANAPVQYQQTNGNYVVEHPRKDQSVGQSFAFNSLSDTRSAELLDAALLGKPERLDQTKKSIPQKEVIPANYESNDVDIELDNFLKTSTIKDHEDFDWTSAENLVKTGERKEVELISSSTRGFVVSFGSLIGYLPYRNLATNLRFMPFESWLRSKGLDPSTYKQNLGVIRDYDDKMIDSSESRIVLQIDNTFEVSPDMKLEKLLSIFDQEKLKFLTSFVGQTVKVNVVLADRKSRRLIVSMKHKENEEMVQKKRSLMAKLRIGDVVKCCIKKITYFGIFVEVEGVPALVHQAEVSWDAMLDPASYYRIGQIVDARVHQLDFSLDRIFLSLREITPDPMTEALEAIVGDQDNLDGNFETAQPDAEWADVESLIRELQQFEGISSVSKGRYFLSPGLAPTFQVYMASSSENQYKLLARSGNQVQEVIVQTSLSKEEMKSAILICTNKVE >itb13g04690.t4 pep chromosome:ASM357664v1:13:5582603:5589280:1 gene:itb13g04690 transcript:itb13g04690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLGTVASSTANSITNHFFSNSILHSRRRSVTLIFPRKPKKIAVFASNGDKPPLKLNQWEQMELKFGRLMGEDPKLTLAKIMGKRSNPDMSYLEIEESFRKNKGKVFGNDIEEVPFDVSTKRGSLNSKNELNLVRPVPKEGFEFDIDDKEPIKTREIKQSKQISRPVENSESSVPNVILRKPTVAEEDTGSVKTSSFGMKPNLTQESIDTKEMKPSKQISEPVENSKSSVPNVTLRKPTVYSEEDTGSVRPSKFRMKPNLTLNMRKEPLNMSDMTLLKKPEPIRTSASENEKDGHSSDAKSEARDDIGESIPTNVHVTTGGPQDVIPLKKPEPLDLNQNNDQEQKTVDSIDEESLNLANAPVQYQQTNGNYVVEHPRKDQSVGQSFAFNSLSDTRSAELLDAALLGKPERLDQTKKSIPQKEVIPANYESNDVDIELDNFLKTSTIKDHEDFDWTSAENLVKTGERKEVELISSSTRGFVVSFGSLIGYLPYRNLATNLRFMPFESWLRSKGLDPSTYKQNLGVIRDYDDKMIDSSESRIVLQIDNTFEVSPDMKLEKLLSIFDQEKLKFLTSFVGQTVKVNVVLADRKSRRLIVSMKHKENEEMVQKKRSLMAKLRIGDVVKCCIKKITYFGIFVEVEGVPALVHQAEVSWDAMLDPASYYRIGQIVDARVHQLDFSLDRIFLSLREITVTYLIIIFFSFCCLVIKKLVWNAKSLCILLWQPDPMTEALEAIVGDQDNLDGNFETAQPDAEWADVESLIRELQQFEGISSVSKGRYFLSPGLAPTFQVYMASSSENQYKLLARSGNQVQEVIVQTSLSKEEMKSAILICTNKVE >itb13g04690.t2 pep chromosome:ASM357664v1:13:5582608:5589280:1 gene:itb13g04690 transcript:itb13g04690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLGTVASSTANSITNHFFSNSILHSRRRSVTLIFPRKPKKIAVFASNGDKPPLKLNQWEQMELKFGRLMGEDPKLTLAKIMGKRSNPDMSYLEIEESFRKNKGKVFGNDIEEVPFDVSTKRGSLNSKNELNLVRPVPKEGFEFDIDDKEPIKTREIKQSKQISRPVENSESSVPNVILRKPTVAEEDTGSVKTSSFGMKPNLTQESIDTKEMKPSKQISEPVENSKSSVPNVTLRKPTVYSEEDTGSVRPSKFRMKPNLTLNMRKEPLNMSDMTLLKKPEPIRTSASENEKDGHSSDAKSEARDDIGESIPTNVHVTTGGPQDVIPLKKPEPLDLNQNNDQEQKTVDSIDEESLNLANAPVQYQQTNGNYVVEHPRKDQSVGQSFAFNSLSDTRSAELLDAALLGKPERLDQTKKSIPQKEVIPANYESNDVDIELDNFLKTSTIKDHEDFDWTSAENLVKTGERKEVELISSSTRGFVVSFGSLIGYLPYRNLATNLRFMPFESWLRSKGLDPSTYKQNLGVIRDYDDKMIDSSESRIVLQIDNTFEVSPDMKLEKLLSIFDQEKLKFLTSFVGQTVKVNVVLADRKSRRLIVSMKHKENEEMVQKKRSLMAKLRIGDVVKCCIKKITYFGIFVEVEGVPALVHQAEVSWDAMLDPASYYRIGQIVDARVHQLDFSLDRIFLSLREITIQ >itb13g04690.t3 pep chromosome:ASM357664v1:13:5582603:5589280:1 gene:itb13g04690 transcript:itb13g04690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLGTVASSTANSITNHFFSNSILHSRRRSVTLIFPRKPKKIAVFASNGDKPPLKLNQWEQMELKFGRLMGEDPKLTLAKIMGKRSNPDMSYLEIEESFRKNKGKVFGNDIEEVPFDVSTKRGSLNSKNELNLVRPVPKEGFEFDIDDKEPIKTREIKQSKQISRPVENSESSVPNVILRKPTVAEEDTGSVKTSSFGMKPNLTQESIDTKEMKPSKQISEPVENSKSSVPNVTLRKPTVYSEEDTGSVRPSKFRMKPNLTLNMRKEPLNMSDMTLLKKPEPIRTSASENEKDGHSSDAKSEARDDIGESIPTNVHVTTGGPQDVIPLKKPEPLDLNQNNDQEQKTVDSIDEESLNLANAPVQYQQTNGNYVVEHPRKGLDQSVGQSFAFNSLSDTRSAELLDAALLGKPERLDQTKKSIPQKEVIPANYESNDVDIELDNFLKTSTIKDHEDFDWTSAENLVKTGERKEVELISSSTRGFVVSFGSLIGYLPYRNLATNLRFMPFESWLRSKGLDPSTYKQNLGVIRDYDDKMIDSSESRIVLQIDNTFEVSPDMKLEKLLSIFDQEKLKFLTSFVGQTVKVNVVLADRKSRRLIVSMKHKENEEMVQKKRSLMAKLRIGDVVKCCIKKITYFGIFVEVEGVPALVHQAEVSWDAMLDPASYYRIGQIVDARVHQLDFSLDRIFLSLREITPDPMTEALEAIVGDQDNLDGNFETAQPDAEWADVESLIRELQQFEGISSVSKGRYFLSPGLAPTFQVYMASSSENQYKLLARSGNQVQEVIVQTSLSKEEMKSAILICTNKVE >itb13g14380.t1 pep chromosome:ASM357664v1:13:20951355:20955128:-1 gene:itb13g14380 transcript:itb13g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGKRIVKASLAHGHATYVLHRREIGSTYDIDKLQMLLSFKEAGARLVEASFDDHRSLVDAVKQVDVVICTMSGVHFRSHNILLQLKLVEAIKESGNIKRFVPSEFGMDPARMGNAMEAGRVTFDEKMEIRKAIEEANIPHTYISANCFAGYFCGNLSQLGSLLPPKHIAYIYGTGNVKVIYMDEDDVAAYTVKCIDDPRTLNKTVYLRPQQNILTQRELIAKWENLKGIELQKITVTADEFLASMNDMDFVGQVGVIFYYHIFYEGCLTNFEIGDDGEEASQLYPEVHYTRMDEYLKRYL >itb06g12890.t1 pep chromosome:ASM357664v1:6:17460350:17467269:-1 gene:itb06g12890 transcript:itb06g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSSGGLSAAPRFRYQNPFQPVVLTEDEGDTDDEFHTAPRIPTFTTRGRPKQLVRVVSSLTQCQREDVISIGMGGLLGLQVADLPLQLGEWLVGNFDPDMMALKLCNGSYMSITTQDVARVLGLPNEPLPISERDGPHVSPELRAWREEIKHRKGKITVKALVTQMLELKGGGEWFRRHLSVVVVSTLIASVSNGYANQKTVHMFRDVDRITDLDWCGYLLRSLVVAHGHWTQDRTRKFMGPLLFLILLYADRVVVGGRDVPRSIPTLNGWTTELLKAREAREITAQGFGQGMLDDPPHPTDFHAPSVEASLTGQPIRLNTEPGTLQPGPTLGTPQGFAQLFESKTGDLVLVATQVADMGEGGGSSIWALSDRRLQLLSIKTTPLWCLSRMLLQSIHTDLTINTGDNGSLQAHKAILCAASPVFQTMFYHDLMEKQSSTINIQDMSKDLCAALLGYLYGMIKVDEFWKHRLELLMVALRDHCEESLLADIDTGNVFGRLQVAWIYQFQKLQKGCF >itb12g00240.t1 pep chromosome:ASM357664v1:12:225414:242770:-1 gene:itb12g00240 transcript:itb12g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGSPWLRTLNGHVGRQVWEYDPSLGSPEDLQEIEKFRQNFRNNRFQQKHSCDLLMRYQFAKENPISTQLTQVTVNDSEDVTQDKVTTTLKRALTFYSTIQAHDGHWPGDYGGPMFLMPGLVIALSVTGALNTVLLKEHRHEMCRYLYNHQNSDGGWGLHIESHSTMFGSVLTYVTLRLLGEGANDGDGAMEKGRKWILDHGGATYITSWGKMWLAVLGVFEWSGNNPLPPEMWLLPYILPVHPGRMWCHCRMVYLPMSYLYGKRFVGPVTPTVLSLRKELFPVPYHEINWNQARNQCAKEDLFYPHPLVQDILWATLDKVVEPLLMRWPGKKLRDNALRTVMEHIHYEDENTRYICIGPVNKILNMLCCWVEDPNSEAFKLHLPRIYDYLWVAEDGMKMQGYNGSQLWDTAFAAQAIISTNLTKEYGKTLRKAHEFIKNSQVLDDCPGNLDSWYRHISKGAWPFSTADHGWPISDCTAEGLKASLSLLKLPQEIVGEPLDTKRLYDAVNVILSLQNPEGGYATYELTRSYPWLELINPAETFGDIVIDYPYVECTSASIQGLTAFKKLYPGHRREEVEHSIEKAAKFIEKIQKPDGSWYGSWGVCFTYGLWFGVGGLVAAGRNFNNSSSIRKACEFLLSKQLPSGGWGESYLSSSKVVYTNIEGNRSHVVHTAWAMLSLIVAGQAERDPTPLHRAAKLLINSQLENGDFPQQEIVGVFNKNCMISYSAYRNIFPIWALGEYCHRLRSLIHSFTRPRSALSVTMPSTESDLDLRRSSLQPSNLGGAISNEYSFADINNLEHCAKYLNQTLVTFGFPASLDLFANDPVSIARTCNCVYALLQQRQRDIAFRESANEQRQRLLSDISRLESKVERLDLELQGKDREIATLTRENAKAVAAFKAQIDKLQKERDEFQKMVLGNQQVRTQQIYEMKKKEKEYVKLQERLNQVVMEKKKESRSGMEIMNLLQKEGRQRGTWNAKKADNDFYKKIVDAYEVKNQELAAENADLRALLRSMQTDMRKFLNAPNGSSKQSPVNERLESDPSQSPLGGRTDVFDLPLHMARDQIEESLRNKMASIKERVIQLQEAQKGAEVTTEVSERELELEAQLVEARSIIQEQASIMSKHLANSERPRRLSGHLNSEQSEGL >itb02g05140.t2 pep chromosome:ASM357664v1:2:3090741:3096192:-1 gene:itb02g05140 transcript:itb02g05140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEGGWYVLGQDQQQIGPYTAAELREHYSSGYLVENTLVWTEGRTDWQPLSSIPGLLADIPQQSTGYPTGVTSVNDEDEFEKFQKEVKEAEAEINDDLDRPSTPPEGEEEFTDDDGTKYKWDRSLRAWVPQETASERNEYGLEDMIYVGEDEVFPTPGVDDSPKKEVEDNGRVDGSTTVQESKESDETKEATCSSKRKLPEKMSEKKEANKPPDSWFELKVNTHVYVTGLPEDVTLDEIVEVFSKYGIIKEDIDTKKPRVKIYVDKETGKNKGDALVTYMKEPSVDIAIKILDGAPLRLGDKIPMSVTRAKFEQKGDKFISKQADKQKKRKLQKVEQKMLGWGGRDDAKVSIPATVLLRYMFTPAELRADENLRPELEADVKEECAKLGPVDSVKVCENHPQGVILVRFKDRKDARKCIEMMNGRWFAGRQVHASEDDGSVNHALVRDWEGEAERLEKFGAELETDD >itb02g05140.t3 pep chromosome:ASM357664v1:2:3090262:3096189:-1 gene:itb02g05140 transcript:itb02g05140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQHVNGEDNSVIANSGMSFEGGWYVLGQDQQQIGPYTAAELREHYSSGYLVENTLVWTEGRTDWQPLSSIPGLLADIPQQSTGYPTGVTSVNDEDEFEKFQKEVKEAEAEINDDLDRPSTPPEGEEEFTDDDGTKYKWDRSLRAWVPQETASERNEYGLEDMIYVGEDEVFPTPGVDDSPKKEVEDNGRVDGSTTVQESKESDETKEATCSSKRKLPEKMSEKKEANKPPDSWFELKVNTHVYVTGLPEDVTLDEIVEVFSKYGIIKEDIDTKKPRVKIYVDKETGKNKGDALVTYMKEPSVDIAIKILDGAPLRLGDKIPMSVTRAKFEQKGDKFISKQADKQKKRKLQKVEQKMLGWGGRDDAKVSIPATVLLRYMFTPAELRADENLRPELEADVKEECAKLGPVDSVKVCENHPQGVILVRFKDRKDARKCIEMMNGRWFAGRQVHASEDDGSVNHALVRDWEGEAERLEKFGAELETDD >itb02g05140.t1 pep chromosome:ASM357664v1:2:3090741:3096192:-1 gene:itb02g05140 transcript:itb02g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQHVNGEFTTPSFLPQGEDNSVIANSGMSFEGGWYVLGQDQQQIGPYTAAELREHYSSGYLVENTLVWTEGRTDWQPLSSIPGLLADIPQQSTGYPTGVTSVNDEDEFEKFQKEVKEAEAEINDDLDRPSTPPEGEEEFTDDDGTKYKWDRSLRAWVPQETASERNEYGLEDMIYVGEDEVFPTPGVDDSPKKEVEDNGRVDGSTTVQESKESDETKEATCSSKRKLPEKMSEKKEANKPPDSWFELKVNTHVYVTGLPEDVTLDEIVEVFSKYGIIKEDIDTKKPRVKIYVDKETGKNKGDALVTYMKEPSVDIAIKILDGAPLRLGDKIPMSVTRAKFEQKGDKFISKQADKQKKRKLQKVEQKMLGWGGRDDAKVSIPATVLLRYMFTPAELRADENLRPELEADVKEECAKLGPVDSVKVCENHPQGVILVRFKDRKDARKCIEMMNGRWFAGRQVHASEDDGSVNHALVRDWEGEAERLEKFGAELETDD >itb05g14750.t1 pep chromosome:ASM357664v1:5:22035167:22036547:1 gene:itb05g14750 transcript:itb05g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIFPSSSFTLQLNDFEPFDPSFVFQQHSLPPLLNPDVLFDHPPLAAEAEAGKEQLPESPAAGNGGVGGDDSDKQRKLAHREIERRRRQEMATLYASLRNELPLEYLRGKRSISDHVREAVRYIEQKQKSIRELEAKRDKLKRDNVAASDDVISDPNKVRISTRSEDTSPSIMVKIQSSREGVVEILINCGPSKLGFGVSSVLELLHEEGLDAISCFCCRVEGNLLYSIQAEVRV >itb01g21760.t1 pep chromosome:ASM357664v1:1:27808613:27810384:-1 gene:itb01g21760 transcript:itb01g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQRRRRRRRLAALISSLVAEILSLLLLLFPPSSNPLSISNGGVGVPVSDQHDVVLPLLLHFLSTSEIAATLSLHSKKRKFSGPDLDDPEPTLSQLVRVESVKRRNPDSFKQFFNMNSSTFEWLCGLLEPLLECRDPVDSPLNLAAETRLGIGLFRLASGADFREIANQFRVSVSASKFCVKQLCRVLCTNFRFWVGFPSPNELQSVSTQFQTLTGMSNCCGVIQCARFNIRRNNKEDTIAAQIVVDSSSRILSIIAGFRGQKGDTQILKSSTLYKDIEKGALLNSPPMYINGVAIPQYFAGEGSYPLLPWLMLPFPCSVPGSNEGNFNNALRIMLLSALKAIASLRNWGVLNRSIEAEDKIAVAYIGACSILHNMLLMREDFSAFIDGRDEHSLQYCQSSQFPEQTSPQKMALASAIRAALATKVSEQHSRPQ >itb10g17950.t1 pep chromosome:ASM357664v1:10:24025963:24028810:1 gene:itb10g17950 transcript:itb10g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCKVKELSFLDLSKNKFTETIPACLNVSSLRYLHLEGNEFTGFVLKVLSEASALVTLDMRNNNLIGRIPSWISSLSNLRFLLLGGNQLEGSIPPQLCYLKNVSMLDLSSNNLSSFLPSCLHKVLFGSKITFDDVTVWLNSYEWSSDYPLSTYSYESQLQIDPRVESYDATTDYEEQVEFITKSRSELYTGKVLKYMSGINLSFNNFTGPIPNEIGFLSDIRALNLSHNQFTGFIPTTFSNLKQIECLDLSHNKLNGQIPLELIEMTFLSKFSVAFNNLSGKIPDKKQFLTFDNSSYEACPESLLCSSLLFIFPHLCFSSSLSMSSTCEYNILKLLPESRRRLSSTESTITTSRR >itb14g15700.t1 pep chromosome:ASM357664v1:14:19021924:19026306:1 gene:itb14g15700 transcript:itb14g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGMVEQAAYWDGIAGGGDEYDYDNVMDFLNGLDFPPEGLEGDVAFIDDFDASKLGPIPSDALTGLLPVPQNNFSNAALKLNPSVDSVSQGTQLLNDVDENSSKTLLAQNKYSAAQEAAMFQTQSPVSVLESSASCSGGKAIPVKTGIAVPVRTRTKRTRPSTNPWLSASLISSAFDRKTSAAKRRRERKLLQKSIAVKEARYTNGRANDALDAFVKRCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFTPSLHSNSHRKVVEMRRKAVQGEGIVENSCKKVSEMAFTAMNDPPMSPLPEFVPMSNYLFDCI >itb14g15700.t2 pep chromosome:ASM357664v1:14:19022184:19026213:1 gene:itb14g15700 transcript:itb14g15700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEQAAYWDGIAGGGDEYDYDNVMDFLNGLDFPPEGLEGDVAFIDDFDASKLGPIPSDALTGLLPVPQNNFSNAALKLNPSVDSVSQGTQLLNDVDENSSKTLLAQNKYSAAQEAAMFQTQSPVSVLESSASCSGGKAIPVKTGIAVPVRTRTKRTRPSTNPWLSASLISSAFDRKTSAAKRRRERKLLQKSIAVKEARYTNGRANDALDAFVKRCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFTPSLHSNSHRKVVEMRRKAVQGEGIVENSCKKVSEMAFTAMNDPPMSPLPEFVPMSNYLFDCI >itb14g15700.t5 pep chromosome:ASM357664v1:14:19022094:19026306:1 gene:itb14g15700 transcript:itb14g15700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEQAAYWDGIAGGGDEYDYDNVMDFLNGLDFPPEGLEGDVAFIDDFDASKLGPIPSDALTGLLPVPQNNFSNAALKLNPSVDSVSQGTQLLNDVDENSSKTLLAQNKYSAAQEAAMFQTQSPVSVLESSASCSGGKAIPVKTGIAVPVRTRTKRTRPSTNPWLSASLISSAFDRKTSAAKRRRERKLLQKSIAVKEARYTNGRANDALDAFVKRCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFTPSLHSNSHRKVVEMRRKAVQGEGIVENSCKKVSEMAFTAMNDPPMSPLPEFVPMSNYLFDCI >itb14g15700.t3 pep chromosome:ASM357664v1:14:19021943:19026213:1 gene:itb14g15700 transcript:itb14g15700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEQAAYWDGIAGGGDEYDYDNVMDFLNGLDFPPEGLEGDVAFIDDFDASKLGPIPSDALTGLLPVPQNNFSNAALKLNPSVDSVSQGTQLLNDVDENSSKTLLAQNKYSAAQEAAMFQTQSPVSVLESSASCSGGKAIPVKTGIAVPVRTRTKRTRPSTNPWLSASLISSAFDRKTSAAKRRRERKLLQKSIAVKEARYTNGRANDALDAFVKRCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFTPSLHSNSHRKVVEMRRKAVQGEGIVENSCKKVSEMAFTAMNDPPMSPLPEFVPMSNYLFDCI >itb14g15700.t4 pep chromosome:ASM357664v1:14:19022008:19026213:1 gene:itb14g15700 transcript:itb14g15700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEQAAYWDGIAGGGDEYDYDNVMDFLNGLDFPPEGLEGDVAFIDDFDASKLGPIPSDALTGLLPVPQNNFSNAALKLNPSVDSVSQGTQLLNDVDENSSKTLLAQNKYSAAQEAAMFQTQSPVSVLESSASCSGGKAIPVKTGIAVPVRTRTKRTRPSTNPWLSASLISSAFDRKTSAAKRRRERKLLQKSIAVKEARYTNGRANDALDAFVKRCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFTPSLHSNSHRKVVEMRRKAVQGEGIVENSCKKVSEMAFTAMNDPPMSPLPEFVPMSNYLFDCI >itb08g07280.t1 pep chromosome:ASM357664v1:8:6235908:6238849:1 gene:itb08g07280 transcript:itb08g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGSPSLLRGPLTIRALSFVIKCPVNYILFEPKIYRSGERWRVRSSSDDWKKDSSFVDKRGRVRHFDRKKVSRKKNGSLRGKGWKYGSGFVDGIFPVLSPIAQQILNFMLKETDREQIWNSLDSLPPTNHCWDDIVNVAVQLRIDKQWDPIILICEWVLNRSSFKPDVICFNLLIDAYGHKSLSRRAESMYMELLESRCMPTEDTYALLVKAYCKCKQLAKAEAVFSEMRKNRLPPSTVVYNAYIDGLMKARESEKAVEIFQRMKRENCRPSTDTYTMLINLYGKENKSYIAMKVFDEMRSQKCKPNICTYTALVNAFARDGLCEKAEEIFEQMQEKGLEPDVYAYNALMESYSRAGYPYGAAEIFSLMQHMGCEPDIASYNIMVDAYGRAGLYEDAHAIFDEMKRLRIAPTMKSYMLLLSAYSRIGNVSQCEDIVKQMHISGIKPDTFVFNTMLNLYGRLGQFAKMEQVLAALGSGPYVADISTYNILINSYARAGFIEDMEEVFRSLPGKNLKPDVVTWTSRLGAYSRKKLYDRCLEIFEEMIDDGCYPDDKTVKVLLASCSSEEQIDQLTTVMRTMHKHPAAMELV >itb08g07280.t2 pep chromosome:ASM357664v1:8:6235908:6238849:1 gene:itb08g07280 transcript:itb08g07280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGSPSLLRSGERWRVRSSSDDWKKDSSFVDKRGRVRHFDRKKVSRKKNGSLRGKGWKYGSGFVDGIFPVLSPIAQQILNFMLKETDREQIWNSLDSLPPTNHCWDDIVNVAVQLRIDKQWDPIILICEWVLNRSSFKPDVICFNLLIDAYGHKSLSRRAESMYMELLESRCMPTEDTYALLVKAYCKCKQLAKAEAVFSEMRKNRLPPSTVVYNAYIDGLMKARESEKAVEIFQRMKRENCRPSTDTYTMLINLYGKENKSYIAMKVFDEMRSQKCKPNICTYTALVNAFARDGLCEKAEEIFEQMQEKGLEPDVYAYNALMESYSRAGYPYGAAEIFSLMQHMGCEPDIASYNIMVDAYGRAGLYEDAHAIFDEMKRLRIAPTMKSYMLLLSAYSRIGNVSQCEDIVKQMHISGIKPDTFVFNTMLNLYGRLGQFAKMEQVLAALGSGPYVADISTYNILINSYARAGFIEDMEEVFRSLPGKNLKPDVVTWTSRLGAYSRKKLYDRCLEIFEEMIDDGCYPDDKTVKVLLASCSSEEQIDQLTTVMRTMHKHPAAMELV >itb15g20200.t1 pep chromosome:ASM357664v1:15:22726669:22742595:-1 gene:itb15g20200 transcript:itb15g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKSTHQTFSNKLFQNFRGHTRLERAKFSETDFTVSHYAGKVTYKTETFLDKNRDYIVAEHRNLLYSSKCSFVAGLFPPLGEESSRSSYKFSSVASRFKQQLQALLETLSSTEPHYIRCIKPNSLNRPQKFEKQSILHQLRCGGVLEAVRISLAGYPTRRTYPEFIDRFGIIALEILDGSSDERTITEKILQKLKLENYQLGKTKVFLRAGQIGVLDSSRTAVLDSAAKRIQGQLRTFIARRDFISKRATAIILQSCCRGFLARCLYTSLREASATLIIQKYVRRWILRHSYMQLCSSTLLIQSSIRGFMTRQKFLYQKENKAATIIQANWRMCKVRSAFHNRQSNIIAIQCLWRQKLAKRELRRLKQEANEAGALRLAKSKLEKQLEDLTWRLQLEKKMRISNEEAKLMEISKLQKTVESLSLELDAAKLSTVNEINKNAVLQRQLQLSMKERTALEKEEAAITELRNENAVLKKSLAEFEDRNSALERELANAKEEASGTLTKLKDAEEKCSQLQKNLKRFEDKISGLEDEIVVLRQKALSPRRNRAGISNSFLDKVPGAFSLLSADRKSIYETPPPTKFIAPLSQGFSDSRRGKFTSERQQENYEILSRCIKEKLGFQDGKPVAACLIFTCLQHWRAFESERTAIFDFIVEGINGVIKGDDEDNTTLPYWLSNTSALLCLLQRNLRANGLFGASSQRSGGGSALNGRVAHGLKTPLKFLALEDGMSHMEARYPVILFKQQLTASVEKIFGMIRDNLKKEISALLTSCIQAPKNHRIHGGKMTRSPGSAPQQPPSTQWDNIIKFLDSFLSRLRENLVPSFFIRKLTTQVFSFINIQLFNSLLLRRECCTFSNGEYMKSGLAELEKWIVNAKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLEEITQELCPALTMRQIYRICTMYWDDKYGTQSVSTEVVSQMREVFDKDSQNLPSNSFLLDDDLSIPFSTEDIYMALPEIDPAIIELPKFLSEYPAVALQLQQPK >itb15g20200.t2 pep chromosome:ASM357664v1:15:22726669:22742595:-1 gene:itb15g20200 transcript:itb15g20200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKSTHQTFSNKLFQNFRGHTRLERAKFSETDFTVSHYAGKVTYKTETFLDKNRDYIVAEHRNLLYSSKCSFVAGLFPPLGEESSRSSYKFSSVASRFKQQLQALLETLSSTEPHYIRCIKPNSLNRPQKFEKQSILHQLRCGGVLEAVRISLAGYPTRRTYPEFIDRFGIIALEILDGSSDERTITEKILQKLKLENYQLGKTKVFLRAGQIGVLDSSRTAVLDSAAKRIQGQLRTFIARRDFISKRATAIILQSCCRGFLARCLYTSLREASATLIIQKYVRRWILRHSYMQLCSSTLLIQSSIRGFMTRQKFLYQKENKAATIIQANWRMCKVRSAFHNRQSNIIAIQCLWRQKLAKRELRRLKQEANEAGALRLAKSKLEKQLEDLTWRLQLEKKMRISNEEAKLMEISKLQKTVESLSLELDAAKLSTVNEINKNAVLQRQLQLSMKERTALEKEEAAITELRNENAVLKKSLAEFEDRNSALERELANAKEEASGTLTKLKDAEEKCSQLQKNLKRFEDKISGLEDEIVVLRQKALSPRRNRAGISNSFLDKVPGAFSLLSADRKSIYETPPPTKFIAPLSQGFSDSRRGKFTSERQQENYEILSRCIKEKLGFQDGKPVAACLIFTCLQHWRAFESERTAIFDFIVEGINGVIKGDDEDNTTLPYWLSNTSALLCLLQRNLRANGLFGASSQRSGGGSALNGRVAHGLKTPLKFLALEDGMSHMEARYPVILFKQQLTASVEKIFGMIRDNLKKEISALLTSCIQAPKNHRIHGGKMTRSPGSAPQQPPSTQWDNIIKFLDSFLSRLRENLVPSFFIRKLTTQVFSFINIQLFNSLLLRRECCTFSNGEYMKSGLAELEKWIVNAKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLEEITQELCPALTMRQIYRICTMYWDDKYGTQSVSTEVVSQMREVFDKDSQNLPSNSFLLDDDLSIPFSTEDIYMALPEIDPAIIELPKFLSEYPAVALQLQQPK >itb01g09500.t1 pep chromosome:ASM357664v1:1:7757026:7759560:1 gene:itb01g09500 transcript:itb01g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRLLLSRRVVIHPRGRRAKAHNPYFPFPYLTAAKLRFANSERRRLRPAARTTATSPQPLSDCDQRPATSPQPLTDRRRALPSATSDRRPALPSATSTSDQPSPSLRLRDRDRDHDH >itb08g05810.t1 pep chromosome:ASM357664v1:8:4777429:4778911:1 gene:itb08g05810 transcript:itb08g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAGHGLFSIKSDVFSFGVLVLEIVSGKRNREFSHHQDHHENLLGHAWKLYRDGRLIELVDEHLDAPCDLLQVLRSIHVGLLCVQHYPEDRPSTSSIVHMLANNVELPIAKEPGFFTKTRCDQLWGVLRVRYAETKSTLLPLNVNAEPIKNYIL >itb06g20790.t1 pep chromosome:ASM357664v1:6:23629674:23632620:-1 gene:itb06g20790 transcript:itb06g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLCGPKASDGCSTLNFEWLQYFDVVITGSAKPGFFHDEIRANLFEVEPQTGMLINTDNGSPMPEVGGTTVRLPIKSFQEGCRVFQGGNVGHLHRLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLLKHRESRKELQSLRSQRDHIEDELHHLKWSLKSQGGSDAGRTSTELCELQSKREQVRLKHQEAQRECHQKFHEVWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFDILSV >itb15g20270.t1 pep chromosome:ASM357664v1:15:22854605:22855453:1 gene:itb15g20270 transcript:itb15g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSVSRMHISSSNDQRLLAIGLGLLAVMSPLYIDRRRSTEPDPDEETVSVVSSYLPLLILITVMVVGIARGLNRFSSYRRVVAIGVTVVSVISPLYIDREEAVYEEQSANVFSVVQPFLLLALIIAIAMSGYFDRSFTRFDPYWIHRVGGSSTGILILLLVLALVLKFKV >itb02g07580.t1 pep chromosome:ASM357664v1:2:4744666:4748273:1 gene:itb02g07580 transcript:itb02g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRGSMESNLDSFLYCTTPGVSSQFLAKGEVRNFNRLWLPWDRERVEYFTLADLWSCYDEWSVYGAGVPIRLNNHETLVQYFVPYLSAIQIFTSTSSVNCIRDESDSACETRDSFSDSFSDESESEKLSRWDGCSSEDGLYEQDGLWQLNDRLGYLYFQYFEQSGPYGRVPLMDKINSLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTFHTLSSSFQDMDIEDDLGNAIKKSKAQGAIPLPPFGLASYKMQGDVWVSDKSGRDQERMVSLLSVADSWLKQLGVQHHDFNYFMGMRHG >itb04g21470.t1 pep chromosome:ASM357664v1:4:26580622:26582359:1 gene:itb04g21470 transcript:itb04g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYANEIDIFDNKLSLNATYIITDATVSIPRGNLVLPDERYNCLWTLTRKCTVIAAPDEDRLQVIEEPEMDEISFSHFHKYINTMKKISVMAIVIHKLPRKHVDSKNGKIDAADFVLVDKQAKPVIFTLWGKYAQVEGVELEKQLTIGNFPVILAKNVEVTNYSGLSLSTRFGSVIELNIATPTSEELGQWALSNSVTLQSLILTNAFNDAYLKLVDLSSEQFVTIADVKSSIIEGKRYCIYASIKIPIKVKKFYYIACDGCWKGTTNNLGEEFECSYCGNSMAVAKPRCMMIIELTENNDFLESILFGNIVEELPSLSASKLVDMDKKNESIDMQNVN >itb12g17290.t1 pep chromosome:ASM357664v1:12:19252984:19256382:1 gene:itb12g17290 transcript:itb12g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTISPAPCTRSWSISEDSLRRYVFHASENCIQELLSASDSGDRGWKILGIDNGGVEISKRRSGSLHTFRSRWLLNSVSPQQFMTVANAIDAARQWDRDLVEARYIKDLEDNLSIIRLRFGDSSKPLFRNREFIVYERRETMDDGTLVVAVASLPKEIAAGLQPKQNNAIRGLLLQSGWVVEKLSDHSCMVTYVVQLDPAGWLPKCFVNRLNTKLVMIIENLKKQVLACPTNVVEHDS >itb02g01360.t1 pep chromosome:ASM357664v1:2:751397:753727:1 gene:itb02g01360 transcript:itb02g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGFCIKLPEAWLQILLSFCSVLIGFHIGSCLQRANKVDVLKLVALSEDHTPKCLPAAFKADNIPKIFATTNPRGAERLPPGIVQPYSNFNLNNSGAEKAKYLLTVTAGMEQKDTVNKIVSKFSENFAIVLFHYDGRASEWDEFEWSNRAIHVSARKQTKWWYAKRFLHPGIVAQYDYIFIWDEDLGVEHFNADRYIGLVRKHGLEISQPAIVSQKGLTWLMTKKRHNVEVHRRVKEAWPGQCPDPNKPPCAGFVEIMAPVFSRESWSCVWNLIQNDLVHGWGLDFALWRCVENAHEKIGVVDDQWIEHLVLPSLGNQGESINGKQPWEGVRARCFKEWKEFERRMEQAKKNQSRWRSH >itb11g09040.t1 pep chromosome:ASM357664v1:11:5960810:5961825:1 gene:itb11g09040 transcript:itb11g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELFLLNGVLNIGDSIPWIDFLDLQGYIKRMKALSKKFDRFLEHVLDEHNARRVAEGDSFVAKDMVDLLLQLAHDPSLEVKLERHGVKGFTQDLLAGGTESSAVTVEWAFSELLKKPEVIKKATDELDHVIGQKRWVEEKDMPNLPYIQAIVKETMRLHPVGPMLAPRLCREDCKVAGYDIPKGTRVLVSVWTIGRDPTLWDDPNEFIPDRFIGNDIDVKGCDFKLLPFGAGRRMCPSYSLGLKVIQASLANFLHGFNWKLPNDVTPDKLNMEEIFGLSTPKKIPLATSIEPRLPKHVYSV >itb08g10710.t1 pep chromosome:ASM357664v1:8:10348063:10350835:1 gene:itb08g10710 transcript:itb08g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKKNADRNQGTIAPPPFLSQSAAVVNFAGRQSPWPIEDPPSQPPSPIVDPPSPPHPNRQGPRSEREGHYLANILTQFKMDGAKPVSTPMATDSALQADTSTQVVDATSYRRLIGLLQYLLITRPDVAYVVNKLAQYMHAPNAEQWQAAKRVLRYLKGTINLGLLLKFDAPLLLTIFSDSDWGSLRDKGRSITAYVIYLGTNIISWKSARQKTVSRPSTEAEYQALAHAAAELVWIQNLLQEAGICLRCPPSLLCDNLGATYVARIQSSTLE >itb08g10710.t2 pep chromosome:ASM357664v1:8:10348063:10350805:1 gene:itb08g10710 transcript:itb08g10710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKKNADRNQGTIAPPPFLSQSAAVVNFAGRQSPWPIEDPPSQPPSPIVDPPSPPHPNRQGPRSEREGHYLANILTQFKMDGAKPVSTPMATDSALQADTSTQVVDATSYRRLIGLLQYLLITRPDVAYVVNKLAQYMHAPNAEQWQAAKRVLSLRDKGRSITAYVIYLGTNIISWKSARQKTVSRPSTEAEYQALAHAAAELVWIQNLLQEAGICLRCPPSLLCDNLGATYVARIQSSTLE >itb11g06060.t1 pep chromosome:ASM357664v1:11:3617791:3622669:1 gene:itb11g06060 transcript:itb11g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDDIDLQPEDFESSVPLKKVPYGDVFEASRAGDVDRLRYLLESGVNVNARDRWDSVALYYACLAGHLDAARMLLECGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLAPLQAALRDTFLGCSANAYGYTAEQNSDAPYSLVPGNSANGATSTSHFPPDVVFYVQGRPVEAHRVILSARSPFFKKKFQMEWKDRKEIRFSREKLSYPALYSLIHFFYSDRLEIAVDDMEDLVRICKVCKCESLQILLEKELIHQRYAEYKALRDIDNSQKRFILQGLSLPDQDRLSTALHHMLQISLANSKREWNLESDVDGLVSIVGSAQMIDFENDLADVCVKVEEKIFRCHQVILAARSEYFKARLSRMKDFLEGSNGLPDNTFLCLEEHDLSMGAFEKMIEYMYTDGLKDIDPDQAEELFDAASRYLLFPLKRAVADALLPHLEMVPPAELCHWLILSDMYGVLKIREYCLDAMACNFEMFAETLEFRAMLLTLPPPSGDSTLRTTAPSAPGAEMNTAEGNLLDDLREKWLEAEAAELDERDESALLFDKRLEMLMHLAEQERSGVLDDTS >itb09g16140.t1 pep chromosome:ASM357664v1:9:11381715:11388062:1 gene:itb09g16140 transcript:itb09g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASDLPSPLLSFTPAQIQILCFQGFAAAAMSDGQASSQHPHSPGGGSHESGEQSPRSNVREQDRFLPIANISRIMKKALPPNGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYLDPLKVYLTRYREGDTKGSAKAADGPGKRDGMQPTPNMQLAHQGSFTQGMSYGNSQGQHMMVPMQGRE >itb06g23070.t1 pep chromosome:ASM357664v1:6:25202694:25205949:1 gene:itb06g23070 transcript:itb06g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAQSLPTLNPPNALKNPKLLTPTNNQSRLSFCPSSSRKAFPRMKNTYPSISAASATPSNPQQKQNSAALEALISGERKEDAMAAIKSTLSNCLSETHLDLTVPGLKSKTRGKVRDIYDGGDYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDKTQHITPNAVVASPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNALPDGLVKSQKLPANILTPTTKAADHDVPVTPDEIVQQGLMTQADYDDASRKALRLFEFGQRVALEHGLILVDTKYEFGKGPDGTVLLIDEVHTPDSSRYWIANSYEDRFQNGLEPENVDKEFLRLWFKSHCNPYEDKVLPDAPEELVAELAWRYIFLFETITKSKFELPSTKEPIHDRISRNVSQALSSLL >itb06g23070.t2 pep chromosome:ASM357664v1:6:25202694:25205949:1 gene:itb06g23070 transcript:itb06g23070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MVTNLCLKVRDIYDGGDYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDKTQHITPNAVVASPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNALPDGLVKSQKLPANILTPTTKAADHDVPVTPDEIVQQGLMTQADYDDASRKALRLFEFGQRVALEHGLILVDTKYEFGKGPDGTVLLIDEVHTPDSSRYWIANSYEDRFQNGLEPENVDKEFLRLWFKSHCNPYEDKVLPDAPEELVAELAWRYIFLFETITKSKFELPSTKEPIHDRISRNVSQALSSLL >itb06g23070.t3 pep chromosome:ASM357664v1:6:25202694:25205844:1 gene:itb06g23070 transcript:itb06g23070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAQSLPTLNPPNALKNPKLLTPTNNQSRLSFCPSSSRKAFPRMKNTYPSISAASATPSNPQQKQNSAALEALISGERKEDAMAAIKSTLSNCLSETHLDLTVPGLKSKTRGKVRDIYDGGDYLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDKTQHITPNAVVASPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNALPDGLVKSQKLPANILTPTTKAADHDVPVTPDEIVQQGLMTQADYDDASRKALRLFEFGQRVALEHGLILVDTKYEFGKGPDGTVLLIDEVHTPDSSRYWIANSYEDRFQNGLEPENVDKEFLRLWFKSHCNPYEDKVLPDAPEELVAELAWRYIFLFETITKSKFELPSTKVKPINQYVSFFLLGNY >itb07g15980.t1 pep chromosome:ASM357664v1:7:19142468:19146518:1 gene:itb07g15980 transcript:itb07g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECALSIPGNVSGLNGSPTLQQRGQSGKMRPRKLPACVGQTMTAKSGGNKTLSEKFQICRCSPSLRHRRPPLPFLRRLASPPSPFLRSRALLLLHRRSSTAALCFSSIAAAADLLRSSIAVAARCSPRRRRQPMTPSPTTNATTSGSSLCSSASNFKVDIQKDQQGSHGVIVDAVELYIDPETYELLGKENAVVISNHRSDIDWLVEFILAQRAGCLGNTLALAKKPLFYILILEWSMWFAGFIVIEGNWAKDERKLQATNEINAELSFAKDVAERRRMQSKTLSQLYSRYPSIAEACYTT >itb01g30870.t1 pep chromosome:ASM357664v1:1:34716369:34717871:-1 gene:itb01g30870 transcript:itb01g30870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEDHQQAKRNAEPVLNPDPNPNRITPDQFLSWKRQKDADASTRKAEAAQKRAEDIAAGVVQMNGRELFLHQPWVFDNDLY >itb01g10670.t2 pep chromosome:ASM357664v1:1:9282768:9290524:-1 gene:itb01g10670 transcript:itb01g10670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLLALMMMIFVQFGYAVMNIISKVAMDSGMNPFVHVAYRQLFASIAIAPIAYFYERRIRPQMTLSILFNIFLCSIFGISLNQITYFVGLKNSTPTIACALTNLIPAVTYLMAVPFGIEKLMIRSAAGKAKVVGTILCVGGAMLLSFYHGHNIGIGESSIHWKYADHLSSQNKINNNNGSTNTQPNFILGPFLIIVSAVSWAIWSIIQTRVSEKYPAPYSSTALMCLMSSIQCVVFAVCFDHKPSDWSLRQGIRATSTVYAVCFLFT >itb01g10670.t1 pep chromosome:ASM357664v1:1:9282768:9290524:-1 gene:itb01g10670 transcript:itb01g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLLALMMMIFVQFGYAVMNIISKVAMDSGMNPFVHVAYRQLFASIAIAPIAYFYERRIRPQMTLSILFNIFLCSIFGISLNQITYFVGLKNSTPTIACALTNLIPAVTYLMAVPFGIEKLMIRSAAGKAKVVGTILCVGGAMLLSFYHGHNIGIGESSIHWKYADHLSSQNKINNNNGSTNTQPNFILGPFLIIVSAVSWAIWSIIQTRVSEKYPAPYSSTALMCLMSSIQCVVFAVCFDHKPSDWSLRQGIRATSTVYAGIMGTAIAYCLMSWCIDKKGPLYVSVFNPLLLVIVAVLSWGLLRDKIYVGTIVGSVLIVVGLYGVLWGKQQELRQVIGVVDVDEEEAIDEVKTKEDNLEELELSGHSIITVDQFPKK >itb01g10670.t3 pep chromosome:ASM357664v1:1:9282768:9288786:-1 gene:itb01g10670 transcript:itb01g10670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGCLAWRIRPQMTLSILFNIFLCSIFGISLNQITYFVGLKNSTPTIACALTNLIPAVTYLMAVPFGIEKLMIRSAAGKAKVVGTILCVGGAMLLSFYHGHNIGIGESSIHWKYADHLSSQNKINNNNGSTNTQPNFILGPFLIIVSAVSWAIWSIIQTRVSEKYPAPYSSTALMCLMSSIQCVVFAVCFDHKPSDWSLRQGIRATSTVYAGIMGTAIAYCLMSWCIDKKGPLYVSVFNPLLLVIVAVLSWGLLRDKIYVGTIVGSVLIVVGLYGVLWGKQQELRQVIGVVDVDEEEAIDEVKTKEDNLEELELSGHSIITVDQFPKK >itb11g14650.t1 pep chromosome:ASM357664v1:11:11887838:11891007:-1 gene:itb11g14650 transcript:itb11g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKDPGITLFGKKIPLPLRVVVFAGDESAGGDSGGVNSPDESNSGSERVRCFDDENIEESKREDEMDQLPGEASETISEEKDEDEDEDQNMDGKESCKALQESGSNTETPSTDGNSPTAKSTKTEDDQTETDSSKEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCRSCQRYWTAGGSMRNLPVGAGRRKNKSSASHCHITISNANVSDALHAMQAEAPNGFHYPAHYKPNGTVLSFSPNSSLRECMGSVLNPGETKSPNGTQNGFSKPDTRSSYKTGNDCSSKSSVTISNSKAEGSKNAPQDTTVMQNVHAFPSPVPYLPKVPWNAAAPLPPIFPSGVPVTFCPATYWNYCLPGPWTLPWLTPPSPTVSQKSSISSPNSSLGKHPRDGDLLEPNNPKGKESSEQKSPERRILVPKTLRIDDPDEAAKSSIWATLGIKYDSISKGSMFNALEQKSDDKNHTTNASMALQANPAALSRSLTFQESI >itb03g10360.t1 pep chromosome:ASM357664v1:3:8187269:8192200:-1 gene:itb03g10360 transcript:itb03g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNEKNLFTGCVDVPLTNKGVEEAIEAGKRIKNLPLDVIYISALIRSQMTAMLALTEHHCMKVPIILHDENEEAKIWSQIHSEDTQAHSVPVIKAWQLNERMYGELQGYNKQETAERYGKEQVHKWRRSYDVRPPNGESLEMCLGRAVTYFKEHIEPQLMAGKHVMVVAHANSLRSIIMYLDELTSEEVINLELSTGVPMLYIYKDGKFIRRGSPPGSMEAGVYAYTEYLALYRQKVDEMTENS >itb03g10360.t2 pep chromosome:ASM357664v1:3:8187511:8192183:-1 gene:itb03g10360 transcript:itb03g10360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAFAAFDLSVNLNWVHGGRGGCASLDQTYKFSVRMPFLTKERCNFNNSRKCIIRSPYSSLSSPHPIVSSHTSEVGSHSIVNESTLILIRHGESMWNEKNLFTGCVDVPLTNKGVEEAIEAGKRIKNLPLDVIYISALIRSQMTAMLALTEHHCMKVPIILHDENEEAKIWSQIHSEDTQAHSVPVIKAWQLNERMYGELQGYNKQETAERYGKEQVHKWRRSYDVRPPNGESLEMCLGRAVTYFKEHIEPQLMAGKHVMVVAHANSLRSIIMYLDELTSEEVINLELSTGVPMLYIYKDGKFIRRGSPPGSMEAGVYAYTEYLALYRQKVDEMTENS >itb10g11820.t1 pep chromosome:ASM357664v1:10:17470587:17471839:1 gene:itb10g11820 transcript:itb10g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRQAAEDYYRNASVEYKQGFVDSGESFVEFYVRETKRSIRHCDACRTLLPGYYFCCVDCERNNTSFSLCINCFDKRKFQHQHDSFADNHSILTMHNKGSSLPPCVIEKEVEMRKLIVGLENNQNPWQGLWA >itb01g15870.t1 pep chromosome:ASM357664v1:1:19156173:19158872:1 gene:itb01g15870 transcript:itb01g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRGKYADELIANATYIGTPGKGILAADESTGTIGKRLASINVENVEENRRALRELLFTTPGALQYLSGVILFEETLYQKTACGKPFVELLKQGGVLPGIKVDKGTVELPGTKGETTTQGLDGLAERCKKYYEAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQQNGLVPIVEPEILVDGPHDINKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDSAKVAPEVVAEYTVRALQRTMPPAVPAVVFLSGGQSEEEATVNLNAMNKLKTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDALLARCKANSEATLGTYAGSANLCEGASESLHVKDYKY >itb06g16160.t1 pep chromosome:ASM357664v1:6:20358999:20362399:1 gene:itb06g16160 transcript:itb06g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPLPGAADILRRPSLYVGDLHPDTTERDLMEKFSSVGTLDSVRLCTDTVTKRSLCYAYVNFLCFSDALKAMTLLNHTPLREKPMRIMWCQRDPLVRKSGIGNLFIKNLAPSVTSAQLERIFSNYGTIVSCKVVEEDGKSKCFGFVQFDSEQSAMIAISCLHGTMLEGKKLYVSKFIKKQEREVPREDLKFTNLYVKNFDDDLTEDLLREKFSKYGKVHNAIIMRDEKGKSRGFGFVNFYSHEDAKRAVEGLNGALLGSKQLVVVRAQKKIDREEVSRCVDDDSISIEHQKPEASNLYVKNLSLSMDDRKLKALFSTYGKVTSAKIMRHDDGVSKGFGFVSFSNPGDAKKAMDSLNGTTCNGMCLYVALAWSKEERPRAALAPRKPLFPTSNQDIIMPTLHEFLYYVPPYSVSMSIPDFNPYQSTSPTYQTVGRQMGTFFPAGMYTFRGNNNLIYDTEKQSPNKHLICQYNKPTKPVPPNDSAAPATAKQGNQKNAKASRKLKSKTINGVTALVPPSESATTTKVLTAASVLQLMMGLELGHAAEINATLLEVDKSVIQKMLDLPDSVVANIGEAINMLKEEYSWSD >itb09g21160.t1 pep chromosome:ASM357664v1:9:18888881:18889237:1 gene:itb09g21160 transcript:itb09g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFFFLVCLLVLQCRFFAVVAILDPVDFLVLQVVQKSLDDLPGSNYFGSWDFTSNPCGFAGVFCDGDKVVALNLSDPKAGSPRLIGRIDPAIGKLSVLVEFSVVPRRIAGALPKSL >itb04g18740.t1 pep chromosome:ASM357664v1:4:22356121:22358450:1 gene:itb04g18740 transcript:itb04g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTLPAKTILKRPDESIVIASDDSSETQSFDLFVSSWNVGGIEPPDDFDMGDLLNIQKNTADIYVLGFQEIVPLNAGSIIVSENSSVSYKWNSLIRSALNKRASSTITIQKAEAGECQKVCPLHRDAYFNSAAAAFECIASKQMVGVFVTIWARTQIHHYINHIDVSCVGCGIFGRIRNKGSVSVRFRLHETTFCFVCSHLASGGNKGDEKHRNTDANQILSRTRFPSGPSQALPRKIVDHEVIWLGDLNYRIYLPEATTRSLVNDGQWSILLQNDQLKSELTEGHVFEGWHEEEIKFAPTYKYNTNSEDYYDCDQKRHSKKCRTPAWCDRIIWFGNGLKQKHYDRCESRLSDHRSVRGIFTAEVKLARSFIRGEVKKLLAKD >itb11g20530.t1 pep chromosome:ASM357664v1:11:21711416:21713665:-1 gene:itb11g20530 transcript:itb11g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLIGLKNTRVLLIIVSDTNLSEAAFLYSHLSKFSIGASTILIPLIDYPEVWNKARTPLGNIFSHRLKLLDPYKRITPQFIRFVKERCVPSFQSGGEPIVISLDSRGRLVHTNALHMILTWRGYLASDTLIVGSGNNIISLLEKELTEMTLGVGSVIDDIEERKFDLVNRIRNTIDDWKNDINMRIKDSDYSYAYTSKNEEMLWDKETWNLKLLGNHKVLPRPISVNYIIQEFATKVKEVNSEIQLDIKFAYIGNNKKAKSLVKDISGYALSSNNAEYLWFWTRLRSAFLSRINCLNKIGRIEDDDTIFLGLQKLLAYEAKSTTFGAWVLLSKGEEIVACDLGNKMMRVMNAYQKWKNNIRSKGFGQAFKDCYEKLASFQDQHFCCTLKYPLTLDKIPKDVKCLQCSHNMHKFVTFTCYHDCHHGY >itb02g10680.t1 pep chromosome:ASM357664v1:2:6929006:6936260:1 gene:itb02g10680 transcript:itb02g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGVRTGSYGSLPQAQNGVLHAQHGFVLRKPPKMSLSGSREKERFLPYICRLVLRRQVGMVILITFALLVFTTGFLTVNKEDVSRRSSHLDFEERFWSKTYYVSSLLTRKAVTCAENKSQMPSSNASVALLSIGSTAVMTHPCRNFAFPPPPPGERKRIGPRPCPVCYLPVDQAIARMPRAPSPSSVLQHLTYFHEINPTKSEPHGGSDFGGYPSLMQRNDSFDIKESMTVHCGFVKGCKPGDRTGFDIDASDLREMEEFHEVIVASAIFGNYDVIQQPRNVSHIARKTIPFYMFVDEETEASLRNSSHLDRNNRIGLWRIILVRNVPYTDARRNGKVPKLLLHRLFPNVRYSIWIDGKLQLVVDPYQILERFLWRENATFAISRHYRRFDVFEEAEANKAAGKYNNESIDYQINFYKREGLTRYSEAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSIVRDRVMAKTNWTVNMFLDCERRNFVLQAYHRDLLEQRAQEATVRIHSHPPPALVRDRSAVAVKSSARKSPRRGRGDRKSGSRRHRKIGAGKRNNTMNTSI >itb12g25370.t1 pep chromosome:ASM357664v1:12:26605017:26606875:-1 gene:itb12g25370 transcript:itb12g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEGDEKNMAAWLVGVRTLRIQPYILPPLGPHEVKVRIKALGICGSDVHHFKHMRCANFVVKKPMVIGHECAGIIEEVGSQVKSLAVGDRVALEPGISCGECHLCKQGRYNLCPKMKFFGSPPTNGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGIGPGTRILILGAGPIGLVTMLAARAFGAPTILIADVDDRRLSFAKSLGADQTIQVTPSIQDVEKEVEQIREKSGGIVDVSFDCVGFNKTMATALQATSSGGKVCLIGLGQTEMTVPITAASAREVDIVGIFRYRNTWPLCIEFLRSGKIDVKPLITHRFNFTQEELEQAFETSAGGGNAIKVMFHL >itb11g12360.t1 pep chromosome:ASM357664v1:11:9221706:9225361:1 gene:itb11g12360 transcript:itb11g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLALSLHSLPLHTHHSKPSSSLKIPVVTACMAPAAPPEVKPTTTSFGFKNMMEIFTVEVQRAEVRPLNVPLLAPFAISSSRLDKVENVAIKVELSNGCVGWGEAPVFPSVTAEDQPSALAMAAGACEFLRESPGKTMEAVLRDVHSILPGHQFASVRAGVEMALIDALANSIGIPLWRLFGGTSNTITTDITIPIVLPAQAAELARKYRKQGFKILKLKVGKNLKADIEVIRAIHAAYPDCMFILDANGGYSSKEAIQVLETLHETGVTPILFEQPVHRDDWEGLGRVSRFAKENYGVPVAADESCRSLADVRKIAEENLADVINIKLAKVGVVGALEIIELARTSGLKLMISSMVESRLATGFAAHLAAGLGCFKFINLYSPLLLAEDPVVGCCEVHWPVYKFSNVRGHGSFLHIA >itb05g00570.t1 pep chromosome:ASM357664v1:5:501541:503875:1 gene:itb05g00570 transcript:itb05g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQILLKKLFSRQFQFLNRFYECRLTIDGVAEVSKTEGRVGQTTNVVIGGTVHDDSTNEWLVLDKKVNSYPSERVFTAIGTGGDDFVQAMVVAVESVIQQSIPEGQVKQKVSSGGKYVSVNIGPIQVVCSEQVQAVYNAMRQDDRMKYFL >itb05g00570.t2 pep chromosome:ASM357664v1:5:501540:503875:1 gene:itb05g00570 transcript:itb05g00570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGFRTVFLTKPWRPLPRNRASPSVSFFSARNPQFPHLGCACKLFKPRNRRTHHLNYSDNETPSPDGSETNPPQEAVLKAISVSKTEGRVGQTTNVVIGGTVHDDSTNEWLVLDKKVNSYPSERVFTAIGTGGDDFVQAMVVAVESVIQQSIPEGQVKQKVSSGGKYVSVNIGPIQVVCSEQVQAVYNAMRQDDRMKYFL >itb05g00570.t4 pep chromosome:ASM357664v1:5:501541:503875:1 gene:itb05g00570 transcript:itb05g00570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQILLKKLFSRQFQFLNRFYECRLTIDGVAEVSKTEGRVGQTTNVVIGGTVHDDSTNEWLVLDKKVNSYPSERVFTAIGTGGDDFVQAMVVAVESVIQQSIPEIKMVQTLLLSCART >itb05g00570.t3 pep chromosome:ASM357664v1:5:501535:503875:1 gene:itb05g00570 transcript:itb05g00570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGFRTVFLTKPWRPLPRNRASPSVSFFSARNPQFPHLGCACKLFKPRNRRTHHLNYSDNETPSPDGSETNPPQEAVLKAISEVSKTEGRVGQTTNVVIGGTVHDDSTNEWLVLDKKVNSYPSERVFTAIGTGGDDFVQAMVVAVESVIQQSIPEGQVKQKVSSGGKYVSVNIGPIQVVCSEQVQAVYNAMRQDDRMKYFL >itb02g19410.t1 pep chromosome:ASM357664v1:2:16519132:16519452:-1 gene:itb02g19410 transcript:itb02g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQIRCRKLIVEIYNAKNWMPKDGQGTASAYLLVGFDGHRRRTTTKFRDLNLQWDERLEFLVHDSESMASKMLEQVEAGEDRGACRGETAEKGGRGESTGCGRG >itb11g13220.t1 pep chromosome:ASM357664v1:11:10224259:10231212:1 gene:itb11g13220 transcript:itb11g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQISHGRSVNLFIIYIYIPHIANRLPNCIEYSLLTKECAPTACYNAALTCSQLEEKRRRSVWTMATAQYKGLDSIDIADIEAVGVNSDVAAEFHEKLNKIVDHYGPATPQTWHRVSKDLLTPDLPFSLHQIMYYGCYKDFGLDPPAWLPDPGSAKSTNVGQLLERCGEEFLGSKYKDPISSFSDFQEFSVSNPEVYWKAVFEEMNVSFSVPPQCILCEDPSHPGGKWLPGARLNPAKHCLSLNGKRTSNDIALIWRNEGEDEAPLGKMTLEELRSEVWAVAHAIETLGLEKGSSIAIDMPMDVNSVVIYLAIVLAGYVVVSIADSFAPNEISTRLILSKAKAIFTQDFIARGEKRIPLYSRVVDAESPMAIVIPNRASSLSIKLRDGDIAWHDFLERVKESKELKFDAVELPTEAFTNILFSSGTTGEPKAIPWSVITPLKAAADGWCHMDIQKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLGSGFAKFVQDANVTMLGVIPSIVRTWKTTNCTSGFDWSTIRCFGSTGEASSVDEYLWLMGRAQYKPVIEYCGGTEIGGGFVTGSLLQPQSLAAFSTAAMGCSLFIIDKDGSPIPPGVPGIGELALGPFIFGSSSTLLNADHYKVYFKGMPTWNGKVLRRHGDVIEYTCRGYYRAQGRADDTMNLGGIKVSSLEIERICNAVDDDILETAAIGVPPAGGGPEKLVIVVVFKDANKPNHSLNKLMIAFNSALQKKLNPLFKVSNIVALPSLPRTATNKVMRRVLRQQFTEANNGL >itb01g20330.t1 pep chromosome:ASM357664v1:1:26569068:26574032:-1 gene:itb01g20330 transcript:itb01g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIKNGWRDSSGGLSKLTVEKRILPALNEKLGCQRSYAQYQSRLKWFKNRFNNFSELMRHSSGFGWDPITKRFTTNDEVWEDYLKERRRRRKKNKAVWDGGLTGIRKPKLSEEQVNLLEQSFRDESKLESERKYRLASELGLDPR >itb10g02730.t1 pep chromosome:ASM357664v1:10:2321922:2324321:-1 gene:itb10g02730 transcript:itb10g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRILPTKLLGRHLSRSSRLISSQHEGNPSTNADSANKNLQEELRKLRILLQQNRGDSARSFIANLAQSNSVSELYTSFCAPTKPLFADMLFSVYVDSKLLDKASELYSLMRKDGKFPALSGFKVFLEMMNSSRQYGKALEVFWDAVNADMQIDSNSYSIGIQSAVKLGDLRRALQLMDLMRKSGLRMDAFVYNVVMGGLCKERRVSEARKLFDEMLERRVAPSKVTFNILIDGYCKVGDLEEAFNVREKMRNEKVMPNEITFNTLLSGLCKMGRMEEANKVLEEMKSCGFVPDGFTSSILFDGHLRCADIDSSLALFEEVVKKGVRINEYTIGILLNGLCKNGKIDKAEQILKKLMQNGFTPTEVIFTTIVNGYCKEGNMEKVVSTLEEMESFGFKPSCSTFNTLINTVCKSGKMEEAERWFRKMAERQVSPTVQTYNFLINGYGCSHQFDRCFEILEEMENSGLKPNVVTYGSLINCLCKDARLLEAEVIVNDMIGRGVKPNAQIYNMVIDGHCTRGKFKDAFRILNEMVGSDIAPTIVTYNSLINGLCKKGRVSEAEELTQEIPKSGLTPDVITYNCLISGCSDAGETNKCFEWYEQMKTSGVKPTLRTYHPLISACKKEECGLTLVDKIVQEMSQMNLSPDRVVYNELIYCYALHGEVGKSFALRNKMEEQGIHADKMTYNSLIMAHLKKGECQEARALVDTMKARGIVPNSNTYNTLISGHCKLKDFNGAFIWYREMFENGFLPVISICTELISGLKAEGKSKEAQIICSEMSAKGLDELSSNEDFPVVSEA >itb09g10010.t1 pep chromosome:ASM357664v1:9:6208090:6209922:1 gene:itb09g10010 transcript:itb09g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPTSSPSTASLSHHSGPEDHPLLRDGHFAPFSAYANSEPRVKEDSPTTETQPPASDPDAFWPERNLNGPILPSPEAMQGSALMEWRRQNAIRLEEKEKMEKEMVKKIKEEAEEYKAEYNRKWVLRCDSNRAANRDKEKLFLNSREKFHDEASKNYWKAIAELIPGEVPAIAKKGKKGQDKKKPSIVVVQGPKPGKPTELSRMCHVLVKLKQNPPSHMNSLQIIPEPGNGARTGAAPPHAKSAGR >itb02g10180.t1 pep chromosome:ASM357664v1:2:6563663:6568765:-1 gene:itb02g10180 transcript:itb02g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPEEIYNLRDDGFEGSSNEHQIFTEVFFENSERKKKCHVAGLVNFESDDSKETTPSCFPGSGNSAFVVQKDSYCKIEEISGVKKLGEKRLMPSMDIINGPAPKYRKVSGNEKDMLASDSKARFSEINRKGPCGKSGSKKLKKYCLEDDDLLISAFIKKPTSKSANNRPSGKPKPLRKHKSKKDGCRLLLQSLNRGGKNFVEGEWPSVALRNVLSWMIYFGVVCIGEVIQYRNLKDDSVVKAGVITRDGILCHCCGEELSITKFKRHAGFKLKNSCLNLFLESGKPLMLCQLEAWSAEYKAKKAGLQTVQFDETDQNDDSCGHCGGGGELICCDNCPSTFHQACLYAQEIPEGSWYCPRCTCQICKDVVKASESSSSSSAMKCMQCEHQYHKACLKHKGFKRGVASEIWLCGERCEKVYSGLSSLIGEVHLLPDGFSWALLRCNPYDQKIHPGQQYVALNAECNSKLAVALTIMEECFLPMVDPKTGIDMMPQVIYNWGSQFPRLNYYGFYTVVLEQDDVLVSVASIRIHRATVAEMPLIATCSKYRRQGLCRRLMDCIEKMLKSFKVETLVISAIPSLVKMWTQGFGFSPLEDEEKKSMSNDNLMVFPGTVWLKKPLYEALEADQPTGSKEVDVAIVGDHCKLNLSPHCSSAGIETRDPDREEMQLGNEGGICLHSRCSICSTEVSVGNQASLLEGH >itb03g07750.t1 pep chromosome:ASM357664v1:3:5781352:5782708:-1 gene:itb03g07750 transcript:itb03g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQSKLVEQLRDYQIRSQHKCPALAIFSPKPFLTTWADVAVAIVWALLFCVFCLSSSASENFATGKCKEESKVVTFVHVNIFIRPAANATLLPTGTSLSSFHYTQLDF >itb12g03200.t1 pep chromosome:ASM357664v1:12:2118063:2120026:-1 gene:itb12g03200 transcript:itb12g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEIVSGSANAMTGGGLVKAASLCSRAYVTFLAGNGDYVKGVVGLAKGLRKVNSPYPLVVAVLPDVPEEHRRLLVSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFDLPDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCPEKVQWPEELGPRPPLYFNAGMFVFEPSLSTYDDLLNTLKVTPPTPFAEQDFLNMFFRNVYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRFTGKEANMDREDIKMLVKKWWDVYNDESLDYKNMPPTAAIGGGGLEVEDDKFKAAAVLPKTGVVRYITAPSAA >itb01g29050.t1 pep chromosome:ASM357664v1:1:33348165:33349597:-1 gene:itb01g29050 transcript:itb01g29050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEDIDAGDVYNELAVVEYAEDIYKFYKEAEANHLCRRHLQDIMLCGGSDSVIIPTGVGGFIACRALSQRNNDPTRASLPLDSVMSLLLASADIAIDRTAMGLSWVKELEFCFWKNLSMRRKEVQQSMLNFSVEEPHPEGYSFSY >itb15g05470.t1 pep chromosome:ASM357664v1:15:3513980:3517137:-1 gene:itb15g05470 transcript:itb15g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKASSSATNVQPEEEEEEHNTNEEPESEPDSDPSEEEAEEDEDEEESGSSEDDEEEDKDEASKRESIRKLLEPFGKDQIIELLKQGASKDPSLLSRVTRLANSDPTNRNLFVRGLSWDATTEQVLDAFRTYGEIEECKLITDKVTGRSKGYAFVLFKTMAAAQKALKQPQKKIGNRTTTCHLAAVGPTPAAADAGSRKIYVGNVGPTINVENLRAFFSKFGEIEEGPSGFDPVTLKPRGFAIFLYKTAEGAKKALEEPFRVLDGCQLYCKKFVENFNNSTNNAAAPNAAAITANQPVPLQQSDSMAYGIGTNPGMIGAGSLFMAQNPGMGLTPNPLLGGNYGLNTLNPSMIGNYGSHVALHSLAPFQNVGASGPASTPPNTQPDFGSAGASFPPYMGR >itb03g00420.t1 pep chromosome:ASM357664v1:3:204890:208194:-1 gene:itb03g00420 transcript:itb03g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMPFSFRFSYRRLKQEGVDAVEKKAAWRLRSSSGSFRLRKRLRIKIPRLTAMRRLLRKKARVVVAACARTAKRLKESKSHLGDLFAGNYLFLQVTPTAFKSQNPNKSLQLLHRSYAVTSASLRHRRHSPSPSSSSAQSSSPPPAAGHHEDLESSPSSATLLQATTSPRVKMTEEDLEVNDSAGEDGIENDVKVEKRKNKRKQRLMEEAAKAGKRGICYLSRIPPHMNPVKLRQLLSQYGEIQRIYLVPEDPAAQMNRKRAGGFRGQEFSEGWVEFTTKSIAKRVAKMLNGQQIGILAFCACFL >itb12g06720.t1 pep chromosome:ASM357664v1:12:4978751:4980547:1 gene:itb12g06720 transcript:itb12g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGWPTMAVVLTCAVAVMLPGVSSTRYIVGANMGWTSNVNYTAWARAKHFYRGDWLYFVYDRNRMNVLEVKKRDYKSCNSEHPLHNWTNGYGRDVVPLNVTKTYYFISGRTKGLFCRRGTKVAIPVK >itb08g08990.t3 pep chromosome:ASM357664v1:8:8211703:8219690:1 gene:itb08g08990 transcript:itb08g08990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAVNHPPVTPGAYAGGGGNAAKPPSVNSCSSISAIADRLARHACNQPLNDVVEFCKLCISLSKGINDAIASHEVPTKAPDLPVLLKQVCCHRNEPYALAAVMVLMVSAKNACSSGWFLDRDSKELHCLANEISSNFCSTTDFESACTGSSSMISTIMSRFLPQMRMGHILAFLEMKPGYGTLVYDFHISENTKSSSPEAEIRLLVAHLDNIETSSCLITPPNVNFLLNGKGVQNRNNLSMDTGPQIPTALSSMLNNGVNILQVVGQFNGSYIVVIASMSMTSTPDSAALPDYVQPESASVDPDYEIIEGPSRISLNCPISFKRIKTPAKGVSCKHLQCFDYENYLDINSRRPSWRCPHCNQHVCFPEIRIDQDMAKVLQEVGENINDVIISSDGSWKAIIEGDDDNQRPPDKPTDFSKDKTLQPDLTDIDDAMTGDHIASSSAKCQNQLLDTNNPSEANHTPNMENFWSRVLRSTYGSGTSSSMLNLHIGGASEPTPTSLMPPPILIDDHIPACVMGQGRVSSPNTMQAQQYQFANSAANQEHVMSSSAVRHAVCRTPVAVQALPAQMPYSVPQQRPVNTMSRLSSPTTASQHSPVSSMMNIDTVQQYLRSNSNMHQASQIPSSTSTSMPQPGYSSGSVGPAQQFVNLQCPSQVPLPPFRAPSGFTTEPSNTNQHQTLNRQTLHAMSQPQGIAQPSPASFTRSPFQAGGTHSSVGGGQARGVVNSQHNNKSREAALRTAQVAKPVQLSPTLPPLSMNPNASRESLANDQMGVGNIGDHAPPDLLSGDQNWRPTGRMRGSLSGQAYSDALNQYIIRPTQQAQAARSPTAISPQLQTIIAKRATPTPPVTNQPSTTPDVSAVLPECSSAMQ >itb08g08990.t2 pep chromosome:ASM357664v1:8:8211608:8219981:1 gene:itb08g08990 transcript:itb08g08990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAVNHPPVTPGAYAGGGGNAAKPPSVNSCSSISAIADRLARHACNQPLNDVVEFCKLCISLSKGINDAIASHEVPTKAPDLPVLLKQNACSSGWFLDRDSKELHCLANEISSNFCSTTDFESACTGSSSMISTIMSRFLPQMRMGHILAFLEMKPGYGTLVYDFHISENTKSSSPEAEIRLLVAHLDNIETSSCLITPPNVNFLLNGKGVQNRNNLSMDTGPQIPTALSSMLNNGVNILQVVGQFNGSYIVVIASMSMTSTPDSAALPDYVQPESASVDPDYEIIEGPSRISLNCPISFKRIKTPAKGVSCKHLQCFDYENYLDINSRRPSWRCPHCNQHVCFPEIRIDQDMAKVLQEVGENINDVIISSDGSWKAIIEGDDDNQRPPDKPTDFSKDKTLQPDLTDIDDAMTGDHIASSSAKCQNQLLDTNNPSEANHTPNMENFWSRVLRSTYGSGTSSSMLNLHIGGASEPTPTSLMPPPILIDDHIPACVMGQGRVSSPNTMQAQQYQFANSAANQEHVMSSSAVRHAVCRTPVAVQALPAQMPYSVPQQRPVNTMSRLSSPTTASQHSPVSSMMNIDTVQQYLRSNSNMHQASQIPSSTSTSMPPGYSSGSVGPAQQFVNLQCPSQVPLPPFRAPSGFTTEPSNTNQHQTLNRQTLHAMSQPQGIAQPSPASFTRSPFQAGGTHSSVGGGQARGVVNSQHNNKSREAALRTAQVAKPVQLSPTLPPLSMNPNASRESLANDQMGVGNIGDHAPPDLLSGDQNWRPTGRMRGSLSGQAYSDALNQYIIRPTQQAQAARSPTAISPQLQTIIAKRATPTPPVTNQPSTTPDVSAVLPECSSAMQ >itb08g08990.t1 pep chromosome:ASM357664v1:8:8211600:8219999:1 gene:itb08g08990 transcript:itb08g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAVNHPPVTPGAYAGGGGNAAKPPSVNSCSSISAIADRLARHACNQPLNDVVEFCKLCISLSKGINDAIASHEVPTKAPDLPVLLKQVCCHRNEPYALAAVMVLMVSAKNACSSGWFLDRDSKELHCLANEISSNFCSTTDFESACTGSSSMISTIMSRFLPQMRMGHILAFLEMKPGYGTLVYDFHISENTKSSSPEAEIRLLVAHLDNIETSSCLITPPNVNFLLNGKGVQNRNNLSMDTGPQIPTALSSMLNNGVNILQVVGQFNGSYIVVIASMSMTSTPDSAALPDYVQPESASVDPDYEIIEGPSRISLNCPISFKRIKTPAKGVSCKHLQCFDYENYLDINSRRPSWRCPHCNQHVCFPEIRIDQDMAKVLQEVGENINDVIISSDGSWKAIIEGDDDNQRPPDKPTDFSKDKTLQPDLTDIDDAMTGDHIASSSAKCQNQLLDTNNPSEANHTPNMENFWSRVLRSTYGSGTSSSMLNLHIGGASEPTPTSLMPPPILIDDHIPACVMGQGRVSSPNTMQAQQYQFANSAANQEHVMSSSAVRHAVCRTPVAVQALPAQMPYSVPQQRPVNTMSRLSSPTTASQHSPVSSMMNIDTVQQYLRSNSNMHQASQIPSSTSTSMPPGYSSGSVGPAQQFVNLQCPSQVPLPPFRAPSGFTTEPSNTNQHQTLNRQTLHAMSQPQGIAQPSPASFTRSPFQAGGTHSSVGGGQARGVVNSQHNNKSREAALRTAQVAKPVQLSPTLPPLSMNPNASRESLANDQMGVGNIGDHAPPDLLSGDQNWRPTGRMRGSLSGQAYSDALNQYIIRPTQQAQAARSPTAISPQLQTIIAKRATPTPPVTNQPSTTPDVSAVLPECSSAMQ >itb01g29710.t1 pep chromosome:ASM357664v1:1:33906160:33911006:-1 gene:itb01g29710 transcript:itb01g29710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVATVGLSSARGACLLTAPRMLAAAAPSPMVSLRRHSELSFHSMQRRCGPPSRKLTIRAARTESKGVSLGFRAPHFELSEPLTGRVWNLEDFEAHPALLVMFICNHCPFVKHLKKDFVKLSNFYMKKGLAVVAISSNSAATHPQDGPEFMAEEAKQFNYPFPYLYDESQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDCVLSGQPVSSAQKPSVGCSIKWHPGEKP >itb01g29710.t3 pep chromosome:ASM357664v1:1:33906160:33911006:-1 gene:itb01g29710 transcript:itb01g29710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVATVGLSSARGACLLTAPRMLAAAAPSPMVSLRRHSELSFHSMQRRCGPPSRKLTIRAARTESKGVSLGFRAPHFELSEPLTGRVWNLEDFEAHPALLVMFICNHCPFVKHLKKDFVKLSNFYMKKGLAVVAISSNSAATHPQDGPEFMAEEAKQFNYPFPYLYDESQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNVPVTGRWITQFIFLIRDLSLAIDCVLSGQPVSSAQKPSVGCSIKWHPGEKP >itb01g29710.t2 pep chromosome:ASM357664v1:1:33906201:33910944:-1 gene:itb01g29710 transcript:itb01g29710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLSEPLTGRVWNLEDFEAHPALLVMFICNHCPFVKHLKKDFVKLSNFYMKKGLAVVAISSNSAATHPQDGPEFMAEEAKQFNYPFPYLYDESQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDCVLSGQPVSSAQKPSVGCSIKWHPGEKP >itb01g05070.t1 pep chromosome:ASM357664v1:1:3455871:3456973:1 gene:itb01g05070 transcript:itb01g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQEKHNQQEFLTPPTPKLILSKKRSSFWDKIALIVIFFLMFIPFVSGIVIEAKYSSLSHSHGPSITAPRKLLVQTLSNRRQSPAVHGDPRLGRLLRSGSDDHSRILMDEPPKQSPAAHGDPRHRRLLRSGSDDHSRILMDEPPKQSPAAHGDPRLGRLLRSDDHSRLLMDEPPKQSPAAHGDPRLE >itb11g23000.t1 pep chromosome:ASM357664v1:11:24878825:24889162:1 gene:itb11g23000 transcript:itb11g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVHEIGIYVHRFHNLDLFQQGWYQIKIRTKWEDDDYGTPSRVFQYEAPELGSEYVCGMWRIDETDLSFFTQAFRIKFSRQDVFLSVMVCFSLPITRNEGPSSSAVILKFELLHAPVLENGFNLQDSFDSCPAAVHEFRLPPEALLGLHSYCPVHFDAFHAVLVDVSIHISLLQGSVDTSEEVPSDSFIEDVTSEDHDESKKVLLVKGFLTARDILLEELQNISKAINQDLDMNDFPSMLEDKESFSFCPSAADNVESSVEVPINMQNVSEKWLGVSKFQNEEVLNSLSKDELLSLFHSFGSQLVYLWGIFQKFHRDHKTSILDFLKEQWAVGRRSEWSIWMIYSEALMPHQCISSEVDSSSHHNTCEGAPALQKLTEDPIQTATKRAELHRQSISQMKITSCAIQDMQIFGDPLRTPIVIVERVRIAPFLSSHQDHNTDIKLAGIGSKPIKKFDSLRRNSRVLKVVVFVHGFQGHHLDLRLVRNQWLLIEPKIHFLMSESNEEKTSGDFREMGLNLAQEVTSYIKKRMDKVTRSGNLESIKLSFVGHSLGNVIIRAALTESIMEPYLRYLYTYVSVSGPHLGYLYSSNSLFNSGLWVLKKLRGTQCIHQLTFSDDPDIENTFLYKLCKQKTLENFRNIILLSSPQDGYVPYHSARIEMCQASLMDKTRKGILFMDMLNNCLEQMYTSSSELRVIMRCDVNFDMSLQGRNLDTVIGRAAHIEFLDSDTFARLIMWSFPDMFR >itb06g11290.t1 pep chromosome:ASM357664v1:6:15875837:15876198:1 gene:itb06g11290 transcript:itb06g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPASPAAPPLETSVFAATRINWKEISEAHIFKTDVPRLKKDKVKVEAEEGRATMKNNVLMVTITKEEVKKPEMKVL >itb14g02160.t1 pep chromosome:ASM357664v1:14:1752037:1755804:-1 gene:itb14g02160 transcript:itb14g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFEEKLRFLRGFLNFTSKWCIESEKLKKLLTRIGTMADNAAHLSYWCFVDETKEQMANEVKLITSYLLEMIIPIDIEVREIYPQVLKASESSLPETPTRYELIMAFFGALMANLMDLHNGKVRGMFTRWESWQIQTLCWGLRFLKVMIKDLSDQCIEHSKLNNLLIYIETEVRKIGPGTRKMNVEVLNFLVVIKLIKTDIYLKDMESSKTILTVSLKEETSTLSKELKSLITDVLGLVDYYANLDKLNDYLRHIEAVGDQLQSVSYLFCMQNVNEDTDSMMHFAMLEFLVKIRTIKAEVNLVKLLDKISGSVVPVKDKIETLQEELEFLVNHLGQYSETEKLNDLLIGIDDIVTQTGTTIDSFNLNEINKEIASKVNIGISGLLEKIELLKKNSQQICHRFPDLKRTYVPRTAELGFIDYLVEKLKQFDCKGNVISQLKNQIERVQGELMSVRYLIGEVAQESNEHEDLKDLFSRSLYVAYEIEYVVGLSVSGIGDTFLCHRLCNATEEIILTKTKIMEIYEKKNETSSNSFMITDKIQGASNVISGIVDDVVVGFKDVVETILEKLVQGSSQRDVISIVGMTGIGKTTVARKIYNICVNNDCYFDVCSWCHVSMTYVKKELLVDLLCQIVELPDKIDRMPEDALSNKLKKGLRGKRYLIVIDDIWSTEDWEDLQRHFPDDNTGSRIIITTQINEVAQNAKSSSDPLFLRFLEDKESWMLLQEKLQYEESHQPGLVDIGKQIAKKCHGLPLSVVLVAGLLSRVEKTPGCWRQVSESFSVVGGTTDPAIELSYKHLPEHLKRCFLYFGVFSEDKEIPVSKLTRLWIAEGFVLEKDMKSSEEVAEEYLMDLIGRNLVINSKNTSRGRVKACHVHDAVRNFCLLKSKEEDFLQRVHGTHSPHSFPQKPEQHRISVCSKQVDFANWRPSDLPVRSLLFKAVYYVSPYDSFDISFVLRQFKLLLVLDLGSLNMGSSFPREVQFLIHLRYLAVRIGTNNIPSFIDNLRNLETFLVKGTRGEIALPDTLWNMVRLRHLHVDNRASFALLKYSYQLENLKTFSSPILAHGEDSIKIIRRLPNLQKLRCWFVESWEGESWEDDPKRFKGKCNQFPALDFLAQLESLKVFYCGHQVLHPCEFNFPSNLKKLTLSNFRLPWSEISKIAKSLPNLEVLYLKFRACNDKRWEVGYGEFPKLKILKLDRLHIEKWEAPEYAFPRLQHLVLQKCKHLEEIPASMDFMDTLQTIDVHWCTHSAVLEKST >itb14g05710.t1 pep chromosome:ASM357664v1:14:4952626:4954081:-1 gene:itb14g05710 transcript:itb14g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSDMYPAVGYKHQHNYSHEEEDYYSQNSYNYSSMQMMRPKPNPNPNPNANYMISSPQPPQCHVAMAPGGGYSGHYDHHNKDKYYGGKDKFYEEFSVKERYQEGYGGGHYDHHNKDKYYENKEKFNEGFKAEKKHQDGYGHYDNQERFTEGFKIKEKYQDGYGTGGHYDHHNKDRYHENKEKFSEGFKAEKHQDGYGHYDNKERCNEGFKFMEKFQDGYGNYQRDDKDGYGHNKFSMDKMMSGGVGGYGTQTHFVTPHMGRPMPYDHREKMMDSWEFKGIDD >itb14g05690.t3 pep chromosome:ASM357664v1:14:4941926:4945183:1 gene:itb14g05690 transcript:itb14g05690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGHCSNGKRQNETMKLVVAVSIGVIFGLFIGFSFPALYLNKVNVTASLINNVDDSSSLTEDQTVMDPTKIWVPSNPRGAERLPPDIIVPESDLYLRRLWGEPSEDLTTKPKYLLTLTVGYKQKDSLDAVVKKFSDNFTILLFHYDGQTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLERKNGVWLTWEMTRRKANSEVHKETNEKQGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQVYMEVGVERVAKYASMLSSYYGTTILHLHKHLMS >itb14g05690.t2 pep chromosome:ASM357664v1:14:4941903:4945709:1 gene:itb14g05690 transcript:itb14g05690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKIWVPSNPRGAERLPPDIIVPESDLYLRRLWGEPSEDLTTKPKYLLTLTVGYKQKDSLDAVVKKFSDNFTILLFHYDGQTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLERKNGVWLTWEMTRRKANSEVHKETNEKQGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCIEPAHDKIGVVDAQPVVHQGIPSLGNEGPEKGGKYKSRLVKQRCRSEWQTFQDRVANAEKAYYKAKGIQFPINFTSSAH >itb14g05690.t1 pep chromosome:ASM357664v1:14:4941903:4945739:1 gene:itb14g05690 transcript:itb14g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGHCSNGKRQNETMKLVVAVSIGVIFGLFIGFSFPALYLNKVNVTASLINNVDDSSSLTEDQTVMDPTKIWVPSNPRGAERLPPDIIVPESDLYLRRLWGEPSEDLTTKPKYLLTLTVGYKQKDSLDAVVKKFSDNFTILLFHYDGQTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLERKNGVWLTWEMTRRKANSEVHKETNEKQGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCIEPAHDKIGVVDAQPVVHQGIPSLGNEGPEKGGKYKSRLVKQRCRSEWQTFQDRVANAEKAYYKAKGIQFPINFTSSAH >itb01g06420.t1 pep chromosome:ASM357664v1:1:4612506:4612929:-1 gene:itb01g06420 transcript:itb01g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNATDLAVNPIKLDIPVTAFLFASPKVGDENFKNAFANQPNLRGLRITDVKDNVPTLPPFGLPVEYLKPELTSLLGYHDPMLYMHGIDGFQGSQGGSSLTEILILPKLISIKML >itb10g19070.t1 pep chromosome:ASM357664v1:10:24841760:24843848:1 gene:itb10g19070 transcript:itb10g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETYQMAQPKLAGFHILHLLVLVINVFVPKAFSHCNGEEGEYISAVGDPGMARDGLRLAIEGWNQCNEVGEEAPNMGSPRAADCFDVYNTPPQQQVPYYELVHRVTEEDNKLGIGQSFLGVESKAVFNADLYAAQKELYLGSKCQVHDTPKPWQFWMIMLKNGNMDTYAGKCPENGQKVGPFGAGNQFPCFGKGCMNQPLIYHNYTALQGPNRTTLKGSFYGSWDLESGLSNNGSFYSVKWEKELGKGSWVFHHVLRTSRKYPWLMLYLRSDATRGFSGGYHYPTRGMLKIIPRSPHFRVRFTLNVIKGGGPNSQFYLLDMGSCWKNNGRPCDGNVTSDVTRYSEMILNPETPSWCNPGDPKLCPPYHTFPNGTKVHRNDTANYPYQAYHVYCAPGNGEHVESPYVPCDPYSNPQPQEIMQILPHPVWGDYGYPTKQGEGWIGDPRTWDLDVGRLSKSLHFYQDPGSVPARRKWTSIDLGTEIYKDPDQEAEWTVTDFNVLIPKKTQKQ >itb09g18280.t1 pep chromosome:ASM357664v1:9:14011115:14015456:-1 gene:itb09g18280 transcript:itb09g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKATETRKKTTWSTWEELLLAFAVKRHGLRDWDSVAMELQNRCSIPAVITAQICKDKYHDLHRRFMNNDAADGDAEGGRVGGVGGIAIPWLEELRQLRVAELKQEVHRYDLSIQSLQLKAKRLEEREQSFRESKDVSVEPDLEDDRKEQRSENDENNGGRETSAGKDVSFSCKDSDRENRSFNESNSTENRRTGEKLGPDSVPIKPDPEAKPVWEEDSCNDSSDTHKASKRKTDADAELRDSVSESKEGTKESSDVQSSASLTERRRKRADAGGERAAAAAASPSPPAVSAKRGGAVKPEALVGLLDIIRSHKHGQVFQRRFDSQKTEDYKKAIRRHVDLETVQARIDDGSYSSCPTRFYLDLLLLFNNAIVFFPKSSPESTAAHQLRDLVMKELKKKKNQITSTEPSPEPSSTKVQQPKLELERSDSLLAKHKSTAPIVVCRKRSSISGGKTATSGNKQGKLSDEKPKPPLGQKLAVKSSSSNADEDGSSTKLKIKEKPVTGVRSTRRSSKGNPNPSQSTAKQTGGEAAKTDKKEEVITTDKKRGAADFLKRMKKDSQGTMAVVSVKNSSDDGKASSRREQQKRKQQATEERKDGPVRRSSGGGNGKKEAAAEESSPAKRNVGRPPKRGRDAAAAAAPEKRGKETSADKEDSSKRPKKRTRR >itb11g09680.t2 pep chromosome:ASM357664v1:11:6580781:6590023:1 gene:itb11g09680 transcript:itb11g09680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGDGGGGGGNVAGPPQHLDWKFSQVFGERAAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKEHAGRRRELERMDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAANSALFLLSTNDKTIKFWKVLEKKVKKISEMNIEPSRSIVNGSAASSSVSMTPKPHLTNGGYPDRPYNCLSNDSSFPASGISALRIPVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQEPAGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQAQTPSRPARSLSSITRVVRRGGESPGVDANGNTFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >itb11g09680.t3 pep chromosome:ASM357664v1:11:6584470:6589992:1 gene:itb11g09680 transcript:itb11g09680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAANSALFLLSTNDKTIKFWKVLEKKVKKISEMNIEPSRSIVNGSAASSSVSMTPKPHLTNGGYPDRPYNCLSNDSSFPASGISALRIPVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQEPAGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQAQTPSRPARSLSSITRVVRRGGESPGVDANGNTFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >itb11g09680.t1 pep chromosome:ASM357664v1:11:6580704:6590023:1 gene:itb11g09680 transcript:itb11g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGDGGGGGGNVAGPPQHLDWKFSQVFGERAAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKEHAGRRRELERMDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAANSALFLLSTNDKTIKFWKVLEKKVKKISEMNIEPSRSIVNGSAASSSVSMTPKPHLTNGGYPDRPYNCLSNDSSFPASGISALRIPVVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQEPAGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATYQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQAQTPSRPARSLSSITRVVRRGGESPGVDANGNTFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >itb10g00870.t1 pep chromosome:ASM357664v1:10:637865:639028:1 gene:itb10g00870 transcript:itb10g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDCGRVLDSIAKKLRIHKDYVRFRAVCASWRGSALKTPTHLPCQFPWLMLSQRQSSRLGFFSPLDDKFHFLILPEASNRRRRCGSSHGWLVILDESPSVFIINPLTRAKLNLPPLSRFPNVLDFNFYNVGREYTLRSDGEIHTCSLKEMRDTFIKKVVLSGNPLLQPSFIAMAILNETGDLAYCKNGENSWNLIDDARSFCEDVIYSDGLFFAVNKFGSIVVCDVNSDSPRVSFIDTPLQTGGDMQYLVKVDDDFLLVTRYLELDVDIQNHRLDIVYKTVEFRVFRLDLSGEKWERVTSLEDKVLFLGENSSLALSTPDFPGFKGNRIYFTDDYSEMNYEGANADHDVGIYNMGDGSFSEFPCCPRNPHSVLCWPPPIWITPNPC >itb03g18850.t1 pep chromosome:ASM357664v1:3:17052028:17053719:-1 gene:itb03g18850 transcript:itb03g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIENEERKQPCVELSKVAVSDTHGENSPYFAGWKAYDEDPFDEKHNPLGVIQMGLAENQVSFDLLEEYLEKHPETRTSSSGFRENALFQDYHGLLSFRKAMASFMEQIRGGRARFDPERVVITAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVKIVPVHCDSSTNFQVTMTALETAYNEAEVKGIKIRGILITNPSNPLGATIQRSILEDILDFATRKNIHLVSDEIYSGSAFSSSEFVSIAEILESRNHKDSERCHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSHTQQLLASMLSDTDFTHNYINTNRQRLRRRYDMIVEGLKAAGIDCLKGNAGLFCWMNLSPFLKEPTRECELEIWEKFLREVKLNISPGSSCHCSEPGWFRVCFANMTEQTLQVALARIRRFMKNRTKINNGAI >itb08g09440.t2 pep chromosome:ASM357664v1:8:8689242:8693494:1 gene:itb08g09440 transcript:itb08g09440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSQTVFLEVWLQSIIGGSSSSVSSRQPSLTSAQAIIQAWADLRDSVQQQAFNSHNLQSLHTLITSQNSLYIADPQAKLLLSLMSSPKISLPQESYPLLLKLLYIWIRKSSRPSSLVVDYAVEVLLNLFSVHFHSEKISVFYSEGVLLLGALSFVTSASEKSRKACLEMLCMLLEQDFKLICMSEGLIPNVLAGIGYALSCPLNIYFVRILGILFGVWDKTDVPLASIENGLLVLHLTEWVLSNLISSHSSDRIDLFKREILESPPQNYASFAVVMAAAGVLRTINRTNANLLMHLRIPAEERIAVVAGDLISRTQDANSSGVKLKNSFLLQCVSLALSKCGTVSHRASLLFCLAEALLTEIFPLQSIYTRILESHAGNLVEIEINVQEHLNSTIFKEAGSITAVFCNQYVSSGEVNRSTVENLIWDYCQDVYLWHRQVALMLQYRKDGLSVNLEKIAESAFLMVVVFALAVTKHKLGSGIAHEDQLKLSVQILVSFSCMEYFRRMRLQEYMDVIRAVITSVQENESACVSFVKSMPSYANLTNNPGSSTFQELNYSWSTDEVQTARILFYLRVIPTCIECMPASMFGTVVAPIMFLYMGHPTRKVARASHSVFVAFISSGKDPIHDERVTLKEKLVFYYMIRSLEAYPDITPFEGVASGVTALVRHLPAGSPSIFYCIHSLVEKVNGLCSVIKNWEGEIEPTKKMLELLLRLLSLVDIQVLPSLMKLLAQLIVQLPTDGQNMVLNDLYQQIAELDDVTRKPAIVSWVQSLSYLCSQDTSRRVSIEASEVHTASIGNTDTLSLNKINARL >itb08g09440.t1 pep chromosome:ASM357664v1:8:8689242:8694700:1 gene:itb08g09440 transcript:itb08g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSQTVFLEVWLQSIIGGSSSSVSSRQPSLTSAQAIIQAWADLRDSVQQQAFNSHNLQSLHTLITSQNSLYIADPQAKLLLSLMSSPKISLPQESYPLLLKLLYIWIRKSSRPSSLVVDYAVEVLLNLFSVHFHSEKISVFYSEGVLLLGALSFVTSASEKSRKACLEMLCMLLEQDFKLICMSEGLIPNVLAGIGYALSCPLNIYFVRILGILFGVWDKTDVPLASIENGLLVLHLTEWVLSNLISSHSSDRIDLFKREILESPPQNYASFAVVMAAAGVLRTINRTNANLLMHLRIPAEERIAVVAGDLISRTQDANSSGVKLKNSFLLQCVSLALSKCGTVSHRASLLFCLAEALLTEIFPLQSIYTRILESHAGNLVEIEINVQEHLNSTIFKEAGSITAVFCNQYVSSGEVNRSTVENLIWDYCQDVYLWHRQVALMLQYRKDGLSVNLEKIAESAFLMVVVFALAVTKHKLGSGIAHEDQLKLSVQILVSFSCMEYFRRMRLQEYMDVIRAVITSVQENESACVSFVKSMPSYANLTNNPGSSTFQELNYSWSTDEVQTARILFYLRVIPTCIECMPASMFGTVVAPIMFLYMGHPTRKVARASHSVFVAFISSGKDPIHDERVTLKEKLVFYYMIRSLEAYPDITPFEGVASGVTALVRHLPAGSPSIFYCIHSLVEKVNGLCSVIKNWEGEIEPTKKMLELLLRLLSLVDIQVLPSLMKLLAQLIVQLPTDGQNMVLNDLYQQIAELDDVTRKPAIVSWVQSLSYLCSQDTSRRVSIEASEVHTASIGNTDTLSLNKINARL >itb08g02080.t1 pep chromosome:ASM357664v1:8:1663890:1664531:1 gene:itb08g02080 transcript:itb08g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPRVSKQSTPLLNTRRVICFFLIPLTFFLLQKPPQSVRICREKGGEDGGARRPEKRGNAVTETPITVPTPVRKEIDQYIYIQTKP >itb03g06360.t1 pep chromosome:ASM357664v1:3:4662879:4663661:1 gene:itb03g06360 transcript:itb03g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNHIRSISLPSRSHPSIQKVEEELTKLKTLQVSAIPEAATICSGLLGLEQLYKCMDDLLNLPQTLQALSQNQNKKWVDVLLEKSVRLLDICGLARDCISQLKEHLRDLQSSQRRRKGDSSSEPSITKYSSFVKKMNKDAKKAIAAMKKMDDEIDGSTPLDVHHDISAVIRALREASAVSTSIFQSVLLFLSVPVSKPKPSRWSLISKLVQNGRVACEYNTCNLGTLEAELEDIENTMQSIFRCLIKSRSSLLNIISC >itb12g00110.t6 pep chromosome:ASM357664v1:12:146125:149815:-1 gene:itb12g00110 transcript:itb12g00110.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb12g00110.t3 pep chromosome:ASM357664v1:12:146125:149815:-1 gene:itb12g00110 transcript:itb12g00110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGVFKHFTLGGVRGFAVGRGTSPNTQNLKIKGIKDIIAVASGKGGVGKSTTSVNLAVSLANKCRLRVGLLDADIYGPSIPMMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb12g00110.t1 pep chromosome:ASM357664v1:12:146125:149815:-1 gene:itb12g00110 transcript:itb12g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGVFKHFTLGGVRGFAVGRGTSPNTQNLKIKGIKDIIAVASGKGGVGKSTTSVNLAVSLANKCRLRVGLLDADIYGPSIPMMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb12g00110.t8 pep chromosome:ASM357664v1:12:146231:149757:-1 gene:itb12g00110 transcript:itb12g00110.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb12g00110.t5 pep chromosome:ASM357664v1:12:146125:149815:-1 gene:itb12g00110 transcript:itb12g00110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb12g00110.t2 pep chromosome:ASM357664v1:12:146125:149815:-1 gene:itb12g00110 transcript:itb12g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MKGVFKHFTLGGVRGFAVGRGTSPNTQNLKIKGIKDIIAVASGKGGVGKSTTSVNLAVSLANKCRLRVGLLDADIYGPSIPMMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb12g00110.t7 pep chromosome:ASM357664v1:12:147111:149757:-1 gene:itb12g00110 transcript:itb12g00110.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVCLNSMMELT >itb12g00110.t4 pep chromosome:ASM357664v1:12:146231:149757:-1 gene:itb12g00110 transcript:itb12g00110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MMMRLHGKPEVSQERKMIPIESYGVKCISMGSLVEEGAAIVWRGPMVMKALEQMTRGVDWGILDILVVDMPPGTGDAQISISQRLQLSGGLIVSTPQDVALMDARRGAKMFSKVNVPILGILENMSYFKCPNCNEPSYIFGKGGARKTADEMGMKFLGEVPLEVGIRSGSDEGLPIVVSEPHSVVSQVYGEIAEKVVKGLEELDQEQHFRPEITL >itb01g14340.t1 pep chromosome:ASM357664v1:1:16113544:16114332:-1 gene:itb01g14340 transcript:itb01g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTRLSVLVLQSNVFSGEIPKNLSHCVRLTEFYLGKNNLTGTFPLEFGSLSKLEYLSVFTNHLMGEIPAYIGNFSSLKKLSFGGNNFTGKIPTPFGCLQKLSFISVHNNYLTSTIPMSIFNLSSLVYSNVEDNKLEGYLPSNLGSPFQI >itb15g22980.t1 pep chromosome:ASM357664v1:15:25755797:25756648:1 gene:itb15g22980 transcript:itb15g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFTILKKSNIHKFQKYVENPVLKLHVIPLVGEGGIGKTTLAKRVYRHPITIASFQIRAWVVLSELPNVKEILIGLLRCIISPITSEIYNLDEAQIAEQLCTSLMGKKYLIFLDDIWTTAAWDAIQGYFPENFNGSRILVTTRFTKVAKYLSADSYHVKYQTFDNLWELFSMKVFGQSQHVPKEYELIGKHIVLGCRGLPLAVVVIAGLLATIEKSIEIWRDVKETLDRVDNDKRISQILSLSYNYLPPHLKPCFHYFGVFPEDNVISVKRLINLWVAEEF >itb13g15370.t1 pep chromosome:ASM357664v1:13:22189754:22193427:1 gene:itb13g15370 transcript:itb13g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMAVQDQENHQHSQPLFEKLFSTYLGLSFALFLGHLPRRAISLVSRLQTHNKELTFQLIDTEEQLKQLLSRRKEDSKANARVVEIFASHRHAWQQEERRLLQQIEEGDEEILRLRGRVEELEKSEAELKEGLEEMKREISERDEMLNFMSSCSGRSPPSSFGSCENGGGGGGGDGDPHSEMGLRFGKLGGVSEGLEECFLRTGDGQSIGFNPEFLNSASKFWPERASPWQDMKYDSHESLYHLKHFVARREAPWKVDGESTGVSSKLKLLEQELLILERIGKTDLSKVPSLMRKQAKRYQALSGQIDDLCRRMQASDPSEPTLSSEFRAQRQTEFLLEALRLKKCASETGQMLLMLQTETGKGCYGDEIDSQAKLSTKRSFDSIRNNFREIQRNLEIWLARIMGDLEGILARDGVSRARDYYISRYPFVQ >itb14g10910.t1 pep chromosome:ASM357664v1:14:12405504:12406646:-1 gene:itb14g10910 transcript:itb14g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKVFLFQWLLLLAFLSVLVLAKSHNLPGFRHVRRNLRENDTIISSSLQTFYYNQTLDHFNFQPQSFATFQQRYMIDSTHWGGADKNAPIFVFLGLEESLDESLKFVGFLSENAPRFKALIVYIEHRFYGKSVPFVTQEEALKNETLRGYFNSAQALADYAEVLVYLKKKLSATYSPIIVIGASYGGMLATWFRLKYPHIAVGALASSAPLLYLDEIVPQDAFFNTIANDFVESSESCGETIMASWDEIHKLASRHDGLSILSQKFKTCQ >itb12g00900.t1 pep chromosome:ASM357664v1:12:636648:643289:-1 gene:itb12g00900 transcript:itb12g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVFGCTDNSQAKRSRIIELSRRLRHRGPDWSGLHCQGDCYLAHQRLAIIDPASGDQPLYNEDKTIVVTVNGEIYNHKELREKLPSHSFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRNNSFIAARDAIGITPLYMGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKTGALRRWYNPPWYLEDIPSTPYDPLLLRKAFEKAVMKRLMTDVPFGVLLSGGLDSSLVAAVASRFLANSEAACQWGSQLHTFCIGLKGSPDLKAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHKETCQKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINTAMSIDPEWKMVRPDLGRIEKWILRNAFDDEQEPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANSLVSDSMLANASFVYPENTPTTKEGYYYRTIFERFFPKNAARSTVPGGPSVACSTARAVEWDASWSKNLDPSGRAALGIHAAAYEHAPDAKKTTSSETSTQKLEVEKASVAV >itb12g00900.t2 pep chromosome:ASM357664v1:12:636688:642410:-1 gene:itb12g00900 transcript:itb12g00900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGNCRLRHRGPDWSGLHCQGDCYLAHQRLAIIDPASGDQPLYNEDKTIVVTVNGEIYNHKELREKLPSHSFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRNNSFIAARDAIGITPLYMGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKTGALRRWYNPPWYLEDIPSTPYDPLLLRKAFEKAVMKRLMTDVPFGVLLSGGLDSSLVAAVASRFLANSEAACQWGSQLHTFCIGLKGSPDLKAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHKETCQKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINTAMSIDPEWKMVRPDLGRIEKWILRNAFDDEQEPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANSLVSDSMLANASFVYPENTPTTKEGYYYRTIFERFFPKNAARSTVPGGPSVACSTARAVEWDASWSKNLDPSGRAALGIHAAAYEHAPDAKKTTSSETSTQKLEVEKASVAV >itb01g23100.t2 pep chromosome:ASM357664v1:1:29160833:29164042:-1 gene:itb01g23100 transcript:itb01g23100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPEDSKQPPPSDSPPPPDDSSSPPPPNDSDDKSPPPPQSNGDSQPPPPPPPPPPPPPPPPPPGDNNALSPPSRPKKAGKKSSSSSNNNDTLLIAGVAAGAALLILVLIVFLISCCKRKRRRSHRDQMQYYNDNSPRKGNDYYNNTGPYGTWKPNNNPTGSGDYFVKMPPPPPSAGVSSEHAWPLVPPPPPPMLMSSDMSSAAFSGPHQAALPPPHPAMALGFNQSSFTYDDLAVATGGFSKANLLGQGGFGYVYKGVLPNGKEIAVKCLKSNSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGAQRMLIYEFVPNGTLEYHVRATVMDFPTRLKIALGAAKGFAYLHEDCHPRIIHRDIKAANILLDYNFDAKVADFGLAKLSNDTQTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSYGVMLLELITGRRPIDVNGDDDTLVDWARPILTRSVDGGNFEELVDPRLEKNYNPQEMHRMVACAAACVRHSARRRPKMSQIVRALEGDVQLNDLNVEAVKSTGESSEYDSSTETRGGKYGGASSQEFSSGEYSGDQTHQHHAP >itb01g23100.t1 pep chromosome:ASM357664v1:1:29160833:29161384:-1 gene:itb01g23100 transcript:itb01g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARPILTRSVDGGNFEELVDPRLEKNYNPQEMHRMVACAAACVRHSARRRPKMSQIVRALEGDVQLNDLNVEAVKSTGESSEYDSSTETRGGKYGGASSQEFSSGEYSGDQTHQHHAP >itb07g21720.t1 pep chromosome:ASM357664v1:7:26276096:26277952:1 gene:itb07g21720 transcript:itb07g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAGRSCYLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVKLFVNNCVSLVKIVTVSNLKKLKVLNLKNCESLVELPNMEILSSLECLNISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSCYLQSLLLSLCHQYSNLEILYLDDLQNLRSLPQLPPKLRILSAKNCVSLEKIADLSNLKGLWSLDIQNCKSLVELSGLESLESFCSLGIANCSSLRIPSIEKWFKVPSNGDSVEIMIRVDVGELSALYVSLMVSFEWDDVIDPSEIDGCNVIRLSVRSKSSGAWILKERKYFNIEASWDVEYKYFSIEVPTTMMGEVLEVYVEVYPFQKIYCLAEIHRNREGEVRFFPSTRGCIPSYNKEMERGRGKGRWR >itb04g28460.t1 pep chromosome:ASM357664v1:4:32193755:32195907:-1 gene:itb04g28460 transcript:itb04g28460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKSDSRTRTRTRTRSGCSRHRTQSSTGICAACLRERLSTVEPSDDPDLSSLHIIPAAIIENPAGNGGSGARRSNAAGDSSSSSSFAPDLRRCRSVSASRLEAANGGSTEPRRRSCDVGDRNTLALLFDINDKNNAPNADTKVESKNIRFSRLARCDEELVKDGEIGEEIRVPVNSFQPNVEAANDDVSMEGELKTMKEYIDLEIQTKSQKPKDLKDIAGNFWEAASVFSKKLRKWRQKQTAKKPGSGDGKSVDRNSNNVLPTRNGKQKREILRESQSDIGENAMGRRSCDTEPRFSVDAGRLSLENPNKIIMDEPRASWDGGYLVARNTPRIPPRLSVSDSMMLGGGDTISDCNSLSIDGQMQSIMEDESSSGGSGQSNSDSSYSQRESSFDRSSSVWSFDRKGLGLECDESKSASLKLVITERELRDWHLKSVKDHCVEKPESFAQEATAVEASKRFNVLKKPTRWKKVCNVFGFKQKHGVSKGENLAGDYDNPEKPRREIAKPLAEAKELKGGVRLTRSNSSIVRGRSSIDKTDMIPSRKSVSEGVAFANTARFLPERNRNGRCTSGHLENGVLPFYLMPLRSSRSKNSRSMLLNSDCISQNVLN >itb07g04990.t1 pep chromosome:ASM357664v1:7:3368040:3370235:-1 gene:itb07g04990 transcript:itb07g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEPKVKEDGENSLATAPPVTMAMPIYDPNMTAVWPPPPPYYYPPMPMAPPPGPYYFAPYPPYYNQPPMEMGGYDPYNPDVSLTPLTRAWSTQLFECFSDIKISFITFFLPCVTFGKIAEFINEGHTTWWEHALVFAFCQVVTLSQGSVIYSCYYRTKMRNKYALNGNIFMDFLVNFLCMKLSLCQQYRQLDRMGFDVGLGWHAYMEKQRINATEAAIQFMPPMMDHGMYR >itb06g04850.t3 pep chromosome:ASM357664v1:6:7621867:7626264:1 gene:itb06g04850 transcript:itb06g04850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKQSSIAQNKSKLSRAFSKVFHIQFVIGAGQEAKHKSSKSHEKKEKNGVVRSDFNVQFKRFDDADEKLRLIAAEAFVARLFASVSSVKAAYAQLQFSQSPYDPDGICAADKMVVSELKMLSELKQCYLKKQIDDECSPQTTLLLAEIQEQKCSLRTYDIMGKKLCSQVKLKDSEIAYLREKLVEINTENRVLEKRLNSISVPSELLSLSFFSTYLLQTVESIQSFTRVLCGEMESAGWDLDAAARSIQPGVVFWKANHKCYAFESFVCSVMFDGFNYQNFSVSSSEQKKQQERLFFDRFMELKSADYLAWKPKSRFARFCCKKYLRLIHPRMEESLFGNLDQRAMVKSGKYPPETAFFTAFSEMAKRIWLLHCLAFSFDPEEASIFQVSRKTRFSEIFMETVNEEAFLLSDGSPETEPQVAFTVVPGFRIGKTVVQCQVYLC >itb06g04850.t4 pep chromosome:ASM357664v1:6:7621867:7626264:1 gene:itb06g04850 transcript:itb06g04850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKQSSIAQNKSKLSRAFSKVFHIQFVIGAGQEAKHKSSKSHEKKEKNGVVRSDFNVQFKRFDDADEKLRLIAAEAFVARLFASVSSVKAAYAQLQFSQSPYDPDGICAADKMVVSELKMLSELKQCYLKKQIDDECSPQTTLLLAEIQEQKCSLRTYDIMGKKLCSQVKLKDSEIAYLREKLVEINTENRVLEKRLNSISVPSELLSLSFFSTYLLQTVESIQSFTRVLCGEMESAGWDLDAAARSIQPGVVFWKANHKCYAFESFVCSVMFDGFNYQNFSVSSSEQKKQQERLFFDRFMELKSADYLAWKPKSRFARFCCKKYLRLIHPRMEESLFGNLDQRAMVKSGKYPPETAFFTAFSEMAKRIWLLHCLAFSFDPEEASIFQVSRKTRFSEIFMETVNEEAFLLSDGSPETEPQVAFTVVPGFRIGKTVVQCQVYLC >itb06g04850.t2 pep chromosome:ASM357664v1:6:7621867:7626264:1 gene:itb06g04850 transcript:itb06g04850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKQSSIAQNKSKLSRAFSKVFHIQFVIGAGQEAKHKSNEKLRLIAAEAFVARLFASVSSVKAAYAQLQFSQSPYDPDGICAADKMVVSELKMLSELKQCYLKKQIDDECSPQTTLLLAEIQEQKCSLRTYDIMGKKLCSQVKLKDSEIAYLREKLVEINTENRVLEKRLNSISVPSELLSLSFFSTYLLQTVESIQSFTRVLCGEMESAGWDLDAAARSIQPGVVFWKANHKCYAFESFVCSVMFDGFNYQNFSVSSSEQKKQQERLFFDRFMELKSADYLAWKPKSRFARFCCKKYLRLIHPRMEESLFGNLDQRAMVKSGKYPPETAFFTAFSEMAKRIWLLHCLAFSFDPEEASIFQVSRKTRFSEIFMETVNEEAFLLSDGSPETEPQVAFTVVPGFRIGKTVVQCQVYLC >itb06g04850.t1 pep chromosome:ASM357664v1:6:7621867:7626264:1 gene:itb06g04850 transcript:itb06g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKQSSIAQNKSKLSRAFSKVFHIQFVIGAGQEAKHKSNEKLRLIAAEAFVARLFASVSSVKAAYAQLQFSQSPYDPDGICAADKMVVSELKMLSELKQCYLKKQIDDECSPQTTLLLAEIQEQKCSLRTYDIMGKKLCSQVKLKDSEIAYLREKLVEINTENRVLEKRLNSISVPSELLSLSFFSTYLLQTVESIQSFTRVLCGEMESAGWDLDAAARSIQPGVVFWKANHKCYAFESFVCSVMFDGFNYQNFSVSSSEQKKQQERLFFDRFMELKSADYLAWKPKSRFARFCCKKYLRLIHPRMEESLFGNLDQRAMVKSGKYPPETAFFTAFSEMAKRIWLLHCLAFSFDPEEASIFQVSRKTRFSEIFMETVNEEAFLLSDGSPETEPQVAFTVVPGFRIGKTVVQCQVYLC >itb04g32440.t1 pep chromosome:ASM357664v1:4:34999911:35007820:1 gene:itb04g32440 transcript:itb04g32440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGEAPISKMVSSDEALEIVLSVAQRLPPISVPLHQALGRVLAEDITAPDPLPPYPASIKDGYAVVSSDGPGVYPIITESRAGNDGLGVVVTPGTVAYVTTGGPIPDGADAVVQVENTELLLDAANESKQVRILKGTSPGVDIRLVGSDIAKGDVVLKSGEHLGAPEIGLLATVGVTIVQVYPTPTIAVLSTGDELVEPEVGCLARGQIRDSNRAMILAAATDQHCRVIDLGIVGDDECQIEETLDQAFSSGINILLTSGGVSMGDRDYVKPLLGKKGKLCFQKVNMKPGKPLVFAEILPRSTDVTTNKILAFGLPGNPVGCMVCFHLFVVPAIRHLSGWAHPHLPRVQARLKQSIKPDPARPEFHRAIISWQLNDGSGLPGFVAESTGQQRSSRLLSMKSANALLEVPASSSSVPAGASVVAIVITDISHFPSNRSLQSTESCRSQSGHRPQEVNARESQNSESRVAILTVSDTVAAGAGPDRSGPRAVSVVNSSSERLGGARVVTTAVVPDDIQKIRDILQRWCDIDNMDLVLTLGGTGCSPRDVTPEATKPLIQKETPGLLHVMMQESLKITPFAMLSRPAAGIRGSTLIINMPGNPNAVAECMEPLLPALKHALKQIRGDKREKHPRHVPHADAVPADTWEHSHKLASSGGEEPTCSCSH >itb04g32440.t2 pep chromosome:ASM357664v1:4:35000480:35009959:1 gene:itb04g32440 transcript:itb04g32440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMLLLALKLDGYAVVSSDGPGVYPIITESRAGNDGLGVVVTPGTVAYVTTGGPIPDGADAVVQVENTELLLDAANESKQVRILKGTSPGVDIRLVGSDIAKGDVVLKSGEHLGAPEIGLLATVGVTIVQVYPTPTIAVLSTGDELVEPEVGCLARGQIRDSNRAMILAAATDQHCRVIDLGIVGDDECQIEETLDQAFSSGINILLTSGGVSMGDRDYVKPLLGKKGKLCFQKVNMKPGKPLVFAEILPRSTDVTTNKILAFGLPGNPVGCMVCFHLFVVPAIRHLSGWAHPHLPRVQARLKQSIKPDPARPEFHRAIISWQLNDGSGLPGFVAESTGQQRSSRLLSMKSANALLEVPASSSSVPAGASVVAIVITDISHFPSNRSLQSTESCRSQSGHRPQEVNARESQNSESRVAILTVSDTVAAGAGPDRSGPRAVSVVNSSSERLGGARVVTTAVVPDDIQKIRDILQRWCDIDNMDLVLTLGGTGCSPRDVTPEATKPLIQKETPGLLHVMMQESLKITPFAMLSRPAAGIRGSTLIINMPGNPNAVAECMEPLLPALKHALKQIRGDKREKHPRHVPHADAVPADTWEHSHKLASSGGEEPTCSYCTSGKVAHRAVACRPPWCLFLPPGIYLKAASFRELAEAMMAGEERNLIEILEENHPIDFNKYISYVQSPQCGAIATFAGTTRDTFDGKQVLELRYEAYTPMAIRCIQSMCSSARSTWNLHSIAIAHCLGPVAVGETSVYVATSAVHRADALDACKFLIDEIKASVPIWKKEVYTNGEVWKENKEFLERRLDTENLTKSQGIKVEPHDKKGCCCGTKVRIDDKNC >itb04g32810.t1 pep chromosome:ASM357664v1:4:35221578:35226994:-1 gene:itb04g32810 transcript:itb04g32810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGELTEQETAIYDRQIRVWGVDAQRRLSKAHVFVSGLKGTAIEFCKNIVLAGVGSLTLNDDRMVTEELLSANFLIPPDENVFGGKSVAELCCDSLKEFNPMVYVSVQKGDLSSFDAEFFDKFDAVVISHCSLSTKKSVNAKCRKLSKRIAFYAVDVRDSCGEIFIDLQSYTYSKKKSEEMSDCQLNYPSFEEAIAVPWRGLPKRMAKLFFAMRVIERFEEFEGGEPGMASNDADLLNVQKLRKELCEAQGLSESQISDSLLKRLLRGTREFPPVCAIIGGILGQEVIKAISGKGDPIKNFFFYDAMDGKGIIEDVSDIKTECLPAKRTAEIL >itb04g32810.t2 pep chromosome:ASM357664v1:4:35221614:35226917:-1 gene:itb04g32810 transcript:itb04g32810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEELLSANFLIPPDENVFGGKSVAELCCDSLKEFNPMVYVSVQKGDLSSFDAEFFDKFDAVVISHCSLSTKKSVNAKCRKLSKRIAFYAVDVRDSCGEIFIDLQSYTYSKKKSEEMSDCQLNYPSFEEAIAVPWRGLPKRMAKLFFAMRVIERFEEFEGGEPGMASNDADLLNVQKLRKELCEAQGLSESQISDSLLKRLLRGTREFPPVCAIIGGILGQEVIKAISGKGDPIKNFFFYDAMDGKGIIEDVSDIKTECLPAKRTAEIL >itb03g03350.t1 pep chromosome:ASM357664v1:3:1931580:1948085:1 gene:itb03g03350 transcript:itb03g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G39850) UniProtKB/TrEMBL;Acc:F4JJ27] MPSLQLLQLTEHGRSLLASRRKTLLLATGIIVAGGSAAAYMQSRKSLTNQSSIDQYDGPIGDVELNTSFGMSENNVKKSRQKKGGLRSLKVLAAILLSRMSSLGTRDLLALIVTVVLRTAVSNRLAKVQGFLFRAAFLRRVPLFFRLIFENILLCFLQSALHSTSKYITGTLSLRFRKILTRLIHAQYFQDMVYYKLSHVDGRITNPEQRIASDVPKFCSELSDLIQEDLVAVADGLLYTWRLCSYASPKYLFWILAYVFGAGVAIKNLSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGEKREDFHIQHKFKSLVRHLRVVLHEHWWFGMIQDFLHKYLGATVAVVLIIEPFFSGHLRPDASTLGRAEMLSNLRYHTSVIISLFQALGTLAISSRRLNRLSGYADRIHELMVISRELGAHDVSTLQTNGGRNFVSEANYIEFDDVKVVTPTGNVLVEDLSLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIAKPGIGSELNKEIFYVPQRPYTAIGTLRDQIIYPLTSDQEVEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMENRFCAKVRAMGTSCITISHRPALVAFHDLVLSLDGEGGWTVHHKRADSPSLNEHEFNNKKHVEFGRKKLSDAERQSDAMTVQRAFANTKQDMTFSSSQDESYFSELLAASPPADDNSKFPVFPQLKSVPRKLPVRVAAMCKILVPTMLDKQGVQLLSVALLVLSRTWISDRIASLNGTTVKYVLEQDKVAFIRLIGVSVLQSAASSFIAPSLRNLTSLLALGWRIRLTKHLLKNYLRKNAYYKVFNMSRVNIDADQRLTQDLDKLTTDLSSLVTGMVKPTVDILWFTWRMKLLTGQRGVAILYAYMFLGLGFLRCVTPEFGDLASHEQQLEGTFRFMHERLRTHAESVAFFGGGAREKEMVESRFKELLHHSALLLKKKWLFGIIDEFITKQLPHNVTWGLSLLYAVEHKGNRALTSTQGELAHALRFLASVVSQSFLAFGDILELHRKFVELSGGINRIFELEELLDAAQNDEPAGVPASPSSSEDVISFSGMNIITPAQQLLARKLTCDIMPGKSLLVTGPNGSGKSSIFRVLRGLWPVVSGNLVRPGQQLNSGFGCGIFYVPQRPYTCLGTLRDQIIYPLSREEAKRRALALILDDQEATGSQIILDSYLKTILERVKLLYLLEREGGWDTNQNWEDILSLGEQQRLGMARLFFHKPRFGILDECTNATSVDVEEHLYRLAKDSGITVVTSSQRPALIPFHSLELRLIDGEGKWELRSIEQ >itb01g10390.t1 pep chromosome:ASM357664v1:1:8627798:8646459:-1 gene:itb01g10390 transcript:itb01g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSLRSSISPFRSRRPPSSSSASSTTAKRPTTPSSRPSTAPPSKSSLSPSTLSSDHQPDSGRKATESVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNSDTAYGFDRVFGPATTTRRVYDVAAQHVVNGAMGGVNGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAIKDVFGIIQETPGREFLLHVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEVTLSQLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNTEETHNTLKFAHRSKHVEIKASQNKILDEKSLIKKYQKEISNLKQELEQLKRGMMENQNVAPVQEDLVNLKLQLEAGKVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKSTIQPNVREKAGHRRRHSFGEDELAYLPDRKRECMIDDDIGSINSEVSADGRVDVTDLDELVKDYKRNRRRGMLGWFKLKKPDNLVVSSSSADYENSANSSPSTSSKSSQGRVTFGATKDGQRKSVSKRGEIAFAADFLPEITQAGDLFSAAIGGRQLPATGTTIADQIDLLREQVKMLAGEVALCISSLKRVSEQAAKNPEDLQLQEQMKRLKDEIREKKVQINILEQRMIGSVEMTPKASSNIEMSQALSKLASQLNEKTFELEIKSADNRVLQEQLKMKVSENAKMQETILLLRQQLDAVLSGKNSKFLQQSSENESTSETCSNGYMEAKTLRKDWTCLDSEMVTNENTPASSVSLDEKFMHEDTKVCRDYAVLNSKLLMQAAEIESLEQEKVKSTEEKDGLEIQCQKLAEEASYAKELAAAAAVELRSLAEEVTKLSYQNAKLTGDLTTGKDACCTHPSCQRFTSFGTKQNNNDSSRANAQLRKPQDGISMEELEQELNVRYQREASLVAALSERDKIEAELRRRLDEAKRHEADLENELANMWVVVAKTRKSGTGSHNPLEEYDASKIPQPRSASEFSLSNGHACSDSIENEIYDNADVSKACCHLERQRCKELENLVSRLKGDDLGGLDMKELEELQNLHVEAITKICYAKCSTDVL >itb04g07690.t1 pep chromosome:ASM357664v1:4:5286986:5289326:1 gene:itb04g07690 transcript:itb04g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTKIQPIDSQAYGESSIRNDSAKPVSKSRFKRFFDRPFPSVLRNSSTSEKLNAAAVAGGGELPYGKEGFPAAAAVEFEPSSVCLAKMVQNFIEESNEKQPSKAVKCGRNRCNCFNGNGDDSSDDEFDFSTFGFADSLSNSSSFGDSSDTLKSLVVCASVAERNILADTSKIVEKNKACKRKDDFRKIVADGLLALGYTASICKSKWEKTSLIPAGEYEYIDVIVEGERVLIDVDFRSEFEIARSTGSYKAILQLLPFIFVGKSDRLQQIVSIVSEGARLSLKKKGMHMAPWRKAEYMKAKWLSPYTRASPPPPPPPPIEADPEPEAVDAEAEAESECGELDLIFGEKETAFSVSPSPPKQPEVMQWQPPAIKPKGTDKRNKVVVTGLAALLK >itb13g10520.t1 pep chromosome:ASM357664v1:13:15384466:15388344:1 gene:itb13g10520 transcript:itb13g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNDDPSQLLAAQQQKLPPSAPAAKKGPAQAQPAKPASAAAAKMPSKPLPPADAVRESKTDFQRGRGGPRGGRGRGGGRGRGFNQDSIDTENTFGSNNGLSGGYRPSEEGEGGRPSERRGGYGGPRGGFRGGRRGGFSNGEAADGERPRRTYERRSGTGRGNEFKRDGSGRGNWGTPTDEIAPETEEPINEVEKTVDTEKQTSQEDTGDANKDSPTNETEEKEPEEKEMTLEEYEKVLEEKRKALLASKPEERKVCLDKEFASMQLLSNKKNDEEVFIKLGGSEKEKKKEAGEKVKKTKNINEFLKPTEGENYYRSGGRGRGRGRGRGGYGGNLSSVDAPSIEDVGQFPTLGSK >itb15g21920.t1 pep chromosome:ASM357664v1:15:24579949:24583650:-1 gene:itb15g21920 transcript:itb15g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHILLLSFLFHILLLFSLPLETATSDTAEGRALLKWKNTLFNTDALHSWSIANLDNICWNWTGITCNNAGAVYKIKLDNFSLSGTLESLDFISFPNITRFSLHNNSFTGSIPYAIANLSQLVFLDLSWNDFVNFIPTEIGRLTNLRFLYFGVNNLSGSIPSQISYLQHLTFISFNYNSLTGQIPEAIFSNLSNIQTFDCGWNMFHGPFPTSLVRLSKLKQLDLSGNNFYGSIPPTIGNLSSLTNLYLGSNMLQGNIPQTLCKLQSLEGLYLYNNTLSGIIPQCLENVTSLRYLSLYSNMLQGNIPRTLCKLHFLEGLYLYNNTLSGIIPQCLENVTSLRYLSLYSNMLQGNIPRTLCKLHFLEGVYLSSNALSGQIPQCLGNLTALRYLYLYSNMLQGNIPKALCKLQSLEGVYFSSNTLSGQIPQCLGNLTSLRYLYLDSNMLQGNIPGALCKFQSLEVLYLSNNTLSGSLEVLYLSNNTLSGLIPQYLGNVTSLRYLSLSSNILHGNIPKTLCKLHSLEGVYLSSNALSGQIPQCLGNVTSLRYLDLSYNKLQRNIPRELCKLHSLESVDFYSNALSGQIPQCLGNLTSLRYLDLGYNKLQRNIPEALCKLHSLENLFLCTNALSGHIPQCLGNLTSLRYLYLYTNLLLQGNIPKTLCKLHSLEVLSLGESSLNGPIPQCLGNVTSLRKLYIINNILMKGSIPKSLCKLLSLEGIYLAKNGLEVFAVFNFMP >itb09g27670.t1 pep chromosome:ASM357664v1:9:27935034:27937544:1 gene:itb09g27670 transcript:itb09g27670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKLLLLLLRKAPLSIVPIAYLMLKFLCLGMKSAKHERRRSLENKCATQNTTGSDGDNKTSGSGLNSDLFLPNEIIIEILSWLPVDALLRVKCVCKSWRSTIQERDFIKKHMSRPGIVVEYAAKTSDNNGNEKAYKWLYVRDGLVLERNNLLFPKYHIRNPATKLKLDLPNPRVPLLFISMFFLSTSNDYKVVYIHHKQGATSSAVAVAVLTIGTDSSWRSLDIPSLHKIKSQRRQITRKSIAEVYYIIVLGDYEIVCLEMETESFTSVQIPERLFPDWNNIESLNWRGKLALASVVGEKINIWVLESYKKQKWENIKVVLPLDSIMNGNVMPFEANGDWLWINVNYEHRVAYNLRTNRVSTVFSALPRQKIEYVYKPSLVHFKEMTPVEN >itb06g12950.t1 pep chromosome:ASM357664v1:6:17508241:17513148:-1 gene:itb06g12950 transcript:itb06g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILYSIVPSSSSLQTVSSHSVRIDSRTRKLTKSYFGDASPENNLKPCYLSSTLAQLYEWEKKLYKEVKEEEQLRLLYERQCRKLKVLDERGKESSKIDAVQASIRKLLTKLNVSIKAIDSISNRIHKLRDEKLQPQVAELINGLIRMWRSMLNCHQKQFQAIMESKTRALKANIGVHADSTVRATLELELQLLAWCSHFNDWICTQKSYVESLNGWLLRCLIYEPEETPDGPVPFSPGRLGAPPIFVICNDWNHAMETISETRVAMTMNNFASSLRKLWERQDEEHRQRLRAEYLSKDYKRRLKMLKMEKGRTGHDRDAMSQKTGASMVPSENGVSPLDDLKVDLDTFRKKLVEEKVKHEDTLKLVHDAASSSLQGGLLPIFKALENFTLEAVKAHEQIRLQNI >itb15g03420.t1 pep chromosome:ASM357664v1:15:2177709:2178174:1 gene:itb15g03420 transcript:itb15g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSWRNFRFSHLSFLFDFVTAQLDHKNSVAKMAQVSIVKAFLFAVVLAVFSAAATAQEIGSAPAPSPDAGAAFSLPISSAVIGSSLLLSVAALLRH >itb08g06390.t1 pep chromosome:ASM357664v1:8:5438712:5442095:-1 gene:itb08g06390 transcript:itb08g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALRLSAIASSTLIRRRLPLVGAFCVLTFGLSNLSVPFSKTGCAQSLPFVPLFRSKSRAQSTSVHSVRMEASDKTVPSIVVYVTVPNKEAGKKLAESIVKEKLAACVNRVPGVESVYEWDGKIQSDSEELLIIKTRESLLEALTTHVNANHEYDVPEVIALPIVGGSPQYLEWIKNTTREK >itb08g06390.t2 pep chromosome:ASM357664v1:8:5438931:5442095:-1 gene:itb08g06390 transcript:itb08g06390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALRLSAIASSTLIRRRLPLVGAFCVLTFGLSNLSVPFSKTGCAQSLPFVPLFRSKSRAQSTSVHSVRMEASDKTVPSIVVYVTVPNKEAGKKLAESIVKEKLAACVNRVPGVESVYEWDGKIQSDSEELLIIKTRESLLEALTTHVNANHEYE >itb07g01080.t1 pep chromosome:ASM357664v1:7:678558:681651:-1 gene:itb07g01080 transcript:itb07g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDDMGFCNDLDSFLAPVNEPADIVAPRPEAEQEDDYSDDEMDVEELERRMWRDKMKLKRLKEMNKSKEGDGAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQVDHAIPGGRNESEGNPVGATPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPSGNEEWWPQLGLHKDQSPPPYKKPHDLKKAWKVGVLTAVIKHISPDISKIRKLVRQSKCLQDKMTAKESATWLAIVNQEEALARELYPDRCPPLSSTAGAGSGMFSVNDSSEYDVEGVDNDPEFDVQEQKPNNINLLSMGVEMFNNGLTDSIKDDVITRLDFSRKRKPMDELPILLDHRIYTCEFVHCPHRELGLGFEDRLARDNHQLTCPYRNSAQFGVSNFHMNEVKPVVFTQQFVQPKAATVTATTTAITATAPPVNPSPSSFNLPGLGVPEDGQRMINDLMSFYDTNIQGSNISSSRPMFTSGDQFEQPKAALNSPFNSPFNVVTSTETLPFMFGPPFNLPSSDYSEALLGIPPLPKKHIHTWY >itb03g02220.t1 pep chromosome:ASM357664v1:3:1220794:1221099:-1 gene:itb03g02220 transcript:itb03g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCLRNSVVSPKRGQPMVPGGSPFFMNLSSFHLNKAVLRMMQRPKTATKTTMKTAINGLPRSFQVPTTLRGRYGGLGWGLLQILGQVHESSRFMEVCLFI >itb05g04750.t1 pep chromosome:ASM357664v1:5:4389743:4394510:1 gene:itb05g04750 transcript:itb05g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMKKWRPWPPLSSKKFEARVVVQRVKGLSISKEAGVGWESVENLTVEIKWKGSAKMSPLSSLRKRGVRRNFTKGEALRAVDGVVEWHEEFHSLCNFSAHKGAEFLPWEVAFTVFNGLSIGPTTKVPAIATATLNLAEYASSAKEKDIEISVPLEVSSSLCIQSSPVLCLSLNLVELRNVHEASSETTQKPVPVMTHPALGEVLHDKIAASPLRAGLEKVKIFKGLSSRGHKKTCHEDEGSDSRSPVRSEDSYPVDTDSFGDSDELGESEEGTEDSNMRKSFSYEALAYANHAGGLYYSNTSGSEDEDLIYYSHQKSDSEDATRSALDQSTRQSSKRGILPWGKRRLSFKSPKSKGEPLLKKHYGEEGGDDIDFDRRQLSSSDESSTGKTGKGSTEAQSPVSEFGDDNFAVGSWESKEIVSRDGEMKLKSQVFFASIDQRDEQAAGESACTALVAVIADWFHINRKELPIKSQLDSLIREGSLEWRKLCENESYRERFPDKHFDLETVLQAKVRPLSVSQEKSFIGFFHPEGIEDEGFDFLKGAMSFDNIWDEISKPCLQDNIASGDSLVYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAYILKFDRDTTISRLAKETEQAEDKPGSDKNEQNDTKEAASEGKMIVSTITSASDKKEGESTNDEEPKESEQEAIMCRGREACKEYIKSFLAAIPIRELQVDLKKGLMASTPLHQRLQIEFHYTKSLELPSLHLTANPSEAQSVLAE >itb05g04750.t2 pep chromosome:ASM357664v1:5:4389887:4394387:1 gene:itb05g04750 transcript:itb05g04750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMKKWRPWPPLSSKKFEARVVVQRVKGLSISKEAGVGWESVENLTVEIKWKGSAKMSPLSSLRKRGVRRNFTKGEALRAVDGVVEWHEEFHSLCNFSAHKGAEFLPWEVAFTVFNGLSIGPTTKVPAIATATLNLAEYASSAKEKDIEISVPLEVSSSLCIQSSPVLCLSLNLVELRNVHEASSETTQKPVPVMTHPALGEVLHDKIAASPLRAGLEKVKIFKGLSSRGHKKTCHEDEGSDSRSPVRSEDSYPVDTDSFGDSDELGESEEGTEDSNMRKSFSYEALAYANHAGGLYYSNTSGSEDEDLIYYSHQKSDSEDATRSALDQSTRQSSKRGILPWGKRRLSFKSPKSKGEPLLKKHYGEEGGDDIDFDRRQLSSSDESSTQGKTGKGSTEAQSPVSEFGDDNFAVGSWESKEIVSRDGEMKLKSQVFFASIDQRDEQAAGESACTALVAVIADWFHINRKELPIKSQLDSLIREGSLEWRKLCENESYRERFPDKHFDLETVLQAKVRPLSVSQEKSFIGFFHPEGIEDEGFDFLKGAMSFDNIWDEISKPCLQDNIASGDSLVYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAYILKFDRDTTISRLAKETEQAEDKPGSDKNEQNDTKEAASEGKMIVSTITSASDKKEGESTNDEEPKESEQEAIMCRGREACKEYIKSFLAAIPIRELQVDLKKGLMASTPLHQRLQIEFHYTKSLELPSLHLTANPSEAQSVLAE >itb13g26060.t5 pep chromosome:ASM357664v1:13:31423154:31427976:1 gene:itb13g26060 transcript:itb13g26060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTGFMFLVTSALLGYIYSPQLDSPPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESIAFGSTSMCGADAFWFWVISAVPFYCATWEHYFTNTLILPVINGPTEGLMLIYLSHFFTALVGAEWWAQQFGKSIPFLSWVPFLNEIATSKAVLFLMIAFGVIPTVSFNVHNVYKVVQARRGSMLLALAMLYPFTVLLGGVLVWDYISPNDLMGNYPHLVIVGTGLAFGFLVGRLILSHLCDEPKGLKTSMCMSLLYLPFAIANTLTARINGGIPLVDEFWVLLGYCVYSVSLYMHFATSVVHEITTALGIYCFRITRKEA >itb13g26060.t2 pep chromosome:ASM357664v1:13:31422345:31427935:1 gene:itb13g26060 transcript:itb13g26060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVAALHRYKYSGEDHSYVAKYILQPFWRRCVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPQLDSPPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESIAFGSTSMCGADAFWFWVISAVPFYCATWEHYFTNTLILPVINGPTEGLMLIYLSHFFTALVGAEWWAQQFGKSIPFLSWVPFLNEIATSKAVLFLMIAFGVIPTVSFNVHNVYKVVQARRGSMLLALAMLYPFTVLLGGVLVWDYISPNDLMGNYPHLVIVGTGLAFGFLVGRLILSHLCDEPKGLKTSMCMSLLYLPFAIANTLTARINGGIPLVDEFWVLLGYCVYSVSLYMHFATSVVHEITTALGIYCFRITRKEA >itb13g26060.t1 pep chromosome:ASM357664v1:13:31422345:31427935:1 gene:itb13g26060 transcript:itb13g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVAALHRYKYSGEDHSYVAKYILQPFWRRCVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPQLDSPPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESIAFGSTSMCGADAFWFWVISAVPFYCATWEHYFTNTLILPVINGPTEGLMLIYLSHFFTALVGAEWWAQQFGKSIPFLSWVPFLNEIATSKAVLFLMIAFGVIPTVSFNVHNVYKVVQARRGSMLLALAMLYPFTVLLGGVLVWDYISPNDLMGNYPHLVIVGTGLAFGFLVGRLILSHLCDEPKGLKTSMCMSLLYLPFAIANTLTARINGGIPLVDEFWVLLGYCVYSVSLYMHFATSVVHEITTALGIYCFRITRKEA >itb13g26060.t3 pep chromosome:ASM357664v1:13:31423154:31427976:1 gene:itb13g26060 transcript:itb13g26060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTGFMFLVTSALLGYIYSPQLDSPPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESIAFGSTSMCGADAFWFWVISAVPFYCATWEHYFTNTLILPVINGPTEGLMLIYLSHFFTALVGAEWWAQQFGKSIPFLSWVPFLNEIATSKAVLFLMIAFGVIPTVSFNVHNVYKVVQARRGSMLLALAMLYPFTVLLGGVLVWDYISPNDLMGNYPHLVIVGTGLAFGFLVGRLILSHLCDEPKGLKTSMCMSLLYLPFAIANTLTARINGGIPLVDEFWVLLGYCVYSVSLYMHFATSVVHEITTALGIYCFRITRKEA >itb13g26060.t4 pep chromosome:ASM357664v1:13:31423373:31427976:1 gene:itb13g26060 transcript:itb13g26060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVTSALLGYIYSPQLDSPPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFESIAFGSTSMCGADAFWFWVISAVPFYCATWEHYFTNTLILPVINGPTEGLMLIYLSHFFTALVGAEWWAQQFGKSIPFLSWVPFLNEIATSKAVLFLMIAFGVIPTVSFNVHNVYKVVQARRGSMLLALAMLYPFTVLLGGVLVWDYISPNDLMGNYPHLVIVGTGLAFGFLVGRLILSHLCDEPKGLKTSMCMSLLYLPFAIANTLTARINGGIPLVDEFWVLLGYCVYSVSLYMHFATSVVHEITTALGIYCFRITRKEA >itb12g26640.t1 pep chromosome:ASM357664v1:12:27448061:27449769:1 gene:itb12g26640 transcript:itb12g26640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDEDYEPKSTPKLSLTKFPISKPRVPLAALTPPLHAPVSIPFLWEEAPGKPRTSAEASPPPLRNSKTVCRSLDLPPRLLNNESGKMTTTPSPTTVLDWPDVGRSSLSSFSSFRSLEEGLVRLRRRESKERSSSPPWESSKENARVCKGSFDFSYSVTDSNSYGKHL >itb12g26640.t2 pep chromosome:ASM357664v1:12:27448061:27449769:1 gene:itb12g26640 transcript:itb12g26640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDEDYEPKSTPKLSLTKFPISKPRVPLAALTPPLHAPVSIPFLWEEAPGKPRTSAEASPPPLRNSKTVCRSLDLPPRLLNNESGKMTTTPSPTTVLDWPDVGRSSLSSFSSFRSLEEGLVRLRRRESKERSSSPPWESSKENARVCKGSFDFSYSVTDSNSYGKKKFARVRRRSSFLNFSRSSSSLLASICESFKQAVPRRWRQEHMRRVG >itb04g07980.t1 pep chromosome:ASM357664v1:4:5553486:5557525:1 gene:itb04g07980 transcript:itb04g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILALGVLVWVSLVAFTVADNGFFRCNCDYDGFWSIETIMEWQKVGDFLIAVAYFSIPIELLYFVSCSNAPFKLILVEFIAFIVLCGMTHLLMGWTYYGQHSFHLMLALTIFKVLTALVSFATAITLVTLIPLLLKVKVREFMLKKKTWDLGREVGMIKKQKEAGWHVRMLTREIRKSLDRHTILYTTLIELSKTLDLHNCAIWMPNEEKTEMDLTHEVRGRSFLDGHNFPIPVLDPVVQEIKQSVEVKLLDPDTPLAVASSGGVCEPGSVAAIRMPMLRVANFKGGTPELVPQCYAILVLVIPAGQGRCWGNQEMGIVKVVADQVAVAISHAAVLEEVQNMRDKLEEQNRALHQAQQDALRASQARNSFQMVMSNGMRRPMHSILGLLSVLQDEQLNCEQKLLRDTLAKTSNVLSTLINDAMDTSTKQNRRFQLEMRSFQLHSMIKEAICLAKCLCTFKGYEFVVEVDKSLPNHVIGNEIRVFQVILHMVGNLLKSSSGGCIKFSVTREKDGQGGNDLGWRTKSSSEHVHVRFEIGIVGNCSKPEGVYKAAHCSEAYGRREVEEVLSFTVCKKLVQLMQGNISVVPNPKGFHQSMAVVLGFQLGPSTSGMSGCSESSSLTHPSSLLAGLKVLLADHDGINRGVTRRLLEKLGCNVSAVSTGYECLGALGPTACPFQVVLLDLHLPELDGFEVTMRIRKFRSRSWPLIIALTANDDEDASERCIQVGMNGIIRKPVILQGIADELTRVLLLKSRNIA >itb04g07980.t2 pep chromosome:ASM357664v1:4:5553486:5557525:1 gene:itb04g07980 transcript:itb04g07980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILALGVLVWVSLVAFTVADNGFFRCNCDYDGFWSIETIMEWQKVGDFLIAVAYFSIPIELLYFVSCSNAPFKLILVEFIAFIVLCGMTHLLMGWTYYGQHSFHLMLALTIFKVLTALVSFATAITLVTLIPLLLKVKVREFMLKKKTWDLGREVGMIKKQKEAGWHVRMLTREIRKSLDRHTILYTTLIELSKTLDLHNCAIWMPNEEKTEMDLTHEVRGRSFLDGHNFPIPVLDPVVQEIKQSVEVKLLDPDTPLAVASSGGVCEPGSVAAIRMPMLRVANFKGGTPELVPQCYAILVLVIPAGQGRCWGNQEMGIVKVVADQVAVAISHAAVLEEVQNMRDKLEEQNRALHQAQQDALRASQARNSFQMVMSNGMRRPMHSILGLLSVLQDEQLNCEQKLLRDTLAKTSNVLSTLINDAMDTSTKQNRRFQLEMRSFQLHSMIKEAICLAKCLCTFKGYEFVVEVDKSLPNHVIGNEIRVFQVILHMVGNLLKSSSGGCIKFSVTREKDGQGGNDLGWRTKSSSEHVHVRFEIGIVGNCSKPEGVYKAAHCSEAYGRREVEEVLSFTVCKKLVQLMQGNISVVPNPKGFHQSMAVVLGFQLGPSTSGMSGCSESSSLTHPSSLLAGLKVLLADHDGINRGVTRRLLEKLGCNVSAVSTGYECLGALGPTACPFQVVLLDLHLPELDGFEVTMRIRKFRSRSWPLIIALTANDDEDASERCIQVGMNGIIRKPVILQGIADELTRVLLLKSRNIA >itb01g19930.t1 pep chromosome:ASM357664v1:1:26268950:26270080:1 gene:itb01g19930 transcript:itb01g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFCGANPKRCSAVGDYCRCRSLPDARRSRDATTVPPLTPPRLSPSAGRLPIYRPTHRPSSNQQIGSAIATSPLPEGCAISNRRRRTCRNAKATQGRRCQEPPSAIAARLTGTHRRHRAARCRRSDILFDANLRRSDDDPGA >itb08g15450.t1 pep chromosome:ASM357664v1:8:17596721:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSKLVFAGRRMECAGKGSRTPCSGQATRRCGRCRAVAYCSISHQVSHWSVHKKECERLEQQMNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFDSLVCLTEHVQFGISLIYMVQED >itb08g15450.t2 pep chromosome:ASM357664v1:8:17599123:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFDSLVCLTEHVQFGISLIYM >itb08g15450.t8 pep chromosome:ASM357664v1:8:17600221:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSKLVFAGRRMECAGKGSRTPCSGQATRRCGRCRAVAYCSISHQVSHWSVHKKECERLEQQMNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDR >itb08g15450.t5 pep chromosome:ASM357664v1:8:17599123:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSKLVFAGRRMECAGKGSRTPCSGQATRRCGRCRAVAYCSISHQVSHWSVHKKECERLEQQMNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFGM >itb08g15450.t6 pep chromosome:ASM357664v1:8:17599123:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMISPSPLLKKLLLRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFGM >itb08g15450.t3 pep chromosome:ASM357664v1:8:17599123:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSKLVFAGRRMECAGKGSRTPCSGQATRRCGRCRAVAYCSISHQVSHWSVHKKECERLEQQMNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFDSLVCLTEHVQFGISLIYM >itb08g15450.t4 pep chromosome:ASM357664v1:8:17599123:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFGM >itb08g15450.t7 pep chromosome:ASM357664v1:8:17599123:17602685:-1 gene:itb08g15450 transcript:itb08g15450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMISPSPLLKKLLLRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDRDGEVIALYSYCHCIETDCECKSLDINVDSCSSMDKSCPVMLHLCAGYYHDRYRDLSKDSSPNLIIAPNAGIAAYRSWLPTIELIKEMKVPALFSDYCEEAAHLATHCISSISGSPPSIPIQLNPFRQPLAVEDSALFLPCYSNCFLFGM >itb08g15450.t9 pep chromosome:ASM357664v1:8:17600678:17602668:-1 gene:itb08g15450 transcript:itb08g15450.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MNRADVLNDFPFTFTEEATVKVCEQSQSRCSFLVTQGVHCVGMWRWECGCGASVASLDVSRCIEGWNLSNTLCPCRGPSLPIPKRISNWKEYYEWRCIPLNSPVALLLHWPLTIYWGIQLAIMRSLISEIASEIHIHYIGPEKELYQLAVFGELCALFPGVRMHIYFVGNAVPQDR >itb07g04420.t1 pep chromosome:ASM357664v1:7:2965091:2970365:1 gene:itb07g04420 transcript:itb07g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGVVLSSISCLFVFFFSLSGLHGINSEAQPEDESGHANLPPRGWNSYDSFSWVITEKQFLQNVELVAQRLKSKGYEYVVVDFLWYRKKVEGAYTDSLGFDVIDEWGRMQPDPGRWPSSAGGRGFTDIAAKVHSMGLKFGIHVMRGVSTQAVNGHTPILDVKTGKAYEEGGRQWYASDVGIKERACVWMKNGFMSVNTKTGAGRAFLRSLYQQYADWGVDFVKHDCVFGSDLDLDEITVVSEVLKELNHPITYSLSPGVDATPALAKEVSGLVNMYRVTGDDWDTWEDVASHFNVARDMAAAGLIGTGGLQGKSWPDLDMLPFGWLTDPGSNEGPHRHSNLTRTEKETQMTLWSMAKSPIMYGGDMRRISVRDLALITNPTLLEINWFSSNNKEFPHVTGTQCSRLRRHTLSHNHESEKCAGTTLDAQVLALRSCKDTKAKGWSHKLLEDDLEQICWKGKTTKHQAPFCLYKRRPFFELHQETRNKSQINGKLHLLEAETKDSCLIAAPNRKLTSAEVSKGSFSNCRWEAYQMWELKRNGTLINNYSGLCASLDSAKATPVGSRAWIASGRQGEIYLAFFNLNKETSVISTTASDVAKALPERNSRDSTCSGRELWSGKSFGPVKVLSITVQAHGSALFVLNCH >itb07g04420.t2 pep chromosome:ASM357664v1:7:2965104:2969768:1 gene:itb07g04420 transcript:itb07g04420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGVVLSSISCLFVFFFSLSGLHGINSEAQPEDESGHANLPPRGWNSYDSFSWVITEKQFLQNVELVAQRLKSKGYEYVVVDFLWYRKKVEGAYTDSLGFDVIDEWGRMQPDPGRWPSSAGGRGFTDIAAKVHSMGLKFGIHVMRGVSTQAVNGHTPILDVKTGKAYEEGGRQWYASDVGIKERACVWMKNGFMSVNTKTGAGRAFLRSLYQQYADWGVDFVKHDCVFGSDLDLDEITVVSEVLKELNHPITYSLSPGVDATPALAKEVSGLVNMYRVTGDDWDTWEDVASHFNVARDMAAAGLIGTGGLQGKSWPDLDMLPFGWLTDPGSNEGPHRHSNLTRTEKETQMTLWSMAKSPIMYGGDMRRISVRDLALITNPTLLEINWFSSNNKEFPHVTGTQCSRLRRHTLSHNHESEKCAGTTLDAQVLALRSCKDTKAKGWSHKLLEDDLEQICWKGKTTKHQAPFCLYKRRPFFELHQETRNKSQINGKLHLLEAETKDSCLIAAPNRKLTSAEVSKGSFSNCRWEAYQMWELKRNGTLINNYSGLCASLDSAKATPVGSRAWIASGRQGLISEPIFLHRPLNSTRE >itb07g04420.t3 pep chromosome:ASM357664v1:7:2965104:2969637:1 gene:itb07g04420 transcript:itb07g04420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGVVLSSISCLFVFFFSLSGLHGINSEAQPEDESGHANLPPRGWNSYDSFSWVITEKQFLQNVELVAQRLKSKGYEYVVVDFLWYRKKVEGAYTDSLGFDVIDEWGRMQPDPGRWPSSAGGRGFTDIAAKVHSMGLKFGIHVMRGVSTQAVNGHTPILDVKTGKAYEEGGRQWYASDVGIKERACVWMKNGFMSVNTKTGAGRAFLRSLYQQYADWGVDFVKHDCVFGSDLDLDEITVVSEVLKELNHPITYSLSPGVDATPALAKEVSGLVNMYRVTGDDWDTWEDVASHFNVARDMAAAGLIGTGGLQGKSWPDLDMLPFGWLTDPGSNEGPHRHSNLTRTEKETQMTLWSMAKSPIMYGGDMRRISVRDLALITNPTLLEINWFSSNNKEFPHVTGTQCSRLRRHTLSHNHESEKCAGTTLDAQVLALRSCKDTKAKGWSHKLLEDDLEQICWKGKTTKHQAPFCLYKRRPFFELHQETRNKSQINGKLHLLEAETKDSCLIAAPNRKLTSAEVSKGSFSNCRWEAYQMWELKRNGTLINNYSGLCASLDSAKGDIFGSITTHILLS >itb14g08600.t1 pep chromosome:ASM357664v1:14:8159855:8161289:1 gene:itb14g08600 transcript:itb14g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSWLLSTAAKRLEGKVAIITGGVGGIGSRIAQLFCEHGAKVLIADTRDDESQSICNSLFVHCDVTSESDVQNAVNKAVSTHGRLDIMVNNAGILGAKESGILESDTADFINVFRVNVMGAFLGTKHAARVMKPAQRGSIINMASIAGVIAGSPHAYTCSKHAIVGLTKNTALDLGRYGIRVNCVSPYAVPTQMARTYFGLAEDDKFDVYSSLKGVDLMAEDVAEAVLYLAGDESKYVSGHNLVVDGAITVSNSAALNVFTQ >itb02g06620.t1 pep chromosome:ASM357664v1:2:4131820:4132240:-1 gene:itb02g06620 transcript:itb02g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVNLMMLDICLVFLETDQCIAIISAPNCQQAGTFNLDACSNGGSSSMSVMMSHTRRAALQTKRRGIKVKWALLLC >itb09g03530.t1 pep chromosome:ASM357664v1:9:1959961:1960608:1 gene:itb09g03530 transcript:itb09g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP10 [Source:Projected from Arabidopsis thaliana (AT5G09530) UniProtKB/TrEMBL;Acc:A0A178UP14] MAHHPKPSFFLLFFITLSLVAIHTIQTEARHLLETTSPELPKPEIPTLPKPEVPEIPKPKLPEIPKPELPQLPKPQVPELPQVPEIPKPKMREIPKPQLPEIPKPQVPEIPKPKMPEIPKPQEPEIPKPQVPEIPKPQMPEIPKPQLPEIPKLHVPEIPKPKMPEIPKPQVPEIPKSQVPEIPKPELPTLPKPQVPEIPKPELPAFPKPELPKKP >itb01g20710.t1 pep chromosome:ASM357664v1:1:26923575:26926347:-1 gene:itb01g20710 transcript:itb01g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTQTNTVFSANSPPVGMESSGEDERGSTVATGGVRTAETMLRLLPMGLCVVALVIMLKNSQTNDFGSLSYSDLGAFKYLVHVNGICAGYSLLSAVAAAIPRPSSMPRAWTFFLLDQILTYVILGAGAVATEVVYLAYKGDSAAMWSKSCGSFGGFCHKATASVAITFTVSLCYIGISLISSYRLFSKYDAPVGFYNNKGIEISAF >itb10g05030.t1 pep chromosome:ASM357664v1:10:5029828:5036458:1 gene:itb10g05030 transcript:itb10g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLFLSFLSVAKPTLPTNFEDDTWSTLKSAISAIFLKQPDPCDLEKLYQAVNDLCLHKMGGSLYQRIEKECEAHVAAALQSLVGQSEDLVVFLSLVEKCWQDFCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLASEVEHKTVFGLLQMIESERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLEGTSEFYAAEGVKFMQQADVPDYLKHVEVRLHEEQERCLHYLDASTRKPLIATTEKQLLERHISAILDKGFTMLIDGSRIEDIQRMYMLFSRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSNLLEFKASLDKIWEESLSKNEAFSNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPMGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAENLSFQDIREATSIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDDDTFVFNDQFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLIAELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >itb07g05710.t1 pep chromosome:ASM357664v1:7:3936835:3940234:1 gene:itb07g05710 transcript:itb07g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAFDEDFMPMGVAAPEALLPFFVHNGSFKNGDFLENKKMKMAAPPPARKNMHITVTEPRSKDDEARLKCILGKYLDTISQRINYHIGYPVNIVYDHYATLAPLMRYHLNNCGDPFMENTVDFHSKDFEVGVLDWFAELWEIEKDDYWGYITNGGTEGNLHGILLGREVHPEGILYASKESHYSVFKAARMYRMEVEGINTMINGEMDYDDLRSKLLLNKGKPAIINVTIGTTFKGGMDNLDVIIKTLEECGYSQDEFYIHCDAALSGLIVPFLKNVPKISFKKPIGSVTISGHKFLGCPMPCGIQMTRKSLIHNISRNVEYIASVDATISGSRNGLTPIFLWYSLSTKGRAGLRKDAERCVETAKHLRDRLQAAGVSAMVNDNGITVVFERPPDREFIRRWQLSCVRDMAHVIVMPGVATEAIDNFFNDLVHERANWYREEWGRQPPCLEDDLGSHNCYCPLHKV >itb14g12390.t1 pep chromosome:ASM357664v1:14:13989309:13992640:-1 gene:itb14g12390 transcript:itb14g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRCQQQQMTSCLTRFRSAPSSYFDTILNAAPTPPPAKTGGGGYARDDMLSGGGSGPNIQQAFARLIATMGGARDASSCSSGGASDAKPPLVPPPPKQEPEARRQEMKYSSESQGFCAGDNSYGLMSPVNPGCFAPVKMNTGVSSSNLTRYNTSPAGFFDQFNIKNGSNANAAEASFASPNAFKNRRVGVDLSPRHPPSSSSRQMASISETAVKEEACSGDGIGFNEDETMDESYLSSFPIPSWDDSDLLSDDFLKVTDEKPSLNAKAPDFKNTEGRIRPPARLSHHRSLPKSSAELSSILQDSVPCRVRAKRGCATHPRSIAERVRRTRISDRMRKLQELVPNMDKQTNTADMLDLAVDYIKDLEQKVKRLADNRAKCRCSNK >itb14g12390.t3 pep chromosome:ASM357664v1:14:13989309:13992613:-1 gene:itb14g12390 transcript:itb14g12390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRCQQQQMTSCLTRFRSAPSSYFDTILNAAPTPPPAKTGGGGYARDDMLSGGGSGPNIQQAFARLIATMGGARDASSCSSGGASDAKPPLVPPPPKQEPEARRQEMKYSSESQGFCAGDNSYGLMSPVNPGCFAPVKMNTGVSSSNLTRYNTSPAGFFDQFNIKNGSNANAAEASFASPNAFKNRRVGVDLSPRHPPSSSSRQMASISETAVKEEACSGDGIGFNEDETMDESYLSSFPIPSWDDSDLLSDDFLKVTDEKPSLNAKAPDFKNTEGRIRPPARLSHHRSLPKSSAELSSILQDSVPCRVRAKRGCATHPRSIAERVRRTRISDRMRKLQELVPNMDKQTNTADMLDLAVDYIKDLEQKVKRLADNRAKCRCSNK >itb14g12390.t4 pep chromosome:ASM357664v1:14:13989320:13992631:-1 gene:itb14g12390 transcript:itb14g12390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRCQQQQMTSCLTRFRSAPSSYFDTILNAAPTPPPAKTGGGGYARDDMLSGGGSGPNIQQAFARLIATMGGARDASSCSSGGASDAKPPLVPPPPKQEPEARRQEMKYSSESQGFCAGDNSYGLMSPVNPGCFAPVKMNTGVSSSNLTRYNTSPAGFFDQFNIKNGSNANAAEASFASPNAFKNRRVGVDLSPRHPPSSSSRQMASISETAVKEEACSGDGIGFNEDETMDESYLSSFPIPSWDDSDLLSDDFLKVTDEKPSLNAKAPDFKNTEGRIRPPARLSHHRSLPKSSAELSSILQDSVPCRVRAKRGCATHPRSIAERVRRTRISDRMRKLQELVPNMDKQTNTADMLDLAVDYIKDLEQKVKRLADNRAKCRCSNK >itb14g12390.t2 pep chromosome:ASM357664v1:14:13989309:13992640:-1 gene:itb14g12390 transcript:itb14g12390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRCQQQQMTSCLTRFRSAPSSYFDTILNAAPTPPPAKTGGGGYARDDMLSGGGSGPNIQQAFARLIATMGGARDASSCSSGGASDAKPPLVPPPPKQEPEARRQEMKYSSESQGFCAGDNSYGLMSPVNPGCFAPVKMNTGVSSSNLTRYNTSPAGFFDQFNIKNGSNANAAEASFASPNAFKNRRVGVDLSPRHPPSSSSRQMASISETAVKEEACSGDGIGFNEDETMDESYLSSFPIPSWDDSDLLSDDFLKVTDEKPSLNAKAPDFKNTEGRIRPPARLSHHRSLPKSSAELSSILQDSVPCRVRAKRGCATHPRSIAERVRRTRISDRMRKLQELVPNMDKQTNTADMLDLAVDYIKDLEQKVKVYPISHCVTFYSIPIIYASS >itb14g12390.t5 pep chromosome:ASM357664v1:14:13989309:13992631:-1 gene:itb14g12390 transcript:itb14g12390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRCQQQQMTSCLTRFRSAPSSYFDTILNAAPTPPPAKTGGGGYARDDMLSGGGSGPNIQQAFARLIATMGGARDASSCSSGGASDAKPPLVPPPPKQEPEARRQEMKYSSESQGFCAGDNSYGLMSPVNPGCFAPVKMNTGVSSSNLTRYNTSPAGFFDQFNIKNGSNANAAEASFASPNAFKNRRVGVDLSPRHPPSSSSRQMASISETAVKEEACSGDGIGFNEDETMDESYLSSFPIPSWDDSDLLSDDFLKVTDEKPSLNAKAPDFKNTEGRIRPPARLSHHRSLPKSSAELSSILQDSVPCRVRAKRGCATHPRSIAERVRRTRISDRMRKLQELVPNMDKVKSMA >itb14g13680.t1 pep chromosome:ASM357664v1:14:15840950:15848139:-1 gene:itb14g13680 transcript:itb14g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANILLTGLTLAPLVVKIDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIAALSATLLPAIKRFLPTKWNEDPIVWRFPYVRSLDVELTRSQIVAAIPGTIFCAWYAKQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFIYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSQRPFSMLGLGDIVIPGIFVALALRFDVSRGKQSQYFTSSFVGYTVGLGLTIFVMNWFQAAQPALLYIVPAVIGFLAVHCLWNGDVKPLLEFDESKKVDEEGEEAIENKKTE >itb04g00150.t1 pep chromosome:ASM357664v1:4:104876:107012:1 gene:itb04g00150 transcript:itb04g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISSRLQELLVVILIIIVSGRSNNNVEAQNTEVAQDKKALCSISLASFLPPPYGAMENMICQPLWNSNFLLRYSQTKDNVVTIVASTLYTSGWVGIGFSRNGKMINSSSMVGWITPEGKARMRQYLLEGFKPSQVKHDKGELPLTTTPPLVVLQGATIYLAFQLKFPMRLRAQPIILAFAHRYPHHLRLTNHDDKTTINFDFSTGASYSVSVSPSGIMKTKKTHGVLGIMGWGLFSPSGAIFARYLRQRGGDNALWFYLHVSAQFIGFILGLAGVVMGLRLYTRMHAHVPAHRGIGIFLLLLSILQVLAFFLRPHEDSKHRKYWNLYHSWVGRIALFFGALNIVLGIHYAEAGDEWQIGYGFLLGSTLLACIILETLLRMKNKKLNNPPHHRPDFPMNSMDH >itb10g15810.t1 pep chromosome:ASM357664v1:10:22051115:22053293:1 gene:itb10g15810 transcript:itb10g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSSIEINIPASIAEKISKIIFQCKGWFSRVSDFCKEDTSRVVFALKVGLAVLLVSLLILFPAPYQVFGANAIWSILTVGMMFEYTVGATFNRGFNRALGSLIAGVLATAIAEIASRSGPVAEAVIIGTSIFLIGTITTFMKLWPSLVPYEYGFRVALITYCLIIVSGYRMGNPVKTSMDRLYSIAIGAIVAVLVNVLVCPIWAGEQLHKELVSSFNIVADSLEECVRKYLEDDGLSYLKFTKTVSDEFPDEPAIKNCRDTLNSAAKIETLANSAKWEPPHGRFCHVFYPWSEYVKVSAVLRYCAYEVMALHGVLHSEIQAPYNLRITLQKEIQEAVAQAAELVRALGEDISSMQQSLKGCLLAKVHASTERLQRAIDRHSCFLILRNETCDSNSPEQQQKLSQISSTNLDEISTHLADLEDSMLEENFDIPSLPLEMPAAVTNEQAYNELMKKQQRRLYSWPSREVDAYEDKGTISVNFKPEMKGLQSTITFASLIIELEARLDHLVEAVDQLSKMAKFKPEGL >itb12g15310.t1 pep chromosome:ASM357664v1:12:15664290:15664598:-1 gene:itb12g15310 transcript:itb12g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKDPEKTNNETIHILTFRAHFQISALLFPSSGNHRMIHKKGGRIRTYGRPAPDRVAGLAPLVASVPETDALRYPARNLVSPTPLLVVPLPIASNPRSGRP >itb10g06430.t1 pep chromosome:ASM357664v1:10:7156774:7158324:1 gene:itb10g06430 transcript:itb10g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYHCMTVVLCLSIIRSCDAGEGGFAECMSVKNSLSRLHSPSSSSYSSLLQSAQQNPRWLNSTSAPRPRFIVTPTTLAEIQAAVVCSRKHGMQVRVKSGGHDYEGLSYLCYHSPFIVIDLDDFRSVSVDLEGETAWVQSGATLGELYYTIAKESGGSHGFSAGLYPSVGVGGHFSGGGIGTMMRKHGLAADNVVDARLVDVNGRILDRKTMGEDLFWAIRGGGGASFGVIAAWKVRLVRVPPHVTVFSITLSPEQGIKLVHRWQFIAPKLPKDLFIRILIQKLDGESPTQVVFESFFLGRKKELIPLMNQRFPELKLRAEDCTELSWIESVMFFTGYRKGEPLEVLLDRITLYKISFKGKSDFVEKPMPEIAFKGILDKFLDRKLVFVIMDPFGGKMEEISEDEIAFPHRKGNLYNIQYLVKWGENRERAASIQWIRTLHDFMRPYVSSSPRAAYVNYRDLDLGINQHQNTSYSHAAIWGIKYFKGNFKRLAKVKGQVDPGNYFRNEQSIPPHGF >itb08g11580.t1 pep chromosome:ASM357664v1:8:11597243:11598007:-1 gene:itb08g11580 transcript:itb08g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVCCEVSSLVVSPRISFSYDLKESDRMIITPVRESHPLISTIDFDFRITADQIFSSADELFANGKILPVELKKSTPPKPSSSSTNEPTKRHMLKELLSLSANSSEEKPPPSKPPFWQFKRSFSLNCENGRANSLIRSLQFLSRSNSTGSSQNHPPPVLPRPIQRRSSKKEPPPMATRAASSCGGHPLRSSSKKSSSSSCSSSPLKKSRSGRAYGSLKISPVLNIPPVYISKATLFGLSSLFCNAKSKKKKK >itb06g15490.t1 pep chromosome:ASM357664v1:6:19831793:19834887:1 gene:itb06g15490 transcript:itb06g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEGLRHYFFCSILLSLLTFCTSADTISTDQPVTDGRTIVSAGGNFELGFFSPGSSNKRYIGIWYSNIPTKDVAWVANGDTPLNNTSGKLMLKDNGILILLDGSNEEIWSSNSSTSLKNPVARLLDTGNLVVREGNGHSSNNYAWQSFDYPGNTLLPGMKLGRNLATGHAWSMTSWKSADDPALGKYTHMMDINGFPEIIQFTGANKSPIFRPGAWNGVMCTGAPNIRENPYYRFEYISNDREVYYTYELKDNSVPSRVVITPTGMINRLIWIERTKSWIVYLTSPIDNCDHYGMCGAYGKCNINSSPPCDCLKGFIPKYPQEWDATDWSNGCVRRTQLDCGDADRFYIYTGVKMPDTRRSWYDRSIGLEECKKLCLKNCSCTAYSNVDVRDGGSGCLLWFGDLTDIRTFDQVDQDLYVRIAASDSAITTNLKQNSYLAWLPVLRNYYPTGKLPTGTDIAVKKLSEYSGQGAQEWENEVIIIAKLQHRNLVTLQDESRKGILTWQKRFEIAVGISRGLLYLHQDARLRIIHRDLKASNILLDAELNAKISDFGLAKIVGEDDALARTKRIVGTYGYMSPEYVIDGNFSVKSDVFSLGVILLELVSGRKNWKFHHLDHDHNLLGHAWLLWKDGDPIELMDNCVRDSYVESQVLRCIHVALLCVSKLPEDRPTMASVVFMLENEEVALPQPKAPGFFVERDSTEASSKNVEIYHSEGAKMTFSIFEPR >itb09g05270.t1 pep chromosome:ASM357664v1:9:2993934:2996534:-1 gene:itb09g05270 transcript:itb09g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNFRINLTKMNNQEESAATVTGKIEKEGKEDSPQPSASGMASSAIDGVASTALRSVLQRVQQAAERCVRQSDRIRVVAVSKTKPASLIRQVYDSGHRCFGENYVQELVDKAPQLPEDIEWHFIGNLQSNKVKPLLTGVPNLAMVETVDDEKIANQLNRVVGNIGRKPLKVFVQVNTSGEETKSGVEPSACVDLVKHISSSCPNLEFSGLMTIGMPDYTSTPENFKTLANCRSEVCKALGIAEEQCELSMGMSD >itb09g05270.t2 pep chromosome:ASM357664v1:9:2993934:2996534:-1 gene:itb09g05270 transcript:itb09g05270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNFRINLTKMNNQEESAATVTGKIEKEGKEDSPQPSASGMASSAIDGVASTALRSVLQRVQQAAERCVRQSDRIRVVAVSKTKPASLIRQVYDSGHRCFGENYVQELVDKAPQLPEDIEWHFIGNLQSNKVKPLLTGVPNLAMVETVDDEKIANQLNRVVGNIGRKPLKVFVQVNTSGEETKSGVEPSACVDLVKHISSSCPNLEFSGLMTIGMPDYTSTPENFKTLANCRSEVCKALGIAEEQCELSMGMSGDFELAIEMGSTNVRVGSTIFGAREYPKKQ >itb03g20820.t1 pep chromosome:ASM357664v1:3:18657329:18658585:1 gene:itb03g20820 transcript:itb03g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVSRRIILLLYDSLMVGIGAYSCFFCGHALLLLSHASAKDTLAPGESLSYYAAADSLESSNTLYSLKFIERSSSTVTSWYLCIQSSRHPFQATTEYITIWVAWQGQSLFSPELTMSEEGQLIIDAVGEDFIVNNQQPSYVKNTTATLLDNGNLVLQSPGGRTLWQSFDYPTNTWLPGMKLGWFGLKTPRPHQRFLTSWTAQQNPAFTFGVLYPNNNTNTNTKRPQLVLMRRGTVYWQSGVWNGNNFSPIFPYLNFSYFSDENQSYIILNEELHFNLTYILIDATGGVTVEKDGYLSSSTYIDCYNSEPTYLEEGCVRVKISNCSTSVGDWFNSTTGVIQWEQYLFNSTFGITDCNQMCANNCSCNAFASIIAEAGTGCKFSSSPAYNYASDGEALYIRHNTKEAGIYMPTLSFTH >itb06g11000.t1 pep chromosome:ASM357664v1:6:15472821:15478217:1 gene:itb06g11000 transcript:itb06g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVTEKIIDVTVKADDDIEADLLKVHSHRHKLDETLQRVVDDVEELMRITSNTRDLVNSNLTDGGSSQHTSHVDVVHEEADAMVGRSEELNEVTSQLLRSTIKTRLVISVVGMGGIGKTTFAKRIYEDPTVKSHFDKRGWTTMSLEHNKGQAILDLCRCVYPPSSAFNKDLENHLRRSLLGHRYLIIVDDIWTTEAWDDIHRCFPDENNGSRILLTTRAREIAQYAGSGEYSYNMSILKEDEAWGLFYQKFLHKEVLEKVSFKEIAMKIVQKCQGLPLTVVVIAGLLSRTDELIDEWENVERRLNSLVALDLYEQLSKIFTLSYNHLPSHLKGCFLYLGVFHEDSEIPVKKLIRLWIAEGFVETMSHRKRLEEVGRNYLQDLIDRSLVMVNERSFDGKIKTCRMHDLLHELCTSKAKNENLLKLETTGSRHRFDRFVRLNDRWLSLKVVNPDFHFSISSKKLRSILCFNSSGREWYLLATSFKKLRVLDLSKINFRSGVPRDITDLVFLRYLALASSMLLNHIPLDKNWNLQTLIISGEDDDDAHELLPHGIWNNLQQLRHLEINHKLQVSIDLLKVQENMHTLYWLSISQCTAEVFKRIPNVKELGIVAGEHDEVLPQGLNNLCCLNYLEKLRVDGSDHPLHLPPQPQGHIFPKNLKELTFSSTCIPWSDMSIISMLPNLEVLKLKNSACTGQVWELIEDRGFPQLKVLIISLTDLKEWKAYVDSSFPKLERLKLKKCFELKQMPDCFEGSLTLQLIKLVYCSASLVCSANHIKEEQLNIGNDIFDVLAFHTQPGVFHKDSDIPIKKLIRLWIAEGFVETRGHRRTLEEVGRDCLKDLIDRSLVMVNERSFDGQIKTCWMHGLLHELCMSKAKTENLVYLETTGSRHSFGHFVRLGYQRWLSLKVVNPDFHISISSEKWRSILCFNGCGTEWHLQATSFKKLRVLDLSKIEFKSGVPRDITDLVFLRYLALASSSMHTQVFMRIPNVKELGIVAGGDDEVSPQGLNYLEKLRVEGSEYSFPQNLKELTFVSTGIPWSDMSIISMLPNLEVLKLKNSACTGQVWELTEDGGFPHLKVLIISRTDFKEWKADVGNPFPKLKRLQLKKCFELEEMPAWFEDTITLQLIKLVHCYASLVHSANKISERRYPWNDRLDVLDFHTRPDYHYYEEEGSSE >itb04g09520.t1 pep chromosome:ASM357664v1:4:8794104:8794864:-1 gene:itb04g09520 transcript:itb04g09520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKSSGGSSDRSIISRVSDSSIVRKGKSAACDAAYVGKRLAKSTGKAAWIAATTLLIIAVPLIIVMDREQQLNELDLQQASLLGTPSVGPPQK >itb03g21700.t1 pep chromosome:ASM357664v1:3:19669666:19671702:1 gene:itb03g21700 transcript:itb03g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVKASHVIKPCEDTPNVVMPSSDCDEIYSLTHNPIICFYRSGNPSIHTLKHSLSRALSIFYPFAGRLRWLDGGRTEIHCNAEGALLLEAESDTRVDDYARRDFLPTPELRRLIPAVDYANTPLQNRPLLLAQLTRFSCGGLGFGFAVCQAVVDGESTFRFISTWARIARGEEPDVYPFLDRRILQLQHRPPAAQAVDINQTQFVPPPLIIGRSDNLEERKKETSPLMLKLSKENIQKLKDTANKDAKMGRTYSRFEVVVAHIWRCATMARGNLPEQPTNAYITVEFRNRLKPPLPMNYFGKAILRLAATATAGELQKNPLGYAVSRIRQAIDKATSEYLSLNLAFYKQLKDISSYRLSGNTALCGNPNFRFTSWINLPLSGMDFGWGKEIYTGPGAIKGDGMCFIIPSNEDDGSLKVIFGLQKEYIEAFENLFYSGSVFTFSSNL >itb04g30860.t1 pep chromosome:ASM357664v1:4:33865396:33867694:-1 gene:itb04g30860 transcript:itb04g30860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRNAIVATGLVAFVAAGLAFPFYMASRSSRAMPVIDSSKALPPQATFRGPYINTGSRDIGPDQHTYPKK >itb12g02300.t1 pep chromosome:ASM357664v1:12:1513093:1514087:-1 gene:itb12g02300 transcript:itb12g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKVKSDNKLAVKKQAAETKKSKKAAKDPNKPKRPPSAFFVGKAGGDKWKQLSASEKAPYISKAEKRKQEYEKNMQAYNKKQAAGAAEEEESDKSRSEVNDDDEDQDGSGEDDSEDDD >itb15g23460.t3 pep chromosome:ASM357664v1:15:26265636:26273679:-1 gene:itb15g23460 transcript:itb15g23460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQQQQQQQPQQPQQQQQLQMQQLLLQRQAQQQQQQQQQQQQQQQQQQQPQSQQQQQRRDGNHMLNGTPNGIVGNDSLMRQSPGANALATKMYEEGLKVPGQRDPIDDAAMKQRFGENASQLLDPSHASILKSATAAAGQPSGQVLHGAAGGMSTQVQARSQQLPGSTPDIKPEINQMLNPRAAGPEGSLMGMPGSNQGGNNLTLKGWPLTGFDQLRSGILQHQKSFMQGPQPFHQLQMLSPQHQHQLLLAQQNMTSPSAGDAESRRLRMLFNNRNMTMGKDGLSNSVVDVPNIGSVPNIGSPLQAGCSVLPRGDPDMVIKLKLAQLQQQQQQQQQQQSQQNSNPQQQPQQQLQQHSLSSQQPQSSNHSLQQDKIMGSGSVTGDGSISNSFRGNEQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDAMSVPPMHNSGSSSKPLMMFGTDNNGTLTSPSNQLWDDKDLVQADMDRFVDDGSLDDNVESFLSHDDADPRDTVGRGMDVSKGFTFTEVSSVRASVSKVVCCHFSSDGKLLVSGGHDKKAVLWHTDTLKPKTTLEEHSSLITDVRFSPSMARLATSSFDKSVRVWGADNPGYSLRNFTGHSAGVMSLDFHPNKEDLICSCDGDGEIRYWSINNGSCTRAFKGGTAQVRFQPRLGRYLAAAAENVVSILDVETQTCRQTLKGHTKPIHSVCWDPSGEHLASVSEDSVRVWTLGSGSEGNCVHELSSNGNKFHSCVFHPSYSSLLVIGCYQSLELWNMTENKTMTLPAHEGLIASLAVSTVAGLVASASHDKIIKLWK >itb15g23460.t2 pep chromosome:ASM357664v1:15:26265658:26273679:-1 gene:itb15g23460 transcript:itb15g23460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQQQQQQQPQQPQQQQQLQMQQLLLQRQAQQQQQQQQQQQQQQQQQQQPQSQQQQQRRDGNHMLNGTPNGIVGNDSLMRQSPGANALATKMYEEGLKVPGQRDPIDDAAMKQRFGENASQLLDPSHASILKSATAAAGQPSGQVLHGAAGGMSTQVQARSQQLPGSTPDIKPEINQMLNPRAAGPEGSLMGMPGSNQGGNNLTLKGWPLTGFDQLRSGILQHQKSFMQGPQPFHQLQMLSPQHQHQLLLAQQNMTSPSAGDAESRRLRMLFNNRNMTMGKDGLSNSVVDVPNIGSVPNIGSPLQAGCSVLPRGDPDMVIKLKLAQLQQQQQQQQQQQSQQNSNPQQQPQQQLQQHSLSSQQPQSSNHSLQQDKIMGSGSVTGDGSISNSFRGNEQASKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDAMSVPPMHNSGSSSKPLMMFGTDNNGTLTSPSNQLADMDRFVDDGSLDDNVESFLSHDDADPRDTVGRGMDVSKGFTFTEVSSVRASVSKVVCCHFSSDGKLLVSGGHDKKAVLWHTDTLKPKTTLEEHSSLITDVRFSPSMARLATSSFDKSVRVWGADNPGYSLRNFTGHSAGVMSLDFHPNKEDLICSCDGDGEIRYWSINNGSCTRAFKGGTAQVRFQPRLGRYLAAAAENVVSILDVETQTCRQTLKGHTKPIHSVCWDPSGEHLASVSEDSVRVWTLGSGSEGNCVHELSSNGNKFHSCVFHPSYSSLLVIGCYQSLELWNMTENKTMTLPAHEGLIASLAVSTVAGLVASASHDKIIKLWK >itb15g23460.t1 pep chromosome:ASM357664v1:15:26265621:26273679:-1 gene:itb15g23460 transcript:itb15g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQQQQQQQPQQPQQQQQLQMQQLLLQRQAQQQQQQQQQQQQQQQQQQQPQSQQQQQRRDGNHMLNGTPNGIVGNDSLMRQSPGANALATKMYEEGLKVPGQRDPIDDAAMKQRFGENASQLLDPSHASILKSATAAAGQPSGQVLHGAAGGMSTQVQARSQQLPGSTPDIKPEINQMLNPRAAGPEGSLMGMPGSNQGGNNLTLKGWPLTGFDQLRSGILQHQKSFMQGPQPFHQLQMLSPQHQHQLLLAQQNMTSPSAGDAESRRLRMLFNNRNMTMGKDGLSNSVVDVPNIGSVPNIGSPLQAGCSVLPRGDPDMVIKLKLAQLQQQQQQQQQQQSQQNSNPQQQPQQQLQQHSLSSQQPQSSNHSLQQDKIMGSGSVTGDGSISNSFRGNEQASKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDAMSVPPMHNSGSSSKPLMMFGTDNNGTLTSPSNQLWDDKDLVQADMDRFVDDGSLDDNVESFLSHDDADPRDTVGRGMDVSKGFTFTEVSSVRASVSKVVCCHFSSDGKLLVSGGHDKKAVLWHTDTLKPKTTLEEHSSLITDVRFSPSMARLATSSFDKSVRVWGADNPGYSLRNFTGHSAGVMSLDFHPNKEDLICSCDGDGEIRYWSINNGSCTRAFKGGTAQVRFQPRLGRYLAAAAENVVSILDVETQTCRQTLKGHTKPIHSVCWDPSGEHLASVSEDSVRVWTLGSGSEGNCVHELSSNGNKFHSCVFHPSYSSLLVIGCYQSLELWNMTENKTMTLPAHEGLIASLAVSTVAGLVASASHDKIIKLWK >itb07g00590.t1 pep chromosome:ASM357664v1:7:389926:392155:1 gene:itb07g00590 transcript:itb07g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITPGFRFYPTEEELVSFYLHNKLEGTRQDINCVIPMINIFDLEPWHLPKVSGELCVGETEQWFFFVPRQEREARGGRPCRTTVAGYWKATGSPSYVYSSDNRVIGMKKSMVFYKGKSPCGRKTKWKMNEYRAIEEQLPSHLHPSYSSSLPFPKLRHEMTLCRVYVILRNFGSFDRRPIGNMANSSYRTSQEHGVNSQEVKMIPMEAIKCSQSSVLQGEEEEEDDFDDGMNVYTTTGLTRMVKSPEPFSGLDRLINFQLSNWNE >itb13g25300.t1 pep chromosome:ASM357664v1:13:30713648:30718482:1 gene:itb13g25300 transcript:itb13g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL3 [Source:Projected from Arabidopsis thaliana (AT2G03710) UniProtKB/Swiss-Prot;Acc:P29383] MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSSSSMSKTLERYHRCSYGALEANQTSKDSQSNYQEYLKLKAGVEVLQQSQRHLLGEDLVQLGIKDLEQLENQVDTSLKSIRSTRTKHMLDQLSELHQKEQNLLEVNKALRIKLGESNAAFLTPWEPQGQNMQYGQHSSSQPIQPQQCHNTVQIGYNPTSMQASNNAGTSTMNGPGVLPGWML >itb03g24900.t1 pep chromosome:ASM357664v1:3:23781099:23787073:-1 gene:itb03g24900 transcript:itb03g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMLSCCCSSLAMTMVLFTCHLIVLKAELQVGFYSFSCPLAELIIKDEVQKAFLLDSGMAAGIIRLHFHDCFVRGCDGSVLIDSTLFNLAEKDSPPNNPSLRGFEVIDTAKARLESVCKGVVSCADLLAFAARDSVEITGGLTYDVPAGRRDGRTSSYLEALLNLPPPSSTLDLLTLGFALKGFTQEEMVTLSGAHTIGRAHCSSFSNRLYNFNITTDQDPTLDPSYAAFLKLQCPKGDNNPNRVAAMDPWSPAKTDVSYYSNLLANRGLFTTDQALLTNPFTAFLVSQNAQNDFLWKMKFAAAMVKMGKVDVLTGFAGEVRSNCRVIN >itb02g04490.t1 pep chromosome:ASM357664v1:2:2669860:2671423:1 gene:itb02g04490 transcript:itb02g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIFLQSLLAITILSFNYSACEIKKTNIVDDSRPIILFERFGFALNGHVTVSVENVLYRSKHQNAKLNPSSMGFFLVSDASFPRILNESSYTEAFCVLSSQYVKPVFKFDELSPVSSHNATLVIGEEPDEYNLIFGNCQPEFHVSMKVFTEMYNVDGDEEKDFLPAGQTELPMIYFLFFAIYLCFLAVWGFICLKQTQTVKKIHIIMLALLVFKALRMICAAEDKMFIRKTGTPHGWDVAFYVFGFLKGITLFTVMENIAAVVIAETGPAKKEWLVWNETFLLIDVVCCCTIFFPIFWSIRSLREASGTDGKASRNLEKLTLFKQFYVVVVAYLYFTRFGVAMIGNAVSYMHEWVVAAAAETVNLVFYLFIFYNFRPIEKNPYLAVDHYGESSPTYRTKAYGEDSIQEL >itb15g21160.t1 pep chromosome:ASM357664v1:15:23831451:23836386:1 gene:itb15g21160 transcript:itb15g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLNSCCRGDSNSYYDLHPKKTAFFHPNQSRGEREMEAAEAQNSSPAAAGGRYALHPTRLAGEDILFCIDVDTESLVEMKTHTTAGRPCIRLDAIKQAILLFINAKLTINPDHRFAFAGLGKSAFWLRKEFSNDVDSAISAFRAISVDSSFGQADLTQLFRVAAHEAKKSRAQNRILRVVLLYCRSSVPPTHQWPAIQKLFTLDVIYLHDKPGPDNCPQKVYDALVEALELVTEYEGYIFESGQGSSRVLFRYMSVLLSHSQQRCVQDYIDIPKSLTKKSPVTEPVPAEENAVASSQ >itb10g24630.t3 pep chromosome:ASM357664v1:10:28341915:28348600:1 gene:itb10g24630 transcript:itb10g24630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTTLQDLIEEAKLRTVWWALCIFTVCYFLTHTSKSMWMNLPIAILLVAGLRILLNEVDFSWKTRSVRPQTYLSHLGKKQLSVNDSRLSTPLPLPKWKSKVDSPLVEAAMEDFIQKILHDFVIDLWYSEITPDKEVPQLIHAVIMDVLGEISLRAKQINLFDLLTRDVVDLIGDHLDLFRRNQASIGVDVMGTLSSEERDERLKHHLLASQELHPALISPECEYKVLQRLMSGVLATVLRPREAQCPLVRSIAREFLTCLVMQPLMNFASPGYINELIEYIFLAINDKGTQEAGNYQSPNSESHYHERSAPAESVDSKSKAAAAHNQRPDMTVAQIGHQRILPSDASRISESNIIQDEPVLPQPADWARVLEAATQRRTEVLMPENLENMWAIGRHYKKKLQKNAAKGVQVPEEKGIISGAKESGKEITTQKPEAPTRMENKALVLSRPRPHPNFKPTDHSNALHSSLNLNMVVAPIGRSSIHEVVDTVAAVSHESRTKLKRSNSTSDLTIQVKMEDPLASKGGHIISEFYTADFKGNAEVHSTKSASEMVLRSDGHQAPKLKCRVLGAYFEKLGSKSFAVYSIAVTNADNNTWFVKRRYSNFERLHRQLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIHLDKYLQELLSIANVAEQHEVWDFLSASSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLVRKVVGSSSTYEPVPAVLGGNYQRNLSWSGDEIGKLALAQSASESVNSYSDNDDVDKDGRDGQGEIESSPVVNGWHSDNELNSKEFPPRVIKHDEEFKGSSSESLSTGGFPEACLAIVSGQQLDPSRMPPGWNPANLSLPVLNLVDNIFQLNKRGWLRRQVYWISKQILQLMMEDAIDDWLLSQINWLRREDVIAHGIQWVQDVLWPDGTFFLKLRAESEMDDGQSNQGRSTRQASGNRASKAASFEEQFEAVRRANEVKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFLQSTICLKQLAYGILELLLVTIFPELREIVKDIHERTRTQPA >itb10g24630.t2 pep chromosome:ASM357664v1:10:28341915:28348600:1 gene:itb10g24630 transcript:itb10g24630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTTLQDLIEEAKLRTVWWALCIFTVCYFLTHTSKSMWMNLPIAILLVAGLRILLNEVDFSWKTRSVRPQTYLSHLGKKQLSVNDSRLSTPLPLPKWKSKVDSPLVEAAMEDFIQKILHDFVIDLWYSEITPDKEVPQLIHAVIMDVLGEISLRAKQINLFDLLTRDVVDLIGDHLDLFRRNQASIGVDVMGTLSSEERDERLKHHLLASQELHPALISPECEYKVLQRLMSGVLATVLRPREAQCPLVRSIAREFLTCLVMQPLMNFASPGYINELIEYIFLAINDKGTQEAGNYQSPNSESHYHERSAPAESVDSKSKAAAAHNQRPDMTVAQIGHQRILPSDASRISESNIIQDEPVLPQPADWARVLEAATQRRTEVLMPENLENMWAIGRHYKKKLQKNAAKGVQVPEEKGIISGAKESGKEITTQKPEAPTRMENKALVLSRPRPHPNFKPTDHSNALHSSLNLNMVVAPIGRSSIHEVVDTVAAVSHESRTKLKRSNSTSDLTIQVKMEDPLASKGGHIISEFYTADFKGNAEVHSTKSASEMVLRSDGHQAPKLKCRVLGAYFEKLGSKSFAVYSIAVTNADNNTWFVKRRYSNFERLHRQLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIHLDKYLQELLSIANVAEQHEVWDFLSASSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLVRKVVGSSSTYEPVPAVLGGNYQRNLSWSGDEIGKLALAQSASESVNSYSDNDDVDKDGRDGQGEIESSPVVNGWHSDNELNSKEFPPRVIKHDEEFKGSSSESLSTGGFPEACLAIVSGQQLDPSRMPPGWNPANLSLPVLNLVDNIFQLNKRGWLRRQVYWISKQILQLMMEDAIDDWLLSQINWLRREDVIAHGIQWVQDVLWPDGTFFLKLRAESEMDDGQSNQGRSTRQASGNRASKAASFEEQFEAVRRANEVKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFLQVCPESRHWSNSRVSFTNNDIVNCSLPSV >itb10g24630.t1 pep chromosome:ASM357664v1:10:28341915:28348600:1 gene:itb10g24630 transcript:itb10g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTTLQDLIEEAKLRTVWWALCIFTVCYFLTHTSKSMWMNLPIAILLVAGLRILLNEVDFSWKTRSVRPQTYLSHLGKKQLSVNDSRLSTPLPLPKWKSKVDSPLVEAAMEDFIQKILHDFVIDLWYSEITPDKEVPQLIHAVIMDVLGEISLRAKQINLFDLLTRDVVDLIGDHLDLFRRNQASIGVDVMGTLSSEERDERLKHHLLASQELHPALISPECEYKVLQRLMSGVLATVLRPREAQCPLVRSIAREFLTCLVMQPLMNFASPGYINELIEYIFLAINDKGTQEAGNYQSPNSESHYHERSAPAESVDSKSKAAAAHNQRPDMTVAQIGHQRILPSDASRISESNIIQDEPVLPQPADWARVLEAATQRRTEVLMPENLENMWAIGRHYKKKLQKNAAKGVQVPEEKGIISGAKESGKEITTQKPEAPTRMENKALVLSRPRPHPNFKPTDHSNALHSSLNLNMVVAPIGRSSIHEVVDTVAAVSHESRTKLKRSNSTSDLTIQVKMEDPLASKGGHIISEFYTADFKGNAEVHSTKSASEMVLRSDGHQAPKLKCRVLGAYFEKLGSKSFAVYSIAVTNADNNTWFVKRRYSNFERLHRQLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIHLDKYLQELLSIANVAEQHEVWDFLSASSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLVRKVVGSSSTYEPVPAVLGGNYQRNLSWSGDEIGKLALAQSASESVNSYSDNDDVDKDGRDGQGEIESSPVVNGWHSDNELNSKEFPPRVIKHDEEFKGSSSESLSTGGFPEACLAIVSGQQLDPSRMPPGWNPANLSLPVLNLVDNIFQLNKRGWLRRQVYWISKQILQLMMEDAIDDWLLSQINWLRREDVIAHGIQWVQDVLWPDGTFFLKLRAESEMDDGQSNQGRSTRQASGNRASKAASFEEQFEAVRRANEVKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFLQSTICLKQLAYGILELLLVTIFPELREIVKDIHERTRTQPA >itb10g24630.t4 pep chromosome:ASM357664v1:10:28341915:28348600:1 gene:itb10g24630 transcript:itb10g24630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTTLQDLIEEAKLRTVWWALCIFTVCYFLTHTSKSMWMNLPIAILLVAGLRILLNEVDFSWKTRSVRPQTYLSHLGKKQLSVNDSRLSTPLPLPKWKSKVDSPLVEAAMEDFIQKILHDFVIDLWYSEITPDKEVPQLIHAVIMDVLGEISLRAKQINLFDLLTRDVVDLIGDHLDLFRRNQASIGVDVMGTLSSEERDERLKHHLLASQELHPALISPECEYKVLQRLMSGVLATVLRPREAQCPLVRSIAREFLTCLVMQPLMNFASPGYINELIEYIFLAINDKGTQEAGNYQSPNSESHYHERSAPAESVDSKSKAAAAHNQRPDMTVAQIGHQRILPSDASRISESNIIQDEPVLPQPADWARVLEAATQRRTEVLMPENLENMWAIGRHYKKKLQKNAAKGVQVPEEKGIISGAKESGKEITTQKPEAPTRMENKALVLSRPRPHPNFKPTDHSNALHSSLNLNMVVAPIGRSSIHEVVDTVAAVSHESRTKLKRSNSTSDLTIQVKMEDPLASKGGHIISEFYTADFKGNAEVHSTKSASEMVLRSDGHQAPKLKCRVLGAYFEKLGSKSFAVYSIAVTNADNNTWFVKRRYSNFERLHRQLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIHLDKYLQELLSIANVAEQHEVWDFLSASSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLVRKVVGSSSTYEPVPAVLGGNYQRNLSWSGDEIGKLALAQSASESVNSYSDNDDVDKDGRDGQGEIESSPVVNGWHSDNELNSKEFPPRVIKHDEEFKGSSSESLSTGGFPEACLAIVSGQQLDPSRMPPGWNPANLSLPVLNLVDNIFQLNKRGWLRRQVYWISKQILQLMMEDAIDDWLLSQINWLRREDVIAHGIQWVQDVLWPDGTFFLKLRAESEMDDGQSNQGRSTRQASGNRASKAASFEEQFEAVRRANEVKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFLQVCPESRHWSNSRVSFTNNDIVNCSLPSV >itb10g24630.t5 pep chromosome:ASM357664v1:10:28341940:28348600:1 gene:itb10g24630 transcript:itb10g24630.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTTLQDLIEEAKLRTVWWALCIFTVCYFLTHTSKSMWMNLPIAILLVAGLRILLNEVDFSWKTRSVRPQTYLSHLGKKQLSVNDSRLSTPLPLPKWKSKVDSPLVEAAMEDFIQKILHDFVIDLWYSEITPDKEVPQLIHAVIMDVLGEISLRAKQINLFDLLTRDVVDLIGDHLDLFRRNQASIGVDVMGTLSSEERDERLKHHLLASQELHPALISPECEYKVLQRLMSGVLATVLRPREAQCPLVRSIAREFLTCLVMQPLMNFASPGYINELIEYIFLAINDKGTQEAGNYQSPNSESHYHERSAPAESVDSKSKAAAAHNQRPDMTVAQIGHQRILPSDASRISESNIIQDEPVLPQPADWARVLEAATQRRTEVLMPENLENMWAIGRHYKKKLQKNAAKGVQVPEEKGIISGAKESGKEITTQKPEAPTRMENKALVLSRPRPHPNFKPTDHSNALHSSLNLNMVVAPIGRSSIHEVVDTVAAVSHESRTKLKRSNSTSDLTIQVKMEDPLASKGGHIISEFYTADFKGNAEVHSTKSASEMVLRSDGHQAPKLKCRVLGAYFEKLGSKSFAVYSIAVTNADNNTWFVKRRYSNFERLHRQLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIHLDKYLQELLSIANVAEQHEVWDFLSASSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLVRKVVGSSSTYEPVPAVLGGNYQRNLSWSGDEIGKLALAQSASESVNSYSDNDDVDKDGRDGQGEIESSPVVNGWHSDNELNSKEFPPRVIKHDEEFKGSSSESLSTGGFPEACLAIVSGQQLDPSRMPPGWNPANLSLPVLNLVDNIFQLNKRGWLRRQVYWISKQILQLMMEDAIDDWLLSQINWLRREDVIAHGIQWVQDVLWPDGTFFLKLRAESEMDDGQSNQGRSTRQASGNRASKAASFEEQFEAVRRANEVKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFLQSTICLKQLAYGILELLLVTIFPELREIVKDIHERTRTQPA >itb06g14140.t2 pep chromosome:ASM357664v1:6:18764534:18771820:-1 gene:itb06g14140 transcript:itb06g14140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDTISELPESTVNGNAAPEEKSEACVEKKDTGDSGVKEMEEDSKQESEKVDADKMDVDEEQVKENEESKDEEGKEEKGDEEEKVEDEKLEDKGEAMDEEEAVEKLDEEEAEEKPDEDEDKVEKEADAVKEEKEQDTAEEPTAGKGSKKNAKSKSNSVEKDKKKKKAVEAKKEKEPRTPMAPTIDRPVRERKSVERLVASIEKDAVKEFRVEKGRGTALKDIPNVAYKLSRKKTEDSFKMLHSILFGRRGKAAQFKNHLSRFSGFVWHDNEEKQRMKVKEKFDKCVKEKLLEFCDILDIPVAKATSKKEDVLVKLMEFLEAPHATTSELLAEKEQSSKGKRKRASNKSGSSASGSSKGSAKSRKTESASKKGEKKDIHESENESEEEPEHEEKANGVSDRSDDEMSDHADSDKKDDDSEDEPEEVKKKKPKAKKSVPKESEDESEEEKKSEERKPKKSALKESDDESEEEEKPKKKQISKKSSSKKESAGKSKTKNSATPKKSAPPPKKTPTKTSSHPKVNDGDDASPKASSKKKKTEAAKEKSSNSKKPAPKETKGKKVVKAKDQPKEDKIKPTDDELRESICEILKEVDFNTATFTDILKQLGMFFITIYPLRETPFPLLPSPTTHTCTHKNPRKRKIP >itb06g14140.t1 pep chromosome:ASM357664v1:6:18764226:18771821:-1 gene:itb06g14140 transcript:itb06g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDTISELPESTVNGNAAPEEKSEACVEKKDTGDSGVKEMEEDSKQESEKVDADKMDVDEEQVKENEESKDEEGKEEKGDEEEKVEDEKLEDKGEAMDEEEAVEKLDEEEAEEKPDEDEDKVEKEADAVKEEKEQDTAEEPTAGKGSKKNAKSKSNSVEKDKKKKKAVEAKKEKEPRTPMAPTIDRPVRERKSVERLVASIEKDAVKEFRVEKGRGTALKDIPNVAYKLSRKKTEDSFKMLHSILFGRRGKAAQFKNHLSRFSGFVWHDNEEKQRMKVKEKFDKCVKEKLLEFCDILDIPVAKATSKKEDVLVKLMEFLEAPHATTSELLAEKEQSSKGKRKRASNKSGSSASGSSKGSAKSRKTESASKKGEKKDIHESENESEEEPEHEEKANGVSDRSDDEMSDHADSDKKDDDSEDEPEEVKKKKPKAKKSVPKESEDESEEEKKSEERKPKKSALKESDDESEEEEKPKKKQISKKSSSKKESAGKSKTKNSATPKKSAPPPKKTPTKTSSHPKVNDGDDASPKASSKKKKTEAAKEKSSNSKKPAPKETKGKKVVKAKDQPKEDKIKPTDDELRESICEILKEVDFNTATFTDILKQLAKQYDTDLAPRKASIKRMIQDELTKLADEGDDEEDDANAVKDEMQASSCQGAET >itb11g15610.t1 pep chromosome:ASM357664v1:11:13407437:13407832:-1 gene:itb11g15610 transcript:itb11g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQGLTEDKLVLLKGINGAFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYIEGSITISGYPKKQETFSRIAGYCEQTDIHSPHVTVYESLVYSAWLRLPPEIDTATQEVEFSSFLLLLWFRKYWMLKIN >itb06g10760.t1 pep chromosome:ASM357664v1:6:15261544:15265670:-1 gene:itb06g10760 transcript:itb06g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLSSTEQSLFSSFGVHWSELEKLPRLVCEMASQNGTAADPRSEFVGVYAKLKSELLNDSAFEFTPDSRQWVQRMLEYNVPGGKLNRGLSVIDSYKLLKEGKELAENEIFLASVLGWCIEWLQAYFLILDDIMDNSHTRRGQPCWFRVPKVGIIAINDGILLRNHIPRILKNHFKDKPYYVDLLDLFNEVEFQTTCGQMIDLITTIEGEKDLSKYSLLLHQRIVQYKTAYYSFYLPVSRAQSYFKPFLILKADVSSFASYFTSRSISQVACALLMVGEKLENHVDVKSILIDMGIYFQVQDDYLDCFGDPEKIGKIGTDIEDFKCSWLVAKALEHCDEEQKKMLYEHYGKQDPASVAQVKAIYNELNLQGIFNEYESKIYEKLKSSIEAHPSKAMQAVLKSFLGKIYKRLK >itb14g01270.t1 pep chromosome:ASM357664v1:14:982892:988667:1 gene:itb14g01270 transcript:itb14g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKTIPHEIGGVRTDALRFGLHGVKSDIVGSHPLESAYEDTKVRQEEMRRKILANTYGLALPTKMELDRRILSRFQRPPGVIPSSMLGLEAMTGALEDFGFEDYLNDPKESETFRPANMHHGMEVRLGLSKGPRPPGVIPSSMLGLEAMTGALEDFGFEDYLNDPKESETFRPANMHHGMEVRLGLSKGPLDTPTRRLQRSGSLIIPTPQALALLSSVPLSILIVSDYSGWKTSDWWLRLATNTPARQLGD >itb07g03770.t1 pep chromosome:ASM357664v1:7:2540170:2543228:-1 gene:itb07g03770 transcript:itb07g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISFHLTSLSPPSINTRRKSIQEVKLLTLGRIVEIRKICRAKVSLEMANNVGDRQEEKQQEIPLLTPYKLGNFQLSHRIVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQLWHVGRVSNKGFQPNGQAPISSTDKSLTPQIRANGIDVAEFTPPRRLRTDEIPQIINDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDEINDRTDQYGGSLENRCRFALEIVDAIANEIGADRVGIRLSPFANYMESGDSNPKALGLYMAEALNKYGILYCHMVEPRMKTVGEKSECPDSLLPMRKAFKGTFLVAGGYDREDGIKAVAENRGDLVVYGRLFLANPDLPKRFELNAPLNKYNRDTFYISDPVVGYTDYPFLETAA >itb02g22960.t1 pep chromosome:ASM357664v1:2:22675458:22675908:-1 gene:itb02g22960 transcript:itb02g22960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVEVFVLVTGGESEQRVPSLPRSVRSEPERDRKKTKNIKHNGNISLDDVIEIAKVMSPRSMAKDLTGTVKEILGNCVSVGCTVDGKDFQQEIADGEVEVPEA >itb13g01560.t1 pep chromosome:ASM357664v1:13:1483723:1484064:1 gene:itb13g01560 transcript:itb13g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLGEGEVLPVREENVSSILGFPWGEGVITMRDRYAKSKLLTAWRKMFEKHRHDVSPAELAQLIREDLVGGLWFKRHFMVLLISSLISCMGNGYCNQIVFHHLDYVATISQ >itb05g17980.t1 pep chromosome:ASM357664v1:5:24816380:24818484:1 gene:itb05g17980 transcript:itb05g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSIVLAVLFAWTLVQGLSLLAKRSKSVGKRFPPGPVPLPVIGNLHLLGEQPHQSLARLAQKYGPVMNLKLGMINTVVISSSAMAKEALQKQDLAFSTHRSIPDALRANNHSQFSVPFLPVASKWRALRKIMNSNIFSGSRLETKQRLRAKKIQELIIYCQKRSEVGEAVDMGRAAFRTTLNLLSNTIFSKDLTDPYLDSGKEFKDLVWNMMVEAGKPNLADYFPFLEKFDPQGIRRRMTCHFTKALDLFQDLIDERLEERKMNGNKNDDALDSLLNVSQERPEEIDRTHMQHMFLDLFAAGTDTSSSTIEWAMTELLKNPETMAKAQAELADVIGKGKPIQEADVTRLPYLQCILKETFRMHPPVPFLLPRKVEHDVNLCGYTIPKGSQILVNVWAIGREPNIWEKPLVFKPERFQNLEMDIRGQDFELIPFGGGRRICPGLPLATKTVPVILGSLLNSFQWKLEGNIAPKDLDMNEKFGITIAKDCPLKAIPIPI >itb04g29650.t1 pep chromosome:ASM357664v1:4:32954960:32963491:-1 gene:itb04g29650 transcript:itb04g29650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGHGQQGKKSPIIPPLPRDSRGSLEVFNPSTFSSRAASPVFQSWKSWEDDPPPPPRVGSPELLEHPNVFSGGNSGRANNGAAEITSWMALKDPTPPQPQVSPSPVKDEVGAAAQRAAEWGLVLKTDDETGKLQGVKVRTSGEEANGRAEGSKRDSGTSVRSSGDLYEDGIGKEKGIPRISEDLRDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYSSKEVIGRNCRFLQGADTDPEDVARIREALNSGSSYCGRLLNYKKDGTPFWNLLTITPIKDETGKVLKFIGMQVEVSKHTEGFKEKMVRPNGLPESLIRYDARQKEAAASSVVDIVEAVKKPGARARALSESTYRPLIRKSGGGTDQERSDILGNSNSDYKPPPPPPPRRHSHAGTRTTLMEKISEVPEKKPKKSSRLSFMGKLKKPRPSSNSDEEFEASVTMLENEDDDDDDESVDDARPDSVDDKVRKKEMRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNCIPEDKAKESAQLVKETAENVDEAVRELPDANQKPEDLWINHSKVVRPKPHRKDSPSWKAIQKILESGEPIGLKHFRPVKPLGSGDTGSVHLVELCETGQFFAMKAMDKNMMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLISDYCPGGELFLLLDRQPTKVLKEDAVRFFAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHITLTDFDLSCLTSCKPQLLIPETTDKKKNQKGQQNPIFLAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLHKDLKFPGSIQVSLHAKQFMYRLLHRDPKNRLGSREGANEIKQHPFFKGVNWALVRCMSPPKLEAPLFETAAEKEDKIDLGMEDLQINIF >itb04g29650.t2 pep chromosome:ASM357664v1:4:32954832:32963376:-1 gene:itb04g29650 transcript:itb04g29650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGHGQQGKKSPIIPPLPRDSRGSLEVFNPSTFSSRAASPVFQSWKSWEDDPPPPPRVGSPELLEHPNVFSGGNSGRANNGAAEITSWMALKDPTPPQPQVSPSPVKDEVGAAAQRAAEWGLVLKTDDETGKLQGVKVRTSGEEANGRAEGSKRDSGTSVRSSGDLYEDGIGKEKGIPRISEDLRDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYSSKEVIGRNCRFLQGADTDPEDVARIREALNSGSSYCGRLLNYKKDGTPFWNLLTITPIKDETGKVLKFIGMQVEVSKHTEGFKEKMVRPNGLPESLIRYDARQKEAAASSVVDIVEAVKKPGARARALSESTYRPLIRKSGGGTDQERSDILGNSNSDYKPPPPPPPRRHSHAGTRTTLMEKISEVPEKKPKKSSRLSFMGKLKKPRPSSNSDEEFEASVTMLENEDDDDDDESVDDARPDSVDDKVRKKEMRKGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDTQTDVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLHNCIPEDKAKESAQLVKETAENVDEAVRELPDANQKPEDLWINHSKVVRPKPHRKDSPSWKAIQKILESGEPIGLKHFRPVKPLGSGDTGSVHLVELCETGQFFAMKAMDKNMMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLISDYCPGGELFLLLDRQPTKVLKEDAVRFFAAEVVVALEYLHCQGIIYRDLKPENVLLQSSGHITLTDFDLSCLTSCKPQLLIPETTDKKKNQKGQQNPIFLAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLHKDLKFPGSIQVSLHAKQFMYRLLHRDPKNRLGSREGANEIKQHPFFKGVNWALVRCMSPPKLEAPLFETAAEKEDKIDLGMEDLQINIF >itb13g01250.t1 pep chromosome:ASM357664v1:13:1195560:1200769:1 gene:itb13g01250 transcript:itb13g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDRDSDREDEDEELPDRDDVDEAASDGERENGASNGWLMSPDDVDMDNQVVLAEDKKYYPTAEEVYGEEVETLVMDEDEQPLEQPIIKPVKNLKFELGVKDSSTYVSTQFLLGLMSNPALVRNVALVGHLHHGKTLFMDMLVEQTHHISTFDQNSEKHMRYTDTRIDEQERKISIKAVPMTLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAVEGVMVNTERAIKHAIQERLPIVVVINKVDRLITELKLPPKDAYFKLRHTIEVINTHITAASSTAGNAQFIDPALGNVCFASATAGWSFTLQSFAKLYVKLHGIPFDADKFASRLWGDYYFDSDSRSFKKKQPANGVERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAELGVTLPNSAYRLNVRPLLRLACSAVFGSATGFTDMLVRHIPSAKAAAARKVEHIYTGPKDSVIYQAMEECDPAGPLMVNVTKLYPKSDCSVFDAFGRVYSGEIMTGQTLRVLGEGYSPDDEEDMTIKEVTKLWVYQARYRIPISKAPPGSWVLIEGVDASIMKTATLCNVDYNDDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIENGVVSIDWPRKKLGDFFQTKYDWDLLAARSIWAFGPDRQGPNILLDDTLSSEVDKSLLNAVKDSVVQGFQWGAREGPLCDEPIRGVKFKIVDAKIAPEPLHRGTGQIIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVSAIFQVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCVSAFDHWSIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMVELAQQDAELHQQMF >itb13g01250.t2 pep chromosome:ASM357664v1:13:1195560:1200769:1 gene:itb13g01250 transcript:itb13g01250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDRDSDREDEDEELPDRDDVDEAASDGERENGASNGWLMSPDDVDMDNQVVLAEDKKYYPTAEEVYGEEVETLVMDEDEQPLEQPIIKPVKNLKFELGVKDSSTYVSTQFLLGLMSNPALVRNVALVGHLHHGKTLFMDMLVEQTHHISTFDQNSEKHMRYTDTRIDEQERKISIKAVPMTLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAVEGVMVNTERAIKHAIQERLPIVVVINKVDRLITELKLPPKDAYFKLRHTIEVINTHITAASSTAGNAQFIDPALGNVCFASATAGWSFTLQSFAKLYVKLHGIPFDADKFASRLWGDYYFDSDSRSFKKKQPANGVERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAELGVTLPNSAYRLNVRPLLRLACSAVFGSATGFTDMLVRHIPSAKAAAARKVEHIYTGPKDSVIYQAMEECDPAGPLMVNVTKLYPKSDCSVFDAFGRVYSGEIMTGQTLRVLGEGYSPDDEEDMTIKEVTKLWVYQARYRIPISKAPPGSWVLIEGVDASIMKTATLCNVDYNDDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIENGVVSIDWPRKKLGDFFQTKYDWDLLAARSIWAFGPDRQGPNILLDDTLSSEVDKSLLNAVKDSVVQGFQWGAREGPLCDEPIRGVKFKIVDAKIAPEPLHRGTGQIIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVSAIFQVLSRRRGHVTADVPQPGTPAYIVKVCFLKIIGILRCYGDTYCMH >itb09g04410.t2 pep chromosome:ASM357664v1:9:2466484:2471405:-1 gene:itb09g04410 transcript:itb09g04410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSENGSGFTDRENREEEIERKRKEKPPEDDCCPICFGDFTVSCRTNCGHWFCGNCILQLWMYRGALRACKCPICTCSISKLTPEASLFSRQEKEVVDLLKGIERYNRLYVGGLNGLLLKIPLLLRRVFWALIDMLLDPHQIRLNYNVMRLVALLLGWIYRTCDFDFIPTARSFLLLSRSCNNQYWDEEVLLQSSKLSPTPSPSSEP >itb09g04410.t1 pep chromosome:ASM357664v1:9:2468072:2471415:-1 gene:itb09g04410 transcript:itb09g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSENGSGFTDRENREEEIERKRKEKPPEDDCCPICFGDFTVSCRTNCGHWFCGNCILQLWMYRGALRACKCPICTCSISKLTPEASLFSRQEKEVVDLLKGIERYNRLYVGGLNGLLLKIPLLLRRVFWALIDMLLDPHQIRLNYNVMRLVALLLGWIYRTCDFDFIPTGRLGIYGLFDSCAVIVVVVLFFAGLYRKWVRGRRVRRLAMEEAWPN >itb13g07310.t1 pep chromosome:ASM357664v1:13:9078963:9083537:-1 gene:itb13g07310 transcript:itb13g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIISAPLFFCFFLTFALAGLVVSVQDAEFYLINKRDFSVKLTNYGARVVSVILPDKNGKLTDVVLGYDTTMEYENDESNFGAIVGRVANRIGGAQFTLNGTLYKLIANDGNNTLHGGPKGFSKVYWKVKKHEESYITFTYHSLDGDQGFPGDVIADVTYSIKENPYKLIVKMNAKAVNKPTPVNLAQHNYWNLGGHDSGDILSEKLHIFASQITPVDSDLIPTGQILPINNTAYDFLEPRQVGPQMAKLPEGSRGGFDINYAIDGYEDVGKRKMVAEVYDERSGIGMEIKASGAAGVQFYTANHLQGVKGKGGAVYESHGALCLETQGFPDAVNHPNFPSTIVTPEHPYHHTMHITLRVHNPRSLRVLESSDSLTV >itb07g12860.t1 pep chromosome:ASM357664v1:7:14919830:14920827:1 gene:itb07g12860 transcript:itb07g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTTSQPSSSSNSRPGTVAPRGSAAAAAGMRRRRLGGSSTVSSGGGDSGGPSNMLRFYTDDAPGLKVSPTVVLIMSVCFIGFVTALHVFGKFYRYRSGGEA >itb05g13670.t1 pep chromosome:ASM357664v1:5:20684347:20687552:-1 gene:itb05g13670 transcript:itb05g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAPSHLSHLKLKPQLPTLSHPSPPNSLPFRLRQSRQNLLLSAALQTPQQEAEEGLAPPRFEDYDEDETYGEVNKIIGSRATEDGKGMEYLIEWKDEHSPTWVPSDYIAKDVVAEYETPWWTAARKADESALRKLVEAGDGRDVDAVDEDGRTALLFVSGLGSEECVKLLAEAGAEINYKDKRGGLTPLHMAAGYVRPGVAKLLIELGADPEAEDDKAQTPLDLARMILKQTPKGNPMQFARRLGLENVIRVLEDAIFEFAEVQEILEKRGKGENVEYLVKWKDGEDNEWVKGWLVAEDLVRDFEAGLEYAVAECVLERREGESGKMEYLVKWSDIEEATWEPEENVDPTLIQEFENDGKKL >itb05g13670.t2 pep chromosome:ASM357664v1:5:20684347:20687551:-1 gene:itb05g13670 transcript:itb05g13670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAPSHLSHLKLKPQLPTLSHPSPPNSLPFRLRQSRQNLLLSAALQTPQQEAEEGLAPPRFEDYDEDETYGEVNKIIGSRATEDGKGMEYLIEWKDEHSPTWVPSDYIAKDVVAEYETPWWTAARKADESALRKLVEAGDGRDVDAVDEDGRTALLFVSGLGSEECVKLLAEAGAEINYKDKRGGLTPLHMAAGYVRPGVAKLLIELGADPEAEDDKAQTPLDLARMILKQTPKGNPMQFARRLGLENVIRVLEDAIFEFAEVQEILEKRGKGENVEYLVKWKDGEDNEWVKGWLVAEDLVRDFEAGLEYAVAECVLERREGESGKMEYLVKWSDIEEATWEPEENVDPTLIQEFENDGKKL >itb11g21950.t4 pep chromosome:ASM357664v1:11:23744298:23749230:1 gene:itb11g21950 transcript:itb11g21950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTRSVTTVRYVGRKDKFTSLKCRSFYRYVVCSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLFIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQATSETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFVGLDATIKINLLIFVPFYLAVNLLYGAEVSKELMPLWILGPFVVALYIKMLQGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEMRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb11g21950.t5 pep chromosome:ASM357664v1:11:23744317:23749277:1 gene:itb11g21950 transcript:itb11g21950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTRSVTTVRYVGRKDKFTSLKCRSFYSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLFIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQATSETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFVGLDATIKINLLIFVPFYLAVNLLYGAEVSKELMPLWILGPFVVALYIKMLQGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEMRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb11g21950.t1 pep chromosome:ASM357664v1:11:23744298:23749277:1 gene:itb11g21950 transcript:itb11g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTRSVTTVRYVGRKDKFTSLKCRSFYRYVVCSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLFIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQATSETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFVGLDATIKINLLIFVPFYLAVNLLYGAEVSKELMPLWILGPFVVALYIKMLQGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEMRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb11g21950.t2 pep chromosome:ASM357664v1:11:23744298:23749230:1 gene:itb11g21950 transcript:itb11g21950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTRSVTTVRYVGRKDKFTSLKCRSFYRYVVCSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLFIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQATSETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFVGLDATIKINLLIFVPFYLAVNLLYGAEVSKELMPLWILGPFVVALYIKMLQGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEMRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb11g21950.t3 pep chromosome:ASM357664v1:11:23744298:23749230:1 gene:itb11g21950 transcript:itb11g21950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTRSVTTVRYVGRKDKFTSLKCRSFYSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLFIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQATSETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFVGLDATIKINLLIFVPFYLAVNLLYGAEVSKELMPLWILGPFVVALYIKMLQGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEMRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb07g08610.t1 pep chromosome:ASM357664v1:7:6835528:6837214:1 gene:itb07g08610 transcript:itb07g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G57660) UniProtKB/Swiss-Prot;Acc:Q9FHH8] MVIANCGGGSGGGDSIINSRCLPGGWGAAAAAKPCDYCKIAVALVFCRVDAVFMCLECDAKVHATNKLGSKHERVWVCEVCEHAPASVTCKADAAALCVTCDRDIHSANPLAQRHERIPVTPFYDTAESVVKSTTAALLVPINDSNPPNFAHENFASDPWNSSASITSKLPENAPAGMKSAEFSLFDSDNFLDFEYPVSWESNSQNQYNSDRVVPIQTIKTSAPIIPQEKHFEIDFTRSNLTSYNSGFTKPSLSVSSSLDVGVVPDGSSVSEISYRNIGAGSVDSRGGSQWNGMDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEVESESDIDTLFSSADSAADVGRFSVVPLIW >itb01g29670.t1 pep chromosome:ASM357664v1:1:33868901:33870383:-1 gene:itb01g29670 transcript:itb01g29670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.2 [Source:Projected from Arabidopsis thaliana (AT1G21340) UniProtKB/Swiss-Prot;Acc:P68349] MLSSESSPMLDCHSILMDRKWKHNIELAPNCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKTRRSKSARQAAEQHRSNAHHAPAGALDNGSAGAEEIDMAAVFAKYLNQGDLSPSGATSSTSASMALSSLDSESLVDELLLLDYQEDPSPLFLDQAVELQESSPQASINVQEHLLDYNQSALDLQALLEDDQWPNFAWQQPMIQQQDLGTFFGDNDLVYPTKASTTLPNNDVWGSFDLSGCEILPRP >itb10g11980.t1 pep chromosome:ASM357664v1:10:17680809:17683619:-1 gene:itb10g11980 transcript:itb10g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKANARTDVRWSRYKVAVDAEDGRRRREENMVEIRWNKREENLHKKRHYLVKMEKKSCDEGRRISRRKMEKERMEKLKALKAAAGGYKVGDASNNPLVLSSSEEEDEPLAHKEQTIMGGGGLKHPL >itb04g10080.t1 pep chromosome:ASM357664v1:4:9324004:9324814:1 gene:itb04g10080 transcript:itb04g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTHALDMFPYSLSTCRVARSFSGFSPNLSSTWSRIAGPPGWAIQKIEFQSEMPRGLNASSKHFSMLFETTEGTSLSKWNVSPTSRKCPSIAPQLSGAKTLAPDSCGAIDGHLREVGLTFHLLKDVPSVVSNNIEKCLLEAFNPLGISDWNSIFWIAHPGGPAILDQVEDKLGLKPEKLRATRHVLSEYGNMSSACVLFILDEMRKASSNDGLGTTGEGLEWGVLFGFGPGLTIETVVLHSVPT >itb01g32650.t1 pep chromosome:ASM357664v1:1:36073457:36075144:1 gene:itb01g32650 transcript:itb01g32650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLFISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQRRDHGGLKAGNVARQKQAEMRKAAVAAAARENPMMMMMAPLANTNNNTPPWPELPVLDPIRFAPDNQPAFNDHHSIRNLLIKLGGKFGDDNDNNDDLKHKNLALYPTENPPAVLLPPLYQQNPMNQISSAPTTETLNSPFSINEYNMEAEIRSFPGENCFYTDNTNIPQKMDGLEFLYDNMLSNNNGRLGSSSGGAMMDWSEMMSYCSLAFAPPLSSYTSSTVQPPAAQPHSAAALFDGEELISYSGTPPQ >itb01g24250.t1 pep chromosome:ASM357664v1:1:29929834:29930507:-1 gene:itb01g24250 transcript:itb01g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYNFFPTDFFFPRQQAVTKDNAPQQIPLVKVNKISDEIEESIKPKVQPSKAIAINTKAAVSSSSVALAPLQKKHKYQA >itb01g20730.t1 pep chromosome:ASM357664v1:1:26938159:26940340:1 gene:itb01g20730 transcript:itb01g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALISSSSIASSAEAARQVLGARPLQSSSRKVSFVVRAASTPPVKQGTDRQLWFASKQSLTYLDGSLPGDYGFDPLGLSDPEGPGGFIEPRWLAYGEVINGRYAMLGAVGAIAPEILGKAGLIPPETALPWFKTGVIPPAGTYNYWADPYTLFVFEMALMGFAEHRRFQDWANPGSMGKQYFLGLEKGLGGSGDPAYPGGPIFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQALVTGVGPFQNLLDHLADPVNNNILTNLKFH >itb01g06910.t4 pep chromosome:ASM357664v1:1:5119202:5127723:1 gene:itb01g06910 transcript:itb01g06910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLILSGNYLTGELPKELNALTNLIEFRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFGPEDSQLKIDWPTRYRICVGVAKGLAFLHEESEIKIVHRDIKPTNVLLDRELNPKISDFGLAKLDDDDKTHIISTRVAGTRGYMAPEYVLWGYLTFKVDVYSFGVVTLEIVAGKNVEYRRDENCLCLLDWVRYQHYQTHNSWISDMSN >itb01g06910.t5 pep chromosome:ASM357664v1:1:5119209:5127685:1 gene:itb01g06910 transcript:itb01g06910.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFGPEDSQLKIDWPTRYRICVGVAKGLAFLHEESEIKIVHRDIKPTNVLLDRELNPKISDFGLAKLDDDDKTHIISTRVAGTRGYMAPEYVLWGYLTFKVDVYSFGVVTLEIVAGKNVEYRRDENCLCLLDWVLDLQKQGNLIELIDPRLGSNFDKEQALRVIKVALLCINPSPALRPSMSEVINMLEGHEDIIEYNTDLHEFNFQAMRDHYDQLPINLSDSPYQAILSSDAN >itb01g06910.t9 pep chromosome:ASM357664v1:1:5119209:5127685:1 gene:itb01g06910 transcript:itb01g06910.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFGPEDSQLKIDWPTRYRICVGVAKGLAFLHEESEIKIVHRDIKPTNVLLDRELNPKISDFGLAKLDDDDKTHIISTRVAGTR >itb01g06910.t1 pep chromosome:ASM357664v1:1:5119202:5127723:1 gene:itb01g06910 transcript:itb01g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLILSGNYLTGELPKELNALTNLIEFRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFGPEDSQLKIDWPTRYRICVGVAKGLAFLHEESEIKIVHRDIKPTNVLLDRELNPKISDFGLAKLDDDDKTHIISTRVAGTRGYMAPEYVLWGYLTFKVDVYSFGVVTLEIVAGKNVEYRRDENCLCLLDWVLDLQKQGNLIELIDPRLGSNFDKEQALRVIKVALLCINPSPALRPSMSEVINMLEGHEDIIEYNTDLHEFNFQAMRDHYDQLPINLSDSPYQAILSSDAN >itb01g06910.t7 pep chromosome:ASM357664v1:1:5119209:5127685:1 gene:itb01g06910 transcript:itb01g06910.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFGPEDSQLKIDWPTRYRICVGVAKGLAFLHEESEIKIVHRDIKPTNVLLDRELNPKISDFGLAKLDDDDKTHIISTRVAGTRGYMAPEYVLWGYLTFKVDVYSFGVVTLEIVAGKNVEYRRDENCLCLLDWVLDLQKQGNLIELIDPRLGSNFDKEQALRVIKVALLCINPSPALRPSMSEVINMLEGHEDIIEYNTDLHEFNFQAMRDHYDQLPINLSDSPYQAILSSDAN >itb01g06910.t6 pep chromosome:ASM357664v1:1:5119202:5126738:1 gene:itb01g06910 transcript:itb01g06910.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLILSGNYLTGELPKELNALTNLIEFRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFGPEDSQLKIDWPTRYRICVGVAKGLAFLHEESEIKIVHRDIKPTNVLLDRELNPKISDFGLAKLDDDDKTHIISTRVAGTR >itb01g06910.t3 pep chromosome:ASM357664v1:1:5119202:5127723:1 gene:itb01g06910 transcript:itb01g06910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLILSGNYLTGELPKELNALTNLIEFRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFENSIQKFLTLVLLNLMMMTRHILLVQELLGQEDTWLLNMYCGAT >itb01g06910.t2 pep chromosome:ASM357664v1:1:5119202:5127723:1 gene:itb01g06910 transcript:itb01g06910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLILSGNYLTGELPKELNALTNLIEFRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFENSIQKFLTLVLLNLMMMTRHILLVQELLGQVSEW >itb01g06910.t10 pep chromosome:ASM357664v1:1:5119202:5126738:1 gene:itb01g06910 transcript:itb01g06910.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLILSGNYLTGELPKELNALTNLIEFRLSGNNFTGKMPSFQGFKNLQQLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYK >itb01g06910.t8 pep chromosome:ASM357664v1:1:5119209:5127685:1 gene:itb01g06910 transcript:itb01g06910.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFFFYPIFLEAQSGHLPQDELNALKEIADEVGKKDWDFRLNPCENNSNWLTPERKDMPAYNNTLTCNCTFPAGICHVQSIILKGQDLQGVLPPALVKLPFLKIIDISRSYLSGTIPPEWASMKLEYISVTVNRLSGPIPKYLGNITTLVYLNLENNMFNGTVPPELGKLANLQNLELQASGFEGPIPQNISVLTSLIELRISDLNGGVSRFPALDNMTGMAMLMLRRCNISGRIPDIAHLINLKQVDLSFNNLEGGIDVFQPFENLQYLFLTNNSFSGQIPQWVLNRDSRYYIDISYNNFDENFVSSTCNRETINLFKSYNGGQNGKIGKCLMNCTKDWYSFHINCGGSSILIDDTTYEADQDSTGVAKFDSNRENWVTSNTGYFWDTSIRLDGYTTTNMSAIKGPDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRNKTLELRFEYAEKGSTVVPLPGTYGPLISAISVESDFKPPKNRRTLIIAVTVAASLFLIFIILSFAGWKIYIKKKTSQEQGLDLRIGLFTYTQIKDATNNFDTANKIGEGGFGPVYKGSLLDGTIVAVKQLSSKSRQGNREFMNEISMISCLQHPNLVKLYGCCVERKQLLLVYEYLENNSLARALFENSIQKFLTLVLLNLMMMTRHILLVQELLGQEDTWLLNMYCGAT >itb03g02540.t2 pep chromosome:ASM357664v1:3:1441643:1449066:1 gene:itb03g02540 transcript:itb03g02540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTMPLSLYRNNPAALSKYPSEAPNSGYLVATDEESQEMDSFCWGVYQHDRITRLPFPQDRILKVVHVSEYDKDSVKKVWFIPVLDHPLSSNRYYVIKANGRHKGRAYRCAREMSVRTCCFESDTEELKPRQFNHKDVYQQFEICPYFNGGFYARAVACDGIPPKFLRRKGWEVHISRSSIRIHLKEAQGLNPFHPPDIPELKAPISSKRSTPIVIGKWYCPFVFVQEGTTCGRQEKEQQMKKSLFYEVALKRWWEEIYACSNESSRSAGNVVAIDARVKKILTLIDGVEASREPKTGPHDEFVWFSIVKGGNERRVGLSSAIVEQLRWVQERRGWFGDGEGDVRVEGTEEIRSESGWRRFGCYVLVESFVMRRMDGSLLINFNCRNTRKIIFSRQRSPECERTQALIDGDPDFRQFAGEEFDFTVLQKPIQGMEKVVATVSGYQGTERFNLIKLIAKAGGNYVGTMSDSITHLVCWRFEGRKFELAKKSRNIFIVNHRWIEDCIKKGRRVPEHPYTIKCGQEVGPLLMNITQVGESNQSSGCNHKEPQIDTEVEEYFPDPDIDKPKSRKKMKNRSLKCDRNLSSRLDHYDSSTSGSWRMETEELSPEPAVFRKPKKGSIDLPETSLKRRRLVKKNISDVLEISDSEELSPQIRTPPEHESAMQSNSANIGRHDHTSNNSQTTKFSCPEDHANGIEVHGDTEEVLNMTNGETLENEGALPSPFKSPLEQRQIVDVHQKEDENCAKLPTSPALSCVICWTDFSSSRGLLPCGHRFCFSCIQSWADQMVSRGKTSTCPLCKVGFTSITKVADAEPSDQKIYSQTVPHDRPGTDIYLLPDGETSHFPANPAMPPVCCQCSFREPEELLINCHVCQTRCIHAYCLDPPLHPWTCIHCQDYQRRFETYRRIW >itb03g02540.t3 pep chromosome:ASM357664v1:3:1442501:1449066:1 gene:itb03g02540 transcript:itb03g02540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTCCFESDTEELKPRQFNHKDVYQQFEICPYFNGGFYARAVACDGIPPKFLRRKGWEVHISRSSIRIHLKEAQGLNPFHPPDIPELKAPISSKRSTPIVIGKWYCPFVFVQEGTTCGRQEKEQQMKKSLFYEVALKRWWEEIYACSNESSRSAGNVVAIDARVKKILTLIDGVEASREPKTGPHDEFVWFSIVKGGNERRVGLSSAIVEQLRWVQERRGWFGDGEGDVRVEGTEEIRSESGWRRFGCYVLVESFVMRRMDGSLLINFNCRNTRKIIFSRQRSPECERTQALIDGDPDFRQFAGEEFDFTVLQKPIQGMEKVVATVSGYQGTERFNLIKLIAKAGGNYVGTMSDSITHLVCWRFEGRKFELAKKSRNIFIVNHRWIEDCIKKGRRVPEHPYTIKCGQEVGPLLMNITQVGESNQSSGCNHKEPQIDTEVEEYFPDPDIDKPKSRKKMKNRSLKCDRNLSSRLDHYDSSTSGSWRMETEELSPEPAVFRKPKKGSIDLPETSLKRRRLVKKNISDVLEISDSEELSPQIRTPPEHESAMQSNSANIGRHDHTSNNSQTTKFSCPEDHANGIEVHGDTEEVLNMTNGETLENEGALPSPFKSPLEQRQIVDVHQKEDENCAKLPTSPALSCVICWTDFSSSRGLLPCGHRFCFSCIQSWADQMVSRGKTSTCPLCKVGFTSITKVADAEPSDQKIYSQTVPHDRPGTDIYLLPDGETSHFPANPAMPPVCCQCSFREPEELLINCHVCQTRCIHAYCLDPPLHPWTCIHCQDYQRRFETYRRIW >itb03g02540.t1 pep chromosome:ASM357664v1:3:1441643:1449066:1 gene:itb03g02540 transcript:itb03g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTCCFESDTEELKPRQFNHKDVYQQFEICPYFNGGFYARAVACDGIPPKFLRRKGWEVHISRSSIRIHLKEAQGLNPFHPPDIPELKAPISSKRSTPIVIGKWYCPFVFVQEGTTCGRQEKEQQMKKSLFYEVALKRWWEEIYACSNESSRSAGNVVAIDARVKKILTLIDGVEASREPKTGPHDEFVWFSIVKGGNERRVGLSSAIVEQLRWVQERRGWFGDGEGDVRVEGTEEIRSESGWRRFGCYVLVESFVMRRMDGSLLINFNCRNTRKIIFSRQRSPECERTQALIDGDPDFRQFAGEEFDFTVLQKPIQGMEKVVATVSGYQGTERFNLIKLIAKAGGNYVGTMSDSITHLVCWRFEGRKFELAKKSRNIFIVNHRWIEDCIKKGRRVPEHPYTIKCGQEVGPLLMNITQVGESNQSSGCNHKEPQIDTEVEEYFPDPDIDKPKSRKKMKNRSLKCDRNLSSRLDHYDSSTSGSWRMETEELSPEPAVFRKPKKGSIDLPETSLKRRRLVKKNISDVLEISDSEELSPQIRTPPEHESAMQSNSANIGRHDHTSNNSQTTKFSCPEDHANGIEVHGDTEEVLNMTNGETLENEGALPSPFKSPLEQRQIVDVHQKEDENCAKLPTSPALSCVICWTDFSSSRGLLPCGHRFCFSCIQSWADQMVSRGKTSTCPLCKVGFTSITKVADAEPSDQKIYSQTVPHDRPGTDIYLLPDGETSHFPANPAMPPVCCQCSFREPEELLINCHVCQTRCIHAYCLDPPLHPWTCIHCQDYQRRFETYRRIW >itb05g07500.t1 pep chromosome:ASM357664v1:5:9767348:9769189:-1 gene:itb05g07500 transcript:itb05g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLCPMSSNGRFEVPEMNSFGQSFRDYDAESERQKRVEEFYRVQHINQTYDFVKRMREDYGRLDKVEMSIWECCELLNDVVDDSDPDLDEPQIDHLLQTAEAIRKDYPHQDWMHLTALIHDLGKVLLHPSFGELPQWAVVGDTFPLGCAFDESIVHHKYFKENPDCNNTLYNTRNGVYEEGCGLDKVLMSWGHDDYMYLVAKQNGTTLPSAALFIIRYHSFYALHREGAYTHLMNEEDKENMKWLKIFNISQPNSDGNVEAIEK >itb02g17520.t1 pep chromosome:ASM357664v1:2:13585014:13587282:-1 gene:itb02g17520 transcript:itb02g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPSTNPSHRSRFRFENLWLRDTTSREIMIQSWVRSRGYHLIDRVERCGKALWRWGKSFARDFDKRLAYWRKRMEVTKNRQDPQGITLFREAQGQYLRTLHHQNDYWGQRAKQFWLREGDTNSAYFHSSVRRRRQNNQISKLRNEDGNWVEREMFPGKLGRWSVRNWV >itb04g06510.t1 pep chromosome:ASM357664v1:4:4221577:4222979:1 gene:itb04g06510 transcript:itb04g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHNHLSGDIWETANGLTAKMILDICWNNFSGRFPYTQAKERFSDSCFDEANLCSDVIENGLPACSTNLCSDYIDCSSKPSSKSKKKSNYIIITTCGAIAGVLIGLVILLLVFRHSGERRREYSGEEWIMVPFQRLEFNKWEILGGLIDENLIGNGGSGKVYGVITKKCQRVAVKSIWHGQKQRQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCVYKWLHGKKSGITTQVLQCERRLKIAIGASQGPCYMHHSCNPPIVHRDIKSSNILVDSDFNAKIADFGLAKMVASEGDPETASAVVGTFGYIAPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVAGNEDMNLAQWAHKHQREGNSAADVLDEEIKDPRYLEAMITVFKLGLACTLSSPSRRPSMKDISHILQKCSGNNHISSESQ >itb04g06510.t2 pep chromosome:ASM357664v1:4:4220632:4222979:1 gene:itb04g06510 transcript:itb04g06510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPHHYALMFFIILLFSSLNYSQSLTTQGDDERGILLCLKQQYWGNPSSLEQWNSTSSPCDWPYISCNFNGSVTRIYLYDKGLKGSFPSKIICQLNSLSSIYLSTNYLWGTIPVGLSSCSMLEELDLSANNFTGKIPGELFYMKTLLTLRIGENMLSGEIPTPIAAYSLEYLDLSSNHLNGSIPDDVTNLYNLRYLDLSLNSFTGSIPDDIANLYNLGYLDLSWNSFTGSILEVIGNLSNLFHLDLSRNSFTGSIPDRSSQLHQLSHLSLSFNKLTGEIPEKLELFNLKAVDLSHNHLSGTIPRGFRELLLLDYMNVSHNHLSGDIWETANGLTAKMILDICWNNFSGRFPYTQAKERFSDSCFDEANLCSDVIENGLPACSTNLCSDYIDCSSKPSSKSKKKSNYIIITTCGAIAGVLIGLVILLLVFRHSGERRREYSGEEWIMVPFQRLEFNKWEILGGLIDENLIGNGGSGKVYGVITKKCQRVAVKSIWHGQKQRQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCVYKWLHGKKSGITTQVLQCERRLKIAIGASQGPCYMHHSCNPPIVHRDIKSSNILVDSDFNAKIADFGLAKMVASEGDPETASAVVGTFGYIAPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVAGNEDMNLAQWAHKHQREGNSAADVLDEEIKDPRYLEAMITVFKLGLACTLSSPSRRPSMKDISHILQKCSGNNHISSESQ >itb03g16440.t1 pep chromosome:ASM357664v1:3:15404974:15405801:1 gene:itb03g16440 transcript:itb03g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWKPPGTDQNIALRLKIHSKGNERRIKKWLLGIHGVRRVEFDLNNGVVIVSGTIDPPTLLMMLENYGVKAEIFGPQRRPVSPVKDREIVGPQSKVINPLNDPDIAALLERLPRVSGGLQSVEVIKTVKFVFVEGESGGGGNKTLEITAKNLAGGGGGVAGGPHGAGGGLCSASSSCCGGGHVVGGGYNMHCCPHGCPHGFFPAGMRWAQPPEYYYGGFSPLGPPPWLPVGIPSAPPLPEEDNSPPPPLPPPPAANPIYAAFSDDNTSSSCTIM >itb07g24590.t1 pep chromosome:ASM357664v1:7:28833207:28838228:1 gene:itb07g24590 transcript:itb07g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRRPHKPDVDHSHPHHHLQRKASAAVDRSPSPTPKASDALPLPLYLTNGIFFTLFFSVAYYLLIRWRDKIRNSTPLHVVTFSELAAIVSLIASFIYLLGFFGIDFVQSFIARASHDAWDVEGESDPRFIIDEDRRHAPCQASLDCVVPTPTAVSKVMDLHPIPLPSEEDEQLVQKVVSGEVSSYSLESRLGDCYKAAFIRREAVQRLTGRSLSGLPLEGLDYESILGQCCEMPVGYVQIPVGVAGPLLLNGCEYTVPMATTEGCLVASTNRGCKAIYASGGATCVLMRDAMTRAPVVRFATAKRATDLKFFLEDPLNFETLAMVFNGSSRFARLQTIKCSIAGKNLYIRLSCSTGDAMGMNMVSKGVQKILDFLLNEFPDMEVVGISGNFCSDKKPAAVNWIEGRGKSVVCEATIPEEVVKKVLKTTVTSLVELNMLKNLTGSALAGALGGFNAHAANIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHVSVTMPSIEVGTIGGGTQLASQSACLNMLGVKGACRESPGSNSRLLATIVAGSVMAGELSLMSAIASGQLVKSHMKYNRSSKDVTEVPDELLTQMECEKSV >itb03g17060.t2 pep chromosome:ASM357664v1:3:15796898:15798766:-1 gene:itb03g17060 transcript:itb03g17060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPEIRDEEEEELNRSSRKKVTFDLSVKTYEECSNQEEQKKGENEAEKSLASYPLNHRYQNCSTNGEEEEDLGLEDDGWVQEESSESLFSLSIESRKSVSQPEMPDKEEVSSSPLNPFQKDPKTISGLNQFAQSVLNPIENMSHWKTAKPKTPLQQQQQEGEEKENQNLDWKSKEREIIAVDTSLSSWLVGSEKKKTPNSKNSPESVGNSPSDQRRNSAEDKPVLGDWSHQELKAVSKSSSPRCSSDEIPILGTVGSYWKHTGQATDSDSGSSCRGVSGESKGRRNINQIPTPFQTRLESVVQDARLMQPQMLKFQKN >itb03g17060.t1 pep chromosome:ASM357664v1:3:15796864:15798798:-1 gene:itb03g17060 transcript:itb03g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTACFRRHSKHKRIITSHNNNNNNAPSRNQCLQSLLHSHDDDDVSEAIIKEAHTVDSIKPIAISKDEEEEELNRSSRKKVTFDLSVKTYEECSNQEEQKKGENEAEKSLASYPLNHRYQNCSTNGEEEEDLGLEDDGWVQEESSESLFSLSIESRKSVSQPEMPDKEEVSSSPLNPFQKDPKTISGLNQFAQSVLNPIENMSHWKTAKPKTPLQQQQQEGEEKENQNLDWKSKEREIIAVDTSLSSWLVGSEKKKTPNSKNSPESVGNSPSDQRRNSAEDKPVLGDWSHQELKAVSKSSSPRCSSDEIPILGTVGSYWKHTGQATDSDSGSSCRGVSGESKGRRNINQIPTPFQTRLESVVQDARLMQPQMLKFQKN >itb05g18390.t1 pep chromosome:ASM357664v1:5:25182001:25183481:1 gene:itb05g18390 transcript:itb05g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGETVGHGSFGKVSLANPRNSVAGVSPAMVVKSCSATRAASLLNEKRILDELQGCPEIVRCFGDSYSFEKGEKLYNVLLEFASRGSLADKIKDSGDYRLPEFEIRRYTKALLRGLRFIHETRYVHCDVKLENILLGEDGRIRIADFGLAKRCGEGESFGGDLRGTPMYMSPEMVSGGEQGPAADIWALGCAVAEMVTGAPAWNCSNLAALLMRIGVGGEVPEIPGELSSDGRDFLGKCFLKDPRKRWTAEMLLNHPFVAAVDDNDGAATVTLKDKSPAPSTSPRCPFDFPDWTSETASRQSSVTCSITSLPESEFSRPAWPATRLRGLVSDHSPNWSEDADEWVIIR >itb12g26810.t1 pep chromosome:ASM357664v1:12:27547564:27551940:-1 gene:itb12g26810 transcript:itb12g26810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYYMVFGCLAAVVAILELSKTSKDRINTSPLFNAFKNNYLVVYSLMMAGDWLQGPYVYYLYTTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACLTYCITYILSCITKHSPQYKVLMLGRVLGGIATSLLFSAFESWLVAEHFKRGFDQQWLSLTFSKAIFLGNGLVAIISGLFGNYLVDTLNLGPVSPFDAASCFLTIGMVIIFLTWTENYGDATDNKDLLTQFKNAATAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSFASRLMTRNSLRVEIYMQVVFVIASASLLLPIVTSFLIPPSTVKGGGISFAGCILLLGFATFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVAAFPITVMFGMCSIFLLVASILQRRLAVISDKPRIENWTTLRERDSEVDPLNVP >itb09g05430.t1 pep chromosome:ASM357664v1:9:3094006:3094772:-1 gene:itb09g05430 transcript:itb09g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILYSSKNNKQVKIRVYRVVKKIRGKYLLVDDRETNLINCMMAFFSSLFACFSNSQRISSCEGDEVSKQCSEAVMEGKEEKMEKGKKKKAKGAPIPMTYFPIGSRLSML >itb11g12370.t1 pep chromosome:ASM357664v1:11:9225512:9231090:-1 gene:itb11g12370 transcript:itb11g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKVPGTPASRIERTPASTPASKIERTPASTPGGLRSKEDKIMVTVRLRPLNKKEQSAKDNVAWECIDEHSIVYKPTPHERSPQPTSFFTFDKVFGPNSLTETVYEEGVKTVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYTHIMNTPEREFRIRISGLEIYNENVRDLLNSDSGRNLKLLDDPEKGTVVEKLVEETASSDQHLRQLISVCDAQRQVGETALNDTSSRSHQIIRLTIESTLRESSDCVRSFVASLNFVDLAGSERASQTNADGVRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDVSYDKDLKIQQMEMEIEELKRQRDIAQSQADELRHKLQEEQDLKPSDSTGPVAKKCLSFSAVSSPNLEQKEPVRCQTMRNTMGRQSMRQSLAAPFTLMHEIRKLEHLQEQLGDEANRALEVLQKEVAFHRLGNQDAAETIAKLQAEIRDMCSVRAAPKQVEVGNEVAVNKSVSANLKEEIARLHSQGSTIANIEEKLENVQKSLDKLVMSLPSTNDPQSNNDVNSKSKYPSKKKKLLPLASSNSINKENLIRYPCSPLSATTQVLDPEIENRDPEFDDTVSTDTLPVSEKETPTKSEGREVTSKEGTPYRRSSSVNMRKMQKMFQDAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYTLENEENIPEIQESPASWHITFREQMQQIIDLWDVCFVSIIHRSQFYLLFKGDSADQIYIEVEIRRLTWLQQHLAELGNASPAQLGNEPTVSLSSSIKALRREKEFLAKRLTRLTPEERDALYIKWEVPLEGKNRRLNFINKLWTKPDDPRHVQESAEIVAKLVGFCESGNMSREMFELNFVIPNDKRSWFIGWDQIADLLHL >itb11g12370.t2 pep chromosome:ASM357664v1:11:9225512:9231090:-1 gene:itb11g12370 transcript:itb11g12370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKVPGTPASRIERTPASTPASKIERTPASTPGGLRSKEDKIMVTVRLRPLNKKEQSAKDNVAWECIDEHSIVYKPTPHERSPQPTSFFTFDKVFGPNSLTETVYEEGVKTVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYTHIMNTPEREFRIRISGLEIYNENVRDLLNSDSGRNLKLLDDPEKGTVVEKLVEETASSDQHLRQLISVCDAQRQVGETALNDTSSRSHQIIRLTIESTLRESSDCVRSFVASLNFVDLAGSERASQTNADGVRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDVSYDKDLKIQQMEMEIEELKRQRDIAQSQADELRHKLQEEQDLKPSDSTGPVAKKCLSFSAVSSPNLEQKEPVRCQTMRNTMGRQSMRQSLAAPFTLMHEIRKLEHLQEQLGDEANRALEVLQKEVAFHRLGNQDAAETIAKLQAEIRDMCSVRAAPKQVEVGNEVAVNKSVSANLKEEIARLHSQGSTIANIEEKLENVQKSLDKLVMSLPSTNDPQSNNDVNSKSKYPSKKKKLLPLASSNSINKENLIRYPCSPLSATTQVLDPEIENRDPEFDDTVSTDTLPVSEKETPTKSEGREVTSKEGTPYRRSSSVNMRKMQKMFQDAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYTLENEENIPEIQESPASWHITFREQMQQIIDLWDVCFVSIIHRSQFYLLFKGDSADQIYIEVEIRRLTWLQQHLAELGNASPAQLGNEPTVSLSSSIKALRREKEFLAKRLTRLTPEERDALYIKWEVPLEGKNRRLNFINKLWTKPDDPRHVQESAEIVAKLVGFCESGNMSREMFELNFVIPNDKRSWFIGWDQIADLLHL >itb11g17390.t1 pep chromosome:ASM357664v1:11:17155234:17163299:1 gene:itb11g17390 transcript:itb11g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKKQYLHELLREEQEPFQLNTYIADRRCENRRLPSPPKTSVQLKKCSATKRSLYKHACFFSFQDSSSSPDVRKSPLAFPSPLSASRTPNGRVRLNVPARTAALLLDAALRIQKQQQQVLADSAFPPFPAASILLSPIYGLRCDSADEATRTLNSASPASSFLRLRSKVHPSKVNSMADSSEGEEEGKLTGGSQQLLVDDDLCEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSVELVKPTGWVYISLSGNDPRETFVNSFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFITYSIVSRICPKFADDEVASDNLLGQWSWVDSGGICLVCKFRGSPRESEATHVAESAQNFEGC >itb11g17390.t2 pep chromosome:ASM357664v1:11:17155234:17163299:1 gene:itb11g17390 transcript:itb11g17390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKKQYLHELLREEQEPFQLNTYIADRRCENRRLPSPPKTSVQLKKCSATKRSLYKHACFFSFQDSSSSPDVRKSPLAFPSPLSASRTPNGRVRLNVPARTAALLLDAALRIQKQQQQVLADSAFPPFPAASILLSPIYGLRCDSADEATRTLNSASPASSFLRLRKVHPSKVNSMADSSEGEEEGKLTGGSQQLLVDDDLCEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSVELVKPTGWVYISLSGNDPRETFVNSFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFITYSIVSRICPKFADDEVASDNLLGQWSWVDSGGICLVCKFRGSPRESEATHVAESAQNFEGC >itb11g17390.t3 pep chromosome:ASM357664v1:11:17155234:17159052:1 gene:itb11g17390 transcript:itb11g17390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKKQYLHELLREEQEPFQLNTYIADRRCENRRLPSPPKTSVQLKKCSATKRSLYKHACFFSFQDSSSSPDVRKSPLAFPSPLSASRTPNGRVRLNVPARTAALLLDAALRIQKQQQQVLADSAFPPFPAASILLSPIYGLRCDSADEATRTLNSASPASSFLRLRSKVHPSKVNSMADSSEGEEEGKLTGGSQQLLVDDDLCEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSVELVKPTGWVYISLSGNDPRETFVNSFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFITYSIVR >itb08g09540.t1 pep chromosome:ASM357664v1:8:8749833:8752211:-1 gene:itb08g09540 transcript:itb08g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGASLLLPTKPIIAPEKKGSQFVFRERSRKNRSVVVPVARLFGPSIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDITSKITLAISQTINSSQLQGWYNRLQRDEVVAEWKKVKGKMSLHVHCHISGGHFLLNLCAKLRFYIFCKELPVVLKAFVHGDGNLLKNHPELQDSLVWVYFHSIIQEFNRVECWGPLKEAASPPPPPQPSIAADGGSSGGVGAHTEKTSLLSNWEIPQPCHDTCNCCFPSTTSIPWTASSYSSRGPFGPLGTNEPIQFGPQQQNFR >itb02g23130.t1 pep chromosome:ASM357664v1:2:22913300:22915813:1 gene:itb02g23130 transcript:itb02g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWWRKLVFPVRRIWFAVSARVKARNHGGGLLKLHDDIQTCGYEDVHVMWEMLRRTESSEFISEPNNRKPQRPFWRNFCMVQPH >itb13g00400.t1 pep chromosome:ASM357664v1:13:319686:322640:1 gene:itb13g00400 transcript:itb13g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLSSLVAAFATTFVLVTFLYKLLRHKEVSPKIPEPGFAWPIIGHFHLLAGNDRVPHKVLGDLADKYGPLFQMKMGAHQVLVVSDSQTARQCLNANDRALAGRPKAIASEHIGYNYANFGLGSNTPFWRDVRKVVVLELLSNRRVEALRRFRESEAKTFVQDIYRTWARDKINESNVVKLDMKEWFGKLIIDSMLHLLFGHRYEEVGGWVKEVFRRNFELLGMFIVGDYLPWLRWLDIGGYEKAIKENTKEMDRVMEDWLQEHKRNRNSKSKEEEDFMDALLSQFVSNTDILNGFDADTVVKATCTAILAASTDTTSATLTWALSLILNNDNILEKIQGELDNNVGRERHVNESDLSNLIYLQAVIKETLRLYPPGPLLMPHESTEDCMINDYRIMKGTRIWINASKIHRDSSFWSDPNAFKPERFLTKYKEIDFRGNNFELIPFSSGRRMCPGMSLALQSVQLGLANVIHGFDIKRVSDELIDMTEAFGLSVSKATPLQVLLTPRLPSHLYL >itb09g13200.t1 pep chromosome:ASM357664v1:9:8550441:8554976:-1 gene:itb09g13200 transcript:itb09g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA10 [Source:Projected from Arabidopsis thaliana (AT5G06839) UniProtKB/Swiss-Prot;Acc:E3VNM4] MTKTGIDHHQEDIDREREVEVTKSYILASYQTLFVAGYPFMDSNKNSLQQQNNLLQVSSGMVQPPSSSPSSSAVHTAPASAWGFINKDGNGAYDLGELDHALLLYLHNINAQDQQPSLFQHHKQNSELKPHTLDIFPSKPMHIGSSSAKPNCGSSSQPSKPKSDGGVNVVASASTSEPLKLPKCEESHAGSTSSGAQQDCAKTLDPKALRRLAQNREAARKSRLRKKAYVQQLESCRMKLTQLEQEIQRAWAQGIYFGGNSILGGEQGHPLSVANISSDAAIFDLEYARWLEEHHRLMTELRCAVEEHLPENEMRMYVDSAVAHFDEIINLKSMLAKSDVFHIFSGMWKTPAERCFMWVGGFRPSDLLKISVNQMEGVTEQQLVGLYGLQQSTQEAEEAISRSLEALNQSLSDSLLSSDTLSFTSHMGDYMAQMAAAMAKLSTLEAFLQQADNLRHQTVHRLHQMLLPRQAARCFLAIADYFHRLRALSSLWLTRPRQD >itb01g06710.t1 pep chromosome:ASM357664v1:1:4914081:4917301:-1 gene:itb01g06710 transcript:itb01g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMEEDAAAATTTTNSPDDETEFHDAADEFPFDDCCDVFSDAEPEPTETYPIGSVTYPEPEVMIENQYSHPPATNLRRRRTVSSYTSSLDAPDPSSSVSLENGSNLSQTSMVVSKENESLENLESNEMNENEMPGNLDPVELSVSSGSVESSLSEERKPSSVIPDRQNDGLNNFQRVDLHTRETDDSNILVILADLVIKAIAFQFNLLISFISLTMKFFLFPIRTVYSTYTLLMDPFRVVRCGKRYLLQKSVRLFSYVFGNVLSFVSEWFKEHKYVWRFGLKCGWGFLWSVYVAVVLVGLLVLAFITGGVLLSYLVEEPIKMLENLNFDYTEKSPLAFVPIMGCQGVNGLIDFRGQVDVGNVDGVHVIPPKHKLQATVKLILPESDYNRNLGVFQVRIDLLASNGLVLASSRRPCMLYFKSLPIRLLSTFLKAVPLITGYSSESQDLDIEFKGFTEGDMPISCIRVIIEQRAEFHPGGGIPQLYSASLILESELPLHKRIIWYWKTTLFVWISMTLFTVELLFALLCCKPLIIPRIRLVQSPPTNHASRNNPSTQG >itb04g16440.t1 pep chromosome:ASM357664v1:4:18103134:18105242:-1 gene:itb04g16440 transcript:itb04g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQSEGNIPLRTEISSQFKGSILQIEMSQFEGSIFRTETSSHKAKKYCYSLPVAKGKKLLVKTVYYYCVFDGGKEPPVFNQIIDGTKWFVIDTKADYAKGLSSYYEAIVMAHGMELTVCLARSEHTGNSIPFISVGFWIKNTNRNWPKAKMYC >itb02g18420.t1 pep chromosome:ASM357664v1:2:14802569:14803405:-1 gene:itb02g18420 transcript:itb02g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIQDLSSKKQFHWTAKVSNEEAIAIAEKEEKSDAMNEGNAEEKPPKVSVVKNRAHFHGLGTRLVGTLFGYRRGHVHFAFQKDPTSHPVFLVELATPITGLVREMASGLVRIALECDKDDKKAGGGASCRLIDQAVWRTYCNGKKCGFATRRECGAKEWQILKAVEPISMGAGVLPAAANGEGEEVMYMRAKFERVVGSRDSEAFYMMNPDSNAAPELSIYLLRV >itb02g06610.t1 pep chromosome:ASM357664v1:2:4128533:4131797:-1 gene:itb02g06610 transcript:itb02g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSSWGCLMVMMVISLSLQENSVNAEDPYRFFTWNVTYGDIYPLGVKQQGMLINGQFPGPSIECVTNDNLIVNVFNSLDEPFLLSWNGVEQRRNSWQDGVWGTNCPIPPGSNFTYAMQVKDQIGSFFYFPSLAFHKAAGGFGAINIASRSVIPVPFPPPAGEYTILAGDWFKQNHTALKGTLDDGHELPLPDGILINGRGSNGYTFTVDQGKTYRFRISNVGLTTSLNFRIQGHKMVLAEIEGTHTEQNTYDSLDIHLGQSYSVLVTADQPAKDYYIVFSTRFTSQVVTSTSILHYGNSAQSVSGPPPGGPTIEIDWSLNQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRLANSAPIINGKQRYAINSVSFNPADTPLKLADYFQIQGIFTLGSIPDSPTGSGAYLQTSVMAADFRAFVELVFENPEDTVQSWHIDGHHFFVVGMDGGQWTPASRLAYNLRDTISRSTVQVYPKSWTALYIPLDNVGMWNIRSQNWARQYLGQQLYLRVYSPANSWRDEAPIPGNALLCGRALGHKPPQ >itb01g18900.t1 pep chromosome:ASM357664v1:1:24865757:24867071:-1 gene:itb01g18900 transcript:itb01g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTVSGDLEPETLIRKLNKNGKHAELIGDTKENNGERVEDSKGAKRNKGGGQKGNNTNLPPPPPLQPKCINLPPPAAMLNPQQMKALQDLMTKIPPRYNVPVNGDGAQVPIPKSVKLDLPESDDVVEGYEEEEEGGMDDVVPVNKTNQPPMVGNGGGAGISMINDRARAAHVTPPNRMALKAAPGGNVGPTGGMPIPPQVGPIPNFQIPTPQHIPATAVHAGYYPETPARNPLQPQQPAVAANSYPIPTSLHPLSIHPTPTLLHRTIITVKMRTLPDAVSCEDGGGGGGGRWRRRRLQAIHVKEKKKKLKTVE >itb07g20420.t1 pep chromosome:ASM357664v1:7:24780432:24783266:-1 gene:itb07g20420 transcript:itb07g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMIRVRSRDGLERVTIDTPHATIAQLKSEIEAQLRVPVQSQTLSANQNLLLAKTLDDIARFTDMSNPHAPLSSLNIAHGSIVYLAYDGERTVAGPAFHPCGSFGKKMTMDDLIAKQMRVSRQEDPHCELVSFDRDAANAFQHYVNETLAFAVKRGGFMYGTVSPEGKVEVDFIYEPPQQGTEDKLLLLRDPDEEKIVDAIAIGLGLRKVGFIFTQSVTQDKKDYTMSNSEVLQAAELHGESELKEWVTAMVKLEVNEDGGADVHFEAFQMSDICIRLFKEGWFETEGTEDLDPKLSRMKKDVVVGVKDTKEVDNDFFLVVVKIADHQGPLTSAFPIENRNVPVTMRALKNYFDRTKSVPFVKRISDFHLLLLLGRFLDINADVPALAECVQTQTPVPEGYQLLIESMASAS >itb03g30170.t1 pep chromosome:ASM357664v1:3:31693593:31701715:-1 gene:itb03g30170 transcript:itb03g30170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVPYSSDPSLKIIQWPPFLLASKIPIALDMAAQFRPKDADLWKRICADEYMKCAVIECYESFKLVLNTLVVGETEKRIIGVIIKEIENNISKNTFLANFRMALLPDLLKKFVELVELLRDGDRSKKDNVVLALQDMLEIVTRDMMVNEIRELIELSKDSGKQLFASHDSRPAIVFPLKMTAQWEEQIRRLCLLLTVKESAVEVPMNLEARRRISFFANSLFMDMPRAPRVHKMLSFSVMTPYYSEETVYSKSDLEMENEDGVSIIYYLQKIYPDEWNNFMERLGCKKEYEIWENEENILQLRHWASLRGQTLCRTVRGMMYYRRALMLQAFLDMASEGEILKGYKAVTTPSEEDKKSQRSLHAQLEAVADIKFTYVATCQNYGNQKRSGDRRATDILNLLVNNPSLRVAYIDEVEESVGAKVQKVYYSVLVKAVDNLDQEIYRIKLPGSAKIGEGKPENQNHAIIFSRGEALQTIDMNQDNYLEEAFKMRNLLEEFNENHGVLAPTILGVREHIFTGSVSSLAWFMSMQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKSSRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDVYRLGHRFDFFRMLSCYFTTTGFYVSSMIVVLTVYAFLYGKLYLSLSGMEKAIVKFARSKGDNALKAAMASQSIVQLGLLMALPMIMEIGLERGFRTALGDMIIMQLQLAAVFFTFSLGTKLHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKGLEIMILLIAYQMYGAAAPDSVSFLFLSFSMWFLVVSLLFAPFLFNPSGFEWQKIVDDWDDWAKWISNRGGIGVPATKSWESWWEEEQEHLQYTGLVGRICEVLLSFRFLIYQYGIVYQLHVANNNKSIMVYGLSWLVIVVVMIILKIVSMGRKKFRADFQLMFRLLKLFLFIGFIVTLVILFAFLSLTVGDIFASLLAFLPTGWAILQIAQACRPMVKGVGMWGSVKALGRGYEYIMGLIIFAPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKNK >itb01g08910.t2 pep chromosome:ASM357664v1:1:7160143:7163795:1 gene:itb01g08910 transcript:itb01g08910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDDMRGMKRLGEEVGGNLEIKKVRVGEVDGILETLNEVRVLQEVESDMPGLGNFLSDNHFLGFEETGFAKGFDGGFQNAGDMAGDLIRGETIVAVGEDNMHRGKEVSCSGLQPVNSNNGDGIEIGLEQYNQLMAETREEVIDISSDDSDEEVIVVAEVKGKGKQVEQINSYENFGNFSHGLEGMEMDLLQVQNINSFENFDLGFGGMEMDRSQAGEINSFENFGNFNLGIGGMEMPMDTLGDGNSGSGAWRYSMEEKGKAKVGDPWLSIASEPFQMDVLPEEQEFGIQYNTSSSIPFQFETIELEQTDDLFLTADLGLRLEQVAAYEDQVMPQFELPFIEQQINQVPANNLEFGREWAAGNAGQAIDALQQRADTVRHRTVSSQVAQHYARFNSQEADTGLKQKSPSIEIDEQLGNTPGPFSTALKIIREQNLKKNAHPLIGWKPSRNADFNGSARPAPSLLDLSLKFLVQNAEALVSLEGVPDTLRKRLMDLLCDSRRMNIRMLNLLLKGSPTEIRIKDCSWLTEDGFSKSFRDLDGCNLMVLQLDMCGQGLLDPVLGETIARSSHGLPNLGILSLRGACRLSDSALTSLVASAPLLQSINLGQCSLLTHSCIEIISNSLGGLLKELHINECHSIDAMCTIPALKKLKCLEVLSVAGIQTVCDQFVCEIVKECGKNLKELDLADCEKLTDYSMKVIGDGCANLLSLNISNLQRLTDVGIQFLANGCRSIQRLNLQRNGFRSVLTHQISPLKLCTSSCF >itb01g08910.t1 pep chromosome:ASM357664v1:1:7160142:7165047:1 gene:itb01g08910 transcript:itb01g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDDMRGMKRLGEEVGGNLEIKKVRVGEVDGILETLNEVRVLQEVESDMPGLGNFLSDNHFLGFEETGFAKGFDGGFQNAGDMAGDLIRGETIVAVGEDNMHRGKEVSCSGLQPVNSNNGDGIEIGLEQYNQLMAETREEVIDISSDDSDEEVIVVAEVKGKGKQVEQINSYENFGNFSHGLEGMEMDLLQVQNINSFENFDLGFGGMEMDRSQAGEINSFENFGNFNLGIGGMEMPMDTLGDGNSGSGAWRYSMEEKGKAKVGDPWLSIASEPFQMDVLPEEQEFGIQYNTSSSIPFQFETIELEQTDDLFLTADLGLRLEQVAAYEDQVMPQFELPFIEQQINQVPANNLEFGREWAAGNAGQAIDALQQRADTVRHRTVSSQVAQHYARFNSQEADTGLKQKSPSIEIDEQLGNTPGPFSTALKIIREQNLKKNAHPLIGWKPSRNADFNGSARPAPSLLDLSLKFLVQNAEALVSLEGVPDTLRKRLMDLLCDSRRMNIRMLNLLLKGSPTEIRIKDCSWLTEDGFSKSFRDLDGCNLMVLQLDMCGQGLLDPVLGETIARSSHGLPNLGILSLRGACRLSDSALTSLVASAPLLQSINLGQCSLLTHSCIEIISNSLGGLLKELHINECHSIDAMCTIPALKKLKCLEVLSVAGIQTVCDQFVCEIVKECGKNLKELDLADCEKLTDYSMKVIGDGCANLLSLNISNLQRLTDVGIQFLANGCRSIQRLNLQRNGFSDDALAAYLEASGQCLEELSLNHCSKVDQNTALSLAKFSRKLVCLDLSWCRRISDEALGLILDSCPLKLLKLFGCTQITSVSVNGHSNSAVQIVGLRGTLILHNINKFEPEEVFLRYSPLLTSSGI >itb01g34290.t4 pep chromosome:ASM357664v1:1:37063649:37066530:1 gene:itb01g34290 transcript:itb01g34290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVELSYCVVERKPCIGWVNKYFKDCLCNLNDEISFVVGIISLICWGVAEIPQIVTNFKNKSSSGVSLAFLCTWILGDVFNLVGCVLEPATLPTQFFTALLYTATTVVLVLQAIYYDHFLPRWKCRDKNTILVKDNDREALKPRSRHHRTSHPAVEVPSQRHYYFTSARTLASSDTPTYCYIMARSGPPALQHDQDSSSEDETVPLSSSQKAISQPRSIPRATAYGTFLAAAAHFPVGGKSLIMEAQTKFTGRRLLQEHEFDSSVAGQWLGWLMAAIYMGGRVPQIWLNIKRGSVEVPSKLCYKLQLTRPVDDQSFSPSPP >itb01g34290.t1 pep chromosome:ASM357664v1:1:37063649:37066530:1 gene:itb01g34290 transcript:itb01g34290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVELSYCVVERKPCIGWVNKYFKDCLCNLNDEISFVVGIISLICWGVAEIPQIVTNFKNKSSSGVSLAFLCTWILGDVFNLVGCVLEPATLPTQFFTALLYTATTVVLVLQAIYYDHFLPRWKCRDKNTILVKDNDREALKPRSRHHRTSHPAVEVPSQRHYYFTSARTLASSDTPTYCYIMARSGPPALQHDQDSSSEDETVPLSSSQKAISQPRSIPRAHVGSAQTAYGTFLAAAAHFPVGGKSLIMEAQTKFTGRRLLQEHEFDSSVAGQWLGWLMAAIYMGGRVPQIWLNIKRGSVEGLNPLMFVFVLVANATYVGSILVRSTEWEKMKANMPWLLDAVACVVLDLFIIIQYLYYSHKKIKKRQQTQS >itb01g34290.t2 pep chromosome:ASM357664v1:1:37063649:37066530:1 gene:itb01g34290 transcript:itb01g34290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVELSYCVVERKPCIGWVNKYFKDCLCNLNDEISFVVGIISLICWGVAEIPQIVTNFKNKSSSGVSLAFLCTWILGDVFNLVGCVLEPATLPTQFFTALLYTATTVVLVLQAIYYDHFLPRWKCRDKNTILVKDNDREALKPRSRHHRTSHPAVEVPSQRHYYFTSARTLASSDTPTYCYIMARSGPPALQHDQDSSSEDETVPLSSSQKAISQPRSIPRATAYGTFLAAAAHFPVGGKSLIMEAQTKFTGRRLLQEHEFDSSVAGQWLGWLMAAIYMGGRVPQIWLNIKRGSVEGLNPLMFVFVLVANATYVGSILVRSTEWEKMKANMPWLLDAVACVVLDLFIIIQYLYYSHKKIKKRQQTQS >itb01g34290.t3 pep chromosome:ASM357664v1:1:37063649:37066530:1 gene:itb01g34290 transcript:itb01g34290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVELSYCVVERKPCIGWVNKYFKDCLCNLNDEISFVVGIISLICWGVAEIPQIVTNFKNKSSSGVSLAFLCTWILGDVFNLVGCVLEPATLPTQFFTALLYTATTVVLVLQAIYYDHFLPRWKCRDKNTILVKDNDREALKPRSRHHRTSHPAVEVPSQRHYYFTSARTLASSDTPTYCYIMARSGPPALQHDQDSSSEDETVPLSSSQKAISQPRSIPRAHVGSAQTAYGTFLAAAAHFPVGGKSLIMEAQTKFTGRRLLQEHEFDSSVAGQWLGWLMAAIYMGGRVPQIWLNIKRGSVEVPSKLCYKLQLTRPVDDQSFSPSPP >itb03g29020.t1 pep chromosome:ASM357664v1:3:29781771:29785056:-1 gene:itb03g29020 transcript:itb03g29020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKDRRKHRIWCPQASHLHFTLLSPHSPARKVPPQFTLNPPSPSHRSPLALHFLLSFIASHRVTMPINTESTPPPRIGKIGPYTVFITPPSTPTTPHTPSNPPAASPTKPQIHTPPPAVKTPPPVMVPPKQYDSYPTKPHSAFGFFWDAVAKVQIAHASLDESVAYWFGLNQSKYQWALDDYYESKGINPAEAKARALPSKVDNV >itb04g00530.t1 pep chromosome:ASM357664v1:4:295473:297898:1 gene:itb04g00530 transcript:itb04g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISVDRAELTRTTDTVHTDMKGAMSNKVRGLCVVFLAVLTATAVPGCVAKCSFPAIFNFGDSNTDTGGFWAAFPAQRPPHGMTYFKKPAGRATDGRVILDFLAQGLGLAFLSPYLKSIGSDYRNGVNFATLASTVRLPHSSLFDTGVSPFSLAIQFNQFKQFKVMVDEQQQQQQQQHSYNHGNTKLPPKNIFGKALYTFYIGQNDFTGKLASLGITGVKQFLPEVVGQIASTIKDIYALGGRTFFILNLAPIGCYPAFLVELPHNISDIDQFGCMKSYNNAVVDYNNMLKDALRQTRQDLKDANVIYVDTHSILLDLFQHPTSHGLKYGTKACCGYGGGDYNFNKEVFCGNTKVVNGQTVSARACEDPYTYVSWDGIHATDAANKLTAKAIFNGSYFDPPFPLQHFCHIHPIDQPT >itb14g20550.t1 pep chromosome:ASM357664v1:14:22888973:22892993:-1 gene:itb14g20550 transcript:itb14g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPNPKAKNPTTQSDFLKRFKRIKALETSPGVLGCFFLTLCLLFCLFLLDYRTVTSGIPFRGPSWFGASSVNKSLLSSDVDRKLVGFLEEGGEGCDIFEGNWVWDESYPLYESQDCLFLDDGFRCSENGRPDRFYTKWRWQPKDCNLPRFDAKNMLEKLRNRRVVFVGDSIGRNQWESLLCLLSSAVANKSSIYEVNGTPITKHTGFLIFKFEDFNCTVEYYRAPFLVVQGRAPAGSPSKVRMTLRLDQMDWSSSKWKGADVLVFNTGHWWNYEKTTRGGCYFQEGTQVKMNMSVEDALHRSIVTLVDWLGHEVNMSKTHVFFRSYAPVHFRGGDWKTGGSCHRETLPELGSSQTPLRSWMEFNTVINVLSERPHASRLQRLELLNVTGMTSRRKDAHSSLYYLGPKSGPAPLHRQDCSHWCLPGVPDSWNELLYAVFVKRELESSRSQVRQAVNLSRPSTKAALERHSK >itb14g20550.t2 pep chromosome:ASM357664v1:14:22890117:22892993:-1 gene:itb14g20550 transcript:itb14g20550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPNPKAKNPTTQSDFLKRFKRIKALETSPGVLGCFFLTLCLLFCLFLLDYRTVTSGIPFRGPSWFGASSVNKSLLSSDVDRKLVGFLEEGGEGCDIFEGNWVWDESYPLYESQDCLFLDDGFRCSENGRPDRFYTKWRWQPKDCNLPRFDAKNMLEKLRNRRVVFVGDSIGRNQWESLLCLLSSAVANKSSIYEVNGTPITKHTGFLIFKFEDFNCTVEYYRAPFLVVQGRAPAGSPSKVRMTLRLDQMDWSSSKWKGADVLVFNTGHWWNYEKTTRGGCYFQEGTQVKMNMSVEDALHRSIVTLVDWLGHEVNMSKTHVFFRSYAPVHFRFVQFSLHYLMKIYFTLQFR >itb07g20300.t1 pep chromosome:ASM357664v1:7:24685936:24686369:-1 gene:itb07g20300 transcript:itb07g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPWVVMSEIFPINIKGVAGSLATLVNWFGAWLCSYTFNFLMAWSSFGTFFLYAVINALAILFVIKIVPETKGKTLEQIQAAINA >itb13g02190.t1 pep chromosome:ASM357664v1:13:2021790:2029052:1 gene:itb13g02190 transcript:itb13g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLASLKATMEFEIQQPSPRVLPDDLIQMGSLIQHLSTLQAFMKKFHIKAFEDAKIKSVENQIKHVSLKAEDKIEIQLRNVLLAVGEKRKEDARREIHGILEEIRKDIDKLLETVKQHDSSLADCSQFLPDQDTIPLTPRKEEMVGNREELEQIIKQLKCKTEERQIISISGMGGIGKTTLARTIYDDPVTNHFDVRAWTTMSQQYEGKQTLIALLRCITTLTPEEIKTSTKEDLADRLQKLLKGRRYLIVVDDVWSVEAWDDIKRCFPNEINNKGSILLLTTRDKKVAKYTHSGNSPYGLKLLNEVDSWVLFSRNLDFEIRFPKSLGYEDVGKKIAKQCQGLPLSIVVVVGILLSKGSLGEWEKIREELALILTVDEQCTKIPALSYKHLPLHLKPCFLHLGVFPEDSEMPAKKLVRLWIAEGFVKVGNGKALKDMKKALDEMEKTVVEDMDNTLVMDKALQGMDNTLKDMGRALEDTALEYLQALISKSLILIRNQSSNGEVKTCRMHDLLHDFCVNKAEDENLLYAGSMRRFRTVKNLNDGGSTSNDDGSKSNDGGSTSNDDGSKRNDDGSKSNDESTSNGGSVKHEGFRWLSFWPNYGNVYICYNLDKSRSLSFLHRDAIPTLIGQCLPSNLLRVLDLIELPPLSNEIFMSLRDLVLLRYMDIALDDCLSPEELVDVVSKTQNLQTLIISQNGTGFSDMCLPSKLLKSPQLRHVEVSYALSVDPPRKVKEALHTLYWLSLDHCTKEVFSRIPNVKKLGIICGSKPSPKAIEPANLENLESLVDKLETLMIAFRKGSPTGLQSLKSLPLNLNIKKLKLKRTCLPWSEINLIGMLPNLEVLKLKEVSDGPDWEPTEGNFSKLKFLYLEAEKLESWEVDGGDQFRCLKHLVLKKCTKLKAIPTGFEDVNTLESIELLNCPLPVKESAREISDNRETYGSKPINIRHVDQIQEVDDDEDADDSSE >itb13g02190.t3 pep chromosome:ASM357664v1:13:2021790:2029220:1 gene:itb13g02190 transcript:itb13g02190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLASLKATMEFEIQQPSPRVLPDDLIQMGSLIQHLSTLQAFMKKFHIKAFEDAKIKSVENQIKHVSLKAEDKIEIQLRNVLLAVGEKRKEDARREIHGILEEIRKDIDKLLETVKQHDSSLADCSQFLPDQDTIPLTPRKEEMVGNREELEQIIKQLKCKTEERQIISISGMGGIGKTTLARTIYDDPVTNHFDVRAWTTMSQQYEGKQTLIALLRCITTLTPEEIKTSTKEDLADRLQKLLKGRRYLIVVDDVWSVEAWDDIKRCFPNEINNKGSILLLTTRDKKVAKYTHSGNSPYGLKLLNEVDSWVLFSRNLDFEIRFPKSLGYEDVGKKIAKQCQGLPLSIVVVVGILLSKGSLGEWEKIREELALILTVDEQCTKIPALSYKHLPLHLKPCFLHLGVFPEDSEMPAKKLVRLWIAEGFVKVGNGKALKDMKKALDEMEKTVVEDMDNTLVMDKALQGMDNTLKDMGRALEDTALEYLQALISKSLILIRNQSSNGEVKTCRMHDLLHDFCVNKAEDENLLYAGSMRRFRTVKNLNDGGSTSNDDGSKSNDGGSTSNDDGSKRNDDGSKSNDESTSNGGSVKHEGFRWLSFWPNYGNVYICYNLDKSRSLSFLHRDAIPTLIGQCLPSNLLRVLDLIELPPLSNEIFMSLRDLVLLRYMDIALDDCLSPEELVDVVSKTQNLQTLIISQNGTGFSDMCLPSKLLKSPQLRHVEVSYALSVDPPRKVKEALHTLYWLSLDHCTKEVFSRIPNVKKLGIICGSKPSPKAIEPANLENLESLVDKLETLMIAFRKGSPTGLQSLKSLPLNLNIKKLKLKRTCLPWSEINLIGMLPNLEVLKLKEVSDGPDWEPTEGNFSKLKFLYLEAEKLESWEVDGGDQFRCLKHLVLKKCTKLKAIPTGFEDVNTLESIELLNCPLPVKESAREISDNRETYGSKPINIRHVDQIQEVDDDEDADDSSE >itb13g02190.t2 pep chromosome:ASM357664v1:13:2021790:2029220:1 gene:itb13g02190 transcript:itb13g02190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLASLKATMEFEIQQPSPRVLPDDLIQMGSLIQHLSTLQAFMKKFHIKAFEDAKIKSVENQIKHVSLKAEDKIEIQLRNVLLAVGEKRKEDARREIHGILEEIRKDIDKLLETVKQHDSSLADCSQFLPDQDTIPLTPRKEEMVGNREELEQIIKQLKCKTEERQIISISGMGGIGKTTLARTIYDDPVTNHFDVRAWTTMSQQYEGKQTLIALLRCITTLTPEEIKTSTKEDLADRLQKLLKGRRYLIVVDDVWSVEAWDDIKRCFPNEINNKGSILLLTTRDKKVAKYTHSGNSPYGLKLLNEVDSWVLFSRNLDFEIRFPKSLGYEDVGKKIAKQCQGLPLSIVVVVGILLSKGSLGEWEKIREELALILTVDEQCTKIPALSYKHLPLHLKPCFLHLGVFPEDSEMPAKKLVRLWIAEGFVKVGNGKALKDMKKALDEMEKTVVEDMDNTLVMDKALQGMDNTLKDMGRALEDTALEYLQALISKSLILIRNQSSNGEVKTCRMHDLLHDFCVNKAEDENLLYAGSMRRFRTVKNLNDGGSTSNDDGSKSNDGGSTSNDDGSKRNDDGSKSNDESTSNGGSVKHEGFRWLSFWPNYGNVYICYNLDKSRSLSFLHRDAIPTLIGQCLPSNLLRVLDLIELPPLSNEIFMSLRDLVLLRYMDIALDDCLSPEELVDVVSKTQNLQTLIISQNGTGFSDMCLPSKLLKSPQLRHVEVSYALSVDPPRKVKEALHTLYWLSLDHCTKEVFSRIPNVKKLGIICGSKPSPKAIEPANLENLESLVDKLETLMIAFRKGSPTGLQSLKSLPLNLNIKKLKLKRTCLPWSEINLIGMLPNLEVLKLKEVSDGPDWEPTEGNFSKLKFLYLEAEKLESWEVDGGDQFRCLKHLVLKKCTKLKAIPTGFEDVNTLESIELLNCPLPVKESAREISDNRETYGSKPINIRHVDQIQEVDDDEDADDSSE >itb09g30370.t1 pep chromosome:ASM357664v1:9:31004883:31005296:-1 gene:itb09g30370 transcript:itb09g30370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGSKVFALFLVINILFFTAVSACSTCPTPKPNPNPNPNPNPTPSPSSGGKCPKDALKLGVCANLLNGLLNVTVGTPPKTPCCSLIQGLADLEAAVCLCTAIKANILGINLNVPLSLSLLLNVCTKDTPKGFTCP >itb04g23070.t1 pep chromosome:ASM357664v1:4:28257845:28260322:-1 gene:itb04g23070 transcript:itb04g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSAVVPVPAPAAVSLNRLVNLHQTPVPLFTHCPCPRKSAAISSSFISRYSNHGFLSRKVSHTSLSGLRIKPLLSPVMEWQDCTVKMDIDVPTSIAYKCYADREAIPQWMPFISSVKILEDKPDLSRWSLKYKAFGQDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGASSCTVELTVSYEVPPLLTPVASALQPFLESLLVRGLERFAKFAKGHAAAQQ >itb06g21660.t1 pep chromosome:ASM357664v1:6:24237334:24243246:-1 gene:itb06g21660 transcript:itb06g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSAIGSLPETLDFTRGPATGDGLDQPVCWNNLRSPAQNRLPDYIVSPNDASVAYITPTSQERQNMIGWTIGESSSSVAQSQASENEPKTGHNWSSSISACPGPSCIPEERRFEPSNILSLNNVDVNLGSGHTGGRVLPMQGSSSGTLPRDLNRSSGMEEDDTDDDDDDDDDDCQVMEFITAYESGIPANERISSGGSSSGSLGGSSRTGGYLAVGSDGRPGSSTNGRRLSCKRKALEGHAGQSSGSGSSSYFQHPESSVWNTAATQHNILSSSNIPSPAPNNSGVNLAEQINPRLGLSIGDAASESPVGLTAPRNNAESSRRNFRLRINASHQHQHQHQQGSVPRNLFSTETEPGNVSVSSSYRNSSRLHCNNSIEFRPTAIAESSNPQGQPIVVHVPSLRRHSQTRWNAASSSRSGNPANYPLSMDRDSAQFDESTSRAFPRNISQHPMFTPADIGNPSQNPTNWGLANGNNCVAGNLASTSRSGPTSGAHSSSPSLVPNRALPQYPRRLSEFVRRSLLTSAGADSGGPINNGPQLRASSSAGSPEMALPGNHVHRPSSSRSAMLLERHLDTSFAIPYSWRTLAAAGEGRSRLVSEIHNVLDLMRRGEGLRIEDVMILDQSVLFGMPDAHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEEAISSRLKQHKYAFMKVENPEEREPCCVCQEEYNDGEDLGTLDCGHDFHADCIKQWLKHKNLCPICKTAGLAT >itb06g21660.t3 pep chromosome:ASM357664v1:6:24237334:24243064:-1 gene:itb06g21660 transcript:itb06g21660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSAIGSLPETLDFTRGPATGDGLDQPVCWNNLRSPAQNRLPDYIVSPNDASVAYITPTSQERQNMIGWTIGESSSSVAQSQASENEPKTGHNWSSSISACPGPSCIPEERRFEPSNILSLNNVDVNLGSGHTGGRVLPMQGSSSGTLPRDLNRSSGMEEDDTDDDDDDDDDDCQVMEFITAYESGIPANERISSGGSSSGSLGGSSRTGGYLAVGSDGRPGSSTNGRRLSCKRKALEGHAGQSSGSGSSSYFQHPESSVWNTAATQHNILSSSNIPSPAPNNSGVNLAEQINPRLGLSIGDAASESPVGLTAPRNNAESSRRNFRLRINASHQHQHQHQQGSVPRNLFSTETEPGNVSVSSSYRNSSRLHCNNSIEFRPTAIAESSNPQGQPIVVHVPSLRRHSQTRWNAASSSRSGNPANYPLSMDRDSAQFDESTSRAFPRNISQHPMFTPADIGNPSQNPTNWGLANGNNCVAGNLASTSRSGPTSGAHSSSPSLVPNRALPQYPRRLSEFVRRSLLTSAGADSGGPINNGPQLRASSSAGSPEMALPGNHVHRPSSSRSAMLLERHLDTSFAIPYSWRTLAAAGEGRSRLVSEIHNVLDLMRRGEGLRIEDVMILDQSVLFGMPDAHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEEAISSRLKQHKYAFMKVENPEEREPCCVCQEEYNDGEDLGTLDCGHDFHADCIKQWLKHKNLCPICKTAGLAT >itb06g21660.t2 pep chromosome:ASM357664v1:6:24237532:24242809:-1 gene:itb06g21660 transcript:itb06g21660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSAIGSLPETLDFTRGPATGDGLDQPVCWNNLRSPAQNRLPDYIVSPNDASVAYITPTSQERQNMIGWTIGESSSSVAQSQASENEPKTGHNWSSSISACPGPSCIPEERRFEPSNILSLNNVDVNLGSGHTGGRVLPMQGSSSGTLPRDLNRSSGMEEDDTDDDDDDDDDDCQVMEFITAYESGIPANERISSGGSSSGSLGGSSRTGGYLAVGSDGRPGSSTNGRRLSCKRKALEGHAGQSSGSGSSSYFQHPESSVWNTAATQHNILSSSNIPSPAPNNSGVNLAEQINPRLGLSIGDAASESPVGLTAPRNNAESSRRNFRLRINASHQHQHQHQQGSVPRNLFSTETEPGNVSVSSSYRNSSRLHCNNSIEFRPTAIAESSNPQGQPIVVHVPSLRRHSQTRWNAASSSRSGNPANYPLSMDRDSAQFDESTSRAFPRNISQHPMFTPADIGNPSQNPTNWGLANGNNCVAGNLASTSRSGPTSGAHSSSPSLVPNRALPQYPRRLSEFVRRSLLTSAGADSGGPINNGPQLRASSSAGSPEMALPGNHVHRPSSSRSAMLLERHLDTSFAIPYSWRTLAAAGEGRSRLVSEIHNVLDLMRRGEGLRIEDVMILDQSVLFGMPDAHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEEAISSRLKQHKYAFMKVENPEEREPCCVCQEEYNDGEDLGTLDCGHDFHADCIKQWLKHKNLCPICKTAGLAT >itb14g07880.t1 pep chromosome:ASM357664v1:14:7270072:7270644:1 gene:itb14g07880 transcript:itb14g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHRCILDSPSTPKNLNTQNTQNACGGPPPELPQPAAAEFIPASSGSPGTAKKYERSPGCVLFPEIGEEDHFPPEGDREQGGAIVNWALTVTISTGEYEALDDTERNSSVIGRFGHNRPPLEVIRAELSANLRLIGEVHIGSLDARTILVR >itb15g09210.t1 pep chromosome:ASM357664v1:15:6569371:6570003:-1 gene:itb15g09210 transcript:itb15g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNKLIKMAHKWQKFVAIRRRRISFSKQNEEVDSCSTSSAVNKGHFVIYTADQKRFVIPLSFLDNKIIRQLLEMSEEEFGLPSDGPITLPCDAVLMKYIISLLTRGVSTQLQNALLVSVTSNRCSSASLHQGWRNQQFLVC >itb08g07610.t3 pep chromosome:ASM357664v1:8:6561291:6563616:1 gene:itb08g07610 transcript:itb08g07610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFLVFLGLMFLVISPILVSADDDCSDSNLDDRNKTEALKFKLVAVGSILVAGALGVSLPFIGKVVPALRPESNLFFFIKAFAAGVILSTAFIHVLPDAFESLTSPCLPEKPWGSFPFAGLVAMLAAIGTMMVDLVATSCYRKAHSDHKPKPIDDDEKLAEHHGHVHVHTHATHGHAHGAVPSPVVVSGEPDLTRRRVISQVLELGIVVHSVIIGVSLGASVSPSTIKPLVVALTFHQFFEGMGLGGCIAEVYNTTPITE >itb08g07610.t1 pep chromosome:ASM357664v1:8:6561291:6563616:1 gene:itb08g07610 transcript:itb08g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFLVFLGLMFLVISPILVSADDDCSDSNLDDRNKTEALKFKLVAVGSILVAGALGVSLPFIGKVVPALRPESNLFFFIKAFAAGVILSTAFIHVLPDAFESLTSPCLPEKPWGSFPFAGLVAMLAAIGTMMVDLVATSCYRKAHSDHKPKPIDDDEKLAEHHGHVHVHTHATHGHAHGAVPSPVVVSGEPDLTRRRVISQVLELGIVVHSVIIGVSLGASVSPSTIKPLVVALTFHQFFEGMGLGGCIAEARFEARATTLMAIFFSLTTPIGIAIGFGISNMYNENSPRALIVEGIFNSASAGILIYMALVDLIAADFMSARMQRSSQLQIGANISLLLGAGLMSLLAIWA >itb08g07610.t2 pep chromosome:ASM357664v1:8:6561291:6563616:1 gene:itb08g07610 transcript:itb08g07610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFLVFLGLMFLVISPILVSADDDCSDSNLDDRNKTEALKFKLVAVGSILVAGALGVSLPFIGKVVPALRPESNLFFFIKAFAAGVILSTAFIHVLPDAFESLTSPCLPEKPWGSFPFAGLVAMLAAIGTMMVDLVATSCYRKAHSDHKPKPIDDDEKLAEHHGHVHVHTHATHGHAHGAVPSPVVVSGEPDLTRRRVISQVLELGIVVHSVIIGVSLGASVSPSTIKPLVVALTFHQFFEGMGLGGCIAEVAFYILPKLLDCVFAGSI >itb13g22050.t1 pep chromosome:ASM357664v1:13:28455488:28457925:1 gene:itb13g22050 transcript:itb13g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAHKTVGVNGINMHIAELGEGPLVLLIHGFPELWYSWRHQILFLAAQGYRAVAPDLHEFGDTTGAPNDDPSKFTVFHIVGDLIELLRSIAPEEEKVFVMGHDWGALIAWHLCMFRPDKVKALVNLSVHFLPRHPHLNHVQSFRTIYGNDFYISRFQRLGADCTLVWSQSHGANQIYSWRARLNLSSSRCKRIHTQWRVQE >itb02g19420.t1 pep chromosome:ASM357664v1:2:16534498:16543293:-1 gene:itb02g19420 transcript:itb02g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTDRLVNDCGRLNTSTASPSGDSLEPSPLSTTHEPSPLSRNSEPEVKQRESVVQWMNGILPHLSLSVDASDEELRAILIDGRVLCELLSKLKPEEAGDSTNSPSSGSENLKRFLLAMEKMELPRFQASDLENGSMKNVFDCLIALQTQFRPQDGGSNSVSPLASPNQSGNVTMTRWKSMIERYRRDDSQGGSFRPFSSFRDERRRSARSPVMAEASAALMHHVGHKFHEVFQFKQESYADLPASKISEMMKPNSLDNAPTQSLLTVVNGIIDESIERKNGEIPHRVACLLRKVVQEIERRISTQAEHLRMQNNLFKAREEKYQSRIRVLEALATGTSEETQIVMNQLQQIKSEKSKMEVKKKSEEHNVARLKKEKDETVQEIAALKQELDTAWKAYERDCSQAEQEIVALKQELETTRKTSEQSCTPGENSTGGGSQQEIAALKQEPEAAQKSYEQHCSQAENNDSRSQQEIAALKQQLETARKTYEQRCSQMEQEAATSQQEIAALKELKQQLETARRTYEERCSQVEKEASGSQQEIQALKQELETARKTHEQRCSQMENKARGSQQEIEALKQELETARKTYEQCHSQMGNETGGSQQEIEALKQELETARKTYEQCHSQMGNETGGSQQEIEALKQELGGSQQEIEALKQELETARKTYEQCHSQMENEARGSQQEIQALKEELETTRKTYEQRCSQMENEARGSQQEIEALKQELETARKTYEQRCSQMEKEARGSQQELEEKLKEVMSLLTESRNRVRELEILYETKSQRWIKSQSIYEIFTEFQLGALRELKFSSQSIRQEVVKTQKIYIDEFNRLGVKTKALEDTAANYYIVLAENKKLHNEVQELKGNIRVYCRVRPFLPGQKDKQTIVEYVGDNGELIVMNPSKQGKEGRRSFRFNKVYNPTATQAQVFSDIQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPDGATEEQWGVNYRSLNDLFQISQKRRNAMAYEIAVQMVEIYNEQIRDLLSSNGPQKSLSVLPTTQPNGLAVPDASMYPVNATSDVLDLMNIGLKNRAKSSTALNERSSRSHSVVTIHVSGTDLRSGSSMRSSLHLVDLAGSERVDRSEVKGDRLKEAQHINKSLAALGDVISALAQKSSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDHSSYSESVSTLKFAERVSGVELGAAKSSKDSKDVRELMEQVASLKDTIGRREEEIDKLRLGKDVKVNGEKRGLNIFRR >itb14g16960.t1 pep chromosome:ASM357664v1:14:20317473:20321376:-1 gene:itb14g16960 transcript:itb14g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLNSSIAMVSSIQGHKEWINEVNFLGVVKHPNLVKLIGYCAEDDERGIQRLLVYELMHNKSLEDHLFSRLPNVLSWSLRLRIAQDAARGLAYLHEEMDFQLIFRDFKSSNILLDEDFNAKLSDFGLARQGPAQGLGHVSTAVVGTVGYAAPEYVHTGRLTAKSDVWSFGVVLYELITGRRVLERNLPRGEQKLLEWVRPYVSDSKKFHVILDPRLEGQNCTKSALRLASLANKCLMKQPKSRPKMSEVVERLSSIITETTGDEAVPDTVKAEGEAAKDAEEEDECEEAEPEVQENNNQKKGFDFREIINLRNKSIGKLDWRNWTPGLVRTR >itb14g16960.t2 pep chromosome:ASM357664v1:14:20317616:20321376:-1 gene:itb14g16960 transcript:itb14g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFTNGERKEEDDEDGVVSSSRSSKVSWARSLSVASSSVESTRRSEFDSDSRDFTDSLCFYQLLAQRRGNDLRVFTFAELKSATRGFSRALVIGEGGFGCVYRGVVSVPGPDLNSNVDVAVKQLNRNGFQGHKEWINEVNFLGVVKHPNLVKLIGYCAEDDERGIQRLLVYELMHNKSLEDHLFSRLPNVLSWSLRLRIAQDAARGLAYLHEEMDFQLIFRDFKSSNILLDEDFNAKLSDFGLARQGPAQGLGHVSTAVVGTVGYAAPEYVHTGRLTAKSDVWSFGVVLYELITGRRVLERNLPRGEQKLLEWVRPYVSDSKKFHVILDPRLEGQNCTKSALRLASLANKCLMKQPKSRPKMSEVVERLSSIITETTGDEAVPDTVKAEGEAAKDAEEEDECEEAEPEVQENNNQKKGFDFREIINLRNKSIGKLDWRNWTPGLVRTR >itb06g01430.t1 pep chromosome:ASM357664v1:6:2666499:2667016:-1 gene:itb06g01430 transcript:itb06g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNTFAELELYAMKALESDPDPPQVYTVGPLVAPQKEHAGKEEIINWLSEQPPSSVVFLCFGSQGGFEFRPDFAAGFAERTRNRGKVCGCAPQVVENFEPSGLSSSSSSSSNWVRVWARSRSQCGSVWSANG >itb01g02050.t1 pep chromosome:ASM357664v1:1:1318653:1324623:-1 gene:itb01g02050 transcript:itb01g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGSEREYGGLGSLDSIESRWVFQDEEDESEIYNDGTDAGESGDDSLPRDGMDSDDEDNAEQRLIRTGPRIDSFDVEALEVPGAQRNDFEDASVMRKIALAFQTLGVVFGDVGTSPLYTFSVMFSKAPVTGDEDVLGSLSMVLYTLFLFPLVKYVFIVLWANDDGEGGTFALYSLLCRHANVSLLPNQLPSDSRISSFRLKVPSPELERSLKIKERLESSVTLKKLLLMLVLAGTSMVIAVGVVTPAVSVMSAMGGLKVGVAAIQQDEVKMISVAFLVILFSLQKYGTSKMGIVVGPALFIWFCSLGGIGLYNLTKYDNRVWRAFNPFHIYNYFKRDSTKAWRALGGCLLCATGSEAMFADLCYFSVRSIQLTFLLLVLPCLLLGYLGQAAYLMENHADTKEVFFSTLPSGAFWPVLLIANIAALIASRAMTTATFSCIKQSIALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLALSLVLVCSISNIYEIGNAYGIAELGVMMMSTALVTIVMLLIWQINIIIVLSFVFIFLGLELTFFSSVLWCVGDGSWIILVFAVVLFLIMYIWNYGSKLKYETEVMNKMSMDLLRELGPNLGTIRAPGIGLLYNELAKGVPAIFGHFLTTLPAVHSMVIFVCIKYVPVPVVAQKERFLFRRVCLKSYHIFRCIARYGYKDARKENHQVFEQLLIESLEKFIRREAQERQLESDGDDDSNSGDECTFSRVVAPNGSVFTLGVPLLADIRDTGKTVAKESTSYGHEPRPSANSNLSDAEQSLEMELSFLHKAKESGVVYLLGHGNIRARKDSWFIKKLIINYFYAFLRKNSRRGITNLSVPHSHLMQVGMTYMV >itb13g19870.t2 pep chromosome:ASM357664v1:13:26766168:26784553:-1 gene:itb13g19870 transcript:itb13g19870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MKVNHPIEVAKTVLEVADVAWTAVECCHHYHHDGHKEAPSPPSLQSNKVGEDLEALRSENQRLRQLLEQNLNILQPISQSPKLLQDCPSDLHDRLISAVDSANFLKQLESLHPNSAPGAVCKFPFQEASAEVLIKIDNEEPSWWVWVTDDMVPSNVEERSGLDNDNYVIVNEEQVVDAVANFMARCVLANPKAQNMSPEQLQKSLKKGLGGMNKLETVLNIWHAGKLFYALSTWGLALAGLYSTRSVLKLAAKGLEELGIWKLKLSLIVFSPFLVLCKTPQKYAAGNSRSTRIRGSLSKKKHRTQLRNPTQMGDGGRGGGGNSGNRPEWLQHYDLLGKIGEGTYGLVFLAKIKSNGNKPIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINSADMSLYLAFDYAEHDLYEIIRHHRDKVNQPINNYTIKSLLWQLLNGLNYLHSNWIVHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLADNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGPPNPFQLDQLDKIFKVLGHPTPEKWPMLVNLPHWQSDQQHIQAHKYDNPALNNVVHLSPKTPAYDLLSKMLEYDPHKRITAAQALEHDYFRLEPLPGRNALVPMQPGEKVVNYPTRPVDTNTEFEGTSNLQHQPVSSGAAVSGNMQGHHVMNTRPRPMHVVNMPRMQPQGMPPYNMASQAGMGGGINPSNMPMQRGVAAQAHQQQVFCNEPNTSITYCQLCPVMDVFIIWFQMRRKDQGMGMTGFPPQQKRRF >itb13g19870.t4 pep chromosome:ASM357664v1:13:26771462:26784553:-1 gene:itb13g19870 transcript:itb13g19870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MKVNHPIEVAKTVLEVADVAWTAVECCHHYHHDGHKEAPSPPSLQSNKVGEDLEALRSENQRLRQLLEQNLNILQPISQSPKLLQDCPSDLHDRLISAVDSANFLKQLESLHPNSAPGAVCKFPFQEASDVDMETAEVLIKIDNEEPSWWVWVTDDMVPSNVEERSGLDNDNYVIVNEEQVVDAVANFMARCVLANPKAQNMSPEQLQKSLKKGLGGMNKLETVLNIWHAGKLFYALSTWGLALAGLYSTRSVLKLAAKGLEELGIWKLKLSLIVFSPFLVLCKTPQKYAAGNSRSTRIRGSLSKKKHRTQLRNPTQMGDGGRGGGGNSGNRPEWLQHYDLLGKIGEGTYGLVFLAKIKSNGNKPIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINSADMSLYLAFDYAEHDLYEIIRHHRDKVNQPINNYTIKSLLWQLLNGLNYLHSNWIVHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLADNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGPPNPFQLDQLDKIFKVLGHPTPEKWPMLVNLPHWQSDQQHIQAHKYDNPALNNVVHLSPKTPAYDLLSKMLEYDPHKRITAAQALEHDYFRLEPLPGRNALVPMQPGEKVVNYPTRPVDTNTEFEGTSNLQHQPVSSGAAVSGNMQGHHVMNTRPRPMHVVNMPRMQPQGMPPYNMASQAGMGGGINPSNMPMQRGVAAQAHQQQMRRKDQGMGMTGFPPQQKRRF >itb13g19870.t3 pep chromosome:ASM357664v1:13:26766644:26784553:-1 gene:itb13g19870 transcript:itb13g19870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MKVNHPIEVAKTVLEVADVAWTAVECCHHYHHDGHKEAPSPPSLQSNKVGEDLEALRSENQRLRQLLEQNLNILQPISQSPKLLQDCPSDLHDRLISAVDSANFLKQLESLHPNSAPGAVCKFPFQEASAEVLIKIDNEEPSWWVWVTDDMVPSNVEERSGLDNDNYVIVNEEQVVDAVANFMARCVLANPKAQNMSPEQLQKSLKKGLGGMNKLETVLNIWHAGKLFYALSTWGLALAGLYSTRSVLKLAAKGLEELGIWKLKLSLIVFSPFLVLCKTPQKYAAGNSRSTRIRGSLSKKKHRTQLRNPTQMGDGGRGGGGNSGNRPEWLQHYDLLGKIGEGTYGLVFLAKIKSNGNKPIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINSADMSLYLAFDYAEHDLYEIIRHHRDKVNQPINNYTIKSLLWQLLNGLNYLHSNWIVHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLADNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGPPNPFQLDQLDKIFKVLGHPTPEKWPMLVNLPHWQSDQQHIQAHKYDNPALNNVVHLSPKTPAYDLLSKMLEYDPHKRITAAQALEHDYFRLEPLPGRNALVPMQPGEKVVNYPTRPVDTNTEFEGTSNLQHQPVSSGAAVSGNMQGHHVMNTRPRPMHVVNMPRMQPQGMPPYNMASQAGMGGGINPSNMPMQRGVAAQAHQQQMRRKDQGMGMTGFPPQQKRRF >itb13g19870.t1 pep chromosome:ASM357664v1:13:26766168:26784553:-1 gene:itb13g19870 transcript:itb13g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MKVNHPIEVAKTVLEVADVAWTAVECCHHYHHDGHKEAPSPPSLQSNKVGEDLEALRSENQRLRQLLEQNLNILQPISQSPKLLQDCPSDLHDRLISAVDSANFLKQLESLHPNSAPGAVCKFPFQEASAEVLIKIDNEEPSWWVWVTDDMVPSNVEERSGLDNDNYVIVNEEQVVDAVANFMARCVLANPKAQNMSPEQLQKSLKKGLGGMNKLETVLNIWHAGKLFYALSTWGLALAGLYSTRSVLKLAAKGLEELGIWKLKLSLIVFSPFLVLCKTPQKYAAGNSRSTRIRGSLSKKKHRTQLRNPTQMGDGGRGGGGNSGNRPEWLQHYDLLGKIGEGTYGLVFLAKIKSNGNKPIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINSADMSLYLAFDYAEHDLYEIIRHHRDKVNQPINNYTIKSLLWQLLNGLNYLHSNWIVHRDLKPSNILVMGEGEEQGVVKIADFGLARIYQAPLKPLADNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGPPNPFQLDQLDKIFKVLGHPTPEKWPMLVNLPHWQSDQQHIQAHKYDNPALNNVVHLSPKTPAYDLLSKMLEYDPHKRITAAQALEHDYFRLEPLPGRNALVPMQPGEKVVNYPTRPVDTNTEFEGTSNLQHQPVST >itb10g24190.t1 pep chromosome:ASM357664v1:10:28151032:28154037:-1 gene:itb10g24190 transcript:itb10g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSSLTSFDALYCEEEQWGEVLDSEWSGSEVSEIPRKEEDSPVLFLLDQDLCWEDEELLSLFAKETDTHLSFEASLSIPRTQAVRWILKVNAHYGFSPLTPTLAVNYLDRFLSGLQYQEDKPWMIQLAAVACLSLAAKVEETHVPLLLDFQVEDAEYVFDAKSIQKMELLVLSTLKWRMNPVTPLSFLDHITRRLGLKNHLHWEFFTKCESLILSFMPDSRFVRFLPSVLATATMLHVIHQLEPSNAVDYQNQLLGVLKISKEKVNDCYELIKELSSTASNKRSYSNLQCLLHDPNSPSAVIDAVLSCSESSNDSWGLETPPPEQRRPLYKRPRVEEQQMRVPPLMTRVFVDAIASPR >itb10g24190.t2 pep chromosome:ASM357664v1:10:28151032:28154037:-1 gene:itb10g24190 transcript:itb10g24190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSSLTSFDALYCEEEQWGEVLDSEWSGSEVSEIPRKEEDSPVLFLLDQDLCWEDEELLSLFAKETDTHLSFEASLSIPRTQAVRWILKVNAHYGFSPLTPTLAVNYLDRFLSGLQYQEDKPWMIQLAAVACLSLAAKVEETHVPLLLDFQVEDAEYVFDAKSIQKMELLVLSTLKWRMNPVTPLSFLDHITRRLGLKNHLHWEFFTKCESLILSFMPDSRFVRFLPSVLATATMLHVIHQLEPSNAVDYQNQLLGVLKISKVCKFNFRHFIHFSNPVFRYWKCDDCSMRINV >itb10g10780.t1 pep chromosome:ASM357664v1:10:15728779:15729347:1 gene:itb10g10780 transcript:itb10g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYFFHIESMENSCGHSVHHCKIELGSSVDSSSDAEDIMESFRTALYLGGYEGWSSDGCLDCSGAAAEYETDRSNKNILDAGNDVELKRDVGGFT >itb06g06050.t1 pep chromosome:ASM357664v1:6:8731210:8733027:-1 gene:itb06g06050 transcript:itb06g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPSFFTNIFLRKNPSPNPTILNRSLSILGTNAGSEGSVSLNSDHVSDSKQHRFSEISKDVSRIIRTRPRWEQTLLSEFPSVNFADPNLYCEVLKRQKNVMVALRFYRWVSSQNGFLLDPVSSDVIFTGLVKAKAGSLAKSFLESTKYAPEPSSLEGYIECLCDNGLIEEALMVFDELKGVGHCPSLNAWNSALSGLVKVGKTNAVWKLYEDMMGCGVAGDVDTIGYLIYAFCLDRNYSKGYELLQQLLEGGHVPSNIVFNRLIYESCKNNEFFRMTALLFAMIAKNCSPDIYTYQEVIHGVRDCKNRDKLEVFRIFEYIKDRGYAPDRVMYSTVVHSLAKSNMLGKAQKVWSEMIKKRFVPDEYAYNALIHGYFKTGNLKEAERLHKEMLEKGFVDSTVTFNILIGGYCANGMFKRAYRLFEHMGRKGVVRDAITYNSLIQGFCEGGNASYGLKIFYDLLGHGLQASTTLYKALIERLCEEGRVEEAKCFLKDMVDQGLEPAICNHNSIIVGLTKQGKFSKGIEWLGTMIKSRLRPQGRTLERLIYSLSRADKWDDALLILTYMHKLGLQPSGTLYNVLVKKLFEEGRVEEAKRFLKDMVD >itb07g18200.t1 pep chromosome:ASM357664v1:7:22618222:22621037:1 gene:itb07g18200 transcript:itb07g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLATYASSDEEEEEEEESSQQQNHRPSYSAPLQNSDHDDILSVANKPSSVFSLPPPKSSSSLLSSLPAPKALRADPFNLPTPLASSEPKPKKVVQFKPPLISSGIKDSIVDDDEDEEDEKEKRRKGSESASQTPSVKSFLSSLPVPKSSGTLGALSLGSGTSRRSILEANVPASDSNEAQSVQGSTVSSSGAVEGSEYVDPVGGYQQWGPEGESYPNYPGYTGYGNGDGQYSNWVGPNGEQYSNYASSYGDYGQSEHNWVDGSNASSQELPESAESALRVTGKRGRNDATLQIVEVKQDELMKNRPREDQVKLTGIAFGPAYKPASTKGKPTKLHKRKHQIGSLYFDMRQKETELAERRARGMLTKAQTQGKYGW >itb05g18520.t1 pep chromosome:ASM357664v1:5:25296731:25298689:1 gene:itb05g18520 transcript:itb05g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLSVSPYHYAILFCCFFQVEVFCVEVMDRKVGFAVVLMCIVVAGVGGQSPAASPAAAKTATPPAASKAAATPAASPSTAAAPSKPKTPAPASAPPAQAPASTPPSAAVPAPAAAPPAKTPESAPPAPVPVTSPPAAAPVKSPPAAAPVQSPPSPAPEAATPPAASPPPAAAPVAPPTSSETPAPAPSKSKGKGKKKSKKHTASSPAPSPELLGPPAPPSEAPGPNADSPGPALNDESGAEKLMSMVGSLVMGWAVFGWMLL >itb14g15560.t1 pep chromosome:ASM357664v1:14:18859303:18860582:-1 gene:itb14g15560 transcript:itb14g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAALHALGSNGLIPLRLSLLVTRTIVELAIPCDKPGKRVFEGFTIGFHLRKWEISCFYVPPSLWSGVALARTTQSGWSLVIGTLLPSDLLFLR >itb09g06460.t3 pep chromosome:ASM357664v1:9:3710971:3713643:1 gene:itb09g06460 transcript:itb09g06460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAIDESSRSPTRLEGKPIAIVVCWILGLGSLVSWNSMLTIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLAGYILFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADAHVQGGIVGDLSFMCPEFIQSFLAGLAASGILTSGLRLVTKAAFEHSSNGLRKGVILFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITAPSESADDAKQVESVSNKKLFMENIDYALDLYFIYVVTLSIFPGFLYENTGTHNLGSWYPTVLIAMYNLWDLISRYIPLVKKVKLKSRKGLMIATLSRFLLVPCFYFTAKYGDQGWMIMLVSFLGLTNGYLTVCALTAAPQGYKVGCSES >itb09g06460.t1 pep chromosome:ASM357664v1:9:3710967:3713643:1 gene:itb09g06460 transcript:itb09g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAIDESSRSPTRLEGKPIAIVVCWILGLGSLVSWNSMLTIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLAGYILFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADAHVQGGIVGDLSFMCPEFIQSFLAGLAASGILTSGLRLVTKAAFEHSSNGLRKGVILFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITAPSESADDAKQVESVSNKKLFMENIDYALDLYFIYVVTLSIFPGFLYENTGTHNLGSWYPTVLIAMYNLWDLISRYIPLVKKVKLKSRKGLMIATLSRFLLVPCFYFTAKYGDQGWMIMLVSFLGLTNGYLTVCALTAAPQGYKGPEANALGNILVLCLLSGIFSGVALDWLWLIGNSDF >itb09g06460.t4 pep chromosome:ASM357664v1:9:3711149:3713643:1 gene:itb09g06460 transcript:itb09g06460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAIDESSRSPTRLEGKPIAIVVCWILGLGSLVSWNSMLTIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLAGYILFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADAHVQGGIVGDLSFMCPEFIQSFLAGLAASGILTSGLRLVTKAAFEHSSNGLRKGVILFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITAPSESADDAKQVESVSNKKLFMENIDYALDLYFIYVVTLSIFPGFLYENTGTHNLGSWYPTVLIAMYNLWDLISRYIPLVKKVKLKSRKGLMIATLSRFLLVPCFYFTAKYGDQGWMIMLVSFLGLTNGYLTVCALTAAPQGYKGPEANALGNILVLCLLSGIFSGVALDWLWLIGNSDF >itb09g06460.t2 pep chromosome:ASM357664v1:9:3710971:3713643:1 gene:itb09g06460 transcript:itb09g06460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAIDESSRSPTRLEGKPIAIVVCWILGLGSLVSWNSMLTIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLAGYILFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADAHVQGGIVGDLSFMCPEFIQSFLAGLAASGILTSGLRLVTKAAFEHSSNGLRKGVILFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITAPSESADDAKQVESVSNKKLFMENIDYALDLYFIYVVTLSIFPGFLYENTGTHNLGSWYPTVLIAMYNLWDLISRYIPLVKKVKLKSRKGLMIATLSRFLLVPCFYFTAKYGDQGWMIMLVSFLGLTNGYLTVCALTAAPQGYKGPEANALGNILVLCLLSGIFSGVALDWLWLIGNSDF >itb01g29230.t1 pep chromosome:ASM357664v1:1:33523632:33525743:1 gene:itb01g29230 transcript:itb01g29230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNKASILYRLLNVKITTTNPPRQAEVKALTTTITNHIRSGSLGKAVSILFAAPLPFPSSLYAHLFRTCASNKAAVELRKVESHLVTFSPNPPLFLLNRAIEAYGKCACLRDAQEVFDELPQRDGGSWNAMITAYSQNGYAERALEMFLCMRKSGVSASEITFASVLVSCASLLNVWILRQVHALIVKFGFSGNVILETSLVDAYGKCQEMDDARRMFDEIENPNNVSWNVIIRRYLDMGVGKDVLFLFSELVRANVVPLTFTVSNALVACLTIGGLTEGLQIHGFSIKINAEMDKVVSSSLIDLYRKCGDLVSARRIFDLICSKDLIHWTSMVSGYATDGKTREARDLFNEMPEKTVISWNAMLAGYVHNSECDEALQLIFLMCKQTRYIDHVTLGLILNVSAALSDIELGKQVHGFMYRHELHSNLFVANALLDVYGKCGHLRKTRVLFHEMSQWRDAVSWNALLTSYNFHRMGDATMMNFSNMLGGTIPSKYTFGTLLAACANTFALKPGKQIHGYIIRNDYEMDIVINGALVNMYSKCGCVDYALYIFAAAPQKDLFLWNSLMLACYHNRRSDYVFELFELMKETGISPDSTTFQAIFLVCIREGHVQLGRQYFDLMSDKYWITPWLEHYESMIELFGQHGYFDELEDFMKKLPFSPTIQMLERVVHFCREQRNLKLESWAINQRNQIFSKNCSEIAE >itb10g18220.t1 pep chromosome:ASM357664v1:10:24238672:24255134:1 gene:itb10g18220 transcript:itb10g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MAASTFVRRLEGKVALITGGASGIGKATTKLFSRHGAKVVIADIQNDLGRKFCGELEPGSAAFVHCDVTKEADVENAVNTAVTKFGKLDIMHNNAGIGGLNKPSILDSDKTEFEQVVRTNLVGAFLGTKHAARVMIPKRRGSIITTGSGCTVIAGASTHAYTSSKHAMVGLTRSAAVDLGRYGVRVNCVSPHLVATPLACGFYDFSGEELEKVYSAFGGERLTAEDVAEAALFLASDESSPAAKEKEKPVYHLLLRLASSPHVRRRERETELHLAIAAAASPTLEEEPILAACCSVDLTARDEPDQPSLKLRRSCLLHLSSPARERDDCGGGYGCVRRRRTGRVQLLLSLSLPPANVRRECETKQKKKHETLHTSLSLLFLSKRFDGSSIYAFFFTVAMASTSSSFFTFRHQDRGPRNMVSVEGVGARRVRCLNLKTSFTGSFPVSVWQFRVVLNYPVKKTLLLPSIKCTSEDKEKKQDSFEQVSVERYPYYSYLDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPEPPGVSTGTPSYGKNPGSRRKKHKVSAATSESSVTDVIADNLVELETSDAASEGPKDLSSEYVVYQTEPEEEELTEYELDKRVGNPHPFVDPKVKKPIEKTLTSEQLWWNWRKPDKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTRTETALYRARRHIYKEERLQAEQDRLEKDGPIAYYSEWVKAWKRDTSREAIQKHFEETGEDENKQLIEMFCHQTDREYRIMIGTDNRIRRDPLAMRMREDQIKQIWGGDPVYPTINYIQDPNEVIDFRGPDFHEPTPDMLAYLKEHRKIIPRAELDAILAKEKTEEIEYTDMDEAMAQAVDIGENDVSPFYYLYYSKLLSFFFYPFSLMRYSGTRYLESLCWL >itb02g12180.t1 pep chromosome:ASM357664v1:2:8304940:8310181:1 gene:itb02g12180 transcript:itb02g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALENEIPSPDIIIPNEWSDAADTIAYDSVTLPPPVSFICGPKNCGKTTFSRHLLHVLLNRYRKVAYLDTDVGQTEFTPPGFLSLTIIDKIPADLTIPCLKTPERCFFFGDVSSKRDPKLYLTYACALYDHYQKKYCMLNNSENPANAEMPIVINTPGWVKGIGYEILVDILKYISPSHVVKIRISAESKNLPAGAFWLDEGEAPVTNLIEINSARQDSSRRSILVQKDARLLRDLRIIAYFRQCFPNDANITTSKELAHALAAHPPYEISISSVKIKHLHCQVPKDEVFYSLNATIVGLAVSPEDMPHCVGLGIVRGIDTTRQVLYLITPVPLDSLKMVDLLLQGFIEIPTSLLQVQGCISPYMSANVLPAY >itb02g21540.t1 pep chromosome:ASM357664v1:2:20464923:20473561:1 gene:itb02g21540 transcript:itb02g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRVCLESNATYLFITRNIEKSRELLSILDSGVQSLEQVQTYLFQRHICQGLKISIFQYSMVQFWCDKLKNCTWLPRSLFVLQRHLLVCNEDLTQLGSLSENASSSYFSLDFCCAIVDVSEMVIETTESHCVTLTVEGANSEFCPSEKKDKIHEAVPKGDWKIKWFSVESLFKFVVLLKAIRTEASTSPLLVSYGQSFQV >itb02g21540.t7 pep chromosome:ASM357664v1:2:20464923:20473550:1 gene:itb02g21540 transcript:itb02g21540.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSERG >itb02g21540.t5 pep chromosome:ASM357664v1:2:20464939:20473561:1 gene:itb02g21540 transcript:itb02g21540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRLVGYILIFAGITILIFLKSSVRFIPVPKGVPRKQCNLPIYNQEYREIKRIAIYTGLWCAKVIYTSCLFWH >itb02g21540.t2 pep chromosome:ASM357664v1:2:20464923:20473561:1 gene:itb02g21540 transcript:itb02g21540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRVCLESNATYLFITRNIEKSRELLSILDSGVQR >itb02g21540.t3 pep chromosome:ASM357664v1:2:20464923:20473561:1 gene:itb02g21540 transcript:itb02g21540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRVCLESNATYLFITRNIEKSRELLSILDSGVQR >itb02g21540.t4 pep chromosome:ASM357664v1:2:20464923:20473557:1 gene:itb02g21540 transcript:itb02g21540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRVCLESNATYLFITRNIEKSRELLSILDSGVQSLEQVQTYLFQRHICQDCTWLPRSLFVLQRHLLVCNEDLTQLGSLSENASSSYFSLDFCCAIVDVSEMVIETTESHCVTLTVEGANSEFCPSEKKDKIHEAVPKGDWKIKWFSVESLFKFVVLLKAIRTEASTSPLLVSYGQSFQV >itb02g21540.t8 pep chromosome:ASM357664v1:2:20464923:20473550:1 gene:itb02g21540 transcript:itb02g21540.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSERG >itb02g21540.t9 pep chromosome:ASM357664v1:2:20464923:20470137:1 gene:itb02g21540 transcript:itb02g21540.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRVCLESNATYLFITRNIEKSRELLSILDSGVQR >itb02g21540.t6 pep chromosome:ASM357664v1:2:20464923:20470147:1 gene:itb02g21540 transcript:itb02g21540.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSEREVVVIASSEHKFYVLLMAKICNGSGASLKLIGCHMIDHIKGVLVGLGLHVIRVCLESNATYLFITRNIEKSRELLSILDSGVQSLEQVQTYLFQRHICQGLKISIFQYSMVQFWCDKLKSMFMMKYAVHFVMLWIWYCAFLAHSLLELIYSKKKIISRVKY >itb02g21540.t10 pep chromosome:ASM357664v1:2:20464923:20470177:1 gene:itb02g21540 transcript:itb02g21540.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTGDRYLESLVKFVESHAEPLIEGALVLKLNPIGLHYVYSRIEALAELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPSPLSLLPFARLKVLELRGCDLSTSDARGLLELRHTLEKLICHNSTDALRHVFASRIADIKNSPQWNRLSFVSCACNGLLLMDESLQLLPAIETLDLSRNKFAKVDNLRRCTKLKHLDLGFNHLRSVTSFIEVSCCIIKLVLRNNTLTTLHGIENLKSLVGLDISYNIISNFSEMEILAGLSSLQNLWLEGNPICCARWYRAQVFSFFPNPHQLKLDEENPSTSDLWKRKVIVASRQKQPASFGFYIPARDGAELGGNINTKRKKVSRLVSIKNEEDRSFPLSDQDSVSCDNDTHSKEENANSDQESEIVDFMNRIEFMKKKRSDLWLQEFKDWMDHESDNFFGGIEGIGCNLNSEKSNHWKGKLSTKHQGETSRYISESGQLSGDDSSTNILESDTSFAETFTSFNTQRYSNHIGEVSSKFFVGRGDAYLIRSARSTRKDQENLKSSSNEVFFSAKARISPFDSFATAEGEKMSANSNTQPITVTNTILDSHSSLASTGSPPHYKEDILHRRHNLEEEFLQLSAESFSVASSDSDTSCSDDESIQYRQGVSQIDQSVTENLIESGVECFPSAHCSLDVCDETDFALKQNGKHTSDLYTEGNSSCIDVRGADFTSQDAEVLGFVKQEAYRLEKKKPKRKPKRRMVSLPEETNAEDEIEKTKKSNENVNNSRDDEDRTYALTDSHKASVAITSQSTMAQLLGNHKGKPLSEKTSMSNGAENFIEDYFMANVSQTGVSETIQKCVSCYCLLHEESGCSERG >itb09g18720.t1 pep chromosome:ASM357664v1:9:14666774:14667832:-1 gene:itb09g18720 transcript:itb09g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEPYLISVSRWFLLRDVLNSGNGKETYVPQNVLEGREDGWTLADGIEEKEECFFDTLEKLSVKSGVSPAEIGDLVAIVSMLLSAPSLCSRIMQRSTMREDVRAFNFSGMGCSARLLSINLVDNMFRCAGTSYHEANDKAFSCCMQKEDENRACKRKTTMAA >itb09g07350.t1 pep chromosome:ASM357664v1:9:4255808:4257547:1 gene:itb09g07350 transcript:itb09g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEIGAVPFNPDGWGPPDATTGSIALPNQPSNVPFAPFSRSEKLGRIADWSRTLSNRPGPNAKLNPADSAFDFSGDDSFATLAADEDSSFRLVDTTAKSHHHGQNRPKFNPRWRFNPHHQRSQLPQRRDEEVEARKREAEKERARRDRLYNLNRSGTNAGQRRESAVFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLICGGLEFYDRSFDRITPRSDRRLERFKNRNFFKITTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPDVKDDINSAHSLSVEAAYINQNFSQQVLIRDGNKLTFEEPNPFAAQGEEVASVAYRYRRWKLDDDVHLVARCEVHSVVEVNNQRSFLTLNALNEFDSKYSGIDWRQKLETQRGAVLATELKNNANKLAKWTAQALLSSADMMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPMKPQVRIYEVPPDAFENDYMEEPLPEEEQVQPPEEDAEGGEANGTTNDAEDKEASTVAA >itb04g09260.t2 pep chromosome:ASM357664v1:4:8567285:8574445:-1 gene:itb04g09260 transcript:itb04g09260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLGKEMNASNNASSQSKSDEGTSARTRPFTCAEIMLRRKNKKDIEEIKDSSVGAAVVLDKENSVNRTFDSLGSDRYCDVSIPSSVRHNSKDSQLTSRREESDDKARKVARFKKKEIRETETKRGEAVSNDTSGKNISGYKIDRAPHGGRTKDDKSNDGSKNKHGNKHVNDLARKDILTDKGSKIYEKERKEKHHDKDSRQVYRKRTNDELPSNASEDESEKRHSRNYSSTNRYKGKNKEKSEKETKRKHQHEDEEKAKDRNADKKHDSSKLRVSEFPEKKDSKRSHHEDLRQKRRRSRSRERDKESHRKSRSPSPKAHKHSSHDVRDRTETYSHSSKDKSGRSNSDFDKKRISNNGETAHYKRHSGSASGLGGYSPRKRKSEAAAKTPSPTSRSPERRIAGWDLPPAATEKNIPAPVLSSLPSSSQSVSLSTLESFVVAPGSLFATKPAVFFPNSYPPLVHAVESIQLTQATRPMRTLYVENLPNSASDKDVMECFNKFLLSSGVNRIQGTQPCISCMIHKEKAQALLEFLTPEDASAALFFDGRSFCGSILKIRRPKDFVEVGTGVAENSVAAVDRISDAVMDSPHKIFIGGISEVISSEMLMEIAKAFGHLKAYRFVLNGDLNEPCAFLEYADHSVTAKACAGLNGMKLGGKVLTVVQAIPDASLVGNVENRPYYGIPEHAKPLLESPTEVLKLKNVFDPMGLSEQELEEMMEDIRLECARFGTVKSINVTKQSKCPPTLETVEAIGNGTLTKNDHKTDRVESLQESTHFELEEAGRLPPLKTNEEPMEAHSTDISKRSCDDKAGITEPSHGSEEDGNASKLGDNPIEDKPAECLNEVSSSELVADHSNDYVNPANDQVEIINRIDSPSSAKELQVDDELLTKDDLKSNEYDGKLEASSDELQSSLKKDSDASPADKEIKETDHNLEHIFEPGCVLIEYRRAEASSMAAHCLHGRVFDDRIVSVEYVAHDLYLKKFCR >itb04g09260.t1 pep chromosome:ASM357664v1:4:8567285:8574445:-1 gene:itb04g09260 transcript:itb04g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLGKEMNASNNASSQSKSDEGTSARTRPFTCAEIMLRRKNKKDIEEIKDSSVGAAVVLDKENSVNRTFDSLGSDRYCDVSIPSSVRHNSKDSQLTSRREESDDKARKVARFKKKEIRETETKRGEAVSNDTSGKNISGYKIDRAPHGGRTKDDKSNDGSKNKHGNKHVNDLARKDILTDKGSKIYEKERKEKHHDKDSRQVYRKRTNDELPSNASEDESEKRHSRNYSSTNRYKGKNKEKSEKETKRKHQHEDEEKAKDRNADKKHDSSKLRVSEFPEKKDSKRSHHEDLRQKRRRSRSRERDKESHRKSRSPSPKAHKHSSHDVRDRTETYSHSSKDKSGRSNSDFDKKRISNNGETAHYKRHSGSASGLGGYSPRKRKSEAAAKTPSPTSRSPERRIAGWDLPPAATEKNIPAPVLSSLPSSSQSVSLSTLESFVVAPGSLFATKPAVFFPNSYPPLVHAVESIQLTQATRPMRTLYVENLPNSASDKDVMECFNKFLLSSGVNRIQGTQPCISCMIHKEKAQALLEFLTPEDASAALFFDGRSFCGSILKIRRPKDFVEVGTGVAENSVAAVDRISDAVMDSPHKIFIGGISEVISSEMLMEIAKAFGHLKAYRFVLNGDLNEPCAFLEYADHSVTAKACAGLNGMKLGGKVLTVVQAIPDASLVGNVENRPYYGIPEHAKPLLESPTEVLKLKNVFDPMGLSEQELEEMMEDIRLECARFGTVKSINVTKQSKCPPTLETVEAIGNGTLTKNDHKTDRVESLQESTHFELEEAGRLPPLKTNEEPMEAHSTDISKRSCDDKAGITEPSHGSEEDGNASKLGDNPIEDKPAECLNEVSSSELVADHSNDYVNPANDQVEIINRIDSPSSAKELQVDDELLTKDDLKSNEYDGKLEASSDELQSSLKKDSDASPADKEIKETDHNLEHIFEPGCVLIEYRRAEASSMAAHCLHGRVFDDRIVSVEYVAHDLYLKKFCR >itb04g09260.t3 pep chromosome:ASM357664v1:4:8568769:8574445:-1 gene:itb04g09260 transcript:itb04g09260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLGKEMNASNNASSQSKSDEGTSARTRPFTCAEIMLRRKNKKDIEEIKDSSVGAAVVLDKENSVNRTFDSLGSDRYCDVSIPSSVRHNSKDSQLTSRREESDDKARKVARFKKKEIRETETKRGEAVSNDTSGKNISGYKIDRAPHGGRTKDDKSNDGSKNKHGNKHVNDLARKDILTDKGSKIYEKERKEKHHDKDSRQVYRKRTNDELPSNASEDESEKRHSRNYSSTNRYKGKNKEKSEKETKRKHQHEDEEKAKDRNADKKHDSSKLRVSEFPEKKDSKRSHHEDLRQKRRRSRSRERDKESHRKSRSPSPKAHKHSSHDVRDRTETYSHSSKDKSGRSNSDFDKKRISNNGETAHYKRHSGSASGLGGYSPRKRKSEAAAKTPSPTSRSPERRIAGWDLPPAATEKNIPAPVLSSLPSSSQSVSLSTLESFVVAPGSLFATKPAVFFPNSYPPLVHAVESIQLTQATRPMRTLYVENLPNSASDKDVMECFNKFLLSSGVNRIQGTQPCISCMIHKEKAQALLEFLTPEDASAALFFDGRSFCGSILKIRRPKDFVEVGTGVAENSVAAVDRISDAVMDSPHKIFIGGISEVISSEMLMEIAKAFGHLKAYRFVLNGDLNEPCAFLEYADHSVTAKACAGLNGMKLGGKVLTVVQAIPDASLVVLCFHNSHFQIKIWDFFSYLTFPSPWC >itb10g23630.t1 pep chromosome:ASM357664v1:10:27888650:27889424:1 gene:itb10g23630 transcript:itb10g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKISVLIVCMLVFEMGVCSNAQNSPQDYLDAHNAARGAVGVQSITWDDQVAAYAQSYANQRSGDCRLIHSGSQQYGYGENIAWGSGDFMTGKAAVEMWVGERQYYDHPTNSCHAPAGKSCGHYTQVVWRNSVKVGCARVQCSNGAGYFVTCNYSPPGNYVGQSPY >itb04g15320.t1 pep chromosome:ASM357664v1:4:16558176:16560166:1 gene:itb04g15320 transcript:itb04g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHHLTPSLVIFALLLSLTSPINAQIVNLPCSPSTLTSFVPCLTFVTNNSTSSPSSTCCNVLKSVTSNGTSCLCFIASGAPFQVPINRNLTLSLPRACQMPSMPIQCKASGVPGAPAPASSPVAGAPTLSPKSAPGPSRDPTGDAVPKSMSPAAAPKSDTNPSDQTPPPSTANSGTPAKNSGNQAPPAPSAASFMSVSPLVLLVAFGGVALKLY >itb02g14810.t1 pep chromosome:ASM357664v1:2:10749545:10749913:-1 gene:itb02g14810 transcript:itb02g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGANVNGRDQSGWTALHRAAFKGRMECVQVLVSHGAEVDAVDGSGFSVRLLRSLCFVFSIPKTNRAVWMNILAPSVHNIKSDFGYSAKDLNLFFHWIMPILWCIVRELGFRLIGENHNC >itb13g19100.t1 pep chromosome:ASM357664v1:13:26099634:26101435:1 gene:itb13g19100 transcript:itb13g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASSSSSGGGAFQVQQQKDYSGEGPAGEIPLWKIVVVSAIACGVQFGWALQLSLLTPYVQLLGIPHKFSSLIWLCGPISGLIVQPLVGYYSDNCTSRFGRRRPFIASGAILVIFAVILIGFAADIGHSTGDPLGKESKPRAIVMFIVGFWILDVANNMLQGPCRALLADLSCGDGGKTRLANALFSFFMAVGNIGGYAAGAQPMLYKSFPFTHTDACDVYCANLKSCFIISAILLLVITTVAVTCVSEIPYTKKKMEGGGVPFFGELFGAFKNLPRPMLILLLVTALNWIAWFPFLLYDTDWMAREVYGGEVNDSGLYDKGVRAGALGLMLQSIVLGFMSIGVELLARQLGDVKTLWGGVNFILAIGLALTVAVTKTATHSRRFDAQGHALMPNSKVKAFALAIFCILGIPLAVTFSIPFALACIYSSDAGSGQAGLSLGVLNLAIVVPQMFVSFLSGPWDALFGGGNLPAFIVGAISAALSGILAITLLPKPQSDKLAIP >itb01g19860.t1 pep chromosome:ASM357664v1:1:26122203:26123954:-1 gene:itb01g19860 transcript:itb01g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSQIFRDKEENYRSRDMDEENYRSRDRDEENYRDRDYAKLNAEDSKVSKQEGNSGTSGGVYYYIPPFKLKEIQEDKTSVEYQRMSWDALKKSINGLMNKVNATNIKNIIPELFGENLIRGRGLFCRSCIKSQMASPGFTDVFAALVAVVNTKFPVVGHLLLKRIILKLQRAYKHNDKPQLLAAVKFIAHLVNQQVVHELIALELLAVLLENPSDDSVKIAVDFVTECGSMLQDLTPRGFHAIFERFRGILHEGEIDKRVQFLIESLFALRKAKFQGYPAKRPELDLVQKEDQFTCEISLQDTIDPEIALDIFKPDPNFLENEKKYEELKTAILGEESGDDDEEEQMKIKDETETNLVNLRRTIYLTIMSSVDFEETGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRKYCLLGQRLCMINKVYQENLERCFVQQYSMIHRLETNKLRNVAHFFAYLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRSLNHRLSDPTMQESFDSIFPKDNPNNIRFAINFFTQIGLGGITENLREYLKNMSWLIMQQHKSSSSSSSEEDTTRKRRRH >itb07g02440.t1 pep chromosome:ASM357664v1:7:1574322:1585151:-1 gene:itb07g02440 transcript:itb07g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETSRTNKLRLFQYRCYDSNGISISFRYCRSLVGFAVYFFSSNDEDVSEEHYYQENDDLHCTVTAKLSQKDNGNEVFEREYVIVKLDSEEGVHMCFLYIPFSSLWPAESNININNVSPNYFLRFEVNFMNSKATSDWGCSLLYERSNTPASWDNSGSGCTTNIGPLGILEYLNLTEKKFEHFLPEDISQLRRLQYLDISGCGRIERLPELPATIGELYANTYLASESNIVEFATKYSELYSVSFSHSMYDDQAASPVPEKLAKEFIPITQPFLNRNTPFAVTYPLDSYRDYIICKCFKYPHHDPHHDPCKISISLDPTWYNHNFVGFVVCFVFPKEVRWDTHPDIRPFRSCRLITKLTHKDNRSEPPLQTECVIGRLYDEEFDGRFDDEEDIVCFVYIPFSSLWPKSKVITDDTTPNHYLVFEASIQDLEISKNIYLENYLKTPTELSCGTQCSCGTQWSCDLLYTDDESLTKEIRRKRELGSFNRESGSSENDSDGVQCRMVSMPECFDTEVARLLYTNSGVGILALSSNGFDLEEVVPCIDLSKNDSYIVSADDDGEVSFLDIMTFEVLTTYSPPDTPTFLVFCPCDNNIVAIGMENGTIIIYNVRVDEVEAELLEGHHEDVTGLAFSTTDPNMLVSSSADAQVCVWNIVGRRQNRRSVHIQSPDGEVCSGCTDVMFHTVQLHLLLVTHETQLAIYDTSNMERKRQWIPQGCLSAPISFATYSCNSQLVYASFTDGNIGVLDADNLTLRCCIVPSAYLFQPLLNSEDVVYAFVIAAHPQKPNQLAIGLTDGSIKVIEPLESEGEWGISPPIDIEILNGKEGEDEGRGKSLDAGQGIEVGMSKHIDSVNEKEKEREDEQRKKDLLNNDKTLSHLVQHLIFTLQSLIFD >itb07g02440.t2 pep chromosome:ASM357664v1:7:1574322:1585151:-1 gene:itb07g02440 transcript:itb07g02440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETSRTNKLRLFQYRCYDSNGISISFRYCRSLVGFAVYFFSSNDEDVSEEHYYQENDDLHCTVTAKLSQKDNGNEVFEREYVIVKLDSEEGVHMCFLYIPFSSLWPAESNININNVSPNYFLRFEVNFMNSKATSDWGCSLLYERSNTPASWDNSGSGCTTNIGPLGILEYLNLTEKKFEHFLPEDISQLRRLQYLDISGCGRIERLPELPATIGELYANTYLASESNIVEFATKYSELYSVSFSHSMYDDQAASPVPEKLAKEFIPITQPFLNRNTPFAVTYPLDSYRDYIICKCFKYPHHDPHHDPCKISISLDPTWYNHNFVGFVVCFVFPKEVRWDTHPDIRPFRSCRLITKLTHKDNRSEPPLQTECVIGRLYDEEFDGRFDDEEDIVCFVYIPFSSLWPKSKVITDDTTPNHYLVFEASIQDLEISKNIYLENYLKTPTELSCGTQCSCGTQWSCDLLYTDDESLTKEIRRKRELGSFNRESGSSENDSDGVQCRMVSMPECFDTEVARLLYTNSGVGILALSSNGFDLEEVVPCIDLSKNDSYIVSADDDGEVSFLDIMTFEVLTTYSPPDTPTFLVFCPCDNNIVAIGMENGTIIIYNVRVDEVEAELLEGHHEDVTGLAFSTTDPNMLVSSSADAQVCVWNIVGRRQNRRSVHIQSPDGEVCSGCTDVMFHTVQLHLLLVTHETQLAIYDTSNMERKRQWIPQGCLSAPISFATYSCNSQLVYASFTDGNIGVLDADNLTLRCCIVPSAYLFQPLLNSEDVVYAFVIAAHPQKPNQLAIGLTDGSIKVIEPLESEGEWGISPPIDIEILNVNEKEKEREDEQRKKDLLNNDKTLSHLVQHLIFTLQSLIFD >itb04g26850.t1 pep chromosome:ASM357664v1:4:31028222:31030636:-1 gene:itb04g26850 transcript:itb04g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSLLFLFPLLALPSFSGADISAKVGICYGQLGNNLPTPSKSAELIHGLKAKRVKIYDANPKILKALGNSDVQVSVMVPNEIIPNISSDQALADRWVETNVVPFYGETKIRYLLVGNEILSNPPNATWFQLVPAMRKIRRSVKKFGLRKIKVGTPLAMDMLEASYPPSSGAFRSDLTDSVFKPLLQFLDQTNSFFFFDVYPYFAWAAQPTVISLDYSLLEPTNITVKDPGSGLTYTNLLDQMIDAVIFAMKKVGYPDLRLFIAETGWPNGGDVDQIGASIYNSATYNRNVVKKFTAKTGTPARPGVVIPTLIFALYNENQKPGPGTERHFGVLYPNGTNIYEIDLSGETPESEFPPLPKPTTNQPYKGKVWCVVAPAANLTAVGDALSYACGQGNRTCNPIQPGGACYKPNTLIRHASYAFSSYWAQFRSLGGTCYFNGLAVQTTDDPSYGACKYPSITL >itb08g05770.t1 pep chromosome:ASM357664v1:8:4744695:4751164:-1 gene:itb08g05770 transcript:itb08g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPSTSIEELRQQPPPPAIDVDGGGELLHRNSPDQSISKQNNENTEKRSYSAAAAPSWSWSIKMRANGNSPEHQQTGMREEGLHCSSFLEDNGGTQANTETEIKKRVKRAATKAACSIQKQKSFTVVREISFAHDTISSTLFLKDGDTIVSSGGIFEMGFFSPANSQNRYVGIWYKQIPYCTVVWVANRDTPLTNTSSLVLKITNPAGWLALVDGNDNANIWHTSASRLVPNPVAKLLDSGNLVVTDANDDNPENFLWQSFDHPSDTHLPGMKLGRNFITGLDTTISAWKSENNPGMGEYKLSLDPAGYPQLILRKGRKEVHRSGPWNGLGWGGSRGIEKTGYIVRLSVVMNSNEMEQGNGLVYLKYQSDDCDEYGYCGAYGSCNYDNYPFCRCLDKFLPRDLAAWDRADFSGGCVRRTPLSCGNGSSSDGFVKYSGIKLPDTKFSTFYSSLNLQECEQVCLNNCSCMAYSSLDISNRENGCLLWFSDLIDISVVPSDGQDQDLYIRMASSDLDHTSSSKGKKSKRMKLISSSVLVGILVLSLTMTLIVILYKRKRKEIHLKWEEDQTLSEVSTIGRATITRATDNFSLNNKIGEGGYGPVYKGVLDDGKEIAVKRLSKTSLQGLGEFKNEVNSIARLQHRNLVKLLGWCIQGEEKMLIYEYMPNKSLDSYIFDNRRRALLDWPKRFNIINGIARGLLYLHQDSRVKIIHRDLKVSNILLDIDMNPKISDFGLARSIGLNKTGANTNRVVGTLGYMSPEYAGHGIFSIKSDVFSFGVLVLEIVSGKRNRGFSHHQDHYENLLGHAWPRGSIIEMVD >itb08g05770.t2 pep chromosome:ASM357664v1:8:4744695:4751164:-1 gene:itb08g05770 transcript:itb08g05770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPSTSIEELRQQPPPPAIDVDGGGELLHRNSPDQSISKQNNENTEKRSYSAAAAPSWSWSIKMRANGNSPEHQQTGMREEGLHCSSFLEDNGGTQANTETEIKKRVKRAATKAACSIQKQKSFTVVREISFAHDTISSTLFLKDGDTIVSSGGIFEMGFFSPANSQNRYVGIWYKQIPYCTVVWVANRDTPLTNTSSLVLKITNPAGWLALVDGNDNANIWHTSASRLVPNPVAKLLDSGNLVVTDANDDNPENFLWQSFDHPSDTHLPGMKLGRNFITGLDTTISAWKSENNPGMGEYKLSLDPAGYPQLILRKGRKEVHRSGPWNGLGWGGSRGIEKTGYIVRLSVVMNSNEMEQGNGLVYLKYQSDDCDEYGYCGAYGSCNYDNYPFCRCLDKFLPRDLAAWDRADFSGGCVRRTPLSCGNGSSSDGFVKYSGIKLPDTKFSTFYSSLNLQECEQVCLNNCSCMAYSSLDISNRENGCLLWFSDLIDISVVPSDGQDQDLYIRMASSDLDHTSSSKGKKSKRMKLISSSVLVGILVLSLTMTLIVILYKRKRKEIHLKWEEDQTLSEVSTIGRATITRATDNFSLNNKIGEGGYGPVYKGVLDDGKEIAVKRLSKTSLQGLGEFKNEVNSIARLQHRNLVKLLGWCIQGEEKMLIYEYMPNKSLDSYIFDNRRRALLDWPKRFNIINGIARGLLYLHQDSRVKIIHRDLKVSNILLDIDMNPKISDFGLARSIGLNKTGANTNRVVGTL >itb08g05770.t3 pep chromosome:ASM357664v1:8:4740385:4751164:-1 gene:itb08g05770 transcript:itb08g05770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPSTSIEELRQQPPPPAIDVDGGGELLHRNSPDQSISKQNNENTEKRSYSAAAAPSWSWSIKMRANGNSPEHQQTGMREEGLHCSSFLEDNGGTQANTETEIKKRVKRAATKAACSIQKQKSFTVVREISFAHDTISSTLFLKDGDTIVSSGGIFEMGFFSPANSQNRYVGIWYKQIPYCTVVWVANRDTPLTNTSSLVLKITNPAGWLALVDGNDNANIWHTSASRLVPNPVAKLLDSGNLVVTDANDDNPENFLWQSFDHPSDTHLPGMKLGRNFITGLDTTISAWKSENNPGMGEYKLSLDPAGYPQLILRKGRKEVHRSGPWNGLGWGGSRGIEKTGYIVRLSVVMNSNEMEQGNGLVYLKYQSDDCDEYGYCGAYGSCNYDNYPFCRCLDKFLPRDLAAWDRADFSGGCVRRTPLSCGNGSSSDGFVKYSGIKLPDTKFSTFYSSLNLQECEQVCLNNCSCMAYSSLDISNRENGCLLWFSDLIDISVVPSDGQDQDLYIRMASSDLDHTSSSKGKKSKRMKLISSSVLVGILGVLDDGKEIAVKRLSKTSLQGLGEFKNEVNSIARLQHRNLVKLLGWCIQGEEKMLIYEYMPNKSLDSYIFDNRRRALLDWPKRFNIINGIARGLLYLHQDSRVKIIHRDLKVSNILLDIDMNPKISDFGLARSIGLNKTGANTNRVVGTLGYMSPEYAGHGIFSIKSDVFSFGVLVLEIVSGKRNRGFSHHQDHYENLLGHVCLVCVAAWKHYRDGRLIELIDKHMDEPLDLPQVLRSIHVGLLCVQHYPRDRPSMSSIVHMLANDVELPIAKEPSFFTKTRFLKDDDTIISSSGSFKMGFLSIPAGSINRYVGIWYNQIPVPTIIWIANRETALTNTTSAVLKIVKPGRLLLVDGKNDTIWSTNASRSAQNPMAQLLDSGNLVVRDSEDENPENFLWQSFDYPTDTFFPGMKLGRNFVTGHEVYVRAWKSENDPSSGQFTVHFDPAGYPQSVIKNGPEKIYTSGPWNGQRWSGTPGINPDNPHYKYQVYMNPREAYVRYDIINNSILLRLVLSSSGDFQSFTWMNETQSWASFIKAPMDICDNFAKCGANGICNIAGSPICGCLENFVNNTRGGWDYWSDGCHRRIPLKCKNGTDGFKKYSGIKLPDTTNSWFNTTMNLKECEHKCLSNCSCTAYSSLDISNGGSGCLLWFKDLIDIRLLSENGQDIYIRLDSSEIPDTFSFGVLLLEIVGGKRNRGFSHPDHNLNLIGHAWKLYKENRALELIDVHLAPSCDLSQVQRCIHVGLLCVQQRPEDRPTMSYVVTILSNDNILPEAKEPGFFTEQRVNKGDCSSSTQGTGSTNNECTMTVLDPR >itb01g36140.t1 pep chromosome:ASM357664v1:1:38035674:38041325:-1 gene:itb01g36140 transcript:itb01g36140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAHLALSRPVHPPAAEEIKAAYRRLTRVLHPDVASSFRRESSDEDFIRVHAAYATLTDPQKRVIGGPVLIVDKGHTAGLADLGLLGVVNGEHDGHGHIDKGAIVETERVEIKNLEELVFSHIASQLAGPAFSEHIQPLEVDLGELDSGLTQGLILLSLSLIPRRKAPRASRRRVVSAHMKLGSRVGPYTRP >itb06g25940.t1 pep chromosome:ASM357664v1:6:26947663:26948166:-1 gene:itb06g25940 transcript:itb06g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSLFVRIPPSLAFVTGFTFLSPPASPFFVVVFACLRRRLHEQHCQNKNQREFYLNAHETFDRSKHLKQTSSEIVKTAKEGETGDEGW >itb06g10170.t1 pep chromosome:ASM357664v1:6:14484070:14486838:1 gene:itb06g10170 transcript:itb06g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEEGGIRRRQEVVGWIEQATKLIEDFPTVKERAIHGQCWDECSSINLVKKMTQAVKDARNELKNIVQLTVDVDECTIPDECTIPSLDVSQLSGKTSNDNLEKLYGWLEDDKISSIGVCGMGGVGKTTLVKHIHKRILQKMPHVKVYWVTLPQDFSIKKLQYDIAEIAGLQFLDKNEEQRATILHQHLVGKKTILILDDVWKCIPLEKLGSPHRIEGCKFIITSRSLEVCRQIECQELFKVKTLNENEAWDLFKENLLLHGHTVLTKDIEKYAKKLAKKCGGLPLALNTIAASMRGVNDDHIWRNAIKSFQNLSLQMEDLENNVFEKLKFSYNRLSDQRLKECFLYCCLYPEDYHIDKDEIIIKLIVEGLCEDIDEGHSILTKLVNVFLLEGGEGYVKMHDLIREMALKISKFMVKSELVDIPEGKYWTAELERVSLSSSTLKEIPNDFSPICHKLLTLNLRETSTSDSIRGSGIIKEIPESFLKYMPQLQVLDLSYNTNLKCLPDSISNLENLCGLFLQGCRHISCLPAMKKMKKLRVLNIQSCHRIRELPQDMECLVSLQYLYMKYTSIHLEIPKGVISKLRNLKCIQLDNGGRLLSEDLNCLPHLQEFWCCYNELHDFNRLVKNLKQLKCYYICVSSSMDIHRPSSHWGSNVKKVDFTGINFTLVLLPANITSLKIEDCEELNGCIADYFQSIMSTLRQLEVNDCPGVEWILNSEQIIENASNTGATPYENLEVLTLMSLPNLISVCKGEDVILPDYCTFSSLKHLFIQKCNSMKKLLPHSLSQNLKNLEKLVVTGCSQLEVVIGGVGGDEEEEGSNNTPVYLPKLKELLLNNLPELKCICNDREMICPSIEKISIWRCTNVKRMPSFLPINESTEQPYLPSSFRKIGLLEVEKEWWESLELHNPNAKHILQSHIEW >itb11g06500.t2 pep chromosome:ASM357664v1:11:3895178:3897538:-1 gene:itb11g06500 transcript:itb11g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDETAALVGPAAAAAAGMVAGSTASGVPYYYPPMGQPTPPGVMIGRPAVPGVDPSMYVQPPPPPSQAWQSVWQPQEDNSYASGGSSGQGNLDGQG >itb11g06500.t1 pep chromosome:ASM357664v1:11:3895178:3897538:-1 gene:itb11g06500 transcript:itb11g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDETAALVGPAAAAAAGMVAGSTASGVPYYYPPMGQPTPPGVMIGRPAVPGVDPSMYVQPPPPPSQAWQSVWQPQEDNSYASGGSSGQGNLDGQGIYLCLNTSGGFKMKNLK >itb10g26140.t1 pep chromosome:ASM357664v1:10:29311398:29311763:1 gene:itb10g26140 transcript:itb10g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKESKFIGESAPRNVCMSVKVSNPTRGQSNIMFGDEPVVKTTKKIHSQKFVELTGNDIFKGDVPGSVEKHLSREKLKEMGGMPYLLMEKFNLGITLVVSANHQVVRTTFHLFMGVIAPS >itb01g23340.t1 pep chromosome:ASM357664v1:1:29392679:29396956:1 gene:itb01g23340 transcript:itb01g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAMLKHPDDVYPLIKLKMAARQVEKQIPPQPHWGFCYIMLRKVSRSFALVIQQLPNELRDAVCIFYLVLRALDTVEDDTSIASEVKVPILMAFHRHIYDLEWNFSCGTKDYKVLMDQFRHVSTAFLELGKNYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGKEDLASDYISNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVNKLEDLKDEENSVKAVQCLNDMVTNALSHVEDCLTYMSALRDPSIFRFCAIPQIMAIGTLSMCYNNIGVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFSCMLMSKVDYNDPSATKTVKRLEAILKTCRESGTLNKRQSYIIRRSKPNYSPALIVFFVILAVLVYHFGNQRTFACKFLKLTAILFFAISALFS >itb03g29330.t1 pep chromosome:ASM357664v1:3:30190876:30198955:1 gene:itb03g29330 transcript:itb03g29330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNHSRHQTSFSAAEIPPPPLFPADMNNGIATRRSQTREISSRYKSPTPRRTPSPNVRRTGTSSSTVSSQKRAVSVERKRPSTPPSPSTPLEDTSTAFLLASRKLIGNRLPEALWPSTMRSLSVSFQSDTFSLPISKREKPVSQALSDRTLRASSNVVHKQSEAPPASRKPTPERKRSPLKGKNSADHSENSKPVVDTSNSRVLDQHRWSSRAGGKVSSSNALNRSTDLSNKNSRTIPLPSGSGPPSLRRYSLDGVTKPLQKSSSDLLSQISADESRQGSFRVHSLDDNSLLKQKERTRFLSPSARSRPLLAPGSRPASPNKASTISSSRGISPSPTRAVSSASSRAPSPSRVRPSSPSRQTKTSTSVLSFITDIKGKKAAYHIEDAHQLRLLYNRHFQWRYANALVDAVLHSQKVKAKKMLHNVWRSTSELWGSVIKKRVEFQQLRLKLKLYSVLNEQLSYLDEWASIERDHTSSLLQAIQDLQACTLRLPIIGGARGDIETVKVAVCSAVDMMQAMGSSICSVLSQVEGTSNLVSELADVAAQERAMLDECESLLASTAALQVEEYSIRSHLMQLEQATRCEQLIQPGN >itb03g29330.t2 pep chromosome:ASM357664v1:3:30192411:30198980:1 gene:itb03g29330 transcript:itb03g29330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNHSRHQTSFSAAEIPPPPLFPADMNNGIATRRSQTREISSRYKSPTPRRTPSPNVRRTGTSSSTVSSQKRAVSVERKRPSTPPSPSTPLEDTSTAFLLASRKLIGNRLPEALWPSTMRSLSVSFQSDTFSLPISKREKPVSQALSDRTLRASSNVVHKQSEAPPASRKPTPERKRSPLKGKNSADHSENSKPVVDTSNSRVLDQHRWSSRAGGKVSSSNALNRSTDLSNKNSRTIPLPSGSGPPSLRRYSLDGVTKPLQKSSSDLLSQISADESRQGSFRVHSLDDNSLLKQKERTRFLSPSARSRPLLAPGSRPASPNKASTISSSRGISPSPTRAVSSASSRAPSPSRVRPSSPSRQTKTSTSVLSFITDIKGKKAAYHIEDAHQLRLLYNRHFQWRYANALVDAVLHSQKVKAKKMLHNVWRSTSELWGSVIKKRVEFQQLRLKLKLYSVLNEQLSYLDEWASIERDHTSSLLQAIQDLQACTLRLPIIGGARGDIETVKVAVCSAVDMMQAMGSSICSVLSQVEGTSNLVSELADVAAQERAMLDECESLLASTAALQVEEYSIRSHLMQLEQATRCEQLIQPGN >itb06g10640.t1 pep chromosome:ASM357664v1:6:15100510:15101522:1 gene:itb06g10640 transcript:itb06g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNMPQTTSSKLLSATLTAKLHRCYMASLQMAKTSSPPPQTPRIAPPLPQNPHVPAANFAVWSPPDKPQPPQTAATAAVGGGIGNTTPQFIKPLEDDHIEQMIEELLDYGSIELCSVIPSSQPMI >itb15g05830.t1 pep chromosome:ASM357664v1:15:3768762:3770807:1 gene:itb15g05830 transcript:itb15g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISLEPSNVVTVRIEPGQKCSGELTLRNVMYTMPVAFRLQPLNRSRYSVRPQCGIISPLTAVTVEIIYHLPPNAVVPDSFPHSDDSFLLHSVVAPGAAVKDPASTFDAVPNDWFTAKKKQVFIDSGVKIMFVGSAVLARLVAEGLMDEVREVLEKSEPAWKPANAMDSDGQTLLHLAIAQSRPDLVQLLLEFEPDIEARSRASGSSPLEAAAVAGESLIVEILLANKASTERSVSSSWGPIHLAAGNGHVEVLRLLLLKGANVNALTKDGNTALHLAVKGRRRDCARLLLASGGGARADVRNGGDGDTPLHIAAASGDEQMVRLLLHKGAEKNIRNKYGKTAYDVAAENGHGRLFDALRLGDDLCAAARKGETRKIQKLLDHGANLHGRDLHGWTALHRSAFKGRVDAVKALIDQGISLDARDEDGYTALHCAVEAGQVDVTEVLVKTGADVEARTNKGVTALQIAEALHFSGITRILVQGGAYDRDGFINRFTSKSTKVWKDETEIEPMMKKKVSRAPRVRRSSFDRSVPLAVV >itb12g08870.t1 pep chromosome:ASM357664v1:12:6940620:6946391:-1 gene:itb12g08870 transcript:itb12g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFEEDDRNALAGLSSAPPRRKSHSYSQQLRTNTGSHYKRHHQLRKHSLDEDRISADIINSQNAVYDSSDYDEDAAYYHYSNVNGDPQDYNRMVEGHDSGCPPPGEGSQEFQNKHYLPEFIGAGGGTGIFKVPSRSAVHPGRPPCLELRPHPLRETQVGKYLRTIGCTETQLWAGLESGVRVWNFSDAYEPGPGMGGRTRRGDEDAAPFHESVVTSPTLCLMVDMGSKLVWTGHKDGKIRTWKIDKCNSDDSPFKEGLSWQAHRAQVLSMVTSCYGDIWSGSEGGVIKVWPWESAEKSLSLSPEERHMAALLVERSGIDLRSQVTVNGVCNISSSEVKCLLSDHVRAKVWAAGSLSFSLWDARTRELLKVYNVDGQIENRVDMSSAQDQAVEDEIKLVPKSKKEKTGFLQRSRNALMGAADAVRRVASKGAGAFVEEAKKTEAMLLAPDGIIWTGCSSGLLVQWDGNGTRLQDFLHHPCAVLCFCTQGSRIWVGYASGILQVLDLEGNLVSSWVAHNGPVVKLAVGSGYLFSLANHGGIRGWSLASPGPIDNILRQELTEKEDTYTKQTNIRILIGTWNVGQGRASQEALNAWLGSAASDVGIVVVGLQEVEMGAGFLAMSAAKESVGLEGSSIGQWWQDAIGKALDEGSVFERVGSRQLAALLITIWVRKTLRTHIGDLDVGAVACGLGRAIGNKGGVGLRLRVYDRLMCFLNCHFAAHLEAVNRRNADFYHIFRTMAFSKSPIFNAAGMLRYLFLSCSLVLSTYLFWLLYTSGLPWIIFISLAASISSAAQMLRGANAPSANPEEGRPDLGDADMVTFFGDFNYRLFGITYDEARDLVSQRSFDWLREKDQLRAEMKAGKVFQGMREAIIRFPPTYKFEKGKPGLGGYDSGEKKRIPAWCDRVLYRDNRAVMNTECSLECPVVGSVVQYEAVMEVTESDHKPVRCKLNVEIAHIDRCIRRREFGKIYQNNDKIKGYLEELRDVPETSVGTDKIVLQNQETFSLTITNKSGKDKAHFYIICKGQAITEEEEKPSDYRPRGSLGFPRWLEVRT >itb05g22000.t1 pep chromosome:ASM357664v1:5:27565195:27567645:1 gene:itb05g22000 transcript:itb05g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFIAMKEEEKKKPKDRRPYLASECRDLADADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKGHWERRIVELGGPNYTKYSAKMTDLEGNIVDVPNPGGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGILEKLEGPAEEKMRTAALKEWMEMEEIKKEAKKAVKSGEVVEVGLGSKILFEEEEDVVEEERREKEKEKEKEFVVHVPLPDEKEIERMVLEKKKMELLSKYASDDLVEEQTEAKAMLNIQR >itb09g30280.t1 pep chromosome:ASM357664v1:9:30958242:30966458:1 gene:itb09g30280 transcript:itb09g30280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIKGKKVEKFVHDIKSLIFTFCILLQTLVVIFIKFCCVVSFYVIWLPLLFLVTLLCKLLSPVHKVSNDQMREEIELSQQFVILLEGDEQLPKSLLRNIVGGLNKYIKGGKNRQPRSLLNLLKQSFFYKGAIQFDNSQVPSLLTEEPPNCWTFPVMTLTAIAVALPSIANQHVDELISSVDEGLQYASHIDILDGNRALKYTKNAASVVWVGVKLHKKWLDMDLGDTIREVHSSKEIIQGLADEAERIVKEFGSTGSKSLVENPLYWPPNVLAANSMYRISRTILLYYENGECQPEELFRKLIFMIANILAACLINLPHLIATKFINIAFEERLNSVGNAATLFGEIEDILKFFEERQLWGIGPSQPLYIDEWLRWMESETQDPTALTSATNNGTSPTVESNDSNDELVIVPIFVIQSPLSSLTLSRSKFHGFHRSPPPADRYPTPAAHRLALAVDAHRLPLAVDAHRLPLAVDAHRLLLAVNTHRLPLTPKFQISSLQPTAQHCLSFTRRWRRYSNSATVTVGAPSPFSLLGESASPVSLLLFPLGSSAYCTRWHWRTAAVAIALAV >itb01g28020.t1 pep chromosome:ASM357664v1:1:32569021:32571034:-1 gene:itb01g28020 transcript:itb01g28020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVMILTAVLCVIGASNAASTSYNVLQFGAKPDGKTDSTQPFLKAWVAACTSVKAAMIYVPKGRYVIKAAEFRGPCKNSITVRIDGTLIAPSDFRALGNDDHWILFINVDRLSVIGGSFDGKGAGLWACRKSGKNCPAAARSITFNWANNVVVRGLTSVNSQGMHLVINSCNNVRVQNVKIIAPGDSPNTDGIHVQSSNGVTITGASINTGDDCISIGPGTRNLWMEKIQCGLGHGVSIGSLARDFNEDGVQNVTLINSIFKGSDNGVRIKSWARPSTSYVTNINYRNIVMRSVQNPIIIDQNYCPNNENCPSQSSGVKISRVTYQNIQGTSATQVAMKFDCSASNPCRGIKLQDIKLTYVDKTKAKSYCKNIGGSAGGVILPENCL >itb07g07960.t1 pep chromosome:ASM357664v1:7:6227722:6229763:1 gene:itb07g07960 transcript:itb07g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQVCCMEMRINLDCPACCKKMRRVLLKMKEIETHMIERQQCRVCICGRFDPAEVAIKIRKKMKRRVEIMDVQLFNNQEEEEEEGHQIPHGDFDQPIAQPA >itb05g00610.t1 pep chromosome:ASM357664v1:5:526931:529929:1 gene:itb05g00610 transcript:itb05g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELLVVVVVAAAAALLLTGEAVWLELPSAGTKCVSEDIQSKVVVLADYYVIGNEEHATANVAPTISVKVTSPYGNNLHHEENATHGQFAFTTAEAGDYLACFWMATHPPGGKGATVGLDWKMGIAAKDWDSVAKKEKIEGLELELRKLEQMVQAIHANLLYMKAREAEMREASEKTNGRVAWFSVFSLGVCIFVSLVQIWHLKRFFHKKKLI >itb01g03220.t1 pep chromosome:ASM357664v1:1:2111977:2115420:1 gene:itb01g03220 transcript:itb01g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLWQLGQSITRRLVQQADKKTIARRCFASDADLKKTALYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRENGSLFDVAHMCGLSLKGKDCIPFLEKLVIADVVGLAPGTGTLTVFTNEKGGAIDDSVITKVTNDHIYLVVNAGCRDKDLAHIEAHMKAYTSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTPEDLSKMYFSDFKILTINGVSCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPKIRRVGFISSGPPARSHSEIQDDKGQNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTNVKIVIRGKSYEGVVTKMPFVPTKYYKPS >itb01g07730.t1 pep chromosome:ASM357664v1:1:6119027:6121736:1 gene:itb01g07730 transcript:itb01g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLLNLEGRTYNCKFCKTQLALVDDLISRAFHCRRGKAYLFNNVVNVTVGPPEERPMISGMHTVADIFCCCCGQMVGWKYESAHDDSQKYKEGKFILERGGIIDRMDSEFYMDTRTSTSDTEDA >itb09g04430.t1 pep chromosome:ASM357664v1:9:2484661:2487344:1 gene:itb09g04430 transcript:itb09g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSGHTLSGPSILDSFREELLPLADLVTPNLKEASALLGGVHLETFDDMRFAAKSIYEFGSRNVLVKGGDLPSSLDAVDILYDGKEFYEFQSPRIRTANTHGTGCTLASSIAAELSKGSPMISAIKVAKRSVETALNYSKDIVVGNGCQGPFDHLLKLKSNAKNLIRLHPFDPSDLFLYAVTDSRMNKKWGRLITDAVKAAIEGGASIIQLREKDAETLDFLEAAKACLEICRLHDVPLLINDRIDVALASGADGVHVGQSDMPAHVARALLGPDKIIGVSCKTPEQAHRAWVEGADYIGCGGIYPTNTKENNRTIGLDGLKSVCLSSRLPVVAIGGIGISNAEAVMALGVPNLKGIAVVSALFDRECIATETRKLHKVLTESAATVN >itb09g04620.t4 pep chromosome:ASM357664v1:9:2589129:2593038:1 gene:itb09g04620 transcript:itb09g04620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKKGKAKKPKVMATNEVASNAEEVNTGNDSVVEEELESDGSGSGGEDEGPSSTGTDQAQKPASANSGGFSDSTVIKAVYGRVKVKIKNSKALDSQVTTSETRTQNDAEKSFQYAGLEKQGVSSEKMEDSANSLSETNNVSTSGDLPKKSAGIKIKTKNFSSNISPCINAETLKGEKTHKKEPYSVSKDSRYNKKELDAAVEVIKKVMKMEAAEPFNTPVDPVALGIPDYFDVIDTPMDFGTIRTNLESGGKYLNSEDVYKDVQYIWDNCYKYNNKGDLVLDLMKRVKKNFSKYWSAAGLYSDYTQVESSQIKDATPDSTGKEQSKGGPMNNKSRKLHGLKKHKDGCLCAICVMMRRRQEREESARVLEEQMETSSEYPDEAKPEVTTPAESLGGDYASSNMENSPELDIDSNQQEKAEEANLAYSEDLYNHLHEEENRDSETDIPAKKEGQLSALMQLGSRSAEDHTKHQKQNMESDNDMLNHTEKETLQTATSEQHKPKEKLDKYQKAKMLEKLRHLENPRIFELCGTLFGDDKRSVWNGPRSLVQQNASTRKSAIRAAVSKLMQ >itb09g04620.t3 pep chromosome:ASM357664v1:9:2588817:2592895:1 gene:itb09g04620 transcript:itb09g04620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKKGKAKKPKVMATNEVASNAEEVNTGNDSVVEEELESDGSGSGGEDEGPSSTGTDQAQKPASANSGGFSDSTVIKAVYGRVKVKIKNSKALDSQVTTSETRTQNDAEKSFQYAGLEKQGVSSEKMEDSANSLSETNNVSTSGDLPKKSAGIKIKTKNFSSNISPCINAETLKGEKTHKKEPYSVSKDSRYNKKELDAAVEVIKKVMKMEAAEPFNTPVDPVALGIPDYFDVIDTPMDFGTIRTNLESGGKYLNSEDVYKDVQYIWDNCYKYNNKGDLVLDLMKRVKKNFSKYWSAAGLYSDYTQVESSQIKDATPDSTGKEQSKGGPMNNKSRKLHGLKKHKDGCLCAICVMMRRRQEREESARVLEEQMETSSEYPDEAKPEVTTPAESLGGDYASSNMENSPELDIDSNQQEKAEEANLAYSEDLYNHLHEEENRDSETDIPAKKEGQLSALMQLGSRSAEDHTKHQKQNMESDNDMLNHTEKETLQTATSEQHKPKEKLDKYQKAKMLEKLRHLENPRIFELCGTLFGDDKRSVWNGPRSLVQQNASTRKSAIRAAVSKLMQ >itb09g04620.t1 pep chromosome:ASM357664v1:9:2588565:2593038:1 gene:itb09g04620 transcript:itb09g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKKGKAKKPKVMATNEVASNAEEVNTGNDSVVEEELESDGSGSGGEDEGPSSTGTDQAQKPASANSGGFSDSTVIKAVYGRVKVKIKNSKALDSQVTTSETRTQNDAEKSFQYAGLEKQGVSSEKMEDSANSLSETNNVSTSGDLPKKSAGIKIKTKNFSSNISPCINAETLKGEKTHKKEPYSVSKDSRYNKKELDAAVEVIKKVMKMEAAEPFNTPVDPVALGIPDYFDVIDTPMDFGTIRTNLESGGKYLNSEDVYKDVQYIWDNCYKYNNKGDLVLDLMKRVKKNFSKYWSAAGLYSDYTQVESSQIKDATPDSTGKEQSKGGPMNNKSRKLHGLKKHKDGCLCAICVMMRRRQEREESARVLEEQMETSSEYPDEAKPEVTTPAESLGGDYASSNMENSPELDIDSNQQEKAEEANLAYSEDLYNHLHEEENRDSETDIPAKKEGQLSALMQLGSRSAEDHTKHQKQNMESDNDMLNHTEKETLQTATSEQHKPKEKLDKYQKAKMLEKLRHLENPRIFELCGTLFGDDKRSVWNGPRSLVQQNASTRKSAIRAAVSKLMQ >itb09g04620.t2 pep chromosome:ASM357664v1:9:2588580:2593038:1 gene:itb09g04620 transcript:itb09g04620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGSKKGKAKKPKVMATNEVASNAEEVNTGNDSVVEEELESDGSGSGGEDEGPSSTGTDQAQKPASANSGGFSDSTVIKAVYGRVKVKIKNSKALDSQVTTSETRTQNDAEKSFQYAGLEKQGVSSEKMEDSANSLSETNNVSTSGDLPKKSAGIKIKTKNFSSNISPCINAETLKGEKTHKKEPYSVSKDSRYNKKELDAAVEVIKKVMKMEAAEPFNTPVDPVALGIPDYFDVIDTPMDFGTIRTNLESGGKYLNSEDVYKDVQYIWDNCYKYNNKGDLVLDLMKRVKKNFSKYWSAAGLYSDYTQVESSQIKDATPDSTGKEQSKGGPMNNKSRKLHGLKKHKDGCLCAICVMMRRRQEREESARVLEEQMETSSEYPDEAKPEVTTPAESLGGDYASSNMENSPELDIDSNQQEKAEEANLAYSEDLYNHLHEEENRDSETDIPAKKEGQLSALMQLGSRSAEDHTKHQKQNMESDNDMLNHTEKETLQTATSEQHKPKEKLDKYQKAKMLEKLRHLENPRIFELCGTLFGDDKRSVWNGPRSLVQQNASTRKSAIRAAVSKLMQ >itb15g04240.t1 pep chromosome:ASM357664v1:15:2690370:2691140:-1 gene:itb15g04240 transcript:itb15g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable F-box protein At5g04010 [Source:Projected from Arabidopsis thaliana (AT5G04010) UniProtKB/Swiss-Prot;Acc:Q5EAF6] MMMMAAITPAKRTPPQWQVIALVANHLDPKSLAVASCVCKLWFISMSSDHLWRSICTARFPSLSALRLADPGVPYRRLYALGRVSETRRLRKRPPPPLLSMSSIIFAVNVSHNGSSLIAVVKPGRELDVDRNGVFRFDVDVAGGGSLAGMQAVRDLRVTWDVVLEGYQCKFTMLDCEGKGNLVSGLEAWYSEELPPPGCCSNDAVSGLVSDLRLVFKESCGRIVVANMSLGLLNIVSWRYVLVVDTLRYLQHFLLP >itb06g03060.t1 pep chromosome:ASM357664v1:6:5205037:5205327:-1 gene:itb06g03060 transcript:itb06g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRINLEEIKLKYRRKMESRPEQGSPKVVKADHKVGHYSGSTTMVRYDIRDGGHEEARLARSSSMVGLQGWPRGLPCGRPSRSASVVGPRGRPL >itb05g22510.t1 pep chromosome:ASM357664v1:5:27918997:27920824:1 gene:itb05g22510 transcript:itb05g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDIYNSSSPVYIDPFREELMKALEPFMKGASSSPPPSSSSSSLSSSPSISSSSSYYPFESSFSTDPYLYHEFAPIPMFSGFERLGFEQRGPIGLNQLTPSQILQIQAQIHLQNQEQQSLSSVVLPRQQVSSCLGYLGPRPVSMKQSGAPNKPAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAYKLRGEYARLNFPDLRHRLSQDFADFKPLPSSVDAKLQAICQNLAESQKPGPVHTKRTKNKPENKIKPESGSSSSDSPALSEESSPESDITFLDFPEPSFEESENFNLQKFPSVEIDWSAL >itb12g17620.t1 pep chromosome:ASM357664v1:12:19725983:19726541:1 gene:itb12g17620 transcript:itb12g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAIGCDEVFGEGEVLGVPPASFHRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRRASWSDLGWEEVQGSPRKGTLAPQSPTIKKGDLEEEPDTLPAPLPLMLDTVLEFFVSLPCFAMIGIVHCFAELKTIILSVFHPRY >itb04g33780.t1 pep chromosome:ASM357664v1:4:35924614:35927955:-1 gene:itb04g33780 transcript:itb04g33780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTCVHQIGYFFIILFTFVLMDLCNCSGSNNMGVCKEREMLALLCFKKELEDPMNRLSSWVDGTNCCSKWEGVVCHNVTGHVVQLRLTNPNFDFEFDHLPKGTLSPCLLQLKQLSHLDLSGLYEYFSGSRIPNFIGSFANLQYFDLSNLGFQGMIPHQLGNLTSLHTLILKPNFDYTNDGHSPIKTNSLDWLSNLSNLQLLDLSYVNLSMTHNWLEAINMLPSLRELHLSGCDLPKLSQSLRLLNNSLLEVLDLSSNEFNTVVPKWIFNLNNLVSLDLTSSGFLSPLPDGPWNLTSIITLNIIDNEMNGSLSSQLFRLSGLVSLNLRGNQFQGPLPNGPWNLTSLSVLDISENNLNGSLPNQLFGLSHLVSLRISYNQLQNPLPNGFSNLTFLKNLDASGNYMNSRIPNRIYDWTNLESLRLSDNQLQGAISNSISNLTSLSALSLSGNMITGEIPNQIGNLSKLQVLYLYGNMLTGEIPKQFGILSKLQDLDLARNMLTGEIPKQIGNLSKLQVLSLSANMLTGEIPKQFGILSKLQELDISTNRLCGTLPENLGYSFPMIESLYISDNKLEGIVTENHFVNLTKLTTLYASGNRLTMRVNPNWTPPFQLDELYLSGWNLGPQLPLWLQSQHQISAVDISNAGIEGELPTWLWNFSSQIQLVNVSHNQLRGQIQNISFHPTREIDMPLSMCLSSNQFSGPLPRISIHTDVLDLSNNSFSGNISNFLCHAQKMPYKLRILHLGGNDLFGEIPDCWMHWPYLEVLNMKENKLIGRIPNSIRLLSNLESLDMHNNMLSGPIPPLQNCSFLLKVDLAENGFTGKIPRWLGTSLSYLTILRLRSNKFNGELSPEFCHLTSLRILDISNNNLTGVIPTCLKNLTAMIYDEVEYIFESGYSPSPYVSFGESALVTTKGHEYEYFTVILALVVSMDFSSNNFNGDIPIELMNLVKMRSLNLSRNNLTGNIPVEMGNMKLLESIDLSRNQLSGTIPSSFSDLSSLAVLDLSYNNLSGKIPSGTQLQGFNASCYIGNNLCGPPLSKSCSSIDDGKIPKNENKRDDGCEVDWFYVSMVIGFAVGFGCIYGSLLLVKSWRIAYFQFLDKKLKSFLVWAHALSA >itb04g24050.t1 pep chromosome:ASM357664v1:4:28874819:28875668:-1 gene:itb04g24050 transcript:itb04g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVHGTILSPGVLRVVACLKEKELDYEFVNVNLEAGEHKQPHFFALNPFGEVPAFEDGDLKLFESRAITQYIAHTYIDKGTQLIVPDHKKMAIMSTWMEIEAQKFVPAASKLSFEIVIKPILGMTTDVSVLAQYEEQLGKVLDVYEARLKESKYLGGDCFTLVDLHHIPLINYLMATKVKALFDARPKVSAWVADILARPAWSKVQELLKHKMG >itb08g06850.t1 pep chromosome:ASM357664v1:8:5863512:5870806:-1 gene:itb08g06850 transcript:itb08g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSSVIVSKKPTPTPKSIIHQKFGSTAVYRVEEIQDYTQNGCPGLCISQKGPCLYRCTLTLPECSIVSDICKRKKDAEQSAAEKAIEKLGINPNKDNPTPEEAWGQLVNRLSYLFSDEFLSSVHPVSGHLRAALQREGHLNGFVPVSAIAVYDAKICSLCKYIKPEVESNILLVMELIHRAAEKLGGSMLFSEDHLSLKKLNAYPPETMQSLLKSESGMGESIPIEVIRIPSSLKKTVEPMILNASVNGYYLDVIACELGLRDASKVLISRTLGRASSEMRLYYCIPNAKTLSQLSQCHTNQTGQLEGAFNVRASYLCGQDICGDAILASLGYTWRSIDLFHEAVSLRTYYRLLASKIPRGIYKLSREAIIAAELPAVFTIRSNWKGSFPKEILTVFCRQHRLSEPIFLCNSFESQPALQGSPDSGGDKTNGNDQPTVPIVEYKCVVKILSKCQDLIIQCSPKQSYKKEADAIETVALKVLSWLNLFFVILDLSAETVTSASKELDILIYPQHFLKEFATCLSVHYQFQSIGTQSRSFLAYDYMNQQNNKLVDKVSSVKIGGRDSGVTPSTGALVCISYSISLVAKGECTKEYIESSEEFEFEIGCGAVLPLLEAAVTQMSAGQSAWINMELPPDEFILAAAGDSDTIVTLLSTGTCFLECVVTLLRVTVPLEDRMEQALFKPPLSKQRVEYALQHIRQSCAASLVDLGCGSGSLLDSLLDYPSSLERIAGVDLSHKGLARAAKILHTKLNASMDTEPSINKVESAVLFYGSITNFDSRLRGFDIATCLEVIEHMEEDEACIFGNVVLSTFCPRILIVSTPNYEYNPILQRSTLQSPEEERDENQACKFRNHDHKFEWTREQFGHWATDLALKYNYSVEFSGVGGDGDKEPGFASQIGVFRKKDEEFLITDAAESYEVVWEWNRSSNS >itb08g06850.t2 pep chromosome:ASM357664v1:8:5863512:5870724:-1 gene:itb08g06850 transcript:itb08g06850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSSVIVSKKPTPTPKSIIHQKFGSTAVYRVEEIQDYTQNGCPGLCISQKGPCLYRCTLTLPECSIVSDICKRKKDAEQSAAEKAIEKLGINPNKDNPTPEEAWGQLVNRLSYLFSDEFLSSVHPVSGHLRAALQREGHLNGFVPVSAIAVYDAKICSLCKYIKPEVESNILLVMELIHRAAEKLGGSMLFSEDHLSLKKLNAYPPETMQSLLKSESGMGESIPIEVIRIPSSLKKTVEPMILNASVNGYYLDVIACELGLRDASKVLISRTLGRASSEMRLYYCIPNAKTLSQLSQCHTNQTGQLEGAFNVRASYLCGQDICGDAILASLGYTWRSIDLFHEAVSLRTYYRLLASKIPRGIYKLSREAIIAAELPAVFTIRSNWKGSFPKEILTVFCRQHRLSEPIFLCNSFESQPALQGSPDSGGDKTNGNDQPTVPIVEYKCVVKILSKCQDLIIQCSPKQSYKKEADAIETVALKVLSWLNLFFVILDLSAETVTSASKELDILIYPQHFLKEFATCLSVHYQFQSIGTQSRSFLAYDYMNQQNNKLVDKVSSVKIGGRDSGVTPSTGALVCISYSISLVAKGECTKEYIESSEEFEFEIGCGAVLPLLEAAVTQMSAGQSAWINMELPPDEFILAAAGDSDTIVTLLSTGTCFLECVVTLLRVTVPLEDRMEQALFKPPLSKQRVEYALQHIRQSCAASLVDLGCGSGSLLDSLLDYPSSLERIAGVDLSHKGLARAAKILHTKLNASMDTEPSINKVESAVLFYGSITNFDSRLRGFDIATCLEVIEHMEEDEACIFGNVVLSTFCPRILIVSTPNYEYNPILQRSTLQSPEEERDENQACKFRNHDHKFEWTREQFGHWATDLALKYNYSVEFSGVGGDGDKEPGFASQIGVFRKKDEEFLITDAAESYEVVWEWNRSSNS >itb09g19570.t1 pep chromosome:ASM357664v1:9:15758921:15759978:1 gene:itb09g19570 transcript:itb09g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLALDYIGCEEQHYQPHFLDACSLCQRTLGHNSDIFMYRGNTPFCSEECRQEQIDMDEAEEKRWRVSASCSKRSSTKTQTTKTQTTKGSASKEAETNKAVRTGSVAVA >itb10g17840.t2 pep chromosome:ASM357664v1:10:23942370:23949989:1 gene:itb10g17840 transcript:itb10g17840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLEVYDYDTFSADDIMGEAEIDIQSLITSAMAYGDPGMFSDMQIGKWLKSDDNALIEDSPVNIIDGRVKQEIRLKLQNVESGELDLELEWMPLDH >itb10g17840.t3 pep chromosome:ASM357664v1:10:23942370:23949989:1 gene:itb10g17840 transcript:itb10g17840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLEVYDYDTFSADDIMGEAEIDIQSLITSAMAYGDPGMFSDMQIGKWLKSDDNALIEDSPVNIIDGRVKQEIRLKLQNVESGELDLELEWMPLDH >itb10g17840.t7 pep chromosome:ASM357664v1:10:23942406:23949989:1 gene:itb10g17840 transcript:itb10g17840.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLEVYDYDTFSADDIMGEAEIDIQSLITSAMAYGDPGMFSDMQIGKWLKSDDNALIEDSPVNIIDGRVKQEIRLKLQNVESGELDLELEWMPLDH >itb10g17840.t5 pep chromosome:ASM357664v1:10:23942406:23949989:1 gene:itb10g17840 transcript:itb10g17840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLVEVSHVAAFHKL >itb10g17840.t1 pep chromosome:ASM357664v1:10:23942370:23949989:1 gene:itb10g17840 transcript:itb10g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLEVYDYDTFSADDIMGEAEIDIQSLITSAMAYGDPGMFSDMQIGKWLKSDDNALIEDSPVNIIDGRVKQEIRLKLQNVESGELDLELEWMPLDH >itb10g17840.t6 pep chromosome:ASM357664v1:10:23942406:23949989:1 gene:itb10g17840 transcript:itb10g17840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLVEVSHVAAFHKL >itb10g17840.t8 pep chromosome:ASM357664v1:10:23942370:23949989:1 gene:itb10g17840 transcript:itb10g17840.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLEVYDYDTFSADDIMGEAEIDIQSLITSAMAYGDPGMFSDMQIGKWLKSDDNALIEDSPVNIIDGRVKQEIRLKLQNVESGELDLELEWMPLDH >itb10g17840.t4 pep chromosome:ASM357664v1:10:23942370:23949989:1 gene:itb10g17840 transcript:itb10g17840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLNDLLRQSDNRVCADCGTPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWNDDDIDSMVEVGGNASANSIYEAYIPDGISKPRPNASHEQRSSFIRSKYELQEFLKPSLRISSNQKKQSLSASDGFGSSSQKSEGMVEFIGILKITVLKGTNLAVRDMLSSDPYVILTIGQQKAQTTVVRSNLNPVWNEDLMLSIPQDYGPLKLEVYDYDTFSADDIMGEAEIDIQSLITSAMAYGDPGMFSDMQIGKWLKSDDNALIEDSPVNIIDGRVKQEIRLKLQNVESGELDLELEWMPLDH >itb10g04110.t1 pep chromosome:ASM357664v1:10:3860896:3862696:1 gene:itb10g04110 transcript:itb10g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIYCAFTFNFTFVLFLLAHLGESADIKVAAKAGVDISPELLKAWTEACAATTPSTIVIPKGTFEMKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb09g27070.t1 pep chromosome:ASM357664v1:9:27547960:27548652:-1 gene:itb09g27070 transcript:itb09g27070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGRQNHVIMLSASWRLATLPSKYLLATQSASQWIYINTFEKKHPRMAISMTRILRAKQGLRRSSSRTNREDEVPKGHFAVYVGESKKKRFVIPISYLKDPSFQDLLSQAEEEFGFDYLMGGITIPCPEDTFLNIISCSSRY >itb05g18780.t1 pep chromosome:ASM357664v1:5:25461236:25463019:-1 gene:itb05g18780 transcript:itb05g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILNQYEKEYMKMAMLKHEETFREQVYELHRLYRIQKILMKNIAVQKRQETQERELKNATTSNHDSNHKRTRQILDLERPAEECHNVADEGGIEDESELELTLGPSCYNRRRKAALEPSDSSVPSFSSSTDSHGKESSREDLKWGIELKQSFLEGQNKSSNVEELQFRQDRLNNPPWLFQALSLNMT >itb04g14460.t2 pep chromosome:ASM357664v1:4:15063211:15069115:-1 gene:itb04g14460 transcript:itb04g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLIHTLFFNLLFFSVFEYLSCKSFADSPTPFPQEALPTKSGYLTVNSSTGSAIFYTYYEAQKPIFPLSKTPILIWLQGGPGCSSMLGNFYELGPWRVSSSSSKGKNSEQISLDPNPGSWNRIFGLLFLDNPIGAGFSIASTPEEIPRNELDVAKHLFIAIKKFIASDASFKARPIYITGESYAGKYVPAIGYYTLKKNAYLAPSSRVNLAGVAIGNGLTHPVAQVATHAINAYNLGLINDNQKTQLEKLQEVAVGLTERGNWSAAVSARTAILRALQNMTGLATLYDFRRQTPYEDELVAKLLSSVEIKRLLKAKENISFETCSDLVDQALHSDVMKSGQCDLRDGVVSTEAWIKTMEWEGLREFLVAERKIWRVNEELAGYVQKWGNLSHVVVLGAGHLVPTDQSLNSQAMIENWVLENGSFGNESIHAKPSELIVFEPTTTLSFLDAIVVAGGGEREQKVPQVVLFFVDKGTGRIHQTDVPDTVLTPDERERFPGLRVRVPPSLLVKALEDLTAQQKKDITDLGFGAILELKIKD >itb04g14460.t1 pep chromosome:ASM357664v1:4:15066683:15069291:-1 gene:itb04g14460 transcript:itb04g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLIHTLFFNLLFFSVFEYLSCKSFADSPTPFPQEALPTKSGYLTVNSSTGSAIFYTYYEAQKPIFPLSKTPILIWLQGGPGCSSMLGNFYELGPWRVSSSSSKGKNSEQISLDPNPGSWNRIFGLLFLDNPIGAGFSIASTPEEIPRNELDVAKHLFIAIKKFIASDASFKARPIYITGESYAGKYVPAIGYYTLKKNAYLAPSSRVNLAGVAIGNGLTHPVAQVATHAINAYNLGLINDNQKTQLEKLQEVAVGLTERGNWSAAVSARTAILRALQNMTGLATLYDFRRQTPYEDELVAKLLSSVEIKRLLKAKENISFETCSDLVDQALHSDVMKSVRYMVEFLVKNTKVLLYQGQCDLRDGVVSTEAWIKTMEWEGLREFLVAERKIWRVNEELAGYVQKWGNLSHVVVLGAGHLVPTDQSLNSQAMIENWVLENGSFGNESIHAKPSELIGAL >itb05g23590.t1 pep chromosome:ASM357664v1:5:28662951:28665113:1 gene:itb05g23590 transcript:itb05g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNRKSTQKLARSGPTSPVSSTPRSVRLNSPQQSFKNQSGMRDEAKERQVDKNKKSMVKESTKPQDIKLHTQQRAIKRALFNYSVATKLYIMEQQKKHLEKIQKMIEEEEIRTLRKEMIPRAQLMPYFDRPFYPQRSTRPLTVPREPNLMSGKCSSCISCRSSMNFSILNTMKPAIK >itb07g10080.t1 pep chromosome:ASM357664v1:7:10317509:10318877:1 gene:itb07g10080 transcript:itb07g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTGQTQQSDDPGADSPLAGGQEAAISRHITTYRQHRRDLVFYVVKELNNENRWRVPNRIDQVMCAFIFLSFSVKIDCCLLLCVSPRLPYELEMNQSLSNSNGKFIRSADGAKRGVLVRANRPILLLDRIGRSSSETRARNALLGFVFLIHFLLLKYKGGFSYLESFCGVLRLLFFSTLFFFFLPRSAKRLPRDRSAKRERARRRKGQTLRPNWKECFSLVIRHSPLVGLVIGCVEAAHCEGLNAFSPPQSPAQLPEEPLTPPEAAPVPQPVPQPVPQPVPQPVVIPQLAHPLIPDDVRGALLFQRYSLLNLGGGWDPQRLVSIIDTQVVVERHIEAALVADGFHPNSIMARFREIRGYIHCPHGELLSPRTYASYVTQIMERGTRQSVPYRRVLQAIQNYDILLERR >itb01g21180.t1 pep chromosome:ASM357664v1:1:27369425:27373595:1 gene:itb01g21180 transcript:itb01g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTTVTPWQNCCYCPFPSRFTSSPHSLPFPKKTIRLSRLTLSAATTHSCSTEHEKQNLPRNPEDPDRENPVFPQDYYEDGDREPERRFENPLVFLTNMWWTDMKAALGQRINVEGLTSSLGIFAKNKHLVIPHIAVPDIRYIDWAELKRRGFEGVVFDKDNTLTFPYSLRLWSPLRSSIEQCKALFGNNIAVFSNSSGLFEYDPDGRNAKILERAIGIKVIRHRLKKPAGTAEEIERQFGCEASKLIMVGDRPFTDIVYGNRNGFLTILTEPLSLDEEPFIVHQVRVIERALVYQWSVRGVQPISHKLLPDCEQCVKDKPN >itb06g10420.t1 pep chromosome:ASM357664v1:6:14866591:14867338:-1 gene:itb06g10420 transcript:itb06g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKSWINDSLPHHVLQVVDPNLLKAEDEDFNAKLQCISSILELALHCAVESPQERLNAEDVGEIAEAYHGKRIKDAVVTVIFQHTSMMLREPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAKLES >itb05g18560.t1 pep chromosome:ASM357664v1:5:25317022:25319137:1 gene:itb05g18560 transcript:itb05g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFRSNSPSDHHLQPSPKPKSSYHFFRNSYNSFQSQIAAHPRFWLLSGFLFIQLLVVFFTRNSPLSFSSHAQVQLHVPPQTITLPAAVAQPPKDGGGGCEYGRVYVYDLPPMFNEDLALKNCTDLHPWLWQCGLNTNEGYGMRATEMAEILPRKLAKAWYRTNQFSSEVIFHHRLLNYRCRTMEPESATVFYIPFYAGQAVGKYLWIDDIEKRDLRCNMMLKWVQNQTYWRKSNGSDHFLTIGRITWDFRRLMDAEQKWGSSLLNLPAMAKVTRFIIERAPWDAYDVGVPYPTGFHPSSESQLRQWQRFVLTRNRTSLFTFIGATRADIAGDFRTLLIQYCRNESDACRVIDCAVTQCSNGSSAIQSSFLSSDFCLQPKGDSMTRRSVFDCMIAGSVPVFFWKKTAYDQYQWFLPEDPESYSVFIDHDAVRNGTSIRGILEQYSKEDIRKMREKVVEIIPKLVYARPKEGLPKVKDAFDIAVETFMKRIKEEKDWNEFVNDIEERR >itb14g18650.t1 pep chromosome:ASM357664v1:14:21604974:21608310:1 gene:itb14g18650 transcript:itb14g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTRCTLRVDDFPRACHLSGRRVRHLNMDRYRPSYNVSPGFNVPVVRSEDDPNDDGAVIHCMKWGLIPSFTKKNEKPDHYKMFNARSESIKEKASFRRLLPKNRCLVAVEGFYEWKKAGSKKQPYYIHFKDGRPLVFAALFDSWKNAEGEILYTFTIVTTSSSSALGWLHDRMPVIFGNKESSDLWLNGSPSFNFDTILKPYEELDLVWYPVTPEMGKSSFDGLECVKEIKLKPDDTKSISQFFSKKGDKGRQEATHGQVKHEEEPANTDQQQSMKEEPETQNQTCHHHTNPDDYIKTGEDYQESSASKTADPIGVIGDQKKLKEEADESSLMNKNDDVSTLQRQEAIIKPKRGHGELLDDNNPSTGRSYRHITPPKKKGTNASDKQPTIFSYFGRS >itb12g25510.t1 pep chromosome:ASM357664v1:12:26757967:26766297:1 gene:itb12g25510 transcript:itb12g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSRVTGGIAQSPSTSGIFFQGDGQSHIVGNAHLSSSFANSSNLIPGNPRSSLGPVSGDTVLNSVASSGPSVGASSLVTDANSGLSAGPNLQRSASINTESYMRLPASPMSFTSNNISISGSSVIDGSSVAQQSSNQDPSSQQLQQSQQHRGASSVTSLPSSRIGQVQLPNGQGLRGTGSFIQDPSISQLQKRPRMEIKQEDILQQQVLQQLLQRQDPLHLQNSNPQFQALIQQQRLRQQQQQLLQSMPAVQRNQLLQQQHQMQLRQQLQQGMQPATAVKRPYDGGVCSRRLMKYLYHQRQRPPENSIAYWRKFVAEYYSPRAKKRWCLSMYDNVGHHSLGVFPQASMDAWQCDICTSKSGRGFEATFEVLPRLNEIKFSSGVIDELLFLDMPRECRFPSGVMMLEYGKAVQESVYDQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRSVAPQVNQLVQVAQKCQSTISESGPDGVSQQDLQANSNLVVTAGRQLAKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLMDFCRDQKTGPIEGLKNYPRHASAAKLQMQKVQEAEKLGGIQGPTDRSTLNKLMELQPGLNNQMNNNKQMVGCGALSGSAQAALAPSNYQNHLLMRQNSMNSNATVVKQEASSSLSNSNQNQPSPFQGPAGVLQGNSMQNLPVSGYMTANVPQPQQRLLNSNVSLPQNHQQPTNQVVQQQILQQLLQDMNTGNSGGSVVQQQSLSNHAAGGGVSREGVAFGNNAPSAAPNGPGNAVGPTPTRNNSFKAASNSESSIGGASNGFPQKAQDMQHNLHISEEMLPDISQEFTDSGFFNSDLDNNMNYGWKA >itb05g08600.t1 pep chromosome:ASM357664v1:5:12139844:12142782:-1 gene:itb05g08600 transcript:itb05g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKNRKLLAPLVCFSLCILLVLIHNSNTANAQDQGQQIGSGYKVISSAVDASGKSLTAQLQVIQNSSVFGPDIVNLSLVASIETAGRLRVKITDADNERWEIPEDIIPRTSTIANNNSSSPSPSPSPSPSPSPSPSPSPPQCPPCPCACGQLAQAQVSANNSSNSSSSLSISDPNSDLVFTLYNTAPFGFSVQRKSSNDTLFDSSPVVGSNDTYLIFKDQYLQLSSSLPANSSSLYGLGEHTKTTFKLLSPQTLTLWAADIPSSNRDVNLYGSHPFYMDIRSLPQPGVTHGVLLLNSNGMDVVYNGDRITYKVIGGILDLYFFAGPTPELVMEQYTELIGRPAPMPYWSFGFHQSRYGYANIDDVEGVVAGYKNASIPLEVMWTDIDYMDAYRAFTFHPVNFPPEKMKAFVDNLHQNAQKYVLILDPGIAINDSYPSFTRGMEADIYIKRDGVPYQGQVWPGNVYFPDFINPAATAYWSNEIKIFWDVAQFDGLWVDMNEIANFITSPSNESSKLDNPPYKIGNKAINERTVPASALHFGNIPEYNVHNLYGFLNERATNLGLANVTVKRPFVLGRSTFVGAGKYTAHWSGDNAASWDDLGFSIPAILNFGLFGIPMGGVDICGFFRSTTEELCQRWIQGHSILFQETMVTSPATAMNYLWESVAASARQVLGLRYRLLPYFYTLMYQAHTRGVPIARPLFFSFPQDINTYEVYTQFLLGQGVLISPALTEGAVSVEAYFPSGTWYDLFNYSTSVVAESGKNVTLDAPRDKINVHLREGHILALQGEAMTTQAARNTSFELLVALGTTGNSSGQVFLDDGEEIEMGGAGGRWSLVHFQTSGVGTNVTLTSEVTNPDFAANSTWIIEKVTVLGMNSNNNSSSNSSGVLEITGLNLPIGKTFTLPLTTQ >itb12g10050.t1 pep chromosome:ASM357664v1:12:8023850:8025432:-1 gene:itb12g10050 transcript:itb12g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT5G43290) UniProtKB/Swiss-Prot;Acc:Q9FHR7] MAAHTEFMYPESMMDAEELIQELLDDESPLFLAPQETIMESSGFAGVSNYSLLNSLIYGHANQPLHDARSCMLERGLMVSRDHHESKYTLRIKTNCGNAMADDGYKWRKYGQKSIKNSPNPRSYYKCTNPKCGAKKQVERCSDDPDTLIITYEGLHLHFAYPFFTLDNEPNKTIDLVPTKKQKKTIAEELVESQEQEQTNHVVYENPGREDTNNPTPIDEWDSQGLLEDVVPLVIRRPSTICNATTSYSSSSSFLSPPTSPSSLSCSTNNCFLSDFDA >itb01g00160.t1 pep chromosome:ASM357664v1:1:102508:102831:1 gene:itb01g00160 transcript:itb01g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVFAVKEAIVEHMGSDHRARCFLLSPFSKNKIHIALVYDLLRHKANVTVKWKFTWRPFIPRKFSFILWLALKNRLLTKDRLLMMDVESDCSMCMGQKESANHLFF >itb05g12750.t1 pep chromosome:ASM357664v1:5:19415141:19415935:1 gene:itb05g12750 transcript:itb05g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEWMIDVPHNLSTDWYVFARPAGKRCFVMSSNGTTISRLRNGSLLHRFPSALPSGAILDCVFHDLDQTYYVTDMICWAGFSLYHCTAEFRFYWLNTKLAETGACDAPSTYHRYRFSSIPVYDCVQEGLHSAYTGPVPYVKDGLLFYNKQADYQTGNTPLSLVWKDTNCSQYVIDTDNKGEIPSQQQVVLELLDDGRLATSDDPPVIFGCLNGEFIQKTGLRSGDLLRFFVRLVLVDGKLDLQYLGKPNRSRAFADTYSKVLF >itb07g00570.t1 pep chromosome:ASM357664v1:7:383164:383952:-1 gene:itb07g00570 transcript:itb07g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMLLFLILLHTVTPSQVPCDAQNEGYTEKRSDDKVKNEKLVAINRRGAGGGGGGHGGGGGHGGGHISGSHGRGFGGYGRGDDKTPETHGGSASMIPFYAAAGAHHHNRNSNKGSPKKAGFIVNCCCLYMVLAYCNFFSLIHHML >itb15g13470.t1 pep chromosome:ASM357664v1:15:11463989:11466143:-1 gene:itb15g13470 transcript:itb15g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQSAFPPSMTMASNEASLDLLPEDCVVQILSHVPPRLACRSSLLSATIRAAAESELLWETFLPSDYRDIICRLVSPIMFESKKELFVKLLSPLLIDGGHKTFCIDRESSKKCLTLSARELSIAFSRNALYWCWKPLLGSRFPEIVELIMVSWLEIKGNMNTRMLSPYTTYGAYFIFKLAGRAFGLDALPSEISIKVGNHQSDRIIYLRQNSNNKQAMERLFMLNRVETLRSRLHEQQECTIHERDDGWLEIELGEFYNDGSEKEVQMSFREVKGEHLKGGLIVEGIELRPK >itb04g11130.t1 pep chromosome:ASM357664v1:4:10675447:10677414:-1 gene:itb04g11130 transcript:itb04g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTQATTTSYKENDEDYVKKILDGVDKYGVRSQSETCMCRVHEQLRKVNKKAYVPEVVAIGPYHRGKDSLKWMEKQKFRYLYLLLKCSPLSLNQYVEAISDLIPTLFESYDDQPAMDKGRLVEMVVVDGCFIVQLVRKFSMPELREENDPIFKMYWVLSSLQRDLMLFENQIPFQILCRLFDLIEIPNRYDRLIFLVFKFFSNLFPGQVSGQWINQISSAPRIKHLLELVHQMWLPPVEMLPSPPPPPPQPPPPSRPVPRRRFHSATDLLDNGVRFKRLEKANQLSIKFKHGVLWIPPLIMEERTESFLRNLMAFEQYSTNHNYLVVTAYVKFLDFLIDSPGDVEVLTKYGVIDNWLGDSREVHRMFKKINSFITIHSAEYPFAHLYDQLNDYCSQSVGGKIARMRRSLFRGSLALLWLAAVFTLLLSVLVVTTTQTVYTARTAN >itb04g11130.t2 pep chromosome:ASM357664v1:4:10675447:10677414:-1 gene:itb04g11130 transcript:itb04g11130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTQATTTSYKENDEDYVKKILDGVDKYGVRSQSETCMCRVHEQLRKVNKKAYVPEVVAIGPYHRGKDSLKWMEKQKFRYLYLLLKCSPLSLNQYVEAISDLIPTLFESYDDQPAMDKGRLVEMVVVDGCFIVQLVRKFSMPELREENDPIFKMYWVLSSLQRDLMLFENQIPFQILCRLFDLIEIPNRYDRLIFLVFKFFSNLFPGQVSGQWINQISSAPRIKHLLELVHQMWLPPVEMLPSPPPPPPQPPPPSRPVPRRRFHSATDLLDNGVRFKRLEKANQLSIKFKHGVLWIPPLIMEERTESFLRNLMAFEQYSTNHNYLVVTAYVKFLDFLIDSPGDVEVLTKYGVIDNWLGDSREVHRMFKKINSFITIHSAEYPFAHLYDQLNDYCSQSVGGKIARMRRSLFRGSLALLWLAAVFTLLLSVLVVTTTQTVYTARTAN >itb10g11690.t1 pep chromosome:ASM357664v1:10:17347189:17352487:-1 gene:itb10g11690 transcript:itb10g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSTSKEIVVVVMVMLMMSFTMMLTLTAEYEIPWKSDRYDRYEEHKEIYMVPMGCRNRCGNVSIFYPFGIGPKRNCYLNKWFLINCTNTSDGVEKPFLSSFSDDKDGVREILRISYELQSITMKEWFSPLCQTTTGSANLSVMRNTKLSGAPFFYSSSGNRFMFYGCGSAVLTTPGQEFIQSSCKLTCRNNTTPAPKFVDGCNGINCCRLSFEYDVNTYQINITHSSLNACNYAFFLATSPSRFSLSNLVPEEKVVVPVVWRWTIKRYDFNYLPPHYSLHCYSYENIFPPQPRGTNWNCHCNFPENGNVYLPNGCQAWDDAWALWAKARQNIVPKGCQDKCGTVNIYYPFGIRNGGSKSCYLNKWFLINCTQSSDGSKKPYLNSISGGVEILGMSYESQTITVKESISPSCQTTRSVKGSNFSLIPNTKLSGSPFFYSIGNNFMFFGCGNAFITMAGEELEQHGYKLNCSKNTAPKTAYDCHGINCHYLTFDYDVNTYQVNFTHSSISAACNYAFFLSASSSLPTTLQSLPSRQQEVVVVPVELRWTITEDDVPPSYSKYCSPSTYINPQLQRHNYLQCDCGIKGRNAYFSDGCEQRDIDDEISSNKMRPAIIGVSASFGFVILIWACVILYKAIKKMKMKKLREKFFKRNGGLLLQQQLLAKEGTVEKTKIFTASELDKATDHFNADRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENQLEPFINEVVILSQINHRNVVKLMGCCLETEVPILVYEFIPNGTLFGLIQNNFDDELIPLSWDIRLRIASDVACALAYLHSATSVPIYHRDIKSGNILLDEKFRAKVSDFGISRSISIDKTHLTTIVKGTFGYLDPEYFQTSQFTEKSDVYSFGVVLAELLTGQKPISFEVEDDEDRSLVSRFLLCMEENRLMEILDVEVIEQGKKEDVVAMAWLAQRCLNMNGKKRPTMKEVASELDSIKASHSHLPSAMEAFEIESDFIA >itb01g07030.t1 pep chromosome:ASM357664v1:1:5235526:5237879:1 gene:itb01g07030 transcript:itb01g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEGKKDVYSVWGVPPEDVTARVKKIMEGLRSEFGAPQFEPHVTVVGAISLTESEARDKFRKACEGLKTYDATVLKVDTGTHFYQCVFLLLDPTTQVVETSDHCCKHFGYERPSAAYMPHLSLLYADITDEEKKRAQEKAYALDESIGSLRFPITRLLLYKTDTEDKSLKSWEKVDEYNLNSC >itb12g02050.t1 pep chromosome:ASM357664v1:12:1381604:1389530:1 gene:itb12g02050 transcript:itb12g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMARSVRSSRSSFDSSNGHETPLHSGSFVTSNGDGYDSDSSNLATAASSTLSSVLSTELPGAIQCIDKFQVEVFLKAMQKQIHSAGKRSLFSKKSVGPQVREKFTFEDMLCFQREPIPTSILKINGDLVSKAVKLFQIILKYMGVDSSDRGTTIGLDEQIELVCKLLKRALKRTELHDELFVQISKQTRNNPDRQCLIKAWELMYLCASCMPPGKEIGEYLSEYIRSVAHGTTTDTDIQAFALDTLTALKRSLKAGPRQTIPGREEIEALLTRKKLTTVVFFLDETFEEITFDMATTVADAVEELAGIIKLSTYSSFTLFECRKVANGSKSPEPGNEEYLGLDDNAYIADLLANFKTSKYIGKGDVMHFKLVFKKKLFRESDEAVTDPMFIQLSYVQLQHDYILGNYPVGRDDAAQLCALQILVEIGYVNSLESCTDWTSLLQQFLPRQIAITRVKREWELDIISRYQLMENLTKDDARKQFLLIIRTLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNSAVFFKMRVAGVLHIYQFETKQGEEICVALQTHINDVMLRRYSKARSSSNGSVNGDLSNNGKSPKMDVYEKCIQDLSRALEESEKKISELVETSDERQKRELEMQEELENLKDNLRSEKNYLAAVTSDRDKLRSQCEEKNSELQAVLMEKQSMEVRLAKLSPEGLENNIKKELAEANNKVLHTIQDELKVRLAELHAAEETNRKLLSEKESLEEKITRLEKKKNSERTSEHEFKALRLQVSELQKKLEEVKRDLAATQSILATKDNELEALQKNLKELEELRELKEEIDKKNEQTAMLLKMQAAQLAETEALYREEQVLRKRYFNVIEDMKGKIRVYCRVRPLTERERGAMEKNALTCVDEFTVEHLWKDDRKQHMYDRVFDGCSTQEHVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGCDNNPGLTPRAMSELFTIMKRDNKKLSFCLKAYMVELYQDTLIDLLLPKSGKRAKLDIKKDSMGMVVVENATIVSISTYEELRTIIEKGSEQRHTTETLMNEQSSRSHLILSVIIESTNLQTQAVSRGKLSFVDLAGSERVKKSGVSGNQLKEAQGINKSLSALGDVISALSTGNQHVPYRNHKLTMLMSDSLGGNAKTLMFVNVSPVESNLDETYNSLTYASRVRSIVNDPSKNVSSKEVARLKKLVAYWKEQAGRRGEDEEFVDIQEDCTKDRSNGRFSV >itb12g02050.t2 pep chromosome:ASM357664v1:12:1381798:1389530:1 gene:itb12g02050 transcript:itb12g02050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMARSVRSSRSSFDSSNGHETPLHSGSFVTSNGDGYDSDSSNLATAASSTLSSVLSTELPGAIQCIDKFQVEVFLKAMQKQIHSAGKRSLFSKKSVGPQVREKFTFEDMLCFQREPIPTSILKINGDLVSKAVKLFQIILKYMGVDSSDRGTTIGLDEQIELVCKLLKRALKRTELHDELFVQISKQTRNNPDRQCLIKAWELMYLCASCMPPGKEIGEYLSEYIRSVAHGTTTDTDIQAFALDTLTALKRSLKAGPRQTIPGREEIEALLTRKKLTTVVFFLDETFEEITFDMATTVADAVEELAGIIKLSTYSSFTLFECRKVANGSKSPEPGNEEYLGLDDNAYIADLLANFKTSKYIGKGDVMHFKLVFKKKLFRESDEAVTDPMFIQLSYVQLQHDYILGNYPVGRDDAAQLCALQILVEIGYVNSLESCTDWTSLLQQFLPRQIAITRVKREWELDIISRYQLMENLTKDDARKQFLLIIRTLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNSAVFFKMRVAGVLHIYQFETKQGEEICVALQTHINDVMLRRYSKARSSSNGSVNGDLSNNGKSPKMDVYEKCIQDLSRALEESEKKISELVETSDERQKRELEMQEELENLKDNLRSEKNYLAAVTSDRDKLRSQCEEKNSELQAVLMEKQSMEVRLAKLSPEGLENNIKKELAEANNKVLHTIQDELKVRLAELHAAEETNRKLLSEKESLEEKITRLEKKKNSERTSEHEFKALRLQVSELQKKLEEVKRDLAATQSILATKDNELEALQKNLKELEELRELKEEIDKKNEQTAMLLKMQAAQLAETEALYREEQVLRKRYFNVIEDMKGKIRVYCRVRPLTERERGAMEKNALTCVDEFTVEHLWKDDRKQHMYDRVFDGCSTQEHVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGCDNNPGLTPRAMSELFTIMKRDNKKLSFCLKAYMVELYQDTLIDLLLPKSGKRAKLDIKKDSMGMVVVENATIVSISTYEELRTIIEKGSEQRHTTETLMNEQSSRSHLILSVIIESTNLQTQAVSRGKLSFVDLAGSERVKKSGVSGNQLKEAQGINKSLSALGDVISALSTGNQHVPYRNHKLTMLMSDSLGGNAKTLMFVNVSPVESNLDETYNSLTYASRVRSIVNDPSKNVSSKEVARLKKLVAYWKEQAGRRGEDEEFVDIQEDCTKDRSNGRFSV >itb15g00490.t1 pep chromosome:ASM357664v1:15:291874:292140:-1 gene:itb15g00490 transcript:itb15g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKILFFTLLLLWVTVDLGGATSRGMAAAERRLGIAEGGGTLGHSGYGEQEPEINNHHNIPRDSWPENGQTPTSPAAFKTTTEENNG >itb06g01870.t1 pep chromosome:ASM357664v1:6:3250291:3253275:-1 gene:itb06g01870 transcript:itb06g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAAIVGSALTEPIRFLFRSICAKMKNVLKNHSNYKDLERNMESLLKLKQLLEDDELQHHLPRVQVEEWLRRVESLSNEFSPLQSAIMANGDTTSPSGCICSSVDCKLSKNIAKLRVEAKHLMEAGREFENRTMGVNNPAPKPVEQIPVPSIEGQPTCMRNLSDMMNLLRNGEAKRIGVWGMGGIGKTTLIKNLNNELSKTANHQLFSIVIWVVVSQQKSLKAIQSQIAERLNMKITTEESEHGVATRLHNRLEKEKSFLLILDDVWDEVNLDLVGIPRLENHSGGKLILTSRFLDVCRQMLTDTDFELSRLSQEEAWSLFSRHVGEEVMSDDQIKPWAEAVIRECDGLPLAIIVVGASMRRKRNVALWKDALDALRRSVPRRIQGVEEKVYNPLKWSYDSLEGEHLKPCFLFFCLFPEDYEVDTHTLVQYWLAEGGLLDELHSYEQLYDRCVTIVESLKDSCLLERGFINPNTVKMHDVVRDVGIWIASSLEEEDRCKSFIRAGVGETRISQQHLLNFRSGKVKRVSFMNNNIASLPNCEVQCVVEASTLFLQGNKNLLEVPNSFLQGFQVLRILDLSGSKIKCLPASLLQLGELRALVLEGCKELSELPPLATLGKLQVLDCSDTAITELPEDFERLTRLRQLSLSHTHKLQKICVEKISNLCNLEFLNMISSAARWGTRKTNDESIPFEELLCLDQLNAFYIVLEDIPDFTTGHVSWLGRINNFTIDVCPNRELKRQKSYPVVNSKEVCLYDIHFSGDESIGWLLVNAILCKIDECKEVDLMLGNLVRNSVNLGPFADLKDLSMSNCCISCVQPAGQCVAQFDLLPNLEKLSLFDLGGLESLSDLSNLLGLHFTKLKYIRVCYCDELENLVRTDDGKLEKVEQIDIESCMKLRQVLKNNATSDNFVPNLQSIHLILLPALEAICEADVSSWESLKVLEVKGCNMLRKLPLGIQNADSIQVIEGEQNWWDGLEWDDENSKMRLQSLFIDM >itb03g21890.t1 pep chromosome:ASM357664v1:3:19834466:19839522:-1 gene:itb03g21890 transcript:itb03g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSGNHSYQIPRSILDRTQSGNPDPDAHRFGNQTGIKSLAVCSPSNANCPHLPMLRSKFVPNPFQNLRACLRVRPLGRASIRREPTNEVVRCGACGLAGPRLYACVTCAAVFCHLHAPPHAAESSHHVSVDVDRAELFCCSCLDQVYDLDFDAAVVLAQTEAAMIGSIHQQPPPPQESLRKRRRVDYKPWSPDLKEQVLIVENSMPLSTLTGNGNESESADRPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDKHNRYFCQQKNRSITTRSKEDSNKNVKLCLACDLDAMFSAVFSGEQAPYSPAKFLYSWWQHANNLASYEQQDAHEFFISMLDALHERLQNDKCKPQNSGSGNCCIAHKVFSGILRSDVMCTACGFTSTTYDPCVDISLDLEPNQGTSGKMTSTKSHHSNYRQADLVNSSNSGISTLVGCLDRFTRPEKLGSDQKFFCQQCQVSQESLKQMSIRKLPLVSCFHIKRFEHSHIRKMSRKIDRYLQFPFSLDMAPYLSSSILRNRFGNRLFSFDGDEEDASSELSSEFELFAVITHNGKLDAGHYVTYLRLSNQWYKCDDAWITQVSESIVRAAQGYMMFYVQKMLYYKASEKQEV >itb02g19160.t1 pep chromosome:ASM357664v1:2:16166869:16175028:1 gene:itb02g19160 transcript:itb02g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRKNFSVSEAMESLNNLLAEKPFLPVLVLLFLMVWGIEKWFFSLSNWVPLAFAVWAAFQYTRYQRHILAEDLNKKWMQTLLQNSQATPLEQCEWLNKLLLEIWPNFIGKRLSLRFAYMVERRLKHRKPRIIEKIELQEFSLGSRPPILGIHGIRWSTSGDQRILHMGFDWDTDDISVLLLAKLGKPLMGTARIVINSLHIKGDLQLIPVLDGKAILYSFVSTPEVRIGIAFGSGGSQSLPATEVPGVSAWLVKVATDLLVKRMVEPRRNCLALPAVNLEKKAVGGVLSVTVISASQISRSISRKQQSPVTDDCTDGLLDYKDLRTFVEVELEELSRKTDVKPGSCPRWDSKFNMTLHDDTGTLRFNLYECTPGSVKCDYLTSCEIKMKYVPDDSTIFWAADGESAITRQAEFCGKEIDMVVPFEGVNSGELSVKLALKEWQFSDGSHTTRLPHIGSQPSLNGSSNFPLNTGRKIYVTVIEGKNLPSKGRHGKSGSGTYVKLQYGKALKRTRTAPHTSDPEWNHKFEFDEIGGGEYLKIKCFIEERFSDENIGSARVNLEGLVEGSPKDVWIPLEKVNSGELRLHIEAVRVDDYEGSKGPRNGWIELTLIEGRDLIAADFRGTSDPYVRVHYGNLKRRTKVMYKTLNPQWHQTLEFPDDGSPMSLHVKDHNALLPESSIGDCVIEYQRFPRNQMFDKWIPLQGVRRGEIHIQITRKVPDLEKKPSVDAVSSVAKARHDISQQMKQMMIKLQSLVDDNDHEGLSTSLHELESLHETQEDFMLQLENEQALLLNKINELGQEIFNSSSSTNLDRKSTFP >itb02g19160.t2 pep chromosome:ASM357664v1:2:16169778:16175014:1 gene:itb02g19160 transcript:itb02g19160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSLTIYTIQLQLIPVLDGKAILYSFVSTPEVRIGIAFGSGGSQSLPATEVPGVSAWLVKVATDLLVKRMVEPRRNCLALPAVNLEKKAVGGVLSVTVISASQISRSISRKQQSPVTDDCTDGLLDYKDLRTFVEVELEELSRKTDVKPGSCPRWDSKFNMTLHDDTGTLRFNLYECTPGSVKCDYLTSCEIKMKYVPDDSTIFWAADGESAITRQAEFCGKEIDMVVPFEGVNSGELSVKLALKEWQFSDGSHTTRLPHIGSQPSLNGSSNFPLNTGRKIYVTVIEGKNLPSKGRHGKSGSGTYVKLQYGKALKRTRTAPHTSDPEWNHKFEFDEIGGGEYLKIKCFIEERFSDENIGSARVNLEGLVEGSPKDVWIPLEKVNSGELRLHIEAVRVDDYEGSKGPRNGWIELTLIEGRDLIAADFRGTSDPYVRVHYGNLKRRTKVMYKTLNPQWHQTLEFPDDGSPMSLHVKDHNALLPESSIGDCVIEYQRFPRNQMFDKWIPLQGVRRGEIHIQITRKVPDLEKKPSVDAVSSVAKARHDISQQMKQMMIKLQSLVDDNDHEGLSTSLHELESLHETQEDFMLQLENEQALLLNKINELGQEIFNSSSSTNLDRKSTFP >itb14g16390.t1 pep chromosome:ASM357664v1:14:19733780:19735175:1 gene:itb14g16390 transcript:itb14g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNVFPFQIHDRRSRTCCCFSIPRPKLILIVAMLCLFFLWWLIFNSVRLEIKDGRISGSQRSELEHLEEFFSDFSAMDGSLTPPLETAGEPVIKEDETQASFVSLDSGITEIMKLSPLNETKLGFVSLASSNNNDSPSAASSFPPPPPFSTTAVIPSSPPPVISNIIRALRGAGDFAVWAKLLSSANISSLPLSATLFIPGNDAISHLPTSPTGLIFDPLLIPYHIVPQRLPFAHLQRFKLHDRLPTLLPSNCILITNNSLTNFTIDDARITHPDIFQTAAFSVHGINRILKYSTYGDQIIPPPPLAAPPISSNNTPDNPQEILMAPVLPPAEVIITTSNKTSGSVCLCTEFPPLILSVLLFLISRFMQEWT >itb15g00880.t1 pep chromosome:ASM357664v1:15:506720:510292:1 gene:itb15g00880 transcript:itb15g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRTAGGWLSPPSSKLAWFTVVVIAVFGFVVFRAQNYSALLFTYPYLNTPVASLAGVLRPESQKGAGDSRLAAAEKLNKGLPHESNVTGDSRLTALIGKGLLLHESNVTGVSRLPALVTTEEEIDKGLHENNVNGDDERQNGISFDDSEDSRKPMKRYTYTNMEIIEAGLARARASILNGGGGGTHTKDDDSVPLGPMYRNASFFLRSYEEMEKTLKVYVYEDGQPPVAHYGPCKSTYAIEGNFIHAMDFSPFRTNDPDKAHLYFLPFSITVLSKLVYELNSHDWTPMKTTALDYVNSVARKYPFWNRTLGADHFILSCHDWGPEISFAVPNLHNNSIRALCNANTSERFDPKKDVSIPEIFLPSGTMKGLLGGPSPSKRPVLVFYAGGLHGPVRPILLQHWENKDEDVQVHSYLPKNVSYHGMMRKSRFCICPSGYEVASPRMVEALYMGCVPVLIKSGYVAPFSDVLNWKAFAVIIPVKDIPNLKKILTGISQRQYIRMQRRGVKVRRHFEVNSPPKRFDVFHMILHSIWLRRLNVRLHHVNM >itb04g06940.t1 pep chromosome:ASM357664v1:4:4622808:4625319:1 gene:itb04g06940 transcript:itb04g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSPNPWGKINEEENERLMARRKTRKRIIIIAFSSIILVAVVVVAAVVGASRAAKDGDSSDRRGNDRSIYASIKAICDVTLYPDSCYSSLAPLVTSKSALTPQEIYKLSVQVAISELAGASEKFLRGGAFNKSDPAVEKALESCGELLSLAMDHLNDSLSVEKKSTVAGAFDDLKTWLSAAGTFQQTCIDAFENATDAAAKTAADHALNNATQYTSNSLAIMSSVVESISALGGVGRRRRLMMSSPEVWLSPEGRRLLQANIKADVVVAKDGSGKYKRIKDALKSVPKKSKKRFVIYVKKGVYNENVRVEKNMWNVMMIGDGMDATIVSSNLNFVDGTPTFQSATFAVFGKGFIARDMGFRNTAGAAKHQAVALMSTADQSVFYRCKMDGFQDTLYAHSNRQLYKDCTIIGTVDFIFGNAAVVLQNCRIRPRKPMAGQQDTITAQGKLDPNHNTGISIQNCAVSPLGNLTGVNTFLGRPWKNYSTTVFMQTELGGLIHPSGWMPWVGTTAPNTIFYAEFQNWGPGAVTKNRVKWRGLRLNLSARILSRFTVKPFINGDRWLPAAGVPFKAGL >itb06g16480.t1 pep chromosome:ASM357664v1:6:20653652:20654961:-1 gene:itb06g16480 transcript:itb06g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHNCCNKQKVKRGLWSPEEDEKLINYISNYGHGCWSTLPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHRILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHGGRLSHHLPSSPAPILSNVSSNPNFQTFYSQLPSSNNPNFIQNNNNVVLNVPAQLQAEDQMNNLVFPVMPSVPLPQLETTSIDPTWFLGYPQPQNLIDHHHYQISSYNNNNFDNEMMVPLLHHEDEPIIIPKLLPGNLVLSSSSLSSGIAQQDDFIVPNPIPYSHHDLQIPTYEIMPSMLPPMPALSLSSSSSSSSFSAVPCSQILMNPSTWVP >itb07g22460.t1 pep chromosome:ASM357664v1:7:26910961:26912928:1 gene:itb07g22460 transcript:itb07g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDKQLLAYIEQYGYGSWRDLPAKAGLQRCGKSCRLRWSNYLRPGIKRGNFSLQEEQSIIQLHALLGNRWSAIASHLPKRTDNEIKNYWNTHLKKKLSKMGIDPMTHRPKINSSFGSAANLSHMAQWEIARLEAEARLVRHSKFISSSLISPHHFRLPTNNPPPPPQVPPSLDVLKAWQEMWTKPPRTRVSSLVDDGAFVSNATLHQSPTSLNFSDQNLCFMETPYVRESTSNIGNPNPTGIIPHVALDPLSELPTFIHGFSELSPETLTGYLDDNSRYWNSILNNLVVSPVGSPVF >itb07g16030.t1 pep chromosome:ASM357664v1:7:19193704:19193988:-1 gene:itb07g16030 transcript:itb07g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPDLLLRRQLETSPASFFFAVSWRHRRRRSSSHSVITAAPLLKLTQVEATHSGHQGSRCRSSCSALPARNRCYQSPSPPQISPMVFGCEELS >itb11g08310.t1 pep chromosome:ASM357664v1:11:5439883:5443240:1 gene:itb11g08310 transcript:itb11g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSEGKVTYSSLSDLEMKKGKGPCNEECNDAAWTLTEKAKPEENSYRCSYPSLDPDDLVDGGYDTCDNYYSSMVKSGPPEVNVRNVLSGIFAILMGRNKGAAIANVVSQLPSSNSNVSFLGSGKNGETFVHSSVYIPSAPPLIQPNVFDYNAYKEVMEAEPPEWLPDSSSTICMQCTAPFTALTRGRHHCRFCGGIFCRTCSQGRCLLPVKFRDKNPQRVCDTCYEKLDPLQGVLINTHSNAVQVAKHDVTDWTSARSWLNLPLGFSMEDEIFKSSNSLRSYAQVARLNPERAIPTVILKGAKGLAILTVAKAGALLTYKLGTGLVVARRPDGSWSAPSAIVSLGLGWGAQVGGELMDFIIVLHDSKAVKTFCSRMHFSLGAGCSAAAGPIGRVAEADLRTGDKGSGMCYTYSCSKGAFIGVSLEGNIVATRMDANLRFYGDPYLTTADILLGTVDRPRAAEPLYSALKDLYAKLPAQIQAGSL >itb13g22560.t1 pep chromosome:ASM357664v1:13:28843636:28845765:1 gene:itb13g22560 transcript:itb13g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDRFVGGFVLVVLCVNVFAACADNGGDEKFLHRGIPFKKRFYGKGIVPGNGGLGGGFGGGAGGGIGGDGGGGLGGGSGFGGGGGLGGGAGGGGGLGGGAGGGLGGGAGGGGGLGGGGGLGGGAGGGGGLGGGAGGGGGLGGGAGGGGGLGGGGGLGGGGGLGGGAGAGGGFGKGGGIGGGVGGGAGGGGGGGLGGGGGAGGGAGAGGGFGKGGGIGGGVGGGAGGGAGGGGGVGGGAGGGAGAGGGFGKGGGIGGGVGGGAGGGAGGGGGVGGGAGGGAGGGFGKGGGIGGGVGGGAGGGAGGGGGVGGGAGGGAGGGVGGGAGAGGGVGGGAGGGAGGGVGGGAGGGGGFGGGAGGGAGGGVGGGAGGGGGFGGGAGGGAGGGGFGGGAGGGAGGGVGGGVGGGAGGGFGKAGDAEKFIVAAQICVLGS >itb03g27440.t1 pep chromosome:ASM357664v1:3:27210594:27213276:1 gene:itb03g27440 transcript:itb03g27440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMGASPSPSSSLSFFFIISILLLSCTLSLSGPLAFHPIPPNFTASFNGFIDTSGAFLSSPNSTFRAAVTNVKTEERLYYFVVIHTLSHTVVWAANRNNPVSDSAQLRLSRRGLALYDNDSPKPIWSVPPFSSPNVSVSSLELRDSGNLVLLDSSNNSLWESFDYPTDTMVVGQRLLVGKSLVSSVKEGELSEGDYEFTVTENDAVFLWNKMVYWKLSMYNGAFRDTNARVEYAELRSNGIFLLGSNGTEIAIQVIVKSPDFVILKQGSGGALSTMRLNGAGSEVSGFNAPGDTCRIPLICGRLGVCYSGSCSSCPPGFQTADEGCVPVNGKLSMPSSSSCNTTVAAISYLKLGSDMDYFANDFTEPAAFNVNLSTCEDLCSRNCSCLGIFHSQTSGSCYMVGDYVGSIIKKSGRNDRFGYIKLMMMAMDQAPEDENGRRSIPVVVLVSIPSSVVVLFALVVACGIVWFRRRKVTGKTKRKRRNSSSSYSYGELEDVSIPGLPVRFDYEELVDATENFGTQVGSGGFGTVYKGTLSDGTLVAVKKISSLGVQGRKEFCTEIAIIGKIHHLNLVRLKGFCAHRESRFLVYEYMNRSSLDRALFGNGNGGGPLLEWRERFEIAIGTARGLAYLHSGCEHKIIHCDVKPENILLHDNLLVKISDFGLSKLLSPEQSSWFTTMRGTRGYLAPEWLTNSAITEKTDVYSYGMVLLELVRGKKNCSLQTPIHSGGSAANGSSNGEDYFPLRALEMHEQGRYLELVDPRLAGQVQTQEVEKLVRVALCCVHEDPMLRPTMTNVVAMLEGGLPLGDPQVESLNFLRFYGRRFTEASVVEGDNEQQNVGYLYPQAMPNVGSSATTSSYYSSYMSSQQLSGPR >itb12g04220.t1 pep chromosome:ASM357664v1:12:2750256:2750855:-1 gene:itb12g04220 transcript:itb12g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEITPASNPMVDDLIVFDSRRSSITALLGSLPSNPAFEIHHRRTHFSRNQGDKRQIPSPHGGRGALPSEGGSPSDLLFLAGGSPDSLLFLSFPLVFAVIF >itb10g02420.t1 pep chromosome:ASM357664v1:10:2040173:2042216:1 gene:itb10g02420 transcript:itb10g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTIDFRCLDEGFGGKTYKRKRAEKEAPGDVSEEDEAAMEVEKENGPPPSKRQAVPSSEDPNKAVVGKATYDGVIAGKVSGRKWKQARTHRSSAVQVSKKGKTLEQRVKEKEIKKAYKERMNELKEEIRQNKIEKRQKREEREKRKKENILKSGTKFQKITNPKTLKKIAKSAKHKKQLKVVSDDLLKAGNK >itb01g22060.t1 pep chromosome:ASM357664v1:1:28011395:28013926:-1 gene:itb01g22060 transcript:itb01g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDWPKGRTSCRDLFYALWVPYLFMERVQGNGQWSLFCPNEAPGLADCCVEEFEEFYTKYERKGKAKKVVQAQNLWFEILKFQIETGTPYMLYKEKIEDIDAGDVYNELAVVEYAEDIYKFTRKLRAVNLGFKISYWKPGRNLGNIKSSNLCTEIIEYTSPRGTVVCNLASIALPPFVREKGVPIESQPSKLVGSRGSINRYFDFDKLAEVTAIVTTNLNKIIDVNFYPVETAKNSNLRHRKALYETYEGSPISKGTLQPDMWGVTPSNQWDWVALEQL >itb01g03890.t1 pep chromosome:ASM357664v1:1:2553375:2560776:-1 gene:itb01g03890 transcript:itb01g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSDVFHLTLSYGADDFLDDATPTSSRSSSAAASPFAAASPTAAFQDGQQLGFRIELDWNAGDDEDQVALKLQSQVMVALPSPQDTVEVELTARGEEVGVEMRVMKRIEPLQGVIMGRAGGSGQQGDGMGVLLKLMRSNFSNGVGSGADGEALLGCCAEHWRSVTVVSLAGLGLTGLPVEISQLPLLEKLYLDNNKLATLPPELGELKNLKVLAADSNMLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMTELRVLRLFGNPLEFLPDILPLHKLRHLSLANIRIVADDHLRSVNVQIEMENTSYFVASRHKLSAFFSLIFRFSSCHHPLLASALAKIIQDDGNRIVVGKDENAVRQLISMITSENQHVVEQACSALTSLASDVSVAMQLMKSDIMQPIERVLRSSRPEEVISVLQVLAKLAFASDTVSQKMLTKDVFKSLKLLCAHKNPEVQRLALFAVGNLAFCSENRGVLITSESLRELLLRLTSSSEPRVSKAAARALAILGENEVLRRAIRVRQVPKQGLRILSMDGGGMKGLATVRILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMSLEKCEEIYKDLGKLVFAEPVPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLREICTDEDGDLLIESAVKGIPKVFVVSTLVSVSPAQPFIFRNYQYPPGTPEPAIGGVGAATTGAQVGFKRNAFIGSCKHHVWQAIRASSAAPYYLDDFSDGVYRWQDGAIVANNPTVFAMREAQLLWPDSRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVDEALSTLLPFLPDVHYFRFNPVDERCDMELDETDPAVWLKLEAATDDYIRNTSAVFKNLIERLLASPHDEKLFDSSKSQHLHKEKNSKDESSPSLGWRRSVLLVEASNSPDSGRVFHHARSLESFCNRNGIRLSSFNGISGIVKATGSSFPTPFASPLFTGSFPSSPLVYSPDFGHHRAGRIDLVPPLSLDEFQSAKTGLSPPESPAKRPHFSMPIRSLYEKLQNSPQVGVVHLALQNDMSGSIMSWQNDVFVVAEPGELAEKFLKSVKFSLLSMLRGRRRKYASVINGISTVADLVSFRPFFQIGGVVHRYIGRQTQVMEDDQEIGAYMFRRTVPSIHLTPDDIRWMVGAWRDRIIIFTGIYGPTRALIKAFLDSGAKAIICPSTEPDETQLSTFHGSGEFNALENGKFEIGEEEIEDEEGTEPSSPASDWEDSDPERNGGGRANCLWDDDEGQLSQFVCELYDSLFQGGSRIDDALKQSLATLRALRYSCHLPSVT >itb03g17800.t6 pep chromosome:ASM357664v1:3:16244128:16249788:1 gene:itb03g17800 transcript:itb03g17800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDIDIAYKHFKAFYQTFSTVDRKLNVDC >itb03g17800.t2 pep chromosome:ASM357664v1:3:16244128:16249788:1 gene:itb03g17800 transcript:itb03g17800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDIDIAYKHFKAFYQTFSTVDRKLNVDC >itb03g17800.t4 pep chromosome:ASM357664v1:3:16244128:16249788:1 gene:itb03g17800 transcript:itb03g17800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDIDIAYKHFKAFYQTFSTVDRKLNVDC >itb03g17800.t7 pep chromosome:ASM357664v1:3:16244128:16249788:1 gene:itb03g17800 transcript:itb03g17800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDIDIAYKHFKAFYQTFSTVDRKLNVDC >itb03g17800.t3 pep chromosome:ASM357664v1:3:16244128:16249788:1 gene:itb03g17800 transcript:itb03g17800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDIDIAYKHFKAFYQTFSTVDRKLNVDC >itb03g17800.t5 pep chromosome:ASM357664v1:3:16244128:16248503:1 gene:itb03g17800 transcript:itb03g17800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHRYHFYCLLY >itb03g17800.t1 pep chromosome:ASM357664v1:3:16244128:16249788:1 gene:itb03g17800 transcript:itb03g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFHLLYAPPFSKPSVLLSRFPHSLRPFSAANANLRTSHLRNFSATPPLCSSSSDAVPEALVSSESSSSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENEEWDLKPGGRYFFTRNMSSLVAFAIGKKYSIGNGFHIIAAHTDSPCMKLKPRSASCKSGYLMVNVQTYGGGLWHTWFDRDLTVAGRVILRAKDGSFLHRLVKVKRPLLRVPTLAIHLNRTVNQDGFKPNLETHLVPLLATKPENGSDESAEKTNGSSSKDVHHQLLLQILSDELGCEVGDIASIELNICDTQPSCLGGANNEFIFSGRLDNLASSFCSLRALVDSCSSPEDLVNEDAIRMIALFDNEEVGSDSYQGAGAPTMFEAMRRITYCLGHQSVGESIFARSIRHSFLVSADMAHGVHPNFTDKHEEHHRPELQKGLVIKHNANQRYATSGVTSFLFKEVARIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGKEDIDIAYKHFKAFYQTFSTVDRKLNVDC >itb06g17650.t2 pep chromosome:ASM357664v1:6:21437107:21442170:-1 gene:itb06g17650 transcript:itb06g17650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQQQLQQKPESTAGNARAEFERGLEELMRGHLDECMSYASCSSARNTEDDDDEGEQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTMERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRHQGDVERISSQASSILRMWRELEDEHGLNRARERVRGRLTQQRSVDSNTNVSSLNMSESRESDNQGSLGDASESENDYGNWTHDPIRQQNESREHNNSSREQSPDLGEVERERVRQIVRGWMESGITDPSSNVAQRNGVQRGEWLGETERERVRIVREWVQMTSQQRGSRGGRREEQHNGVGAQVDRVREGSVIDHEEGQPEHIRRDMLRLRGRQALIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERQPSVAASELIQLRQRHTVSGLRDGFRSRLETIVRGQVSSHFESSSHNTNNDSRNNETHANASQEVQNENLEQPQMRDQEGNAHQLLEHRESFNVSTADQSTSQQIPHNQGTDRVVPVAEAEAGNQGNSTSDETAENTSRNWQVNSVTTQPLETAGDAVTDEQPVRETEEVWREDGSREAVENWSVGPSDPPRMRRSVPVRRFNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRAPIDWDLHRNLPIPTSPDRDQDQQNDEQNEDQRDGVVRPSLVLPSPPVPPPQPLWHQDLHHSGWSRHSVHRSELEWEMINDLRTDMARLQQGMNHMQRMLEACMDMQLELQRSVKQEVSAALNRSAGQGVAETSVDGSKWGHVSKGTCCVCCDNQIDSLLYRCGHMCTCSKCANELVRTGGKCPLCRAPIVEVIRAYSIL >itb06g17650.t1 pep chromosome:ASM357664v1:6:21437107:21442170:-1 gene:itb06g17650 transcript:itb06g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQQQLQQKPESTAGNARAEFERGLEELMRGHLDECMSYASCSSARNTEDDDDEGEQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTMERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRHQGDVERISSQASSILRMWRELEDEHGLNRARERVRGRLTQQRSVDSNTNVSSLNMSESRESDNQGSLGDASESENDYGNWTHDPIRQQNESREHNNSSREQSPDLGEVERERVRQIVRGWMESGITDPSSNVAQRNGVQRGEWLGETERERVRIVREWVQMTSQQRGSRGGRREEQHNGVGAQVDRVREGSVIDHEEGQPEHIRRDMLRLRGRQALIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERQPSVAASELIQLRQRHTVSGLRDGFRSRLETIVRGQEVQNENLEQPQMRDQEGNAHQLLEHRESFNVSTADQSTSQQIPHNQGTDRVVPVAEAEAGNQGNSTSDETAENTSRNWQVNSVTTQPLETAGDAVTDEQPVRETEEVWREDGSREAVENWSVGPSDPPRMRRSVPVRRFNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQSRAPIDWDLHRNLPIPTSPDRDQDQQNDEQNEDQRDGVVRPSLVLPSPPVPPPQPLWHQDLHHSGWSRHSVHRSELEWEMINDLRTDMARLQQGMNHMQRMLEACMDMQLELQRSVKQEVSAALNRSAGQGVAETSVDGSKWGHVSKGTCCVCCDNQIDSLLYRCGHMCTCSKCANELVRTGGKCPLCRAPIVEVIRAYSIL >itb10g15890.t3 pep chromosome:ASM357664v1:10:22152362:22154884:1 gene:itb10g15890 transcript:itb10g15890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVAPYNPAITPSSSVDFKGRIGEGGYSLRTSTELLKVLNRIWSLEEQHVSNMTLVRKLKKELGHAHMRIKELLQEQQADRRGVDELMKQITEDKLVRKGKEQDRINAAVQSARDELDDERKLRKRSESLHRKLARELLEVKTSFANAVKELERERKSRKLLEDLCDEFAWGISDYEQELHSLRQKSDKEWTGRTNDDRLILHISESWLDERMQMKLQLQRGGGEENPTVEKLSSEIEEFLQIKRAGIKSNPHLEDPTYRRSSLESIPLNVAVSAPQDEGDEDISVGSDSHCFELRKASAVDSKSPENEAKGHDTEEIVKADYTMQKFGSHERAKGRSPSNMQVKFQEKMAQATLVSKGGDHVQDLEQGKTIRESLAEISSSKKPDRCKKTEEGSSEKKSKPHATPGLNSNYSIDDLIRSHYFLSECGIMPPENEYGAASFGNSNWSSRASPVRQWTEKLPSCDNEFESSSKFPPDSKEHTLKAKLLDAKQRGQQSRSRPKGSKISS >itb10g15890.t1 pep chromosome:ASM357664v1:10:22150901:22154884:1 gene:itb10g15890 transcript:itb10g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRKRVKAQKRGEQCGEGPAEKQGNLGEKLKKVGKRGGHSTPIIPFWRLYKHQDQEPHTTFVSSRKLGATLWELHHYKLPLSKMQQGVGVGVPLARLRHRHRHRHEEENTELDPPPDPSPILPELPESAGSLRRHLVASLMQHHRPVERSSHAIQPVSPASYNSSMEVAPYNPAITPSSSVDFKGRIGEGGYSLRTSTELLKVLNRIWSLEEQHVSNMTLVRKLKKELGHAHMRIKELLQEQQADRRGVDELMKQITEDKLVRKGKEQDRINAAVQSARDELDDERKLRKRSESLHRKLARELLEVKTSFANAVKELERERKSRKLLEDLCDEFAWGISDYEQELHSLRQKSDKEWTGRTNDDRLILHISESWLDERMQMKLQLQRGGGEENPTVEKLSSEIEEFLQIKRAGIKSNPHLEDPTYRRSSLESIPLNVAVSAPQDEGDEDISVGSDSHCFELRKASAVDSKSPENEAKGHDTEEIVKADYTMQKFGSHERAKGRSPSNMQVKFQEKMAQATLVSKGGDHVQDLEQGKTIRESLAEISSSKKPDRCKKTEEGSSEKKSKPHATPGLNSNYSIDDLIRSHYFLSECGIMPPENEYGAASFGNSNWSSRASPVRQWTEKLPSCDNEFESSSKFPPDSKEHTLKAKLLDAKQRGQQSRSRPKGSKISS >itb10g15890.t2 pep chromosome:ASM357664v1:10:22152225:22154438:1 gene:itb10g15890 transcript:itb10g15890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHRPVERSSHAIQPVSPASYNSSMEVAPYNPAITPSSSVDFKGRIGEGGYSLRTSTELLKVLNRIWSLEEQHVSNMTLVRKLKKELGHAHMRIKELLQEQQADRRGVDELMKQITEDKLVRKGKEQDRINAAVQSARDELDDERKLRKRSESLHRKLARELLEVKTSFANAVKELERERKSRKLLEDLCDEFAWGISDYEQELHSLRQKSDKEWTGRTNDDRLILHISESWLDERMQMKLQLQRGGGEENPTVEKLSSEIEEFLQIKRAGIKSNPHLEDPTYRRSSLESIPLNVAVSAPQDEGDEDISVGSDSHCFELRKASAVDSKSPENEAKGHDTEEIVKADYTMQKFGSHERAKGRSPSNMQVKFQEKMAQATLVSKGGDHVQDLEQGKTIRESLAEISSSKKPDRCKKTEEGSSEKKSKPHATPGLNSNYSIDDLIRSHYFLSECGIMPPENEYGAASFGNSNWSSRASPVRQWTEKLPSCDNEFESSSKFPPDSKEHTLKAKLLDAKQRGQQSRSRPKGSKISS >itb15g13970.t1 pep chromosome:ASM357664v1:15:12075365:12082220:-1 gene:itb15g13970 transcript:itb15g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYGIHSVLAPSNAPSNNNNVFSLGVDDIHHHHNHHHQSSMINGGLEGQQGHHLVLTSSNENYYNSDENSKAGGGGVEMMSDVVKAQIATHPLYPNLVSAYIQCRKVAAPPEMAALLEELSKVTQPITTTTTTAEMGADPELDEFMESYCEVLYKYKEELSKPFDEAKTFLSSIESQLSNLCKDTFPTTSFNSYHSGDEAGGTSEEDLSCGEVEVAESQDYLNNSEGDQQIKEMLMRKYSGYLSSLRKEFLKKRKKGKLPKDARVALLDWWNSHYRWPYPTEEEKNKLSEATGLDQKQINNWFINQRKRHWRPSEDMRFALMEGVSGDVTGSMYFDAGGGTGNLGI >itb15g13970.t2 pep chromosome:ASM357664v1:15:12075365:12082207:-1 gene:itb15g13970 transcript:itb15g13970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYGIHSVLAPSNAPSNNNNVFSLGVDDIHHHHNHHHQSSMINGGLEGQQGHHLVLTSSNENYYNSDENSKAGGGGVEMMSDVVKAQIATHPLYPNLVSAYIQCRKVAAPPEMAALLEELSKVTQPITTTTTTAEMGADPELDEFMESYCEVLYKYKEELSKPFDEAKTFLSSIESQLSNLCKDTFPTTSFNSYHSDEAGGTSEEDLSCGEVEVAESQDYLNNSEGDQQIKEMLMRKYSGYLSSLRKEFLKKRKKGKLPKDARVALLDWWNSHYRWPYPTEEEKNKLSEATGLDQKQINNWFINQRKRHWRPSEDMRFALMEGVSGDVTGSMYFDAGGGTGNLGI >itb15g13970.t3 pep chromosome:ASM357664v1:15:12075365:12082207:-1 gene:itb15g13970 transcript:itb15g13970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYGIHSVLAPSNAPSNNNNVFSLGVDDIHHHHNHHHQSSMINGGLEGQQGHHLVLTSSNENYYNSDENSKAGGGGVEMMSDVVKAQIATHPLYPNLVSAYIQCRKVAAPPEMAALLEELSKVTQPITTTTTTAEMGADPELDEFMESYCEVLYKYKEELSKPFDEAKTFLSSIESQLSNLCKDTFPTTSFNSYHSGVLSDEAGGTSEEDLSCGEVEVAESQDYLNNSEGDQQIKEMLMRKYSGYLSSLRKEFLKKRKKGKLPKDARVALLDWWNSHYRWPYPTEEEKNKLSEATGLDQKQINNWFINQRKRHWRPSEDMRFALMEGVSGDVTGSMYFDAGGGTGNLGI >itb06g16650.t1 pep chromosome:ASM357664v1:6:20726945:20729003:-1 gene:itb06g16650 transcript:itb06g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRLWFRHLLSFYLSVTAFLLRRLLPTLHRFPIFVPAVDSLLSHYFRSCNLSPCALDLDDQTTMHFWAPTHRHFRKPNLVIIHGYGGNAKWQFALQLGSLARSFNLYVPDLLFFGDSRTRRPDRTDAFQAKCVMEGLVGMGVTRTAVYGISYGGFVGYRMAEMYPDVVDRVVIVSSGIASSAEQRVEHMERLKKMGCNAADLLLPAKPEDLRRLVNLSSHKHDPFKWVPDAFLQEFITVMYSHNRKEKQELLEYLLGNKEHDAIGSGLTQEALLLWGDKDRVFPLTFGQQLQRYLGPKARLEVLKDAGHAANIDCPQSLNTFIKAFVLHSEGSE >itb05g11820.t1 pep chromosome:ASM357664v1:5:18025132:18027455:-1 gene:itb05g11820 transcript:itb05g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDEQPMGRVKTERPRDGGGPSAMVMVAEGVTGGEWEEDDGRISRRLREASGGGGLVDESGASSKPGEETRNPRKTSKVYLELEFGVCGLDGAVLVVAAGGGVDDDRRFWEGKVSEAVVRSGLCFHMEWQILLATVMATVTSSRRV >itb01g06340.t1 pep chromosome:ASM357664v1:1:4527109:4530679:1 gene:itb01g06340 transcript:itb01g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKKRKADENGASYPAPNDIMGAGVAAGAPPPLGILSPDDADRILEPFSKEQLISVLRNAVLRHPDILEAVRAVADADTTQRKLFVRGLGWETTTEKLRQVFSIYGELDEAIVITDKNTGKSKGYGFVTFKHIDAAIMALKEPNKKIDGRITVTQLAAAGNSGNSQSADVALRKIYVGNVPFEISSERLLNFFSTFGEIEEGPLGFDKQTGKAKGFAFFVYKTEEGARGSLGEPIKMIDGHQVVCKLATDNKKGKQPNMGPPGGPGMPNSGHSGMPGEDRMGVGSMPGSNYGMPGTGLGPYPGFSGGPVPGMQQPQPQPGMMHQNPMNSGIGGPAGPAFGNQGPGSFAGGASGYPGSGGFVGGGSGDFGGGMGNAAGSNMYRVPPSSVGMPSSGGYPDGGNYGMPSAYPSQLPQPGAGSRVPPGGMYQGVPPYY >itb12g25900.t1 pep chromosome:ASM357664v1:12:27001422:27006711:1 gene:itb12g25900 transcript:itb12g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKFGTLGTPDATRSDEGNNSLDTFIRQAIGKEPLLSFSRTGDSPVQLIQLLHALDQPDLPGWPLLTPLKVQMQKCVKCFREFCSPVNYRRHMRLHRRSLNFDKESRKYRGLLGAFWDKLTLEEVKKVASLNDVSLKEIPGTSLVNGLSMSICKAMVWTLPSVYVKAGSALLEIIQAKPCRLPLSSLELFSILDDASERTFLCAGTAEAVQKYVFDGEVAKVGLDLKNLIACTCFLFEQKLVKAWLVDKDAEALRCQKLLVEEEEAAQRRKAELLEIKRQKKLRQKEQKARDQTNEEKVDIVVMADSLDDPLMAGVSSPPASSDSNSSSNLDVSVDDSCLEMVHLNKDQDVEAQKLFNENFEMAVQNVEPQLVLAKSQEQLANSQWQVQKPQRPGRNGFYGSQNHQDLKVEPVQKHGPPSVRGPVIDGKIWTRKVRPENNESLRQVSQKTEISQNQSDCEVMIGLISVPVKNCTQHQHGNNLEEAQDDSGNKQDSEPKKHNIPENQNKNVHSKYTTNRPANKHWRPVSRHDGGRQDQEDGVLVKVDDQTLSSEKFQQSHSMVNGNNKNHSCLPPEGNTHSEGLPLCSSVAKAFLAQRWKEAISADHVKLVLSPAPEAQCDTLEATSPSVRFSGT >itb12g25900.t2 pep chromosome:ASM357664v1:12:27003165:27006696:1 gene:itb12g25900 transcript:itb12g25900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCVKCFREFCSPVNYRRHMRLHRRSLNFDKESRKYRGLLGAFWDKLTLEEVKKVASLNDVSLKEIPGTSLVNGLSMSICKAMVWTLPSVYVKAGSALLEIIQAKPCRLPLSSLELFSILDDASERTFLCAGTAEAVQKYVFDGEVAKVGLDLKNLIACTCFLFEQKLVKAWLVDKDAEALRCQKLLVEEEEAAQRRKAELLEIKRQKKLRQKEQKARDQTNEEKVDIVVMADSLDDPLMAGVSSPPASSDSNSSSNLDVSVDDSCLEMVHLNKDQDVEAQKLFNENFEMAVQNVEPQLVLAKSQEQLANSQWQVQKPQRPGRNGFYGSQNHQDLKVEPVQKHGPPSVRGPVIDGKIWTRKVRPENNESLRQVSQKTEISQNQSDCEVMIGLISVPVKNCTQHQHGNNLEEAQDDSGNKQDSEPKKHNIPENQNKNVHSKYTTNRPANKHWRPVSRHDGGRQDQEDGVLVKVDDQTLSSEKFQQSHSMVNGNNKNHSCLPPEGNTHSEGLPLCSSVAKAFLAQRWKEAISADHVKLVLSPAPEAQCDTLEATSPSVRFSGT >itb03g21970.t1 pep chromosome:ASM357664v1:3:19887489:19893390:-1 gene:itb03g21970 transcript:itb03g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQPSNWLATSLLLYIVILQHHIHNIYQPISFHFISWAMTKMRIIIILIVSLIFAVDSTPPEDPIKCTINNTTNCTITNSYGAFPDRRVCRAAEAAYPKTEAELVSIIAAATRQTRRMKAATRFSHSIPTLACPEGDAGLLISTKYLNRTLEVNASGMTMTVESGVSLREVIEAAAKAGMALPYAPYWWGLTVGGLMGTGAHGSSLWGVGSSVHDHVIRIRIVTPATEKEGYAAIRTLEEGDSDIKAARVSLGVLGVISQVTFKLEPLFKRSISFVEKNDSDLGDEAIRFGYQHEFADIKWFPSQRKVLYRVDDRVSTNASGDGLFDFIGFRARTSLLLAVIRTTEEAQEVIGDANGKCASEVVTTTATKVSAYGLTNNGVLFTGYPVIGYQNRMQSSGSCLDSLEDGLITACPWDPRVKGLFFHQTTFSISLTKAKNFIQEVQNLVALEPKSLCVLGLYDGILMRYVKASTAYLGKQEDSIDFDITYYRSKDPLAPRLYEDILEEIEQMAVFKYGALPHWGKNRNVAFQGVIDKYKSAGEFLKVKRKFDPLGLFSSEWSDQILGLKTGLSIVKDGCALEGLCVCSQDAHCAPSKGYFCRAGKVYKDAKVCVKIN >itb04g20390.t1 pep chromosome:ASM357664v1:4:25088316:25089138:1 gene:itb04g20390 transcript:itb04g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDDQDFSFPATTDALLPPRFIESPPLWWTNSVASRRERLRKGGENGGKFDRTNSFSPLVSKKAYHVQRKSFSFVERGATRRKVIEDDHSSDDEDEEEEKMDMLWEDYFDEEMKRSSKVQVEISNSNHHHNLAPRGKNNNKVECKCVRALKLSKATKMPSIEFFINLLKKVFLIHDSKNHPSFKKHSY >itb14g13350.t1 pep chromosome:ASM357664v1:14:15306802:15311459:1 gene:itb14g13350 transcript:itb14g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEMVVEDVNQEQKKGIDESQIEAALRSRLEHFKENANSFTLERVRRLIEKDLDIEKYAIDIHKRFIKQFLEEHMESANEDNTTKDSKESMESANEDNNTTKDSKESVKIETCLTDCEVEKSPEEHKIKKDVKDSGGEDEKKLDDSPIMGVLESKPRLVDAQDAKASESSIKKAVWESAAYIRANAETMTLASVRRYLEEEMGLEKGTLDPFKKFIKDEIDKALTSEAPKSKSSIKKASEKQTKPAKKIIAKGTSDSLDSESDKEESGPGSVKKAVPKRKNEKSEGPKKRKSSEMKTDVPSKKQKVVKKSSESNDAESDRSESEDCKSPSTAAKPLRKKATPAAGYGEHVENLKSTIKACGMSIPPSIYKKAKQVPEDEREEFLSKELEDILSREGLSSNPSEKEIKEVKRRKERAKELEGIDLSNIVSSTRRRSTTSFVPPPKPKSPVKDDKSDAEDSDKEDSSDESDTEEDGDGSQIDEINEDHEEDSD >itb13g24380.t4 pep chromosome:ASM357664v1:13:30050156:30058306:1 gene:itb13g24380 transcript:itb13g24380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLPFKVGQSAEAQSFLLGFRCAWFRCKIKEIKKKGGYWHALLEYFDYPDEKLKWMKLYQKHYHRVGKSKGTQRQLMLRPCYPPICNESEISNVNLVPEVKVIVRGIWKVGDLVDWWSSDCYWSGKLTEILDNDNAMIELTPHPIGEGGSYEVCIKDLRPSLDWSPELGWTLPTSLDGENGHSCARLIQPVSRDLGATLDEHTMHGSEREVRSTSASNTHVVFEDSGNRESSVSVSVCDSGMDAATEVAGLAAEDLYYIECPLNRFRTSGGTLLNSSRSDTLEAAIMDLEEMRNKIRWIRRILDSGNPLPNSQSSWKFVEHRGSSTQK >itb13g24380.t3 pep chromosome:ASM357664v1:13:30050163:30058728:1 gene:itb13g24380 transcript:itb13g24380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLPFKVGQSAEAQSFLLGFRCAWFRCKIKEIKKKGGYWHALLEYFDYPDEKLKWMKLYQKHYHRVGKSKGTQRQLMLRPCYPPICNESEISNVNLVPEVKVIVRGIWKVGDLVDWWSSDCYWSGKLTEILDNDNAMIELTPHPIGEGGSYEVCIKDLRPSLDWSPELGWTLPTSLDGENGHSCARLIQPVSRDLGATLDEHTMHGSEREVRSTSASNTHVVFEDSGNRESSVSVSVCDSGMDAATEVAGLAAEDLYYIECPLNRFRTSGGTLLNSSRSDTLEAAIMDLEEMRNKIRWIRRILDSGNPLPNSQSSWKFVEHRGSSTQK >itb13g24380.t2 pep chromosome:ASM357664v1:13:30050156:30058703:1 gene:itb13g24380 transcript:itb13g24380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLPFKVGQSAEAQSFLLGFRCAWFRCKIKEIKKKGGYWHALLEYFDYPDEKITGMGILILSKLKWMKLYQKHYHRVGKSKGTQRQLMLRPCYPPICNESEISNVNLVPEVKVIVRGIWKVGDLVDWWSSDCYWSGKLTEILDNDNAMIELTPHPIGEGGSYEVCIKDLRPSLDWSPELGWTLPTSLDGENGHSCARLIQPVSRDLGATLDEHTMHGSEREVRSTSASNTHVVFEDSGNRESSVSVSVCDSGMDAATEVAGLAAEDLYYIECPLNRFRTSGGTLLNSSRSDTLEAAIMDLEEMRNKIRWIRRILDSGNPLPNSQSSWKFVEHRGSSTQK >itb13g24380.t1 pep chromosome:ASM357664v1:13:30050156:30058728:1 gene:itb13g24380 transcript:itb13g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLPFKVGQSAEAQSFLLGFRCAWFRCKIKEIKKKGGYWHALLEYFDYPDEKLKWMKLYQKHYHRVGKSKGTQRQLMLRPCYPPICNESEISNVNLVPEVKVIVRGIWKVGDLVDWWSSDCYWSGKLTEILDNDNAMIELTPHPIGEGGSYEVCIKDLRPSLDWSPELGWTLPTSLDGENGHSCARLIQPVSRDLGATLDEHTMHGSEREVRSTSASNTHVVFEDSGNRESSVSVSVCDSGMDAATEVAGLAAEDLYYIECPLNRFRTSGGTLLNSSRSDTLEAAIMDLEEMRNKIRWIRRILDSGNPLPNSQSSWKFVEHRGSSTQK >itb13g24380.t5 pep chromosome:ASM357664v1:13:30050156:30058306:1 gene:itb13g24380 transcript:itb13g24380.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLPFKVGQSAEAQSFLLGFRCAWFRCKIKEIKKKGGYWHALLEYFDYPDEKLKWMKLYQKHYHRVGKSKGTQRQLMLRPCYPPICNESEISNVNLVPEVKVIVRGIWKVGDLVDWWSSDCYWSGKLTEILDNDNAMIELTPHPIGEGGSYEVCIKDLRPSLDWSPELGWTLPTSLDGENGHSCARLIQPVSRDLGATLDEHTMHGSEREVRSTSASNTHVVFEDSGNRESSVSVSVCDSGMDAATEVAGLAAEDLYYIECPLNRFRTSGGTLLNSSRSDTLEAAIMDLEEMRNKIRWIRRILDSGNPLPNSQSSWKFVEHRGSSTQK >itb05g28170.t1 pep chromosome:ASM357664v1:5:31258243:31277341:1 gene:itb05g28170 transcript:itb05g28170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPSVPPLPIAPPPPPSAAAAAPNDGRVAGCQVRCAGCKMILTVGPGLTEFMCPTCQLPQMLPPELMPAHAQQLRSGAPAHGIDPTKIQLPCAHCKAILNVPHGLTRFSCPQCGVDLAVDLSKIKQFFPSPPIPAHLRPPLPPPPPEEVNEVAIEVEREEDEGGLVGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDELESSKTLSCLQIETLVYACQRHHQHLPNGDRAGFFVGDGAGVGKGRTIAGLIWENWHHDRRKALWISVGSDLKFDARRDLDDVGAMCIEVHALNKLPYSKLDSKSVGVREGIVFLTYSSLIASSEKGRSRLQQLVQWCGPEYDGLIIFDECHKAKNLVPEAGGQPTRTGEAVLEIQARLPQARVIYCSATGASEPRNMGYMVRLGLWGAGTAFTNFRDFLGAMEKGGVGALELVAMDMKARGMYVCRTLSYKGVEFEVVEVPLEPKMMEMYKKAAEFWAELRVELLSASTFVTDTKPNSSQLWRLYWANHQRFFRHICMSAKVPAVVRLAKQALMENKCVVIGLQSTGEARTEEAVSKYGLELDDFISGPRELLLKLVEENYPLPEKPEELPEESVKELQRKRHLATPDVSFRGRVRKVAKWQTTRDDKSDEESEIDSEYESTESDDDEFQICDICNLEEERKKLLQCSCCGQLVHTACVVPPVIGAVSGDWSCPSCKEKTDEYIQARHAYVAELSKRYDGAVGRKLKILDIIRSLDLPNNPLDDIIDQLGGPDEVAEITGRRGMLVRTSGGKGVSYQSRNTKDVTMEMVNMHEKQLFMDGKKLIAIISEAGSAGVSLQADRRALNQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAFGKRALMMLYRGIMELESLPVVPPGCSADKQEETQDFIVKGKAALVSVGIIRDSVLGSGKDSGKISGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSILDLIVQNARMEGNLDSGIVDMKANTVELRGNPKTVHVDNLSGASTMLFTFTLDRGFSWESASALLEEKQKDESGSTYIGFYESKREWLGRRHFLLALEGSFSGMYKLFRPTLGESLREMPLSELQEKYRKVSKLEKARSGWNDEYEVSSKQCMHGPNCKLGNFCTVGRRLQEVNVLGGLVLPVWGTIEKALSKQARQSHRRIRVVRIETTTDNQRIVGLLIPNAAVESVLQDLAWVHDIDD >itb05g28170.t3 pep chromosome:ASM357664v1:5:31259547:31277269:1 gene:itb05g28170 transcript:itb05g28170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVEIQVAIEVEREEDEGGLVGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDELESSKTLSCLQIETLVYACQRHHQHLPNGDRAGFFVGDGAGVGKGRTIAGLIWENWHHDRRKALWISVGSDLKFDARRDLDDVGAMCIEVHALNKLPYSKLDSKSVGVREGIVFLTYSSLIASSEKGRSRLQQLVQWCGPEYDGLIIFDECHKAKNLVPEAGGQPTRTGEAVLEIQARLPQARVIYCSATGASEPRNMGYMVRLGLWGAGTAFTNFRDFLGAMEKGGVGALELVAMDMKARGMYVCRTLSYKGVEFEVVEVPLEPKMMEMYKKAAEFWAELRVELLSASTFVTDTKPNSSQLWRLYWANHQRFFRHICMSAKVPAVVRLAKQALMENKCVVIGLQSTGEARTEEAVSKYGLELDDFISGPRELLLKLVEENYPLPEKPEELPEESVKELQRKRHLATPDVSFRGRVRKVAKWQTTRDDKSDEESEIDSEYESTESDDDEFQICDICNLEEERKKLLQCSCCGQLVHTACVVPPVIGAVSGDWSCPSCKEKTDEYIQARHAYVAELSKRYDGAVGRKLKILDIIRSLDLPNNPLDDIIDQLGGPDEVAEITGRRGMLVRTSGGKGVSYQSRNTKDVTMEMVNMHEKQLFMDGKKLIAIISEAGSAGVSLQADRRALNQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAFGKRALMMLYRGIMELESLPVVPPGCSADKQEETQDFIVKGKAALVSVGIIRDSVLGSGKDSGKISGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSILDLIVQNARMEGNLDSGIVDMKANTVELRGNPKTVHVDNLSGASTMLFTFTLDRGFSWESASALLEEKQKDESGSTYIGFYESKREWLGRRHFLLALEGSFSGMYKLFRPTLGESLREMPLSELQEKYRKVSKLEKARSGWNDEYEVSSKQCMHGPNCKLGNFCTVGRRLQEVNVLGGLVLPVWGTIEKALSKQVLWFFLYLK >itb05g28170.t2 pep chromosome:ASM357664v1:5:31258243:31277269:1 gene:itb05g28170 transcript:itb05g28170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPSVPPLPIAPPPPPSAAAAAPNDGRVAGCQVRCAGCKMILTVGPGLTEFMCPTCQLPQMLPPELMPAHAQQLRSGAPAHGIDPTKIQLPCAHCKAILNVPHGLTRFSCPQCGVDLAVDLSKIKQFFPSPPIPAHLRPPLPPPPPEEVNEVAIEVEREEDEGGLVGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDELESSKTLSCLQIETLVYACQRHHQHLPNGDRAGFFVGDGAGVGKGRTIAGLIWENWHHDRRKALWISVGSDLKFDARRDLDDVGAMCIEVHALNKLPYSKLDSKSVGVREGIVFLTYSSLIASSEKGRSRLQQLVQWCGPEYDGLIIFDECHKAKNLVPEAGGQPTRTGEAVLEIQARLPQARVIYCSATGASEPRNMGYMVRLGLWGAGTAFTNFRDFLGAMEKGGVGALELVAMDMKARGMYVCRTLSYKGVEFEVVEVPLEPKMMEMYKKAAEFWAELRVELLSASTFVTDTKPNSSQLWRLYWANHQRFFRHICMSAKVPAVVRLAKQALMENKCVVIGLQSTGEARTEEAVSKYGLELDDFISGPRELLLKLVEENYPLPEKPEELPEESVKELQRKRHLATPDVSFRGRVRKVAKWQTTRDDKSDEESEIDSEYESTESDDDEFQICDICNLEEERKKLLQCSCCGQLVHTACVVPPVIGAVSGDWSCPSCKEKTDEYIQARHAYVAELSKRYDGAVGRKLKILDIIRSLDLPNNPLDDIIDQLGGPDEVAEITGRRGMLVRTSGGKGVSYQSRNTKDVTMEMVNMHEKQLFMDGKKLIAIISEAGSAGVSLQADRRALNQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAFGKRALMMLYRGIMELESLPVVPPGCSADKQEETQDFIVKGKAALVSVGIIRDSVLGSGKDSGKISGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSILDLIVQNARMEGNLDSGIVDMKANTVELRGNPKTVHVDNLSGASTMLFTFTLDRGFSWESASALLEEKQKDESGSTYIGFYESKREWLGRRHFLLALEGSFSGMYKLFRPTLGESLREMPLSELQEKYRKVSKLEKARSGWNDEYEVSSKQCMHGPNCKLGNFCTVGRRLQEVNVLGGLVLPVWGTIEKALSKQVLWFFLYLK >itb09g30300.t2 pep chromosome:ASM357664v1:9:30967533:30969524:1 gene:itb09g30300 transcript:itb09g30300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRNAVSFNTMIAAYCRDGNVLEAWGLFSEMRRCGFKPTQFTFGGLLSSEFLDHYQVVQLHALLEKTSLLRTDATLGTALLGMLGRCGCLHEACQIFDSMPEKNLVTWNSMILLLGQHGFVETSMTMFVEILRSGMDLSEYTFVGLLSGCLGIHDMELGEQVHTVAVKYGLDNAVSVKNSLVNMYAKCSGAHTANKMFEEAAIKDIVSWNIMIGALAKSDTPDKVLTVFLEMYANGFSPNEITFISALNSCSRLQNLSCGEALHAQIIKKKLENDVYMGSALMDFYVKFDKLEEAHCCFNEMCEKSLVPWNTLMLGYSNRGSPESILLLREMIRLGFYPNGFSFSIVIKASSAVELLQLHSWLVKTGYLKHSYVSSSLISRYAKNGLISDALCFTDVNDMPLDVVSTNVIAGIYNRIGEYDKTLELFSILEDPNTRSWNILILACSRNSDHKEAFEIFGHMRRARVSPDNYTYVSLLSSCNRLCNLGLGSSLHGLLIKNDFSSCDTFVCNIMIDMYAKCGSLESATKIFNDTSERNVISWTAMISSLGLHGYAHEAIRKFDEMTEQGIKPDKVAFMAVLSACRHVGLVEQGMALFGEMKGKYGFEPEMDHYLIVVDLLSRYGHLAEAEQFIGRMPFPPNASIWRTFLDGCKRKRTSCIESSY >itb09g30300.t1 pep chromosome:ASM357664v1:9:30965260:30969835:1 gene:itb09g30300 transcript:itb09g30300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPISLASITANLSTIKTHFYFTTKFHLSTSIENCISHGENVKHLEGTQLLQEPSTWVQIHSLCRTKSLHALTIKVGSTPTQPIFPFNNILSKYVHFGNVHVARELFEEMPFRNAVSFNTMIAAYCRDGNVLEAWGLFSEMRRCGFKPTQFTFGGLLSSEFLDHYQVVQLHALLEKTSLLRTDATLGTALLGMLGRCGCLHEACQIFDSMPEKNLVTWNSMILLLGQHGFVETSMTMFVEILRSGMDLSEYTFVGLLSGCLGIHDMELGEQVHTVAVKYGLDNAVSVKNSLVNMYAKCSGAHTANKMFEEAAIKDIVSWNIMIGALAKSDTPDKVLTVFLEMYANGFSPNEITFISALNSCSRLQNLSCGEALHAQIIKKKLENDVYMGSALMDFYVKFDKLEEAHCCFNEMCEKSLVPWNTLMLGYSNRGSPESILLLREMIRLGFYPNGFSFSIVIKASSAVELLQLHSWLVKTGYLKHSYVSSSLISRYAKNGLISDALCFTDVNDMPLDVVSTNVIAGIYNRIGEYDKTLELFSILEDPNTRSWNILILACSRNSDHKEAFEIFGHMRRARVSPDNYTYVSLLSSCNRLCNLGLGSSLHGLLIKNDFSSCDTFVCNIMIDMYAKCGSLESATKIFNDTSERNVISWTAMISSLGLHGYAHEAIRKFDEMTEQGIKPDKVAFMAVLSACRHVGLVEQGMALFGEMKGKYGFEPEMDHYLIVVDLLSRYGHLAEAEQFIGRMPFPPNASIWRTFLDGCKRKRTSCIESSY >itb13g18830.t2 pep chromosome:ASM357664v1:13:25814692:25818597:-1 gene:itb13g18830 transcript:itb13g18830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTDDMPTTKKGDGEEEEERGKVLDVSSLTAASTAASSVIIIPRSKSQAASRRVTPTTSAITGPTVAGEAVVEKHLPNGDLYIGDFSGNAPHGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATFQGEFKSGRMEGSGTFIGSDGDMYKGSWSADRKHGFGQKHYSNGDYYEGHWKRNLQEGQGRYMWKNGNEYAGEWKTGMIHGRGVLIWANGNRYDGNWESGVPKGHGVFTWPDGSCYIGCWSKDNKTSQVLNGTFYPAQNTKPKDNLDIINFKEEGFGPKLAAPLMAAENFGKKRTSMDGGRLTMTSTTERNFPRICIWESDGDAGDITCDIIDTAEASMIYRDGSPMDGYGTRPFRSNPCCFNGDIKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHASILRDLKISDFDPKEKFWTRFPSQGSKLTPPHQTGEFWWKDYCPLVFRHLREKFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLHSYYQHICRYENSLVTKFYGVHCVKPVGGVKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTSKPEGEIDETTTLKDLDLNYVFRLQQNWYQELIKQIERDCEFLESERIMDYSLLVGIHFREDNAGDKMGLSPFLLRTGKSDSYQNEKYMRGCRFLEAELQNMDMILAGRYVCLPYCLVISVDATAL >itb13g18830.t1 pep chromosome:ASM357664v1:13:25814672:25818597:-1 gene:itb13g18830 transcript:itb13g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTDDMPTTKKGDGEEEEERGKVLDVSSLTAASTAASSVIIIPRSKSQAASRRVTPTTSAITGPTVAGEAVVEKHLPNGDLYIGDFSGNAPHGSGKYLWTDGCMYEGEWKRGKASGKGKFSWPSGATFQGEFKSGRMEGSGTFIGSDGDMYKGSWSADRKHGFGQKHYSNGDYYEGHWKRNLQEGQGRYMWKNGNEYAGEWKTGMIHGRGVLIWANGNRYDGNWESGVPKGHGVFTWPDGSCYIGCWSKDNKTSQVLNGTFYPAQNTKPKDNLDIINFKEEGFGPKLAAPLMAAENFGKKRTSMDGGRLTMTSTTERNFPRICIWESDGDAGDITCDIIDTAEASMIYRDGSPMDGYGTRPFRSNPCCFNGDIKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHASILRDLKISDFDPKEKFWTRFPSQGSKLTPPHQTGEFWWKDYCPLVFRHLREKFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLHSYYQHICRYENSLVTKFYGVHCVKPVGGVKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTSKPEGEIDETTTLKDLDLNYVFRLQQNWYQELIKQIERDCEFLESERIMDYSLLVGIHFREDNAGDKMGLSPFLLRTGKSDSYQNEKYMRGCRFLEAELQNMDMILAGRKPMIRLGANMPARAEPLARKSDFDQYSPGGFYSLPPSYSGEMNEVILYFGIIDILQDYDITKKLEHAYKSLQADPTSISAVDPKLYSRRFRDFIGRIFIEDR >itb13g23380.t1 pep chromosome:ASM357664v1:13:29399905:29407290:1 gene:itb13g23380 transcript:itb13g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPSRHPSSSSANAKPPQSSNASHRKSRWESPAKNRPPKDSKPSGSGLGDGKPKPSPKTGKETAPSTANANKPPTPKPRSDFRSPKPADPDTRSALPPFPFQEPPPPPTYGFHMLERRSIALSDGSLRPYYALPPNYQDFQPLPPRDFRGPGLGFDRQFPMSPDFRPEFRDRENPFMWNRNQDSWNSLGLDGQASGPGMGPGVHENPMKRKFGDDAREVYDGFERQRQQVLKHGNMSNSPGTSGLYRRDVGEMRPAKFMRTAEANVGQLKHHTVDQNALKKAFLHQIKLVYENTNQKNRFLADGKQGRIQCLVCGSSSQDFPDMHSLIMHAYNSNNADSTIEHLGFQKALCILMGWNYLIPPDNSKSYQLLSGDEAAANQNDLIMWPPLVIIHNTMTGKRADGRTEGLGNKAMDSYLRDIGFQGGKSKSLYCREGHLGITLVKFASDQSGLKEALRLTEYFLKDNRGRNGWSRVHPLTLVKDEENNPDLVKVDQRTGEKKRVFYGYLATVSDMDKVDFETRKKVSIESIREFQSSS >itb02g17900.t6 pep chromosome:ASM357664v1:2:14206093:14208420:-1 gene:itb02g17900 transcript:itb02g17900.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb02g17900.t3 pep chromosome:ASM357664v1:2:14206093:14208420:-1 gene:itb02g17900 transcript:itb02g17900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb02g17900.t1 pep chromosome:ASM357664v1:2:14206093:14208420:-1 gene:itb02g17900 transcript:itb02g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb02g17900.t5 pep chromosome:ASM357664v1:2:14206093:14208420:-1 gene:itb02g17900 transcript:itb02g17900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb02g17900.t2 pep chromosome:ASM357664v1:2:14206093:14207496:-1 gene:itb02g17900 transcript:itb02g17900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb02g17900.t4 pep chromosome:ASM357664v1:2:14206093:14208420:-1 gene:itb02g17900 transcript:itb02g17900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb05g10930.t1 pep chromosome:ASM357664v1:5:16849817:16851691:-1 gene:itb05g10930 transcript:itb05g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB93 [Source:Projected from Arabidopsis thaliana (AT1G34670) UniProtKB/Swiss-Prot;Acc:Q9S9Z2] MGKVPCCDENGLKKGPWTPEEDKKLSDFIEKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSTIATHLPGRTDNEIKNFWNTHLKKKLIQMGYDPMTHRPRTDLFSNLPNLLALATLLQSHPLEEHAAAAARLQAQAATQNMAAKIQYLQMLFQSSSSSSMTTTSSSSYDNNGDFWDFNLPNLSNKETDNNPLLSSLSQMENQTLFSIENSGASQLLHNEQVPFNFQTHLNNDNNNKSLNSDSILPPLTDCFLNNNNNNNQGDSSSTSSNGDYQGTSSPSSYNWPELFLEEAFMHDIS >itb07g04290.t1 pep chromosome:ASM357664v1:7:2892366:2895833:1 gene:itb07g04290 transcript:itb07g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLTKLRFSLFTPQHSSLIHSPIPPFHVSFTTPLSLHVATFKTSNASPLSETTHFAQNDLFMPPGVDPEDITPEMILPRSNIVIGPYAGESKVNTVEFVKSSSRVKDCPKDHRPEFAVVGRSNVGKSSLINALVRKKEVALTSKKPGKTRLINHFLVNKSWYIVDLPGYGFANAPEAAKMDWFSFTKGYFLNRETLVSVLLLVDASVPPQKIDLDCANWLGRSKIPMTFVFTKCDKMKGGKTKPDENIREFQELIRQNYKHIPPWILTSSVTGLGRDGLLLHMSQLRNYWDSDSPD >itb07g04290.t2 pep chromosome:ASM357664v1:7:2893566:2895717:1 gene:itb07g04290 transcript:itb07g04290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLTKLRFSLFTPQHSSLIHSPIPPFHVSFTTPLSLHVATFKTSNASPLSETTHFAQNDLFMPPGVDPEDITPEMILPRSNIVIGPYAGESKVNTVEFVKSSSRVKDCPKDHRPEFAVVGRSNVGKSSLINALVRKKEVALTSKKPGKTRLINHFLVNKSWYIVDLPGYGFANAPEAAKMDWFSFTKGYFLNRETLVSVLLLVDASVPPQKIDLDCANWLGRSKIPMTFVFTKCDKMKGGKTKPDENIREFQELIRQNYKHIPPWILTSSVTGLGRDGLLLHMSQLRNYWDSDSPD >itb08g15500.t3 pep chromosome:ASM357664v1:8:17635834:17637558:-1 gene:itb08g15500 transcript:itb08g15500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMIYVSTYIISESQKLLLTFLGFSPQSAVFTMAGGKIRKEKSHRGSAGAGGANPHYQGGIQFHKSKGQHILKNPMLVDTIVEKSGIKPTDVILEIGPGTGNLTKKLLEAGKSVIAVELDPRMVLELQRRFQGTPPSKLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPLFRAAVIMFQREFAMRLVAQPGDTLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPMKPYQNLNFKEWDGLVRICFNRKNKTLGAIFRQKTVLSLLEKNYKTLQALQIPENGSFEDTVDMSLAVSALGDTLGDMSMGIDDGDDDNEMDMDDGDAKGSDFKEKVVSVLKQGKFEDKRSSKLTQVDFMHLLSLFNKAGIHFS >itb08g15500.t1 pep chromosome:ASM357664v1:8:17635834:17638708:-1 gene:itb08g15500 transcript:itb08g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRKEKSHRGSAGAGGANPHYQGGIQFHKSKGQHILKNPMLVDTIVEKSGIKPTDVILEIGPGTGNLTKKLLEAGKSVIAVELDPRMVLELQRRFQGTPPSKLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPLFRAAVIMFQREFAMRLVAQPGDTLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPMKPYQNLNFKEWDGLVRICFNRKNKTLGAIFRQKTVLSLLEKNYKTLQALQIPENGSFEDTVDMSLAVSALGDTLGDMSMGIDDGDDDNEMDMDDGDAKGSDFKEKVVSVLKQGKFEDKRSSKLTQVDFMHLLSLFNKAGIHFS >itb08g15500.t2 pep chromosome:ASM357664v1:8:17635834:17638693:-1 gene:itb08g15500 transcript:itb08g15500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRKEKSHRGSAGAGGANPHYQGGIQFHKSKGQHILKNPMLVDTIVEKSGIKPTDVILEIGPGTGNLTKKLLEAGKSVIAVELDPRMVLELQRRFQGTPPSKLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPLFRAAVIMFQREFAMRLVAQPGDTLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPMKPYQNLNFKEWDGLVRICFNRKNKTLGAIFRQKTVLSLLEKNYKTLQALQIPENGSFEDTVDMSLAVSALGDTLGDMSMGIDDGDDDNEMDMDDGDAKGSDFKEKVVSVLKQGKFEDKRSSKLTQVDFMHLLSLFNKAGIHFS >itb07g12190.t1 pep chromosome:ASM357664v1:7:13794348:13801801:1 gene:itb07g12190 transcript:itb07g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFEFAQQAKSTVRLSDRNVVELVQKLQQLQILDFDLLHTVSGKEFITPEHLRNEIAGEIKRLGRVSLIDLADTTGVDLFHVEKQSQHVVSSDSSLMLINGEIISNSYWDTVAEEINERLQECSQIAIAEIAAQLQVGSELVVSIIEPRLGTLVKGRLEGGQLYTPAYVARVNAMVRGAARGIFVPMNLSALWNSLQSLLQEMDGAGGVAVESSFFQSLFNGIVKEGEILGALRAGVHWTPSVFAMAQKECVDSFFSQNSFISYEALQKLGIPQPIQFLQSRYPEGTSLATIFAHPSIIEMLDASVEDTVERGSWIDSLSVLPASFASQDASKILSLCPSVQTALKSNKALILGESYVFSNGFVKDLFDSIEKELETLNLSMLASSGTHDELHASKGTTSSLADSNETGNDGGGNKQAMEKGSKKKKGKSAGNTRAVAAEAGLDNFDSAPSKSKKNQKKGKGSSTSQEVSSKLNAKKEEDPSYLISEEWITQKIVTLNPDFEEQDDPETLLEPLAHHLRPLLVNSWKERKKAAFTENAQKMKKLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSILLHKHLLRTTGTFMVDTLLLNLDVHNKLKNGIEVDESQNREPASLNSGDRNALAKNFPRPLSVKATALLEALEGKRVEMFMTALRALAEESGLILKKLDKKLERTLLHSYRKDLTTQVSAETDAVSLLPKVVSLLYVQVHGKALQAPGRAISAAVSGLKDKLDDSAFKTLTDYQSTAVSLLALMSAATGDEEDCSTDRIQTKREVLEGLMPALKGLVLSSQQS >itb07g12190.t2 pep chromosome:ASM357664v1:7:13794348:13800835:1 gene:itb07g12190 transcript:itb07g12190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFEFAQQAKSTVRLSDRNVVELVQKLQQLQILDFDLLHTVSGKEFITPEHLRNEIAGEIKRLGRVSLIDLADTTGVDLFHVEKQSQHVVSSDSSLMLINGEIISNSYWDTVAEEINERLQECSQIAIAEIAAQLQVGSELVVSIIEPRLGTLVKGRLEGGQLYTPAYVARVNAMVRGAARGIFVPMNLSALWNSLQSLLQEMDGAGGVAVESSFFQSLFNGIVKEGEILGALRAGVHWTPSVFAMAQKECVDSFFSQNSFISYEALQKLGIPQPIQFLQSRYPEGTSLATIFAHPSIIEMLDASVEDTVERGSWIDSLSVLPASFASQDASKILSLCPSVQTALKSNKALILGESYVFSNGFVKDLFDSIEKELETLNLSMLASSGTHDELHASKGTTSSLADSNETGNDGGGNKQAMEKGSKKKKGKSAGNTRAVAAEAGLDNFDSAPSKSKKNQKKGKGSSTSQEVSSKLNAKKEEDPSYLISEEWITQKIVTLNPDFEEQDDPETLLEPLAHHLRPLLVNSWKERKKAAFTENAQKMKKLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSILLHKHLLRTTGTFMVDTLLLNLDVHNKLKNGIEVDESQNREPASLNSGDRNALAKNFPRPLSVKATALLEALEGKRVEMFMTALRALAEESGLILKKLDKKLERTLLHSYRKDLTTQVSAETDAVSLLPKVVSLLYVQVHGKALQAPGRAISAAVSGLKVVIL >itb07g06720.t1 pep chromosome:ASM357664v1:7:4846258:4853087:-1 gene:itb07g06720 transcript:itb07g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDHTKVGLAHVNSDFKDVDVAIVKATNHVECPPKERHLRKIMVYTSAVRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRTLREGDPTFREELLNFQQRGRVLQMSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDIEAERLPKPAQGQEKQGYSKTRELNSEELLDQLPALQQLLYRLIGCRPEGAAVGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDIYKRAGQQAASLSDFYEVCKGLELARNFQFPVLREPPQSFLVTMEEYIREAPRIVSVPTETLEYPERLMLTYKGEDELSPSEEHKELGDEPPPPPQPAEDVVVSTTEIPAPVPPVKFETDDLLGLNAPTSDVSAIEESNALALAIVPSGTTSETVQPKDFDPTGWELALVSTPGTNLSTPQERQLAGGLDSLTLNSLYDEGAYRASQQPVYGAPAPNPFEVADPFAMSNAIAAPPSVQLAPMPLPPQANPFGPFHPAAYPPQPLQPQNPLMGPHNPFGDTGFGAFPANNATHQQNTNPFGNLL >itb07g06720.t2 pep chromosome:ASM357664v1:7:4846258:4853050:-1 gene:itb07g06720 transcript:itb07g06720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDHTKVGLAHVNSDFKDVDVAIVKATNHVECPPKERHLRKIMVYTSAVRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRTLREGDPTFREELLNFQQRGRVLQMSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDIEAERLPKPAQGQEKGYSKTRELNSEELLDQLPALQQLLYRLIGCRPEGAAVGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDIYKRAGQQAASLSDFYEVCKGLELARNFQFPVLREPPQSFLVTMEEYIREAPRIVSVPTETLEYPERLMLTYKGEDELSPSEEHKELGDEPPPPPQPAEDVVVSTTEIPAPVPPVKFETDDLLGLNAPTSDVSAIEESNALALAIVPSGTTSETVQPKDFDPTGWELALVSTPGTNLSTPQERQLAGGLDSLTLNSLYDEGAYRASQQPVYGAPAPNPFEVADPFAMSNAIAAPPSVQLAPMPLPPQANPFGPFHPAAYPPQPLQPQNPLMGPHNPFGDTGFGAFPANNATHQQNTNPFGNLL >itb14g15450.t1 pep chromosome:ASM357664v1:14:18753156:18754096:1 gene:itb14g15450 transcript:itb14g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRRRNGSRPASHRRRHFPVIDMLNWGSDPKVGDLISEAAEKWGFFQIVNHGVPLEVLEEVKVATYRFFRQPAEEKNKHSKDNSPSNNVRNGIRFTPQAEKALECKIYLISVAFSLLYLSLSLSLLKTRSNRVQGGSVVVVAVAEQPEIQTAIRHPCVKLL >itb01g24610.t1 pep chromosome:ASM357664v1:1:30231959:30232972:-1 gene:itb01g24610 transcript:itb01g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIGIVEYVDQSPDLFNVQFHGECIRTLVTYEARKVDEWISEVEAIHRHRLNHLIVGLDVEWRPNFSKGQQNPVSTLQLCVGRRCLVFQLLYCSRIPDKLVSFLANPGYTFVGVAIDGDVEKLVEDYDLSVRKAVDLRALAVRHSNDSSLKNVGLKDLVRIYLGAEMEKPKRVTMGKWDKEVLTTEQIQYACIDAFVCFEIGRILNASSAH >itb05g05600.t1 pep chromosome:ASM357664v1:5:5374659:5378910:1 gene:itb05g05600 transcript:itb05g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPSKRIEFLRAQLFSERSVSQTARQRVDELAKRVAELEAQLKVVSLQRKQAEKATVTVLAILENLGKSDVSEGFDSGSEQGDALCESNVSDNKDAHNEMFDGDACSSSEIGSSASCRWIRQGQTRSAAEELCNDSSVTATFDNAVASFGTESLQGCLEHQNEKGQVGIPALQVSKNQSHVGNGNLFCKLEDDKDLESALEHQEQLIGQYEAEERAQREWEEKYGEGTSFMRDSGENHSDVTEERDEMKAPEQPCSPPRAISTHFNGAQKSPNGFQSPALAEETSGLDSPSEFTFPKGNHEPVHSEHTGRSCHKPLRFSSLPVNPIEHASSSSGGSSLRKGEDSREGYKLALKSHTTDENVQPVLHALEQVKLSLKQKLNISPQIESRLPIKAVAPSTHSGKIGEKVEIPVGCPALHQLPLCSPSQFSSVNHSPEPVPSKFYPSPFLESRSIASISTSQSTHIGSRNAAWSPMLEPAFNTLPSSSTSRFNFLNPHLSSVIPFSSNYTIPSIPTYPFSPNLIPRLPSPEGFSATFPSRETGVPPPTRFSSYNEHIRPNMHR >itb05g05600.t2 pep chromosome:ASM357664v1:5:5374705:5378801:1 gene:itb05g05600 transcript:itb05g05600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNEDQNPRKTPVMEDSPSKRIEFLRAQLFSERSVSQTARQRVDELAKRVAELEAQLKVVSLQRKQAEKATVTVLAILENLGKSDVSEGFDSGSEQGDALCESNVSDNKDAHNEMFDGDACSSSEIGSSASCRWIRSAAEELCNDSSVTATFDNAVASFGTESLQGCLEHQNEKGQVGIPALQVSKNQSHVGNGNLFCKLEDDKDLESALEHQEQLIGQYEAEERAQREWEEKYGEGTSFMRDSGENHSDVTEERDEMKAPEQPCSPPRAISTHFNGAQKSPNGFQSPALAEETSGLDSPSEFTFPKGNHEPVHSEHTGRSCHKPLRFSSLPVNPIEHASSSSGGSSLRKGEDSREGYKLALKSHTTDENVQPVLHALEQVKLSLKQKLNISPQIESRLPIKAVAPSTHSGKIGEKVEIPVGCPALHQLPLCSPSQFSSVNHSPEPVPSKFYPSPFLESRSIASISTSQSTHIGSRNAAWSPMLEPAFNTLPSSSTSRFNFLNPHLSSVIPFSSNYTIPSIPTYPFSPNLIPRLPSPEGFSATFPSRETGVPPPTRFSSYNEHIRPNMHR >itb05g05600.t3 pep chromosome:ASM357664v1:5:5374696:5378908:1 gene:itb05g05600 transcript:itb05g05600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNEDQNPRKTPVMEDSPSKRIEFLRAQLFSERSVSQTARQRVDELAKRVAELEAQLKVVSLQRKQAEKATVTVLAILENLGKSDVSEGFDSGSEQGDALCESNVSDNKDAHNEMFDGDACSSSEIGSSASCRWIRQGQTRSAAEELCNDSSVTATFDNAVASFGTESLQGCLEHQNEKGQVGIPALQVSKNQSHVGNGNLFCKLEDDKDLESALEHQEQLIGQYEAEERAQREWEEKYGEGTSFMRDSGENHSDVTEERDEMKAPEQPCSPPRAISTHFNGAQKSPNGFQSPALAEETSGLDSPSEFTFPKGNHEPVHSEHTGRSCHKPLRFSSLPVNPIEHASSSSGGSSLRKGEDSREGYKLALKSHTTDENVQPVLHALEQVKLSLKQKLNISPQIESRLPIKAVAPSTHSGKIGEKVEIPVGCPALHQLPLCSPSQFSSVNHSPEPVPSKFYPSPFLESRSIASISTSQSTHIGSRNAAWSPMLEPAFNTLPSSSTSRFNFLNPHLSSVIPFSSNYTIPSIPTYPFSPNLIPRLPSPEGFSATFPSRETGVPPPTRFSSYNEHIRPNMHR >itb05g05600.t4 pep chromosome:ASM357664v1:5:5374997:5378910:1 gene:itb05g05600 transcript:itb05g05600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNEDQNPRKTPVMEDSPSKRIEFLRAQLFSERSVSQTARQRVDELAKRVAELEAQLKVVSLQRKQAEKATVTVLAILENLGKSDVSEGFDSGSEQGDALCESNVSDNKDAHNEMFDGDACSSSEIGSSASCRWIRQGQTRSAAEELCNDSSVTATFDNAVASFGTESLQGCLEHQNEKGQVGIPALQVSKNQSHVGNGNLFCKLEDDKDLESALEHQEQLIGQYEAEERAQREWEEKYGEGTSFMRDSGENHSDVTEERDEMKAPEQPCSPPRAISTHFNGAQKSPNGFQSPALAEETSGLDSPSEFTFPKGNHEPVHSEHTGRSCHKPLRFSSLPVNPIEHASSSSGGSSLRKGEDSREGYKLALKSHTTDENVQPVLHALEQVKLSLKQKLNISPQIESRLPIKAVAPSTHSGKIGEKVEIPVGCPALHQLPLCSPSQFSSVNHSPEPVPSKFYPSPFLESRSIASISTSQSTHIGSRNAAWSPMLEPAFNTLPSSSTSRFNFLNPHLSSVIPFSSNYTIPSIPTYPFSPNLIPRLPSPEGFSATFPSRETGVPPPTRFSSYNEHIRPNMHR >itb09g26330.t1 pep chromosome:ASM357664v1:9:26875966:26877015:1 gene:itb09g26330 transcript:itb09g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGAYLLVVVAMVAGAAAQSATNVRATYNNYNPQNINWDYNTASVFCATWDADKPLEWRQQYGWTSFGGPVGPTGYDSCGKCLEVINTATNDQLTVRIVDQCSNGGLDLDVNVFNQLDTNGQGVAQGYLIVNYNFVDC >itb12g05680.t2 pep chromosome:ASM357664v1:12:4238523:4242732:-1 gene:itb12g05680 transcript:itb12g05680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELVGGAALSLAFDKFSSALVSNSRKIAEFSSHVDTLKSTISEIKPIVEDIEELDRLTQARRYETDMLIKRLDDCEALIDKCSKLKPHDLRSLWKKFRYSRKMEKLEKSLSRFFKYSVPMMNLRDNKQIMAKMNVVDDKIDTLLRHRQNSESSSGGPTAIVTPSAFSGWSDAPAVLDVVVGLDVPLQELKKMVLKVEDDESVLVISAPGGCGKTTLAKMLCHDLEITAKYKHISFVTVSRTPNLRNIVQKIFNKLNNGLVPHFQSNEDAINQLQHLLHRHLVSDLKLLVLDDVWPEIPESLSVLQKLIFSVPKYKVLVTTRFECPRFKYTYKLQLLPDNDAMVLFCNYAFEGGKCSVQSNLVDKVVKSCGGFPLALKVVGRSLYGQHKCNWINIFKKQSKGQILFTSDRDLLDCLQPSIDALDENSKECYKDLGVFLEDQRIPAAVLLDIWVELYNLDEDGFETYDILFKLAARNLVDLAFRRKDDPATVGFSNVVDYVRQHDVLRELAIHQSANEAIENRRRLNIGDDLSVQQLKQTRLLLQAHMLSISTDEALFPSVHDLQFPNLEVLLLNIQTSSYTLPKFLEKSSHLKVLVITNSGFFPIMFSNSTLLGHLHNLKRIRLERISFSSICQSTFKLGNLQKMSLVMCEIGDAFQDCVVRMPNLLEINIEYCDDLVVLPVAICDLVHLKRLIIRYCQRLVDIPEELGNLENLEVLMLHSCSSLTRLPDSIERLCKLNFLDIFDCVDLDRIPLTIGKLCSLKTIFMGGHQGLNELPHTVLDLVQLESVICDEETTCLWENYEDSLKNLRVSIVKDEMNLNWLHKYSMQR >itb12g05680.t1 pep chromosome:ASM357664v1:12:4238523:4242732:-1 gene:itb12g05680 transcript:itb12g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELVGGAALSLAFDKFSSALVSNSRKIAEFSSHVDTLKSTISEIKPIVEDIEELDRLTQARRYETDMLIKRLDDCEALIDKCSKLKPHDLRSLWKKFRYSRKMEKLEKSLSRFFKYSVPMMNLRDNKQIMAKMNVVDDKIDTLLRHRQNSESSSGGPTAIVTPSAFSGWSDAPAVLDVVVGLDVPLQELKKMVLKVEDDESVLVISAPGGCGKTTLAKMLCHDLEITAKYKHISFVTVSRTPNLRNIVQKIFNKLNNGLVPHFQSNEDAINQLQHLLHRHLVSDLKLLVLDDVWPEIPESLSVLQKLIFSVPKYKVLVTTRFECPRFKYTYKLQLLPDNDAMVLFCNYAFEGGKCSVQSNLVDKVVKSCGGFPLALKVVGRSLYGQHKCNWINIFKKQSKGQILFTSDRDLLDCLQPSIDALDENSKECYKDLGVFLEDQRIPAAVLLDIWVELYNLDEDGFETYDILFKLAARNLVDLAFRRKDDPATVGFSNVVDYVRQHDVLRELAIHQSANEAIENRRRLNIGDDLSVQQLKQTRLLLQAHMLSISTDEALFPSVHDLQFPNLEVLLLNIQTSSYTLPKFLEKSSHLKVLVITNSGFFPIMFSNSTLLGHLHNLKRIRLERISFSSICQSTFKLGNLQKMSLVMCEIGDAFQDCVVRMPNLLEINIEYCDDLVVLPVAICDLVHLKRLIIRYCQRLVDIPEELGNLENLEVLMLHSCSSLTRLPDSIERLCKLNFLDIFDCVDLDRIPLTIGKLCSLKTIFMGGHQGLNELPHTVLDLVQLESVICDEETTCLWENYEDSLKNLRVSIVKDEMNLNWLHKYSMQR >itb01g10400.t2 pep chromosome:ASM357664v1:1:8659401:8662554:1 gene:itb01g10400 transcript:itb01g10400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASEVPRTSGEQYYNPFHVLNNYISNDHCNSATWDPSIHTHNQHFFTLDSSPTMDYVNCGSPLSGSSGVVDGNELRHVLRELENKLLGPEPEDSCSLNDDLVVVVSKPSFSSMGLKELLLACAEAVSDADISTAEALMNLLEQRVSVSGDPIQRLSAYMLEGLRARVLASGSIIYRKLKCREPTGSELLSYMQVLYHICPYYKFAYMSANIVIQEAMVNEKRIHIIDFQIAQGSQWVFFIQALANRRGGCGFPPFVRITGVDDSQSAQARGGGLQLVGEMLAKVAASCGVGFEFHAAGISWCSEVEMEDLNIRHGEALAVNFPYMLHHMPDESVSTKNHRDRLLRLVRSLSPRVVTLVEQESNTNTAPFLPRFRETLDYYTAMFECIDAAARPREDRQRVSAEEHCVARDIVNIIACEGSDRVERHELFGKWKLRLVMAGFTLCPLSPSVGLAVRDMLKEYSPNYRLAESDGALYLGWKNRALVTSSAWRC >itb01g10400.t1 pep chromosome:ASM357664v1:1:8659401:8662554:1 gene:itb01g10400 transcript:itb01g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASEVPRTSGEQYYNPFHVLNNYISNDHCNSATWDPSIHTHNQHFFTLDSSPTMDYVNCGSPLSGSSGVVDGNELRHVLRELENKLLGPEPEDSCSLNDDLVVVVSKPSFSSMGLKELLLACAEAVSDADISTAEALMNLLEQRVSVSGDPIQRLSAYMLEGLRARVLASGSIIYRKLKCREPTGSELLSYMQVLYHICPYYKFAYMSANIVIQEAMVNEKRIHIIDFQIAQGSQWVFFIQALANRRGGCGFPPFVRITGVDDSQSAQARGGGLQLVGEMLAKVAASCGVGFEFHAAGISWCSEVEMEDLNIRHGEALAVNFPYMLHHMPDESVSTKNHRDRLLRLVRSLSPRVVTLVEQESNTNTAPFLPRFRETLDYYTAMFECIDAAARPREDRQRVSAEEHCVARDIVNIIACEGSDRVERHELFGKWKLRLVMAGFTLCPLSPSVGLAVRDMLKEYSPNYRLAESDGALYLGWKNRALVTSSAWRC >itb09g13800.t1 pep chromosome:ASM357664v1:9:9033659:9040730:1 gene:itb09g13800 transcript:itb09g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFPFCCFSNKEKRKRRSCFMALEFCSWLCLLKVPRMEEVKKEESHATSAAAFVEGGIQDACDDACSICLEIFSKSDPSTVTHCKHEFHLQCILEWCQRSSNCPMCWQQLSLKDPESQELLEAVEHERSLKLNPARSATIIHHPTLGNIELPHLPVGLSDTELEERIIQHFAAAAMERAHRNSRRERSRSRPSSDERQQRFVFSTHPNGSRVRPGSASQVPVGAVSESSLNNETPQVSSINSSVNSSHTVASSSASIPMLNNSQGISVDSRSSSGQSSMTNQENAGPSDLQSFSDTLRSQFSSMSMKYRDSFNKTKRGWKERLFSRSASLSDIGSEVRREVNAGIASIMERLESRESNRSPRAPVDTNTSTQSETPSNDNSGALATRVTYIPPPKDGGGIQRKKRRRGRVTLGYLRVVRLLGDRIREGFTDLSARQRLPASSPARLTSYITRTHSIGFFNPLGLSLASLLPRSFPPNSFFHPTSAILIPPLARPINQFLHPLDSSAWFLYPLNPLAWFFNLLAPSAWILHPLDPSAWFLHLLGYIVGFD >itb09g10060.t4 pep chromosome:ASM357664v1:9:6230536:6232498:-1 gene:itb09g10060 transcript:itb09g10060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHERKASIREFYGVIFPSLLTLQRGITDVEERKQREICENRYSKRDETNKGKFSEIELEREEECGICLELESKVVLPDCSHALCMKCYRDWRTRSQSCPFCRDSLKRVNSGDLWICTNTCEVRDLCAISSDNLKRFLLYIEKLPLLLPNSTFVSYNPHYR >itb09g10060.t2 pep chromosome:ASM357664v1:9:6230701:6233347:-1 gene:itb09g10060 transcript:itb09g10060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSPCAHIFMFLVQWADCNLAGALGLLRILIYKAYENGKTSMYVHERKASIREFYGVIFPSLLTLQRGITDVEERKQREICENRYSKRDETNKGKFSEIELEREEECGICLELESKVVLPDCSHALCMKCYRDWRTRSQSCPFCRDSLKRVNSGDLWICTNTCEVRDLCAISSDNLKRFLLYIEKLPLLLPNSTFVSYNPHYR >itb09g10060.t3 pep chromosome:ASM357664v1:9:6231519:6233347:-1 gene:itb09g10060 transcript:itb09g10060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKALEADIQHANTLASDYPRAYGGACLQMRLSYSPCAHIFMFLVQWADCNLAGALGLLRILIYKAYENGKTSMYVHERKASIREFYGVIFPSLLTLQRGITDVEERKQREICENRYSKRDETNKGKFSEIELEREEECGICLELESKVVLPDCSHALCMKCYRDW >itb09g10060.t1 pep chromosome:ASM357664v1:9:6230387:6233347:-1 gene:itb09g10060 transcript:itb09g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKALEADIQHANTLASDYPRAYGGACLQMRLSYSPCAHIFMFLVQWADCNLAGALGLLRILIYKAYENGKTSMYVHERKASIREFYGVIFPSLLTLQRGITDVEERKQREICENRYSKRDETNKGKFSEIELEREEECGICLELESKVVLPDCSHALCMKCYRDWRTRSQSCPFCRDSLKRVNSGDLWICTNTCEVRDLCAISSDNLKRFLLYIEKLPLLLPNSTFVSYNPHYR >itb03g07190.t2 pep chromosome:ASM357664v1:3:5256300:5258805:1 gene:itb03g07190 transcript:itb03g07190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAKRMGVHLGTRLRGPTRFQCIRNISQQSGSTSNHLFIVDTLALVRRLESQGVPSKEAEALTAALKDVLNDSLEHVANSYVSKTESQKIEMIHETNLSKFKSEVQSSQENHFSLLQRETEKLRTDIEKMRSELRYEIDKVTAGNRLDQNLERGRIRDELAGQCHEISNLDNKLDRVSTHTTYIHPKNS >itb03g07190.t1 pep chromosome:ASM357664v1:3:5256295:5259369:1 gene:itb03g07190 transcript:itb03g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAKRMGVHLGTRLRGPTRFQCIRNISQQSGSTSNHLFIVDTLALVRRLESQGVPSKEAEALTAALKDVLNDSLEHVANSYVSKTESQKIEMIHETNLSKFKSEVQSSQENHFSLLQRETEKLRTDIEKMRSELRYEIDKVTAGNRLDQNLERGRIRDELAGQCHEISNLDNKLDREIHSLRAQLEAAKYDVVKYCIGTIVSISAVGLAVIRILK >itb04g28000.t2 pep chromosome:ASM357664v1:4:31873527:31875780:1 gene:itb04g28000 transcript:itb04g28000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKEDDISSHSQLPSHVFNELLDSIIVDVASECHRIARLGLDRNLDEVEEELHLSTQARARVADPSNSGEASSKYVVDIFGQNHPPVADEVFDCMNCGRSVMAGRFAPHLEKCMGKGRKARQKATRSSTAAQNRYSRGSPVAAYSNSTGMSRLSNGSSGVAGDVYTNGTTEEP >itb04g28000.t3 pep chromosome:ASM357664v1:4:31872804:31875136:1 gene:itb04g28000 transcript:itb04g28000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKEDDISSHSQLPSHVFNELLDSIIVDVASECHRIARLGLDRNLDEVEEELHLSTQARARVADPSNSGEASSKYVVDIFGQNHPPVADEVFDCMNCGRSVMAGRFAPHLEKCMGKGRKARQKATRSSTAAQNRYSRGSPVAAYSNSTGMSRLSNGSSGVAGDVYTNGTTEEP >itb04g28000.t4 pep chromosome:ASM357664v1:4:31873527:31875068:1 gene:itb04g28000 transcript:itb04g28000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKEDDISSHSQLPSHVFNELLDSIIVDVASECHRIARLGLDRNLDEVEEELHLSTQARARVADPSNSGEASSKYVVDIFGQNHPPVADEVFDCMNCGRSVMAGRFAPHLEKCMGKGRKARQKATRSSTAAQNRYSRGSPVAAYSNSTGMSRLSNGSSGVAGDVYTNGTTEEP >itb04g28000.t1 pep chromosome:ASM357664v1:4:31872804:31875898:1 gene:itb04g28000 transcript:itb04g28000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKEDDISSHSQLPSHVFNELLDSIIVDVASECHRIARLGLDRNLDEVEEELHLSTQARARVADPSNSGEASSKYVVDIFGQNHPPVADEVFDCMNCGRSVMAGRFAPHLEKCMGKGRKARQKATRSSTAAQNRYSRGSPVAAYSNSTGMSRLSNGSSGVAGDVYTNGTTEEP >itb04g12860.t1 pep chromosome:ASM357664v1:4:12584994:12588702:-1 gene:itb04g12860 transcript:itb04g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIFLSNPFLNLPKPRTSTRLSSPSPFSSSRTNGLAKPFRVEIPVLYPKNRRKLPLLLVGVVGKTREEAEAEAGSVVGEDSAEFELSKQKISSWVYFTVILGVVLYALNLLWIDNSTGFGKSFIYTVSALSDSHEIVMFALTLIFAIVHSGLASFRDQGERLIGERAFRVLFAGISLPLAVSTVVYFINHRYDGVELWQLQSFPGLHQFVWLSNFISFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVMWCLAHTLWIGNSVAVAASLGLIGHHLFGAWNGDRRLAIRYGEAFEAVKNRTSIIPFAAIVDGRQKLPKDYYKEFIRLPYLSITVLTLGAYFAHPLMQAASFRLHW >itb14g01730.t3 pep chromosome:ASM357664v1:14:1420768:1423645:1 gene:itb14g01730 transcript:itb14g01730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKTTLTKMVFKDRDLQYEFFTRLWVYVSRTMNRKQIFLDILSNFTKNTKEFQGMNEDKLADKIKEYLEGGKYFIVLDDVWTEHDWNCLRIAFPNNNKGSRVLVTTRHHNVASRVDSTGNPHKLKFLSIDESWELLEKKVFRKERCPKELVGDGRHIAIKCHGLPLAIVVIAGVLNKDSTTTEWKRIAQDPYPIINKEDMSYNKLVKISYDNLPYNLKDCFLYLAVFPIGHEIATWKLIRLWIAEGFIPMDGGYSSELVVTAEKYLKDLVDRNLLMVLKRRADGQTKTCRIHDTLHEFCKNEGASKNLFYEMEVAKSELKIMPRRICVHSTVLDFLKLENKPSSEHVHSFLSFCSNEIEIPNEHLAVIPKSFRLLRVMDIESLKFKLFPRQLYELSHLRYLAVSTELKVLPVVFNKLWNLQTLVFKTSENTLEVKADMWSMSKLRHVHSNTSMVLPPPPKNAKNSSGSTDIQTLSTISPSSCTAEILEKTPNLQKLGIRGNLAELMESKGGVILFDNLQILDCLENLKLINNALQNSKLRRCPHSEKFPRRLRKMTLSNTTFEWKDLNILSLLEELEVLKLEENAFRGEFCDASNIVFKQLRYLRIGRTNLVSWKVSKDSFQALKYLILRHCNVLESVPSVFGEVESLKVMELFCTNGRAANSAREIQDLKNKRKCGFQLSIYPPDH >itb14g01730.t2 pep chromosome:ASM357664v1:14:1418845:1423318:1 gene:itb14g01730 transcript:itb14g01730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDAVVGQLVNRLVQVVAENVAFIKEIKEQISALVDDLTSFEAYLRQASRNPRANDNVVLKDVVDKIRNVVTDAEDAICKYTVERKHYKDKGWLRFIGSAAYYAKVNAAARDIQGILNRVKKIREFHGPALQALIDDHAKEQPAIHLMAPVVEEVDVVGFDNEAKTIKDRLKGGSNDLTIISIEGMAGLGKTTLTKMVFKDRDLQYEFFTRLWVYVSRTMNRKQIFLDILSNFTKNTKEFQGMNEDKLADKIKEYLEGGKYFIVLDDVWTEHDWNCLRIAFPNNNKGSRVLVTTRHHNVASRVDSTGNPHKLKFLSIDESWELLEKKVFRKERCPKELVGDGRHIAIKCHGLPLAIVVIAGVLNKDSTTTEWKRIAQDPYPIINKEDMSYNKLVKISYDNLPYNLKDCFLYLAVFPIGHEIATWKLIRLWIAEGFIPMDGGYSSELVVTAEKYLKDLVDRNLLMVLKRRADGQTKTCRIHDTLHEFCKNEGASKNLFYEMEVAKSELKIMPRRICVHSTVLDFLKLENKPSSEHVHSFLSFCSNEIEIPNEHLAVIPKSFRLLRVMDIESLKFKLFPRQLYELSHLRYLAVSTELKVLPVVFNKLWNLQTLVFKTSENTLEVKADMWSMSKLRHVHSNTSMVLPPPPKNAKNSSGSTDIQTLSTISPSSCTAEILEKTPNLQKLGIRGNLAELMESKGGVILFDNLQILDCLENLKLINNALQNSKLRRCPHSEKFPRRLRKMTLSNTTFEWKDLNILSLLEELEVLKLEENAFRGEFCDASNIVFKQLRYLRIGRTNLVSWKVSKDSFQALKYLILRHCNVLESVPSVFGEVESLKVMELFCTNGRAANSAREIQDLKNKRKCGFQLSIYPPDH >itb14g01730.t1 pep chromosome:ASM357664v1:14:1418845:1423645:1 gene:itb14g01730 transcript:itb14g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDAVVGQLVNRLVQVVAENVAFIKEIKEQISALVDDLTSFEAYLRQASRNPRANDNVVLKDVVDKIRNVVTDAEDAICKYTVERKHYKDKGWLRFIGSAAYYAKVNAAARDIQGILNRVKKIREFHGPALQALIDDHAKEQPAIHLMAPVVEEVDVVGFDNEAKTIKDRLKGGSNDLTIISIEGMAGLGKTTLTKMVFKDRDLQYEFFTRLWVYVSRTMNRKQIFLDILSNFTKNTKEFQGMNEDKLADKIKEYLEGGKYFIVLDDVWTEHDWNCLRIAFPNNNKGSRVLVTTRHHNVASRVDSTGNPHKLKFLSIDESWELLEKKVFRKERCPKELVGDGRHIAIKCHGLPLAIVVIAGVLNKDSTTTEWKRIAQDPYPIINKEDMSYNKLVKISYDNLPYNLKDCFLYLAVFPIGHEIATWKLIRLWIAEGFIPMDGGYSSELVVTAEKYLKDLVDRNLLMVLKRRADGQTKTCRIHDTLHEFCKNEGASKNLFYEMEVAKSELKIMPRRICVHSTVLDFLKLENKPSSEHVHSFLSFCSNEIEIPNEHLAVIPKSFRLLRVMDIESLKFKLFPRQLYELSHLRYLAVSTELKVLPVVFNKLWNLQTLVFKTSENTLEVKADMWSMSKLRHVHSNTSMVLPPPPKNAKNSSGSTDIQTLSTISPSSCTAEILEKTPNLQKLGIRGNLAELMESKGGVILFDNLQILDCLENLKLINNALQNSKLRRCPHSEKFPRRLRKMTLSNTTFEWKDLNILSLLEELEVLKLEENAFRGEFCDASNIVFKQLRYLRIGRTNLVSWKVSKDSFQALKYLILRHCNVLESVPSVFGEVESLKVMELFCTNGRAANSAREIQDLKNKRKCGFQLSIYPPDH >itb15g01490.t1 pep chromosome:ASM357664v1:15:888798:890852:-1 gene:itb15g01490 transcript:itb15g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVPKSLQEIKAALFQGFESFNHLKHIHARLLRSGLHQNNYLLNQLLKLTLNTFHNPSYANLLFTQTQHPNIFLWNTVIRGFITNDCFDQAIGVFNSMREMGGCLPNQFTFPFLLKSCARLSDYEQGVKAHSLVVKLGFDYDVFVNTGLVCFYAKCGLLEDAHKVFDDLSERNVVSWTAIMSAYIEFGRFREAVDLFHRSLGMGLVPDSFILVRVLSACGHLGDMGAGEWIHRYVAETGVGRNVFVSTSLVDMYSKCGNMEKARHVFDEMSEKDIVSWSAMIQGYAANGLPKEALNLFYGMQRENLSPDCYSMVGVLSACGRLGALNVGEWASSLMDRKEFHFNPVLGTALIDMYAKCGQMVLAWEVFKEMKVKDRVVWNSVMSGLAMHGHVRSAFACFGQLEKHGINPDANTFMALLCACTHAGLVNDGRQYFDSMTRLYFLNPDIQHYGCMVDLLGRAGRLEEAHSLIKGMAMEPNAIIWGALLGGCRLHRDTKLAEHVLKQLIELEPWNSGNYVLLSNIYSADHKWDDSEKIRSAMNERKIQKIPAYSWIEVDGKVHEFLVGDKYHPMSDKIHAKLSELNKKLREVGYVPKTEYVLFDIEEEEKEHFVGCHSEKLAVAFGLISTKPNDVIRVIKNLRICGDCHTAIKLISKITGREIIVRDTNRFHCFIDGSCSCRDYW >itb02g16030.t1 pep chromosome:ASM357664v1:2:11753718:11756308:1 gene:itb02g16030 transcript:itb02g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSILCLFVIVVSLFGNLCGASEKVGIYELKKGDFSVKITNYGATVLSVIFPDQNGKLDDVVLGFGSIDEYKNDTTYFGGLVGRVANRIGGARFTLNGIQYKLPANDHGNTLHGGDIGFSDVIWKVEDYIKDRHLSLSYESPDGEQGFPGDLHVSVTYMIIGRNRLAIRMKGHALNKATPVNLASHTYWNLAGHNSTHNILSHTIQIFGSGITPVKDNLVPTGEIRAVKGTAYDFLQPREIGSMLSKVPGGVGYDINYVLDGGSDNNGRHLHKAAVVQEHKSGRKMELWTDKPGYNFTPVTC >itb14g00810.t1 pep chromosome:ASM357664v1:14:576696:578594:1 gene:itb14g00810 transcript:itb14g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLCKAKKNPAAGKRLLVSITVLGSAGPIRFVASENDLVAAVIDTALKSYAREGRLPILGSDLNNFVLYCPNAATEALSPWDTIGSLGVRNFVLCKKPSEEKATPNNGKQATEARKSSSSIWKTLFNKSLNAKVMSH >itb01g11540.t2 pep chromosome:ASM357664v1:1:10565017:10577007:-1 gene:itb01g11540 transcript:itb01g11540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSDVAASYIETQLMKVREQQQQQQQQQQQSQQPQHPQQQQQQQQQQQQQQQQQLQMQQLLLQRQAQQQQQQQQQHHQQQHTQQRRDGSNLLNGTANGVVGNDSLIRQNPGANALSAKAYEERLKLPVQRDSSDDATMKLQQRFGENASQLLDSNHASILKSAATTAGQPSGQLLQGAAGGMSPQVQARNQQLPGSTPDIKTEMNPILNPRAAAPEGSLIGISGSNQGSNNLTLKGWPLTLRSGILQQQKSFMQGPQQFHHQLQMLSPQQQQLMLGQQNLTSPPANDIEARRLRMLLNNRNMTLGKDGLSNPVGDVVQTMESPLRAGCSVMPQSDTDMIMKLKLAQLQQQQQHTNPQQQLQLQQQTLSGQQPQSSSNSLQQDKIMGTDSVTGDAMISNSFRGNEGPKSQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTQTPGDVMSIPALQQSGNSSKPLVMFGTDNNGTLTSSSNQLWNDKDLVQADMDRFVDDGSLDDNVESFLSQEDVEPRDTVGRGMDVGKGFAFTEVSSARASASKVICCHFSSDGKLLASGGHDKKAVLWFTDTLKPKTTLEEHSSLITDVRFSPSMAHLATSSFDKTVRVWDADNPGYSLRNFNGHSASVMSVDFHPNKEDLICSCDGDGEIRYWSIKNGSCTRVFKGGTAQVRFQPRLGRYLAAAAENVVSILDVDTQSCRHSLKGHTKPIHSVCWDPSGELLASVSEDSVRVWTLGSGSEGKCVHQLSSNGNKFHSCVFHPTYSSLLVIGCYQALELWNMTENKTMTIPAHEGLIASLAVSTAAGLVASASHDKLIKLWK >itb01g11540.t1 pep chromosome:ASM357664v1:1:10564958:10577007:-1 gene:itb01g11540 transcript:itb01g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSDVAASYIETQLMKVREQQQQQQQQQQQSQQPQHPQQQQQQQQQQQQQQQQQLQMQQLLLQRQAQQQQQQQQQHHQQQHTQQRRDGSNLLNGTANGVVGNDSLIRQNPGANALSAKAYEERLKLPVQRDSSDDATMKLQQRFGENASQLLDSNHASILKSAATTAGQPSGQLLQGAAGGMSPQVQARNQQLPGSTPDIKTEMNPILNPRAAAPEGSLIGISGSNQGSNNLTLKGWPLTLRSGILQQQKSFMQGPQQFHHQLQMLSPQQQQLMLGQQNLTSPPANDIEARRLRMLLNNRNMTLGKDGLSNPVGDVVQTMESPLRAGCSVMPQSDTDMIMKLKLAQLQQQQQHTNPQQQLQLQQQTLSGQQPQSSSNSLQQDKIMGTDSVTGDAMISNSFRGNEGPKSQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTQTPGDVMSIPALQQSGNSSKPLVMFGTDNNGTLTSSSNQLADMDRFVDDGSLDDNVESFLSQEDVEPRDTVGRGMDVGKGFAFTEVSSARASASKVICCHFSSDGKLLASGGHDKKAVLWFTDTLKPKTTLEEHSSLITDVRFSPSMAHLATSSFDKTVRVWDADNPGYSLRNFNGHSASVMSVDFHPNKEDLICSCDGDGEIRYWSIKNGSCTRVFKGGTAQVRFQPRLGRYLAAAAENVVSILDVDTQSCRHSLKGHTKPIHSVCWDPSGELLASVSEDSVRVWTLGSGSEGKCVHQLSSNGNKFHSCVFHPTYSSLLVIGCYQALELWNMTENKTMTIPAHEGLIASLAVSTAAGLVASASHDKLIKLWK >itb09g15610.t2 pep chromosome:ASM357664v1:9:10839620:10845757:1 gene:itb09g15610 transcript:itb09g15610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNEEWKWFDMQGPELLLASALPLEDSSFKSLNNPSFPTTTLTTSTTLSPQEAPERVEETMEGSESGEKPTSPPPTVAFRELFRFADGLDYVLMGIGSLGAFIHGFSLPLFLRFFADLVNSFGSYANDVDKMTQEVLKYAYYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTEAVLVQDAISEKLGNFIHYMATFVSGFVVGFTAAWQLALATLGVVPLIVVIGAIHTMTLAKLSGKSQEALSKAGNIVEQTVVQIRTVLAFVGESRALQAYSAALKVTQKIGYKVGFCKGLGLGATYFTVFCCYALLLWYGGYLVRHHLTNGGLAIATTFAVMLGGIGLGQSAPSMAAFAKARVAAAKIFRIIDHKPSVDRNSETGLELDSVTGQLELKNVDFSYPSRPDIQILNNFSLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPGASDAEVEAAARVANAYSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPVILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVVVLQQGSVYEIGTHDELIGKGEHGVYAKLIKMQEAAHETIMNNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDVAYPNYRQEKLAFKEQASSFWRLAKMNSPEWGYAFIGSIGSLICGSLSAFFAYVLSAVLSVYYNPDHAYMSREIAKYCYLLIGVSSAALIFNTLQHLFWDVVGENLTKRVREQMLTAVLKNEMAWFDQEENESSKIAARLSLDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEAAHAKGTQLAGEAVANVRTVAAFNSETKIVSLFTANLNPPLRRCFWKGQIAGIGYGIAQFLLYASYAVGLWYASWLVKHQISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMRSVFDLLDRKTEIEPDDTDATPVPEKLRGEVEFKHVDFSYPSRPDVLIFKDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPTSGRVTVDGKDIRKYNLMSLRRHISVVPQEPCLFAATIYENIAYGHESATEAEIIEAATLANAHKFVSALPDGYKTFVGERGAQLSGGQKQRIAVARAFLRKAEVMLLDEATSALDAESERCVQEALERACAGKTTIVVAHRLSTIRNAHSIAVIDDGKVAEQGSHSHLLKHHPDGVYARMIQLQRFSHGGQALNMASSTSSGPSRED >itb09g15610.t1 pep chromosome:ASM357664v1:9:10839620:10845757:1 gene:itb09g15610 transcript:itb09g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNEEWKWFDMQGPELLLASALPLEDSSFKSLNNPSFPTTTLTTSTTLSPQEAPERVEETMEGSESGEKPTSPPPTVAFRELFRFADGLDYVLMGIGSLGAFIHGFSLPLFLRFFADLVNSFGSYANDVDKMTQEVLKYAYYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTEAVLVQDAISEKLGNFIHYMATFVSGFVVGFTAAWQLALATLGVVPLIVVIGAIHTMTLAKLSGKSQEALSKAGNIVEQTVVQIRTVLAFVGESRALQAYSAALKVTQKIGYKVGFCKGLGLGATYFTVFCCYALLLWYGGYLVRHHLTNGGLAIATTFAVMLGGIGLGQSAPSMAAFAKARVAAAKIFRIIDHKPSVDRNSETGLELDSVTGQLELKNVDFSYPSRPDIQILNNFSLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPGASDAEVEAAARVANAYSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPVILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVVVLQQGSVYEIGTHDELIGKGEHGVYAKLIKMQEAAHETIMNNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDVAYPNYRQEKLAFKEQASSFWRLAKMNSPEWGYAFIGSIGSLICGSLSAFFAYVLSAVLSVYYNPDHAYMSREIAKYCYLLIGVSSAALIFNTLQHLFWDVVGENLTKRVREQMLTAVLKNEMAWFDQEENESSKIAARLSLDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEAAHAKGTQLAGEAVANVRTVAAFNSETKIVSLFTANLNPPLRRCFWKGQIAGIGYGIAQFLLYASYAVGLWYASWLVKHQISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMRSVFDLLDRKTEIEPDDTDATPVPEKLRGEVEFKHVDFSYPSRPDVLIFKDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPTSGRVTVDGKDIRKYNLMSLRRHISVVPQEPCLFAATIYENIAYGHESATEAEIIEAATLANAHKFVSALPDGYKTFVGERGAQLSGGQKQRIAVARAFLRKAEVMLLDEATSALDAESERCVQEALERACAGKTTIVVAHRLSTIRNAHSIAVIDDGKVAEQGSHSHLLKHHPDGVYARMIQLQRFSHGGQALNMASSTSSGPSRED >itb11g22860.t1 pep chromosome:ASM357664v1:11:24743458:24744360:1 gene:itb11g22860 transcript:itb11g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDQLTQQSTRRRQVVSIVGMGGIGKTTLARKVYEDSSITSYFDMQAWTTVSQEYSLEQMLRCLIGGVIAESRDELHEQNTDQLAERLRKHLKDQRYLIVIDDIWSTAAWDSVQRCFPDDNNGSRILLTSRLREVAEYVSSGKSPLNMPFLNADESWNLYCKVFGKTEFLLVFEQIGKDIVKKCKGLPLAITIVASLLSKTEEVEEKWKNVAKSVMSDSNDAYSRILYLSYNQLPHPLKACFLYFGIFEEDYEIPVKKLVRLWVAEGFLSIVKHVNTEKVAMECLQDLVDRSLVIVSK >itb02g05200.t1 pep chromosome:ASM357664v1:2:3122240:3124335:-1 gene:itb02g05200 transcript:itb02g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFVPSPLVGHIVSIVELAKLLLNRDDRLSITVLLIKHPEDPIANTVVQNVVSADARIRHLTLPEMEPPPEEMIVKSPENYVAAYIKSYRAHAKHAIVNTVLSSDSGESPALAGIVFDLFCSSMVDVANDLGVPSYLFYTSGAGFLGFNLYLPIRERVVGREYRLSDPDSVVSTFASPVPARVMPTFAFINDGYRSFVEHGKKFRETKGMIINTFAELEPYAVKALESDPDLPPVYTVGPLLAPQKEHAGKEEIINWLSEQPPSSVVFLCFGSQGGFEAPQIHQIATALERSGHRFLWSIRRPWSLTSSERAGDFTSFDPILPPGFAGRTRNRGKVCGWAPQVEVLAHRATGAFVSHCGWNSTLESMWHGVPMVTWPIYAEQQVNAFQLVKELGLAVELTVDYRRVQGSENVVMAEEIEKAIRSVMEAENPVRKRAKEMGEMSRKALKEGGSSFISLQHLIDDIIHNIKQC >itb11g01440.t1 pep chromosome:ASM357664v1:11:672594:674094:-1 gene:itb11g01440 transcript:itb11g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYYTEIRPCKMAIMDAVVSLSSKQRVVVTAASSAGAAATTQFTTLKNTKSWTTLGNAASSKCLVAADRAAKLCSKWMEYQGIRDWEGLLDPLDDYLRKEILRYGDFVEAAYRSFDFDTSSPTYATCQYDEDAVMSKCGLGGSGYKVTRNLYGTCSVNVPRWTNLVSPKSSWIGYVAVCDDKDEIRRLGRRDVVIAYRGTATPPEWLENLRATLTCLPDDMAPRNCEPMVQRGFLSLYTSTSDTHPSLKDTIRDEITKIIETYGDEPLSITITGHSLGAALATITAYDITTKFRHAPLVTVVSFGGPRVGNKNFRSQLEKSGTKVLRIVNSDDPITKVPGFVVDPDDVSDCGTTQSSGMLSWLQKRVDSQWVYAEVGKELRVSSSKDSSYSKGVATCHDLKTYLHLIDNWSTCNCPLRATAKRVMEKNHGKRRRVLL >itb11g04010.t1 pep chromosome:ASM357664v1:11:2142577:2145076:1 gene:itb11g04010 transcript:itb11g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGAAGGEIVQVEGGHIIRSTGRKDRHSKVFTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKNAIDKLAELPPWNPNDEASVIPASQDGDVAGMPLEQNSDSSARYDFPFQKHLEAAAGNAAGNSPFILQEDETQSIAETMKSFFPMNSGSSLMNFQSYHHELMPRASIQTEDNLGLSLHTLQDHRRPASTSQQHALFSGQNPATFEANFSRMVGWNGAAGNGGESRVGFFLNHHTHQSIPPQTAAPAVFSQGGAFSQRESLQSNYSHLIPAWEERTALSSAISNSHFAAEFQVPARIHGEDEHGGGVAAIKPSSPPSNSHY >itb01g02520.t3 pep chromosome:ASM357664v1:1:1649160:1651661:1 gene:itb01g02520 transcript:itb01g02520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTRGRRRITSRQCRPTPYPLPSVNQSGDPNQKKCSKKFKNDWEDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYKKAYTKVKLLNQSQSLQSSINSPAPAPAPFSDWPAEKGEITELACPLCRGQVKGWTVVEPARAYLNAKKRSCMQDECSFVGNFKEMRKHVKTEHPSARPREMDPLLEQKWRRLEGERERDDVISTIRSSMPGAVVFGDYVIEGSPFGFGSEDEDGFEVDVMDRNEGFGVGIDGNLINVLRFLQAFGSAGNIGSNRGSRRHGGSGSRDPTRASGGGTAGLDRNLPGFDYSDVDSDGVGNVVHENSTSLVGRLRRHGRVLLGRSGRRRRRSEDPGGRG >itb01g02520.t1 pep chromosome:ASM357664v1:1:1647600:1651724:1 gene:itb01g02520 transcript:itb01g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTRGRRRITSRQCRPTPYPLPSVNQSGDPNQKKCSKKFKNDWEDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYKKAYTKVKLLNQSQSLQSSINSPAPAPAPFSDWPAEKGEITELACPLCRGQVKGWTVVEPARAYLNAKKRSCMQDECSFVGNFKEMRKHVKTEHPSARPREMDPLLEQKWRRLEGERERDDVISTIRSSMPGAVVFGDYVIEGSPFGFGSEDEDGFEVDVMDRNEGFGVGIDGNLINVLRFLQAFGSAGNIGSNRGSRRHGGSGSRDPTRASGGGTAGLDRNLPGFDYSDVDSDGVGNVVHENSTSLVGRLRRHGRVLLGRSGRRRRRSEDPGGRG >itb01g02520.t2 pep chromosome:ASM357664v1:1:1647633:1651724:1 gene:itb01g02520 transcript:itb01g02520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTRGRRRITSRQCRPTPYPLPSVNQSGDPNQKKCSKKFKNDWEDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYKKAYTKVKLLNQSQSLQSSINSPAPAPAPFSDWPAEKGEITELACPLCRGQVKGWTVVEPARAYLNAKKRSCMQDECSFVGNFKEMRKHVKTEHPSARPREMDPLLEQKWRRLEGERERDDVISTIRSSMPGAVVFGDYVIEGSPFGFGSEDEDGFEVDVMDRNEGFGVGIDGNLINVLRFLQAFGSAGNIGSNRGSRRHGGSGSRDPTRASGGGTAGLDRNLPGFDYSDVDSDGVGNVVHENSTSLVGRLRRHGRVLLGRSGRRRRRSEDPGGRG >itb09g08980.t1 pep chromosome:ASM357664v1:9:5381207:5384593:1 gene:itb09g08980 transcript:itb09g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGNDMWDDSALVSAFNDAVSKYKIKHNQAGGAGSAPVNSSAVENESKEARRHEQADDDSKALSTKTIEMAETSTVSPVAQNYCAEASGLEQSQDKPTSYVNSQSTEDYNFLLSKYYEIEDQRQKALQQLYQFCGWSYEYPGYSTLTSQEHQTYAAHASNSTPTAFYCPYGCQSSETPPCCCVGGNCSDKNFDAARSSNDQNSTSLQQPDVKTAMDAAEKALSSLRHDLGNDRSPNMSNDHVEEVTKPETDLSEVLRAWYSAGFYTGKYLSEKSCARKQHD >itb02g06870.t1 pep chromosome:ASM357664v1:2:4308285:4310412:-1 gene:itb02g06870 transcript:itb02g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECMANYNVTSLSPKPPPPTLRNLRSLLPSSLRAHQNHHHAFKTKASSTIDLSPQKRSYKKRTKPTTPRKFREQDAFPDSIPIHSKNPHSVYKDIQSFARQNRLKEVLSILDYLDQRGIPVNVTTFASIIEACVRKRALNEARTVHTHIRINGLENNEFLQTKLVNMYAACGAVEDAKKVFDGMPVRSVYPWNALLRGNVVLGGRKYHEALDTFSAMRAQGVELNVYSFSCMIKSLAGASAFSQGLKTHGLLVKNGFLGSNIIRTSLIDMYFKCGKINLACRVFEEIENRDVVAWGAMIAGFAHNRLQREALECTRQMIEEGLEVNSVILTTILPVIGEMWAWRIGQEAHAYVLKTKDYSKQLFIQSALIDMYCKCGDLASGRKVFYSSKERNAVSWTALLAGYVENGRLDQALRSITWMQQEGFKPDIVTLATVLPVCGKLRALVQGKEIHGYAVKNGFLPNVSVATSLMMMYAKCGLLEYSVSVFEGMEKRNVIAWTAMISSYIESGSLHEALDVFRAMQLSKHRPDSVAMARMLEICGELRDLKLGREVHAQVLKKDLACVPFVSAETIRMYGSCGAIDEARFSFDAVSVKGPITWTAIIEAYGLTGQYVEALQTFKQMISKGSSPNAFTFKAILHICEQAGFADEACRFFSLMTKRYKIEASKEHYDSIISLLNSVGQFEKADKFVHLRSLLE >itb04g32880.t3 pep chromosome:ASM357664v1:4:35264807:35275087:1 gene:itb04g32880 transcript:itb04g32880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGEKWSVVTGGRGFAARHLVEMLIRYNMFSVRVADLGPEIKLEPHEEHGTLGQALRSGRAVYVSMDLRDKSQVLKAFEGAEAVFHMAAPDSSINNYQLHHSVNVQGTKNVIDACVELKVKRLIYTSSPSVVFDGVHGIFNGDESMPYPAKHNDSYSATKAEGEALVLKANGINGLLTCCIRPSSIFGPGDRLLVPSLVTAARAGKSKFIIGDGNNMYDFTYVENVAHGHICAERALASEGAVAEKAAGQAYFITNTEPIKFWEFVSLILEGLGYERPSIKIPACVMMPIAHLVELTYKLLGPYGMKVPQLTPSRIRLLSRSRTFSCSKANDRLGYTPIVPLQEGLSRTIDSYPHLRAEIKTRKDGPSKASILLGNGRVADTLLWRDKRQTLITALVLAAIYFNFIAVGYTMITATSKLLLVASIFLFIHGKLPQKIFGYKIEKIPESKFIVSEEMSLQVAGSVASLWNSAVNSLKLLCRGTGWVLFLKVVSSLLILSILGTFSLRSLFVIVLPIAFVAFVVYEKKEEEIDHFVHEAISRVSKLKSNIVEKVPISSKKTQ >itb04g32880.t1 pep chromosome:ASM357664v1:4:35264686:35273947:1 gene:itb04g32880 transcript:itb04g32880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGEKWSVVTGGRGFAARHLVEMLIRYNMFSVRVADLGPEIKLEPHEEHGTLGQALRSGRAVYVSMDLRDKSQVLKAFEGAEAVFHMAAPDSSINNYQLHHSVNVQGTKNVIDACVELKVKRLIYTSSPSVVFDGVHGIFNGDESMPYPAKHNDSYSATKAEGEALVLKANGINGLLTCCIRPSSIFGPGDRLLVPSLVTAARAGKSKFIIGDGNNMYDFTYVENVAHGHICAERALASEGAVAEKAAGQAYFITNTEPIKFWEFVSLILEGLGYERPSIKIPACVMMPIAHLVELTYKLLGPYGMKVPQLTPSRIRLLSRSRTFSCSKANDRLGYTPIVPLQEGLSRTIDSYPHLRAEIKTRKDGPSKASILLGNGRVADTLLWRDKRQTLITALVLAAIYFNFIAVGYTMITATSKLLLVASIFLFIHGKLPQKIFGYKIEKIPESKFIVSEEMSLQVAGSVASLWNSAVNSLKLLCRGTGWVLFLKVVSSLLILSILGTFSLRSLFVIVLPIAFVAFVVYEKKEEEIDHFVHEAISRVSKLKSNIVEKVPISSKKTQ >itb04g32880.t2 pep chromosome:ASM357664v1:4:35264807:35275087:1 gene:itb04g32880 transcript:itb04g32880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGEKWSVVTGGRGFAARHLVEMLIRYNMFSVRVADLGPEIKLEPHEEHGTLGQALRSGRAVYVSMDLRDKSQVLKAFEGAEAVFHMAAPDSSINNYQLHHSVNVQGTKNVIDACVELKVKRLIYTSSPSVVFDGVHGIFNGDESMPYPAKHNDSYSATKAEGEALVLKANGINGLLTCCIRPSSIFGPGDRLLVPSLVTAARAGKSKFIIGDGNNMYDFTYVENVAHGHICAERALASEGAVAEKAAGQAYFITNTEPIKFWEFVSLILEGLGYERPSIKIPACVMMPIAHLVELTYKLLGPYGMKVPQLTPSRIRLLSRSRTFSCSKANDRLGYTPIVPLQEGLSRTIDSYPHLRAEIKTRKDGPSKASILLGNGRVADTLLWRDKRQTLITALVLAAIYFNFIAVGYTMITATSKLLLVASIFLFIHGKLPQKIFGYKIEKIPESKFIVSEEMSLQVAGSVASLWNSAVNSLKLLCRGTGWVLFLKVVSSLLILSILGTFSLRSLFVIVLPIAFVAFVVYEKKEEEIDHFVHEAISRVSKLKSNIVEKVPISSKKTQ >itb03g27990.t1 pep chromosome:ASM357664v1:3:28615029:28616042:-1 gene:itb03g27990 transcript:itb03g27990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRISDSVLCHKTCKERDIIEVYSHPYSSEIPDSSVFEEFSIPFPHLTAFRLFLASFSERIKEAFKGLRKEKRVGINGI >itb01g25340.t1 pep chromosome:ASM357664v1:1:30793956:30796207:-1 gene:itb01g25340 transcript:itb01g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGSYASTMATAPERPQPLHNFFLSQLRWGHNSTANHRFRRRDSHPHPNRHRSVSDGGSDSDLPPPGSQPRFSPSPCSAEKERPPTPREPESERNGVVVIPEEGETRTWNLRPRKASLTASLRSGEMAEAEKSHNHMPKSQRLRGIVEGVGQGQGGHQWLEKKRKLWISLSKEEIEEDVYSLTGSRPARRPKKRPRNVQKLVNNVLPGLYLAGLSVDSYRAHESQM >itb11g11180.t3 pep chromosome:ASM357664v1:11:8170556:8174432:1 gene:itb11g11180 transcript:itb11g11180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51070) UniProtKB/Swiss-Prot;Acc:P42762] MSLDIGLLIAGAKERGELEARVTTLIKEVKKSGNIILFIDEVHTLIGSGTVGRGSRGSGLDIANLLKPPLGRGELQCIASTTMDEYRLHFEKDKALARRFQPVMINEPSQEDAVQILLGLREKYEAYHKCKYTFEAITAAVQLSARYIPDRYLPDKAIDLIDEAGSKARMEANKRKKEQLTSILTKPPSDYWQEIRAVQAMHEVILRSKLSENDDASQFEGDNKVNVEHYMTSANKDDEPSIVGLEEIAAVASLSSGIPLQQLRVDERMLLMSLDEQLRKRVVGQDEAISAISRAIKRSRVGLKDPKRPIAAMLFCGPTGVGKSELAKALAATYFGSESAMLRLDMSEYMERHTISKLIGSPPGYIGYGDGGTLTEAIRKTPFTVVLLDEIEKAHPDVFNILLQLFEDGHLTDSQGRRVSFKNALILMTSNIGSPAIVKGRRNAIGFLLADDESTSYAGMKALVMEELKAYFRPELLNRLDEVVVFRPLEKPQMLEILNIMLKELKARLVSMGIELEVSEAITDLICQQGFDRNYGARPLRRAITHIIEDRLSESLLSGDFMSGDVAVIQLDDSGNPVVTNRSNRTIRLSDTSSMA >itb11g11180.t5 pep chromosome:ASM357664v1:11:8168627:8172217:1 gene:itb11g11180 transcript:itb11g11180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51070) UniProtKB/Swiss-Prot;Acc:P42762] MELSCSSPLSVNSTVSRLYPPLRCRLSFYGRRKTTQYVGSLLRHCPSSRSTAAAPGISSSSLFGVSVSHRPCASFRRKVKRSLRIVCGVFERFTERAIKAVMFSQREAKALGKDMVFTEHLLLGLIAEDRGPGGFLGSGITIEKAREAVVSIWNDGENVKVGFEDSGSATSATDVAFSASAKLVFEAAVEYSRTMGYNFIAPEHIAIGLFTVDDGNAARVLKRLGANANHLAAVAVSRLQGELAKDGREPPALKRSRDKSFTGKITIDKSTEKTREKRALEQFCVNLSVRASEGRIDPVIGRDTEIQRVVQILCRRTKNNPILLGQAGVGKTAIAEGLAINIAEGNVPSFLSKKCIMSLDIGLLIAGAKERGELEARVTTLIKEVKKSGNIILFIDEVHTLIGSGTVGRGSRGSGLDIANLLKPPLGRGELQCIASTTMDEYRLHFEKDKALARRFQPVMINEPSQEDAVQILLGLREKYEAYHKCKYTFEAITAAVQLSARYIPDRYLPDKAIDLIDEAGSKARMEANKRKKEQLTSILTKPPSDYWQEIRAVQAMHEVVTKSFTLAREVTL >itb12g14690.t1 pep chromosome:ASM357664v1:12:14836481:14837255:1 gene:itb12g14690 transcript:itb12g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVSSINHPDLRRISTDYGFEGHPLRKDLPLSGYVEAVAKMEAKEMGGGGEIEHRRWEETKRVSGKNARKQRVASGR >itb10g20640.t1 pep chromosome:ASM357664v1:10:26133177:26135516:1 gene:itb10g20640 transcript:itb10g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSLCISSQQKSSHLQFFTFPLCSALLMEFNLENPFTSFNDHHLDAVPALFAGESDHMPSLLSFNDDQISFSIRREAFSLTYRTQFVYKFDRSIAYLAMNYIDRFLSRQAVLENKPWVVRILVISCLSLAAKMRCIDLCLSDIQRDEGLVFDSQSVHRMEGMILSCLKWRLRSITPFSFLHFFTSLYPLEDPSIAQSLIHRASTIIFTSQYDIKLFEYNPSVIAASALLYVAEKLIPMQFLSFKNAIFRCEYVKSDEILNCLSVMRETVTRGIESSIDAMSDCSLTPRSVLRRESGMMPERDGDMKRRRLNKFCDDREYC >itb10g20640.t2 pep chromosome:ASM357664v1:10:26133177:26135516:1 gene:itb10g20640 transcript:itb10g20640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSLCISSQQKSSHLQFFTFPLCSALLMEFNLENPFTSFNDHHLDAVPALFAGESDHMPSLLSFNDDQISFSIRREAFSLTYRTQFVYKFDRSIAYLAMNYIDRFLSRQAVLENKPWVVRILVISCLSLAAKMRCIDLCLSDIQRDEGLVFDSQSVHRMEGMILSCLKWRLRSITPFSFLHFFTSLYPLEDPSIAQSLIHRASTIIFTSQYDIKLFEYNPSVIAASALLYVAEKLIPMQFLSFKNAIFRCEYVKSVRFNYTCMICVTLKICYFFKLFF >itb09g15530.t1 pep chromosome:ASM357664v1:9:10777451:10777837:1 gene:itb09g15530 transcript:itb09g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKTVFMLVFLMVILLGSPVVNVMGQSNDGGDFAYPNGCVTDKDCDKSCKCPPGGIGNKCDGGFCVCGCSIASNNGH >itb09g09150.t1 pep chromosome:ASM357664v1:9:5465422:5467101:-1 gene:itb09g09150 transcript:itb09g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMCFVVVAFIFSLICFPAACSSIHAHHQDFVHCLSRKLRNNNATSQVVYTPNSPSFLPILNLSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGLSFVSTLPFAILDLIKFRSIKVNVGEKSAWVQTGATLGELYYTIGNKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGKSMGEDLFWAIRGGGGASFGIIWAIRGGGGASFGIILAWKLELVPVPETVTVFNISKTLEQNLTNLLYKWQFFANKADRNLYLRVVLQNTNTTNGERTVEGLFNAQYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQRSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLGYATGWDEVGQVAAQKHLSWIRKLYDYLTPYVSNNPRESYSNYRDLDLGQNNLVGTTSLAQASSWGYKYFMNNFYRLAKVKALVDPDNFFRSEQSIIPLSYPL >itb12g08620.t1 pep chromosome:ASM357664v1:12:6783408:6784580:1 gene:itb12g08620 transcript:itb12g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLMKSILLLALIASFGRAYDPSPMQDFCVAVPDAQAAVFVNGKVCKNPKLVTENDFYKAAGLNTPAGGTKLSKVGLISNFIDVDRFPGLNTMGLSFGRIDFEPNGLIPLHYHPRGSEVVFVLKGTLYVGFVTSNAQNIGKNKLFAKILNPGDAFIFPVGLIHFLYNVGRTNAIAIGSFNSQKPGFVFLANAVFGPAPPISDDVLAKTFHLEKKVVQFLQAQNWSLA >itb05g19850.t1 pep chromosome:ASM357664v1:5:26150159:26153952:-1 gene:itb05g19850 transcript:itb05g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVILHIYDVTNSPSDKTNNTILQINRIFKDGIGLGGIFHSAIQVHGNEEWSFGYCEQGTGVFSCPSGKNPMYSYRESIVIGKTNCSIFKMNQILRELSRDWPGFLYDLLSKNCNHFCDEFCEKLGVPKLPGWVNRFAHAGDAALEMAENTAFRLRQAKAEIVTASKVAYRFLVGVASSSSACTPELPGNPSRESPRGEASPTVPKATWFTDLMDIGAKPSSSSDIESNIEVRGHLQQGEDTETTRRPNLQYQS >itb14g19640.t1 pep chromosome:ASM357664v1:14:22244252:22244674:1 gene:itb14g19640 transcript:itb14g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGWNYAALIFLVMPACFFALETIASTTTEAEALLKWKTGLSMYYYGSSLLFPLNSWSLSNLTNHCNWSGIVCNGGGAVSQINLPNAYLSGTLHHLNFTSFPSLTGFNITGNNFNGSIPPAIADLSNLVFLILEQQFV >itb05g15300.t2 pep chromosome:ASM357664v1:5:22696498:22704383:1 gene:itb05g15300 transcript:itb05g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPETLTLDSTSIYTAALNSISSQIPAPSLPLPTLPSTIPQPKLIPKTRFIIDGFKHAGDFSISYFLSHFHSDHYTGLSPNWTRGVIYCSSITARLLVEVLQVPAPFVVSLPLSKQVLIDGCEVFLVDANHCPGAVQFLFRIPVHSCNGKFERYVHTGDFRYCDSMKLDHTLNAFVGADAVFLDTTYCNPKYVFPSQEEAIDYIVGVIESSGVENEGSFKSVLFLVATYVIGKEKILMEIARRCQRKIHVENRKMLVLRILGHGDGVFTEDESETDIHVVGWNVLGETWPFFRPNFVRIQEIMTEKGYSKVVGFVPTGWSYEVKRNKFPVRKKDSFEIHLVPYSEHSNYDELREYVKFLKPKCVIPTVGIDVEKLDSKHANAMRKHFAGLVDEMAIKQDFLMGFHRVIQGVDENIVKKPACDIGISEIQNEPILSDLKGSKDGNLENIEESFPQNESKLQGLENIDEDAMEESIKELQDFLPSWVNRSQMMDLLRISERNLVDAVSHFYEHETEFHEQVVTSESCASISQEICKNEFSLPFKLTQSESSLSQPLPLKSLKRNDIVSLSKSPSQNYKSSSRKKSATSGISPGKKKRNTETKSSKRAKLNSKMDSSGSKQCTITKFFSKTVPPDSKSENDGAAIEYCHNDKNAALNAITEPYKDEIDEFIRIVNGNESLQNHAASILQKTKGDINRALDVYFNHANEATEVKQDIHDDSSKGVKSQCVQKVVSSQDSKSSKKWGAEVHMVLNGPSMENIAENYVSLPPEKYSPLGHACWRSGQHAPYLHLARTFELVEEEKGKVKATSMLCNMFRSLLALSPEDVLPAMYLCTNKIAPDHENIELNIGGSIVIAALEEACGAKKAKIQDLYKNLGDLGDVAQVCRQTQSLLAPPATLTIQSVFSTLKQISVQTGSGSTIRKKSLVLSLLRSCREKEMKFIVRTLVRNLRIGAMMRTVLPAFAQAIAIHSSSSKDLVGNMKEHLQRLSTEVVEAYNILPSLDLLVPSLMEKGTEFSSMILSMVPGIPIKPMLAKITNGASQVLNRFQNKAFTCEYKYDGQRAQIHRLADGSVRIFSRNGDETTRRFPDVVDIIKESCNLTGQTFILDAEVVAIDRKNDSKLMSFQELSSRERGSKDSLITLDKIKVYHPHAYALLIHIVLSPVGC >itb05g15300.t1 pep chromosome:ASM357664v1:5:22696498:22707112:1 gene:itb05g15300 transcript:itb05g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPETLTLDSTSIYTAALNSISSQIPAPSLPLPTLPSTIPQPKLIPKTRFIIDGFKHAGDFSISYFLSHFHSDHYTGLSPNWTRGVIYCSSITARLLVEVLQVPAPFVVSLPLSKQVLIDGCEVFLVDANHCPGAVQFLFRIPVHSCNGKFERYVHTGDFRYCDSMKLDHTLNAFVGADAVFLDTTYCNPKYVFPSQEEAIDYIVGVIESSGVENEGSFKSVLFLVATYVIGKEKILMEIARRCQRKIHVENRKMLVLRILGHGDGVFTEDESETDIHVVGWNVLGETWPFFRPNFVRIQEIMTEKGYSKVVGFVPTGWSYEVKRNKFPVRKKDSFEIHLVPYSEHSNYDELREYVKFLKPKCVIPTVGIDVEKLDSKHANAMRKHFAGLVDEMAIKQDFLMGFHRVIQGVDENIVKKPACDIGISEIQNEPILSDLKGSKDGNLENIEESFPQNESKLQGLENIDEDAMEESIKELQDFLPSWVNRSQMMDLLRISERNLVDAVSHFYEHETEFHEQVVTSESCASISQEICKNEFSLPFKLTQSESSLSQPLPLKSLKRNDIVSLSKSPSQNYKSSSRKKSATSGISPGKKKRNTETKSSKRAKLNSKMDSSGSKQCTITKFFSKTVPPDSKSENDGAAIEYCHNDKNAALNAITEPYKDEIDEFIRIVNGNESLQNHAASILQKTKGDINRALDVYFNHANEATEVKQDIHDDSSKGVKSQCVQKVVSSQDSKSSKKWGAEVHMVLNGPSMENIAENYVSLPPEKYSPLGHACWRSGQHAPYLHLARTFELVEEEKGKVKATSMLCNMFRSLLALSPEDVLPAMYLCTNKIAPDHENIELNIGGSIVIAALEEACGAKKAKIQDLYKNLGDLGDVAQVCRQTQSLLAPPATLTIQSVFSTLKQISVQTGSGSTIRKKSLVLSLLRSCREKEMKFIVRTLVRNLRIGAMMRTVLPAFAQAIAIHSSSSKDLVGNMKEHLQRLSTEVVEAYNILPSLDLLVPSLMEKGTEFSSMILSMVPGIPIKPMLAKITNGASQVLNRFQNKAFTCEYKYDGQRAQIHRLADGSVRIFSRNGDETTRRFPDVVDIIKESCNLTGQTFILDAEVVAIDRKNDSKLMSFQELSSRERGSKDSLITLDKIKVDICIFVFDIMFANGAQLLNLPLRQRRKYLKDLFGEGKGGYFEYAREMTVEADDSSTDNEATLTRINCFLNDALQSSCEGIMVKSLDVDAGYTPSKRSDAWLKVKKDYVEGLSDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPDTEEYQSVCRAMSGFSDAFYKEMKDFFSGDKILGQKPPYYQTAEVPDVWFSPELVWEIRGAEFTVSPVHHAAMGLVHPSRGISVRFPRFIRSVADRNPEECSIAADIAEMFSSQTRKMDVSNEPK >itb09g25340.t1 pep chromosome:ASM357664v1:9:25315851:25317299:-1 gene:itb09g25340 transcript:itb09g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRSVSWLSLSSARSPATAPTPPPARSDSIRRPAFKPFRTLSSAQPQKPTWPPTAIGAAAPEQRAQTPQPPPEQRPLFRSSTAAAKPPPQPAAAPISLQPPPAAAAAQRSSPPPTLTITSQAVSNAPKAPITTPPALKPSQPIAAASFPKSPITTNLSSPSTILQQFNNSSAETEHKSLLVQQVTEKSNQVQPNSSHVDTKHHKLQSTAQIAKTGVAFEEKAVAMQRKHLDDSSSKKHHGMRIVRLVGDNIGAAMVVNRSRNKYDHGAKLPSIQDKRITTPHCNNKEKNRPKTLQSAERTGTSTLFMNSNVQGVNNSIAFDSCLTHHNPGLHVCTFEP >itb15g13110.t1 pep chromosome:ASM357664v1:15:11060754:11067865:1 gene:itb15g13110 transcript:itb15g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLMGNDPIIITQFNLSKSIKDSILAYFGECGLASSLNSFQVKYVNPITKVCIIRASRQEYQKVWAAMTMITSIGNCPVVFNLLNLSGSIKACKDAALKCEESKFEHCKLVGGIQLNDDLKQHMQNCLEKIKVLEH >itb15g13110.t3 pep chromosome:ASM357664v1:15:11060754:11067322:1 gene:itb15g13110 transcript:itb15g13110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLMGNDPIIITQFNLSKSIKDSILAYFGECGLASSLNSFQVKYVNPITKVCIIRASRQEYQKVWAAMTMITSIGNCPVVFNLLNLSGSIKACKDAALKCEESKFEHCKLVGGIQLNDDLKQHMQNCLEKIKVLEH >itb15g13110.t4 pep chromosome:ASM357664v1:15:11060754:11067322:1 gene:itb15g13110 transcript:itb15g13110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLMGNDPIIITQFNLSKSIKDSILAYFGECGLASSLNSFQVKYVNPITKVCIIRASRQEYQKVWAAMTMITSIGNCPVVFNLLNLSGSIKACKDAALKCEESKFEHCKLVGGIQLNDDLKQHMQNCLEKIKVLEH >itb15g13110.t2 pep chromosome:ASM357664v1:15:11060754:11067865:1 gene:itb15g13110 transcript:itb15g13110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYMVMEVFLDPNKDLMGNDPIIITQFNLSKSIKDSILAYFGECGLASSLNSFQVKYVNPITKVCIIRASRQEYQKVWAAMTMITSIGNCPVVFNLLNLSGSIKACKDAALKCEESKFEHCKLVGGIQLNDDLKQHMQNCLEKIKVLEH >itb09g30230.t1 pep chromosome:ASM357664v1:9:30843999:30848509:1 gene:itb09g30230 transcript:itb09g30230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTIAAALPNIENQHVDELVSSVDEGLQYASHIDVLDKKHVLKCIKNAASVVWVGVKLHKKWLDMDLGNTISEIRSTRVIIQGLADEAERIVKEFSSTGSQNLVENPLYWPPNVLAANSMYRISRTILLYYENGECQPEELFRKLICMIANILVACLTNLPHLIATMCINNAFEERLKSVYNAAILFGEIEEILKLFEEEQLLGIGPSQPLCIDEWRRWMEKQDPTAFTSATNSGTSSTVESNEELVIVPMGADRHLLREPGAPTAISVRETVALIAISAREPGASTAISVQVFDRRPPPAIASLSRTAASAQGLVASW >itb14g20320.t1 pep chromosome:ASM357664v1:14:22735422:22736566:-1 gene:itb14g20320 transcript:itb14g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSMLFSFCKWLCKGKSIPVRKLKRLKKGLQEQLRLGIWLKVVQRFEWLVGQLSPDCFEVDYTKLFTSPCKAERREERLFLFPNLAVCVLVLAGGVGLLVILFHF >itb07g19260.t1 pep chromosome:ASM357664v1:7:23689307:23690911:1 gene:itb07g19260 transcript:itb07g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAEDEVVARKSKKRKERNNMLAANPVHIVKDVGVEGDKSFEEENLMKKKSDNEGVERMKKKKKSAGDKKGGALMEDTNGITVEKAKKRKRKREVESNSNELHAVSQDIANDVSVDNGGYVNSTATEIHESKKKHKKKAGKISDGCTEGEVEKVKRKKKKNKKKKGEVDQDVAIGLACTDGIADFSVNDTQASGVGNDNNSRNQVKDSSKDPKLKNSKKKVRFSDELEVFPESNVPESGNDENEEVELIRGKRFSKIEDEKIKEAVYKYIEVHNLGEEGLDMVLNSRSHPEVKNCWKEIGAAIPNRPHVAVYYRAQIIFRRAENPKWTEEEKALVLQHVKLHGNEWKSLAEELGRHRFHVKDTWRRIKLPKMKAGHWSQDEYQNLFDLVNTDLQVRITEEKKSKHGMLRDNICWTAISDKLSTRNGPNCCLKWYKQLTSPMVAEGIWSDSDDYRLIGALYNLDETCIENVDWDNLVEHRSGEICLKRWRQMVLHIGNHGNKPFSEQVEVLAKRYCPSLIEARETWDSKPVVP >itb07g19350.t2 pep chromosome:ASM357664v1:7:23740515:23746366:-1 gene:itb07g19350 transcript:itb07g19350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVGGKYKLGRKIGSGSFGELYLGVNIQNEEEVAIKLESVKTKHPQLHYESKIYILLQGGTGIPSLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEFMHARGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDRISEKKMLTPIEVLCKSHPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGGTSSRGRNPSGGAGLGAGPSAERQGRTSVGQDIRDKFSGAVEAFSRRNGLGSGRHAEQSRQKSEDVQSSKYVQADSENGNTLRNGSSRRGTIASSREATDGVRSNKLVSSSGRLSTAQRVESKASSYSRTGVTKGTRDDFLRSFDFLSIRK >itb07g19350.t1 pep chromosome:ASM357664v1:7:23740468:23746288:-1 gene:itb07g19350 transcript:itb07g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVGGKYKLGRKIGSGSFGELYLGVNIQNEEEVAIKLESVKTKHPQLHYESKIYILLQGGTGIPSLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEFMHARGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDRISEKKMLTPIEVLCKSHPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGGTSSRGRVSHNPSGGAGLGAGPSAERQGRTSVGQDIRDKFSGAVEAFSRRNGLGSGRHAEQSRQKSEDVQSSKYVQADSENGNTLRNGSSRRGTIASSREATDGVRSNKLVSSSGRLSTAQRVESKASSYSRTGVTKGTRDDFLRSFDFLSIRK >itb10g25310.t1 pep chromosome:ASM357664v1:10:28677469:28681723:-1 gene:itb10g25310 transcript:itb10g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGARTFQCSFPVQNGIPRLKRSVLIDCPLNCSRGSPTPLPTRFRARQTRLITACISHSRDILHEDVNESEKSTQSVESSQSTVSVSGMGEEEAEKGMQIGETLKSIVSKTDIQEFSADESIWSQIVEIVKFSGPAVGLWLCGPLMSLIDTAVIGQGSSIELAALGPGTVFCDNTSYLFMFLSIATSNLVATALARQDKSEVQHQISTLLFIALTCGVLMLFFTILFGPWGLTAFTGARNAEIITAANTYVQIRGLAWPAILVGLVTQSASLGMKDSWGPLKALAAASVINGVGDIVLCRFFNYGIAGAAWATMVSQVVAAYMMIEALNLKGYNGFAVSIPSYKELQQIFVLAGPVFITMMSKVAFYSLLVYCITSMGTHVLAGHQVMIQLFCLCAVWSEPLSQTAQSFMPELIYGVNRNLSKAQMLLKSLLIIAAINGFLLACIGTSIPWLFPKVFSPDPAVIREMQSVLIPYFLALCVSPSVHSLEGTLLAGRDLKFISASMSGLFGLVSLLLLLLSRKGFGLAGCWFTLVAFQWSRYAVALRRLTSPNGMLYSEDSTYYEVDKLKAV >itb06g16290.t1 pep chromosome:ASM357664v1:6:20453474:20461906:-1 gene:itb06g16290 transcript:itb06g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGAGKHGRGGGGGGRGNGAGKRNIHSSFHTPPIQRSSAVSGGRLSVGSGGAGPRNRGTSSVPNSSAPSNAVEESFNLVTRNPLDFAMIIRLAPGLVEEIKRVEAEGGMAQIKFDANANNSVGNIDLFYQVINVGSKDFRFTWSRDGGDLCDIYEEQQSGEDGNGLLVESGSAWRKLNVQRVLDESTKNHVKMLSEEAVKKSNSRKAIVLDHGNPSTKSQVKAMAAVEGNPWRAGFKQPPFKKRKADPPPGGSSRPPYKSSLSTITPSKGRPSTSPLSSPFHPSGNPASPFGSGLQKSQTNVEEVPTQPMNKTASSDKEIPLNHVNSIGAQNMPGCKRSTDCKPSNLRSLLISALVENQSNGMNLKALEKTVGDAFPNSARQIEPILKKIANLQAGRYFLKPGVETESFKTPMAESGSSPEENCHTPPARNKHDEFPASQPSFSMRINTNELEGQAQLNSKTEEASNALEAVDILEQSPDYSGEKKVSDHSLEPADSSSDSGSDSESDSSDSGSENKSSPRGSKSVSGSSSDSESDASSHSKEPSDVEVDIMSSDDDREEKDKLQASEPGLSKSPIPSGTQDIELGQFGIDEMEHVDVIDTVEIENHLQVGERGLEKTITDKVPVKEGKQQTEETGPSSDQNENQESQVQTAMYSGTESMTKTGFKHEQSDSFRAPKSKSKRVSDVKQFDDKPDRTKRSKTGSINQQQSSGRNPVFAEGSQYSSPDRPLEFSSKGIDVPMANRMGREGTSDFSSQKGYNQAFSGNSLSDFHQPDQRPVEFSGQGKAAATAEKPVKYGNILSRGAKYPESSFSLNDGFPSQRDKFSKDSVHEDGILDEQRATKISKEGTGEWHQTSIDSQYRRYDNLDPGCSPKENNTSNVGRSVMNGQNKRLQRELSDLELGEVRDFMPEEAPALQKPSERRSSFKGENKPTCSDHWNVDTSKGKGANKIVAGSKKASPPHSNITGGTPDGLFKRKTLEPYPEDCTRPQQKSQQPLSQQNHFRVDQNEVGYLNKAAEASSKNRQNEAGGSPEVYGDNRKKFPGGAAKRHEAKRGGGHISTKESNMQKANLVADQNGRRKDASLTGSNDDQKRKESSPDEISCSYYKYEKKEPEFKGPIKDFSQYKEYVQEYVEKYESYCSLNKTLESDRIKFSKLGKDLEVCKGRDMERYYVILEQLKDSYRQCGARHKRLKKIFVVLHEELKHLKQMMTEFAASYVKN >itb11g12300.t1 pep chromosome:ASM357664v1:11:9159021:9161613:-1 gene:itb11g12300 transcript:itb11g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase 4 [Source:Projected from Arabidopsis thaliana (AT3G18220) UniProtKB/Swiss-Prot;Acc:Q0WNG6] MSDIQFGGHTIKSHGHELAKLHKYDWMILLLLALIDGFLYIIEPFHRYTNADMMRDLKYPFKVHSTIPIWAVAVYAVILPCTIFYIYYLHRKNVYDLHHAVLGIMYSMLIAAVITDSIKAAVGRPRPNFFWRCFPDGIEVYDPVSGDVECTGLHKFIKEGYKSFPSGHTSFSFAGLGFLAWYLSGKIKAFDRSGHSAKLCIVLLPYLVAALVGVSRVDDYWHHWTDVFAGAVIGSVVSSLCYLQFFPFPHDRNGWGPYAYFRTLEENGFQRSLASMSDEEEIQDLEARGRRH >itb01g11070.t1 pep chromosome:ASM357664v1:1:9703384:9704124:1 gene:itb01g11070 transcript:itb01g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFIHKVSRFLLPSSFSCSCHAKNISDVVENSRNLHESAPPKNANKNKKAAFLLGLSPAAINQGRRCPPVTPISVVDSHLEVSNNSSNGGWFSSEEAEQEEEDDDTFFSLSSRCFTLHPKTPRRRLDDLSSSASDKEPETAKTDLQVEFDYYYRRRRTSRAGRKKRKGKMRSEMGYYDAIIEKSTTDPYNDFRTSMVEMIVANQIFGAQDLQRLLHCFLSFNSSYFHRIIVEVFSEIIQTLFSQ >itb11g03290.t2 pep chromosome:ASM357664v1:11:1711510:1715281:1 gene:itb11g03290 transcript:itb11g03290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKKKKKSERRRWGWAAIGAVVAAALAVGVLRARNYNIYKHCTCAQDSRKYTGIVEGCCCDYETVDSLNADVLHALLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPESFKKRVLPFDDLKCQEGKPQAAVDRTLDSKAFRGWVEVDNPWTQDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWDAVYSDNCKYAPGEICQEKKVLYKLISGLHSSISIHIAADYLLDETKNLWGKNLELMYDRVLQYPDRVRNLYFTFLFVLRAVTKATDYLEQAEYDTGNEVEDLKTQSLVRQLVYNPKLQTACPVPFDEAKLWKGQGRPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQMHPMQPLQLQRNEVIALMNLLNRLSESISFVREMSPDVEKVMEGLVPDHLSSVISSWRRMVDAIVGLWLRSSPL >itb11g03290.t5 pep chromosome:ASM357664v1:11:1711510:1715125:1 gene:itb11g03290 transcript:itb11g03290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKKKKKSERRRWGWAAIGAVVAAALAVGVLRARNYNIYKHCTCAQDSRKYTGIVEGCCCDYETVDSLNADVLHALLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPESFKKRVLPFDDLKCQEGKPQAAVDRTLDSKAFRGWVEVDNPWTQDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWDAVYSDNCKYAPGEICQEKKVLYKLISGLHSSISIHIAADYLLDETKNLATDYLEQAEYDTGNEVEDLKTQSLVRQLVYNPKLQTACPVPFDEAKLWKGQGRPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQMHPMQPLQLQRNEVIALMNLLNRLSESISFVREMSPDVEKVMEGLVPDHLSSVISSWRRMVDAIVGLWLRSSPL >itb11g03290.t3 pep chromosome:ASM357664v1:11:1711510:1714597:1 gene:itb11g03290 transcript:itb11g03290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKKKKKSERRRWGWAAIGAVVAAALAVGVLRARNYNIYKHCTCAQDSRKYTGIVEGCCCDYETVDSLNADVLHALLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPESFKKRVLPFDDLKCQEGKPQAAVDRTLDSKAFRGWVEVDNPWTQDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWDAVYSDNCKYAPGEICQEKKVLYKLISGLHSSISIHIAADYLLDETKNLWGKNLELMYDRVLQYPDRVRNLYFTFLFVLRAVTKATDYLEQAEYDTGNEVEDLKTQSLVRQLVYNPKLQTACPVPFDEAKLWKGQGRPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQMHPMQPVSLPIVLFSA >itb11g03290.t4 pep chromosome:ASM357664v1:11:1711510:1714597:1 gene:itb11g03290 transcript:itb11g03290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKKKKKSERRRWGWAAIGAVVAAALAVGVLRARNYNIYKHCTCAQDSRKYTGIVEGCCCDYETVDSLNADVLHALLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPESFKKRVLPFDDLKCQEGKPQAAVDRTLDSKAFRGWVEVDNPWTQDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWDAVYSDNCKYAPGEICQEKKVLYKLISGLHSSISIHIAADYLLDETKNLATDYLEQAEYDTGNEVEDLKTQSLVRQLVYNPKLQTACPVPFDEAKLWKGQGRPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQMHPMQPVSLPIVLFSA >itb11g03290.t1 pep chromosome:ASM357664v1:11:1711510:1714403:1 gene:itb11g03290 transcript:itb11g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKKKKKSERRRWGWAAIGAVVAAALAVGVLRARNYNIYKHCTCAQDSRKYTGIVEGCCCDYETVDSLNADVLHALLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPESFKKRVLPFDDLKCQEGKPQAAVDRTLDSKAFRGWVEVDNPWTQDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWDAVYSDNCKYAPGEICQEKKVLYKLISGLHSSISIHIAADYLLDETKNLWGKNLELMYDRVLQYPDRVRNLYFTFLFVLRAVTKATDYLEQAEYDTGNEVEDLKTQSLVRQLVYNPKLQTACPVPFDEAKLWKGQGRPELKQQIQKQFRNIRFSSEVLSKWQIFHLNKIYC >itb07g01170.t4 pep chromosome:ASM357664v1:7:718469:720959:1 gene:itb07g01170 transcript:itb07g01170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSESEDNMTMKGNVNSPSVEEATGGANAGGVPLKKGPWTSAEDAILMEYVTRHGEGNWNAVQKHTGLARCGKSCRLRWANHLKPDLKKGAFTPEEEHRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDVHLQAIHESNQNEDMSTFSTGDTQHSNLLQVNYFEIPAVEFKNLELNQQLHPQSPLDIPASSLLAQGLNSSYGPRPFFSTMNPSKRLRGPDSLFTGLSSNDSDIFTAFGPYQSDTCMQVSQSSGFSSGYYQNLDPDHPSLSCVIPGSHASLNSNSSSLEPAWAKKPELPSLQSQIGSWDAPSSPLPSLESVETLIQSPPTERSESGNVSPRNSGLLEAVLYESQTLKNSKNTLPQQNSDASMIPSDIVDNTFPDFHEAEWEGYADPISPLGHSAASVFNEYTPISSLEEHQSVEMLAGEDVFSLLLSLTFIILI >itb07g01170.t3 pep chromosome:ASM357664v1:7:716027:720959:1 gene:itb07g01170 transcript:itb07g01170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSESEDNMTMKGNVNSPSVEEATGGANAGGVPLKKGPWTSAEDAILMEYVTRHGEGNWNAVQKHTGLARCGKSCRLRWANHLKPDLKKGAFTPEEEHRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDVHLQAIHESNQNEDMSTFSTGDTQHSNLLQVNYFEIPAVEFKNLELNQQLHPQSPLDIPASSLLAQGLNSSYGPRPFFSTMNPSKRLRGPDSLFTGLSSNDSDIFTAFGPYQSDTCMQVSQSSGFSSGYYQNLDPDHPSLSCVIPGSHASLNSNSSSLEPAWAKKPELPSLQSQIGSWDAPSSPLPSLESVETLIQSPPTERSESGNVSPRNSGLLEAVLYESQTLKNSKNTLPQQNSDASMIPSDIVDNTFPDFHEAEWEGYADPISPLGHSAASVFNEYTPISSLEEHQSVEMLAGEDVFSLLLSLTFIILI >itb07g01170.t1 pep chromosome:ASM357664v1:7:716027:721171:1 gene:itb07g01170 transcript:itb07g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSESEDNMTMKGNVNSPSVEEATGGANAGGVPLKKGPWTSAEDAILMEYVTRHGEGNWNAVQKHTGLARCGKSCRLRWANHLKPDLKKGAFTPEEEHRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDVHLQAIHESNQNEDMSTFSTGDTQHSNLLQVNYFEIPAVEFKNLELNQQLHPQSPLDIPASSLLAQGLNSSYGPRPFFSTMNPSKRLRGPDSLFTGLSSNDSDIFTAFGPYQSDTCMQVSQSSGFSSGYYQNLDPDHPSLSCVIPGSHASLNSNSSSLEPAWAKKPELPSLQSQIGSWDAPSSPLPSLESVETLIQSPPTERSESGNVSPRNSGLLEAVLYESQTLKNSKNTLPQQNSDASMIPSDIVDNTFPDFHEAEWEGYADPISPLGHSAASVFNEYTPISSLEEHQSVEMLAGCKFTQEDAPLAPMQCDDKDDALNQIFSRPDFLLDSNCFGLKTGLN >itb07g01170.t2 pep chromosome:ASM357664v1:7:718469:721171:1 gene:itb07g01170 transcript:itb07g01170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSESEDNMTMKGNVNSPSVEEATGGANAGGVPLKKGPWTSAEDAILMEYVTRHGEGNWNAVQKHTGLARCGKSCRLRWANHLKPDLKKGAFTPEEEHRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDVHLQAIHESNQNEDMSTFSTGDTQHSNLLQVNYFEIPAVEFKNLELNQQLHPQSPLDIPASSLLAQGLNSSYGPRPFFSTMNPSKRLRGPDSLFTGLSSNDSDIFTAFGPYQSDTCMQVSQSSGFSSGYYQNLDPDHPSLSCVIPGSHASLNSNSSSLEPAWAKKPELPSLQSQIGSWDAPSSPLPSLESVETLIQSPPTERSESGNVSPRNSGLLEAVLYESQTLKNSKNTLPQQNSDASMIPSDIVDNTFPDFHEAEWEGYADPISPLGHSAASVFNEYTPISSLEEHQSVEMLAGCKFTQEDAPLAPMQCDDKDDALNQIFSRPDFLLDSNCFGLKTGLN >itb03g08060.t1 pep chromosome:ASM357664v1:3:6035337:6040969:1 gene:itb03g08060 transcript:itb03g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQKKKSYHNILVSRDINLYSSIDVQVVQVTLELLVGIFQIKSNKACVTDSSNSKGSASLSFRIFINEFQRRHKTSSQTHKTQIGSSDHRFSRFNGVPNSLGLATTNGEKPGSELSEPTRTRIRGFHTHPPTHNHSPILLLLLLRFPGDRMVAVVEARQLSFPKPPPLSLSLSSPPHITSLLFDPTFLSLALYHSDSSFSLYPSFSPLSPHFALPPPQTLVPPPISSATFLALRDAATPNPNSLFIASSPLSGGSAVLLRFYILTPARKGFARARVVCNHSDLKPEASKLGVVFGVSHGVSVKLAGSVNVFAMYSISNAKIWVFSVKHLGHEEVKLIKCALIDCSTPVFSMSLSFGFLILGEENGVRVLPLRPLVKGRAKNNKSTSQKNATNALERDNKMDSKRQNLQNGMINGMDSKIFFSYRGKMVGSQSELKLPSNSHLNEKSDQHSESVKLRSIKLRQDSRDLGASFIAFNHKVDNFESVKVPIRSVKAITIQALSSRKFLILDSVGKLHILSLANSAPGSEINGHMKQLNHTMSVQKMAVLPDNSTRVQAVWLSDGLHSMHVMAVTDIESSVSETENKETDERLLQTSVSQAIFSSETIQEIAPVTANSVMLLGQGSMFVYAIS >itb10g10540.t1 pep chromosome:ASM357664v1:10:15251735:15252052:1 gene:itb10g10540 transcript:itb10g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEIWHFGDLNKWTICLCHMKCICGCIWQNVLDGYNGTAMAYGQTGTGKTCTLGRLGEEGTADRGIMVSAMEDILAEISLETETVSVSYLHVVSPTERSNTFNL >itb14g08350.t1 pep chromosome:ASM357664v1:14:7779728:7784592:-1 gene:itb14g08350 transcript:itb14g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLSSQNPQQPTEDPAALETGSEIIATQLRKMALVPQPSNEEPLFAEVEMAGDSSTVRATVVQASTVFYDTPATLDKAERLLAEAASYGAQLVVFPEAFIGGYPRGSTFGVCIGNRSAKGKEEFRKYHAAAIDVPGPEVDRLAAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGRYLGKHRKVMPTALERIIWGFGDGSTIPVFETPIGKIGAAICWENRMPLLRTAMYAKGIEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPDYIFSGTEEELTPDSVVCAGGSVIISPSGTVLAGPNYEGEALISADLDLGEIARAKFDFDVVGHYSRPEVLSLVVRDHPLNPVTFTSASNKAESSAK >itb02g03950.t1 pep chromosome:ASM357664v1:2:2364534:2368812:1 gene:itb02g03950 transcript:itb02g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNRSSSAPSKNGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNSVENNPSLKSFTLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKNKDEEDHTCAIREVLEETGFDVSKLLNKEEHIEMVFGQQRVRLYIIAGVKDDTAFAPQTKKEISEIAWQRLDELQPANGDVISRSYTGLKLYMVAPFLTSLKAWISAHQPPVAPRSDRPTKGISMWRAKSSSGGSTVVTESQPSKSTTDVQPVEIGPGRSFRNFRFDTAPIHKAIDAAFCP >itb15g06040.t1 pep chromosome:ASM357664v1:15:3958305:3961454:1 gene:itb15g06040 transcript:itb15g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQQQSPSTSLRRSPPSTTTTPKLSGNPNTFATRIFSDVAGDITIVVNGESFLLHKFPLVSQSGKIRKMVAEAKNPNLSKLELNHIPGGPEAFELAAKFCYGMNFEITAANVAHLRCAAEYLEMADDFREENLIVRTETYLNEVVAQSLEKSVEVLSACETLLPIAEELEIPERCVHAIAKVACQEQLVSGLSHLECDDSGPLELKERCLEWWVEDLSILRIDFYCRVITAMGQAGVRTDTIVASLMHYAQVFLKGIGKAQIWNPARAYPRLAENNQRIIVENLVTLLPPEKSSSIPLSFLFGMLRMAIMVDATLACRLELERRIASRLEMVLLDDLLIPSTQAGDSLFDVDTVHRILVHFLQRVEDEDNEDCGYESEGIGSPSHGSILKVGRLIDTYLAEIAPDPYLSLDKFIAMIAVLPDYARVIDDGLYRAIDIYLKAHPMLSEQESKKLCKFIDCQKLSQEACNHAAQNDRLPVQMVVKVLYFEQLRLKNALSGSSGDGFLSQKISSGVTSAAISPRDTYASLRRENRELKLEISRMRVRLSDLEKEQVFMKQGMMEKSGHGRTFLTSISKGIGRIGIFGGPNGGKQHKSGRKSRAPEGKTGRSRRFSVS >itb06g10380.t1 pep chromosome:ASM357664v1:6:14804159:14804723:-1 gene:itb06g10380 transcript:itb06g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEASELGTVIGIDVGTTYSCFGVDKDERIEIIANDKANIRDLVEPLEVVESVTTTMTLMMSCR >itb02g18730.t2 pep chromosome:ASM357664v1:2:15232076:15243500:1 gene:itb02g18730 transcript:itb02g18730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MDDKFEEQNKLPELKLDAKQAQGFLSFFKTLPSDTRVVRFFDRRDYYTAHGNNATFIAKTYYHTTTALRQLGSGPGAISSVSVSRNMFETIARDLLLERTDHTLELYEGSGSNWRLVKTGTPGNLGSFEDILFANNEMQDSPVIVALVPNIRENGCVVGLGYVDLTKRMLGLTEFLDDSHFTNVESALVALGCKECLVPVESTKSSECRALQEVMARCGVMVTERKKSEFKGRDLVQDLGRLVKGSIEPVRDLVSGFELAPGALGSILCYAELLADENNYGNYTIRRYDLDSYMKLDSAAMRALNVLESKSDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVNEINCRLDLVQAFVEDAELRQGLRQHLKRISDVERLVRNLEKKRAGLVHIVKLYQSSIRLPYIKGALERYDGQFASLIKERYLDHLNYWTDDNHLNKFIGLVETSIDLDQLENGEYMISAAYDSNLSSLKNEQESLERQIHNLHKQTANDLDLAIDKLKLDKGPQFGHVFRITKKEEPKVRKKLNTQFIILETRKDGVKFTNTKLKKLGDQYQKIVEEYKNCQKELVARVVQTASSFSEVFEGIAVLLSELDVLLSFADLAANCPTPYTRPDITPPDVGDIVLEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVDVIKGPTLFATHFHELTALANEYMDSDHSSNSAGIANYHVSAHIDSTNRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKASELEDFSPASIITSDAKEATSKRKREFDSDDVSRGAARARQFLKDFSELPLDKIDMNQALQNLKELKTNLEKDATNNPWLQQFF >itb02g18730.t1 pep chromosome:ASM357664v1:2:15232076:15243500:1 gene:itb02g18730 transcript:itb02g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MDDKFEEQNKLPELKLDAKQAQGFLSFFKTLPSDTRVVRFFDRRDYYTAHGNNATFIAKTYYHTTTALRQLGSGPGAISSVSVSRNMFETIARDLLLERTDHTLELYEGSGSNWRLVKTGTPGNLGSFEDILFANNEMQDSPVIVALVPNIRENGCVVGLGYVDLTKRMLGLTEFLDDSHFTNVESALVALGCKECLVPVESTKSSECRALQEDLGRLVKGSIEPVRDLVSGFELAPGALGSILCYAELLADENNYGNYTIRRYDLDSYMKLDSAAMRALNVLESKSDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVNEINCRLDLVQAFVEDAELRQGLRQHLKRISDVERLVRNLEKKRAGLVHIVKLYQSSIRLPYIKGALERYDGQFASLIKERYLDHLNYWTDDNHLNKFIGLVETSIDLDQLENGEYMISAAYDSNLSSLKNEQESLERQIHNLHKQTANDLDLAIDKLKLDKGPQFGHVFRITKKEEPKVRKKLNTQFIILETRKDGVKFTNTKLKKLGDQYQKIVEEYKNCQKELVARVVQTASSFSEVFEGIAVLLSELDVLLSFADLAANCPTPYTRPDITPPDVGDIVLEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVDVIKGPTLFATHFHELTALANEYMDSDHSSNSAGIANYHVSAHIDSTNRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKASELEDFSPASIITSDAKEATSKRKREFDSDDVSRGAARARQFLKDFSELPLDKIDMNQALQNLKELKTNLEKDATNNPWLQQFF >itb03g22020.t2 pep chromosome:ASM357664v1:3:19976859:19979918:1 gene:itb03g22020 transcript:itb03g22020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGVEGIGEEAGVDKLHQLEDINYEELPSHFRQLSFEIASAGLKSSAKNEHSTTIDVLRILCSYSWEDKMVLMLSAFSIVYGELSRVSRLAMPQKLEKLNQKAVFDCITSVLQLTKCVVELKQTMPPSNYSRPQSIISALPIVSYWIGRSVIGSVGAYYCASPQKQLTSSIAAILHTFSSELEKKRAEESYEALKRALYYNSSSKSEVFRLMFNVKNGEMLFKDKGLNDWNNTRVALLITQGLDISDLTIQFLDCFAWLANTRLLWIPIVQNDDASWTTEDEKQFARLKRRMSNLYWLDNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHRNIMHMIYIWTFTYIEKNTLGVQGIYNITALVEEELKKGTSNIDRVIPEINDMISVLVDDINDKIVAWEHNIKKRIQNLIEQSTPYNNERENFLWQQEPNCSLDLVVGTDGRNVYLANYEIQYWFGTEDYIFLYGGNDINWVREFTTKVSEFASKIQLNVKLAYVGKNKLIRTIIRKEKLSHYALNESYLVWWFWTRLRSMFLSRIHYLDAINQFGEECNDEILQGLKKLLAYEGKNTRIEGWAVLSKGKKMVVCGHGAKILQVINEYEIWKENIATKGFDKAFKDHHEMLTSSSLKSHSCCALEYSTTLSKIPENEKCPECSYRMHKFVTFTCCHGHDIDSDQD >itb03g22020.t1 pep chromosome:ASM357664v1:3:19974956:19979918:1 gene:itb03g22020 transcript:itb03g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMLSAFSIVYGELSRVSRLAMPQKLEKLNQKAVFDCITSVLQLTKCVVELKQTMPPSNYSRPQSIISALPIVSYWIGRSVIGSVGAYYCASPQKQLTSSIAAILHTFSSELEKKRAEESYEALKRALYYNSSSKSEVFRLMFNVKNGEMLFKDKGLNDWNNTRVALLITQGLDISDLTIQFLDCFAWLANTRLLWIPIVQNDDASWTTEDEKQFARLKRRMSNLYWLDNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHRNIMHMIYIWTFTYIEKNTLGVQGIYNITALVEEELKKGTSNIDRVIPEINDMISVLVDDINDKIVAWEHNIKKRIQNLIEQSTPYNNERENFLWQQEPNCSLDLVVGTDGRNVYLANYEIQYWFGTEDYIFLYGGNDINWVREFTTKVSEFASKIQLNVKLAYVGKNKLIRTIIRKEKLSHYALNESYLVWWFWTRLRSMFLSRIHYLDAINQFGEECNDEILQGLKKLLAYEGKNTRIEGWAVLSKGKKMVVCGHGAKILQVINEYEIWKENIATKGFDKAFKDHHEMLTSSSLKSHSCCALEYSTTLSKIPENEKCPECSYRMHKFVTFTCCHGHDIDSDQD >itb02g04860.t1 pep chromosome:ASM357664v1:2:2868631:2872933:-1 gene:itb02g04860 transcript:itb02g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADVVIQIYLDNAGDLELVAKSVESSELNFSRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSVIECEPKREDILPSVIYIQKILRRKPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFITDFFKVYLVDNSLDDLISILKRGKVDDNLLEFFPSAKRTPEAFSEHFTKEDLLPLVEYYKKKIFEVKLKDMKTALTTQISEEADMSEVLETVKQHIKDANLPDIEVVRILWDVLMDAVQWSGKNQQQNANSALRQVKTWADLLKTYCTTGKLELELIYKVQVQCYEDAKLLKLFPEIIRSLYDQEVLAEDTILHWFRKGTNPKGRQTFVKSLEPFVKWLEEAEEED >itb07g12800.t1 pep chromosome:ASM357664v1:7:14829754:14830512:1 gene:itb07g12800 transcript:itb07g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRHMVIIGAECDDSCKKKSRSVMNEAIPACAKELQTWEWQCPFTPNHECLLRELPCLRTLSCKVSSSESFPKIDFLHHLEKLILVSARSGNESPHLLNDLKLSKFPSSVKEIYLTSITLSVSAISTIAQLPNLETLVLRKCMFEEGLTWNVGEETKFQKLKSLQLIWDYTKIWNINSAAESFPCLEQLTLLFCRHLQVMPSDFEDVLTLKMISVRNCNPIVYSWVKEVEECARELGNEQLQVDIDIDGK >itb09g27280.t1 pep chromosome:ASM357664v1:9:27641865:27642516:-1 gene:itb09g27280 transcript:itb09g27280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMARILHAKQGIKRSSSRTNRESEVPKGHLAVYVGKSEKKRFVIPISYLAAPSFQDLLSQAEEEFGFDHPMGGLTIPCPEETFLDIIYSSSRS >itb07g03310.t3 pep chromosome:ASM357664v1:7:2236790:2243015:1 gene:itb07g03310 transcript:itb07g03310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRKLRDAAVITACRRRRTAATWNEDWRRRTRAFVNCLHVRRRESVIGVQARYKWDRSGSSDETRRIRAEVNCPRCSKHMDLIFTNRHPHLIPASPSDSNSACDSNNGKNASVLASSVAVDNDGGNGNGNSNASSTNANSKPGGPYHAVNICPNCKTGYYFRPYKMAPLQGRFFEIGRVKTNVTGKNSDKKMSEEEEYGKRLKSSFWETLQIYGSEPPENWPPSSPLPSSNGIAVHTPPGPPFLPGVNAIRAAAPTGEKSSWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVLSVAAHNHYKRIYHVSQQKGSEAESVKEDNDSNVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIVDATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGMVYIDEVDKIIKKAESVNISRDVSGEGVQQALLKMLEGTIVSVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGARVRANMRTGRVTDAVVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTENQLVQVLIEPKNALCKQYKKLFNMNKVKLHFTDEALRSIAKKAFAKNTGARGLRAILESILTDAMYEVITQFNSIY >itb07g03310.t6 pep chromosome:ASM357664v1:7:2236790:2237943:1 gene:itb07g03310 transcript:itb07g03310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRKLRDAAVITACRRRRTAATWNEDWRRRTRAFVNCLHVRRRESVIGVQARYKWDRSGSSDETRRIRAEVNCPRCSKHMDLIFTNRHPHLIPASPSDSNSACDSNNGKNASVLASSVAVDNDGGNGNGNSNASSTNANSKPGGPYHAVNICPNCKTGYYFRPYKMAPLQGRFFEIGRVKTNVTGKNSDKKMSEEEEYGKRLKSSFWETLQIYGSEPPENWPPSSPLPSSNGIAVHTPPGPPFLPGVNAIRAAAPTGEKSSWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVISFFPVHYSSKQYCTKPCKDVH >itb07g03310.t1 pep chromosome:ASM357664v1:7:2236790:2243118:1 gene:itb07g03310 transcript:itb07g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRKLRDAAVITACRRRRTAATWNEDWRRRTRAFVNCLHVRRRESVIGVQARYKWDRSGSSDETRRIRAEVNCPRCSKHMDLIFTNRHPHLIPASPSDSNSACDSNNGKNASVLASSVAVDNDGGNGNGNSNASSTNANSKPGGPYHAVNICPNCKTGYYFRPYKMAPLQGRFFEIGRVKTNVTGKNSDKKMSEEEEYGKRLKSSFWETLQIYGSEPPENWPPSSPLPSSNGIAVHTPPGPPFLPGVNAIRAAAPTGEKSSWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVLSVAAHNHYKRIYHVSQQKGSEAESVKEDNDSNVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIVDATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGMVYIDEVDKIIKKAESVNISRDVSGEGVQQALLKMLEGTIVSVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGARVRANMRTGRVTDAVVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTENQLVQVLIEPKNALCKQYKKLFNMNKVKLHFTDEALRSIAKKAFAKNTGARGLRAILESILTDAMYEIPEVKAGNEKVDAVVVDEESVGSASVPGCGGKLLFGNGTLERYLARNPSKDQKEEIADSELQDGVSEVSSRAMSI >itb07g03310.t2 pep chromosome:ASM357664v1:7:2236791:2243015:1 gene:itb07g03310 transcript:itb07g03310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRKLRDAAVITACRRRRTAATWNEDWRRRTRAFVNCLHVRRRESVIGVQARYKWDRSGSSDETRRIRAEVNCPRCSKHMDLIFTNRHPHLIPASPSDSNSACDSNNGKNASVLASSVAVDNDGGNGNGNSNASSTNANSKPGGPYHAVNICPNCKTGYYFRPYKMAPLQGRFFEIGRVKTNVTGKNSDKKMSEEEEYGKRLKSSFWETLQIYGSEPPENWPPSSPLPSSNGIAVHTPPGPPFLPGVNAIRAAAPTGEKSSWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVLSVAAHNHYKRIYHVSQQKGSEAESVKEDNDSNVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIVDATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGMVYIDEVDKIIKKAESVNISRDVSGEGVQQALLKMLEGTIVSVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGARVRANMRTGRVTDAVVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTENQLVQVLIEPKNALCKQYKKLFNMNKVKLHFTDEALRSIAKKAFAKNTGARGLRAILESILTDAMYEIPEVKAGNEKVDAVVVDEESVGSASVPGCGGKLLFGNGTLERYLARNPSKDQKEPGHCLAGNC >itb07g03310.t5 pep chromosome:ASM357664v1:7:2236790:2240581:1 gene:itb07g03310 transcript:itb07g03310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRKLRDAAVITACRRRRTAATWNEDWRRRTRAFVNCLHVRRRESVIGVQARYKWDRSGSSDETRRIRAEVNCPRCSKHMDLIFTNRHPHLIPASPSDSNSACDSNNGKNASVLASSVAVDNDGGNGNGNSNASSTNANSKPGGPYHAVNICPNCKTGYYFRPYKMAPLQGRFFEIGRVKTNVTGKNSDKKMSEEEEYGKRLKSSFWETLQIYGSEPPENWPPSSPLPSSNGIAVHTPPGPPFLPGVNAIRAAAPTGEKSSWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVLSVAAHNHYKRIYHVSQQKGSEAESVKEDNDSNVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIVDATTLTQAGYVGEDVESILYKLLTMFISVLMSPYIYQ >itb07g03310.t4 pep chromosome:ASM357664v1:7:2236790:2240573:1 gene:itb07g03310 transcript:itb07g03310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRKLRDAAVITACRRRRTAATWNEDWRRRTRAFVNCLHVRRRESVIGVQARYKWDRSGSSDETRRIRAEVNCPRCSKHMDLIFTNRHPHLIPASPSDSNSACDSNNGKNASVLASSVAVDNDGGNGNGNSNASSTNANSKPGGPYHAVNICPNCKTGYYFRPYKMAPLQGRFFEIGRVKTNVTGKNSDKKMSEEEEYGKRLKSSFWETLQIYGSEPPENWPPSSPLPSSNGIAVHTPPGPPFLPGVNAIRAAAPTGEKSSWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVLSVAAHNHYKRIYHVSQQKGSEAESVKEDNDSNVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIVDATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGMVYIDEVDKIIKKAESVNISRDVSGEGVQQALLKMLEGTI >itb12g22630.t1 pep chromosome:ASM357664v1:12:24666565:24670308:-1 gene:itb12g22630 transcript:itb12g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVANKADMDESKRTVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLSESDNKAEPQAIRINQADQAASTDLSSQKSACCG >itb12g22630.t2 pep chromosome:ASM357664v1:12:24666565:24670308:-1 gene:itb12g22630 transcript:itb12g22630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVANKADMDESKRTVPTSKGQALADEYGIKFFETVSQNPSNFQNEESMQVTSVS >itb13g23710.t1 pep chromosome:ASM357664v1:13:29628540:29631254:1 gene:itb13g23710 transcript:itb13g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFASRVKEEMMGWSSSSLCVNEDCFDMSEDNNHDVPQPLEGLHDTGPPPFLTKTYELVEDPTTNDIISWSGGNNSFIVWDPRNFATNLLPRYFKHSNFSSFVRQLNTYGFRKVNPDKWEFANEGFLRGQRHLLRTIRRRKTPPQTQSSGQALECCVEVGRFGLDGEIDRLKRDKQVLMMELVKLRQNQQTTKAHLKAMEAKIKGTEVKQQQMMSFLAKAMRNPEFMHQIMQQRERRKEIAEAISNKRRRPIDQGPSNLSAHHHDMSLEAHDFAGVSGYDMDSLELEELAKSMEEEPAGSSGDMMMNLNQECGGGGGGKGPDDEGFWEDLLNDSNKLIEEEIGLLNFGDYRAYDDD >itb11g11980.t2 pep chromosome:ASM357664v1:11:8870386:8872139:1 gene:itb11g11980 transcript:itb11g11980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRIIEKDVNPEWNEDLTLTVTDPNSPIKLTVYDHDTFTLDDKMGDAEFDVKPFLDALQMSLEGFPSGTVITRIQPCRTNCLAEESCVIWKDGMVSQDMCLRLRNVECGEVELQLQWINLPGNLA >itb11g11980.t1 pep chromosome:ASM357664v1:11:8869164:8872139:1 gene:itb11g11980 transcript:itb11g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGILKVHIKRGVNLAVRDVRSSDPYIVVKLDSQRMKTRIIEKDVNPEWNEDLTLTVTDPNSPIKLTVYDHDTFTLDDKMGDAEFDVKPFLDALQMSLEGFPSGTVITRIQPCRTNCLAEESCVIWKDGMVSQDMCLRLRNVECGEVELQLQWINLPGNLA >itb12g00510.t1 pep chromosome:ASM357664v1:12:402101:403737:-1 gene:itb12g00510 transcript:itb12g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTAWIWAGFAAVVAAIVVYEAFTSKKRKRLPPGPKGLPILGHLHLLGKNPHQDMQKLSKTYGPMMYLRLGLVDNIIVSSPQAAERFLKTHDLNFASRPPNQAAKYIAYDQRDLAFGQYGPFWRNMRKLCTLELLSNLKINSFQSMRREELGLVVDSFKQAARQGEAVDLSSTISSMSMDMSCRMVFGKTYKNQDFGELGFKALIHEIVYLAAVPNLGDYFPYLGKLDVQGFTHRMKAVGKLTDQFMERIVEEHEEAATKGITHKTKDFVDTLLEIMKSSNTDFRFDRKHVKAMMLDILVTSMDTSSTVIEWTMSELFRHPEIMKKVRDEVAEKVGYDRMVEEADLEGMEYLEMVVKESLRLHPVVPLLLPHIAMDDSVVDGFHIPKNTRVMVNVWAIGRDPNVWSNPEKFIPERFSKSRVEYRGRNFELIPFGSGRRSCPGLQLGITVVQLVVAQLVHCFNWTLPNKMLPEDLDMTESFGLVISREKHLMAIPTYRLPQ >itb11g05430.t1 pep chromosome:ASM357664v1:11:3210645:3214085:1 gene:itb11g05430 transcript:itb11g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPVSFTFVNGSTLYNITGSLVLTSFSSGIDCHHPVVSTNMELICTVPKASRSDLEVMGKPTIIARSQSHSSQYICQLFCAVLFMSCFMLPCHGRDAITSGGSSLAENETLVSAGKTFELGFFQDEQHLNWYVGIWYYNLNPRTIVWVANRDSPISDSSGVVVVVKEDGNLYVLNGSGATCFSTQLDTTPSSKSYVRTARLLDSGNLVLIDNVSRNTTLWQSFDYPADTFLPGMKMDDSLTLISWASSGYNPTPGNSTFRQDPGDKDQYIIYKRSVTYWKSGVGDQLTSLYSLPPAVSSLLSNSNPKVSSLPPYENPRLLMNSSGEIQFYSWDRDKTSWSLQWSEPHDRCSVYNICGKFGSCSNNNKNGLLCKCLPGFEPTNSEDWGANVFSGGCSRKPASSCNQNAKRDTFLNLTSMTFGNPDLAYPNAKTEEDCKQECLNNCLCQAYSYSALDRTRRQRGTGDLRQECRIWTSDVIALQQNITNGLNISIRIAISDMGKNDKPQAEPTCSASIDCEDWPNSICNATKQGLGRCLCKSGFKWDALSLNCTSDCTLSVTLDWKLRFDIILGIARGLLYLHQDSRLRVIHRDLKAGNILLDQEMNPKISDFGLARIVEGKSIEANTKKVVGTLGYMPPEYALEGLFSIKSDVFSFGVVVLEMISGRRNTSFFQSQESLNLLGHAWKFWVEEKALDMMDPSLLSSCNQSEVLKCINMGLLCVQEDPNDRPTMSNVVIMLVTESMSLPRPKQPAFVARRRVSDTASSSSSNICVYSNNELTVSVVEGR >itb11g05720.t5 pep chromosome:ASM357664v1:11:3384754:3387853:1 gene:itb11g05720 transcript:itb11g05720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYKGIPGTYSEDAALKAYPECETVPCDEFEDAFKAVELWLADKAILPIENTLSGSIHRNYDLLLRHRLNIVGEVQLAVNFCLLANPGVKMEDLKRVISHPQALAQCDIYLSKLGVVQENGDDPAVAAQFVASKRAMDAGVVASPRAAQIYGLNVLAERIQDDSDNVTRFLVLARDPIIPRTDKPFKTSIVFCLDEGPGVLFKALAVFALRGINLTKIESRPQRKQPLRVVDDSNMGTAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYAMGRIF >itb11g05720.t3 pep chromosome:ASM357664v1:11:3383819:3388203:1 gene:itb11g05720 transcript:itb11g05720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLKSGLSFGCLGVKELGFRPPRGSSSACLAVVRSVDDESTSNSAAELRKIAHQTDSKLAKNLNRDFNSLPRPLSVADFSHSQNNGSKMRISYKGIPGTYSEDAALKAYPECETVPCDEFEDAFKAVELWLADKAILPIENTLSGSIHRNYDLLLRHRLNIVGEVQLAVNFCLLANPGVKMEDLKRVISHPQALAQCDIYLSKLGVVQENGDDPAVAAQFVASKRAMDAGVVASPRAAQIYGLNVLAERIQDDSDNVTRFLVLARDPIIPRTDKPFKTSIVFCLDEGPGVLFKALAVFALRGINLTKIESRPQRKQPLRVVDDSNMGTAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYAMGRIF >itb11g05720.t2 pep chromosome:ASM357664v1:11:3383819:3388174:1 gene:itb11g05720 transcript:itb11g05720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLKSGLSFGCLGVKELGFRPPRGSSSACLAVVRSVDDESTSNSAAELRKIAHQTDSKLAKNLNRDFNSLPRPLSVADFSHSQNNGSKMRISYKGIPGTYSEDAALKAYPECETVPCDEFEDAFKAVELWLADKAILPIENTLSGSIHRNYDLLLRHRLNIVGEVQLAVNFCLLANPGVKMEDLKRVISHPQALAQCDIYLSKLGVVQENGDDPAVAAQFVASKRAMDAGVVASPRAAQIYGLNVLAERIQDDSDNVTRFLVLARDPIIPRTDKPFKTSIVFCLDEGPGVLFKALAVFALRGINLTKEKIHDPSAF >itb11g05720.t1 pep chromosome:ASM357664v1:11:3383770:3388174:1 gene:itb11g05720 transcript:itb11g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISYKGIPGTYSEDAALKAYPECETVPCDEFEDAFKAVELWLADKAILPIENTLSGSIHRNYDLLLRHRLNIVGEVQLAVNFCLLANPGVKMEDLKRVISHPQALAQCDIYLSKLGVVQENGDDPAVAAQFVASKRAMDAGVVASPRAAQIYGLNVLAERIQDDSDNVTRFLVLARDPIIPRTDKPFKTSIVFCLDEGPGVLFKALAVFALRGINLTKIESRPQRKQPLRVVDDSNMGTAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYAMGRIF >itb11g05720.t4 pep chromosome:ASM357664v1:11:3383819:3388174:1 gene:itb11g05720 transcript:itb11g05720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLKSGLSFGCLGVKELGFRPPRGSSSACLAVVRSVDDESTSNSAAELRKIAHQTDSKLAKNLNRDFNSLPRPLSVADFSHSQNNGSKMRISYKGIPGTYSEDAALKAYPECETVPCDEFEDAFKAVELWLADKAILPIENTLSGSIHRNYDLLLRHRLNIVGEVQLAVNFCLLANPGVKMEDLKRVISHPQALAQCDIYLSKLGVVQENGDDPAVAAQFVASKRAMDAGVVASPRAAQIYGLNVLAERIQDDSDNVTRFLVLARDPIIPRTDKPFKTSIVFCLDEGPGVLFKALAVFALRGINLTKVSHIQCLCCENEKFL >itb09g27960.t1 pep chromosome:ASM357664v1:9:28619872:28625188:1 gene:itb09g27960 transcript:itb09g27960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTLILCTSIFTIFLYFLLRVKRYPLPLPPGPKPWPVVGNLPHLGEKPHQSIAALAQSYGPLMHLRLGFVHVVVAASAAVAAQFLKVHDANFSNRPPNSGAKHIAYNYQDLVFAPYGPRWRMLRKITSVHLFSAKALDDFSHVRQGEVATLTRSLASAGKTPVKLGQLLNVCTTNALARVMLGRKVFNDGSSKSDPKAEEFKSMVEEMMVLAGSFNLGDFIPVLGLFDLQGIVGKMKKLHARFDAFLNTILEEHKFVNNQHTTLSKDVDFLSTLIRLRENEADMDGEEGKLTDTEIKALLLNLFTAGTDTSSSTVEWAFAELLRNPKILKQAQQELDSVVGPNRLVTESDLTQLPFLQAIVKETFRLHPSTPLSLPRMAAQSCEINGYFIPKGATLLVNVWAIARDPNVWTNPLEFNPHRFLPGGEKPNVDIKGNDFEVIPFGGGRRICTGMSLGIRMVHLLIATLVHAFDWDLGNGQSVDTLNMEEAYGLTLQRAVPLMLHPKPRLQPHLYTLN >itb01g02340.t1 pep chromosome:ASM357664v1:1:1498943:1501860:-1 gene:itb01g02340 transcript:itb01g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MEFSLLADAVKSKSYDKIAGIYDELMLQYSAQGIAFQDEWPYAIHLLGHLYNNDINSARFLWKTIPAAIKEGRPELVAVWKIGQKLWTRDYAGVHEALREYEWSPEVQDIVLSFSDLYTKRMFDLLVSAYSTISLQDTALFLGMNVGDATNYVLGQGWSLDPASQMLTVKKQAIVKEQKLDASKLQRLTEYVFHLEH >itb04g21340.t3 pep chromosome:ASM357664v1:4:26470936:26477323:1 gene:itb04g21340 transcript:itb04g21340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MQGLIEKYLKCSRGADDQVADEAKDNQLLDPKEEIGMLKNEIEVLQRGLRFMYGGGAGTMTVDELHALEKYLEVWMYQIRSAKMDIMFQEIQLLKNKEGILTAANKHLKEKIDEQCRTANIAPMLTNIQCPLTIQNKIYQF >itb04g21340.t2 pep chromosome:ASM357664v1:4:26469891:26477323:1 gene:itb04g21340 transcript:itb04g21340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MARGKIQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVFIFSAHGKLYELATQGRGADDQVADEAKDNQLLDPKEEIGMLKNEIEVLQRGLRFMYGGGAGTMTVDELHALEKYLEVWMYQIRSAKMDIMFQEIQLLKNKEGILTAANKHLKEKIDEQCRTANIAPMLTNIQCPLTIQNKIYQF >itb04g21340.t1 pep chromosome:ASM357664v1:4:26469858:26477407:1 gene:itb04g21340 transcript:itb04g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL12 [Source:Projected from Arabidopsis thaliana (AT1G71692) UniProtKB/Swiss-Prot;Acc:Q38841] MARGKIQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVFIFSAHGKLYELATQGTMQGLIEKYLKCSRGADDQVADEAKDNQLLDPKEEIGMLKNEIEVLQRGLRFMYGGGAGTMTVDELHALEKYLEVWMYQIRSAKMDIMFQEIQLLKNKEGILTAANKHLKEKIDEQCRTANIAPMLTNIQCPLTIQNKIYQF >itb12g11560.t1 pep chromosome:ASM357664v1:12:9864519:9866315:-1 gene:itb12g11560 transcript:itb12g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLFDGRWVPDKDGPLYSNFSCATIPFSVNCLFHGRNNRDFLYWRWKPERCQLPRFDSKAFLSIVQGKTMAFIGDSLARNQMESLLCLLSMEEAPRNVYKDAEDKFKTWQFPHHNFTLMALRSNYLVHATERVVNGSFTGGFNLHLDKLDGNWTRNISTIDHAIISGANWFPKQNYLYEGGNLIGCTFCDDPNVRVFGAGFAIRRAFEAAFNYINEERKGVVVFVRTISSSHFEHGTWKSGGFCNRTRGFSREEVDFGGDWDYRNVQVEEIERAKRYGENRGNKFEMLDVTRAMLMRPDGHPGGALWEKVGIKGYNDCLHWCMPGPIDTWNELLLQMLRKTST >itb03g10900.t1 pep chromosome:ASM357664v1:3:8797366:8798218:1 gene:itb03g10900 transcript:itb03g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPSTSRGSLVDSAACNTQGFPTGIEGKKKPTIETDPEPTGFDPRPGRCRFIPSLSLQMVSELVFPASQMVNSNNPEPLSMEARVCKLEAQVVELGIEIGTQSHATEDLVKKLSVKISNFREEMFNFRDELLEAMGLDRSASPMMNPVQRRSTVQIPSIVNPIQRSSTVQVPSIAPQQDQFSPPMLQLEVSRDKPIPLVPDEELGIPPEIQKR >itb09g09530.t1 pep chromosome:ASM357664v1:9:5762965:5765048:1 gene:itb09g09530 transcript:itb09g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEQSNRNSRWSLQGKTALVSGGTRGIGAAIVEELAGFGAAVHTCSRNQTELDEKVREWQAKGFKVTGSVCDLSSKEQRVELIETVSSVFNGKLNILVNNAAISKMRKANDYNPEEFSNIIQTNLESPYHVAQLCYPLLKASGDASIVFISSMAGATALPALSIYGSSKAAINQLTKNLACEWAKDGIRVNTVSPWAVRTTIMKPEDIDPAIQAMFGPIMMRTPVKPLAEADEISPLVAFFCLPGASHITGQIVQIDGGYTAGGFHF >itb09g09530.t2 pep chromosome:ASM357664v1:9:5762965:5765048:1 gene:itb09g09530 transcript:itb09g09530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEQSNRNSRWSLQGKTALVSGGTRGIGAAIVEELAGFGAAVHTCSRNQTELDEKVREWQAKGFKVTGSVCDLSSKEQRVELIETVSSVFNGKLNILVNNAAISKMRKANDYNPEEFSNIIQTNLESPYHVAQLCYPLLKASGDASIVFISSMAGATALPALSIYGSSKGKTNNSGGLFKLSSSKL >itb15g17110.t1 pep chromosome:ASM357664v1:15:17465533:17468211:1 gene:itb15g17110 transcript:itb15g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQDSQAHANSGSNSFNQTRPCYYYTPPPPSARIQRSIGRSMRTIRSNLYRSGCSVSEKSGNVSENLTDSVVDIRLGELASKPAINKSSCSEEEFLEISQTFSDFSACSSDISGELQRLASLPTAADPVESQTPNSEPEPEPCQGFLQRDTFSTEIIESISPEDLHPTVKLCLDGLQSSSVAVKRSAAAKLRLLAKNRADNRALIGESGAIPALIPLLRCSDPWTQEHAVTGLLNLSLHEPNKTVITDAGAIKSLVYVLKTGTETSKQNAACALLSLALIDENKLSIGACGAIPPLVALLINGSNRGKKDSLTTLYKLCSVKLNKERAVSAGAVKPLVELAGVNGTGLAEKAMVVLNSLAAIEIGRDSIVEEGGIAALVEAIEDSSDKGKEFAVLTLLQLCIDSVRNRGMLVREGGIPPLVALSQNGTAKAKHKAETLLGYLREPRQEASTSTP >itb10g17420.t1 pep chromosome:ASM357664v1:10:23618588:23619650:-1 gene:itb10g17420 transcript:itb10g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR3 [Source:Projected from Arabidopsis thaliana (AT2G01590) UniProtKB/TrEMBL;Acc:A0A178VVT4] MAVKCFSISNTQILASAGHNNSSSSTSHSIPKTSPSLPKFNTTPTSSQSTSPQKLKHPKKKHKNPTQPSIAEIERAIGAGVFRDPAIYKRVESGSDIGGEWPVEKKLREVGEWLLAATETSPDTSSRNILKTVFLWILPMWVLAFLVAARIIQLPFTSPFLDDLLL >itb10g17490.t1 pep chromosome:ASM357664v1:10:23702266:23706732:1 gene:itb10g17490 transcript:itb10g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGGRRGGGRGRGGGRGQQGQGEQGFAGSGGRGGRGGGSYRGGGDPRPHQQGPVNPVGGAAWRGQQQPGGSGAWGPPQRAPLQQSGGGGSGAPAWGGPQRAPFQPQQQPQPGSAWGGAHRPQLQQSSGPGVGPTRGPRPWGPSMVSSPPPTQPQSQSQPQPAAIPPVPVEAGVRQSSPPKGSKNKLAPIKRPGVGTVATRKINLLVNHFPVAFNPNSTIFHYDVDVKQVKVENEPTKKTILKSDLEMIKKQLFADNPDEFPVDMTAYDGEKNIFSAAQLPTGEFKVVLSGDGSRTRTYMFTIKHVADLKLSKLKDYLSGTLSHIPREILQAMDLVMKDNPSRTRISVGKYYFSRDYRQEDDLHYGIAAHRGYQHSLKPTSQGLALCLDYSVLAFRKPLPVLEFLREYIGGFRVDQFDRFRKAVENALSGLKVHVTHRKSKQKYIISKITQQRTRDITFPDGDDPSKVSYLVDYFRDRYGKQVAYPDIPSLDIGRGRFLPMEFCILVGGQIFPKENLDRDSGLFLKKLSLPGPHIRQAAINEMVQAQDGPCGEVSRNFGIEVDMRMTPVTGRVLPPPQVKLGGSNRVPINDKCQWNLVGKSVVNGTPVERWALLDFTSSDRNRLRPQDFVANLKQRCRNMGIPMEEPLVYLPASMYDLNSVSKVENLFKRVVEEARRKAQGKLQIILCAMAGKHAGYKYVKWVSETQIGVVTQCFLTTVFNKGRDQDLANLCKKINAKLGGSNFELVERLPFRGQEHVMFIGADVNHPAARNFLCPSIAAVVATVNWPAANCYAARVCPQSHRTERILNFGSMCADLVRTYAEMNSVKPARIVVFRDGVSEGQFDMVLNEELIDLMKSVYVEGYKPPITLVVAQKRHSTRLFPENRRDGGATGNVPPGTVVDTKVVHPSDFDFYLCSHYGGLGTSKPTHYYVLWDENRFTSDSLQKLIYDLCFTFARCAKAVSLVPPVYYADLVAYRGRMFQEVVKEREPSVSPFTAASAAAASSSSSSSSAGLSFQQQFYNLHPDLKDIMFFV >itb04g31630.t1 pep chromosome:ASM357664v1:4:34408446:34409874:1 gene:itb04g31630 transcript:itb04g31630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPQLHLLIITLALAAGVNISASYVLKGSVSCMDCNAHHDLSGIKVSVKCSHEKKLGMAITEEDGSFDTTVASNSPHSAGGCSAKILGATQQLYAPKSAEESKIVEADGHYTTSEPLRFYTKCPNEKCGSPKSGLGSSKTFEVPPLPREWAPTASLIMPFFPIIGIP >itb10g20080.t1 pep chromosome:ASM357664v1:10:25750373:25752946:1 gene:itb10g20080 transcript:itb10g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVAKIPMNRHRILRCDKTDIDFSGDPAINLSDTVFEFLDDERERSSSSTYSICDNNVRDEDDENGEKEIVEDSDFWENQYNLLHATLCRTTSAESRIRSITKETLKEAKQAGKVCACQKPVDGGCRSCLMNEVFRRLQNAGFNSAICKSKWKSLSDIPSGEHTFVDVVENPNPKRGEIRIIIEVNFRGEFEMARSNEEYGRLVTSLPEVFVGKIERLLSVIKIMCTAAKRCMKERKMHLGPWRKQKYMQAKWLRTCHRTTTAPEFAGYGGRAPRPTASMLTVDLLEHLPGLHRTAVAVV >itb12g07480.t1 pep chromosome:ASM357664v1:12:5600494:5603076:1 gene:itb12g07480 transcript:itb12g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNQILMLTGYFLIGVALFFALILWFGKKKKKNKKEAKNDDESALTKPMDQLKGTASKSESGGAVSSQSLVVVGSSEAMNGLKFEELLKAPAELLGRGKHGSLYKVMCENPRMSLTVKRIKDWGITGHDFKERMERLRELKHPNVLPALAFYSSTLEKLLVYDYQQNGSLLGLVQGIQMGKAFDWSRRLSVAGSIADTLSFMHNELKEDGIAHGNFKSSNILFNHNMEPCISEYGLMSLPAEAAISEPQQNPFKQDIYGLGVILLELLTGKMNMMMAQNNGQNLASWALGVLREEWTVEVFDRALIQEGASEGRIVGLLQVAVKCVNPSPEVRPSIHQVATMIRSIQEEEERSIDSSQIKASQRDHYDKNLCEDP >itb05g07610.t1 pep chromosome:ASM357664v1:5:9900968:9902008:1 gene:itb05g07610 transcript:itb05g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCRRSRTGERNLVGEEGNTSRRMEENGGAETEPCESDEALNANRSTPTACEKAPLAKAGEAMNEILTMNKGMPLACGNVPMTEVGGNIAEAVVNAVEVRREEVENEVSNVARKEDVKDSSSEEEKSSLVSYRTCAENLKNKAGDDSDLIKVIEEAKQEVDNFYQRAFKELGKEIYDESTDELVKKANEIFVLKLVREGKEIGSTLKKELGWIDRDSPATKKNGGCESEGSSNASSKKKGRVIKNNMDWVGIVKEFMGKDKEFERRVNEALKAVNDYLWTVFDKEKKAYLEEGKGKAERGAAIFLEIVKQSPAWGAENDLLGRILRPNQELIGGRICIWAEELDL >itb07g08300.t1 pep chromosome:ASM357664v1:7:6493830:6497458:-1 gene:itb07g08300 transcript:itb07g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRENIEIGALKDNINHLKITDLASSSNEDSIVKEDHGCGVQITCFSEVSQDDVNLHFQIIRLHKQIYVWIGCNSAKFGHLYAAASTRPSNTVAVTCLLGGSSDNTGSGIARRLVLKTGLNIVVASNIPKNSPILEAEAEKKLMQKLISLGYTKPRSQAPSS >itb07g08300.t2 pep chromosome:ASM357664v1:7:6493830:6497458:-1 gene:itb07g08300 transcript:itb07g08300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRENIEIGALKDNINHLKITDLASSSNEDSIVKEDHGCGVQITCFSEVSQDDVNLHFQIIRLHKQIYVWIGCNSAKFGHLYAAASTRPSNTVAVTCLLGGSSDNTGSGIARRLGKLHPQHRVLYHNFWINML >itb05g26330.t1 pep chromosome:ASM357664v1:5:30278764:30281203:1 gene:itb05g26330 transcript:itb05g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAAMAALQSSFTSLSLSSTSFMGQRFSPPLCSPLVKSTEKPFSVAARLKRWERKDCKPNSLPVLHKMHVKVGDTVKVIAGHDKGKIGEVSEIFKHNSKIVVKDINLKTKHVKSRTEGESGQIIKVEAPIHSSNVMLYSKEKQVTSRVGHKTLDNGKRVRYLTKTGEIIDSAENWKRVVKEKEKPKEVEAAAAAAS >itb04g02960.t1 pep chromosome:ASM357664v1:4:1831626:1835086:1 gene:itb04g02960 transcript:itb04g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFDLAFLDSLQQQLLDDSVDFPEIFAAIDRCGNSSAVIGDDSAGGSPELWEVQKESGTAREECSPPLPPRDWRRYKGVRRRPWGKFAAEIRDPNKRGARLWLGTYERPEDAALAYDREAFKLRGARALLNFPHLIGSNVPEPVRVKPRRRSLPKAALSSPASESGIEMYEEIISEDIDLFLVGRLLGDSDIYVGNVVGCDAAVLYGTQDCRRYRGVRRRPWGKFAPVAGIFTFFTVAGKWLF >itb06g24040.t1 pep chromosome:ASM357664v1:6:25745060:25748756:1 gene:itb06g24040 transcript:itb06g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGSNKFFLGGRLIFGPDARSLLVSFLLITVPVIIFCVFVARHLRHQFSPHNAGYAILGVAIAFTIHVLALLLLTSARDPGIVPRNSHPPEDELRYDTSASAEIGGRQTPSLQFPRVKDVIVNGMTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFCFVLSAMLLCIYVFAISAYYIKVLMDNNQSTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLISTNQTTYENFRYGGSRRHNVYDLGCLNNFFEVFCTKVKPSRNNFRAFVQEVPPQRPSMPTSREVDYEETGDDRRAKVEDDLDIGGDLLKISQRHNIGDIEADIRSRGSDVPQNNSSDADSVLNSDRRPTTTVQSVTRQMSWGRSGSLEIAQDVVNRNSDFH >itb13g02410.t2 pep chromosome:ASM357664v1:13:2262939:2265103:-1 gene:itb13g02410 transcript:itb13g02410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHTWRYFDLCSMLCKLLIVFNCVVCSCVLTIYDCLDFSLFLWLSYSIMMYLFTLFYPSLFMQLTNKKGGASKRSEIAFTAPTGEEITSRNQLKQYLKSHPGGPPLSEFDWATGETPRRSARISGKVKSAPPPPDSEPPKKRGRKSSASKSGGNENGAEEKPEGSPGAIAGKDVEGEKDEKKDEIEAAAENVEEKDEAKNLENNVEKEDETKNDVLKSNADDEKNETQIVYGFEGNAPEVNNSRGDAEMAEVEKAADVAKETQKDENAAQVAEEDDATLKKEKLACAADQNKHEEKHEQKTCETTKKAEEQSNLVNNEASEGIVVENGSRADEALAL >itb13g02410.t1 pep chromosome:ASM357664v1:13:2262915:2268420:-1 gene:itb13g02410 transcript:itb13g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKMASSDEKNEVVSLELPAPPGWKKMLTNKKGGASKRSEIAFTAPTGEEITSRNQLKQYLKSHPGGPPLSEFDWATGETPRRSARISGKVKSAPPPPDSEPPKKRGRKSSASKSGGNENGAEEKPEGSPGAIAGKDVEGEKDEKKDEIEAAAENVEEKDEAKNLENNVEKEDETKNDVLKSNADDEKNETQIVYGFEGNAPEVNNSRGDAEMAEVEKAADVAKETQKDENAAQVAEEDDATLKKEKLACAADQNKHEEKHEQKTCETTKKAEEQSNLVNNEASEGIVVENGSRADEALAL >itb08g11520.t1 pep chromosome:ASM357664v1:8:11513581:11519109:-1 gene:itb08g11520 transcript:itb08g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNQQSQSSIVATIKVFNSPIKNLVNLVTILVFFACGLTCGVVVSFYAKSLPWSLRGVDGGGGAARLNLAPPREERLVGLKELLMAPLGAAHNLSDEELLWRASMSPRIEEMPFRRVPKVAFMFLARGALPMAPLWEMFFRGNAGLFSVYVHSDPSYNETEPPESVFHGRRIPSKGVEWGKANMIEAERRLLANALLDFSNERFILLSEACIPLFNFSTIYSHVMNSNETFIEVYDLPGPVGRGRYSAQMSPIIKLKDWRKGSQWFEMDRRLALEVISDKTYFPLFQSYCTGPCYSDEHYLPTLMNIKLGQGNSNRTLTWVDWAKGGPHPTKFNRNDVTVDFLHKLRNGSTCEYNGEKTTMCHLFARKFTPHALSRLLILAPKLMQFNGY >itb12g25110.t2 pep chromosome:ASM357664v1:12:26390665:26393662:-1 gene:itb12g25110 transcript:itb12g25110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADANTNAADASSSREDGAVKAVNKRYEGLVAIRTKAIKGKGAWYWTHLEPILIRSPETNLPKAVKLKCNLCDAAFSASNPSRTATEHLKRGTCPNFSAVLRPISQLPPLTSPTSQNYRKRSSKQAGVASSSSYPVGLVDSPRFCNETTTYSSPQTQTPLPMTLTHQPVVLSGGKEDLGALAMLEDSVKKLKSQRPSPGPSLKKEQVDSAFNLLAEWFYESCGSVSFSCLEHPKFKAFLNQVGLPAVSKKEFSGANLDSKFEQARMESEARIKDAAFFQVASDGWKSGNCGYGEDSVIKFMVNLPNASRVFHKAVYKGGLVPSQYAEEVLWETIEGMCGNAVTRCVGIVADRYKAKALRNLEIRHSWMVNLSCLFQGFMSLIKDFSRELPVFKIVTDSCIKIANLFNSKSQVRNHLLKFRSHGVELAGLIRVPSPRCDMSKNFGPFVAMLEDVRSYARILQLVVMDDSFKTVCLENPVAREVAEMVQDIGFWNNVEAVHSLVKLIMEMAKEIEAERPLIGQCLPLWEALRSKIKDWCAKFSIAEEPVEKIVERRYKKNYHPAWSAAFVLDPLYLIRDVSGKYLPPFKCLSHEQEKDVDKLITRLVSREEAPVALMELMKWRSEGLDPLYAQAVQVKQRDPVTGRMKVANPQSSRLVWETCLKEFKSLGKVAVRLLFLHATSCGVKCNWSFMRWICLQGHSRAGLDRAQRMIFIAAHAKLERGDIYSEEEKDAEVFATASGDDDMLNEVYVDAPSV >itb12g25110.t1 pep chromosome:ASM357664v1:12:26389526:26393662:-1 gene:itb12g25110 transcript:itb12g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANTNAADASSSREDGAVKAVNKRYEGLVAIRTKAIKGKGAWYWTHLEPILIRSPETNLPKAVKLKCNLCDAAFSASNPSRTATEHLKRGTCPNFSAVLRPISQLPPLTSPTSQNYRKRSSKQAGVASSSSYPVGLVDSPRFCNETTTYSSPQTQTPLPMTLTHQPVVLSGGKEDLGALAMLEDSVKKLKSQRPSPGPSLKKEQVDSAFNLLAEWFYESCGSVSFSCLEHPKFKAFLNQVGLPAVSKKEFSGANLDSKFEQARMESEARIKDAAFFQVASDGWKSGNCGYGEDSVIKFMVNLPNASRVFHKAVYKGGLVPSQYAEEVLWETIEGMCGNAVTRCVGIVADRYKAKALRNLEIRHSWMVNLSCLFQGFMSLIKDFSRELPVFKIVTDSCIKIANLFNSKSQVRNHLLKFRSHGVELAGLIRVPSPRCDMSKNFGPFVAMLEDVRSYARILQLVVMDDSFKTVCLENPVAREVAEMVQDIGFWNNVEAVHSLVKLIMEMAKEIEAERPLIGQCLPLWEALRSKIKDWCAKFSIAEEPVEKIVERRYKKNYHPAWSAAFVLDPLYLIRDVSGKYLPPFKCLSHEQEKDVDKLITRLVSREEAPVALMELMKWRSEGLDPLYAQAVQVKQRDPVTGRMKVANPQSSRLVWETCLKEFKSLGKVAVRLLFLHATSCGVKCNWSFMRWICLQGHSRAGLDRAQRMIFIAAHAKLERGDIYSEEEKDAEVFATASGDDDMLNEVYVDAPSVEIIRIRRLL >itb07g11820.t1 pep chromosome:ASM357664v1:7:13301303:13302436:-1 gene:itb07g11820 transcript:itb07g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHPVEEAAQLLAPSLKGDPVAVIPPEKGLPDLNMRQEEENQDTKTDCAKDQKTVANVVLGDDPKSGEVNPNKSNLEGESSANSWEEVPEELMEEIKLLSIEMGEDTGTQAKENLREAIKIARELYTVASKKNRGIVNEKTGPENQNMEMIGRKIDPEGSRDDPEKLSKGIGEASGVNFDHKRTVAETKESQEGKTIAKGVSFAPGTMEPQNSVEVGKKTESKLDQSEVENNMVKSGPNPKRVVTDVQHAPTACGNAQVRPATLEAKVALGDPGPSNASIGGRGRGLNIPSSIHQTNGPGGQGRGGRNVQNINPWNQGKTFVNLFSGDTSKVGRGVFAELPQPEIAKKNMETHRGLPAIRFEKEEIKQMNVVEITF >itb02g01800.t1 pep chromosome:ASM357664v1:2:986600:992381:-1 gene:itb02g01800 transcript:itb02g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIRRKRAPEIEKPEASISRPSSSDDERKKKSRPPRVPRGKGERKRNWSCLDSCCWFVGCICTVWWVLLFLYNAMPASFPQYVTEAITGPAPDPPGIKLRKEGLKAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPCGIRVRPVTGLVAADYFAPGYFVWAVLIASLARIGYEEKTMYMAAYDWRLSFQNTEVRDQSLSRIKSNIELMVATNGGKKAVIVPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKSVAGLFSAEARDIAVARAIAPGVLEKDIFRIQTLLHVMKMTRTWDSTMSMIPRGGNTIWGGLDWSPEEGYSPCRRKHKNNTTQISGHDGNLNVESNASKVTYGRMISFGRDAAKADLSELKRIDFRGAVKGRNIANNTCQDVWTEYHEMGIGGIKAVAEYKAYTADDLLDLLQYVAPRMMARGSAHFSYGIAENLDDPKYEHYKYWSNPLETRLPNAPDMEIYSMYGVGIPTERAYVYRQVPSAAECDIPFQIDTAADDADLGNCLNDGVFTVDGDETVPVLSAGFMCAKGWRGETKFNPSGIKTYIREYEHAPPANLLEGRGTQSGAHVDIMGNFALIEDVMRVAAGATGEDLGGDQVYSGIFKWSEKINLPL >itb10g25260.t1 pep chromosome:ASM357664v1:10:28656564:28657124:-1 gene:itb10g25260 transcript:itb10g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILGKSGKVQPIEVGSGSDMEEYDVVEDLSSWEFVNQSDDEDDDRYSFNEDDLDNDESHDEIAMKREDPFLLGSPSSDISMESVSPPQISSPLNVPSVGLNHDYRYNYDYEHDYANNDEDEDEDEDEDEDGYDLDDELVPRWVSDKLGRQRIRKMGKRGSPVMNKAKGLPYYFHKPGSVPSKLR >itb11g12970.t1 pep chromosome:ASM357664v1:11:9901678:9902070:-1 gene:itb11g12970 transcript:itb11g12970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTLTSLPARVELPPFELFFSSLYIDHSPPPPATTATPITNFSIFSDRLIRLVNSRFSPSLRSAAVCKGRNGAYGHRW >itb12g26100.t1 pep chromosome:ASM357664v1:12:27129359:27131555:1 gene:itb12g26100 transcript:itb12g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSMEEAIVLYPSPQIGHLASMVELGRLILKHQPSCSVIIFIANFPFNTGSTSAYLSHVSATTSSIVFHHLPDVPFAPNWSSAFLEELAFEIPRLQNANVLQALKNIGLKSRIKAVVLDFFCNAAFEVSSLELGLPTYFFFTSGVSDLTLPLHFPTLDKMLPDNLKDHKAALEIPGIPPIYTSDLPETTRHRTDKVYRATMQLAVQMTEASGIIVNTFEALEPRAMKAITDGLCTPNAPTPTLYCVGPLVANSNIHGYNGSVNGSVRYDNECCLRWLDLQPSGSVVFLCFGSQGSFKDDQLKEMAVALENSGHRFLWVVRNRASERDLNAILPEGFLERTEERGLVVESWAPQGAILNHGSVGGFVTHCGWNSLLEALLAGVPMVAWPLYAEQRLNKAFMVEEMRVALPLAESEDRFVYAAELEKRVREMMESESKGGMVIRERVVAFRDAAKAAVKENGSSHIALAKLLQSWN >itb11g03220.t2 pep chromosome:ASM357664v1:11:1675623:1677033:-1 gene:itb11g03220 transcript:itb11g03220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVILLDFWPSMFGMRLRIALAEKEVKYEYREEDLRNKSELLLKMNPVHKKIPVLIHNGKPVCESTIAVEYIDEVWKDKAPLLPSDPYEKSQAKFWADYIDKKLYDFGRKTWSTKGEELEAAVKELIGCLKVLEGALGDKPYFGGESFGFVDVSLIGYYSWFYTWESFGHFSVEAECPKLIAWVKRCMEKESVSKSLPDSHKVLEFAQMLREKLGIA >itb11g03220.t1 pep chromosome:ASM357664v1:11:1673251:1676988:-1 gene:itb11g03220 transcript:itb11g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVILLDFWPSMFGMRLRIALAEKEVKYEYREEDLRNKSELLLKMNPVHKKIPVLIHNGKPVCESTIAVEYIDEVWKDKAPLLPSDPYEKSQAKFWADYIDKKLYDFGRKTWSTKGEELEAAVKELIGCLKVLEGALGDKPYFGGESFGFVDVSLIGYYSWFYTWESFGHFSVEAECPKLIAWVKRCMEKESVSKSLPDSHKILEFAHVFKQKLGIP >itb03g24600.t1 pep chromosome:ASM357664v1:3:23333371:23334297:1 gene:itb03g24600 transcript:itb03g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL26 [Source:Projected from Arabidopsis thaliana (AT5G53730) UniProtKB/TrEMBL;Acc:A0A178UFX4] MSQITDINKSPKHCGKRDLSIIQKLRIKNKIFYTIFTLLLSILSLIFLVWLTLHPTKPQFSLTQADIYQLNLSAPRLLLNSSIQLTLLSKNPNTKVGIYYDEIQVYASYKGQQITLYTSLPPFYQGHGEANLLSAYLQAGNGLPVAPSFSYQVQRDQSAGQLVLMLKVNGKLRWKVGTWVSGKYRFNVNCVAILPFGASMPSGPLISKQGTQCSTSI >itb06g21190.t1 pep chromosome:ASM357664v1:6:23879494:23883229:1 gene:itb06g21190 transcript:itb06g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G25900) UniProtKB/Swiss-Prot;Acc:Q93ZB2] MDAVIQTIQYWPLGSVITAGGLVVALGVISPRILSDQKKKSPKHLRTVPAIPGVPVLGNLLQLKEKKPHKTFLNWVEKYGPIYSVKTGASTMVVLNSTDLAKEAMVDKYSSISSRKLSRSLTMLTHDKSIVAMSDYGDFHKTVKRNILTSVLGANAQKQHRIHRDVLIENVCSELYAVLEKYPEEAVNLREYFQAELFRLALKQGIGKDVESVFVEELGSTISREMMLKYLVSDCMEGAIDVDWRDFFPYLRWIPNPGFVKKIQQIQFNRDVVVKALINDQRKRIATGEAIYCLLDYLLSEKQTLTEKQLQMSVWEEIIETSDTTVVTTEWAMYELAKDPERQDKLFREVQSVCGSGKVTEDKLRQLPYLTAIFHETLRKHGPVPIVPLRYVHEDVELGGYYVPAGTEIAINIYACNMEKGVWESPTEWKPERFLEGRYDQNDLFKTMAFGAGKRSCAGALQAMTISCLTIARLVQEFEWRLKDGEEENVATVGLTSYKLHPLLANIKPRN >itb13g15470.t1 pep chromosome:ASM357664v1:13:22325494:22328570:1 gene:itb13g15470 transcript:itb13g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKKQSNEELHAAARAGDLTAVQRICSSNPLAVNFRDKHSRTPLHLAAWSGHAQVVNYLCKNKADVGAAAMDDMGAVHFAAQKGHLEVVQILLTSGVSVKSANRKGMTALHYAAQGSNLELVKYLLKKGANLSVKNKAAKTPIDLASNGDIRSFLHECETTSIQEALGGGEKSDEAKSNPSSVENAERSEVKADEEGDGEAEDAKDESVKRKADGEENEENSKETKKAKVALNHLLASDDVQEEEEEEEENL >itb11g08670.t1 pep chromosome:ASM357664v1:11:5686947:5691388:-1 gene:itb11g08670 transcript:itb11g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKACIMRLQKEYRALCKEPVPNVVARPSPSDILEWHYVLEGCEGTPFAGGYYYGKIKFPPEYPYKPPGIKMTTPNGRFMPERKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVTTTIAEKEKLAKVSLAYNCKNPTFRKLFPEYVEKYEQQQLASQPVPEQVPPVVAQMESSSPLLEEHSNPTNEDLNRVDRPQHMVNRRKQSFPTWLLLLLFSIFGVVMALPLLQL >itb11g08670.t3 pep chromosome:ASM357664v1:11:5686958:5687992:-1 gene:itb11g08670 transcript:itb11g08670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPTTGSVTTTIAEKEKLAKVSLAYNCKNPTFRKLFPEYVEKYEQQQLASQPVPEQVPPVVAQMESSSPLLEEHSNPTNEDLNRVDRPQHMVNRRKQSFPTWLLLLLFSIFGVVMALPLLQL >itb11g08670.t2 pep chromosome:ASM357664v1:11:5686947:5689153:-1 gene:itb11g08670 transcript:itb11g08670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNGRFMPERKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVTTTIAEKEKLAKVSLAYNCKNPTFRKLFPEYVEKYEQQQLASQPVPEQVPPVVAQMESSSPLLEEHSNPTNEDLNRVDRPQHMVNRRKQSFPTWLLLLLFSIFGVVMALPLLQL >itb08g00270.t1 pep chromosome:ASM357664v1:8:260700:261556:1 gene:itb08g00270 transcript:itb08g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIHPQISSSSNSCSDYVTSKRETLTIWMKSLVFHGNGCTAYNSKGEIVFRVDNYQEKNSTKVYLMDLHGQVLFSIQKQKLRIFGRWNGYKWSSGLKGRPWFQVRRSCRFLKSDLTCNVGVGCDNISNGNSYMLKKSDGKSAFKIVDSTGNKVAEVKQKQSSQGLAFGEDVLSLVVEPQIDQSLIMAIIVTVFGLVNCKL >itb12g12900.t1 pep chromosome:ASM357664v1:12:11602716:11603444:-1 gene:itb12g12900 transcript:itb12g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPTAPSKEICLFINTLAIDPLHHHFLSCFFNSLANFHHILPFFQFEIQKMAIMRKPAKLAQTAVLKQILKRCSSLGKKNGYDDVPKGHFPVYVGENRSRYVVPISFLTHPEFQCLLRRAEEEFGFDHDMGIIIPCEEIAFRSLTQMLR >itb10g01690.t2 pep chromosome:ASM357664v1:10:1380225:1382588:-1 gene:itb10g01690 transcript:itb10g01690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKCDFGSQNLVDKMEKSLKDIRYWTWSELVVALRQCQNLVPVASSSGMLDRYLDSLIGRVASSCEASPCPSASSPESGGFRLSCDTRSTESLRTSIFRTTTWWFDDLASFEPFLFEMLIKQMVSKNFDHIVLSKFIFYYQKTKFAAATTTGEKSKIMETVIGMLYLLDSSAVPCKNLFVMLRVSAHLNMSKCCRNKLQSMIGSQLDHATLDNLLLPSPLGTSYLYDVNSVLKFLKSFLSRGACSVPLTRLKKVAHLMDLYVAEVAPDPRLKPAKFLALIRSLPDSARDSHDRIYHAMDMYLEVHSGLPEEEKMTICCELNYEKLSTEARNHLAQNNKFPSKSAVQALISQQSKLKSLLQKTNQDSPCRFQRTESLKKDDQGCEQIVLYARKLDLSTQNDNLRAHLQGMQWRVMELERVCRKMQIQMTKIMKSRLTSQTNPRSLPRLCS >itb10g01690.t1 pep chromosome:ASM357664v1:10:1380225:1383942:-1 gene:itb10g01690 transcript:itb10g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPCDLEMDVNGEEVFMVNKNVLSFYCGRIRKLFSKSKGRGSNVKVIFHDFPGGAESFELIARFCYNKGNISVNPFNVSALYCGAHFMEMDKCDFGSQNLVDKMEKSLKDIRYWTWSELVVALRQCQNLVPVASSSGMLDRYLDSLIGRVASSCEASPCPSASSPESGGFRLSCDTRSTESLRTSIFRTTTWWFDDLASFEPFLFEMLIKQMVSKNFDHIVLSKFIFYYQKTKFAAATTTGEKSKIMETVIGMLYLLDSSAVPCKNLFVMLRVSAHLNMSKCCRNKLQSMIGSQLDHATLDNLLLPSPLGTSYLYDVNSVLKFLKSFLSRGACSVPLTRLKKVAHLMDLYVAEVAPDPRLKPAKFLALIRSLPDSARDSHDRIYHAMDMYLEVHSGLPEEEKMTICCELNYEKLSTEARNHLAQNNKFPSKSAVQALISQQSKLKSLLQKTNQDSPCRFQRTESLKKDDQGCEQIVLYARKLDLSTQNDNLRAHLQGMQWRVMELERVCRKMQIQMTKIMKSRLTSQTNPRSLPRLCS >itb03g00520.t2 pep chromosome:ASM357664v1:3:262244:263182:1 gene:itb03g00520 transcript:itb03g00520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERANELFGFYKFYREFSRRRSDHMVVESLSPPGAPRQQKEGAREVFSRNNAIHRPRRRAADGGDNDDDTGDRRIKCTGKSCKACTAGVIADCVAVCCCPCAVVDCLVLALFKVPWKVGRRCLRMRKKKKRGGGRRQLDNGSDAGISRTGMVEEGALEIALSEFGNGEDELKDSFSARFDAEEEVWLELYQVGHLGFGRVPHQGDGN >itb03g00520.t1 pep chromosome:ASM357664v1:3:260194:263182:1 gene:itb03g00520 transcript:itb03g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESLSPPGAPRQQKEGAREVFSRNNAIHRPRRRAADGGDNDDDTGDRRIKCTGKSCKACTAGVIADCVAVCCCPCAVVDCLVLALFKVPWKVGRRCLRMRKKKKRGGGRRQLDNGSDAGISRTGMVEEGALEIALSEFGNGEDELKDSFSARFDAEEEVWLELYQVGHLGFGRVPHQGDGN >itb09g12870.t1 pep chromosome:ASM357664v1:9:8189121:8190886:1 gene:itb09g12870 transcript:itb09g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIGHGGGPGVEMAVVMVPLPAQGHLNQLLHLSRLLSSYNLPVYYTGAATHIRQAKLRLHGWDPHSLSKLHFHEFPTPSFESPRPNPDIRYPTQLLPAFHAALLLRDPLREFLSDLSKETRRVVVIYDNMMSWNVQDIPSIPNAECYAFNSVSAFSIYSFIWEIMQKPPLPPEAQVLKDLPNLEACMAPEFWEFNKIQQQALRFDSGILYNTCRVIEGAFLDLVAKEPIMGTGQQWAIGPFNPVTLPENQDSGVRHKCLAWLDKQERNSVIFVSFGSTTALSEEQINEIAIGLAESERKFIWVLREADKGDVFVGEARRAELPEGYEEGIKGKGMVVRDWAPQLEILAHPSTGGFMSHCGWNSCMEGISMGVPIAAWPMHSDQPRNAMLITKVLKMGVEIDDCSSGEMVRSQRIADAVKRLMGSSEGDEMRRRAEELSRKVKVSVMDGGAARMEMDSFISHITRE >itb05g05500.t3 pep chromosome:ASM357664v1:5:5237533:5243002:-1 gene:itb05g05500 transcript:itb05g05500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAVAYVKQAVEEDNAGNYAKAFSLYMNALEYFKTHLKYEKNPKVKEAITQKFTEYLRRAEEIRAVLDEGGGNGPAANGDAAVAARPKTKPKNGGGGDGDDSESSKLRAGLNSAIVREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLRARQHMFKVHLGDTPHNLTESDFEQLAIRTDGFSGSDISVCVNEVLFEPVRKTQDAEFFIKTPDGLWVPCGPRQPGAIQTNMQELAAQGLASKVTPPPITRRDFDKVLSKQKPTVSKSDLEVHERFTKEFGEEG >itb05g05500.t1 pep chromosome:ASM357664v1:5:5237512:5243004:-1 gene:itb05g05500 transcript:itb05g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAVAYVKQAVEEDNAGNYAKAFSLYMNALEYFKTHLKYEKNPKVKEAITQKFTEYLRRAEEIRAVLDEGGGNGPAANGDAAVAARPKTKPKNGGGGDGDDSESSKLRAGLNSAIVREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLRARQHMFKVHLGDTPHNLTESDFEQLAIRTDGFSGSDISVCVNEVLFEPVRKTQDAEFFIKTPDGLWVPCGPRQPGAIQTNMQELAAQGLASKVTPPPITRRDFDKVLSKQKPTVSKSDLEVHERFTKEFGEEG >itb05g05500.t2 pep chromosome:ASM357664v1:5:5237512:5243004:-1 gene:itb05g05500 transcript:itb05g05500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAVAYVKQAVEEDNAGNYAKAFSLYMNALEYFKTHLKYEKNPKVKEAITQKFTEYLRRAEEIRAVLDEGGGNGPAANGDAAVAARPKTKPKNGGGGDGDDSESSKLRAGLNSAIVREKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLRARQHMFKVHLGDTPHNLTESDFEQLAIRTDGFSGSDISVCVNEVLFEPVRKTQDAEFFIKTPDGLWVPCGPRQPGAIQTNMQELAAQGLASKVTPPPITRRDFDKVLSKQKPTVSKSDLEVHERFTKEFGEEG >itb12g21310.t1 pep chromosome:ASM357664v1:12:23674263:23675181:-1 gene:itb12g21310 transcript:itb12g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAVSSTPIPPPPPPSSATINHLSTTNFPSHGFKSRRLSLLKSPSPKLSPRRNLFAVCAAENGDGDVTSADNNGKGKRENGLNSNGSGGGKGNNGRPKLNLRWVDLLLDPDPENIVAVGLTGLLTWASVQILLQLFVISLAILIAALKYSFIAALLIFILITLL >itb15g10090.t1 pep chromosome:ASM357664v1:15:7330431:7335312:-1 gene:itb15g10090 transcript:itb15g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILDLFVAASMPVLKVLLVTGLGSVLALERFDLLGEEARKHLNNIVFFVFNPSLVSSNLADSITYESMMKMWFMPLNIFITFVIGSILGWVVVQVARAPQHLRGLIVGCCAAGNLGNMLLIIIPAVCKEKGSPFGAPDTCRTYGMGYASLSLAIGAIYLWAYVYNIIRISSSRSSKDVEINGSPISKSSEERSRLQHGSCTEPLLPSNDCIEEDYTEQNVLPSTRLKDKSQASVGTKIKQYIEMLSRKINLKRLLAPSTIGAIVGFVVGLIPQIRKLLIGDTAPLHVIQDTAMLLGDGAIPALTLIMGGNLLKGLRGSGIDKSLIIGIIMVRYIALPIIGIAVVKGAIQFGLVGNDPLYQFVLYIQFALPPAMNIGTMTQLFGTGESECSVIMLWTYGLASISLTLWSTFFMWLVST >itb15g10090.t3 pep chromosome:ASM357664v1:15:7330431:7334766:-1 gene:itb15g10090 transcript:itb15g10090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILDLFVAASMPVLKVLLVTGLGSVLALERFDLLGEEARKHLNNIVFFVFNPSLVSSNLADSITYESMMKMWFMPLNIFITFVIGSILGWVVVQVARAPQHLRGLIVGCCAAGNLGNMLLIIIPAVCKEKGSPFGAPDTCRTYGMGYASLSLAIGAIYLWAYVYNIIRISSSRSSKDVEINGSPISKSSEERSRLQHGSCTEPLLPSNDCIEEDYTEQNVLPSTRLKDKSQASVGTKIKQYIEMLSRKINLKRLLAPSTIGAIVGFVVGLIPQIRKLLIGDTAPLHVIQDTAMLLGDGAIPALTLIMGGNLLKGLRGSGIDKSLIIGIIMVRYIALPIIGIAVVKGAIQFGLVGNDPLYQFVLYIQFALPPAMNIGTMTQLFGTGESECSVIMLWTYGLASISLTLWSTFFMWLVST >itb15g10090.t2 pep chromosome:ASM357664v1:15:7330431:7335246:-1 gene:itb15g10090 transcript:itb15g10090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILDLFVAASMPVLKVLLVTGLGSVLALERFDLLGEEARKHLNNIVFFVFNPSLVSSNLADSITYESMMKMWFMPLNIFITFVIGSILGWVVVQVARAPQHLRGLIVGCCAAGNLGNMLLIIIPAVCKEKGSPFGAPDTCRTYGMGYASLSLAIGAIYLWAYVYNIIRISSSRSSKDVEINGSPISKSSEERSRLQHGSCTEPLLPSNDCIEEDYTEQNVLPSTRLKDKSQASVGTKIKQYIEMLSRKINLKRLLAPSTIGAIVGFVVGLIPQIRKLLIGDTAPLHVIQDTAMLLGDGAIPALTLIMGGNLLKGLRGSGIDKSLIIGIIMVRYIALPIIGIAVVKGAIQFGLVGNDPLYQFVLYIQFALPPAMNIGTMTQLFGTGESECSVIMLWTYGLASISLTLWSTFFMWLVST >itb02g25420.t2 pep chromosome:ASM357664v1:2:26167621:26170437:-1 gene:itb02g25420 transcript:itb02g25420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMNSSAGSGSRTARRTFEFGRTYVVRPRGKHQATIVWLHGLGDNGSSWSQLLEGLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSDDGPEDFEGLDASAAHIANLLSAEPADVKLGIGGFSMGAAASLYSATCFAQGKFGNGNPYRVNLKTVVGLSGWLPGSRSQLKTNTVIIIIFFFPLFSISC >itb02g25420.t1 pep chromosome:ASM357664v1:2:26166359:26170517:-1 gene:itb02g25420 transcript:itb02g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMNSSAGSGSRTARRTFEFGRTYVVRPRGKHQATIVWLHGLGDNGSSWSQLLEGLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSDDGPEDFEGLDASAAHIANLLSAEPADVKLGIGGFSMGAAASLYSATCFAQGKFGNGNPYRVNLKTVVGLSGWLPGSRYVSNKIGGSHEATRRAASLPILLCHGTGDEVVPYRYGERSTHVLSSAGFRNLSLKTYEGLGHYTVPREMEEVRHWLSARLGLEGSR >itb06g06720.t1 pep chromosome:ASM357664v1:6:9353226:9354350:-1 gene:itb06g06720 transcript:itb06g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHNVLWVRLADHMGFTQLIFVINANRSIPTQLFHMTNNFLYRAKAAENVVHKEANPLKESLAVKDKELSEMVKALEGRVALAEWEGSDLLDQKLGSYRSVNAYGRALIFSIRNLDLLDP >itb13g01020.t1 pep chromosome:ASM357664v1:13:957000:964000:1 gene:itb13g01020 transcript:itb13g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPILNRAIKGGIQTMDFNSVMEILRKHFTLPVSGMPPHIYKSVKSFCRQHQRFLYGKQESDDDEECRLRYFIWRVSSQIKKKVKSCFRRERKSERRRYMLLCEAESVIRQELRASYLNKYMKQRILATQRIRQLFIQGIRLTSNIKKEMLKVKNEYHQSNNSQNNNNNPAASLTGLELDNITVGDSKSTIKMVGCNDVFNTIMGNLSQQSSKLEIVSIVGMGGIGKTTLARKIYEDASFISCFDCRAWVTISQDYNPTQVFQCLLRSLAHTGASQNNGASSYELAREQVYRLLKHRRYLIVVDDIWSTDVWDDLMRCFQWSTDVWDDLMRCFQDDNIGSRILLTTRQKNVAEYAELLTTRQKNVAEYADSGNHFCHTLPFYADSGNHFCHTLPFLDSNESWDLFQSKVCKRLSPEFKKIGREIVDKCQGLPLAITVAAGLLSNSNQTFIHGWEHIAKCVPALSLDHSNQQGENIIDLSYTFLPHHLKLCFLSFGCFPEDREIYESKIVTFWISEGFLKVLRSESLEYVAKKNLQDLVDRNLVLICRDRNNIDFYQMHDVLRELALREAQKENLLCSKMGYDISLRWKRNESINSSHISQPWSIQSRICSYNNITPTTNTSSLIDHWHTTSRLVHAQFKFLRALQVVYGKEINVHNIFLEIVGLVHLRYLAISCELNIHYLPLFMLRNLQELRVLYYSSCEPLDIWGLPQLKNIIIWGGVAGVTLVPPRSVHHNLESIKGLDYRSCTKELFLRIPNLRTLKVMTNPKIKCKAPDWFESLVYLYKVEALVVDAKIGEFSAIYSMGMLSLENFLPNLKELNLSNTNLKWKDMDVVGMLSKLEDLRLDAVKDRKWKPKDGGFGRLRFLKIYSSPLQYWEATSNHFPVLEKLDLGHIKLKKIPGDFAEITTLKSIRLSDCLESLIPCAERIQKEQQEYGNHTFVVEIFGCQRLDCPEVGN >itb07g23780.t1 pep chromosome:ASM357664v1:7:28130331:28131566:-1 gene:itb07g23780 transcript:itb07g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLEGEFAISSTFISKGEKDIRGVGEREEKDKHSYFLVHSTLILSLAPRIKLSEQSYSLQSGLATCLKLFPREKALRCLYMKVVSSQHPKTK >itb11g16190.t1 pep chromosome:ASM357664v1:11:14141278:14142828:1 gene:itb11g16190 transcript:itb11g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLDLGCSQFLLETPNFEWVPNLERLNLSDCVSLKEVHPSLGHLEKLVSLDLGRCSNITNLPTFIQMESLQLLDLDSCQKLEAFPEIQCNMPHLQELSVDTHLASKINTAELAAKYTALYSVSFTDANFFLYFGRLQTPKLPDGFIPFSWRLMPRKDAFTVVYLTTMESGYHISSKWFNYEEKDSNTICVNLNPTWYSSNFLGFAIYCLVPSKCGVWESREGDLFEHCAITAKLVRDEKQALHTKCVIAKSVENGGDGERARMCFAYIPFCSLWHQSKTMQGLRPNDYSVFEASLDSRMATAWRFRLLYKDDELLNEER >itb06g24860.t1 pep chromosome:ASM357664v1:6:26248369:26249102:1 gene:itb06g24860 transcript:itb06g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLAVPDGYTYSYVVNGCAKGVLLSEGEQVHGKIMRDGCFSDVFLQTNLEWRGIRPGNIAQKFVIELEPERSAGYFVLLANVYASGKRWQSVANVRQKMFEIRAKKPPDQSCIQIDGVSHGFLAGDTTHKHANLIYEMLDEITGQAKLQVDEVCP >itb13g10330.t1 pep chromosome:ASM357664v1:13:14932696:14937367:1 gene:itb13g10330 transcript:itb13g10330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALNILQKAVGVRGIYEDIKMEKLTTHTPDFLGIPDTVWPRLGGPSVSGEGVVIGMIDTGINPFHASFSPEEYNGRPRTTRKFTGKCITGDNFPATACNGKIVGAQYFARAAIAAGDFNVSRHYASPFDADGHGSHTASTAAGNYHIPVIANRFNYGYASGMAPGAGIAVYKALYSFGGYMSDVVAAVDQAVEDGVDILSLSVGPSSVPSGDSAFLNVLEVQLLFATRAGVLVVQAAGNGGPSSRSMLSFSPWVTSVAASTTDRRYTNSIVLGNGQTFSGSGLSPPTRGKAFFQLAAAVDVCRRNSTAGLLTVESCQDAGQFVPSLVRGKLVICTYTFDFESEAASIATVADTVHRAGAAGFVLTMDPDIGSEQIKGATVTLQIPGLIINNMEASTALRQYYNLNTLRSRSGRAIAFRATARILDGRQAIYSGQGPVVASYSSRGPDVNNALLDTADVLKPNIMAPGSSIWAAWSPNSEGDKYIKGQHFALVSGTSMATPHIAGIAALIKDRHPNWKPSAITSAMMTSADVTDRLGSPLLAQQASQQLSPATPFDFGSGFVNPSRALNPGLVFNTYFQQYIQFLCAVPGVDEKSVRRVIGVECPENKKFWCSDLNTPSVTISNLVGSRTVVRRVTNVGGADERYRVIVREPLGVRVSIIPQNFFISAEASRHLIIVLNATKATNSYSFGEMILLGDRSHIVRVPFAVYVSASLQS >itb06g17280.t1 pep chromosome:ASM357664v1:6:21163945:21167851:1 gene:itb06g17280 transcript:itb06g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL6 [Source:Projected from Arabidopsis thaliana (AT3G13040) UniProtKB/Swiss-Prot;Acc:Q949U2] MKNYMFLPGKHSELGDEVSESYCTTESDIHNVSSGELGQHLLADDCSSGSAPHNLSDFLHKCTEQSPIVSPTPSQCSSFSSASHVQQPQNQFSRSSMFCTSLHLSSSSSLETTLRLGSLPFLPDPSPHRLPKNFTIQSSDSPLHVQSDEGASESSLKILQNILLDESDGSVNHGHCEINDLQLTEQMELQLLSDELDIAIGGDVENPRIDEIYEEPQALIQQSRELTPSQNFVSCTLPTDKLSVQPSSGPAALHKPRMRWTPELHECFMEAVKKLDGAEKATPKAVLKLMNVEGLTIYHVKSHLQKYRVAKYMPERTEEKKTSSSEDKKATVIGKGSEGKKKGNIQVMEALRMQMEVQKQLHEQLEVQRALQLRIEEHARYLQKILEEQQKAGCSVPAPLQTGSCADSEPCLASSPTSVSLSSDVASTKLEADDGGGIAEHETPQKRPRTANSESSSVEVLDESCKN >itb08g11430.t2 pep chromosome:ASM357664v1:8:11394653:11396988:1 gene:itb08g11430 transcript:itb08g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGDGNGNVWYDFLGHDGSSGNEGDNQSDFGISEEERKKRIRVLKMKAKKVSGKVKNSFKKKSRTRNDHGSSIPVDDDWEKEVVEAVSTFREVLIMENLLPRKHDDYHELLRFLKARKFDIEKAKKMWVNMLQWRQDFGTDTIMEDFDFRERNEMLQCYPQGYHGVDKAGRPIYIERLGKLNVDKLLEVTTLDRYIKYHVQEFEKSLTIRFPACTKAAQRHIDSSLTILDVEGVVLKNLTKPMREFIMELQKIDNDIYPETLGQMFIINAGPGFRLLWNVLKPFIDPYTASKIHVLDNSYRSKLLEVINGSELPEFLGGSCSCAKDGGCLRADKGPWRDQSLS >itb08g11430.t1 pep chromosome:ASM357664v1:8:11394066:11396988:1 gene:itb08g11430 transcript:itb08g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPETFEQFARPSHDGSSGNEGDNQSDFGISEEERKKRIRVLKMKAKKVSGKVKNSFKKKSRTRNDHGSSIPVDDDWEKEVVEAVSTFREVLIMENLLPRKHDDYHELLRFLKARKFDIEKAKKMWVNMLQWRQDFGTDTIMEDFDFRERNEMLQCYPQGYHGVDKAGRPIYIERLGKLNVDKLLEVTTLDRYIKYHVQEFEKSLTIRFPACTKAAQRHIDSSLTILDVEGVVLKNLTKPMREFIMELQKIDNDIYPETLGQMFIINAGPGFRLLWNVLKPFIDPYTASKIHVLDNSYRSKLLEVINGSELPEFLGGSCSCAKDGGCLRADKGPWRDQSLS >itb10g16910.t3 pep chromosome:ASM357664v1:10:23153923:23164145:1 gene:itb10g16910 transcript:itb10g16910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSSSPFGSQSVFGQTNNNPFAPKPFGGTTPFGSQTGTSIFGGTSTGVFGATQSSSPLGSTPVFGASSPAFGSTAPTFGASSTPAFGSSSSSFGSTSIFGQKPAFGAFGSSTPQSSPFGGSFQQSQPAFGSNVFGSSTPFGAQSQPAFGTPSTPTFGSSSTPAFGATSTPAFGVSSTPAFGTTASPTFGSTGSPFGVSSSPVFGSTTPAFGASTTPAFGATSTPAFGATTTPAFGSTTSQAFGTSSTPFNFGSAPAFGQSTSAFGSTPFNTTPSPFGTQSSAFGASTSTPGFGTSSFSGQPRGSRVAPYQPTPEADSGTQTAGKLESISAMPVYKDKSHEELRFEDYQAGDKGGPAPAAQSTGGINFATSAFGTSSTSPFGQSAANPFSSTTSSSPFAPKPAFGSPGFGVSSTPAFSSSAFGASTTSNLFGSTSLTTPSLFGSSASTFAANTTPSLFGQSSSSAFGPSTSIFGSTSAQTTNPTFGSSLSFNTQSSPLFQSTTPSFGQTGSAFGQTGAAFGQTTSSFGQSTSAFGQPSLFNTPSTGFGGNLFPSTPPLQTTSNQLGFGQTAPSLSTPFQPTQPSLNTAFGFGNFGQTQGAAANSFGTPNAFGQSNFLQPSATQNSLVLQPAPLSNPFGTLPAMPQMSIGRTGNSPSIQYGISSLPVVDKPAPVRISSLLTSRHLSQRRVRLPARKYYPKPEGQKVPFFTDDEETTSTPKADAFFVPRENPRALIIRPLEQWPSKASMEKPSPTKYPSSVAEENGKVLEDTSAPLANGVCKGDKENGFTENGSNKETIPLKLNQKTTVVQDGNSGQKGGSSITLTGHRAGEAAIVYEHGADIEALMPKLRQSDYYTEPRIQELAAKERAEPGFCRRVKDFIVGRHGFGSIKFIGETDVRRLDLESIVQFNNREVAVYMDESKKPPVGQGLNKPAEVTLLNIKCLDKKTGRHFTDGPIVERYKKMLISKAEDQGAEFLSFDAIKGEWRFRVKHFSKYWVRDEDEESCISVCLSDAEDLELISNPDKECCTIVNLSESENLELILEPSIS >itb10g16910.t1 pep chromosome:ASM357664v1:10:23153890:23164145:1 gene:itb10g16910 transcript:itb10g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSSSPFGSQSVFGQTNNNPFAPKPFGGTTPFGSQTGTSIFGGTSTGVFGATQSSSPLGSTPVFGASSPAFGSTAPTFGASSTPAFGSSSSSFGSTSIFGQKPAFGAFGSSTPQSSPFGGSFQQSQPAFGSNVFGSSTPFGAQSQPAFGTPSTPTFGSSSTPAFGATSTPAFGVSSTPAFGTTASPTFGSTGSPFGVSSSPVFGSTTPAFGASTTPAFGATSTPAFGATTTPAFGSTTSQAFGTSSTPFNFGSAPAFGQSTSAFGSTPFNTTPSPFGTQSSAFGASTSTPGFGTSSFSGQPRGSRVAPYQPTPEADSGTQTAGKLESISAMPVYKDKSHEELRFEDYQAGDKGGPAPAAQSTGGINFATSAFGTSSTSPFGQSAANPFSSTTSSSPFAPKPAFGSPGFGVSSTPAFSSSAFGASTTSNLFGSTSLTTPSLFGSSASTFAANTTPSLFGQSSSSAFGPSTSIFGSTSAQTTNPTFGSSLSFNTQSSPLFQSTTPSFGQTGSAFGQTGAAFGQTTSSFGQSTSAFGQPSLFNTPSTGFGGNLFPSTPPLQTTSNQLGFGQTAPSLSTPFQPTQPSLNTAFGFGNFGQTQGAAANSFGTPNAFGQSNFLQPSATQNSLVLQPAPLSNPFGTLPAMPQMSIGRTGNSPSIQYGISSLPVVDKPAPVRISSLLTSRHLSQRRVRLPARKYYPKPEGQKVPFFTDDEETTSTPKADAFFVPRENPRALIIRPLEQWPSKASMEKPSPTKYPSSVAEENGKVLEDTSAPLANGVCKGDKENGFTENGSNKETIPLKLNQKTTVVQDGNSGQKGGSSITLTGHRAGEAAIVYEHGADIEALMPKLRQSDYYTEPRIQELAAKERAEPGFCRRVKDFIVGRHGFGSIKFIGETDVRRLDLESIVQFNNREVAVYMDESKKPPVGQGLNKPAEVTLLNIKCLDKKTGRHFTDGPIVERYKKMLISKAEDQGAEFLSFDAIKGEWRFRVKHFSKYWVRDEDEESCISVCLSDAEDLELISNPDKECCTIVNLSESENLELILEPSIS >itb10g16910.t2 pep chromosome:ASM357664v1:10:23153890:23164145:1 gene:itb10g16910 transcript:itb10g16910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSSSPFGSQSVFGQTNNNPFAPKPFGGTTPFGSQTGTSIFGGTSTGVFGATQSSSPLGSTPVFGASSPAFGSTAPTFGASSTPAFGSSSSSFGSTSIFGQKPAFGAFGSSTPQSSPFGGSFQQSQPAFGSNVFGSSTPFGAQSQPAFGTPSTPTFGSSSTPAFGATSTPAFGVSSTPAFGTTASPTFGSTGSPFGVSSSPVFGSTTPAFGASTTPAFGATSTPAFGATTTPAFGSTTSQAFGTSSTPFNFGSAPAFGQSTSAFGSTPFNTTPSPFGTQSSAFGASTSTPGFGTSSFSGQPRGSRVAPYQPTPEADSGTQTAGKLESISAMPVYKDKSHEELRFEDYQAGDKGGPAPAAQSTGGINFATSAFGTSSTSPFGQSAANPFSSTTSSSPFAPKPAFGSPGFGVSSTPAFSSSAFGASTTSNLFGSTSLTTPSLFGSSASTFAANTTPSLFGQSSSSAFGPSTSIFGSTSAQTTNPTFGSSLSFNTQSSPLFQSTTPSFGQTGSAFGQTGAAFGQTTSSFGQSTSAFGQPSLFNTPSTGFGGNLFPSTPPLQTTSNQLGFGQTAPSLSTPFQPTQPSLNTAFGFGNFGQTQGAAANSFGTPNAFGQSNFLQPSATQNSLVLQPAPLSNPFGTLPAMPQMSIGRTGNSPSIQYGISSLPVVDKPAPVRISSLLTSRHLSQRRVRLPARKYYPKPEGQKVPFFTDDEETTSTPKADAFFVPRENPRALIIRPLEQWPSKASMEKPSPTKYPSSVAEENGKVLEDTSAPLANGVCKGDKENGFTENGSNKETIPLKLNQKTTVVQDGNSGQKGGSSITLTGHRAGEAAIVYEHGADIEALMPKLRQSDYYTEPRIQELAAKERAEPGFCRRVKDFIVGRHGFGSIKFIGETDVRRLDLESIVQFNNREVAVYMDESKKPPVGQGLNKPAEVTLLNIKCLDKKTGRHFTDGPIVERYKKMLISKAEDQGAEFLSFDAIKGEWRFRVKHFSKYWVRDEDEESCISVCLSDAEDLELISNPDKECCTIVNLSESENLELILEPSIS >itb08g06040.t1 pep chromosome:ASM357664v1:8:5200451:5205284:1 gene:itb08g06040 transcript:itb08g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEIEAPAMKVAGEDVKDDEVSPIEEVRLTVPNTDDPTLPVWTFRMWVLGVISCVLLSFLNQFFSYRREPLIITSITVQVATLPIGRFMAAALPAAKFRVGSREFSLNPGPFNMKEHVLITIFANAGFAFGNGSAYAVGIVNIIIAFYRRKISFFAGWILIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRTLHEKEVEDGGGEEAGGGAGNKRRRMTRAKFFVIALCCSFCWYLFPGYIFQTLSSISWVCWVFPNSVTAHQLGSGLNGLGLGALTLDWSTVASFLFSPLICPFFAIANVFVGYVMIMYVVIPISYWGLNVYSAKNFPIYSSDLFTAQGQEYDISTIVNKEFELDIDQYVKQGRIHLSTFFTLTYGFGFATIASTITHVALFYGREIYDRYRASSKGKMDIHTKLMKNYKDIPSWWFYILLVVTIVVSLALCIFLKDQVQMPYWGLIFAAALAFMFTLPISIITATTNQTPGLNIITEYIMGVIYPGKPIANVCFKTYGYMSMTQAIAFLSDFKLGHYMKIPPRSMFLVQFIGTMIAGTVNLGVAWWLLHSVENICHQDKLSNSPWTCPNDHVFFDASVIWGLVGPKRIFGNEGNYRALNWFFLGGILGPVIVWLLHKKFPSQSWIPLINLPVLLGATAYMPPATALNYNSWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLHFTVGLGNKNVSWWGTNDPEHCDLATCPTAKGIAVDGCPVF >itb15g22620.t1 pep chromosome:ASM357664v1:15:25287226:25291023:1 gene:itb15g22620 transcript:itb15g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHQMTLDLYDAAVTGNHEKYYDVLRPAKAADSGVGCIEVTASGNTVLHVAVLHGRKQFVENILEKDEDHVASSMLLTSNNKGESALHCAAEKGHANIVSILLSAAKKCRQDVEHGCVGVRAMIEMRDNVKDTALHKAVRMRHLEVVKLLVKEDPEFEYPANDAGETPIYIAAELQLHCCLNEMLTTCTKPTYDGPLGRNALHGAVLSGFGYTKCCSHREFFGYTKCCSYGEFFGYTKCCSNKEFATECTKLLLEKNIGLCEETDEFGWTPLHYAAKINNDKVVDMILEEKTSAAYIHAGNKAKWMTTFHIAAMKGNIKIMKLISQKCPDCWEMVNSKGQNVLHEVIVSKNLNVIRHIEESSGDQFENLVNHKDEGGNTPLHLLAVTDGKIMRKFIKKHPMLNYFAFNKEHQTLFDKAISCRADGYKKLITKGRRRLLNRSNLVDRLIEIPKVEDKKRIEDKIERGKISIIVATLIITMTFAAGITVPGGYNQEGYPILLGNAAFKAFIITNTLSFLSSICSIVVHIAMLKIASLPECSYEIVDWLYIWQDRLLRLTFLGVIIAFLCGMYATLAPKRSFAIIDLVLGISIALLPLKVYRKAYKILYY >itb15g22620.t2 pep chromosome:ASM357664v1:15:25287226:25290282:1 gene:itb15g22620 transcript:itb15g22620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHQMTLDLYDAAVTGNHEKYYDVLRPAKAADSGVGCIEVTASGNTVLHVAVLHGRKQFVENILEKDEDHVASSMLLTSNNKGESALHCAAEKGHANIVSILLSAAKKCRQDVEHGCVGVRAMIEMRDNVKDTALHKAVRMRHLEVVKLLVKEDPEFEYPANDAGETPIYIAAELQLHCCLNEMLTTCTKPTYDGPLGRNALHGAVLSGFGYTKCCSHREFFGYTKCCSYGEFFGYTKCCSNKEFATECTKLLLEKNIGLCEETDEFGWTPLHYAAKINNDKVVDMILEEKTSAAYIHAGNKAKWMTTFHIAAMKGNIKIMKLISQKCPDCWEMVNSKGQNVLHEVIVSKNLNVIRHIEESSGDQFENLVNHKDEGGNTPLHLLAVTDGKIMRKFIKKHPMLNYFAFNKEHQTLFDKAISCRADGYKKLITKVSTHTLNLLHYIILFYLMPH >itb05g13040.t1 pep chromosome:ASM357664v1:5:19884517:19888965:-1 gene:itb05g13040 transcript:itb05g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIMKVLVLNILGILLLAVLQPGHAKTHHHTFIVEESPYTRLCSSKKILTVNGSFPGPTLYMNKGDMLVVDVYNNGTQNITIHWHGIKQVRYPWSDGPEYITQCPIAPGANFSQRIELSDEEGTVWWHAHSDWSRATVHGAVVIYPKNGTEYPFPKPDDEIQIILGEWWKSDIQAVLDQFLASGGDPNTSDAYLINGQPGDLYPCSNNDTYKLTVEYGKTYLLRMVNAVMNNILFFSIANHQITVVGTDGAYTKPLTSDYITISPGQTIDFLLHANQTPNHYYMAAKAYNSAGAISFDNTTTTAVLQYAGNYTPTSPLPFPVLPAFNDTNASVNFTGRLRSLADADHPIDVPLNISTNLFFTVSINLLPCNNTNSTCTGPNGNRFAASVNNNSFVLPQIDILQAYYYQINGVYGENFPSIPPFVFNFTASNLSTVSQTANRTTEVRVLEYNETVELVFQGTNLVAGIDHPMHLHGYSFYVVGWGFGNFDKDKDPLNYNLVDPPLQNTIAVPKNAWTAIRFKADNPGVWFMHCHLERHTSWGMEMAFIVKDGNGTNEKLMPPPPDMPKC >itb05g01950.t1 pep chromosome:ASM357664v1:5:1530407:1532038:1 gene:itb05g01950 transcript:itb05g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSVSFSLLFFFFSSFCFHLSFGDYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNIAALSTALFNNGLACGSCYELMCNGPYCVPGSITVTATDFCPPNPGLSNDNGGWCNSPRQHFDMAQPAYLQIAIYRAGIVPVSYRRVPCRKKGGIRFTINGHTYFNLVLVTNVGGSGDVHSVAIKGSKTGWQQMSRNWGQNWQSNSVLDGQSLSFQVTTGDGRTVTSYNAAPANWRFGQTFEGAQF >itb05g09590.t1 pep chromosome:ASM357664v1:5:14002042:14002602:1 gene:itb05g09590 transcript:itb05g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVMALTLDHLFDHDTALAALGPENSGGVKVAAPELATVMACDGVCTVCMEGFRSGIGGKQVPCGHIFHANCILKWLSLHNSCPLCRSPITGKLPL >itb15g06990.t1 pep chromosome:ASM357664v1:15:4712868:4714382:-1 gene:itb15g06990 transcript:itb15g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSVLFQPFWPSHNVQFSTSHQTIFCDLYDETYRRENYTHSSTVTTTDSSDSPLYSSFAALFPDAFTELTSLQNDTAMDHLESIEFEDFCQWLNNSESEEQPKGDMWSPSLSVVSSEASMVLPSRNTAVTVPGTGMEVDGLMNLHHLLEAYAEATEDGHKELAEVIAKCITGKVNPFGEPIERVAFNLFHPSEDLQKEAGNNFEAAFMAFYQILPYGRFAHFAANSAILEALPSSAETVTIVDFDMGEGIQWPPLIEAMGPKPISLKLISIKTEQQSTSSGWRFETTQRRLYDHARQCGQKLQVEEMTIEELVTETKRSTGKQWLAFNCMFRLPHMAKKQPRSQAMEFLKIAKELLSFSAIPSGIAVFADGEPRGNGDSSPGYTSSFNNQFIHYKSLIESMESYFPVNLAEARIALESLFFSPFICSTSWFHDWQESKIRGTSDNLSETGLQGRKLSMENLIQAKVLVSERETAYKVRIEEQKQHEMILEWRGTPLMGVSTWM >itb07g03000.t1 pep chromosome:ASM357664v1:7:2030412:2046603:1 gene:itb07g03000 transcript:itb07g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFKIGGLHHISWFQFLPNESELNSLPDKSVKVDQKAAASWLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGHYSTVVEKAQPAVSRLRVLASGLWVAPGDSEEVAAALSHALRNCVERALKALSYMRYGDVFARYYPFSHNEDSLRRGQPVIEFFFTSTEEAVFVHAVISSKHVRALASGDIEKISKRSSHSSGNRLPVVVSPHGMRGRLTGCCPSDLVKQVYLSSGKCTSSNGIVALPYHVSQDSGCQLRGLNYFVEVTIGCPATGTGKTLRPHSNSQKSLPGHNMAESQAVAKVGQSRAPDICERMFIYPSEAVLVPVMQTAYAKSSLKRFWLQNWIGPSLSVSSSFIHCDSKGNSRDVSWIESNGVRTQHGYRSSSNSNNSSLSSISSSSSDSDYRAGDLEADADSLMCRQSGLSSSDQSHNVSLKTQGLKRPITGVSESYSQAGVTNSLNNDYTSMEVGNSAITGGNDQIGLQWGWDDDDRGGMDIQALLSEFGDFGDFFENDVLTFGEPPGTAESQALVFTGADCGDIGNSPCTSVMDLPEQMLAPVGYQSFDSFNPPPSLATIDDSVSKPQEVIKGTESSYQVNSTPASSTGEFDHLIKAEAMMTFAPEYGAVEAHASEISNSVFASPYIPKSRKVETANSSTNSYVYSANPPSSPLFGGSDENSGMAANFKTSAGKHDATSTLQSKKYYTHVDCRKDRNDKKLPNSENCATQEAQAAVSAFSVLDSTNTVKSVQSKSGKTDDGSFKAENVVPSIKTLFATEVECLMCQAFMCKIRHTLLSSNNPPVGSRFSASTILSQPPADSIVTIDNMSSKYEVKKKESIPARIAGDIDVRLLDGSFNSPVGVLRSASKGSKLMSSGIEACQSGPHNSYVEENMLSYGLRQPLQELLDGMALLVQQATSFVDVSLDADCNDGPFGWLALQEQWRRGFACGPSMAHAGCGGLLASCHSLDIAGVELVDPLSTDVQASFAISLLQSDVKSALKSAFGTLDGPLSVTDWCKGRAQSNDSAISGDGSLAESTASASECPDSSNTVTLSVGEPISPSPSSAMGSSSLKDGSRGDEATERRLSQDALSESEQLLNARLRPTIAAVPYPGILVGYQDDWLKTSPSSLQLWEKAPFEPYAMPKNMLYYVVCPDIDPLTKAATDFFQQLGTVYDTCKLGTHSPHSLGNEMEIDSGKNSSSGFVLIDCPQSMKIDSSSASMLGSISDYFLSLSNGWDLESFLKSLSKVLRTLKLSSCLNPKDGKSGPCTVIYVVCPFPEPLAVLQTVVESSTAIGSVMFASDKERRSTLHNQVGKALSYSAAVDEAFSNVLTLSGFCIPKLVLQIVPVDAIFRVTSPPLSELVILKDIAFTVYNKARKISRGSSNDMAPSSLSSRSHPVLMQMTSPVPGMWKDYGARGSSFQREGELDASLRHGTWDSSWQTSRAGGIGCDPNRIGDFVFQDEIRYLFEPLFILAEPGSLDRGLSFPVSGNLASESSKHLLDDVVSGSGDVGSSSMPEMSESDGSGSCHQKLHCCYGWTEDWRWLVCIWTDSRGELLDSYIHPFGGISSRQDTKGLQSLFVQILQQGCQILQACAPDASIANPRDFVIARIGCFFELECQEWQKALYAVGGSEVKKWSLQLRRSVPDGVTPSSNGTSLQQQEMNLIQERTLPSSPSPLYSPHSKASAFIKGGLVQPNARQQLIGGHTIVDNSRGLLQWVQSISFVSVSIDHSLQLVVQAESASQGTNQSSGVLGQPSYLEGYTPVKSLSSTSSSYVFIPSPNMRFLPPTILQLPTCLTAESPPLAHLLHSKGSAVPLSTGFVVSKAVPSMRRDARNISKEEWPSVLSVSLVDYYGGNRAPQEKALKGGGSKAGVGKGLSSETRDFETETHLVLENIAAELHALSWMTVSPAYLERRSALPLHCDMVLRLRRLLHFADKEVSRLPEKAQV >itb07g03000.t3 pep chromosome:ASM357664v1:7:2030439:2046603:1 gene:itb07g03000 transcript:itb07g03000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFKIGGLHHISWFQFLPNESELNSLPDKSVKVDQKAAASWLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGHYSTVVEKAQPAVSRLRVLASGLWVAPGDSEEVAAALSHALRNCVERALKALSYMRYGDVFARYYPFSHNEDSLRRGQPVIEFFFTSTEEAVFVHAVISSKHVRALASGDIEKISKRSSHSSGNRLPVVVSPHGMRGRLTGCCPSDLVKQVYLSSGKCTSSNGIVALPYHVSQDSGCQLRGLNYFVEVTIGCPATGTGKTLRPHSNSQKSLPGHNMAESQAVAKVGQSRAPDICERMFIYPSEAVLVPVMQTAYAKSSLKRFWLQNWIGPSLSVSSSFIHCDSKGNSRDVSWIESNGVRTQHGYRSSSNSNNSSLSSISSSSSDSDYRAGDLEADADSLMCRQSGLSSSDQSHNVSLKTGLKRPITGVSESYSQAGVTNSLNNDYTSMEVGNSAITGGNDQIGLQWGWDDDDRGGMDIQALLSEFGDFGDFFENDVLTFGEPPGTAESQALVFTGADCGDIGNSPCTSVMDLPEQMLAPVGYQSFDSFNPPPSLATIDDSVSKPQEVIKGTESSYQVNSTPASSTGEFDHLIKAEAMMTFAPEYGAVEAHASEISNSVFASPYIPKSRKVETANSSTNSYVYSANPPSSPLFGGSDENSGMAANFKTSAGKHDATSTLQSKKYYTHVDCRKDRNDKKLPNSENCATQEAQAAVSAFSVLDSTNTVKSVQSKSGKTDDGSFKAENVVPSIKTLFATEVECLMCQAFMCKIRHTLLSSNNPPVGSRFSASTILSQPPADSIVTIDNMSSKYEVKKKESIPARIAGDIDVRLLDGSFNSPVGVLRSASKGSKLMSSGIEACQSGPHNSYVEENMLSYGLRQPLQELLDGMALLVQQATSFVDVSLDADCNDGPFGWLALQEQWRRGFACGPSMAHAGCGGLLASCHSLDIAGVELVDPLSTDVQASFAISLLQSDVKSALKSAFGTLDGPLSVTDWCKGRAQSNDSAISGDGSLAESTASANGSRGDEATERRLSQDALSESEQLLNARLRPTIAAVPYPGILVGYQDDWLKTSPSSLQLWEKAPFEPYAMPKNMLYYVVCPDIDPLTKAATDFFQQLGTVYDTCKLGTHSPHSLGNEMEIDSGKNSSSGFVLIDCPQSMKIDSSSASMLGSISDYFLSLSNGWDLESFLKSLSKVLRTLKLSSCLNPKDGKSGPCTVIYVVCPFPEPLAVLQTVVESSTAIGSVMFASDKERRSTLHNQVGKALSYSAAVDEAFSNVLTLSGFCIPKLVLQIVPVDAIFRVTSPPLSELVILKDIAFTVYNKARKISRGSSNDMAPSSLSSRSHPVLMQMTSPVPGMWKDYGARGSSFQREGELDASLRHGTWDSSWQTSRAGGIGCDPNRIGDFVFQDEIRYLFEPLFILAEPGSLDRGLSFPVSGNLASESSKHLLDDVVSGSGDVGSSSMPEMSESDGSGSCHQKLHCCYGWTEDWRWLVCIWTDSRGELLDSYIHPFGGISSRQDTKGLQSLFVQILQQGCQILQACAPDASIANPRDFVIARIGCFFELECQEWQKALYAVGGSEVKKWSLQLRRSVPDGVTPSSNGTSLQQQEMNLIQERTLPSSPSPLYSPHSKASAFIKGGLVQPNARQQLIGGHTIVDNSRGLLQWVQSISFVSVSIDHSLQLVVQAESASQGTNQSSGVLGQPSYLEGYTPVKSLSSTSSSYVFIPSPNMRFLPPTILQLPTCLTAESPPLAHLLHSKGSAVPLSTGFVVSKAVPSMRRDARNISKEEWPSVLSVSLVDYYGGNRAPQEKALKGGGSKAGVGKGLSSETRDFETETHLVLENIAAELHALSWMTVSPAYLERRSALPLHCDMVLRLRRLLHFADKEVSRLPEKAQV >itb07g03000.t2 pep chromosome:ASM357664v1:7:2030412:2046603:1 gene:itb07g03000 transcript:itb07g03000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFKIGGLHHISWFQFLPNESELNSLPDKSVKVDQKAAASWLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGHYSTVVEKAQPAVSRLRVLASGLWVAPGDSEEVAAALSHALRNCVERALKALSYMRYGDVFARYYPFSHNEDSLRRGQPVIEFFFTSTEEAVFVHAVISSKHVRALASGDIEKISKRSSHSSGNRLPVVVSPHGMRGRLTGCCPSDLVKQVYLSSGKCTSSNGIVALPYHVSQDSGCQLRGLNYFVEVTIGCPATGTGKTLRPHSNSQKSLPGHNMAESQAVAKVGQSRAPDICERMFIYPSEAVLVPVMQTAYAKSSLKRFWLQNWIGPSLSVSSSFIHCDSKGNSRDVSWIESNGVRTQHGYRSSSNSNNSSLSSISSSSSDSDYRAGDLEADADSLMCRQSGLSSSDQSHNVSLKTQGLKRPITGVSESYSQAGVTNSLNNDYTSMEVGNSAITGGNDQIGLQWGWDDDDRGGMDIQALLSEFGDFGDFFENDVLTFGEPPGTAESQALVFTGADCGDIGNSPCTSVMDLPEQMLAPVGYQSFDSFNPPPSLATIDDSVSKPQEVIKGTESSYQVNSTPASSTGEFDHLIKAEAMMTFAPEYGAVEAHASEISNSVFASPYIPKSRKVETANSSTNSYVYSANPPSSPLFGGSDENSGMAANFKTSAGKHDATSTLQSKKYYTHVDCRKDRNDKKLPNSENCATQEAQAAVSAFSVLDSTNTVKSVQSKSGKTDDGSFKAENVVPSIKTLFATEVECLMCQAFMCKIRHTLLSSNNPPVGSRFSASTILSQPPADSIVTIDNMSSKYEVKKKESIPARIAGDIDVRLLDGSFNSPVGVLRSASKGSKLMSSGIEACQSGPHNSYVEENMLSYGLRQPLQELLDGMALLVQQATSFVDVSLDADCNDGPFGWLALQEQWRRGFACGPSMAHAGCGGLLASCHSLDIAGVELVDPLSTDVQASFAISLLQSDVKSALKSAFGTLDGPLSVTDWCKGRAQSNDSAISGDGSLAESTASANGSRGDEATERRLSQDALSESEQLLNARLRPTIAAVPYPGILVGYQDDWLKTSPSSLQLWEKAPFEPYAMPKNMLYYVVCPDIDPLTKAATDFFQQLGTVYDTCKLGTHSPHSLGNEMEIDSGKNSSSGFVLIDCPQSMKIDSSSASMLGSISDYFLSLSNGWDLESFLKSLSKVLRTLKLSSCLNPKDGKSGPCTVIYVVCPFPEPLAVLQTVVESSTAIGSVMFASDKERRSTLHNQVGKALSYSAAVDEAFSNVLTLSGFCIPKLVLQIVPVDAIFRVTSPPLSELVILKDIAFTVYNKARKISRGSSNDMAPSSLSSRSHPVLMQMTSPVPGMWKDYGARGSSFQREGELDASLRHGTWDSSWQTSRAGGIGCDPNRIGDFVFQDEIRYLFEPLFILAEPGSLDRGLSFPVSGNLASESSKHLLDDVVSGSGDVGSSSMPEMSESDGSGSCHQKLHCCYGWTEDWRWLVCIWTDSRGELLDSYIHPFGGISSRQDTKGLQSLFVQILQQGCQILQACAPDASIANPRDFVIARIGCFFELECQEWQKALYAVGGSEVKKWSLQLRRSVPDGVTPSSNGTSLQQQEMNLIQERTLPSSPSPLYSPHSKASAFIKGGLVQPNARQQLIGGHTIVDNSRGLLQWVQSISFVSVSIDHSLQLVVQAESASQGTNQSSGVLGQPSYLEGYTPVKSLSSTSSSYVFIPSPNMRFLPPTILQLPTCLTAESPPLAHLLHSKGSAVPLSTGFVVSKAVPSMRRDARNISKEEWPSVLSVSLVDYYGGNRAPQEKALKGGGSKAGVGKGLSSETRDFETETHLVLENIAAELHALSWMTVSPAYLERRSALPLHCDMVLRLRRLLHFADKEVSRLPEKAQV >itb06g23850.t2 pep chromosome:ASM357664v1:6:25611360:25614220:1 gene:itb06g23850 transcript:itb06g23850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEEWSVQNEMGCGLVGALFQRAGGSKPSKSPPSPRAPAAKNQITVDARRRRSSSEINQRSSNGCGGGDHRQRTPRTTTMPPPQAANLAYTQRLRREPTFTSSELSVNIVGHRKSSAAACGLYRASAGNVMLTRHQGNLNQKPSKQKESLNGKVLGSHLGAAAVMGNIFRQPTLRTVLTGFSKSMDPDVVKSMGNEHYRQGRYEEALNLYSEAIAINPRNPCYHSNKSAALMALSRPIEAVFECREAIRLDPFYHNAQYRLANLYFRLGEAEKAVSHYEQSGRKAEGKDIDQAKALSKILASCSEAQRVKDWAALLKQSQSALSLGSDSSPQILAMKAEALVKLQRHEEAYTALVKWPAFDTEQCTSLFGSAKTAYFLGVKAQVLMAIGRFEDAVSGAKQAALLDRSSEQNAALLVKKMAAVAAARSSANDLFKASKFAEAAAMNTQGLGHDPCNSVLLYNRAACRFKLGLFEKALEDCTAALNLRPSYRKARTRRADCNVKVRIELKTFFFFSF >itb06g23850.t1 pep chromosome:ASM357664v1:6:25611283:25614706:1 gene:itb06g23850 transcript:itb06g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEEWSVQNEMGCGLVGALFQRAGGSKPSKSPPSPRAPAAKNQITVDARRRRSSSEINQRSSNGCGGGDHRQRTPRTTTMPPPQAANLAYTQRLRREPTFTSSELSVNIVGHRKSSAAACGLYRASAGNVMLTRHQGNLNQKPSKQKESLNGKVLGSHLGAAAVMGNIFRQPTLRTVLTGFSKSMDPDVVKSMGNEHYRQGRYEEALNLYSEAIAINPRNPCYHSNKSAALMALSRPIEAVFECREAIRLDPFYHNAQYRLANLYFRLGEAEKAVSHYEQSGRKAEGKDIDQAKALSKILASCSEAQRVKDWAALLKQSQSALSLGSDSSPQILAMKAEALVKLQRHEEAYTALVKWPAFDTEQCTSLFGSAKTAYFLGVKAQVLMAIGRFEDAVSGAKQAALLDRSSEQNAALLVKKMAAVAAARSSANDLFKASKFAEAAAMNTQGLGHDPCNSVLLYNRAACRFKLGLFEKALEDCTAALNLRPSYRKARTRRADCNVKLERWEAAIQDCEVLIQETPGDEAVRRLFTAAKQRLDRQRQEDYGHRLQLRPRSDLVLVS >itb05g23610.t1 pep chromosome:ASM357664v1:5:28677093:28678487:1 gene:itb05g23610 transcript:itb05g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITGEAFEMRLRDFFSSWRMSHEELWGSSDIILVSTHKLQAQAHPNLRSRNFLLWLLGEDVAGTTAVFTRSRIFFLCNTPESFSALHNLRGYVTTMNIGVSVQLTKPKEGVSKLDRIIIENTRRCSFGFCSIIRVGCIDRGGWVGFMIIQSKVLDDFDQYMIVDVDPGVQKLIGIVDETTTHKSSRIYKQDILLSTYLGLREDAIHAMDQLENYNQSSVLKEMKNIINSYLDDDEAAGTSNSNSNEDDANKLGIEIKQRSILLYSKWRKCRKELWGDSDALVVTSGSGSDRPVSCNFLLWLLGREFPNTTVVFKDQAIDFICPIETCPELCVLGLYMAVALEIIVSVEPRRKAANDDESQVLNSSTLYPHFDLHRDRGIPVIIGYIDGEVPNSKFLHTCGCVEYGKSFQATNVGSGFVKLLDEVVGEGLLLKSLQTPPSSFLPPHHKVESIEKSIEKEKCSIM >itb05g23610.t2 pep chromosome:ASM357664v1:5:28676034:28678487:1 gene:itb05g23610 transcript:itb05g23610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIITGEAFEMRLRDFFSSWRMSHEELWGSSDIILVSTHKLQAQAHPNLRSRNFLLWLLGEDVAGTTAVFTRSRIFFLCNTPESFSALHNLRGYVTTMNIGVSVQLTKPKEGVSKLDRIIIEREAFEMRLRDFFSSWRMSHEELWGSSDIILVSTHKLQAQAHPNLRSRNFLLWLLGEDVAGTTAVFTRSRIFFLCNTPESFSALHNLRGYVTTMNIGVSVQLTKPKEGVSKLDRIIIENTRRCSFGFCSIIRVGCIDRGGWVGFMIIQSKVLDDFDQYMIVDVDPGVQKLIGIVDETTTHKSSRIYKQDILLSTYLGLREDAIHAMDQLENYNQSSVLKEMKNIINSYLDDDEAAGTSNSNSNEDDANKLGIEIKQRSILLYSKWRKCRKELWGDSDALVVTSGSGSDRPVSCNFLLWLLGREFPNTTVVFKDQAIDFICPIETCPELCVLGLYMAVALEIIVSVEPRRKAANDDESQVLNSSTLYPHFDLHRDRGIPVIIGYIDGEVPNSKFLHTCGCVEYGKSFQATNVGSGFVKLLDEVVGEGLLLKSLQTPPSSFLPPHHKVESIEKSIEKEKCSIM >itb04g13010.t1 pep chromosome:ASM357664v1:4:12988924:12990820:-1 gene:itb04g13010 transcript:itb04g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSQQHHIVMLPFMAQGHMIPFLALAKKIHETTSFTITIATTPLNAQYLRATISAEGSKSPSSPGIRVADLSFNPADHGLESKAENTEALAFDDMLKLFRASVSLESPLHGLISEMTLQENGKPPLCIISDSFMGWANRVANTFGTVNVSFNTGGCYGFAAYFSCWLNLPHLSAKDDEFSLPGFPGSTRFHISQLHPLLRAANATDPWTVFYRSVMSLSFDSFGWLCNTVKEIEPAGTDILKSIIKLPVWCIGPLLPPEMLDKARELELESSQMCGTLGRNPGISARECIGWLDMHRDGSVLYVSFGSQNSISASQMMALALGLEDSQKPFLWVIRPPIGFDRKGEFRPEWLPEGYEERMGKTKKGLLVHSWAPQLEILCHRSVGAFLSHCGWNSLLESLSQGIPIIGWPLSGDQGFNSKMLMEEMGVCIEVCRGTQSSVSREDVKRVVDIVLEKGGEGEEMKKKAIGIGEMIRGAVREDGSTKGKGSSLLAMDDFVSALLARTTVSSP >itb11g23480.t1 pep chromosome:ASM357664v1:11:25491387:25493349:1 gene:itb11g23480 transcript:itb11g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSTTFLLLQHGYLPRFRHHAVRFVVLPCQVLEHTTTAKLFLLVFDDDVDIVARVERWLVVYPLLTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKSKILAWAVPREE >itb14g16090.t1 pep chromosome:ASM357664v1:14:19389606:19392979:1 gene:itb14g16090 transcript:itb14g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCPFLCHASLCFPAIINNSIHHRRSPGNTAAQDVDGRAPPSLQTHGLLWAGAVEHGDGGSGMVRHGGCEGGVSGAERRRCRSVACYAVSNCRRYQSVFVGSQPSSHHRRNNRSEDVIVDVTVVSAAVASRWWPRRCKVPALDCQDSGTEGEKFVHKMSEY >itb11g09690.t1 pep chromosome:ASM357664v1:11:6591175:6601537:-1 gene:itb11g09690 transcript:itb11g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEKLMNQIMELKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNVDGARIYAENAIRKRSEQMNYLRLASRLDAVVARLDTQAKMTTISKSMGNIVKSLESSLNTGNLQKMSETMDQFERQFVNMEVQAEFMESSMAGSTSLSTPEDQVNSLMQQVADDYGLEVSVGLPQPAAHAVPTNTEKVDEDDLSRRLAELKARGILAESSMEGKISRVSWMYVDDPMALAAWFDLPFSSSKVQNTSKQKTPSHSSSVPILRTPKLPITMVTVSVKWQKEVFPAVEIDTSQPPYVFKCQLFDLTGVPPERQKIMVKGGLLKDDADWSKVAVKEGQRLMMMGTADEIVKAPEKSPVFVEDMPEEEQVINVGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALTLYPHADRRNDLDSSSHLLTVATRDLFSELDKNVKPVSPMQFWMVLRKKYPQFGQLNNGVFMQQDAEECWTQLLYTLSQSLKRQVSSDTSDTVKDIFGIDLVSRVHCAESGEESTETESVYSLKCHISQEVNHLHEGLKRGLKSELEKASPSLGRSAIYVKDSRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDVFDLCSDDLRKKLEGPRKALRDEEGKKLGLQASGKSSSSKDNDVKMTDAVESSSGSGESSKSAPQEGVLPEKEQHLTGVYDLVAVLTHKGRSADSGHYVAWVKQENGKWIQFDDDNPIPQREEDIPKLSGGGDWHMAYICMYKARLTPM >itb11g03130.t1 pep chromosome:ASM357664v1:11:1611929:1614162:-1 gene:itb11g03130 transcript:itb11g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPCKSFTFSSTSSSSSSPFPKPSQLCLHSAKRSHHHLKVSCTAADGGESQPKLDRRDVILGLGGLYGGASLVTTPLAAVADPIQAPDISKCVVPPADLPAGAVVDNCCPPAAANIVDYKVPYTSPAAMRVRPAAHRMDKDKAYAAKFEKAIELMKALPADDPRNFYQQANVHCAYCDGGYVQVGFPDKEIQVHNSWLFFPFHRWYLYFYERIMGKLIGDPTFALPFWNWDTPEGMVIPSVFTNPNSSLYDENRNKSHLPPAVVDLGYDGKDTPATDQETISNNLLLMYKNMVTNAGTAEMFLGKPYRAGDAPNSNKEGMGPGSIETVPHIPIHRWVGDVEPRTKNGEDMGNLYSAGRDVLFYCHHSNVDRMWTIWQQLGGPGKGRRRGRDFTHKDWLDATFLFFDENAQAVRVRVGDALDNHKMGYKYEYTKLPWLDSKPVPSKKTAGLAAKSTAPFVTSVFPVTLDKVVQVKVARPRKSRSKEEKEAEEEILLIEGIEVAMDEYAKFDVYLNDEDEPGAGKLKAEYAGSFASLPHKQKGSKKIRASLSLGLNEPLEDLGAEDDDAVLVTLAPKVGGGVVTVENIKIVCGS >itb10g06560.t1 pep chromosome:ASM357664v1:10:7385008:7387999:1 gene:itb10g06560 transcript:itb10g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAEEYAREIEILKEQIRATLQIASGSSNVAEKLKFIDLLERLGIAYHFEKEIDDQLQHIYTHHPMHSHDLETVALQFRLLRQHGYKISTDIFSNFVDSNGKFRDTFDVKGLLSLYEASYVSTHGDDILEGVVAFAATRLRSAAPLLEPNSTLKKLVTHALDQPLHTGMPRIETRFFISVYEEEEDSSRNDVLLRFAKLDFNLLQMLHKQELSEVSRWWKELDFATTLPYARDRAVECYFWALGVYFEPHYSKARVMLAKNISIVSILDDTYDAYGTLEELDVYTDVIQRWDISEIDRLPNYMKISYKALLELFDEDEKDLSKEGRSYVVQHAMERMKELVRCYFIEAKWFIEGEKPVFAEYLRNAFVSCTYYLLATISCYGLKSADDKVFDWLMQNPRILEASVTLCRVIDDIATFDVEKDRGQVTTGIECYMKEYCVSQEKAMEKFQEMAELGLKDLNEGLIKPTPVPAEILLRIVNLARIVFVTYQHNQDGYTHPEKVLKPHIIALVVDPIPV >itb02g01080.t1 pep chromosome:ASM357664v1:2:615440:616512:-1 gene:itb02g01080 transcript:itb02g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLALLLLLLSLAIAAAAKSHEYYHPLALNDHLLRHRARAGGRHRSRGAGRHIPYVNCLSWRLGVEANNIREWPMVPRLCEGYIRHYMLGRQYRDDCTAVAAAAIRYATTVELVHDGKDVWVFDIDETALSNLPYYGRVDVGFGIRRCNETNFEAWIRDANAPVMQETLRLYNSVRAMGMKPVFISGTKEQFRSARISNLHRAGFRAWEKLILRGVNDTERPMVEYKSEKRAELVARGYRIVGNIGDQWSDLLGENVGLRTFKMPDPMYYVN >itb14g07040.t2 pep chromosome:ASM357664v1:14:6394071:6399961:1 gene:itb14g07040 transcript:itb14g07040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNHTMKVVAVVLATVLLITAQLPMGVSFDPVVPSFLWSPHQHRSDGVESVNYKTLSPKDLAKSVMSEGGWSNLLCSGKGADQHLDIGLIFVGKELQSTDLSKPIKADSVLINFLKDSFVNSNFSLAFPYIAISEEGESVERSLISEFTDTCGDNLETSRVAFSESCSVESEDFEKLSGIPSIQSYLVSRMEKKSKGHSDLIVLCDSDSNTLVGTDNQASESTID >itb14g07040.t3 pep chromosome:ASM357664v1:14:6394077:6399643:1 gene:itb14g07040 transcript:itb14g07040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNHTMKVVAVVLATVLLITAQLPMGVSFDPVVPSFLWSPHQHRSDGVESVNYKTLSPKDLAKSVMSEGGWSNLLCSGKGADQHLDIGLIFVGKELQSTDLSKPIKADSVLINFLKDSFVNSNFSLAFPYIAISEEGESVERSLISEFTDTCGDNLETSRVAFSESCSVESEDFEKLSGIPSIQSYLVSRMEKKSKGHSDLIVLCDSDSNTLVGTDNQASESRLLTELISYVEHLGAKYTVLYVSDPSRSIQYPSHRELERFLAEGRFGSGSGNSTCDEVCELKSTFWEGIMVVSP >itb14g07040.t1 pep chromosome:ASM357664v1:14:6394071:6399961:1 gene:itb14g07040 transcript:itb14g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNHTMKVVAVVLATVLLITAQLPMGVSFDPVVPSFLWSPHQHRSDGVESVNYKTLSPKDLAKSVMSEGGWSNLLCSGKGADQHLDIGLIFVGKELQSTDLSKPIKADSVLINFLKDSFVNSNFSLAFPYIAISEEGESVERSLISEFTDTCGDNLETSRVAFSESCSVESEDFEKLSGIPSIQSYLVSRMEKKSKGHSDLIVLCDSDSNTLVGTDNQASESRLLTELISYVEHLGAKYTVLYVSDPSRSIQYPSHRELERFLAEGRFGSGSGNSTCDEVCELKSTFWEGIMVAVVLLIILISGLCCMMGIDTPARFEAPVDS >itb13g21520.t1 pep chromosome:ASM357664v1:13:28124935:28127472:-1 gene:itb13g21520 transcript:itb13g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEKISFIVLLFQVVLSGVVGQTNKVIHHHNNGLSYGFYDQSCPQLETIVRGATQTFLLSDPTTAAALLRLMFHDCQVQGCDASILIDSFQSEMRSDKNFGVRQRGVINAIKSVVEAACPWRVSCADILVLAARDSVALSGGPPIDVPLGRRDSSNPPNYKLANSLLPPATAGVDATLRLFAQKGLTVEESVAIIGAHSIGATHCVNVRRRLFDSNAQRESKVPADLAALLSLNCPMGASSANISTVPNDRTSLTFDNRYYVNAVSGRGVLNIDAAMPTDPRTAGHVKRFATNEEEFFRAFSSAFVKLSSSNVLTGERGVIRRNCDTLY >itb11g09250.t2 pep chromosome:ASM357664v1:11:6146275:6152461:-1 gene:itb11g09250 transcript:itb11g09250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRAKISRSNLYTFSCLRPQAAEEEGPHPLGPGYSRVVRCNQPKLHEVKPLKYCSNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLAAILSLTPVSPFSALSMIAPLIFVVGLSMAKEALEDWRRFIQDMKVNLRKASVHKMDGIFRPRPWMKIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLEDDESFKDFRATIKCEDPNPNLYTFEGNLEYDRQTYPLDPSQILLRDSKLRNTTYVYGVVIFSGHDSKVMQNSTKSPSKRSKIEVQMDKIIYILFTLLLLISLVSSVGFAVKAKFQMPDWWYLHPEDKENVFYNTSRPALSGIFHLVTALILYGYLIPISLYVSIEIVKVLQALFIHRDIHMYDEESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGKRASDVELAAAKQMAMEFDEMDPERKSNGNGFGASEIELETPTSGKDENDQKPAIKGFSFQDSRLMNGNWLNEPNADFILLFFRILSICHTAIPELHEETGSFNYEAESPDEAAFLIAAREFGFEFCKRTQSSIAVRERYPSYLKPIEREVKLLNLLDFTSKRKRMSAIVQDEDGQILLLCKGADSIIFDRLAKNGRMFQEATAKHLNEYGEAGLRTLALAYRKLDEAEYSAWNEEFTRAKTSLGSDREGMLERLSDMMERDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRQICISANTDAAANDTNEAVKESILMQITNASQMIKLEKDPLAAFALIIDGKTLAYALENDMKHQFLELAVGCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYYEAFTGFSGQSVYDDLYMLLFNVVLTSLPVISLGVFEQDVSSEICLQVSPLCTISFTSDLLSI >itb11g09250.t1 pep chromosome:ASM357664v1:11:6146275:6152526:-1 gene:itb11g09250 transcript:itb11g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRAKISRSNLYTFSCLRPQAAEEEGPHPLGPGYSRVVRCNQPKLHEVKPLKYCSNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLAAILSLTPVSPFSALSMIAPLIFVVGLSMAKEALEDWRRFIQDMKVNLRKASVHKMDGIFRPRPWMKIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLEDDESFKDFRATIKCEDPNPNLYTFEGNLEYDRQTYPLDPSQILLRDSKLRNTTYVYGVVIFSGHDSKVMQNSTKSPSKRSKIEVQMDKIIYILFTLLLLISLVSSVGFAVKAKFQMPDWWYLHPEDKENVFYNTSRPALSGIFHLVTALILYGYLIPISLYVSIEIVKVLQALFIHRDIHMYDEESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGKRASDVELAAAKQMAMEFDEMDPERKSNGNGFGASEIELETPTSGKDENDQKPAIKGFSFQDSRLMNGNWLNEPNADFILLFFRILSICHTAIPELHEETGSFNYEAESPDEAAFLIAAREFGFEFCKRTQSSIAVRERYPSYLKPIEREVKLLNLLDFTSKRKRMSAIVQDEDGQILLLCKGADSIIFDRLAKNGRMFQEATAKHLNEYGEAGLRTLALAYRKLDEAEYSAWNEEFTRAKTSLGSDREGMLERLSDMMERDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRQICISANTDAAANDTNEAVKESILMQITNASQMIKLEKDPLAAFALIIDGKTLAYALENDMKHQFLELAVGCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYYEAFTGFSGQSVYDDLYMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWNRIFGWMGNGLYTSLIIFFLNLIIFYDQAFRAGGQTADLTAVGTVMFTCVIWAVNCQIALTMSYFTWIQHILIWGSIAMWYIFLLFYGAVSPNISGNAYQILVEALAPAPIYWVTTLLVTITCNLPYLAHISFQRAFSPMDHHIIQEIKYCRKDIEDQHMWTRERSKAREKTKIGFTARVDAKIGQLKAKLQKKYSSMATRTEVSQT >itb11g09250.t3 pep chromosome:ASM357664v1:11:6146308:6152526:-1 gene:itb11g09250 transcript:itb11g09250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRAKISRSNLYTFSCLRPQAAEEEGPHPLGPGYSRVVRCNQPKLHEVKPLKYCSNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLAAILSLTPVSPFSALSMIAPLIFVVGLSMAKEALEDWRRFIQDMKVNLRKASVHKMDGIFRPRPWMKIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLEDDESFKDFRATIKCEDPNPNLYTFEGNLEYDRQTYPLDPSQILLRDSKLRNTTYVYGVVIFSGHDSKVMQNSTKSPSKRSKIEVQMDKIIYILFTLLLLISLVSSVGFAVKAKFQMPDWWYLHPEDKENVFYNTSRPALSGIFHLVTALILYGYLIPISLYVSIEIVKVLQALFIHRDIHMYDEESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGKRASDVELAAAKQMAMEFDEMDPERKSNGNGFGASEIELETPTSGKDENDQKPAIKGFSFQDSRLMNGNWLNEPNADFILLFFRILSICHTAIPELHEETGSFNYEAESPDEAAFLIAAREFGFEFCKRTQSSIAVRERYPSYLKPIEREVKLLNLLDFTSKRKRMSAIVQDEDGQILLLCKGADSIIFDRLAKNGRMFQEATAKHLNEYGEAGLRTLALAYRKLDEAEYSAWNEEFTRAKTSLGSDREGMLERLSDMMERDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRQICISANTDAAANDTNEAVKESILMQITNASQMIKLEKDPLAAFALIIDGKTLAYALENDMKHQFLELAVGCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMVMNWLLLVTSFHSVKRKRVIIKKLLATFIHTVFIYLYFQSCTCPNFNFNVLFRYAIFSTRISHLASHSFTMKRLLAFLGSLSMMICICYYSMWFSHHCPLFHLESSSRMCLPKSAYRLVLCAPFLLHQIFYLYKPALLLYSFKPQMQSAVSSTLSARTQKFVL >itb12g10620.t2 pep chromosome:ASM357664v1:12:8749489:8755075:1 gene:itb12g10620 transcript:itb12g10620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGREASKLWKRVCSETATEISLLAENWKYILCGLIFQYIHGVAARGVHYLHRPGPTLQDVGFFILPELGPDKAYISETVFSVIFLSFVLWTFHPFICKNKKIYTVLIWCRVLAFLVACQILRIITFYSTQLPGPNYHCREGSKLARLPRPDSIVEVLLINFPRGVLYGCGDLIFSSHMIFSLVFVRTYHKYGTQRFVKHCAWLAVIVQSFLIVASHKHYTVDIVVAWYTVNLVVFFIDKKLAELPDRSGPVALPLTKDNKTKEENHKLLNGNSGDPAEKV >itb12g10620.t3 pep chromosome:ASM357664v1:12:8749488:8753746:1 gene:itb12g10620 transcript:itb12g10620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGREASKLWKRVCSETATEISLLAENWKYILCGLIFQYIHGVAARGVHYLHRPGPTLQDVGFFILPELGPDKAYISETVFSVIFLSFVLWTFHPFICKNKKIYTVLIWCRVLAFLVACQILRIITFYSTQLPGPNYHCREGSKLARLPRPDSIVEVLLINFPRGVLYGCGDLIFSSHMIFSLVFVRTYHKYGTQR >itb12g10620.t1 pep chromosome:ASM357664v1:12:8749489:8755075:1 gene:itb12g10620 transcript:itb12g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGREASKLWKRVCSETATEISLLAENWKYILCGLIFQYIHGVAARGVHYLHRPGPTLQDVGFFILPELGPDKAYISETVFSVIFLSFVLWTFHPFICKNKKIYTVLIWCRVLAFLVACQILRIITFYSTQLPGPNYHCREGSKLARLPRPDSIVEVLLINFPRGVLYGCGDLIFSSHMIFSLVFVRTYHKYGTQRFVKHCAWLAVIVQSFLIVASHKHYTVDIVVAWYTVNLVVFFIDKKLAELPDRSGPVALPLTKDNKTKEENHKLLNGNSGDPAEKRARSQINGKIVENGNITHAETAMNGV >itb02g02890.t1 pep chromosome:ASM357664v1:2:1676516:1679106:1 gene:itb02g02890 transcript:itb02g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHRKRHGYRHDHFERKRKKEAREVHKKSQIAQKALGIKGKMIAKKNYREKALMKKTLAMHEESSSRRKVDEDVHDGALPAYLLDRESTTRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEDEMFRVIRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGTMYTSLGVITKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >itb15g03230.t1 pep chromosome:ASM357664v1:15:2046466:2051763:-1 gene:itb15g03230 transcript:itb15g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATEPPSPSMPYAAGTGQPIYIHPRREPFEHGLLPIPKLIFTDGTQTLGPIKDKLLSLSSESAHRVNSQSISETLQISPDHARLVLETVAAVLHSESDPLVSANPAEVDSVGVNVFDLVVFLYIQSYKRLLPKGHKDSAAVADVWPSTSAFDGFLSALSPLQLVRSNSRRFMPSQSDEEAHQLSYLQKHVGNILSLLADSVEGEAEESLVLSMEKFEHLGYLIYFGEKGSERTPLSQNAPFFANSDPDMPAVPVPAAQVHEWLLQNIASALERIAERASAKENGLTNASDQDIPMTDVSTSPAKATPSSRGPSFIEGISKSSYVRHANDLKGSSVKVVNCHESVIYILAPLRYATVYGCSDATIVLGAVGKAVRVEHCERVHVIAAAKHICIANCRECVFFLGVNQQPLIVGDNHKLQVAPYNTVYSQLEEHMNQVGIYPTINRWDEPVALGVVDPHDSLSHPAGVSDVQTESANRLDPDQFTYFLVPNWFEAQQSGSTKDNPFPLPDAYMASQHKNHKNLGEVKQILRETELEDSRKRELASALHVLFKDWLYASGNIRQLYCLQGE >itb09g30530.t3 pep chromosome:ASM357664v1:9:31154254:31158311:-1 gene:itb09g30530 transcript:itb09g30530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCEDQEGFAENFREKLGFAVRNIEWCYAIFWSISSSQQGVLEWGDGYYNGDIKTRKTVQATEISPDLLGLQRTEHLRELYESLLASEANTLAKIHSTALSPEDLTDTEWYFLVCMSFVFNIGQGLPGRALSKNQSIWLCNAHQADSRIFTRSLLAKSASVQTVVCFPYLGGIIELGATDLVPEDHNLIHHVRTSYLDIPHAVGSKVPNYVSIGGRKPDQDKLDLYPVPPVECEDNNIGSPYNNSNGFGANQEEGDSFMVEEMNGEASQLQSWKLADDDISNCIHNSTNSSDCISQNYVNPERASTLSDAEKLNGDSGKGVDVHYQSILSNVLKSSHQLVLGPHFRNNYRESSFVTWKKETSSKNPLPRTRTPQRLLKKVLCDVAGMHGCSSVRGSHKQNGNYKPEADETDKSRVLSERRRREKLNERFMTLASLIPTTSGKVDKISILDETIEYLRDLERRVRNVEPQTERLELEARSDNAERTSDNCCAKSADKGKKIVPQKRKASDMEENSRGNHKDCMKNGSGHDVISVNMISKDVTIEMKCQWSEGMLIKIVQVLNNLHLDCHGIQSSNSDGILSVTIKAKMEGNKAISMSLIRLALQKLIQAS >itb09g30530.t1 pep chromosome:ASM357664v1:9:31154254:31158780:-1 gene:itb09g30530 transcript:itb09g30530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCEDQEGFAENFREKLGFAVRNIEWCYAIFWSISSSQQGVLEWGDGYYNGDIKTRKTVQATEISPDLLGLQRTEHLRELYESLLASEANTLAKIHSTALSPEDLTDTEWYFLVCMSFVFNIGQGLPGRALSKNQSIWLCNAHQADSRIFTRSLLAKSASVQTVVCFPYLGGIIELGATDLVPEDHNLIHHVRTSYLDIPHAVGSKVPNYVSIGGRKPDQDKLDLYPVPPVECEDNNIGSPYNNSNGFGANQEEGDSFMVEEMNGEASQLQSWKLADDDISNCIHNSTNSSDCISQNYVNPERASTLSDAEKLNGDSGKGVDVHYQSILSNVLKSSHQLVLGPHFRNNYRESSFVTWKKETSSKNPLPRTRTPQRLLKKVLCDVAGMHGCSSVRGSHKQNGNYKPEADETDKSRVLSERRRREKLNERFMTLASLIPTTSGKVDKISILDETIEYLRDLERRVRNVEPQTERLELEARSDNAERTSDNCCAKSADKGKKIVPQKRKASDMEENSRGNHKDCMKNGSGHDVISVNMISKDVTIEMKCQWSEGMLIKIVQVLNNLHLDCHGIQSSNSDGILSVTIKAKMEGNKAISMSLIRLALQKLIQAS >itb09g30530.t4 pep chromosome:ASM357664v1:9:31154254:31158780:-1 gene:itb09g30530 transcript:itb09g30530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCEDQEGFAENFREKLGFAVRNIEWCYAIFWSISSSQQGVLEWGDGYYNGDIKTRKTVQATEISPDLLGLQRTEHLRELYESLLASEANTLAKIHSTALSPEDLTDTEWYFLVCMSFVFNIGQGLPGRALSKNQSIWLCNAHQADSRIFTRSLLAKSASVQTVVCFPYLGGIIELGATDLVSQFPFHNSYFSRLFHWFLSFFFSVTLCLFAFRLQVPEDHNLIHHVRTSYLDIPHAVGSKVPNYVSIGGRKPDQDKLDLYPVPPVECEDNNIGSPYNNSNGFGANQEEGDSFMVEEMNGEASQLQSWKLADDDISNCIHNSTNSSDCISQNYVNPERASTLSDAEKLNGDSGKGVDVHYQSILSNVLKSSHQLVLGPHFRNNYRESSFVTWKKETSSKNPLPRTRTPQRLLKKVLCDVAGMHGCSSVRGSHKQNGNYKPEADETDKSRVLSERRRREKLNERFMTLASLIPTTSGKVDKISILDETIEYLRDLERRVRNVEPQTERLELEARSDNAERTSDNCCAKSADKGKKIVPQKRKASDMEENSRGNHKDCMKNGSGHDVISVNMISKDVTIEMKCQWSEGMLIKIVQVLNNLHLDCHGIQSSNSDGILSVTIKAKMEGNKAISMSLIRLALQKLIQAS >itb09g30530.t2 pep chromosome:ASM357664v1:9:31154254:31158780:-1 gene:itb09g30530 transcript:itb09g30530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCEDQEGFAENFREKLGFAVRNIEWCYAIFWSISSSQQGVLEWGDGYYNGDIKTRKTVQATEISPDLLGLQRTEHLRELYESLLASEANTLAKIHSTALSPEDLTDTEWYFLVCMSFVFNIGQGLPGRALSKNQSIWLCNAHQADSRIFTRSLLAKSASVQTVVCFPYLGGIIELGATDLVPEDHNLIHHVRTSYLDIPHAVGSKVPNYVSIGGRKPDQDKLDLYPVPPVECEDNNIGSPYNNSNGFGANQEEGDSFMVEEMNGEASQLQSWKLADDDISNCIHNSTNSSDCISQNYVNPERASTLSDAEKLNGDSGKGVDVHYQSILSNVLKSSHQLVLGPHFRNNYRESSFVTWKKETSSKNPLPRTRTPQRLLKKVLCDVAGMHGCSSVRGSHKQNGNYKPEADETDKSRVLSERRRREKLNERFMTLASLIPTTSGKVDKISILDETIEYLRDLERRVRNVEPQTERLELEARSDNAERTSDNCCAKSADKGKKIVPQKRKASDMEENSRGNHKDCMKNGSGHDVISVNMISKDVTIEMKCQWSEGMLIKIVQVLNNLHLDCHGIQSSNSDGILSVTIKAKMEGNKAISMSLIRLALQKLIQAS >itb03g01740.t1 pep chromosome:ASM357664v1:3:975719:977761:-1 gene:itb03g01740 transcript:itb03g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSQPLSKTLKHLLSIPAAAKAAGAAAQCESLLYHCSITKSLATTKQVHARTIRIGLLEDNSTHFLSLLTAGYALCGRTLNARKLFDELPLRTLLSYKAMIRMYAETGAPHDALKLFGEMLRSGHHVPDRYTFPFVIRACGDLLLRDLGVVVHGLAVLSGVVLNAFMGNSLLVMYMNCGDKEGARRVFDVMQERTVVSWNIMIGGYFRNGSAKEALMVFRQMTEMGVDADCATVLSVLPVCGYLKDVKVGREVHSLAEEKGFWDLLPVRNALVDMYVKCSKMDEAQLVFDKMIGRDVVTWTTMVNGYILDGDITSALQLCRTMQFEGVKPNAITLASFLAACASLCDSKLGKCLHGWAIRQKLDSDANVETALIDMYAKCNCSKLSFKVFTKTSKKRTVPWNAVLSGCLHNELSGEAILLFKQMLSEDVKPNDATVKSILPAYAIEADLQQVMSLHSYLMRSGFITRREVATGLVDIYSKCGKLDYGHKIFNEVPQKERDIVLWGAIIAGYGAHGHGEIAISLFNQMVHSQIEPNEITFTSVLHACSHAGLVDDGLSLFNFMRRCHPAYLGPHHYTCMVDLFGRAGRLEEAYDLIKSMPFQPTHAIWGALLGACVIHENVELGEVAAKWLFELEPQNTGNYVLLGNIYSALGRWEDAENVRRLLNEVGLKKLPAQSAI >itb13g11330.t1 pep chromosome:ASM357664v1:13:16136545:16139746:-1 gene:itb13g11330 transcript:itb13g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPKGSSARFVHGGVRRGRENASSQCSSTRRYGAEVTDAILPGKARTTFNKRVPVPETDTGRRALILGWAYYLDAFSSYPLRTWLPSVYRGHDNWYTFVVDRSSFSWKYGMGYFSAVAPRTRTLARGIFSTPSYPERAPYVLEPKTIFRLT >itb10g05980.t1 pep chromosome:ASM357664v1:10:6531992:6540151:1 gene:itb10g05980 transcript:itb10g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAADPSHHGSYDAGSQERTEEGGGSWYFSRREIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMQLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVISVSYAIIHKDAEALQRIKQKEIYEPQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPASQASEAEGSAAGTQRPKKASGAIEDNIPNNGNSHGGGATSKAGTSKASSHRPIPDHSHADNHGGNPRVAQNCSNDYASAEKSISDRFGDGETSDKQNHEREPLPYQIGGELQNRSKSSLDSHGEEDLERNAGRIETRDKAELKDKFHGRALEHKDGMVGQSPQEVIKKIDKDKVKAALERNRKSRGDAARKVDVLDDDDLIERELEDGIELAAEAEKNKRERKQSWSKPSNRPEHDNAHHMKHQDDSGDRHYQGMKGQSLQNDDYENNVEEGEFEPYDDADRGGYHSPKSVNRKRKASSPPVDGKHRHEYMSASHNHTYHDFPEDRNRTGRLGYSERDHKRHAQENHV >itb10g05980.t3 pep chromosome:ASM357664v1:10:6531992:6540151:1 gene:itb10g05980 transcript:itb10g05980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAADPSHHGSYDAGSQERTEEGGGSWYFSRREIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMQLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVISVSYAIIHKDAEALQRIKQKEIYEPQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPASQASEAEGSAAGTQRPKKASGAIEDNIPNNGNSHGGGATSKAGTSKASSHRPIPDHSHADNHGGNPRVAQNCSNDYASAEKSISDRFGDGETSDKQNHEREPLPYQIGGELQNRSKSSLDSHGEEDLERNAGRIETRDKAELKDKFHGRALEHKDGMVGQSPQEVIKKIDKDKVKAALERNRKSRGDAARKVDVLDDDDLIERELEDGIELAAEAEKNKRERKQSWSKPSNRPEHDNAHHMKHQDDSGDRHYQGMKGQSLQNDDYENNVEEGEFEPYDDADRGGYHSPKSVNRKRKASSPPVDGKHRHEYMSASHNHTYHDFPEDRNRTGRLGYSERDHKRHAQENHV >itb10g05980.t5 pep chromosome:ASM357664v1:10:6531963:6539963:1 gene:itb10g05980 transcript:itb10g05980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAADPSHHGSYDAGSQERTEEGGGSWYFSRREIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMQLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVISVSYAIIHKDAEALQRIKQKEIYEPQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPASQASEAEGSAAGTQRPKKASGAIEDNIPNNGNSHGGGATSKAGTSKASSHRPIPDHSHADNHGGNPRVAQNCSNDYASAEKSISDRFGDGETSDKQNHEREPLPYQIGGELQNRSKSSLDSHGEEDLERNAGRIETRDKAELKDKFHGRALEHKDGMVGQSPQEVIKKIDKDKVKAALERNRKSRGDAARKVDVLDDDDLIERELEDGIELAAEAEKNKRERKQSWSKPSNRPEHDNAHHMKHQDDSGDRHYQGMKGQSLQNDDYENNVEEGEFEPYDDADRGGYHSPKSVNRKRKASSPPVDGKHRHEYMSASHNHTYHDFPEDRNRTGRLGYSERDHKRHAQENHV >itb10g05980.t2 pep chromosome:ASM357664v1:10:6532036:6539963:1 gene:itb10g05980 transcript:itb10g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAADPSHHGSYDAGSQERTEEGGGSWYFSRREIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMQLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVISVSYAIIHKDAEALQRIKQKEIYEPQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPASQASEAEGSAAGTQRPKKASGAIEDNIPNNGNSHGGGATSKAGTSKASSHRPIPDHSHADNHGGNPRVAQNCSNDYASAEKSISDRFGDGETSDKQNHEREPLPYQIGGELQNRSKSSLDSHGEEDLERNAGRIETRDKAELKDKFHGRALEHKDGMVGQSPQEVIKKIDKDKVKAALERNRKSRGDAARKVDVLDDDDLIERELEDGIELAAEAEKNKRERKQSWSKPSNRPEHDNAHHMKHQDDSGDRHYQGMKGQSLQNDDYENNVEEGEFEPYDDADRGGYHSPKSVNRKRKASSPPVDGKHRHEYMSASHNHTYHDFPEDRNRTGRLGYSERDHKRHAQENHV >itb10g05980.t4 pep chromosome:ASM357664v1:10:6531963:6539963:1 gene:itb10g05980 transcript:itb10g05980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAADPSHHGSYDAGSQERTEEGGGSWYFSRREIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMQLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVISVSYAIIHKDAEALQRIKQKEIYEPQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPASQASEAEGSAAGTQRPKKASGAIEDNIPNNGNSHGGGATSKAGTSKASSHRPIPDHSHADNHGGNPRVAQNCSNDYASAEKSISDRFGDGETSDKQNHEREPLPYQIGGELQNRSKSSLDSHGEEDLERNAGRIETRDKAELKDKFHGRALEHKDGMVGQSPQEVIKKIDKDKVKAALERNRKSRGDAARKVDVLDDDDLIERELEDGIELAAEAEKNKRERKQSWSKPSNRPEHDNAHHMKHQDDSGDRHYQGMKGQSLQNDDYENNVEEGEFEPYDDADRGGYHSPKSVNRKRKASSPPVDGKHRHEYMSASHNHTYHDFPEDRNRTGRLGYSERDHKRHAQENHV >itb13g05230.t2 pep chromosome:ASM357664v1:13:6205670:6210096:1 gene:itb13g05230 transcript:itb13g05230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAWKEGGIDATGALMVITLKIISCVINYQDGLLNEEDLREAQKKNRLLNRPSLLEYIGYCLCCGSHFAGPVYEIKDYLEWTERKGIWKPSEKGRPSPFVPTLRAILQAGFCMGLYLYLVPHYPLSRFTDSVYQGWGFWKRLGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDSSPPKPRWDRAKNVDILGVELAKSSVQIPLVWNIQVSTWLRHYVYERLIRKGKKPGFFQLLATQTVSAVWHGLYPGYIFFFVQSALMIAGSRVIYRWQQAIGNAFLRNILVLMNFAYTVLVLNYSCVGFMVLSLHETLASYGSVYYVGTVIPIALILLGNVIKPARPTRSQARKDQ >itb13g05230.t3 pep chromosome:ASM357664v1:13:6205670:6210096:1 gene:itb13g05230 transcript:itb13g05230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAWKEGGIDATGALMVITLKIISCVINYQDGLLNEEDLREAQKKNRLLNRPSLLEYIGYCLCCGSHFAGPVYEIKDYLEWTERKGIWKPSEKGRPSPFVPTLRAILQAGFCMGLYLYLVPHYPLSRFTDSVYQGWGFWKRLGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDSSPPKPRWDRAKNVDILGVELAKSSVQIPLVWNIQVSTWLRHYVYERLIRKGKKPGFFQLLATQTVSAVWHGLYPGYIFFFVQSALMIAGSRVIYRWQQAIGNAFLRNILVLMNFAYTVLVLNYSCVGFMVLSLHETLASYGSVYYVGTVIPIALILLGNVIKPARPTRSQARKDQ >itb13g05230.t1 pep chromosome:ASM357664v1:13:6205178:6210096:1 gene:itb13g05230 transcript:itb13g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEMEFMASAIGISVPVLRFLLCFAATIPVSLIHRLVPGGATGRHIYAAATGAALSYLSFGFSSNLHFLVPMLLGYASMLINRPYCGAITFLLAFGYLIGCHVYYMSGDAWKEGGIDATGALMVITLKIISCVINYQDGLLNEEDLREAQKKNRLLNRPSLLEYIGYCLCCGSHFAGPVYEIKDYLEWTERKGIWKPSEKGRPSPFVPTLRAILQAGFCMGLYLYLVPHYPLSRFTDSVYQGWGFWKRLGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDSSPPKPRWDRAKNVDILGVELAKSSVQIPLVWNIQVSTWLRHYVYERLIRKGKKPGFFQLLATQTVSAVWHGLYPGYIFFFVQSALMIAGSRVIYRWQQAIGNAFLRNILVLMNFAYTVLVLNYSCVGFMVLSLHETLASYGSVYYVGTVIPIALILLGNVIKPARPTRSQARKDQ >itb07g23310.t1 pep chromosome:ASM357664v1:7:27716830:27718614:1 gene:itb07g23310 transcript:itb07g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKNSCFHFFVTFIFIAVVFCASTLGNRSRSGTAVREYQIPPPSGAPLTSTAFNMPAAQPRTEQFSAWLPGGEWVLLHASVGVSAMHMQLMPDNKVVMFDRTDFGASNLSLPDGKCRDNDEVIARDCTAHSLLYDISSNTYRALMVQTNVWCSSGGLDPNGTLIQTGGYHGGDRRIRTFTPCNDDLCDWVELEQNLTIQRWYSSDHILPDGRFIIVGGRRAFSYEFFPKHPNTTNLAYQLRFLVETNDLKEENNLYPFLYLLPDGNLYIFANQRSIVLDYQNDRVLREFPPIPGEKRSYPATGSSVMLPLRLTGDSFPEVEILVCGGNKGGAYLKAEKTHVYEPASRTCGRMKITDPFPVWVMEDMPMGRVMGDMLILPVGDVIILNGAAKGTGGWENGEDPVLNPILYKPRERDPRKRFSVLTPTVIPRMYHSSAILLPDGRILVGGSNPHTKYNFTTERYPTELSLQSYAPPYLSPIYAYLRPSILSIEAGGGGNAVIYGERFAVTFTIAMLNPGGLAVTMIPPSFTTHSFAMNQRMLVLHAVSLQRLSVFAYKVTVDAPPTRNVAPPGYYMVFLVHQSVPGHSVWIRMHS >itb13g17010.t1 pep chromosome:ASM357664v1:13:23978718:23980640:1 gene:itb13g17010 transcript:itb13g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSLLCNTIIIPSLACTLVLLFFMCKLFLAPKNDAKHKKLAPEVPGAWPIIGHLHLLAGRKTPTHIILASMADKYGPIFRIRLGSQPIVVVSDSKIAKECFTAKDKELATRPKLLASEIMGYNSSMIGIAPYGRYWREIRKIVMLELLSNRRIEMLRKVRESHVRKAIKRTFDHWSHNKDPISGAVVVEMRQWFSRLILNLSIAMLFGEEELAEESQLLKSIRRMFELFGEMTVSDFIPWLRWTDLGGHEKAMRRTAGEMDCFAEKWIEEHRRKRKLKSKEEEDFMDAMLSLFDAPSNLSHSLGIDTDIIIKSTCLGMLLAATDTTSITLTWALSLVLNNYDVMRRIQDELDANIGKERFVEEGDINKLIYIQAVVKETLRLHPPVPLSIPHEAIEDCTINDYHILKGTRIIPNLAKIHRDPKVWAGPNEFRPSRFLTSHKDIDFKDNNFELIPFGGGRRMCPGISLGLQIVHLTLANLIQSFDMKRPAMEPIDMTESPGLTSVKATPFHALLIPHLTLDLYD >itb07g06050.t1 pep chromosome:ASM357664v1:7:4208076:4212222:-1 gene:itb07g06050 transcript:itb07g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRIRHEPEILRTTVYPLPPQDVFVFQIKVKDMIGFYQEGQSLSEPFILDYWQHRSPHLSQDFLIPFPDIAESNRNRARHTIHELLGGVDIPRQKRRPLLGKIYSEAMRLAKEFDSDGEYKRIPIMVEIQRVYTRPIISNDEVESEEDREAEVPAFEGLERIRVKETEVCAVCLEEMEAGWEGTMLPCKHIFHERCINACDSENLGLNLGLGTTMEDTGGAYYTPLPQYSYYQQPPPPPGTAHQPLPPPAQLPHHHQYHSLLY >itb01g27530.t1 pep chromosome:ASM357664v1:1:32304914:32306844:-1 gene:itb01g27530 transcript:itb01g27530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIELVFIPAPGMGHLVSAVEAAKLLLQTRPHSLSITVFIMKLPFDSKVNSYIESLLADDEISRLKLVPLEVDPPDERLKENPDKTVFFRLFVESHKPKVRDCVIEMRDSRGSCGARIAGFVVDMFCTAMMDVADELGLPTYVFYTSGAAMLGLHLHLQSLRDDHGVDVTEIKDSDPDLNISTYSKPFPAKLLPAVALLKTGGSTMFLDIPVRLRRAKGILVNTFLELETHALESLSRDEKVPPVYPVGPILNIKSDKKGGESEDKIMKWLDDQPDSSVVFLCFGSGGSFSEPQVKEIAYGLERSGHRFLWSLRKPPSESSPFPTDYNNLEEVLPEGFLERTKNMGKVIGWAPQATVLAHPAVGGFLSHCGWNSTLESVWFGVPMAAWPIYAEQQANAFQLVTDIGVGVEVRMDYKRDMIVGYAGVSEDVTAKEIETGITSLMDHPATNPVWIKAKELKEISKKTLLEGGSSFNFLESFFECVINNLR >itb09g00770.t1 pep chromosome:ASM357664v1:9:495877:496167:-1 gene:itb09g00770 transcript:itb09g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLQTMVGHARQVIVGARARKVGDVPKGHMAVYVGDRQDEEKHRFVVPIRYLKHPLFQNLLRLSEEEYGFQHPMGGLTIPCTQSAFLTLTSKID >itb07g24170.t1 pep chromosome:ASM357664v1:7:28439745:28443012:-1 gene:itb07g24170 transcript:itb07g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMKRASMESPSFGGYEDAKARMKHQALFQDFQELQRETNGIKDKLEDAKKRKMILLAEVRFLRRRHKYLLQAKSMESQQEHKLAQHPNLEIYPKTGAKGTRPNKKDAAPRKLPPLPGPKQKGRMLKETAPQDVFPPPTGAKHKRQKTHNGTEAVMRLSSVSDANHHSRGYSGKDAGFEKPKQAIDLNQNERLFGGNSAVMMGCTTPLFDLNESSHCGKEVSPPSRAPAFDLNVISTEEESRWNIDPTLPEELEKSMTRGLNEDQHNDLRISLCRNAGEGSSRARKPKISWQDPVALRV >itb04g07530.t2 pep chromosome:ASM357664v1:4:5132655:5133700:1 gene:itb04g07530 transcript:itb04g07530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSKFLDSLLKNLRSIQKATLPCLYTSTLAECEVLEEKLRVLMDSLHFKASTAQQEYLLTRIQALAESAADLCFTFWVKMSDHFIDTDEISHLLHLRNICKERVPSLEINKANTMVLLDDQILDICKRLELVEGLLMYLPKQYLKQDKTKDLLRQIAALTSKTRYHSLEEDMAVRVKDELHILLENSKEICLDLRNLLHSGFPLADDCGMIDSLVTSLNELLNFKADLANKHEIEKLKTVIELGKKSVSLGKHRERVFKTIYEAAYVIDSMNLYNDPPPYLESYLSDIITEANIIYNEILDIGSVNAVKSDEERRRSNKRTTS >itb04g07530.t1 pep chromosome:ASM357664v1:4:5132655:5135834:1 gene:itb04g07530 transcript:itb04g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSKFLDSLLKNLRSIQKATLPCLYTSTLAECEVLEEKLRVLMDSLHFKASTAQQEYLLTRIQALAESAADLCFTFWVKMSDHFIDTDEISHLLHLRNICKERVPSLEINKANTMVLLDDQILDICKRLELVEGLLMYLPKQYLKQDKTKDLLRQIAALTSKTRYHSLEEDMAVRVKDELHILLENSKEICLDLRNLLHSGFPLADDCGMIDSLVTSLNELLNFKADLANKHEIEKLKTVIELGKKSVSLGKHRERVFKTIYEAAYVIDSMNLYNDPPPYLESYLSDIITEANIIYNEILDIGSVNAVKSDEGVGSSNPSSVLNTPSDENVLGFKKEEEAIKEQLLSGPSKLDVISIVGLSGLGKTTLANKIYNDQKVINHFQVRAWCCVSQEYNTTKLLCEIYSQVTQDGLTDEMQRLIKENCEAKVTELDLAEKFSKCVMDKKTRRKVTEYDVAEKLHKFLKELKEKKYLIVLDDIWESRAYDEITRCFPTVENGSRIMLTSRFEKVARKIKLHSDPHNLPLFTKEESWELLQWKVFRNENCYPELLEIGKEISESCRGLPLLIVLVSGLLTSIEKEECLWSEVAKTLSSVASENEILGLIYKHLPDRLKACFLFFGAFPKDQEIAVSKLVQLWAAEGFIEKIEGKSLEDVAEDYLSNLLSGGLITVSKRRYDGSIISCRVPNTIHEFCLEKAKRERFLMISSTNDQIPIWDMTCHRICFNHQNIKCLNPLSSWMQWSPSVRSILCTYRIRGPHYLKAIDFSQIFRRSRLIRVLDLESIAVGNEFFSVIKHLPHLRFIAVHTGYCDLVLPSSLGNLHNLETLKIKTVTQSVVLPKAILNMVKLRQLDITDSFRFGIDKDGSCHKNIQTLSTVVLPNKQSSIDAMMIRFPFLRSLKCIYIGSNESSLDLKRLDQLESLHVSYDGPLERRQDLIAFKFPWCLKKLTLNGFGREISKFALIPTLAALKLQNVHFKDHEWDVEECDFPQLNYLKLQNCSIVYWRALTSSFPRLKRLILRRCIVLKEVPSCFGNIATLEAVQVYRCNSSLEDSVLKIQSE >itb12g07750.t1 pep chromosome:ASM357664v1:12:5930547:5932434:-1 gene:itb12g07750 transcript:itb12g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETMAKEEECAQSQKPKSEDGEKSSTLFSPGFRSVAAMAGWDEEALLMASLVVEDTPDRNPKHKKRTSLLNSTTPSTNSRRKRRAQIRATVLDLEDEVECEKKETSGTADSKKGGNAESKSKAKEPSPDHPPIPCSIDKLREELSCAICLEICFEPSTTPCGHSFCKKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEVEARKASIGKVQQQSPARTHHHNSRNRRVAMLNNNSSPEAESEQQHHQSLGRANTSRSRVRRQSERPSRGDFVSLRPRRELPSQDEDSALALRLQREEFMAAFRDDSEEQEQGRRTSNYRDSEEQQRRRTSSLAVARANLRAMASRAINIRTRSRGT >itb12g09030.t1 pep chromosome:ASM357664v1:12:7049091:7053834:-1 gene:itb12g09030 transcript:itb12g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTEEEQVNRLESQVDNGGGGVWDYLSLVRKLRLRRSDKVLKHGLSLLNDRKSRSALGSEEWTLHEQVAVAAMDCQCLDVAKDSIKVLQKKFPGSKRVGRLEAMLLEARGLWAEAETAYLSLLEENPFDQVVQKRRVAMAKAQGNMSGAIELLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLDNLQTAKKYYTSTIDLTGGKNTRALFGICLCTSAIGQLTKGRNKEDKEKSELNSLSIKALEKDYSQRASDKLPLLTSTLRSLKL >itb14g06300.t2 pep chromosome:ASM357664v1:14:5561864:5566813:-1 gene:itb14g06300 transcript:itb14g06300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESSSSVWSRELDKAFENALATYPEDLSDRWEKIAADVPGKSLKEVKLHYELLMDDVSRIESGCVPLPYYDSSSDSLTSHDDKKGGNSGQGNNDSHHGKSTRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNSGDVSSPQGPITGQTNGTTAGSSSGKSNKQPAAHPGVGVYGPTTIGQPVGGPLVSAVGTPVNLPPPAHMAYGVRPPIPGQALPGVPGTYPMPHR >itb14g06300.t4 pep chromosome:ASM357664v1:14:5562199:5566813:-1 gene:itb14g06300 transcript:itb14g06300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESSSSVWSRELDKAFENALATYPEDLSDRWEKIAADVPGKSLKEGNNDSHHGKSTRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNSGDVSSPQGPITGQTNGTTAGSSSGKSNKQPAAHPGVGVYGPTTIGQPVGGPLVSAVGTPVNLPPPAHMAYGVRPPIPGQALPGVPGTYPMPHR >itb14g06300.t6 pep chromosome:ASM357664v1:14:5562001:5566398:-1 gene:itb14g06300 transcript:itb14g06300.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESSSSVWSRELDKAFENALATYPEDLSDRWEKIAADVPGKSLKEVKLHYELLMDDVSRIESGCVPLPYYDSSSDSLTSHDDKKGGNSGQGNNDSHHGKSTRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNSGDVSSPQGPITGQTNGTTAGSSSGKSNKQPAAHPGVGVYGPTTIGQPVGGPLVSAVGTPVNLPPPAHMAYGVRPPIPGQALPGVPGTYPMPHR >itb14g06300.t5 pep chromosome:ASM357664v1:14:5561864:5566766:-1 gene:itb14g06300 transcript:itb14g06300.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESSSSVWSRELDKAFENALATYPEDLSDRWEKIAADVPGKSLKEVKLHYELLMDDVSRIESGCVPLPYYDSSSDSLTSHDDKKGGNSGQGNNDSHHGKSTRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNSGDVSSPQGPITGQTNGTTAGSSSGKSNKQPAAHPGVGVYGPTTIGQPVGGPLVSAVGTPVNLPPPAHMAYGVRPPIPGQALPGVPGTYPMPHR >itb14g06300.t1 pep chromosome:ASM357664v1:14:5561864:5566813:-1 gene:itb14g06300 transcript:itb14g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESSSSVWSRELDKAFENALATYPEDLSDRWEKIAADVPGKSLKEVKLHYELLMDDVSRIESGCVPLPYYDSSSDSLTSHDDKKGGNSGQGNNDSHHGKSTRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNSGDVSSPQGPITGQTNGTTAGSSSGKSNKQPAAHPGVGVYGPTTIGQPVGGPLVSAVGTPVNLPPPAHMAYGVRPPIPGQALPGVPGTYPMPHR >itb14g06300.t3 pep chromosome:ASM357664v1:14:5562001:5566745:-1 gene:itb14g06300 transcript:itb14g06300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESSSSVWSRELDKAFENALATYPEDLSDRWEKIAADVPGKSLKEVKLHYELLMDDVSRIESGCVPLPYYDSSSDSLTSHDDKKGGNSGQGNNDSHHGKSTRSDQERRKGIAWTEEEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNSGDVSSPQGPITGQTNGTTAGSSSGKSNKQPAAHPGVGVYGPTTIGQPVGGPLVSAVGTPVNLPPPAHMAYGVRPPIPGQALPGVPGTYPMPHR >itb05g05830.t1 pep chromosome:ASM357664v1:5:5716768:5724355:-1 gene:itb05g05830 transcript:itb05g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINSSATILPTEAVQGSVDGITHYYVVPLLRVMVFLCLAMVIMTMVEKVYLAVVVACLKLFRRKPEKQYKWEDMKKDDLEIGDSAYPMVLVQLPMCNEKKVYQLSIGAACNLSWPADRIIIQVLDDSNDPSVRALVQEECRRWASKGVNIKCENREHRKGYKAGALKDGINHSYVKLCEYVVIFDADFQPDPDFLYRTIPYLIHNPNLALVQASWTFVNSDECMLTRMQEMSMDYHFTVEQEVGSAVHAFFGFNGVWRIAALNDAGGWKERTTVEDMDLGCRAALKAWKFLFLGDVKKVSIWKKVYLIYAFFFVNKIVAHIVPFTFFCLVLPATVLVPQVNVPIWGAFYLPLAVAILNVLPSPRSFHLVVLWMLFENVMSLHRTIATFIGLLEVGRVNEWVITEKLGNAFNTKTGSKAVNKLPRFSIGERLHVLEIIVGLYLVFCGWYNFCYGNNHYYVYLFVQGVSFFVIGFGYIGAFGTTS >itb12g11070.t1 pep chromosome:ASM357664v1:12:9190234:9191634:-1 gene:itb12g11070 transcript:itb12g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFHGDLDPLSPGSETETILEEEEEEMEEIISYRDLKKRMRKDQIHMQKLKVERTAAAAAGKEEILRDDEDESATEKQLEASRRKKTARSQDAVLKYMVKIMEVCKGQGFVYGIVPENGNPVIGSSDSLREWWKDKVKFVKNAPEAIAEYLPKLVTLVEDPNSSVIYLLQDLQDTTLGSLMSALMPHCLPPQRKFPLEKGLAPPWWPTGRELWWGDQGLAQQHGPPPYKKPHGLKKAWKISVLAAIVKHMSPDLDRMRRLVKQSKSLQNKMSAKDTTTWSKIVNREEDLIKLAQKALKIIDQGDQEQEKEKEASFLTHDKRKCAFERQIATEIVLYACQNLQCPQSGLGLGFPDKTSRTEHEQICPHRDQNLDFGENYVPSLITEGDIDIDTGQLPDWINEDIQRIFHEYNAQFVNGGAGNYGNLLSDNLTEQQYRYDPTMAGEEASTSGSTSVWDLAYDDIDPD >itb03g24710.t1 pep chromosome:ASM357664v1:3:23537628:23538644:1 gene:itb03g24710 transcript:itb03g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAKPPFSLLVFALLIAVFCLSTSVLAESSNNPLRLPSDQDDGDVCAMLDPPASCPIKCFRPDPVCGVDGVTYWCGCADAHCNGVQVDKLGFCDVGNGGSGPVSGQALLLVHIIWLILLGIFVLFGYL >itb05g21080.t1 pep chromosome:ASM357664v1:5:26978321:26990309:-1 gene:itb05g21080 transcript:itb05g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein kinase YAK1 homolog [Source:Projected from Arabidopsis thaliana (AT5G35980) UniProtKB/Swiss-Prot;Acc:Q8RWH3] MDEASTSSEDGKQCKLSESVPQSSSSLASSVAPATTPWRPSQLAFIPFSKCVRDDKPKSLRVVVRRPLVTRLTKDIVETYRICNPQFQYSEELNPKRFLTTPSAGVLNDGHDNANSDLILFCNLELANLDTQRRYIVKEILGHGTFGQVAKCWVAETNSFLAVKVIKNQPAYYQQALVEVSILTTLNKKFDPEDKHHIVRIYDYFVYQRHLCIAFELLDTNLYELIKLNHFRGLSLSIVQLFSKQILCGLALMKDAGIIHCDLKPENILLCTRVKPAEVKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLKRMIKILGGQPPDYILKEAKNTSKFFKCVGSVSYEESSQHTMNRCSSIYQALTEEEYEARELKKPVIGKEYFNHMNLEAIVRKYPYKKNLPEEDIIKENQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTHPYQPAPETPRMPVSQNVKVDHHPAGGHWFAAGLSPNISGRNRVAVPNSQHYHVAPYTHIGSYGSLGSHGSYNDGGGLGSSYGSYGDSSNLHAYYSPAGPSGMHLCAQNGSSILGSSPDTRRFMHLPHGNGLGVSPGNFVPMSLGTSPSQFTPPSMYNQISAGSPGQYGPTSPARGSCHGSPLGKMAAGSQYNRRKGWGYHGSLQSQESSSSSQWQAQPTDSNNSSLPNSPISGGSLLHLQPNSNPTAWRQQGASGLAVGNSAAHNITGAARHGSHTQLMHLQGSRHEKPEASTSLPDPGDWDPNYSEELLLQEDSSDMSNMTTDFAKAMNLGQPLISKEQLTGVRRSSYGSNLAPSLTAQRPNVPVQTVFHGDVGMHPSPHDLHGGFAHTMSKPLYFLPHLAQSSPSRFSQQPVHRFNQGRLAAIRGNDYHNKAHAPHSNFSSEDYPSESNGASWGRRGNFSTSNIPPTSRARKDYGRMV >itb05g21080.t2 pep chromosome:ASM357664v1:5:26978347:26990259:-1 gene:itb05g21080 transcript:itb05g21080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein kinase YAK1 homolog [Source:Projected from Arabidopsis thaliana (AT5G35980) UniProtKB/Swiss-Prot;Acc:Q8RWH3] MDEASTSSEDGKQCKLSESVPQSSSSLASSVAPATTPWRPSQLAFIPFSKCVRDDKPKSLRVVVRRPLVTRLTKDIVETYRICNPQFQYSEELNPKRFLTTPSAGVLNDGHDNANSDLILFCNLELANLDTQRRYIVKEILGHGTFGQVAKCWVAETNSFLAVKVIKNQPAYYQQALVEVSILTTLNKKFDPEDKHHIVRIYDYFVYQRHLCIAFELLDTNLYELIKLNHFRGLSLSIVQLFSKQILCGLALMKDAGIIHCDLKPENILLCTRVKPAEVKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLKRMIKILGGQPPDYILKEAKNTSKFFKCVGSVSYEESSQHTMNRCSSIYQALTEEEYEARELKKPVIGKEYFNHMNLEAIVRKYPYKKNLPEEDIIKENQIRLALIDFLRGLVEFDPAKRWSPLQASKHPFVTGEPFTHPYQPAPETPRMPVSQNVKVDHHPAGGHWFAAGLSPNISGRNRVAVPNSQHYHVAPYTHIGSYGSLGSHGSYNDGGGLGSSYGSYGDSSNLHAYYSPAGPSGMHLCAQNGSSILGSSPDTRRFMHLPHGNGLGVSPGNFVPMSLGTSPSQFTPPSMYNQISAGSPGQYGPTSPARGSCHGSPLGKMAAGSQYNRRKGWGYHGSLQSQESSSSSQWQAQPTDSNNSSLPNSPISGAARHGSHTQLMHLQGSRHEKPEASTSLPDPGDWDPNYSEELLLQEDSSDMSNMTTDFAKAMNLGQPLISKEQLTGVRRSSYGSNLAPSLTAQRPNVPVQTVFHGDVGMHPSPHDLHGGFAHTMSKPLYFLPHLAQSSPSRFSQQPVHRFNQGRLAAIRGNDYHNKAHAPHSNFSSEDYPSESNGASWGRRGNFSTSNIPPTSRARKDYGRMV >itb12g06240.t1 pep chromosome:ASM357664v1:12:4642965:4643590:-1 gene:itb12g06240 transcript:itb12g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYRLFKCSCNFANLLLLLLLPNIYTCAAGAAAQSLFSVPSSVASVRGGGGVIGEEFVMMDSETSRRLLQSASNNHVSYGSLHKGPICNAKQYGSCVGDPNNPKINCNVYNRGCGGGGGQ >itb01g27700.t1 pep chromosome:ASM357664v1:1:32379188:32381198:1 gene:itb01g27700 transcript:itb01g27700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIAAEERIVTERLRRKLDEVNRSVQNHFSGIEDHVNFTLQQAYFKCAYECFDRKKKPEEIGSCVEYCSVPVVNAQNVVQNEISQFQEKLQRSLMVCQDKFESAKLQPNKGDVVKGLESCVDQSVQDCVKTLPHIVGRLKTSLRMTDSM >itb01g21470.t1 pep chromosome:ASM357664v1:1:27589739:27593298:1 gene:itb01g21470 transcript:itb01g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPLPTGRELSNPPNDGISNLRFSNHSDQLLVSSWDKTVRLYDASANALRGTFVHGGAVLDCCFHDDSSGFSASTDNTVRRLVFNYGRDDILGRHDAPVRCVEYSYTGQVITGSWDKTLKCWDPRGASGQERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEAGQSKKYAFKCHRKSEAGRDVVYPVNAIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYSKYPASVAALSFSRDGRLLAVAASYTFEEGDKLHEPDAIFVRSVNEVEVKPKPKVLPNAAT >itb12g02980.t2 pep chromosome:ASM357664v1:12:1949521:1951543:1 gene:itb12g02980 transcript:itb12g02980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDSDRKRKSDSVTSTDRAEKVKRKTEMSSSSVSGDKVRASHILIKHQGSRRKASWKDPEGDAICKRTKEDAVAQLKSLREDIVSGKADFKDVASRYSDCSSAKRGGDLGKFGRGQMQKPFEAATYALKVGEISDIVDTDSGSHIIMRTG >itb12g02980.t1 pep chromosome:ASM357664v1:12:1949521:1950477:1 gene:itb12g02980 transcript:itb12g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDSDRKRKSDSVTSTDRAEKVKRKTEMSSSSVSGDKVRASHILIKHQGSRRKASWKDPEGDAICKRTKEDAVAQLKSLREDIVSGKADFKDVASRYSDCSSAKRGGDLETAKVKSKDKQEMH >itb10g15100.t1 pep chromosome:ASM357664v1:10:21373773:21375643:-1 gene:itb10g15100 transcript:itb10g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTEPIPCSSTHHYSTLLTKCIQTRDFTLGRLIHSRLIKTALTLNTFLANRLIHMYAKFGFIHSSHSLFNQLPIKNTHSWNIIISAFSQAGLFKNALQLLDQMPEPNLVTYNSIISSLSHRGHFRKSIGVFKLMQARCKSEVLMDGYTAVSLANVCACLGALKQLCQLHGVAIVIGLEFNAVLCNALIDAYGKCCLPQNSYSVFRRMHERDVVSWTSMLAAYARASRMEDACRIFHQMPLRNVVSWTALIGGFAQNGEGEKALCLFRQMQEEGIIPSAFTYVSVLTACADIALLDKGKQVHSHIIRHKCSSDNICVVNALVDMYCKCGDIASAMTLFERFPDKDTVTWNSLITGLAQNGHGEKSLSMFKTMIAANVQANHVTFLGALSACNHCGLESEGFKILNSMETDHGVHPGLDHYSIMIDLLGRKNSLTEAVELIERAADGSDHIGMWGAVLGACRVHGNMELARRAAEALFELEPENTARYVMLSNVYAAAGRWDDVREVRTRMDGRRLHKEAAYSWIEIKNVRYKFVAKDKFFCRTEEIQELLHKLGDHMKDAGYVPNIEQPFSPKDTDVSLDLYLL >itb07g19010.t2 pep chromosome:ASM357664v1:7:23454684:23457197:-1 gene:itb07g19010 transcript:itb07g19010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRKPVIIIISSDEDEGSGETKPAVWRRRGNESWESWDSSDYDDDCVILEQDDDDDDDCVILEEDPDKPTRVVNEKVSDDSDDLVVVSEKGQVACRDYPHPRHLCVKFPFATTPHESHCDKDEVLPHCDATDKMWIWRHQRENRKKSDEKVEPNESLPLRPPVSDSVTQNQVPRDTLLASSLSRNHEMPNIALQPASREQPGGRQNGDQRMPALGNPQKRSPHHLQHKNTNPTLSSHHIASELNNLNLGPADTDPPCVLESVTLNQFIAIPATNASVSQLTNLLNQNDRPNSNSNSSRYYPVPTITSTDMNSQTLSNPHPTLKNRTKWKTQRP >itb07g19010.t1 pep chromosome:ASM357664v1:7:23454684:23457197:-1 gene:itb07g19010 transcript:itb07g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRKPVIIIISSDEDEGSGETKPAVWRRRGNESWESWDSSDYDDDCVILEQDDDDDDDCVILEEDPDKPTRVVNEKVSDDSDDLVVVSEKGQVACRDYPHPRHLCVKFPFATTPHESHCDKCHCYVCDALAPCLYWTKDEVLPHCDATDKMWIWRHQRENRKKSDEKVEPNESLPLRPPVSDSVTQNQVPRDTLLASSLSRNHEMPNIALQPASREQPGGRQNGDQRMPALGNPQKRSPHHLQHKNTNPTLSSHHIASELNNLNLGPADTDPPCVLESVTLNQFIAIPATNASVSQLTNLLNQNDRPNSNSNSSRYYPVPTITSTDMNSQTLSNPHPTLKNRTKWKTQRP >itb04g04620.t1 pep chromosome:ASM357664v1:4:2870355:2873222:1 gene:itb04g04620 transcript:itb04g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCMGDYLIEFILENVTLLLEEQVNLLKGVKEEVEYIRDELERMIAFLGVADSMEEKDAELKVWVKQVRDAAYDIEETLDQFVLHQTNICAQYGPFNFLVRLCLKISKLRARLAIASKVQSLKSRVSNISERHQRYCFKFNVPDQGSSLTPANSFATDCRGDALLLEECELVGIDGRKRQVIGWLLEVGSGLKVVSIVGMGGLGKSTLAKKVYDDAAVRKHFHSHAWITVSESFKIEDVLKDLVQQLFDEVKQPLPEGLNTMNAIRLKEVAKEFLLGRRYIVVFDDVWAIAVWQSIQLALPNKNDGSRVILTTRINDVGSYSVLESNGYKYELKPLTEEESWTLFSRKTFQGSACPSHLEEISENILKKCGGLPLAIVAISGVLTSKNKNNIEEWQVFNRHLGFELEANYRLESIRVILQISFKELPFYLKPCLLYMSIFPENHLIEHNTLIRLWIAEGFVKEREGRTLEEVAEGYLNELINRSLIHAIQSNDDGSLKLGHIHDFYREIAVSKSRDHNFVSTVNEHITTWPNKVRCLSVHGTFGGVQNKRYVARLRSLLTFDVIDSQSTSCAVQLLSSCKMVRVLDLRGVPLEIFPKEILKLLHLRYLSLRSTRIKMIPRSIKNLQNLEILDLKQTLVTELPVEILKLQFIRQLLVYYHGQYSYPSFEPFEHLHGFKAPVGIGGLSRLQKLSFVELNHGSGIIREIGMMTDLRRLSITKLRREDSETLCSSIEKLQKLRSLSIRSLEGCEILDLSFMSSPPRFLQRLYLKGCLQKVPHWVQTLQGLVKVYFQWSQLMENPLEYLQDLPNLVHIEFLRCYVGETLCFKGGKFEKLRLLDLDRLEGLRSVTMEVGSVPLLEKLVIRRCNSLERVPAGIERVVNMKFLEFIDMPEDFVEIFLPDRQGLDHCRIAHIPEVYYAYWKEGRWMVYSLEDTLVKEDCNKSAMNTYEQRKWL >itb10g09330.t1 pep chromosome:ASM357664v1:10:12397650:12398234:1 gene:itb10g09330 transcript:itb10g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHGSTPQLHYNVYIMQQRAAALIFLIFKSSIARNGQKFFLLLSRDNSTCLLFLTYTFQYFFFVETKPSDLLFSPSSVSFFLLPFSFFRIASCNSSSLRRRAASGDKQPSSSLHLPLPLSETSTQVSGKAASERDEHTRDLYDEYVKHLYEICITRLAMVDRLFNTDEPTWDVHLLNDLFCPRNGSVILVLER >itb14g21750.t1 pep chromosome:ASM357664v1:14:23650408:23651511:-1 gene:itb14g21750 transcript:itb14g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSLELLKKKMEDFAKERDWEKYHIPRNLLLAMVGEVGELSEIFQWKGEVAKGLPDWDEAEKVHLGEELSDVLLYLIRLSDMCGIDLGKAALRKVQLNALKYPAPTHHSTTKADHTAA >itb03g05700.t1 pep chromosome:ASM357664v1:3:4045893:4047705:-1 gene:itb03g05700 transcript:itb03g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYGDAAGQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTMLIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRAHADKNIVIMLIGNKTDLEDRRDVPTEDAKEFAQKEGLFFLETSAMEAKNVEEAFMTVLTEIFNIVNRKNLAAAENQGNGNAASLTGKKILVPGPAQVIPEKKSMCCSS >itb08g09640.t1 pep chromosome:ASM357664v1:8:8890540:8892707:-1 gene:itb08g09640 transcript:itb08g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKGLVRMKRNTAAGNGTMLSPAKNGDGGASGWEVRPCGMLVQKRSSDFNQSVKYGSSHHEVYIHSQANLGDQMNREKRCPEMCRTVKMENSSKEITDIRLEIDKLAKQVGNVEMDIYGGKKVAEMAILNMIELFMTQLIKLDGVSGDGDVKLQRRMQVKRVQKYIENLDMLKIRNSTLGSNNARVAAQFQQVHNKLAGRNNEGPVRVTAKWENF >itb01g29910.t1 pep chromosome:ASM357664v1:1:34002349:34002713:1 gene:itb01g29910 transcript:itb01g29910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVRKWFRRLKKMLKAKGKRSAEAEETASSASPPAAAAAQDDKLFLPPRASRSTQATTELLYVFPFISLLRWSKS >itb13g02260.t1 pep chromosome:ASM357664v1:13:2099678:2101114:1 gene:itb13g02260 transcript:itb13g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFRWWYSTRPSSWVPDDVQTPLESLYKTVFALQEFVLKSKFGGSEAEIKIRHFVLKAKQDIEKQFVNFCVAKEVYRLPNEASKQLFQTWHQVTQNAAQLLRTIQNTRSNKADDDEEEEVNDTQSVSYPKLEEGRIMVGRQNDVSIIKNRLFGRFNGVKVIPIIGMPGIGKTTLARKILEDQSIALHFEVRGWVTVTQNYNERKVLHDLLQSISPNHEIMKEVPLWAQVYEYLKEKQYLIVLDDLWSTQHLDHLKYLFHNPVNGSCILLTTRFYGVGDYACTIKGTYHVMSLLDLNESWDLFSTIFPLKKYRAPGFKKFRRHLSHVVEICEGLPLSIVVVAKRLSECKNSIQHELKKIEKEIELLGILDYSALILMYNHLPEYLKGCFLYLGVFPKRCEIQVKILLRLWIAEGFVEPSMNKELEKIAYCYLKKLIDRSLVLISKQTFDGKIKTCRVHSVMHTSVLERLRKREFYVQ >itb01g28780.t1 pep chromosome:ASM357664v1:1:33141140:33148424:1 gene:itb01g28780 transcript:itb01g28780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVDINRQEDGAELPPPLAASASEVSSHVGGREGSAVHRKKQSKFSVVNITRDLLLAYQSFGVVYGDLSTSPLYVYKSIFVDKLQKHQTPDAIFGAFSLVFWTITLLPLLKYVVVVLSADDNGEGGSFALYSLLCRHAKFSLLPNQQAADEELSAYKYGSTGHSASSLSLKRFLERHKKARTVLLIIVLLGACMLIGDGVLTPPISVLSAISGIQDTAESLPNGAVVLITCVILVGLFALQHVGTHRVAFLFAPIVIIWLISIFAIGLYNTIVWNPNILSALSPYYIVKFFRETGKDGWISLGGVLLSVTGTEAMFADLGHFNSFSMRLAFVFVVYPCLVVQYMGQAAFLSKNIPSISNSFYDSVPGGAHWPVFVIATLASIVGSQSIITATFSIVKQCNALGCFPRVKIVHTSRHIYGQIYIPEINWILMILTLAVAIGFRDTTLIGNAYGLACMTVMVITTFLMSLIIVFVWERNIIFAVAFLIFFWFIEGLYLSASVIKVPQGGWVSLVLSLVFLFVMFVWHYGTRKKYSFDLHNKVPLRWLLGLGPSLGIVRVPGIGLIYSELATGVPSIFSHFVTNLPAFHSVLVFVCVKSVPVPYVAPEERFLIGRICPRPYRMYRCIIRYGYKDIQRNDGDFEEQLIQSIAEFIQMEAVEPQLSSSESLSFDGRMAVISTKSIQSSSSLIVRDDDLGSSYSIQSSKSPTLQSLRSAYDDENMQIRRRRVRFQLADTPGMDPAVREELTDLIQAKEAGAAYILGHSYVKARRSSSFLKKLVIDIAYSFLRKNCRGPSVALNIPHISLIEVGMIYYV >itb08g16060.t1 pep chromosome:ASM357664v1:8:18231190:18233970:-1 gene:itb08g16060 transcript:itb08g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPGLYSDIGKKARDLLYNDYVTDHKFTVTTCNSNGVAVTSSGVKKGDLYLADVSTQLKSKNIVTDVKVDTSSNLYTTITVDEAVPGLKTVLSFIVPDQRSGKVELQYLNEYVGISTSIGLTASPLVNFSGVVGNNSLALGSDLSYNTATGNFIKCNAGMSFSTSDLIASLTLNSGDTLTASYYHSVSPMTNTAAGTEFTRNFSSDENTLTIGTQHSLDPLTTVKAKVNNRGIATALIQHQCCPRSVFTITGEVDTRAVEKSAKIGLAVVVKP >itb10g16170.t1 pep chromosome:ASM357664v1:10:22410796:22412661:-1 gene:itb10g16170 transcript:itb10g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAYNGEYKALLNELIQGMECAKQLRVHLNSAASSETQYFFLQRILSSYEKALLILKWRLVGQSHPVAPPLPGAPEPSISLDGSLDINNNSFKEQQDYNVSKKRKAMPTWTEQVRVGAENGLEGPTEDGYSWRKYGQKDILGAKYPRSYYRCTFRLMHNCWATKQVQRSDDDPTVFDITYKGAHTCNLAPTTSVPPLRSPEKQELKQIHHQNESFQAMQSNQMLMNLRASLRVNTDGLDTKETAFPFSFPPTFSGLTDENQHFQSSQVDDNAVALGTYSPSFVSPTTPESNYFSASQQHHTNAFKGVHISSANTSSTNSPIVGLDYSLHPATLDPNFPFDTLEFLT >itb10g25890.t3 pep chromosome:ASM357664v1:10:29030123:29037196:1 gene:itb10g25890 transcript:itb10g25890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEKAYLDLDANVHNHQTETAGTPLCGGRGAVMASLKLPYYYLQNARESNSMLSFPTTVISTKFSLPFATGYRDCASSVISTVSLIYHSGLYCRWSNTKRVRGEWFTTRAQPMAEELLEDISVDLPTSGNSIRQRFLNFYAARGHKVLPSSSLVPDDPTVLLTIAGMLQFKPIFLGKAPREVPCAATSQRCIRTNDIENVGRTSRHHTFFEMLGNFSFGDYFKEEAIRWAWELSISEYGLAADRLWISVYEDDDETFAIWHNVIGVPEDRIKRLGEEDNFWTSGITGPCGPCSEIYYDFHPERGSEDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDVIFPIIEKASELANVSYALADEPTKTKLKVIGDHMRAIVFLISDGVVPSNIGRGYVARRLIRRAVRTGRLLGIKGDGTGNVEGAFLPILAEKVIELSTQIDPDLKIKSSRIVDELKREELRFVLTLERGEKLLEQMLADAVSNSQGTGNVPCISGKDAFLLYDTYGFPVEITNEVANELGVGVDMKSFDTEMEKQRRQSQAAHNTVKLMVENGANLTESVPDTEFLGYNTLSAKAVVEGLLVRGSPVSCVSEGSEVDIFLDKTPFYAESGGQIGDRGYLYVMEDGNHPKAVIEIKDVQKSLDSVFVHKGTITEGTVEVGGEVEAAVDAKLRQGAKVHHTATHLLQSALKRVIGQETSQAGSLVAFDRLRFDFNFHRPLQDNELMEIERLINTWIGEAIFLETKVMSLTDAKRAGAIAMFGEKYGEQVRVVDVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGTRRIEAVAGDAFIEYVVARDNYMKQLCSSLKVKAEEVPTRVEALMGELRAARNEVSAARAKAAIYKASAVANRAFTVGTSKKIRMVVECMEDIDADSLKSAAEYLVDFLQDPAAVILGSCPSEEKVSLVVALTPGVVDLGIKAGEIIKPIAKLCGGGGGGRPNFAQAGGKKPENLVGALEEARKDITRQLEK >itb10g25890.t2 pep chromosome:ASM357664v1:10:29030091:29037196:1 gene:itb10g25890 transcript:itb10g25890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEKAYLDLDANVHNHQTETAGTPLCGGRGAVMASLKLPYYYLQNARESNSMLSFPTTVISTKFSLPFATGYRDCASSVISTVSLIYHSGLYCRWSNTKRVRGEWFTTRAQPMAEELLEDISVDLPTSGNSIRQRFLNFYAARGHKVLPSSSLVPDDPTVLLTIAGMLQFKPIFLGKAPREVPCAATSQRCIRTNDIENVGRTSRHHTFFEMLGNFSFGDYFKEEAIRWAWELSISEYGLAADRLWISVYEDDDETFAIWHNVIGVPEDRIKRLGEEDNFWTSGITGPCGPCSEIYYDFHPERGSEDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDVIFPIIEKASELANVSYALADEPTKTKLKVIGDHMRAIVFLISDGVVPSNIGRGYVARRLIRRAVRTGRLLGIKGDGTGNVEGAFLPILAEKVIELSTQIDPDLKIKSSRIVDELKREELRFVLTLERGEKLLEQMLADAVSNSQGTGNVPCISGKDAFLLYDTYGFPVEITNEVANELGVGVDMKSFDTEMEKQRRQSQAAHNTVKLMVENGANLTESVPDTEFLGYNTLSAKAVVEGLLVRGSPVSCVSEGSEVDIFLDKTPFYAESGGQIGDRGYLYVMEDGNHPKAVIEIKDVQKSLDSVFVHKGTITEGTVEVGGEVEAAVDAKLRQGAKVHHTATHLLQSALKRVIGQETSQAGSLVAFDRLRFDFNFHRPLQDNELMEIERLINTWIGEAIFLETKVMSLTDAKRAGAIAMFGEKYGEQVRVVDVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGTRRIEAVAGDAFIEYVVARDNYMKQLCSSLKVKAEEVPTRVEALMGELRAARNEVSAARAKAAIYKASAVANRAFTVGTSKKIRMVVECMEDIDADSLKSAAEYLVDFLQDPAAVILGSCPSEEKVSLVVALTPGVVDLGIKAGEIIKPIAKLCGGGGGGRPNFAQAGGKKPENLVGALEEARKDITRQLEK >itb10g25890.t1 pep chromosome:ASM357664v1:10:29030123:29037196:1 gene:itb10g25890 transcript:itb10g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEKAYLDLDANVHNHQTETAGTPLCGGRGAVMASLKLPYYYLQNARESNSMLSFPTTVISTKFSLPFATGYRDCASSVISTVSLIYHSGLYCRWSNTKRVRGEWFTTRAQPMAEELLEDISVDLPTSGNSIRQRFLNFYAARGHKVLPSSSLVPDDPTVLLTIAGMLQFKPIFLGKAPREVPCAATSQRCIRTNDIENVGRTSRHHTFFEMLGNFSFGDYFKEEAIRWAWELSISEYGLAADRLWISVYEDDDETFAIWHNVIGVPEDRIKRLGEEDNFWTSGITGPCGPCSEIYYDFHPERGSEDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDVIFPIIEKASELANVSYALADEPTKTKLKVIGDHMRAIVFLISDGVVPSNIGRGYVARRLIRRAVRTGRLLGIKGDGTGNVEGAFLPILAEKVIELSTQIDPDLKIKSSRIVDELKREELRFVLTLERGEKLLEQMLADAVSNSQGTGNVPCISDMKSFDTEMEKQRRQSQAAHNTVKLMVENGANLTESVPDTEFLGYNTLSAKAVVEGLLVRGSPVSCVSEGSEVDIFLDKTPFYAESGGQIGDRGYLYVMEDGNHPKAVIEIKDVQKSLDSVFVHKGTITEGTVEVGGEVEAAVDAKLRQGAKVHHTATHLLQSALKRVIGQETSQAGSLVAFDRLRFDFNFHRPLQDNELMEIERLINTWIGEAIFLETKVMSLTDAKRAGAIAMFGEKYGEQVRVVDVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGTRRIEAVAGDAFIEYVVARDNYMKQLCSSLKVKAEEVPTRVEALMGELRAARNEVSAARAKAAIYKASAVANRAFTVGTSKKIRMVVECMEDIDADSLKSAAEYLVDFLQDPAAVILGSCPSEEKVSLVVALTPGVVDLGIKAGEIIKPIAKLCGGGGGGRPNFAQAGGKKPENLVGALEEARKDITRQLEK >itb12g26610.t1 pep chromosome:ASM357664v1:12:27431900:27433585:1 gene:itb12g26610 transcript:itb12g26610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISDPSLVHYACVAKDNIVLAEFNSEDADLGALAMRCLEKTPEFHSMFTHSVRQRTYTFLIESPFVYFAIFDERLEKSEGLVFLRGVKEGFDCFVEGNSTKKRLEHVTSHCFQGEFNPIFHQLLDSMSDTEEAPHSPRKQRHHARRGSGSGSGSGSTDSLCGRKIGSAPLFGEANNLKKKKKRLLGEFRKGGMDGGNERKLNMGDDGTELSREFSVSMHKNAAFSGELGHQRAKKVWKKQVWVVLSLDLIVCTILFVIWLWVCRGFKCIDG >itb15g05640.t1 pep chromosome:ASM357664v1:15:3629972:3637726:1 gene:itb15g05640 transcript:itb15g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGLRRSKRLGDIQPAQQTAVQEENLALPAQNATRRRGGGGRGRGRGRSNAAAAPKGPSAGGRGRPVAAGRGDAVRSIDFGRAPQCKILPQAVAIGIAEPAFNRIEGAADKDIANEGGSADKIIGVEEEANTTPVPERVQVGNSPVYITERKLGKGGFGQVYVGRRVTGGMGRTGPDAVQVAIKFEHQNSKGCNYNPPNEWQVYNSVNGCYGIPWVHYKGRQGDFYIMVMDMLGPSLWDVWNNLGQTMPPGMVACIAMEAISILEKLHAKGFVHGDVKPENFLLGQPGTADEKKLYLIDLGLASRWKDLGSGRHVQYDQRPDIFRGTLRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGCQGDNKNFLVCKKKMAISPELMQCFCPTPFQQFLETVINMKFDEEPNYAKLISFFDTIIEPCTSLRPIRIDGALKVWQKRVRLTMNLDEDEQPRKKIRLGNPATQWISVYNARHPMKQRYHYNVADSRIRQHVEKGNEDGLYISCVASAANLWAIVMDGGTGFSSQFFELSDLFLHKDWIMEQWEKNYYISSLAGADNGSSLVVMSKGTPYTQQSYKVGDSFPFKWINKKWKEGFHVTSMATAGSRWCVVMSRNAGFSEQVVELDFLYPSDGIHRRWESGYRITAMAATADQAAFILSIPKFKFNDEAQETLRTSAFPSTHVKDKWSRNLYIASVCYGRTVC >itb15g06220.t1 pep chromosome:ASM357664v1:15:4090583:4093011:-1 gene:itb15g06220 transcript:itb15g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAGLKLAFCPNISVVSFKSSSLSFPSTKLSPKISFRPKFPRIYAFSSNDIKVGSNIEVDGAPWRVVEFLHVKPGKGAAYVRTTLRNYVTGNSVEKTFRAGSKIEAADISKETKQFTYKDGAQFVFMDLNTYEEFRLNASDVGDKTKFLKEGMDCILLFWKGKVIDFELPITVKLTVVDADPGLRGDTAQGGSKPATLETGAVINVPLFIDKGEEVMVDTRTGQYMSRA >itb07g16820.t1 pep chromosome:ASM357664v1:7:20806026:20806586:1 gene:itb07g16820 transcript:itb07g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH5 [Source:Projected from Arabidopsis thaliana (AT5G58500) UniProtKB/TrEMBL;Acc:A0A178U8N9] MNSMASAPDLNRVDAPSLSSPAAPPLSRYESQKRRDWNTFLQYLRRNHKPPLTLPRCGGDHVLEFLKYLDQFGKTKVHGNGCPYLGHPNPPAVCRCPLKQAWGSLDALIGRLRAAYEEHGGPPESNPFAAKAVTVYLREVRQTQAKARGITYDKKKRKLN >itb15g14670.t1 pep chromosome:ASM357664v1:15:12957340:12961701:1 gene:itb15g14670 transcript:itb15g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVFLLFICKFEYCYSNNNGSCIEVERVALLRFKESLIDRSNRLSSWAGLDCCAWEGVSCGSVIGHVWKLDLHNPYNDDDDKYSKNCLRGEISDSLINLTYLNYLDLSLNNFAEIQIPKFLGSFKNLRYLNLSGSRFVGKIPPHLGNLSKLEYLHLGWLLNAHWYSVNNDLETNNLDWLASLSSLKVLDMSFISIQQRSENLFGTINKLVSLSSLNLHECQLKITNPPSLVNSTSLISLDLGENTCDAMTLLWLSNLTRLENLNLPYNGNSAYHFKSSLLIPFCKLLNMVSMDLSFNSFRDSLGSLSCLLSLHLQNNKLEGGIPSSLQNLGILITLDLSENGLMDVIPPWIGENLASLRFLNIQKNKFFGDIPFQLCYLKDLQLLNLANNNISGPIPWCFNNFTAMVNYGYGNFIFLVDPFGRVYEENIYEDIKGLELEYTRNLEFLKSIDLSGNHITGEIPLEVMSLQALNNLNLSRNNLSGTIPQTIGNLSKIESLDLSMNAFSGPIPQSLSSLNFLSYLNLSFNKLNGRIPTGHQLQTLDDLSIYIGNEGLCGVPLLKSCPGDDKPSFVKQPIETKLTNDDHEFLMWFYAGLGPGFFVGFIGVLCTLLFKTSWRYAYFKCLEITFNKVLCGISIKRNRTVLDDQFQVLIMLGACV >itb12g06870.t1 pep chromosome:ASM357664v1:12:5071726:5080707:-1 gene:itb12g06870 transcript:itb12g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MGAEMESATVDELMTEDNRPGSRGPRLVIKEMVMRNFKSYAGEQRVGPFHKSFTAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLESAGVSVHFQEIIDLDDGTFEAVPGSNFVITRVAFRDNSSKYYINDRTSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESFKQLESLNEKRTGVVQMVKLAEKERDSLEGVKNEAEAYMLKELSLLKWQEKATKLAFEDNSAKIVEIQGNISTTEENLKNEREKIRENSKTLKELEALHIKYMKKHEELGNTLGRCKDEFKEFERQDVKYREDLKHLKEKMKKLNAKLDKDSAKIEDTTKKCEESTNLIPQLEEDIPMLQKVLLDEEKILEEIKEKSKVETEVLRGELAEVRAELEPWEKQLIEHRGKFEVASTEMKLLSEKHEAGRAAYEDAQKQIDEIEKKIETKGAGIKNLINELERNKIEKSEAQKLENEYLLEEQRLTPLEKAARQKLTELVSVMESEKSQGSVLKALLHAKEANLIPGIYGRMGDLGAIDAKYDIAISTACAGLDYIVVETTAAAQACVELLRNKNLGVATFMILEKQVDHLHRIKEKVTTPEGVPRLFDLVKVQDERLKLAFFAALGNTVVANDIDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGNKPRGGKMGSSIRPASVSGEAIASAEDELSKLSESLRNLRQKISEAAMRYRSLDEAISHLEMELAKSQKEIDSLKSLQSDLKNQMDSLKRASKPTKDEVDRLKELGKITTAEEKEIDKLTQGSKQLKDKASELQNKIENAGGVRLKNQKEKVNKIQLDIDQKRTEINRCRVQIETGQKMIKKLVKVIEESKKEKERLGEEKEKLLSMFKVIEQKAFAAQENYKKTQELINQHQDVLDKAKSDYENLKKAMDELRASEVDADYKLQDMKKVYKELEHKGKGYEKKLCDLDTALSKHMEQIQLDLVDHEKVQAALTDETLSGSCDLKRGLEMVSLLEAQLREMNPNLDSISEYRKKVSLYNGRVEELNSVTKDRDDIKKQYDEWRKRRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHFKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVSQKAA >itb13g01120.t1 pep chromosome:ASM357664v1:13:1055895:1056689:1 gene:itb13g01120 transcript:itb13g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQESQAFMTANNSPIGDEEEAGSPTVCGCFRLFCLRSGEGTTRALIGGESGAGREPWWQGKAKKMRELSEVVAGPRWKNLLRKIGRYCNPKLKGGYKGQFIYDAQSYALNFDNGTAATAAEEDDGLLRNFSSRYAAPAVGQQRKVGL >itb04g02130.t1 pep chromosome:ASM357664v1:4:1301659:1303019:-1 gene:itb04g02130 transcript:itb04g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAKKARSPPHMPIEMIRHILFKLKMKALIRCECVCKEWRSTIEDPDFKLSYRGDRRLLVAAASGINLAFTSITADDTPRIKTLKGDWWSGVWCCCNGLVLFSAGKHILLWNPSTRCCTKVLELQSLEPSWGHRLDVVTASGLCYVPSTGDYKAVLLLRNGTVLVASLRNKQWREVSFPYHANSVRDGGINFHNTLHWRVAHSARDWCSSRRCSKVVYFDSKSDEFKELPTPNFPEKSCAILGLGIIDDAHLCMLRDKRKEIGEVEVLVMKEYGVKNSWISQFVISGSHSLSSDLVIIVTLHSILPNTIHNC >itb04g31660.t1 pep chromosome:ASM357664v1:4:34438947:34441263:1 gene:itb04g31660 transcript:itb04g31660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLLSPSIPSSLIPKSLCSPKSPFLSPPTQRKSCSQAVKSTGKFGSFLDLEPTSKPESLGLDLSWHDPGSVRPQFDVIVVGAGPAGLRLAEQVSRYGIKVCCVDPSPLSMWPNNYGVWVDEFESLGLEDCLDKTWPMASVYINDHNTKYLDRAYGRVSRKRLKLRLLSECMNNNVKFYKARVWKVEHQEFESCVTCDDGRKLKASLVVDASGFASSFIEYDKPRNHGYQIAHGILAEVDAHPFDLDKMVLMDWRDSHLGNEPYLRESNSRIPTFLYAMPFGSNLVFLEETSLVSRPFLSYMEVKKRMVARLRHLGIKVRSVIEDEKCLIPMGGPLPRIPQDVLAIGGNSGIVHPSTGYMVARTMAIAPIVAEAIAECLGSTRMIRGTQLYQRVWNGLWPMERKCVREFYSFGMETLLKLDLNGTRRFFDAFFDLNPHYWHGFLSSRLSLRELIMLSLSLFGHASNLSKLDIVTKCPAPLVRMVGNLALETI >itb14g09330.t2 pep chromosome:ASM357664v1:14:9636341:9638540:-1 gene:itb14g09330 transcript:itb14g09330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSVSHSSLLPIHSLTSSPALFRSSIASLSSSSLILPSNPEIPFLSSCPPKFHHLLHFPINHHHHHHHHPILLFTALDSPPVDTQTFLATITVIAAISLSLFLGLKGDPVPCDKCAGNGNSWFNFLIPKKRIIVTQFTKAILLTFIWMGRWYKMCVLQ >itb14g09330.t1 pep chromosome:ASM357664v1:14:9636341:9638540:-1 gene:itb14g09330 transcript:itb14g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSVSHSSLLPIHSLTSSPALFRSSIASLSSSSLILPSNPEIPFLSSCPPKFHHLLHFPINHHHHHHHHPILLFTALDSPPVDTQTFLATITVIAAISLSLFLGLKGDPVPCDKCAGNGGTKCVFCNDGKMKMETGLVDCRVCKGAGLILCKKCSGSGYSRRL >itb09g22810.t1 pep chromosome:ASM357664v1:9:21616281:21618017:-1 gene:itb09g22810 transcript:itb09g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYFVDLLSADGATFHKSCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQTSSSRENSLTKSSNKYSSMFSGTQDKCPACSKTVYPLEKVTMEGESYHKSCFKCSHGGCALTHATYAALNGVLYCKHHFTQLFMEKGNYQHVLKAGQKKNQAAPADSDAEPESEGEEAPPAPQPEEDHEKAAEE >itb01g26090.t1 pep chromosome:ASM357664v1:1:31334920:31337552:-1 gene:itb01g26090 transcript:itb01g26090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLTKPLRTISAIVKYSNGKSKFPAFLTAHFSKPYPESHFASIPKWVFTATKLPPPEWVQPFTDLSDIITDHKNLQPSPWVSQILSLLDNSPTMEENLKTYCKKFLIKLSPNFVAFVLKSGQLSENSHTAYRFFTWAGRQRGYAHNFECYVSLIAILSACNDVNRLRDIFRELKDRDFMMNLVGANSLVRSLGSVGMVEELLWVWRCMKESGIQPTLYTYNFLLNGLVNSMFIESAEQVFEVMESGKTPPDIVSYNTMIKGYCKIGKIQKAMEKFRDMEVRNVGPDKITYMTLIQACYSEGDFDSCLGLYHEMVENELDVPSHAYSLVIGGLCRDGKSLEAFAVFESMVRKGCRPNAAIYTSLIDSYMKNSNLEAAMRLFDKMKDEGLEPDEVTYGVIANGLSKCGRLEEALQWLEYCQKNNKAINAMFYSSLIDGLGKAGRVDEAEKLFEEMAEKGCPRDSYCYNALIDALVKSGKSDEAIMLFNRMEQEGCDQTVYTYTILISGLFKDHRNEDALKLWNMMIDKGITPTAASFRALSTGLCLSGKVARACKILDELAPMGIVLETAFEDMINVLCKVGRIVEACKLADGIVDRGREIPGRVRTVLINALRKAGNADLAIKLMHSKIGIGYDRMGSIKKRVKFRTLVDK >itb04g33580.t1 pep chromosome:ASM357664v1:4:35774196:35780097:1 gene:itb04g33580 transcript:itb04g33580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MERNSDVLFTSSSIKLPPFSFPSQSRTPNPLLSTLHLTLSKLPKLPNPFAPIFNPQSVLTEFLKFPFHKTLKRFNSNPNFPLLCCSSLSLTQTRETDADFPPATQPVPPKSAREERVLISEVLVRSKDGEELERKDLEVEALNALKACRPNSALTVREVQEDVHRIVASGYFSSCMPVAVDTRDGIQLVFQVEPNQEFRGLVCEGANVLPTKFVEDAFRDGYGKIVNIRRLDEIISSINGWYMDRGLIGMVSGIEIFSGGILKLQVSEAEVNNINIRFVDRTGEPTAGKTKPETILRQLLTKKGQVYSALQGKRDVETVLAMGIMEDVSIIPQPAGETGKVDLTMNLVERKSARGITAGGGISSGITNGPLAGLIGSCAIYHKNLFGRNQKLNLSLERGQIDSIYRIKYIDPWIEGDDKRTSRSIMVQNSRTPGTLVHGNQPDNSSLTIGRVTAGIEYSRPFRPKWNGTAGITFQRAGARDDKGNPIIRDFYSSPLTASGNTHDDMLLAKLETMYTGSGDSGSSMLVFNMDQGIPVLPEWLVFSRVNARALKGLAVGPVRLFLSLSGGHVVGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGCGEISFPLRGPVEGAIFADYGTDLGSGSSVPGDPAGARLKPGSGYGAGLGIRVDSPLGPLRLEYAFNDQRVGRFHFGVGLRN >itb15g02530.t1 pep chromosome:ASM357664v1:15:1529498:1532292:-1 gene:itb15g02530 transcript:itb15g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLGSGKMILEGSLSFKGTELETKISLVSPVFHKENNEMGISDGITCEKLPTPDSTKVLPPNTSNQRNLAALKLQKTYKSFRTRRRLADCAVLVEQRWWKLLDSVELKHNSVSFFDIEKPETAVSRWSRASAKAAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLQCESRQPFFYWLDIGEGKEVNLERCSRYKLQQQCIKYLGPVERETYEVIVVDGKFMYKQSGKLLDTTQGPKNAKWIFVLSVSRVLYVAMKHKGTFQHSSFLAGGATLSAGRLVVEDGTLKAVWPHSGHYLPTEENFEEFLSFLEDHSVDVSVVQKAPSDEDEEAFTMKGKSLSLQKQSSEDGSSEKYSPEDAPKSRLRRPNIPRLEIPNREDMLKLFWKEQQQEREPTPTPSSDGYITAEEDFPDAADDFSVAKRNLFGGDNEEDYYEEPVPEEKIIRRLNSQRGMKSYQLAHRLSCKWTTGAGPRIGCMRDYPLELQNRVLEEAQLSPRPARRSAHSSAPSFSRSPMACNSPLAPKPRTPLVLSQRETNIIH >itb10g25230.t1 pep chromosome:ASM357664v1:10:28624129:28627067:1 gene:itb10g25230 transcript:itb10g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLRTLSRRSEQRQETPPARLDPEDRAQNEPFTCEICIEPMLSPRKFKNRESCSHPFCSDCIVKYIAVKLECNAAKIQCPALDCAEFLDPIACRTLLGPQLFVKWCDVLCEAAVLEWDRCYCPNRNCSVLIVNECGGTVKRSKCPSCKVLLCFHCKLPWHAGFRCEESRELRDRNDVAFGVLAEQKRWARCPRCRHFVERIEGCKIINCRCGISFCYKCGKQVHQHWCGCDTASCCCIWTWKLLIFFLIVMFFYFIMWGFGRKHGYARLTP >itb15g11440.t1 pep chromosome:ASM357664v1:15:9255164:9256360:-1 gene:itb15g11440 transcript:itb15g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLRYVSVQMVIADNGGAPFPPYRSQFFPSKFLNGYGYTSGVPFQFYSNGYGYSSGVPVSPLSRSQFYPNNQVWQEEEYSEEVELDVEEVKEDSENKALQKCCKFLADWFHRFYANLNHFKQFLADWFHQFYDNFDFKQFLCNLEHHMNRSTVFLEHSAEFMASLNRIMEEWNGMKANYRTHGAAKDSYHCYYYYDCNRDDLVSSRRPECCPCIRFRRRPNRRIGVEQKCCQCCKLCYPSRDEANNRTQKKTQKKTLGDSYHCYYYYYYYYHYDAYGRIHTRLYRGLPLWKCPCIEYRRRDSLRDPIHRVRLKEVMQKCCECCKLCNIPGRKKPHSTI >itb04g01960.t1 pep chromosome:ASM357664v1:4:1210441:1211726:-1 gene:itb04g01960 transcript:itb04g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAIATSTASSTVTSSSSSSGPSPSHHILEITLISAQDLASVSKSQRSYALIWVNPGKKRSTSIDSKGLTNPTWNHKFSFRVDHDFLGSDDSAVNVEIYSVSWFRDVLVGTVRINVKHLLAPPTPSISQEGNKNERFMALQIRRPSGDPQGMLNMGVALFNSASRSKPVYSEVSGTSSDCRDLLEKKMRNFFPDSETAGEFPAKRGSVCNGSAVNGGSEVCSDIGPSASVVAAELMNSNPPPPPAAKTTADQPPKGACGESLILGELTAEEAAAKGMTQQPGVKKKGRLVSCFGNAYGFEVTIVCGKSKKQKKD >itb06g15910.t1 pep chromosome:ASM357664v1:6:20155896:20157968:-1 gene:itb06g15910 transcript:itb06g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYGLLPSFSSPYYNFNNPKYLTTTIIHPKRFTVASPNLSKIISGGKIRASAGSVTPDEDQEAMVKLAFVHSVLFPDGSPDVHYRKAKGGQKLRDIMLDGNVELYGPYSRALCNCAGLGTCGTCMVEVVEGKELLSPRTDKENEKLKRKPRNWRLACQTTVGTPNSKGTLVIQQLPEWKGHEWNYKELKSSGGGGAWAELEAST >itb13g05640.t2 pep chromosome:ASM357664v1:13:6834867:6842335:-1 gene:itb13g05640 transcript:itb13g05640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIEEWQGYYINYKIMKKKVRQYANQIEAGALDRRHVLKDFSRMLDNQIEKIVLFLLEQQGALAGRIAELNEQQDALQEQPEIAKISELREAYRAVGRDLLKLLFFVEINAIGLRKILKKFDKRFGYKFTDYYVKTRANHPYSQLRQIFKHVGLGAVVGALSRNLAELQDRQGSYLSIYDQPALPLQDPVVDSIKAAADRLTHSTNFLNFLGQHALIMQEELPSPAEEDVVDQRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLCIGNALYALAYDMQSLPILLIGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQSNFKIFNLTFNKETLPGWVMAFGWLIYLVWLWISFREPVLETEENPVPQESNADSDVVEKGLAQPLLIKSNEQDDGDGNQEYDESEEAPEESRLPVNSIAAAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVITEYYFQWSTGTVAIFLACLGLTVLPVNVIVGSYISNMFQDRQILLASEIMVLLGIVLSFQVIIPYSVPQYVISGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLVPSLLICIASITATCFTYNSLY >itb13g05640.t1 pep chromosome:ASM357664v1:13:6834867:6842335:-1 gene:itb13g05640 transcript:itb13g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIEEWQGYYINYKIMKKKVRQYANQIEAGALDRRHVLKDFSRMLDNQIEKIVLFLLEQQGALAGRIAELNEQQDALQEQPEIAKISELREAYRAVGRDLLKLLFFVEINAIGLRKILKKFDKRFGYKFTDYYVKTRANHPYSQLRQIFKHVGLGAVVGALSRNLAELQDRQGSYLSIYDQPALPLQDPVVDSIKAAADRLTHSTNFLNFLGQHALIMQEELPSPAEEDVVDQRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLCIGNALYALAYDMQSLPILLIGRLCCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQSNFKIFNLTFNKETLPGWVMAFGWLIYLVWLWISFREPVLETEENPVPQESNADSDVVEKGLAQPLLIKSNEQDDGDGNQEYDESEEAPEESRLPVNSIAAAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVITEYYFQWSTGTVAIFLACLGLTVLPVNVIVGSYISNMFQDRQILLASEIMVLLGIVLSFQVIIPYSVPQYVISGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLVPSLLICIASITATCFTYNSLY >itb06g03330.t1 pep chromosome:ASM357664v1:6:5621043:5624089:-1 gene:itb06g03330 transcript:itb06g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKVVRPATNFSPSLWGDEFQHFVFDNEVAERYAQEIEVLKRQVRSMLKSIRVSKLAEKLNFIDTIERLGISYHFDDEIDEMLKEIYNDNSNIQVGDDLCTCALMFRLLRQHGHNISSGIFENFQENGKFKDKLSNNVEGLLNLYEASHVIGHNDNNLKDAYTFSRNHLEVVVPQLNSTLEKQVRHALEQPLHKGIPRVEISYFIRVYQEDESKNDVLLRFAKMDFNLLQMYHKQELCEMKRWWKELDFLTTLPYARDRVVECYFWAVGVYFEAKYSKARLMLAKCIVMASIFDDTYDTFGLPDELEIFTDAVQKWDINQLNHLPDYMKIIYKALIDLYVDYDKELLEEGRSYALYYSKERIKELARAYNIELKWSVEGCKLPVAEYLKNGQASSTLYFLITSSFLGMKSVTKEAFEWSSQNPRIFQANGMLGRVVNDIASYEREKSNRPNTTGIDYYMNDYGVSVEEAMDKFQEIAENAWKDTNEDILQPIPPAISTEILTRILNFARIDEVIDIGEIGVPMFGEKPREIRVGNSDGDQVFDAIKDYGRAVGRDGDV >itb13g25460.t1 pep chromosome:ASM357664v1:13:30847158:30847463:-1 gene:itb13g25460 transcript:itb13g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLCRNQKDTLVSFWHFINKLMGELGGLGDLPFPEAFDRYCRGESYYGPFWDHILGYWKKSLENPRKVLFLKYEEIKDKPHVHLKRIAAFLDCPFSEEEE >itb07g14940.t1 pep chromosome:ASM357664v1:7:17603450:17607631:-1 gene:itb07g14940 transcript:itb07g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSPNSAPSPVPPIASTPPPQPSPPKSPAPDPSSQPNANGGTPPSLPPQPPSVANVTSPPPISVSPPPMASSPPPITSPPPTSPPPSISSPPPAPSDPPPPPPSPVVVVNSPPPTSPDPPVSSPPPSSSLPSPPPSTSNPPVSSPPPPATNPSPSSPPPREEPPKTGASPPPKSPVIPTPPSTQPPKSSPPPPPSSRPSENSPPPPTAERPKSSTPTPPSPSKPPKNSPPSPPKSKPPRSSPSPPESDPTKAPPPLPASSVPPNNSPQPPSPVPPPLSSSALQPPGVVQLSPPPSPPSTLVPPNEPADNSSTSNASDSPNSSGGGGIGTGGTVAVGLVLGLLLLGLVGVVGWCIWKRKKKASVVNGGYILPTSFASSPKSDSALLKVQALTHGFGGGSGSEFVNSPREHGAFGNSRPWFTYEELVEATNGFAEQNLLGEGGFGAVYKGCLADGSVVAVKQLKIGGGQGDREFRAEVEIISRIHHRHLVSLVGYCILENKRLLVYDYVPNDTLHFHLHGNGRPVMDWPTRVKIAIGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVADFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDTSQPLGEESLVEWARPLLSQALETEEFQHLTDPRLEKNYVDNEMFRMIEAAAACVRHSSTKRPRMGQVVRAFDSMAMSDLTNGMRLGESEIFDSAEQSAEIRLFQRMAFVTEVSTTGLAPASSSVDKWITKLNCKVHIFVSEIKP >itb15g23430.t1 pep chromosome:ASM357664v1:15:26244807:26247493:1 gene:itb15g23430 transcript:itb15g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYTASIDDASSFSSSSPPCLQLQNYDLENTLIGIEDGVEEIKGMLLIQTSSSTQLQVVSIMGTMGTEISKQDDEQLAQQLRKLLMGQRYFIVIDNLWSTTGWYELSTAFPDDRNGSRVLITTQNRELMATFASSSGSVCNSQLSKLQSLQTLILSTWTKEYQLQLPCDVLDLPWLRHVRFDKGSSSYLPNLVQENLQTLSWLKVTGGDSRATNFTKVPNLKELGMYIEGEVLPNALDSLAQLHQLEKLKVKMGRAERFNLPNCFPSNLKQLTLSNTYLSWEDMNIIGQLPNLDLLKLKDFAVCGPEWTPRDGEFLQLRFFLIERSDLEHWNANANHFPALEHLILRYCWDLEKLPNDFKKVCTLRLIELGNCCSVTSAKAIQQGQLDLGYEALVVRDVTKVSL >itb04g23470.t1 pep chromosome:ASM357664v1:4:28510008:28513279:1 gene:itb04g23470 transcript:itb04g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKSEVDILFAALKTAIRQPPPAFSCIDTEDTEEEEEEEEEEEEEEDYDDDDDEYIKDDNDDGKAELACPFCSDDYDILGLCCHIDSEHRVETKSGICPLCPTNVGINMAAHVISQHEDLLKISFIYSLSKKKLQNRLNTRAHSGLSLLRKELQNLNLHLHLKESSRADSSSNADADRLMLSFVNSPQSTYRVETMQAKNSAQTSLLGRSEENSICERSTQAYPVDEDQAEKVRRCDFVSGILFSTILDDFL >itb02g08350.t1 pep chromosome:ASM357664v1:2:5259171:5259485:-1 gene:itb02g08350 transcript:itb02g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKFRTNVLTRHLNQQNPNLDDDVLLQSCPCISYTPPELSEPAPCFDVSEMRKLMDGHNLQDRDWLYGLMIQSKVFNPRLRGGTVHVWVRWIITCQWNSRGR >itb10g10640.t1 pep chromosome:ASM357664v1:10:15387237:15387683:1 gene:itb10g10640 transcript:itb10g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLMRVLFCKIHCPFICFCKPSAAHLYTQAPLKLENTPHVPSSTVAGDPSGEIGEAKEESLQGSKQQAAINGGRRSCIRKAPKEIEKKKSVQWVDNLGKELVEIKEFETRKCSLFLTGSKT >itb15g02520.t2 pep chromosome:ASM357664v1:15:1524667:1528825:-1 gene:itb15g02520 transcript:itb15g02520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELACSSCSSTRISSVFNYNGNPSCSRKLSIWSTCKAANLALLKPSKTFRSSVGLHNSRTFSKNAPFGVYRRSSIWACSQVGDAGSAPILNKVSQFKDAFWRFLRPHTIRGTALGSVSLVTRALIENPNLIRWSLLLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVIFFAVAGLFIVGMNFGSFICSLYALGLFLGTIYSVPPFRMKRFPIFAFLIIATVRGFLLNYGVYYATRAALGLSFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTFATKLGVRNIAFLGSGLLLVNYIGAVLAAIYMPHAFRSRLMIPLHAVLAVCLVFQTWLLEKANYTKEAISAFYRFIWNLFYAEYLLFPFI >itb15g02520.t1 pep chromosome:ASM357664v1:15:1524752:1528825:-1 gene:itb15g02520 transcript:itb15g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSECIDAAPSGYCCQACSQVGDAGSAPILNKVSQFKDAFWRFLRPHTIRGTALGSVSLVTRALIENPNLIRWSLLLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVIFFAVAGLFIVGMNFGSFICSLYALGLFLGTIYSVPPFRMKRFPIFAFLIIATVRGFLLNYGVYYATRAALGLSFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTFATKLGVRNIAFLGSGLLLVNYIGAVLAAIYMPHAFRSRLMIPLHAVLAVCLVFQTWLLEKANYTKEAISAFYRFIWNLFYAEYLLFPFI >itb07g05040.t1 pep chromosome:ASM357664v1:7:3421784:3422960:-1 gene:itb07g05040 transcript:itb07g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKCCKNSARKTKRKREHRDGGGGSVRMRVKKLQKLIPAGHGLPPERLFRETAEYILQLRLQVDALQALCNIYYANQMVTGNITIV >itb13g16700.t1 pep chromosome:ASM357664v1:13:23653850:23659559:-1 gene:itb13g16700 transcript:itb13g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKKNLKNLIPLFILLSLSALFLFSFSPATPIPATVPTHPTSQPQPSHLQTPITTAADNKSFTFIIKVLAYNRLSSLSRCLNSLAAAHYDDHAVHLHIFIDHFPVPAKASLDVDRNLNSSRHILDFVDGFGWKFGAKVVHYRTSNAGLQAQWLEAWWPSSDDEFAFVVEDDLEVSPLYFRFLKNLIVHYYYNASNFSPMIYGASLQRPRFVPGKHGNKMEIDSRTRVFLYQLVGTWGQLLFPRPWKEFRLWYDTHKSKGMKPVLDGMVTTGWYKKMGERIWTPWFIKFIHARGYFNIYTNLLYERALSISHRDVGVNYGKSVGPDSNLVSENSIDINHLEFHPLHSMKWYDFCFKEVFPDRIVQNFNELGTVIHSVQKMSNVILVALHQESESVVRNLLCHFERLYIRNYILMGPKSDLLLDLAIRGHPVIDTDRLYDNIRVYDKSNFNESTVELTKDIFVKAYVVKKTLELGYGIMVADCNRVPLNSESFLDFIDTDAVNDFFVGKNLELVFARSSYSAAKTWGDSILTQLRAELITAASSDSDPTGKNFVYVVKKLFEQKGVKFNTFDENKSSLNISSLDASQTPFILDRGKFVLWSSETSTNLIQQQLVQLGLWIVDSDMSCTAVICYPS >itb13g16700.t2 pep chromosome:ASM357664v1:13:23653850:23657633:-1 gene:itb13g16700 transcript:itb13g16700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKKNLKNLIPLFILLSLSALFLFSFSPATPIPATVPTHPTSQPQPSHLQTPITTAADNKSFTFIIKVLAYNRLSSLSRCLNSLAAAHYDDHAVHLHIFIDHFPVPAKASLDVDRNLNSSRHILDFVDGFGWKFGAKVVHYRTSNAGLQAQWLEAWWPSSDDEFAFVVEDDLEVSPLYFRFLKNLIVHYYYNASNFSPMIYGASLQRPRFVPGKHGNKMEIDSRTRVFLYQLVGTWGQLLFPRPWKEFRLWYDTHKSKGMKPVLDGMVTTGWYKKMGERIWTPWFIKFIHARGYFNIYTNLLYERALSISHRDVGVNYGKSVGPDSNLVSENSIDINHLEFHPLHSMKWYDFCFKEVFPDRIVQNFNELGTVIHSVQKMSNVILVALHQESESVVRNLLCHFERLYIRNYILMGPKSDLLLDLAIRGHPVIDTDRLYDNIRVYDKSNFNESTVELTKDIFVKAYVVKKTLELGYGIMVADCNRVPLNSESFLDFIDTDAVNDFFVGKNLELVFARSSYSAAKTWGDSILTQLRAELITAASSDSDPTGKNFVYVVKKLFEQKGVKFNTFDENKSSLNISSLDASQTPFILDRGKFVLWSSETSTNLIQQQLVQLGLWIVDSDMSCTAVICYPS >itb11g21050.t1 pep chromosome:ASM357664v1:11:22410382:22414161:1 gene:itb11g21050 transcript:itb11g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVGVRSEAEQHEPPRTDASNTCSSIPHIPPPTDRPVRVFADGIYDLFHFGHARSLEQAKKAFPNTYLLVGCCSDAVTHKFKGKTVMTEEERYESLRHCKWVDEIIPDAPWVINQEFLDKHKIDFVAHDALPYADASGAGKDVYEFVKAVGRFKETQRTDGISTSDIIMRIVKDYNQYVMRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEHQEKVGEKIQTVAKTAGMHRNEWIENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQQQRDMLENGNEDDDDDEYYYEEEGEEEDEEYYDEED >itb02g08200.t1 pep chromosome:ASM357664v1:2:5140756:5143208:-1 gene:itb02g08200 transcript:itb02g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCMGDEDIELLNSQTHIWNNIFGYVKSSSLKCAVELGIPDIIHKHGRPMTLLELVDAIPINKAKADHLARLMRTLIHSGFFLHTKIQESEEEKDGYVLAPPSRLLLKDNPISLRPQLLCVLDPILTQPWNHAREWFQNDDPTPFDTANGMTLWDYAAQQPKFNHMFNEAMACDTRVVMNVVIKYCKGVFEGLNSLVDVAGGTGTVARTIADAFPDLKCTVFDLPHVIRGLEGTKNLDYVGGDMFVAIPRANALFLKWILHDWNDEECLKILKKCKESIPNKENEGKVIIIDMVIDNVKKDEKSFETQICFDTMMLSIMTGRERATKDWEKLFSDAGFSDYKIIPILGLRSIIEVYP >itb13g19960.t3 pep chromosome:ASM357664v1:13:26828646:26832710:-1 gene:itb13g19960 transcript:itb13g19960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTTIHGRTDDHHETSVDDDETLAAPGNNTTTKLKDRKVSWAKLRRVDSLNLEAGRISFTPSHHHASSRDNWQITLHLAFQCIGVIYGDIGTSPLYVYASTFTKIDNKNDILGVLSLIIYTIILVPMLKYVFIVLWANDNGDGGTFALYSLMCRHTKVSLRPNQEPEDRELSNYRLETPSNQLRRAQKIKQKLESSMAAKTVLFIVTILATSMVIGDGVLTPCISVLSAVSGIKGLGQETVMWISIAILVLLFSVQRFGTDKVGYAFAPAVCLWFAFISGIGVYNLIKHDITVLRAFNPKYIVDYFRRNGKEGWVSLGGIVLCITGTEAMFADLGHFNVRAVQISFSGVVCPALLTAYCGQAAYLSKYPDHVANTFYDSIPDPLYWPMFVVAVAAAIIASQALISGAFAIISQSLSLGCFPRVKVVHTSAKYEGQVYIPEVNWILMILCVIVTYGFKTTTQIGHAYGIAVMNVMVITTCMVTLIMLVIWKTNIWLVALFFVVFIAIEGIYLSAVLYKFTEGGYLPLLFALVLMIVMGIWHYVYKERYAFELNNKVSSEYVRDLAKNPDVKRVPGIMLLYSELVQGIPPIFPHFVSNIRSLHSVVVLVSIKNLPISKVVPAERFLFRQVEPREYRVFRCVVRYGYNDKISEPKEFEGQLVDHLTEFIRHEHFIVGGPSHPEQPLPNRKSTSSSTVHVEETLENVSARLSSASIQSMNVIRSAHSSTRTNVAAAGWEEEVKFVQRAKEQGGVFYLLGEAAVEAKHDSWFFKKFVVNYVYSFLRKNFRQGEKVFAIPQNRLLRVGMTYEI >itb13g19960.t1 pep chromosome:ASM357664v1:13:26828646:26831662:-1 gene:itb13g19960 transcript:itb13g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHTKVSLRPNQEPEDRELSNYRLETPSNQLRRAQKIKQKLESSMAAKTVLFIVTILATSMVIGDGVLTPCISVLSAVSGIKGLGQETVMWISIAILVLLFSVQRFGTDKVGYAFAPAVCLWFAFISGIGVYNLIKHDITVLRAFNPKYIVDYFRRNGKEGWVSLGGIVLCITGTEAMFADLGHFNVRAVQISFSGVVCPALLTAYCGQAAYLSKYPDHVANTFYDSIPDPLYWPMFVVAVAAAIIASQALISGAFAIISQSLSLGCFPRVKVVHTSAKYEGQVYIPEVNWILMILCVIVTYGFKTTTQIGHAYGIAVMNVMVITTCMVTLIMLVIWKTNIWLVALFFVVFIAIEGIYLSAVLYKFTEGGYLPLLFALVLMIVMGIWHYVYKERYAFELNNKVSSEYVRDLAKNPDVKRVPGIMLLYSELVQGIPPIFPHFVSNIRSLHSVVVLVSIKNLPISKVVPAERFLFRQVEPREYRVFRCVVRYGYNDKISEPKEFEGQLVDHLTEFIRHEHFIVGGPSHPEQPLPNRKSTSSSTVHVEETLENVSARLSSASIQSMNVIRSAHSSTRTNVAAAGWEEEVKFVQRAKEQGGVFYLLGEAAVEAKHDSWFFKKFVVNYVYSFLRKNFRQGEKVFAIPQNRLLRVGMTYEI >itb13g19960.t2 pep chromosome:ASM357664v1:13:26828646:26830920:-1 gene:itb13g19960 transcript:itb13g19960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWISIAILVLLFSVQRFGTDKVGYAFAPAVCLWFAFISGIGVYNLIKHDITVLRAFNPKYIVDYFRRNGKEGWVSLGGIVLCITGTEAMFADLGHFNVRAVQISFSGVVCPALLTAYCGQAAYLSKYPDHVANTFYDSIPDPLYWPMFVVAVAAAIIASQALISGAFAIISQSLSLGCFPRVKVVHTSAKYEGQVYIPEVNWILMILCVIVTYGFKTTTQIGHAYGIAVMNVMVITTCMVTLIMLVIWKTNIWLVALFFVVFIAIEGIYLSAVLYKFTEGGYLPLLFALVLMIVMGIWHYVYKERYAFELNNKVSSEYVRDLAKNPDVKRVPGIMLLYSELVQGIPPIFPHFVSNIRSLHSVVVLVSIKNLPISKVVPAERFLFRQVEPREYRVFRCVVRYGYNDKISEPKEFEGQLVDHLTEFIRHEHFIVGGPSHPEQPLPNRKSTSSSTVHVEETLENVSARLSSASIQSMNVIRSAHSSTRTNVAAAGWEEEVKFVQRAKEQGGVFYLLGEAAVEAKHDSWFFKKFVVNYVYSFLRKNFRQGEKVFAIPQNRLLRVGMTYEI >itb04g30070.t1 pep chromosome:ASM357664v1:4:33281971:33282610:-1 gene:itb04g30070 transcript:itb04g30070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMSITLIFLSLNFPATENSGWKIERERGEVLLLLLLPSFLSLFFSRFTLLVTGKRKAHRRKRIKRGRPGRKRFNHHSNLKEHFRQLSLRFSIPKKLQKKSSGSLNEEEGRARLGNVSWCVHGDAVHQNRIHQHTRSERRLLRAKPRLNKPPHRIVYPAL >itb07g05850.t1 pep chromosome:ASM357664v1:7:4041344:4043669:1 gene:itb07g05850 transcript:itb07g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDRYIWDQEQKQQGQQPRKKSKLALLLLVSRVTTLVSLAISIVILKNSGKTYDETVTYDGKTYKNGYRISYSNYSSYKYMMFIMVVGCVYNLLQIPLAVYYFFREKHLINHRGFVLFQFFADQVIMALLATALGATFGATVDLDKGVRNKDKVEQNLHRYWRFMYLPAIFFLIGFVTSIISSITTSLTTLVSSEM >itb11g04710.t1 pep chromosome:ASM357664v1:11:2515275:2516393:1 gene:itb11g04710 transcript:itb11g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSISSLSSSSKPLNLIKPLRTQPILNLQTQQWLPSLTLKKPISIHSLKPHPLPLRFTQPLRKPYLLYSSRPLPIKSLFTGIVEEMGEVRHIGYEDPESFTMKIGAKTVLDGVNLGDSIAVNGTCLTVTEFDTQLSEFTVGLAPETLRKTSLSELEHGSRVNLERALTPNSRMGGHFVQGHVDGTGVILGLDPEGDSLWVKVKTTKELIKYIVPKGFIAVDGTSLTVVDVFDDECFNFMLVAYTQQKVVIPLKKIGQKVNLEVDILGKYVERLLSSGFVDSIKSA >itb01g19610.t1 pep chromosome:ASM357664v1:1:25824647:25826973:-1 gene:itb01g19610 transcript:itb01g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGNYEATSRAEIGGAPPPSASQHRRSTNLHRLSHPPFATADASPTAPASRRSRIAARSYQQFAAIAPTAS >itb05g12770.t1 pep chromosome:ASM357664v1:5:19463153:19465911:1 gene:itb05g12770 transcript:itb05g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPTKLAVFLALLMAASLLPSSLTEQISVGPNKKQMVMTGQLCKSHDDCKANSVYSTNFCVNKISGSEVGHCAGFGSNLGGVASTTEDKKGKSKGGCGKCKTDADCRGCPAAAACEKIILNGYCA >itb05g04110.t1 pep chromosome:ASM357664v1:5:3587347:3589623:-1 gene:itb05g04110 transcript:itb05g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHRIAIGNPGEAAHPDALRAAFAEFFSMVIFVFAGQGSGMAFTKLTDGGASTPSGLIAAALSHAMALFVAVSVGANISGGHVNPAVTFGAFIGGHITLLRSILYWIAQLLGSVVACLLLNYATGGMETSGFGLSTGITTWNAVTFEIVMTFGLVYTVYATAVDPKKGDLGIIAPIAIGFIVGANILAGGAFDGASMNPAVAFGPAVVSWTWTHHWVYWLGPFVGAAIAALVYDNIFIGGHPHEQLPVTDY >itb11g22600.t1 pep chromosome:ASM357664v1:11:24555970:24557691:-1 gene:itb11g22600 transcript:itb11g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGANGVSFEPTENMAAWLLGVGNLKIQPFNLPPLGPHDVRVSMKAIGICGSDVHYLKHGRCGDYIVKEPMVIGHECAGIIEEVGSEVKHLVAGDNVALEPGVSYRASGGSVLQAPGERELGRRRDVRAVERRRLRLSPGEHRAGDERLVLGAGPIGLVSMLSARAFGAPRVAVVDVDDQRLAFAKKLGADVIIKVSHKMEDLESEVESIKAAMGVGIDVSLDCAGFNKTMSTALRATRSGGKVCLVGMGHCEMTVPLTPAAAREVDIVGIFRYKNTWPQCIEFLRSGKIDVKPLITHRFGFTQKDVEEAFETSARGGNAIKVMFNL >itb15g08540.t1 pep chromosome:ASM357664v1:15:5981790:5985512:1 gene:itb15g08540 transcript:itb15g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVETSSSSSTPSPYSGGTQGNTLPQPCTLLSVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTDKWGATTEDDIKHWTKFPSFSPLLSLVEIDGGKSLDLSSYPHIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCNDGSINGFYYDPNSSPFQKLELKSTNDGRSGFSFSSYELQ >itb07g18600.t1 pep chromosome:ASM357664v1:7:23053192:23061435:-1 gene:itb07g18600 transcript:itb07g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMQYPSNGELKEEVKGLTEALMAGKDVTLHTHSNGVNGYGGDDSMKVQKSGVEDEEKVLSGEGHKSSVYLDEDGEASNMMPSAEIGNVGELGRLVSAARGGGAMEDEVIELEFFDRAIDKLHTHSAHCPNCKKPISKVILRIKRETTTPIQTPDKKDFDLLGCLDCFKIFLPDKTVTDENRGSAEEDQQESNNASEGKCFDLRWFFGGTPKAQTSQDQPSATIPSDQGQKPNDGHLMGDSSDAINSSSHHSDGTQSAYTQQQTRAPPPEMIDEDVKHEPLHSVKPSSSTYQGGGLSSGGDVHIPIEDPNKAGTGTGTETGTSTQPQVDPPEPEERSSKSVEVVKSVVYGGLMESITSLSVVSSAAASGATTLNTVAIGVANLIGGSFVVAHNLLDLKIKSPEEEYSKVLGQRNHFLLHAIVAMLSYLIFGLAPPALYGFTFRQSDDGDLKLLSVALASFVCVLLLAIGKAYTSGANTFNQYFVTILQYATAAATASGVAYAMGHLFQKLMDELGWFTPTPNQATLLLPNINSQNPNSAFF >itb07g18600.t2 pep chromosome:ASM357664v1:7:23053192:23058446:-1 gene:itb07g18600 transcript:itb07g18600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSAEIGNVGELGRLVSAARGGGAMEDEVIELEFFDRAIDKLHTHSAHCPNCKKPISKVILRIKRETTTPIQTPDKKDFDLLGCLDCFKIFLPDKTVTDENRGSAEEDQQESNNASEGKCFDLRWFFGGTPKAQTSQDQPSATIPSDQGQKPNDGHLMGDSSDAINSSSHHSDGTQSAYTQQQTRAPPPEMIDEDVKHEPLHSVKPSSSTYQGGGLSSGGDVHIPIEDPNKAGTGTGTETGTSTQPQVDPPEPEERSSKSVEVVKSVVYGGLMESITSLSVVSSAAASGATTLNTVAIGVANLIGGSFVVAHNLLDLKIKSPEEEYSKVLGQRNHFLLHAIVAMLSYLIFGLAPPALYGFTFRQSDDGDLKLLSVALASFVCVLLLAIGKAYTSGANTFNQYFVTILQYATAAATASGVAYAMGHLFQKLMDELGWFTPTPNQATLLLPNINSQNPNSAFF >itb11g15710.t1 pep chromosome:ASM357664v1:11:13537437:13538154:1 gene:itb11g15710 transcript:itb11g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQIKSRFGESPVPINYWAVLLNQSSKKLALPHSATQCHLSSSSKLRNGAVRLRPKIIDTLKGKLILGAKLLQAGGVEKVFNKKFVAKEGEKLLKASQCYLSTTYGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSTRMHYKVSIPITKIKSANESENLENPSEKYIRVVTEDHFKFWFMWFLHHQRTLKYLQNAISQSKYL >itb10g01070.t1 pep chromosome:ASM357664v1:10:786939:792035:-1 gene:itb10g01070 transcript:itb10g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATAAATVSVASDKMKRKKKKGRPSLSDLRTRDGNQSPGSNPTTRRSTRRNPNLGSPASPPPEFDEDDDERKEKKVKLVGRLPQSSANQPQQHFENSPGNSDSGSDPDDENLESSVKKRKVDAEDCRSELAVSNQGEKTLKAMDTQHGSPLASGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPNELPDYHEIIEHPMDFGTVRKKLDGRLYSNLEELEADVNLICSNAMQYNAPDTVYFRQARSIQDLAKRDFENLRHEGEDGEPQPKVVRRGRPPSSKNMKKSIETSPVDRVGPEISSGATLASGEDKAIGSNSYNLRKAQPLYRFRSNDVSLYRSRNGESYSERSTDWNDEFPASILRADMKYGSKHFALEDNRRDTYKQFHSSSGNEPSLFDNRNGNLKWLMAVGLQLEQHAYARSLARYAANLGPVAWKVASKKLQHVLPPGVQFGPGWVGEGGARPQPSCLSPETQNSPNRLATDHHSSRPLTPPFPSSNSATASTSSEAMVEAVRKLNSLNEMSDQRGGGGYTTSQAALQKSIFHPNRNGMSGMFGYDLNVRVQPPGPASPSSSIQIGSPKQPDLALQL >itb10g01070.t2 pep chromosome:ASM357664v1:10:786939:792035:-1 gene:itb10g01070 transcript:itb10g01070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATAAATVSVASDKMKRKKKKGRPSLSDLRTRDGNQSPGSNPTTRRSTRRNPNLGSPASPPPEFDEDDDERKEKKVKLVGRLPQSSANQPQQHFENSPGNSDSGSDPDDENLESSVKKRKVDAEDCRSELAVSNQGEKTLKAMDTQHDKKLLVFILDRLQKKDTYGVFSEPVDPNELPDYHEIIEHPMDFGTVRKKLDGRLYSNLEELEADVNLICSNAMQYNAPDTVYFRQARSIQDLAKRDFENLRHEGEDGEPQPKVVRRGRPPSSKNMKKSIETSPVDRVGPEISSGATLASGEDKAIGSNSYNLRKAQPLYRFRSNDVSLYRSRNGESYSERSTDWNDEFPASILRADMKYGSKHFALEDNRRDTYKQFHSSSGNEPSLFDNRNGNLKWLMAVGLQLEQHAYARSLARYAANLGPVAWKVASKKLQHVLPPGVQFGPGWVGEGGARPQPSCLSPETQNSPNRLATDHHSSRPLTPPFPSSNSATASTSSEAMVEAVRKLNSLNEMSDQRGGGGYTTSQAALQKSIFHPNRNGMSGMFGYDLNVRVQPPGPASPSSSIQIGSPKQPDLALQL >itb09g14220.t1 pep chromosome:ASM357664v1:9:9418891:9422942:1 gene:itb09g14220 transcript:itb09g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFYCAKTTPTFPFSTTINQLERGFSSGSTKTTTDNNNQLYDTKYFTQILDHFTFTPQSYHTFQQRYLINDTYWGGAKNRSPIFVYMGGEADVELFAHNTGFMFDYAPHFNALLLFIEHRFYGKSIPFEGKKEARSSSNTHGYLSSTQALADYATLIIDLKNNLTATDSPVVVFGGSYGGMLAAWFRLKYPHLSIGALASSAPILYFDNITSPYAYNDIITQDFRSESEDCYKVIKGSWQLIQDTAKQAGGLETLRKYFRICKNYIGVDILEQWLNTAYSYTAMTDYPTASNFLNPLPPYPVKQMCKAIDDPRHGSNTLEKLYAAVNIFYNYSGEVSCFDLINPPDPYGLSGWTWQACTEMIMPTDGNRKDSIFPASEWDYNKTAQLCKDIFHIDPRPNWITTEFGGYVHIMWTLDPQPVKIRNG >itb09g10690.t1 pep chromosome:ASM357664v1:9:6597656:6600796:1 gene:itb09g10690 transcript:itb09g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMWRCSRYLGRRRRSITTMADSRAAVGWSWWDHAEPLPKDPIKTVTDAFLSDPSPFKINLFVGTYRDAEGNPVVLDCVRKAAAKLAGCEFLDSISPEDTSKLVEECSKLAYGKDSGVVTEGRCAGIPALSGTGACRLFAELQRRFYPESKIYLPNPTWSNHHNIWRDAEVSRSTFRYYHPDTRRLHFQALMEDIKNAPSNSFFLLHPCAHNPTGIDPSAEQWEEISHVFKVKKHFPFFDMAYQGVATGDLERDARAIRIFLKDGHLLACAQSFSKIMGLYGHRVGCLSILCADDKQASIIKGQLNQIVSAMYSSPPVHGPLLVSTILNDPDLKAIWEEELKVMTDRFMTMRAALHRNLEALSSLSWEHIINQVGMFCFSGLSPKQVEQLRKDFQIYMPNNGRISMAGVTIKNVEYLANAIHKVTRSTH >itb02g01110.t1 pep chromosome:ASM357664v1:2:627900:629937:-1 gene:itb02g01110 transcript:itb02g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCRFGGVLAVICAAAFIAGGGAQPLVPAIITFGDSSVDVGNNNYVHTIFKADYPPYGRDFASHQPTGRFCNGKLATDITADTLGFSTYPVAYLSPQATGKNLLIGANFASAASGYDDKTAMLSHAIPLSQQLEYYKEYQGKLASVAGSKKAASIIKDAVYIVGFGSSDFLQNYYINPYLNKVYTPDQYGSYLVSIFNSFIKDLYGLGARKIGVTSLPPLGCLPAARTLFGFHQSGCVGYINTNAQQFNKKVNSAAAGLKKQYPDLKLVVFDIFQPLYDLIKSPSSSGFVEAARGCCGTGTVETTVLLCNPKSPGTCSNATQYVFWDSVHPSEAANQVLADALITQGIDLIG >itb11g03360.t2 pep chromosome:ASM357664v1:11:1759368:1763268:-1 gene:itb11g03360 transcript:itb11g03360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATIGALSSPYTGGVGERIDGDVSKASFRRLSFASSHLSGDKLMPLPPRRLRSGGKSSEVRTAPFIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDQRAKEMPYIASMGIYVVSKDVMVNLLRQKFPGANDFGSEVIPGATSIGLRVQAYLFDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRLLAAKGSVPIGIGRNSHIKRAIIDKNARIGNDVKVQYHIVFCSSPLFAFKNLAINLVN >itb11g03360.t1 pep chromosome:ASM357664v1:11:1759368:1763268:-1 gene:itb11g03360 transcript:itb11g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATIGALSSPYTGGVGERIDGDVSKASFRRLSFASSHLSGDKLMPLPPRRLRSGGKSSEVRTAPFIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDQRAKEMPYIASMGIYVVSKDVMVNLLRQKFPGANDFGSEVIPGATSIGLRVQAYLFDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRLLAAKGSVPIGIGRNSHIKRAIIDKNARIGNDVKIINNDNVQEAARETEGYFIKSGIVTIIKDALIPSGTII >itb11g03360.t3 pep chromosome:ASM357664v1:11:1760110:1763268:-1 gene:itb11g03360 transcript:itb11g03360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATIGALSSPYTGGVGERIDGDVSKASFRRLSFASSHLSGDKLMPLPPRRLRSGGKSSEVRTAPFIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDQRAKEMPYIASMGIYVVSKDVMVNLLRQKFPGANDFGSEVIPGATSIGLRVQAYLFDGYWEDIGTIEAFYNANLGITKKPVPDFRQRYW >itb05g00750.t1 pep chromosome:ASM357664v1:5:644501:647366:-1 gene:itb05g00750 transcript:itb05g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKAPAAEAPAAAAEEVVVSDVPVAEKPEAPAVVEKEAEPEAAGEAAVEGEKEKNGVAESTSFKEESNKVEELPNPEKKALDEFKQLIQEALNKHEFTAPPPPPPTAAPAKEEEEKKPAEEEPKSETKETEAPAVAEESPAAVPEEPRAAVPEPETAPKEEEEEKAAPAPPPPPPTEETPAEPAVVVVDEKAAPPAEEIKETIVEVAAAAPPAEDPATADAAADCGAVEEVPPPVPEEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFTMLKSVVAWRKEFKIEELLEESELGQGLDKVVYMHGVDKEGHPICYNSFGEFQDKELYQSTFSDKEKLNKFLRWRIQFMEKSIRNLDFSPDGICTFVQVIDLKNSPGLFFFKKELRQATNRALQLLQDNYPEFVAKQVFVNVPWWYPSYYRMINALFTTRTKSKFVFAGPSKSAETLFKYIAPEQVPVQYGGLSREGDYEFTTADPAIEDTIKPTCKHTIELPVTENCTLVWEARVVGWDISYGAEFVPADEGAYTIIVEKSRKIGSADETIIGNTYKAESAGKVVLTFDNQTSKRKKLLYRSKTKAL >itb02g04580.t2 pep chromosome:ASM357664v1:2:2706886:2732440:-1 gene:itb02g04580 transcript:itb02g04580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MANPVESLVSIDSLVPTSSTKRRVQIFRDEIPSIISGSEMTTEMASVLVDLIFKTLFVYDDRGSVKAVDDVVIKSLRQVAFMKSFAATLVQVMEKNLKFQSHVGCHRLLKWSCLLLTESEFTSASKNAFCRVAQVQASILHIGMQGSLRVRRACKQTFFFLFSKLPDIYGSYMEELRDSRISCKDCPEIVLLMLEYSTLNPSLFEKWKQVFLDMYTKVVLNAREKPPRGLSEAFFPLFTHLSHEDFKSTVIPLSVKMLKRNPELVLESVAILLQSANLDLSKYAVEMLAVVLTQARHTDEGRKTTALSIIRCLSTKSSSPDALEEMFKAVKSVIGGSEGRLTFPYQRVGMINALQEMSNASEGKYLTSLSKTICSFLLSCYKDDGNEEVKLAILSCFASWAARCADAIDQGVVSFISNGLKEKEMLRKGHLRCVRLICKNIDAVPRISSLLAPLLQLVKTGFTKVAQRLDGIYALFCVAKIAAIDVKADETVMKEKIWALISQNEPSLVPITMASKLPIEDCMACHDLFEALLIDHPQRMLETFPVSTFVQFILFVLCHPSWAVRRAAYNSVKKIIAAIPQLSEAIMLEFSNYLSAVGEKVLLRTSDTDSLPDNQVPVLPSVEVLVKALVVLSPATLSAVPHVCKQILFCSHHPCLIGSAKRNAVWRRVQKCLQRQGFDVVHLLSTDVAGLCQGLLGSRGLMSQNHFEQEAAINSFSTLMSIIPGETYAEFVKNFNDLPDRHAHDMFSENDIQIFRTPEGTLSTEQGVYIAESISAKNTKQPKGRFRAYDSNDDLDKGNSNRLPRRELSSKDATVLGKKDGGKSTKKSDKDKDKGKTAKEEARDMQLREEACIRESVMIVQNHLSSMLKALGEMAIANRVFTHSQLPYLFKLIDPLLCSPIVGDVAYDALVKLSNCVASPLSNWALEIATALRLIRTEDANVLWALFPSASEEANEKPGLFERVVNGLSFSCKSGSLPVDSFTFIFPIMERILLSSKKMRLHEDVLQIIFLHLDPVLPLPRVRMLSVLYHVLGVVPAYQASIGPSLNELCLGLSAAEVAPALSGVYAKDVHVRMACLNAVKCVPALAGHSIPENIEVATSIWLALHDPEKSVAEAAEDIWDHYGYDLGTDYSGIFKALSNINFNVRVAAAEALAAALDENPDTIQESLSTLFSLYIRDAGFSEDTIDAGWIGRQGIALALHSVADVLRTKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGNDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMQSKQEDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFKISCIKKYGIITTLREGFLDRNSAKSREGALLAFECLCETLGKLFEPYVIQMLPLLLVSFSDQVVAVREAAECAARAMMSQLTAQGVKLILPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISALVPTLLMGLTEPNEHTKYSLDILLQTTFVNTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLLDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLLDTLKSDGSNVERSGAAQGLGEVLAALGIEYFETILPDIIRNCSHQKASVRDGYLTLFRYLPRSLGVQFQNYLQQVLPAIIDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEEGIFNDNWRIRQSSVELLGDLLFKVAGTSGKAHLEGGSDDEGSSTEAHGRAIIEVLGREKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMSTLISSLASSSSERRQVAGRALGELVRKLGERVLPLIIPILSKGLNDPNPGRRQGVCIGLSEVMASAGKSQLLSFMDKLIPTIRTALCDSMPEVRESAGLAFSTLYKTAGMQAIDEIVPTLLHALEDDQTSDTALDGLKQILSVRTSAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLDVHLGTILPALLTAMGYTDMEVQSLAKKAAETVVTVIDEEGIESLLSELLKGVGDSQASIRQSSAYLIGYLFKTSDLYLVDEAPNVISTLIILLSDSDSATVTVAWEALSSVISSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVLIPGFCLRKALQPVLPIFLQGLISGSAELREQAALGLGELIEVTSEQALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIIIRKGGLALKPFLPQLQTTFVKCLQDNTRTVRSSAALALGKLSALTTRIDPLVGDLLSALQASDVGIREAILTALKGVIKHAGKSVSSASRTRVYTLLKDLIYNDDDQIRVCAANILGIISQYLEDEEVLDLLNEITNSASSSTWCTRHGAVLTISSMLKHSPAIICTSSSYGAVVNCLKSSLKDEKFPIRETSTRAFGRLLYHQIQSDSSNTSSHLEILGSIVSAMQDDSSEVRRRALSSLKMAAKSNPSAVMIHVSKYGPVLAECMKDASTPVRVAAERCALHAFQLTKSADNVQAAQKFITGLDARRIAKLPEYSDVSEDSDIDTSSG >itb02g04580.t1 pep chromosome:ASM357664v1:2:2706886:2732440:-1 gene:itb02g04580 transcript:itb02g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MANPVESLVSIDSLVPTSSTKRRVQIFRDEIPSIISGSEMTTEMASVLVDLIFKTLFVYDDRGSVKAVDDVVIKSLRQVAFMKSFAATLVQVMEKNLKFQSHVGCHRLLKWSCLLLTESEFTSASKNAFCRVAQVQASILHIGMQGSLRVRRACKQTFFFLFSKLPDIYGSYMEELRDSRISCKDCPEIVLLMLEYSTLNPSLFEKWKQVFLDMYTKVVLNAREKPPRGLSEAFFPLFTHLSHEDFKSTVIPLSVKMLKRNPELVLESVAILLQSANLDLSKYAVEMLAVVLTQARHTDEGRKTTALSIIRCLSTKSSSPDALEEMFKAVKSVIGGSEGRLTFPYQRVGMINALQEMSNASEGKYLTSLSKTICSFLLSCYKDDGNEEVKLAILSCFASWAARCADAIDQGVVSFISNGLKEKEMLRKGHLRCVRLICKNIDAVPRISSLLAPLLQLVKTGFTKVAQRLDGIYALFCVAKIAAIDVKADETVMKEKIWALISQNEPSLVPITMASKLPIEDCMACHDLFEALLIDHPQRMLETFPVSTFVQFILFVLCHPSWAVRRAAYNSVKKIIAAIPQLSEAIMLEFSNYLSAVGEKVLLRTSDTDSLPDNQVPVLPSVEVLVKALVVLSPATLSAVPHVCKQILFCSHHPCLIGSAKRNAVWRRVQKCLQRQGFDVVHLLSTDVAGLCQGLLGSRGLMSQNHFEQEAAINSFSTLMSIIPGETYAEFVKNFNDLPDRHAHDMFSENDIQIFRTPEGTLSTEQGVYIAESISAKNTKQPKGRFRAYDSNDDLDKGNSNRLPRRELSSKDATVLGKKDGGKSTKKSDKDKDKGKTAKEEARDMQLREEACIRESVMIVQNHLSSMLKALGEMAIANRVFTHSQLPYLFKLIDPLLCSPIVGDVAYDALVKLSNCVASPLSNWALEIATALRLIRTEDANVLWALFPSASEEANEKPGLFERVVNGLSFSCKSGSLPVDSFTFIFPIMERILLSSKKMRLHEDVLQIIFLHLDPVLPLPRVRMLSVLYHVLGVVPAYQASIGPSLNELCLGLSAAEVAPALSGVYAKDVHVRMACLNAVKCVPALAGHSIPENIEVATSIWLALHDPEKSVAEAAEDIWDHYGYDLGTDYSGIFKALSNINFNVRVAAAEALAAALDENPDTIQESLSTLFSLYIRDAGFSEDTIDAGWIGRQGIALALHSVADVLRTKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGNDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMQSKQEDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFKISCIKKYGIITTLREGFLDRNSAKSREGALLAFECLCETLGKLFEPYVIQMLPLLLVSFSDQVVAVREAAECAARAMMSQLTAQGVKLILPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISALVPTLLMGLTEPNEHTKYSLDILLQTTFVNTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLLDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLLDTLKSDGSNVERSGAAQGLGEVLAALGIEYFETILPDIIRNCSHQKASVRDGYLTLFRYLPRSLGVQFQNYLQQVLPAIIDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEEGIFNDNWRIRQSSVELLGDLLFKVAGTSGKAHLEGGSDDEGSSTEAHGRAIIEVLGREKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMSTLISSLASSSSERRQVAGRALGELVRKLGERVLPLIIPILSKGLNDPNPGRRQGVCIGLSEVMASAGKSQLLSFMDKLIPTIRTALCDSMPEVRESAGLAFSTLYKTAGMQAIDEIVPTLLHALEDDQTSDTALDGLKQILSVRTSAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLDVHLGTILPALLTAMGYTDMEVQSLAKKAAETVVTVIDEEGIESLLSELLKGVGDSQASIRQSSAYLIGYLFKTSDLYLVDEAPNVISTLIILLSDSDSATVTVAWEALSSVISSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVLIPGFCLRKALQPVLPIFLQGLISGSAELREQAALGLGELIEVTSEQALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIIIRKGGLALKPFLPQLQTTFVKCLQDNTRTVRSSAALALGKLSALTTRIDPLVGDLLSALQQASDVGIREAILTALKGVIKHAGKSVSSASRTRVYTLLKDLIYNDDDQIRVCAANILGIISQYLEDEEVLDLLNEITNSASSSTWCTRHGAVLTISSMLKHSPAIICTSSSYGAVVNCLKSSLKDEKFPIRETSTRAFGRLLYHQIQSDSSNTSSHLEILGSIVSAMQDDSSEVRRRALSSLKMAAKSNPSAVMIHVSKYGPVLAECMKDASTPVRVAAERCALHAFQLTKSADNVQAAQKFITGLDARRIAKLPEYSDVSEDSDIDTSSG >itb11g02020.t1 pep chromosome:ASM357664v1:11:1014008:1016599:-1 gene:itb11g02020 transcript:itb11g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRAPLIIAFAISCFGCFCAATLPASDHHFPDPHTKFIINVDDFGTRGDGITDDSEAFENAWHKFCQTKQGVLLIPRRTYLLKPVFFSGPCNPNLKMKIRGTIKASRNKDVYKITERRNWILFRHLQHFRVDGGGVIDGNGEIWWKQSCNFLKREPCDNGQVPLAVSFENCSNLKVKNLRLKNSQKMHLTFNESRVIEVSKLKIEAPSNSPNTDGIHITMTKDIHIKNSVIRTGDDCISIVNRSMNVRVSGIVCGLGHGISIGSLGRLGNQETEYVSDVVVNRSRLIGTKNGVRIKTWQGGHGDANNIVFENILMQNVTNPIIIDQFYCEKSKVKPEPCPEQKEAVKISKVVYRNIRGTSAEDLAITFNCSKNVPCQGIVLDNVRLTSSGEAKNIKASCSQVEYITKGDVFPSCNYTDSIN >itb11g02020.t2 pep chromosome:ASM357664v1:11:1014008:1016599:-1 gene:itb11g02020 transcript:itb11g02020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRAPLIIAFAISCFGCFCAATLPASDHHFPDPHTKFIINVDDFGTRGDGITDDSEGVLLIPRRTYLLKPVFFSGPCNPNLKMKIRGTIKASRNKDVYKITERRNWILFRHLQHFRVDGGGVIDGNGEIWWKQSCNFLKREPCDNGQVPLAVSFENCSNLKVKNLRLKNSQKMHLTFNESRVIEVSKLKIEAPSNSPNTDGIHITMTKDIHIKNSVIRTGDDCISIVNRSMNVRVSGIVCGLGHGISIGSLGRLGNQETEYVSDVVVNRSRLIGTKNGVRIKTWQGGHGDANNIVFENILMQNVTNPIIIDQFYCEKSKVKPEPCPEQKEAVKISKVVYRNIRGTSAEDLAITFNCSKNVPCQGIVLDNVRLTSSGEAKNIKASCSQVEYITKGDVFPSCNYTDSIN >itb06g09760.t1 pep chromosome:ASM357664v1:6:13999881:14000348:-1 gene:itb06g09760 transcript:itb06g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILITSGHDISEERIWVLNSFYSAASLEMLWIPIVDDHEAWTNEQFENLVVKMRFLSMDDPRKQIAQRFIRFVDQNFSSTFHIGKEPVIISLDKQGKIIHSNAMHMMLIWDPRNIEGQSMRIQQRDNIIPFIEKEMKERTQGIDDSLMTDIDD >itb04g02070.t1 pep chromosome:ASM357664v1:4:1276600:1278158:-1 gene:itb04g02070 transcript:itb04g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGDNGKGTVCVTGGTGFLASWLIMKLLEDGYSVNTTVRSLAIDLSYLTRLPGASERLRVFEADLGRPESFQAAVEKCVGVFHVAHPMDFGEGSEEIEEAKIECAVNGTLGVLRAAVGSKTVKRVVVTSSRLAVVFNGDGGSAVVDERSWTDVGFVKESKPFGASYVISKTLAEKAALEFGEKYEVDVVTVITSWIHGPFLSPQFPETLRPAMSMVLGGDQANVMKYPSLTPFVHVDDAASAHIFLMEHRNAKGRYICSSLGITPQDLHKFLSTRYPQYHLPYSYSLEDMGGYFKHPILSSKKLLDMGFKFKYGLEEMFDGAIESCRRVGLL >itb02g06960.t1 pep chromosome:ASM357664v1:2:4345035:4345880:1 gene:itb02g06960 transcript:itb02g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRTLMMSLAAISMVVGSSMAANHTVGGPNGGWDTSTDLQSWASSETFTVGDNLIFTYSPMHDVNEVSKTGYDSCTSANPLSTNSGGNTVVPLTAAGKRYFICGTMGHCSMGMKLQVNVLAAAAPPPAVSTTAPTPSESSVPSSAPSPSATAPVNSPAPEIAPALPAASPSSSKATAKSPAALSPVAEDPSTAAAASPPALDFPRTISPAPAGGDRESQLPPLPSSANKVNVVAAAAFAFIFFMFAL >itb03g09210.t1 pep chromosome:ASM357664v1:3:7084618:7087070:-1 gene:itb03g09210 transcript:itb03g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELGRTTQMASSSSMSKSRGKTAVFSDAFLCTGGTLVAFLLLWGVWAFVAPNPNFNGGFPGKMSAVPGAGDCSYAQGFNLRDDPPENNFYDDPDLKYTIEKPVKNWDEKRKAWLEQHPSFIPGSANRVLVVTGSQPSPCKNPVGDNLLLRLFKNKVDYCRLHGYDIFYNTVLLHPKMFSYWAKVPTVRAAMLAHPEAEWIWWVDSDAAFTDMDFKLPLHRYKNHNLVVHGWLNMIFEKKSWTSVNAGVFLIRNCQWAMDFMDVWASMGPHSPQYDHWGNTLRKTFTDKIFPESDDQSGLIYLILKEQEKWGNKIYVESDYYFEGYWVEIVGKLDNITDMYLGIEKTAAGKKLRRRHAEKVSESFADKWEEHLKNAGQGRSGWRRPFITHFTGCQPCSGDHNEMYSAETCADAMHKALNFADNQVLRNYGFMHRDLLDTASVVPLPYDFPK >itb10g21860.t1 pep chromosome:ASM357664v1:10:26905759:26914311:-1 gene:itb10g21860 transcript:itb10g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGFHGGEGESSEHDKVKVNPGKHPSLTWQRKLDTVHTALSEFNISVKEMVAMAPIGYRLWRRLQERKGKEGLFVDPFTRRPFSSCQGVPLGGMGAGSIGRSYKGEFLRWQFFPRICEDKPVLANQFSVFVSRLNDKKYSTVLCPRSPEVLDDTSASGIGSWDWNLGGRNSTYHALFPRAWTIYDGEPDPGLKITCRQISPFIPHNYKDSSLPTAVFTFTISNLEQTPADVTLLFTWANSVGGDSGYSGNHFNSKFRMENGTRGVLLHHLTANGLPSVNFAIAAEENDLVHVSECLSFVISGESQGITAKDMWSEIKEYGSFDRLKSEEISAPSEPGTVIGAAIAASLTIPAEKEHNVTFALAWACPEINFPSGRTYHRRYTKFYGTLGHAAANIAHDALHEHSQWESQIEKWQKPILEDKRNPEWYPITLFNELYYLNSGGTIWTDGSPPVHSLSTIGDRKFSIDRSNSDTKNTGEQSHPNDTAILILERMTSVPQQIQTPLTVNAAFGTNLLRKGEENIGQFLYLEGSEYLMCNTYDVHFYASFALVMLFPKLELSIQRDYAAAVMMHDPSKMALLHDGKLASRKVLGAVPHDIGMNDPWFEVNFYNLHNTDRWKDLNSKFVLQVYRNVVATGDKKFAEAVWPSVYMAIAYMDQFDKDGDGMIENEGFPDQTYDVWSVSGVSAYCGGLWVAALQAASALAREVGDKGSEDYFWFKFQKAKKAYQKLWNGSYFNYDDCGGRVSSSIQADQLAGQWYARACGLEPIVDEQQARTALETIFNFNVLKVKDGRRGAVNGMQPNGEVDLSCMQAREVWSGVTYAVAAGMIHEDMVDLAFKTASGVYETVWSEKGFGYAFQTPEGWTTEGRYRSLAYMRPLAIWAMQWALTQPKPSKQEVKPEIKEACVHKQHSGFLRVARLLKLPDERDTRSVFQVLFDYTCKRMAT >itb10g21860.t2 pep chromosome:ASM357664v1:10:26905817:26914310:-1 gene:itb10g21860 transcript:itb10g21860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGFHGGEGESSEHDKVKVNPGKHPSLTWQRKLDTVHTALSEFNISVKEMVAMAPIGYRLWRRLQERKGKEGLFVDPFTRRPFSSCQGVPLGGMGAGSIGRSYKGEFLRWQFFPRICEDKPVLANQFSVFVSRLNDKKYSTVLCPRSPEVLDDTSASGIGSWDWNLGGRNSTYHALFPRAWTIYDGEPDPGLKITCRQISPFIPHNYKDSSLPTAVFTFTISNLEQTPADVTLLFTWANSVGGDSGYSGNHFNSKFRMENGTRGVLLHHLTANGLPSVNFAIAAEENDLVHVSECLSFVISGESQGITAKDMWSEIKEYGSFDRLKSEEISAPSEPGTVIGAAIAASLTIPAEKEHNVTFALAWACPEINFPSGRTYHRRYTKFYGTLGHAAANIAHDALHEHSQWESQIEKWQKPILEDKRNPEWYPITLFNELYYLNSGGTIWTDGSPPVHSLSTIGDRKFSIDRSNSDTKNTGEQSHPNDTAILILERMTSVPQQIQTPLTVNAAFGTNLLRKGEENIGQFLYLEGSEYLMCNTYDVHFYASFALVMLFPKLELSIQRDYAAAVMMHDPSKMALLHDGKLASRKVLGAVPHDIGMNDPWFEVNFYNLHNTDRWKDLNSKFVLQVYRNVVATGDKKFAEAVWPSVYMAIAYMDQFDKDGDGMIENEGFPDQTYDVWSVSGVSAYCGGLWVAALQAASALAREVGDKGSEDYFWFKFQKAKKAYQKLWNGSYFNYDDCGGRVSSSIQADQLAGQWYARACGLEPIVDEQQARTALETIFNFNVLKVKDGRRGAVNGMQPNGEVDLSCMQAREVWSGVTYAVAAGMIHEDMVDLAFKTASGVYETVWSEKGFGYAFQTPEGWTTEGRYRSLAYMRPLAIWAMQWALTQPKPSKQEVKPEIKEACVHKQHSGFLRVARLLKLPDERDTRSVFQVLFDYTCKRMAT >itb08g08410.t1 pep chromosome:ASM357664v1:8:7377470:7379140:-1 gene:itb08g08410 transcript:itb08g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIATLLIILPLGYAQGFINNAHALSPNSPPSSPPLAPALFVIGDSSVDCGNHTFLGKFSRADRLPYGRDFDTRQPTGRFCNGRIPVDYLALNLGLPFVPGYHGKPDSADDLIQGVNYASAEASIILSSGSELYSSLTQQIQRATETFQQIKLSMGEEAGARLISNSIFYISIGTKDYIRYYHHNASDPQSLYLPWSFNQLLIQGLKQEIVNLYDADVRKVVVMGLGPMGCAPYYIWRYRNKPGHCVEIMNDMIVEFNLALRYTIDELGQELPDAKIIFCDAFQSSMEIIENLHRYGFSVTEEACCGFGRHRAWIACASPEMACSNASNHIWWDQFHPTDAVNAILADNIWSGLHSTICYPMNLLDMI >itb13g21300.t1 pep chromosome:ASM357664v1:13:27924608:27928046:-1 gene:itb13g21300 transcript:itb13g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICSQVSSVTDFHHTKPLKLDEAVETKDSSKSAIRWETGTGFDDDSSDDEFGVCPQEDEELAELCDFALSFCETRHLCPQEDEELADFALSLCETQHVSSVTESDHTKAKLKLDDGDKDEAEETKDTLKSPKQGEAGTRYDDDSSDDDLSGPELSSQEVEELVELCDFALSLYETQHPGECWKFESGYGGKRWHTLFHQYLLEFFGKKADGSSLRNFVVLAICSDFYYGRDKFRVDQCVLVKDDEVEPMDENIKERVSMESGRIFPLSHEKLQQHNEKFVEFCKSALTYYEERHVGEAYEFVEIQTARRSIIRGIFIFHAKKKADGTLTTFKAYTHPLVQGMEIDVVGSFEQYFRRY >itb13g21300.t2 pep chromosome:ASM357664v1:13:27924608:27928046:-1 gene:itb13g21300 transcript:itb13g21300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWRRKTLRSPLYDGKRGVCPQEDEELAELCDFALSFCETRHLCPQEDEELADFALSLCETQHVSSVTESDHTKAKLKLDDGDKDEAEETKDTLKSPKQGEAGTRYDDDSSDDDLSGPELSSQEVEELVELCDFALSLYETQHPGECWKFESGYGGKRWHTLFHQYLLEFFGKKADGSSLRNFVVLAICSDFYYGRDKFRVDQCVLVKDDEVEPMDENIKERVSMESGRIFPLSHEKLQQHNEKFVEFCKSALTYYEERHVGEAYEFVEIQTARRSIIRGIFIFHAKKKADGTLTTFKAYTHPLVQGMEIDVVGSFEQYFRRY >itb13g21300.t4 pep chromosome:ASM357664v1:13:27924553:27928046:-1 gene:itb13g21300 transcript:itb13g21300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICSQVSSVTDFHHTKPLKLDEAVETKDSSKSAIRWETGTGFDDDSSDDEFGVCPQEDEELAELCDFALSFCETRHLCPQEDEELADFALSLCETQHVSSVTESDHTKAKLKLDDGDKDEAEETKDTLKSPKQGEAGTRYDDDSSDDDLSGPELSSQEVEELVELCDFALSLYETQHVCMHLYAI >itb13g21300.t3 pep chromosome:ASM357664v1:13:27924553:27928046:-1 gene:itb13g21300 transcript:itb13g21300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICSQVSSVTDFHHTKPLKLDEAVETKDSSKSAIRWETGTGFDDDSSDDEFGVCPQEDEELAELCDFALSFCETRHLCPQEDEELADFALSLCETQHVSSVTESDHTKAKLKLDDGDKDEAEETKDTLKSPKQGEAGTRYDDDSSDDDLSGPELSSQEVEELVELCDFALSLYETQHPGECWKFESGYGGKRWHTLFHQYLLEFFGKKADGSSLRNFVVLAICSDFYYGRDKFRVDQCVLVKDDEVEPMDENV >itb05g11400.t1 pep chromosome:ASM357664v1:5:17478007:17478605:1 gene:itb05g11400 transcript:itb05g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPALCFYMKKRNNKKRSVQEIDFKHIDEHMRIQEAIVTDSHGRKKTVVLSINGDVHVDEDIIRREKEFNVGTSEVGSGDLKAGQHSSSGDVAKLEKS >itb01g35290.t4 pep chromosome:ASM357664v1:1:37567250:37573473:-1 gene:itb01g35290 transcript:itb01g35290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISTIMGFFGFGIGISIGLAIGYFMFIYFQPTDVKDPDIRPLAEKDSKSLQKLLPEIPLWVKNPDFDRLDWLNKFIELMWPYLDKAICKQVKQIATPIIAEQIPKYKIESVEFEALTLGCLPPTFQGMKVYSTEEKELIMELGLKWAGNPNILVAIKAFGLKATAQVLDLQVFACPRITLKPLVPAFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPIMDPSKASKRPVGILHVKVVKAMNLKKKDLLGSSDPYVKLKLTEDKLPRKKTSVKQRNLNPEWNEEFNFVVKDPQSQALDISVYDWEQIGTNEMMGINIVPLKDLTPEEPKVLTLNLLKTLDPNDPQNEKSRGQIVLEVMYKPFTDEEKANDADESGGEVEKAPEGTPAGGGLLVVIVHEAQDLEGKHHTNPFVRLLFKGEEKKTKTIKKNRDPRWEEDFQFMLEEPPTNDRLHVEVFSNSSRIGLLHPKESLGYVDIALADVVTNKRINERYHLIDSKNGRIQIELQWRTAAASS >itb01g35290.t1 pep chromosome:ASM357664v1:1:37567183:37573473:-1 gene:itb01g35290 transcript:itb01g35290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISTIMGFFGFGIGISIGLAIGYFMFIYFQPTDVKDPDIRPLAEKDSKSLQKLLPEIPLWVKNPDFDRLDWLNKFIELMWPYLDKAICKQVKQIATPIIAEQIPKYKIESVEFEALTLGCLPPTFQGMKVYSTEEKELIMELGLKWAGNPNILVAIKAFGLKATAQVLDLQVFACPRITLKPLVPAFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPIMDPSKASKRPVGILHVKVVKAMNLKKKDLLGSSDPYVKLKLTEDKLPRKKTSVKQRNLNPEWNEEFNFVVKDPQSQALDISVYDWEQIGTNEMMGINIVPLKDLTPEEPKVLTLNLLKTLDPNDPQNEKSRGQIVLEVMYKPFTDEEKANDADESGGEVEKAPEGTPAGGGLLVVIVHEAQDLEGKHHTNPFVRLLFKGEEKKTKTIKKNRDPRWEEDFQFMLEEPPTNDRLHVEVFSNSSRIGLLHPKESLGYVDIALADVVTNKRINERYHLIDSKNGRIQIELQWRTAAASS >itb01g35290.t2 pep chromosome:ASM357664v1:1:37567183:37573473:-1 gene:itb01g35290 transcript:itb01g35290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISTIMGFFGFGIGISIGLAIGYFMFIYFQPTDVKDPDIRPLAEKDSKSLQKLLPEIPLWVKNPDFDRLDWLNKFIELMWPYLDKAICKQVKQIATPIIAEQIPKYKIESVEFEALTLGCLPPTFQGMKVYSTEEKELIMELGLKWAGNPNILVAIKAFGLKATAQVLDLQVFACPRITLKPLVPAFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPIMDPSKASKRPVGILHVKVVKAMNLKKKDLLGSSDPYVKLKLTEDKLPRKKTSVKQRNLNPEWNEEFNFVVKDPQSQALDISVYDWEQIGTNEMMGINIVPLKDLTPEEPKVLTLNLLKTLDPNDPQNEKSRGQIVLEVMYKPFTDEEKANDADESGGEVEKAPEGTPAGGGLLVVIVHEAQDLEGKHHTNPFVRLLFKGEEKKTKTIKKNRDPRWEEDFQFMLEEPPTNDRLHVEVFSNSSRIGLLHPKESLGYVDIALADVVTNKRINERYHLIDSKNGRIQIELQWRTAAASS >itb01g35290.t5 pep chromosome:ASM357664v1:1:37567215:37573473:-1 gene:itb01g35290 transcript:itb01g35290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISTIMGFFGFGIGISIGLAIGYFMFIYFQPTDVKDPDIRPLAEKDSKSLQKLLPEIPLWVKNPDFDRLDWLNKFIELMWPYLDKAICKQVKQIATPIIAEQIPKYKIESVEFEALTLGCLPPTFQGMKVYSTEEKELIMELGLKWAGNPNILVAIKAFGLKATAQVLDLQVFACPRITLKPLVPAFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPIMDPSKASKRPVGILHVKVVKAMNLKKKDLLGSSDPYVKLKLTEDKLPRKKTSVKQRNLNPEWNEEFNFVVKDPQSQALDISVYDWEQIGTNEMMGINIVPLKDLTPEEPKVLTLNLLKTLDPNDPQNEKSRGQIVLEVMYKPFTDEEKANDADESGGEVEKAPEGTPAGGGLLVVIVHEAQDLEGKHHTNPFVRLLFKGEEKKTKTIKKNRDPRWEEDFQFMLEEPPTNDRLHVEVFSNSSRIGLLHPKESLGYVDIALADVVTNKRINERYHLIDSKNGRIQIELQWRTAAASS >itb01g35290.t3 pep chromosome:ASM357664v1:1:37567183:37573241:-1 gene:itb01g35290 transcript:itb01g35290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISTIMGFFGFGIGISIGLAIGYFMFIYFQPTDVKDPDIRPLAEKDSKSLQKLLPEIPLWVKNPDFDRLDWLNKFIELMWPYLDKAICKQVKQIATPIIAEQIPKYKIESVEFEALTLGCLPPTFQGMKVYSTEEKELIMELGLKWAGNPNILVAIKAFGLKATAQVLDLQVFACPRITLKPLVPAFPCFAKILVSLMEKPHVDFGLKLLGADAMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPIMDPSKASKRPVGILHVKVVKAMNLKKKDLLGSSDPYVKLKLTEDKLPRKKTSVKQRNLNPEWNEEFNFVVKDPQSQALDISVYDWEQIGTNEMMGINIVPLKDLTPEEPKVLTLNLLKTLDPNDPQNEKSRGQIVLEVMYKPFTDEEKANDADESGGEVEKAPEGTPAGGGLLVVIVHEAQDLEGKHHTNPFVRLLFKGEEKKTKTIKKNRDPRWEEDFQFMLEEPPTNDRLHVEVFSNSSRIGLLHPKESLGYVDIALADVVTNKRINERYHLIDSKNGRIQIELQWRTAAASS >itb07g06480.t1 pep chromosome:ASM357664v1:7:4616359:4619695:1 gene:itb07g06480 transcript:itb07g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRAMGFTPYGVYWRTLRKIADNHLFSQRQIRASETRRREISDQMVSAIPNFDGGEFQYDEVEEVNELVQEGYGILAQLNLSDHLPLLANFYVQKVRRRCSALMPKVNRLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLNALPKYKFHLNEDVENPNVSAGGRMVPIDTGSGYLANERVLLMEDAECCICLCPYEDGVELHALPCNHHFHTTCIVKWLKMNATCPLCKFNILKGNEQV >itb11g03270.t4 pep chromosome:ASM357664v1:11:1692917:1697848:1 gene:itb11g03270 transcript:itb11g03270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTVQNPMFSVLLMCLMISLAECVNEEGAILLEFRRSLTDPSNNLHWNSSDLNPCGWNGVACNDDHQVTSVYLSGLNLSGTLSSSICELPALVEFNISKNFISGYIPGFVHCKNLEVLDLCTNRFHDEFPSQLSYLTSLRELYLCENYINGEIPEEIGNLSFLEELVIYSNNITGRIPSSISRLKRLRIIRAGRNYLSGPIPAEMSECESLQILGLAENKLEGSFPSDLQRLKNLTHLILWANLLSGVIPPEIGNFSSLELLALHQNFFIGPLPREFGKLTELKRLYIYTNKLNGTIPWELGNCSSLVEIDFSENLLVGVIPNTLGRISNLRLLHLFENRLHGNIPRALGQLKRLQKLDLSINNFTGRIPLEFQNLPFLENLQLFDNNLEGSIPPLLGMKSKLAILDLSKNNLIGGIPPRLCVFQKLSFLSLGSNHLSGNIPYGLKTCKSLEQMMLGDNLLTGTLSVELCKLQNLSALELYQNRFTGLVPPEIGYLSKLERLLLSDNFFFGHIPREIGKLTKLVSFNVSSNRLSGDIPHELGDCIKLQRLDLSKNWFTGNLPDKLGMLVNLELLKLSDNRFNGKIPSTFGGLIRLTELQMGGNLFSGGIPIELGLLGALQISLNLSHNALSTSIPVSLGNLQMLESLYLNDNQLTGEIPGSLGGLMSLMVCNLSNNKLVGPIPDTPVFRRMDSSNFAGNVGLCRLDSSHCTPSLPITPHSSWINKGSNREKIVIIGSAVVGLVSIIFIVGICWVMRSHRRSFVSVEGEVKPDDVLNQYYFPKKGFTYQDLVVATEDFSDKAIIGRGACGIVYKAVMSDGEVIAVKKLKSRGEGASTDNSFLAELSTLGKISHRNIVKLHGFCYHQDCNLLLYEYMENGSLGEILHGSKDTCILDWNDRYKIALGAAEGLCYLQHDCKPQIIHRDIKSNNILLDETFEAHVGDFGLAKLIDIPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELITGRSPVQPLDHGGDLVTWVRRSVHERVPFSEIFDKRLGLGMERTIEEMSLVLKIALFCTNTSPLNRPSMREVVAMLIDAREATTNSLPSPTSETPLDEDHSNKVYMEP >itb11g03270.t3 pep chromosome:ASM357664v1:11:1692917:1697779:1 gene:itb11g03270 transcript:itb11g03270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAMMIIRELYLCENYINGEIPEEIGNLSFLEELVIYSNNITGRIPSSISRLKRLRIIRAGRNYLSGPIPAEMSECESLQILGLAENKLEGSFPSDLQRLKNLTHLILWANLLSGVIPPEIGNFSSLELLALHQNFFIGPLPREFGKLTELKRLYIYTNKLNGTIPWELGNCSSLVEIDFSENLLVGVIPNTLGRISNLRLLHLFENRLHGNIPRALGQLKRLQKLDLSINNFTGRIPLEFQNLPFLENLQLFDNNLEGSIPPLLGMKSKLAILDLSKNNLIGGIPPRLCVFQKLSFLSLGSNHLSGNIPYGLKTCKSLEQMMLGDNLLTGTLSVELCKLQNLSALELYQNRFTGLVPPEIGYLSKLERLLLSDNFFFGHIPREIGKLTKLVSFNVSSNRLSGDIPHELGDCIKLQRLDLSKNWFTGNLPDKLGMLVNLELLKLSDNRFNGKIPSTFGGLIRLTELQMGGNLFSGGIPIELGLLGALQISLNLSHNALSTSIPVSLGNLQMLESLYLNDNQLTGEIPGSLGGLMSLMVCNLSNNKLVGPIPDTPVFRRMDSSNFAGNVGLCRLDSSHCTPSLPITPHSSWINKGSNREKIVIIGSAVVGLVSIIFIVGICWVMRSHRRSFVSVEGEVKPDDVLNQYYFPKKGFTYQDLVVATEDFSDKAIIGRGACGIVYKAVMSDGEVIAVKKLKSRGEGASTDNSFLAELSTLGKISHRNIVKLHGFCYHQDCNLLLYEYMENGSLGEILHGSKDTCILDWNDRYKIALGAAEGLCYLQHDCKPQIIHRDIKSNNILLDETFEAHVGDFGLAKLIDIPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELITGRSPVQPLDHGGDLVTWVRRSVHERVPFSEIFDKRLGLGMERTIEEMSLVLKIALFCTNTSPLNRPSMREVVAMLIDAREATTNSLPSPTSETPLDEDHSNKVYMEP >itb11g03270.t1 pep chromosome:ASM357664v1:11:1692137:1697779:1 gene:itb11g03270 transcript:itb11g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAMMIIRELYLCENYINGEIPEEIGNLSFLEELVIYSNNITGRIPSSISRLKRLRIIRAGRNYLSGPIPAEMSECESLQILGLAENKLEGSFPSDLQRLKNLTHLILWANLLSGVIPPEIGNFSSLELLALHQNFFIGPLPREFGKLTELKRLYIYTNKLNGTIPWELGNCSSLVEIDFSENLLVGVIPNTLGRISNLRLLHLFENRLHGNIPRALGQLKRLQKLDLSINNFTGRIPLEFQNLPFLENLQLFDNNLEGSIPPLLGMKSKLAILDLSKNNLIGGIPPRLCVFQKLSFLSLGSNHLSGNIPYGLKTCKSLEQMMLGDNLLTGTLSVELCKLQNLSALELYQNRFTGLVPPEIGYLSKLERLLLSDNFFFGHIPREIGKLTKLVSFNVSSNRLSGDIPHELGDCIKLQRLDLSKNWFTGNLPDKLGMLVNLELLKLSDNRFNGKIPSTFGGLIRLTELQMGGNLFSGGIPIELGLLGALQISLNLSHNALSTSIPVSLGNLQMLESLYLNDNQLTGEIPGSLGGLMSLMVCNLSNNKLVGPIPDTPVFRRMDSSNFAGNVGLCRLDSSHCTPSLPITPHSSWINKGSNREKIVIIGSAVVGLVSIIFIVGICWVMRSHRRSFVSVEGEVKPDDVLNQYYFPKKGFTYQDLVVATEDFSDKAIIGRGACGIVYKAVMSDGEVIAVKKLKSRGEGASTDNSFLAELSTLGKISHRNIVKLHGFCYHQDCNLLLYEYMENGSLGEILHGSKDTCILDWNDRYKIALGAAEGLCYLQHDCKPQIIHRDIKSNNILLDETFEAHVGDFGLAKLIDIPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELITGRSPVQPLDHGGDLVTWVRRSVHERVPFSEIFDKRLGLGMERTIEEMSLVLKIALFCTNTSPLNRPSMREVVAMLIDAREATTNSLPSPTSETPLDEDHSNKVYMEP >itb11g03270.t2 pep chromosome:ASM357664v1:11:1692137:1697779:1 gene:itb11g03270 transcript:itb11g03270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRFHFTPPLTTREDFRATKSPRKSSLSRLRTHRGEKLKLDGDKTTPTKTRMLGPNPRIPKCVNEEGAILLEFRRSLTDPSNNLHWNSSDLNPCGWNGVACNDDHQVTSVYLSGLNLSGTLSSSICELPALVEFNISKNFISGYIPGFVHCKNLEVLDLCTNRFHDEFPSQLSYLTSLRELYLCENYINGEIPEEIGNLSFLEELVIYSNNITGRIPSSISRLKRLRIIRAGRNYLSGPIPAEMSECESLQILGLAENKLEGSFPSDLQRLKNLTHLILWANLLSGVIPPEIGNFSSLELLALHQNFFIGPLPREFGKLTELKRLYIYTNKLNGTIPWELGNCSSLVEIDFSENLLVGVIPNTLGRISNLRLLHLFENRLHGNIPRALGQLKRLQKLDLSINNFTGRIPLEFQNLPFLENLQLFDNNLEGSIPPLLGMKSKLAILDLSKNNLIGGIPPRLCVFQKLSFLSLGSNHLSGNIPYGLKTCKSLEQMMLGDNLLTGTLSVELCKLQNLSALELYQNRFTGLVPPEIGYLSKLERLLLSDNFFFGHIPREIGKLTKLVSFNVSSNRLSGDIPHELGDCIKLQRLDLSKNWFTGNLPDKLGMLVNLELLKLSDNRFNGKIPSTFGGLIRLTELQMGGNLFSGGIPIELGLLGALQISLNLSHNALSTSIPVSLGNLQMLESLYLNDNQLTGEIPGSLGGLMSLMVCNLSNNKLVGPIPDTPVFRRMDSSNFAGNVGLCRLDSSHCTPSLPITPHSSWINKGSNREKIVIIGSAVVGLVSIIFIVGICWVMRSHRRSFVSVEGEVKPDDVLNQYYFPKKGFTYQDLVVATEDFSDKAIIGRGACGIVYKAVMSDGEVIAVKKLKSRGEGASTDNSFLAELSTLGKISHRNIVKLHGFCYHQDCNLLLYEYMENGSLGEILHGSKDTCILDWNDRYKIALGAAEGLCYLQHDCKPQIIHRDIKSNNILLDETFEAHVGDFGLAKLIDIPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELITGRSPVQPLDHGGDLVTWVRRSVHERVPFSEIFDKRLGLGMERTIEEMSLVLKIALFCTNTSPLNRPSMREVVAMLIDAREATTNSLPSPTSETPLDEDHSNKVYMEP >itb12g21860.t1 pep chromosome:ASM357664v1:12:24070512:24072225:-1 gene:itb12g21860 transcript:itb12g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAMQTSVSHLPLSCRSLRYLKTLLLPPLREGYRSAQPIEDLTSSSDANLCSLEYLRAPYQSLQHLSLLANLDLQTGHGLLKYLDLSYSCFQSLPLNLCHLSQLEVLLLNNCQNLRVIKDLPPRLKCLQANRCPLLENIQDLPLSLVKLSTRYCPLLENVQDLSGLLRLHELYLYECSNLIELRGVESLVNLERIDINGCSTLSSKSWCVKLFKALLHNPNHQQFRMWVSNDMVSKDLCSNEVVGGCSSNYSLPLFLKKKGIFIGVNVYGRIDSQHEMNGRTELIAPHNDLSLECRVYDLLTEPNKFREVEELIEFYSSPVKTRELSSLLQTYVAYEEDDGGVYFIPINPKVVMKFEAECRDGEGREQQNEEGSSSSIWDVVFGCVGFCDTHN >itb15g02490.t1 pep chromosome:ASM357664v1:15:1501160:1502687:-1 gene:itb15g02490 transcript:itb15g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEWEVLPEDGFLQIHDDGAGKKIFSRKYGAAPTSHVFKMNYFVCPPPVNPANQFVETTTQLLPLPLPSVQFIGDHHQMDIAATEAEPDRVSQVFFKKIKETDFPSDMKLDSPKSNNSAVMPQVDAGEVYKADQAVEDDGDSSDHENGGPNLLNWSMTGVGAILSFGVAAVVSTVCILIAGNRQKHRKNHKLRFQIYTDEKRIKQMVHHATRLNQAISGVPITRAHITIGSYYDAL >itb01g20970.t1 pep chromosome:ASM357664v1:1:27135696:27136605:-1 gene:itb01g20970 transcript:itb01g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKVVIGISVKDQKARAKAFKIAVSLSGVDSACIQDEKGQLEVVGEVDAVALANQLRKRLGQAELVSVGSAEKKDETPKPQSVTFTYDPNNSYHHAIPYPYYPYPVVQDESGQCSVM >itb06g14500.t1 pep chromosome:ASM357664v1:6:19053515:19055463:-1 gene:itb06g14500 transcript:itb06g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRSSLSMAFSRFYALCIFASLFLSLMIRQSVSDSGSIGINYGRVADDLPSPAKVVQLLKSQGITRVKLYDTDSTVLDALSGSGIATTVALPNEKLSSAAAGQSFTDGWVQANIVPYKSIIDAVAVGNEVFVDPANTTSYLVPAMKNVYASLAKYGVASSVKVSSPIALSALQTSYPSSSGSFKPELVEPVIKPMLSFLKQTGSFLMVNAYPFFAYEANTDTISLDYALFRDNKGVVDANNGLVYKSLLEAQLDAVFAAMNAVNFGDVKVVVSETGWPSKGDEKEAGAGAANAAAYNGNLVRRVLTGGGTPLRPNEPLNVYLFALFNENQKPGPTSEKNYGLFYPNEQKVYDVPLTLAALANGPMNNGSKAQVVPPAPAPPAGGGDVSTTTAGQTWCVANGDVGTEKLQLALDYACGQGGADCRPIQPESTCYNPNTLVAHASYAFNSYYQKNSRASGSCYFGGAAYVVTQPPKFGSCEFPTGY >itb10g02270.t1 pep chromosome:ASM357664v1:10:1911544:1912172:-1 gene:itb10g02270 transcript:itb10g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANITLILDNEDDNEKMPLYSVGRSSSDEPVKSYARLEMFRQIGILSMCKRIEMKIQMMCKTCQSKLIILMFVQVFISFRFAEQSANQVLRSIARKIVSMSDCKGMVHHSIKSCCNVSRCALMN >itb08g02930.t1 pep chromosome:ASM357664v1:8:2494307:2497165:-1 gene:itb08g02930 transcript:itb08g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKSNAAADSPSPSPPPSSIAATARSGRSRVFQSSCLRSIDSDGDGSQIPKHQSEGNCSTTPTADENETGGEKNECYENVKAEQPDENHVNSDVELDEWHQSRFYDTVSRVGNSSSSSSSRAFSSRSSNLLNRFLPRLSFTPGNISFRLSRANDLEGQGAPSASSRSFVLSNDEDDLHLQSCSSGRFVNKSERSRSCDFFPVCFGNGSPTENQDFASNNGDNSLQNQHIASDQGLVRDNNDTIVDSDINLLSPESYADGIETRLSDRRSAPRAPDERNIQFSRTLSVGRLRGRVLRRSPFPEFRPFHREMEVRRASEGSRRQDLVGGIRQAISEDNDSITPSYSGHASSGASNSVYRILHHEVSRATYTIYHELLDHRSSFSERRRRIRSQVRALRRLRSRFENFSGHTRSCILSGQHRSGNCTCRRSTQANSENHNSIRASISRNLMLAEALLAVMLPIYQTLPSFF >itb14g02630.t1 pep chromosome:ASM357664v1:14:2254916:2258539:1 gene:itb14g02630 transcript:itb14g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSNNPNTKFTSKPTPFTPNSHTHHHHHTLNRIGFGFLSHTQYQNYPPLLPLPPTLPLPQNQSFRAKTHSQKPPFHHHNPPFATPSEPQLQHFSSAPASKAVLRNGGRKNGSQECSLMVARRPDCGGVEGAVISLLANHFLVRFDPSQQIFHYDVEISPSPSKEVARLMMKQNIVGSIASGFRPVYDGRRTIYSPVELQDDRIELYISLPIHNNKLALKDQHKMFRVNIKLVSKFDGTKLKSYLNNDGDNDDEGSPIPLPQEYLHALDVVLRESPTDKCLSSGRSFYSSSTGGAKEIGGGAVALRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLEKRLEFLHDLSQRKMRILRAEERKEVENALKNLRVFVCHRETVQRYRVYGLTQQLTEDLWFPDRDGTSLKLVDYFKDHYSYDIQFRNLPCLQTSRSKPCYLPMELCVICEGQKFLGKLSDDQTARILKMGCQRPRERKAIIDGVMTGPVGPTSGIQETQFKLGISREMTQLYGRILQPPKLKLGDGGQVRNLIPSRHDRQWNLMDSHVFEGTRVERWGLISFGGSIDQKANIPKFVNQLSQRCEQLGIFLNKNMVVSPQFEPMHVLNNVRQLESKLKKMYRSASNNLQLLICVMERKHRGYADLKRIAETSIGVVSQCCLYPNLGKLCSQFLANLALKINAKLGGCTVALYNSFPSQIPRLFQHDCPVIFMGADVTHPHPLDDSSPSIAAVVGSLNWPAANKYVSRMRSQTHRQEIIEDLSTMVGEILEDFLEELLVLPERIIFFRDGVSETQFSKILQHELQAIRGACSRFPGYKPPITFAVVQKRHHTRLFPSSPYVKNNENIPPGTVVDTVITHPREFDFYLCSHWGVKGTSRPIHYHILWDENHFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLERSDSNTFSTISRATPPKTIPLPKLTESIRKLMFYC >itb02g14150.t2 pep chromosome:ASM357664v1:2:10355814:10356577:-1 gene:itb02g14150 transcript:itb02g14150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNNTRRVYRGRQRIPLSRIENDTHRIVAFSKRRFGLFKKASEISTLCGVEILLVVFSPNKEKVYTFGSPNVNAVLDKYVAENENRTIEGNVTEELLLSQKEADIRLTNLQINVLEAAIQHEMKVTEAAKGMPSISNLPLADLLSMKQQMEILRSNVFQILNPHPTMPVQTQGMTTQSDDVNPSGATPF >itb02g14150.t1 pep chromosome:ASM357664v1:2:10355814:10356577:-1 gene:itb02g14150 transcript:itb02g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNNTRRVYRGRQRIPLSRIENDTHRIVAFSKRRFGLFKKASEISTLCGVEILLVVFSPNKEKVYTFGSPNVNAVLDKYVAENENRTIEGNVTEELLLSQKEADIRLTNLQINVLEAAIQHEMKVTEAAKDARHDYSV >itb04g24880.t1 pep chromosome:ASM357664v1:4:29610376:29613216:-1 gene:itb04g24880 transcript:itb04g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQARRPESGGQEPIKYGDVFDVSGQLAAKPITQQDAAAMQSAENTAFGQTIKGGPAAVMQSAAARNVRNARVDPDNVTDVVTEQGVSVKEDDVIAGHTFVTEAVGADVVGQYIRPPAEEKTLSPPPPTPVFVSDGITIGEALEATAISAGDKPVDQSDAAAIQAAEVRATGLGQILPGGVGADAQRAADINSRTTRDEFKTKIADVLTDASWKMGDDKPVTVEDAAGVVNAEARNKEDLATHPGGVAASMAAAAGINQETAFNITV >itb03g23030.t1 pep chromosome:ASM357664v1:3:21149548:21150000:1 gene:itb03g23030 transcript:itb03g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSPEGSVQNVLDQKTLKWVFVGGKGGVGKTTCSSILGVLFSQVRPSVLIISTYPAHNLSDAFQQRFTKAPTLVNGFSNLYATEDKKHPCLNFHFLDLGHVWIVYWKLLYVFN >itb04g20520.t1 pep chromosome:ASM357664v1:4:25307817:25308307:-1 gene:itb04g20520 transcript:itb04g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGNQGLDSFFPFDPCLLKKSDRFIRPNFIYWSMVRNTYDEVEDDECTSDEDDVEVCIPGNGMDIVDGAPRSSQGDVGDLDEFDYNLNKMSITPKSTLLKRFGGEQAGLQMPSRIRPCPESL >itb12g15320.t1 pep chromosome:ASM357664v1:12:15665081:15666268:1 gene:itb12g15320 transcript:itb12g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPLGARRSRDSREGKITHSLLHLARDDKERASSIDEQRIDGALGIALFFSSFLSASSDPFVRNFFVCTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFALCRSKMMNEVVALHSPPMRKDAAEKNGTLFRSVGCVGSRELFTLKFKHVGAKCYPALLLRSNRSLLMLLRRRFFAFSSLWTGALVDTGREQATKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQDQDLILAWILTCRWFLTVGILPGSWWAYHELGRGGWWFRDPVENASFVSRVLATARIHSVILPLLHSWTSFLNIVTFPCCVSGTFSIRSGLLAPVHSFATDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRRTYKKEMVVARSTLVLLRHSAHAQARPLMLWKN >itb09g04720.t1 pep chromosome:ASM357664v1:9:2635893:2638853:-1 gene:itb09g04720 transcript:itb09g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEYDYLFKLLLIGDSSVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAENKVVDTETAKAFADELSIPFLETSAKDSINVEQAFLTMAAEIKKKMGTQPAGSKSASTVQIKGQPIQQKSNCCS >itb09g13950.t1 pep chromosome:ASM357664v1:9:9151843:9153424:-1 gene:itb09g13950 transcript:itb09g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYMGEGGEIPEYFMCPISLQIMKDPVTAASGITYDRDSIEKWLSDGRSTMCPVTKQPLPRGAAELTPNHTLQRLIQSWSAGGVRRIPPPNPPITKAYVVNLVRALSVPILRLKALQKLDRLAAAAEESERNRKFMAEAGVVKEMLKFVVDCYKYGKTAGLEEALNVLYLMRSQFVSFESDEILDSILWILDSYDAGGNCGDIKRHALCVLRTIVARADSDSGSAALERLKPDLLKKLVSSLRDEKNEINLQGINAALQVISAACQWGRNRVALIESEAVFELIEVEINNFREKKTTELVLGILSQLCCCADGRAQLLSHAAGIAVVSNAILKVSARADDEALAVLSSISRFSATSRVIGEMLRVGTVAKLCMVLQANCASYLKDKAREILRSHSDIWKDSPCVDEMVASFTRCATT >itb06g21760.t1 pep chromosome:ASM357664v1:6:24327216:24329817:1 gene:itb06g21760 transcript:itb06g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLILLTFLIAVASSAAEDMSIITYDQQHPVKGIVRSEDEVKEMFESWLVKHGKSYNAVDEKDKRFKIFKDNLKYIDEKNSLGNRSYKLGLNRFADITNEEYRTGFLGAKRDAGRSRAKRESDRYAPVAGDSLPDSIDWREKGAVTEVKDQGSCGSCWAFSTIVGVEGVNQIATGNLISLSEQELVDCDTKINQGCNGGLMDYAFDFIIKNGGIDSEEDYPYTGKDDKCNSYLKNNAKVVSIDGYEDVPVNNEKALQKAVASQPVSVAIEAGGNDFQLYESGIFTGSCGTDLDHGVAAVGYGSENGVDYWIVKNSWGDRWGEKGYVRMQRNVKAKSGLCGIAMEASYPTKTGDNPPPSPPSPPSPTPAPPSPSAPSVCDKFNACPASTTCCCVFPFGNYCFAWGCCPLDSAVCCEDHYSCCPHDYPVCHVRSGTCTMSKNNPLGVKAMTRIPAKPMWAFRNSGKKSMGS >itb09g23710.t1 pep chromosome:ASM357664v1:9:23235187:23236436:-1 gene:itb09g23710 transcript:itb09g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRETLPGKKPLSCGKRSDISYIFRCNLVDLMVEIDRMLRPEGSVVIRDSPEVIDRTERIAHAVRWTTSIYEKEPGSNGREKILVATKKLWNLSSTSSH >itb06g16120.t1 pep chromosome:ASM357664v1:6:20332828:20334549:1 gene:itb06g16120 transcript:itb06g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTARWLRGLLGMKKDKESVGKFSSFSDKKEKKRWSLGGVSKDSGGLGAADSLLLRSSFVADSEKEQQNSHAIAVAAATAAAADAAVAAAQAAVAVVRLTSRGRGALFVTQREKWAAVKIQSVFRGYLARKALRALRGLVKLQAHVRGYLVRKRAAATLHSMQALIRAQAAVRSQRFRGNPRFQAETRARKFPERYDECRSAVQSKRLSASYESSLNGMDDSPKIVEIDTYKLRSMSRRINSAAISGNESDWSFVGEEWKFPTAQSTPRGGAPARSICGDTFFRPYSNFPGYMEKTQSFRAKQRSVSAPKQRPEAGRRRVSLGDIMASRISSSGGRMQRSCSQVQKHHAF >itb13g21230.t1 pep chromosome:ASM357664v1:13:27837237:27837779:1 gene:itb13g21230 transcript:itb13g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHHLRFLFAVVLAISVANVTADLVDDICAKTPQPATCKQLLGSDPRSKTSDLVALETVAIDVASRQAKSGQSLVSSLHSGATDPKLKDIYSSCLENYGNSVDSIGQLPGFLRSKDYGSLGTYASAALDRPATCDDNFSRSSPEPPQLKDASLKLRTICSAVLAINKKLSCEQFILLC >itb03g07940.t2 pep chromosome:ASM357664v1:3:5930081:5933193:-1 gene:itb03g07940 transcript:itb03g07940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDGTPTKPSKPASTQETPAIPSYPDWSNPMQAYYGAGATPPFFASTVASPTPHPYLWGSQHPLMPPYGTPVPYPALYPPGGVYAHPNMTMAPSTVQANAESDAKASEGKEKASGKKSKGSSGGKAGDSKKAASSSGNDGATQSAESGSDGSSDASDENNNQEFSASKKGSFDQMLANGTHAQSNAKATNYQTSVPGNPAISLPATNLNIGMDLWNPSSAGPGAIKMQSNPQVGREGMMSDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQHRVETLNSENRSLRDELQRLSEECVKLTSENDSIKDELTRLCRPDAIPKLENNGAHIQAKPDEGNS >itb03g07940.t1 pep chromosome:ASM357664v1:3:5929754:5937793:-1 gene:itb03g07940 transcript:itb03g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDGTPTKPSKPASTQETPAIPSYPDWSNPMQAYYGAGATPPFFASTVASPTPHPYLWGSQHPLMPPYGTPVPYPALYPPGGVYAHPNMTMAPSTVQANAESDAKASEGKEKASGKKSKGSSGGKAGDSKKAASSSGNDGATQSAESGSDGSSDASDENNNQEFSASKKGSFDQMLANGTHAQSNAKATNYQTSVPGNPAISLPATNLNIGMDLWNPSSAGPGAIKMQSNPQVGREGMMSDQWIQQDERELKRQKRKQSNRESARRSRLRKQAECEELQHRVETLNSENRSLRDELQRLSEECVKLTSENDSIKDELTRLCRPDAIPKLENNGAHIQAKPDEGNS >itb12g24200.t1 pep chromosome:ASM357664v1:12:25809214:25810955:1 gene:itb12g24200 transcript:itb12g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDTLIFSPLLPYNVVAYKVVREGSMAASVKAMTAVLLCVLVLVWGCSAQLSPGFYSKSCPKLFQTVNSVVQSAIQKEARMGASLLRLFFHDCFVNGCDGSVLLDDTSSFTGEKKAEPNFQSARGFEVIDQIKSAVEKVCPGVVSCADILAIASRDSTVTLGGPSWNVKLGRRDARTANQAAANNSIPRPTSSLNRLISSFSVVGLSTNDMVVLAGSHTIGQARCTSFRARIYNESNIDSSFAQSRKGNCPRASGSGDNNLAPLDLQTPIKFDNNYYVNLVNKKGLLHSDQQLFNGVSTDSTVRGYSTNPAKFKSDFAAAMIKMGDIKPLTGNNGEIRKNCRRRN >itb05g27690.t1 pep chromosome:ASM357664v1:5:30941979:30943020:-1 gene:itb05g27690 transcript:itb05g27690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWIRYLAGECSRGLSSRDHLLARMNVGGWSVDYIGKVDHKIHMRHEQYSGISQSVDAREGYLVKTTRWAVRLGWSIDSKEKADYKTHTRHPSTSSPSPSPSYRERPTASHSRWSSHPRSPMLSAPVDLPDISFGAL >itb14g08760.t1 pep chromosome:ASM357664v1:14:8476215:8477446:1 gene:itb14g08760 transcript:itb14g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAESKGGRRPEPSPPHITVTQHRHPSARASRRDPLLESHASAFCRNDREPTSTGRSQNAAVAFAICNRRREDVAAARARPTTIATAVT >itb06g23950.t2 pep chromosome:ASM357664v1:6:25706002:25717547:1 gene:itb06g23950 transcript:itb06g23950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQRRPTMIGVRNNNGYTNGAFPLRSPNIKSEVDKFCHSLGGKRPIHSILIANNGMAAVKFIRSVRTWAYETFGSEKAILLVGMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLVSIPEEIYNKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPIETVKQLEQAARRLAKCVSYVGAATVEYLFSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDAWRRTSLVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIHTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSEYVGYLEKGQIPPKHISLVNSQVSLNIEGIKYTVNMVRGGPGSYRLRLNDSEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRYLVADGSHVDADTPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAAELIAALDLDDPSAVRKAEPFHGNFPILGPPTAISDKVHQRCAASMNAARMILAGYEHAVDEVVQSLLSCLDSPELPFLQWQECLAVLATRLPKDLRSELEAKYKEYEGILNFQNIDFPAKNLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFQEYLSVEELFSDNIQADVIERLRLEYKKDPLKVVDIVFSHQGVKRKNKLILSLMEQLVYPSPAAYRDKLIRFSSLNHTNYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEEGEHMDTPKRKSAINERMEALVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWDRSGGLIASWEFLEEHVERKNGSEGRTVNKPLVEKHSEKKWGAMVIIKSLQILPKVLTAALKETMNNMQTTVSDGSVQTEGHGNMIHIALAGINNQMSTLQDSGDEDQAQERVDKLAKILKERDISSSLKNAGVAVISCIIQRDEGRGPMRHSFHWSEEKRYYQEEPLLRHLEPPLSIYLELDKLNGYDKIKYAPSRDRQWHLYTVVDKPRPIQRMFLRTLVRQPMSDEGLPVFQGLDRQKTHAPFSLSFTSRSILRSLTSALEELELNVHNSTVKSDHVNMYLYILREQQIEDLLPYNKRVDVYNGNEEAVVDQILDEMAREINASVGVKMHRLGVFEWEVKLWVSSTGDANGAWRVVVENVTGHTCIVNIYREVEDTNKHRVVYHSTSGVGPLHGVPVTAYYQPLGVLDQKRLLARKSSTTYCYDFPLAFEVALQKSWATEFPRINKPKENLIKVTELTFSDQKGSWGTPLIPVERKPALNDVGMVAWIMEMSTPEFPSGRKIIIVANDVTFRNGSFGPREDAFFQAVTDLACTQKLPLIYLAANAGARIGAAEEVKSCFKVGWSDESNPERGMQYLYLTPEDHARIGSSVIARELKLSSGETRWVIDTIIGKEDGLGVENLSGSGAIASAYSRAYHETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGISAILNWLSFVPPYSGGPLPISTAMDPPERLIEYFPETTCDPRAAICGVKDASGKWLGGMFDKDSFVEALEGWARTVVTGRAKLGGIPVGIVAVETQTMMQVIPADPGQPDSHERVVPQAGQVWFPDSATKTAQALMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSKINSDHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDPELIKLRGKLQEAKTTGIHETVDSVQQQIKAREKQLLPIYTQIATKFAELHDTSLRMAAKGVIKEVVDWENSRFFFYKRLLRRVEEESLIKTVKDAAGDQLSDKSAIEMVKTWFLDAKTAQGKENAWTDDKAFYLWKRDRSNYEDKLQELRVQKVLVQLSKIGDSALDVRALPQGLVALLQKLEPSTREQLIIELQKVLN >itb06g23950.t1 pep chromosome:ASM357664v1:6:25705555:25717561:1 gene:itb06g23950 transcript:itb06g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQRRPTMIGVRNNNGYTNGAFPLRSPNIKSEVDKFCHSLGGKRPIHSILIANNGMAAVKFIRSVRTWAYETFGSEKAILLVGMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLVSIPEEIYNKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPIETVKQLEQAARRLAKCVSYVGAATVEYLFSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDAWRRTSLVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIHTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSEYVGYLEKGQIPPKHISLVNSQVSLNIEGIKYTVNMVRGGPGSYRLRLNDSEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRYLVADGSHVDADTPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAAELIAALDLDDPSAVRKAEPFHGNFPILGPPTAISDKVHQRCAASMNAARMILAGYEHAVDEVVQSLLSCLDSPELPFLQWQECLAVLATRLPKDLRSELEAKYKEYEGILNFQNIDFPAKNLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFQEYLSVEELFSDNIQADVIERLRLEYKKDPLKVVDIVFSHQGVKRKNKLILSLMEQLVYPSPAAYRDKLIRFSSLNHTNYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEEGEHMDTPKRKSAINERMEALVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWDRSGGLIASWEFLEEHVERKNGSEGRTVNKPLVEKHSEKKWGAMVIIKSLQILPKVLTAALKETMNNMQTTVSDGSVQTEGHGNMIHIALAGINNQMSTLQDSGDEDQAQERVDKLAKILKERDISSSLKNAGVAVISCIIQRDEGRGPMRHSFHWSEEKRYYQEEPLLRHLEPPLSIYLELDKLNGYDKIKYAPSRDRQWHLYTVVDKPRPIQRMFLRTLVRQPMSDEGLPVFQGLDRQKTHAPFSLSFTSRSILRSLTSALEELELNVHNSTVKSDHVNMYLYILREQQIEDLLPYNKRVDVYNGNEEAVVDQILDEMAREINASVGVKMHRLGVFEWEVKLWVSSTGDANGAWRVVVENVTGHTCIVNIYREVEDTNKHRVVYHSTSGVGPLHGVPVTAYYQPLGVLDQKRLLARKSSTTYCYDFPLAFEVALQKSWATEFPRINKPKENLIKVTELTFSDQKGSWGTPLIPVERKPALNDVGMVAWIMEMSTPEFPSGRKIIIVANDVTFRNGSFGPREDAFFQAVTDLACTQKLPLIYLAANAGARIGAAEEVKSCFKVGWSDESNPERGMQYLYLTPEDHARIGSSVIARELKLSSGETRWVIDTIIGKEDGLGVENLSGSGAIASAYSRAYHETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGISAILNWLSFVPPYSGGPLPISTAMDPPERLIEYFPETTCDPRAAICGVKDASGKWLGGMFDKDSFVEALEGWARTVVTGRAKLGGIPVGIVAVETQTMMQVIPADPGQPDSHERVVPQAGQVWFPDSATKTAQALMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSKINSDHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDPELIKLRGKLQEAKTTGIHETVDSVQQQIKAREKQLLPIYTQIATKFAELHDTSLRMAAKGVIKEVVDWENSRFFFYKRLLRRVEEESLIKTVKDAAGDQLSDKSAIEMVKTWFLDAKTAQGKENAWTDDKAFYLWKRDRSNYEDKLQELRVQKVLVQLSKIGDSALDVRALPQGLVALLQKLEPSTREQLIIELQKVLN >itb06g23950.t3 pep chromosome:ASM357664v1:6:25706152:25717547:1 gene:itb06g23950 transcript:itb06g23950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQRRPTMIGVRNNNGYTNGAFPLRSPNIKSEVDKFCHSLGGKRPIHSILIANNGMAAVKFIRSVRTWAYETFGSEKAILLVGMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLVSIPEEIYNKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPIETVKQLEQAARRLAKCVSYVGAATVEYLFSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDAWRRTSLVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIHTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSEYVGYLEKGQIPPKHISLVNSQVSLNIEGIKYTVNMVRGGPGSYRLRLNDSEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRYLVADGSHVDADTPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAAELIAALDLDDPSAVRKAEPFHGNFPILGPPTAISDKVHQRCAASMNAARMILAGYEHAVDEVVQSLLSCLDSPELPFLQWQECLAVLATRLPKDLRSELEAKYKEYEGILNFQNIDFPAKNLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFQEYLSVEELFSDNIQADVIERLRLEYKKDPLKVVDIVFSHQGVKRKNKLILSLMEQLVYPSPAAYRDKLIRFSSLNHTNYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEEGEHMDTPKRKSAINERMEALVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWDRSGGLIASWEFLEEHVERKNGSEGRTVNKPLVEKHSEKKWGAMVIIKSLQILPKVLTAALKETMNNMQTTVSDGSVQTEGHGNMIHIALAGINNQMSTLQDSGDEDQAQERVDKLAKILKERDISSSLKNAGVAVISCIIQRDEGRGPMRHSFHWSEEKRYYQEEPLLRHLEPPLSIYLELDKLNGYDKIKYAPSRDRQWHLYTVVDKPRPIQRMFLRTLVRQPMSDEGLPVFQGLDRQKTHAPFSLSFTSRSILRSLTSALEELELNVHNSTVKSDHVNMYLYILREQQIEDLLPYNKRVDVYNGNEEAVVDQILDEMAREINASVGVKMHRLGVFEWEVKLWVSSTGDANGAWRVVVENVTGHTCIVNIYREVEDTNKHRVVYHSTSGVGPLHGVPVTAYYQPLGVLDQKRLLARKSSTTYCYDFPLAFEVALQKSWATEFPRINKPKENLIKVTELTFSDQKGSWGTPLIPVERKPALNDVGMVAWIMEMSTPEFPSGRKIIIVANDVTFRNGSFGPREDAFFQAVTDLACTQKLPLIYLAANAGARIGAAEEVKSCFKVGWSDESNPERGMQYLYLTPEDHARIGSSVIARELKLSSGETRWVIDTIIGKEDGLGVENLSGSGAIASAYSRAYHETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGISAILNWLSFVPPYSGGPLPISTAMDPPERLIEYFPETTCDPRAAICGVKDASGKWLGGMFDKDSFVEALEGWARTVVTGRAKLGGIPVGIVAVETQTMMQVIPADPGQPDSHERVVPQAGQVWFPDSATKTAQALMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSKINSDHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDPELIKLRGKLQEAKTTGIHETVDSVQQQIKAREKQLLPIYTQIATKFAELHDTSLRMAAKGVIKEVVDWENSRFFFYKRLLRRVEEESLIKTVKDAAGDQLSDKSAIEMVKTWFLDAKTAQGKENAWTDDKAFYLWKRDRSNYEDKLQELRVQKVLVQLSKIGDSALDVRALPQGLVALLQKLEPSTREQLIIELQKVLN >itb12g21370.t1 pep chromosome:ASM357664v1:12:23725264:23733702:1 gene:itb12g21370 transcript:itb12g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MHYWVRASPSDFGGALPQPRSGHTAVNIGKSKVVVFGGLVDKTFLNDITVYDIENRLWFQPECTGSGSDDRVGPCPRAFHIAIAIDCHMFIFGGRYGSKRLGDFWVLDTDIWQWSELTSFGDLPSARDFAAASAIGNSKIVMYGGWDGKKWLSDVYILDTISLEWTELSVSGALPPARCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEGENETPGWTQLKLPGQAPSPRCGHTVTSGGHNLMLFGGHGTGGWLSRYDIYYNDCIVLDRVSVQWKRLPTSNEPPDSRAYHSMTSIGSRFLLFGGFDGKSTYGDLWWLVPEEDPVAKRVTPSPPKAVSGKHGATMAQSKFLSDDKESHVGESSVSELQKRLQISVSLSNPKPTMDEMEDREFIELATQLVGGALDSKQAIRVLRERWRNALPKSIQLKELSPLLRDYQRLVTLNQKEKVEYFLQSLDPGFLRKETYRFYHVRNVEQLRMDDIPHLLAEYRQVSTAGVN >itb11g04800.t1 pep chromosome:ASM357664v1:11:2557020:2557894:-1 gene:itb11g04800 transcript:itb11g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVKSTTLRFSRRADRYEPLDQIQRENGDGDDERGWRTFSRKLIRSRRQQSGRRHTAAVAWSSLSYRRERARRRQVFLQGYKLEASSPAKLKSEKLRKLVARVMKSALVSFASSLKRIRAIGNCNSKSAIAVVSPAQVIKCG >itb04g24170.t4 pep chromosome:ASM357664v1:4:28932721:28936839:1 gene:itb04g24170 transcript:itb04g24170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGRSTIRNGSQPDTSAEWAPVGPETDLEESMQRLGLWGRERYPERPGVPDCAYYIRTGLCGYGGKCRFNHPRDRCSVGAVQLGVGVYPERPGEPTCQYYLRTGACKFGASCKFHHPRNAGGSLSNVSLNIYGYPLRPDEKECSYYLKTGQCKFALTCKYHHPQPAGMSGSAAARPFYPTVQSLPGPSTEQYSGNSANFRVTRPPLLPGSYLPSAYGPVLLHPGMVPVPNWSYSGPVSPSLSPGAQPSNGLASVYGISQMASSSTAFPGPYSPLLASTGPSSSAQNEKCFPERPGQPECQHYMKTGNCKFGSSCRYHHPPGWNSSNINIASSPLGLPLRPGVQPCSFYLQKGFCKFGLSCKFDHPVESTI >itb04g24170.t1 pep chromosome:ASM357664v1:4:28932687:28936843:1 gene:itb04g24170 transcript:itb04g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGRSTIRNGSQPDTSAEWAPVGPETDLEESMQRLGLWGRERYPERPGVPDCAYYIRTGLCGYGGKCRFNHPRDRCSVGAVQLGVGVYPERPGEPTCQYYLRTGACKFGASCKFHHPRNAGGSLSNVSLNIYGYPLRPDEKECSYYLKTGQCKFALTCKYHHPQPAGMSGSAAARPFYPTVQSLPGPSTEQYSGNSANFRVTRPPLLPGSYLPSAYGPVLLHPGMVPVPNWSYSGPVSPSLSPGAQPSNGLASVYGISQMASSSTAFPGPYSPLLASTGPSSSAQNEKCFPERPGQPECQHYMKTGNCKFGSSCRYHHPPGWNSSNINIASSPLGLPLRPGVQPCSFYLQKGFCKFGLSCKFDHPVESTI >itb04g24170.t3 pep chromosome:ASM357664v1:4:28932721:28936839:1 gene:itb04g24170 transcript:itb04g24170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGRSTIRNGSQPDTSAEWAPVGPETDLEESMQRLGLWGRERYPERPGVPDCAYYIRTGLCGYGGKCRFNHPRDRCSVGAVQLGVGVYPERPGEPTCQYYLRTGACKFGASCKFHHPRNAGGSLSNVSLNIYGYPLRPDEKECSYYLKTGQCKFALTCKYHHPQPAGMSGSAAARPFYPTVQSLPGPSTEQYSGNSANFRVTRPPLLPGSYLPSAYGPVLLHPGMVPVPNWSYSGPVSPSLSPGAQPSNGLASVYGISQMASSSTAFPGPYSPLLASTGPSSSAQNEKCFPERPGQPECQHYMKTGNCKFGSSCRYHHPPGWNSSNINIASSPLGLPLRPGVQPCSFYLQKGFCKFGLSCKFDHPVESTI >itb04g24170.t2 pep chromosome:ASM357664v1:4:28932687:28936843:1 gene:itb04g24170 transcript:itb04g24170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYGRSTIRNGSQPDTSAEWAPVGPETDLEESMQRLGLWGRERYPERPGVPDCAYYIRTGLCGYGGKCRFNHPRDRCSVGAVQLGVGVYPERPGEPTCQYYLRTGACKFGASCKFHHPRNAGGSLSNVSLNIYGYPLRPDEKECSYYLKTGQCKFALTCKYHHPQPAGMSGSAAARPFYPTVQSLPGPSTEQYSGNSANFRVTRPPLLPGSYLPSAYGPVLLHPGMVPVPNWSYSGPVSPSLSPGAQPSNGLASVYGISQMASSSTAFPGPYSPLLASTGPSSSAQNEKCFPERPGQPECQHYMKTGNCKFGSSCRYHHPPGWNSSNINIASSPLGLPLRPGVQPCSFYLQKGFCKFGLSCKFDHPVESTI >itb03g12830.t1 pep chromosome:ASM357664v1:3:12660909:12661620:-1 gene:itb03g12830 transcript:itb03g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGSQSGFSISYPVSLLSLIPSMGSQFLTGSCALSSTPWPPVVAAFARCRRAPGIYHTIVVKHQKDKGKCDKQDGVEYIRREPL >itb08g07540.t1 pep chromosome:ASM357664v1:8:6453979:6456773:-1 gene:itb08g07540 transcript:itb08g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRPRLALGFAILLCTFFFMFHVHGQDHHDQIRKIQDFRDSLLQTDPTLPPLPSPTPSPTPSGAPFQPSVYQVTSYGADPTGKEDSTEAVMNAITDALNGPSDGSLFSGIQNLGGARVDLEGGTYVISRPLQFPVVGRGNLMIHGGTLKASDTFPGDKYIIDLTAPSGGGPAYSFEFITFRDLLLNSNFRGGGIQVEKSLRISIDNCYITHFTTNGILVKSGHETYIRNTFLGQHITAGGDPGERSFSGTAINLMGNDNALTDVVIFSAQIGVMISGQANLLSGVHCYNKATGFGGIGIYVKLPGKTQTRIVNSYMDYTGIVAEDPVQLHISNTFFLGDANVVLKSVNGIIAGVNIVDNMFSGSGKGIGIVQLDQKNKAFAAIDEVVVDRNNVRGMKVKSTVGRGSVQGNGTKWTVDLNPVLLFPNLVKHVQYSFSSSDENLFPKHALRSTWDNRVVIESDVEVAAEVFVTVDQSKS >itb05g19980.t1 pep chromosome:ASM357664v1:5:26226389:26228572:-1 gene:itb05g19980 transcript:itb05g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGLAVLSALDSARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYYDPSKDAPGKLPAGINNLVIGVALVGTLSGQLVFGWLGDKLGRKKVYGLTLILMVFCALCSGMSFGFSPKSVMGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSKLFLNWHKGPRFHDDAILSTEPEADYVWRIVLMMGALPAMLTYYWRMKMPETGRYTALIEGNAKQAAVDMGKVLDIEIQAEQDKLSQFKAANEYKLFSDEFFRRHGRHLIGTMTTWFLLDVAFYSQNLTQKDIFPAMHLTRKPNEVSALREMFETSRAMFAVALLGTFPGYWFTVFFIEKIGRFKIQLVGFFLMSIFMAIIGVKYDYLKEEDHKLMFATLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAAAGKAGAIVSAFGVQYYTLDGNVKKIKKAMYLLAFTNMLGFFCTFLVTETKGRSLEEISGEDGGKDREVETQMAAANRPPTEAQPPSSRYSEASWD >itb14g06270.t3 pep chromosome:ASM357664v1:14:5528555:5533194:1 gene:itb14g06270 transcript:itb14g06270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTLFVSQDLWDMVDGGYIEEELTVEVLREVQKRDATALLFIQQAIDKSVFSCIAGASKSKEAWDALQKKYRGDAQELNRQNSVDELLLSCPADAIECREAWNVEMESQGYTDEPNQKKAVDELVLSYAADAIDPKDAAWDAPEQGCKANTKVVEGNIIGKEFGVFNSNCDFKMNHSDNSAPVAESAFHSKLKPSEDGIGKESGDIKNNNCGSKKGSRGISNRGGHGGQDGEYSNNQGSHGGTANGDFNNSQGSRGGQGRGHINNNRGGRGGKGRGHIDNKRGGHRGHSNNNQGDCRGTGSGCSKNQGGLRCFNSNKGHSGGIDNGHFNNGSEAADYNSKNAKSESASSCTTNATETKEALDAHALQQGLQANTEVHAQPQAGNPNGGEGQSLTTLLVSDLDVSVTDLQLLGIFICYGQVVSSGVFRDIATHCSLGYGYVTYGNPQDADRALKELNLTPLNGKPIQIMYFQQDSTKHESGGFNSKKSDYGGINNQGGRVGTDGGCFNNKDSKLSGYNSKNPNFESVSSCSTNATESRDASDAPQQGHQANTQVKAQPQVGNPSGGSILIKNLDKAIDDRALHAIFSAFGNILSCKVETDASGQSKGYGHIEYDSEEAAQEAIEKVMAPSKLHRRLLRRSMACC >itb14g06270.t2 pep chromosome:ASM357664v1:14:5528409:5533194:1 gene:itb14g06270 transcript:itb14g06270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMLSTVGAMAAVAKVASTAYYYAEHFGVFNREAKGEAETRSVEVAENQSADVGFLLSRIPVFHGSGYEIWSSMMKTLFVSQDLWDMVDGGYIEEELTVEVLREVQKRDATALLFIQQAIDKSVFSCIAGASKSKEAWDALQKKYRGDAQELNRQNSVDELLLSCPADAIECREAWNVEMESQGYTDEPNQKKAVDELVLSYAADAIDPKDAAWDAPEQGCKANTKVVEGNIIGKEFGVFNSNCDFKMNHSDNSAPVAESAFHSKLKPSEDGIGKESGDIKNNNCGSKKGSRGISNRGGHGGQDGEYSNNQGSHGGTANGDFNNSQGSRGGKGRGHIDNKRGGHRGHSNNNQGDCRGTGSGCSKNQGGLRCFNSNKGHSGGIDNGHFNNGSEAADYNSKNAKSESASSCTTNATETKEALDAHALQQGLQANTEVHAQPQAGNPNGGEGQSLTTLLVSDLDVSVTDLQLLGIFICYGQVVSSGVFRDIATHCSLGYGYVTYGNPQDADRALKELNLTPLNGKPIQIMYFQQDSTKHESGGFNSKKSDYGGINNQGGRVGTDGGCFNNKDSKLSGYNSKNPNFESVSSCSTNATESRDASDAPQQGHQANTQVKAQPQVGNPSGGSILIKNLDKAIDDRALHAIFSAFGNILSCKVETDASGQSKGYGHIEYDSEEAAQEAIEKVMAPSKLHRRLLRRSMACC >itb14g06270.t1 pep chromosome:ASM357664v1:14:5528405:5533194:1 gene:itb14g06270 transcript:itb14g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMLSTVGAMAAVAKVASTAYYYAEHFGVFNREAKGEAETRSVEVAENQSADVGFLLSRIPVFHGSGYEIWSSMMKTLFVSQDLWDMVDGGYIEEELTVEVLREVQKRDATALLFIQQAIDKSVFSCIAGASKSKEAWDALQKKYRGDAQELNRQNSVDELLLSCPADAIECREAWNVEMESQGYTDEPNQKKAVDELVLSYAADAIDPKDAAWDAPEQGCKANTKVVEGNIIGKEFGVFNSNCDFKMNHSDNSAPVAESAFHSKLKPSEDGIGKESGDIKNNNCGSKKGSRGISNRGGHGGQDGEYSNNQGSHGGTANGDFNNSQGSRGGQGRGHINNNRGGRGGKGRGHIDNKRGGHRGHSNNNQGDCRGTGSGCSKNQGGLRCFNSNKGHSGGIDNGHFNNGSEAADYNSKNAKSESASSCTTNATETKEALDAHALQQGLQANTEVHAQPQAGNPNGGEGQSLTTLLVSDLDVSVTDLQLLGIFICYGQVVSSGVFRDIATHCSLGYGYVTYGNPQDADRALKELNLTPLNGKPIQIMYFQQDSTKHESGGFNSKKSDYGGINNQGGRVGTDGGCFNNKDSKLSGYNSKNPNFESVSSCSTNATESRDASDAPQQGHQANTQVKAQPQVGNPSGGSILIKNLDKAIDDRALHAIFSAFGNILSCKVETDASGQSKGYGHIEYDSEEAAQEAIEKVMAPSKLHRRLLRRSMACC >itb07g05140.t1 pep chromosome:ASM357664v1:7:3483365:3488587:-1 gene:itb07g05140 transcript:itb07g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MAAVEIDLEKLPFDLDFHPSDHLVATGLITGDLLLHRYTADSQPKRLFAVRAHTESCRAVRFINDGRTIITGSPDCSILATDVETGSEVARVENCHGAAVNRIVNLTESTVASGDDEGCVKVWDTRQNSCCNSFHVHEEYISDINFARDSMKLLATSGDGTLSVCNLRSNKVQTQSEFSEDELLSVVIMKNGRKVICGTQGGTLLLYSWGFFKDCSDRFVDLSPNSVDALLKLDEDRVITGSENGLISLVGILPNRVIQPIAEHSEYPVERLAFSYDKKFLGSISHDQTLKLWDLDEILSSSGDATNQAAEADSDSDSDEMEIDDSIPKSSKGKKTKTTSKGQSTSSSSNFFADL >itb01g07960.t1 pep chromosome:ASM357664v1:1:6325690:6327470:1 gene:itb01g07960 transcript:itb01g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGFFLLCMLHSLVALSCGALMMFYSNDLLVFSHGRERASKLLGSTSHDQLIIQTSDSFSGLLLFAIGFLLFMVAFVKDRDFHGFFARGCVLLHVAMAVWRIYFERRLEDLGRDWLRLVVGDLALGLSWVFFLVYSWREKYD >itb03g18610.t1 pep chromosome:ASM357664v1:3:16865764:16873164:1 gene:itb03g18610 transcript:itb03g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVAGRLNQLFKRVSSVNKAAGPFDALLCVGQFFPETADGLEEFNGYIEGRSKVPLPTYFIGDYGAGAPKILSAASKESANQGFKMDGLRISDNLYWLKGSGKFTLHGLSVAYLSGRKSESGSQFGTYSQDDVDALRALAEEPGVIDIFLTNEWPSGITTRASASAIPPEISDSSGSDSTVSELVAEIKPRYHIAGSMGVFYDREPYVNADAAHVTRFLGLASVGNKEKQKFIHAISPTPAASMSKAELSTKPSNTTLSPYVCVDKTAQTEGSAKRPGDSTSDSQYWRYDASQKRQKHGDGNGDRLCFKFVSSGTCPHGKKCHFRHDEEAMEQYMRGMCFDFLNKGKCERGPDCKFKHNLHDESDRSRSKAASSTRSRECWFCMSSPNVESHLITTVGEYYYCALAKGPLVPDHVLILPIEHEPNTLSLPSECEEELERFQNSLKAYFKKQGKEPVFFEWVFKRGTHANLQVVPIPSARASSVKNIFDLAAERLGIKFKTIKAGRQLLRTQFDNSCSQFYVELPGGVILSHSVEENENFPAQFGREVLAGLLNMADRADWRNCKLSREEEIKTVETFKSRFQEYDPTQ >itb03g18610.t3 pep chromosome:ASM357664v1:3:16865764:16873164:1 gene:itb03g18610 transcript:itb03g18610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVAGRLNQLFKRVSSVNKAAGPFDALLCVGQFFPETADGLEEFNGYIEGRSKVPLPTYFIGDYGAGAPKILSAASKESANQGFKMDGLRISDNLYWLKGSGKFTLHGLSVAYLSGRKSESGSQFGTYSQDDVDALRALAEEPGVIDIFLTYPYITNEWPSGITTRASASAIPPEISDSSGSDSTVSELVAEIKPRYHIAGSMGVFYDREPYVNADAAHVTRFLGLASVGNKEKQKFIHAISPTPAASMSKAELSTKPSNTTLSPYVCVDKTAQTEGSAKRPGDSTSDSQYWRYDASQKRQKHGDGNGDRLCFKFVSSGTCPHGKKCHFRHDEEAMEQYMRGMCFDFLNKGKCERGPDCKFKHNLHDESDRSRSKAASSTRSRECWFCMSSPNVESHLITTVGEYYYCALAKGPLVPDHVLILPIEHEPNTLSLPSECEEELERFQNSLKAYFKKQGKEPVFFEWVFKRGTHANLQVVPIPSARASSVKNIFDLAAERLGIKFKTIKGRQLLRTQFDNSCSQFYVELPGGVILSHSVEENENFPAQFGREVLAGLLNMADRADWRNCKLSREEEIKTVETFKSRFQEYDPTQ >itb03g18610.t2 pep chromosome:ASM357664v1:3:16865754:16873159:1 gene:itb03g18610 transcript:itb03g18610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVAGRLNQLFKRVSSVNKAAGPFDALLCVGQFFPETADGLEEFNGYIEGRSKVPLPTYFIGDYGAGAPKILSAASKESANQGFKMDGLRISDNLYWLKGSGKFTLHGLSVAYLSGRKSESGSQFGTYSQDDVDALRALAEEPGVIDIFLTNEWPSGITTRASASAIPPEISDSSGSDSTVSELVAEIKPRYHIAGSMGVFYDREPYVNADAAHVTRFLGLASVGNKEKQKFIHAISPTPAASMSKAELSTKPSNTTLSPYVCVDKTAQTEGSAKRPGDSTSDSQYWRYDASQKRQKHGDGNGDRLCFKFVSSGTCPHGKKCHFRHDEEAMEQYMRGMCFDFLNKGKCERGPDCKFKHNLHDESDRSRSKAASSTRSRECWFCMSSPNVESHLITTVGEYYYCALAKGPLVPDHVLILPIEHEPNTLSLPSECEEELERFQNSLKAYFKKQGKEPVFFEWVFKRGTHANLQVVPIPSARASSVKNIFDLAAERLGIKFKTIKGRQLLRTQFDNSCSQFYVELPGGVILSHSVEENENFPAQFGREVLAGLLNMADRADWRNCKLSREEEIKTVETFKSRFQEYDPTQ >itb09g14010.t4 pep chromosome:ASM357664v1:9:9231386:9236287:1 gene:itb09g14010 transcript:itb09g14010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKVLFLIRQIFAKYYIQLLTCFLLARVAMYLKLKHRKSF >itb09g14010.t8 pep chromosome:ASM357664v1:9:9231386:9236268:1 gene:itb09g14010 transcript:itb09g14010.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKVDP >itb09g14010.t3 pep chromosome:ASM357664v1:9:9231386:9236287:1 gene:itb09g14010 transcript:itb09g14010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKILKCLSIQGLSSAGNTSFKGQASPGSSSTVSRAMVKDKYKTFNASFEELHQRQSQWTIPDSELRESLRLAVAEVLLPAFRSFNKRFGPMIETGKNPQKYIKYTPEDLERMLAEFFEGKL >itb09g14010.t9 pep chromosome:ASM357664v1:9:9231386:9236287:1 gene:itb09g14010 transcript:itb09g14010.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKGLSSAGNTSFKGQASPGSSSTVSRAMVKDKYKTFNASFEELHQRQSQWTIPDSELRESLRLAVAEVLLPAFRSFNKRFGPMIETGKNPQKYIKYTPEDLERMLAEFFEGKL >itb09g14010.t7 pep chromosome:ASM357664v1:9:9231938:9236287:1 gene:itb09g14010 transcript:itb09g14010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKILKCLSIQGLSSAGNTSFKGQASPGSSSTVSRAMVKDKYKTFNASFEELHQRQSQWTIPDSELRESLRLAVAEVLLPAFRSFNKRFGPMIETGKNPQKYIKYTPEDLERMLAEFFEGKL >itb09g14010.t1 pep chromosome:ASM357664v1:9:9231386:9236287:1 gene:itb09g14010 transcript:itb09g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKILKCLSIQGLSSAGNTSFKGQASPGSSSTVSRAMVKDKYKTFNASFEELHQRQSQWTIPDSELRESLRLAVAEVLLPAFRSFNKRFGPMIETGKNPQKYIKYTPEDLERMLAEFFEGKL >itb09g14010.t2 pep chromosome:ASM357664v1:9:9231386:9236287:1 gene:itb09g14010 transcript:itb09g14010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKILKCLSIQGLSSAGNTSFKGQASPGSSSTVSRAMVKDKYKTFNASFEELHQRQSQWTIPDSELRESLRLAVAEVLLPAFRSFNKRFGPMIETGKNPQKYIKYTPEDLERMLAEFFEGKL >itb09g14010.t6 pep chromosome:ASM357664v1:9:9231803:9236287:1 gene:itb09g14010 transcript:itb09g14010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKILKCLSIQGLSSAGNTSFKGQASPGSSSTVSRAMVKDKYKTFNASFEELHQRQSQWTIPDSELRESLRLAVAEVLLPAFRSFNKRFGPMIETGKNPQKYIKYTPEDLERMLAEFFEGKL >itb09g14010.t5 pep chromosome:ASM357664v1:9:9231386:9236287:1 gene:itb09g14010 transcript:itb09g14010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEERAALIRESIRKSQTTTDSMVTILGSFDHRLSALQTAMRPTQITTHSIRRAHENIGKTLKVAEVILGQFDLARKAEAKILKGPHEDSESYLEGCDQLRSIVRTFSGKNNLKSSVGVITYATTLLANSIIKLEDDFQQLLTSYSKPVEPDCLFECLPQSLLPSTASPDPHEGSGTKEHQTKNLKSAGYDLPDLIPSRILLLLHDLAQLMIRSGHQQQAFNIYRNIRSAFVEQSLRKLGVERLSKEDVQKLQWEVLEAKIENWIHFMRISVNLLFAAEKRICDEIFHSHDALREQCFAEVTAKSAAVLLSIGEAIAKSKRSPEKLFVLLDMYEIMTELHPQIDIIFGSKYFSEMRQAALVLTKRLAQTAKNTLAHFEEAVEKDATKTVVDDGTVHPLTSYVINYVKFLFDYRSTLTHFFLEFDGGDAKAHLAALVTRILQALQSNLDGKSNQYKDPALTELFMMNNIHYIVRSVRMSEAKDLLGNDWVQIHRRVVQQHAKQYRRISWSKVLFLIRQIFAKYYIQLLTCFLLARVAMYLKLKHRKSF >itb12g23620.t1 pep chromosome:ASM357664v1:12:25289605:25290877:-1 gene:itb12g23620 transcript:itb12g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRGLKWNKIKLTTEEEEALVIGNSDDVTNTVNNCLVGRVLTHEKIDMTALKSTMKSEWRFAKGLDIIELGNSMALFQFANARDKARVLEGTPWAFHKNLLILSEYDGSITPESLEMNRCSFWIQISNLPLKWMNQRVGERIGDKLGCFEAVEVDENGNGWGRFMRVRVNIDVSKPLRRFMILELQKRERCRVILRYERLPIFCFICGVLGHSEKSCGNSGEEEESQYGVWMKAREPRKEDRKNIIVWRDRTSGLARIYPLKFNSLWRKRTKEDNEFEEEADEEYCL >itb05g03190.t1 pep chromosome:ASM357664v1:5:2650549:2655583:-1 gene:itb05g03190 transcript:itb05g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALRAVGVTEVVLAINYQPEVMMNFLKEFETKLEIKIMCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAENKLYAMVLPGFWMDIGQPRDYITGLRLYLESLRKKSSPKLASGAHIVGNVLVDETAKIEEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHTCISSSIIGWHSTVGKWARVENMTILGEDVHICDEIYSNGGVILPHKEIKSSILNPEIVM >itb05g03190.t4 pep chromosome:ASM357664v1:5:2650549:2655547:-1 gene:itb05g03190 transcript:itb05g03190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFLKEFETKLEIKIMCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAENKLYAMVLPGFWMDIGQPRDYITGLRLYLESLRKKSSPKLASGAHIVGNVLVDETAKIEEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHTCISSSIIGWHSTVGKWARVENMTILGEDVHICDEIYSNGGVILPHKEIKSSILNPEIVM >itb05g03190.t2 pep chromosome:ASM357664v1:5:2650549:2655583:-1 gene:itb05g03190 transcript:itb05g03190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALRAVGVTEVVLAINYQPEVMMNFLKEFETKLEIKIMCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAENKLYAMVLPGFWMDIGQPRDYITGLRLYLESLRKKSSPKLASGAHIVGNVLVDETAKIEEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHTCISSSIIGWHSTVGKWARVENMTILGEDVHICDEIYSNGGVILPHKEIKSSILNPEIVM >itb05g03190.t5 pep chromosome:ASM357664v1:5:2650549:2655547:-1 gene:itb05g03190 transcript:itb05g03190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFLKEFETKLEIKIMCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAENKLYAMVLPGFWMDIGQPRDYITGLRLYLESLRKKSSPKLASGAHIVGNVLVDETAKIEEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHTCISSSIIGWHSTVGKWARVENMTILGEDVHICDEIYSNGGVILPHKEIKSSILNPEIVM >itb05g03190.t3 pep chromosome:ASM357664v1:5:2650549:2655544:-1 gene:itb05g03190 transcript:itb05g03190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALRAVGVTEVVLAINYQPEVMMNFLKEFETKLEIKIMCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKEMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAENKLYAMVLPGFWMDIGQPRDYITGLRLYLESLRKKSSPKLASGAHIVGNVLVDETAKIEEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHTCISSSIIGWHSTVGKWARVENMTILGEDVHICDEIYSNGGVILPHKEIKSSILNPEIVM >itb04g13400.t1 pep chromosome:ASM357664v1:4:13474824:13477552:-1 gene:itb04g13400 transcript:itb04g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYSSSSDSEDHHHHRRPTPVASRRPHFGHGGGRPMHMHARLGGGHVADILLWRNKNLSAAILVGFTFIWFLFEVMEYTFVSLLCHISILAMALLFLWSTGAAFVDWNPPNYRAFTVPESTIRWLLGRLNYFLWKFCEISSGQNIRTFFLVITVLWILSVIGNYFSSLNLLYIGFVCLATLPAMYERYQNEVDYLASKGNQDMKKLYDRFDAQVLDKIPRKPAMKERRRF >itb11g05330.t1 pep chromosome:ASM357664v1:11:3148957:3150101:-1 gene:itb11g05330 transcript:itb11g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPCVDKNGLKKGAWSEEEDDKLRAYVLRYGHWNWRQLPRFAGLSRCGKSCRLRWLNYLKPGVRRGRFSQEEDEMILELHKELGNKWSAIAAKLSGRSDNEIKNHWHTNLKKRLGLALHNKRDPEPSDADQLDLQPSKNSQEPQATQDQKHQINTAIICDAVQAEISSHSQETSCSTTEGSSTFTSSQSFEEPLESFWNELLFSDPIYGGNGNSYYSSSESEEGLIMSSNSSTVEEDFTLPYSLFCDDINFLSNFMQ >itb12g04500.t1 pep chromosome:ASM357664v1:12:2941335:2941701:-1 gene:itb12g04500 transcript:itb12g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTKKKKKKEKKRLQEGFADVDYQSPLRRLPAAAVSSLSSGMESSEECLSWFGSAGDDDDDKRKATEGERDVLLLPFSHVSVGFITTNPLPLL >itb09g00580.t1 pep chromosome:ASM357664v1:9:435057:436590:-1 gene:itb09g00580 transcript:itb09g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEWSKQVDRRKAVHAEKKSLKELLQKDGDGFPGSDYRPIDRKNWMGILGPEKVEVNKIVWPGTHDSATDKIGVPHISRPFAQCQTLSIYQQLVMGTRVLDIRVQEDGKVCHGILSTYSVDVVIADVKRFLSETQSEIIILEVRTEFGHEDPPAFDKYLEEQLGDHLIQQDNNVFGKTIAEVLPKRIICLWKPRTDAKPKPGGRLWSAAYLKDNWIDTDLPQTKFDSNIYYLSQQPPLSSRRFFYRVENTVTPQADNPVVFVRAVTNRIRPYARLFINQCTSHAVLDKLQVFSTDFIDEDFVDACVGLTNERINAHP >itb03g00450.t1 pep chromosome:ASM357664v1:3:222579:224759:-1 gene:itb03g00450 transcript:itb03g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEGGGAESGEKEGQNLLGEPTFKKLENGRFKCVETGHELPAHARDSYARSKHCRLGLIDAALASKKPPLNMFSQDPLSKSKLKCKLTGLTVNKSEEHIWKHINGKRFLRMLEKKEAEQEMPDRMVEEKIEQVQEKPKKKSSSLKKKKKEKAENATNIVSEMRDITGNDSDPEEAEFWMPPVGERWDFDDGGNRWGSGSESEPENDDANGEDELIEEATHEAGELSKQTKRMTLEIGPSSFARRKKKKKTNAS >itb06g24130.t1 pep chromosome:ASM357664v1:6:25813295:25815155:-1 gene:itb06g24130 transcript:itb06g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEYQGTSTTSFSSFGRSIMSMRRGQVHSMETSPEASNQEIELDAFQKQVAERFADLLAADSGELLSIPWVRKLLDVFLSCQDEFRAIVVNNKGGFNKAPMDRYICEYFERSVKALDVCNAIRDGIEQIRQWRKHLEIVLCALDNQRSLGEGQFRRAKKAMIDLAIGMLDEKETNSSVAYRNRSFGRNLQRDNRSLSHFRSLSWSVSRNWSAARQLQTIGSNLAAPRTNEIVASNGLALAVFTMNYVLYFAMWALVAAIPCQDRGLQAHFYVNKQQFAWAGPLLSLHERILEESKKRDRRNACGLLKEIHGIEKWARTMNELIDSVHFPLPEEKEGEVRQRVQELALIFDALKDGVNPLECQVREVFHKIVRSRTEGLDSIGRPNHE >itb07g02660.t2 pep chromosome:ASM357664v1:7:1701031:1704948:-1 gene:itb07g02660 transcript:itb07g02660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSKLPFHCSVCSAFPAPAMVTNSSSFQANNRTLLVLKYAIASRLLLISLILLWRWILSPYDTSATINPSCLPSEWNSHTSPVLLPRVAAAIEDSIVWDSVYFVRIAQCGYEYEQSYAFFPLLPISISLLSQTVFSPLIPLVGHRAVLGLSGYVLNNIAFVLAALYLYRYTESLYALLSIGGLYYFTSGARNIATICFALSGFSRSNGILNAGYICFQTMHSAYSAVFIKKSAFFAFRVLLAGAIRCLCIIFPFVAFQAFGYYNMCLGHSPGEARPWCKAKLPLLYDYIQSHYWGVGFLRYFQIKQIPNFLLASPILSLALCSIIHYVKLQPKVFLSVGFQASSMIKGQTVSPFSKGTNAGPKSADFAVKDTSSKLQGNHVLKHRKQAAGSKDSGAIPSETGKSDNPDLSTILVPFIFHLGFMVATAFLVMHVQVATRFLSASPPLYWFGSYIMASPNIGKRWGYCIWAYCSAYIFLGSLLFSNFYPFT >itb07g02660.t1 pep chromosome:ASM357664v1:7:1701031:1704969:-1 gene:itb07g02660 transcript:itb07g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSKLPFHCSVCSAFPAPAMVTNSSSFQANNRTLLVLKYAIASRLLLISLILLWRWILSPYDTSATINPSCLPSEWNSHTSPVLLPRVAAAIEDSIVWDSVYFVRIAQCGYEYEQSYAFFPLLPISISLLSQTVFSPLIPLVGHRAVLGLSGYVLNNIAFVLAALYLYRLSAVVVKDSELALRASILFCFNPASIFYSSIYTESLYALLSIGGLYYFTSGARNIATICFALSGFSRSNGILNAGYICFQTMHSAYSAVFIKKSAFFAFRVLLAGAIRCLCIIFPFVAFQAFGYYNMCLGHSPGEARPWCKAKLPLLYDYIQSHYWGVGFLRYFQIKQIPNFLLASPILSLALCSIIHYVKLQPKVFLSVGFQASSMIKGQTVSPFSKGTNAGPKSADFAVKDTSSKLQGNHVLKHRKQAAGSKDSGAIPSETGKSDNPDLSTILVPFIFHLGFMVATAFLVMHVQVATRFLSASPPLYWFGSYIMASPNIGKRWGYCIWAYCSAYIFLGSLLFSNFYPFT >itb15g23470.t1 pep chromosome:ASM357664v1:15:26298217:26301278:-1 gene:itb15g23470 transcript:itb15g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme , Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0645400)] MGSDEKVIVVEGPIIIGGGPSGLAVAACLKQNGVAGAVILERSDCIASLWQQRTYDRLKLHLPKQFCQLPLMNFPGDFPKYPSKRQFISYMEAYADRFDIRPKFKQAVRIAEYDAAAGVWRVDTADDSRYYSRWLVVATGENAEPLVPDIPGIRRFSGPVMHTSLYRSGSEFKNQRVLVVGCGNSGMEVSLDLCRHNASPHMVVRNSVHILPREMFGVSSFTIAMELLKWLPLRLVDKLLLLVANLTFGKTELLGIRRPKIGPIELKNATGKTPVLDVGALSLIKSGKIKVMEGVREITKNGAKFVDGQEREFDSIILATGYKSNVPTWLKGCDFFTEEEGMPKTPFPNGWKGENGLYTVGFTRRGLLGTSSDAMNIARDLAEQWRQANTTCTSIKVARD >itb01g08070.t1 pep chromosome:ASM357664v1:1:6412538:6422242:-1 gene:itb01g08070 transcript:itb01g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLDHRRPIPTSGHHFQRSATTKTTHCPKLSCGLEIVTAQIVVAVISRPLPPNNFQLKSSRQGVDAVGLIFVRNSMPPNQLQNCWTRNCMNHRKPEDGSPGTLTAYTLHSRHFSLVSGFSAHGSCLLGCCNRNIELQRQSTIMGKKRKHSEEIAVDANKDESVQERPKRTLLGWKDKGEPEKESDSVGVFRNKEKVLVTSSRRISYRYRHLMLNLVSLLPHCKKDNKVESKSSKGATLNELVELKSCSSSLFFECRKGKDLYLWMAKCPNGPSVRFLVNAVHTMEELKLTGNHLKGSRPILTFSSNFDKDPHWKLLKEMILQIFGTPKDQRKSKPYHDHVFAFSIVDDHIWFRNYQISCPHSGAQKLDRGDLEKMTLIEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQVRSLEKKQKAGKYAKKVKAKTRRKMHQLSNPMELDEFADMWKD >itb07g16800.t1 pep chromosome:ASM357664v1:7:20728265:20738163:-1 gene:itb07g16800 transcript:itb07g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWENEWENTCVEERNVGNGVVEKEIKEESGEDDEDVQIVCGDELYFGKFAKQRPALERIRAAIRQVLRLDGDVQVGSLDRRSILLRFDSEADCRRSWMRSQILIEGCRLWISRWTLEWRSDSDLPLTLVWFQLPNLPVHLFDFDAISHICAPIGRAIALDSATSRKSRPSVAKVRLEINVLRSIPERIWIEYANGDGESEGFWQSIVREKVPVYCSCCRRFGHSIGNCWRVRSENGEGVDVREAEEVRDGDAQNSVGGEAEKRELKKEVEASPLVGPGWDEGLVGSGPDEATLAHMADEIIYEAAMGHIEQIVERIMSENELVDSQEGVERPMEYEEWAEKMKNKMGQDREGIKIIEDAKQDIAWIREAEKEITRAFLRETSMRSSPTTEKVLKLCWKPSTTHRFTLNVDGCVKATMRKAGIGGILRNDEGVWSGGFAASAAQTDLTVTESIESLRRLYLTMTFPFTPTTMAFQSISEKGESSSNLKFLSKCLHIAFICKYANGSPKHLCLPPPNDMYENGQTALSSSLLEENLSGSEVLGSR >itb11g08710.t1 pep chromosome:ASM357664v1:11:5709524:5721317:-1 gene:itb11g08710 transcript:itb11g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDRTLPDLVGLLKTWIPWRSDPANVSRDFWMPDQSCRVCYECDSQFTLFNRRHHCRICGRVFCAKCTSNWVPAPSTDPSHPRVNREKVRACNYCFMQWEQALAAAVDNAIQLADLDYVPFSSPTSFISLKSDGNCDTCSNTSMPHSMAGLSSHQSAMTELSRDREGVVTARGNTDHDAADMGICNHSPNRCVFCTTRSEEEEYDAYQLDSERKHLPQVNDYYGHIQFNDIHEDFGSCKVHMDGEAIDTKSVGSFYVHNSIDSQTSEEVQKFVKKEGEPYISDECEASSSLYAAESVSAEPVDFENNGVIWIPPEPEDEEDEKEALLSDDDDDGDAAGEWGNLHASSSFGSGEYRSKDKSNEEQKKVMKNVVDGHFRALIAQLMQVENLPMDEEDERDTWLEKITSLSWEAANLLKPDTSEGGGMDPGGYVKVKCVASGRRSDCLVVKGIVFKKNVAHRRMTSKIEKPRILVLGGALEYQRVSNALSSFDTLLQQEMDHLKMAVARIDAHQPDVLLVEKTVSRHAQEYLLAKDISVVLNIKRPLLERIARCTGGQIVPSIDQLSSQKLGHCDMFHVDKFVEEHGTAGQSGKKLAKTLMYFEGCPKPLGCTVLLRGANGDELKKVKHVLQYSVFAAYHLAMETSFLADEGASLPELPLNSPITVALPDKAIPIDRSISMIPGFTPPGASEKTQGSPPQRSYSVPAENLLKDYATLYTANGTDCVKSSIASQQGISNPSSNDSFLSYVPVDRSAASSKEEPSGSKNVSDCPRDDLTCNGFRPSEALEVGGVAENVPTCFVVDTNQSVSNSVLQLESKNAPKEPASSKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNSDKPLGRFLRDHLFDQSYICRSCEMPSEAHVHCYTHRQGTLTISVKKLPEFLLPGEKEGKIWMWHRCLKCPRMNGFPPATRRVIMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPPKLDFNYENQDWIQQEVNEVKNRAELLFSEVQNALSKLEEQKSSVLLNSGVKLPEARHQMADLEEMLQKEKAEFEESLQKILNKEAKKGQPIVDIFEINRLRRQLLFQSYMWDHRLVYAAASLDNQSHDDADISTSDVDKSLISNDKYTDSDIHVDSSNDLFNSTPVSLNEKPNDSCEEEGVSGSNIYQTNAIIEGDDVDINSKRVIQNPSSFSFIMNNNKYDSLESNVVLARAHSDVFPIIENLSDTLDAAWTGESHSDLARADSLIYRVSEKLDVEDSGEEHSGFKLSQSPLFSSKGTENMEDSMSWLGMPFISFYRSLNKNFLGGSQKLDTLCEYNPVYISSFRESDAQGGARLLLPIGVNDTVIPVYDDEPASLISYALISPDYLLQVSDELDRPKESADPTFSLQSFDSGNILSLQSMEEIALESWKSLGSEYESFLSTNGSRSTDPLSYMKAIHARVSFADDGSQGTAKYTVTCYYAKRFEALRKICCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFILKQVTKTELESFIKFAPGYFKYLSESISTGSPTCLAKILGIYQVTSKHLKGGKETKMDVLVMENLLFGRNLARLYDLKGSSRSRYNADSSGSNKVLLDQNLIESMPTCPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAPPTVISPKQYKKRFRKAMTTYFLMIPDQWSPQTITRGKSQTDVAEENTHNTTSAT >itb01g11460.t2 pep chromosome:ASM357664v1:1:10364694:10372580:-1 gene:itb01g11460 transcript:itb01g11460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPENHSNSEHTIDITSSSEVSSSGISHDRSVNDFQQQQTEDQPSTSVTIPVYQRAFSSTTGSNTRNSSFVRRGNGRSRHRSPLNSGLWISVELVLTVSQIIAAIVVLSLSRNEHPRTPLFAWIVGYASGCVVILPLLYWRYRYRNQSSEQDSQQQQNSSRGDLSAGPPSSSRTSEGEGRRTTATSSRWGQSGGLPNARLKALVEYFKMALDCFFAVWFVVGNVWIFGGHSSSSEAPNMYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISILGFREDLSQNRGATPESINSLPTYKFKVKKNKSKENGGAASEGGIVAAGTEKERMISGEDAVCCICLAKYVNNDELRELPCSHFFHKDCVDKWLKINNTCPLCKAEVGETLLGSLTEATANLHASSVF >itb01g11460.t1 pep chromosome:ASM357664v1:1:10364694:10372761:-1 gene:itb01g11460 transcript:itb01g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPENHSNSEHTIDITSSSEVSSSGISHDRSVNDFQQQQTEDQPSTSVTIPVYQRAFSSTTGSNTRNSSFVRRGNGRSRHRSPLNSGLWISVELVLTVSQIIAAIVVLSLSRNEHPRTPLFAWIVGYASGCVVILPLLYWRYRYRNQSSEQDSQQQQNSSRGDLSAGPPSSSRTSEGEGRRTTATSSRWGQSGGLPNARLKALVEYFKMALDCFFAVWFVVGNVWIFGGHSSSSEAPNMYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISILGFREDLSQNRGATPESINSLPTYKFKVKKNKSKENGGAASEGGIVAAGTEKERMISGEDAVCCICLAKYVNNDELRELPCSHFFHKDCVDKWLKINNTCPLCKAEVGETLLGSLTEATANLHASSVF >itb07g06190.t1 pep chromosome:ASM357664v1:7:4343844:4346333:-1 gene:itb07g06190 transcript:itb07g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKQVASHESSPSSPHVIMFPFPVPSHIHSMLNLAQIFCEAHLSVTFVMTVHARNAFSGFSDLESQFSHHAAGKIRFEYISDGLPDEHPRGVTELLGSLAATATPLLKEMVQKEEEGAAAAAPRCVVVADALLSFGVEMAEELGVPIFQFHCISASANWTCSSLPRLIRAGELPLKGRDMDMPITSVKSMEGFLRYRDLPAVFRVDDVHNDPTLQIFHQLPRNYTQSKHHIINTFHDLERPILDQMPTIMSNVYAIGPLHEFLAANGGSSNVIISDDDNTKSCLDCLDNQPAKSVLYVSFGTLSMVSRETLVEFWHGLVNSGQRFLWSLNSNLVTGREIPAEILTGSREMTCVVEWAPQRVVLGHFAVAGFLTHCRWNSILESIVAGVPMIGWPIYGEQQVNSRYVAEVWKVGLDMKDTCHRVIIEKMVRELMEERKDEFLKRAPHYSKLAKQSVRQGGSSSSNLEHLLEDIRRI >itb10g16710.t1 pep chromosome:ASM357664v1:10:22994322:22996779:-1 gene:itb10g16710 transcript:itb10g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 12 [Source:Projected from Arabidopsis thaliana (AT1G32770) UniProtKB/Swiss-Prot;Acc:Q9LPI7] MNLSVNGQSQVPPGFRFHPTEEELLHYYLRKKVTSEKIDLDVIRDVDLNKLEPWDIQGNVAMCVSEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNSPEPTGTSLVGELNSAPEEGWVVCRVFKKKNYHKALESPHTVVQTHPGNDGVLDQILTYMGRSSSSSKQQNGAKNGNLIINGDDTPMQFSHAIQAARFLHLPPLETQSAMEILSSPTHASFEDMLAEPEPSCNNTEPVDDMKTGPGPADWVALDRLVASQLNGQIETSKSYVAEDLSDGLYFPIVDHHRPFGRPNNHHHNSQVNAGNEVEFWSYTNSSSSPSDPLSHLSV >itb02g02840.t1 pep chromosome:ASM357664v1:2:1658052:1661331:-1 gene:itb02g02840 transcript:itb02g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQIVAGVLTVTMFVMLGNMIKRDHFDSLQESRLTSTIHDTNKASELSLPGGDSLWKGDGLSLKPCWERPSLGDGDLSNGYVTFSLINGPEYHVSQIADAVVVARYLRATLVVPDVRGSNPGDKRDFKDIYDVEQFVKSLDGVVKVKASEPTGLSSKNLAVVKVPNRVTEEHIAENIEPIFREKGNIRLATYFPSVNMKKSSERTSLDSVACMAMFGTLVLQPEVHEVVDSMVERLRTLSRKSNGQFVAVDLRVDVLEKKNCQGNGSPGSKNCYGPQDIALFLRKLGYSKDTTIYLTQGRWDASLDALKELFPKVYTKETIMPIDKKAKFLNSDASELEKVIDFYICSESDVFVPAISGLFYANVAGKRIATGKTQILVPADIPGSSASSTNYISHYVSKKNHFAYSCFC >itb13g00130.t1 pep chromosome:ASM357664v1:13:116850:118903:-1 gene:itb13g00130 transcript:itb13g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPVLFKLPSSELLLFYKIGTNVQSWSGCMKRSYDGGMTWKEREQLPPGILGPIKNKPLLLESGTLLCGSSVESWNSWGAWMEMTADSGRTWRKYGPIYIKNNSLSVIQPVPYQTARGTLRILLRSFTGIDKICMSESRDGGYNWDYAKPTELPNPNSGIDGVKLKDGRVVLAYNTISRGVLKVAVSEDDGDSWHDVATLEDTSGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVFQPN >itb13g00130.t2 pep chromosome:ASM357664v1:13:116850:118903:-1 gene:itb13g00130 transcript:itb13g00130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPVLFKLPSSELLLFYKIGTNVQSWSGCMKRSYDGGMTWKEREQLPPGILGPIKNKPLLLESGTLLCGSSVESWNSWGAWMEMTADSGRTWRKYGPIYIKNNSLSVIQPVPYQTARGTLRILLRSFTGIDKICMSESRDGGYNWDYAKPTELPNPNSGIDGVKLKDGRVVLAYNTISRGVLKVAVSEDDGDSWHDVATLEDTSGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVFQPN >itb13g00130.t3 pep chromosome:ASM357664v1:13:116850:118895:-1 gene:itb13g00130 transcript:itb13g00130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYELELDMFDEHSWSGCMKRSYDGGMTWKEREQLPPGILGPIKNKPLLLESGTLLCGSSVESWNSWGAWMEMTADSGRTWRKYGPIYIKNNSLSVIQPVPYQTARGTLRILLRSFTGIDKICMSESRDGGYNWDYAKPTELPNPNSGIDGVKLKDGRVVLAYNTISRGVLKVAVSEDDGDSWHDVATLEDTSGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVFQPN >itb12g20600.t1 pep chromosome:ASM357664v1:12:23069879:23071103:-1 gene:itb12g20600 transcript:itb12g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDAITNVVSVDYLTKPIDIFKEMSRVLKPGGVAIMSFSNRCFWTKAISIWTSTGDADHVLIVGSYFHYAGGFEPPEAVDISPNPGRSDPMYIVYSRKLATA >itb13g23620.t1 pep chromosome:ASM357664v1:13:29549312:29551114:-1 gene:itb13g23620 transcript:itb13g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIINPKLFKLPFYGFFFFLLFFSKPSHQAYDAPPDFPFENPRLYNAFIALQAWKHSITADPKNFTKDWYGPHVCNYTGVYCAPAPDDKEIRTVAGIDLNHANISGTLPEDLGLLTDLALFHINSNRFTGTLPRSFSHLRLLFELDVSNNLLCGEFPAVVLSLPSLKFLDIRYNQYQGKIPKEVYDLKLDALFVNHNNFTVTLPRNLGNSPVSVLVFANNNLRGCLPPSIAKMRKTLSEIILMNVSLGACLPDEIGLLKELKVFDVSFNNLVGSLPESMGKLKKLEQLNVAHNKLSGEIPASICELPRLKNFTYSYNYFCSAPEICRKVKHKNDKKNCIPYWPHQRSPETCKAFYSKPVDCSVLGCRPKSPPPPPPPSPPPPSPPPPPPPHHYHYL >itb12g07540.t1 pep chromosome:ASM357664v1:12:5648526:5652373:1 gene:itb12g07540 transcript:itb12g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFTDKQIMDLSSSPSTGNNKIDFLDLVNSRQDVNVSGAKEEIVPSYEFHPIRPIGSSSSPPMSNADSSNVGGARVWNSADSKTNTGIRNYGSLESMEPAKVIVEKDGSRFDSTLVSEIDHTMKKYTDNLLHALDGVSARISQLETRTRQIENSVDDLKLSVGNNFGGTDGKLRQLENILREVHTEVQVIRDKQEIVEAKLEVAKLQVSKVEQQVDTHNTLPMDSLQPAASAPQHSPQQQYFPAALAQPLPTLPPLDAPPHPPQQNLPPQVQLPNQLSLNQISSGPPRESYIPAPGLAPEIPSQQYQMPLPQQLQISPPPLPQQQYQSAPQPQYSQPPLPPQSHLSLPPVNPSQPPQPQHQHQPPLGHHTEEPTFIPQQSFPPNIVKPPSLPSSGALPQQFYGAAPNMYEQPSNRPGPGFSGAYGPSSGHGEPYPHSSSFSQYGSGSPRKSQQLPPTMSQSGGSGYPQLPTARILPQALPTASAVGGSSSSAGSGNRVPVDDVVEKVTHMGFPREQVRETVRKLTDNGQAVDLNVVLDKLMNDGDVQPPRGWFGR >itb08g10740.t1 pep chromosome:ASM357664v1:8:10374821:10376015:-1 gene:itb08g10740 transcript:itb08g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSAGSVVFLCLGLIAAFSLGLSSAQNCGCAARGLCCSKFGYCGTGNDYCGEGCREGPCYGSSANCGCAARGLCCSRYGYCGTGNDYCGEGCREGPCYSSPGGGGGGSGSGSGSVSNIVSDSFFNGIANQAGPSCEGKGFYTRNSFLEAAKSYPKFGTTGSTDDIKREIAAFFAHITHETGHLCYIREINGASRNYCDPNNRQYPCAPGKMYYGRGPIQLSWNYNYGAAGQSIGFDGLNNPDIVATNPIISFKTALWFWMNNCHSCLLSGQGFGCTIRAINGPLECNGANPDTVTKRVNYYTKYCQQLGVNPGNNLRC >itb07g16760.t1 pep chromosome:ASM357664v1:7:20676846:20681192:1 gene:itb07g16760 transcript:itb07g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYLPPDALALRDGECHPLAIGDHRVIPRIPPPEAQARFRPAAILEDGIAVQHRHIQTLLLENQRFATTHVALKRQLAAAQQDLRQLTVVASAVKAECDAEVRGVYENSIRAEAEIRAVAELAQVKDGIEKMKADREELTAKLKEIEDELAMVRPELKQLKEIKTDIATMQKEIQRGRAAVEYEKKMKKINHEQSQIMEKNMMSMSCEIEKLRAELAIAENRARAVAAVAPTQRIQQVMEFLQLVMVETCILTLMLCSRFSLVLMMVPDMVEQITTRMPLMIRNNHISHRQVFVGLFAASRVLPAKIIRFCDGFERIAEQIEHCFCCCPPHESLKDLQQAKRSTLFVSSR >itb07g16760.t2 pep chromosome:ASM357664v1:7:20676927:20680621:1 gene:itb07g16760 transcript:itb07g16760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYLPPDALALRDGECHPLAIGDHRVIPRIPPPEAQARFRPAAILEDGIAVQHRHIQTLLLENQRFATTHVALKRQLAAAQQDLRQLTVVASAVKAECDAEVRGVYENSIRAEAEIRAVAELAQVKDGIEKMKADREELTAKLKEIEDELAMVRPELKQLKEIKTDIATMQKEIQRGRAAVEYEKKMKKINHEQSQIMEKNMMSMSCEIEKLRAELAIAENRARAVAAVAPTYPAGYGVPSTGYGGNMYPNSYAMQQVQPSTDDGSGYGGANYNPYAPYDTQQPHIS >itb05g19330.t1 pep chromosome:ASM357664v1:5:25897927:25899774:-1 gene:itb05g19330 transcript:itb05g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSALVSSSSNFVLQTALAFSLFLLLYFFKIPALFLHGLFTYVHPDDVNPTSSNGARAAIRRPGSVESELKPRKKSKDKFEFDENKAQIFRLKLIDTHLQTRIYFNEFKHAFNSTVVVLSCLLLDRFLGKSGDSGWLGDGSVVPVFLGCFVVCRIFVLIARTAFEGSSSKRMERQLSFLIGIFGFVLGLIIVSGVVPKWILDFDFGSLDGFGKLLVALFMGCTAGFLYTPALRSARAFWLGTDQIRCNLSIISCGWFAGMLLYANYLMITLTSLLWISPFAELLVNKNFDQKRGSNYGGRVEYATKLVGNVGMMRSDLDKFRLWCLLASGILQIMSLRSNVQMYLNEAVLCWYQRLHSSKVPDLDYSRAKVFLHNHYLCLAVLQFFVPAAMVLLLNGLSQTGDNLISSFPWVCSLLPCSVLHKEVALFMAWWVVFVLATFTSSVLALYRHGILYVS >itb04g26440.t1 pep chromosome:ASM357664v1:4:30779167:30788503:-1 gene:itb04g26440 transcript:itb04g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFQSGLTNPFTMRYAPEFSMVTLSLGFLILSFMLLPFRPFLFLHHPESTQNQTLLLSTSEAQFGPDTTEGFYHNQLLVQKQQSSPRNYIIRFTRYLKSEYHRTYLQDNVKLVGWEWIERKNPASQFPTDFALVAIEESMTELLIEKFTTLKLVKDASLDLSYQRGVLGKKNDRIGAFFDGKKRPGKIFTAMSFSEGERNIVSNTSNMEISWNRELLMQKSQVTSLFSAEALWSKGYTGAKVKMAIFDTGIRSDHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQESECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYMDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWELPHGYGRIKPDIVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPESKRKDILNPASVKQALVEGAVKLSGPNMYEQGAGRVNLLESYEILKNYEPRASIFPGVLDCSDCPYSWPFCVQPLYAGAMPVIFNATILNGMGVIGYVESPPTWHPSDEDGNLLNIHFTYSDVFWPWTGYLALHMQIKEEGAKFSGDIHGNVTVKVYSPPGPGEKTRRSTTCILQLKLKVIPTPPREMRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFDMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEDEYFAEEIKKIRDDVINSGLSLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPFGIAFGDKILNGDFVINGEQTRYASGTDIVKFPRGGYLHSFPFLDSSESGATQSGLSSGMTKADTPILGLLEVGRGRIAVYGDSNCLDSSHMVTNCYGLLKKILDFTSKSVKDPVLFSDSARQDKPLYIDKKQLPSRRTDVNFSTYSAVTGKELICRHDSRFEVWETKGYNLHVRGRNRRLPGYTVIDLGTGLNSSAESSWMKISNKTKKDVGYSPRSKDLNGDDEDVPVPLATHWLLPAVVAIIGLLLLLSLWRFRQKRHRRRRTGSSRIINP >itb04g26440.t2 pep chromosome:ASM357664v1:4:30779164:30788499:-1 gene:itb04g26440 transcript:itb04g26440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFQSGLTNPFTMRYAPEFSMVTLSLGFLILSFMLLPFRPFLFLHHPESTQNQTLLLSTSEAQFGPDTTEGFYHNQLLVQKQQSSPRNYIIRFTRYLKSEYHRTYLQDNVKLVGWEWIERKNPASQFPTDFALVAIEESMTELLIEKFTTLKLVKDASLDLSYQRGVLGKKNDRIGAFFDGKKRPGKIFTAMSFSEGERNIVSNTSNMEISWNRELLMQKSQVTSLFSAEALWSKGYTGAKVKMAIFDTGIRSDHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQESECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYMDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWELPHGYGRIKPDIVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPESKRKDILNPASVKQALVEGAVKLSGPNMYEQGAGRVNLLESYEILKNYEPRASIFPGVLDCSDCPYSWPFCVQPLYAGAMPVIFNATILNGMGVIGYVESPPTWHPSDEDGNLLNIHFTYSDVFWPWTGYLALHMQIKEEGAKFSGDIHGNVTVKVYSPPGPGEKTRRSTTCILQLKLKVIPTPPREMRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFDMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEDEYFAEEIKKIRDDVINSGLSLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPFGIAFGDKILNGDFVINGEQTRYASGTDIVKFPRGGYLHSFPFLDSSESGATQSGLSSGMTKADTPILGLLEVGRGRIAVYGDSNCLDSSHMVTNCYGLLKKILDFTSKSVKDPVLFSDSARQDKPLYIDKKQLPSRRTDVNFSTYSAVTGKELICRHDSRFEVWETKGYNLHVRGRNRRLPGYTVIDLGTGLNSSAESSWMKISNKTKKDVGYSPRSKDLNGDDEDVPVPLATHWLLPAVVAIIGLLLLLSLWRFRQKRHRRRRTGSSRIINP >itb09g12370.t1 pep chromosome:ASM357664v1:9:7822525:7826509:-1 gene:itb09g12370 transcript:itb09g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKITKKHHKHLNNPFPSPPKSIPFIQGALSLNLQALPSHQIYSIGHDFQFNWSAKNGGFLSISHKSQPARHAWSTIPGEVFISAAAVETEVVESRGSFVIKDKDVHLICNHQTIDDIRVINESDLPSEAKDHDFPSLSDKRNGQFPLLLIRGKVFSVRKRKKKIQSYHGNENTRLSEKEASTSASYWLLFYQKNSNQVSFQVRLGKPKVAVNQRFSSRTYRSFSQKFGMINRCRVRWSRLLSRKKGIVTVSSTEEEKVTMRTAGSQYFNRIFLTYSSEKNERFYGFGEQFSQLDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSVFDLTRHDRVQIQVNGDSLEGQILHGDSPCELIEHLTESIGRPPELPEWIISGAVVGMQGGTDTVRSIWNEMQRHGVPVSAFWLQDWVGQRETMIGSQLWWNWETDTTRYYGWQQLIKDLNAQYIEVMTYCNPCLAPMDTKPNTRRHLFEEAKNLGILVKDKYGQPYMVPNTAFDVGMLDLTHPHTAGWFKQVLKEMVDDGVRGWMADFGEGLPVDACLYSGEDPIAAHNRYPELWAKINRDFVDEWRSTNIYNEMEDQEEGLVFFMRSGYRNSPRWAMLFWEGDQMVSWQTNDGIKSSVVGLLSSGMSGYALNHSDIGGYCSVNIPFFKYTRSEELLLRWMELNAFTTIFRTHEGNKPSSNTQFYSNNRTLSHFARFAKVYKAWKFYRIQLVKEASQKGLPVCRHLFLHYQDDDRVHSLTYEQFLIGSEILVVPVLDKGRETVKAYFPIGECSSWKHIWTGELYSKQGYEAMVEAPIGYPAIFVKDGSAVGETFLENLKNYGIL >itb02g03700.t1 pep chromosome:ASM357664v1:2:2167191:2171775:-1 gene:itb02g03700 transcript:itb02g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MDPSNQTPNPDHPYITSNNPLHFTIHLPQSNPIPNPNHSFNPIPIYDHNPSTSITQQLISLSIPRKRRQGRPRNTTASNQVYNNVQFLGPLSENPNFSRLNGVGGPNAVTNSAVQRQSAADVSDEIIVINKEATSEALIALTAGFPADSLTDEEIDARVVSMVGGIEQVNYILIRNHIITKWRENVSTWVTKDMFVDIIPKHCSTLLDSAYNYLVSRGYINFGVAPAIKEKIVPDPSKPRVIVIGAGLAGLAAARQLMSFGFKVTVLEGRKRAGGRVYTKKMEGGNRTASADLGGSVLTGTLGNPLGILARQLSYTLHKVRDKCPLYRPDGKPVDPDLDQKVETDFNRLLDQASKLRQSMGDVSQDVSLGAALETFRQVTGNEVNDQEMSLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQAMAENVPILYEKTVHTIRYSSDGVQVVAGAQVFEGDMALCTVSLGVLKNGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWGTDLDTFGHLAEDPSHRGEFFLFYSYAPVAGGALLIALVAGEAAHKFESMSPTDAVTQVIQILKGIYEPQGIEVPEPIQTVCTRWGSDPFSLGSYSNVAVGASGDDYDILAENVGDGRLFFAGEATTRRYPATMHGAFLTGLREAANIAHHARVRNLKLKVEKNPSKNAHSCASLLADLFRQPDLEFGSFSVIFARKVTDPKSAAILRVTFSGPGKKSNDGLKPDQHSNKLLFQQLQSHFNQQQELHVYTLLSKQQALELREVRGGDEARLNYIGEKFGVKLVGRKGLGSSADSLIASIRAERGKRKPGSNPLKSGMSNSKVATAKKRLVRKAKIVRRGNGLAAPNRDTRMKAVCTANNPAPAPHTNSGPKPVSNNTSGMPLPNPNDVGVNINMGSKPASSNGSTLHLNPNVAARLASNTPSSSQVSNSNSEVNVNMVSRPGSSGTGYAVPWNLNSDVAFGGHATMFTPPLNSSIGLDNNMDSKLGGSGSTLPLNWNVDAPSVPSGNKTSSSTPPSLTLEEIFWDDSSGSTLLPSNCDDSAQTFDLPPSVNFDFP >itb02g11480.t1 pep chromosome:ASM357664v1:2:7668607:7678849:1 gene:itb02g11480 transcript:itb02g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDLNGDALHASVGLPPALNVTTAKVGKLEIILPSVSNVQIEPIVVQIDKLDIVLEENDDVDVQKSSSSASSFTSSTKSSGYGLADKIADGMTLEVHTVNLLLETHGGARRRGGATWASPMASITIRNLLLYTTNENWQVVNLKEARDFSNNKNFIYVFKQLKWDYLSIDLLPHPDMFSDAHFGSSHGESNSKDEDGAKRVFFGGERFVEGISGEANITIQRTELNNPLGLEVQLHITEAVVPALSEPGLRALLRFMTGLYVCLNRGDVNPNAQQHSSEAAGRSLVSFVVDHIFLCIKDTDFQLELLMQSLFFSRASLSDGENAKFLSTVMIGGLFLRDTFSHPPCTLVQPPMQATLENMLPIPDFGKNFLPPIYPLGEQQWQFGGSIPLISLHSLQLKPNPSPPVFASQTVIHCQPLMIHLQEVSCLRIASLIADGIVVNPGAVLPDFSVGSLMFNLKGLDIAVPLDIGNQTYNDIENTTSCQSSFSGTRLLIEDLFFSESPSLKLRLLNLEKDPACFCLWEGQPIDASQKKWTAGASVISLSLETGNDSTGVQSSFSRSSDLWRCVELKGACLEVAMATADGSPLTDVPPPGGIVRIGVACQQFMSNTSAEQLFFVLDRYAYFGRVGERLATVAQNNPLKDMRNESLGETLAEKVPGDTGVCLTVKNLQLSFLESSSLNNHGTPLVQFLGNDLFIKVTHRTLGGAVAISSSLLWESVQVDCTDTTPSLANENGLALTANQNGSLDRDGSQLRAVFWVHNSKTHQSNGNVKSVPLLDINIVHVIPLNMQDTECHSINISACIAGVRLGGGMNYAEALLHRFGILGPDGGPGEGLTKGLEHLSAGPLSKLFKATPLIADELKENGSLGNGKDNGILQFGTPDDVDVSIELKDWLFALEGAQEAAERWWFCNNEDSSREERCWHTTFHSIGIKAKGSPKQITNGNTRLHGKLKHPIELVTVGVEGLKILKPHIQKVPKQGDALDRVLKQTSETYAGVNLEVDIVSSEEEIDDGMAKWVVENLKFSVKQPIEAVVTKDELQYLAFLCQSEIDSMGRIAAGILRVLKLEGSIGQAAISQLSNLGSDGFERIFSPEKLTKSSSSISCTGHSPSSNRRCGNRNSSLDATVVSLEESLSETQARCAALGEGLHSLETSAEQLENFKQLAQKLESMQKLLLQLRTQI >itb02g11480.t2 pep chromosome:ASM357664v1:2:7668656:7678849:1 gene:itb02g11480 transcript:itb02g11480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDLNGDALHASVGLPPALNVTTAKVGKLEIILPSVSNVQIEPIVVQIDKLDIVLEENDDVDVQKSSSSASSFTSSTKSSGYGLADKIADGMTLEVHTVNLLLETHGGARRRGGATWASPMASITIRNLLLYTTNENWQVVNLKEARDFSNNKNFIYVFKQLKWDYLSIDLLPHPDMFSDAHFGSSHGESNSKDEDGAKRVFFGGERFVEGISGEANITIQRTELNNPLGLEVQLHITEAVVPALSEPGLRALLRFMTGLYVCLNRGDVNPNAQQHSSEAAGRSLVSFVVDHIFLCIKDTDFQLELLMQSLFFSRASLSDGENAKFLSTVMIGGLFLRDTFSHPPCTLVQPPMQATLENMLPIPDFGKNFLPPIYPLGEQQWQFGGSIPLISLHSLQLKPNPSPPVFASQTVIHCQPLMIHLQEVSCLRIASLIADGIVVNPGAVLPDFSVGSLMFNLKGLDIAVPLDIGNQTYNDIENTTSCQSSFSGTRLLIEDLFFSESPSLKLRLLNLEKDPACFCLWEGQPIDASQKKWTAGASVISLSLETGNDSTGVQSSFSRSSDLWRCVELKGACLEVAMATADGSPLTDVPPPGGIVRIGVACQQFMSNTSAEQLFFVLDRYAYFGRVGERLATVAQNNPLKDMRNESLGETLAEKVPGDTGVCLTVKNLQLSFLESSSLNNHGTPLVQFLGNDLFIKVTHRTLGGAVAISSSLLWESVQVDCTDTTPSLANENGLALTANQNGSLDRDGSQLRAVFWVHNSKTHQSNGNVKSVPLLDINIVHVIPLNMQDTECHSINISACIAGVRLGGGMNYAEALLHRFGILGPDGGPGEGLTKGLEHLSAGPLSKLFKATPLIADELKENGSLGNGKDNGILQFGTPDDVDVSIELKDWLFALEGAQEAAERWWFCNNEDSSREERCWHTTFHSIGIKAKGSPKQITNGNTRLHGKLKHPIELVTVRNHFSFLHIY >itb02g11480.t3 pep chromosome:ASM357664v1:2:7668746:7677634:1 gene:itb02g11480 transcript:itb02g11480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDLNGDALHASVGLPPALNVTTAKVGKLEIILPSVSNVQIEPIVVQIDKLDIVLEENDDVDVQKSSSSASSFTSSTKSSGYGLADKIADGMTLEVHTVNLLLETHGGARRRGGATWASPMASITIRNLLLYTTNENWQVVNLKEARDFSNNKNFIYVFKQLKWDYLSIDLLPHPDMFSDAHFGSSHGESNSKDEDGAKRVFFGGERFVEGISGEANITIQRTELNNPLGLEVQLHITEAVVPALSEPGLRALLRFMTGLYVCLNRGDVNPNAQQHSSEAAGRSLVSFVVDHIFLCIKDTDFQLELLMQSLFFSRASLSDGENAKFLSTVMIGGLFLRDTFSHPPCTLVQPPMQATLENMLPIPDFGKNFLPPIYPLGEQQWQFGGSIPLISLHSLQLKPNPSPPVFASQTVIHCQPLMIHLQEVSCLRIASLIADGIVVNPGAVLPDFSVGSLMFNLKGLDIAVPLDIGNQTYNDIENTTSCQSSFSGTRLLIEDLFFSESPSLKLRLLNLEKDPACFCLWEGQPIDASQKKWTAGASVISLSLETGNDSTGVQSSFSRSSDLWRCVELKGACLEVAMATADGSPLTDVPPPGGIVRIGVACQQFMSNTSAEQLFFVLDRYAYFGRVGERLATVAQNNPLKDMRNESLGETLAEKVPGDTGVCLTVKNLQLSFLESSSLNNHGTPLVQFLGNDLFIKVTHRTLGGAVAISSSLLWESVQVDCTDTTPSLANENGLALTANQNGSLDRDGSQLRAVFWVHNSKTHQSNGNVKSVPLLDINIVHVIPLNMQDTECHSINISACIAGVRLGGGMNYAEALLHRFGILGPDGGPGEGLTKGLEHLSAGPLSKLFKATPLIADELKESKDCLYNFPCCSFSS >itb01g20390.t1 pep chromosome:ASM357664v1:1:26665136:26667011:-1 gene:itb01g20390 transcript:itb01g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELLLQMEVPRKPHSQKRAIVKPIKPEAVIAACGLNIKLPKEKIEDIDAGDVYNELAVVEYAEDTYKFYKEAEV >itb07g12790.t1 pep chromosome:ASM357664v1:7:14784206:14786995:-1 gene:itb07g12790 transcript:itb07g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKIDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELMTKIDRRSGKELEKEPKFLKNGDAGFVKMLPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAQKKK >itb07g05600.t1 pep chromosome:ASM357664v1:7:3849028:3849524:1 gene:itb07g05600 transcript:itb07g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPPKNPHFIKPIHQAFKNGVDIPTAFLAKYLKGQGPKFAILRRGDRSWRVKIGGARILADGWEKFAAENGLNVGDVVVFRQERDTVFDVSVFEPSLCERDCPVPQPSKKFRSAGKTFNFLNASAIQCLMNLQNL >itb08g02490.t3 pep chromosome:ASM357664v1:8:1962408:1964690:-1 gene:itb08g02490 transcript:itb08g02490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKYRGTPNRETSVQMGEYDGATYTVIDEVPSSPRVNSGRKVSVLPLVFLIFYEVSGGPFGVEDSVHAAGPLLALVGFLVFPFIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSEIPALGGGFPRIIAVLALTLVLTYMNYRGLTIVGWVAVLLGIFSILPFVVMGFISIPKLKPERWLVVDVHNIDWNLYLNTIFWNLNYWDSISTLAGEVHNPNRTLPKALFYALILVVLGYFFPLLTGTGAIPLQRDLWTDGYFSDIAKILGGAWLSWWVQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVWLRIKSPDAPRPYKIPVGTIGAILMCVPPTLLIGVVLAFSSLKVLLISLGAIAIGLVMQPGLRHVEKKRWLKFSVSSDLPDIHQTTHETTASLI >itb08g02490.t2 pep chromosome:ASM357664v1:8:1962336:1965094:-1 gene:itb08g02490 transcript:itb08g02490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRGTPNRETSVQMGEYDGATYTVIDEVPSSPRVNSGRKVSVLPLVFLIFYEVSGGPFGVEDSVHAAGPLLALVGFLVFPFIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSEIPALGGGFPRIIAVLALTLVLTYMNYRGLTIVGWVAVLLGIFSILPFVVMGFISIPKLKPERWLVVDVHNIDWNLYLNTIFWNLNYWDSISTLAGEVHNPNRTLPKALFYALILVVLGYFFPLLTGTGAIPLQRDLWTDGYFSDIAKILGGAWLSWWVQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVWLRIKSPDAPRPYKIPVGTIGAILMCVPPTLLIGVVLAFSSLKVLLISLGAIAIGLVMQPGLRHVEKKRWLKFSVSSDLPDIHQTTHETTASLI >itb08g02490.t1 pep chromosome:ASM357664v1:8:1962336:1967459:-1 gene:itb08g02490 transcript:itb08g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKAETGSPGKSEKLQSAIVELSNGEKQQQQVAAEDNTAPSAAKGAAAASDKSIKIASNATHQKYRGTPNRETSVQMGEYDGATYTVIDEVPSSPRVNSGRKVSVLPLVFLIFYEVSGGPFGVEDSVHAAGPLLALVGFLVFPFIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSEIPALGGGFPRIIAVLALTLVLTYMNYRGLTIVGWVAVLLGIFSILPFVVMGFISIPKLKPERWLVVDVHNIDWNLYLNTIFWNLNYWDSISTLAGEVHNPNRTLPKALFYALILVVLGYFFPLLTGTGAIPLQRDLWTDGYFSDIAKILGGAWLSWWVQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVWLRIKSPDAPRPYKIPVGTIGAILMCVPPTLLIGVVLAFSSLKVLLISLGAIAIGLVMQPGLRHVEKKRWLKFSVSSDLPDIHQTTHETTASLI >itb15g03550.t2 pep chromosome:ASM357664v1:15:2250738:2254088:-1 gene:itb15g03550 transcript:itb15g03550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNDDRILVVKRNSPVGDCFWFLEVNTPFVRQQAKSFGTEVVAWSKGVIGDAEKPIVISGPSGVGKGTLISKLMDEFPSTFGFSVSHTTRAPREKEQNGVHYHFTERSKMEKDIKDGKFLEFANVHGNLYGTSVEAVEVVADSGKRCILDIDVQGARSVRASSLEAIFIFITPPSFEKLEKRLRARGTETEEQVQKRLRNAKEELKQGQSPGLFDHILVNDDLETCYKDLKAILGLSEGVKTVHKTGPKLADLPTDCSVTIIDKKILINSNSAEHGKTSNKTFLLDLSLLKGGAPGRTRGLNLRAIDSSTDTKQLS >itb15g03550.t1 pep chromosome:ASM357664v1:15:2250726:2255241:-1 gene:itb15g03550 transcript:itb15g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFVVENVIGEFPSGVDLKPKGNQTAIDVGSKTYVIGGGADHELKSTIGVQIYDKASGKWINPTVLGTKLKAPEGFSAMLLNDDRILVVKRNSPVGDCFWFLEVNTPFVRQQAKSFGTEVVAWSKGVIGDAEKPIVISGPSGVGKGTLISKLMDEFPSTFGFSVSHTTRAPREKEQNGVHYHFTERSKMEKDIKDGKFLEFANVHGNLYGTSVEAVEVVADSGKRCILDIDVQGARSVRASSLEAIFIFITPPSFEKLEKRLRARGTETEEQVQKRLRNAKEELKQGQSPGLFDHILVNDDLETCYKDLKAILGLSEGVKTVHKTGPKLADLPTDCSVTIIDKKILINSNSAEHGKTSNKTFLLDLSLLKGGAPGRTRGLNLRAIDSSTDTKQLS >itb15g03550.t3 pep chromosome:ASM357664v1:15:2250738:2255241:-1 gene:itb15g03550 transcript:itb15g03550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFVVENVIGEFPSGVDLKPKGNQTAIDVGSKTYVIGGGADHELKSTIGVQIYDKASGKWINPTVLGTKLKAPEGFSAMLLNDDRILVVKRNSPVGDCFWFLEVNTPFVRQQAKSFGTEVVAWSKGVIGDAEKPIVISGPSGVGKGTLISKLMDEFPSTFGFSVSHTTRAPREKEQNGVHYHFTERSKMEKDIKDGKFLEFANVHGNLYGTSVEAVEVVADSGKRCILDIDVQGARSVRASSLEAIFIFITPPSFEKLEKRLRARGTETEEQVQKRLRNAKEELKQGQSPGLFDHILVNDDLETCYKDLKAILGLSEGVKTVHKTGKLSTKSLESLTAQLMNLLIYAL >itb15g03350.t2 pep chromosome:ASM357664v1:15:2140690:2145783:1 gene:itb15g03350 transcript:itb15g03350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEGAEVRSVICGTEHGENGVGEQFGDDGGLHGMMTVPPPETGSSFTALLELPANQAVELLVHSPETENLPATKVPEIEPRRNFYPRCPPPPPIFPSDIALIDRASKFSVFAGNSPETSSIPSNSSWKPNFVKPEPLDSDSNPNSSPAVSNPPVNQNQKSTKRKDREKKVKESSKKNKKSANNTSEDGDDKCPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQYLQRQVEFLSMRLAAVNPRIDFNVDTLFAAETGSPVESNFPGMIMPSMWPEGQANGNRQPYQQLWHFNGLHRPVWGREDENSLLTYDSTNPASLHANQLKMEL >itb15g03350.t4 pep chromosome:ASM357664v1:15:2140690:2144063:1 gene:itb15g03350 transcript:itb15g03350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEGAEVRSVICGTEHGENGVGEQFGDDGGLHGMMTVPPPETGSSFTALLELPANQAVELLVHSPETENLPATKVPEIEPRRNFYPRCPPPPPIFPSDIALIDRASKFSVFAGNSPETSSIPSNSSWKPNFVKPEPLDSDSNPNSSPAVSNPPVNQNQKSTKRKDREKKVKESSKKNKKSANNTSEDGDDKCPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKVSCQFLISTKQCHNALNN >itb15g03350.t3 pep chromosome:ASM357664v1:15:2140690:2144063:1 gene:itb15g03350 transcript:itb15g03350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEGAEVRSVICGTEHGENGVGEQFGDDGGLHGMMTVPPPETGSSFTALLELPANQAVELLVHSPETENLPATKVPEIEPRRNFYPRCPPPPPIFPSDIALIDRASKFSVFAGNSPETSSIPSNSSWKPNFVKPEPLDSDSNPNSSPAVSNPPVNQNQKSTKRKDREKKVKESSKKNKKSANNTSEDGDDKCPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQYLQRQVEVRLNGPFI >itb15g03350.t1 pep chromosome:ASM357664v1:15:2140690:2146222:1 gene:itb15g03350 transcript:itb15g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEGAEVRSVICGTEHGENGVGEQFGDDGGLHGMMTVPPPETGSSFTALLELPANQAVELLVHSPETENLPATKVPEIEPRRNFYPRCPPPPPIFPSDIALIDRASKFSVFAGNSPETSSIPSNSSWKPNFVKPEPLDSDSNPNSSPAVSNPPVNQNQKSTKRKDREKKVKESSKKNKKSANNTSEDGDDKCPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQYLQRQVEFLSMRLAAVNPRIDFNVDTLFAAETGSPVESNFPGMIMPSMWPEGQANGNRQPYQQLWHFNGLHRPVWGREDENSLLTYDSTNPASLHANQLKMEL >itb15g03350.t5 pep chromosome:ASM357664v1:15:2140690:2144063:1 gene:itb15g03350 transcript:itb15g03350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEGAEVRSVICGTEHGENGVGEQFGDDGGLHGMMTVPPPETGSSFTALLELPANQAVELLVHSPETENLPATKVPEIEPRRNFYPRCPPPPPIFPSDIALIDRASKFSVFAGNSPETSSIPSNSSWKPNFVKPEPLDSDSNPNSSPAVSNPPVNQNQKSTKRKDREKKVKESSKKNKKSANNTSEDGDDKCPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKVSCQFLISTKQCHNALNN >itb15g20760.t1 pep chromosome:ASM357664v1:15:23417125:23419164:1 gene:itb15g20760 transcript:itb15g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKYITAEELKEHNKAGDLWVSIQGKVYDVSEWVKVHPGGELPLRSLAGQDVTDAFVAFHPGSVWHHLDTFFNGFYLRDYTVSEVSKDYRRLVSEFSKMGLFEKKGHGVFCSMCLMVALFAVSVYGVLCSENVWVHLVSGGLMGCLWIQSGWLGHDSGHYQIMMSKESNRFAQILTGNCLTGISIGWWKWNHNAHHIACNSLDFDPDLQHLPFFVVSSKLFNSLTSHFYERKLNFDPFARFLVSFQHWTFYPVMCFARINLYAQSFALLLSKRKVPNRGQELLGLLAFWVWYLLLVSCLPNWWERAMFVIASFVVTGIQHVQFCLNHFSTSVYVGPPTSTDWFEKQTGGSLDISCPSWMDWFHGGLQFQVEHHLFPRLPRCHLRKISPFVKELCKKHGLPYNCATFWEANEMTIRTLRAAALQARDLTKPVPKNLVWEAVNTHG >itb10g22300.t1 pep chromosome:ASM357664v1:10:27203583:27207715:1 gene:itb10g22300 transcript:itb10g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDDQRLPLHLQQLQNGSGEDGGSTIFFCERCSMGLCRFFSFKCFFILLLSIAVFLSAVFWFLPRHSNQSGFEANHSIKLGSSVQAYFELDKTVSDLIPYIARLEYDIFGEIGVPFTKVAILSLHQAGVSNQTNVTFGVLSNPIDTSITPASLSLLRSSLLDVFLQQVNLILTNSIFGRPSSFQILKFPGGVTLNPDPHSPFWQEQILFQFTLNNSIGEIKEYFVEFKKQLRSGLHLQPYENVCVQVNSRKGSTVEPPVTVEASIVSDVGDLPQERLRELARIITGSPPATNLGLNNSVFGKVKEVSLSSFLNHSLQASPPAPSPAPSPAPVQNDPEGPSISPSPAFSPSPSPAHLPDFGHLTPCCECPTSQPSDANHLYGQTPARQPDYSLSPLPDTNTPHVPSTGPCGSIIPPSLSPTSHGLNSAPNYMSPLPTHPPHTSHVQKIPQAPSPLAILSHGSNGDQDNGNHKGFMYSPQVPPSMSSSLS >itb07g21550.t4 pep chromosome:ASM357664v1:7:25911614:25917560:-1 gene:itb07g21550 transcript:itb07g21550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNVIVNCYDMMTTMRPIHKVVSFHHRFSLLASLCPLLPHSFKPILSSSRKPLPSIRPLSGISAMPASSRSPHLLPLTAVGNEDGGGPSAANGAAASTDPSAPCYDDDEGNKYHLPPPEIRDIVDAPPLPVLSFSPQRDKILFLKRRSLQPLADLARPEVKLAGIRIDGKSNSRSRMSFYTGIGIHQLLEDGTLGPEKLIHGLPDEAKINFVTWSKNGQLLAFSVRVEEEENSTSKLSVWVADVETGTARPLFQSKDVYLNAIFDNFVWVNDSTLLVCTIPLSRGDPPKKPLVPSGPKVQSNEQKNIIQARTYQDLLKDEHDEDLFEYYATSELVLASLDGTMKPVGPPAIYTSMDPSPDEKYILISSIHRPFSFIVPCGRFPKKVEVWTADGKFVRELCDLPLAEDIPIAINSVRKGMRSINWRADKPSTLYWAETQDGGDAKVEASPRDIIYSQPAEPHENEQPTVLHKLDLRYGGISWCDDTLALVYESWYKTRRIKTWVISPESKDVNPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKMKKEGEEGTFVLLNGSGATPEGNIPFLDLFDINTGNKERIWQSDKEKYYETVVALMSDQTEGELPVNQLKFLTSKESKTENTQYYILSWPEKKACQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGPTSPLLWLARRFAILSGPTIPIIGEGNEEANDRYVEQLVASAEAAVKEVVRRGVADPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWMQKHCVPNTSKVDTDTKVTEDPESKAVSASGAGVQELESDSNDTFHSLRRSSLW >itb07g21550.t2 pep chromosome:ASM357664v1:7:25911569:25917570:-1 gene:itb07g21550 transcript:itb07g21550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNVIVNCYDMMTTMRPIHKVVSFHHRFSLLASLCPLLPHSFKPILSSSRKPLPSIRPLSGISAMPASSRSPHLLPLTAVGNEDGGGPSAANGAAASTDPSAPCYDDDEALGNKYHLPPPEIRDIVDAPPLPVLSFSPQRDKILFLKRRSLQPLADLARPEVKLAGIRIDGKSNSRSRMSFYTGIGIHQLLEDGTLGPEKLIHGLPDEAKINFVTWSKNGQLLAFSVRVEEEENSTSKLSVWVADVETGTARPLFQSKDVYLNAIFDNFVWVNDSTLLVCTIPLSRGDPPKKPLVPSGPKVQSNEQKNIIQARTYQDLLKDEHDEDLFEYYATSELVLASLDGTMKPVGPPAIYTSMDPSPDEKYILISSIHRPFSFIVPCGRFPKKVEVWTADGKFVRELCDLPLAEDIPIAINSVRKGMRSINWRADKPSTLYWAETQDGGDAKVEASPRDIIYSQPAEPHENEQPTVLHKLDLRYGGISWCDDTLALVYESWYKTRRIKTWVISPESKDVNPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKMKKEGEEGTFVLLNGSGATPEGNIPFLDLFDINTGNKERIWQSDKEKYYETVVALMSDQTEGELPVNQLKFLTSKESKTENTQYYILSWPEKKACQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGPTSPLLWLARRFAILSGPTIPIIGEGNEEANDRYVEQLVASAEAAVKEVVRRGVADPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWMQKHCVPNTSKVDTDTKVTEDPESKAVSASGAGVQELESDSNDTFHSLRRSSLW >itb07g21550.t1 pep chromosome:ASM357664v1:7:25911569:25917570:-1 gene:itb07g21550 transcript:itb07g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNVIVNCYDMMTTMRPIHKVVSFHHRFSLLASLCPLLPHSFKPILSSSRKPLPSIRPLSGISAMPASSRSPHLLPLTAVGNEDGGGPSAANGAAASTDPSAPCYDDDEALGNKYHLPPPEIRDIVDAPPLPVLSFSPQRDKILFLKRRSLQPLADLARPEVKLAGIRIDGKSNSRSRMSFYTGIGIHQLLEDGTLGPEKLIHGLPDEAKINFVTWSKNGQLLAFSVRVEEEENSTSKLSVWVADVETGTARPLFQSKDVYLNAIFDNFVWVNDSTLLVCTIPLSRGDPPKKPLVPSGPKVQSNEQKNIIQARTYQDLLKDEHDEDLFEYYATSELVLASLDGTMKPVGPPAIYTSMDPSPDEKYILISSIHRPFSFIVPCGRFPKKVEVWTADGKFVRELCDLPLAEDIPIAINSVRKGMRSINWRADKPSTLYWAETQDGGDAKVEASPRDIIYSQPAEPHENEQPTVLHKLDLRYGGISWCDDTLALVYESWYKTRRIKTWVISPESKDVNPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKMKKEGEEGTFVLLNGSGATPEGNIPFLDLFDINTGNKERIWQSDKEKYYETVVALMSDQTEGELPVNQLKFLTSKESKTENTQYYILSWPEKKACQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGPTSPLLWLARRFAILSGPTIPIIGEGNEEANDRYVEQLVASAEAAVKEVVRRGVADPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWMQKHCVPNTSKVDTDTKVTEDPESKAVSASGAGVQELESDSNDTFHSLRRSSL >itb07g21550.t3 pep chromosome:ASM357664v1:7:25911614:25917560:-1 gene:itb07g21550 transcript:itb07g21550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNVIVNCYDMMTTMRPIHKVVSFHHRFSLLASLCPLLPHSFKPILSSSRKPLPSIRPLSGISAMPASSRSPHLLPLTAVGNEDGGGPSAANGAAASTDPSAPCYDDDEGNKYHLPPPEIRDIVDAPPLPVLSFSPQRDKILFLKRRSLQPLADLARPEVKLAGIRIDGKSNSRSRMSFYTGIGIHQLLEDGTLGPEKLIHGLPDEAKINFVTWSKNGQLLAFSVRVEEEENSTSKLSVWVADVETGTARPLFQSKDVYLNAIFDNFVWVNDSTLLVCTIPLSRGDPPKKPLVPSGPKVQSNEQKNIIQARTYQDLLKDEHDEDLFEYYATSELVLASLDGTMKPVGPPAIYTSMDPSPDEKYILISSIHRPFSFIVPCGRFPKKVEVWTADGKFVRELCDLPLAEDIPIAINSVRKGMRSINWRADKPSTLYWAETQDGGDAKVEASPRDIIYSQPAEPHENEQPTVLHKLDLRYGGISWCDDTLALVYESWYKTRRIKTWVISPESKDVNPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKMKKEGEEGTFVLLNGSGATPEGNIPFLDLFDINTGNKERIWQSDKEKYYETVVALMSDQTEGELPVNQLKFLTSKESKTENTQYYILSWPEKKACQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAASQVRGSPNEFAGIGPTSPLLWLARRFAILSGPTIPIIGEGNEEANDRYVEQLVASAEAAVKEVVRRGVADPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWMQKHCVPNTSKVDTDTKVTEDPESKAVSASGAGVQELESDSNDTFHSLRRSSL >itb08g04520.t2 pep chromosome:ASM357664v1:8:3717259:3722302:1 gene:itb08g04520 transcript:itb08g04520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAVEEETPAIKALGSLFKLTEIYLSDDTSYEVHRCLDSTEATRAIHEDAVDSNINSEFDFLPEDMELAKLMSGMGLPMSFHTTQRNGTPGGKRKQKKKHTVSTQDGVQEPIEERVGGYASSTILHTSESLSCLLIGRESELPTFDNAASVDEVCHIPARVKDSISSTLSSAKSTIYEFIPSDLGQDQELNSKSTCHDILLREDTGVMEHLSLDGIVGAVCDSKDLTGDHMESICVESTLAVNHDGRSVFGDWKVYWDNFYSRNYFYNLISQECTWDPPPGMEELVFINIKDKATEPENDTANLDVTLAGFEESADQFLSCGAQPTHDLLEEHKGDIGLLVQQLHDSIGDELECTWDPPPEIEELEFINIADKATDPENDIANLDVTLAGFEESADQFISRGAQPTLDLSEEHKGDIGLLDQQLHDSIGDELECTWDPPPEIEELVFINITDKATEPENDIANLDVTLYGFEESADQLLSCGTQPILDLSEEHQGDIKLLDQQLHDSVGDELVADISCHTSSAKRKKKSRRVKSKQNLSIGSGEFQFPSIIEGFYPGLSKYWCQRYRLFSKYDEGIQMDEEGWFSVTPESLAKHHADRCANGTIVDFFTGVGGNAIQFAQRCRHVIAIDIDPKKIDYAQHNAAIYGVSDQIDFIRGDSFTLAPMLKVDVVFMSPPWGGPDYAKVKTFDIKTMLKPHDGHFLFNIATRIAPKVVMFLPKTVDINQLAELALSVNPPWSLEVEKNFLNGKLKAITAYFIKSSS >itb08g04520.t1 pep chromosome:ASM357664v1:8:3717259:3722302:1 gene:itb08g04520 transcript:itb08g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAVEEETPAIKALGSLFKLTEIYLSDDTSYEVHRCLDSTEATRAIHEDAVDSNINSEFDFLPEDMELAKLMSGMGLPMSFHTTQRNGTPGGKRKQKKKHTVSTQDGVQEPIEERVGGYASSTILHTSESLSCLLIGRESELPTFDNAASVDEDQELNSKSTCHDILLREDTGVMEHLSLDGIVGAVCDSKDLTGDHMESICVESTLAVNHDGRSVFGDWKVYWDNFYSRNYFYNLISQECTWDPPPGMEELVFINIKDKATEPENDTANLDVTLAGFEESADQFLSCGAQPTHDLLEEHKGDIGLLVQQLHDSIGDELECTWDPPPEIEELEFINIADKATDPENDIANLDVTLAGFEESADQFISRGAQPTLDLSEEHKGDIGLLDQQLHDSIGDELECTWDPPPEIEELVFINITDKATEPENDIANLDVTLYGFEESADQLLSCGTQPILDLSEEHQGDIKLLDQQLHDSVGDELVADISCHTSSAKRKKKSRRVKSKQNLSIGSGEFQFPSIIEGFYPGLSKYWCQRYRLFSKYDEGIQMDEEGWFSVTPESLAKHHADRCANGTIVDFFTGVGGNAIQFAQRCRHVIAIDIDPKKIDYAQHNAAIYGVSDQIDFIRGDSFTLAPMLKVDVVFMSPPWGGPDYAKVKTFDIKTMLKPHDGHFLFNIATRIAPKVVMFLPKTVDINQLAELALSVNPPWSLEVEKNFLNGKLKAITAYFIKSSS >itb08g04520.t4 pep chromosome:ASM357664v1:8:3717271:3722266:1 gene:itb08g04520 transcript:itb08g04520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFYGSYEDMELAKLMSGMGLPMSFHTTQRNGTPGGKRKQKKKHTVSTQDGVQEPIEERVGGYASSTILHTSESLSCLLIGRESELPTFDNAASVDEDQELNSKSTCHDILLREDTGVMEHLSLDGIVGAVCDSKDLTGDHMESICVESTLAVNHDGRSVFGDWKVYWDNFYSRNYFYNLISQECTWDPPPGMEELVFINIKDKATEPENDTANLDVTLAGFEESADQFLSCGAQPTHDLLEEHKGDIGLLVQQLHDSIGDELECTWDPPPEIEELEFINIADKATDPENDIANLDVTLAGFEESADQFISRGAQPTLDLSEEHKGDIGLLDQQLHDSIGDELECTWDPPPEIEELVFINITDKATEPENDIANLDVTLYGFEESADQLLSCGTQPILDLSEEHQGDIKLLDQQLHDSVGDELVADISCHTSSAKRKKKSRRVKSKQNLSIGSGEFQFPSIIEGFYPGLSKYWCQRYRLFSKYDEGIQMDEEGWFSVTPESLAKHHADRCANGTIVDFFTGVGGNAIQFAQRCRHVIAIDIDPKKIDYAQHNAAIYGVSDQIDFIRGDSFTLAPMLKVDVVFMSPPWGGPDYAKVKTFDIKTMLKPHDGYVCLTSAVYGL >itb08g04520.t3 pep chromosome:ASM357664v1:8:3717259:3722302:1 gene:itb08g04520 transcript:itb08g04520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFYGSYEDMELAKLMSGMGLPMSFHTTQRNGTPGGKRKQKKKHTVSTQDGVQEPIEERVGGYASSTILHTSESLSCLLIGRESELPTFDNAASVDEVCHIPARVKDSISSTLSSAKSTIYEFIPSDLGQDQELNSKSTCHDILLREDTGVMEHLSLDGIVGAVCDSKDLTGDHMESICVESTLAVNHDGRSVFGDWKVYWDNFYSRNYFYNLISQECTWDPPPGMEELVFINIKDKATEPENDTANLDVTLAGFEESADQFLSCGAQPTHDLLEEHKGDIGLLVQQLHDSIGDELECTWDPPPEIEELEFINIADKATDPENDIANLDVTLAGFEESADQFISRGAQPTLDLSEEHKGDIGLLDQQLHDSIGDELECTWDPPPEIEELVFINITDKATEPENDIANLDVTLYGFEESADQLLSCGTQPILDLSEEHQGDIKLLDQQLHDSVGDELVADISCHTSSAKRKKKSRRVKSKQNLSIGSGEFQFPSIIEGFYPGLSKYWCQRYRLFSKYDEGIQMDEEGWFSVTPESLAKHHADRCANGTIVDFFTGVGGNAIQFAQRCRHVIAIDIDPKKIDYAQHNAAIYGVSDQIDFIRGDSFTLAPMLKVDVVFMSPPWGGPDYAKVKTFDIKTMLKPHDGHFLFNIATRIAPKVVMFLPKTVDINQLAELALSVNPPWSLEVEKNFLNGKLKAITAYFIKSSS >itb11g02330.t1 pep chromosome:ASM357664v1:11:1178189:1179065:1 gene:itb11g02330 transcript:itb11g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSYSLCFLFVFSTLFVTLDGAFYRDVTGAVPAKRVKKTSHFHFYFHDVTSGRNASAKIIAPPSAGLYDFGTTFMFDDALTESPDRRSKVVGRAQGMYAVTAQKELDLLVVANFAFTEGKYNGSSIAVVGRNPVANDVREMPVVGGSGVFRFAQGYALAHSFSFDRKTGNAVVEYNVFVQHYF >itb01g19360.t1 pep chromosome:ASM357664v1:1:25405858:25414985:-1 gene:itb01g19360 transcript:itb01g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTPNPNKRSSPYSSSSCSATAGDGASPLHRPPPMKKAKSQAVACSLDTNKNGHQHVHFFPDVDDDPSAANMDDLDAAGASATRAATANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEENTWATLKSAINAIFLKQPDPCDLEKLYQAVNDLCLHKMGGSLYQRIEKECETHIAMALQSLVGQSEDLVVFLSLVEKCWQDFCDQILTIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLASEVEHKTVFGLLQMIESERLGEAVDRTLLNHLLKMFTALGIYPESFEKPFLERTSEFYAAEGVKYMQHADVPDYLKHVEVRLHEEQERSLLYLDASTRKPLIATAEMQLLERHISAILDKGFKTLMDGNRTEDIQRMYMLFSRVNSLESLRQALNLYIRRTGQGIVMDEEKDKDMVSNLLEFKASLDKIWEESFTKNEAFSNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKALVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPMGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSFFQTVVLMLFNEAESFSFQDIKEAIGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDDDTFVFNDQFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLIAELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQIYNYLA >itb08g06620.t1 pep chromosome:ASM357664v1:8:5645419:5647149:1 gene:itb08g06620 transcript:itb08g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRFGRVLDSLCLSSGSSGSSCFCGINSFGSSQDGGDDQFEKRPLMLGDHPKDGAVRLKDVISGPPTLAFQLKPKMVVLRVSMHCYGCAKKVEKHISKMEGVTSYQVDLETKMVVVVGDIVPFEVLESVSKVKNAELWTITTQENTKVI >itb10g18970.t1 pep chromosome:ASM357664v1:10:24770085:24771227:-1 gene:itb10g18970 transcript:itb10g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPSFNRRKEMAVGTSFNRHNPLVPVTPPARSPESSDEFESLTDDDGDDRMADASAFVPDTTPEGLEADGTTMMRAISVEDYKSKSVIKVAESSGYGVNRAVIDFSRGEGTLGVPRVVIDLTGDNSDDETQIREVMPAPATARIKSECSNSKAVCIDVEDAMESSVYSFICEICCDAKPMSDIFRIKACKHSYCSDCVSKFVASKLQQNFSQINCPVSGCTGVLEPHNCRSILPPQVFDRWGDALCEALLLASEKFYCPFKDCSALLIDEKMEVVESECPECHRLFCAKCKVPWHSGIACSEFQKLHESEREKEDILLVNVANEKQWMRCPNCRVYVERVSGCPFMMCRLDHFSTVCLFIIFIHLIDYNMFRPSLHFA >itb15g20140.t1 pep chromosome:ASM357664v1:15:22645700:22650692:-1 gene:itb15g20140 transcript:itb15g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MTTVQAVMANLTAMERQVLTAVNTGGSSLSLVGSGFIVLCYLLFKELRKFSFKLVFFLALSDMCCSFFSIIGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEPMFHLYVWGTSIVMTVIRSIGNDHRHITRLGTLCWAQTGRAGKAVHFVTFYAPLWAAILFNGITYFQVIRMLNNATRMAVGMSEHAYQSDARPDMKAISRWGYYPLILIGSWFFATVNRIHDFIEPDHKIFWLSVLDVGMAALMGLFNSIAYGLNSSVRRAIYERLDLLPESLRRWFPKSLRSRAQQQDSELIPLKVENQQ >itb15g20140.t2 pep chromosome:ASM357664v1:15:22646873:22650692:-1 gene:itb15g20140 transcript:itb15g20140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MTTVQAVMANLTAMERQVLTAVNTGGSSLSLVGSGFIVLCYLLFKELRKFSFKLVFFLALSDMCCSFFSIIGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEPMFHLYVWGTSIVMTVIRSIGNDHRHITRLGTLCWAQTGRAGKAVHFVTFYAPLWAAILFNGITYFQVIRMLNNATRMAVGMSEHAYQSDARPDMKAISRWGYYPLILIGSWFFATVNRIHDFIEPDHKIFWLSVLDVGMAALMVSISNKYMHPGILASCGYMLLNFEICTA >itb08g04480.t2 pep chromosome:ASM357664v1:8:3664876:3668448:-1 gene:itb08g04480 transcript:itb08g04480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYGVLLYTIILSLSVFLYVLSALTRTKPHPRKKKPKLPPGSMGWPYIGETLQLYSQDPNIFFTNRETRYGEIFKTRILGYPCVMLASPEAARFVLVTAANLFKPTYPKSKESLIGPSALFFHQGSYHVRLRKMVQGALSPDSIRGRVADIEAIALSALDSWAASGQVVNTFQEMKKFSFEVGILAIFGRLEDHQKEELKKNYSIVNKGYNSFPTNFPTSLYSKALEARKRLSNIISEIINERKEKKIEENGLLSCFMDSTDEKGHTLNDDQIADNIIGVLFAAQDTTASVLTWILKYLHDNPKLLQAVKAEQKAIRLSKTVENSPLTWTDTRQMPTTYKVVLETLRMSSIISFTFREAVADVEYQGYLIPKGWKVLPLFRNIHHNPDFFTDPQKFEPSRFENAPKPNTFMPFGSGVHACPGNELAKLEMLVMTHHLVTKFRWEVVGSQKGIQYAPFPVPQNGLPARFWKESSI >itb08g04480.t1 pep chromosome:ASM357664v1:8:3664876:3668449:-1 gene:itb08g04480 transcript:itb08g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYGVLLYTIILSLSVFLYVLSALTRTKPHPRKKKPKLPPGSMGWPYIGETLQLYSQDPNIFFTNRETRYGEIFKTRILGYPCVMLASPEAARFVLVTAANLFKPTYPKSKESLIGPSALFFHQGSYHVRLRKMVQGALSPDSIRGRVADIEAIALSALDSWAASGQVVNTFQEMKKFSFEVGILAIFGRLEDHQKEELKKNYSIVNKGYNSFPTNFPTSLYSKALEARKRLSNIISEIINERKEKKIEENGLLSCFMDSTDEKGHTLNDDQIADNIIGVLFAAQDTTASVLTWILKYLHDNPKLLQAVKAEQKAIRLSKTVENSPLTWTDTRQMPTTYKVVLETLRMSSIISFTFREAVADVEYQGYLIPKGWKVLPLFRNIHHNPDFFTDPQKFEPSRFENAPKPNTFMPFGSGVHACPGNELAKLEMLVMTHHLVTKFRYCCH >itb02g11660.t1 pep chromosome:ASM357664v1:2:7789791:7794341:1 gene:itb02g11660 transcript:itb02g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILREMEDIMGSFKRQKVSEVVKIDDGEDKISQLPDSILNHILSFLSTSNAVATCILSTRWKNLWTILPNLDFDDSLLYSRPVFGYPMKVTLFMHFVQRVLQLRNASIEKLRLSCRVCFSASHVCTWLSSAIKNNVQELDLCLFVEEPFSLPSCVFYSKSLLVLKLEMNCTLELPDSISFPCLRTLHLCLVTFPDDSSTQRLFSGCPVLQELTVLDCDWINLKSVSISIPSLKSLTIDDLPYFGSPDDLNGCEIRIDSSNLRFLKYSGYLSNEIYLYNLSSRVDASIHIAVLCDKRKQIAFRAVKLFRGLNNVSSVRISSGAIKSLFNADDVLDHLPVFQNLTHLELGKGLENQTVGEFMELLRHLPKLESLDFFEGLESLDPCKPSGENDWKLKALPTCFLSSLKTVNYCNFHANETEICFLKLLLNIAIILEEMNIFCSESFLEDPKKHKEIRNHLHTLPKGSARCAIKFF >itb02g11660.t2 pep chromosome:ASM357664v1:2:7789827:7794340:1 gene:itb02g11660 transcript:itb02g11660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILREMEDIMGSFKRQKVSEVVKIDDGEDKISQLPDSILNHILSFLSTSNAVATCILSTRWKNLWTILPNLDFDDSLLYSRPVFGYPMKVTLFMHFVQRVLQLRNASIEKLRLSCRVCFSASHVCTWLSSAIKNNVQELDLCLFVEEPFSLPSCVFYSKSLLVLKLEMNCTLELPDSISFPCLRTLHLCLVTFPDDSSTQRLFSGCPVLQELTVLDCDWINLKSVSISIPSLKSLTIDDLPYFGSPDDLNGCEIRIDSSNLRFLKYSGYLSNEIYLYNLSSRVDASIHIAVLCDKRKQIAFRAVKLFRGLNNVSSVRISSGAIKVFTLGLPIINEICEYILHAIPFAYGNIKQPN >itb01g20770.t1 pep chromosome:ASM357664v1:1:26975844:26977035:-1 gene:itb01g20770 transcript:itb01g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQTPPPSARPTTPRSSLAEAAPLHRRQSLCITGAPLLKATEQKNDRIAQVMGWRFPPSTMAAGEPINGDRNHQKTAERSDALTLFSGGNKEREAKDSSSLRIIFDPESSHPGFSS >itb01g02060.t1 pep chromosome:ASM357664v1:1:1325259:1329250:-1 gene:itb01g02060 transcript:itb01g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGPQRSRKRPFVDSVDDDSQKPSAEKRVRFPKGKKLKRGDYVVDGSEELPSGWKDPRLAAKERAMRRSQITADLLDEGNRGMIHNIALAEEAYEDNETFVEDGIQIEPFNLDKEREEGYFDANGNYVEYVNENDIKDAWLDSIDVHPKAAKKTRVKTANEDEARDLTSEEIGKIKRRIADVLEPGEKVLQALRRLKGTSNNKEKMSAENKQLFDQLTEDAMKLLENGDYNVYDERQESFQREAEGYERLAQAKALGTSMELKNNSGSVLDEDFIPSVAAEGPSSLSSVNAGPSNPNASAAMSNGDDSFDMFAEDDENPPTNPTSSEGTLQNDYVYDESSGYYYSSSLGYYYDPSSGLYCNAASGQWYSYKEESGTYEEVPQAQPQPQPEAS >itb01g30110.t1 pep chromosome:ASM357664v1:1:34195577:34196254:1 gene:itb01g30110 transcript:itb01g30110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFHHKKEEDGPVDYKKEEKHHKHLEHIGELGAAAAGAYALHEKHEAKKDTEHGHRHKIEEEVAAAVAVGAGGFAFHEHHEKKEAKKEEKEHDGKHHHHF >itb13g05040.t1 pep chromosome:ASM357664v1:13:5967163:5967805:1 gene:itb13g05040 transcript:itb13g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNQEITLNILEEKAFKDLFHDYSQGKLHVTNRDYWSTSLWQELSHEYNNRAKRDGLMQRSWEDMIRGGKILNSRFCMQKPSKSEDRILKKIFEPCDSCQWRIPVFEVPLLNKAEFSLLNKAGSGSQIEDSCCAGGEQSQELRLVNLFHKMKENKQVTPNQLLNLLESNASLQEKVDIVKIALDGNI >itb01g20030.t2 pep chromosome:ASM357664v1:1:26350114:26351971:-1 gene:itb01g20030 transcript:itb01g20030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDCAAVTPSKSRWGGILGKVFPRIRKPKVKNDGDLVKTSEDEKMAVEAFVAKVFASLSAVKAAYAELQFAHSPYDPELIHAADQMVVSELKLLSELKQCFSKKQIGWDRGDPGITLLLAEIKEKKCVVKTYESMVKKMDSQVKLKGSEIMFLKEKLEEADKENKLLEKRLNSTSEPDNLRLSTLNYSHFIKFFRQTVSSIRSFVRQMCWEMESAGWDLDAAASSIQPDSVKAKNRRCFAFEYYVCREMFDGFNRPNFSISGEALKDSEKRQRLLMDRFTELNAVKAAADYLARKPKSTFARFCGKKYRRLVHPGMEESLFGGDCGHRKMVKSGEFPETRFFCCFAEMAKRVWLLHCLAFSFNPEASIFQVSKGAPFSDVYMDNANDEPLLSWDGSPETQPRVAFTVVPGFRLGTTVIQCLVFLC >itb01g20030.t1 pep chromosome:ASM357664v1:1:26350114:26352055:-1 gene:itb01g20030 transcript:itb01g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDCAAVTPSKSRWGGILGKVFPRIRKPKVKNDGDLVKTSEDEKMAVEAFVAKVFASLSAVKAAYAELQFAHSPYDPELIHAADQMVVSELKLLSELKQCFSKKQIGWDRGDPGITLLLAEIKEKKCVVKTYESMVKKMDSQVKLKGSEIMFLKEKLEEADKENKLLEKRLNSTSEPDNLRLSTLNYSHFIKFFRQTVSSIRSFVRQMCWEMESAGWDLDAAASSIQPDSVKAKNRRCFAFEYYVCREMFDGFNRPNFSISGEALKDSEKRQRLLMDRFTELNAVKAAADYLARKPKSTFARFCGKKYRRLVHPGMEESLFGGDCGHRKMVKSGEFPETRFFCCFAEMAKRVWLLHCLAFSFNPEASIFQVSKGAPFSDVYMDNANDEPLLSWDGSPETQPRVAFTVVPGFRLGTTVIQCLVFLC >itb01g22000.t1 pep chromosome:ASM357664v1:1:27960839:27961390:1 gene:itb01g22000 transcript:itb01g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLEIQYSLSKSKLLRKASRMLSSSRQTSFSFPVYQPCMDEQKRVFDRFDSNGDGKISPDEYKAFLRSLGKSKFLTREVEKIFEVADSDGDGSIDFNEFVEVQRKEGGSRTTDLHSAFQVFDRDGDGKICAEEVFELLQKLGDGCSLQDCQKMVKARDVNGDGVIDIDEFINMMTRGTPLC >itb07g07610.t1 pep chromosome:ASM357664v1:7:5781986:5790046:1 gene:itb07g07610 transcript:itb07g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLEFPFAQHTHPHCGLMAVDCDAKPLPNIQLETGGDWYQLQLVKPSPVWGDYMIFLGDLKLQRLLEYPPNYSNLNYTLQFPYSPSITFHNLEAKQLSPTFLKCNYSEADDDMGNYERYNCTEGFSLKYKSELVPENNPKCDTAAANCTLYPTPILLQQTNAQLTAQFGLYLHVSPPCYDCYYYGGLQCTADSNNKSQCTKVKVLKELKTNGEEFINEVASISRTSHVNIVTLIGYCIEDELPCMKEFPCGSVGPLVFPFAPHTHPHCGLIMVDCEAKPLPYIQLETGGDWYQLLSNDLGPNTIFLEDSKLQGLLDSGNYSNLTYTLQFRNPQSITFNNFEASPLLECIHSPADDIDNYERRNCTEGFSFILKYKSELVPENPKCDTAANCTLYPTSILVQQTNALLTPHFGLHLEVSPACYNCYYRGICGADRNYELQCAKDELPSNCAEEFLCGSMGYLEFPFAPHTHPHCGLILVDCDAKPFPTLQLETGGDSYQLQLVKPPHVLGEWGEYVIFLGDVKLQKLLNPKYPNYSNLNYTLQFPYSPSITFHNFEAKGLNLPFLKCNYNQADDMGNYESFSIWTGIPSLTCVL >itb07g20870.t1 pep chromosome:ASM357664v1:7:25294336:25298403:-1 gene:itb07g20870 transcript:itb07g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MNQQKRSSKARLPMAKKSFKRSNLKTDKTLKSSLTRHFTLGHQSSATISSSSSSQPSGFQSLLDCEAHPPAQSHFPSTSSVDAADAGVDEKDFILSQDFFCTPDYITPDAPAIPNAFNNETDNLICPGSPEKIRTVGSKMRSLDGLSVSFTSNFSSQQPLPEAVDDTSGVDETMLESTIKLQKNHSYVSQSAVALRCRVMPPPCIKNPYLKDASETDIDPFGNRRSKCAAFWPAVWDNDGLSRYRTDFHEIEQIGSGNFSRVFKVLNRIDGCMYAVKQSTRQLHLESERRKALMEVQALAALGYHENIVGYYTSWFENDKLYIQMELCDHSLSVQRTKLFTEGEILEILYQIAKALQCVHERGIAHLDVKPDNIYVKNGVYKLGDFGCATLVDSSLPIEEGDARYMPQEILNENFDHLDKVDIFSLGVAMYELIRGSSLPESGLHLLNLKEGKMPLLPGHSLQFQNLLKVMMDPDPTRRPSAKDLVYNHIFERRQRSTANKMH >itb11g08960.t1 pep chromosome:ASM357664v1:11:5897224:5899948:1 gene:itb11g08960 transcript:itb11g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFYTRRSPRIRGLFNGLCSLALIFLFYNGEYILRNPRLQQSSSSIEWMSKSGVHAWSNPMRVIRRGMVEVNLNFSIGVNGSGQGDLSIEKHDVCSGLSDHRGYESGCDFLKAHPQCTTGGFFDYVKFYYCGCSESGWAYLVFAIWLVTLFYFLGNTAADYFCCSLEKLASLLKLSPTVAGVALLPLGNGAPDVFASIAAFVGTGAGEVGLNSVLGGAVFVTCIVVGAVSLCVADQDAQIDRKCFFRDIVFFIATLFSLLLILIVGRISVLAAVAFLLIYVVYAFAVAANEILRKHARRLKLDSITPLLPVRGTVFSSGMEEDYSVYSPFLETETGSDGDQLQSSLPQWMWASNVAIYSNQTIRVSESEWPVWGWNDKDFEVEQTSFSWSKLWSLLEIPMTVPRRLTIPLVEGETWSKFYAVASASLAPILLVCLWSSQDDVSNHSKLIAFIIGVLVGCTLGLLAYKYTRSDHPPQNFLLPWVLGGFVMSIVWFYMIANELVGLLVGLGVILGVNPSILGLTVLAWGNSMGDLVSNVALAMNGGDSVQIALSGCYAGPMFNILIGLGISMFLGAWSEKPGSYVLPLDKSLYFTMTFLMLGLVWALIVLPRNDMRPNRTLGGGLLIIYVIFLSVRLSSAMGIIRLSGLH >itb09g29690.t2 pep chromosome:ASM357664v1:9:30400245:30404207:-1 gene:itb09g29690 transcript:itb09g29690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNEDPLQLHAAQQQKLPTPASAPKKTPGQAPAKPNSAAANAKLPSKPLPPSQAVREAKTEFQRGRGPRGGGRGGRGRGFCQDSTNNENNYGNNNGFAGGYRQSEDGEGGKPFERRRGYGGGYRQSEDGEGGKPFERRGGYGGGYRQSEDGEGGKTFERRGGYGGPRGGFRGDRRGDLSNGEKADGEYPRRVYERRSGTGRGSEIKREGSGRGNWGSPTDEIAPEAEEPMNGVVNDVVNTDAIEKQHGQEDAGDAKKDSPSDEPEVKEPEEKEMTLDEYEKLLEEKRKALLASRPEERKVCLDKEFESMALLANKKNDDEVFIKLGSEKEDKKKEAGEKVKKTKSINEFLKPAEGEMYYRPGGRGRGRGRGSGRGGYGGNNTSSFGTPSIEDVGQFPTLGSK >itb09g29690.t1 pep chromosome:ASM357664v1:9:30400245:30404207:-1 gene:itb09g29690 transcript:itb09g29690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNEDPLQLHAAQQQKLPTPASAPKKTPGQAPAKPNSAAANAKLPSKPLPPSQAVREAKTEFQRGRGPRGGGRGGRGRGFCQDSTNNENNYGNNNGFAGGYRQSEDGEGGKTFERRGGYGGPRGGFRGDRRGDLSNGEKADGEYPRRVYERRSGTGRGSEIKREGSGRGNWGSPTDEIAPEAEEPMNGVVNDVVNTDAIEKQHGQEDAGDAKKDSPSDEPEVKEPEEKEMTLDEYEKLLEEKRKALLASRPEERKVCLDKEFESMALLANKKNDDEVFIKLGSEKEDKKKEAGEKVKKTKSINEFLKPAEGEMYYRPGGRGRGRGRGSGRGGYGGNNTSSFGTPSIEDVGQFPTLGSK >itb09g12730.t2 pep chromosome:ASM357664v1:9:8044512:8047017:1 gene:itb09g12730 transcript:itb09g12730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKRRSWFAFVKRLFIQEAKSKAEKRPRRWKWFSGKIKLRQRPAIEAPQRTLCEAAEDQRKHAMAVAVATAAAAEAAVAAANAAAEVVRLTNAPYELQKRQSSAATKIQTAFRAYLARKALSALKGLVKLQAVIRGEIVRRRLIAKLKCMLSFPKSQPQVHQKRIAAVEQPISQKEILKPHEVKCQSHRTWDFTLASGEDAEALWFRRRDAITKRERMMKYSLSQRERRNDETLQELLTNKENQGSCRFDQWAESGTPKRTTLADRLRSFAHSNVHGGDTNQMTQVQGRYARKQDYSEELNSPLSLPRRSFSLVKQKSTSDDSSLPDSPMFPAYMAATESAKAKMRSMSTPKQRVRLYDTCSCQHSPHKLSLSSWSSFNDETSSTERNWNFSASIRERKNVLP >itb09g12730.t1 pep chromosome:ASM357664v1:9:8044492:8047017:1 gene:itb09g12730 transcript:itb09g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKRRSWFAFVKRLFIQEAKSKAEKRPRRWKWFSGKIKLRQRPAIEAPQRTLCEAAEDQRKHAMAVAVATAAAAEAAVAAANAAAEVVRLTNAPYELQKRQSSAATKIQTAFRAYLARKALSALKGLVKLQAVIRGEIVRRRLIAKLKCMLSFPKSQPQVHQKRIAAVEQPISQKEILKPHEVKCQSHRTWDFTLASGEDAEALWFRRRDAITKRERMMKYSLSQRERRNDETLQELLTNKENQGSCRFDQWAESGTPKRTTLADRLRSFAHSNVHGGDTNQMTQVQGRYARKQDYSEELNSPLSLPRRSFSLVKQKSTSDDSSLPDSPMFPAYMAATESAKAKMRSMSTPKQRVRLYDTCSCQHSPHKLSLSSWSSFNDETSSTERNWNFSASIRERKNVLP >itb15g09280.t1 pep chromosome:ASM357664v1:15:6579288:6579992:-1 gene:itb15g09280 transcript:itb15g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLINMAKKWQKFVIIRRKRISFPEQNGCSTSSAVNKGHFVIYTADQKRFVIPLSFLDNEIIRQLLEMSEEEFGLPSDGPITLPCDAVFMKYIISLLSRGASTELQNALLVSVTSNRCSSASLHQGWRNNQLLVC >itb06g00290.t1 pep chromosome:ASM357664v1:6:800449:800790:-1 gene:itb06g00290 transcript:itb06g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFNYLRSSLRSVFSRPLLSSLFSLFLTLFSRRRHRPQPPSAAAAATILDRPNHSRCRSHPPQPQPLSVPSAPATSATASTAAGHRRPSRCQPPPLTGHRRRQPFHLHCEF >itb13g20190.t2 pep chromosome:ASM357664v1:13:27005859:27013851:1 gene:itb13g20190 transcript:itb13g20190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD3 [Source:Projected from Arabidopsis thaliana (AT5G13300) UniProtKB/Swiss-Prot;Acc:Q5W7F2] MTFAKLDDSPMFRKQLQSLEESAETLRERSLKFYKGCRKYTEGLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHLLNDRLLHFVNIDLQEVKEARKRFDKASLLYDQARDKFLSLRKGTKSDVASMLEEELHNARSAFEQARFSLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYARQSRERSNYEQAALNEKMQEYKRQIDRESRWSSSGSNGSPNGDGIQAIGRSSHKEIEAVMQSAAKGKVETIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNTKPSGSGSQLSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKSYTLQAESALDQMDWIEKITGVIASLLSSQAPERRLPASPMGSGHHRSASDSSSFDSYDVDPATVDEHASERLAATHLERPLRNSQQLQIAVRTEQPIETLRKVCGNDRCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLALFESLGNAFVNSVWEESLQSRGAFQVNLGPTSLYKSDKQQLLYICKPSKGDSISTKEKFIHAKYAEKMFVRRSKDYRPVAQQMWEAVRSNDKKAVYRLIVNSDADVNAVSKQLLNSSLTLAKVMLLQEQPCIDENPSCLDVGATPSECQSIGDLDGCSLLHLACETADIGMLELLLQYGANVNAPDLRGWTPLHQCIIRHKATFAKLLLSRGADPHAVNGEGKTPIDLAVESHFNDHEVLSILSNANG >itb13g20190.t1 pep chromosome:ASM357664v1:13:27005792:27013783:1 gene:itb13g20190 transcript:itb13g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD3 [Source:Projected from Arabidopsis thaliana (AT5G13300) UniProtKB/Swiss-Prot;Acc:Q5W7F2] MTFAKLDDSPMFRKQLQSLEESAETLRERSLKFYKGCRKYTEGLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHLLNDRLLHFVNIDLQEVKEARKRFDKASLLYDQARDKFLSLRKGTKSDVASMLEEELHNARSAFEQARFSLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYARQSRERSNYEQAALNEKMQEYKRQIDRESRWSSSGSNGSPNGDGIQAIGRSSHKEIEAVMQSAAKGKVETIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNTKPSGSGSQLSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKSYTLQAESALDQMDWIEKITGVIASLLSSQAPERRLPASPMGSGHHRSASDSSSFDSYDVDPATVDEHASERLAATHLERPLRNSQQLQIAVRTEQPIETLRKVCGNDRCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLALFESLGNAFVNSVWEESLQSRGAFQVNLGPTSLYKSDKQQLLYICKPSKGDSISTKEKFIHAKYAEKMFVRRSKDYRPVAQQMWEAVRSNDKKAVYRLIVNSDADVNAVSKQLLNSSLTLAKVMLLQEQPCIDENPSCLDVGATPSECQSIGDLDGCSLLHLACETADIGMLELLLQYGANVNAPDLRGWTPLHQCIIRHKATFAKLLLSRGADPHAVNGEGKTPIDLAVESHFNDHEVLSILSNANG >itb13g20190.t3 pep chromosome:ASM357664v1:13:27005864:27013851:1 gene:itb13g20190 transcript:itb13g20190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD3 [Source:Projected from Arabidopsis thaliana (AT5G13300) UniProtKB/Swiss-Prot;Acc:Q5W7F2] MTFAKLDDSPMFRKQLQSLEESAETLRERSLKFYKGCRKYTEGLGEGYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHLLNDRLLHFVNIDLQEVKEARKRFDKASLLYDQARDKFLSLRKGTKSDVASMLEEELHNARSAFEQARFSLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYARQSRERSNYEQAALNEKMQEYKRQIDRESRWSSSGSNGSPNGDGIQAIGRSSHKEIEAVMQSAAKGKVETIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNTKPSGSGSQLSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKSYTLQAESALDQMDWIEKITGVIASLLSSQAPERRLPASPMGSGHHRSASDSSSFDSYDVDPATVDEHASERLAATHLERPLRNSQQLQIAVRTEQPIETLRKVCGNDRCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLALFESLGNAFVNSVWEESLQSRGAFQVNLGPTSLYKSDKQQLLYICKPSKGDSISTKEKFIHAKYAEKMFVRRSKDYRPVAQQMWEAVRSNDKKAVYRLIVNSDADVNAVSKQLLNSSLTLAKVMLLQEQPCIDENPSCLDVGATPSECQSIGDLDGCSLLHLACETADIGMLELLLQYGANVNAPDLRGWTPLHQCIIRHKATFAKLLLSRGADPHAVNGEGKTPIDLAVESHFNDHEVLSILSNANG >itb13g11490.t1 pep chromosome:ASM357664v1:13:16216131:16218028:1 gene:itb13g11490 transcript:itb13g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPTTSDPGVSTLEKKNLGRIAQIIGPVLDVAFPPGKMPNIYNALIVKGRDTAGQPMNVTCEVQQLLGNNRVRAVAMSATDGLTRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTRTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYLEMKESGVINEENIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVEVKEIILSTNSGQIGVLPNHAPTATAVDIGILRIRLNDQWVTMALMGGFARIGNNEITVLVNDAEKGSDIDSLEAQQTLEIAEANFRKAEGKRQTIEANLALRRARTRVEAINAISS >itb03g22800.t1 pep chromosome:ASM357664v1:3:20909503:20912747:1 gene:itb03g22800 transcript:itb03g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLDKDLQTHHQHPEESLSSSQHTSTFQNAPHLAKDLQTHQHLEKSSLPGGQSSSQLHTSKFEDTMVGQAKEFEDFKGKLLQGSDEERQVMAVVGMGGIGKTTFARRVYDDSMVKSHFDHRGWATVSHEYNYRQVLLELCHSVMLAIPNDINTKKDDELADQLQKSLKGCRYLIILDDIWTPGAWDEVQRCFPAETTRGSRILLTTREKVVAESACSSKLVYDMRFLHLHESWNLFCQKLLVKGCLNKELETIGMNIVQKCHGLPITVVVLAGHLSTNMEVDEWKSVESMLNSSVNLDLSEQFSRTLSLSYNNLPGHLKSCFLYLGAFPKDRKIEIKKVIRLWIAEGFIKEEESEKTLEELGEDYLHDLINRSLIMISGRSSSKGKVKTCKMHDLLHDLCASKAKTQKLPCPGNFNTEVFVSIDSRLLCLRMVSSSSSLHVGINKCRSILCFDMYTFPARNWWLELTSFKMLRVLDLSVIGHSTIPCDIVDVVLLRYLALPSNKLLTCIPVAKNRNLQTLVVCEDIKGTEELPHGIWDLPQLRYLEFHHQLSIYKPEVVQVNLQTMYWVHSIQCTEQVFLRIPNVKELGIIARGIGYECCLDNLNCLDKLEKLKVQGAYSPIELRPDKAFPQNLKKITFSNTLMPWRAMNIIGMLPKLEVLKLKNHGCLGKEWELNEKDGFSGLKFLLISESNLKHWETSDDVEKPFPVIEGLVLKNCFELKEMPSWIAQCMNLKTIQIEHCNASLGRSARTIEEDRREIGDESLEIFEFPTQSDEDQKNRERELEIEEDEMNSEAQSVWIGARSLCIAWVENPAYWTWITNSGHDVVKLEAVCWLDIKGTLDTKCLHIMTIYSAYLVYKLELWEQGFEDSAFASVRYLKDRKNYHKNQRCQVVLAKSCSSRWRSSRFPNCRCDGWMEIKLGDFLVSSGNEGEVEMRFWNTENMGWKSGLIVRGIEVRPKMKVG >itb06g21200.t1 pep chromosome:ASM357664v1:6:23884569:23885189:1 gene:itb06g21200 transcript:itb06g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGGERRPARVGGVAAEKLACPRCDSTNTKFCYYNNYNLCQPRYFCKSCRRYWTRGGALRNVPVGGATRNPSSKKRSRTVGSGRPARSPAPRMVGIGAGSGLDVNLNRAGSEPETGSLGPVGSGGVEFAPLGEYGLGLEPSGLHDEFGLGLGLCDWPAEPVTGGNGGEATNVDGGVAATSWNNDDAWQIDDWPELSISAPGVKP >itb13g17510.t1 pep chromosome:ASM357664v1:13:24505742:24507111:-1 gene:itb13g17510 transcript:itb13g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKITEEAITDVYKSLCPKTVYIADLGCSSGPNTLMEAGELVKAFDKARRNMGHQSPEYAVYLNDLPSNDFNSIFRSLPVHQEDLKKEMDQEFGPCFFAGVAGSFYGRLFPANSLHFVHSSISLHWLSQVPKGLEENKDNIRVVASSPPSVVKAYHEQFECDFSSFLKCRAKELVTGGRMVLYFLGRRCEDHISSYNNVWELLVKVLNNLSAEGVVDEEKVNSFNFPIYWASPNEVKMVVEKEGSFSIEYLDNFMYDLENEKHIDTGNFLVNSFRAVLEPIVASHFGEEITEEIFKRKKTLILESIHELQKVEFFNVVSMTKK >itb01g04480.t1 pep chromosome:ASM357664v1:1:3025058:3027083:1 gene:itb01g04480 transcript:itb01g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLGYTLCIFFLITQFIGTTSQQLLLVPPPVSCPNTTTYTPNSTYQANLNSLLSILSSNGTRKNGFYSYPAGGRENSEDTSYGMFMCRGDVSTDDCVACVRNASRYILKICPNGKAAVVWYDFCMLRFSDSPMFGRADQWPAKIVYLLEKDSQPARFMEAVGSTLNEVATRAAVGQSGKKFATLEGNYTAFERIYSLGQCTPDISNLDCESCLRAAIGQRRSSLGATILFPSCIVRYGVTPFYTSTAASAPPPAAVPTPPPPPPPATGEGNNGNSSSKVIIAIVVPFVGIILFIAIFSFVRVKKAKKQNTTTEQTDVSGISTEDSLQYDLATIQVITDHFSPKSKIGEGGYGSVYKVKSLT >itb15g09950.t2 pep chromosome:ASM357664v1:15:7202548:7206800:1 gene:itb15g09950 transcript:itb15g09950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSSYSFQLQFMGRNNDLRNWQVLDSQHKTFHKRWVGCWGGLSCFGRQKGGKRIVPASRMPEANSLANQPNGPQVGGVNNQTTGLPPHLLAPPSSPASFSTSALPSTAQSPSCFLSANSPGGPSSTMYATGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPELAHLTTPSSPDVPYARFLSSSVGIKGTDKTNFVVASDLHSAYSLYPESPASNFRSPSSRTTGDCLPSPLNERELPQYDPSVASQELKTPESDSGGLYGLERAGNSKLSHDSNFFCPATFAQFYDHSFPLSGGRLSVSKESDAHSNAGNGHQNWQNKNCKQDAEEIEAYRASFGFSADEIVTTAQYVEITDVLDDSFSMTPFTSNKSQEQENIQIVSADKRTKAEKANKNMLSPQYCKSGSNHVAGVPDSNKTDNCSKKNPGDAFGASALDCPGVSDDEDIFSKMGNSRVRRNYHLGLSSSDAEVEYRRGRSWREGKGSGAWRG >itb15g09950.t1 pep chromosome:ASM357664v1:15:7202548:7206800:1 gene:itb15g09950 transcript:itb15g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQHRFPPPQQPLQPPPPPPPPRTFGHKRWVGCWGGLSCFGRQKGGKRIVPASRMPEANSLANQPNGPQVGGVNNQTTGLPPHLLAPPSSPASFSTSALPSTAQSPSCFLSANSPGGPSSTMYATGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPELAHLTTPSSPDVPYARFLSSSVGIKGTDKTNFVVASDLHSAYSLYPESPASNFRSPSSRTTGDCLPSPLNERELPQYDPSVASQELKTPESDSGGLYGLERAGNSKLSHDSNFFCPATFAQFYDHSFPLSGGRLSVSKESDAHSNAGNGHQNWQNKNCKQDAEEIEAYRASFGFSADEIVTTAQYVEITDVLDDSFSMTPFTSNKSQEQENIQIVSADKRTKAEKANKNMLSPQYCKSGSNHVAGVPDSNKTDNCSKKNPGDAFGASALDCPGVSDDEDIFSKMGNSRVRRNYHLGLSSSDAEVEYRRGRSWREGKGSGAWRG >itb06g15580.t1 pep chromosome:ASM357664v1:6:19885255:19886604:1 gene:itb06g15580 transcript:itb06g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGLYFFCSILLSLLTFCTSVDTITTDHPITDGTTIVSAGGNFELGFFSPGKSKSRYVGIWYSKIPTKDVAWVANRETPLNNTFGKLMLKDNGILVLLDGSNEEIWSSNSSISLKNPVAQLSDAGNLVVREGNDHSFKNSAWQSFDYPGNTLLPGMKLGQNLATGQVWSLTSWKSNDDPALGEYTEMLDVDGFPQLFLYRGVNKSISFRHGPWSGQIFTSKPNLKNHSYYYYYTTGFFMDQREIYYKYELVNSSAPSRVILNSASTIQRLIWIERTQSWSVYLTGQIDNCDSYSLCGAFGKCNINNSPPCHCLKGFIPKYPQDWNGTDWSNGCIRRTQLDCGDGDMFLKYTGIKLPDSRHSWFNRSIGLEECKRLCLKVCSCTAYSNVDVRDGGSGCLLWFGDLTDIREFDQVGQDLYVRIAASDPGKSISLWHYNSFLLWCKILII >itb06g15580.t2 pep chromosome:ASM357664v1:6:19885255:19886006:1 gene:itb06g15580 transcript:itb06g15580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGLYFFCSILLSLLTFCTSVDTITTDHPITDGTTIVSAGGNFELGFFSPGKSKSRYVGIWYSKIPTKDVAWVANRETPLNNTFGKLMLKDNGILVLLDGSNEEIWSSNSSISLKNPVAQLSDAGNLVVREGNDHSFKNSAWQSFDYPGNTLLPGMKLGQNLATGQVWSLTSWKSNDDPALEGDILQI >itb06g03860.t1 pep chromosome:ASM357664v1:6:6339078:6343471:-1 gene:itb06g03860 transcript:itb06g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIHVTASLILCFVLFLHPASTRAQEVEDEREFDYAEGSEKGPRRWGELKKEWAACSNGDMQSPIDMSHERVKIITRHHHERKHYKPANATVRNRGHDIAIEWHGDAGSVIINGTDYFLKSAHWHSPSEHTINGRRYDLELHMVHQNTDKNLTNQIAVIGVLYKIGKPDAFLSKLMRNISSMIDRDVEKELGMFDPSDIKMRSKRHYRYMGSLTVPPCTEGVIWTINKKVKTASRKQIKLLREAVHDYAERNARPLQARNRREIYLLSPAGKNERKRPTLL >itb10g00040.t1 pep chromosome:ASM357664v1:10:18920:20792:-1 gene:itb10g00040 transcript:itb10g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPMRSQAEQETIKSGDVQGEQVVGQSSAAALAQNETTDVDTDISGKQMITDSIGGEALVRDNQSTFVSPKNISKKVTITIGEALEATALTAGDKPVDYGDAAAIQAAEVRATGRTNIVPGGVAAAAQSAASRNARATRDEEKTKLSEILSEASSKLPSDKPVTRKDAEGVIGAELRNDPNLCTRPGGVAASVAAAARLNSQKSGLK >itb08g14670.t1 pep chromosome:ASM357664v1:8:16455775:16456464:1 gene:itb08g14670 transcript:itb08g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTESERKRRIERRKETLFKQAKELCVLCEVEVGIAMFSPSEGGGPIVWPSLEEARKKLLEFWALPEVERVRKMVTHERVLTDWVNDEMRKMQKRENEVERKEMNVVMNQVIQGQRRLEEMDARELLGLYTLANEKMQELEKRKQDLLKLQQNVDHGGSYYAPPPAPAPDSASWFVPAMAAPPALHGGGEGSHHVGSSSTNNGGGGNNYGHQLPDFDQVWPFNFPSSF >itb02g01120.t1 pep chromosome:ASM357664v1:2:631450:632067:-1 gene:itb02g01120 transcript:itb02g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSAMILTVIFIVALVISPAITFTDAARSTTFKEVLGRRPICPACVCCEPPPPGSCCRCGCGAAGPITTQSHEASPP >itb04g31540.t1 pep chromosome:ASM357664v1:4:34377492:34378284:-1 gene:itb04g31540 transcript:itb04g31540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYATPPVRHCLHPSAVAVSPPIGCHCLLRRRHFSSRHQTTAFLLDRRRPLDPYSFANDAKQSTNDTMERPRKSVKPQGVCLKKLLHDISLHFE >itb11g14710.t1 pep chromosome:ASM357664v1:11:11955551:11957160:-1 gene:itb11g14710 transcript:itb11g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVIISTLSLHFSSDFFLPRPILKIVVVNSTSGYWKSSAISAEVETFLLPSETTPRQEVKSLVVVSEASSSMTSLGIRGPDDKRCDANNARLRVYMYDLPPEFHFGLLGWNGSGNNSCWPDVSKPSEVPRYPGGLNLQHSVEYWLTLDLLSSNVPSIARPCTAVRVWNSSEANVVFVPFFSSLSYNRHSRVHRRENFSINRVLQDKVVQFLQSRDEWKRFGGRDHMVLAHHPNSMLVARKKLRSAMFVLSDFGRYPVQIANVAKDVITPYKHMVKTIDALSSPGFAERPILVYFQGAIHRKAGGAIREKLYNLLKGEKGVHFTFGSVRANGVSSAARGMASSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDAIELPYEDVLDYTNFCVFVRASDSTRKGHLLNLLRGITEEKWTEMWERLKELTKHFEYQYPSRPNDAVDMIWQVVARKVSSIRTQAPREYRYLRSQLFLKNRRK >itb01g02830.t1 pep chromosome:ASM357664v1:1:1828076:1830548:1 gene:itb01g02830 transcript:itb01g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDVVVAAAETPAPAIGEPMDLMTALQLVLKKSKAHGGLARGLHEGAKVIEKHGAQLCVLAEDCDQPDYVKLVKALCADHNVNLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEEHEGYHIVQDYVKTR >itb06g24230.t1 pep chromosome:ASM357664v1:6:25876311:25877339:1 gene:itb06g24230 transcript:itb06g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNHAFQQEPKHVIPNNGDMEHQPRSLDEEHKKAGFDYAKRAPWLRAAVLGANDGLVSIASLMMGVGTVKQDIKAMILTGFAGMVAGACSMAIGEFVSVYSQRDIEVAQMKRNKNRNGEVDAGEEEGESDGDDLPNPMQAAAASVVAFAMGAMVPLLAASFIKPYKVRIGAVIAAVTVALVVCGWLGAALGGAPKGKASVRVLIGGWLAMAITSGLTKLIGIKSE >itb06g11380.t1 pep chromosome:ASM357664v1:6:15945189:15951434:1 gene:itb06g11380 transcript:itb06g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRIQLLLVPVFIQFLVIAAFTDNNDYVAIKSLQEGWGNLPSNWRGSDPCGDHWNGIVCSGPKVVQLTLSSMNLSGQLPGDIGGLSELQTLDLSNNKDLTGPLPPEIGNLKKLSNLNLDACGFSGEIPDTIGSLSELKYLSLNLNKFDGPIPPSLGKLSKLFWLDLADNKLTGSIPVSNGNTPGLDMLFEIGHFHFGRNHISGEIPPQLFSSRMKLKHLLFEQNQFTGRIPETLGLVQNLTFVNLNGNLLSGPVPSNLNNLTSLDELHLSNNKLSGPFPNLTGLNAIHYIDMSNNSFIPTDFPSWFSTLQDLRTLVLEGTRLQGLIPESLFDLDQLQNVNMRNNRLNGTLSIGPDYSSQLQLIDLESNFIDIFSPGRLYQFQINLVGNPICHEVNNETYCVVPRKSDSNSTYSTRPTNCLPTPCSSGQISSPTCACAYPYTGYLYFRAPNFSNLTDLSKFLTLEKSMMDDFKSQHLPVDSVSLSNPTKDSDNFYLQLYVQVFPNGQNHFNQTGVSAIGFVFSYQTFIPPESFGPYFFIPNSYNSIDGSEPSGSSKSLSTGIIIGAAVGGSVLVILSLIIGVYAFCQKRRAQEAVKKNDPFVSWDKSKSSVGAPQLQGAKCFSFEELAKCTNNFSEANTIGSGGYGKVYRGTLSNGQLVAIKRSQQGSKQGAPEFKSEIELLSRVHHKNVVGLVGFCFEEDEQMLIYEFIPNGTLKESLSGKSGIKLDWMKRLRIALGAASGLQYLHDLVNPPIIHRDVKTNNILLDERLNAKVADFGLSKSLSEHEMTHVTTQIKGTMGYMDPEYYMTNQLTEKSDVYSFGVVLLEIITARPPIEKGKYIVREVKEAMDKTKDMYGLLGVLDPAIRSSVTPTSIEKLVDLAFRCVEDETLKRPTMREVVKEIESIMEMLGLNPHAESASTSETYSGVSKGSEHPYNDESLFVYTKVQPK >itb10g12660.t1 pep chromosome:ASM357664v1:10:18713787:18716738:1 gene:itb10g12660 transcript:itb10g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVYLSIGYWIGVSSLATSVSVRMLPFVCLSSTPLLFHLFVYLRIDGTDLSRIPNPGVSRRRRASAAAIASLENGFPTQRRWKPDGCELPKTCPWRRSDAIAITFVSSLLLSSLWRDAVEKTQTPSSLPSPLLPHSPRHRHRHKKTDKTTPLLVLEESEGHLVDEAKFINLSLTSLGKCINAVAKNSLHIPIRDSKLTRLLRDSFGGHGICWL >itb10g12660.t2 pep chromosome:ASM357664v1:10:18713787:18717519:1 gene:itb10g12660 transcript:itb10g12660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVYLSIGYWIGVSSLATSVSVRMLPFVCLSSTPLLFHLFVYLRIDGTDLSRIPNPGVSRRRRASAAAIASLENGFPTQRRWKPDGCELPKTCPWRRSDAIAITFVSSLLLSSLWRDAVEKTQTPSSLPSPLLPHSPRHRHRHKKTDKTTPLLVLEESEGHLVDEAKFINLSLTSLGKCINAVAKNSLHIPIRDSKLTRLLRDSFGDMSSQDLNLTLEKVTKTYRKRKQTTNTEQTQTERSSNCAREERSKRNQDRGQSD >itb12g22510.t1 pep chromosome:ASM357664v1:12:24601708:24602349:1 gene:itb12g22510 transcript:itb12g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTVMSLKLLVDKKARKVVFAEFDKPFVDFLFHLMCLPLGTVIKLVNHNSMVGSLGNLYGSIKTISDKYLQPNINKDGLLNPKVPIHSSNVPLLVAPDGASETKKHYICSGCNDGFSGGRRSISDDPKSICPNCKCRISTETFYVAPPVKAYGNKEESGGGVCEGCCDVHGDGRLEGDAALLHFYRHSSQQAQYQGLRVACGQKCPFWS >itb04g17920.t1 pep chromosome:ASM357664v1:4:21166068:21167934:-1 gene:itb04g17920 transcript:itb04g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGIQEDMGEGNMLCTNHPYKNTTPGGICAFCLQEKLGKLVSSSFPSAIFPSSSSSSTPSFRSDFGGPNNIGSSSSSSSTTLPVKSISKKGNEYQFDSIRKSRMPFLLTQRRKKKSNGGGVVSGSDSTAAVFKRSKSTATPRNRLNLLEVERNGGEYSPHKRGFWSFLYLPSKKSGNNNNKDSTFASSSSSSVNGSMRGASREKKREEFVAVEENDESPDDQASSFDRKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVHHRTSGASGQDCIKERVRCGGIFSGFMITSSSSSSSSSSYWVSSEENVNGNGKPVGHHQQLAHGRSRSWGWAFASPMRAFTKTSSGKREASSNKNTTPNLAAIPSLLTARG >itb15g15300.t1 pep chromosome:ASM357664v1:15:14012914:14013699:-1 gene:itb15g15300 transcript:itb15g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSARKATLKKRKKGLMKKVSELSTLCGIDACAIIYSPYENQPEVWPSTAGAQRVVAQFKRMPEMEQSKKMVNQEGFIRQRIAKASEQLRFIRQRIAKASEQLKKQHKENREKEITEVMFQCLTGKGLHSLVMFQCLTGKGLHSFILTDLNDLTWLIDQKLKEINKKIEDLNKAAPAAAVPGEVGIDLGQRPPPQWFADWMMSNNQQMGFEHGGGGGGGGAAEEMIMPLFNDNHHPIPMWPATGAAFFP >itb11g05710.t1 pep chromosome:ASM357664v1:11:3374768:3379000:1 gene:itb11g05710 transcript:itb11g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRHGDIELEMSLKSRGGELASRSAISKKWTLFLCLSSFCAGMFFTNRMWTISELQGTATATGEDEGLKLGSDDCNAEYQPKGVKLSKEIFGEVSKTHHAIQTLDKTISNLEMELAAAKAAQESILGGSPMSEDNKTDSSRRKYFMVIGINTAFSSRQRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHGATLGGILDNAIEAEDKMHGDFLRLDHVEGYLELSAKTKTYFSTAVKLWNAEYYIKVDDDVHVNIGTLGEILVRHRKKPRVYIGCMKSGPVLAQRGVRYHEPEYWKFGDSGNKYFRHATGQIYAISKDLATYISVHQHVLHKYANEDVSLGSWFIGLDVHHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSVGRIREVHERCREDEKALWNAVF >itb11g05710.t2 pep chromosome:ASM357664v1:11:3374768:3379000:1 gene:itb11g05710 transcript:itb11g05710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRHGDIELEMSLKSRGGELASRSAISKKWTLFLCLSSFCAGMFFTNRMWTISELQGTATATGEDEGLKLGSDDCNAEYQPKGVKLSKEIFGEVSKTHHAIQTLDKTISNLEMELAAAKAAQESILGGSPMSEDNKTDSSRRKYFMVIGINTAFSSRQRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHGATLGGILDNAIEAEDKMHGDFLRLDHVEGYLELSAKTKTYFSTAVKLWNAEYYIKVDDDVHVNIGTLGEILVRHRKKPRVYIGCMKSGPVLAQRGVRYHEPEYWKFGDSGNKYFRHATGQIYAISKDLATYISVHQLSICLDVLFSWFFSLFVLTRQRRELMFFTCYRHVLHKYANEDVSLGSWFIGLDVHHIDDRRLCCGTPPGKLPFTKTDYHKLRNHITLDYTNTYSPHSPI >itb04g14200.t3 pep chromosome:ASM357664v1:4:14626705:14630647:1 gene:itb04g14200 transcript:itb04g14200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEAAFEEQEESPPDSPIGAEENETQNEAAITVNDHGTDEFVSINHPENPSTYVAATVPTGTAGKPKEDDEEEEEEHMEVQLGKLASSSDPDKTAKMQTILSQFTEEQMSRYESFRRSGFQKSNMKRLLVSITGSQKISVPMTIVMSGIAKMFVGELIETE >itb04g14200.t2 pep chromosome:ASM357664v1:4:14626818:14630695:1 gene:itb04g14200 transcript:itb04g14200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEAAFEEQEESPPDSPIGAEENETQNEAAITVNDHGTDEFVSINHPENPSTYVAATVPTGTAGKPKEDDEEEEEEHMEVQLGKLASSSDPDKTAKMQTILSQFTEEQMSRYESFRRSGFQKSNMKRLLVSITGSQKISVPMTIVMSGIAKMFVGELIETARIVMSERNDSGPIRPCHIREAYRRLKLEGKVPKRSAPRLFH >itb04g14200.t1 pep chromosome:ASM357664v1:4:14626705:14630695:1 gene:itb04g14200 transcript:itb04g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEAAFEEQEESPPDSPIGAEENETQNEAAITVNDHGTDEFVSINHPENPSTYVAATVPTGTAGKPKEDDEEEEEEHMEVQLGKLASSSDPDKTAKMQTILSQFTEEQMSRYESFRRSGFQKSNMKRLLVSITGSQKISVPMTIVMSGIAKMFVGELIETARIVMSERNDSGPIRPCHIREAYRRLKLEGKVPKRSAPRLFH >itb09g03340.t1 pep chromosome:ASM357664v1:9:1875582:1877532:-1 gene:itb09g03340 transcript:itb09g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPAFRERIPYYTIVYQPPLSSILSLFLGFPDDMPRRSSGGRSAPRAAPRRSPAPAPVNHAPPPAPVQNSSGGPGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETIPAQASPPNSLSGSDACSLQTKAFQDCLNSYGSDISKCQFYMDMLSECRRNSALNA >itb06g09530.t1 pep chromosome:ASM357664v1:6:13798857:13808281:1 gene:itb06g09530 transcript:itb06g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGLPPAVAAVGTLLILSIRSRGAGLDELLMKLAPNQTHIYLSISALKMKTPIIFLLLLSISFLPLAISGLPPLIRLPSDVILDTAGNPVVAGAKYYAIPALIGVEGGISVTNLNSTTNPSACPTDVVINETIAGNQPPAVAVPLTFYPLIDEAEASRAAADTADVITQQYPLNVAFDWADPSDPCAKENVWKLNLQGKIVTGGVIGEVDKDNYLANWFRIQENFDGRGYVFNWWPSLCLFCKIGYSFIGTVGDGYQLGIDHMGESRYAFELVKAE >itb01g15190.t1 pep chromosome:ASM357664v1:1:17550489:17551885:-1 gene:itb01g15190 transcript:itb01g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYVIAALLCILKPVAFAQESSVFFSYSGSTGPDRWGSLSPDYAKCSSGRSQSPINIVNGEAVINTNLKALDIQFRDSVSASLVSNGFNVLMRFDGDAGKLVFGGKNYALKQMHWHTSSEHRVDGTQYTGEVHLVHNAADSSVAVVSVLLQSGQSDPIIAKVQQQLDKLPSKKSNESPPEIALGNVNIQELSGLTNTDKYYTFTGSLTTPPCTEGVTWIVIGKIGSISNGQIAALKRPMDDGSKTNARPVQAINGRNVEAYQA >itb06g16880.t1 pep chromosome:ASM357664v1:6:20883726:20887388:-1 gene:itb06g16880 transcript:itb06g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGDPTARSKAKKKIFLAVFASCLLAATVIGVVVGVKSRKSNSGERAAQIHPLTAAAHAIVKSSCTATLYPELCYSAIANVSGASDKVRSQKDVIEMSLNITTTAVEQNYFVIEKLLKTRKGLTEREKTALHDCLEMIDETLDELHETEKDLEAYPNKKTLKQHADDLKTLVSAAITNQETCLDGFSHGDADKHVRQILLKGQAHVEKMCSNALAMIKNMTDTDIAAEEMKLARTAGNRKLSEQKWPEWLSVGDRKLLQSSTVTPNVVVAADGSGDHKTVSEAVAKAPEKSSKRYIIKIKAGVYRENVEIGKKKTNLMFIGDGRKNTIITGNRNVKDGSTTFHSATVAAVGEGFLARDITFENTAGHEKHQAVALRVGSDRSAFYQCDMLGYQDTLYVHSLRQFYINCLIAGTVDFIFGNAAAVFQDCDIHARRPGSGQKNMLTAQGRTDPNQNTGIVIQKCRIAATSDLKPVQKNFPTFLGRPWKEYSRTVIMQSTISDVIDPAGWHIWADTFALNTLTYREYQNTGAGAGTSKRVTWKGYKVMTSSSEAQTYTPSNFIAGSSWLSSTTFPFSLGL >itb13g20040.t1 pep chromosome:ASM357664v1:13:26899410:26899929:1 gene:itb13g20040 transcript:itb13g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSKPLTMEEEIFNACASSSTPKTSDDNIAVVQDHIYNTIIDVVHNNINIYDKLFNYLLNRFRCFPYDEEFICGYLTKNYSVLFNKIQGVNPYKYIMKEIMEEHPAVPFSTSDEDEEEEEESSDDEEEEGEE >itb15g18300.t1 pep chromosome:ASM357664v1:15:19761283:19761681:-1 gene:itb15g18300 transcript:itb15g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSVSHLVGALPEVVQRRPYSVVLFDEIDKAHHDVFNILLQLLNDGRIIDSHGRTVSFTNTVVIITANIGSQPILDTLQSSQGSKEAVYEFMKKQVVDLARQSFRPEFMNRIDEYVVFQPLDVNQVSRIV >itb01g33160.t1 pep chromosome:ASM357664v1:1:36380247:36382247:1 gene:itb01g33160 transcript:itb01g33160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGIMVLLTTTVIMMYFCWMMSPKELMLMNLQSHKLIHQKQLFVLAGQSNMLGLGGVNKTAIFNGAKIKVWNGVVPPESRENPAVFRLNEHWEWELAHEPLHYGINCKITCGVGMGMAFANKLLQMDPNFGVIGLVPSAAGGTSVRNWSGDYADTPYRVLLERTRSAVKKGGALRAIIWYQGEADTIHPYNAKHYKTGLEKFIHRLRNDLHFPSLPFFQIILPRVRKPFRGTLVDKVKRAQRDINLPNVIKIDTDGLPLSSDGVHLTTEGYIRLGFTLANAYLETNSKLLQNKNVVSMYNTSDFNIA >itb15g09640.t1 pep chromosome:ASM357664v1:15:6902249:6906455:1 gene:itb15g09640 transcript:itb15g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKADKQAPIGKTTGNRIRCRAAVARKAGEPLVIEEVIVAPPKSHEVRVQIICASLCNSDVFFWKLQEFPGCFPRILGHEAFGVVESVGEDVEDFKEGDSVVTIFLPDCTECLDCKSKKSNLCTKFPFKISPLLHRDETSRFTDLKGEALHHFLFISSFSEYTVVDIANVTKIDPSFPPNRACLLSCGVTGVGAAIKAANVELGSTVAIFGLGTVGLAVAEGARLCGAARIIGVDANPDRFERGKTFGVSDFVNSLTIGNKSVSQVICEMTGGGADYCFECVGEATLMQEAYASCRKGWGKTVVLGNGKPGTQVSLSSFEVLHSGKTLTGKLFGGLKPKSDIPVLAKRYTDGELQLNKFVTHEVGFEDINKAFDLLIQGKSVKCLIWMDK >itb13g15720.t1 pep chromosome:ASM357664v1:13:22638031:22642088:1 gene:itb13g15720 transcript:itb13g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGGAA >itb15g00710.t1 pep chromosome:ASM357664v1:15:412605:415741:-1 gene:itb15g00710 transcript:itb15g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSTSVAASAMEEEMKPQLSKSWADVADETEEAEASSTSDVKLNSELKMDSLAMDESKSVYKTLSDPDDASIEAVTSGDTLYKSAKRFEDLNLTPELLKGLYVEMKFERPSKIQEISLPMILTPPNKNLIAQAHNGSGKTTCFVLGMLSRVDPKLAAPQALCICPTRELAIQNMEVLLKMGKYTGITSELAIPADAANYIPINKRPPVTAQVIIGTPGTINKWVIAKKLGTSCMKILVFDEADHMLAESGFQDDSIKIMKAIVRGKADCQVLLFSATFNETVKGFVTKIVKDLFVKDYNQLFVKKEELSLESVKQYKVQCPDELSKVMVIKDKILELAQKVGQTIIFVRTRNSAHMLHKSLVDYGYEVTTIQGALKQEDRDKIIKEFKEGLTQVLISTDLLARGFDQSQVNLVVNYDLPVQYGHWSDPDYEVYLHRIGRAGRFGRKGAVFNLLCNDTDTMLMSKIENHFNSPVAEVTSWQSDTEFEAALKTAGLL >itb15g04690.t1 pep chromosome:ASM357664v1:15:3015030:3016765:-1 gene:itb15g04690 transcript:itb15g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRMRIKCDIMVFIKHQKECRLGKPQFVCLYWILSEYAIVLLRNEYWFWYRISKNLGKMSGAAAGERGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >itb06g25410.t1 pep chromosome:ASM357664v1:6:26486361:26487530:1 gene:itb06g25410 transcript:itb06g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPHGSCTEKLPSRRGFWDDEYDQDVSRTKIGNWTAISKKTAGMGGRVERNSRARWSNNRSSRHDVDHHHQQQDNKFSPQEEELIIKLHATIGSRWTIIAQQLPGRTDNDVKNLWNTKLKKKLSAMGIDPVTHKPFSQILSDYGNIGGFPTAGTSSRPQFGTLNRALKTTIILSKSQKSSASAADCCGFLQSNKSSDDSNQYMDLLSELQAIKSITESTNFSSAPDAINIVSPPSSLSSSSSLTSPPLAAAAQEKAACTGFSWCDFLLEDAFLPLNVQQAASEDWEPKNSPVDHNTSPEDIIKISSSSSSSNNNLEAAASSSSFVEAMLSREDDDMFLDFPGLSEEPFYY >itb14g21270.t1 pep chromosome:ASM357664v1:14:23360231:23362350:-1 gene:itb14g21270 transcript:itb14g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYKPYFLLFCCILVVFPLWFSQCRAAGDAPPSAAAPSKNVSASTVCKSTPYPSYCQTVLPSNNSSSNVYDYGRFSVRKSLSAARKFLSLIQRYLRNSKSLTTTAIRALKDCEFLAGLNMDYLSSSFKTVNGTSRYLPVLEADDVQTLLSAILTNTQTCIDGLQATSSAWSVRNGIVAPLANDTRLFSVSLALFTKAWVPRKKKGGAWRPTKKQLGFRNGRLPLKMSERTKAIFERVSRRKLLQTPEEDQVLVNDIVVVSQDGSGNFTTINDAVAAAPNNTQSTDGYFLVYVTAGVYEEYVSIAKNKKYLMMIGDGINQTIITGNHSYIDGWTTFNSYSFAVVGQGFVGVNMTFQNTAGAIKHQAVAVRNGADLSTFYSCSFEGYQDTLYAHSLRQFYRECDIYGTVDFIFGNAAAVFQNCNLYPRLPMPGQFNAITAQGRTDPNQNTGTSILNCTIKPADDLANSTTTTNTFLGRPWKEYSRTVYMESFMDGFINPAGWQIWSGDFALNTSYYAEFNNTGPGSNTSARVTWLGFHVINATDAANFTVANFVFGDDWLPQTGVPYFSGLL >itb15g02180.t1 pep chromosome:ASM357664v1:15:1339935:1340300:1 gene:itb15g02180 transcript:itb15g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPPRNNAASAAGQDSQNCVVEIGLDEATLLSYPKMLFSDAKVNHKDSTATCCSICLADYKNSDMLRLLPDCDHLFHLKCVDPWLRLHPTCPVCRTSPFPTPQSTPLAEVVPLASRPGG >itb15g02180.t2 pep chromosome:ASM357664v1:15:1339761:1340300:1 gene:itb15g02180 transcript:itb15g02180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTTVGPVPDASGGFLGSGHIGGFGYGIGVSVGILLLITTITLTSYFCTKNHAADSTMTRRPPRNNAASAAGQDSQNCVVEIGLDEATLLSYPKMLFSDAKVNHKDSTATCCSICLADYKNSDMLRLLPDCDHLFHLKCVDPWLRLHPTCPVCRTSPFPTPQSTPLAEVVPLASRPGG >itb05g25030.t1 pep chromosome:ASM357664v1:5:29637697:29640180:1 gene:itb05g25030 transcript:itb05g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHNDIVHDVSMDYYGKRVATASSDATIKIIGVSNNSTSQHLATLSGHHGPVWQVSWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQAHVFSDHKSSVNSISWAPHELGLCLACGSSDGNISVYTARSDGSWDTTRIDQAHPVGVTAVSWAPSMAPGALVGSGALDPVQKLASGGCDNTVKVWKLYNGVWKMDCFPALQMHTNWVRDVAWAPNLGLPKSTIASASEDGKVVIWTVAKEGDQWEGKVLQDFKTPVWRVSWSLTGNLLAVASGDNNVTLWNEAVDGEWQQVSTDDH >itb08g12070.t1 pep chromosome:ASM357664v1:8:12118753:12120323:1 gene:itb08g12070 transcript:itb08g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEERQRRQSAAERWRWARRSSCVLRSRGRLVFAFDVRRGEQSRTAVEGYAERRRWARQTQLRSAEQGSARCLRSTFGGGRGGRGEQRRRARRAAAVGSASSGGGRGEQRRLAVGARKKSSGDGQC >itb03g08710.t1 pep chromosome:ASM357664v1:3:6533471:6535944:-1 gene:itb03g08710 transcript:itb03g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRASVSMENLLGLLRIHIHRGVNLAVRDVSTSDPYVIVRMGKQKLKTKVVKKTVNPEWNEELTLSISDPNLPIKLQVYDKDTFSLDDKMGDAEFHITPFLEAVKNLKMSSQNIPSGSIITRVESCRTNCLSEASNIMWENDKVVQKMVVRLQNVERGEVELELNWIDIPGSKGL >itb13g09320.t1 pep chromosome:ASM357664v1:13:12822925:12824119:-1 gene:itb13g09320 transcript:itb13g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILDPNRSWDLFCKKLSPKELRAPKFVEIAQHVVKECEGLPRSIVAVAERLSKCSYNLKEWKKIEKEVETLGPLYNDAEHLSFKINVKRLIRIWIAEGFVRQMDYKGFGRQLVTDLEEEGYCYLDHLVHSGLVSISKWEHKMTNVCWIHSSLWDFCTRKAKKEGLLCAVYTQKDLGLPLDVFVNSCRWLSLYSHKLDYYTLFTTNNPRCLFFFQGDYVKSVSFKLLRIVDLSALQIFKIVPLHLRDLVFLRYLSILPWFEGLDDVVSNHKNLQTVIVCGGEPQLRTSHFHLSFRIWELPHLRHLELGNYYEVDPPNRNIEQLQTLSWVSPTHFKEEVYRKFPNLTYLKVFYKGVFEPCSSPGSRRNPTRRL >itb04g01620.t1 pep chromosome:ASM357664v1:4:925688:926833:1 gene:itb04g01620 transcript:itb04g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSKFDPYEHLKVVLNDDGTLTRLVSLPRTPATGESATGHAVLSKDVTLDAQKKTWMRIYRPAQIAASAKLPMIIYFRNGSWIGFSVAVSILHENCNKLSAQVPAIVVAVDYRNAPENRLPAQYEDAMDAVNWVNNQNQGGGDAWLKNYGDFSRCYLYGTSCGANIAYNAALRSLDQKLDGMSIAGVILNQPLFSGKKRTRSELKMATDQYFPLPVIDVLWELALPPGTDRDHRYCNPMKDEPSKAKLAKLGKFLVIGFGGDTLIDRQQDFVQMLVMNGAKVEARFDDVGFHGIEMIDPRRAAAIASFVKEFV >itb01g29770.t1 pep chromosome:ASM357664v1:1:33934521:33938201:-1 gene:itb01g29770 transcript:itb01g29770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSENNAGNIASNVPTTATGTEVKEKKKKKKGLLSRIWNSIFRSHGDDFEKRLKYISKEEAAIIARIKKRSQSWRGMTRHLIILSVLFEVIAVVYAIVTTRPHDLDWKMRALRVLPMFLLPCLSFLTYSVIGSFMRMRERKDQKTLEKLRAERQAKIDELKEKTNYYTTQQLIQRYDTDPAAKAAAATVLASKLGADSGLKVYVGDESKQNAPSGSSSDVEVVQSGGLRNRKQVQTRSSSTGSTTVDHREDEMLRQLDGSDMSQYHPQVVEHYNPTSSSTQDGGWLARIAALLVGEDPTQSYALICGSCHMHNGLARKEDFPYITYYCPHCKTLNRPKHLGDLVTSASSTSHLTSSSAEPETEAEDEAIQNPSGSSLDDVSASISSLVDPLLMNEGDMVVSNAPGS >itb09g01330.t5 pep chromosome:ASM357664v1:9:789139:793698:-1 gene:itb09g01330 transcript:itb09g01330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYEILGVGVDATPAEIKKAYYVKARVVHPDKNPGDPQAAHKFQVLGEAYQVLSDPQKRETYDKHGKDGVQQDTMVDPAAVFGMLFGSDYFEDYVGQLLLASLSAVEVDEDESTPPEVLKKNVQDKLKALQKEREEKLVTILKDRLQPYVEGRIEEFVSWSTSEARRLSQAAFGEAMLHTIGYIYRRQAAKEIGKDIRFMKVPFLAEWVRDKGHRIKSQVAAASGAISLLQIQEEVKKSGEGENKEEDVIKALEEKKDAMLNSLWQINVVDIETTLSHVCQAVLKDPSATKETLKMRAMAMKKLGTIFQGAKTNYSRESSLRHESPKIIEGGSSFK >itb09g01330.t4 pep chromosome:ASM357664v1:9:789139:793768:-1 gene:itb09g01330 transcript:itb09g01330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYEILGVGVDATPAEIKKAYYVKARVVHPDKNPGDPQAAHKFQVLGEAYQVLSDPQKRETYDKHGKDGVQQDTMVDPAAVFGMLFGSDYFEDYVGQLLLASLSAVEVDEDESTPPEVLKKNVQDKLKALQKEREEKLVTILKDRLQPYVEGRIEEFVSWSTSEARRLSQAAFGEAMLHTIGYIYRRQAAKEIGKDIRFMKVPFLAEWVRDKGHRIKSQVAAASGAISLLQIQEEVKKSGEGENKEEDVIKALEEKKDAMLNSLWQINVVDIETTLSHVCQAVLKDPSATKETLKMRAMAMKKLGTIFQGAKTNYSRESSLRHESPKIIEGGSSFK >itb09g01330.t3 pep chromosome:ASM357664v1:9:789139:793768:-1 gene:itb09g01330 transcript:itb09g01330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYEILGVGVDATPAEIKKAYYVKARVVHPDKNPGDPQAAHKFQVLGEAYQVLSDPQKRETYDKHGKDGVQQDTMVDPAAVFGMLFGSDYFEDYVGQLLLASLSAVEVDEDESTPPEVLKKNVQDKLKALQKEREEKLVTILKDRLQPYVEGRIEEFVSWSTSEARRLSQAAFGEAMLHTIGYIYRRQAAKEIGKDIRFMKVPFLAEWVRDKGHRIKSQVAAASGAISLLQIQEEVKKSGEGENKEEDVIKALEEKKDAMLNSLWQINVVDIETTLSHVCQAVLKDPSATKETLKMRAMAMKKLGTIFQGAKTNYSRESSLRHESPKIIEGGSSFK >itb09g01330.t2 pep chromosome:ASM357664v1:9:789139:793768:-1 gene:itb09g01330 transcript:itb09g01330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYEILGVGVDATPAEIKKAYYVKARVVHPDKNPGDPQAAHKFQVLGEAYQVLSDPQKRETYDKHGKDGVQQDTMVDPAAVFGMLFGSDYFEDYVGQLLLASLSAVEVDEDESTPPEVLKKNVQDKLKALQKEREEKLVTILKDRLQPYVEGRIEEFVSWSTSEARRLSQAAFGEAMLHTIGYIYRRQAAKEIGKDIRFMKVPFLAEWVRDKGHRIKSQVAAASGAISLLQIQEEVKKSGEGENKEEDVIKALEEKKDAMLNSLWQINVVDIETTLSHVCQAVLKDPSATKETLKMRAMAMKKLGTIFQGAKTNYSRESSLRHESPKIIEGGSSFK >itb09g01330.t1 pep chromosome:ASM357664v1:9:789139:793768:-1 gene:itb09g01330 transcript:itb09g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYEILGVGVDATPAEIKKAYYVKARVVHPDKNPGDPQAAHKFQVLGEAYQVLSDPQKRETYDKHGKDGVQQDTMVDPAAVFGMLFGSDYFEDYVGQLLLASLSAVEVDEDESTPPEVLKKNVQDKLKALQKEREEKLVTILKDRLQPYVEGRIEEFVSWSTSEARRLSQAAFGEAMLHTIGYIYRRQAAKEIGKDIRFMKVPFLAEWVRDKGHRIKSQVAAASGAISLLQIQEEVKKSGEGENKEEDVIKALEEKKDAMLNSLWQINVVDIETTLSHVCQAVLKDPSATKETLKMRAMAMKKLGTIFQGAKTNYSRESSLRHESPKIIEGGSSFK >itb14g07780.t1 pep chromosome:ASM357664v1:14:7121087:7121918:1 gene:itb14g07780 transcript:itb14g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNIRSYGCPVTSKLLAHTYSGASVEVVFLEKPSSLTRMMDFQLSYSACNWGQPTSINKYGRIAWLVRSSADGRGLNSSPTNDNGNRTRLIRAIESFQVKLNARIKGLMTNLPMKLLLLLIGFYSATAFATVIGQTGDWDVLSAALAVVVVEGIGALMYKTFSPFVDKVKDLITMLNYWKTGLTLGLFLDSFKY >itb14g07340.t1 pep chromosome:ASM357664v1:14:6728386:6731299:-1 gene:itb14g07340 transcript:itb14g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGKHAKYCHISADLVAADTNNAPLNVILFSRLRASLHRQFATASPPTASRRRQSTTSPLATAPPPIRLCLSPCPSSRALDTSHSPSGLVLLFFDSSLTIN >itb03g09790.t2 pep chromosome:ASM357664v1:3:7609674:7611489:1 gene:itb03g09790 transcript:itb03g09790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNAGWIYIFVCVILVFLLALKRLSRKTYHNLPPTPFPKLPILGHLHLIKLPLQRSLHQISLKYGPIFTLQLGVRRVVVVSSPSAVEECFTKNDVVLANRARSVAGNYLTYNYTTLTSSPYGDHWRNLRRICALEIFSTTRLNSFKSVRQDEVKILLQKLVQTSPRGFGTVELKSKFSELSFNIILRMTSGKRYFGLDEDDKEAMEFRGFIKEVFMRGREVLDFLPFLRWIGYKNLEKNMKKLSERFDSFLQGLVDEHRHDKSRNSMIDHLLSLQESQPEYYTDEIIKGLMMVMLIAGSDTSAVTMEWAMAILLNHSEVLDKARAEIDNLVGQERAIEEEDLPKLKYLQSIILETLRLFPAGPLLIPHYSSADCKIEGYDIPRGTMVMVNAWAIHRDPKVWDDPTSFKPERFDGGEVESTKLLPFGMGRRSCPGSGLAQRVVGLALGSLIQSFEWQRVGEEEVDLAEGEGFTAPKAEPLVARCKARDFAHKILSQNA >itb03g09790.t1 pep chromosome:ASM357664v1:3:7609662:7611551:1 gene:itb03g09790 transcript:itb03g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNAGWIYIFVCVILVFLLALKRLSRKTYHNLPPTPFPKLPILGHLHLIKLPLQRSLHQISLKYGPIFTLQLGVRRVVVVSSPSAVEECFTKNDVVLANRARSVAGNYLTYNYTTLTSSPYGDHWRNLRRICALEIFSTTRLNSFKSVRQDEVKILLQKLVQTSPRGFGTVELKSKFSELSFNIILRMTSGKRYFGLDEDDKEAMEFRGFIKEVFMRGREVLDFLPFLRWIGYKNLEKNMKKLSERFDSFLQGLVDEHRHDKSRNSMIDHLLSLQESQPEYYTDEIIKGLMMVMLIAGSDTSAVTMEWAMAILLNHSEVLDKARAEIDNLVGQERAIEEEDLPKLKYLQSIILETLRLFPAGPLLIPHYSSADCKIEGYDIPRGTMVMVNAWAIHRDPKVWDDPTSFKPERFDGGEVESTKLLPFGMGRRSCPGSGLAQRVVGLALGSLIQSFEWQRVGEEEVDLAEGEGFTAPKAEPLVARCKARDFAHKILSQNA >itb04g13110.t1 pep chromosome:ASM357664v1:4:13141928:13142677:-1 gene:itb04g13110 transcript:itb04g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWSTWRRSINIHCYQVMMFSDFAKYRLRCHLQGHEDDVHGVCIFPFRFIPISCSLYLLSRRTFCFSDSVKKFSVAATVEAGVGGPYNRCTIDEVYGDFEGGQTGIIKDLTTEVEEFYEWCDLDRENFCSFAYPNGKRKVALPVDLQGVRSLIKDNDFDDGDTEDISYSVVLVLDAGMIPRTSTTPYVAIETPLNPRSMIDQEAFDRWKGHAALTLRATMLLCEWREGTQEEYKMNSCEIYKQYKGA >itb10g16350.t1 pep chromosome:ASM357664v1:10:22578012:22580350:-1 gene:itb10g16350 transcript:itb10g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVGCFCLKQRHSSGLDDHLLTLASQTAFTVNEVEALYVLYEKLSRSLIDDGLIHKEEFLLGMFECSNKQNLMADRLFDLFDMKRNGVIEFGEFVQSLNVFHPRTPESVKIAFAFRLYDLKQTGYIDREELKEMVLATISETGSMIAEDVIEAIVDKTISEADMTGDGRISPEEWKQLVARNPALISNMTLPEIKEVTIAFPSFITLTRVKDWELQSESRELFW >itb10g16940.t2 pep chromosome:ASM357664v1:10:23185141:23186660:1 gene:itb10g16940 transcript:itb10g16940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYEAGTPTAASAPATPGTPTPLFTSFRVDSLSYDRKSMPRCNKCLPLDVPTLGTPHTCLTDWPAPDISLTRKLGAEFVGTFILIFAATAGPIVNQKYNGVESLIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAAFRHFPWAQVPAYILAQVSASICASFCLKAVFHPIMSGGVTVPSVNLGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAG >itb10g16940.t1 pep chromosome:ASM357664v1:10:23185141:23187450:1 gene:itb10g16940 transcript:itb10g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYEAGTPTAASAPATPGTPTPLFTSFRVDSLSYDRKSMPRCNKCLPLDVPTLGTPHTCLTDWPAPDISLTRKLGAEFVGTFILIFAATAGPIVNQKYNGVESLIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAAFRHFPWAQVPAYILAQVSASICASFCLKAVFHPIMSGGVTVPSVNLGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYDSIWIYLVAPTLGALAGAAVYTVVKLQEGEVDEPLRQHRSFRR >itb10g24220.t1 pep chromosome:ASM357664v1:10:28166580:28168028:-1 gene:itb10g24220 transcript:itb10g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSPLHVYFLPMMAPGHMIPMVDMARQFARQGVKATILTTPLYAAQISKTINRDNKELETQITIRLLEFPYRESGLPEGCEDLSNTTTSEQMHRFFNALNHFQIPVERFAAEDRPDCIIAGAMFVWSNDLAARLGITRLSFWGTGFLPLCAHHSLLKHRPHEKIESDTEEFVIPDLPDTLKMTKQQVPETLRDDAESNVKEIFAKIFKAEAGSYGMVMNSFYELEPAYVKYGKEVIGKKAWHVGPVSLCNKEDGEKSQRGQAASIGEEECLNWLNSKNPNSVVYVCFGSMSIFSAAQLREIAAGVEASGQPFIWVVKQNMEEEMNDWMPEGFEERVENRGLIIRGWAPQVLILDHEAIGGFVTHCGWNSVLEGIVAGVTMVTWPLSAEQFFNEKMVTQVLKIGVPVGAQAWTRRTDSRAPINRENIEAAVKELMIGEEAEERRSRAIALGNMAKKAVEPGGSSDTDLRSLLEELRKNRK >itb11g14090.t1 pep chromosome:ASM357664v1:11:11153243:11158233:1 gene:itb11g14090 transcript:itb11g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSLVPLILLLLFVGCSARPLYPLPSRRNDRNKQPLQTFRPFNIAHRGSNGEIPEETAAAYMRAIEEGTDFIETDILASKDGVLICFHDVTLDATTDIAEHKEFADRNRTYEVERVKTTGHFVVDFTLEELKTLRTKQRYTFRDQQYNGQFPIITFEEFISIALDAPRVVGIYPEIKNPIFVNERVKWPGGKKFEDKFVETLKKYGYKGPYMSKEWLKQPVFIQSFAPTSLTYISKQTDLPKIFLIDDVTVRTQDTNQSYSEITSDSYLDFIKDYVVGIGPWKDTIVPVSNGYLLPPTDLVARAHAKNLQVHPYTFRNENSYLHFNFSVDPYNEFDYWINQIGVDGLFTDFTGSLHQFQEWTDPLSAGENEASRLLNRIYTMISKYRNL >itb04g13790.t3 pep chromosome:ASM357664v1:4:13924721:13926932:1 gene:itb04g13790 transcript:itb04g13790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGNSKWRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSTKWRYIWAGHPKLLLNPNNIVTVTKNDFVGIGNEILLQHIGPILTFYVDISDVHMSQYPNIDRWILYLSRNGLRELRMKNSGVSLYALPSYIFLCEELKHLALCNCIFKPPCGTTGSFHSLKELSLNQVAFKPEVSASIFTASKLETLRLIKCSVAQVVLPMEVKSFGPGKSINFASLFEHWPLISTLFLDGYHLKVQSLLRFSILISRTMCLGCIIIFLCAGKHLAADSITSALPVKVNHLRDLTLYGINFTDLVQISSILCLLHSSPRVHSLVIWMNVPTVTADNNLVLQYLQERSCMSEEINSLRALKMIYFQGSRA >itb04g13790.t2 pep chromosome:ASM357664v1:4:13924718:13926961:1 gene:itb04g13790 transcript:itb04g13790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGNSKWRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSTKWRYIWAGHPKLLLNPNNIVTVTKNDFVGIGNEILLQHIGPILTFYVDISDVHMSQYPNIDRWILYLSRNGLRELRMKNSGVSLYALPSYIFLCEELKHLALCNCIFKPPCGTTGSFHSLKELSLNQVAFKPEVSASIFTASKLETLRLIKCSGMDHLNFDSCSPSLSTLVLYKNHGVKLHCFMNCKSITVAQVVLPMEVKSFGPGKSINFASLFEHWPLISTLFLDGYHLKVQSLLRFSILISRTMCLGCIIIFLCAGKHLAADSITSALPVKVNHLRDLTLYGINFTDLVQISSILCLLHSSPRVHSLVIWMNVPTVTADNNLVLQYLQERSCMSEEINSLRALKMIYFQGSRA >itb04g13790.t1 pep chromosome:ASM357664v1:4:13924718:13926961:1 gene:itb04g13790 transcript:itb04g13790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGNSKWRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSTKWRYIWAGHPKLLLNPNNIVTVTKNDFVGIGNEILLQHIGPILTFYVDISDVHMSQYPNIDRWILYLSRNGLRELRMKNSGVSLYALPSYIFLCEELKHLALCNCIFKPPCGTTGSFHSLKELSLNQVAFKPEVSASIFTASKLETLRLIKCSGMDHLNFDSCSPSLSTLVLYKNHGVKLHCFMNCKSITVAQVVLPMEVKSFGPGKSINFASLFEHWPLISTLFLDGYHLKVQSLLRFSILISRTMCLGCIIIFLCAGKHLAADSITSALPVKVNHLRDLTLYGINFTDLVQISSILCLLHSSPRVHSLVIWMNVPTVTADNNLVLQYLQERSCMSEEINSLRALKMIYFQGSRA >itb04g13790.t4 pep chromosome:ASM357664v1:4:13924721:13926932:1 gene:itb04g13790 transcript:itb04g13790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGNSKWRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSTKWRYIWAGHPKLLLNPNNIVTVTKNDFVGIGNEILLQHIGPILTFYVDISDVHMSQYPNIDRWILYLSRNGLRELRMKNSGVSLYALPSYIFLCEELKHLALCNCIFKPPCGTTGSFHSLKELSLNQVAFKPEVSASIFTASKLETLRLIKCSVAQVVLPMEVKSFGPGKSINFASLFEHWPLISTLFLDGYHLKVQSLLRFSILISRTMCLGCIIIFLCAGKHLAADSITSALPVKVNHLRDLTLYGINFTDLVQISSILCLLHSSPRVHSLVIWMNVPTVTADNNLVLQYLQERSCMSEEINSLRALKMIYFQGSRA >itb06g15570.t1 pep chromosome:ASM357664v1:6:19880482:19884164:1 gene:itb06g15570 transcript:itb06g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMEGKGLYFFCSILLSLFTFCTSVDTITTDHPITDGTTIVSAGGNFELGFFSPGKSKNRYVGIWYSKIPTKDVAWVANRETPLNNTFGKLMLKDNGILVLLDGSNEEIWSSNSSISLKNPVAQLSDTGNLVVREGNDHSSKNSAWQSFDYPGNTLLPGMKVGQNLATGHVWSLTSWKSNDDPALGEYTEMLDVDGFPQLFLYRGVNKSISFRHGPWNGQIFTGTPNLKNNSYYTIGFFMDQREIYYKYELVNNSAPSRVVLNSASTTQRLIWIERTQSWSVYLTCQIDNCDYSLCGAFGKCNINNSPPCHCLKGFIPKYQQEWDGTDWSNGCIRKTQLDCGDGDMFLKYTGIKLPDTRHSWFNRSIGLEECKRLCLKNCNCTAYSNVDVRDGGSGCLLWFGDLTDIREIDQVDRDLYVRIAASDLDNYQNEQKKQEAMKIVMPTISGILILSSLVWFALYTRKKGAGIDKEVHDLPLFTLETVVSATNNFSSDNLIGKGGFGHVYKGKLFVGTEIAVKKLSENSHQGAQEWENEVIIIAKLQHRNLVRLLGCCAEGRQRMLIYEYMLNNSLDYFIFDESRKRILTWQTRFVIATGISRGLLYLHQDSRLKIIHRDLKMSNILLDAELNPKISDFGLARIVEEDDGLAKTKRVIGTYGYMSPEYAVDGNFSVKSDVFSLGVILLELISGIKNRAFHHSDHHHNLLGHAWLLWNDGNPLELMDNCVRDSYVESQVRRCIHIALLCVSKLPEDRPTMALVVFMLENEEVALPKPKEPGFFVERNSTEASSTDAERCCYEIAMITFSTLEGR >itb06g15570.t3 pep chromosome:ASM357664v1:6:19880618:19884164:1 gene:itb06g15570 transcript:itb06g15570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDNGILVLLDGSNEEIWSSNSSISLKNPVAQLSDTGNLVVREGNDHSSKNSAWQSFDYPGNTLLPGMKVGQNLATGHVWSLTSWKSNDDPALGEYTEMLDVDGFPQLFLYRGVNKSISFRHGPWNGQIFTGTPNLKNNSYYTIGFFMDQREIYYKYELVNNSAPSRVVLNSASTTQRLIWIERTQSWSVYLTCQIDNCDYSLCGAFGKCNINNSPPCHCLKGFIPKYQQEWDGTDWSNGCIRKTQLDCGDGDMFLKYTGIKLPDTRHSWFNRSIGLEECKRLCLKNCNCTAYSNVDVRDGGSGCLLWFGDLTDIREIDQVDRDLYVRIAASDLDNYQNEQKKQEAMKIVMPTISGILILSSLVWFALYTRKKGAGIDKEVHDLPLFTLETVVSATNNFSSDNLIGKGGFGHVYKGKLFVGTEIAVKKLSENSHQGAQEWENEVIIIAKLQHRNLVRLLGCCAEGRQRMLIYEYMLNNSLDYFIFGLIFLTPKFERPTV >itb06g15570.t2 pep chromosome:ASM357664v1:6:19880482:19884164:1 gene:itb06g15570 transcript:itb06g15570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMEGKGLYFFCSILLSLFTFCTSVDTITTDHPITDGTTIVSAGGNFELGFFSPGKSKNRYVGIWYSKIPTKDVAWVANRETPLNNTFGKLMLKDNGILVLLDGSNEEIWSSNSSISLKNPVAQLSDTGNLVVREGNDHSSKNSAWQSFDYPGNTLLPGMKVGQNLATGHVWSLTSWKSNDDPALGEYTEMLDVDGFPQLFLYRGVNKSISFRHGPWNGQIFTGTPNLKNNSYYTIGFFMDQREIYYKYELVNNSAPSRVVLNSASTTQRLIWIERTQSWSVYLTCQIDNCDYSLCGAFGKCNINNSPPCHCLKGFIPKYQQEWDGTDWSNGCIRKTQLDCGDGDMFLKYTGIKLPDTRHSWFNRSIGLEECKRLCLKNCNCTAYSNVDVRDGGSGCLLWFGDLTDIREIDQVDRDLYVRIAASDLDNYQNEQKKQEAMKIVMPTISGILILSSLVWFALYTRKKGAGIDKEVHDLPLFTLETVVSATNNFSSDNLIGKGGFGHVYKGKLFVGTEIAVKKLSENSHQGAQEWENEVIIIAKLQHRNLVRLLGCCAEGRQRMLIYEYMLNNSLDYFIFDESRKRILTWQTRFVIATGISRGLLYLHQDSRLKIIHRDLKMSNILLDAELNPKISDFGLARIVEEDDGLAKTKRVIGT >itb07g08400.t1 pep chromosome:ASM357664v1:7:6603161:6604163:1 gene:itb07g08400 transcript:itb07g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIASHHYTMAHSLAPRLPAASISTSPKKNALLPSCLCFHKVLSCQQTSAEGLGQKLVTRRSVALGLGGAVLGLKAGEGSANAAARRPPPPPVEEKKDPNVSGVMAKVLASKRRKEAMKESMAKLRERGKPVVQIQEPSSE >itb14g00140.t1 pep chromosome:ASM357664v1:14:107345:109044:-1 gene:itb14g00140 transcript:itb14g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPTASLMECEKAMPVIGLGTCAYPRPDSGTAKAAIVEAIRAGYRHFDTAFAYGSEKPLGEAIAEAVNLGLVRREELFITTKLWASFAHPDQVVPACKMSLQNLGLENVDMYLIHLPVRLKEMVESFPVSEEKIEALDIKGVWEGMEECKRIGLTKGIGVSNFSCKMLQHLLSVAKIPPAINQVEMNPIWQQEKLREFCKAEGIHVTAYSPLGANNTKWGDNRVMGCDVLAQIAKAKGKSVAQVSLRWIYEQGVSLVTKSFNKERMRENLQILDWCLSEEDHKIISQIPQRKASNLAAIFGPHPLVLQLDADL >itb04g03590.t4 pep chromosome:ASM357664v1:4:2207447:2209893:1 gene:itb04g03590 transcript:itb04g03590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHSVNSPRRILSLTQNRKATVLFPDSNNKVSTFGVPADYGPKPSEVYGFVGSISTVVATVIFLVWAYVPENWLHSVGIFYYPSRYWALAVPAYLMVTVVLAITFYIGLNFMATPPPASFNTNFDEFSREPLPSSALTDDDDDERPIDPISDIGIDQINNIMFNNPQ >itb04g03590.t2 pep chromosome:ASM357664v1:4:2207017:2209893:1 gene:itb04g03590 transcript:itb04g03590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHSVNSPRRILSLTQNRKATVLFPDSNNKVSTFGVPADYGPKPSEVYGFVGSISTVVATVIFLVWAYVPENWLHSVGIFYYPSRYWALAVPAYLMVTVVLAITFYIGLNFMATPPPASFNTNFDEFSREPLPSSALTDDDDDERPIDPISDIGIDQINNIMFNNPQ >itb04g03590.t3 pep chromosome:ASM357664v1:4:2207034:2209865:1 gene:itb04g03590 transcript:itb04g03590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHSVNSPRRILSLTQNRKATVLFPDSNNKVSTFGVPADYGPKPSEVYGFVGSISTVVATVIFLVWAYVPENWLHSVGIFYYPSRYWALAVPAYLMVTVVLAITFYIGLNFMATPPPASFNTNFDEFSREPLPSSALTDDDDDERPIDPISDIGIDQINNIMFNNPQ >itb04g03590.t1 pep chromosome:ASM357664v1:4:2207017:2209893:1 gene:itb04g03590 transcript:itb04g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHSVNSPRRILSLTQNRKATVLFPDSNNKVSTFGVPADYGPKPSEVYGFVGSISTVVATVIFLVWAYVPENWLHSVGIFYYPSRYWALAVPAYLMVTVVLAITFYIGLNFMATPPPASFNTNFDEFSREPLPSSALTDDDDDERPIDPISDIGIDQINNIMFNNPQ >itb06g16900.t1 pep chromosome:ASM357664v1:6:20914952:20915203:1 gene:itb06g16900 transcript:itb06g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQPSPYFNHRILFPIAVAQSLLFRRSRTCHHPPLITAVFPSTTHRRLPPPHLRASPSQTVTPFREPSSLNIFIPSENPHRL >itb13g01100.t1 pep chromosome:ASM357664v1:13:1049097:1049618:-1 gene:itb13g01100 transcript:itb13g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARNAQIQALNFVGRSHHNILTLITPGASATLIETQQSHARIVKTGFSGDTHVANKLLSLYAHHHTFDDANLLLDSLSEPDIFSFTPLIYASSKSSLFDQTLRIFSRLLAQRLVPDAHILPSVIRALCGTIRAECWATSPWLCFNFWACIGFFRPLLSCSYVCEVQQLERCA >itb04g28170.t1 pep chromosome:ASM357664v1:4:31995322:31999393:1 gene:itb04g28170 transcript:itb04g28170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLLQWSGGLWAYGPWLHRKTDSPVSYTRLPVPNLDLFPWTGSSTAATILRFYISLGIKRSCWKYGQASS >itb15g21510.t1 pep chromosome:ASM357664v1:15:24210973:24212064:1 gene:itb15g21510 transcript:itb15g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVFASGEDFDARGGICRRRRLDGVASLPVMEARGWHGGPVDGGARLARWLACGRRDWSLEIAGLKAGGPWLAMKFKCRRLKPWSWQWSGHDYTEGGLKARIPDINPVDDDCVAFFDSFHDHHVPPKLPPFLYAESLGGAIALLITLHCGDSAHKRRFDDVLLNGAMCSIRDKFKPSWPLEHFLDISAY >itb01g01150.t1 pep chromosome:ASM357664v1:1:584090:584734:1 gene:itb01g01150 transcript:itb01g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMQNENNLQVTFSKRRAGLFKKASELSTLCGVDVGIIVFSPGKKVFSFGHPSVEAVVERFLGGDDAAGNGGGGVASTTEQLIEAHRNARVQELSVELTRLEAMIVSEKKRGEAIDEAAAANREAQGWVRGSHDELSLEQLQALKNGMENLMRETHQKAQQLMAMQGNSGFPFNPYASGGVFSGGALPFNSGVAGAHPFNSGGAAAASSSSHG >itb04g33460.t2 pep chromosome:ASM357664v1:4:35700980:35707582:1 gene:itb04g33460 transcript:itb04g33460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLLKVLLNNISSFFHLSSPENINSELVHKYYQKTEDILKLLQPILSGIVDAEIASDELLQKVFSGLGHSVDELRELFQTWQLLSSKVYFVLQAESLIGKVRAFSLKIVELLVSSDCCLPAESSLTSLENCVLKIKSMDYELLSATITKAIKNHVEGSGASSDNLTKIADCLSLKSNQELLIELVALEKLKENAEQAEKNEEVEYIEQIIALVTHMHDCLIMMKQSESCTSVQIPADFCCPLSLELMTDPVIVASGQTYERNFIRKWIDLGLTVCPKTRQTLTHTNLIPNYTVKALIANWCELNNIKLPDPIKSLNVNQPSSHVAHVDSGAPRDIHIFSHSRANNSTSPDSAHCLDSPSAIQREESSPSHPRSSSEESLPGIAGDDLRLDVERMSLEGSEDRLANSGDRSMNSVSHFSMPLSMNSVSSADENSHQGHNRTTSAPSTLSNSNFSRANAHDGNEVSSPTTASTEASMEVASESRRAATLAASRRESEFPSPVGTRLRPPAIWRRPSERLVSRIVSSPTVETRADLAEVEEQVRKLIEDLKSTSIDQQRNATAELRLLAKHNMDNRIVIANCGAISLLVNLLHSEDMKVQENAVTALLNLSINDNNKAAIANAGAIEPLIYVLETGSPEAKENSAATLFSLSVIEDNKIKIGRSAAVQSLVDLLGNGTPRGKKDAATALFNLSILHENKGRIVQAGAVRHLVELMDPAAGMVDKAVAVLSNLATIQEGRMSIGQEGGIPILVEVVELGSARGKENAAAALLQLCTNSSRYCNMVLQHGAVPPLVALSQSGTPRAREKV >itb04g33460.t1 pep chromosome:ASM357664v1:4:35700959:35707582:1 gene:itb04g33460 transcript:itb04g33460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLLKVLLNNISSFFHLSSPENINSELVHKYYQKTEDILKLLQPILSGIVDAEIASDELLQKVFSGLGHSVDELRELFQTWQLLSSKVYFVLQAESLIGKVRAFSLKIVELLVSSDCCLPAESSLTSLENCVLKIKSMDYELLSATITKAIKNHVEGSGASSDNLTKIADCLSLKSNQELLIELVALEKLKENAEQAEKNEEVEYIEQIIALVTHMHDCLIMMKQSESCTSVQIPADFCCPLSLELMTDPVIVASGQTYERNFIRKWIDLGLTVCPKTRQTLTHTNLIPNYTVKALIANWCELNNIKLPDPIKSLNVNQPSSHVAHVDSGAPRDIHIFSHSRANNSTSPDSAHCLDSPSAIQREESSPSHPRSSSEESLPGIAGDDLRLDVERMSLEGSEDRLANSGDRSMNSVSHFSMPLSMNSVSSADENSHQGHNRTTSAPSTLSNSNFSRANAHDGNEVSSPTTASTEASMEVASESRRAATLAASRRESEFPSPVGTRLRPPAIWRRPSERLVSRIVSSPTVETRADLAEVEEQVRKLIEDLKSTSIDQQRNATAELRLLAKHNMDNRIVIANCGAISLLVNLLHSEDMKVQENAVTALLNLSINDNNKAAIANAGAIEPLIYVLETGSPEAKENSAATLFSLSVIEDNKIKIGRSAAVQSLVDLLGNGTPRGKKDAATALFNLSILHENKGRIVQAGAVRHLVELMDPAAGMVDKAVAVLSNLATIQEGRMSIGQEGGIPILVEVVELGSARGKENAAAALLQLCTNSSRYCNMVLQHGAVPPLVALSQSGTPRAREKAQALLSYFRNQRHGNAGRG >itb03g26060.t1 pep chromosome:ASM357664v1:3:25489792:25492467:-1 gene:itb03g26060 transcript:itb03g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSETNCEQNYDAVNDYGCFSCKITNKDHEGDKYVYTIKEEQTRNFTVKYERANNEVECSCGKFTKLGILCRHAFVVLKDEDAERIPPKYIVPRWTKNARAHNTSSVGTDQSATCNKEADGVKLAAQLWKEFYNYMALSKGDMPEMKEMLNFMLEHKGKLLKSKGKTQNKSNNSQLLETFYGTPASTTITVKPPQISKNKGSGKRLKSAREKAIEKKKKDGRKCHYCDEQPARHDFRNCPLNPNKKKKQNKKLKA >itb05g20790.t1 pep chromosome:ASM357664v1:5:26794313:26794699:1 gene:itb05g20790 transcript:itb05g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQMPSEQRDGWTRDSSSPASTSTINLLLTSHHQELTTEAAVGAMQPRNCFPEAAMLGSDLLAEGIVAAALFTTRRSRSTPSCGDGGEKTRQQLLPAAKQQSPLGLEPPSLSSTSSDGGRRRRWRAS >itb15g01630.t1 pep chromosome:ASM357664v1:15:964915:969966:-1 gene:itb15g01630 transcript:itb15g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLASAQSESVSWLRRCPLRASSAVCASGPCHSVAHDSMAHPPQCLTRRHRQHHSAGVSRVAGSGGHLCSPKCPPQHPKFPSYPPLQASLQRRNQGVLLRHIRHPRRPNHALRRAGDSAVTPVPERFLIRRRFSLSLPDNPRARAEQQRRVAKP >itb15g01630.t2 pep chromosome:ASM357664v1:15:969721:969966:-1 gene:itb15g01630 transcript:itb15g01630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLASAQSESVSWLRRCPLRASSAVCASGPCHSVAHDSMAHPPQCLTRRHRQSALSSRRPPPPPPPQTKKQTIRHESRDR >itb02g12280.t1 pep chromosome:ASM357664v1:2:8355501:8360715:1 gene:itb02g12280 transcript:itb02g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKFDLVLSFSRKKSVQILIFMGLVYVIMVVLEVPFVFRTGFSSVYQEGFGNGGYLSSSSRPEVLDSEESLEEKEAPNRPLDVAFRVPIDSWPERRIKELPNHPLSTLVLDGSFSNVSSKHGFAGILKSATEAFELGKKVWEELELLREETVSMETSNKTEECPQSISISAEEFSAKGRMMVLPCGLTLGSHIAVVGRPKLAHPESDPKISLLKEGQYLMVSQFMMELQGLKTVDGEDPPRIMHFNPRLKGDWSGKPVIEHNTCYRMQWGTAQRCEGWRSRDDEETVDGQVKCEKWIRDDDNSSEQSKATWWLNRLMGRTKKVTVDWPFPFSEDKLFVLTLSAGLEGYHVNVDGRHVTSFPYRIGFALEDATGLSLNGDVKVHSVFAASLPTSHPSFAPQKHLEMSNRWKAPPLLDRPVELFIGILSAGNHFAERMAIRRSWMQHKLIKSSNAVARFFVALHARKEVNSELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVHVVSAKNIMKCDDDTFVRLDAVVKEVNKIPKDKSFYIGNINYYHKPLRSGKWAVTYEEWPEEDYPPYANGPGYIVSSDIAHFIVSDFDKRKLKLFKMEDVSMGMWVEKFNSTKAVKYVHSLKFSQSGCIDDYYTAHYQSPRQMICMWNKLQQGKPQCCNMR >itb02g12280.t2 pep chromosome:ASM357664v1:2:8355501:8360715:1 gene:itb02g12280 transcript:itb02g12280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKFDLVLSFSRKKSVQILIFMGLVYVIMVVLEVPFVFRTGFSSVYQEGFGNGGYLSSSSRPEVLDSEESLEEKEAPNRPLDVAFRVPIDSWPERRIKELPNHPLSTLVLDGSFSNVSSKHGFAGILKSATEAFELGKKVWEELELLREETVSMETSNKTEECPQSISISAEEFSAKGRMMVLPCGLTLGSHIAVVGRPKLAHPESDPKISLLKEGQYLMVSQFMMELQGLKTVDGEDPPRIMHFNPRLKGDWSGKPVIEHNTCYRMQWGTAQRCEGWRSRDDEETVDGQVKCEKWIRDDDNSSEQSKATWWLNRLMGRTKKVTVDWPFPFSEDKLFVLTLSAGLEGYHVNVDGRHVTSFPYRIGFALEDATGLSLNGDVKVHSVFAASLPTSHPSFAPQKHLEMSNRWKAPPLLDRPVELFIGILSAGNHFAERMAIRRSWMQHKLIKSSNAVARFFVALHARKEVNSELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVSR >itb12g04900.t1 pep chromosome:ASM357664v1:12:3238957:3241479:1 gene:itb12g04900 transcript:itb12g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAFASVFMGNPLEGGLLLAMFNLSHIAEEYFKSRSKVDVNELKESYPEFAVLLDVNNGSLPSFSNLTYHEVPVSDLKIGSFILVKAGESVPVDCEVLKGSSTITVEHLTGEVRPLEKNVGDSIPGGARYLDGMLIVKAGTGGSKPFKVSLGSVEYIASLYQSEDESTKIKEDVRTSIYGGDFVRAALSVNNDKVGILCGQITANNNPGIFFSFWLGWKLLIEAGLEWSG >itb13g18250.t1 pep chromosome:ASM357664v1:13:25243499:25246760:1 gene:itb13g18250 transcript:itb13g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRCAVVSGANRGIGHEICRQLASEGVVVVATARDEKRGMEAVENLKHSFDDEHIIVFHQLDVGDGVSISRLVDFVTTKFGKLDILVNNAGIGGVILEGDISILKEMIEGDFSRASSIKEEPETEMKSNGAIIQTYELAEECLNINYAGTKRMVEAFLPLLQLSPSPRIVNVSSTLGNLKLLPNEWARKVLSNSESLSEERVDEVVNEFLKDCKEGIAEAKGWARYAPAYKISKAAVNAYTRILAQKYPNFRINCVCPGYVKTDMTINSGKLTPMEGAESIVKLALLPDDGPSGLFFSRTNIMAF >itb02g06050.t1 pep chromosome:ASM357664v1:2:3747446:3748607:-1 gene:itb02g06050 transcript:itb02g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHITANEIAGYGVGALLLCSTIYAPKIDSLVAASQRSSLGMCKRCGDLKLIACRKCKGSGFIKQGGGPFNLIFANDDPNSQLVGSKSRITHSSCSNCKARGHFLCPDCPKLPQS >itb10g17410.t1 pep chromosome:ASM357664v1:10:23614630:23617678:-1 gene:itb10g17410 transcript:itb10g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRIDRGTSGTVDFSGHLRPAVDLTGGVHQLPCCIKYNGPSEVSHYFRPKHTEVVLDGLSVEEAHFRGRKLQGATLPIPQGYSGFVLGKRKPDGKANTSEENSNCWEMKAKFQNITYWNHDTPPSQNDDLSRAFHLFAVAKSLHRPVSIEDLESAQID >itb15g04550.t1 pep chromosome:ASM357664v1:15:2881164:2882975:-1 gene:itb15g04550 transcript:itb15g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASRSHEFIHHRNPSNSGSSRPQSPYSFSDSGSTPVSRTLSLPTPLVHHPPLRRGDTNHLVSLTSTTYGSLVLIDRPRTPNPNPNFSGPEGGNPNAQMGKPENGGTDSVINTWELMEGLDDEFGFHVVESPKKPSVKILEIEEEFDVKSYEFVEHSDSKPLWKHLSEESLLAKMDPNVALSYRKALSAKQLGCREGKDMSQPKTAKSQVCNDKSLFSLVLPESDSNVRLKGCGEERIVLYYTSLRGIRKTYEDCCNARVIFKGFRVCVDERDISMDSSYRKELQGMLGEKGISLPQVFIKGRYIGGVEEIKQLNESGELAKLLEGFPVKHHGLACESCGDARFLPCPNCYGSRKVFEEDEGKLRRCPDCNENGLIRCPSCYP >itb03g01950.t3 pep chromosome:ASM357664v1:3:1099014:1104403:-1 gene:itb03g01950 transcript:itb03g01950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGIPSSVKEAHHDLRKQIGCINVIFQLFDRHHFFTGKRADCHNNKKPVTGANHNGEPRIATEIELERTKRVVGSDESYKILSSSSSSSPCPSTPSSLDRNKTATQESPSCTQTNFSRIPEALDMNQHKPSLYSSRQLSVKTGAKEEDRIRVMKHIDSPRPLQQSPDLRDVVKNSMYRDKEEGRVRVMKHIDSPRPSKPSAKVSTRGHSRFQEAPFSFKEDRDHTLQHAGRDPCRFSYDGIESRETLKSTMKQGELPRLSLDSRVRSIRSSASETRSNFLVQNRHEGDENSVSTPTRNEEFGSHRRSSSVVVKLMGLEGFPEPTSINDDQVLKERFISVENSVPKSQGLGQHSKQNQETRSSQDSQKDSVSPHSRPTKPTSYSRFPLELAPWRLSDSSRAPQKTLLRSKEASTNPQQISSSVYSEVEKRITELELDKSGKDLRALKQILEAMQKTRARLENQTEEVADFDTDSQSNSYATDYGCSPRLSMQQRQQCHHSSPTEKRSCPPKRVKSSNPIKQSAKLNVQVRVASSSTRNRDDSVNKDRKNLTPRKNIIKGPTQSLPSIEKINQRTSNALQASKGSAHMNGGRHPVLDRSPGAVSPRLQKKKLGMEKQSEPSRIRRKENKQPKESESSNRRPKLKSANQRQSYSHLSEISSDTGTFSEQGDTASVQSESNNSLASYMETEVTSTYHNIEMGAKQQVVHKETNSEMRLRKDTMMAELAMATIEQPSPVSVLDATFYIEDSPSPVKKKISIAFGGNNHIPQNMYFQSKLQLE >itb03g01950.t2 pep chromosome:ASM357664v1:3:1099014:1104403:-1 gene:itb03g01950 transcript:itb03g01950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGIPSSVKEAHHDLRKQIGCINVIFQLFDRHHFFTGKRADCHNNKKPVTGANHNGEPRIATEIELERTKRVVGSDESYKILSSSSSSSPCPSTPSSLDRNKTATQESPSCTQTNFSRIPEALDMNQHKPSLYSSRQLSVKTGAKEEDRIRVMKHIDSPRPLQQSPDLRDVVKNSMYRDKEEGRVRVMKHIDSPRPSKPSAKVSTRGHSRFQEAPFSFKEDRDHTLQHAGRDPCRFSYDGIESRETLKSTMKQGELPRLSLDSRVRSIRSSASETRSNFLVQNRHEGDENSVSTPTRNEEFGSHRRSSSVVVKLMGLEGFPEPTSINDDQVLKERFISVENSVPKSQGLGQHSKQNQETRSSQDSQKDSVSPHSRPTKPTSYSRFPLELAPWRLSDSSRAPQKTLLRSKEASTNPQQISSSVYSEVEKRITELELDKSGKDLRALKQILEAMQKTRARLENQTEEVADFDTDSQSNSYATDYGCSPRLSMQQRQQCHHSSPTEKRSCPPKRVKSSNPIKQSAKLNVQVRVASSSTRNRDDSVNKDRKNLTPRKNIIKGPTQSLPSIEKINQRTSNALQASKGSAHMNGGRHPVLDRSPGAVSPRLQKKKLGMEKQSEPSRIRRKENKQPKESESSNRRPKLKSANQRQSYSHLSEISSDTGTFSEQGDTASVQSESNNSLASYMETEVTSTYHNIEMGAKQQVVHKETNSEMRLRKDTMMAELAMATIEQPSPVSVLDATFYIEDSPSPVKKKISIAFGDHDISDANEEEWHTEDLDHLPFSTRHNLSSGFNHKSLENVDHLLHKLRLLNSTPREGAMNEIAPFCQGDNSDHRYITKILLASGLLKDLDCVSTTAQLHPSGHLINPKLFHVLEQTEESTWLTCEGPQENIAKIKFEEKIHRKVVFDTVNEILAHKLALEGSLMQERNFSGQQLLKELYAEVDHLRPESDSFLGTEDDELVKIIKGDLKHELEDWVEHRGELPALALDIERLIFKDLITEVISKEVVWLQDRPRRHSMQLFTN >itb03g01950.t1 pep chromosome:ASM357664v1:3:1099014:1104403:-1 gene:itb03g01950 transcript:itb03g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGIPSSVKEAHHDLRKQIGCINVIFQLFDRHHFFTGKRADCHNNKKPVTGANHNGEPRIATEIELERTKRVVGSDESYKILSSSSSSSPCPSTPSSLDRNKTATQESPSCTQTNFSRIPEALDMNQHKPSLYSSRQLSVKTGAKEEDRIRVMKHIDSPRPLQQSPDLRDVVKNSMYRDKEEGRVRVMKHIDSPRPSKPSAKVSTRGHSRFQEAPFSFKEDRDHTLQHAGRDPCRFSYDGIESRETLKSTMKQGELPRLSLDSRVRSIRSSASETRSNFLVQNRHEGDENSVSTPTRNEEFGSHRRSSSVVVKLMGLEGFPEPTSINDDQVLKERFISVENSVPKSQGLGQHSKQNQETRSSQDSQKDSVSPHSRPTKPTSYSRFPLELAPWRLSDSSRAPQKTLLRSKEASTNPQQISSSVYSEVEKRITELELDKSGKDLRALKQILEAMQKTRARLENQTEEVADFDTDSQSNSYATDYGCSPRLSMQQRQQCHHSSPTEKRSCPPKRVKSSNPIKQSAKLNVQVRVASSSTRNRDDSVNKDRKNLTPRKNIIKGPTQSLPSIEKINQRTSNALQASKGSAHMNGGRHPVLDRSPGAVSPRLQKKKLGMEKQSEPSRIRRKENKQPKESESSNRRPKLKSANQRQSYSHLSEISSDTGTFSEQGDTASVQSESNNSLASYMETEVTSTYHNIEMGAKQQVVHKETNSEMRLRKDTMMAELAMATIEQPSPVSVLDATFYIEDSPSPVKKKISIAFGDANEEEWHTEDLDHLPFSTRHNLSSGFNHKSLENVDHLLHKLRLLNSTPREGAMNEIAPFCQGDNSDHRYITKILLASGLLKDLDCVSTTAQLHPSGHLINPKLFHVLEQTEESTWLTCEGPQENIAKIKFEEKIHRKVVFDTVNEILAHKLALEGSLMQERNFSGQQLLKELYAEVDHLRPESDSFLGTEDDELVKIIKGDLKHELEDWVEHRGELPALALDIERLIFKDLITEVISKEVVWLQDRPRRHSMQLFTN >itb02g11950.t1 pep chromosome:ASM357664v1:2:7976384:7976729:1 gene:itb02g11950 transcript:itb02g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYNLPFLTSKSTMALFNFWGCFSESSPESSRYVCNGDICVLRDPNTTLKKKKTARQSFRIPFTQLSLRKTY >itb05g27040.t1 pep chromosome:ASM357664v1:5:30625672:30627490:-1 gene:itb05g27040 transcript:itb05g27040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDHEKGREVPTDGEDDSDHGAKSSYATEEDEEFVQMGPKCSIKEHLEMDKDDESLRRWKEQLLGSVEINPEAQEPDVKVLSLTIISAGRGDIALQIPENGRNPEGLWFTLKEGSKYRLRFAIKVSNEIVCGLKYINYVWKTGIKVDSSKEMLGTFSPQVEPYIHEMPEETTPSGMFARGTYSARTKFIDDDKKVYLDITYTFDIQKEWAETS >itb06g25710.t1 pep chromosome:ASM357664v1:6:26633874:26636141:-1 gene:itb06g25710 transcript:itb06g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMAQQAGSPVRSSYSNNSSLADIAARVVEEFRAENENDGEEFLYVGDLFCGLGGEASEEDLGKEAAKGLQDDDEEQNNDMDAGDDEQDEDEEFEFAFVPRDAELSLISTADQIFYNGQIRPVFPIFNPNYWESATGTSSMCNSSLSSSGDEQQQQKVSTPPQTMIRLPLRKLLLSEDQETPSSCSSSEADELEGIPEGTYCVWRPKEVKDEASASASPGKCKKSNSTGWRWRSKRWRLPDLVHRSNSHGKDTFVFLTKGEDKAGATKTANPNLTHYTKNRSGDKRRHSYLPYRQHLVGFFANVNGFSRNLQPF >itb10g24960.t2 pep chromosome:ASM357664v1:10:28492219:28496394:-1 gene:itb10g24960 transcript:itb10g24960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDNNSSGKLSTSFFTSKTPILGLQMYVVILATVVILVFVFVLIFLFLRRNRRSEPRRLGVKRGSGLVPLVAKEISGTKTRDRAVICRVKELEDKEKETKAILREDSAKVTDIESDDHKGSSGSNNSSTSQSSSSTDNSNIGWGRWYSLKELETATRGFSSENVIGEGGYGIVFRGVLQDGSVVAVKKLLNKGQAEKEFKVEVEAIGRVRHKNLVGLLGYCAEGTQRLLVYEYINNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTARGLAYLHEGLEPKVVHRDVKSGNILLDRKWNAKVSDFGLAKLLGSEKSYVTTRVMGTFGYVSPDYASTGMLNEGCDVYSFGILLMEIITGRSPVDYSRPPGEMNLVEWFKGMVANRRGEELVDPLIKVHPPPRILKRALLVCLRCIDLDANKRPKMGQIVNMLEADEFPFRAVSNPFNPTYFR >itb10g24960.t1 pep chromosome:ASM357664v1:10:28492219:28496394:-1 gene:itb10g24960 transcript:itb10g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDNNSSGKLSTSFFTSKTPILGLQMYVVILATVVILVFVFVLIFLFLRRNRRSEPRRLGVKRGSGLVPLVAKEISGTKTRDRAVICRVKELEDKEKETKAILREDSAKVTDIESDDHKGSSGSNNSSTSQSSSSTDNSNIGWGRWYSLKELETATRGFSSENVIGEGGYGIVFRGVLQDGSVVAVKKLLNKGQAEKEFKVEVEAIGRVRHKNLVGLLGYCAEGTQRLLVYEYINNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTARGLAYLHEGLEPKVVHRDVKSGNILLDRKWNAKVSDFGLAKLLGSEKSYVTTRVMGTFGYVSPDYASTGMLNEGCDVYSFGILLMEIITGRSPVDYSRPPGEMNLVEWFKGMVANRRGEELVDPLIKVHPPPRILKRALLVCLRCIDLDANKRPKMGQIVNMLEADEFPFRAEPRATQETAPLYTRTSNDRDGLAPKDEVGDDEQKPSWRR >itb11g16790.t1 pep chromosome:ASM357664v1:11:15399068:15400496:-1 gene:itb11g16790 transcript:itb11g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERPYALEMAKLLDPRDVYFHSRVIAQGDSTQRHQKGLDIVLGQESSVLILDDTEVVWGKHKENIILMDRYHFFASSCHQFGFDSKSLSQLKTDESEENGALATVLAVLKRIHGIFFDQVLKGVRKEVLEGCKIVFSQLFPTKFQAENHHLWRMAEQLGATCTTELDQSVTHVVSMDAGIEKSRCA >itb11g15190.t1 pep chromosome:ASM357664v1:11:12639902:12640876:-1 gene:itb11g15190 transcript:itb11g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGAIVDGEKFRNYSEQERMANIDNIRIMARSSPFDKLLMVKCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTQVAKESSDIVILDDNFKSVVTVLKWGRGVYNNIQKFIQFQLTVNVAALATNFVTAISSGGVALTAVQLLWVNLIMDSLGALALATEQPTDDLMTKKPVGRTQPLITPIMWRNISAQALYQIIVLLVLHFRGGSIFGVNLRVQSTLIFNTFVFCQVFNEFNARKLEKKNIFDGILKNKLFLGIVGGTISLQVFMVEVLNKFADTERLNWGQWGACVGIAALSWPIGWLAKCIPVTHKHLSREYSA >itb12g10840.t1 pep chromosome:ASM357664v1:12:8908727:8909804:-1 gene:itb12g10840 transcript:itb12g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSLEEFWPVYMNQHSKAATRRWHFAGTLCSMMWLIYSVLFNWWCVIFVPLFGYGLAWYSHFFVEKNVPATFGHPFWSLLCDFKMFGLMLTGQMDREIKRLGKRPVLQAY >itb01g28910.t1 pep chromosome:ASM357664v1:1:33222378:33233065:-1 gene:itb01g28910 transcript:itb01g28910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKEPCRNFLRGSCHYGDRCKFLHVTQQQPKPNVFGFGSQSGTQFQRTNFQQQQQPPNPFGFGVQNSSQSRGANDVGLKQNQHKPFENKWIRSSANASNTSARQPDNQQAAANHVCTDPESCKRQIIEDFQNEKPLWNLTCYGHRKNGPCDVIGDVSYEELRVAAYDDAKRGLNLQSIVERERGLITSKLAEFDNLVRNSYSASANSAFGSGSQSPLFGASQNVTTSAQSPPLTSSFSQLGAFLNTGLSATPSNTLQQSNPFENFSQVSGTFGVNNVPFGNAGSLGSQFGAQSFHSPFTSSSATLNNAMAGERNSSSVSLFPQLSGSVGQSFGNTGLIGPCDVIGDVSLKKLRVAAYDDAKRGLNLQSIVERERGLITSKLAEFDNLVRNSYSASANSAFGSGSQSPLFGASQNVTTSAQSPPLTSSFSQLGAFLNTGLSATPSNTLQQSNPFENFSQVSGTFGVNNVPFGNAVQQPSTMPWLVERNSSSVSLFPQLSGSVGQSFGNTGLIKQKEKAILDDSIWSKEWKIGEIPEEAPPDEYIF >itb01g17240.t1 pep chromosome:ASM357664v1:1:22010833:22013229:-1 gene:itb01g17240 transcript:itb01g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLDSTPSSSVKSSTTTDSETRDSCYFPGCRKDANCKCEICIASINATLDLMPKTIQRNSLGKFSAAKARRNPRSPVLFDPSSFSTPRSSRNPSAPVSPPSNSSASASFLKEVEREKKECGFGAVMVRFLVGLSLVFVVEYGVSSVVSGVFEPELSLDVVRKLSEKSWVSNDLNERLNFFRNEMEKLVDDEVSNCSSSFYSQWKVNQDGLLLNSRCTLYESISEEVSIWGWPLQTAGLLTAGYSSRSFTLLSGRLTEWSNGETSYRIMNVNSSWTQRKWSSSAVQLDPNTWILEYNESPMRENARFVSAVVEFLKFRFTREFEMLKEEFFWLLSPFKSHLRGGKSFHVPT >itb04g19940.t1 pep chromosome:ASM357664v1:4:24387085:24388155:1 gene:itb04g19940 transcript:itb04g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQEMKSRIGEDLVAIYYWAILLNQRSRKRALPHSATQCHLSPSSKLRNGKTNSSVSKMNKTGEKVDSFAKGIREHVKLRPKITDTLKDKLILGAKLLQAGGVKKVFKNKFSVRDDEKLLNAFQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSMRMHYKVSIPITKIKRANESENLKNPSEKYIQVVTDDHFEFWFMGFLYHQRTTFKYLQDAISQAQ >itb15g17070.t1 pep chromosome:ASM357664v1:15:17334318:17336173:-1 gene:itb15g17070 transcript:itb15g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASRHYRALLRQFVATPFSIGVEEGRRSPSVAAGLHPSVCLSLRPTFMSYTDCFIHLILDNPSTSSLRLAADLPKADKVLIIERVIESLCSTSTCSRFVHVPQVKLGKAWLKKEHDAQIIAREEDLGASLEDEVVAVQE >itb11g10490.t1 pep chromosome:ASM357664v1:11:7495374:7496348:-1 gene:itb11g10490 transcript:itb11g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPGLPNDVAFECLIRISFDQFPKAASVSKAWNAVIKQPEFHRRRKVSGLTGPVIVMAQPMDGLIKSNLLPVYRLTLFEPVKRRWRNFPPVPEMVEGMPLFCQVVGIGPELLVIGGINPVTCRVQNSVFIYNFLSATWRRDADMPGNKDRRFFGCAASEEDGTVVVAGGNNWYNSLKSTLAYDVARDTWTKLPDMSIGRNNCGCVFHRGKFHVLGGFNMDTQDDSKLPVETLDLVTRQWQVINDYAIISPQATYVEIGGVIYTIKGKRDVVALEDATWVFVSRVPNDTQRVVYVTGWQGKIMVIIENAKNGRAFFFFLKTKW >itb01g32160.t1 pep chromosome:ASM357664v1:1:35710126:35711279:-1 gene:itb01g32160 transcript:itb01g32160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRDRNLQVDSFLFCSEKLRERLTKMWSDLLLQAALILISIFTYLFMHNIPQNLYSKLQMRRQARTIQARRHFVLGAQRLAQARTAKDLSSSMRMTLAKSAEEEAEKAIGINAKDAALHLLKAMALEVQGFKTSALDAMNVALSPLAAKSLSVPERAEALHKQAELKLAVSKGEQIDSAVEDLIESVRLKGDNVKGLCLLGELYEKKKLSEEARKSYEDALKIQPDYSAAQDALARLSS >itb03g06980.t1 pep chromosome:ASM357664v1:3:5089240:5089889:1 gene:itb03g06980 transcript:itb03g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTEGRRSWSSRRRMRIPFSTPMTKGRSSACARKTNFSLLDRVRDAVLRLIMLSALSKAATATPPEERKSNAPQRSNDCRHYRYPYYNHHEPHRSEALADCIEFMKKSATAADVEICGAAAEDDGM >itb03g07020.t1 pep chromosome:ASM357664v1:3:5123948:5127319:-1 gene:itb03g07020 transcript:itb03g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVEMKSGELYRGSMVECEDNWNCQLESITYTAKDGRVSQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEAKIKGKGTSLGVGRGRAIAMRAKAQAAGRGAAPGRGVVPPVRR >itb03g19920.t1 pep chromosome:ASM357664v1:3:17851397:17854415:-1 gene:itb03g19920 transcript:itb03g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDDEGRYSQKPTSVYSFSSRSRRPIRNPSSYPKDTHYSRYKNEYECDDTEESDEGEDDPEFNGGAENGYDFRNKKKRKMESLVSNYEFAPRAVGNLQGTTRGGGSSRGAWSEEESFVLLDVWGERYLELGRRSLRGEDWVDVAEKVSEMIGVEKSEVDCRNQLDVLKKKYKKEREKMEKMGGGFSSKWPFFKKMDVLMNLRMKGHCGLGCGVDSGEYVFMDPRMYLDRSNVMDEMRDSPAGSDVDNEDEQEETEGEAGWEEDEESAKLLAESIQRFGDIYEKIENSKRKQMMELEKMKWEFQRELEMQKKQIIERAQAEIAKIRDTEDSGGDDDEDDEEEENNNVSAEKLNG >itb03g19920.t3 pep chromosome:ASM357664v1:3:17853036:17854408:-1 gene:itb03g19920 transcript:itb03g19920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDDEGRYSQKPTSVYSFSSRSRRPIRNPSSYPKDTHYSRYKNEYECDDTEESDEGEDDPEFNGGAENGYDFRNKKKRKMESLVSNYEFAPRAVGNLQGTTRGGGSSRGAWSEEESFVLLDVWGERYLELGRRSLRGEDWVDVAEKVSEMIGVEKSEVDCRNQLDVLKKKYKKEREKMEKMGGGFSSKWPFFKKMDVLMNLRMKGHCGLGCGVDSGEYVFMDPRMYLDRSNVMDEMRDSPAGSDVDNEDEQEETEGEAGWEEDEESAKLLAESIQRFGDIYEKIENSKRKQMMELEKMKWEFQRELEMQKKQIIERAQAEIAKIRDTEDSGGDDDEDDEEEENNNVSAEKLNG >itb03g19920.t2 pep chromosome:ASM357664v1:3:17851836:17854430:-1 gene:itb03g19920 transcript:itb03g19920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSDDEGRYSQKPTSVYSFSSRSRRPIRNPSSYPKDTHYSRYKNEYECDDTEESDEGEDDPEFNGGAENGYDFRNKKKRKMESLVSNYEFAPRAVGNLQGTTRGGGSSRGAWSEEESFVLLDVWGERYLELGRRSLRGEDWVDVAEKVSEMIGVEKSEVDCRNQLDVLKKKYKKEREKMEKMGGGFSSKWPFFKKMDVLMNLRMKGHCGLGCGVDSGEYVFMDPRMYLDRSNVMDEMRDSPAGSDVDNEDEQEETEGEAGWEEDEESAKLLAESIQRFGDIYEKIENSKRKQMMELEKMKWEFQRELEMQKKQIIERAQAEIAKIRDTEDSGGDDDEDDEEEENNNVSAEKLNG >itb08g11030.t1 pep chromosome:ASM357664v1:8:10736726:10741769:-1 gene:itb08g11030 transcript:itb08g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVRERITKTGETVLHVAAAGKNTTFVRKLVEMLDPSDLELRNERGSTSFCYAAISGVVENARVMMQKNHKLPTIRDHDKFTPIQLAALNGHKKMVSYLYEVTPFEGLAPSERIEILDATVRNDIFDVALKMIDNNISIATAILEGDESLLRVLARKPMAVIDDQEGMLGRCLHATCDVLCLNFNLQESAAKKKAGQLFEKILTECLTLPDAQFFSLIRRTHILHYAAKEGNAEFLTMILRKSPDLLWELNEEGHSIFHVAVLHRQERVFNIIYNIGVYKDLITSIRDRDGNNILHLAAKVKKPNPSKDTHQEATSDTRPPLNPRDESSEDVDMILPKSLLKLSTELHQVRRDVTWFEELKRIVPSSFLDMGNKDDKTPEQIFKEQHTAMLKNGVKSIRESAKTCLVVAALISTMSFTAAIKLTDIVPSTSNDGYSPVVYRIFDAAALLTSILSIIMFLYITSCYTEDDFASLSLRLLIGLATLCFSIGSMLVVCGAAFLLMYTDGHAWELELVSVLCSLPVALVFTLYYEQWFVLLRTRYVKSSELKLC >itb13g09510.t1 pep chromosome:ASM357664v1:13:13279010:13280315:-1 gene:itb13g09510 transcript:itb13g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENFKWTNEYVVFFCEICIKYIRKYGRGLMKWKEIKEEFEVTAKRKIAHRSLKNKWDAMKKEWRTWKQLKREETGLGWDPSTHKISGFDEWWEKKIKENSEYKKFRNKSIDPAMDDLWSKLLEDSYANGEGCVAPTMDPQLVQPVDIEEENIESEEENRTRQGFEDLLYSQDNQHSFQLHDLEKLKILVLNALK >itb13g09510.t2 pep chromosome:ASM357664v1:13:13279010:13280315:-1 gene:itb13g09510 transcript:itb13g09510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENFKWTNEYVVFFCEICIKYIRKYGRGLMKWKEIKEEFEVTAKRKIAHRSLKNKWDAMKKEWRTWKQLKREETGLGWDPSTHKISGFDEWWEKKIKENSEYKKFRNKSIDPAMDDLWSKLLEDSYANGEGCVAPTMDPQLVQPVDIEEENIESEEENRTRQGFEDLLYSQDNQHSFQLHDLEVDESSFWNNFMSEVNHCVGN >itb13g09510.t3 pep chromosome:ASM357664v1:13:13279010:13280315:-1 gene:itb13g09510 transcript:itb13g09510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENFKWTNEYVVFFCEICIKYIRKYGRGLMKWKEIKEEFEVTAKRKIAHRSLKNKWDAMKKEWRTWKQLKREETGLGWDPSTHKISGFDEWWEKKIKENSEYKKFRNKSIDPAMDDLWSKLLEDSYANGEGCVAPTMDPQLVQPVDIEEENIESEEENRTRQGFEDLLYSQDNQHSFQLHDLEVDESSFWNNFMSEKLKILVLNALK >itb04g11920.t1 pep chromosome:ASM357664v1:4:11521878:11523713:-1 gene:itb04g11920 transcript:itb04g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEIGSSKMWVVLATILMGFCMVCHGGNFYQDFDLTWGGNRAKIFGKGQLLSLSLDRVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGQPYILHTNVFTQGKGGREQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNTPIRVFKNGESIGVAFPKNQPMKIYSSLWNADDWATRGGLVKTDWSHAPFTAYYRNFNAQTFTASQFNDGKWQSQELDAYGRRRLRWVQKNFMIYNYCTDYKRFPQGFPAECRHF >itb03g25040.t2 pep chromosome:ASM357664v1:3:24001398:24004534:-1 gene:itb03g25040 transcript:itb03g25040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRVVRRFGTAHRIAAAPSIASKDTSSIASNTSVSKKKPIKVDEPALIRLKKERDPEKLFNLFRANAHNKVVVENRFAFQDTVSRLAGAGRFDYIENLLEQQKTLPQGRREGFVVRIIMLYGSAGMVKHAIDTFYNMHLYGCHRTVKSLNAALKVLTLSRDPEAIDSFLKDVSFKFSISLDIFSINIIVKAFCEMGILDKAYLVMAEMEKLGISPDVVTYTTLISAFYRINRVEVSNGLWNLMVLKGCMPNLATFNVRIQFLVNRGRAWDANKLLDLMHRLGIAPDEITYNLVIKGFCRAGFLDMAKRVHSSLHGNGLKSNEKIYQTMIHYLCKAGEFNLAYTMCKDSMKNNWFPSVDSIIILLEGLQRYGGLEGIEKARFLITLAKRRVPPFSADQLKAMQSILSK >itb03g25040.t1 pep chromosome:ASM357664v1:3:24001398:24004534:-1 gene:itb03g25040 transcript:itb03g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRVVRRFGTAHRIAAAPSIASKDTSSIASNTSVSKKKPIKVDEPALIRLKKERDPEKLFNLFRANAHNKVVVENRFAFQDTVSRLAGAGRFDYIENLLEQQKTLPQGRREGFVVRIIMLYGSAGMVKHAIDTFYNMHLYGCHRTVKSLNAALKVLTLSRDPEAIDSFLKDVSFKFSISLDIFSINIIVKAFCEMGILDKAYLVMAEMEKLGISPDVVTYTTLISAFYRINRVEVSNGLWNLMVLKGCMPNLATFNVRIQFLVNRGRAWDANKLLDLMHRLGIAPDEITYNLVIKGFCRAGFLDMAKRVHSSLHGNGLKSNEKIYQTMIHYLCKAGEFNLAYTMCKDSMKNNWFPSVDSIIILLEGLQRYGGLEGIEKARFLITLAKRRVPPFSADQLKAMQSILSK >itb01g33610.t1 pep chromosome:ASM357664v1:1:36651557:36658319:1 gene:itb01g33610 transcript:itb01g33610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLYSRNTRRYLRFSLRRSLSSSMRKDPESSVECTPTNLMNSQSVPSLAIHRHPPAILSPPKRSRAFFLALSAIVAPCAVILINNGDDGRYEGAAGGVYREMENVVEKSKDSFTRTMNRMKQTGAAASVLWKSLRSVMSSANHEVRAGFELRVAALLADIAAASESRRAALVGAGGGAVVDWLLETVSSNGENFGTQAESARALAYLIADPNVSEAVFGRPHAVPNLLRFIFSAQPRRSKKQARNSSFGLSDSLRGRSMLVAAIMDVVTSHCQSADKVSFKPWLPQNAEMRDIATAIEVIEEGGMHWDEPREDEEDDGGTGVKGIGIKILEGTTVLGLSRTKGLVEIGHSDSSQSVKYVHKNLLFNKINDSSAKSNLSLAVVPGLWDDLHSEQVAVPFAAWALANWAMASEANRTHIQELDQDGKVVMAALMAPERSVRWHGSLIARLLLEDQNLSLNDYVSEWSSSLLSTVSQASKAQDFPLTHIALSAFLVALERSTKAQEVAIEKGLHLMRGTAKQATKHVSVQEALAKALELLYTREMHMSIEESQKWSGILLPWVFGKSSSDAMRSSAINILSYILEDYGPSLIAISQGWLTILLSDVLNSRKVALTKGNNEIKTDKVKTQIDQANIVSATQIANQLAMAVVNLAGMQLGADTETDDTFPLVDLLSLEPFVGLFKNLKKDKLPKIKAADSALATLKGIKALTEICAEDSASLNKITDSGVLCLIRRLLLEDDYEQLAAIEAYDASRAPESPENARSVSGETSSNANPSDASSVRVPPTAHIRRHAARLLTVLSVLPKVKKAIVADKAWHRWLEECAEAKIPGCNDLKIQSYARATLLNVSCGSQTSEESVDDASHGSTNETHTCPRYADMIFLINPDRPHWKSVENAIPTSTDRSFASSDDSVGSEQGISTTGSNECGSSTSTSEPGSSSQSEMPLLDVVFVHGLRGGPFKTWRLSEDKYSTKSGLVEKIDEEAGKQGTFWPGEWLPADFPGARLYSLKYKTNLTQWSGASLPLQEVSSMLLEKLVSAGIGNRPVIFVTHSMGGLVVKQMLYQAKAENKEHLVNNTVGVVFYSCPHFGSKLADVPWRMGLVFRPAPSIGELRSGSPRLVDLNDFIRHRHKKGMLEVLSFCETKVTPIVEGYGGWAFRMEIVPMESAYPGFGELVVLESTDHINSCKPLNRTDPSYKETLEFMHKLKARYNR >itb04g17660.t2 pep chromosome:ASM357664v1:4:20768562:20771475:1 gene:itb04g17660 transcript:itb04g17660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSLLSTVRTSTSRSSPPLARLRPPPITASRAQSRRFSFTDPRTLGGLAAAQSLMPLHSMAAGSRLTSHLKVDVRACCELSHGWSGEDG >itb04g17660.t5 pep chromosome:ASM357664v1:4:20768562:20769505:1 gene:itb04g17660 transcript:itb04g17660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSLLSTVRTSTSRSSPPLARLRPPPITASRAQSRRFSFTDPRTLGGLAAAQSLMPLHSMAAGSRLTSHLKVDVRACCELSHGTFRRTCQDR >itb04g17660.t4 pep chromosome:ASM357664v1:4:20768562:20771475:1 gene:itb04g17660 transcript:itb04g17660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSLLSTVRTSTSRSSPPLARLRPPPITASRAQSRRFSFTDPRTLGGLAAAQSLMPLHSMAAGSRLTSHLKVDVRACCELSHGT >itb04g17660.t1 pep chromosome:ASM357664v1:4:20768562:20771475:1 gene:itb04g17660 transcript:itb04g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSLLSTVRTSTSRSSPPLARLRPPPITASRAQSRRFSFTDPRTLGGLAAAQSLMPLHSMAAGSRLTSHLKVDVRACCELSHGTFRRTCQDR >itb04g17660.t3 pep chromosome:ASM357664v1:4:20768562:20771475:1 gene:itb04g17660 transcript:itb04g17660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSLSRSLLSTVRTSTSRSSPPLARLRPPPITASRAQSRRFSFTDPRTLGGLAAAQSLMPLHSMAAGSRLTSHLKVDVRACCELSHGTFRRTCQDR >itb15g16540.t1 pep chromosome:ASM357664v1:15:16175682:16180067:-1 gene:itb15g16540 transcript:itb15g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQLGIPNSPLFLKTNPQKSFLLHYSISYCPKVPSFLHPPTGKIVPLRNSRPSHSKITCSALYGVNGDLHKRCADLAVKEKSVSVILLAGGMGKRMGVSMPKQYLPLLGQPIALYSFYTFSKLPQVKEIVVVCDPFYNDVFEDAGKKIHIELKFALPGKERQDSVYNGFQVVDLSSELICIHDAARPLVLAGDVEKVLKDGWLVGAAVLGVPAKSTIKEANNESFVVRTLNRKTLWEMQTPQVIKPELLKKGFELINREGLEVTDDVSIVELLKHPVYITEGSYTNIKVTTPDDMLLAERILNGRFNYA >itb15g16540.t2 pep chromosome:ASM357664v1:15:16175690:16179671:-1 gene:itb15g16540 transcript:itb15g16540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQLGIPNSPLFLKTNPQKSFLLHYSISYCPKVPSFLHPPTGKIVPLRNSRPSHSKITCSALYGVNGDLHKRCADLAVKEKSVSVILLAGGMGKRMGVSMPKQYLPLLGQPIALYSFYTFSKLPQVKEIVVVCDPFYNDVFEGKKIHIELKFALPGKERQDSVYNGFQVVDLSSELICIHDAARPLVLAGDVEKVLKDGWLVGAAVLGVPAKSTIKEVCFMMIIGLINHIQFLSL >itb13g04950.t1 pep chromosome:ASM357664v1:13:5861051:5863738:-1 gene:itb13g04950 transcript:itb13g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAKNKLQEGVSTIEVPKNNTKKKVNQKRNNGVKETKSIDIGAAPFAAKSFSELGLPSLLIERLEKEGFTVPTDVQAAAIPTILRNHDVVIQSYTGSGKTLAYLLPILSHVFPLNGVNSSSDQAQNRTDIEAVIVAPSRELGMQIVRETEKLLGPDRRLVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISAAGKLHTHGCRYLVLDEVDELLAFNFREDMQRILDHVGRRSGAGLRASSSSVKRAERQTILVSATVPFSVIRAARSWGCDPLLVQANMVTPLQSLPPGSVDFSGTPTSASLNSNFQAQPAVQSLPPNLNHYYCVTRIQHKVEVLRRCIHALDAKSVIAFMNHTKQLKDAVYKLEARGMKAAELHGDLSKLARSTILKDFRNGEVRVLLTSELSARGLDVPECDLVVNLELPTDSIHYAHRAGRTGRLGRKGNVVTICEEAEVFVVRKLQKQLSIPIQSCEFAEGKLVITKDE >itb13g04950.t2 pep chromosome:ASM357664v1:13:5861051:5863738:-1 gene:itb13g04950 transcript:itb13g04950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSATRAFLHFGDSLSLRNLSGLTRIPPLNGRVRFLSDFGPLTLASLGLKNDVETISRNAKNKLQEGVSTIEVPKNNTKKKVNQKRNNGVKETKSIDIGAAPFAAKSFSELGLPSLLIERLEKEGFTVPTDVQAAAIPTILRNHDVVIQSYTGSGKTLAYLLPILSHVFPLNGVNSSSDQAQNRTDIEAVIVAPSRELGMQIVRETEKLLGPDRRLVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISAAGKLHTHGCRYLVLDEVDELLAFNFREDMQRILDHVGRRSGAGLRASSSSVKRAERQTILVSATVPFSVIRAARSWGCDPLLVQANMVTPLQSLPPGSVDFSGTPTSASLNSNFQAQPAVQSLPPNLNHYYCVTRIQHKVEVLRRCIHALDAKSVIAFMNHTKQLKDAVYKLEARGMKAAELHGDLSKLARSTILKDFRNGEVRVLLTSELSARGLDVPECDLVVNLELPTDSIHYAHRAGRTGRLGRKGNVVTICEEAEVFVVRKLQKQLSIPIQSCEFAEGKLVITKDE >itb08g08100.t1 pep chromosome:ASM357664v1:8:6966459:6969528:1 gene:itb08g08100 transcript:itb08g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHDIRRPFKRPAISDQQRRREQSLLRQEQNRRDAQLQARRLASTILSIQSEHHELQSLSEEPELEPGPGPEETEPDYRSQSDGYDIRQLSRIRGAEARRWFAKQLMLPEWMIDVPDNLSTDWYVFARPAGKRCFVVSSNGTTISRLRNGSLLHRFPSALPSGARTNNKSAQSYCILDCVFHELDQTYYVTDMVCWAGFSLYECTAEFRFYWLNTKLAETGACDAPSTYHRYRFSSVPVYDCVQEGLRSAYTGPVPYVKDGLLFYNKQAHYQTGNTPLALVWKDTNCSQYVIDTDNKGEIPTQQQVVLELLDDGRLATSDDPPVIFGCLNGEFIQKTGLHSGDLLRFSVSEGGLVLVDGKLEKADLQYLGKPNRSRAFADSYSKVLFQYAVRHSPLQIEHIFASISSPVSDESASRDTEMAG >itb01g09770.t1 pep chromosome:ASM357664v1:1:8006314:8007700:1 gene:itb01g09770 transcript:itb01g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKYRPSSPFPSPSSCFRPSPAAASDLYESSPAPPSLPAPPTLATSLYRTGLGLFAITWSRDLLGRSFHLHFLLDDSSAADESSPRDFYFSSLSGTPSVHFRIKPFMFSKKQGSKKLQISSGGVKTASVFWDLSRAKFGSGPEPISGFYIAVLVGGEIVLLIGDCHKEACAKTRARPAGNAQALVLKREHVYGGKLYTTKANVGGKERVISIDCRVGGDDPGLVFSLDDKRVLQIKHLKWKFRGNERIEVEGLPIQVSWDVHSWLFDDDEDGYALFTFKFEKQSARDGAAGGAGFRGKMVNGCGFGFETMLLKKGILRSSRSSSSSSLSSTSASSSCTSVMEWESTEENELKDPPGFSLLVYAWKS >itb13g16980.t1 pep chromosome:ASM357664v1:13:23924995:23925719:1 gene:itb13g16980 transcript:itb13g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNNGVVRLVENPGDCQGRKMLVHLPTNEVITSYAVLERKLFALGWERYYDDPELLQFHKRSTVHLISLPKDFKKFKSIHMYDIVVKNRNEFEVRDM >itb04g18460.t1 pep chromosome:ASM357664v1:4:21901571:21903607:-1 gene:itb04g18460 transcript:itb04g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDKNKKVAIAGVASILLVACVVAATVSLTNKAGSYEVTSTTKEVDAICAPTDYKETCKNSLSGAANTNDPKELLKTAFQVTMKNISDAISRSALLKKAAKDPRTSDALDLCKTLLNNSIGDLEKSYDAAGDFDVNNILEYANDLKVWLSGAITQQETCLDAFENTTGDTGDKMKNLLKISGELTSNGLAIITHFTEAASTLETTNSRRLLSDKHGFPSFIDATARRLLDANPGSIKPNVVVAKDGSGDFKSINDAIKNAPAPPKNINATYVIKIKAGVYNEYVVVPRTMSHVVFIGDGPTKTKITGNKNYVDGIGTYQTATVAIEGDHFTARDIGFENSAGANKHQAVALRVSADHAIFSNCAIDAYQDTLYAHAYRHYYRDCSISGTIDFIFGDASAVFQNCKMIVRKPLQNQACMVTAQGRMERRGVGAIVLHNCEILPAPELKTVHPPAQVFLGRPWKQYARTLIAESFIDGFIAPEGWAPWNGNFALDTLWYAEYQNRGPGSDTSKRVNWKGYLKNISPQEVSKFSAGVYIQADQWIKPTGISYDSA >itb12g11340.t1 pep chromosome:ASM357664v1:12:9569330:9570891:1 gene:itb12g11340 transcript:itb12g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASDEFRLVSPSISHEGRLPRKYTDEGQGAKMKLSPPLEWYNLPEGTKSLALVVQDIDAPDPEAPIVPWVVWVVTNIPPTLKGLPEGFSGKGEELGGEYAQIKEGQNDEKVPGWRVPKLPTHGHRIEFRLFALDDGLNLGNKPTKDKLLEAVEGHTLAEAVLIAKL >itb05g20870.t1 pep chromosome:ASM357664v1:5:26844275:26847159:-1 gene:itb05g20870 transcript:itb05g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFTNSDGLIYVVDSLDRERIGKAKEEFQTIIRDPFMLNAVILVFANKQDLKGAMTPMEVCEGLGLYDLRNRKWHIQGTCALKGDGLYEGLDWLASTLKEQKAGFS >itb03g07370.t1 pep chromosome:ASM357664v1:3:5408643:5410421:-1 gene:itb03g07370 transcript:itb03g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILIVAAVLLRALGAASVVVPSTGCYVLDNSSYIYDFSGWIGRPFEYDGGNTDTVVRFCKDVQSRSQKGYVDFGRYDKFNYFVAGSGNVSFVQVSHNASLVTTIVFYFLVILFGLPKLYGK >itb07g16790.t1 pep chromosome:ASM357664v1:7:20710376:20713088:-1 gene:itb07g16790 transcript:itb07g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKAMARLRLLGTEGMAVEDTDLLEVEVTDLAVAENMAVLVVEDTDLAVVGEAVTEEAVVEAVTEEAVAEVVTEEALTGAVMEEAVAVEAVAEEVEDMVVIPITEAAVDIKVVIVVIVVVAVEAVEEVVAVVEAAGKGTGVALTLGPPVPSAYGGPDNNYPPPPSSYGGNNNYPPDAVPPPASYGGGGASYPPSYGAPAGYGGNAPADARGGGRAGPPARFDGGYSGGAYGSSDEAPLKVKQCDENCGDSCDNSRIYISNLPPDVTIDELRELFGSIGQVARIKQKRGYKDQWPWSIKLYTDEQGNNKGDAVLSYEDPSAAHSAGGFFNNHMLRGNKISVVMAEKSAPKAAPAYGSRGGGRGGYGGGDRRRDNYRDGGSGPDRNYHGGNRSRPY >itb03g22610.t1 pep chromosome:ASM357664v1:3:20658080:20661540:1 gene:itb03g22610 transcript:itb03g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQKPQIQISICSATSTSTTSKLKQHNIRHPQISICSANTVLPPAALRQPPSPIRHFRLPKLLPPHAIASRPEAGDRRFPPIAFNRRRPSSDFFPASTTATIADAKTPLHRCNDSDSETQLESETETNSQTQTDNEENNETHVSNDEDDDRDQTSETSGSNPKETSLAPKRRGPNACKNLNKKKGKEEVSIQFDEYNRPVGLYCKEFKSYIGTLVRSRVDINIDSWPNVEQTLKETIWADVKKQFCIEDDTKKKIVLKIASTRWRDFKSRLRREHVVNKHPNYESPVQLYEYMTKEQWAKFVANYEREN >itb11g22050.t3 pep chromosome:ASM357664v1:11:23861006:23863630:-1 gene:itb11g22050 transcript:itb11g22050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MALVQCSFQFPALSASGSSQNRTCLLPRELSFRYEQSPVFLSNTQIRRCPSVLSALPNSTKNSSSIPTFSQLIEALISGKDLTESEAEESLDFLLDGADEALISAFLVLLRAKGETYEEVVGMARAMIKHCRRVEGLEGAVDIVGTGGDGANTVNISTGASILAAACGVKVAKQGNRSSSSACGSADVLETLGVAIELDPEGVKKCVNEAGIGFMMSPIYHPAMKIVSPVRKKLKVKTIFNILGPMLNPARVPFAVIGVNKEDLVHKMAKAVQRFGMKRALVVHSEGLDEMSPLGPGLIVDVTPSKIEKFPFDPCKYLASIQSSSKFSC >itb11g22050.t2 pep chromosome:ASM357664v1:11:23859819:23863630:-1 gene:itb11g22050 transcript:itb11g22050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MALVQCSFQFPALSASGSSQNRTCLLPRELSFRYEQSPVFLSNTQIRRCPSVLSALPNSTKNSSSIPTFSQLIEALISGKDLTESEAEESLDFLLDGADEALISAFLVLLRAKGETYEEVVGMARAMIKHCRRVEGLEGAVDIVGTGGDGANTVNISTGASILAAACGVKVAKQGNRSSSSACGSADVLETLGVAIELDPEGVKKCVNEAGIGFMMSPIYHPAMKIVSPVRKKLKVKTIFNILGPMLNPARVPFAVIGVNKEDLVHKMAKAVQRFGMKRALVVHSEGLDEMSPLGPGLIVDVTPSKIEKFPFDPLDFGIPRCTLEGLKGGPPDFNAVTLRRVLHGESGPIGDALVLNAAAAVFVSGRVDSLGEGVALARETLLSGKAVDTLDLWIQVSKVMRT >itb11g22050.t1 pep chromosome:ASM357664v1:11:23859738:23863722:-1 gene:itb11g22050 transcript:itb11g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MALVQCSFQFPALSASGSSQNRTCLLPRELSFRYEQSPVFLSNTQIRRCPSVLSALPNSTKNSSSIPTFSQLIEALISGKDLTESEAEESLDFLLDGADEALISAFLVLLRAKGETYEEVVGMARAMIKHCRRVEGLEGAVDIVGTGGDGANTVNISTGASILAAACGVKVAKQGNRSSSSACGSADVLETLGVAIELDPEGVKKCVNEAGIGFMMSPIYHPAMKIVSPVRKKLKVKTIFNILGPMLNPARVPFAVIGVNKEDLVHKMAKAVQRFGMKRALVVHSEGLDEMSPLGPGLIVDVTPSKIEKFPFDPLDFGIPRCTLEGLKGGPPDFNAVTLRRVLHGESGPIGDALVLNAAAAVFVSGRVDSLGEGVALARETLLSGKAVDTLDLWIQVSKNVKESSLLSTPVSA >itb07g05620.t1 pep chromosome:ASM357664v1:7:3852493:3858757:1 gene:itb07g05620 transcript:itb07g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRIVGKKGVLSYLKKTLTVTSKEAAASVSSNFDETLKSLPILARCIEGYSLNPVSLLENANAGTNKTSIRCLHATPQALSVRKDDEALGLKTQKKGKFKKKLKSTAPPVDKPYIPPKHKKPGSSPERTIEIFEGMTIVELAKRSGESISVVQDILVNVGEKVESEFDPLSIDLAELVAMEVGVNVRRLHSDDGAELLPRPPVVTVMGHVDHGKTSLLDALRLTSVAAKEAGGITQHLGAFVVSMPSGASITFLDTPGHAAFSAMRARGAAVTDVVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAINKCDKPSADPQRVKVQLATEGLPLEEMGGDVQVVEVSAVNKTGLNDLEEALLLQAELMDLKARIDGPAQAYVVEARLDKGRGPLATAIVKKGTLVSGQHVVVGAEWGKIRAIRDMVGKLTDKAKPAMPVEIEGLKGLPMAGDDIIVVHSEERARMLSEGRKKKIERDRLNKKVADRLKEEEEEESEDTEILEGNKEKSKRVEMAIVVKGDVQGTVQAVTDALKSMDCPQVNVLIVHGGVGPISESDVDLAQACGACIVGFNVRSPPTSLSQAANRAGIKIIMHRVIYHLLEDIGKLIVEKAPGTFETKVAGEAQVLDIFEIKGRSKAKGEDVKIAGCRVIDGRLTKSSSMRLLRSGEVIFEGPCASLKREKQDVETVGKGSECGLVINNWFDFQVGDIIQCLEQVNRKPKFISSESGAVRIEC >itb07g18230.t1 pep chromosome:ASM357664v1:7:22640044:22642328:1 gene:itb07g18230 transcript:itb07g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRCSLLLGFVLGMAVIVLAQPPSIYEVLSANGLPMGLIPRGVTDYTLDDYGRFEVHLGQACNAKFEDEIHFDMNVSGVLRYGQMEEVDGIAAQDLFLWFPVKGIRVDVPSSGLVYFDVGVVSKEFSFSSFDTPRECTAIVHPEHILPIRVPDHHDDAPLLLLDS >itb05g27760.t1 pep chromosome:ASM357664v1:5:30977287:30981035:-1 gene:itb05g27760 transcript:itb05g27760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICYSSGKSKGSDKERDSKNESGRKSVIGSVSGDFSSFFSKLNGGAGGPGSGLIGGGIKERALHQIPGRLFANGSSAVASLFTQQGKKGTNQDAMIVWENFCSRTGTIFCGVFDGHGPYGHLVARKVRDTLPLLLNAEWEAKSTTDRTSASENGDTNGNSQVDEFVDDDLCESTEAENNEKFPEMYLPLKQSILKAFKSMDKELKLHPTIDCFCSGTTAVALVVQGQDIIVGNVGDSRAILATRDRDDSLMAVQLTIDLKPNLPREAARIQKYKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDFGLISVPDVYYHRITERDEFVVLATDGVWDVLSNKEVVDIVASAPSPASASRALIDCATRAWRLKYPTSKNDDCAVVCLFLKQLSTVEAVVQPQDNLTKKDGEEIQTADVGTEKSNIGDVSVSDSCVLEHSGTIRESSEIEPVVEETLPKDKSPEQSKKSLAECLSTADDEEWSALEGITRVNSLLSIPRFLSGGDKRSASWRKWL >itb02g18090.t1 pep chromosome:ASM357664v1:2:14488548:14489386:-1 gene:itb02g18090 transcript:itb02g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNDKMKKQSKLRQYIGAPKRFLSKARDFYVDSMVSLDGKLANNNMVLAISPQISQTLLENLGSNNKTATDNLNIRDQNLGALCRSSTTTKRRSSKHGDDKPSSSNGKKVRFEGMNRSYSSVIGLGRIDTIDEDKPYECHEDHSITTFARSRSHAVTRRNA >itb05g27640.t1 pep chromosome:ASM357664v1:5:30910433:30914210:1 gene:itb05g27640 transcript:itb05g27640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQGDDADYMADEYEMEDVDDDMEDEFHGREMGGSDSDVDEFDYMNNKMQDTSAAEARRGKDIQGIPWERLSITREKYRKTRLEQYKNYENIPQSGDISEKECNATEKGNLFYEFRRNSRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVMHWSSLTFAKSEVLNVSGHVAPSEKHPGSLLEGFTQTQVSTLAVKDNLLVAGGFQGELICKYLDRPGVCFCSRTTYDDNAITNAVEIHTTPSGALHFIASNNDCGVRDFDMEKFQLSKHFRFPWPVNHTALSPDGKLLIIVGDNPDGMLVDSRSGKVVAPLCGHLDFSFASSWHPDGFTFATGNQDKTCRVWDVRNLSKSLTALKGNLGAIRSIRYSSDGRFMAMAEPADFVHVFDVKSGYSKEQEIDFFGEISGMSFSPDTESLFIGVWDRTYGSLLEFGRRHNYSYLDTTI >itb13g14940.t1 pep chromosome:ASM357664v1:13:21587531:21594188:-1 gene:itb13g14940 transcript:itb13g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKSEVAERIVKIGQRFASHKACPGKDTLVKLLKEAVDALEQLEQPKPDREDAVDALEQLEQSESLKSMLTPLSRSLVRFALLKYKDRDVRLLVGICFCEILRVLAPNPDFSDATFRGIFRLLLSIFAELDDTKHAFFDKRVKLLETVAKLRCCVLMLDFGCEDLVLKMFKTFFSIVRFEIICLLRACTVKGNNLDFGRQLEILYPLFFGAVLEEKVVDNSSELLSSEGKVSEPLLDVILQNLLKETKDISASKRFAVYIIQNYGGKLEHIIARFLRSCILNRDAVGSMVKEYYHEIIYEIFHCAPQMLISVIPTLTNELLTDQVDVRIKALNLIGKLLSLPGNHVAKDYRYLFMEFLNRFSDKSAEVRLNALSHAKTLYIANELETEITKSSQRPKTEVLSALEGRLLDLDDGVRAKAVTVLCDLAKNNFKSFPSTLISLIADRLRDKKVSVRTKALKKLLEVYQEYCTKCASQVLDLSDSLEQIPCKILMLCYGKD >itb13g24830.t1 pep chromosome:ASM357664v1:13:30414458:30415305:1 gene:itb13g24830 transcript:itb13g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPMDTIAGPFGFAGGNFWSFRPVNKINQIVIHYTTGNNPIALTFSSTRDDGSKDTITVGGGGPEVVVNTDTVNIGADEYLVHFSGTLGTFLSNNVVRSIKFTTNLKDYGPFGPNVGEPFMPVNVVPNKIVGFVGRAGYFIDAIGTYNSDK >itb05g04190.t1 pep chromosome:ASM357664v1:5:3647587:3651538:1 gene:itb05g04190 transcript:itb05g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVNLDVDRGGSEEHLLLAAETSKTDCSWRLNFDGFELSPEHKEKPPRGLHDCLGVLREEDIVAEYYQQQVEMLEGFNEMDALADRGFVPGMSKEEREKLAKSETAAIRISNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTLISEESNFNLTKEQERWVVGIMIFVTLVKLVLVFYCRSFTNEIVKAYAQDHFFDVVTNIIGLVAALLANYITGWIDPVGAIILALYTIRTWSMTVLENVNSLVGKSATPEFLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPADMPLQEAHDIGESLQEKLELLQEIERAFVHLDYEYSHKPEHAQAHQ >itb05g08020.t1 pep chromosome:ASM357664v1:5:10756456:10757997:1 gene:itb05g08020 transcript:itb05g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTKSSIKGSATYFDSDSSDLEVHSPRQPFMSKNHLGSAFSLRTKLPSSSSETTSLSRVRIKPETPINYGSGVEGKPAMTSFDTKTQETQKPNGKFYASERQEPQKQIGKSYDVERLEVHTESSSLVWEAHHEQPHSAKSVAKTSLDENSKSSAELPSRLTHASAREENTKKSSHVHPKLPDYDSLAAQLQSLRMNRK >itb03g14250.t4 pep chromosome:ASM357664v1:3:14053544:14058267:-1 gene:itb03g14250 transcript:itb03g14250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNALPPSPSLVVAVAINGKSKSKYVVNWALDKFVPEGKILFKLLHVRPVISAVPTPMGNSIPISQVRDDVAAAFVKEIEWQTSQKLLPYKALCTRRKVEVEVQQIESDNIVNAIANEVVNCNIDKVVIGASSRRMFSRRQTLSARISEGVPSFCTVYAISKGKLSSIRPSSSDRTGSVATVEDSETSCSTSISSGHGSRSLTERSDSTASYSQFCSSSLPLQRFQALSNINQTLLHKRSGSVETVASLASTEFYVEPEKRKNNESSKDIINETKHVSTSSIVTDDSSWTNDQASTSDVPRDFSSGEQVNINFELEKLRIELRHIRGMYAMAQTEAVDASRKISDLRKQQLEEEIRLKGILSKEEEARAMAEKEREKYEAARTEADYVKNCAEREASVRKEAEMNAVREAKEKEKLENAFMGRVHHYQEFTWEEIESATSSFSEDLKIGMGAYGTVYKCNLHHTTVAVKVLRSMEAQRTKQFQQELEVLSRIRHPHLLILLGACSDHGCLVYEFMENGSLDDRLFRKNNTPPIPWFDRYRIAWEVASALVFLHNSKPETIVHRDLKPGNILLDRNLVSKIGDVGLSTVIHSGSSMSTLHKDTGPVGTVCYIDPEYTRTGLISPKSDVYALGMVILQLLTAKQAIGLTHIVETAIDIDTLEEILDTEAGKWPLEETKKLTKLALKCTELKRKDRPDLKDHILPILEKLKDVANQAKDLASIAPPPPPNYFMCPILKGVMEDPCVAADGYTYERKAIEIWLNENDTSPMTKLPLPHKNLLPNYTILSAITVWKSGKQ >itb03g14250.t3 pep chromosome:ASM357664v1:3:14053679:14058267:-1 gene:itb03g14250 transcript:itb03g14250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNALPPSPSLVVAVAINGKSKSKYVVNWALDKFVPEGKILFKLLHVRPVISAVPTPMGNSIPISQVRDDVAAAFVKEIEWQTSQKLLPYKALCTRRKVEVEVQQIESDNIVNAIANEVVNCNIDKVVIGASSRRMFSRRQTLSARISEGVPSFCTVYAISKGKLSSIRPSSSDRTGSVATVEDSETSCSTSISSGHGSRSLTERSDSTASYSQFCSSSLPLQRFQALSNINQTLLHKRSGSVETVASLASTEFYVEPEKRKNNESSKDIINETKHVSTSSIVTDDSSWTNDQASTSDVPRDFSSGEQVNINFELEKLRIELRHIRGMYAMAQTEAVDASRKISDLRKQQLEEEIRLKGILSKEEEARAMAEKEREKYEAARTEADYVKNCAEREASVRKEAEMNAVREAKEKEKLENAFMGRVHHYQEFTWEEIESATSSFSEDLKIGMGAYGTVYKCNLHHTTVAVKVLRSMEAQRTKQFQQELEVLSRIRHPHLLILLGACSDHGCLVYEFMENGSLDDRLFRKNNTPPIPWFDRYRIAWEVASALVFLHNSKPETIVHRDLKPGNILLDRNLVSKIGDVGLSTVIHSGSSMSTLHKDTGPVGTVCYIDPEYTRTGLISPKSDVYALGMVILQLLTAKQAIGLTHIVETAIDIDTLEEILDTEAGKWPLEETKKLTKLALKCTELKRKDRPDLKDHILPILEKLKDVANQAKDLASIAPPPPPNYFMCPILKGVMEDPCVAADGYTYERKAIEIWLNENDTSPMTKLPLPHKNLLPNYTILSAITVWKSGKQ >itb03g14250.t2 pep chromosome:ASM357664v1:3:14053544:14058267:-1 gene:itb03g14250 transcript:itb03g14250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNALPPSPSLVVAVAINGKSKSKYVVNWALDKFVPEGKILFKLLHVRPVISAVPTPMGNSIPISQVRDDVAAAFVKEIEWQTSQKLLPYKALCTRRKVEVEVQQIESDNIVNAIANEVVNCNIDKVVIGASSRRMFSRRQTLSARISEGVPSFCTVYAISKGKLSSIRPSSSDRTGSVATVEDSETSCSTSISSGHGSRSLTERSDSTASYSQFCSSSLPLQRFQALSNINQTLLHKRSGSVETVASLASTEFYVEPEKRKNNESSKDIINETKHVSTSSIVTDDSSWTNDQASTSDVPRDFSSGEQVNINFELEKLRIELRHIRGMYAMAQTEAVDASRKISDLRKQQLEEEIRLKGILSKEEEARAMAEKEREKYEAARTEADYVKNCAEREASVRKEAEMNAVREAKEKEKLENAFMGRVHHYQEFTWEEIESATSSFSEDLKIGMGAYGTVYKCNLHHTTVAVKVLRSMEAQRTKQFQQELEVLSRIRHPHLLILLGACSDHGCLVYEFMENGSLDDRLFRKNNTPPIPWFDRYRIAWEVASALVFLHNSKPETIVHRDLKPGNILLDRNLVSKIGDVGLSTVIHSGSSMSTLHKDTGPVGTVCYIDPEYTRTGLISPKSDVYALGMVILQLLTAKQAIGLTHIVETAIDIDTLEEILDTEAGKWPLEETKKLTKLALKCTELKRKDRPDLKDHILPILEKLKDVANQAKDLASIAPPPPPNYFMCPILKGVMEDPCVAADGYTYERKAIEIWLNENDTSPMTKLPLPHKNLLPNYTILSAITVWKSGH >itb03g14250.t1 pep chromosome:ASM357664v1:3:14053679:14058267:-1 gene:itb03g14250 transcript:itb03g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNALPPSPSLVVAVAINGKSKSKYVVNWALDKFVPEGKILFKLLHVRPVISAVPTPMGNSIPISQVRDDVAAAFVKEIEWQTSQKLLPYKALCTRRKVEVEVQQIESDNIVNAIANEVVNCNIDKVVIGASSRRMFSRRQTLSARISEGVPSFCTVYAISKGKLSSIRPSSSDRTGSVATVEDSETSCSTSISSGHGSRSLTERSDSTASYSQFCSSSLPLQRFQALSNINQTLLHKRSGSVETVASLASTEFYVEPEKRKNNESSKDIINETKHVSTSSIVTDDSSWTNDQASTSDVPRDFSSGEQVNINFELEKLRIELRHIRGMYAMAQTEAVDASRKISDLRKQQLEEEIRLKGILSKEEEARAMAEKEREKYEAARTEADYVKNCAEREASVRKEAEMNAVREAKEKEKLENAFMGRVHHYQEFTWEEIESATSSFSEDLKIGMGAYGTVYKCNLHHTTVAVKVLRSMEAQRTKQFQQELEVLSRIRHPHLLILLGACSDHGCLVYEFMENGSLDDRLFRKNNTPPIPWFDRYRIAWEVASALVFLHNSKPETIVHRDLKPGNILLDRNLVSKIGDVGLSTVIHSGSSMSTLHKDTGPVGTVCYIDPEYTRTGLISPKSDVYALGMVILQLLTAKQAIGLTHIVETAIDIDTLEEILDTEAGKWPLEETKKLTKLALKCTELKRKDRPDLKDHILPILEKLKDVANQAKDLASIAPPPPPNYFMCPILKGVMEDPCVAADGYTYERKAIEIWLNENDTSPMTKLPLPHKNLLPNYTILSAITVWKSGH >itb05g07080.t1 pep chromosome:ASM357664v1:5:8419820:8420351:1 gene:itb05g07080 transcript:itb05g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCKVSRLSTYFANQFFLFPFLIVSLSCFGFSFPNLCFSASKITKIPSPNATGDGEGGEGDGGRWWLRWRRKWRTVVVAAQIWWLRWRRTVLTVEIEMGEMVFGLVRSGSLQMVFGLGRRVAMVRLRSRSKYFN >itb03g25050.t3 pep chromosome:ASM357664v1:3:24009245:24013908:1 gene:itb03g25050 transcript:itb03g25050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTCPFCHLRVLSVELERHANSHFDDEDVVNDFELGRDVALAPSSSPQVSSATLRKTGAWERPESSSSSSRMDGRASEGRDLGERISCLVNLQMKGSFYHVEGGMINMLRSCLELEPENCVSRLCGYVDHFESITSEDVGWGCGWRNIQMLSSHLLNQRQEARNVLFGGSGFVPDIPSLQRWLEIAWEQGFDMAGSKDFDQEIYGKRNWIGTTECATLFRSFGLRARIVDFCSKTIAPKVYGPMDKYVSKWKNNVSDPVSFTHDANVKGQEVLIDWVWNYFSDPKCTRSDNRHVLVSLKAPLYFQHQGHSRTIVGIQKKLQKNGSKQYNLLILDPGHRTKDLAKSLRDNDGWQRLIKRGVHTLRKPLYQLCFIDHGVAFGEEVEKLKNLQSIRHEF >itb03g25050.t1 pep chromosome:ASM357664v1:3:24009245:24013908:1 gene:itb03g25050 transcript:itb03g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTCPFCHLRVLSVELERHANSHFDDEDVVNDFELGRDVALAPSSSPQVSSATLRKTGAWERPESSSSSSRMDGRASEGRDLGERISCLVNLQMKGSFYHVEGGMINMLRSCLELEPENCVSRLCGYVDHFESITSEDVGWGCGWRNIQMLSSHLLNQRQEARNVLFGGSGFVPDIPSLQRWLEIAWEQGFDMAGSKDFDQEIYGKRNWIGTTECATLFRSFGLRARIVDFCSKTIAPKVYGPMDKYVSKWKNNVSDPVSFTHDANVKGQEVLIDWVWNYFSDPKCTRSDNRHVLVSLKAPLYFQHQGHSRTIVGIQKKLQKNGSKQYNLLILDPGHRTKDLAKSLRDNDGWQRLIKRGVHTLRKPLYQLCFIDHGVAFGEEVEKLKNLQSIRHEF >itb03g25050.t2 pep chromosome:ASM357664v1:3:24009245:24013998:1 gene:itb03g25050 transcript:itb03g25050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTCPFCHLRVLSVELERHANSHFDDEDVVNDFELGRDVALAPSSSPQVSSATLRKTGAWERPESSSSSSRMDGRASEGRDLGERISCLVNLQMKGSFYHVEGGMINMLRSCLELEPENCVSRLCGYVDHFESITSEDVGWGCGWRNIQMLSSHLLNQRQEARNVLFGGSGFVPDIPSLQRWLEIAWEQGFDMAGSKDFDQEIYGKRNWIGTTECATLFRSFGLRARIVDFCSKTIAPKVYGPMDKYVSKWKNNVSDPVSFTHDANVKGQEVLIDWVWNYFSDPKCTRSDNRHVLVSLKAPLYFQHQGHSRTIVGIQKKLQKNGSKQYNLLILDPGHRTKDLAKSLRDNDGWQRLIKRGVHTLRKPLYQV >itb06g24660.t4 pep chromosome:ASM357664v1:6:26130311:26132355:-1 gene:itb06g24660 transcript:itb06g24660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVTNPSYLVKHLDVFRPLVSKVLLYLAPTMLRVQTLAGEAPNGSHVIIEFPNKPNNPEEVERQLFHYEINSSFGGFIVSLDRFQHALSLGGEITFIFQGNLLLARNFFNETVDDLLMGVQRPYDPRIGTLLVSFTANVLPDTLDVFEETNALKITIQQETIGFKTNEIEIQVILLFEIKLYGIYCVGFC >itb06g24660.t3 pep chromosome:ASM357664v1:6:26130311:26132355:-1 gene:itb06g24660 transcript:itb06g24660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVTNPSYLVKHLDVFRPLVSKVLLYLAPTMLRVQTLAGEAPNGSHVIIEFPNKPNNPEEVERQLFHYEINSSFGGFIVSLDRFQHALSLGGEITFIFQGNLLLARNFFNETVDDLLMGVQRPYDPRIGTLLVSFTANVLPDTLDVFEETNALKITIQQETIGFKTNEIEIQGIQLCDHVQFTVTRDRLLVIGVGEFDQLFLYEFPLINTLPI >itb06g24660.t2 pep chromosome:ASM357664v1:6:26130311:26132355:-1 gene:itb06g24660 transcript:itb06g24660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVTNPSYLVKHLDVFRPLVSKVLLYLAPTMLRVQTLAGEAPNGSHVIIEFPNKPNNPEEVERQLFHYEINSSFGGFIVSLDRFQHALSLGGEITFIFQGNLLLARNFFNETVDDLLMGVQRPYDPRIGFC >itb06g24660.t1 pep chromosome:ASM357664v1:6:26130311:26132355:-1 gene:itb06g24660 transcript:itb06g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVTNPSYLVKHLDVFRPLVSKVLLYLAPTMLRVQTLAGEAPNGSHVIIEFPNKPNNPEEVERQLFHYEINSSFGGFIVSLDRFQHALSLGGEITFIFQGNLLLARNFFNETVDDLLMGVQRPYDPRIGTLLVSFTANVLPDTLDVFEETNALKITIQQETIGFKTNEIEIQSMEHLTSFQWS >itb06g24660.t5 pep chromosome:ASM357664v1:6:26130515:26138692:-1 gene:itb06g24660 transcript:itb06g24660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHFTVINGNDMAECFKALPEVKFVQFMWTSEYIKVVALPSGPQDFAANAMFNIPNNDIHAILYLPNRLFGYLEATSSFSGRVLLDQLKESGTAVRWWTPVTGSGRGILALNMEFTVTNPSYLVKHLDVFRPLVSKVLLYLAPTMLRVQTLAGEAPNGSHVIIEFPNKPNNPEEVERQLFHYEINSSFGGFIVSLDRFQHALSLGGEITFIFQGNLLLARNFFNETVDDLLMGVQRPYDPRIGTLLVSFTANVLPDTLDVFEETNALKITIQQETIGFKTNEIEIQGIQLCDHVQFTVTRDRLLVIGVGEFDQLFLYEFPLINTLPI >itb09g02100.t1 pep chromosome:ASM357664v1:9:1268691:1269732:-1 gene:itb09g02100 transcript:itb09g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGDSSAQDCFIWEDQAWTMTNSDNSGEKSGQCKLPGSGTADSQLPPTGRKRPRASESNGDGGDGGRGKGIEAKGGGSGGGGGAESDHEVHIWTERERRKKMRNMFSNLHALLPQLPPKADKSTIVDEAVNYIKTLQQTLQKLQTRKLEILHGFNSNNPSPSIFGSQKLNAELTTREAFLADHHQGSSDGLPSFIGSSSAPPQPPAFQTWTSPNVILNVCGDDAQISLCCPKKPGLFPAICFVLEKHKIEVVSAQVSSNHHRTMYMVQAHANRPCEDLFSEMSVSVEEMYKQAAGEIMMWIANQY >itb04g25190.t1 pep chromosome:ASM357664v1:4:29829084:29830285:-1 gene:itb04g25190 transcript:itb04g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAATYDNDDLNLKATELRLGLPGTDEPERETSLNSRTKKRSSSEMGCSSSDTQDDPAPATKTQVVGWPPVRSYRKNVIQAHKSPETSTEMGGGIYVKVSMDGAPYLRKMDLNVYKSYPDLVKGLEKMFKCSSNICVYSEREGNMNNGSDYAPTYQDKDGDWMLAGDVPWEMFVNNCKRLRIMKLKDLKLKA >itb02g05950.t1 pep chromosome:ASM357664v1:2:3701794:3702571:1 gene:itb02g05950 transcript:itb02g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEREKRRSNNKFCINDNVDVLIEILKRLDGRSMGAAACVCRLWCAISRNDSLWEHLCFRHVSPPPEGVRTVVTALGGYRRLYMVCVRPVVSRLSSWRIGLAAAAESSDRVWTRNEVELSLSLFCVDYYERVLLGDNGGGGGGGRIGDDSSTASLMFLCKAVNV >itb04g27280.t1 pep chromosome:ASM357664v1:4:31308827:31317290:-1 gene:itb04g27280 transcript:itb04g27280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMDSTLKKYFGYSTYRPYQKEIIEKILEGKDCLVVMATGSGKSLCYQAPPLITKKTAIVISPLISLMQDQVMALKERGIKAEFLSSAQTNRSVQSNAESGLYDILYMTPEKACLLSTSFWSRLLKSGICLLAVDEAHCISEWGHDFRMEYKQLDRLRDALVEVPFVGLTATATEKVRRDIMNSLKMKDPHVAIGSFDRKNIFYGVKSFTHGSTFVNELVEEISKYVENANSTIIYCTTIKDTEEIFRSLIAAGIKAGIYNGQMSNKAREDAHRSFIRDEFYVMVATVAFGMGIDKPNIRHVIHYGCPKSLESYYQESGRCGRDGIPSICWLYYTRSDFAKADYYSREAQSADQRKAIMESFVAAQHYCLLAVCRRKYLLEYFGETCAYDKCGNCDNCTSSKKENDVSREAFLLIACIQSCGGRWGLNLPVDVLRGSQSKKILEAKFDKIPFHGLGKELPANWWKALAYQLISRGYLVEKFDDVYKFVRVSPKGLQFLKSCNPDYQPPLFLPMTSEMVVDEGSGDTSTETRGNNGLASTEFEGLSQAETQLYKMLLEERMKVAKANGTAPYAICGDVTLKKISLTRPSTKPRLANIDGVNQHFMKTYGDHFLQSIKHLCEGLNLSLDGERCTQNIQPSVSAKIVTVPSNKKLTPAKLEAWKMWHEDGLSIQKIANFPGRAAPVKEQTIFEYILEAAREDYPVDWARLSDEIGLTREIFMNIASVVSRVGREKLKPIKTELPEEVTYSQIKAYLAMQEFGISENVFPSNHQASLATGELSEVEEPIDHTSSGSPCEEIHSVSNLQTESMVTDEPDFSPISAKRQKIYAPEGRSPMKLEATEESLLSWLKKFDDGASLSDLLEHFNGSTENSLVDLLSNLEGEFLIFRKNDVYKLM >itb13g17590.t2 pep chromosome:ASM357664v1:13:24566896:24570663:-1 gene:itb13g17590 transcript:itb13g17590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQYKNLGRSGLKVSQLSFGAWVTFGNQLDVKEAKSILQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVISTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDLIYCHRPDTSTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWSVAQRLDLVGPIVEQPEYNLLSRHKVEAEYLPLYSNYGIGLTTWSPLASGVLTGKYTSGNIPPDSRFALENYKNLANRSLVDDVLKKVNGLKPIADELGVSLAQLAIAWCATNPNVSSVITGATKESQIKENMKAIEAIPKLAPVMDKIEAVVQTKPKRPDSYR >itb13g17590.t1 pep chromosome:ASM357664v1:13:24566896:24570663:-1 gene:itb13g17590 transcript:itb13g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQYKNLGRSGLKVSQLSFGAWVTFGNQLDVKEAKSILQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVISTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDLIYCHRPDTSTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWSVAQRLDLVGPIVEQPEYNLLSRHKVEAEYLPLYSNYGIGLTTWSPLASGVLTGKYTSGNIPPDSRFALENYKNLANRSLVDDVLKKVNGLKPIADELGVSLAQLAIAWCATNPNVSSVITGATKESQIKENMKAIEAIPKLAPVMDKIEAVVQTKPKRPDSYR >itb11g12920.t1 pep chromosome:ASM357664v1:11:9875162:9878570:1 gene:itb11g12920 transcript:itb11g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVAKIGVPIHFLPKIPFCSPFSSAQPFCSSFSSLLISSKSESYHFSSFSFVSFDSMEIKVLPDGIRLSSLPEKYVRAESDRPRLSEIAEFHDVPVIDLLGLGCGDANLVVKQIEEACGEFGFFKVINHGVPKKAIEDMLKVVQEFNELPVEEKKKLFYSDDPSKTLRLSTSNFNEKTHNWRDYLCLPCCKKKYSPEWLSNPSFLRGIVSNYVKEVGELGFVLEEVISKSLDLEKSSSIREVLGEHMTVNFYPPCPETTYGLPGHTDPNALTILLQDTQVSGIQVLKDGKWLAVKPHPDAFVIKLGDQLQALSNGKYKSVGLRAIVNNDDRARMVVTSFKMDNHSNSNIAAMPPLEAHHQQPAMMHQYLLPPAYYHAPPYPAHDQATPPHQGSGVWSKVRKLGGRLAAGLVINEIVDQLDDQLDDFDI >itb15g09590.t1 pep chromosome:ASM357664v1:15:6857579:6861829:1 gene:itb15g09590 transcript:itb15g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAIAEAMKSQSAGAGAGGQAPPPINSLLQTFQAASPSPSALQQIQSPSPCIPKSPFVSRVMTPIASPMKKAIACLEELGQLTKLDLQDSWLPITESRNGNAYYAAFHTLSSGLGVQALVLPFAFTALGWTWGIICLSIAFVWQLYTLWLLIQLHESVAGTRYGRYLRLSMAAFGEKVGKALALFPTMYLSGGTCVTLIMIGAGSMKMFFKISFGGADPLTTLEWYAVFTTSSIVLAQLPNLNSIAGVSLVGAIAAVAYCTVTWAVSVVKHRPADVSYDPVEVDSAVGRVCNILNALGIIAFTFRGHNLVLEIQSTMPSSMKHPSSLPMWRGVKVSYLIISCCLFPLAVGGYWAYGNLMPTSGGILTALDKYHGYDTSKVTLGIINLLVVIHSLTSFQIYAMPVFDNLEFRYTSKKNRPCPWWLRAALRLFFGGLAFFIAVALPFLPSLAGLIGGIALPVTLAYPCLMWIMMKTPKRYTPMWCVNWFLGILGLALSILLVFGAIWMIATQGIKVHFFKPQ >itb13g20120.t1 pep chromosome:ASM357664v1:13:26956290:26960571:1 gene:itb13g20120 transcript:itb13g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQEGPTTDAEIEISASAQASEVDDWTKYGDNDIMQQHSVIRAEEAEKLPFVGDKEPLLSLEAEYQSGSPILLQKIKVLSEQYASIRRTRGDGNCFFRSFMFAYLEHILESQDQKEVERIKGNVEKCRKTLQSLGYAEFTFEDFFALFIEQLDSVLQRSEASMSHDELLQRSRDQSISDYIVMFFRFVTSGEIKKRSEFFEPFILGLSNASVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCENSGVSVNHHDFVPVNHDIPSADNGDSKAPAPPFITLLYRPGHYDILYPK >itb12g07070.t1 pep chromosome:ASM357664v1:12:5285547:5290734:1 gene:itb12g07070 transcript:itb12g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHMFNNISLGGRGGTNPGQLKVHAGGILWKKLGGGKAVEVDKSDIAGLTWMKVPRSNQLGVRIKDGLYYKFTGFRDQDVSSLTAFFQNSCGITPEEKQLSVSGKNWGEVDLTGNMLTFLVGNKQAFEISLADVSQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENHPPAQVFRDKIVAMADVTDGEEAVVTFDGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRIFLLPKVSQPHTFVVVTLDPPIRKGQTLYPHIVLQFESDYVVDTALTMNEDLLNSKYKDKLELTYKGLVHDVFTKILRGLSGAKITGHGKFRSYQKYLAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILYDEIDYVEFERHAAGTANMHYFDLLVRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRPADGVTAVLQEDDDDAVDPHLERIKNEAGEDDSDEEDEDFVADKDDEGSPSDDSGEEGSDASDSGGEKEKPVKKKPKKDLPTSKPSTSRKKADDDMSKKKKQKKKKDPNAPKRAISAFMFFSNNERENVKKTNPGISFTEVGKVLGDKWNKMSAEEKQPYEAMARADKKRYNDEISDYKNPQPTNMDSGNDSESS >itb05g00320.t1 pep chromosome:ASM357664v1:5:217778:222592:-1 gene:itb05g00320 transcript:itb05g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFAALRHDRRERKLRSFTQEDELAQANQDMQDTRDCYEKLLSAAAATANSAYEFSESLGEMGDCLLEKTALVDDQESGKVLLMLGKVQFQLQKLLDNYRSHISQTITAPSESLLHELNIVEDMKKQCDGKREAYDHLIQKYREKGKLRGTKGECFSSHKLQAAYDEYDNGANVFVLRMKSLRQGQSLSLLTQASRHHAAQLSFFRKAVKYLEEIEPHVKLVTELQHIDYPFSGLGDDSWDDTVDDDNDGYDTYESKDESGSDDDGELSFDHGQSDQEYVSTKSMELDGSDVTFPQVAKADSAKENLRRSIGGVSFAFRRENQASSKSAPLLAELKFDNTDRAMQMGSSPSYKIHSYVLPTPVEKESRVSFKPDTEAPQIRRTSLSKSTENLWHSSPLDINKYEKLGATDKVSEHTIFNSQSVLKESNNNSKSSRLVSEGHSLPRLDPFSASDAKKLKRQAYSGPLTEKTWPNNPSFSTSGPMLSTGYPQRFSGSLLRPQPSSTPKFASLSSSPPFMSSSPKISELHELPRPPSNLSSSPTPPSNLVAHSGPLASKGPGLSPTNRTASTLPVPPTAFPRSYSIPTRGETETALRASKPVIDVPSSPSVSQPLSNIQQPTPPAS >itb05g00320.t2 pep chromosome:ASM357664v1:5:217872:220670:-1 gene:itb05g00320 transcript:itb05g00320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQCDGKREAYDHLIQKYREKGKLRGTKGECFSSHKLQAAYDEYDNGANVFVLRMKSLRQGQSLSLLTQASRHHAAQLSFFRKAVKYLEEIEPHVKLVTELQHIDYPFSGLGDDSWDDTVDDDNDGYDTYESKDESGSDDDGELSFDHGQSDQEYVSTKSMELDGSDVTFPQVAKADSAKENLRRSIGGVSFAFRRENQASSKSAPLLAELKFDNTDRAMQMGSSPSYKIHSYVLPTPVEKESRVSFKPDTEAPQIRRTSLSKSTENLWHSSPLDINKYEKLGATDKVSEHTIFNSQSVLKESNNNSKSSRLVSEGHSLPRLDPFSASDAKKLKRQAYSGPLTEKTWPNNPSFSTSGPMLSTGYPQRFSGSLLRPQPSSTPKFASLSSSPPFMSSSPKISELHELPRPPSNLSSSPTPPSNLVAHSGPLASKGPGLSPTNRTASTLPVPPTAFPRSYSIPTRGETETALRASKPVIDVPSSPSVSQPLSNIQQPTPPAS >itb09g05630.t3 pep chromosome:ASM357664v1:9:3196447:3199627:-1 gene:itb09g05630 transcript:itb09g05630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHALFFLQCYIIPLFSLAQEERVNPQKLKSGQTQKNTRMEWWVSMPKLELHAHLNGSIRNSTILELGRELGEKGIIVFSDVEHVILKSDRSLPEVFKLFDLIHVLTTDHETVTRITKEVVEDFSAENVVYLELRTTPKRNDSKGMSKRSYMLAVLEGLRAVSTVEVDIFRDSEVECPANAHTLYDTKNGTQRKKIYVRLLLSIDRRETTEAAMETVKLALEMRHLGVVGIDLSGNPVVGEWQTFLPVLEFAKEQGLLITLHCGEVHLAWLPN >itb09g05630.t2 pep chromosome:ASM357664v1:9:3196447:3199627:-1 gene:itb09g05630 transcript:itb09g05630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHALFFLQCYIIPLFSLAQEERVNPQKLKSGQTQKNTRMEWWVSMPKLELHAHLNGSIRNSTILELGRELGEKGIIVFSDVEHVILKSDRSLPEVFKLFDLIHVLTTDHETVTRITKEVVEDFSAENVVYLELRTTPKRNDSKGMSKRSYMLAVLEGLRAVSTVEVDIFRDSEVECPANAHTLYDTKNGTQRKKIYVRLLLSIDRRETTEAAMETVKLALEMRHLGVVGIDLSGNPVVGEWQTFLPVLEFAKEQGLLITLHCGEVPNPKEIHAMLDFLPARIGHACCLGEEEWRKLKSSKIPVEICLTSNIKTETISSVDVHHFG >itb09g05630.t1 pep chromosome:ASM357664v1:9:3196447:3199627:-1 gene:itb09g05630 transcript:itb09g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHALFFLQCYIIPLFSLAQEERVNPQKLKSGQTQKNTRMEWWVSMPKLELHAHLNGSIRNSTILELGRELGEKGIIVFSDVEHVILKSDRSLPEVFKLFDLIHVLTTDHETVTRITKEVVEDFSAENVVYLELRTTPKRNDSKGMSKRSYMLAVLEGLRAVSTVEVDIFRDSEVECPANAHTLYDTKNGTQRKKIYVRLLLSIDRRETTEAAMETVKLALEMRHLGVVGIDLSGNPVVGEWQTFLPVLEFAKEQGLLITLHCGEVPNPKEIHAMLDFLPARIGHACCLGEEEWRKLKSSKIPVEICLTSNIKTETISSVDVHHFADLYNSKHPIVLCTDDTGVFSTSSSREYILASSAFGIHKREMFQLARNAIDFIFADDGVKRELEVMFDLAAGRLEF >itb03g22340.t1 pep chromosome:ASM357664v1:3:20409459:20413224:1 gene:itb03g22340 transcript:itb03g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFAVTSLMRTLELEFLPPNPRVVLEDTNAAEALHGRLRELLHFLEKSEDQFNAEDVPTQGWKARLKDVAMRIEDAIESKIIHSFRGGQCTIPLAQSSHYIFKYAIEELTKKFVKEDLQMKGILSSRERIESTSSSSSSLHTASELQSTMVGRTAEFERIKRLLLEDKSIETLVLSIVGMAGIGKTTFARSLYQDPLVKSHFDVLAWATVCTSTTYDSHRILLELLLCIEPEWKEFIHEINDDLPDLVHKRLVGRRYLVVLDDIWDINQWEEIKRSFPENFNESRILLTSRHDEVAMYARSYNHCSLNLPFLNSEESWLLFHLKVHPRLHPQLEAIWRHIVHYFKGLPFAIVIAAGLAQAINESLWISKEIERIFYERMCSDLVQGISKILILSYNNLPNFLKICFLYLGIFPESSAIPVNKLIKLWVAEGFVKVEGQRSLEEVAEDFLKDLVSRSLVLIDKISLDGKIRTCKVHDIVHEFCKRKAMEEGLLHVVDGHFGHLHAYPWVSIEIMDVSGYISLNDISNRSRSILSFCHGVNRSIGIANFKKLRVLDLSTLSFKWNLLFTNGGVDLVLLRYLTLRIYSSGQLLKMWKLKESFNLQTLVLLADKERRVSYTTKVYEIWRMPMLRHLKFSPVFMFDTPNVVLEYLQTIYWLQPSQCTKEVFLGIRNAKVMGIFMPRRMRKFVHVRILSDDDKYSLAENDDEYSLAENWLDDLINLQKLEKLKINSHRDDPIILPPASAFPVQLRMLTLKGTLLPWDAMEEVIGMLPNLEILKLKFGACKGQYWKLSGGGFPKLKSLLIQGIELKQWTATDNAFPILERLIIKHCIHLEIPSIFVELYTLQLFELYGCQSLLVNSAKQIQQQQEELFGYNWLVVHDYNTHQVATIIEEKEHENRGQQECKKLKYKASYPDSSYYARQVQEEEDSKLTNVNFYNCFEDDFDDNDIN >itb04g26870.t1 pep chromosome:ASM357664v1:4:31040211:31042092:1 gene:itb04g26870 transcript:itb04g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPASTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKGPAKKEAAEEGETSAAEETKKSNHVVRKLEKRQKDRKLDAHLEEQFGAGRLMACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGGAGAA >itb11g13740.t1 pep chromosome:ASM357664v1:11:10796285:10797852:-1 gene:itb11g13740 transcript:itb11g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQSSPPFTNATHEDEKCSKESHETNIPDKLVSELPRERGWLTEHIHLYNGFWYPPRVIHGLLALQQHFKPHPNDVHLASYPKSGTTWLKALLFSIVNRGEYTHNALQQQPLLTSNPHELVPWLETYASTNPTNPRPDSSLFHTHLGYPTLPQQIQTSPCRIVYVFRDPKDVLVSCWHFLANLRPKHFPPISLQDAFDQFSRGASPFGPYWDHVTGYFKAGVQSPDKVLFVRYEDLKTETVVHVKRVAEFLGHPFSAEEEKEGVVRKIVDLCSFEKLSNLEVNKTGSHRETTSVIKNSVYFRKGEIGDSKNHLSGKMMEILDQITEEKFKDLGLVVSKLNDSR >itb08g14080.t4 pep chromosome:ASM357664v1:8:15688011:15691523:-1 gene:itb08g14080 transcript:itb08g14080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRDETPAVRVYTVCDESKFLIVRNVPSLGCGDDLAKLFTTYGEVEECKPMDAEDCEPFTDVYWIKFQRVDNARFAKRKLDEFVFLGNRLQVSYAPQYESLSDTKEKLEGRRREVQTRVNSQRTKSSAIPGPGPDAASNSIIISTSSHITSKPLEINQSQFMEPQETANNRDSSITIVSSNEEYFSSESMNQTVQLVREKLNKIQSSAEQPEAGTSKKARVDNRRRI >itb08g14080.t2 pep chromosome:ASM357664v1:8:15687166:15691523:-1 gene:itb08g14080 transcript:itb08g14080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRDETPAVRVYTVCDESKFLIVRNVPSLGCGDDLAKLFTTYGEVEECKPMDAEDCEPFTDVYWIKFQRVDNARFAKRKLDEFVFLGNRLQVSYAPQYESLSDTKEKLEGRRREVQTRVNSQRTKSSAIPGPGPDAASNSIIISTSSHITSKPLEINQSQFMEPQETANNRDSSITIVSSNEEYFSSESMNQTVQLVREKLNKIQSSAEQPEAGTSKKARVDNRRRI >itb08g14080.t1 pep chromosome:ASM357664v1:8:15687168:15691523:-1 gene:itb08g14080 transcript:itb08g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRDETPAVRVYTVCDESKFLIVRNVPSLGCGDDLAKLFTTYGEVEECKPMDAEDCEPFTDVYWIKFQRVDNARFAKRKLDEFVFLGNRLQVSYAPQYESLSDTKEKLEGRRREVQTRVNSQRTKSSAIPGPGPDAASNSIIISTSSHITSKPLEINQSQFMEPQETANNRDSSITIVSSNEEYFSSESMNQTVQLVREKLNKNNQKPELPRKREWTIGGEFDKFLTSDEKRSCCFIKAWYNMFQEITEGLLSSNAVVAMKDICFANPSRAMGKLNAHLYSLSSISCE >itb08g14080.t3 pep chromosome:ASM357664v1:8:15687166:15691523:-1 gene:itb08g14080 transcript:itb08g14080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFRDETPAVRVYTVCDESKFLIVRNVPSLGCGDDLAKLFTTYGEVEECKPMDAEDCEPFTDVYWIKFQRVDNARFAKRKLDEFVFLGNRLQVSYAPQYESLSDTKEKLEGRRREVQTRVNSQRTKSSAIPGPGPDAASNSIIISTSSHITSKPLEINQSQFMEPQETANNRDSSITIVSSNEEYFSSESMNQTVQLVREKLNKVNDNFTVT >itb15g02010.t1 pep chromosome:ASM357664v1:15:1196926:1200944:-1 gene:itb15g02010 transcript:itb15g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPEVAMEILKQRASFMRDSLHKSQTITDSMVTILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKATELILSQFDLARQAEAKILRGPHEYLESYLEACDQLRGVIKFFGSNKNFKGGVSVISHATTLLNKSVGKLEEEFRQLLSSYSKPVEPDRLFDGLPQSLRPSTGPDAGSKQQQQKSLETAVYHPPALIPPRVLPLLHALSQQLIQAGHHQEVFNIYRDVRASSVEQSLRKLGVERVTKEDVQKMQWEVLEAKIGNWIHYMRIAVKLLFPAEKKVSDQVFEGYDSIRDQCFADVTANSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMREIQTEMDIIFGNKYCAEMREATMVLTKRLAETSQETFVDFEEAVEKDATKTTVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFREFDDTDADVQMASITTRIIQALMTNLDGKSKQYKDAALTQLFMMNNIHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRLSWSKILQCLSIQGVTPGNSSFIIDAPPVSSNVSRATVKDRYKTFNVLFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRYGPMIENGKNPSKYIRFTPEDLERMLSEFFEGKTWNEQRR >itb03g02880.t1 pep chromosome:ASM357664v1:3:1643997:1645855:1 gene:itb03g02880 transcript:itb03g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSMDQRFQLLLTFAPTLLALIFLARYFHSRRRRVGRSLPQPDGAWPVIGHLHCFGGGKLTYKVLGAMADKHGPAMAIKLGSHKALVISSWEMAREIFTTHDKTFSDRPRIAASKFLGYDYAFFGVSPYGEYWREMRKIATLHLLSNRQVEMLSPIRKSEVESSIRELYELWVSNGSPERGFMADMKPWFGNLIRNMSVRMVGGKRLTGDGVDCNKEEARRCEKVVKEFLYFFGVFVLSDSFPFLEWVDLQGHKKSMKRIAKELDNLVGGWLEEHKKRRMSEEGMGAQDFMDVMLEIMGEDAQISGFDADTIIKATCLNMLVAGSDGITETLTWALSLLLNNRAMLDKVQEELDIQVGRDRNVDESDIKNLVFLQAVIKETLRLYPSGPINGLRSSLKDCTLSQGYHVPAGTRLMVNIWKIHHDENIWPEPYEFRPERFLTTHKDIDVRGQHIELIPFGSGRRSCPGIQLSMQTLHLCLATVLHCFDFTLPSNEKIDMSESIGFINAKATPLKVCLTPRLESTIFGH >itb06g23730.t1 pep chromosome:ASM357664v1:6:25542669:25543433:1 gene:itb06g23730 transcript:itb06g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTVDSFLEVAVGISADTARQFLDAAGGMLDEALSLFFANGGVLEQPPLSPSDFGGGRDGDEPSPMVEDLWGSSSSNNGGSNREDNGGNRGTDLAALYRPPYELMYYGSFQGSKDVARVQDKWLLVNVQSAGEFASHVLNRDIWADESVAQIIRSSFVFWQYDRDTEEGRKVCTYYNLTSMPAVLVIDPITGRNMKSWTGTVQPDTSLLQEGLQPFLDQKPSDYLASYCNKRRSQIEASRSSRRRRRRRSHGE >itb04g09860.t1 pep chromosome:ASM357664v1:4:9095240:9098023:1 gene:itb04g09860 transcript:itb04g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDACFMVDGDDLLNFTLDEGEGEKNQAAAAAIGTSPVVSSSLGSSKSVNPDDFPEDMEEELEWLSNKDAFPGVETCFDIFGDNPLFDHKSPVSVLENSSSTSNSNSNSNSGGNGMISCYDSLRVPVTYPTRPRSKNRKRREAGIGYLPARNCLWVNHVKNKNNKKRSSFKELPATPEETPAIGRRCQHCGVDKTPQWRAGPTGPKTLCNACGVRYKSGRLLPEYRPANSPTFSAAVHSNSHRKVLEMRRQRMGGKDGIPSNEACGYRVASGE >itb04g09860.t2 pep chromosome:ASM357664v1:4:9095240:9098023:1 gene:itb04g09860 transcript:itb04g09860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELEWLSNKDAFPGVETCFDIFGDNPLFDHKSPVSVLENSSSTSNSNSNSNSGGNGMISCYDSLRVPVTYPTRPRSKNRKRREAGIGYLPARNCLWVNHVKNKNNKKRSSFKELPATPEETPAIGRRCQHCGVDKTPQWRAGPTGPKTLCNACGVRYKSGRLLPEYRPANSPTFSAAVHSNSHRKVLEMRRQRMGGKDGIPSNEACGYRVASGE >itb13g21170.t1 pep chromosome:ASM357664v1:13:27810951:27815104:1 gene:itb13g21170 transcript:itb13g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWAGSLLILGLAVILLIRYGLMEKPLRKQSAFDFFNNHPPSVNVEDYLNDIGDGNEEKSPPKKDIDYSSFKEKPRFVDFDGLGDLYSLSNVSEEESGALRVWSQMRALLSRSDALPETAQGIKEAAVAWKELLSTIRKDKALNVLDEKEDKDCPYSVSLFNETLSRDGSILEIPCGLIEDSSITVIGIPDSEKESFQINLVGSQLPGDPNPPIVLHYNVVLPGANLTKDPIITQNTWTNASGWGKEEKCPDHGPSDTLKVDGLARCNTKIIRCNREDTSNASHLESVKLANASNGTAHASANFPFVEGYPFTATLWAGVEGFHMTVNGRHETSFAYREKLEPWLVNEVRVEGGLGIISTLAKGLPVSQDPDLADIEHLKAPSISLKKRLTLLIGVFSTGNNFERRMALRRSWMQYEAVRSGQVAVRFFIGLHKSRQVNFELWREAQTYGDVQLMPFVDYYSLLNLKTVAICILGVKILPAKYIMKTDDDAFVRIDEVLKSLKGKGPDGLLYGRVSYESSPHRDKENKWYISTEEWPHASYPPWAHGPGYIISRDIAKFIVQSHQERNLMLFKLEDVAVGIWINEFKQKGHKVQYINDDRFYNAGCDTDYILAHYQNPRMVLCLWEKLQKEHQPNCCE >itb05g23430.t1 pep chromosome:ASM357664v1:5:28535301:28538420:1 gene:itb05g23430 transcript:itb05g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLTDLVNLNLKDATNKIIAEYIWRTLDGPVSDPSKLPKWNYDGSSTGQALGDDSEVILYPRAIFKDPFRGGNNILVMCDSYTPAGEAIPTNKRHGAAKVFSHPDVVKEEPWYGIEQEYTLLQKDVKWPVGWPVGGFPGPQGPYYCGTGADKAYGREIVDSHYKACLYAGINISGVNGEVMPGQWEFQVGPAVGISAGDEIWVARYILERIGEIAGVVVSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGLEVIKQAIEKLKLRHKDHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRIGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >itb04g22000.t1 pep chromosome:ASM357664v1:4:27153843:27159425:-1 gene:itb04g22000 transcript:itb04g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MDHKDEVKNAESMQELKESTYIQIKVLFFARAKDLTGLDDMPLEVLSGSTAQDCLDKVIAKFPELQEIRKCMVLALNLEYAPESTIVKDRDELAIIPPISGG >itb10g15050.t1 pep chromosome:ASM357664v1:10:21359245:21361317:1 gene:itb10g15050 transcript:itb10g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCNDLPEECWDQIFTKLDRDCEFDSLSLVCKRFYALTNSLRHRLSVTDPIITGTLPRLLLRFPNLVSVNLSNFRGDVTTIFSEIWHRCLLNLQELDISNQTRIPFDESMDSGSVFKNLKVLKCKNLHVLGNSHLKRIACCFPCLEELDISFPRAELHLRSEDLEGNESVFTDDGIEALSLSLKELRKINLSGNSYITDRSIAALSNCLNLRSIEILSCCFITANGIHLLLQNSAKMNSVSVFGITCQNSNLIAQGFSTYGRALQAIDLHCTIISDECLSSLAKACLPLNRFSLVCCKGITSNGLLSLLSAYPLLQYLALEIDFLTDEIMEVLSLYLRSAVTIKLTKCSRLTISTIFALARNCDVLEELDMENTGLGRKSGYLDWFKSSTIFALTRKCDVLEELDMENTGLGRKGGSLDCYKSSKLKCLNMRKNFKVSDKCLAGIAFVCRKLEKLDVSFCSGISKEGIGFVLHICPEIRSLKIDSCLGIESIGEGPELPKLEVLSAARSGLNDSGLAAIGMRCSGLLNLVLEGCIGVTTSGVEEVAKKCKRLREIKLGMCTHVDPSVVERIVFSCPSLRRVTFTNSKLTEESNFYQL >itb09g14310.t2 pep chromosome:ASM357664v1:9:9621018:9628180:1 gene:itb09g14310 transcript:itb09g14310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATQQQISGSGPLPSPRSPSSSQTGPYLSVSVTDPAKMGNGVQAYISYKVIAKTNLPEYQAQEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKNTVEKFRFSAEFIEMRRQALDIFINRVASHNGLRQSEDLRIFLQADEQTMDRARSQETGLFKKKPADLIQIFWDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELEDHLAEAQKHAYSLVKRHRELGESLSNFGKAVKLLGTSEDNALGKAFSELGAKSELISIKLQKEAHYLLMNFEEPLKDYVRAVQSIKATIAERANAFRQQCELAETIKFKEIDLNKLRLTRSEKLAEAEREYEVLKAEGEEAAQRFQTIVRLMNEEIVQFQEQKTLEMGLAFHEFAKGQARLANGISEAWRGLLPKLEACS >itb09g14310.t1 pep chromosome:ASM357664v1:9:9621018:9628180:1 gene:itb09g14310 transcript:itb09g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATQQISGSGPLPSPRSPSSSQTGPYLSVSVTDPAKMGNGVQAYISYKVIAKTNLPEYQAQEKIVIRRYSDFVWLRDRLFEKYKGIFIPPLPEKNTVEKFRFSAEFIEMRRQALDIFINRVASHNGLRQSEDLRIFLQADEQTMDRARSQETGLFKKKPADLIQIFWDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELEDHLAEAQKHAYSLVKRHRELGESLSNFGKAVKLLGTSEDNALGKAFSELGAKSELISIKLQKEAHYLLMNFEEPLKDYVRAVQSIKATIAERANAFRQQCELAETIKFKEIDLNKLRLTRSEKLAEAEREYEVLKAEGEEAAQRFQTIVRLMNEEIVQFQEQKTLEMGLAFHEFAKGQARLANGISEAWRGLLPKLEACS >itb05g00990.t1 pep chromosome:ASM357664v1:5:829961:833925:1 gene:itb05g00990 transcript:itb05g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSESNYPIAKPNCDDHCGNVTIPFPFGLTQGCYLNSAFLIHCNTTTNGSRKPFLKDSDIEVKTISVEGQLSVMNSVAESCDEEVPYSWAWIRFANFYVNQTANKFVAVGCNTIATVAGYDNDERSYQTASCRRLEDVVNQTCSGIGCCETTDIPILARNINFTLKAMTPNQYTDKVLNCSYAFVVKKDEFNFSSDMLTTKWKVEELPMVIDWVIFNETCSNSSGTCKGNTTCEKYEGPEGGYRCACKKGYQGHPYLDPDIDECSNGENTCSKNATCFNTQGSYSCHCNEGYRDDDNGGCELLIKDECKENGKGCHSSNRVNMIALGATLGTIMLLVICFSLYLAYRQRKSVQRREKFFRDNGGMILQKRIDQGSASSGTTRIFTAEELKKATNNYDQSRIIGQGGFGIVYRGHLLDGRIVAVKKAKMMDPAQVEQFINEVIVLSQINHRNIVKLFGCCLETEIPLLVYEFISNGTLSEHLHNKDKASKISWSTRLRIATETAEVLSYLHSSASPPIIHRDVKSVNILLDDDCTARVSDFGASRLVPQDQTQLTTMVQGTFGYLDPEYLQTNHLTEKSDVYSFGVVLVELLTSRRALSFEGPEKERHLSQYFLSLLKENNIFKILDANIVCDGNTEELQEVALLAKRCLNVKGEDRPTMKEVAVELSGLRRAAKHPWTNNLETSIESEALLTAQPVPFGYNATFSISTSEYDSLKHHMELPTAAGR >itb03g15820.t1 pep chromosome:ASM357664v1:3:15042403:15052166:-1 gene:itb03g15820 transcript:itb03g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSISVEAAAARNSLSDDKELIHTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWAHIANFLKLAKESPQTLVRAIRVVEMQEILDLQVAEEAAEAEGDEAMAAAITNPRKNAKKFSKAPAASSRNLMQQKLKVQGKGYKDKCYDSIRMSVEERFDQLLNEEDLKTAIDEAKSMGEELGGIYDYVAPCFPPRYEIFQLMVNLYTERFVQWLRKLSDQANNMTNIEILKVTGWVVEYQESLIALGVDDGLAQVCSESGSMDPLMTAYVERMQATTRKWYTNILEADKVQPPKSTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRVALALNQVMSDFQGAEKKGLQEPAAEIGLEPLCAMINNNLRCYDLAMELSSSTIEALPENYADQVSFEDTCKGFLEVAKEAVKQTVNVIFDDPGVQELLVKLYQKEWLEGQVTEYLGATFGDYFTDVKMYIEERSFRRFVEACLEETAVVYVDHLLTQKHHIKEETIERMKLDEEVLTDFFREYISASKVETKLRILGDLRELASAESPEYFTLVYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVVQECKEIYENSLVNGRPPKTGFVFPRIKSLTQSKGVAGYMAKAHLWGQ >itb15g04010.t1 pep chromosome:ASM357664v1:15:2512835:2515213:1 gene:itb15g04010 transcript:itb15g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTTVLRRARKAIQDFDLLKALQSEINHELSNPAPFQNEERGSLGDFSIDWDSPNSQDVLLRRRFESGEEVALSAILGADAGTEDRLPREALVKVCIKKPGSSSILQFDCRGFGDYRVPNFEIDNAYYIASTSGLDSSAYKGPSFSSLDPTLQTEFLRYLQARGIEENLISFLVLHLHKKEQGQYVNWLHRLQAMAAQDE >itb05g23790.t2 pep chromosome:ASM357664v1:5:28839971:28846207:1 gene:itb05g23790 transcript:itb05g23790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGENKCLNSELWHACAGPLVCLPIVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDAYLPVELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTMTPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRAIRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVCHTHVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFSLRQKRPWYPGASSFQDSNSEMINGMAWLRGGAGEPGPRSLNLHSFGMFPWMAQRVDSSMLQSDLNQQYQAMLAAGLQNLGGEDLLKQQLMQFQQPVQYLQPSGSHNPLLQQQQQQVIQQTMSSHMLPAQMRILSEGLQRQPPQQTNNQTEQQAQHQHTYQEAFPITNDHLQHRPLSNIPSPSFAKPDIAETNSKFVSPVSPSNMQSMMGSLCPEGSGNLINFNRTSQSMLNEQPPQRSWMLKAHGQMSACSNSTSSPTYSGKDVSIQQESSGFDTRNQVIFGANVDSLGLLLPTTLSSATTASVDADADMSSVQLGGSGFQNSLYDYMQDSSELMHSAGQVDPPTPTSAFVKVYKSGSVGRSLDITRFNSYHELRQELGQMYGIEGLLEDPQRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQTLGKQEADSLSRNVVERMNTSGADGRDFLSGLPSLGSLEY >itb05g23790.t1 pep chromosome:ASM357664v1:5:28839017:28846267:1 gene:itb05g23790 transcript:itb05g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGMGQQAHEGENKCLNSELWHACAGPLVCLPIVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDAYLPVELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTMTPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRAIRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVCHTHVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFSLRQKRPWYPGASSFQDSNSEMINGMAWLRGGAGEPGPRSLNLHSFGMFPWMAQRVDSSMLQSDLNQQYQAMLAAGLQNLGGEDLLKQQLMQFQQPVQYLQPSGSHNPLLQQQQQQVIQQTMSSHMLPAQMRILSEGLQRQPPQQTNNQTEQQAQHQHTYQEAFPITNDHLQHRPLSNIPSPSFAKPDIAETNSKFVSPVSPSNMQSMMGSLCPEGSGNLINFNRTSQSMLNEQPPQRSWMLKAHGQMSACSNSTSSPTYSGKDVSIQQESSGFDTRNQVIFGANVDSLGLLLPTTLSSATTASVDADADMSSVQLGGSGFQNSLYDYMQDSSELMHSAGQVDPPTPTSAFVKVYKSGSVGRSLDITRFNSYHELRQELGQMYGIEGLLEDPQRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQTLGKQEADSLSRNVVERMNTSGADGRDFLSGLPSLGSLEY >itb06g03810.t2 pep chromosome:ASM357664v1:6:6253116:6258273:-1 gene:itb06g03810 transcript:itb06g03810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSGNSIPASEAVQVMVSSLADDSPSVREASMSALKDITSLNPLLVLDCCLTVSRGGRRRFGNIAGLFQVISVAIRALDKGDVDPAFMMKLAKIATAEVISTKELNADWQRAAAGVLVSVGSHLPDLMMEEIFLHFSGSNSALPAMVQILADYASSDALQFTPRLKGVLARVVPILGNVKEIYRPIFANAFKCWCQACWQYSVDFSLSTILDTDVVSFLNSAFELLLRVWATSRDLKVRLSAVEALGQMVGLITRTQLKAALPRLVPTILELYKREQDAAFVATCSLHSLLNASLLSDSGPPLLDFEKMRWELMSQDIL >itb06g03810.t1 pep chromosome:ASM357664v1:6:6249857:6258273:-1 gene:itb06g03810 transcript:itb06g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSGNSIPASEAVQVMVSSLADDSPSVREASMSALKDITSLNPLLVLDCCLTVSRGGRRRFGNIAGLFQVISVAIRALDKGDVDPAFMMKLAKIATAEVISTKELNADWQRAAAGVLVSVGSHLPDLMMEEIFLHFSGSNSALPAMVQILADYASSDALQFTPRLKGVLARVVPILGNVKEIYRPIFANAFKCWCQACWQYSVDFSLSTILDTDVVSFLNSAFELLLRVWATSRDLKVRLSAVEALGQMVGLITRTQLKAALPRLVPTILELYKREQDAAFVATCSLHSLLNASLLSDSGPPLLDFEDLTVVLSTLLIVCSNNDKKEHSDFPVGLKTYNEVQHCFLTVGSVYPEDLFVFLLNVGSVPYLN >itb06g03810.t3 pep chromosome:ASM357664v1:6:6251860:6258273:-1 gene:itb06g03810 transcript:itb06g03810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSGNSIPASEAVQVMVSSLADDSPSVREASMSALKDITSLNPLLVLDCCLTVSRGGRRRFGNIAGLFQVISVAIRALDKGDVDPAFMMKLAKIATAEVISTKELNADWQRAAAGVLVSVGSHLPDLMMEEIFLHFSGSNSALPAMVQILADYASSDALQFTPRLKGVLARVVPILGNVKEIYRPIFANAFKCWCQACWQYSVDFSLSTILDTDVVSFLNSAFELLLRVWATSRDLKVRLSAVEALGQMVGLITRTQLKAALPRLVPTILELYKREQDAAFVATCSLHSLLNASLLSDSGPPLLDFEDLTVVLSTLLIVCSNNDKKEHSDFPVGLKVYVCLKR >itb09g17720.t1 pep chromosome:ASM357664v1:9:13076563:13079379:-1 gene:itb09g17720 transcript:itb09g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTFMILLGVVWFAIACPSLPCRMYRNVPNSLFFCLVSDVQSTFSVQIFQLFSNPEFLLGGACCCCQVIVFMVLHFLEWAKVIYFCKGQNLQIKLPCIPCKDWKLHMKCSGASMF >itb04g29630.t5 pep chromosome:ASM357664v1:4:32949909:32953569:-1 gene:itb04g29630 transcript:itb04g29630.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTKLKNNQVVSTMIKQGFISDPFFSSPSPPTSHHHLPAAKLAVSPPPAYIPASPPPAPPSPNPSPSLFEMMSKEQSRNFGQSPGPEARHRVQERISRVLAHAPFQSPSRADGNFVDGSGGGGDVKLTIAARDGWPKVSMDVHRRVLAGRSRFFAEKLRRDGSHSVEILDCDDVEVYVETVVLMYCEDLKKKLIGEGVSKVLGLLKVSSAIMFDEGIMACLEYLEAAPWSSDEEERVITLLSQLHLPDSATDLLHRVLPEPSTSSSGTDDVLLRLLSSVLQAKDDRARKEMKTVISRLLREDTISSNSLDVSRDMLYNLCNRCLSSLLVCVSEAMCVDESGKDRGVIMGEIAREADNVQWIVDILIDRKMGDEFVDLWADQKELASLHSKIPTMYRHEISRVTAQLCVAIGRGHLLVPKDARYSLLSTWLEALYEDFGWMRRACRSIDKKLIEDGLSQTILTLPLPQQQAIMLNWFDRFLNKGDDCPNIQKAFQVWWRRAFIKQYVAESQLQIALCDYTS >itb04g29630.t2 pep chromosome:ASM357664v1:4:32949909:32953569:-1 gene:itb04g29630 transcript:itb04g29630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTKLKNNQVVSTMIKQGFISDPFFSSPSPPTSHHHLPAAKLAVSPPPAYIPASPPPAPPSPNPSPSLFEMMSKEQSRNFGQSPGPEARHRVQERISRVLAHAPFQSPSRADGNFVDGSGGGGDVKLTIAARDGWPKVSMDVHRRVLAGRSRFFAEKLRRDGSHSVEILDCDDVEVYVETVVLMYCEDLKKKLIGEGVSKVLGLLKVSSAIMFDEGIMACLEYLEAAPWSSDEEERVITLLSQLHLPDSATDLLHRVLPEPSTSSSGTDDVLLRLLSSVLQAKDDRARKEMKTVISRLLREDTISSNSLDVSRDMLYNLCNRCLSSLLVCVSEAMCVDESGKDRGVIMGEIAREADNVQWIVDILIDRKMGDEFVDLWADQKELASLHSKIPTMYRHEISRVTAQLCVAIGRGHLLVPKDARYSLLSTWLEALYEDFGWMRRACRSIDKKLIEDGLSQTILTLPLPQQQAIMLNWFDRFLNKGDDCPNIQKAFQVWWRRAFIKQYVAESQLQIALCDYTS >itb04g29630.t3 pep chromosome:ASM357664v1:4:32949909:32953569:-1 gene:itb04g29630 transcript:itb04g29630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTKLKNNQVVSTMIKQGFISDPFFSSPSPPTSHHHLPAAKLAVSPPPAYIPASPPPAPPSPNPSPSLFEMMSKEQSRNFGQSPGPEARHRVQERISRVLAHAPFQSPSRADGNFVDGSGGGGDVKLTIAARDGWPKVSMDVHRRVLAGRSRFFAEKLRRDGSHSVEILDCDDVEVYVETVVLMYCEDLKKKLIGEGVSKVLGLLKVSSAIMFDEGIMACLEYLEAAPWSSDEEERVITLLSQLHLPDSATDLLHRVLPEPSTSSSGTDDVLLRLLSSVLQAKDDRARKEMKTVISRLLREDTISSNSLDVSRDMLYNLCNRCLSSLLVCVSEAMCVDESGKDRGVIMGEIAREADNVQWIVDILIDRKMGDEFVDLWADQKELASLHSKIPTMYRHEISRVTAQLCVAIGRGHLLVPKDARYSLLSTWLEALYEDFGWMRRACRSIDKKLIEDGLSQTILTLPLPQQQAIMLNWFDRFLNKGDDCPNIQKAFQVWWRRAFIKQYVAESQLQIALCDYTS >itb04g29630.t1 pep chromosome:ASM357664v1:4:32949909:32953569:-1 gene:itb04g29630 transcript:itb04g29630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTKLKNNQVVSTMIKQGFISDPFFSSPSPPTSHHHLPAAKLAVSPPPAYIPASPPPAPPSPNPSPSLFEMMSKEQSRNFGQSPGPEARHRVQERISRVLAHAPFQSPSRADGNFVDGSGGGGDVKLTIAARDGWPKVSMDVHRRVLAGRSRFFAEKLRRDGSHSVEILDCDDVEVYVETVVLMYCEDLKKKLIGEGVSKVLGLLKVSSAIMFDEGIMACLEYLEAAPWSSDEEERVITLLSQLHLPDSATDLLHRVLPEPSTSSSGTDDVLLRLLSSVLQAKDDRARKEMKTVISRLLREDTISSNSLDVSRDMLYNLCNRCLSSLLVCVSEAMCVDESGKDRGVIMGEIAREADNVQWIVDILIDRKMGDEFVDLWADQKELASLHSKIPTMYRHEISRVTAQLCVAIGRGHLLVPKDARYSLLSTWLEALYEDFGWMRRACRSIDKKLIEDGLSQTILTLPLPQQQAIMLNWFDRFLNKGDDCPNIQKAFQVWWRRAFIKQYVAESQLQIALCDYTS >itb04g29630.t4 pep chromosome:ASM357664v1:4:32949909:32953569:-1 gene:itb04g29630 transcript:itb04g29630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTKLKNNQVVSTMIKQGFISDPFFSSPSPPTSHHHLPAAKLAVSPPPAYIPASPPPAPPSPNPSPSLFEMMSKEQSRNFGQSPGPEARHRVQERISRVLAHAPFQSPSRADGNFVDGSGGGGDVKLTIAARDGWPKVSMDVHRRVLAGRSRFFAEKLRRDGSHSVEILDCDDVEVYVETVVLMYCEDLKKKLIGEGVSKVLGLLKVSSAIMFDEGIMACLEYLEAAPWSSDEEERVITLLSQLHLPDSATDLLHRVLPEPSTSSSGTDDVLLRLLSSVLQAKDDRARKEMKTVISRLLREDTISSNSLDVSRDMLYNLCNRCLSSLLVCVSEAMCVDESGKDRGVIMGEIAREADNVQWIVDILIDRKMGDEFVDLWADQKELASLHSKIPTMYRHEISRVTAQLCVAIGRGHLLVPKDARYSLLSTWLEALYEDFGWMRRACRSIDKKLIEDGLSQTILTLPLPQQQAIMLNWFDRFLNKGDDCPNIQKAFQVWWRRAFIKQYVAESQLQIALCDYTS >itb09g07480.t1 pep chromosome:ASM357664v1:9:4360920:4363295:1 gene:itb09g07480 transcript:itb09g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDRNAKDEDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDSQKRAVYDQYGEEGLKGQAPPPDAGGTTYFHPGDTPFRFNTRNPNDIFAEIFGGSSPFGGGMGGGSRMRGSSRFSSSLFGDDIFSSFGDSRPMSSGPRKAPPIERKLPCSLEDLYKGTTKKMKISREIADASGATMVVEEILTITIKPGWKKGTKITFPEKGNEEPNVIPADLVFIIDEKPHSTFTREGNDLIVVQKVSLAEALAGYTVHLTTLDGRNLTVPINSVINPNYEEVVAREGMPIPKEPSKRGNLRIKFTIKFPTRLTSDQKAGVKKLLAS >itb01g04340.t1 pep chromosome:ASM357664v1:1:2930145:2934087:1 gene:itb01g04340 transcript:itb01g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIWFCLLMSFITKGSSLKALSWDCQNTTSYTPKSTYKANLNSLLSNLYSNATRDNGFYHATVAGSRSNDTVHGLFLCRGDVSTDDCRSCIGEARTKILELCTNETTGIVWYDNCLLRYSEKSMLGILDQSTWYQMSNPKNETQVPNQFMELVGNMFDQIITPVSSGSGKKFAVLQTNFSVAGTLFFETVYVLGQCTPDLSNVECQICLRYAINELPFCCYGALGARAVYPSCNVRYELYAFYNVSAAAVASPAPPPIHPPAPPLLPSVFPNSTTSKGNKAKSSAKVIAASVVSVTGILLFVSCFCFLKMKRAKKSIYRVKKATTGMTEIPIEESVQYDFGTIQAITNCFSPENKIGEGGCSSVYKGRFPNGQEVAVKRLSRSSRQGAVEFKNEVALVAKLLHRNLVKLLGFCLQGEEKILVYEFVPNKSLDYFLFDPEKKRLLNWSTRFKIIVGIARGLLYLHEDSRLKIIHRDLKASNMLLDGDMNSKISDFGLARIFMADQTQGNTNRIIGTYGYMSPEYVKHGLFSVKSDVFSFGVILLEIITGKRNSSLSMKSTGAKDLLSYAWKHWSEERALDIVDQSLRGLYSRDEVIQCINVGLLCVQEDVDERPTMANVLLMLNSFSATRRTPSNPPAFFNGGIERISFGETVDQSINEVSISDLEEEWDGVSGAIVPYIAHEMHDEEIMPERTDNPADIQIHDWVIMKDGKYGCQFCPKVFNRKISYTVHVGIHLRRNHKCSSTFAINMVAETSASIEAAPMATRMRA >itb01g04340.t2 pep chromosome:ASM357664v1:1:2930145:2934087:1 gene:itb01g04340 transcript:itb01g04340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIWFCLLMSFITKGSSLKALSWDCQNTTSYTPKSTYKANLNSLLSNLYSNATRDNGFYHATVAGSRSNDTVHGLFLCRGDVSTDDCRSCIGEARTKILELCTNETTGIVWYDNCLLRYSEKSMLGILDQSTWYQMSNPKNETQVPNQFMELVGNMFDQIITPVSSGSGKKFAVLQTNFSVAGTLFFETVYVLGQCTPDLSNVECQICLRYAINELPFCCYGALGARAVYPSCNVRYELYAFYNVSAAAVASPAPPPIHPPAPPLLPSVFPNSTTSKGMTEIPIEESVQYDFGTIQAITNCFSPENKIGEGGCSSVYKGRFPNGQEVAVKRLSRSSRQGAVEFKNEVALVAKLLHRNLVKLLGFCLQGEEKILVYEFVPNKSLDYFLFDPEKKRLLNWSTRFKIIVGIARGLLYLHEDSRLKIIHRDLKASNMLLDGDMNSKISDFGLARIFMADQTQGNTNRIIGTYGYMSPEYVKHGLFSVKSDVFSFGVILLEIITGKRNSSLSMKSTGAKDLLSYAWKHWSEERALDIVDQSLRGLYSRDEVIQCINVGLLCVQEDVDERPTMANVLLMLNSFSATRRTPSNPPAFFNGGIERISFGETVDQSINEVSISDLEEEWDGVSGAIVPYIAHEMHDEEIMPERTDNPADIQIHDWVIMKDGKYGCQFCPKVFNRKISYTVHVGIHLRRNHKCSSTFAINMVAETSASIEAAPMATRMRA >itb09g29550.t1 pep chromosome:ASM357664v1:9:30285073:30287571:-1 gene:itb09g29550 transcript:itb09g29550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPLFSSPSPSHFPTLAPSKPNISHRPLLFISLKKPKNLPFLISASAAAGNGSGLASVEQDTVGEAPVAAEEANGKALNSNGSPPAVAAEALAPEAVSKFQDPRWVGGTWDLSQFQSDGKTHWDSVIDAEAKRRKWLEDNPESSSNEDPVVFDTSIIPWWVWMKRFHLPEAELLNGRAAMVGFFMAYVVDSLTGVGLVDQMGNFFCKTLLFIAVSGVLLIRKNEDIETLKKLFEETTFYDKQWQATWQEEKPKDS >itb14g21400.t1 pep chromosome:ASM357664v1:14:23418709:23420925:-1 gene:itb14g21400 transcript:itb14g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTDLRGGSDDSDEEGRSVCFWWKSAGELEYEKLRFDTMSNAPKVSPRIRLLRELERLGLLSSETLNEIRHKLHSYRPGDFWVPTGGITKEDLEIPAVNTLLLLGFHNAGKTSLVNLMYRVFARSGLLHFAQTSSSSTGTNSSTSKSCLFMEEHNVLRSTQSGFCVYDTQGFRYNQVEAALEEVTDWMTNGVHHKKLCLLPGDDAGQESPAGSIFATRDVNYAMVVANAWEIYTSLNLGDTTPLEALKKLFHLPALRNSGENPLLILTHGDKLSTDDRIDSRLKICEFLGVSEVSGAYDVVCVTENGYLTDEYDPISSYSVSEAVYRGLIISDKGHLPKRRFLDWAYLGGFIICGGGGGEDRLTGGGSGGAGGGGGGGDARCARYSVVFSHGLAGKNVAEAEQKTQQIQKQ >itb05g15420.t1 pep chromosome:ASM357664v1:5:22791813:22794664:1 gene:itb05g15420 transcript:itb05g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYFFPILPFTSLLIYTVLLLTDLPFSSGGHGNWFDSCANTFSCGNITGVGYPFSGNDRPKECGYPGLELDCNKFDESTTISIMKVRYRVLEINQTANILTITREDFAAGICEAQSFNATLDPTLFDFASRYVNFTYKFTCPPSVRPLPGLESMPPVPEGLDCLVSGFNLGNGYVQIGGPGGAGTTCEASVVIPVRNSTVVAGEDGNDFLNLKEILEEGFDVKWKVDGELCRECENSGGRCGFDLKGNRFGCWCPEQSLPSINTCMTSTGGSTSLQLSPATSIASASIGFLISLASLAYSYSSLLPLPLHRDAVGYIISKHQPLE >itb14g15970.t1 pep chromosome:ASM357664v1:14:19271865:19273032:1 gene:itb14g15970 transcript:itb14g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLRVLSLAFVLVCVRSSVAASPPEVSSPAPAPAPENGYNSISLTPASVPAPSPAESIALSPSLIGAVSPTPTPAPAPSPESGDVSAPSPSPSPSPSPSPIALSGHFSLPPTPANAPQMPSDLPQDADDSDGGMSGGKKVGIAFGVVSGACLVGLAAKVYKKRQENIRRAQFGYYGNDDML >itb07g00220.t1 pep chromosome:ASM357664v1:7:186483:188847:-1 gene:itb07g00220 transcript:itb07g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYNIIVCDNLVKERKRELKLKVDHMMSCFPCISPSRKEAIRDYDEDLLHGSNVSPEHQSRKGGSSRGNYREGNGQKGNVARSFTFKELAIATQNFKESNLLGEGGFGSVYKGVLDSQLVVAVKQLDQEGLQGNQEFIVEVLMLSLLHHKNLVNLIGYCTHGDQRLLVYAYMPNGSLENHLFGLEPGKEPLNWYNRLKIAAGAARGLEYLHCTANPPVIYRDLKSSNILLDEEFNPRLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELLTGRRAYDSDAKPGEQNLVSWCRPFLKDKRRFVEMADPLLERRFSVRSFHHAVAITAMCLQDQASFRPAISDIAMALDYLVSQAQTSNRNTAAVVTPNTTPPLSPSLQLNLSFHAIKKKSFGNITLPL >itb09g15770.t2 pep chromosome:ASM357664v1:9:11031732:11039581:-1 gene:itb09g15770 transcript:itb09g15770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTAYGLKAVFGFDLLSCIFLTATNAVLLPLISSLVDNVSARFLSICWASFILISYVIGMIISQPENPLSMDGMLTKLNGDSAFALMSLLGASIMPHNFYLHSSIVQRDQGSKKLSKEALCNDHFFAIVCIFSGIFLVNYVLVNSAASVFYNSTSGSSGLVLLTFQDALSLHDQVFRSSLVAFVVVLVMFFSNQITALTWDLGKQAVVHDLFGMELPGWLHHVTVRIMAIVPALYCVWTSGAEGLYQMLLCTQVGVALVLPSAVIPLFRVASSRSIMGVHKISPLSELLSLGTFFGMLGLKIIFVTEMVFGDSEWVSYLKWNIGSSVSVPYVVLLVAAFSSLGLMLWLAATPMKSASSTFDPQALYWDIRTPVPESPPFGDKVDVNESRFNLERSTMGKLLGSHSNSNLSTPYPEPSLPETLPDFETFPHLTTIDENKSEVTFPNKSKCDPELSISAGDTVPRSTVYDDVSAADSAEDSSLTSNPVDVVEKTLHIEGDNQNDKDNEGDSWVPEEVTKEVSANSQFSSFEGPGSFKSISGKNDDIGNGTGSLSRLGLGRAARRHLTVVLDEFWGQLFDSHGQATQEAKSKKLDMLLGVESKMDPKPPSGSLKLENIRMDPKPPSGSLKLESIRKESNAYISSMSGLGSDLLINSNLYSHKQQMGKFGDESAYVAPKDPYSSQSAHLQLLDAYVRSLSHDTLEAGERRYSSMHIPTSSSGYDQQPATIHGFELAYLNRIANERRTGYSNGKVESPIPKSKNLVSSNSSEFYPSAYGLKPQSSLSSRAPPGFGNVPTSRNTSLQSGNNLNDLNPSGNAENIGCTASAKKFHSSPDIKKLYVPNRESLLLERSAQRDSSMGYVQSSGRSPYERLTYLSPSQRVGGPPGFDQPSPSKASRDAIPLQFSSNPATGSLWARQPFEQFGGTAKSGNIHADRFGAMQSSFTREAGLMMELEARLLQSFRSCIAKLLKLEGSEWLFRLDDGVDEDLVYGVATRERFLYEAEMREINAMGGNIGESPPNRKLGSASKTEEFDCTKFLMTSIPHCGEGCIWKLDLIVSFGVWCIHRILELSLTESRPELWGKYTYVLNRLQGIIDLAFFKPRSPMTPCLCLAFPVGQQQRSNMSAPNGSLPMPAKQGRGKCTTAPMLMEKIKDIEIAISSRKGRAGTAAGDVAFPRGKENLASVLKRYKRRLSNKAVGFQELRNVAPSTPY >itb09g15770.t1 pep chromosome:ASM357664v1:9:11031732:11039581:-1 gene:itb09g15770 transcript:itb09g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETLAVHYQPDKLQRLLSTIVPVLLIAVGYVDPGKWAVFVEGGARFGVDLMIVLLLFNLGAILCQYLSARIAVVTGRDLAQICSEEYDKVTCIFLGVQAEISVIALDLTMVLGTAYGLKAVFGFDLLSCIFLTATNAVLLPLISSLVDNVSARFLSICWASFILISYVIGMIISQPENPLSMDGMLTKLNGDSAFALMSLLGASIMPHNFYLHSSIVQRDQGSKKLSKEALCNDHFFAIVCIFSGIFLVNYVLVNSAASVFYNSTSGSSGLVLLTFQDALSLHDQVFRSSLVAFVVVLVMFFSNQITALTWDLGKQAVVHDLFGMELPGWLHHVTVRIMAIVPALYCVWTSGAEGLYQMLLCTQVGVALVLPSAVIPLFRVASSRSIMGVHKISPLSELLSLGTFFGMLGLKIIFVTEMVFGDSEWVSYLKWNIGSSVSVPYVVLLVAAFSSLGLMLWLAATPMKSASSTFDPQALYWDIRTPVPESPPFGDKVDVNESRFNLERSTMGKLLGSHSNSNLSTPYPEPSLPETLPDFETFPHLTTIDENKSEVTFPNKSKCDPELSISAGDTVPRSTVYDDVSAADSAEDSSLTSNPVDVVEKTLHIEGDNQNDKDNEGDSWVPEEVTKEVSANSQFSSFEGPGSFKSISGKNDDIGNGTGSLSRLGLGRAARRHLTVVLDEFWGQLFDSHGQATQEAKSKKLDMLLGVESKMDPKPPSGSLKLENIRMDPKPPSGSLKLESIRKESNAYISSMSGLGSDLLINSNLYSHKQQMGKFGDESAYVAPKDPYSSQSAHLQLLDAYVRSLSHDTLEAGERRYSSMHIPTSSSGYDQQPATIHGFELAYLNRIANERRTGYSNGKVESPIPKSKNLVSSNSSEFYPSAYGLKPQSSLSSRAPPGFGNVPTSRNTSLQSGNNLNDLNPSGNAENIGCTASAKKFHSSPDIKKLYVPNRESLLLERSAQRDSSMGYVQSSGRSPYERLTYLSPSQRVGGPPGFDQPSPSKASRDAIPLQFSSNPATGSLWARQPFEQFGGTAKSGNIHADRFGAMQSSFTREAGLMMELEARLLQSFRSCIAKLLKLEGSEWLFRLDDGVDEDLVYGVATRERFLYEAEMREINAMGGNIGESPPNRKLGSASKTEEFDCTKFLMTSIPHCGEGCIWKLDLIVSFGVWCIHRILELSLTESRPELWGKYTYVLNRLQGIIDLAFFKPRSPMTPCLCLAFPVGQQQRSNMSAPNGSLPMPAKQGRGKCTTAPMLMEKIKDIEIAISSRKGRAGTAAGDVAFPRGKENLASVLKRYKRRLSNKAVGFQELRNVAPSTPY >itb05g04440.t1 pep chromosome:ASM357664v1:5:3998814:4001831:1 gene:itb05g04440 transcript:itb05g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTARYLVPCSNSVLELHHFCRRLQCSSPIRETHNLHKEFNLQQQVLAISSAEEVKMIEKPRFRWVEIGSDITKEQKQAISQLPVKMTKRCKALMKQLICYSPEKGSVSVLLGAWVRSMKPKRADWLAVLKELDGLNNSLYFEVAQLALVEESFEANIRDYTKIIHGYAKQNLVREAENMVLTMKNRGFICDQALLTVLIHMYSKVGNLKLAEDTFEELMLLGGPLDRRSYGSMIMAYIRAGELIKAEGLLRVMDDQEIYAGREVYKALLRAYSMIGDSKGAQSVFDALQLAGIIPDAKICGLVVNAYLVAGQLSDACIAFENMRTVGIEPNDKCVALLLTAYERENDLNKALDILIDLEKGGVMLGKEASNILARWFRRLGVIEEVEVVLREYKSRAAQKEGDDVFFHCAT >itb06g18440.t1 pep chromosome:ASM357664v1:6:22091309:22093730:1 gene:itb06g18440 transcript:itb06g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSITAKRLTTLLCLLLQMASVIVIIFSSLPFSPISFFVFSDFCSYFSPIPSLLLHRLTAFLLIAFPYLLLISPISLFFSSSPLFVTCVLCHSIALPLSTPLHRKGFRAQTAQSVLMLVLCLLSYGYSNDLVLNLHHRYGGLESANLSDLRAHDLRRHGRMLGPVDFPIGGTRTFTGVGLHYTTLMIGTPPKAYNVFVDTGSDILWVHCAGCDSCPKASSLGIDLVQYDIKASSTAYWVNCHQDFCYTLHTKAYKACGANMHCAFEISYGEGSRTAGPYVHDYVHIDQVTGNLQTASVDGTVVFG >itb01g24530.t1 pep chromosome:ASM357664v1:1:30165930:30168104:1 gene:itb01g24530 transcript:itb01g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGGGGFRGGRDGGRGGRGGGRGFGGRGPPRDEGPPAEVIEVSTFVHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESFFSIKMSEGIVATSYSAGDKFFIDPAKLLPLSRFLPQPKGTPQAGRGGFRGGGRGGGRGGFRGGGGGFRGRGAPRGRGPPRGGRGGFRGRGGRA >itb07g01300.t1 pep chromosome:ASM357664v1:7:816451:819022:1 gene:itb07g01300 transcript:itb07g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEELARFAIAEQNKKANSNLVFDKVIYDITVVAADGGQKKVYEAKVAVNESQNIKELKEFKLVGNEASKPAAGGISSVSL >itb07g01300.t2 pep chromosome:ASM357664v1:7:816451:819022:1 gene:itb07g01300 transcript:itb07g01300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEELARFAIAEQNKKAVIYDITVVAADGGQKKVYEAKVAVNESQNIKELKEFKLVGNEASKPAAGGISSVSL >itb01g18920.t1 pep chromosome:ASM357664v1:1:24896010:24896273:-1 gene:itb01g18920 transcript:itb01g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINCKHICLLPVGCSGNGFSFVYQWWSAFGPWAAATTGVVVTVWLAAFRQRTATAAVDRLFYLYAVVRSFWRCASDLQFADNTFVQ >itb13g26710.t1 pep chromosome:ASM357664v1:13:31863092:31864926:1 gene:itb13g26710 transcript:itb13g26710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYCFFLFVVTLAAIIPASVGHIAEFDEVWRRRATEAWENAQKAYEPNPHNISATLNFEVRRTLVEANDLTASMENSTTRHLLGSKKYHGPCNATNPIDRCWRCHADWATNRKRLADCGMGFGYKTKGGKNGQFYTVTDSSDDPKNPKQGTLRYGVIQKKALWIIFGRDMTIRLHQEMIMQGDKTIDGRGAQVHIIGGAGITIQFVKNVIIHGIHIRDIVEGNGGMIRDAIDHFGLRTKSDGDGISIFGSSNVWIDHVSMKNCYDGLIDAIEASTAITISNSHFTDHNDVMLFGANDFSPKDEVMQVTIAFNHFGKRLVQRMPRCRYGYIHVVNNDYTHWNMYAIGGSAHPTIISQGNRFIAPLDIHKREITHRAAGTPAEWKQWTWRSQGDIFMNGAFFVQSGDPNFMSKHNELYDGVQTFKAEEVTWLTRFAGALTCRQGSAC >itb04g24430.t1 pep chromosome:ASM357664v1:4:29125175:29125687:-1 gene:itb04g24430 transcript:itb04g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNAGGDGDNEKGKGKMVFESSDDTCNSMDRDGIPFLPPIYYERLAESLHKQLLEVKAWKERSVKEAEGKEKEESPVAEEEERMKKIRAEICALKLGDDSWEQRMMEVERECVMCLSVEKTVAFLPCAHHMALCQDCNVVHQRLGMNDCPTCLTPINKRITVRFPPPS >itb15g13090.t1 pep chromosome:ASM357664v1:15:11051809:11053628:-1 gene:itb15g13090 transcript:itb15g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLNEHGVYDGKYTTFALCGFVRAQGDADSALDRLWQKKKAQIHQ >itb02g18220.t1 pep chromosome:ASM357664v1:2:14659131:14662471:1 gene:itb02g18220 transcript:itb02g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRIGELRSEIKVATANIEEACAEYAEIINTGNQYIFNTQSKYSSETIEDIFVEESKTFANLFEEFFDKLTDMVVLLPVSKTGFCYRHKDIVSNLVDNTLAFLRNGQEFDIHVDHYFNNLQQMEKYVLAELVCLLREVRPVFSTGEAMWYLLICDMDVSQACAMANDFLSNSVLNGVFLLLDDGGVILTVTTILNVPLDDGIDNESYQMFFKSIMGKVMVNLLQQKFPGAIVFGGEVKSKRIVIERFEKEATNIEYWIIVATMAVSGRIGDAFISWIPMEIARNLMELRTAAGDIALMYWRKAASYGQTRIFDIFQFIVSQSTSSKSFSSAPQPQMQGSRVHQPTAPPKQRSKLAMTTKPPQNEEQQSPSALNEPSSEHEKDAEGEDTCGITRATFEKVKKNLNLEQSFSIHVGIAYTRWAIHGETAPSNSHPQISGVGNDFLVVHNGVIINYEALKETPIRLDFTFESEIDTEVIPKLAKFVFDKAKEGRGDGQVAEGREG >itb08g09030.t1 pep chromosome:ASM357664v1:8:8261153:8266099:1 gene:itb08g09030 transcript:itb08g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFGDWPSFDPHNFSQLRPSDPSSPSRMTPVTYRPTHDRTLPPPNQVICSEAKNILVRHLYQHADEKLRPKRAASENLAPEHGSKLPRTRQRPLPSRLEGGDDDLFPRRVCLPPFVLEGGDIASYHYGRKQHPPLSRSEGG >itb14g15710.t1 pep chromosome:ASM357664v1:14:19027321:19027980:-1 gene:itb14g15710 transcript:itb14g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBL5 [Source:Projected from Arabidopsis thaliana (AT5G42300) UniProtKB/TrEMBL;Acc:A0A384KQX3] MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >itb13g03550.t1 pep chromosome:ASM357664v1:13:3420134:3420680:1 gene:itb13g03550 transcript:itb13g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLMWLLKKLVHSAKLLKADSLPFTSILNLIRHIPQKRMSTVLKIIGLGYRNEPHGDAYGDGGYNYAPATCLEEDGDDDDGDYDYAPAA >itb06g18170.t1 pep chromosome:ASM357664v1:6:21892026:21896608:1 gene:itb06g18170 transcript:itb06g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLEAVPGSDSAEITVASAIVGGDSGTSVTASSSDESNTSSHTLAHGGCNSLCTLNFSILKSDADQAIEAEEDCNGDHSAVTDQLVPVAGQSFPSTLEPQAPAPLSQSWLNLSVMESGCNSPRMPQPHQPAVKKPRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQIYLGGFDTAHFAARAYDRAALKFRGVDADINFQISDYEEDMKQTKNLTKEEFVQTLRRQSTGFYKRTSRYRGVTLHKCGRWDAQIGQFLGDRTYDKEAIKCNQHRDAGGGDGLDPNHWISAPLGDLKGPDNTRKLEFNFGVCQTPVLKQVNVLFTNAYPSRFSHDSSYIAVDSSPTTPHAPAMASKYSIWTIPSTHHPGSISNTQERPMGTRAEKLAAAPAFSDWQWKMQSQGVVTSSVPLYSSAASSGFSSTTTPHRHP >itb04g15160.t1 pep chromosome:ASM357664v1:4:16324658:16328502:1 gene:itb04g15160 transcript:itb04g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSVSSDYNKSPPPSAPPFHNEAPIGIPVGGPPPIQAPGVWSSGLCDCCDDVSNCCITCWCPCITFGQIAEITDKGATSCGASGALYCLILAVIGCPCFYSCFYRSKLRNQYMLPESPCGDCLVHFCCDSCALCQEYRELKHRGFDMSIGWEGNMQRQNMGVAMTAPGVQGGMNR >itb14g03430.t1 pep chromosome:ASM357664v1:14:3046193:3049545:1 gene:itb14g03430 transcript:itb14g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANFVMSFYKGSKPLSPPSLAGQDAKIVPRPSRKKVLQSAFSGSSDGYIHGPDGGAGDKNVDLKATSYIFQVKERLRLEELKIMNENLKLGLLEITRPRRRDRGRTSQATTRAVRQAPVQVGHAPHRPCRLGMLTPRQQHRQTTPMGHRGSPNRTVCLAN >itb09g14250.t2 pep chromosome:ASM357664v1:9:9451781:9458330:1 gene:itb09g14250 transcript:itb09g14250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFVYMGAEADIESFAQNTGFMFDIAPHFNALLVFIEHRFYGKSLPFGGEKEAISSWKTLGYLSSTQALADYATLIIDLKKNLTATDSPVVVFGGSYGGTLAAWFRLKYPHITIGALASSAPILYFDNITSPYASTDVVTQDFRSESENCYKVIKSSWQFIEEIAKQADGLEILRKSFRICKNNISADSLKGWLTTAYFYTAMTDYPTAANLLNPLPPYPIKQMCKAIDDPRHGSDILEKLYAAVNIFYNYTGEVNCFNPEKPLELLLHSRVQWGWQNIKRVLKRFGSNIIFFNGLRDPWSSGGVLENISKFIVAIVAKEGAHHVDLRFSTKEDPKWLKDVRRKEVEIIQKWISQYYHN >itb09g14250.t1 pep chromosome:ASM357664v1:9:9451781:9458330:1 gene:itb09g14250 transcript:itb09g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFVYMGAEADIESFAQNTGFMFDIAPHFNALLVFIEHRFYGKSLPFGGEKEAISSWKTLGYLSSTQALADYATLIIDLKKNLTATDSPVVVFGGSYGGTLAAWFRLKYPHITIGALASSAPILYFDNITSPYASTDVVTQDFRSESENCYKVIKSSWQFIEEIAKQADGLEILRKSFRICKNNISADSLKGWLTTAYFYTAMTDYPTAANLLNPLPPYPIKQMCKAIDDPRHGSDILEKLYAAVNIFYNYTGEVNCFNPEKPLELLLHSRVQWGWQTCTEMIMPMDGNNKDSIFPASEWDYNESVQFCKEAFNIEPRPNWITTEFGGHNIKRVLKRFGSNIIFFNGLRDPWSSGGVLENISKFIVAIVAKEGAHHVDLRFSTKEDPKWLKDVRRKEVEIIQKWISQYYHN >itb05g19220.t1 pep chromosome:ASM357664v1:5:25817973:25821672:-1 gene:itb05g19220 transcript:itb05g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAEWFGQEIDEWQMMNATFDDFAVFSPETVSFPSSAGYCSPPFPPLSSSNGFQTVVENPAGAVNRVQEASSSSSIISFANSDLPWASQHLANCNPKGASVKTEMVFTSGSCVADGRKDMIGFGGAGAVKKGAAVRNAVQAQDHVMAERRRREKLTQCFIALSSLVPGLKKLDKASVLGAAIKHIKDLKERVETLEENAKRHAEEPLPATAAVKRVRLCGSDDDSSYSSDENSDASTEMSLPEIEVRSSDQNMLIRVHCKKHNGVIKEIFSDLEKMQLSILSSSVMPFGKTTTHITIIAQMDHKFCMAAKDVASTIRMTILKLLGCEESSSPF >itb12g03660.t1 pep chromosome:ASM357664v1:12:2401546:2407171:-1 gene:itb12g03660 transcript:itb12g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNDAFMRNNNAAVQARTKAQNRANVMQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYTGMAQFVSNFAEPGDPEYAPPVKEVETPTERRARIHKLRLEKGAEKAAEELEKYDPNNDPNATGDPYKTLFVSRLNYETTESRIKREFEAYGPIKRVRLVTDKTNNKPRGYAFIEYVHTRDMKAAYKQADGKKIDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGNEDPNQRSSGREQVQPGGPSRSEEPRIRDDRERDREKSRERGRDREREREKSRERSHDRPRDREHRDERHHRDRDRNRDREKDRGRDRDREKERDRDRVRDRERGKDRDRDRDKERERDRHREKDRDRGRDYEFDVDNDRGRSRDRDYDYDHEQDRHGEKERNYDHAGMEDDQGWYEQSDLGHRRSEGDRDRGHYDYYDHHQSREYDNQDARGDDDRYKDSGRDRYEQMEDDDYAYGRAGSEPSEKDRDYRKSDRPSSRDYEY >itb04g17140.t1 pep chromosome:ASM357664v1:4:19699762:19701238:1 gene:itb04g17140 transcript:itb04g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSKKLGQQYANIHIIRCLICLLPGISSVSRCRRLIYLLDGISSVVMNIDKKPNCCRYDSLIERTERHASEGPTHVADLVAVPGGERLGFQLQEERLNGLPTEKEDLQLINPQPQHSFDMLKLLEGQVNTTLKFPN >itb04g25210.t3 pep chromosome:ASM357664v1:4:29840432:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb04g25210.t6 pep chromosome:ASM357664v1:4:29840109:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb04g25210.t1 pep chromosome:ASM357664v1:4:29840432:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb04g25210.t4 pep chromosome:ASM357664v1:4:29840432:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb04g25210.t7 pep chromosome:ASM357664v1:4:29840109:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb04g25210.t2 pep chromosome:ASM357664v1:4:29840432:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb04g25210.t5 pep chromosome:ASM357664v1:4:29840109:29845032:-1 gene:itb04g25210 transcript:itb04g25210.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAICSSSYRFCYIPGRTTRLLLQRRMAATSLSTPKLSSTLSSPALYALCNQLPRFSPLSLRSNSVNFPSLHLRRQSFSYGRRFSTTRAESSNGAAASEPPSHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVTLIEGRGKVLGPHTVDVDGKLYSARNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPKKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEDIRDFVGEQMVLRGIEFHTEESPLAITKSLDGSLSLKTNKGTIEGFSHIMFATGRKPNTKTLGLETVGVKMTKDGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFANEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAINAYGDVDIFTSNFRPLKATLSGLTDRVFMKLIVCAKTNKVLGLHMCGEDAPEITQGFAVALKAGLTKADFDATVGIHPTSAEEFVTMRAPTRKIRSNPSEGTAESDTKSAAGV >itb01g14780.t1 pep chromosome:ASM357664v1:1:16917707:16930769:1 gene:itb01g14780 transcript:itb01g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVEPQNDGRKRNNSSKTKRTNDEAIEISAESMLNAAKLISNTMSEVGKNLSDGLQYDRDMDMFQKFDRVLQEVEPQNDGRKRNNSSKTKRTNDEAIEISAESMLNAAKLISNTMSEVGKNLSDGLQYDRDMDMFQKFDRVLQENQDKGGQPSSNAMMTVANSGMKSDFKDSEGTHSEVRSLSDQPFDCTGEVMSFSQTAKLMPNLDERSTKVLNLTVLQRIDPFIEEILITAAHVTFYEFNVDLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTENLVEDLLGDFEFEIQVPYLLYRNAAQEVNGIWFYNSRECQEVGNLFSRILSAYSKVSPKPKLSSNKSEYEELEAVPTCAVIEGPLEPSFTVPAHTDVAEDSSFLNFFDAATSIGANPSKPLNTGQPYYASAPNIAPTLHVPGPIPSPTSNLQVPTAPQSAPSIPSLSLHEGTDPTTVKTNQVANLVKPSTFFTPPLSPVLPINPVASSISTPALHPPLNIQRPHGTPMLQPFPPPTPPPSLTSNPSPINVPLSREKVRDALLVLVQDNQFIDLVYQALLKVHQS >itb01g16660.t1 pep chromosome:ASM357664v1:1:21122022:21123505:-1 gene:itb01g16660 transcript:itb01g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKDHRATKSQTLPSSPTHSSTSSSDFEFTVALSPRGGSTNSSSYSSSCPADELFYKGQLLPLHLSPRLSMVRTLLLASSSTSSSSDTTTTASRDSTGSSSNDSRSSFSAADLLLLPPDCDSSRPSSAAEETDFSASAAKKTSKYHLNFSLSRFSSVFRKTRLADPPPPPPPPXPAGSAPAPSPVKRLSKTAREVIGKYLKKVKPLYEKLSQNQKPQQKTKSPPEAVPISPKETAVISHSFSGNLRYPRRKSCVSSCPSSMRSSPSHSGVLCRAGFTPPAPPATKSAYPSDMSSMEELQNAIQGAIAHCKNSMLQPSTTTATSSHKNIVVSNEI >itb03g16510.t1 pep chromosome:ASM357664v1:3:15430621:15434221:1 gene:itb03g16510 transcript:itb03g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSAESYSHPTQRSNAKIMSSKNRLPRSYLVATASEHFAAKALNCITSVKKCVHSSEAVGNVVEFLITTAALEIVRRFSRSKCPLIWQVFSDHSSPEDDPSYNYNVSQTPESPGPDACQSERWLLDLHNKLRMEDITLPERLNDDEIRRFYASTKGDFQRTLSLVKKTVQWRQSYTFLSPQQLQAWSHLVFWHGYDLKKRPCLIIRLGLACSNLRASERDFFAKVIVSQIEHGVVSFIDDEHPQIVVLMDCEGLSPFGFPVQMMRSCATLPQDHYPNCLGLLIVVRICCGLGNLGTRHWAMSRNRGSCLPYDCRCSEPPSTRQKVMILGRNYRDYLSNNLESVPSFLGGNCSCSKCSSHSNTIEEEMPLAPSTAHQTNDNTPEIHHHSLSIMNPTKKPLVKIIVIGVVMVWILFAIILGTHYSEWVPPLYWRSHS >itb06g14910.t2 pep chromosome:ASM357664v1:6:19321803:19324864:-1 gene:itb06g14910 transcript:itb06g14910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLNNVLRLLFMAVSFFAASVTTAEYIRPPRRKILRLTKDPFSSSDPHQVHISLAGDKHIRVSWVTSDKSSPSRVEYGTSPGNYTSVAEGGETKTYSYLVYKSGYIHHTVIGPLKDDTVYYYRCGGKYPEFQLKTPPSKFPIMFVVAGDLGQTEWTKSTLGHIQQCKYDVLLLPGDLSYADYIQAHWDTFGMLVQPLASARPWMVTHGNHEIETIPLVKEWFLSYNARWVMAFAESGSNSNLYYSFDVAGVHVVMLSSYTSFDDHSDQYRWAKADFLKVDRKKTPWLVVIFHVPWYSSNNAHRGEGNAMKAAMEPLLYAAGTDIVFSGHVHAYERSKQVYKDKNDQCGPIHITIGDGGNREGLATRYKDPQPVWSAFRQASFGHGEFEVVNSTHAHWSWHRNNDDESVQSDKVWVTSSWSNPACNGKNSKEGRKVLNS >itb06g14910.t3 pep chromosome:ASM357664v1:6:19321803:19324831:-1 gene:itb06g14910 transcript:itb06g14910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLNNVLRLLFMAVSFFAASVTTAEYIRPPRRKILRLTKDPFSSSDPHQVHISLAGDKHIRVSWVTSDKSSPSRVEYGTSPGNYTSVAEGGETKTYSYLVYKSGYIHHTVIGPLKDDTVYYYRCGGKYPEFQLKTPPSKFPIMFVVAGDLGQTEWTKSTLGHIQQCKYDVLLLPGDLSYADYIQAHWDTFGMLVQPLASARPWMVTHGNHEIETIPLVKEWFLSYNARWVMAFAESGSNSNLYYSFDVAGVHVVMLSSYTSFDDHSDQYRWAKADFLKVDRKKTPWLVVIFHVPWYSSNNAHRGEGNAMKAAMEPLLYAAGTDIVFSGHVHAYERSVCLYLIFFFSS >itb06g14910.t1 pep chromosome:ASM357664v1:6:19321803:19324864:-1 gene:itb06g14910 transcript:itb06g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLNNVLRLLFMAVSFFAASVTTAEYIRPPRRKILRLTKDPFSSSDPHQVHISLAGDKHIRVSWVTSDKSSPSRVEYGTSPGNYTSVAEGGETKTYSYLVYKSGYIHHTVIGPLKDDTVYYYRCGGKYPEFQLKTPPSKFPIMFVVAGDLGQTEWTKSTLGHIQQCKYDVLLLPGDLSYADYIQAHWDTFGMLVQPLASARPWMVTHGNHEIETIPLVKEWFLSYNARWVMAFAESGSNSNLYYSFDVAGVHVVMLSSYTSFDDHSDQYRWAKADFLKVDRKKTPWLVVIFHVPWYSSNNAHRGEGNAMKAAMEPLLYAAGTDIVFSGHVHAYERSKQVYKDKNDQCGPIHITIGDGGNREGLATRYKDPQPVWSAFRQASFGHGEFEVVNSTHAHWSWHRNNDDESVQSDKVWVTSSWSNPACNGKNSKEGRKVLNS >itb04g16150.t1 pep chromosome:ASM357664v1:4:17497597:17500620:-1 gene:itb04g16150 transcript:itb04g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGNGQPPGMGIVTSSGAAMAYGAPSYQSNQMTVSIPAASPGAIQSPQTVGLPTSSAQLAYQHIQQQQQQQLQQQLQQFWANQYQDIEHVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPTDVPYYYMAPQSASQVGASGGMYMGKPVDQTLYGQQPRPYMAQTMWPQQQQQPPSDS >itb04g02450.t1 pep chromosome:ASM357664v1:4:1460956:1462879:1 gene:itb04g02450 transcript:itb04g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLQFLFASSFLAIFIFTAVSGYSPAEIKSWCSQTPYPQPCEYYLSRSYNNGGQIKDKSDFLKVAKNLALQYAKSAKDNTYSLGTKCRSGREKAAWEDCLELYENMVQKIDTTIDPNVKCSSADAQTWLSTALTNLETCRAGFVDLGVTGNILPAVTSNNVSYLISNSLALNKGFTATNNEATGYTEGFPNWVRPGDRKLLQSSAASKANIVVAQDGSGNYKTVTEAVNAAAKRSGSGRYVIYVKSGVYKENVNIGSGVKNIMLVGDGIGKTIITGSQSVGGGTTTFKSATVAVVGDGFIAQGITFRNTAGAANHQAVALRSGSDLSVFYQCSFEGYQDTLYVYSDRQFYRECDIYGTVDFIFGNAAVVFQNCNIYARNPPNKTNTITAQGRTDPNQNTGISIHNCKVTAASDLKGSLGSVKTYLGRPWKEYSRTVFMKSSLDSLIDPAGWLPWDGSFALNTLYYGEYSNTGAGASTANRVKWGGYHVITSATEASKFTVGNFIAGGSWLPATNVPFTSGL >itb07g09800.t1 pep chromosome:ASM357664v1:7:9554059:9555752:1 gene:itb07g09800 transcript:itb07g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLETLAKARRELQDLYLGVPDDSVNLSFKELAEVTQRKAAAFSSHKKSPENSSSKPPPSLAKLPSLDFSRAFEAASSTHNNPMLHHHHLDQYGHASHHGSSPYGHHAINGHAHHHHHVALDHRSSMGYLDNDDMAAATPNQARRRRPGIPHSNICTLCTTYIYIFRYRCLVCGRVYCRQCVGIGMGDMAEGRKCVECLGRRFSHRYIHKAGQMGWCMGYPNAVRQQELKWAEKGPNRSRENRPAQSGMLSSSRSPVIRTPPHMLHTPNTPSFVMNSPLSPYTPTHHHALPF >itb02g13060.t2 pep chromosome:ASM357664v1:2:9077011:9081948:1 gene:itb02g13060 transcript:itb02g13060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEERISATVRVNNIPQSAIANELFAYLEDAVADDSIFAVEIFTEHKNWKSRGHGRVQFESLQAKQLALCLSDQRRLFFKGSQLSISHSHDDIIFRPVRPDHRFTTGTLYAGIMADSDVMWPMDSWKNVRTWIMPERGIIEFWVSSQGGECYKLEVQFGDVLETRRCSLDGSEDHNAVLFKLKFAPKIYRKVSGNDVASKFSTDRYHICKEDFDFLWVRTTDFSDVKCVGYLSSLCWEIEEGFSDSNILSNLPYYDEGPMDLFLKKGEEFSSESALVPLVKCPSDSTLAYEILFQLNSLVHTQKISLAAIDTDLIEFLSGLHFDTAMAVLQSMHKMQSTCYKPKSYIKNQLDDLDRNCKSIPLSTYKKVGSNNMMSVHRAYVTPSKIYCLGPELETSNYIVKNFAPYASDFLRVTFVEEDWGKLSPNAVSTSISQGIFAKPYRTDIHGRILSVLRDGVTIGAKHFVFLAFSASQLRSNSVWMFASNEHVKAEDIREWMGCFNKIRNVSKCAARMGQLFSTSFQIMEVQPQDVEVIPDIERTSDGVKYCFSDGIGKISQAFSRQVAQKCGLTHTPSAFQIRYGGYKGVIAVDRNSFRKLSLRSSMLKFESKNRMLNITKWSDAMPCYLNREIVVLLSTLGIEDKVFEEMQEEHLRLLGKMLTNKQAALDVLESMGGGEIKKVLVKMLLKGYEPNVEPYLSTMLQSHYENQLSDLKSRCRISVPKGRIVVGCLDETGILDYGQVYARLTLNKTELQLGEQSFFHKVDDTTAVVKGRVVVTKNPCLHPGDVRVLEAIYEVRLVEKGLVDCIVFPQKGER >itb02g13060.t1 pep chromosome:ASM357664v1:2:9077011:9082023:1 gene:itb02g13060 transcript:itb02g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEERISATVRVNNIPQSAIANELFAYLEDAVADDSIFAVEIFTEHKNWKSRGHGRVQFESLQAKQLALCLSDQRRLFFKGSQLSISHSHDDIIFRPVRPDHRFTTGTLYAGIMADSDVMWPMDSWKNVRTWIMPERGIIEFWVSSQGGECYKLEVQFGDVLETRRCSLDGSEDHNAVLFKLKFAPKIYRKVSGNDVASKFSTDRYHICKEDFDFLWVRTTDFSDVKCVGYLSSLCWEIEEGFSDSNILSNLPYYDEGPMDLFLKKGEEFSSESALVPLVKCPSDSTLAYEILFQLNSLVHTQKISLAAIDTDLIEFLSGLHFDTAMAVLQSMHKMQSTCYKPKSYIKNQLDDLDRNCKSIPLSTYKKVGSNNMMSVHRAYVTPSKIYCLGPELETSNYIVKNFAPYASDFLRVTFVEEDWGKLSPNAVSTSISQGIFAKPYRTDIHGRILSVLRDGVTIGAKHFVFLAFSASQLRSNSVWMFASNEHVKAEDIREWMGCFNKIRNVSKCAARMGQLFSTSFQIMEVQPQDVEVIPDIERTSDGVKYCFSDGIGKISQAFSRQVAQKCGLTHTPSAFQIRYGGYKGVIAVDRNSFRKLSLRSSMLKFESKNRMLNITKWSDAMPCYLNREIVVLLSTLGIEDKVFEEMQEEHLRLLGKMLTNKQAALDVLESMGGGEIKKVLVKMLLKGYEPNVEPYLSTMLQSHYENQLSDLKSRCRISVPKGRIVVGCLDETGILDYGQVYARLTLNKTELQLGEQSFFHKVDDTTAVVKGRVVVTKNPCLHPGDVRVLEAIYEVRLVEKGLVDCIVFPQKGERPHPNECSGGDLDGDLYFISWDKNLIPSQTVKPMDYTGRRPRIIDHEVTMEEIQRFFVDYMISDTLGAISTAHLVHADHEPEKALSSKCLQLANLHSMAVDFAKTGAPAEMPRYLKPRELPDFLERWDKPMYISNGALGKLYRAVKKSIFSGGSSTNHTTTVAAIQNAYDDDLIVDGYESFIPTAETHKQMYMDKLGSLLNYYGAEAEVEILTGNLRNKSSYLQRDNRRFFELKDRILVSAKSLHKEAKGWFHSSCDESSECSKKLASAWYFVTYHPSYCERSANCLGFPWIVGDILLEIKSLKS >itb13g02630.t1 pep chromosome:ASM357664v1:13:2585734:2599012:1 gene:itb13g02630 transcript:itb13g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIAEGGNEPYMYSTNDYVGRQTWVFDPNTGTPEERAEVEAARREFWDNRYRVKPSSDVLWRMQFLREKNFQQKIPQVKIEDGEEISHEKATAALRRAVHFFSALQASDGHWPAENAGPLFFLPPLVMCLYITGHLNTVFPAEHRREILRYIYCHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGADGGENNACARARKWILDHGTVKAIPSWGKTWLSILGLFDWSGCNPMPPEFWILPSFLPMHPAKMWCYCRMVYMPMSYLYGKKFVGPITPLILQLREELYDEPYDGINWRKARHVCAKEDLYYPHPLIQDLMWDSCYILTEPLLTRWPFNKLRQKALEVTMKHIHYEDENSRYITIGCVEKVLCMFACWVEDPNGDYFKKHLARIPDYIWIAEDGMKMQSFGSQQWDTGFAIQALLASDLTDAIKDTLRRGHDFIKNSQVKDNPSGDFKGMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLILSMMPPEIVGEQLEPGRLYDAVNVLLSLQSKNGGLAAWEPAGSSEWLEMLNPTEFFADIVIEHEYVECTASAIQAFVLFKKLYPGHRTKEIDNFITSAAKYLENVQMPDGSWYGNWGVCFTYGSWFALGGLAALGKTYNNCAAVRKGVEFLLTTQRDDGGWGESYRSCPDKVYRQLEANRSNLVQTAWALMGLIHSGQAGRDTRPLHLAAKLIINSQMENGDFPQQVKLEKHNL >itb01g12980.t3 pep chromosome:ASM357664v1:1:12659511:12661368:-1 gene:itb01g12980 transcript:itb01g12980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGCTVQQGLSLEALSVVNQAMVLARQRGHSQVTPLHVANTLLAGSSSSNGLLRAACLRSHSHPLHCKALELCFNVALNRLPASPAQHLSLSNALLAAFKRAQAHQRRGAIESHQHQNQLVPPVKIEVEQLIISVLDDPSVGKVMREAGFSSSLVKTNVEQALSLRLSSQNSETRENTAHLFPSHPCPTTTHQSEGVKDLNKVSLSLSLNPERNVCQWSCCARNGILFAIQSTNNALIQF >itb01g12980.t1 pep chromosome:ASM357664v1:1:12659350:12661554:-1 gene:itb01g12980 transcript:itb01g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGCTVQQGLSLEALSVVNQAMVLARQRGHSQVTPLHVANTLLAGSSSSNGLLRAACLRSHSHPLHCKALELCFNVALNRLPASPAQHLSLSNALLAAFKRAQAHQRRGAIESHQHQNQLVPPVKIEVEQLIISVLDDPSVGKVMREAGFSSSLVKTNVEQALSLRLSSQNSETRENTAHLFPSHPCPTTTHQSEGVKDLNKVSLSLSLNPESHLETMKPQSKKFEDGSSRRMIENGEIKLSCCAECSAMFEAEALSIQNTISNTESSVSSLPSWLRDENSRLNSNDDQKCMSMELLCKKWNSICHSVHKQCPNPILDPKEQWRENQGFETSLRIYIPEHTNLGNMCSFNPNPSPSSSNAMEVEYIPRKETTRAHCGSLDLNICIYEHHDAF >itb01g12980.t4 pep chromosome:ASM357664v1:1:12660477:12661554:-1 gene:itb01g12980 transcript:itb01g12980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGCTVQQGLSLEALSVVNQAMVLARQRGHSQVTPLHVANTLLAGSSSSNGLLRAACLRSHSHPLHCKALELCFNVALNRLPASPAQHLSLSNALLAAFKRAQAHQRRGAIESHQHQNQLVPPVKIEVEQLIISVLDDPSVGKVMREAGFSSSLVKTNVEQALSLRLSSQNSETRENTAHLFPSHPCPTTTHQSEGVKDLNKVSLSLSLNPER >itb01g12980.t2 pep chromosome:ASM357664v1:1:12659350:12661554:-1 gene:itb01g12980 transcript:itb01g12980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGCTVQQGLSLEALSVVNQAMVLARQRGHSQVTPLHVANTLLAGSSSSNGLLRAACLRSHSHPLHCKALELCFNVALNRLPASPAQHLSLSNALLAAFKRAQAHQRRGAIESHQHQNQLVPPVKIEVEQLIISVLDDPSVGKVMREAGFSSSLVKTNVEQALSLRLSSQNSETRENTAHLFPSHPCPTTTHQSEGVKDLNKVSLSLSLNPESHLETMKPQSKKFEDGSSRRMIENGEIKLSCCAECSAMFEAEALSIQNTISNTESSVSSLPSWLRDENSRLNSNDDQVDSITSLLG >itb09g00150.t1 pep chromosome:ASM357664v1:9:217888:220275:-1 gene:itb09g00150 transcript:itb09g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVNNRFLLVIIITTTISSLIMVVEAQSSSFKCSSNSTCDAIIDYVSPNTTTLSAVKALFNVKNLRSILGANNLPLTTPPNQTLIANHTLKIPFTCSCANGTGTSNKRPVYTVVPGDGLYHIAAEVFGNLVTDHQIQAANNIKDANLIFVGQKLWIPLPCSCDEVDGQRVLHYGHVVSPGSSVDAIAAQYNTSQDTLLRLNGLTSPKDLKAGAVLDVPLPTCTSMISNTSLDYPLLVPNGTYVFTAANCVMCKCDAANNWNLECERTEIKSSLWATCPSTPCQFGSGGGCGVTECAYAGYTNQTILTASAPNTSTCPTVENGGAILRQQAWGWDFLLISCFVFISTYLP >itb12g20620.t1 pep chromosome:ASM357664v1:12:23075738:23077137:1 gene:itb12g20620 transcript:itb12g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPRFVIEAAEAESMAQSLSLSSVRHLLPALVGPAQALARPPISNYHVAAVGLGSDGRVFVGVNLEFPGLPLHHSVHAEQFLVTSLKAHGCPRLVALAVSAAPCGHCRQFFQELRNSSEIQILVASQNKKIISDVTFKPLSQFLPNQFGPYDLLDDQTPLLLEPHHNGLSLTGPISDDNRENRQNLSNGFSDHNLCNGVINNSVYNSALLKAAAMEAANESHAPYTNCPSGVALMDCEGKVYKGSYAESAAYNPTLGPFQAALIAFVAGGGGGYDQIVAAALVEKEEAKVKQEDTARLLLKAVSPKCELKVFHCSSTANFCKKATN >itb10g06930.t1 pep chromosome:ASM357664v1:10:7861999:7874241:1 gene:itb10g06930 transcript:itb10g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVELMADFSSNMVDKSAFVVSVLASAAKARATLVEEGRIPVLVLVEIVEFRFRGSTVAVPGGEFLLNPVRLSGPCAGPITLSVWGVLKAPDRTFLDSSEWILLEDLQGLTVTGNGVLDGQGAYAWSVNPCKVDPNCKPFPTNLKFNNIVYGRIEGITSRDSKFFNIKIDGSQHVEIENIRITAPPDSKNTDGIHIGRSQYVQVHNVDIGTGDDCISFGPGTTNVVISQVNCGPGHGISIGSLGKGENEEDVSGIIVKNCNITNTTNGLRIKTWAPSPPSKVYNITYQDIILNGVKNPILIDQHYCPNDQCQHQGESSVEITNIRYLNIVGSSQDELSAIFNCSGRVPCKDIERRTRKKKQLIASIREFAPEKSQGERRVWNLKKRVGELRSEIEAATVDIKEAKQMKESMMWSRSMMKRWSTWSSSMINLRCYHIMMDIDFAEYQLRCQLRGHEDDGRGVLDITVTEVADYLVGGVMATRSRSVNTNSHSIFLKPEPFLSLYFFPCISISFSLNMMYYERFIQVHREKDVDITVAEMPMDEKHAIAFGLMKICEEGKNIELAEKPKGEQLKVMKVDTTILGLDDEAQRSLHDAFYVVRCLVNKRFLIAGGGALEIELSRQLGGTVDDTEMVKGLVFDKKVSHASGGLTGVEKDKIISGVSCKAPYEDDVHDVCICDSVGIAAYPSEKFPMSVVKKEGTHEVICTAYELVRRRRTVAEEEDAVGPENVNYVTDTLQKEINAPISEFEMVILEAARAITELSDVTSWELTQAINMLQLFLRFSKLVLQFAAVHTLNKVAMTHPMAVTICKVMVWTIPSVYVKAGSALLEIIQAKPCRSNEAIGDHWAYSRRRDQTLQQNSTTELLIGQPLLHCCLYLENYRMF >itb07g00850.t1 pep chromosome:ASM357664v1:7:551282:559496:1 gene:itb07g00850 transcript:itb07g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MSDIRKWFMKKHDTSTGNGNASNSAKPSASDKPSSKPEKLDGQESSGRKKTSKYFAKDKAKEEKEVEESPSKRKAQRDSEELSGHGKPPPGKKAHKAVGDDDDDFVLPTPGKDPANITPSKKLKSSSGRGVPQKSIDNDNDADDGGSCDGDTKSNLKSSASRRGGKSSLAARTSGRKMDVDESDDDGSDEKDIKTPKPGGRGRGRGAAATPSSGRGRGGGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIGGRKSEKAKELGTVFLTEDGLFDKIRASKKSKTTQEPKKPKDEIRPSPKKESLQKPEAKISVATKELTRDTSSARRKVQSSKDTCLPWPEKYRPKTPDELVGNQALVKQLEEWLVHWDDLFLNASKVKGKAKKQNDANAKKAVLLSGTPGIGKTTSAKLISRKLGFQAVEVNASDSRGKADSKIVRGIGGRTSNSIKELVSNESLHAKAGRSPHSKTVLIMDEVDGMSGGDRGGVADLIASIKASKIPIICICNDRYSQKLKSLVNHCLLLSFRKPTKQQMAKRLLQIANAEGLQVNQIALEELAERVNGDMRMALNQLQYLSLSKSVINYDDIRQRLQASSKDEDMSPFTAVDKLFGFNAGKLMIDERIGLCMSDPDLVPLIIQENYINYRPSCAPKDANGLQRMNLVARAAESIADGDIVNVQIRRYQQWQLSQMGSLASSIIPSALLHGSRETLEQGERNYNRFGGWLGKNSTMGKNYRLLEDLRFHLLASSESILERRSLRLDYMTLLRKKLTDPLRTLAKDEAVENVVDFMDSYSITQDDFDSIMEISKFQGHSNPMDGIQPAVKAALTKAYNKGSSSRVIRTADLITLPGLKKAPKKRVAAMLEPVDENLAEENGDTLQEDEEGNSDAEEDDLLDPEKKVQLDLQNLNSKGIQVDMEIKGSGTSGKKAPAAGRGRGKAPSKAAAEKSSGSKRKR >itb07g00850.t2 pep chromosome:ASM357664v1:7:551282:559496:1 gene:itb07g00850 transcript:itb07g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MSDIRKWFMKKHDTSTGNGNASNSAKPSASDKPSSKPEKLDGQESSGRKKTSKYFAKDKAKEEKEVEESPSKRKAQRDSEELSGHGKPPPGKKAHKAVGDDDDDFVLPTPGKDPANITPSKKLKSSSGRGVPQKSIDNDNDADDGGSCDGDTKSNLKSSASRRGGKSSLAARTSGRKMDVDESDDDGSDEKDIKTPKPGGRGRGRGAAATPSSGRGRGGGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIGGRKSEKAKELGTVFLTEDGLFDKIRASKKSKTTQEPKKPKDEIRPSPKKESLQKPEAKISVATKELTRDTSSARRKVQSSKDTCLPWPEKYRPKTPDELVGNQALVKQLEEWLVHWDDLFLNASKVKGKAKKQNDANAKKAVLLSGTPGIGKTTSAKLISRKLGFQAVEVNASDSRGKADSKIVRGIGGRTSNSIKELVSNESLHAKAGRSPHSKTVLIMDEVDGMSGGDRGGVADLIASIKASKIPIICICNDRYSQKLKSLVNHCLLLSFRKPTKQQMAKRLLQIANAEGLQVNQIALEELAERVNGDMRMALNQLQYLSLSKSVINYDDIRQRLQASSKDEDMSPFTAVDKLFGFNAGKLMIDERIGLCMSDPDLVPLIIQENYINYRPSCAPKDANGLQRMNLVARAAESIADGDIVNVQIRRYQQWQLSQMGSLASSIIPSALLHGSRETLEQGERNYNRFGGWLGKNSTMGKNYRLLEDLRFHLLASSESILERRSLRLDYMTLLRKKLTDPLRTLAKDEAVENVVDFMDSYSITQDDFDSIMEISKFQGHSNPMDGIQPAVKAALTKAYNKGSSSRVIRTADLITLPGLKKAPKKRVAAMLEPVDENLAEENGDTLQEDEEGNSDAEEDDLLDPEKKVQLDLQNLNSKGIQVDMEIKGSGTSGKKAPAAGRGRGKAPSKAAAEKSSGSKRKR >itb03g14090.t5 pep chromosome:ASM357664v1:3:13956020:13960500:-1 gene:itb03g14090 transcript:itb03g14090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQPCFPIGCLQLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTISTQLAQRLNLPNVLKTDMVYELLRTSTDAPLASSDVWARNFGSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSTAQANEEGPNSLKVDYKNKTQSDFTSGTDSHCNSKDFNSENVISVQEVSADELNKVTGALKSLFPEIKDEAVKSSTVDKNPSGRKEKYGAQPIVIPIVLKMAEFDHEALLEEWIANSASSKKSPIQDKDKLMSNLKTIQNYLCSFASQVGLCVTKHDSSPFPEFKTCKLFPT >itb03g14090.t2 pep chromosome:ASM357664v1:3:13956020:13961535:-1 gene:itb03g14090 transcript:itb03g14090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDKGAVMASPSNNVEDELDEDDYEEGSTHAHPAPPAPAKPTKISTRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKVALELKKLLVDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTISTQLAQRLNLPNVLKTDMVYELLRTSTDAPLASSDVWARNFGSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSTAQANEEGPNSLKVDYKNKTQSDFTSGTDSHCNSKDFNSENVISVQEVSADELNKVTGALKSLFPEIKDEAVKSSTVDKNPSGRKEKYGAQPIVIPIVLKMAEFDHEALLEEWIANSASSKKSPIQDKDKLMSNLKTIQNYLCSFASQVGLCVTKHDSSPFPEFKTCKLFPT >itb03g14090.t3 pep chromosome:ASM357664v1:3:13956020:13960500:-1 gene:itb03g14090 transcript:itb03g14090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQPCFPIGCLQLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTISTQLAQRLNLPNVLKTDMVYELLRTSTDAPLASSDVWARNFGSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSTAQANEEGPNSLKVDYKNKTQSDFTSGTDSHCNSKDFNSENVISVQEVSADELNKVTGALKSLFPEIKDEAVKSSTVDKNPSGRKEKYGAQPIVIPIVLKMAEFDHEALLEEWIANSASSKKSPIQDKDKLMSNLKTIQNYLCSFASQGLTVVNISANTFPQTLEWLHNYLLQRIEEGVWNASCGNNMQAARE >itb03g14090.t4 pep chromosome:ASM357664v1:3:13956020:13959712:-1 gene:itb03g14090 transcript:itb03g14090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYELLRTSTDAPLASSDVWARNFGSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSTAQANEEGPNSLKVDYKNKTQSDFTSGTDSHCNSKDFNSENVISVQEVSADELNKVTGALKSLFPEIKDEAVKSSTVDKNPSGRKEKYGAQPIVIPIVLKMAEFDHEALLEEWIANSASSKKSPIQDKDKLMSNLKTIQNYLCSFASQGLTVVNISANTFPQTLEWLHNYLLQRIEEGVWNASCGNNMQAARE >itb03g14090.t1 pep chromosome:ASM357664v1:3:13955998:13961535:-1 gene:itb03g14090 transcript:itb03g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDKGAVMASPSNNVEDELDEDDYEEGSTHAHPAPPAPAKPTKISTRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKVALELKKLLVDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTISTQLAQRLNLPNVLKTDMVYELLRTSTDAPLASSDVWARNFGSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSTAQANEEGPNSLKVDYKNKTQSDFTSGTDSHCNSKDFNSENVISVQEVSADELNKVTGALKSLFPEIKDEAVKSSTVDKNPSGRKEKYGAQPIVIPIVLKMAEFDHEALLEEWIANSASSKKSPIQDKDKLMSNLKTIQNYLCSFASQGLTVVNISANTFPQTLEWLHNYLLQRIEEGVWNASCGNNMQAARE >itb05g18870.t1 pep chromosome:ASM357664v1:5:25560747:25564579:1 gene:itb05g18870 transcript:itb05g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGGNNNTMRPHHLRINLFLLVVSSLVVVDSTGAQTSIRRRFLHQPLLPAAPPQGSDFFPPESPALSTPDPPFFPEKPNQSPQQPSASVQPNRSSVSSNPVLPQQSRPVKKVAIAISVGIVTLGMFSALAFYIFKHRRKHPEDTQKLVGGVGSSRRIAEESRMLPPATFLYIGTVEPRAPVSENNWANGSPYRKLNSGKRSDRYRPSPELQPLPPLSKPQPPPINSPPAMTSSDEESQDTAFYTLQGSSISNEEGFYTPISHNTKSETRVRRTSPRSRLSASSPDVRPAIIPPIKKPPDPPRPLQPLPQEPPVRNDRPAIPFIFVPKTAKFSSPPPPPPPPPPPPPPISLPRKLGGSQTNNLPPMASHQTEKQDSRTPSPKSCCGQTDRSTASSERCDSDEMDGSKPKLKPLHWDKVQAPSDRATVWDKLKPSSFQVNEDMMESLFGCNSANSAPKEVTRKSALPPVEQDHRILDPKKSQNIAILLRAQNVTKEEVSEALLDGNPEGLGPELLETLVKMAPTKEEEIKLRAYKNDTSKLGSAERFLKAVLDVPFAFKRVEAMLYQANFDSEVNYLRNSFQTLEDASKELKNSRLFLKLLEAVLRTGNRMNVGTSRGDAKAFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRSEGTGSGPINENPLNRTNTRLKEDDLKRKELQVVAGLSRELGNVKKAAGMDSDVLHSYVSRLETGLENVRLVLQLEKQGMEGKFFDSIKLFLKEAEEQMFRIKGEEKKALSLVKEVTEYFHGNAANEEPHPLRIFIIVRDFLSILDNVCKDVGRMHDRTVIGSARPFRTPTATSLPVFSKYNFQHN >itb07g03390.t1 pep chromosome:ASM357664v1:7:2272116:2274968:-1 gene:itb07g03390 transcript:itb07g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGGVPPGFRFHPTDEELLHYYLKRKVSYQKFELDVIREIDLNKIEPWDLQERCKIGSTPQNDWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSFQKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLDDADDPQANPNEDGWVVCRVFKKKNLFKVGSESGGSAISDQLNTTAAANQSRAATAGFSLRDTAPYLLQPPHGHDGFNYSHHIPLPHHYHQLEPQNFIPGAAHFSDHHAAAAGAPIMVKQLMSDPNRDCESGSDQNLCYQPATAACDSGLEVGSCEPPPPPQSMSEWGMLVSSQMGQDDHGSSKDTRVGFEDANGSSMNHINPLSLRSEMDFWGYGK >itb04g21020.t1 pep chromosome:ASM357664v1:4:26060450:26063788:1 gene:itb04g21020 transcript:itb04g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISVHQIGYFFIILFTFVLMDLCNCSGSNNMGVCKEREMQALLCFKKELEDPMNRLSSWVDGVDCCTKWEGVVCHNVTGHVVQLRLTNPNYGFEFDHLPNGTLSPCLLELKQLSHLDFSGLNGYFSGSRIPNFIGSFVNLQYLDLSNLGFQGIIPHQLGNLTSLHTLILNFDDMKSVIKTDNLDWLSNLSNLRLLDLSYVNLNMAHNWLEAINMLPSLRELHLSGCDLPKLPQSLRLLNNSLLEVLDLSFNIFNTVVPKWMFNLNNLVSLDLSFSNFLSPLPDGPWNLTSIITLSIGGNEMNGSLPSQLFHLSGLVSLSLRDNQFQGPLPNGPWNLTFLSVLDISQNNLNGSLPHQLFGLSHLVSLDIGYNQLQDPLPNGFSNLTFLKNLDASGNHMNCRIPNWIYDLTNLESLGLGDNQLQGTISNSISNLTSLCALYLDGNMITGEIPKQIGNLNKLQVLSLSQNMLTGEIPKQIGNLNKLQRLNLNGNMLTGEIPKQIGNLSKLQVLSLYENMLTGEIPKQFGILSKLQELDLARNRLCGLLPENLGYSFPMIENLYISDNKLEGIVTENHFVNLTKLITLSASGNRLTMRVNPNWTPPFQLEELYLSGWNLGSQFPLWLQSQHQISAVDISNAGIEGEHPIWLWNFSSQIELVNVSHNQLQGQIQNISFHPTRDMGIGILVYLGSNQFSGPLPHISSHITELDLSNNFFSGDVSDFLCHTQNMPNNLRILHLGGNDLSGEIPDCWMHWPNLKVLYMKENKLVGSIPDSIGLLNMLESLDVHKNMLSGHIPPLLQNCTSLFKIDMGDNGFTGKIPRWLGTSLPYLTILSLRSNKFYGELPLEFCHLTSLRILDLSNNHFSGLIPRCFKNFTAMINEEDFSNAKFEMSYSTFANYFGESALVTTKGLEYEYASIPLSLFVEMDLSSNNFIGDIPIELTNLLRLRSLNLSRNNLTENIPTEMGNMKLLESLDLSRNQLFGKIPSSFSSLYSLGVLDLSYNNLFGKIPPGTQLQGFNASCYGGNNLCGPPVSQNCSVGDGEIPKNKTKGDGCCGVDWFYVSMAIGFAVGFWGICGSLFFVEPWRIAYFKFLDNKLKSFLAWAHALRIKFT >itb14g08130.t1 pep chromosome:ASM357664v1:14:7508194:7510850:1 gene:itb14g08130 transcript:itb14g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTLPYTCKLSTTNLASAKRAFLKSPRSLGSVKSVSKSFGLKAGSGSRTSGSSVYKVKLVQPDGTEHEFDAPADTYILDSAENAGVELPYSCRAGACSTCAGKIVAGSVDQSDGSFLEEHHMNDGYLLTCVSYPTSDCVIHTHKESDLY >itb02g10600.t1 pep chromosome:ASM357664v1:2:6850590:6851909:-1 gene:itb02g10600 transcript:itb02g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNHGARLASTLKAKRELEGEDEDEEEEDEEDECDLRKKKIMDVGLGKKGPSSGGVAGGGVTASSSCCQVEMCGADLTFAKTYHKRHKVCEVHAKAPAVLLNGVDQRFCQQCSRFHEVSEFDEAKRSCRRRLAGHNERRRKASCENRGGGEGSSRRRVETPLKESKQCSRGGGQLIISAAGTSSYENFHIH >itb09g30150.t1 pep chromosome:ASM357664v1:9:30799274:30800721:1 gene:itb09g30150 transcript:itb09g30150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGYTKEVEVDDDQAGAKADGQDEPAEVHPVLMVTDYSLAPPHTHLCFHLTPWSFTPHLPKVLGQNSVDRVLLDAHCSGIGVISKDESVKTSKSADDIQICFYDKIDDSHLSGI >itb12g21480.t1 pep chromosome:ASM357664v1:12:23827141:23831819:-1 gene:itb12g21480 transcript:itb12g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVCFSIFLCLSACLIITIHFPEVDGARRQPHDKFILNGPEKLFVFGDSYADTGNWPPTDASMPWREPYGMTFPGNPSGRWSDGRVLTDYIAAYLGIGSPQPYSLWKGDGEAKQYGMNFAFGGTGVFDTYVDGPNMTTQINYLQQLLQQNVYTKHDLTSSAALVSLVGNDYTKYHGNMNEFVDSITKQLCLDLKRIHEMGIPKVAVTAMQPLGCLPFVAFSTGNYPNCDEHSNNITRFHNQMLKQRVDKLNDQTEGSPFVILDLYAAFTSAMNIQHNHPGKSSFPHPLLPCCLGKCGDVDESGKKEYGLCDDPKMAFFWDMAHPSQQGWLAVYSALKSSLPQLFHPQAQQATPVENLR >itb12g21480.t2 pep chromosome:ASM357664v1:12:23829781:23831833:-1 gene:itb12g21480 transcript:itb12g21480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVCFSIFLCLSACLIITIHFPEVDGARRQPHDKFILNGPEKLFVFGDSYADTGNWPPTDASMPWREPYGMTFPGNPSGRWSDGRVLTDYIAAYLGIGSPQPYSLWKGDGEAKQYGMNFAFGGTGVFDTYVDGPNMTTQINYLQQLLQQNVYTKHDLTSSAALVSLVGNDYTKYHGNMNEFVDSITKQLCLDLKRIHEMGIPKVAVTAMQPLGCLPFVAFSTGNYPNCDEHSNNITRFHNQMLKQRVDKLNDQTEGSPFVILDLYAAFTSAMNIQHNHPGKSSFPHPLLPCCLGKCGDVDESGKKEYGLCDDPKMAFFWDMAHPSQQGWLAVYSALKSSLPQLFHPQAQQATPVENLVML >itb11g04580.t5 pep chromosome:ASM357664v1:11:2421978:2424454:1 gene:itb11g04580 transcript:itb11g04580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLKPPVTTTAAAVAYRDSILKALPPNSNFMPLMTLYLTDTTSPLEIKQARQSGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTSPEVDVFDREKVFIETVLGPLVQRLPQLKIVMEHVTTLDAVKFVESCREGSVAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRKKKECPCGCAGIYNSTVALSVYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTTKIKLTKTPWKVPKSYSYASGDVIPMFAGETLDWQPFSL >itb11g04580.t4 pep chromosome:ASM357664v1:11:2420480:2424463:1 gene:itb11g04580 transcript:itb11g04580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSITQPDDWHLHLRDGDLLEAVVSHSARNFGRAIIMPNLKPPVTTTAAAVAYRDSILKALPPNSNFMPLMTLYLTDTTSPLEIKQARQSGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTSPEVDVFDREKVFIETVLGPLVQRLPQLKIVMEHVTTLDAVKFVESCREGSVAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRKKKECPCGCAGIYNSTVALSVYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTTKIKLTKTPWKVPKSYSYASGDVIPMFAGETLDWQPFSL >itb11g04580.t1 pep chromosome:ASM357664v1:11:2420273:2424463:1 gene:itb11g04580 transcript:itb11g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAISFPCKALIFPVSKFSGQNQRKANRVRMELSITQPDDWHLHLRDGDLLEAVVSHSARNFGRAIIMPNLKPPVTTTAAAVAYRDSILKALPPNSNFMPLMTLYLTDTTSPLEIKQARQSGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTSPEVDVFDREKVFIETVLGPLVQRLPQLKIVMEHVTTLDAVKFVESCREGSVAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRKKKECPCGCAGIYNSTVALSVYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTTKIKLTKTPWKVPKSYSYASGDVIPMFAGETLDWQPFSL >itb11g04580.t3 pep chromosome:ASM357664v1:11:2421119:2424454:1 gene:itb11g04580 transcript:itb11g04580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAISFPCKALIFPVSKFSGQNQRKANRVRMELSITQPDDWHLHLRDGDLLEAVVSHSARNFGRAIIMPNLKPPVTTTAAAVAYRDSILKALPPNSNFMPLMTLYLTDTTSPLEIKQARQSGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTSPEVDVFDREKVFIETVLGPLVQRLPQLKIVMEHVTTLDAVKFVESCREGSVAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRKKKECPCGCAGIYNSTVALSVYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTTKIKLTKTPWKVPKSYSYASGDVIPMFAGETLDWQPFSL >itb11g04580.t2 pep chromosome:ASM357664v1:11:2420480:2424463:1 gene:itb11g04580 transcript:itb11g04580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAISFPCKALIFPVSKFSGQNQRKANRVRMELSITQPDDWHLHLRDGDLLEAVVSHSARNFGRAIIMPNLKPPVTTTAAAVAYRDSILKALPPNSNFMPLMTLYLTDTTSPLEIKQARQSGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTSPEVDVFDREKVFIETVLGPLVQRLPQLKIVMEHVTTLDAVKFVESCREGSVAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFLGTDSAPHDRKKKECPCGCAGIYNSTVALSVYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTTKIKLTKTPWKVPKSYSYASGDVIPMFAGETLDWQPFSL >itb15g01450.t2 pep chromosome:ASM357664v1:15:868657:873285:1 gene:itb15g01450 transcript:itb15g01450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASAYFMPLDSRGPRGVLTVLGGRANPLKISDEKIGFLKGGSNFPMIKCAQNAHSVNQYNSKDQFLNLHPEISMLRGEGSNTVMTPRQESSSGIVTESFKDSSRLNNYNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVVSEHRLQIGNELTRGLGAGGNPDIGMNSAKESRESIVEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRTLQSSQPPAGDTSLGINRRPTSFMEGGSVEIPEFLRKKGRSRYPRA >itb15g01450.t3 pep chromosome:ASM357664v1:15:868657:872957:1 gene:itb15g01450 transcript:itb15g01450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASAYFMPLDSRGPRGVLTVLGGRANPLKISDEKIGFLKGGSNFPMIKCAQNAHSVNQYNSKDQFLNLHPEISMLRGEGSNTVMTPRQESSSGIVTESFKDSSRLNNYNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVVSEHRLQIGNELTRGLGAGGNPDIGMNSAKESRESIVEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRTLQSSQPPAGDTSLGINRRPTSFMEGGSVEIPEFLRKKGRSRYPRA >itb15g01450.t1 pep chromosome:ASM357664v1:15:868657:873285:1 gene:itb15g01450 transcript:itb15g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASAYFMPLDSRGPRGVLTVLGGRANPLKISDEKIGFLKGGSNFPMIKCAQNAHSVNQYNSKDQFLNLHPEISMLRGEGSNTVMTPRQESSSGIVTESFKDSSRLNNYNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVVSEHRLQIGNELTRGLGAGGNPDIGMNSAKESRESIVEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRTLQSSQPPAGDTSLGINRRPTSFMEGGSVEIPEFLRKKGRSRYPRA >itb15g01450.t4 pep chromosome:ASM357664v1:15:868657:872957:1 gene:itb15g01450 transcript:itb15g01450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASAYFMPLDSRGPRGVLTVLGGRANPLKISDEKIGFLKGGSNFPMIKCAQNAHSVNQYNSKDQFLNLHPEISMLRGEGSNTVMTPRQESSSGIVTESFKDSSRLNNYNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVVSEHRLQIGNELTRGLGAGGNPDIGMNSAKESRESIVEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRTLQSSQPPAGDTSLGINRRPTSFMEGGSVEIPEFLRKKGRSRYPRA >itb15g01450.t5 pep chromosome:ASM357664v1:15:868657:872253:1 gene:itb15g01450 transcript:itb15g01450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASAYFMPLDSRGPRGVLTVLGGRANPLKISDEKIGFLKGGSNFPMIKCAQNAHSVNQYNSKDQFLNLHPEISMLRGEGSNTVMTPRQESSSGIVTESFKDSSRLNNYNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVVSEHRLQIGNELTRGLGAGGNPDIGMNSAKESRESIVEAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVTHLPQRQIMSKNTTNFILLTP >itb03g11060.t1 pep chromosome:ASM357664v1:3:8950481:8952083:1 gene:itb03g11060 transcript:itb03g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDMAWLWTALAVFAALSLIHVLVKKMNGKKLPPGPRGLPILGHLHLIGKNPHQDLNKLAKQYGPIMYLRFGFVDNIVVSSPQAAELFLKTHDLVFANRPPNEAAKYISYDQKNLSFSQYGPYWRSMRKLCTLQLLSNLKINSFQAMRREELCRLIESLKQAARDGVAVDLSAEVADLAADMTCRMVFGKKYEIKDIDERGFKGLIKEGMQLTVKPNLGNYYPYLGKLDLQGFTRQMKAIAKSFDRFLERIIDDHEQRGSISETKDFVDIMLSIMKSGETEFELTREHVKTTLLDMLAASIDTSATVIEWMMSELLKHPQKMKKVQEELDNKVGLNRMVEESDLDSLEYLEMVTKESLRLHPVAPFLIPHEAREDCTVDGFYIPKKARIMVNVWAIGHDQNVWANAEEFIPERFKGSNIDYKGRDFELIPFGSGRRSCPGLQLGITVVRLVVAQLVHCFDWKLPNGMSSKELDMTEEFGLVVTRAEHLMAIPKYRLHI >itb03g22500.t1 pep chromosome:ASM357664v1:3:20531971:20533099:-1 gene:itb03g22500 transcript:itb03g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIFHAQSENWIPQSHHVMRRNPYPDQNRIPHSHYASYRSLNPNWIPQSQSHDVKCQSQNLCCGSRRRKRSPAGMNPPNSRNHSRANLRPEGASDLGPGSPAKNLVMGQVKILKRGEQLPSPSSDTTTTTTTSSRESSPGSGGDYWNAEEMLVLCSTQRLGPEPETVHKDLSAFLVSPLPSSLPIPKFFGTKVKNTGAATCHLR >itb06g22460.t2 pep chromosome:ASM357664v1:6:24719937:24722000:-1 gene:itb06g22460 transcript:itb06g22460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFRPEQNGMRMKIGAERMCMPAPSVEHFVEAVKQTALANKRWIPPSGKGSLYVRPLLLATGPVLGVAPALDYTFIVYACPVANYFKEGTSSLNLYVEDEYHRATHGGAGGVKSVTNYAPVLKAIMRAKQRGFSEVLYLDSVHESYIEEASASNIFIVKGNVVSTPPANGTILEGVTRKSIMAIARDLGFEVEERMIHVDELRSAEEVFCTGTAVGVCTVGSITYKGNRVEYKIGSVSQKLSSTLAGIQAGTVEDKNGWVVEIGQ >itb06g22460.t1 pep chromosome:ASM357664v1:6:24719937:24722879:-1 gene:itb06g22460 transcript:itb06g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRAASLRRLVNSAFLPQLGARPIATHAASSLRLASDPYSGEEIADIDWDNIGFGPKPTDYMYMTKFNKDGTFEEGQLSPFGNIELTPQAGVLNYGQGLFEGIKAFRRETGGMFLFRPEQNGMRMKIGAERMCMPAPSVEHFVEAVKQTALANKRWIPPSGKGSLYVRPLLLATGPVLGVAPALDYTFIVYACPVANYFKEGTSSLNLYVEDEYHRATHGGAGGVKSVTNYAPVLKAIMRAKQRGFSEVLYLDSVHESYIEEASASNIFIVKGNVVSTPPANGTILEGVTRKSIMAIARDLGFEVEERMIHVDELRSAEEVFCTGTAVGVCTVGSITYKGNRVEYKIGSVSQKLSSTLAGIQAGTVEDKNGWVVEIGQ >itb05g26300.t2 pep chromosome:ASM357664v1:5:30259075:30260590:-1 gene:itb05g26300 transcript:itb05g26300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSLTIVCIMNAVFGCLTAFSPNYWFYVLFRLLSGFSTGGTGLCAFVLATEPVGPSKRGLVGMSTFYFFSAGIALLSAIAYRFQTWRALYVASSVPSILFVFLVLPFLHESPRWCLIRGRVGEAIKTMQSIARANGRELPENVVLGLDSEVSSGGSSHLLLKESLSSGKESFSGGRESLSGGMESFSRGGSSHLLPKESLSGSLIDVLRSPLTRVRLFLAVTINFTCAIVYYGLSLNAVNLGTNLYLNVALNAVAEMPAYFLTAIWLDKLGRKPLAIGTQWFSGLFCVAGSLMKAYGTSTIIPMICGVFGIFGMAGTYNLLFIYTVELFPTTVRNAALGCATQAAQLGAILAPFIVVCGGGIPFAVFGVCGIAGGLLGYYLPETLNRPLYDTLGGMEEGEGSAWVA >itb05g26300.t1 pep chromosome:ASM357664v1:5:30259075:30262328:-1 gene:itb05g26300 transcript:itb05g26300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDGGMDLRRPLVPAGKAEKLTVDDALQKYCGEFGWWQLRHFVLTSLAWALEGIHTMVMIFADRDPAWRCSSAACDSAAAQAADKGSVCGLEPGSWEWDGGDGSSTVSEFGLICGEIYRVGLVQALFFAGCMIGAGVFGHLSDSRMGRKGSLTIVCIMNAVFGCLTAFSPNYWFYVLFRLLSGFSTGGTGLCAFVLATEPVGPSKRGLVGMSTFYFFSAGIALLSAIAYRFQTWRALYVASSVPSILFVFLVLPFLHESPRWCLIRGRVGEAIKTMQSIARANGRELPENVVLGLDSEVSSGGSSHLLLKESLSSGKESFSGGRESLSGGMESFSRGGSSHLLPKESLSGSLIDVLRSPLTRVRLFLAVTINFTCAIVYYGLSLNAVNLGTNLYLNVALNAVAEMPAYFLTAIWLDKLGRKPLAIGTQWFSGLFCVAGSLMKAYGTSTIIPMICGVFGIFGMAGTYNLLFIYTVELFPTTVRNAALGCATQAAQLGAILAPFIVVCGGGIPFAVFGVCGIAGGLLGYYLPETLNRPLYDTLGGMEEGEGSAWVA >itb09g29870.t1 pep chromosome:ASM357664v1:9:30566988:30569392:-1 gene:itb09g29870 transcript:itb09g29870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEYQDLGLSLKLGFSGESCGRASETPQNLNLSTSSSSSHSSPFHSSPCWADRNSDACGRAEAAAFLKGIDVNRAPAAAGAADGEEEAWVSSPNNSTVSSGSKRSEREDQETERACSDEEDGGEASRKKLRLNKDQSAILEETFKEHNTLNPKQKLGLAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCENLTEENRRLHKEIQQLRALKLSPHFYMQMPPPTTLTMCPSCECVAVPPPASSPAIYSYGSLVTNRNGLTKALPLQHMGTCVDPHRRFDVTRPNTLKLMQFIHEHRPTEHQQ >itb09g29870.t2 pep chromosome:ASM357664v1:9:30567662:30569579:-1 gene:itb09g29870 transcript:itb09g29870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEYQDLGLSLKLGFSGESCGRASETPQNLNLSTSSSSSHSSPFHSSPCWADRNSDACGRAEAAAFLKGIDVNRAPAAAGAADGEEEAWVSSPNNSTVSSGSKRSEREDQETERACSDEEDGGEASRKKLRLNKDQSAILEETFKEHNTLNPKQKLGLAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEVLKRCCENLTEENRRLHKEIQQLRALKLSPHFYMQMPPPTTLTMCPSCECVAVPPPASSPAIYSYGSLVTNRNGLTKALPLQHMGTCVDPHRRFDVTRPNTLKL >itb04g04350.t1 pep chromosome:ASM357664v1:4:2689359:2690217:-1 gene:itb04g04350 transcript:itb04g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQDYDHFGHRHTLVPLVLDDGERLTCKGCELSIDHPFHGCISCAYYLHDRCLHAPRSLQHAAHTAHPLTLLPAPTYSGKDYVCDACRSKGTAFSYSCCHCGFDVHLHCASLPETAALPEKHPHALKLVFDYKTEENTVFLCDVCNREVDEGAWRYYCAGCDFGTHLHCSGVGCLERVGDEDDGDGGETAGVNDHVAAMRQATKEMMELQLASARMQNSLNLSAAYANMMSRW >itb11g18010.t1 pep chromosome:ASM357664v1:11:18149623:18155977:-1 gene:itb11g18010 transcript:itb11g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNGEYRNLYNHENIFIADHGGGAGNNWASGYHQGKQYEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHISTPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMAGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTGIRHLFTKCLSQYEKLRKRQAFLDNYRNYPMFADNDLSEFDESRDVIESLVDEYKACESPDYIKWGIEDPDQILTGEGNASGAVDPRLAG >itb13g01510.t1 pep chromosome:ASM357664v1:13:1458534:1467349:1 gene:itb13g01510 transcript:itb13g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGQCLPDQKSVLLQIRTEITYDSSESTKLVLWDKGGDCCLWPDCNFSGTVPHKVFQVPTLKTIDLSYNFMLGGSLPDFPENGLLQSLALYCTKFSGNLPESIGNLRLLSYIDLAYCDFSGSIPVSIIKLRKLVELSFSQNSFSGPIPASLFFLPSLQTLYLVGNKLSGHINELRNVTSQLQSLDLSDNNLEGTIPSFFFRLQSLTSLDLSSNKFFGQMIDLQNVTSQLQSLDLSDNNLEGTIPSFFFRLQSLTSLDLSSNKFFGQMIDLQNVTFQLQSLDLSDNNLEGTIPSFFFRLQSLTSLHLSSNKFFGQMIDLQNVTSPLESLDLSANNLEGTIPSFFFRLQNLTSLYLSSNKFFGQMIVQNVTSPLESLDLNANNLEGTIPSFFFRLQNLTSLDLSSNKFNSIVPLTKFKSQYLDFLDFSNNNLVETTISTSELPLLPQFRELNLASCNLQKFPDFLKSQSKLWGLDLSNNTISGEIPNWIWGIGNGQLSYLNLSHNRLTHMKEPMEYGSLTFLDLNSNMLSGQIPRPPPEAQYLDFSNNNFSMIPLHIADQIPYHLYFFSMAKNRVSGKISTSWCRAAYLEVLDLSHNALHATIPSCLVQNNSNLIVVNLRGNHLSEILTFLEVLNLSYNHLVGRIPRSTQLDTFDASSFKGNKGLCGFQINVSCSGIDEPASPIPESEEKESTHHVDIYISVAFGFVAGLGGIFVPLLLSSKWRSYYNKMIDGILSKIFFQRGQGRRKKSR >itb10g05900.t1 pep chromosome:ASM357664v1:10:6431375:6432241:-1 gene:itb10g05900 transcript:itb10g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKIVMKKEQIDSLQSPFIVLGIDKGSDSIAKYCGHLDGGVLPVGSPFIGDEGSVLPVGSPFIGDEGSVLPVGSPFIGDEGSVNNIDEGSDSIVKYCGHLDGDCVLPVGSPFIGDEGSVLPVGSPFIGDEGSVLPVGSPFIGDEGSVNNIDEDFFAGHDVVNLGDAYEHIVEVNEADLLAGNGGNGVAFVQLGEDIQNGTQDAVAHPVKRCLLEDFTKIAVPKRRRTLEIKEEDV >itb03g07010.t2 pep chromosome:ASM357664v1:3:5121373:5123834:1 gene:itb03g07010 transcript:itb03g07010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPLTSLVGPHSGTATYLDANASASEPQLIQIAKPSADTHMGKSEKRLKDAEIYVPIVYGTIAFWLGRKATETQSHKWTVYVRGATNEDLSVIIKRAVFQLHPSFNNPVRVVESPPFEVSECGWGEFEIGISLYFHNDVSEKKLDLYHPLKLYSENEPGPLSTKKPVVMENYDEIVFPEPSEDFLSRVKGHPAAVVPRLPATFNLPQRRGKRYSCPNMSGCQPYYCLSILLFT >itb03g07010.t3 pep chromosome:ASM357664v1:3:5121373:5123834:1 gene:itb03g07010 transcript:itb03g07010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPLTSLVGPHSGTATYLDANASASEPQLIQIAKPSADTHMGKSEKRLKDAEIYVPIVYGTIAFWLGRKATETQSHKWTVYVRGATNEDLSVIIKRAVFQLHPSFNNPVRVVESPPFEVSECGWGEFEIGISLYFHNDVSEKKLDLYHPLKLYSENEPGPLSTKKPVVMENYDEIVFPEPSEDFLSRVKGHPAAVVPRLPATFNLPQRRVDAHEMKRGDTKNHPLKQWFINFSEADELLKLAGIRQQVQTDIIKLRRQLSMMEELPQTFKPECENVHDFPCPM >itb03g07010.t1 pep chromosome:ASM357664v1:3:5121373:5123834:1 gene:itb03g07010 transcript:itb03g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MPLTSLVGPHSGTATYLDANASASEPQLIQIAKPSADTHMGKSEKRLKDAEIYVPIVYGTIAFWLGRKATETQSHKWTVYVRGATNEDLSVIIKRAVFQLHPSFNNPVRVVESPPFEVSECGWGEFEIGISLYFHNDVSEKKLDLYHPLKLYSENEPGPLSTKKPVVMENYDEIVFPEPSEDFLSRVKGHPAAVVPRLPATFNLPQRRDAHEMKRGDTKNHPLKQWFINFSEADELLKLAGIRQQVQTDIIKLRRQLSMMEELPQTFKPECENVHDFPCPM >itb14g05850.t1 pep chromosome:ASM357664v1:14:5093414:5095224:-1 gene:itb14g05850 transcript:itb14g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKLAATAAFFIPLYSNFPLISAFAACLVGQIIKLFIFWYKESQWSFMKLFGTGGMPSSHSAIATALALAIGLQDGLGGPPFATALVTAFVVIRDGISARSQAQAEEQAESQEVVNQTESELPTEPLLAQSTPQHAFLGHPWLEVVFGGLLGLLTAAMIDLTTDLPVYQYCRPDMNRTTDGNQTIPQHFQM >itb11g14980.t1 pep chromosome:ASM357664v1:11:12306783:12310801:1 gene:itb11g14980 transcript:itb11g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTAYLGNVERRLFTSKPRLRRRFFPSNGTPKFLAMAPKKKVNKYDPTWKKQWFGAGLFAEGSEEVEVDVFKKLEKRKVLSTVEKAGLLSKAEELGFTLSSIEKLGVFSKAEELGLLSLLEKSASFSPSALASTALPILVAAILAIVLIPDDSAALVAVQAVLAGALGVGAVGLLLGSVVLDGLQEAD >itb15g12550.t1 pep chromosome:ASM357664v1:15:10444084:10444518:1 gene:itb15g12550 transcript:itb15g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRETYQRWWRLAVAVVVCGRSRRNGGVGGGVYCRSRRFAASSAEEERTASAERWRRSTEEMVARELCENKMAMFSLRSVLCVALGESGLGFSGGVRVRRRLGNLGRRGEQRAAAMADDDRTSGSVGVKERNRAAMASSPPQI >itb15g08380.t1 pep chromosome:ASM357664v1:15:5880696:5883331:-1 gene:itb15g08380 transcript:itb15g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQCGLKQRWSLKGMTALVSGGTRGIGAAIVEELAGFGAEVYTCSRNQEELDQKVQEWQAKGFKVSGSVCDLASREQRKHLIHTVSSVFNGKLNILVNNAAISKMVKARDYEAEEFSRIIEANLESPYHLTQLAYPLLKATGNASVVFISSLAGHVALPAFSVYGSSKAAINQLTKNLACEWGNDGIRVNAVSPSGVRTTPMKLEEIDPSILKVYGGIMMRTALKPMAEANEISPLVAFLCLPAAASHITGQVILIDGGYTAGGFHF >itb03g02640.t1 pep chromosome:ASM357664v1:3:1499090:1502681:1 gene:itb03g02640 transcript:itb03g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDPQRLKKVAAAAYDYENDPRWADYWSNVFIPPHMASRSDVVDHFKRKFYKRYIDPDLVVEPMNTGSSSQQAQPSPSQASSSSNSTSQSRPRNPGSTARTSGTSTTPTSNPTSLRWDRQTILFSVNAWVFVVAVLAIFPFMYTNLSNRAYRLSFMGTACSSLYSLYTLYGKPRAWNLQALQVWLQSVIVTKDFIYFIYSLTFVSSNLHLKFAVIPIICRSVEHVAKFLRQNFSRSSLYRRYLEEACVWVESNTTTLSILSSQAQIGLGFLLIISLFSWQRSIIQAFMYWQLLKLMYHAPATASYHKSAWTNIGLLVNPHIQRYAPFLNGPISAIQRWWFR >itb05g00410.t1 pep chromosome:ASM357664v1:5:307053:309682:1 gene:itb05g00410 transcript:itb05g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQGSHEPLKDFSLGQPSLDDIQCYPKTNFYTKSSSKSQRDNLLRKSFAGPDGDRADEEDFEEESSAALTELFHGFLAIGTLGGDPIVNDPSTPTFSISVENIAEKETEVTENDLKLINDELEKVLGVEAKDDISSGRSSQVSAGRSSHCSTITLSGKQLESLDNSGNIGTNICPLQGYLFGSAIGLPDTTIAKKEPRPSLGELFQKTKLADENSGGAKYDRGEKPRMDKEHDKSAVHLVKKILKKGMPHASSKSSTAALGCTIDSAAAETKLHKILHMFHRKVHPESTATAEKLDKPSKNEIRNNLMYDGAYNLGGAEDVALVPKRRMSKESIRRLKSQSNMHQFTISAADCNGNRECWIKSDADYLVLEL >itb06g02240.t2 pep chromosome:ASM357664v1:6:3919455:3933899:1 gene:itb06g02240 transcript:itb06g02240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALDKVVEEVVSQAVKKLVQTVSDNVELVRGIDSEIKDLAVDIETFNARLVDASKNPRANELQLLEVVVKRFRDIVNEAQDAVRKYVAEKKKHGDKGYAKCVDKIPHVRKVHLSAGEIRVIRTKVNTIRKDHEKDLRSLMNYPIENQSHLQAGPVVEGDYVVGFDKDFETIQDRLMEPSDKLIVIPIVGIPGIGKTTFAKKIFEDPNIHDKFDYCIWVHVAQGFSRRQKFIDIQNQITKHTENFSDVSEDILEMGIKDRLENKKYFIVLDDVWEKNDWDSFKVAFPNTKGSKVLLTTRSRNVADSIGKPHSLQELSSEVSWLLLEKNVFGEKRCDNKSFEAHGRKIADKCSGLPLALVVIAGILRNSKTTVDWERVAKNPFLEINRTTGQRYHKLVMLSYDQLPHEKLKNCFLYFASFPMGHDISASKLIRLWIAEEFIPTKDEWGYPLDVKVEAQNYLNDLVDRNLVKVLKRRADGQIKICRILDSLHEFCKSEAAKKNLFHVMDDEKHTIATNTSISSRRLCFHSSITQIFHAEKKQPSCLFLSCYNKRSPFPFGEHVHSLLVSSSHKREIHLIQMQMAMIPKAFPMLRVLDIESLILSFLPNGLYGLDHLKYLAITTNLDRLPKAFKNLRELETLVLNTSKRKLEIDGGIWNMENLRHLYTNASMKLPLPPRTSSGTGSTNVHTLSTISPSSCKEEIFNKILNLKKLGVRGDLAELLEEKEGTNYLFNNIQMLDCLENLKLRGLLNEEVLAEEVLEVPMLLQPEKVLKLPTEDKFPPRLRKLTLSNTLFEWNDMQILGLMKELEVLKLDDNAFMGSLWEVSSNIVFKQLQYLRIGRTNLVTWKVATEKSFPALECLVLRYCAVLEGIPNALVHVDSLKMMELFHVSEKAINSARELHKEINGKRDMKTSGLFELSITSLPPQTTLFQEENVSTVGPDIPSTSYVVPVIEEEEDVVGFDNEAKIIKERLLGGSTDLTFISIKGMAGLGKTTLTKMVFKDQDLRLEYFPRLWVYVSRTFNRKQIFLDILSNFIKITKEFHDMSEESLALTIQDVLVSEKYFIVMDDVWSKKDWDYLKIAFPNNTKGSRVLVTTRHEKVALYIDSTCIPHQLKFLSNDESWELLEKCIFRKEKCAPPLENLGKCIAIKCNGLPLAVITIAAVLDKNGTLDEWKHVAENPFPVINQENQSYNELVKLSYDHLPFHLKDCFLYLAAFPIGHDIPAWKLIRLWIAEGFIPLMEGEYSSDLERTAEKYLEDLVDRNLLMVLRRRADGQIKTCRIHDTLHEFCKKEAATKNLFHEMDHRTKLDVNEIPRRLCVHSSILEFLKSDNKPSSEHVRSFLSYCSKEVEIPNECRAAIPKSFPLLRVMDVESLKFKLLPKELYSLYHLRFLAVSTDLKLLPARPFNNLWNMQTLVFNSSHNSLEVKADIWSMSKLRHVHSNSSMVLPPPPKSSKRSASVSTDIKTLSTISPSSCTGDIFDKTPDLQKLGIRGNLAELMDVKQGGVSLFDNLQKLDRLENLKLINYALQSNKLRSFPRAEKFPRRLRKMTLFNTAFEWKDLSALGSLDELEVLKLEDNAFRGEFCDVRSVVFKQLQYFRIGRTDLVSWTASKDSFPVLKCLFLRNCTKLVSVPVEFGEIESLKLLELYCTNKGAVKSAQKIQELKRGVNGDTKKGGFQLSVYLPEQ >itb06g02240.t1 pep chromosome:ASM357664v1:6:3919455:3933899:1 gene:itb06g02240 transcript:itb06g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALDKVVEEVVSQAVKKLVQTVSDNVELVRGIDSEIKDLAVDIETFNARLVDASKNPRANELQLLEVVVKRFRDIVNEAQDAVRKYVAEKKKHGDKGYAKCVDKIPHVRKVHLSAGEIRVIRTKVNTIRKDHEKDLRSLMNYPIENQSHLQAGPVVEGDYVVGFDKDFETIQDRLMEPSDKLIVIPIVGIPGIGKTTFAKKIFEDPNIHDKFDYCIWVHVAQGFSRRQKFIDIQNQITKHTENFSDVSEDILEMGIKDRLENKKYFIVLDDVWEKNDWDSFKVAFPNTKGSKVLLTTRSRNVADSIGKPHSLQELSSEVSWLLLEKNVFGEKRCDNKSFEAHGRKIADKCSGLPLALVVIAGILRNSKTTVDWERVAKNPFLEINRTTGQRYHKLVMLSYDQLPHEKLKNCFLYFASFPMGHDISASKLIRLWIAEEFIPTKDEWGYPLDVKVEAQNYLNDLVDRNLVKVLKRRADGQIKICRILDSLHEFCKSEAAKKNLFHVMDDEKHTIATNTSISSRRLCFHSSITQIFHAEKKQPSCLFLSCYNKRSPFPFGEHVHSLLVSSSHKREIHLIQMQMAMIPKAFPMLRVLDIESLILSFLPNGLYGLDHLKYLAITTNLDRLPKAFKNLRELETLVLNTSKRKLEIDGGIWNMENLRHLYTNASMKLPLPPRTSSGTGSTNVHTLSTISPSSCKEEIFNKILNLKKLGVRGDLAELLEEKEGTNYLFNNIQMLDCLENLKLRGLLNEEVLAEEVLEVPMLLQPEKVLKLPTEDKFPPRLRKLTLSNTLFEWNDMQILGLMKELEVLKLDDNAFMGSLWEVSSNIVFKQLQYLRIGRTNLVTWKVATEKSFPALECLVLRYCAVLEGIPNALVHVDSLKMMELFHVSEKAINSARELHKEINGKRDMKTSGLFELSITSLPPQTTLFQEENVSTVGPDIPSTSYVVPVIEEEEDVVGFDNEAKIIKERLLGGSTDLTFISIKGMAGLGKTTLTKMVFKDQDLRLEYFPRLWVYVSRTFNRKQIFLDILSNFIKITKEFHDMSEESLALTIQDVLVSEKYFIVMDDVWSKKDWDYLKIAFPNNTKGSRVLVTTRHEKVALYIDSTCIPHQLKFLSNDESWELLEKCIFRKEKCAPPLENLGKCIAIKCNGLPLAVITIAAVLDKNGTLDEWKHVAENPFPVINQENQSYNELVKLSYDHLPFHLKDCFLYLAAFPIGHDIPAWKLIRLWIAEGFIPLMEGEYSSDLERTAEKYLEDLVDRNLLMVLRRRADGQIKTCRIHDTLHEFCKKEAATKNLFHEMDHRTKLDVNEIPRRLCVHSSILEFLKSDNKPSSEHVRSFLSYCSKEVEIPNECRAAIPKSFPLLRVMDVESLKFKLLPKELYSLYHLRFLAVSTDLKLLPARPFNNLWNMQTLVFNSSHNSLEVKADIWSMSKLRHVHSNSSMVLPPPPKSSKRSASVSTDIKTLSTISPSSCTGDIFDKTPDLQKLGIRGNLAELMDVKQGGVSLFDNLQKLDRLENLKLINYALQSNKLRSFPRAEKFPRRLRKMTLFNTAFEWKDLSALGSLDELEVLKLEDNAFRGEFCDVRSVVFKQLQYFRIGRTDLVSWTASKDSFPVLKCLFLRNCTKLVSVPVEFGEIESLKLLELYCTNKGAVKSAQKIQELKRGVNGDTKKGGFQLSVYLPEQ >itb02g14220.t1 pep chromosome:ASM357664v1:2:10406455:10411670:-1 gene:itb02g14220 transcript:itb02g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAGNPINKIERAHQMYREGKYEEALGFYTEALSLAKTKSQKIALHSNRAACFLKLHLFNKAADECTSVLELDHKHTGALMLRAQTLVTLKEYHSALFDVNRLIELNPSSEVYQNLHARLKTQLSLAPIPEAEVELEEDDEEDVAELEDDEEDVAELEDDEEEHTNSSECNKDDEFQKEMHMVASEKKVDSGKVTPTTEVATQVQNLEKSLQQQSAGWQAIPQPKGHSRLDYSRWDRVEDESSEEEEDDEEDEPKPQYRFRVKTVGVRAVK >itb02g14220.t3 pep chromosome:ASM357664v1:2:10406455:10411670:-1 gene:itb02g14220 transcript:itb02g14220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAGNPINKIERAHQMYREGKYEEALGFYTEALSLAKTKSQKIALHSNRAACFLKLHLFNKAADECTSVLELDHKHTGALMLRAQTLVTLKEYHSALFDVNRLIELNPSSEVYQNLHARLKTQLSLAPIPEAEVELEEDDEEDVAELEDDEEDVAELEDDEEEHTNSSECNKDDEFQKEMHMVASEKKVDSGKVTPTTEVATQVQNLEKSLQQQSAGWQAIPQPKGHSRLDYSRWDRVEDESSEEEEDDEEDEPKPQYRFRVKTVGVRAVK >itb02g14220.t2 pep chromosome:ASM357664v1:2:10406455:10411670:-1 gene:itb02g14220 transcript:itb02g14220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATAGNPINKIERAHQMYREGKYEEALGFYTEALSLAKTKSQKIALHSNRAACFLKLHLFNKAADECTSVLELDHKHTGALMLRAQTLVTLKEYHSALFDVNRLIELNPSSEVYQNLHARLKTQLSLAPIPEAEVELEEDDEEDVAELEDDEEDVAELEDDEEEHTNSSECNKDDEFQKEMHMVASEKKVDSGKVTPTTEVATQVQNLEKSLQQQSAGWQAIPQPKGHSRLDYSRWDRVEDESSEEEEDDEEDEPKPQYRFRVKTVGVRAVK >itb15g05570.t2 pep chromosome:ASM357664v1:15:3582559:3592438:-1 gene:itb15g05570 transcript:itb15g05570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWLNNVVSELENQIDNFEAELEGLTVKKGKSKPPRLTHLEASITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVDELYSSLPLDKVEALEDLVTIGAPVLAKGVSGVVSTKSSIAMTPAQVTVTSPVQQTGSSQEQADEVGSQESASDAVPRTPPPKSGAVASSAPTTPVGSHASPVAAVTSSSMNALNAVKDEEIAGFPGQKAPPTLTEAGLRGIDRHGLSSQPAASISLGSISSLSNNGALGAIPSSVPEVTKRNVLGYDERLGSTGMGQPLVSPLANRTIMSQTAKSSDGIGTNDSGNVGESNVMVGRVFSPSVVPGMQWRPGSSFQNQNEVGQFRGRTEIVPDQREKYLQRFQQVQQNSKQFSAQHQNPLLPQFNSQGSSVTPQLGLGVGVQAAALNSVSSSALLQQQPDMGHSKVEELQQQQVLSEDVSADSAPAPSLAKNLMNEDDMKAYALDAPGGVGSTLAEQSQLPRDTDLSPGQPLQSNQPSGSLGVIGRRSVADLGAIGDGLSTPPANPGGMHDQTYNLQMLEAAFYKLPQPKDSERAKSYTPRHPAVTPPSYPQVQAPIVNNPAFWERLGADNYGTDTLFFAFYYQQNTYQQFLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDDFEQGTYVYFDFHIANDEQHGWCQRIKTDFTFEYNYLEDDLNVN >itb15g05570.t1 pep chromosome:ASM357664v1:15:3582557:3593343:-1 gene:itb15g05570 transcript:itb15g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWLNNVVSELENQIDNFEAELEGLTVKKGKSKPPRLTHLEASITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVDELYSSLPLDKVEALEDLVTIGAPVLAKGVSGVVSTKSSIAMTPAQVTVTSPVQQTGSSQEQADEVGSQESASDAVPRTPPPKSGAVASSAPTTPVGSHASPVAAVTSSSMNALNAVKDEEIAGFPGQKAPPTLTEAGLRGIDRHGLSSQPAASISLGSISSLSNNGALGAIPSSVPEVTKRNVLGYDERLGSTGMGQPLVSPLANRTIMSQTAKSSDGIGTNDSGNVGESNVMVGRVFSPSVVPGMQWRPGSSFQNQNEVGQFRGRTEIVPDQREKYLQRFQQVQQNSKQFSAQHQNPLLPQFNSQGSSVTPQLGLGVGVQAAALNSVSSSALLQQQPDMGHSKVEELQQQQVLSEDVSADSAPAPSLAKNLMNEDDMKAYALDAPGGVGSTLAEQSQLPRDTDLSPGQPLQSNQPSGSLGVIGRRSVADLGAIGDGLSTPPANPGGMHDQTYNLQMLEAAFYKLPQPKDSERAKSYTPRHPAVTPPSYPQVQAPIVNNPAFWERLGADNYGTDTLFFAFYYQQNTYQQFLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDDFEQGTYVYFDFHIANDEQHGWCQRIKTDFTFEYNYLEDDLNVN >itb01g00630.t2 pep chromosome:ASM357664v1:1:285094:286833:1 gene:itb01g00630 transcript:itb01g00630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVSVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPNVPLVLVGTKLDLRDDKQFLVNHPGATPITTAQACLLINIYNNSSRNESECIYMIW >itb01g00630.t1 pep chromosome:ASM357664v1:1:285094:286833:1 gene:itb01g00630 transcript:itb01g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVSVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELRHYAPNVPLVLVGTKLDLRDDKQFLVNHPGATPITTAQGEELKKMIGAATYIECSSKTQQNVKGVFDAAIKVVLQPPKPKRKQRRKSSRRCVFL >itb12g10450.t1 pep chromosome:ASM357664v1:12:8513617:8518211:1 gene:itb12g10450 transcript:itb12g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCGFTIPPNLNLNLRLRQQPPPLYSAPYRLILSPPSLQLQLQDHFLPRNSRRKFSTAVNCQTTTERRTMSEDRMLVFVPPHPLIKHWVSVLRNEQTPCPVFKSALAELGRLLIYEASRDWLPTITGEIQTPMAIASVEFIDPREPVAIVPILRAGLALAENASSILPATKYYHIGISRNEETLQPAVYLNKLPEKFPGGSRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDSEVNEKGFIIPGLGDAGDRSFGT >itb12g18710.t1 pep chromosome:ASM357664v1:12:20947562:20950396:-1 gene:itb12g18710 transcript:itb12g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEMPIAIPAKKPRSRKPLKQKNASPSEAADVPLPVAVEESAGKENHGSLSQKKSKRGASKAKKQTEESSSFEKELQEMQDRLQRLKIEKEQTEELLKAREEMLKQKEEELQVRGKEQEKLQLELKKLQKIKEFKPTLNFPILKTLGEKEKEKKGTKRPAAPYLLWCKDQWNEVKKANPDAEFKEITNQLGAKWKTLTPEDKKPYEEKYQAEKEEYLKAVGNEKREREAMRLLEEEHKQKTAMELLQQFIHFQQETTANENNKKKKKEKDPLKPKQPLSAFFVFTNERRAALLAENKNVKEVAKITGEEWKNMTDDQKAPYEEIAAKNKEQYGQEMEVYRKMKEEEAASHKKEEEEMIKLKKQEAMQLLKKKEKTENLIKKTKENQRKKQKEEKNVDPNRPKKPASSFLLFSKEERKRIVEEKPGTNNTTVNALISLKWKELSEEEKQVWNSKAAEAMEAYKKELEEYNKSAVEYQNSKK >itb15g08120.t1 pep chromosome:ASM357664v1:15:5703700:5704628:-1 gene:itb15g08120 transcript:itb15g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGSWGSPFSRRVEVALKLKGVEYEFLEQDLFNKAPLLLKSNPIHKKVPVLLHHGNPIPESLLILEYIDDTFPGTPILPKHPYERAMARFWAKFIDDKIVPASEKAVFTKGLEQEKGKEEVCELLKILDNELKKKKFLGGETIGLADITGMYVALWLGMREEIMGVELGVTKEKFPHLCRWKEDLLNCEVIKENLPSRDELVAYLRPYYTKVFM >itb04g24650.t1 pep chromosome:ASM357664v1:4:29430995:29434645:1 gene:itb04g24650 transcript:itb04g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G02930) UniProtKB/Swiss-Prot;Acc:Q9ZT91] MASVAFRNPSSRRLLYLSPQIHACCRGSVLAQPSLSESPSQNETPLFSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDEELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGRKAILKLMDAVDAYIPDPVRVLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEDVEILGLMQGNLKSTVTGVEMFKKILDYGQAGDNVGLLLRGLKRDDIQRGMVIAKPGTVKTYKRFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPEDVKMVMPGDNVTAIFELISPVPLEKGQRFALREGGRTVGAGVVSKVIS >itb13g19430.t1 pep chromosome:ASM357664v1:13:26432792:26434118:-1 gene:itb13g19430 transcript:itb13g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKTFTFLLLNLIISAIATEPISLGAPSSKADLQVYMVVTEKPNGDPTTVYVRMLTSLLCSEKAAKKALVYVYKFSMQGFAAKLTHQQAKCLSKYPGVISVEKDQIVSLPPLRDEGN >itb10g12690.t1 pep chromosome:ASM357664v1:10:18746138:18759469:1 gene:itb10g12690 transcript:itb10g12690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEKLIGEEFGPLSPQSSTHSLRHCAEAARIFDELPKATIVSVSRPEASDFSPLHLSYTIELQYKQFKWNLLKKASQVIYLHFALKKRSIIEEFHEKQEQVKEWLHHIGIGEQTTGLLDDDEPDDGVIPLYNEDSVKKMYVPSRAALPIIRPSLCKQQTISDRAKRAMQEYLNHFLGNLDIVNSREVCRFLEVSKLSFLPEYGPKLKENYVMVKHLSVVSEGDDNRCCLCCWPGCCNNNWQKIWAVLKPGFLALLINPFDTKLLDIFVFDALPFVNGKGEDRVNLAEEIKERNPLRYAFKVTCGNRSIKFKTRSSAEVKKWVSMINEAGLKPKDGWCSPQRFGSFSPQRGLTEDGSCIQWFIDGKESFEAIALSIESAKSEIYITGWWLCPELYLRRPFHNHSSSRLDALLEAKANQGVQIYILLYKEVALALKINSSYSKRKLLTIHKNVKVVRYPDRFPAGIYYWSHHEKIVIVDHHICHFGGLDLCFGRYDTPEHKVGDFPSSIWPGKDYYNPRESEPNSWEDAMKDELDRERYPRMPWHDVHFALWGPPCRDIARHFVQRWNHAKRSKAPNEHTIPLLMPQHHMVIPHYMGRSREVDVENKNAEVIENYDSFPSESPPEDVPLLLPPEASDMEASNIDNKLNGLAQIHGSFDQPTVADRPEQTMTNLQVDWWETQERACQFSADEVAEVGPQTNCSCQVVRSVSQWSAGTSHTEDSIHNAYCSLIERAEHFVFIENQFFISGLSGDEIIQNRVLDALYKRILRAHKEKTCFRVIIVIPLLPGYQGALDDGGAATVRALMHWQYRTICRGENSILHNLTALLGPKTSDFISFYGLRTYGRLSDDGPLVTNQVYVHSKVMIVDDRVALVGSSNINDRSLLGSRDSEICVVIEDKCFIDSSMNGKPWKAGKFCYSLRVSLWAEHLGLRSGEICQIKDPIAETTYKDLLMATAKNNEAIYQEVFSCVPNDTIHSRSAFKQCKEKLGHSIVDLGVAAEKVEVCEKGESTVVHKLKSVKGHLVSFPLEFMRDDDLRPMLSNREFYTSPQVFH >itb10g12690.t2 pep chromosome:ASM357664v1:10:18746138:18757498:1 gene:itb10g12690 transcript:itb10g12690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEKLIGEEFGPLSPQSSTHSLRHCAEAARIFDELPKATIVSVSRPEASDFSPLHLSYTIELQYKQFKWNLLKKASQVIYLHFALKKRSIIEEFHEKQEQVKEWLHHIGIGEQTTGLLDDDEPDDGVIPLYNEDSVKKMYVPSRAALPIIRPSLCKQQTISDRAKRAMQEYLNHFLGNLDIVNSREVCRFLEVSKLSFLPEYGPKLKENYVMVKHLSVVSEGDDNRCCLCCWPGCCNNNWQKIWAVLKPGFLALLINPFDTKLLDIFVFDALPFVNGKGEDRVNLAEEIKERNPLRYAFKVTCGNRSIKFKTRSSAEVKKWVSMINEAGLKPKDGWCSPQRFGSFSPQRGLTEDGSCIQWFIDGKESFEAIALSIESAKSEIYITGWWLCPELYLRRPFHNHSSSRLDALLEAKANQGVQIYILLYKEVALALKINSSYSKRKLLTIHKNVKVVRYPDRFPAGIYYWSHHEKIVIVDHHICHFGGLDLCFGRYDTPEHKVGDFPSSIWPGKDYYNPRESEPNSWEDAMKDELDRERYPRMPWHDVHFALWGPPCRDIARHFVQRWNHAKRSKAPNEHTIPLLMPQHHMVIPHYMGRSREVDVENKNAEVIENYDSFPSESPPEDVPLLLPPEASDMEASNIDNKLNGLAQIHGSFDQPTVADRPEQTMTNLQVDWWETQERACQFSADEVAEVGPQTNCSCQVVRSVSQWSAGTSHTEDSIHNAYCSLIERAEHFVFIENQFFISGLSGDEIIQNRVLDALYKRILRAHKEKTCFRVIIVIPLLPGYQGALDDGGAATVRALMHWQYRTICRGENSILHNLTALLGPKTSDFISFYGLRTYGRLSDDGPLVTNQVYVHSKVMIVDDRVALVGSSNINDRSLLGSRDSEVISPSP >itb02g12240.t1 pep chromosome:ASM357664v1:2:8333689:8337033:1 gene:itb02g12240 transcript:itb02g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARECFEGSSANDERRERKSDFEISEDERKTKIGALKKKALSASTRFKHSLKKKSNRRKNGGRCSSVSIEDIRDFEELQAVDEFRQALIVDELLVERHDDYYLMLRFLKARKFDIEKAKNMWADMIQWRKEFGADNIMQEFEFEDLNEVLNYYPHGYHGIDKEGRPIYIERLGKVDPSKLMQVTTLEPKRHIDSSTTILDVQGVGFKNFTKNARELITRLQKIDGDNYPEKLILLYVSLLQVLGNKYQNKLLEVIDASELPDFLGGTCTCAGEGGCLRSDKGPWKNPTLLKMVGEARRCKQVLKVLNSEGKVVYAKPRFPMVKGSDTSAESGSETEDIASPKAVRSFSQLRLTPVREEARVNGDNFSGFDEYVPMVDKAVDSVTLSPPVTQNTPVGLHARILGALMAFFMAVFMLFRSVVSCVTKKLPDASSPRGEFSSAETLKEEFRPPSPTPAYIEAELLTAVLKRLGELEEKVNSIQEKPSEMPNEKEELLMGAVCRVEALEAELISTKKALYEALMRQEELLAFIDRQEAAKQRAVGCRIF >itb01g01100.t1 pep chromosome:ASM357664v1:1:536050:539344:-1 gene:itb01g01100 transcript:itb01g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSGGNGSIWGMGSFYSRDGNSRQRRRRERSSGNGESNAAGSDSVDVTRGAGYRFPAKQAITAGSLAVTGDTLAQLRDRWVKNKDALPQSPQFQDVMGILLSEHDWLRTLRMASYGFLLYGPGTFAWYKYLDHCMPKPTVQNVFTKVLLNQVILGPCVIAVVFAWNNLWLGKLSELPNKYRRDALPTLFFGFRFWIPVSVLNFWVVPLQARVAFMSMASIFWNFWLSATMSK >itb01g01100.t2 pep chromosome:ASM357664v1:1:536050:539344:-1 gene:itb01g01100 transcript:itb01g01100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSGGNGSIWGMGSFYSRDGNSRQRRRRERSSGNGESNAAGSDSVDVTRGAGYRFPAKQAITAGSLAVTGDTLAQLRDRWVKNKDALPQSPQFQDVMGILLSEHDWLRTLRMASYGFLLYGPGTFAWYKYLDHCMPKPTVQNVFTKVLLNQVILGPCVIAVVFAWNNLWLGKLSELPNKYRRDALPTLFFGFRFWIPVSVLNFWYILN >itb08g00530.t1 pep chromosome:ASM357664v1:8:427244:429191:1 gene:itb08g00530 transcript:itb08g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSASLVLPAKLNPEKQTSELLYRTRKRSRNRKPQSFVPMARLFGPAIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDITSKLTLAISQTINNSQLQGWYNRLQRDEVVAEWKKVKGKMSLHVHCHISGGHLLLDLFARLRYYIFCRELPVVLEAFVHGDENLLNNYPELQEASVWVYFHSNIPEFNKVECWGPLKEASSTGGGGGGPHSSKESLASNPDMPQPCPESCDCCFPPMSLIPWSSSSHDLSGPAPPPPPGVGQQS >itb14g03730.t1 pep chromosome:ASM357664v1:14:3357041:3365010:-1 gene:itb14g03730 transcript:itb14g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGMVAGSHKRNELVRIRHDSDSAPKPLKNLDSQICQICGDTVGVTANGDIFVACNECAFPVCRPCYEYERKDGNQVCPQCKTRYKRHKGSPRVDGDDDEDDVDDLNNEFNYGEGNGKARRKWQGEDADLSSSSRHESHQPIPLLTNGQPISGEIPLSATPDTQSVRSMSGPLGPGDKHGHSLPYLDPRQPVPVRIVDPSKDLNSYGLGSVDWKERVEGWKLKQDKNMTQISNRYMEGKGDIEGTGSNGEELQMADDARQPLSRVIPIPSSHLTPYRVVIILRLIILGFFLQYRLTHPVNDAYPLWLVSVICEVWFALSWLLDQFPKWAPINRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEFYFSRKIDYLKDKILPSFVKERRAMKREYDEFKIRVNALVAKAQKMPEEGWTMQDGTSWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLRGLDGLQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGRSGSKSYIDKKRAAKRTESTIPIFNVEDVDEGVEGYDEEKSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPQSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERIAYINTIVYPITSIPLLAYCILPAICLLTGKFIVPEISNYASAWFILLFISIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSFAINSGYQSWGPLFGRLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDSAKASARGQCGINC >itb06g16730.t1 pep chromosome:ASM357664v1:6:20773043:20779788:1 gene:itb06g16730 transcript:itb06g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQRLKQQQQALMQQALLQQQSLYHPGLLAAPPIEPIPSGNLPPGFDPSTCRSVYVGNIHPQVTEPLLQEVFASTGPMEGCKLIRKEKSSYGFIHYFDRRSAGLAIMSLNGRHLFGQPIKVNWAYASGQREDTSSHFNIFVGDLSPEVTDAMLFACFSTYPSCSDARIMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGANANDDKQSSDSKSVVELTNGSSEDGKEAANSEAPENNPQFTTVYVGNLAPEVTQVDLHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNTQSILCGKQIKCSWGNKPTPPGTASNPLPPPAPAPLHGLSATDLLAYERQLAMSKMGGFNALMHPQGQHTLKQASMGMGAAGASQAIYDGGFQNVAAAQQLMYYQ >itb07g11740.t1 pep chromosome:ASM357664v1:7:13245311:13245993:-1 gene:itb07g11740 transcript:itb07g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRSREEVAAEAEALAMANCLVLLSRPASGGAAEKTPPSGWEFECKTCQKKFKSFQALGGHRASHKRPKHLEHNNNNVNIKKRHECPVCGLEFGLGQALGGHMRKHRDDKKSPDLLTVTTLKNEEHSAGIKKKNEGWFELNFTLLENDSTVHRRFVPTTLDLFV >itb05g05000.t1 pep chromosome:ASM357664v1:5:4693277:4696479:-1 gene:itb05g05000 transcript:itb05g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRSALENELHFPVSVDSPIITLPKIIIPALVQALEAPTIKLQVQALVALNKIMQQKDTTPEERRMTFDIFHFIAEEFREQGLRPYAKYVPFLFEASKDTIPNIQQQKDTTPEERRMTFDIFHCIAEEFREQGLRPYAKYIPFLFEASKDTVPDIQQQKDTTPEERRMTFDIFHCIAEEFREQGLRPYAKYIPFLFEASKDTVPDIQQIAARAIGIYVEFDRETFKEHLRGYKLMASPFADKTLD >itb03g17250.t2 pep chromosome:ASM357664v1:3:15920112:15926620:1 gene:itb03g17250 transcript:itb03g17250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTIPPGFESLASMLKKVDNENKTSCSTPASDLHLQRSKVETDCEPLEGVKMKPQRRRRRSEIKYDQVNNISEDESDCEQKISLRPRLPRGAVHGCDDGVNCPKVTAQWRPEGACRPDLQDAPVFYPTEEEFEDTITYIESIRPRAEAYGICRIVPPASWKPPCPLKEKNIWENTKFVTRIQRIDKLQNRDPMTKRIKINHYKKKKRRRYAKADIRTHGEAANDESERFGFETGPEFTLDSFQKYADDFTAQYFRKNEGQWEPSVENIEGEYWRMVSKPTEEIEVLYGADLETGAFSSGFPKQPHQIGSASEMKYINSGWNLNNLPKLPGSVLSYESSDISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADAPKLEAAMRKHLPNLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNSGEFILTFPRAYHAGFNCGFNCAEAVNVAPIDWLPHGQTAIELYSGQSRKTSISHDKLLLGAARDAVKAQWELSLLKKNTSDNMRWKDVCGQDGALFKALKARVEMERVRREFLCNPSKILKMESTFDVDCERECYVCFFDLHLSAAGCHKCSPVKYACLNHAKQLCSCSSGSKFFLFRYDITELNVLVEALEGKLSAIYRWAKLYLGLALSSSVNRENKVPGLMGKLSCSSAGLGTKVVNSIPVVASSPQEKKEEKGEELLKAVNSSYTLQKNKPHGELVSFEKKLSLAVQNSLHRVKETNNSFQKRSEGSSKLLPGKQTQVGQISQECSSSNKTLCTDKPEVKTSIPRDDEVIVLSDDETEKPKITVLGRAKDAPEKCKVGPSYSSLVPISTVSTSNSTISGERINSSYVPCCIKAENAQGETSHALQSHSFHDIVPSNVDANKHVGGPQRADSQMQHLQPCESKPNNEDIPKKMDVDGKSRSMDSAQSLLSPSQNNSDRYFRQKGPRIAKVVRRINCNVEALNYGVIQPGNLWCDTRAIYPKGFRSRTKYIDVLDPTNMCNYISEVLDPGRDGPLFMVSLEHCPSEVFIHVSAANCWKMVQERLNQEIAKQRKLGKTNLPPLVPPGSLDGMEMFGFSSPVIIQVLQAMDRDRVCKEYWKSRPLSQIQGSAPGDNLKLTPKPEVDNEAGKRHLLPTGADAILGGLFKKANTDELQALYSLLNNNPSPSDQGSVARLLSDEIHRRGR >itb03g17250.t1 pep chromosome:ASM357664v1:3:15920112:15926620:1 gene:itb03g17250 transcript:itb03g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTIPPGFESLASMLKKVDNENKTSCSTPASDLHLQRSKVETDCEPLEGVKMKPQRRRRRSEIKYDQVNNISEDESDCEQKISLRPRLPRGAVHGCDDGVNCPKVTAQWRPEGACRPDLQDAPVFYPTEEEFEDTITYIESIRPRAEAYGICRIVPPASWKPPCPLKEKNIWENTKFVTRIQRIDKLQNRDPMTKRIKINHYKKKKRRRYAKADIRTHGEAANDESERFGFETGPEFTLDSFQKYADDFTAQYFRKNEGQWEPSVENIEGEYWRMVSKPTEEIEVLYGADLETGAFSSGFPKQPHQIGSASEMKYINSGWNLNNLPKLPGSVLSYESSDISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADAPKLEAAMRKHLPNLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNSGEFILTFPRAYHAGFNCGFNCAEAVNVAPIDWLPHGQTAIELYSGQSRKTSISHDKLLLGAARDAVKAQWELSLLKKNTSDNMRWKDVCGQDGALFKALKARVEMERVRREFLCNPSKILKMESTFDVDCERECYVCFFDLHLSAAGCHKCSPVKYACLNHAKQLCSCSSGSKFFLFRYDITELNVLVEALEGKLSAIYRWAKLYLGLALSSSVNRENKVPGLMGKLSCSSAGLGTKVVNSIPVVASSPQEKKEEKGEELLKAVNSSYTLQKNKPHGELVSFEKKLSLAVQNSLHRVKETNNSFQKRSEGSSKLLPDKPEVKTSIPRDDEVIVLSDDETEKPKITVLGRAKDAPEKCKVGPSYSSLVPISTVSTSNSTISGERINSSYVPCCIKAENAQGETSHALQSHSFHDIVPSNVDANKHVGGPQRADSQMQHLQPCESKPNNEDIPKKMDVDGKSRSMDSAQSLLSPSQNNSDRYFRQKGPRIAKVVRRINCNVEALNYGVIQPGNLWCDTRAIYPKGFRSRTKYIDVLDPTNMCNYISEVLDPGRDGPLFMVSLEHCPSEVFIHVSAANCWKMVQERLNQEIAKQRKLGKTNLPPLVPPGSLDGMEMFGFSSPVIIQVLQAMDRDRVCKEYWKSRPLSQIQGSAPGDNLKLTPKPEVDNEAGKRHLLPTGADAILGGLFKKANTDELQALYSLLNNNPSPSDQGSVARLLSDEIHRRGR >itb12g10130.t1 pep chromosome:ASM357664v1:12:8097037:8098851:-1 gene:itb12g10130 transcript:itb12g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINFKNSPNASAFSFSTTFVCAIVPQIPTISYGLTFAITPPGGLPGAFPYPYFGLFNETNSYGKANNHVVAVELDTLQNRQFDDIDSNHVGIDINGLKSVLAKSAGYYLGNKFHNFSLGSGEPIQVWVEYDGRVKQMNVTVAPLSVGKPSKPLLSLYINISPDILESVSLGFTSATGEAVSTQYILGWSFKMNGIAQELDPSKLPKLPRVGPKRESRFLVIGVPVIAIFSLVVIVCGVGYYVLRKSKFAELLEDWELKYGPHRFNYKELYFATKGFSDKQILGEGGFGSVYRGVLPNSQGEIAVKKIYHQSTQGMKAFVAEVVGMGRLCHRNLVPLLGYCRRKRELLLVYEYMPNGSLDKYLFDNPIRTLGWNQRFQVIKGVASALFYLHEECEQVVIHRDIKSSNVLLDSAWNGRLGDFGLARLYDDGTNPQSTHVIGTHGYLAPEYIRTGLATPSTDMFAFGAFLLEVVCGRRPVEFKEPNEVVVLVDWVFSWWTRGEILEAVDSKLEENYVANEVDIVLKVGLLCSLLDTEFRPSIRQVVQYLEGSIALPDLSLLTLSMVGLTISRREGLDYFVSSLSSSEGNIDSHCSSITNSIISEGR >itb08g05360.t1 pep chromosome:ASM357664v1:8:4417644:4418396:-1 gene:itb08g05360 transcript:itb08g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSSIIILAFLLSTTLAFAVRPLDHPTQLNVQGVGAEIEEEKCGGLDEDECLKRRTLNAHLDYIYTQNAHP >itb06g11920.t1 pep chromosome:ASM357664v1:6:16463630:16466907:-1 gene:itb06g11920 transcript:itb06g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGAPISTTALSFTGCRSHRLSTPSWAKFTISSFYRRRWRSYPTGTNPSHQFFCSMSTSSAGSPSPIPPEKPKPQPWLVVGLGNPGKLYNGTRHNVGFEMVDAIAEAEGISMSSVSFKALFGKGFIGDVPVMLAKPQTFMNASGESVGAIVSFYKIPLKQVLVIFDDMDLPFAKLRLLPKGGHGGHNGMRSIIDHFKGSRDFPRLRIGIGRPPGKMIPSSFVLRPFNKEERTELDFTLQNGVEAVRILVLEGFDKSATLVNSAKPLQQFS >itb01g01650.t1 pep chromosome:ASM357664v1:1:967357:971723:-1 gene:itb01g01650 transcript:itb01g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINISCPSTALSCSQASKNFNLLNGGTPHSTKGFPSRSFIWLNRKAPFSIKRDSTTRSVLLSGQGDSLSHSNGAIVNDLSSGIETQADALAFGKLVADIIPTASGFPAEVDEFDLDQPTEGFSSIPDAIEDIRQGKMVLVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMVEEDLERLQIPLMVNHKDNEEKLCTAFTVSVDAKHGTTTGVSARDRATTILALASKDSKPEDFNRPGHIFPLKYRKGGVLKRAGHTEASMDLTMLAGLEPVGVLCEVVDDDGSMARLPKLRQFAQTNEIKIISIADLIRYRRKRDKLVEHSSAARIPTMWGPFTAHCYRSVLDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYVGLKGYGLSVAGRVPLLSPITKDNKKYLETKRAKMGHIYGLNFKELIHNPTKTDSKTNIKNAPNVPDS >itb04g33770.t1 pep chromosome:ASM357664v1:4:35917649:35918347:-1 gene:itb04g33770 transcript:itb04g33770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQLIGSIPNSIGFLNQLKSLDLPKNMLSGHIPSSLQNCTHLLKIDFGENGFTGKIPRWLGTRLSYLTVLRLQSNKFYGELPPEFCLLTSLHILDLSNNNFFGAIPSCFKNLTAMIIEKEIQDADFQMWYSFYTWAAFGESALVTTKGHEYEFSTTILLLFAGMDLSSNKFTGKITIELMSLVRLRSSNLSRNNLTGNIPMEMINMKLLESLDLSRNQLSGKIPSSISSL >itb06g18100.t3 pep chromosome:ASM357664v1:6:21846163:21849550:-1 gene:itb06g18100 transcript:itb06g18100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSLKLNAVAASIHCSPKPHNRLRPLTSAADAADKLKFPAVPTTRAWWRRRITTIPPLSRDRIFRDHFVLGFRIRKYPSHLATARSISAAHMGSDPHNKDGQRLNASSPSPINPRHDKPSQRPHKSAKLLTLPTILTIGRVVAVPLLVSSFYVDSWWGPAATTGIFIAAAFTDWLDGYIARKLNLGTAFGAFLDPVADKLMVAATLVLLCTRPLEASVLGQFPWLLAVPSIAIIGREVSLFFVFLFTFLLSFPAYYQLSK >itb06g18100.t2 pep chromosome:ASM357664v1:6:21844625:21849550:-1 gene:itb06g18100 transcript:itb06g18100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSLKLNAVAASIHCSPKPHNRLRPLTSAADAADKLKFPAVPTTRAWWRRRITTIPPLSRDRIFRDHFVLGFRIRKYPSHLATARSISAAHMGSDPHNKDGQRLNASSPSPINPRHDKPSQRPHKSAKLLTLPTILTIGRVVAVPLLVSSFYVDSWWGPAATTGIFIAAAFTDWLDGYIARKLNLGTAFGAFLDPVADKLMVAATLVLLCTRPLEASVLGQFPWLLAVPSIAIIDYNVCS >itb06g18100.t1 pep chromosome:ASM357664v1:6:21844625:21849550:-1 gene:itb06g18100 transcript:itb06g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSLKLNAVAASIHCSPKPHNRLRPLTSAADAADKLKFPAVPTTRAWWRRRITTIPPLSRDRIFRDHFVLGFRIRKYPSHLATARSISAAHMGSDPHNKDGQRLNASSPSPINPRHDKPSQRPHKSAKLLTLPTILTIGRVVAVPLLVSSFYVDSWWGPAATTGIFIAAAFTDWLDGYIARKLNLGTAFGAFLDPVADKLMVAATLVLLCTRPLEASVLGQFPWLLAVPSIAIIGREITMSAVREWAASQGSKLSEAVAVNSLGKWKTATQMTALTILLATRDSSLAESGTLAGSGVVLLYISAWLAVWSLTVYMKKIWKVLLL >itb10g11780.t1 pep chromosome:ASM357664v1:10:17420494:17421025:1 gene:itb10g11780 transcript:itb10g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVESDPEAALEGFAEVVRMEPEKADWGFKALKQTLVRTSASSKSSTKQRTLKALEESKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQKAKN >itb05g18450.t1 pep chromosome:ASM357664v1:5:25238785:25239851:-1 gene:itb05g18450 transcript:itb05g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGEKMEMEGEVIGPCCSEKRKNERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLVSDGAGLSDLSAASIRKRAVEVGARVDAIQTSLGGRDHRPYKPRDGERASPCATQLKPCWFQEKPDLNEKPEPEDPDSGDYW >itb11g10900.t2 pep chromosome:ASM357664v1:11:7765217:7767778:-1 gene:itb11g10900 transcript:itb11g10900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPPPSKLKPFSVVRPFRRQTKCPVLSNAVKYESPNKFSPKLGLQFCCDKEKGRIGGRAFPIVMAAAAKDSGFGKILFSDVEVKRPRNVFSGRRWNSLDVATAAVLAVMHVLCLFAPFTFSWGAFWAAFGLYVITGLLGITLSFHRNLSHRSFKLPKWLEYFCAYCGVQALQGNPIDWVSTHRYHHQFCDTERDPHSPYEGFWFSHMNWLFDTNAVVEKCGRPANVGDLEKQPFYKFIEKTYIIHPILLAALLYAVGGFPYIVWGMGVRIVWVNHITWFVNSVCHVWGSQPWNTGDLSRNNWYAYICSFSVSS >itb11g10900.t1 pep chromosome:ASM357664v1:11:7764724:7767850:-1 gene:itb11g10900 transcript:itb11g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPPPSKLKPFSVVRPFRRQTKCPVLSNAVKYESPNKFSPKLGLQFCCDKEKGRIGGRAFPIVMAAAAKDSGFGKILFSDVEVKRPRNVFSGRRWNSLDVATAAVLAVMHVLCLFAPFTFSWGAFWAAFGLYVITGLLGITLSFHRNLSHRSFKLPKWLEYFCAYCGVQALQGNPIDWVSTHRYHHQFCDTERDPHSPYEGFWFSHMNWLFDTNAVVEKCGRPANVGDLEKQPFYKFIEKTYIIHPILLAALLYAVGGFPYIVWGMGVRIVWVNHITWFVNSVCHVWGSQPWNTGDLSRNNWWVAILGFGEGWHNNHHAFEYSARHGLEWWQVDMTWYVVKAMEAVGLASDIKLPTEVHMRKLAYPQQS >itb02g13030.t1 pep chromosome:ASM357664v1:2:9042314:9046621:-1 gene:itb02g13030 transcript:itb02g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVAEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENILKKWIPELQHFAPGTPVVLVGTKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPPQKQSEKKKPRRGCLV >itb11g01490.t3 pep chromosome:ASM357664v1:11:730529:734680:1 gene:itb11g01490 transcript:itb11g01490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGGGGSFVAVRRISQSLDRSNTCHSSSEVVAGSAAWLGRGLSCVCAQRRESDARLSFDLTPSQEECLQRLQGRIDIAYDSSLPEHQEALKALWNAAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQDLLRKQEGDRAMWEYPFAVAGVNITFMLTQMLDLEAGMSLSLSLF >itb11g01490.t1 pep chromosome:ASM357664v1:11:730529:734680:1 gene:itb11g01490 transcript:itb11g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGGGGSFVAVRRISQSLDRSNTCHSSSEVVAGSAAWLGRGLSCVCAQRRESDARLSFDLTPSQEECLQRLQGRIDIAYDSSLPEHQEALKALWNAAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQDLLRKQEGDRAMWEYPFAVAGVNITFMLTQMLDLEAVKPRNLVGAAFLKFLAENESAFDLLYCIAFKLMDHQWLVMRASYMDFNTVMKATRRQLESELLQDDLTRLEDLPSYNLLS >itb11g01490.t2 pep chromosome:ASM357664v1:11:730529:734680:1 gene:itb11g01490 transcript:itb11g01490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGGGGSFVAVRRISQSLDRSNTCHSSSEVVAGSAAWLGRGLSCVCAQRRESDARLSFDLTPSQEECLQRLQGRIDIAYDSSLPEHQEALKALWNAAFPEEELRGLISEQWKDMGWQGKDPSTDFRGGGFISLENLLYFARNFPKSFQDLLRKQEGDRAMWEYPFAVAGVNITFMLTQMLDLEAVR >itb07g18910.t1 pep chromosome:ASM357664v1:7:23315628:23319345:-1 gene:itb07g18910 transcript:itb07g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILSEYTEFTGNFTGIASQCLQKLPATNNRFTYNCDGHTFNFLVEDGFTYCVVAVESVGRQVPVAFLERIKEEFTKKYGGGKAATAVANSLNREFGPKMKDQMQYCVDHPEEISKIAKVKAQVSEVKGVMMENIEKVLDRGEKIEILVDKTENLRSQAQDFRTQGTQMRRKMWWQNMKIKLIVLGIIIALILIIVLSVCGGFNC >itb13g22250.t3 pep chromosome:ASM357664v1:13:28578331:28585046:-1 gene:itb13g22250 transcript:itb13g22250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPYANRQHHHHHHHHHHRAYGGGGGGPSPMEMTATRQPPQLSQSQLQISDNDRSSSELRALDCNLTSLCDHIQLEGFNNGSFSDIVVQAMGSTYHLHRLILSRSSYFRNMLQGPWKEASAPVLTLNVDDNNVNGEAIELALAYLYGHHPKLSDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLTYQVFAESQDYGIHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYSLLARGAMCNTVHHERGSCSSCTDAGTSTYSDASRVKAKNLRDGGTDKLMESELRNLSLKDGQESHNTAHNILVELADCVVDSVTEVHNKQPLQEAVCIQTNLESRYGCNIGQASSSNSFLYTGEVGSSCSFIEMPISGGASRLGSNGMSMEGPSEEDPCYQLNNTSWLSADQSNCAPMSASGDVLIPSDWGRCNMPSLSWGGRTVGRREVKTCLNGHCGINRDDYDAFVNIFEGGSLLYCNMSFEALLTVRKKLEELGFPCKAVNDGPWLQMLLSQRVQEIGADTCKSCCLVSMACACRQPFGYSRNVAATGYYMTEHDQGNPPTNAGNVYVNDSVHREGNGLFRPVRVHVRGPIDGLAGIGRGNTFVPAAAWPPTRFVFSRVPYGMGNRNCQQSVGNDDPENRGDHNADLAGDGLTALVGLSQEGSNMATICGEQLERGYDVDLQSRMVGSSISVPNVSSSISSQMLDSGRTVGIEWENDNSSISLDMKTPLSHFPPFRFGVEFQDVLRLNDGQVKHSPEFFYAGSLWKVSVQAFSDEDPQGRRTLGLFLHRRKAEITDPARKVHVYVDSREKVTARYQVNHHINVLFPYI >itb13g22250.t1 pep chromosome:ASM357664v1:13:28577785:28585064:-1 gene:itb13g22250 transcript:itb13g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPYANRQHHHHHHHHHHRAYGGGGGGPSPMEMTATRQPPQLSQSQLQISDNDRSSSELRALDCNLTSLCDHIQLEGFNNGSFSDIVVQAMGSTYHLHRLILSRSSYFRNMLQGPWKEASAPVLTLNVDDNNVNGEAIELALAYLYGHHPKLSDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLTYQVFAESQDYGIHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYSLLARGAMCNTVHHERGSCSSCTDAGTSTYSDASRVKAKNLRDGGTDKLMESELRNLSLKDGQESHNTAHNILVELADCVVDSVTEVHNKQPLQEAVCIQTNLESRYGCNIGQASSSNSFLYTGEVGSSCSFIEMPISGGASRLGSNGMSMEGPSEEDPCYQLNNTSWLSADQSNCAPMSASGDVLIPSDWGRCNMPSLSWGGRTVGRREVKTCLNGHCGINRDDYDAFVNIFEGGSLLYCNMSFEALLTVRKKLEELGFPCKAVNDGPWLQMLLSQRVQEIGADTCKSCCLVSMACACRQPFGYSRNVAATGYYMTEHDQGNPPTNAGNVYVNDSVHREGNGLFRPVRVHVRGPIDGLAGIGRGNTFVPAAAWPPTRFVFSRVPYGMGNRNCQQSVGNDDPENRGDHNADLAGDGLTALVGLSQEGSNMATICGEQLERGYDVDLQSRMVGSSISVPNVSSSISSQMLDSGRTVGIEWENDNSSISLDMKTPLSHFPPFRFGVEFQDVLRLNDGQVKHSPEFFYAGSLWKVSVQAFSDEDPQGRRTLGLFLHRRKAEITDPARKVHVYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRSALLFDELADLLQNGALRVAAVVQLI >itb13g22250.t2 pep chromosome:ASM357664v1:13:28577785:28585064:-1 gene:itb13g22250 transcript:itb13g22250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPYANRQHHHHHHHHHHRAYGGGGGGPSPMEMTATRQPPQLSQSQLQISDNDRSSSELRALDCNLTSLCDHIQLEGFNNGSFSDIVVQAMGSTYHLHRLILSRSSYFRNMLQGPWKEASAPVLTLNVDDNNVNGEAIELALAYLYGHHPKLSDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLTYQVFAESQDYGIHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYSLLARGAMCNTVHHERGSCSSCTDAGTSTYSDASRVKAKNLRDGGTDKLMESELRNLSLKDGQESHNTAHNILVELADCVVDSVTEVHNKQPLQEAVCIQTNLESRYGCNIGQASSSNSFLYTGEVGSSCSFIEMPISGGASRLGSNGMSMEGPSEEDPCYQLNNTSWLSADQSNCAPMSASGDVLIPSDWGRCNMPSLSWGGRTVGRREVKTCLNGHCGINRDDYDAFVNIFEGGSLLYCNMSFEALLTVRKKLEELGFPCKAVNDGPWLQMLLSQRVQEIGADTCKSCCLVSMACACRQPFGYSRNVAATGYYMTEHDQGNPPTNAGNVYVNDSVHREGNGLFRPVRVHVRGPIDGLAGIGRGNTFVPAAAWPPTRFVFSRVPYGMGNRNCQQSVGNDDPENRGDHNADLAGDGLTALVGLSQEGSNMATICGEQLERGYDVDLQSRMVGSSISVPNVSSSISSQMLDSGRTVGIEWENDNSSISLDMKTPLSHFPPFRFGVEFQDVLRLNDGQVKHSPEFFYAGSLWKVSVQAFSDEDPQGRRTLGLFLHRRKAEITDPARKVHVYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRSALLFDELADLLQNGALRVAAVVQLI >itb13g22250.t4 pep chromosome:ASM357664v1:13:28577785:28582182:-1 gene:itb13g22250 transcript:itb13g22250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTVHHERGSCSSCTDAGTSTYSDASRVKAKNLRDGGTDKLMESELRNLSLKDGQESHNTAHNILVELADCVVDSVTEVHNKQPLQEAVCIQTNLESRYGCNIGQASSSNSFLYTGEVGSSCSFIEMPISGGASRLGSNGMSMEGPSEEDPCYQLNNTSWLSADQSNCAPMSASGDVLIPSDWGRCNMPSLSWGGRTVGRREVKTCLNGHCGINRDDYDAFVNIFEGGSLLYCNMSFEALLTVRKKLEELGFPCKAVNDGPWLQMLLSQRVQEIGADTCKSCCLVSMACACRQPFGYSRNVAATGYYMTEHDQGNPPTNAGNVYVNDSVHREGNGLFRPVRVHVRGPIDGLAGIGRGNTFVPAAAWPPTRFVFSRVPYGMGNRNCQQSVGNDDPENRGDHNADLAGDGLTALVGLSQEGSNMATICGEQLERGYDVDLQSRMVGSSISVPNVSSSISSQMLDSGRTVGIEWENDNSSISLDMKTPLSHFPPFRFGVEFQDVLRLNDGQVKHSPEFFYAGSLWKVSVQAFSDEDPQGRRTLGLFLHRRKAEITDPARKVHVYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRSALLFDELADLLQNGALRVAAVVQLI >itb01g30390.t2 pep chromosome:ASM357664v1:1:34432953:34435133:1 gene:itb01g30390 transcript:itb01g30390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFKKIRLNGSRIEELQKLLSFLASNWGDVLDVNSLKVVHLSGAMTNLVYKISWPTKKENVCRMVLLRVYGEGVEILFSRDDEIRTFEYVSKQGFGPQLLGQFPWGRVEEFIDVRTLSASDLRDPEISSLIAMKLREFHNIGMPCPTKAGLWDRLRDWLGKAKTLCSAEDIKEFRLNDLEKEIETLEKELSRDSQMIGFCHNDLQYGNMMFDEKTRSITIIDYEYASYNPIAYDFANHFCEMTADYHTETPHVLDYTKYPGVEERRRFVHSYLSSAGHEPRDSEVKQLVDDAEKYILPNHLFWGLWGIISVCSFP >itb01g30390.t1 pep chromosome:ASM357664v1:1:34432951:34435497:1 gene:itb01g30390 transcript:itb01g30390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFKKIRLNGSRIEELQKLLSFLASNWGDVLDVNSLKVVHLSGAMTNLVYKISWPTKKENVCRMVLLRVYGEGVEILFSRDDEIRTFEYVSKQGFGPQLLGQFPWGRVEEFIDVRTLSASDLRDPEISSLIAMKLREFHNIGMPCPTKAGLWDRLRDWLGKAKTLCSAEDIKEFRLNDLEKEIETLEKELSRDSQMIGFCHNDLQYGNMMFDEKTRSITIIDYEYASYNPIAYDFANHFCEMTADYHTETPHVLDYTKYPGVEERRRFVHSYLSSAGHEPRDSEVKQLVDDAEKYILPNHLFWGLWGIISGYVNSIDFDYKEYARQRFCQYWLKKPEFII >itb01g30390.t3 pep chromosome:ASM357664v1:1:34432951:34434707:1 gene:itb01g30390 transcript:itb01g30390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFKKIRLNGSRIEELQKLLSFLASNWGDVLDVNSLKVVHLSGAMTNLVYKISWPTKKENVCRMVLLRVYGEGVEILFSRDDEIRTFEYVSKQGFGPQLLGQFPWGRVEEFIDVRTLSASDLRDPEISSLIAMKLREFHNIGMPCPTKAGLWDRLRDWLGKAKTLCSAEDIKEFRLNDLEKEIETLEKELSRDSQMIGFCHNDLQYGNMMFDEKTRSITIIDYEYASYNPIAYDFANHFCEMTADYHTETPHVLDYTKYPGK >itb13g23560.t1 pep chromosome:ASM357664v1:13:29516843:29518927:-1 gene:itb13g23560 transcript:itb13g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGKLSLLQSSANNVSILVMVMMMMMISCSSEKGIASEAHHYQTLPLSSMLPPFVCNSTTSKGPSRKASMRVVHRFGPCSARTQDSPTMTELLRYDESRVNSINARVKSLISLANNTKGDPEKTEMSESKAVNLPAGHESPGGVGNYVVTVGLGTPKKDLDLAFDTGSHITWTQCKPCAAKCYQQRAPIFDPAASTTYSNVTCSSAACSGLTSATNYPPRCTPPNTCVYIATYGDRTFSVGELAKDTLTLSSTEAVDGFIFGCGQNNQLFYGENAGLMGLGRDPLSIVSQTSQQYGNYFSYCLPTKTNTDGHLTLGNSVGTKNVKFTPLLSSQGTGETGLYFIDVLAISVAGRDLKINPAVFKSGKTIIDSGTVTTRLPPTAYTALRDAFREEMTMFPKVETNTSFDTCYDFSKYRNPTIPKISFTFGGNAVVDLDPAGVMTPLDESGSLVCLAFFGNKDDGELGIFGNLQQQTLEVVYDVAGGKLGFASGGCS >itb09g26850.t1 pep chromosome:ASM357664v1:9:27463831:27464515:-1 gene:itb09g26850 transcript:itb09g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMIRILHAKQGLRRSSSRTNREFEVPKGHFAVYVGESEKKRFVIPVSYLKDPSFQDLLSQAEEEFGFDHQMGGLTIPCPEDTFLDIISSSSRS >itb02g16590.t1 pep chromosome:ASM357664v1:2:12511030:12514934:1 gene:itb02g16590 transcript:itb02g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLEKHFAFYGAYHSNPVNVLIHVVFVWPIFFTALIPLYFTSPIFKTSPIQLCDHTILVLNYGFLLTLVYGVFYVALDNKAGSLAALLCFLCWVFSSSLAHNLGFSLAWKVALVAQLICWTSQFIGHGMFEKRAPALLDNLTQALLMAPFFVLLEVLQSFFGYEPYPGFHAKVKAKIDADIKEWKEKKIS >itb10g23290.t1 pep chromosome:ASM357664v1:10:27723745:27725394:-1 gene:itb10g23290 transcript:itb10g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLCRFLKIRAFLFFLLVTLSCSLSFKIAHIDQKDANKQIEVAGHAYITDQGIQLTPYDRNRALNGKAGRAKYAEPLHLWDKATGNLADFSTHFTFNIDSDGNSSYADGLAFFLANFTTQFNESIIPGGSGLGLMDPTLIKSSDQERFVAVAFDTYSNQKDIPMKNVSINVESMRVSAISTAWINNITQGKDNNASITYNATSKILPVFFTGFSNGHYQTGSLSHEIDLRDYLPEFVSIGFSGATGMFFEKNTVSSWQFNSTLLSSNSANNVSVWPATQNHTISSLVNQEGKNKKKDLEIAGFCIAVLTLFAVLSLVIYKCFKKIKTAKGDDNPNTNGRAMGDTHQSSLVGEMDGVFQKAGTGAKKFSYSELATATNSFSEEQKLGEGGFGGVYLGFLRDLNLEVAVKRVSSQSKQGIEQYASEVKIISRLRHRNLVPLHGWCHEKGELLLVYEYMPGGSLDSHLFKRKSPLNWKLRYRIAQGLASALSYLHEEWEQCVLHRDIKSSNVLLDSSFNARLGDFGLASLIDHEKAPEKTYMGGTPGYV >itb04g25680.t1 pep chromosome:ASM357664v1:4:30224302:30229616:1 gene:itb04g25680 transcript:itb04g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARSWFQKFQSRDRLRASIKKKDSMGSGVEDSKHISAEEASSITKQKVAAAKQYIENHYKEQMRILKERKERRILLEQKLADADVSEEDQSNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRICRENTTGHVYAMKKLKKAEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDQEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFKVGENLGGASKGYDQTAAPKRTQQEKLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLSPEAKDLISKLLCNVSRRIGSKGADEIKVHPWFEGIDWDRIYQMTAAFIPEVNDELDTQNFEKFEDSDSQSVASSKSGPWRKMLPSKDVNFVGYTYKNFEIVNDYEVPGMAELKKKSTKPKRPTIKSLFEDESETSETSSQQSQGSVMDLLPPQLEHSESQNKYR >itb04g25680.t2 pep chromosome:ASM357664v1:4:30224302:30229616:1 gene:itb04g25680 transcript:itb04g25680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARSWFQKFQSRDRLRASIKKKDSMGSGVEDSKHISAEEASSITKQKVAAAKQYIENHYKEQMRILKERKERRILLEQKLADADVSEEDQSNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRICRENTTGHVYAMKKLKKAEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDQEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFKVGENLGGASKGYDQTAAPKRTQQEKLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEARLSPEAKDLISKLLCNVSRRIGSKGADEIKVHPWFEGIDWDRIYQMTAAFIPEVNDELDTQNFEKFEDVVASSFLSYASRFVWLFLVFFFQLDNLFVFHIFLTSVRFPKCCFIKIGPMEKDAAI >itb01g10160.t1 pep chromosome:ASM357664v1:1:8448686:8454370:1 gene:itb01g10160 transcript:itb01g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGGTHDKCLVWAKVHSTGVWGTEYHFLTYGLPRLFLQLCIMFGLTQALHLLFLKRFRLPRIVSELLAGMILGPTVMGQFDWNVNNMFELYEGYYVSLLSKMGYVLFIFLSGVKMDPGMVPRSGAKAWCIGIPSVLLPYAVLKYLSRKVLTQELIHRYRHSAIQNTLGIQSVYSFPVTAVLLVDLKIINTELGRLALATGLICDLLSNLVSTIIANVSVGVMSAMPLVSVHSFALSAGLIVFLVVTVRPFSAMIIKRTPEGAPVDTFYVCFMCFAAFFATVLADNVGMNFQYGPFILGLLVPDGPPLGSALVDKLDTIVTGLFAPLLVTYSGTKVDLLNVFDLHFVGLVWITVTVCYVMKYVSIFGPALVCRVPLKDAASLAFILSTQGVVQISFYLNGFVNQMYDTETFSMLTMTALITAAGSHIVVGSLHDYSRAYSGYQRRDIEHQSKGSELRLVTCFHRLEDAVAARKLLEVSFPSKETPLSVYSLHLVELVGRVTPLLIDHQLGQMKTPSSPAANSRSHKIMATLRSFAQDSNGFVALNFFTAISLPKFMHHDVSALAFDKLASIIILPFHRKWNHLGRLIVDSSSMRLMNSTLLDLAPCSVGILIDREKTRMRRGSMSSSYHVAVIFMGGADDREALCYAKRMSNTPWVQLTVVHFVPADHDAERKQWDAVLDSEVLKEVRHLAECDDTKVCYKEEIVRDGAETAHTVREMEHVFDLILVGRRHEPNLPQLFGLSQWSDIAELGPIGDMLAIEHITSPVSVLVVQQQYVKNK >itb12g00740.t1 pep chromosome:ASM357664v1:12:527440:530900:1 gene:itb12g00740 transcript:itb12g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSAATASAVGAAATPDKVMEPIHGVKNQKAFHENAALRKSFSERSNSATIEGECNVDDQPMARPPPTKTTDLRFFVATWNVGGKSPSHGLNLEDFLQVESASDIYILGFQEIVPLNAGNVLVIEDNEPAARWLGFISQALNKAYHESMDSNNLTACSKDSRSTNFFHKPSLKVLSRNLRANNALLKACNCHLDCPRRLRKLADSHPPTCLPVRAATTAAAAADVDGFSPAVEIPGSVDLDYNLIASKQMVGLFLSIWARRELVPHIAHLRISCVGTGIMGCLGNKVLSFDNIYVGRLTRSYPLMLFCLLQGCISISISVHRTHFCFVCCHLASGEKEGDELRRNADVTEILKSIQFPRICKNPDRAFPERILDHDRIIWLGDLNYRISLSYEETKKLLENNNWDPLLEKDQLNMERRAGRVFIGWKEGKIMFAPTYKYTLNSDSYAGDTVKSKKKRRTPAWCDRILWRGNGMEQLQYIRGESRFSDHRPVCAVFTISVDMFNKSSRYRKGFSCTGSALDYQENPPKRHSSCFYEF >itb15g06010.t1 pep chromosome:ASM357664v1:15:3940007:3944807:-1 gene:itb15g06010 transcript:itb15g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGGNSDAQRGVSSSTSTSAHSRRYGMQFSASNLFRSPVSSLLEYAGLLRTRSSQSETDSLINHGSSVGYREHFQSRPDDSGASTARLGNAEEVSIRIIGAGEQEQDRVGTVLPTPMVGPLRELSGQNEVFVQPISRSGSASSMASAFESQTDLRSDRGGEGFNQTTNGSPEMGASDGVGASNRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIFLAAVMFKSNDIVRKQTALKGERKISVLAGVSILFTVHVVGFYWWFQKDELLYPLVMLPPKAIPPFWHAIFIIMVNDTLVRQAAMVPKCFLLIYYKNSKGRNYRKQGQMLTLVEYLVLLYRVLLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFSALKALSRREIHYGAYATSEQVIAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLKSFGDGSTSLFFQLF >itb10g15060.t1 pep chromosome:ASM357664v1:10:21363021:21363506:1 gene:itb10g15060 transcript:itb10g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVVVALLALLFITSALFAEARDYPKYEQEITRPERDEEEKIGVVVDGEEMSRRRCHRRHLRISSRRCRSPFPLVPSPPPPFFHFHAPPPAIIFPPPLDLYPPPRRPVFPRPPWLAPPNLPPLVPIYSDPPPPFFRFSPPIPGFQRPYFSTPASPLVLVP >itb01g26930.t1 pep chromosome:ASM357664v1:1:31950229:31954155:1 gene:itb01g26930 transcript:itb01g26930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVAVVPQPKTLSLSALPSSRSDFNGTKLAVSSVQYKRKVWQPKGALQISASAKKILIMGGTRFIGIFLSRLLVKEGHQVTLFTRGKAPIAQQLPGESDSDFADFSSKILHLKGDRMDFDFVKSSLAAKGFDVVYDINGREAVEVEPILDALPNLEQYIYCSSAGVYLKTDYLPHYETDAVDPKSRHKGKLETESLLTSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPNSGMQVTQLGHVKDLATAFIKVLGNEKASKQVFNISGDKYVTFDGLAKACAKAGGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASIEKAKSVLGWKPEFDLVEGLTDSYSLDFGRGTFRKAADFSTDDLILGKSLVLQS >itb14g03120.t1 pep chromosome:ASM357664v1:14:2788745:2789248:-1 gene:itb14g03120 transcript:itb14g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASHAFIFIILIAICTYIFKKMLRMFPHSAILKYVVLAASQLKLGWDCLLIQSFCQTPPYKFNVDGTGMSPELGGRVFGGQSEEVECAVCLCKIEEGEEVRDLRCNHIFHRDCLDRWLATGRNSCPLCRTQVKSTGPRLFDDRYQEVIEFDFFSGRRDGCTWWLR >itb13g01220.t3 pep chromosome:ASM357664v1:13:1185817:1187077:1 gene:itb13g01220 transcript:itb13g01220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLPGVECARRRRLHQSNRVLLDSPSSPSAYFSSTRKSFFCLYTSNNSSPSMGSGLTRAYHDEMMGEVAREAKQRLDEKLRARWKPDHKR >itb13g01220.t4 pep chromosome:ASM357664v1:13:1185817:1186974:1 gene:itb13g01220 transcript:itb13g01220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLPGVECARRRRLHQSNRVLLDSPSSPSAYFSSTRKSFFCLYTSNNSSPSMQGSGLTRAYHDEMMGEVAREAKQRLDEKLRARWKPDHKR >itb13g01220.t1 pep chromosome:ASM357664v1:13:1185817:1187077:1 gene:itb13g01220 transcript:itb13g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLPGVECARRRRLHQSNRVLLDSPSSPSAYFSSTRKSFFCLYTSNNSSPSMQGSGLTRAYHDEMMGEVAREAKQRLDEKLRARWKPDHKRNGNRPEMGILKRVDLGLKNTGLNKLCCVTNSGLQGLRNLEK >itb13g01220.t2 pep chromosome:ASM357664v1:13:1185817:1186906:1 gene:itb13g01220 transcript:itb13g01220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLPGVECARRRRLHQSNRVLLDSPSSPSAYFSSTRKSFFCLYTSNNSSPSMGSGLTRAYHDEMMGEVAREAKQRLDEKLRARWKPDHKRNGNRPEMGILKRVDLGLKNTGLNKLCCVTNSGLQGLRNLEK >itb09g03040.t1 pep chromosome:ASM357664v1:9:1717137:1721953:1 gene:itb09g03040 transcript:itb09g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEMSNNHPASRPVDSFPSPALSLSLAGIFRDAAAVRAGNAADAETAEEGSARGRREETVEISSENYGPARSRSDDDFDGDREHDDNYNDEDDDNAKKKKRKKYHRHTAEQIREMEAALLFHVSSLASVVSTGDFNKDFFVIWAAPGHVNTSADGRSTSLTLDTVSSSAMSTKDKYLFGQFVMKMKLVPGDSAGTVSAFYLISDPYASRDEMDFEFLGNVAGEPYSLQTNVFTNGYGQREQRIKLWFDPTQDFHTYSILWNIYHIVFFVDDVPIRTYRNHADQGVAYPMEQPTSAQICIWDGSSWAPVKIDWSKAPFIASFKDYTIDACVWKGDPSDECRADGPSNWWNQDRFSTLTPQQIEQYQWVMKDQITYDYCTDNQRFNGSIPLECSLPKY >itb03g27200.t1 pep chromosome:ASM357664v1:3:26949508:26949975:-1 gene:itb03g27200 transcript:itb03g27200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENSEGDAESSGLCVPSDSLDDLDFFPNFSDDFISITDLLFSTPEKATVQDESQNEKRKRCYKPRSKTGEGRSRRYSNPNGCGWKKRKCSHCETEDTPQWRMGPMGPNTLCNACGVRYKSGRLVPQYRPLASPSFDSSKHSNFHRKIMQHRRV >itb09g21030.t1 pep chromosome:ASM357664v1:9:18203064:18207780:-1 gene:itb09g21030 transcript:itb09g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDEEVSRIYKGSNFTGGCLEIRLFYVRIAPCTVDAVPNHLILRHLRREMGVWLEMNGSRLPSSDAASITLRLDRADKDSSEVTYVSTDNVRVSGPVEFEVQEMKDVLILCGSLERVETPWNNGNGSENSSRTGWSMDCYTAASLVSGGSSFCNPKLGFCSPSIEVYIAGCCSGVPVILTKTIQVSPRKKVLRQGMLDAIPEDEEIWKDQRSVNGLVLQRKTPIAEADMDDYGLDEKAVHGSYYDGMYPGEDGQLSWFNAGLRVGVGIGLGMCVGVGIGVGLLMRSYQATTRNLRRRFF >itb09g21030.t2 pep chromosome:ASM357664v1:9:18203064:18207780:-1 gene:itb09g21030 transcript:itb09g21030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDEEVSRIYKGSNFTGGCLEIRLFYVRIAPCTVDAVPNHLILRHLRREMGVWLEMNGSRLPSSDAASITLRLDRADKDSSEVTYVSTDNVRVSGPVEFEVQEMKDVLILCGSLERVETPWNNGNGSENSSRTGWSMDCYTAASLVSGGSSFCNPKLGFCSPSIEVYIAGCCSGVPVILTKTIQVSPRKKVLRQGMLDAIPEDEEIWKDQRSVNGLVLQHSRGRYG >itb11g08200.t2 pep chromosome:ASM357664v1:11:5315646:5318722:-1 gene:itb11g08200 transcript:itb11g08200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFQYAYFTSGPETIRIKIPMTSNSFEPEVEVVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLREGLDDDLDDDLDDDVDDDVDDDVDDDVDDDDYQYVDVVHMFDPTKFDKIPFENIEQLEKLTCEHMVFPSVIRAEDRIYLLSERNFFGYRLIRDAFHFQYFDPNKNLFETLPPPPVLIDREIYTNHLAVRCSFFLRDYIYVLITDTGTFCQPSKFNTTNSKWEDCPSMVDKFEEKNIPFPFKHAGDMGISDKLVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTPDFDMDCLASDWKQLADMGGGRFCVMYCALAEDILIYGFNIDFELEHTIQRNETENSSSYIIFKMKFSHHDPISLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb11g08200.t1 pep chromosome:ASM357664v1:11:5315646:5318722:-1 gene:itb11g08200 transcript:itb11g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFQYAYFTSGPETIRIKIPMTSNSFEPEVEVVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLREGLDDDLDDDLDDDVDDDVDDDVDDDVDDDDYQYVDVVHMFDPTKFDKIPFENIEQLEKLTCEHMVFPSVIRAEDRIYLLSERNFFGYRLIRDAFHFQYFDPNKNLFETLPPPPVLIDREIYTNHLAVRCSFFLRDYIYVLITDTGTFCQPSKFNTTNSKWEDCPSMVDKFEEKNIPFPFKHAGDMGISDKLVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTPDFDMDCLASDWKQLADMGGGRFCVMYCALAEDILIYGFNIDFELEHTIQRNETENSSSYIIFKMKFSHHDPISLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb01g22500.t1 pep chromosome:ASM357664v1:1:28379545:28383712:1 gene:itb01g22500 transcript:itb01g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCIICMSSDDDCYSSDHDSLDVLENEESDSQRAPSGANSCKVITKESLLVAQREDLQRVMDLLSIREHHARTLLIHHRWDVEKLFAVLVEKGKACLFAESGVSVDENNDLDHPVTSATVMCNICIEELPGSEMTKMDCGHCFCNSCWTEHFIVKIKEGQSKRIRCMAHKCFAICDESVVRKLVSKEHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIENDELFEVECSCGLQFCFSCLSEAHSPCSCLMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDQEKKAERAKRDLYRYMHYHNRYKAHTDSFKQESRLKETIRDKVSSLEARDSKLKDFSWVTNGLNRLFRSRRALSYSYPFAFYMFGDDLFKDEMTNEQREIKQNLFEDQQQQLEANVEKLSKFIEEPFDEYNDEQIMEVRMQIINLSVLTDTLCKKMYDCIENELLASLLFCNHNIAPYQSKGIERATELTYGRSTNATNKNECPTVVDQTNGSSIDDERPQCSASSDENGCTSRKRARKEKSLFDLNLPADLIDRQ >itb12g24090.t1 pep chromosome:ASM357664v1:12:25744979:25746118:-1 gene:itb12g24090 transcript:itb12g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRILVAIKDQESVPSIVNLLEASNASEESPVAVIALILVELVGQAAPILIAHQYSNNNLNVDTSSSAQIINALRQYEYNNEMNVSVQPYTAVSQSEIMQDDICRLAVDQNATIVILPFHKHWAIDGSIGSVNRAEQHMNSKVIEKAPCSVAVLVDKGILTGSSTILNSNVVYNVAMIYIGGPDDAEALCYGARMARHTNVSLTVLRFLLFGCDNARERRFDNDIIEAIRGANVGNHHFIYQEQVVKDGEGLASALRNMENAFDLLMVGRTHQDSPLLDDIGAWIECPELGAVGDFLASPDFHSTASVLVVQQQRFGGKLINRATKPVVNAQDFMYDNNSSTHSVPGNNNSSSHLSVPGSCGSDNSRWEFPRDRSDRV >itb11g03440.t1 pep chromosome:ASM357664v1:11:1813624:1817241:1 gene:itb11g03440 transcript:itb11g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVQISNPGSNPNIKTDPKSLSFDEVSKLFSLPLSDAADTLGVCTSVLKKICYENGLVRWPYRKFLSGKSIEEIKKDAAKEKQEQHAELPKPAGEKPNLSANSTPSTSKGSPLQNKGSSAGQEMPKSAAASQQQGSKTAQTLSSQHLLSGNLPKGTTAGSDEFKYGFPSDGLSAISYKWWGSRSTDGNEDTKKEGNAEESKQQSAMPMDESAVKTDKSNVDSFGADSLSDLREQAAKEGKEALKLGVYREHSLFKLDLTKRKVLLQVFKSAYPPQWEQE >itb08g03790.t1 pep chromosome:ASM357664v1:8:3074917:3078556:1 gene:itb08g03790 transcript:itb08g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEDAVRRRNVTAEYRKKLLQHKELEARLRTVRDNFRAAKKDFNKTEDDLKSLQSVGQIIGEVLRPLDHERMIVKASSGPRYVVGCRNKLDKEKLIAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHASGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >itb10g22140.t2 pep chromosome:ASM357664v1:10:27094998:27096556:-1 gene:itb10g22140 transcript:itb10g22140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMKKKNCGFLIFSILGFLCSFLGPVSSETCPLDLGYVLEIPWSKSACRNFPDPSSDNTTVGEDHCCQTLLSVYGLALAKHLKKTNLFQLPNIETSISCLHDYQTKLSSLGLSSNLTSLCFDPVQFVVTNACASIESTQDWVKKLGPSTPLDSACKPDLNDLTSCDDCVKAGFRVQAELIGIDGNKSHSTMCFYFTVVYAAGIVNQFGPESSSAMQCILSIPLDSSNDSGNKKHLAVVFGLIGASVAVLGMGLLLGLYFWWDRKKKRNRDREMEEMGSRSTPRMRPNTTMWFKIRDLERATDGFSSKNFLGRGGFGVVYKGTLGDGSVVAVKRIMESDFERKDEFCNEVEIISNLKHRNLVPLRGCCVTDENETEDRENHRYLVYDYMPNGNLDDHLFLKNQIGASKPPLSWPQRRSIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGDNVWEKGS >itb10g22140.t1 pep chromosome:ASM357664v1:10:27094434:27096965:-1 gene:itb10g22140 transcript:itb10g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGERICREMEMKMKKKNCGFLIFSILGFLCSFLGPVSSETCPLDLGYVLEIPWSKSACRNFPDPSSDNTTVGEDHCCQTLLSVYGLALAKHLKKTNLFQLPNIETSISCLHDYQTKLSSLGLSSNLTSLCFDPVQFVVTNACASIESTQDWVKKLGPSTPLDSACKPDLNDLTSCDDCVKAGFRVQAELIGIDGNKSHSTMCFYFTVVYAAGIVNQFGPESSSAMQCILSIPLDSSNDSGNKKHLAVVFGLIGASVAVLGMGLLLGLYFWWDRKKKRNRDREMEEMGSRSTPRMRPNTTMWFKIRDLERATDGFSSKNFLGRGGFGVVYKGTLGDGSVVAVKRIMESDFERKDEFCNEVEIISNLKHRNLVPLRGCCVTDENETEDRENHRYLVYDYMPNGNLDDHLFLKNQIGASKPPLSWPQRRSIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDFTSPSPRGFLITDWAWSFVKSGKIHEALDPSLLKGGDSSSVSNPRAIMERFLLVGILCAHVMVALRPTILDALKILEGDIEVPEIPDRPAHLGHPSFYGGDGSTTNTTFTISPALSVLQLPTADMLR >itb06g12290.t1 pep chromosome:ASM357664v1:6:16919298:16922491:-1 gene:itb06g12290 transcript:itb06g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYNEKIRDLLVDNFSEPAKKLEVKQSAEGTQEVPGLIEARVYGTDEVWEFLQSSSLEVELDLLDQLMLMNSVVTHTVGENLINGQRTRSHLWLVDFAGSESLRGWGGLKICSGRNLLTRLRQAVDHPYLVEYSVSALARSENAVDASNVEQPCGICHDSVEEPVNLFGGVFF >itb15g01870.t1 pep chromosome:ASM357664v1:15:1108680:1111766:1 gene:itb15g01870 transcript:itb15g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSAGSSCVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMEDILTQLKKEMGSAHNRKLVQPPEGTYF >itb01g33770.t1 pep chromosome:ASM357664v1:1:36759244:36760439:1 gene:itb01g33770 transcript:itb01g33770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNRSSSTSSTSSDSSSSESSFSAGGKAARDGKRAERIKGPWSAEEDKILTRLVERYGPRNWSLISKYIKGRSGKSCRLRWCNQLSPSVEHRSFSPAEDETILAAHAKYGNRWATIARLLPGRTDNAVKNHWNSTLKRRYQHQNQNQNQSQTFDFAEVTDAKSSPSGSVFFGLENSTLCMNINAGESPRVNTLSHCDEEYDPMTTLSLAPPGMGGHELPERRTESLPAGFWDVMREVIAKEVREYVTSSFSGPSTGFH >itb01g06350.t2 pep chromosome:ASM357664v1:1:4533706:4539148:-1 gene:itb01g06350 transcript:itb01g06350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISTPYNDKVVGFKKEAETIINQLIYGSKEREVVLITGMGGLGKTTLTRGVYEEKIVANHFHSSAWCTVSQEYNYKDLLNKIYSQVCGREIEIGNVAERLRKSLMGRRYLIVLDDIWSVEAWEELNRVFPSCDNGSRIVLISRQERVVSDAKHICLPFFTVDESWELLQMKLFKGSRCPEELENVGRKISKHCGGLPLVVGLIADLLDRIEKSEQMWRKFLLALETCVDELIGGIQSNDAIKLSYHRLSYNLKNCLLYFAAFPKDKRIDVSYLIKLWISEGFIDIKKDERVEDTAKYCLNHLVGSNLVMVSERKYDGGILSCVVHDLVRAFCLAKVKEEKFLRIIKMEDMLNSTLKITTHRISFHRYGDNEIPNELIPWNCNIHTLLGYPKVHKNNGAKVYNASWVAKKFEHLTILDLEFIGVDKLVLPEINSLIHLRYLALYLCGCGSVSPLSLQNLKGLITLKLTSYKDLHLPKYFWNMKSLRHMIIHHYDCDSCPTVPTPVIETISGLEVLQTLDLKTSLSTRDENLLRKLPHLKYLSCLVLPSYSFAEIDILHHLESLSLYNSCNHDPHDHGNPHLLNDLKLSKFPSSIKEINLEGITVSSSAISIMAQLSNLEALTLTCCNFEGLEWNVDEETQFQKLKCLQLDHLDIRIWNICSEESFPCLEQVILDFCVELREVPHRLADILTLKLLSVRSCHNSTRSSAMKIEEGVRDIGNEQLNVQIIPSK >itb01g06350.t1 pep chromosome:ASM357664v1:1:4533706:4539148:-1 gene:itb01g06350 transcript:itb01g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISTPYNDKVVGFKKEAETIINQLIYGSKEREVVLITGMGGLGKTTLTRGVYEEKIVANHFHSSAWCTVSQEYNYKDLLNKIYSQVCGREIEIGNVAERLRKSLMGRRYLIVLDDIWSVEAWEELNRVFPSCDNGSRIVLISRQERVVSDAKHICLPFFTVDESWELLQMKLFKGSRCPEELENVGRKISKHCGGLPLVVGLIADLLDRIEKSEQMWRKFLLALETCVDELIGGIQSNDAIKLSYHRLSYNLKNCLLYFAAFPKDKRIDVSYLIKLWISEGFIDIKKDERVEDTAKYCLNHLVGSNLVMVSERKYDGGILSCVVHDLVRAFCLAKVKEEKFLRIIKMEDMLNSTLKITTHRISFHRYGDNEIPNELIPWNCNIHTLLGYPKVHKNNGAKVYNASWVAKKFEHLTILDLEFIGVDKLVLPEINSLIHLRYLALYLCGCGSVSPLSLQNLKGLITLKLTSYKDLHLPKYFWNMKSLRHMIIHHYDCDSCPTVPTPVIETISGLEVLQTLDLKTSLSTRDENLLRKLPHLKYLSCLVLPSYSFAEIDILHHLESLSLYNSCNHDPHDHGNPHLLNDLKLSKFPSSIKEINLEGITVSSSAISIMAQLSNLEALTLTCCNFEGLEWNVDEETQFQKLKCLQLDHLDIRIWNICSEESFPCLEQVILDFCVELREVPHRLADILTLKLLSVRSCHNSTRSSAMKIEEGVRDIGNEQLNVQIIPSNPVTGCREKRDYHFTEQIDEEWMGRGQQKWLRGMQQKWPRGGQHKWRRVSKK >itb01g06350.t3 pep chromosome:ASM357664v1:1:4533706:4539148:-1 gene:itb01g06350 transcript:itb01g06350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISTPYNDKVVGFKKEAETIINQLIYGSKEREVVLITGMGGLGKTTLTRGVYEEKIVANHFHSSAWCTVSQEYNYKDLLNKIYSQVCGREIEIGNVAERLRKSLMGRRYLIVLDDIWSVEAWEELNRVFPSCDNGSRIVLISRQERVVSDAKHICLPFFTVDESWELLQMKLFKGSRCPEELENVGRKISKHCGGLPLVVGLIADLLDRIEKSEQMWRKFLLALETCVDELIGGIQSNDAIKLSYHRLSYNLKNCLLYFAAFPKDKRIDVSYLIKLWISEGFIDIKKDERVEDTAKYCLNHLVGSNLVMVSERKYDGGILSCVVHDLVRAFCLAKVKEEKFLRIIKMEDMLNSTLKITTHRISFHRYGDNEIPNELIPWNCNIHTLLGYPKVHKNNGAKVYNASWVAKKFEHLTILDLEFIGVDKLVLPEINSLIHLRYLALYLCGCGSVSPLSLQNLKGLITLKLTSYKDLHLPKYFWNMKSLRHMIIHHYDCDSCPTVPTPVIETISGLEVLQTLDLKTSLSTRDENLLRKLPHLKYLSCLVLPSYSFAEIDILHHLESLSLYNSCNHDPHDHGNPHLLNDLKLSKFPSSIKEINLEGITVSSSAISIMAQLSNLEALTLTCCNFEGLEWNVDEETQFQKLKCLQLDHLDIRIWNICSEESFPCLEQVILDFCVELREVPHRLADILTLKLLSVRSCHNSTRSSAMKIEEGVRDIGNEQLNVQIIPSK >itb03g26530.t1 pep chromosome:ASM357664v1:3:26072177:26077661:1 gene:itb03g26530 transcript:itb03g26530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRRAVESYWRSRMVDGATSDEDKVTPVYKLEEICELLRSSHAGIVKEVSEFILKRLQHKSPIVKQKALRVIKYAIGKSGAEFRREMQRNSVSIRQLIHYKGQPDPLKGDALNKAVRETAQEALSSLFSSSDDIKPAPKENLGGRIQGFGNTNFEVPSEDKKSFISEVVGIGSATIKHGLSSLTQSPSLKKNDTGSYRGPNLQRSLTREIDYSDRYEGITSYNESQNSSGFSRNAGSQSWGQDLKTSDTETTNRDLGMSSGEKTREERLLETIATSGGVRLQPTRDALQAFLAEASKLDALAIIHAIESKLHSPSWQVRVKTICVLEAILRKKDDEHFCIIASYFSENNDVVVKCSESPQASLREKANKVLSLLDLGQGTRQTVESVAHSDKLANTETVQMPDLIDTGDSDNLFDTDGSVQMQNNENVANTSSSTAPLIDDLFGIGTDLNTSEQQNDDDPFADVSFHSSNDKEHESDLFSGMTFDKPGSAEVRPVPSGSGPEPFDIFGSSSDITKEESVPQKDVHDLMSGLSLNRYESSTRPNGSSGGTTLENILPNSNPNPGHMVSNDVFNSMPPSQISGSSTIPMFPLGAMAYNMPPGFMLNPSFASQALNTGAMGNLFAQQQFLAAMSTFQQMGSLQQTAGVNPASGSNGGYASALPDIFNANIPNQTPTSLMSSQKKEDTRAFDFISDHLAAARDPKRVI >itb12g19410.t1 pep chromosome:ASM357664v1:12:21818690:21821853:1 gene:itb12g19410 transcript:itb12g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKNCKTRKAKRRKGQSPTTFVNDISNMEAGGKRATSACTVAAAVDGGLVILANDAIHSFLAASTQDTILSQDLRDLASSLSQRACVPYRALRSIWIGSNPSERPELTSLLCGSGFAFSSPEPRKKSEELKARLRKLAEASERKAYEELVKDITPKKRVEEPFSSYKHQLGFGMHVVLMMSAGFLVGYAAFRALFSHSPAMSAAGGILGLVIGMLVETLLFIVRTTSLDQRSTSFVSKAKKSQ >itb05g28370.t1 pep chromosome:ASM357664v1:5:31845074:31848594:-1 gene:itb05g28370 transcript:itb05g28370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWGSQEQQAPSHPQEIPTESWYPSSVVSSPSSSRPTTPSPSSSGSFSVSRPSDRQNSASHVSPAEAAGIIAVLKDKSVEELRKLYSDKDAYHNFLLSLEAVKTQNNVRDELRNETMQLARENLEKEPRIMELRNQCRIIRTTELAAAQEKLHELERRKGELLKFYSPASLLRRLHEATNKTEEESDALHRQLLDQEIDLSAFVQKYKKLRQTYHKQALTHLAAKTSITG >itb10g23840.t1 pep chromosome:ASM357664v1:10:27981044:27981369:1 gene:itb10g23840 transcript:itb10g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDQGHPPVGVPPPQGYPPKDAYPPPGYPPQGYPQGGYPPQQGYPQGGYPPQQGYPPPQYGAPPPQQQQSSSSVGCMEGW >itb12g06910.t2 pep chromosome:ASM357664v1:12:5142346:5144139:-1 gene:itb12g06910 transcript:itb12g06910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQLQALLGNKWAAIASYLPERTDNDIKNYWNTHLKKKLKLVESGGLMIDSSSRFSSSSSSSHSSSSRGQWERTLQADINTAKQALNDALSLEKSTRHRPLDFIPQPPAPVQYASSADNIARLLKGWMESPAKSYSTPSTSNSNGGAATVTDTSSSSSDDAPGGICLSEAFESLFGFDSDQFSQSYESKPQVPLSVMLENWLLDDDI >itb12g06910.t1 pep chromosome:ASM357664v1:12:5142346:5144139:-1 gene:itb12g06910 transcript:itb12g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKQGVKKGPWTPEEDIMLVSYVQQHGPANWRTVPAHTGLRRCSKSCRLRWTNYLRPGIKRGCFTDQEEKMIIQLQALLGNKWAAIASYLPERTDNDIKNYWNTHLKKKLKLVESGGLMIDSSSRFSSSSSSSHSSSSRGQWERTLQADINTAKQALNDALSLEKSTRHRPLDFIPQPPAPVQYASSADNIARLLKGWMESPAKSYSTPSTSNSNGGAATVTDTSSSSSDDAPGGICLSEAFESLFGFDSDQFSQSYESKPQVPLSVMLENWLLDDDI >itb13g17760.t1 pep chromosome:ASM357664v1:13:24686464:24687378:1 gene:itb13g17760 transcript:itb13g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSRENEESNSELFQVNNVQQEEEEDERLDSGGAIQQLSMLHLISAAKHHRPTTKTPEKCTSCGGSMKRPSPSFPSSLQEPSPKRLSLLPPSPSPLYRSLSEPIDSLSILNPQTADNSKISPPPPILSDPSPVKNSESRNRPPIPPLHRSLSDPTAAALEFRPSPPQTIKSPAQESPNTKRVKRMKDRLRQMREWWDQVLQEEDEEEEEEEEDNNNSKVSYI >itb13g20280.t1 pep chromosome:ASM357664v1:13:27096831:27097593:1 gene:itb13g20280 transcript:itb13g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYEIILFCFNLIAGHHESPPPSTHPHHPPPPEPHLEPVPHREESDGCLSFLKNCVECLCCCWLFKKVCCCCCS >itb01g03520.t1 pep chromosome:ASM357664v1:1:2314390:2318566:1 gene:itb01g03520 transcript:itb01g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSFVEVHPESHFPLQNLPYGMFKPNHCSEARPGVAIGDFVLDISVIASAGLLDGPFLRNSNCFNQPNLNKFLELGRPAWKEAHATLQKLLSETEPTLRDNANLRQKALVPMEKVQMLLPVAVGDYTDFYSSINHARNCGLMFRGAGEPIKPNWFHLPIAYHGRASSIVVSGTDIIRPRGQDRPVGNSPPYFGPSRKMDFELEMAAVVGPGNELGKPVDVNEAADHIFGLVLMNDWSARDIQGWECIPLGPFLGKNFGTTISPWIVPLDSLEPFACDAPTQNPPPLPYLSEKKSRNYDISLEVHIKPSGHEDSYVVSKSNFKHLYWTIAQQLAHHTINGCNLKPGDLLGSGTISGPEPDSYGSLLELTWNGQKPLTIAGETRTFLQDGDEVIISGFCKLTSTLHHRRRRVLFPMRGAFFFSSPTTTTTVTHTFHNDHPTQLSEHTSFQIPVQSPSDDQESAAAAVTIQSAYRSHVVRKLVKKISAVNREANYLQRLIQLQETVDAVRSNERERIRINEALMELLFRLDSVPGFDPTVRDLRRHVSRRIVGLQEILDAVSDAKVESWDGFMRDWDDVLEKIESEVCKERGNGDLERFCAEHLGFRCLQQFLRDQW >itb05g19400.t1 pep chromosome:ASM357664v1:5:25918337:25923247:1 gene:itb05g19400 transcript:itb05g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKTLPLNHLQNKRVCIDLSCWMVQLQKVNKSHCALKDKIYLRGLFHRLRALIALNCSLIFVTDGAIPAIKSATYRRRLNVGNELSQEEPNIKQLSSIRRNSGSEFSCMIKEAKVLGRALGIPCLDGIEEAEAQCALLNSESLCDGCFTADSDAFLFGARTVYRDICLGDGGYVVCYEMDDIERKLGFGRNSLITLAVILGGDYSQGVYGIGRESACQIVKSLGDSTVLQRIASEGLSFVKKTKNSKRHIEAMQCDNKENFPINKDVLKGIKCDLLQRDKDKQVLQVINAYLEPRCHSVDSDGVHRVLAVYPFERNQFQQICAKYFDWPPEKTDEYILPKIAERELRRFSNLRSASTKVGLQVSLDEMPIKCPVSSIIKERKVQGRDSFEVSWDGVEGLETSIVPADLVQSACPEKIMEFQERRAQRKKPKSRRRRVDNRPPSSEIELKLQALLLEIDQENTTVFHGSIRDATLRHTQLLTTLEGGQSRENAVPGSPHVTDLSSSPPSHACLPQLYLVQYAIPASPEVTDLSSPPPPRKNAEVETEVIDLLSPPQASRHDGGSSELQIDDVQRPVDVIEVMSDSDNEMFSPDHLKKARELRSFLASIREDIS >itb05g19400.t2 pep chromosome:ASM357664v1:5:25918337:25923247:1 gene:itb05g19400 transcript:itb05g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILDSCKKTLPLNHLQNKRVCIDLSCWMVQLQKVNKSHCALKDKIYLRGLFHRLRALIALNCSLIFVTDGAIPAIKSATYRRRLNVGNELSQEEPNIKQLSSIRRNSGSEFSCMIKEAKVLGRALGIPCLDGIEEAEAQCALLNSESLCDGCFTADSDAFLFGARTVYRDICLGDGGYVVCYEMDDIERKLGFGRNSLITLAVILGGDYSQGVYGIGRESACQIVKSLGDSTVLQRIASEGLSFVKKTKNSKRHIEAMQCDNKENFPINKDVLKGIKCDLLQRDKDKQVLQVINAYLEPRCHSVDSDGVHRVLAVYPFERNQFQQICAKYFDWPPEKTDEYILPKIAERELRRFSNLRSASTKVGLQVSLDEMPIKCPVSSIIKERKVQGRDSFEVSWDGVEGLETSIVPADLVQRYSGNIYAARGMFFTLTSYLFCLSFQCLSRKDYGVSGKKSSKEETKIKTEKSGQQAALE >itb08g01300.t1 pep chromosome:ASM357664v1:8:963926:964348:1 gene:itb08g01300 transcript:itb08g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPKPSSFHHRKRLLSPVRCCFERGREHIDGIIISVTDLSIGVSLLPAVAGASPPRELFIGEEVVTVRRQGRCPIATVRNHSSPREFETPQSRRNVAGHHLLPAGDRKDDGATLLLLLLFRRKTKIFSQNVSCAIGSS >itb06g15480.t1 pep chromosome:ASM357664v1:6:19825621:19828718:1 gene:itb06g15480 transcript:itb06g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEGLRHYFFCSILLSLLTFCTSADTITTDQPITDGRTIVSAGGNFELGFFSPGRSKKRYIGIWYSKIPTKDVVWVANRETPLNNTSGKLMFKDNGILVLLDGSNEEIWSSNSSTSLKNPVARLLDTGNLVVSEGNGHSSQRSAWQSFDYPGNTFLPGMKLGRNLATGHAWSLTSWKSADDPALGEHTRMMDNNGFPQIFQLTGANKSPIFRPGTWNGEMFTGVPSIKDNPYYTFEFIMNEREIYYTYELKDSSVPSRVVITPTGMVDRLVWIGRTKSWIVYLTSPIDNCDHYGICGAYGKCNINSSPPCDCLKGFIPKYPQEWDATDWSNGCVRRTQLDCGDADRFYIYRGIKMPDTRHSWYDKSIGLEECKKLCLKNCSCTAYSNVDVRNGGSGCLLWFGDLTDIRTFDQVDQDLYVRIAASDSGWEIIHDRYLSKWTEETRGYEDSYTNNFRDTYSKLFGLGKLPTGTEIAVKKLSEYSGQGAQEWENEVSIIAKLQHRNLVRLQDESRKGILTWQKRFEIAVGISRGLLYLHQDARLRIIHRDLKVSNILLDAELNAKISDFGLARIVGEDDALARTKRIVGTYGYMSPEYVIDGNFSVKSDVFSLGVILLELVSGRKNRKFHHLDHHHTLLGHAWLLWSDGDPIELMDNCVRDSYVESQVLRCIHLALLCVSKLPEDRPTMTSVVSMLENEEVALPQPKEPGFFVERNSTEASSTNEETSHSEVAKMTFSILEPR >itb06g14430.t1 pep chromosome:ASM357664v1:6:18986413:18990799:-1 gene:itb06g14430 transcript:itb06g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLRIPQNQRTKTTNSLLVSLMNQLEKDKKSLQLGPDDHLHLEGFALSVFAKADKQDRAGRADLNTAKTFYAASIFFEIINQFGVLQPDLEQKQKYAAWKAADIRKALKEGRKPVPGPPGGENDAPGGGYDLEPSRSDPATESNDNSQHFSNKQPSPDTMPPPPSSHVSSLPPSYRTDDYPSHNNYQSHNFQQPPPNNRPEDDYQSHGFPQPPPGNRSEDDYQSHSFPQPPPSNRSENDIPSYDYQSHNFQPPTSHNSENPSYPQPYNHQPYNMQEPQTHLPQNYPPHDIPSYSYPNFQSYPSFAESSLPSVPSHYPSYYQGSDASLSTMPASNATTHPSAGSQYNPSDRNGATSEAAAAPSLAKKYQYDSSYEPPPEKISEAHKAARFAVGALAFDDVSVAVDYLKKSLELLTNPSAGN >itb07g01220.t2 pep chromosome:ASM357664v1:7:737449:742186:1 gene:itb07g01220 transcript:itb07g01220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIILSVLSTSTYKLPSIHRSHAASSFRMCYHTTTTTTRRSGNYGPPTWDFTYIQSLNTTTYTGERFVTRRDELKERVKDMLKNEEMKELEKMEMIDELQRLGCSYHFEEEIMAALMDIYMKNKKKKSSNSKGLYATALEFRVLRQNGFNISPGDVFDEFMDGKRSSFNASLYEDTKGLLNLYESSFLSMEGETTLELGRDFSAKHLKHALKLPLHWRMPRLEARGFIDSYDKGKTTSNPTLLELAKLDFNIVQAVHLEDLRFVSRWWKNSYIAERLTFVRDRVVENFFWTIGSNPNPKYVNGRRTVAKLNCLICTVDDIYDVYGTFDELQLFTDTIERWDDVTKVEHLPDYMRLCYLALNNFVNEVAYDILKQHGIFILHHLRKSWADLCKAYLQEAKWYHSGYTPTYEEYIETAWISIGCPIILVHAFLHVNNPAEYDAALHCLTDYHQIIRLSSIILRLANDKGTSPDELKRGDVPKAIQCYMGEAKASINDARGFIDLEMNEIWKKMNKFRLEGGSPFSGTFIEVAMNVARMAQCMYQHGDGHGIKNLETQTRIQTVLFEPIPLINNIMP >itb07g01220.t1 pep chromosome:ASM357664v1:7:737449:740036:1 gene:itb07g01220 transcript:itb07g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIILSVLSTSTYKLPSIHRSHAASSFRMCYHTTTTTTRRSGNYGPPTWDFTYIQSLNTTTYTGERFVTRRDELKERVKDMLKNEEMKELEKMEMIDELQRLGCSYHFEEEIMAALMDIYMKNKKKKSSNSKGLYATALEFRVLRQNGFNISPGDVFDEFMDGKRSSFNASLYEDTKGLLNLYESSFLSMEGETTLELGRDFSAKHLKHALKLPLHWRMPRLEARGFIDSYDKGKTTSNPTLLELAKLDFNIVQAVHLEDLRFVSRWWKNSYIAERLTFVRDRVVENFFWTIGSNPNPKYVNGRRTVAKLNCLICTVDDIYDVYGTFDELQLFTDTIERWDDVTKVEHLPDYMRLCYLALNNFVNEVAYDILKQHGIFILHHLRKSVF >itb08g03660.t1 pep chromosome:ASM357664v1:8:2981544:2983675:1 gene:itb08g03660 transcript:itb08g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFSLGGGGGGGGSGKDNQDHPELGGGNSNSNLFLFKNNNEEIYNKGFELWQQYYHMHHHHHHQRVQQQQMVDFGVGVGPSGHGGGGGSSSSSGGNLVGSDEQNPGPSFRVMRQGGEGSGGGGGAGVNCQDCGNQAKKDCAHLRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQQQQQIFSLHQHHSQPLSFRPENPKRQRDSSSPLACTRITTTSSGLEVGGHFPAEVNSPAVFRCVKVSAMDDEVDQYAYQTAVSIGGHVFKGILYDQGPETRYPSGGGAHESSSGSGAAQQPLNLIAGAGAGTSHQQAAAVATMIDPSLYPTPLSAFMAGTQFFPPPRP >itb05g15760.t1 pep chromosome:ASM357664v1:5:23124073:23125188:-1 gene:itb05g15760 transcript:itb05g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGKRPLNLPSASNSEDDLSDIIREKALPFLPAKSLFRFLAVCRDWKLHISTPSFHHNQSLCCRNISGLFCQTSVDRNFFIPIHPKSCGVPDSSLSFLPEPVEIRASSNGVLCCQGQDENRYLYLCNPVTKQWKKLPKPTASPMQSEPRLELIFEPLLLNIVPEYKLIRVFQSADFHYATEFEIYTSKNNSWNVSGEILFGVSVYSLGYGVHLNGVIYWTMGNSRTLCFDLTKDRTQILDNGPDDADTDADCLLGTFDGRLCKVHIQLPNYEVIVNVLVNIHANTMPLNDADMWETIQLLDPNTTDMPLDDYFDSKVVAVSRDTVVVESMNNFYSYDFEHMETKTLMSPPQPPEAFYVGWIPYVNSLASF >itb05g17060.t1 pep chromosome:ASM357664v1:5:24106433:24106958:1 gene:itb05g17060 transcript:itb05g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHKRPLCSWTSKTECRHSVKSKKQQAYEERTGNSGDHLYHSDCILPWLSLRKSCPVCRHQLPTDAPNSDDLNTTNRPSSNEQQPPFDGGFAVGRISGGRRELPVVYTEMDGGFNNNGVPMVV >itb02g18280.t1 pep chromosome:ASM357664v1:2:14706762:14707103:1 gene:itb02g18280 transcript:itb02g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPLPDDQQVVPSSTSQDWHSSGSIRPFFAVISVLTLLAILSCVLGRICRNRRRQLSSSTPPPLDCVKQKGCHGWMRRWWLVDGGNEVVPGAGDKAPAQDEEGKAHQEGV >itb03g04500.t3 pep chromosome:ASM357664v1:3:2858914:2860167:1 gene:itb03g04500 transcript:itb03g04500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISRTELHDLLTKPSLSGIPLLVLGNKIDKSEALSKQALVDQLDLDSIKDREVCCYMISCKDSVNIDAVIDWLIKHSKTVK >itb03g04500.t2 pep chromosome:ASM357664v1:3:2857313:2859793:1 gene:itb03g04500 transcript:itb03g04500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWESFLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGAYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISRTELHDLLTKPSLSGIPLLVLGNKIDKSEALSKQALVDQL >itb03g04500.t1 pep chromosome:ASM357664v1:3:2857272:2860167:1 gene:itb03g04500 transcript:itb03g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWESFLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGAYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISRTELHDLLTKPSLSGIPLLVLGNKIDKSEALSKQALVDQLDLDSIKDREVCCYMISCKDSVNIDAVIDWLIKHSKTVK >itb02g00990.t1 pep chromosome:ASM357664v1:2:567416:575790:1 gene:itb02g00990 transcript:itb02g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRRQASRNMSRSGSRAGWNMEDVFTPVQARRSVRAEEDEEALRWAALEKLPTYDRLRKTVLKSFVENDDHNKVVHQEVDVRNLDVNVRQEFIDRLFKVAEEDNERFLRKLRNRIDKVGINLPTVEVRYEHLTVEADCYIGDRALPTLPNAMRNLFESILGIVGIRLAEKTKLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRMRGEITYNGHQLNEFVPQKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYELLTELARRERDAGIFPDAEIDLYMKATAVEGVKSSLITDYTLRILGLDVCRDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEGTILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREHVIEFFETCGFKCPDRKGTADFLQEVTSRKDQEQYWADRSKPYRYISVSEFARRFKRFHVGLRLENELSVPYDRSRCHRAALVFNKYTVPIKELMKANFDKEWLLIKRNSFVYIFKTVQIIIVAVIASTVFLRTKMHTKTEDDGSVYIGALLFGMIINMFNGFSELSMIIQRLPVFYKQRDLLFHPPWAFTLPTFLLKIPISVFETIVWMVITYYTIGFAPEASRFFKQMLLVFLIQQMAAGIFRLTAAVCRTMIIANTGGALSLLLVFLLGGFIRPKKAIPDWWGWGYWVSPLSYGFNAFTVNEMFAPRWMNELAADKQTKLGIQVMKNFDVFVEKRWFWIGAAALLGFIFLFNILFTLALMYLSPPGQKQAIISKDQAKDMEAEQEESSQSPRLKTTRSKRDALPRSLSAHDGNNTRELEFRRMSSRSNKNGLSRNDDANLDSTNGIAPKRGMILPFTPLAMSFDEVKYFVDMPPEMREQGVTEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARVSGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVSKEDKMVFVEEVMDLVELDNLKDAIVGMPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKVVEYFEAVQGVPKIKEKYNPATWMLEVSSIATEIRLGMDFAEHYKTTALYQRNKALVKELSTPPPGANDLYFHTQHSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLAAALMIGTIFWDVGSKRDSSGDLMTIIGSMYAAVLFVGICNCSTVQPVVATERTVFYREKAAGMYSALPYAMAQVICEIPYVLVQTTYYTLIVYAMVGFEWTAAKFFWFFFVTFFSFLYFTYYGMMTVSITPNHQVAAIFAAAFYALFNLFSGFFIPRPRIPKWWIWYYWICPVAWTVYGCIVSQYGDVESTIRDPGNSTGNINPKIKDYIKDHFGYDPDFMGIVAAVLVGFAVFFAFMYAYCIKTLNFQLR >itb05g26790.t1 pep chromosome:ASM357664v1:5:30480411:30487562:-1 gene:itb05g26790 transcript:itb05g26790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAFTSASQLGIAGKFSSSSSSSAGSRFLRFLQNKPNSIDLSFKPSRSSIPASATGEEDPDPRLCYWRGGFRGNFARLWRWKCPESQECNSVQTLEDILKAKHLIFPGVGDFAIAMDVLNKKGMAEAVCTYIEHDRPFLGICLGMQLLFESSEENGPVKGLGFIPGVVGCFDSSKGIRVPHIEWNSLEITKSSGILDEIGKRHVYFVHSYRATLSGDNKEWVSSTCNYGDNFIASVRRGSFTLRRVEVCCPNGEEYAWYQCTVNGGREGRPIGAYELAKAVEELGAGEILLNCIDCDDAVSIPVIASSGAGAGAVEHFSEVFKETNASAALAAGIFHRKEVQTLEDILKAKHLIFPGVGDFAIAMDVLNKKGMAEAVCTYIEHDRPFLGICLGMQLLFESSEENGPVKGLGFIPGVVGCFDSSKGIRVPHIEWNSLEITKSSGILDEIGKRHVYFVHSYRATLSGDNKEWVSSTCNYGDNFIASVRRGSFTLRRVEVCCPNGEEYAWYQCTVNGGREGRPIGAYELAKAVEELGAGEILLNCIDCDDAVSIPVIASSGAGAGAVEHFSEVFKETNASAALAAGIFHRKEIPIQSVKEHLLKTGVEVRM >itb02g14520.t1 pep chromosome:ASM357664v1:2:10581021:10583930:-1 gene:itb02g14520 transcript:itb02g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVGLELSNEENLIQPLLIASKSPTIEKALEQLIDIAKTAEGRLDLASQNIVSHLLQLCSSIPYPAGCYILLPALKLLRNLCAGEIRNQNAFLLQNGAEVVLTIIRSVGFASDYNDEIVRMGLQLLANFVLAGGEHQRAVWCQFFPHGFLNIARVRSRESCDPLCMVIYTCCEGDDGLLADLCNEQGLAVVIEIIQTASIVDPIEKWFKLLISRICIEESYIASVFFKLGPDCGVESGHFVAEQAYILSILSEILNERIEGIIVNPDFALCILGILRSAGGVVDFSTRGETGLPTGNAVIDVLGYSLTILRDICACDHLTGGSDDIVGMLVSSGLIESLLAFLSNLEPPATIQKAMDRGETTSSPIARCPYKGFRRDIVAVIGNCAYRRKQVQDEIRTKNGIILLLQQCVTDEDNPFLREWGIWAARNLLEGNTENQNVVTDLELQRSVDVPELARLGLRVDIDPTTHRAKLVNIPHSE >itb04g14910.t2 pep chromosome:ASM357664v1:4:15752143:15763550:1 gene:itb04g14910 transcript:itb04g14910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHSESRRLYSWWWDSHVPKNSKWLHENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHVTGELRHAHKAMAEAFPNHIPFLLAEDSPSKGSTLEAEPHTPEMLQQIRAMPEADDFHKNSSSWIHALQMIGTYPGESDTGSSERGLKQLNEIIGEGEDTSKNSRLMDGGLVRGLSDIGDRFHNEVSKISSENLNLKAKLLSETERAGKAETEVQSLKEALADMQAKKESLLLQYQQCLEKLSAAESELLQAQKDSMTIIEQASNAETELQVLKDSLSKVKAERDSELAKSKRYLLMISNLESDISQVQENMKDQNERAVKAESESQHLRNEISKLESENEVCLCQYRQCLEKVSDLEKKILLAEEHARLLKDRADGAEAEIKRLKEALLELNKEKELSDSQCKDCLEKISKLESQLYSAQEEVKRLNGEILVEAARLKNAEDKCLVLEMSNQSLRVEAENLAKKILMKDQELSEKLMELEKLQTDLQHECLSHAQIEASLQILQNLHSQSQAEQQALALELKNGLQVLKDLETCKGGLEDELQQVKVENHSLNELKMSSTTTIKNLENEILNLRSMKERLEEEVAQQMEKSNSLQQEISCLKEEICRLNNSYQALVEQVRSVGLDPECFVSSIRSLQEENSMLRKTYENDSTEKEVLRRKLENMEEFLNKKAGLESSLSDVNRELQGSHEQVKALQESCQTLQIEKSALVAEKASLLSQLQMVTENMQKLLEKNAVLESSLFGAKVELEGLREKSKGLEEICQLLKTEKSNLLAERSNLAFQLQNVERRVECLEKRCTGLEERHALLERQKEAMHSQVEELRVSIHTEKHERASLALKSETRMTTLENHIHILQEESKWKKKEYEEELEKVTRAHFEIFVLQKFIKDMEEKNHSLVNECQKHVEASKLADKLISELENENLEQQVEAELLLDEIGRLRVGIYQVFKALETSSGCISEAKIENEQIFLHHIFGSIEDMKCSLTKYEDYNQQLFVENSVLLTLLAELKSDGMELEVQKRFMEQELRITAEKLVMVQNDKCKLLEMNRQLESELIKGNEESAMLEAEVENLCVKHVDLKRDYLELEDDYSQLLDQNKSLMEKISEIGKEKWIVEQENDAILLETLDLINLSTIFRSFSNEKSTEVKSSIAVAQSLRGVISDFEKEVGILRGKLQMKEAENLILQESIQRVEMELLEVKKYNDGLKMEVSSEKEIVRQKETELLEVEQKLEAAENLNSELYSNLEELKCHSQQSGHIKESLERQVIELTEDNEIQNKEIGFLKEVNSSLVGKLDKLCAEIGEQQVREEHLSSELQDKNQEFELWEAEAAAFYFDLQISSINEVFLENKIHEVSEVCGSLMDQNASKSLEIEEMKEKIDSMEGEIGELKIQVQAYSPVIASLKEDIASLENSALLQSKLNVSDVEEPKAMEVEVHPNENSCDKQLESSSEKLMDHQSAMINGVLDLQQLRTRIKAVEKVVVEQMKKPVMKSKAGQNSIRCEIEALKSQHSLDREKYKRRERKGSKGSRDECMDNVNSQKTKPKSCEIRSGTMMKDIPLDHVSDGSPQKYRRRGTTGSYRIDDQMLELWETAAGCSPIQTVRGSKKPPLVHDPREGNAIYNQLSTEWKNKHPPTDLEVEKELGVDKLELPMTVSEPNQGINNRRILERLTSDAEKLMSIQVTVDNLRRRLETNRKGRKSKSVDFDTVKEQLQEVEETVVQLVNLNSQLMKNTEEEGSFSNANASAEMKEVSNVWHRRVVEQARKGSEKIGRLQTEVQKIQYVLLKLEDEKKNKARSRFSKTKTGIVLKDFIYIGRRDGEKRKKKAQLCGCFRPSSSGSGSGRGSVSHM >itb04g14910.t3 pep chromosome:ASM357664v1:4:15752172:15763415:1 gene:itb04g14910 transcript:itb04g14910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHSESRRLYSWWWDSHVPKNSKWLHENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHVTGELRHAHKAMAEAFPNHIPFLLAEDSPSKGSTLEAEPHTPEMLQQIRAMPEADDFHKNSSSWIHALQMIGTYPGESDTGSSERGLKQLNEIIGEGEDTSKNSRLMDGGLVRGLSDIGDRFHNEVSKISSENLNLKAKLLSETERAGKAETEVQSLKEALADMQAKKESLLLQYQQCLEKLSAAESELLQAQKDSMTIIEQASNAETELQVLKDSLSKVKAERDSELAKSKRYLLMISNLESDISQVQENMKDQNERAVKAESESQHLRNEISKLESENEVCLCQYRQCLEKVSDLEKKILLAEEHARLLKDRADGAEAEIKRLKEALLELNKEKELSDSQCKDCLEKISKLESQLYSAQEEVKRLNGEILVEAARLKNAEDKCLVLEMSNQSLRVEAENLAKKILMKDQELSEKLMELEKLQTDLQHECLSHAQIEASLQILQNLHSQSQAEQQALALELKNGLQVLKDLETCKGGLEDELQQVKVENHSLNELKMSSTTTIKNLENEILNLRSMKERLEEEVAQQMEKSNSLQQEISCLKEEICRLNNSYQALVEQVRSVGLDPECFVSSIRSLQEENSMLRKTYENDSTEKEVLRRKLENMEEFLNKKAGLESSLSDVNRELQGSHEQVKALQESCQTLQIEKSALVAEKASLLSQLQMVTENMQKLLEKNAVLESSLFGAKVELEGLREKSKGLEEICQLLKTEKSNLLAERSNLAFQLQNVERRVECLEKRCTGLEERHALLERQKEAMHSQVEELRVSIHTEKHERASLALKSETRMTTLENHIHILQEESKWKKKEYEEELEKVTRAHFEIFVLQKFIKDMEEKNHSLVNECQKHVEASKLADKLISELENENLEQQVEAELLLDEIGRLRVGIYQVFKALETSSGCISEAKIENEQIFLHHIFGSIEDMKCSLTKYEDYNQQLFVENSVLLTLLAELKSDGMELEVQKRFMEQELRITAEKLVMVQNDKCKLLEMNRQLESELIKGNEESAMLEAEVENLCVKHVDLKRDYLELEDDYSQLLDQNKSLMEKISEIGKEKWIVEQENDAILLETLDLINLSTIFRSFSNEKSTEVKSSIAVAQSLRGVISDFEKEVGILRGKLQMKEAENLILQESIQRVEMELLEVKKYNDGLKMEVSSEKEIVRQKETELLEVEQKLEAAENLNSELYSNLEELKCHSQQSGHIKESLERQVIELTEDNEIQNKEIGFLKEVNSSLVGKLDKLCAEIGEQQVREEHLSSELQDKNQEFELWEAEAAAFYFDLQISSINEVFLENKIHEVSEVCGSLMDQNASKSLEIEEMKEKIDSMEGEIGELKIQVQAYSPVIASLKEDIASLENSALLQSKLNVSDVEEPKAMEVEVHPNENSCDKQLESSSEKLMDHQSAMINGVLDLQQLRTRIKAVEKVVVEQMKKPVMKSKAGQNSIRCEIEALKSQHSLDREKYKRRERKGSKGSRDECMDNVNSQKTKPKSCEIRSGTMMKDIPLDHVSDGSPQKYRRRGTTGSYRIDDQMLELWETAAGCSPIQTVRGSKKPPLVHDPREGNAIYNQLSTEWKNKHPPTDLEVEKELGVDKLELPMTVSEPNQGINNRRILERLTSDAEKLMSIQVTVDNLRRRLETNRKGRKSKSVDFDTVKEQLQEVEETVVQLVNLNSQLMKNTEEEGSFSNANASAEMKEVSNVWHRRVVEQARKGSEKIGRLQTEVQKIQYVLLKLEDEKKNKARSRFSKTKTGIVLKDFIYIGRRDGEKRKKKAQLCGCFRPSSSGSGSGRGSVSHM >itb04g14910.t1 pep chromosome:ASM357664v1:4:15752143:15763550:1 gene:itb04g14910 transcript:itb04g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHSESRRLYSWWWDSHVPKNSKWLHENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHVTGELRHAHKAMAEAFPNHIPFLLAEDSPSKGSTLEAEPHTPEMLQQIRAMPEADDFHKNSSSWIHALQMIGTYPGESDTGSSERGLKQLNEIIGEGEDTSKNSRLMDGGLVRGLSDIGDRFHNEVSKISSENLNLKAKLLSETERAGKAETEVQSLKEALADMQAKKESLLLQYQQCLEKLSAAESELLQAQKDSMTIIEQASNAETELQVLKDSLSKVKAERDSELAKSKRYLLMISNLESDISQVQENMKDQNERAVKAESESQHLRNEISKLESENEVCLCQYRQCLEKVSDLEKKILLAEEHARLLKDRADGAEAEIKRLKEALLELNKEKELSDSQCKDCLEKISKLESQLYSAQEEVKRLNGEILVEAARLKNAEDKCLVLEMSNQSLRVEAENLAKKILMKDQELSEKLMELEKLQTDLQHECLSHAQIEASLQILQNLHSQSQAEQQALALELKNGLQVLKDLETCKGGLEDELQQVKVENHSLNELKMSSTTTIKNLENEILNLRSMKERLEEEVAQQMEKSNSLQQEISCLKEEICRLNNSYQALVEQVRSVGLDPECFVSSIRSLQEENSMLRKTYENDSTEKEVLRRKLENMEEFLNKKAGLESSLSDVNRELQGSHEQVKALQESCQTLQIEKSALVAEKASLLSQLQMVTENMQKLLEKNAVLESSLFGAKVELEGLREKSKGLEEICQLLKTEKSNLLAERSNLAFQLQNVERRVECLEKRCTGLEERHALLERQKEAMHSQVEELRVSIHTEKHERASLALKSETRMTTLENHIHILQEESKWKKKEYEEELEKVTRAHFEIFVLQKFIKDMEEKNHSLVNECQKHVEASKLADKLISELENENLEQQVEAELLLDEIGRLRVGIYQVFKALETSSGCISEAKIENEQIFLHHIFGSIEDMKCSLTKYEDYNQQLFVENSVLLTLLAELKSDGMELEVQKRFMEQELRITAEKLVMVQNDKCKLLEMNRQLESELIKGNEESAMLEAEVENLCVKHVDLKRDYLELEDDYSQLLDQNKSLMEKISEIGKEKWIVEQENDAILLETLDLINLSTIFRSFSNEKSTEVKSSIAVAQSLRGVISDFEKEVGILRGKLQMKEAENLILQESIQRVEMELLEVKKYNDGLKMEVSSEKEIVRQKETELLEVEQKLEAAENLNSELYSNLEELKCHSQQSGHIKESLERQVIELTEDNEIQNKEIGFLKEVNSSLVGKLDKLCAEIGEQQVREEHLSSELQDKNQEFELWEAEAAAFYFDLQISSINEVFLENKIHEVSEVCGSLMDQNASKSLEIEEMKEKIDSMEGEIGELKIQVQAYSPVIASLKEDIASLENSALLQSKLNVSDVEEPKAMEVEVHPNENSCDKQLESSSEKLMDHQSAMINGVLDLQQLRTRIKAVEKVVVEQMKKPVMKSKAGQNSIRCEIEALKSQHSLDREKYKRRERKGSKGSRDECMDNVNSQKTKPKSCEIRSGTMMKDIPLDHVSDGSPQKYRRRGTTGSYRIDDQMLELWETAAGCSPIQTVRGSKKPPLVHDPREGNAIYNQLSTEWKNKHPPTDLEVEKELGVDKLELPMTVSEPNQGINNRRILERLTSDAEKLMSIQVTVDNLRRRLETNRKGRKSKSVDFDTVKEQLQEVEETVVQLVNLNSQLMKNTEEEGSFSNANASAEMKEVSNVWHRRVVEQARKGSEKIGRLQTEVQKIQYVLLKLEDEKKNKARSRFSKTKTGIVLKDFIYIGRRDGEKRKKKAQLCGCFRPSSSGSGSGRGSVSHM >itb01g34870.t1 pep chromosome:ASM357664v1:1:37342899:37345494:1 gene:itb01g34870 transcript:itb01g34870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFLLSLMIIGLASASFVRAAEDPLIRQVVSEDDDDSLLNADHHFSLFKSKYGKSYGTQEEHDYRFSVFKANLRRAKRHQLLDPSAVHGVTKFSDLTPKEFRSTFHGVHKSRAKKLRLRLPADANKAPILPTDNLPTDFDWRDHGAVTGVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHMCDPEERDACDAGCNGGLMTTAYEYALTAGGLERESDYPYTGIDGTCKFDKNKIVASVANFSVVSLDEDQIAANLVKHGPLSVGINAVFMQTYIGGVSCPYICSKNLDHGVLLVGYGAAGYAPIRLKNKPYWIIKNSWGENWGEDGYYKICRGHDVCGVDSMVSTVTAVNTVKH >itb10g17710.t3 pep chromosome:ASM357664v1:10:23855514:23858442:-1 gene:itb10g17710 transcript:itb10g17710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFPWKKKKTGTRISQMVKDQFHRRKNAASPLVVETGFPTSIVDLIVNHRDRFKKPSSPSLRSKKNNPPPPAPPLIDDLDFVSPPQSPPPPPSTSLTSPALLILPPGIAVPITGEQESDAPSHRGESQPQDIVIQGEDGICNTVKPNRVLVVVLMIFLMVVLALGTKKFALGITVSAFLLFFLEYLGKHVPGFFTPYSKAKERLRPIVMGAWSFVSSKMARLEEEEEEEDCLFKAPLVESVSPPVCSFGESDGKSSVIQEIEMEELEEVQYRNEKSCEKSFFDRKLKCEGDLEVRMEKETEVRSEFSEFKIGESHKAKIKSKMKLIVPKKFRSLRKKPKDLETELTLTGDSNFSVLEEKEYHQELEFEHESKLNGIVNARDACEAISSSVELIDGVVETMVVAKDNSIEKEENSGYMVLFLIALLGLVGGRVFALLFILAWCFLSRSREAIRRCLKVPTVRSFINV >itb10g17710.t2 pep chromosome:ASM357664v1:10:23855238:23858413:-1 gene:itb10g17710 transcript:itb10g17710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFPWKKKKTGTRISQMVKDQFHRRKNAASPLVVETGFPTSIVDLIVNHRDRFKKPSSPSLRSKKNNPPPPAPPLIDDLDFVSPPQSPPPPPSTSLTSPALLILPPGIAVPITGEQESDAPSHRGESQPQDIVIQGEDGICNTVKPNRVLVVVLMIFLMVVLALGTKKFALGITVSAFLLFFLEYLGKHVPGFFTPYSKAKERLRPIVMGAWSFVSSKMARLEEEEEEEDCLFKAPLVESVSPPVCSFGESDGKSSVIQEIEMEELEEVQYRNEKSCEKSFFDRKLKCEGDLEVRMEKETEVRSEFSEFKIGESHKAKIKSKMKLIVPKKFRSLRKKPKDLETELTLTGDSNFSVLEEKEYHQELEFEHESKLNGIVNARDACEAISSSVELIDGVVETMVVAKDNSIEKEENSGYMVLFLIALLGLVGGRVFALLFILAWCFLSRSREAIRRCLKVPTVRRYIW >itb10g17710.t1 pep chromosome:ASM357664v1:10:23855125:23858442:-1 gene:itb10g17710 transcript:itb10g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFPWKKKKTGTRISQMVKDQFHRRKNAASPLVVETGFPTSIVDLIVNHRDRFKKPSSPSLRSKKNNPPPPAPPLIDDLDFVSPPQSPPPPPSTSLTSPALLILPPGIAVPITGEQESDAPSHRGESQPQDIVIQGEDGICNTVKPNRVLVVVLMIFLMVVLALGTKKFALGITVSAFLLFFLEYLGKHVPGFFTPYSKAKERLRPIVMGAWSFVSSKMARLEEEEEEEDCLFKAPLVESVSPPVCSFGESDGKSSVIQEIEMEELEEVQYRNEKSCEKSFFDRKLKCEGDLEVRMEKETEVRSEFSEFKIGESHKAKIKSKMKLIVPKKFRSLRKKPKDLETELTLTGDSNFSVLEEKEYHQELEFEHESKLNGIVNARDACEAISSSVELIDGVVETMVVAKDNSIEKEENSGYMVLFLIALLGLVGGRVFALLFILAWCFLSRSREAIRRCLKVPTVRSFINV >itb05g08520.t2 pep chromosome:ASM357664v1:5:11954411:11957789:-1 gene:itb05g08520 transcript:itb05g08520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKDNFNMSDLNAALNSEEKADLVNVLKNKLQDLTGQHSNVLESLSSDVRKRVEFLREIQTQHDELEAKFLEERAALEAKYQKLYQPLYTKRYEIVNGIVEVEGEKAEAVSMDQEDDKTAEKGVPHFWLTAMKNNEVLAEEITERDEGALEYLKDIKWSRIDNPKGFKLEFFFETNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPVTKTEQCDSFFNFFSPPEVPEDEDDIDEEAAEELQNLMEQDYDIGSTIRDKIIPHAVSWFTGEAAENDFPELDDEDEEEDEDDEDDEEDEEEDEEEDDDVDEDDVKTKKTTAAARKRSGRAPAAADGQQGERPPECKQQ >itb05g08520.t1 pep chromosome:ASM357664v1:5:11953902:11959671:-1 gene:itb05g08520 transcript:itb05g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKDNFNMSDLNAALNSEEKADLVNVLKNKLQDLTGQHSNVLESLSSDVRKRVEFLREIQTQHDELEAKFLEERAALEAKYQKLYQPLYTKRYEIVNGIVEVEGEKAEAVSMDQEDDKTAEKGVPHFWLTAMKNNEVLAEEITERDEGALEYLKDIKWSRIDNPKGFKLEFFFETNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPVTKTEQCDSFFNFFSPPEVPEDEDDIDEEAAEELQNLMEQDYDIGSTIRDKIIPHAVSWFTGEAAENDFPELDDEDEEEDEDDEDDEEDEEEDEEEDDDVDEDDVKTKKTTAAARKRSGRAPAAADGQQGERPPECKQQ >itb05g02870.t1 pep chromosome:ASM357664v1:5:2324191:2326954:-1 gene:itb05g02870 transcript:itb05g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGITCYARAALLPNLTSQHSSSLATPGWSISPSFNTRSLKSSLFGESLRVVPKSSLNVVKTRKQSSPVTKCEIGDSLEEFLTKSTSDKGLISLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDLLANKLLFEALTYSHFCKYACSEEVPELQDMGGPTEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIFGPRTTYVLALKDVPGTHEFLLLDEGKWQHVKDTTEIGEGKMFSPGNLRATFDNADYAKLIDYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVVSPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGEKSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLKAAEPVGAAA >itb12g04290.t1 pep chromosome:ASM357664v1:12:2808187:2809994:-1 gene:itb12g04290 transcript:itb12g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINSSSAWPSPSGLMRKGAWTEEEDNLLRKCIQKYGEGKWHLVPLRAGLNRCRKSCRLRWLNYLRPDIKRGDFSVDEVDLIMRLHRLLGNRWSLIAGRIPGRTANDVKNYWNTHIQKKVFAMAAASSKMQDNWKGKAPEIRENTVVRPQPRRFLNTSSLSRTSMAGKATAVTYDAQIQAHALPHPEPTTSDLVMENVQKNDTIATFPSELETTTFDDRVRWWEDLLFDKELNDEGTACMHEGQVGWSHLPTDIDLLELLS >itb15g19640.t1 pep chromosome:ASM357664v1:15:22101610:22103893:1 gene:itb15g19640 transcript:itb15g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANGITVEKVGKKKREREVQSNNNESYVVSQDTANDVNSTASEMLEHKRKHEKKARTITDECTKGKMEKASGVGNDNISRKQVKDSSKDPKQKTSKKEVRFSNELKVFPESNVPENGKGQNKVVELIRGKRFSKIEDEIVKETVLKYIELHNLGEEGLDMVLNCRSHPEVKNCWKVIGAAIPYRPYLSVYHRAQILFLRSEHQKWTEKEKALILEHVKLNGNEFKSLADKLGSHMTHVRETWRRICLPNLKKGPWSQDECQNLFDLVNTDLQLRIFKEKRSRHGMLRDNISFTAISNKLSTRTIPQCCLKWYYNLTSPMVAEGTWSDSDDYRLIGALYTLDATCIENVEWDNLLEHRAGELCLKRWKQMVIHIGNHWSKPFSEQVEVLAKRYYPSLIESFAAFLRSSDLEQRFKDLVASKGLEGVQTEVTDMDWESTFFLRYLTIFTISPVPDLDNNYKEAMRDFAEKSLINLSNIDHN >itb03g22510.t3 pep chromosome:ASM357664v1:3:20551698:20557790:1 gene:itb03g22510 transcript:itb03g22510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVPVFKKVGSEGGSSESGGSENGGSDRFLGGGGSFEYSGWVYHLGVNSIGHEYCHLRYLCIRGKYVEMYKRDPNDNPGIKPLRRGVIGHTLMVEDLGRRKVNHGDVYVLRFYNRLDETKKGEIACPTAGEAKKWMEAFDHAKQQADYELSRGASARNKLNMETEINLEGHRPRVRRYAHGLKRLIKIGQGPEKLLRQSSSLGANSRSELYADGGDAIEAHEWKCVRTINGVRIFEDVAEAKSAKGVLVKAVGVVEASADTVFEVVLSLDRRQRYEWDALTGDLELVDSLDGHYDVVYGAFDPRHLTWWQSKRDFVFSRQWFHGQDGTYSKNLSCLFNCLFWPPLCNTISMYPMPLSHVAFNSNVLTI >itb03g22510.t4 pep chromosome:ASM357664v1:3:20551698:20559414:1 gene:itb03g22510 transcript:itb03g22510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVPVFKKVGSEGGSSESGGSENGGSDRFLGGGGSFEYSGWVYHLGVNSIGHEYCHLRYLCIRGKYVEMYKRDPNDNPGIKPLRRGVIGHTLMVEDLGRRKVNHGDVYVLRFYNRLDETKKGEIACPTAGEAKKWMEAFDHAKQQADYELSRGASARNKLNMETEINLEGHRPRVRRYAHGLKRLIKIGQGPEKLLRQSSSLGANSRSELYADGGDAIEAHEWKCVRTINGVRIFEDVAEAKSAKGVLVKAVGVVEASADTVFEVVLSLDRRQRYEWDALTGDLELVDSLDGHYDVVYGAFDPRHLTW >itb03g22510.t2 pep chromosome:ASM357664v1:3:20551698:20559414:1 gene:itb03g22510 transcript:itb03g22510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVPVFKKVGSEGGSSESGGSENGGSDRFLGGGGSFEYSGWVYHLGVNSIGHEYCHLRYLCIRGKYVEMYKRDPNDNPGIKPLRRGVIGHTLMVEDLGRRKVNHGDVYVLRFYNRLDETKKGEIACPTAGEAKKWMEAFDHAKQQADYELSRGASARNKLNMETEINLEGHRPRVRRYAHGLKRLIKIGQGPEKLLRQSSSLGANSRSELYADGGDAIEAHEWKCVRTINGVRIFEDVAEAKSAKGVLVKAVGVVEASADTVFEVVLSLDRRQRYEWDALTGDLELVDSLDGHYDVVYGAFDPRHLTCEDKEVLYTIQKQENKNTKPNKRSMRILLEISTLVFGQASSIVFHQADTCSTTLFLLIARCTCSLDESCSSAFCAILYLIFITHLKKNELHIVFKPHVYNLGLPITH >itb03g22510.t1 pep chromosome:ASM357664v1:3:20551698:20559414:1 gene:itb03g22510 transcript:itb03g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVPVFKKVGSEGGSSESGGSENGGSDRFLGGGGSFEYSGWVYHLGVNSIGHEYCHLRYLCIRGKYVEMYKRDPNDNPGIKPLRRGVIGHTLMVEDLGRRKVNHGDVYVLRFYNRLDETKKGEIACPTAGEAKKWMEAFDHAKQQADYELSRGASARNKLNMETEINLEGHRPRVRRYAHGLKRLIKIGQGPEKLLRQSSSLGANSRSELYADGGDAIEAHEWKCVRTINGVRIFEDVAEAKSAKGVLVKAVGVVEASADTVFEVVLSLDRRQRYEWDALTGDLELVDSLDGHYDVVYGAFDPRHLTWWQSKRDFVFSRQWFHGQDGTYTILQFPAVHKNRPPKNGYRRTKIYPSTWEIRNLHTQSGSARCLVAQTLEVHCKSWFKWKKNNFLEFEKTIPFGLLSQVSGA >itb08g05140.t1 pep chromosome:ASM357664v1:8:4172966:4175817:1 gene:itb08g05140 transcript:itb08g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVSTTTTNLNPKRRPLFPSEAENGQPRRRPKSKEVSSRYLSSSSSKTSSSISSTSKQREAPNVTRTGRSSPAIRVQDSATVSRSQSVGRRRPAGTSEMSAATKLLLTSTRSKSVSFQGESNSLVGTKAKPVKKFANRIDTSERKRSEKETEKVNQMNNAKRIAPGRTKPANSSLNKGAVTQMERPVKDKMESARAIDKLRLLERSKSVNSSLMARSLDCGKYPGTFVSGNIVRLSQKSVTGEINQFNDEPLREDVPIANLNSSYGSAEEVACDSESVSSGSGSSGQECGSVVSQLRGRHSIVRSVRVLQQENGRTRRGAEPNSPVSRNNGYTTLAPSKQIDNKKSLNENRVSSFRDILATRGFSPYIRGHLRSGSPSKTSTPSTSYPLRGNSNPARATIGAVITTPNNVGNTPSTLSFGTDVRGKAGENLFADVHEFRLLYNRHLQWRFVNAKTDSAYCKLTETAERNLYNAQLATSKLLHSVKSKQTELLLLKQNLKLYRILKGQVPCFENWGLIDKEHCSSLSAAICALEVSTIRLPVVNGARVFFSFVFCHYMFGLFQH >itb10g01090.t2 pep chromosome:ASM357664v1:10:805751:808062:1 gene:itb10g01090 transcript:itb10g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINGAILILVGLASSKSENVATIENAEKTLENLEKCERNVRQMAEIGRLQPLLTVLHEGSSETKLSMAAFLGELVLNNDVKVLVARNAGSSLIDIIKYSNREGREAGLKALNQISSYEVSAKILIGAGILPPLVKDLFSVGANALPMRLKEVAATILANIVNSGNDFDSVPVGPEHQTLVSEDVIHNFLHLISNTGPTIACKLLQVLVGLTSSPTTVFNVVSGVKNSGATVSLVQFVEAPQNDLRLASIKLLRNLSPYIGQELASCLRNSSAPLSSLIKVISESIGGISEEQAAAVGFLADLPERDMAITKLMLDEKLFQLVTLRIVGIRQGDTRGSRFVTPYLEGLVKVLARFTFVLSNEPDVLELCREYNVAALFIDLLQTNGLDNVQMVSATALENLSQESKKLTNLPDLPKAGFCASIFPCLTRPPVVTGLCRVHHGTCSLKESFCLVEGQAVEKLVSLLDHNNEKVVEAALAALSSLLDDEVDIEKGVEVLCEAEGLNPILDVLLEKRTENLKRRAVWVVERLVRNDELAFRISGDPNATTALVDAFQHGDYRTRQIAERALKHIDKIPNFSGVFLNIPH >itb10g01090.t1 pep chromosome:ASM357664v1:10:804135:808062:1 gene:itb10g01090 transcript:itb10g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECWDENHDVGGSTSDESYHFERLHIEPIYDAFICPLTKQVMRDPVSLENGQTFEREAIEKWFKECKDNGRNPVCPLTQRELRSTELNPSMALRNTIEEWNARNEAAQLDMARRSLSPGNAETDILRALHFLMHYCQKSRSNRHVIRNAELIPMVIDMLRSSSRRVRCKALETLRVMVEDDDDNKEILAEGDTVRTIVKFLFHEQYKEREEAVSLLFELSKSETLCEKMGSINGAILILVGLASSKSENVATIENAEKTLENLEKCERNVRQMAEIGRLQPLLTVLHEGSSETKLSMAAFLGELVLNNDVKVLVARNAGSSLIDIIKYSNREGREAGLKALNQISSYEVSAKILIGAGILPPLVKDLFSVGANALPMRLKEVAATILANIVNSGNDFDSVPVGPEHQTLVSEDVIHNFLHLISNTGPTIACKLLQVLVGLTSSPTTVFNVVSGVKNSGATVSLVQFVEAPQNDLRLASIKLLRNLSPYIGQELASCLRNSSAPLSSLIKVISESIGGISEEQAAAVGFLADLPERDMAITKLMLDEKLFQLVTLRIVGIRQGDTRGSRFVTPYLEGLVKVLARFTFVLSNEPDVLELCREYNVAALFIDLLQTNGLDNVQMVSATALENLSQESKKLTNLPDLPKAGFCASIFPCLTRPPVVTGLCRVHHGTCSLKESFCLVEGQAVEKLVSLLDHNNEKVVEAALAALSSLLDDEVDIEKGVEVLCEAEGLNPILDVLLEKRTENLKRRAVWVVERLVRNDELAFRISGDPNATTALVDAFQHGDYRTRQIAERALKHIDKIPNFSGVFLNIPH >itb03g12340.t1 pep chromosome:ASM357664v1:3:11452814:11454363:1 gene:itb03g12340 transcript:itb03g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNPLVSCSSFFLLHLFTFYLSSSFLAQAARAPPTPLPPTKQYYHVHVVNLTSLLPKSYCESHSKGSEKIRMASRNGPCSPSHNPPAKKISSTKQSENANINSNSGYMEYFVTIGVGTPKAEFNMLVDTGSDNTWVLCKPCTTATGCAPNAHLFDPSKSSTYVKGSHDFHSFYGDNSSVSGVWGYDTLTVDKSHVVKKFQLGCGQDIHNTNFGNAAAGILGLGQGKGSLPSQGGSTFKTFSYCLPKSDSQVGYLVFGEEAHKKSSSSKPKFTPLLHPPNKLPTNSQEFRESYYFVELIGITVAGKQLNVDPSVFASPGTIIDSGTSITQLPPKAYSALATAFNQSMASKYPAAQSKQNPKLDTCYNVDGFNSSQVSVPDVTLRFRGGVDVSLRPSGIIIIPPNETNLVCLGFTQIQPDDDQITIIGNHQQRQIGMFFDLQGRRVGFGTTNCAD >itb01g08110.t1 pep chromosome:ASM357664v1:1:6452287:6454884:-1 gene:itb01g08110 transcript:itb01g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMITVFVLDLIAFGLAIAAEQRRSTADIKIHPDSEDEYSYCVYDSDIATGFGVGAFLFLMASQILIMVASRCFCCGRALSPGGSRACAVLLFIICWVTFFIAEVCLLAGSVRNAYHTRYRSSILRDDKQVSCETLRKGVFAAGAAFILFTGIISEFYYASYSKARGTPGQYSGAADIGMAAYK >itb06g25060.t1 pep chromosome:ASM357664v1:6:26318322:26322331:1 gene:itb06g25060 transcript:itb06g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVLSNDRLITPESVKILQSSEAPGPSGSSSCSHKDDPSALAIDIMDDEVGGAGEEEPLLQTVECRICQDEDIANNLEVPCACSGSLKFAHRKCVQRWCDEKGDIICEICHQPYQPGYTAPPRPSEDTSIDIGSAWTIAGNQLNLHDPRLLAMVAAERHLLEADYDDYSDSSASGAAFCRSVTFLLMALLLLKHALSIGNGDGDDDDVSAIFSLFLLRAAAFLIPCYIMAWFISILQRRRQRQEAAALAAAEVAFMLQAGQHRGLHVAIAPRPAPAPGASVTTAEVPTIHTEQTSEERGSNIMDWSKRGRHMIGTWGTKLKILGFPGMVFQLAFYSNLSESCKTALNCFYGRLMIGVNLKTCLDELRLKMGCLSCRVMIILALSIAFFVSFQLDKASALSSMDLALRGSRVLQAVAMQDLHNAQMNAAEAPSRTLDPNQSDKRTVRKGSDPIHNRC >itb13g00230.t1 pep chromosome:ASM357664v1:13:175517:176983:-1 gene:itb13g00230 transcript:itb13g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHKKTTTEVTCSRTLGSTDTYSTAYVTENLGESSHMASKEKDLWANLFKENKDTLGASAALKYFPPVNGNAQLEFNEILTIKERWGFGLLGSFAGRFPGAYAIQSLVNFWKINCKFFTQPNGHVLFRFQSEEDRCTILKNGPYFLFGKRLFLKSLPEKFRLENEDFSTLPIWVRFPFLPIECWSPTALGKIGSCIGIPICADEKTREQRIGREECARVLIDIDTSKRVPDSVLVNMPDGNSFRQKVTFEINPCYCTKCNSNDHFKEKCTGIKPWAKKRGKKAKVTKQTATKSLHQNKSANKEQDTSAAVLEDHTTTQEPCPQASPCIQSVQPEPCPEAKEASEQCTVLASNPPSSKETDDQVLDNASPEKGDENPQEDTQSGTGDAEEESDASSLDGADSDDQREDPKDKGKKPAASESHTGGMGTRSKTKSGPKTSFKNALLSPPKDKVATGSNRAGNRFVPLPVGGKPMARGGGRRQATSVAK >itb04g02710.t1 pep chromosome:ASM357664v1:4:1641245:1642793:-1 gene:itb04g02710 transcript:itb04g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGVSAPELFRIDDLLDFSNADIFSSAVDDSSNQHNHHHLLPHSAETTSSPADNYFFNPHSSADFTHDLCVPSEDAAELEWLSTFVEDSFSDIAANSTMNLSGASSAAAFQGRSRSKRSRSAATGWTAAMQPPPPQETVTHHEISSNKHGANKKRESSEDVPRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKELFRQQQQQQQQQQQEMYGRHFQVC >itb04g11070.t1 pep chromosome:ASM357664v1:4:10597627:10600579:-1 gene:itb04g11070 transcript:itb04g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAIAVEKGSGDFPAKLTGQVFLCCIVAAFGGFMFGYDIGISGGVTSMDDFLKKFFPTVYVKKHEAKEDNYCKYDNEYLQLFTSSLYLAAMVCSLIASKCCRRFGRKPTMQFASLFFLIGAVLNAAAMNLGMLIAGRICLGAGVGFGNQAVPLFISEIAPPKYRGALNCSFQLLITFGILIANLINYGTSRMVGYGWRISLGGAAVPALILGFGSLLIHETPTSLIERGKKDEGLAALKKIRGLENVEREYDEIVRATEMANKIKHPFRNLMKRSSRPQLVCGTLLALFQQFTGMNVIMFYAPVLFQTVGFGANASLLSAVITGCVNAVSTVVTILFADSFGRRFLLVEGAIQMLAGQCVAGGILAVHLQSTNAIPEKYAHILVIVVCVFVAGFAWSWGPLGWLIPSEIFPLETRTAGFFFAVSTNMICTFIIAQAFLTMMCHMKSATFFFFAVWIVVMMCFTIFFLPETKGIPIDEMNERAWKKHWYWKKYCIEEDEQKKSGDQADEDRSVISQ >itb10g18340.t1 pep chromosome:ASM357664v1:10:24346498:24346842:1 gene:itb10g18340 transcript:itb10g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKITAETMQRETNQDNKRIKDNEVKSSRSTDGSGGGEEQRWWRAAVSGGRSEICRGSGRSSYVWEVSTVVEGGRIAAMVEDGGVAAVADD >itb05g20110.t1 pep chromosome:ASM357664v1:5:26334086:26335339:-1 gene:itb05g20110 transcript:itb05g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit a, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00150) UniProtKB/TrEMBL;Acc:A0A1B1W4S9] MDVLSCSINTLKGLYDISGVEVGQHFYWQIGGGQVHGQVLITSWVVIAILLGSATIAVRNPQTIPTGGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTIFLFIFVSNWSGALLPWKIIQLPHGELAAPTNDINTTVALALLTSLAYFYAGLSKKGLGYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPSVVPIPVMLLGLFTSGIQALIFATLAAAYIGESMEGHH >itb06g16570.t1 pep chromosome:ASM357664v1:6:20694357:20695844:1 gene:itb06g16570 transcript:itb06g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKETSSEETCSRSLGSTDTFSTAYLNENGGESRQGAGKKDLWSDLFKINKDTVGASAALKYFPPINGCAQIELNEILTIKEQWGFALLGCFVGRFPGIHAIQTLVKEWKVECKFFTRPNGHVLFRFQSEEDRSAILKDGPYFLFGKRLFLKSLPEKFRLENEDFSILPIWVRFPFLPIECWSPTALGKIASCIGIPICADEKTREQRMGRDEFARILIDVDTSKRVPDSVVVNMPNGDSFRQKITFELNPCYCTKCKSNDHLMDECTGKKPWTKKRNKKGKAAKWVATKASSPKNSVNKGQDSLGAASEYHTNIQEPCPQTNDAPNPCTDLPCQSMHPEHCPEAMEALESCSDLPSNIDSIPEIEDQRPDNASSDEAAKQTEEDTQSVTGEDKERDGTSSLDGTESLDAEQVEQPDDRSKQPNALDPSTSGMSTRSKAKARPKTSFKNALLSPPKDKGTAGGSRAGNRFVSLPVGGKPLARGGGRRQPTSGSK >itb14g02100.t1 pep chromosome:ASM357664v1:14:1700439:1706376:-1 gene:itb14g02100 transcript:itb14g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLDKVVEEVASKAVNKLVQTVAANIDLVSGISSAVQHLTSDIETFNARLLDVSKNQSASDLHILRVAVKKFRAVVNEAQDAVAKYIDLNKRHQDNNALAKCFHKIPVPVCGNINVCAKEIKCIREKMKELLQAHEQDLISLMNYQTNEQDNALQPLQPVVEGGNATGFEKQVETLKTRLTGSSNNFIVIPIVGMPGTGKTTFAYQTFDSTKKLNMFVHSIWVHVSQGFNRKQKYIDILCAITQRPRDEYSGIDENQLAAKIKDHLNDKKYFIVLDDIWEKSHWDSLKEALPQNSKGSRVLVTTRFHSVVDSDEETYILKPLDHKVSWEILEKIVFGKDGCQNNDSLKTLGEDIAKICNGLPLSLVMVGGILRRSRALSYWKHVAENPFQEINRKDQRYHKVVRLSYDHLPHEKLKNCFLYFAVFPMGQEIDALKLIRLWIAEEFIPTIDEWGYSLDLEGEAGNYLKDLVDRNLVMVMKRSADGEIKTCRIHDSLHEFCKTEAAKNDLFHIIDGEQKLDANTISSHRRRLCFHSSSPSPSPSSSTAKIFDVEDDDPSCLLLNCACYNKMKKKKKKSPYPSGEHVHSLLLSSSQKSDIDLKQEELIAIQNAFPLLRVLDIQSFKLSFQLPNELFSLNLLRYLAITINFNLLPKAFKNLHELQTLVIKTTTRTLKISGGVWNMEKLRHVDTNASMQLPSPPQKTRRQNNTRKTNIRTLSSISPTSCQKEIFRMTPHLKKLGVRGNLVELLEEKPQQICLFNNLQMLKGLENLKLYGENEGAMGALKVPMLDMFAHRLRKLTFCNTFFKWDDIRILGSLEELEVLKLDENAFRGEEWDLKSDVVFNQLQYLRIGRTNLETWKIMENSFPVLENLVLRNCTNLKEIPSAFAQVHNLKVIELYHMSENANNSARQIAEQMSENANSSARQVAEQMSENANNSAGQVAEQMSENANNSAGQVAEQISENANNSARQLGEQRRGKENVKGLDLKITPLPPKATVILYLSFFS >itb04g08210.t1 pep chromosome:ASM357664v1:4:5880668:5881174:-1 gene:itb04g08210 transcript:itb04g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKISYGVFYFQAPKVHHIEVLFRCDGKLLEDALCKRIIVTCDEKITKCLDPQAAATSRDALAKTVYSKLFDWLVEKINNSIGQDPDSQLMIGVLDIYGFGSFKTNRCLTGMLLFSSFMEVHFMQGSSFHAEPCMKSLLL >itb09g11520.t1 pep chromosome:ASM357664v1:9:7179254:7182233:1 gene:itb09g11520 transcript:itb09g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MKGPGSYVPPPYIPLSQSDREPESIPSEENAPSQRQQGNDGGEQWSSGICACFDDPQSCIIGLLCPCYLFGKNAEFLGSGTFAGSCLTHFILWSLVNSFCCMLTDGILLGLPGCFVACYACGYRRTLRSKYNLQEAPCGDFVTHFFCHLCAMCQEYRELRERSGDSNSPDLNQTEVRAPQIQTMELASENK >itb07g07250.t3 pep chromosome:ASM357664v1:7:5516840:5520356:-1 gene:itb07g07250 transcript:itb07g07250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSNGGGPKHSLDSDHTRLHELGYKQELNRDLSVLSNFAISFSIISVLTGVNTLYSTGLNFGGPVSLVYGWVIAGTFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGQSWAPFASWITGWFNVVGQWAVSASVNFSLAQLIQVMVLLSTGGMNGGGYKASKYIVIAIHGGLLLSQAILNSLPISLLSFLGQIAAAWNIFGVFLLMILVPLVAKERASAKFVFTHFNTDNGVGIHSEVYIFVLGLLMSQYTLLGYDASANMTEETKNAAKNGPKGIILAIGISLLAGWCYILGITFVVTDIPHLLSQDNDAGGYAIAQMFYDVFKTRYGSGVGGIVCLGVIAVAIFFCGMSSMTSNSRMAYAFSRDGAMPFSAFWHKVNKQEVPINAVWASALIAFCMALTVCMNSFSDFRVLICNIPPESKQNFISLCSPLIHYEFTTVKPCSLLEA >itb07g07250.t1 pep chromosome:ASM357664v1:7:5516840:5520537:-1 gene:itb07g07250 transcript:itb07g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSTGGMNGGGYKASKYIVIAIHGGLLLSQAILNSLPISLLSFLGQIAAAWNIFGVFLLMILVPLVAKERASAKFVFTHFNTDNGVGIHSEVYIFVLGLLMSQYTLLGYDASANMTEETKNAAKNGPKGIILAIGISLLAGWCYILGITFVVTDIPHLLSQDNDAGGYAIAQMFYDVFKTRYGSGVGGIVCLGVIAVAIFFCGMSSMTSNSRMAYAFSRDGAMPFSAFWHKVNKQEVPINAVWASALIAFCMALTSLGSIVAFQAMTSIATIGLYIAYALPSFLRVTLARKSFVPGPFNLGRYGVVVGWISVLWVATISVLFSLPVAYPITHKNLNYTPVAVGGLLVLVVSSWIFGARHWFKGPITNIGHSSSGDA >itb07g07250.t2 pep chromosome:ASM357664v1:7:5516840:5520356:-1 gene:itb07g07250 transcript:itb07g07250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSNGGGPKHSLDSDHTRLHELGYKQELNRDLSVLSNFAISFSIISVLTGVNTLYSTGLNFGGPVSLVYGWVIAGTFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGQSWAPFASWITGWFNVVGQWAVSASVNFSLAQLIQVMVLLSTGGMNGGGYKASKYIVIAIHGGLLLSQAILNSLPISLLSFLGQIAAAWNIFGVFLLMILVPLVAKERASAKFVFTHFNTDNGVGIHSEVYIFVLGLLMSQYTLLGYDASANMTEETKNAAKNGPKGIILAIGISLLAGWCYILGITFVVTDIPHLLSQDNDAGGYAIAQMFYDVFKTRYGSGVGGIVCLGVIAVAIFFCGMSSMTSNSRMAYAFSRDGAMPFSAFWHKVNKQEVPINAVWASALIAFCMALTSLGSIVAFQAMTSIATIGLYIAYALPSFLRVTLARKSFVPGPFNLGRYGVVVGWISVLWVATISVLFSLPVAYPITHKNLNYTPVAVGGLLVLVVSSWIFGARHWFKGPITNIGHSSSGDA >itb12g21020.t1 pep chromosome:ASM357664v1:12:23423215:23427986:-1 gene:itb12g21020 transcript:itb12g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIGGDCVGFSTRGGKCVECVELAISNPTWQLLQLAMVDKLHQPTLLLLILLLPLPHRLLLIPHQISPCYTTASSSSAPPPSFSRSTTSSSYAGAPRAGAGRASGWATAPAASSASGAPTAAAAAASISA >itb01g07750.t1 pep chromosome:ASM357664v1:1:6124148:6126581:1 gene:itb01g07750 transcript:itb01g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein H [Source:Projected from Arabidopsis thaliana (AT4G27540) UniProtKB/Swiss-Prot;Acc:Q8LFP1] MKNETNHSQFSQRRTKMAFSANPLSLSVPEPAFETWLRDSGYLEIVDQRTSDLHRLSSTSAAAATSSSSSAAAPSTTASSAISNGFFVSFFSNVGTLLSLFTFNPFSKLAPSDFSGDTPSWTLKFFGSCESYSFPSSPAQARLRVHENVKRYARNYASLFILIFACSLYQMPLALVGLLSCLALWDLLKLCGGRFGLDQYPTTKLILICIAQCATAAILFSSNVHSAFLCAVGVSYAVMILHASFRKLTPSKQSTQRDGNKRVIIK >itb01g07750.t2 pep chromosome:ASM357664v1:1:6124108:6126581:1 gene:itb01g07750 transcript:itb01g07750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein H [Source:Projected from Arabidopsis thaliana (AT4G27540) UniProtKB/Swiss-Prot;Acc:Q8LFP1] MAFSANPLSLSVPEPAFETWLRDSGYLEIVDQRTSDLHRLSSTSAAAATSSSSSAAAPSTTASSAISNGFFVSFFSNVGTLLSLFTFNPFSKLAPSDFSGDTPSWTLKFFGSCESYSFPSSPAQARLRVHENVKRYARNYASLFILIFACSLYQMPLALVGLLSCLALWDLLKLCGGRFGLDQYPTTKLILICIAQCATAAILFSSNVHSAFLCAVGVSYAVMILHASFRKLTPSKQSTQRDGNKRVIIK >itb08g09390.t1 pep chromosome:ASM357664v1:8:8649788:8653258:1 gene:itb08g09390 transcript:itb08g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGNRFRGNIPGDYGGIESLWKINLSSNALSGSIPESLGDLPNLRFLDLSRNEYTGEIPPALFKSCAKTRFISLSHNGLSGSIPESVGNCQSLEGLDFSFNNISGSLPSQICDIPGMVYLSLRNNVLEGRVQEQVSSCQRLELLDLGSNVFTGIAPFEVLSSVNLTYFNISNNEFQGEIQNIETCNPRLEVLDVSRNGFYGEIPLDIAKCISLKYLDLGYNRLNGSIPVGIADLKNLLLIRLANNAITGTIPNQLGSIEWLEVLDLHNLNLTGKIPDQISNFKYLLEMDISGNRIQGEIPQKLYNMSSLMILDLHHNQINGSIPTTIGNLSNLHSLDLSENRLTGSIPVSLGNLRNLTHFNVSYNLLSGEIPSNETIQNFGASAFVNNSGLCGPPLDPCSTGGSASEGGKPKLSPSTIVAIVAAAIILAGVIVITIINMKARKRRRVEETMIVESTPLASSDSNVIIGKLVLFSKTLPSKYEDWEVGTKALLDKECLIGGGTIGTVYKTSFEGGVSISVKKLETLGRIRNQDEFEQEIGRLGNLEHPNLVPLQGYYWSSSMQLILSEFVQNGNLYENLHGVNYPGTSTGVGNPELNWPRRFRIALGTARALAFLHHDCKPPVLHLNVKSTNVLLDENYEPKLSDYGLVKLLPLLDNYGLTKFHNAVGYVAPELAQSMRLSDKCDVYSFGVILLELVTGRKPVESPGANEVVILCEYVRGLIERGAASDCFDTSLRGFVENELIQVMKLGLICTSEIPLRRPSMAEVVQVLESIRNGSES >itb08g09390.t2 pep chromosome:ASM357664v1:8:8649788:8653258:1 gene:itb08g09390 transcript:itb08g09390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIGIYAFLFWCFWLSEFHVVFTITEKEILLQFKGNISTDPFQSLSSWDPSKSPCQDYSGVACNSDGKVEKIVLYNTSLEGELSPALSGLKSLRSLMLFGNRFRGNIPGDYGGIESLWKINLSSNALSGSIPESLGDLPNLRFLDLSRNEYTGEIPPALFKSCAKTRFISLSHNGLSGSIPESVGNCQSLEGLDFSFNNISGSLPSQICDIPGMVYLSLRNNVLEGRVQEQVSSCQRLELLDLGSNVFTGIAPFEVLSSVNLTYFNISNNEFQGEIQNIETCNPRLEVLDVSRNGFYGEIPLDIAKCISLKYLDLGYNRLNGSIPVGIADLKNLLLIRLANNAITGTIPNQLGSIEWLEVLDLHNLNLTGKIPDQISNFKYLLEMDISGNRIQGEIPQKLYNMSSLMILDLHHNQINGSIPTTIGNLSNLHSLDLSENRLTGSIPVSLGNLRNLTHFNVSYNLLSGEIPSNETIQNFGASAFVNNSGLCGPPLDPCSTGGSASEGGKPKLSPSTIVAIVAAAIILAGVIVITIINMKARKRRRVEETMIVESTPLASSDSNVIIGKLVLFSKTLPSKYEDWEVGTKALLDKECLIGGGTIGTVYKTSFEGGVSISVKKLETLGRIRNQDEFEQEIGRLGNLEHPNLVPLQGYYWSSSMQLILSEFVQNGNLYENLHGVNYPGTSTGVGNPELNWPRRFRIALGTARALAFLHHDCKPPVLHLNVKSTNVLLDENYEPKLSDYGLVKLLPLLDNYGLTKFHNAVGYVAPELAQSMRLSDKCDVYSFGVILLELVTGRKPVESPGANEVVILCEYVRGLIERGAASDCFDTSLRGFVENELIQVMKLGLICTSEIPLRRPSMAEVVQVLESIRNGSES >itb09g02280.t1 pep chromosome:ASM357664v1:9:1336254:1337516:-1 gene:itb09g02280 transcript:itb09g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQNLALQKILPYCLILSLILQHCGADLLPLPPLPLIPVLPPLIPDLLNFLDDRIVQLLPVILNFKNTILSDPLGITLSWAGPNVCKYKGFYCDHPPDNKSATALASIDFNGFQLSAPSLDGFLDQLPDLAIFHANSNNFSGTISPKIANLPFLYELDLSNNRFSGAFPASILNVMDLSFLDIRFNSLSGAVPPQIFGKRLDALFLNNNNFMQALPDNLGSTTAAFLTLANNRFTGPIPSSVGNASATLLEVLFLNNKLTGCIPVELGLLRRATVIDVGGNALTGPLPCSLGYLDMAEQLNFAGNLLYGSVPEPLCGLGNLQNLSLSYNYFTKVGAACRKLITAGILDVKQNCIPGLPNQRSAADCAAFSRTQQQCPNRASYNRIPCKNPKFSQTVPESNKPRSERHLITYSALLRHGL >itb03g16490.t1 pep chromosome:ASM357664v1:3:15423105:15426710:1 gene:itb03g16490 transcript:itb03g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMHELAETVKEMINPKVEVIQVENTPDDPRQRKPDITKAKELLGWEPKVKLREGIPLMEDDFRARLGIPRS >itb03g16490.t3 pep chromosome:ASM357664v1:3:15423358:15426464:1 gene:itb03g16490 transcript:itb03g16490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMHELAETVKEMINPKVEVIQVENTPDDPRQRKPDITKAKELLGWEPKVKLREGIPLMEDDFRARLGIPRS >itb03g16490.t2 pep chromosome:ASM357664v1:3:15423358:15426464:1 gene:itb03g16490 transcript:itb03g16490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMHELAETVKEMINPKVEVIQVENTPDDPRQRKPDITKAKELLGWEPKVKLREGIPLMEDDFRARLGIPRS >itb15g08750.t1 pep chromosome:ASM357664v1:15:6176445:6178588:1 gene:itb15g08750 transcript:itb15g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREREIEIGVDGREEKRREEKRRDETGLRQRFSLLRCFGRFLSPVLLSTFGAIFIRQLLAISRFCVVISAANLTQPSIGKQMHSLSFKSGFHSHVYVQTALVDMYVNCRCLVEAKNVFDEMPSRNSVTWNALITGLIKWWFGG >itb06g07960.t1 pep chromosome:ASM357664v1:6:11817157:11821448:1 gene:itb06g07960 transcript:itb06g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NDL2 [Source:Projected from Arabidopsis thaliana (AT5G11790) UniProtKB/Swiss-Prot;Acc:Q9ASU8] MGDSSDSVSVDIDTISLAGKEHIVKTGHGSISVTVFGDQDKPALITYPDLALNYMSCFQGLFFCPEAFSLLLHNFSIYHISPPGHELGATAISPDEAVLSVDDLADQITEVLDYFGLGAVMCMGVTAGAYILTLFAIKYTKRVMGLILVSPLCKAPSWTEWLCNKVMSNLLYFCGMCSLVKELLLMRYFSKEVRGSVEVPESDVVQTCRRLLGERQSPNVWRFLEAINERPDITEGLRRLHCRSLLFVGENSPFHFEALHMTSKLDRRFSALVEVQGCGSMVTEEQPDAMLIPLEYFLMGFGFYRPSQFSVSPRSPLSPTSISPELFSPESMGLKLKPIKTRISIEV >itb06g07960.t2 pep chromosome:ASM357664v1:6:11818428:11821448:1 gene:itb06g07960 transcript:itb06g07960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NDL2 [Source:Projected from Arabidopsis thaliana (AT5G11790) UniProtKB/Swiss-Prot;Acc:Q9ASU8] MLGNVSYVTDFAFPVIFLQEHIVKTGHGSISVTVFGDQDKPALITYPDLALNYMSCFQGLFFCPEAFSLLLHNFSIYHISPPGHELGATAISPDEAVLSVDDLADQITEVLDYFGLGAVMCMGVTAGAYILTLFAIKYTKRVMGLILVSPLCKAPSWTEWLCNKVMSNLLYFCGMCSLVKELLLMRYFSKEVRGSVEVPESDVVQTCRRLLGERQSPNVWRFLEAINERPDITEGLRRLHCRSLLFVGENSPFHFEALHMTSKLDRRFSALVEVQGCGSMVTEEQPDAMLIPLEYFLMGFGFYRPSQFSVSPRSPLSPTSISPELFSPESMGLKLKPIKTRISIEV >itb09g09390.t2 pep chromosome:ASM357664v1:9:5671112:5672694:-1 gene:itb09g09390 transcript:itb09g09390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNCTLFQKPFSPPNPNLNSPLVSPNQIRHFNHLFPNKKKIRWAKESRLVIANGAEKDSSHSSSQDEEVNDLGVKTALSMLKFYKREISPLLPNSCRYIPTCSEYSMISYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFGEASPPEQ >itb09g09390.t3 pep chromosome:ASM357664v1:9:5671662:5672655:-1 gene:itb09g09390 transcript:itb09g09390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNCTLFQKPFSPPNPNLNSPLVSPNQIRHFNHLFPNKKKIRWAKESRLVIANGAEKDSSHSSSQDEEVNDLGVKTALSMLKFYKREISPLLPNSCRYIPTCSEYSMISYKKYGVVKGTVLTAWRLCRCNPLGNISFFFN >itb09g09390.t1 pep chromosome:ASM357664v1:9:5671112:5672694:-1 gene:itb09g09390 transcript:itb09g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLNCTLFQKPFSPPNPNLNSPLVSPNQIRHFNHLFPNKKKIRWAKESRLVIANGAEKDSSHSSSQDEEVNDLGVKTALSMLKFYKREISPLLPNSCRYIPTCSEYSMISYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFGEASPPEQ >itb10g05840.t1 pep chromosome:ASM357664v1:10:6322843:6326843:1 gene:itb10g05840 transcript:itb10g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNHFIILVIFFIFSSTTYARKLYSNPSSSSNNSSAQIIRHACHPSRFSNLGLDMKRFGFCNKSLPYEVRVKDLVDRMTLAEKVRQLGDKAQGVERIGLPSYNWWSEALHGVSDIGDGATQFSEDGVPGATSFPMVITTAASFNESLWRRVGEAVSSEARAMHNLGHAGLTYWSPNINPVRDPRWGRALETPGEDPFVVGKYAVNFVRGLQDVEGSSGNATTDLNARPLKVAACCKHYAAYDVDNWKGVTREHFDSRVTEQDMLETFLKPFEMCVKDGDVASVMCSYNRVNGIPTCADPKLLKDTIRGEWDLHGYIVSDCDSIDVMVNDHKWLSDQPEDAVAQTLKAGLDLDCGEFYTNYTSGAVVQGKVREAEIDKALKNLYTVLMRLGFFDGSPKFEKLGKNDICSKENIELATEAAREGIVLLKNDNTALPFNPQNIKTLAVVGPHANATEVMIGNYKGVPCNYTSPVDGFSVYTKVDFQMGCADVICKNDSLIFPAMRAAEKADATVILAGLDLTVEAESLDREDLLLPGFQTQLINQVASAAKGPVILVLMSAGGVDISFAKDNNNIPAILWAGYPGEEGGRAIAEVIFGKYTPGGKLPLTWHNNSYVDALPMTSMPLRPLHQLGYPGRTYKFFNGDTVYPFGHGLSYTNFTYKITSPPTQLDVKLNKFQHCRNLNYTAAGASSRNDCPALLIEDLNCTDSHRVKFGVEVTNVGKVSGSEVVMVYWVPPAKIAGAPLKQLIGFKKVMVGAGKTEKVEFLVDPCKSLGLVDYKAYNLLASGEHKIMLGDHDKPSFTLKLNFVRT >itb10g09830.t1 pep chromosome:ASM357664v1:10:13327718:13328913:1 gene:itb10g09830 transcript:itb10g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIILYDSLVVAIVCCLFIWHGLLQSGVSAKNTLAPGEFLLPTDDDYLESSNKLFKLKFMKRPGSGFSSAISCFLSIEWASYAVTSTSEETTIWVAWLGETETWSYISDLIMKRDGRLLISDDVDQELVVNDDQQYPFVMNTTATLLDTGNLVLRGGGRTLWQSFDHSTGNTWMPGMKLGWFGLKKTPQQQRCLTSWTSEENPSPGAFRLCVDPNNTKQLVAMRRGVVYWHSGVWNGNNFPFLQVDFFHSEFVQDKKYTMITSYIDCDSNDNEGCLRSPKQSNCSAGDMFNSTTGFIEWNLWERVSLNTTLNIGDCKEMCIKNCSYTAYATLEESDGTGCKFSSSTKYHYASHGDTLYLRYAGR >itb01g12290.t1 pep chromosome:ASM357664v1:1:11743451:11760153:1 gene:itb01g12290 transcript:itb01g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVSRHTSAPDQQNQPPPPPPPSSAAAASSSPSELPAPSTVPHHALEEQEYLARYMVVKHSWRGKYKRILCISNYTIITLDPGTLSVTNSYDVGSDFDGAQPILGRDDNSNEFNICARTDGRGKFKAFKFSSAYRASILTELHRIRWNRLGTIGEFPVLHLRRRTSQWVPYKLKITYIGVEITELESGDLRWCLDFRDMGSPAIILLSDVYGKKNAEPGGFVLCPLYGRKSKAFQAASGTSNAAITANIIKSAKSMVGISLSVDSSQSLTLSEYIKRRAKEAVGAEETPLGAWSVIRLRTSAHGTVNSPGASLVVGPKGGLGEHGDAVSRQLVLTKVSLVERRPENYEAVIVRPLSAVSALVRFAEEPQMFAIEFNDGCPIHIYASTSRDSLLAAVRDVLQTEGQSPVPVLPRLTMPGHRIDPPCGRVHLQYPATHRSVADMETATMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYGGVPHNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFIACLRRLLGSRSAASHVMSFPAAVGRIMGLLRNGSEGVAGETSGLIAILVGGGPGETNVQTDTKGERHATVMHTKSVLFAQPSNLIILVNRIKPISVSPLLSMSIVEVLEAMICEPHGETTQYTVFVELLRLVAGLRRRLFALFGHPAESVREAVAVIMRTIAEEDAVAAESMRDAALRDGALLRHLLHAFYLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSATNSMEDLSNQDGSLLSRRQRRLLQQRRIHPGKGITSNGHPLPSVNNYGVGNQPQHTSAVPFRSQDGYQKAAVEPTPGQMPPMHSSAGNVGESIRTEASTIGLLQNEQSAALSSGDAPSTSTYESVEPNSATAASSDASMVSQNAGLPAPAQVVVEDAMVGCGRLLLNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHNLDVEKERTEDIVPGAATRESMTVQDGVPQISWNYTEFSVRYPSLSKEVCVGQYYLRLLLESGSSGRAEDFPLRDPVAFFRALYHRFLCDADTGLTVDGAIPDEMGASDNWCDMGRLDGFGGVGGSSVRELCARAMAIVYEQHYSTVGPFEGAAHITVLLDRTDDRALRHRLLLLLKVLMNVLSNIEACVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYVNKDGAQVGPVEKDAIRRFWSKKEIDWTTKCWASGMTDWKRLRDIRELRWALAVRVPVLTPTQVGDAALSILHSMVAAHSDIDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSVVESAAALLKAVVTRNPKAMIKLYNTGAFYFALAYPGSNLLSIAHLFSVTHVHQAFHGGEEAALSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYDYAPMPPVTYPELKDEMWCHRYYLRNLCDEVRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLDEVSRDAAAKKQSFENLHEIPNISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNVLEPFKYAGYPMLLNAITVDNDESNFLSSDRTPLLVAASELIWLTCASSSLNGEELVRDGGIKLLANLLSRCMCVVQPSTSASEPSTVIITNVMQTFSVLSRFESARAEILEYSGLVDDIVHCTELELVPAAVDAALQTIAHVSVDCVFQDALLKAGVLWYLLPLLLQYDSTAEESDTSEAHGVGESVQIAKNLHAKQAAQALSRLSGLATGENPTPYNEAAADALKALLTPKLASMLKDQSPKDLLSKLNTNLENPEIIWNSSTRAELLKFVDQQRASQGPDGSYDLKDSHSFAYEILSKELFVGHVYLRVYNDQPDYEVSEPEAFSVALVDFISCLVSSQVAVGSNVPLSSPSPKTPEFEIDETNELSDEQNLPENNPSSPSGGKVMKEEFELIKNLQFALVALQHLLTGKPDLASVFAAKEKLLPLFECFSVRIASATNIPQLCLSVLSRLTTHAPCLKAIVADDSSLLLLLQMLHSSPNCREGALHVLYALASTPELAWSAAKHGGVVYILELLLPLQKEVPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVSALDQTTETPELVWTPAMAASLSAQVATMASDLHREQMKGRVVDWDVPEQASGHQDMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDAQSVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAVAYESRRETMAFEEVKDADHSNEAYEPADGSAKLPSPTPQELVRLSCLRVLHQLAASTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVSAGNRARDALVAQGLKAGLVDILLALLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFAAEGAHCMKVRDILNASDVWSAYKNQKHDLFLPSNAQSAAAGVAGLIENSSSRLTYALTAPPSAQSRPPAIASSESNGD >itb05g08510.t1 pep chromosome:ASM357664v1:5:11943486:11948154:-1 gene:itb05g08510 transcript:itb05g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRNLSSFRQSLLSQPIGIRNGLVGASTQICHFASKGKRKSSSDESDSGEENLSKKDLALKQALDQITKSFGKGSIMWLGRSVCPKQVPVVSTGSFALDIALGVGGLPKGRVIEIYGPEASGKTTLALHVIAEAQKQGGYCCFVDAEHALDPALAEAIGVNTGNLLVSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELEGEMGDPHMAMQARLMSQALRKLSHSLSLSQTVLIFINQVRAKLSTFSGFGGPQEITCGGNALKFYASVRLNIKRVGNVIKGEQTIGSQVSVKIVKNKLAPPFRTAEFELEFGKGISRESELIELGLKHKFISKMGSAYYGMNNQTFHGKDALKQYLAKDISAREELTMKLREKLLHDVGNKDAAGTEGENGYPVEVVSGDTTDDEVVATAAEV >itb05g08510.t2 pep chromosome:ASM357664v1:5:11943486:11948147:-1 gene:itb05g08510 transcript:itb05g08510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRSVCPKQVPVVSTGSFALDIALGVGGLPKGRVIEIYGPEASGKTTLALHVIAEAQKQGGYCCFVDAEHALDPALAEAIGVNTGNLLVSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELEGEMGDPHMAMQARLMSQALRKLSHSLSLSQTVLIFINQVRAKLSTFSGFGGPQEITCGGNALKFYASVRLNIKRVGNVIKGEQTIGSQVSVKIVKNKLAPPFRTAEFELEFGKGISRESELIELGLKHKFISKMGSAYYGMNNQTFHGKDALKQYLAKDISAREELTMKLREKLLHDVGNKDAAGTEGENGYPVEVVSGDTTDDEVVATAAEV >itb05g25220.t1 pep chromosome:ASM357664v1:5:29731916:29736196:1 gene:itb05g25220 transcript:itb05g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPSTQPQDGGGTSITDLDVDALVNCASFLSIQDVLNMALSSKHLQAAAFSDSVWLSFFRKRWPSVIPTSFPHTLGAREAYLSRLAEVQQFKFSDPFVAEFNTEAKSIDNLLFDKNNIIYSQGALLTVLMIDEYLNGRDPRVELRGHTARISCVRLYPIDETPFHRSQDQKNDNLVVTSSFDHSIRIWWKGRCVRCLRGHNGPVSALSDGLLDGCSGKLMASGGEDGTVRLWSLESGGQRGQHALKATLYGHEKPVVLMAVARHRTSLLVSVSKNSKVMVWDTTTSSAARSSCCVGMTTLPGTPVAMKCHESLVYVAAGSSVVGIDLITMNKVLTLKHEEDIHSFQMVPLKSLICTGLTSRAMLWDISKCVDTQTGEIVAELSGHRGRVNLLGMDAYKIVTGGQEDLRINIWETETGQQTNSLICCSGDDPHPGCGFSGMAVDGCRIVTTFSDQQYGVMHFMNFNNATSPVLFNSGPVDQSKFWCPISFSDTDESDREQEAEILGD >itb11g02950.t2 pep chromosome:ASM357664v1:11:1507057:1510070:-1 gene:itb11g02950 transcript:itb11g02950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEVAEANVLCCADEAALCWSCDAKVHAANKLASKHQRVPLSGSSSPMPKCDICQETVGYFFCLEDRALLCRNCDVAIHKANAYVSGHQRFLLTGVKAGLESIEAGPSENSQSGEKVLEAQSRSVSRTNAPGPSNHQYNKVPPVQISGIGDLAPTKAQLGGSATVNVSQWQLEEFLALGDLDQNYCYMDDNSSKAGSGKVGGSDCSSVLKVNEKEPDGDECLGQVPEAPWAVPQIPSPSTASGLYWPKTYRNPFDAAVFVPDVCYTPSQNPHHEQPNSTSSKRQRQY >itb11g02950.t1 pep chromosome:ASM357664v1:11:1506978:1510070:-1 gene:itb11g02950 transcript:itb11g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEVAEANVLCCADEAALCWSCDAKVHAANKLASKHQRVPLSGSSSPMPKCDICQETVGYFFCLEDRALLCRNCDVAIHKANAYVSGHQRFLLTGVKAGLESIEAGPSENSQSGEKVLEAQSRSVSRTNAPGPSNHQYNKVPPVQISGIGDLAPTKAQLGGSATVNVSQWQLEEFLALGDLDQNYCYMDDNSSKQAGSGKVGGSDCSSVLKVNEKEPDGDECLGQVPEAPWAVPQIPSPSTASGLYWPKTYRNPFDAAVFVPDVCYTPSQNPHHEQPNSTSSKRQRQY >itb08g03440.t1 pep chromosome:ASM357664v1:8:2827283:2832280:-1 gene:itb08g03440 transcript:itb08g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTASCFKIIACGSDSVDRDELEASESKNSSDRRGWSFGKRSARHQVLSNTVISETPSGDKDSAEFAGISNVPEKTPATQLSSFQDQEKVPEAQHQSKFPDKAYGTEPKSNIQEKTHVLEPDSNVSEKNSIIDCIDESKLTAPVDTKLLKMSATEADDSKANVTPEEQDVIVIQTAIRLFLAKKVLMKHKHVIKLQAAVRGHLVRRHAVGTLRCAKAIVKMQGLVRARYAHHCIQRDEKHEKNHHGSKTSGKENSVVKPQVTYASIEKLLNNKFACQLLESSPRTKTINIKCDPSKSDSAWKWLERWMPVLPMESLNTEISGEKKEKKMLEQFDNFTETVAQSESDFESSDIRCGIETSAVPSESEDNLITYDADSLDFQAHQLASPSFSFKLEEPLPQDIGKTTSRDNFSFLPVPFTGTVMKPYVEYDSIPVKNETENKQQVNSLKRAAPEQPEGRTFSFGSRKASNPAFIAAQSKFEELSSAANKTKVTSLSFQDTESCNYTISSTNNNTVREREINPVENSDAHSSVQVGGSECGTELSVTSTLDSPDRDDIGVHEFEQEVNSSMDTSIHHHHSNENLDIDANNQSFKGVDMAPSDSIQPERPDSSKEDNGHTDTVVAQDLPEELTPEPNATDVQTGQGSETGSKVCKSTEASPTSHVTAPESEAKSFAQASIKNKIKSEKSGSNPKHGSSSAGERVLSNSNHDAGTNSPEQSSKDHKSGKRQSSFGSTKSDNVDEEPRDSSSSSSLPSYMQATKSARAKAVSNSSPRSSPDAHNKDAYIKKRHSLSGSNGRQGSPRIQRSLSQAQPGAKGNGTHSPHERKWQR >itb08g06270.t1 pep chromosome:ASM357664v1:8:5362472:5363485:1 gene:itb08g06270 transcript:itb08g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFVDQENNKDSANMFTKIHHSPHHPYHHPPPPQNPNFHLSGADETNNRSPASPSGGDRKEAIAPQPVSARPPPQAAAAASGGSDGASIEVIRRPRGRPPGSKNKPKPAVIITRDSEASMSPYILEVPAGSDLVESVTRFCRKHNTGLCVLNGSGTVSNVTLRQPSSTPGATVTFHGRFDILSISATVVPPNASFMYNGTGSAFTISLAGPQGQVVGGAVVGPLLSAGTVYLISATFNNPSYYRFPTEEETRNSGGGGSGGSEGRHPSPQTAVSGGGEGSAAAPEPLYSGHLGSDVIWAPTARQPPPY >itb12g28130.t4 pep chromosome:ASM357664v1:12:28183273:28186058:1 gene:itb12g28130 transcript:itb12g28130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESESELGSIGEYKILCRLGGGAGAQVWKAEHKRSGQVVALKQIRLSNLTPHLNNCLHSSLTFLSSVNHPNIIRLFHFFQAEDCVFMVLEFCDGGNLASYIHHHGRVQQCVAKGFIQQLGAGLQLLNSHRIIHRDLKPENILLSLCGNGPVLKIADFGMSRTLNPDEYAETVCGTPFYMAPEVLQFQRYNEKVDMWSVGAILFELLNGYPPFRGRSNVQNIKSCTRLPFSELILAELHPDCIDLCSRLLSINPG >itb12g28130.t1 pep chromosome:ASM357664v1:12:28183273:28186052:1 gene:itb12g28130 transcript:itb12g28130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESELGSIGEYKILCRLGGGAGAQVWKAEHKRSGQVVALKQIRLSNLTPHLNNCLHSSLTFLSSVNHPNIIRLFHFFQAEDCVFMVLEFCDGGNLASYIHHHGRVQQCVAKGFIQQLGAGLQLLNSHRIIHRDLKPENILLSLCGNGPVLKIADFGMSRTLNPDEYAETVCGTPFYMAPEVLQFQRYNEKLDR >itb12g28130.t3 pep chromosome:ASM357664v1:12:28183296:28186058:1 gene:itb12g28130 transcript:itb12g28130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESESELGSIGEYKILCRLGGGAGAQVWKAEHKRSGQVVALKQIRLSNLTPHLNNCLHSSLTFLSSVNHPNIIRLFHFFQAEDCVFMVLEFCDGGNLASYIHHHGRVQQCVAKGFIQQLGAGLQLLNSHRIIHRDLKPENILLSLCGNGPVLKIADFGMSRTLNPDEYAETVCGTPFYMAPEVLQFQRYNEKLDR >itb12g28130.t2 pep chromosome:ASM357664v1:12:28183281:28186058:1 gene:itb12g28130 transcript:itb12g28130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESESELGSIGEYKILCRLGGGAGAQVWKAEHKRSGQVVALKQIRLSNLTPHLNNCLHSSLTFLSSVNHPNIIRLFHFFQAEDCVFMVLEFCDGGNLASYIHHHGRVQQCVAKGFIQQLGAGLQLLNSHRIIHRDLKPENILLSLCGNGPVLKIADFGMSRTLNPDEYAETVCGTPFYMAPEVLQFQRYNEKVDMWSVGAILFELLNGYPPFRGRSNVQLLQNIKSCTRLPFSELILAELHPDCIDLCSRLLSINPG >itb07g07400.t1 pep chromosome:ASM357664v1:7:5605377:5608530:-1 gene:itb07g07400 transcript:itb07g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGTIPEEIGGLSFLSTFNISTNSFHGHIPQSIGILTKLQSLDLSYNNLTANIPATIYNISSLQFVDLRNNDLSGTLPRGICDNFRQLQGLYLSANRFSGEIPSSLPKCMDLRFFNLGDNEFHGSIPPEIGNFSKLEWLMLYGNNLTGDLPWTIFNISSLVRLNIRMNEISGILPNDLCYQIPELEYLDISKNQIHGEIPQALSSCRRLQVLSMSNNQLSGRFPTQICNISSLQELYLT >itb04g18640.t1 pep chromosome:ASM357664v1:4:22222407:22224950:1 gene:itb04g18640 transcript:itb04g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSVASPPSLTFAPARNLSKSLFHGVRIAHVCPASSLRTSHSSSSSLVVRMAKKEEELKEIRAKTTEEINEEILNLKGELLMLRLQTSVRNEFKSSEFGRMRKRVARMMTVKRERELEEGINKRLSRKVDRKWMKSVVPKPPPSLLKLQEEAEEEAKKSSA >itb09g06820.t1 pep chromosome:ASM357664v1:9:3921597:3922397:-1 gene:itb09g06820 transcript:itb09g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKWLVFLYISSIALLAAAQPKLRYSLCNQTGNYEHNSIYHHNLNTLLSSISSKLNEHGFYNASTGQNPDRASVTALCRGDVEPEKCRSCVDNAARKMVKVCPNEKGAFGGYEECMIRYSNESTVAGSWSRFPQLYFYIDSLNNGSINSSSQDRFDEDLRKLLDGLRDRAANGGDFLKFAGDNATGPELQTIYAVVQCSPELSAKDCSDCLTSAFGDLSKCPCHGKRRGGIIRPSCNFRYENYSFFDYNKVMIEATPPRSGKFL >itb02g17450.t1 pep chromosome:ASM357664v1:2:13472006:13474418:1 gene:itb02g17450 transcript:itb02g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSKEVEMQQDQRKKNSKEVEFFTEYGDENSKEVEFFTEYGDANRYKILFFTEYGDANRYKILEIIGKGSYGVVCAALDTHIGEKVAIKKINDIFEHISDAIRILREVKLLRILRHPDIVEIKRIMIPPSRRDFKDIYVVFELMESDLHQVIKANDDLTHEHHRYKILEIIGKGSYGVVCAALDTHIGEKVAIKKINDIFEHISDAIRILREVKLLRILRHPDIVEIKRIMIPPSRRDFKDIYVVFELMESDLHQVIKANDDLTHEHHR >itb06g09950.t1 pep chromosome:ASM357664v1:6:14215094:14218918:-1 gene:itb06g09950 transcript:itb06g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKSRIAALEDARNQVVEDTVELPKFFHEIIIRVVMLRSCKSDCFGLLLAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSGAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >itb05g15110.t3 pep chromosome:ASM357664v1:5:22470502:22472701:-1 gene:itb05g15110 transcript:itb05g15110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQSSCTNSMDSLPTHFSSKTRPLPAYEEIKIKSLIYRMIWSSGLGRVILPRRRRSSGRDKEKNLEHNKAWLLAESGGDLSNADPQSVHSSFRFSLCSKVELESMSSVSSCSSATVLMVNLDNGLTDPGLKELKWRRFESLERSISPVAHSLVRFSFAEIVSATRNFSQGRVLGRGALSYVFRGRIGLPWTVVAIKRLDKEDKETPKAFCRELMIASSLHNPYIVPLLGFCIEPEEGLFLVYKYVSGGNLERYLHGKKRGVKGGPALSWSARHKVAVGIAESICYLHNGTGRCVVHRDIKPSNILLSSRMTPKLCDFGLATWTPAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDIYAFGIVLLELLTGRKPIEGSRGPGEENLVLWVGHLPIFCLISHICL >itb05g15110.t4 pep chromosome:ASM357664v1:5:22469790:22472701:-1 gene:itb05g15110 transcript:itb05g15110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQSSCTNSMDSLPTHFSSKTRPLPAYEEIKIKSLIYRMIWSSGLGRVILPRRRRSSGRDKEKNLEHNKAWLLAESGGDLSNADPQSVHSSFRFSLCSKVELESMSSVSSCSSATVLMVNLDNGLTDPGLKELKWRRFESLERSISPVAHSLVRFSFAEIVSATRNFSQGRVLGRGALSYVFRGRIGLPWTVVAIKRLDKEDKETPKAFCRELMIASSLHNPYIVPLLGFCIEPEEGLFLVYKYVSGGNLERYLHGKKRGVKGGPALSWSARHKVAVGIAESICYLHNGTGRCVVHRDIKPSNILLSSRMTPKVRKGCQMA >itb05g15110.t2 pep chromosome:ASM357664v1:5:22469758:22472701:-1 gene:itb05g15110 transcript:itb05g15110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQSSCTNSMDSLPTHFSSKTRPLPAYEEIKIKSLIYRMIWSSGLGRVILPRRRRSSGRDKEKNLEHNKAWLLAESGGDLSNADPQSVHSSFRFSLCSKVELESMSSVSSCSSATVLMVNLDNGLTDPGLKELKWRRFESLERSISPVAHSLVRFSFAEIVSATRNFSQGRVLGRGALSYVFRGRIGLPWTVVAIKRLDKEDKETPKAFCRELMIASSLHNPYIVPLLGFCIEPEEGLFLVYKYVSGGNLERYLHGKKRGVKGGPALSWSARHKVAVGIAESICYLHNGTGRCVVHRDIKPSNILLSSRMTPKLCDFGLATWTPAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDIYAFGIVLLELLTGRKPIEGSRGPGEENLVLWAKPLLQQGALEKLLDLRLKFPGKTLHKIARMIRAAAACINSEESRRPNIGEIIAILRGINPEQSKKKGNLTGNNSSTIDCYAQLQQTKTEMKSHLALAMLGVSEFEDEDFYCR >itb05g15110.t1 pep chromosome:ASM357664v1:5:22469758:22472701:-1 gene:itb05g15110 transcript:itb05g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSSGLGRVILPRRRRSSGRDKEKNLEHNKAWLLAESGGDLSNADPQSVHSSFRFSLCSKVELESMSSVSSCSSATVLMVNLDNGLTDPGLKELKWRRFESLERSISPVAHSLVRFSFAEIVSATRNFSQGRVLGRGALSYVFRGRIGLPWTVVAIKRLDKEDKETPKAFCRELMIASSLHNPYIVPLLGFCIEPEEGLFLVYKYVSGGNLERYLHGKKRGVKGGPALSWSARHKVAVGIAESICYLHNGTGRCVVHRDIKPSNILLSSRMTPKLCDFGLATWTPAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDIYAFGIVLLELLTGRKPIEGSRGPGEENLVLWAKPLLQQGALEKLLDLRLKFPGKTLHKIARMIRAAAACINSEESRRPNIGEIIAILRGINPEQSKKKGNLTGNNSSTIDCYAQLQQTKTEMKSHLALAMLGVSEFEDEDFYCR >itb01g25010.t1 pep chromosome:ASM357664v1:1:30515568:30519709:1 gene:itb01g25010 transcript:itb01g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLDSAKDIPKFVEFFKSAKRSRKRFCCLLAMGITRSRQEMGKKVREMKTRDSASLKAGQQFMNRLKRKCMHGRKQRCCVRGIQGFIPSTSSIITIDC >itb07g24240.t1 pep chromosome:ASM357664v1:7:28494412:28497761:-1 gene:itb07g24240 transcript:itb07g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVSRTDYQLIDISEDGFVSLLTESGGTKDDLRLPTDEALLAQIKDGFNEGKDLILSVMSAMGEEQICGLKDIGKN >itb03g06840.t1 pep chromosome:ASM357664v1:3:4943899:4945244:1 gene:itb03g06840 transcript:itb03g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALGYAGVSIFVSLTSIWGFFGRIISGMASEHHIKKLAMPRPIWNAVTKFLMIIGYAVMAVGFSCSLYVGSILVGMCYGVQLAVTIPIASDLFGLKSYGLLYNILIVNLPLGSFLFSGLLAGYLYDAQAVSSGDGGSVCTGPHCYRLVFVIMAAACGFGWVLDMVLVVRTRKVYRKIFERKNSYLE >itb04g17690.t1 pep chromosome:ASM357664v1:4:20809581:20810966:1 gene:itb04g17690 transcript:itb04g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSFAQQVAQRIHKGKAPEDESSRKNTRTEYIEVPTGNQILLMTPAMLSRYKQLLNFPIVQWKYVDLTVLEEFECKYGLERLIQEPYWNHLLSLRQDTFIPLVHEFIASLHVEGVTGDLYSPTIKFRLFNQDHHISANNLGVLLGFYEEGDQSKHWYRRLKHDFGDRDTPRKYWAMIAKPGIDWVGSRVRVFHIVREDLRVLWKVIAHSWEGRPEAYDRVSKTELFMLWSMDTGSSVNMSLICKNWLIAQQQESTRAIFIGPLVTRLCVALGHQMKMHWFEHRLQGAHIVPLLGRRKAKSKTSSVN >itb04g09370.t1 pep chromosome:ASM357664v1:4:8691665:8693160:1 gene:itb04g09370 transcript:itb04g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFLACFGLTKKQRRRKPRNKTQPTHQVQGRYVPLDSEKPEEPDSETRSDGSVSKESLAVKVKKKVSFNLNVKTYEPLHQEEIEDDDEEKPKCEYPSDYRYYNCRESFDEEDEIQLEESDLEDDEDIDDYDGDDEDYTRDDNEDNHHMLKQKTENTAAVSGGDEPKSRVLLSDSCESNRNTRNRSQYVNSVLNPVENLTQWKAVKARERERTQVKNQKENIRLEQEEDHKPAIAKSPSDPLSLCNKRNVSDFQPPSRDLQVDASLSNWLIWSENKPPSGAVSLQKWASC >itb13g03500.t1 pep chromosome:ASM357664v1:13:3389970:3392266:1 gene:itb13g03500 transcript:itb13g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLIMGKTSAAAANKDKDKDKKKPISRSSRAGLQFPVGRIHRLLKERTTANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >itb10g22500.t1 pep chromosome:ASM357664v1:10:27324836:27326215:-1 gene:itb10g22500 transcript:itb10g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRYVSVALFLLLWQTDAISAVQRGEMDNMLTALRSNGYSLFSNAIITSDLSYELVDGGQSSFTFFAPTDSSLFALDMINTASDYTATLRCHVIPLRLSSFGLRRLRAGSKLRSLAADHDLSIDRRRSIDSGDVITIDGVDVVVPGLFYGRNVAVHGLRGILTCRSHGSAPQVVVRSESPPKSEDPSSDHRSQPSVINRSHAPPSEANLTAVINRTHAPPSEANLTAVINRTHAPPSEANLTVVKDSSRSPDKHRLKRPLPSKNISDDNSFDHSPTGNHKLTSSPSRDLSDFIDPPSAAPLSYRKVSSTTLQNYFHGGFSPTYSPAMEYLPPEVAQTFSMWTDTSHAPSFSPGTELEPPEPEFSENDDPMVDVIFPPSPAITQDHRYRQKALLVENAELHRYIPVVSPEDLTGIMETVPKSLGPSDAKIADCPAADESAHARLPRGYIYTSHITAC >itb04g25280.t1 pep chromosome:ASM357664v1:4:29884638:29888079:-1 gene:itb04g25280 transcript:itb04g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVEADVATGQPKKRTFKKFSYRGVDLDALLDMPTDQLVNLFVARARRRFRRGLKRKPMALIKKLRKAKLDAPPGEKPEPVKTHLRNMIIVPEMIGSIIGVYNGKTFNQIEVKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >itb03g10050.t1 pep chromosome:ASM357664v1:3:7821572:7822317:-1 gene:itb03g10050 transcript:itb03g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSPYYHLIFTSLAFRLDLLAFQKIKVQEKAQLASRTAGLAGGWKPVPGDSIWKDVFAQQVLSKRMVRSAAMDRLIERIGSEAKANDDAVWVLRNAWFAIFCILLAMCFGVEMDEETIDSVDQMMKVVLIVLDPRVDDFLPILSPLFSKKRKWVLEVRKQQIQPIVSLIEKRRRPTPPCLNAPPVMPLQFSPP >itb03g28740.t1 pep chromosome:ASM357664v1:3:29428830:29435429:1 gene:itb03g28740 transcript:itb03g28740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKKCRIWWPDHLSSTSQLSSHPCHFLFGWFISSSEASLDIVIAFSCSEPTLNSSASCLDLQGILHEINKNMPMFPQDDCKLSLLGCYVADGGDCQLINVGAEAVVNSSGQRIYFSGDSDGQDTSGRMNGKLSYGCHKFDVLALQYSSPAEINWIQLAYDRSVIHGRNIALIPNLLCLKWKGLVITQLDVHVIVYETPLFGSHYYSLGYCPSEQVTAHSKKPMWVEDLYQMKLQTDLNIVIQAINTANATKVLFESYFPAKRSTTSFHVFSMFTNFAWPLFAIFLALLSTILFIILQCFHVVWSYVSRSYIYTMLVNIFCKTCKNIKLCFSQLLYWPVFLQDSGLRCQSCVEYAEMAALRRHSMWSSIVVDLLLGNLLSILLYSRAEAACLFVLNSADGITNHILRTGCVWLMGNPAGFKLNAELAGLLGMVSLNAIQIWSTLWLFLNFFLAYLMKMIAVSGSLFGLTTAAALTIDIISLATMHLSALHWLLSLIYSWQIQAISALWRLFRGRKWNPLRQRLDSYGYTVEQHVVGSLLFTPLLLLLPTVSAFYIFFTILNATISLICIVIELGILVIHATPYTKVAVWLVRKKRFPSGIWFEIVSSQCDVTNSSYTGSAAEINLEPSAHCFKSLAVVSFLRGNYLSLREVVSPHYGCVFSAVSRSSMALSAYGILTGKSISYTLGSGQHMKLPWMVIPCKAYWRLCRDAILARRED >itb10g00680.t3 pep chromosome:ASM357664v1:10:455529:459441:1 gene:itb10g00680 transcript:itb10g00680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIQSPEMMNLGSGSCGRKAFETLGDHHHHHKPHHFHHLPYEVLLLVLIFCAVSLSTLVLYHWSYYIHLSPNSLAHVYSPNKAEPDAFSATPHGNLYSMAGEGNDLEKLLKKTAFVDRTVIITTLNAAWTSPNSVFDLFLESFGIGNQTRHLLNHLLVVALDQKAYSRCLELHPYCYALHTNGMNFTDEAYFMSSRYLEMMWARIDFLRTVLEKGYNFVFTDADIMWLRNPFLHFHEDAEFQIACDHFYSNSSDLNNLPNGGFNYVKSSRRTIQFYKFWYNAKDFFPGSHDQDVLNRIKFHPYISTALGLQIKFLDTKFYSGFCELSDDVDAVITVHANCCVGLENKIHDLRLVLDVWKEYLSMSDEERSLENLSWGLPQLCGNVGGDTAPDNSTTL >itb10g00680.t2 pep chromosome:ASM357664v1:10:455529:459441:1 gene:itb10g00680 transcript:itb10g00680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIQSPEMMNLGSGSCGRKAFETLGDHHHHHKPHHFHHLPYEVLLLVLIFCAVSLSTLVLYHWSYYIHLSPNSLAHVYSPNKAEPDAFSATPHGNLYSMAGEGNDLEKLLKKTAFVDRTVIITTLNAAWTSPNSVFDLFLESFGIGNQTRHLLNHLLVVALDQKAYSRCLELHPYCYALHTNGMNFTDEAYFMSSRYLEMMWARIDFLRTVLEKGYNFVFTDADIMWLRNPFLHFHEDAEFQIACDHFYSNSSDLNNLPNGGFNYVKSSRRTIQFYKFWYNAKDFFPGSHDQDVLNRIKFHPYISTALGLQIKFLDTKFYSGFCELSDDVDAVITVHANCCVGLENKIHDLRLVLDVWKEYLSMSDEERSLENLSWGLPQLCGNVGGDTAPDNSTTL >itb10g00680.t1 pep chromosome:ASM357664v1:10:455529:459441:1 gene:itb10g00680 transcript:itb10g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIQSPEMMNLGSGSCGRKAFETLGDHHHHHKPHHFHHLPYEVLLLVLIFCAVSLSTLVLYHWSYYIHLSPNSLAHVYSPNKAEPDAFSATPHGNLYSMAGEGNDLEKLLKKTAFVDRTVIITTLNAAWTSPNSVFDLFLESFGIGNQTRHLLNHLLVVALDQKAYSRCLELHPYCYALHTNGMNFTDEAYFMSSRYLEMMWARIDFLRTVLEKGYNFVFTDADIMWLRNPFLHFHEDAEFQIACDHFYSNSSDLNNLPNGGFNYVKSSRRTIQFYKFWYNAKDFFPGSHDQDVLNRIKFHPYISTALGLQIKFLDTKFYSGFCELSDDVDAVITVHANCCVGLENKIHDLRLVLDVWKEYLSMSDEERSLENLSWGLPQLCGNVGGDTAPDNSTTL >itb05g01710.t1 pep chromosome:ASM357664v1:5:1353196:1353708:-1 gene:itb05g01710 transcript:itb05g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYGSSVPDNAAVLPQAENMMFGSTEFINIPTLENVPNLGWGAGNKDMLGHGRPPMNVSTENMEDMLGLGVPPPMPVSAYSGISTVENMPSLGWTTFPPTGNMLGLGVPPMTTVWPPEDIYKAAENMDVFGLGYPLMNSSTYSSISTANLECIPVITECPPVATTTSQ >itb04g06950.t1 pep chromosome:ASM357664v1:4:4627973:4630390:-1 gene:itb04g06950 transcript:itb04g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEYRQYHSTSYVDVSKIYGREGEKGTAPNVFELETILCNLRSSISGRRFLLVLDDVWTEDFRKWEPLRDSLTTGAGGSKVLVTTRNERVARIMETTYTLRLEELPSDDCWSLFSKIAFHGRSKDKCEELEEVGKARHLTLVRAEEVPFPASIGDTRKLHSFWVQSFYDSPPIVSEIDRVTSELFQSLTCLKSLDLSRNRLYQLPKELGKLTNLRYLNLSHNPLWELPETLCGLYNLQTLKIVSCDHLRKLPQGIGMLKNLRHLEIDRTESLKTLPKGVRNLDHLRTLSKFVMVSSSDSGDSICCLQDLKNLNQLQGSLKIEGLGFVEDAIEAEQAELKNKKQLVNLHMDFKPSVEDEKTMELIEALEPPQELKYLQISFYGGTQFPSWMVYLSNLKKLHFQDCQNCVHLPPLGKLPALETLTIENMQNLKTIGLEFLGIADEVNSNGSTATGSLKGASSSTIFGFPRLKKLKIVGAGNWEDWEVISRVGEENIKIMPCLLYLKLSDCCKLKQVPLALLHKAPLRKLRIQKCPILQQQYRKVTGQLWSNISHIPKIRIS >itb04g29860.t3 pep chromosome:ASM357664v1:4:33121314:33124251:-1 gene:itb04g29860 transcript:itb04g29860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRWKGKGAEAKALADPMSSIVSQLQSSLVKSNSLGLLSGSSVLLEADEEQTDLLNRACFGKPVISAQKNNQWFQFSLEEAFYLWYSLKCINVLDENKSELSVEQLWKYMISKRENFPSLCKAYSHLRSKNWVVRSGSQYGVDFVAYRHHPALVHSEYAVLVLSSEGGNGNDRLRVWSDYHCTLRLCGSVAKTLLVLHVEKHNLDSESPSSLDSYIIEERTITRWSPEQCREDKVVRHCPYVCCA >itb04g29860.t4 pep chromosome:ASM357664v1:4:33122120:33124268:-1 gene:itb04g29860 transcript:itb04g29860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRWKGKGAEAKALADPMSSIVSQLQSSLVKSNSLGLLSGSSVLLEADEEQTDLLNRACFGKPVISAQKNNQWFQFSLEEAFYLWYSLKCINVLDENKSELSVEQLWKYMISKRENFPSLCKAYSHLRSKNWVVRSGSQYGVDFVAYRHHPALVHSEYAVLVLSSEGGNGNDRLRVWSDYHCTLRLCGSVAKTLLVLHVEKHNLDSESPSSLDSYIIEERTITRWSPEQCREDKVVST >itb04g29860.t2 pep chromosome:ASM357664v1:4:33121365:33124268:-1 gene:itb04g29860 transcript:itb04g29860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRWKGKGAEAKALADPMSSIVSQLQSSLVKSNSLGLLSGSSVLLEADEEQTDLLNRACFGKPVISAQKNNQWFQFSLEEAFYLWYSLKCINVLDENKSELSVEQLWKYMISKRENFPSLCKAYSHLRSKNWVVRSGSQYGVDFVAYRHHPALVHSEYAVLVLSSEGGNGNDRLRVWSDYHCTLRLCGSVAKTLLVLHVEKHNLDSESPSSLDSYIIEERTITRWSPEQCREDKVVVPSPSWFHHKLFFRLASTPALQESQL >itb04g29860.t1 pep chromosome:ASM357664v1:4:33121314:33124268:-1 gene:itb04g29860 transcript:itb04g29860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRWKGKGAEAKALADPMSSIVSQLQSSLVKSNSLGLLSGSSVLLEADEEQTDLLNRACFGKPVISAQKNNQWFQFSLEEAFYLWYSLKCINVLDENKSELSVEQLWKYMISKRENFPSLCKAYSHLRSKNWVVRSGSQYGVDFVAYRHHPALVHSEYAVLVLSSEGGNGNDRLRVWSDYHCTLRLCGSVAKTLLVLHVEKHNLDSESPSSLDSYIIEERTITRWSPEQCREDKVLFFRLASTPALQESQL >itb09g07680.t2 pep chromosome:ASM357664v1:9:4527734:4530313:-1 gene:itb09g07680 transcript:itb09g07680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIVQRVTSASVEVEGKVVSAIGPGLLVLVGLHESDVDSDADYICRKVLNMRLFPNEETGKTWDHSVIQKNYEILLVSQFTLYGILKGNKPDFHVAMPPDKAKPFYAAVVEKFQKAYKPDSVKDGIFGAMMKVNLVNDGPVTMQLDSSQQSK >itb09g07680.t1 pep chromosome:ASM357664v1:9:4527734:4530313:-1 gene:itb09g07680 transcript:itb09g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIVQRVTSASVEVEGKVVSAIGPGLLVLVGLHESDVDSDADYICRKVLNMRLFPNEETGKTWDHSVIQKNYEILLAMPPDKAKPFYAAVVEKFQKAYKPDSVKDGIFGAMMKVNLVNDGPVTMQLDSSQQSK >itb02g23960.t1 pep chromosome:ASM357664v1:2:24285869:24286558:-1 gene:itb02g23960 transcript:itb02g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISLEGDDNIDVPAWKGEVSDAYDLITNSETNLQDPVWSTLWKIKVPNKMKFFMWTALHEKILGNAERKRRNLTTNGECDTCHGKEESMVHILSDCSHTEDVWTALVRRDHWRKWRQTNPRQWLVRNIMEKGQPATNCEWPRMFVITSWWLWRWQNGRVFNSESVKTHKKIAKSEKQKMRLAERAFLREARVRRSSQSEKFITVCWKPSSYHTSIYLECGWKCQSNH >itb12g28060.t1 pep chromosome:ASM357664v1:12:28142516:28145922:1 gene:itb12g28060 transcript:itb12g28060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTLQINWHDTKPVLTLDFHPLTGILATGGADFDIKLWVINPSEDKKKSPEVTYKTSLSYHSSAVNVLRFSPSGEHLASGADGGEMILWKLHSTDGGEVWKVLKTLSFHRKDVLDLEWSNDGAYLISGSVDNSCIIWDANKGSVHQILDGHFHYVQGVTWDPLSKYAASLSSDRSCRIYVNKPSKMKGIEKMNFVSQHVISKIESQTGDESKSAKSHLFLDETLPSFFRRLAWSPDGSFLLVPAGSYKYSPTSAPTNTAFVFSRKDLSRPALILPGASKPVVATRFCPVSFKLRGSNSSTFFKLPYRLIFAVATLNSVYIYDTEGVEPIAVIAGLHYAAVTDIAWSPDGKFLALSSQDGYCTLLEFENEELGTPFAVSEGKNAVGLGNRDEFQKTTEKSVESNPNPSPSHCGRIADADGRKMEEQANDGKPSSTATKPAQIPTKVTKKRITPMAID >itb02g08420.t1 pep chromosome:ASM357664v1:2:5301252:5303616:1 gene:itb02g08420 transcript:itb02g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEIISTCLVGATAGTSNGVSRVELTPWDLNFLQVGTIQKGLLFHKPTSQQQKELFNSNLIHHLKATLSSTLDFFPPLAGRFAMVMNGEDNTCSFYVDCNNSGVEFVEARASGVTVATILDQSNVDNNVPRILRCLFPLNGILNRDGICKPLMGVQITELLDGYFIGCTLNHSLGDGTCFWNFFNSWSELSRGFHQPSKTPIFQRFFPDNTPCPLHLPLINDNDRSPLDGFTPAVPFPERVFHLSKENVAKLKAKANSEMGATNISSLQAYMAHLWRAVTRARRLDSNEDVHLFIIIGARARVPLPEGYWGNAAYFRTITAKAGEVLGKGLGWVAWQIKEEVEKQSREEVMNEYKRWVKSPAELVAKNIPANVLAISSSPRFNVYGPDFGWGKAVAVRSGMANKPEGKVTLFAGREEGSVDMELCMHPRTLLGLENDEQFLEHVSIPGI >itb02g08420.t2 pep chromosome:ASM357664v1:2:5301252:5303616:1 gene:itb02g08420 transcript:itb02g08420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEIISTCLVGATAGTSNGVSRVELTPWDLNFLQVGTIQKGLLFHKPTSQQQKELFNSNLIHHLKATLSSTLDFFPPLAGRFAMVMNGEDNTCSFYVDCNNSGVEFVEARASGVTVATILDQSNVDNNVPRILRCLFPLNGILNRDGICKPLMGVQITELLDGYFIGCTLNHSLGDGTCFWNFFNSWSELSRGFHQPSKTPIFQRFFPDNTPCPLHLPLINDNDRSPLDGFTPAVPFPERVFHLSKENVAKLKAKANSEMGATNISSLQAYMAHLWRAVTRARRLDSNEDVHLFIIIGARARVPLPEGYWGNAAYFRTITAKAGEVLGKGLGWVAWQIKEEVEKQSREEVMNEYKRWVKSPAELVAKNIPANVLAISSSPRFNVYGPDFGWGKAVAVRSGMANKPEGKVTLFAGREEGSVDMELCMHPRTLLGLENDEQFLEHVSIPGI >itb02g08420.t3 pep chromosome:ASM357664v1:2:5301970:5303648:1 gene:itb02g08420 transcript:itb02g08420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEIISTCLVGATAGTSNGVSRVELTPWDLNFLQVGTIQKGLLFHKPTSQQQKELFNSNLIHHLKATLSSTLDFFPPLAGRFAMVMNGEDNTCSFYVDCNNSGVEFVEARASGVTVATILDQSNVDNNVPRILRCLFPLNGILNRDGICKPLMGVQITELLDGYFIGCTLNHSLGDGTCFWNFFNSWSELSRGFHQPSKTPIFQRFFPDNTPCPLHLPLINDNDRSPLDGFTPAVPFPERVFHLSKENVAKLKAKANSEMGATNISSLQAYMAHLWRAVTRARRLDSNEDVHLFIIIGARARVPLPEGYWGNAAYFRTITAKAGEVLGKGLGWVAWQIKEEVEKQSREEVMNEYKRWVKSPAELVAKNIPANVLAISSSPRFNVYGPDFGWGKAVAVRSGMANKPEGKVTLFAGREEGSVDMELCMHPRTLLGLENDEQFLEHVSIPGI >itb05g00950.t1 pep chromosome:ASM357664v1:5:785385:788738:1 gene:itb05g00950 transcript:itb05g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLVLLLVLSTTQVFAPSSKSNNYPIAKPNCDDHCGNVSLPFPFGLTKDCYLNEDFFINCSTGIDGSPKPLLRHSDIEVRSVSVEGQLTVMKGIARKCHEEFRAFFRPLDKDVSSDVAWITLSKFYVNQTANKFVAVGCNTIATVSGYEDERSYETGCIASCNRFGDVVNGTCSGIGCCQMTDIPILAKNVNFTLDSMAANRNTEGVVNCSYAFVVKKDEFDFSSDILTRKWEVEKLPIVIDWIVSNDTCSNSRSTCQGNTTCVPFEGPDGGYRCACEKGYEGNPYLHPGCLDIDECVNGQNNCSENATCKNKPGGFLCHCKEGYREDGKGGCQLPSKDGNKVNGIVLGVSLGTTTLLMSSFCVYLGYQWRKSVQMKEKFFRENGGLILQQKIAHGTASSRTTRIFTAEELKKATNNYDQTRIIGEGGFGIVYRGHLLDGQTVAVKKPKMMDPTQVNQFINEVIVLSQINHRNIVKLFGCCLETEVPLLVYEFINNGTFSEHLHDKNKASKIPWSIRLRIATETAEVLSYLHTAASPPIIHRDVKPANILLDNDYTARVSDFGTSRLVLQDQTQLITMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLVELLTGRRAIFYNGPEEERNLSLYFLSSLKENRLFRIFDDNVVCEENTEELMEVCLLAERCLNVKGEDRPTMKEVAIELGRLMRASKHPWVNHSETNMESQAPLIEPPISFGYDAPFSITTAYDSLKHHMELSVASGR >itb03g07060.t1 pep chromosome:ASM357664v1:3:5154485:5158951:-1 gene:itb03g07060 transcript:itb03g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLCHEAEASISGIWATDLIHLRAQNMRNSTGRSGRNGRKKASARSGLFKRRCSFLHDAASFLLPRVAPPPLDYGFSSGRISELCVSCFLSIAFEMAKVEMGC >itb03g10470.t1 pep chromosome:ASM357664v1:3:8315891:8317890:-1 gene:itb03g10470 transcript:itb03g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTHLWRSEKPNHEGDQMAWLWTTLAVFAAVSLIHGLVKKMKAKKLPPGPRRLPILGHLHLMIGKNNPHQYLNKLAKQHGPIMYLRFGYVDNIIVSSPQAAELFLKTHDLVFTNRPPNEAAKYISYDQKNLSFSHYGPYWRNMRKLCSLELLSNHKINSFRAVRREELCYHIESLKEAALNHVAVDLSAKVSELTADISCRMVFGKKYEIKDIDERGFKAVINEATQLVFKPNLGDYFPYLGKLDLQGLTAPTKAIAKVFDRFLERIIDDHEHRGSSGQTTKDFVDIMLSIMKSGKSEFQFNREHIKSVLLDMLVASMDSSATVIEWIMSELLRHPQIMKNVQQELESKVSLDRMLEESDLEGLNYLEMVIKETFRLHPPGPLLIPRAAREDCIVDDFHIPKKARIIVNVWAIGHDPNVWTNPDKFIPERFEGSNIDYRGCNFELIPFGSGRRSCPGLQLGMVVVRLVVAQLVHCFDWELPNGMSPKELDVTEEFALVTTRAKHLVTIPSYRLHI >itb11g17750.t1 pep chromosome:ASM357664v1:11:17792350:17804653:-1 gene:itb11g17750 transcript:itb11g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQAHISGQISGQVPNQSGTSLPGLSQQNGNPLSTQMQNPSVHRNIPNMDTETYKARKFITEKIYGFLMQRQQAQEIPSKRVLDIVKRLEEALFKSASSKEEYMNLGTLESRLHILIKRLPNNQNPQFSHVNSSSSIGTMIPTPGMPQSGNSTLIGSSSVDNSIMAGNPGSTITTTSSINSGNFLPTANGPSGGIHGGSFSSTDGSLGNGYQQMPSSFSISSGGNNLVASMGAQRMTSQMIPTPGFNNNTNSSDNLNNSSSNQPYMNLDSSNNVGALSSVDSTVVSQSLQQKQHVVSQNSRILQALGSHMSGGIRPGLQSRSYGTSTGSLNGGLGMIGNNMQLLNGQGASEGYMSTTMYANPSKHLPQHFDQHQRSVMQGDRYAISNADTTGSGNLFIPVSSVGSVMNNQNLSAVALQSIPKTNSTHMANQSNVNVSQQMTNMKLDQSEKMKFQSQHSLADNHLQSHPLQQFHPQSQQFQQQQQQQFAHNHHQQKSQQQQLLLKSNGYGQAPIMSDLGTKIKSEPGNHDEALLSQVPEQFQFSEMQNLYQPNSTGEHSKSNQLLPQSSQQDTFSSLTPSSEQMQQLLHHHMYVAETQTDFNNCSNGVHSDAMLQGQWYPKFQDGSQMPGSFSQEQNVQQESHQRTVRTEEAQRNNLPPEGTFAGQAVVNRVVNPNSSSSAVRKSSNLTRDGQFRNQQRWLLFLIHARRCSSPAGKCPEQHCIRAQNLLKHLESCNALPCQYPRCSATKQVINHFRRCREANCPVCGPVRNFRLAQRKSFPRPDFSSEMPISVNGSCKAYDSGETAHRLTAKSSPAVVETPEDLQPSLKRMKIEQSSQAFVSETESFVSPVSVGESHIFQETQVVEQHADTIVMKPEVMEVKMEIPANAGQGSPRSTDLLKDNLDETYIQRPAIDPLTSNITAPFPKQESIKAEKDVDPAKHENTSLPTESATGSKSGKPKIKGVSLTELFTPEQVRAHIIGLRQWVGQSKAKVEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAMYYTIGAGDTRHYFCIPCYNEARGDSIVVDGTNIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYILEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLAKRLRQERQERARREGKSHDEVAGAEGLVVRVVSSVDKKLEVKPRFLEIFQEENYPSEFPYKSKVLLLFQRIEGVEVCLFGMYVQEFGSECAQPNHRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDMIYQLQQEEDGRKQHKKGTLKKTITKRALKASGQTDLSGNASKDLLLMHKLGETISPMKEDFIMVHLQHACSHCCILMVSETRWVCNQCKNFQLCDKCYEAEQKLEDRERHPINQKDKHMLYPVEINQVPADTRDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIEAGQGWRCEVCPEYDVCNACYQKDGGVDHPHKLTNHPSMADRDAQNKEARQQRVIQLRKMLELLVHASRCRSPQCQYPNCRKVKGLFRHGIQCKRRASGGCLLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQAESRRRAAVMEMMRQNAAEAAGNSA >itb03g07180.t5 pep chromosome:ASM357664v1:3:5251213:5255497:1 gene:itb03g07180 transcript:itb03g07180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIEKTVDIESEKEKLREYENECREKFSTADSESMPEGEATHVENQQVTETIGKPSSSGHAHMEPISGFDEKPAKDLKVLSYHRKLAVLYELLSACLAAIPEDNKNASTQRRRGYDARYRVALRLLATWFDVKWVKVEAIETMVACSAMALLKAQESKEEAESSKSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAVATAAGSVVGSVAVAASFGAAGAGLTGTKVARRIGDVDEFEFKTIGENHNKGRLAVEILITGFVIEESDFFRPWEGEYNNLERYALQWESKHLIAVSYAIRNWLTSSIALELMKRGAMMTVLSSILAALAWPATLLALTHFIDSEWTIAVDRSDKAGILLAEVLQKGLQGHRPVTLVGFSLGARVIFKCLEILAASGNNAGLVERVVLLGAPIKIRDVNWEAVRKVVAGRFVNVYSTNDWMLGIVFRASLLTQGLAGIQPVDVPGVENVDVTELIDGHSAYLWTSQEILELLQLDTYYPVFGRASVKR >itb03g07180.t2 pep chromosome:ASM357664v1:3:5250445:5255500:1 gene:itb03g07180 transcript:itb03g07180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSSILAPTQRYAAAALLGLALYQAQIHQTRPLGVPSDGGEDLTQDRISSGSSSSSDSVAEDPQLWVHQSSSLLRPVFKFLEIDPIAWTGIEETAGHAPANRHVGAFLRLLSEGSGDDSSEALDREITLANSIDAMASSIEKTVDIESEKEKLREYENECREKFSTADSESMPEGEATHVENQQVTETIGKPSSSGHAHMEPISGFDEKPAKDLKVLSYHRKLAVLYELLSACLAAIPEDNKNASTQRRRGYDARYRVALRLLATWFDVKWVKVEAIETMVACSAMALLKAQESKEEAESSKSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAVATAAGSVVGSVAVAASFGAAGAGLTGTKVARRIGDVDEFEFKTIGENHNKGRLAVEILITGFVIEESDFFRPWEGEYNNLERYALQWESKHLIAVSYAIRNWLTSSIALELMKRGAMMTVLSSILAALAWPATLLALTHFIDSEWTIAVDRSDKAGILLAEVLQKGLQGHRPVTLVGFSLGARVIFKCLEILAASGNNAGLVERVVLLGAPIKIRDVNWEAVRKVVAGRFVNVYSTNDWMLGIVFRARLCILYLILVHIVHLMCYPFLGETIDWGI >itb03g07180.t3 pep chromosome:ASM357664v1:3:5250445:5255500:1 gene:itb03g07180 transcript:itb03g07180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIEKTVDIESEKEKLREYENECREKFSTADSESMPEGEATHVENQQVTETIGKPSSSGHAHMEPISGFDEKPAKDLKVLSYHRKLAVLYELLSACLAAIPEDNKNASTQRRRGYDARYRVALRLLATWFDVKWVKVEAIETMVACSAMALLKAQESKEEAESSKSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAVATAAGSVVGSVAVAASFGAAGAGLTGTKVARRIGDVDEFEFKTIGENHNKGRLAVEILITGFVIEESDFFRPWEGEYNNLERYALQWESKHLIAVSYAIRNWLTSSIALELMKRGAMMTVLSSILAALAWPATLLALTHFIDSEWTIAVDRSDKAGILLAEVLQKGLQGHRPVTLVGFSLGARVIFKCLEILAASGNNAGLVERVVLLGAPIKIRDVNWEAVRKVVAGRFVNVYSTNDWMLGIVFRASLLTQGLAGIQPVDVPGVENVDVTELIDGHSAYLWTSQEILELLQLDTYYPVFGRASVKR >itb03g07180.t6 pep chromosome:ASM357664v1:3:5251027:5255500:1 gene:itb03g07180 transcript:itb03g07180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIEKTVDIESEKEKLREYENECREKFSTADSESMPEGEATHVENQQVTETIGKPSSSGHAHMEPISGFDEKPAKDLKVLSYHRKLAVLYELLSACLAAIPEDNKNASTQRRRGYDARYRVALRLLATWFDVKWVKVEAIETMVACSAMALLKAQESKEEAESSKSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAVATAAGSVVGSVAVAASFGAAGAGLTGTKVARRIGDVDEFEFKTIGENHNKGRLAVEILITGFVIEESDFFRPWEGEYNNLERYALQWESKHLIAVSYAIRNWLTSSIALELMKRGAMMTVLSSILAALAWPATLLALTHFIDSEWTIAVDRSDKAGILLAEVLQKGLQGHRPVTLVGFSLGARVIFKCLEILAASGNNAGLVERVVLLGAPIKIRDVNWEAVRKVVAGRFVNVYSTNDWMLGIVFRARLCILYLILVHIVHLMCYPFLGETIDWGI >itb03g07180.t4 pep chromosome:ASM357664v1:3:5250586:5253635:1 gene:itb03g07180 transcript:itb03g07180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSSILAPTQRYAAAALLGLALYQAQIHQTRPLGVPSDGGEDLTQDRISSGSSSSSDSVAEDPQLWVHQSSSLLRPVFKFLEIDPIAWTGIEETAGHAPANRHVGAFLRLLSEGSGDDSSEALDREITLANSIDAMASSIEKTVDIESEKEKLREYENECREKFSTADSESMPEGEATHVENQQVTETIGKPSSSGHAHMEPISGFDEKPAKDLKVLSYHRKLAVLYELLSACLAAIPEDNKNASTQRRRGYDARYRVALRLLATWFDVKWVKVEAIETMVACSAMALLKAQESKEEAESSKSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAVATAAGSVVGSVAVAASFGAAGAGLTGTKVARRIGDVDEFEFKTIGENHNKAASS >itb03g07180.t1 pep chromosome:ASM357664v1:3:5250445:5255500:1 gene:itb03g07180 transcript:itb03g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSSILAPTQRYAAAALLGLALYQAQIHQTRPLGVPSDGGEDLTQDRISSGSSSSSDSVAEDPQLWVHQSSSLLRPVFKFLEIDPIAWTGIEETAGHAPANRHVGAFLRLLSEGSGDDSSEALDREITLANSIDAMASSIEKTVDIESEKEKLREYENECREKFSTADSESMPEGEATHVENQQVTETIGKPSSSGHAHMEPISGFDEKPAKDLKVLSYHRKLAVLYELLSACLAAIPEDNKNASTQRRRGYDARYRVALRLLATWFDVKWVKVEAIETMVACSAMALLKAQESKEEAESSKSRWAKWRRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAVATAAGSVVGSVAVAASFGAAGAGLTGTKVARRIGDVDEFEFKTIGENHNKGRLAVEILITGFVIEESDFFRPWEGEYNNLERYALQWESKHLIAVSYAIRNWLTSSIALELMKRGAMMTVLSSILAALAWPATLLALTHFIDSEWTIAVDRSDKAGILLAEVLQKGLQGHRPVTLVGFSLGARVIFKCLEILAASGNNAGLVERVVLLGAPIKIRDVNWEAVRKVVAGRFVNVYSTNDWMLGIVFRASLLTQGLAGIQPVDVPGVENVDVTELIDGHSAYLWTSQEILELLQLDTYYPVFGRASVKR >itb10g16510.t1 pep chromosome:ASM357664v1:10:22837827:22841943:1 gene:itb10g16510 transcript:itb10g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKSETVAKALLKSLCCGNGWSYAVFWGFNLQNSLLLTLRDAYYEEEMGGLIDDMLLQVHILGSGVVGQAAFTKKHKWMLSDTSSVWQSHHGLADNCELLQDDSEFYGQFSCGIKTIAVISVEPLGVIQFGSNQKLPERMEFVDETKKLFQGTDIESLFAENSANGDVFGLSGSLASSICNSPYFMSPETLQSPSDFVDPIMNMVQSFPVEVFSLFDNQFQNAGVETQTDFSSYDFQPWQSPVHSANYFNMPQPSWGEGSSLTSLQENISAGAISQDCDNVFCALPNQFEYCTNTVQNVLERPVMTSTHELGQSVDLVQTQASLWTFEESSRVGDFVAGPSKPSLMYDLSRSFSPMAGQTNDNMSSVISTSSNLNEQTNFSVGSDPSVQVKSSISNSFSSFKGQKHSNTSAFDNVFGCKMPENLDNIRKPARSGQQWDFGTSISGCTSEHSIGSKDWPSNRLFSKLGLDHLLYDNPSSSCSFVGSSCNDQLSSTKKRRIEHPQAEREVTKLETVSLIGENCSTNVVNTKDHEKPSKAVKKKAKPGTRPIPKDRVRTYERLAELRELIPNGGKMSIDRLLHQTIKQLLFFQSVTRLAEGLKKTEELKDKKGRKDTNSNSNTSGVTWACEIENQTMVCPLIVEDLCTPGQMLIEILCQEQGFFLEIVDVIRGFGLNILKGTMELRETKIWAHFIVEPEANAFVSRHELFSSLLQLLQLSGPSELHARDQLGNVRDGRTSTSSNCSESAVPFPISLPEGVSCTSLY >itb10g16510.t2 pep chromosome:ASM357664v1:10:22837827:22840742:1 gene:itb10g16510 transcript:itb10g16510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKSETVAKALLKSLCCGNGWSYAVFWGFNLQNSLLLTLRDAYYEEEMGGLIDDMLLQVHILGSGVVGQAAFTKKHKWMLSDTSSVWQSHHGLADNCELLQDDSEFYGQFSCGIKTIAVISVEPLGVIQFGSNQKLPERMEFVDETKKLFQGTDIESLFAENSANGDVFGLSGSLASSICNSPYFMSPETLQSPSDFVDPIMNMVQSFPVEVFSLFDNQFQNAGVETQTDFSSYDFQPWQSPVHSANYFNMPQPSWGEGSSLTSLQENISAGAISQDCDNVFCALPNQFEYCTNTVQNVLERPVMTSTHELGQSVDLVQTQASLWTFEESSRVGDFVAGPSKPSLMYDLSRSFSPMAGQTNDNMSSVISTSSNLNEQTNFSVGSDPSVQVKSSISNSFSSFKGQKHSNTSAFDNVFGCKMPENLDNIRKPARSGQQWDFGTSISGCTSEHSIGSKDWPSNRLFSKLGLDHLLYDNPSSSCSFVGSSCNDQLSSTKKRRIEHPQAEREVTKLETVSLIGENCSTNVVNTKDHEKPSKAVKKKAKPGTRPIPKDRVRTYERLAELRELIPNGGKMSIDRLLHQTIKQLLFFQSVTRLAEGLKKTEELKVFF >itb11g08930.t2 pep chromosome:ASM357664v1:11:5878859:5880491:1 gene:itb11g08930 transcript:itb11g08930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVLTDSIVVNTAAAAAETVTTKLTTAAMEVDLAKCDCCGLIEECTLAYIERIRERYQGKWICGLCAEAVKDESLRCEERLISGEEALTRHLNFCMKFRSAGPPPDPTVHLIAAMRRILRRRSSSKPPKFTSMPSSPMKNHGGGDEINLAALKRSESCIPTLSMVEVNCSVEMQQSCE >itb11g08930.t1 pep chromosome:ASM357664v1:11:5879259:5880095:1 gene:itb11g08930 transcript:itb11g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVLTDSIVVNTAAAAAETVTTKLTTAAMEVDLAKCDCCGLIEECTLAYIERIRERYQGKWICGLCAEAVKDESLRCEERLISGEEALTRHLNFCMKFRSAGPPPDPTVHLIAAMRRILRRRSSSKPPKFTSMPSSPMKNHGGGDEINLAALKRSESCIPTLSMVEVNCSVEMQQSCE >itb08g02960.t1 pep chromosome:ASM357664v1:8:2503519:2510162:-1 gene:itb08g02960 transcript:itb08g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREVHQWLCGFTFLLLLLITAEGLFVNITILESAVEKGAVCLDGSPPAYHLDRGFGNGVNNWLVQIEGGGWCNSIRDCNSRKKTHLGSSKLMETPLAFSGIMSNDYSLNPYFYNWNKVKVRYCDGASFTGDVEAVDPVNNLHFRGARIFQAVMEDLLAKGMKNARNAILSGCSAGGLTSILHCDKFKAFLPYAGRVKCLSDAGFFIDVKTITGEPIIQQFYNDVVTLHGSAKNLHRTCMSKMNPGLCFFPQNTASYIQTPLFLINSAYDYWQVRVSLIPNHVDPNGEWTNCKTNLAECQPQQLNAIQGFRSELVRALNELGPSSARGYYINSCYLHCHTELQNLWHSPNSPRLFNKTIAEAAGEWFFDRSDFQKIDCPYPCNKSCFQSSS >itb08g02960.t2 pep chromosome:ASM357664v1:8:2503519:2510162:-1 gene:itb08g02960 transcript:itb08g02960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREVHQWLCGFTFLLLLLITAEGLFVNITILESAVEKGAVCLDGSPPAYHLDRGFGNGVNNWLVQIEGGGWCNSIRDCNSRKKTHLGSSKLMETPLAFSGIMSNDYSLNPYFYNWNKVKVRYCDGASFTGDVEAVDPVNNLHFRGARIFQAVMEDLLAKGMKNARNAILSGCSAGGLTSILHCDKFKAFLPYAGRVKCLSDAGFFIDVKTITGEPIIQQFYNDVVTLHGSAKNLHRTCMSKMNPGLCFFPQNTASYIQTPLFLINSAYDYWQVRVSLIPNHVDPNGEWTNCKTNLAECQPQQLNAIQGFRSELVRALNELGPSSARGYYINSCYLHCHTELQNLWHSPNSPRLFNKTIAEAAGEWFFDRSDFQKIDCPYPCNKSCFQSSS >itb02g25800.t1 pep chromosome:ASM357664v1:2:26577375:26578694:-1 gene:itb02g25800 transcript:itb02g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLHTNGLEVEKKTSEWVSGSLSNGNGGDSESGAQKPFKIFIGYDPREDIAYEVCRHSLLKRCSIPIEIHPIKQSELRQRGVYWRERGKLESTEFSFTRFLTPYLADYQGWAMFVDCDFLYLGDIKELRDLADDKYAVMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVMYNCGHPKNRVLTPEVVSSESGAFLHRFMWLEDGEIGDVPFVWNFLVGHNQVVEGNPATLPKAIHYTLGGPWFEAWKECEFGDVWLEELEDYNNKN >itb10g21930.t1 pep chromosome:ASM357664v1:10:26949946:26953624:1 gene:itb10g21930 transcript:itb10g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHALGATYFTKNPSSSSRNPSRKVFFGQRLDNRTFSLGLKSNSSRRNAGARRLRVVAEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYNVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVQNSLRDAKLSLSDIDEVILVGGSTRIPAVQELVKKMTGKDPNVSVNPDEVVALGAAVQAGVLSGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGSGKKQDITITGASTLPGDEVDRMVKEAERFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEEVEAKLGELKDAISGGATQAMKDAMAALNQEVMQLGQSLYNQSGATPGAGPAPGGSDGPSESSGKGPDGDVIDADFTDSK >itb06g17690.t1 pep chromosome:ASM357664v1:6:21478978:21481072:-1 gene:itb06g17690 transcript:itb06g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEQTVVAAAEEQPVVAAMEEGMAAEEQQVVARMEEGSQAQVQTQAEAPFVAAMGEGSQAQAQTEAPIVAMMGEGSQAQAQAEAPFVAAMGEGSQAQAPFMAAMMPKPKLAYGFKFHPTDEELVVYFLRRKVLRRPFPFEAIAEVDIYNFEPWELQGFCAVNSREAEWHFFTRTEKKYINGSKINRATINGFWKATGRDRAVHHKSQIVGLKKTLVYHAGRAPAGRRTDWLMHEYRLSKQELEKAGVQQDAYFICKVYLKKDAMGRRGVPFNVEDWENHTGILIPCEDNNADEDEASEVNLQGASTSKAVQLPEKAQGFKSLRIRAREEDDDETEDDEAIVCLDKGKKPKLMLDFFSGAGKGGSDDDSSSATISDSPTPEFSSGLLNFSLTAKPKEKENHGSAAPNNAGGGPFNSSCLENSLPPGYLKFITDLEEERDGLKHELMNSEATVSCLQAENEEMAEEIMRLRSLLPGQGFD >itb03g08460.t1 pep chromosome:ASM357664v1:3:6346465:6350466:-1 gene:itb03g08460 transcript:itb03g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEMEEGTAVLLAEKTRPANWKREFFMEEMKNVSRIAIPMVLVTVSQHLVRVLPMMMLGHVSELSLSAASVATSLTNVTGFIVVFGMASALETLCGQAFGAQQFNKLGTYTYAAIMALLVVCIPISILWAYLDKLLILIGQDPEISVEAGKYAVWLITSIFPYAILQCLTRYLQMQSLIFPMLLSAAATTCFHVVVCWALVFWFNLGSVGGALSVGLSYWFNAALLALYMMFSASCQETRNCLWKDLSFRMGEFFQFAVPSSSMACLEMWTFEVIVLLGGLLPNPQLETSVLSICLMTISLHYYIPFSVGAGASTRISNELGAGNTETAKMATWAVMVLGFAEMLVASIAVLACGNILGYAFSAEEEVVKYITKMSPLISIMYLSDGTQGVLSGVARGSGWQHLGAYVNLAAYYLVGVPVAVVLGFWVGLGGMGLWVGLNVASMLQTIVFLLITIFTDWEKQAAIAKERLMCQGSSEGLSERLIID >itb03g08460.t2 pep chromosome:ASM357664v1:3:6346465:6350466:-1 gene:itb03g08460 transcript:itb03g08460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAFGAQQFNKLGTYTYAAIMALLVVCIPISILWAYLDKLLILIGQDPEISVEAGKYAVWLITSIFPYAILQCLTRYLQMQSLIFPMLLSAAATTCFHVVVCWALVFWFNLGSVGGALSVGLSYWFNAALLALYMMFSASCQETRNCLWKDLSFRMGEFFQFAVPSSSMACLEMWTFEVIVLLGGLLPNPQLETSVLSICLMTISLHYYIPFSVGAGASTRISNELGAGNTETAKMATWAVMVLGFAEMLVASIAVLACGNILGYAFSAEEEVVKYITKMSPLISIMYLSDGTQGVLSGVARGSGWQHLGAYVNLAAYYLVGVPVAVVLGFWVGLGGMGLWVGLNVASMLQTIVFLLITIFTDWEKQAAIAKERLMCQGSSEGLSERLIID >itb02g24870.t1 pep chromosome:ASM357664v1:2:25600816:25601221:1 gene:itb02g24870 transcript:itb02g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHDVGYVQCASSLNQAIHALHWMNIRGTTAVNNPDEYHIAALMELNDISWLALMGSDYEYLMYITPEVLQNATMPDEPIRDHETTFWNFKSNVQLCCANF >itb10g10820.t3 pep chromosome:ASM357664v1:10:15868816:15873075:1 gene:itb10g10820 transcript:itb10g10820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSTVSHVLVIPYPIHGHITPMVQLSKRLASRGVAVTVITPTSTSACIQVSSSLIRIESIPDGVKPDSGFDAISDWLKDLVSNNLAEIMSKVTGSGCVLTTVVYDSIMPWVADLAHQLGLRAAAFNSQSCAVFAVYHHTDQENLTAPTEGSTVSLPSMPPLGLNDLPSFVRNKSSYSSLLRFVLSRNLNTQKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb10g10820.t4 pep chromosome:ASM357664v1:10:15868819:15873075:1 gene:itb10g10820 transcript:itb10g10820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVYHHTDQENLTAPTEGSTVSLPSMPPLGLNDLPSFVRNKSSYSSLLRFVLSRNLNTQKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb10g10820.t1 pep chromosome:ASM357664v1:10:15868816:15873075:1 gene:itb10g10820 transcript:itb10g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVYHHTDQENLTAPTEGSTVSLPSMPPLGLNDLPSFVRNKSSYSSLLRFVLSRNLNTQKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb10g10820.t5 pep chromosome:ASM357664v1:10:15868816:15873037:1 gene:itb10g10820 transcript:itb10g10820.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVYHHTDQENLTAPTEGSTVSLPSMPPLGLNDLPSFVRNKSSYSSLLRFVLSRNLNTQKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb10g10820.t2 pep chromosome:ASM357664v1:10:15868816:15873075:1 gene:itb10g10820 transcript:itb10g10820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSTVSHVLVIPYPIHGHITPMVQLSKRLASRGVAVTVITPTSTSACIQVSSSLIRIESIPDGVKPDSGFDAISDWLKDLVSNNLAEIMSKVTGSGCVLTTVVYDSIMPWVADLAHQLGLRAAAFNSQSCAVFAVYHHTDQENLTAPTEGSTVSLPSMPPLGLNDLPSFVRNKSSYSSLLRFVLSRNLNTQKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb10g10820.t7 pep chromosome:ASM357664v1:10:15868816:15873075:1 gene:itb10g10820 transcript:itb10g10820.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSTVSHVLVIPYPIHGHITPMVQLSKRLASRGVAVTVITPTSTSACIQVSSSLIRIESIPDGVKPDSGFDAISDWLKDLVSNNLAEIMSKVTGSGCVLTTVVYDSIMPWVADLAHQLGLRAAAFNSQSCAVFAVYHHTDQENLTAPTEGSTVSLPSMPPLGLNDLPSFVRNKSSYSSLLRFVLSRNLNTQKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb10g10820.t6 pep chromosome:ASM357664v1:10:15868816:15873037:1 gene:itb10g10820 transcript:itb10g10820.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSTKADWLLFNTFDELEKEVLEWMASQFPLKVIAIGPLLPSMYLDKRVRDDDNYGLSLFKPNNNDCMEWLDSQETGSVVYVSFGSLANLKDEQMCEVAQGLIQSKCKFLWVVRSSEQSKLPSNFSPDKLGAGIITNWCPQLDVLSHRAVGCFVTHCGWNSTLEALSLGVPVVAMPQWTDQPTNAKYLVDVWDVGIRVMASENGMVTREEVERCLVEVMKGERGVMLKENAAKWKQLAKEAVDEGGSSDKNIKEFVSAISM >itb02g06640.t1 pep chromosome:ASM357664v1:2:4150923:4152944:1 gene:itb02g06640 transcript:itb02g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINLSSSPPASSSSSLASCLSRLASSKAPISEFPLRSKSQISKSSLHCSFSSLRLFKNGGRRKRFKLQAIGGLDFGGFEGAQSVLEAAGVLTAIIIVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNSKNVEYSIRAFPLGGFVGFPDNDPDSDIPPDDKNLLKNRPIFDRVLVISAGVIANIVFAYVIIFTQVLSVGLPVQEAFPGVLVPDVRPFSAASRDGIIPGDVILGVNGIELGKTGPNLVSEVVDVIKNSPKRSVLLKIGRGEQNVNVYVTPDMNTDGTGRIGVQLTPNYKLSKVSPRNIFEVFSFSGREFWGLTYNVLDSLKQTFLNFSQSASKVSGPVAIIAVGAEVAKSNIDGLYQFAAVLNLNLAVINLLPLPALDGGSLALILIEAARGGRKLPLELEQRIMSSGIMFVIILGLFLLVRDTLNLDFIRDLL >itb12g14450.t2 pep chromosome:ASM357664v1:12:14130232:14132675:-1 gene:itb12g14450 transcript:itb12g14450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAESGLVLLCLALVVSQQLSQCRVNSEPTQDKQALLAFLSRTPHESRVQWNPATSACTWVGVGCNANQSFVYYLRLPGVGLVGDIPANTIGRLTQLRVLSLRSNQLTGSIPQDFTNLKFLRSLYLQNNKFSGGFPPGLTELTRLGRLDISSNKFAGPIPFSLNNLTSLSRLYLQKNGFEGTLPSINLPSLTDFNVSDNRLNGSIPATLSKFPASSFARNIDLCGGPLPPCNPFFPSPAPSPSAQRQITPQHRNSKKLSTGAIVGIVVGSVFALFLLLLILFFCLLRKRRGPEPKTQKPSTTARASAAAGEAGTSSSKDDLTGGSAEGERNKLVFFNGGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVTKKEFEQQMEALGKMKNENVLPFRAFYYSKDEKLLVSDYMPTGSLSALLHGMLLCISLSLYIYIYISPFLLISCFHFLNLPSSPATLWIL >itb12g14450.t1 pep chromosome:ASM357664v1:12:14128251:14132796:-1 gene:itb12g14450 transcript:itb12g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAESGLVLLCLALVVSQQLSQCRVNSEPTQDKQALLAFLSRTPHESRVQWNPATSACTWVGVGCNANQSFVYYLRLPGVGLVGDIPANTIGRLTQLRVLSLRSNQLTGSIPQDFTNLKFLRSLYLQNNKFSGGFPPGLTELTRLGRLDISSNKFAGPIPFSLNNLTSLSRLYLQKNGFEGTLPSINLPSLTDFNVSDNRLNGSIPATLSKFPASSFARNIDLCGGPLPPCNPFFPSPAPSPSAQRQITPQHRNSKKLSTGAIVGIVVGSVFALFLLLLILFFCLLRKRRGPEPKTQKPSTTARASAAAGEAGTSSSKDDLTGGSAEGERNKLVFFNGGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVTKKEFEQQMEALGKMKNENVLPFRAFYYSKDEKLLVSDYMPTGSLSALLHGSRGSGRTPLDWDSRMKIALSAARGIAYLHVSAKVIHGNIKASNVLLKQDNYNACVSDYGLNALFSTSTPINHRVAGYRAPEMLETRKATFKSDVYSFGVLILELLTGKAPNQASFGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNVEEEMVQLLQIGMACVAMVPDQRPALPDVVRMIEEINRVDTDDGLARQLSDDPSKGLDIQTPPQDS >itb04g16110.t1 pep chromosome:ASM357664v1:4:17373137:17375673:-1 gene:itb04g16110 transcript:itb04g16110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLRRLLLISLIFQSILFINVRCDDEEDNLFRGINSYRATLNLTRLTGNEKAKCLAEKMADQFKGQPCTNSTGSNTVPGTEPRFTDYPKFLDKCDLNVTTTRDGAILPACVPHLDAGLVLSNYTLSQYSSYLNDTKFTGIGIGSEDDWMVVILTTSTPEGSYTPDTSSAALVLKLHLISRALLLMTLLMLFGTS >itb10g01120.t1 pep chromosome:ASM357664v1:10:830990:833887:1 gene:itb10g01120 transcript:itb10g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLTGEESLERDFESLSVSKRLVRSVSQKLKKKNHRVEGEDSARGVSLNCLISLYGRGGGCKVGADTGDDLGSQQGRRHSSSSDEGKGYVPVCGNEEASVDCFSYGMREKLWRRSNRKALQVEEGVQNRSVNVFLPDDILEMCLVRLPLVSLMNARLVCKKWKNLSATPRFWKMRQEGMFQSPWLFLFGVVKNGYCSAEIHALDVSFNKWHKIDAEILRGRFLFSVASVRDDVYIVGGCSSLTNFGKVDKSSYKMHKSVLVFSPLTRSWRKAASLKHARSSPVLGIFEVTSDCLIIKNQQHRSEKRFYRSRVGGISDVYEDPHRLSVRRQFGHSLDENPSVKPYKFIRQKSERFNKDHRRFILIAVGGLGCWDEPLDSGEIYDSVSNKWTEIPRLPVEFGVACSGVVCKGLFYVYSETDKLAAFDIERGYWIGIRTSPSPPRVHEYHPKLISCNGRLFMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVCIHPDAPMDWNATFASDKNLIFGVEMFKIFGQVLDFSTVCRVSDTDTNWKHVSRNQVAHELDPTSCATKSMAVLHL >itb07g12720.t2 pep chromosome:ASM357664v1:7:14654022:14659035:-1 gene:itb07g12720 transcript:itb07g12720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKSRNSSSEVPQRRSPQSASSRVPLKISPRAGSQEVPRKFSPRASSQDASQKISPRVVRKLVPTVPDSTLSSPHVISRTKERSPKVNEKKSPKSLGSEKRPSRVSELESRVSELQNDLKKVKDELCSTEALKNQAEQEAGETKKQLAAISSKLEESEKQLLKQAVADEVSVTEPQKSPEEPVKVVECELEVTNSSALVSALNEIKELKSQLENIAESEATQTKNAGLAQADLNNLKENLTDSLLLVEDMKIQLRDCKEAEAQAQVLVNETLLQLETAKRTVEALRSDGVKAMELYNAVSMELDQSRAHVNLLEELVCQLQADGTKSGNDCSRVICDPKNRTSEKEENHEMLESEITSLKSEIESLREALEAAEVKRNEEQTRSTVEIRSAYELVEQITSSSSQREAELKGEVQKLSLAIEELKANLMDKETELQGICEENENLASRLENRQSGRREYELEKELQKSRHEIENLKANLMDKETELQNISEVNTMLKTRESNRGEVNGDDATELEGANVVEQEALVKLKYLKEEVDKSNQRTARAMEQLDAVQASNAEMEAELRRLKVQCDQWRKAAEAAAAMLSAGDNGKFIEKTLHNHSPGSGKISPPYNEDVDEDLLKRKNANMLKRLGISWKKPQK >itb07g12720.t3 pep chromosome:ASM357664v1:7:14654022:14657827:-1 gene:itb07g12720 transcript:itb07g12720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKSRNSSSEVPQRRSPQSASSRVPLKISPRAGSQEVPRKFSPRASSQDASQKISPRVVRKLVPTVPDSTLSSPHVISRTKERSPKVNEKKSPKSLGSEKRPSRVSELESRVSELQNDLKKVKDELCSTEALKNQAEQEAGETKKQLAAISSKLEESEKQLLKQAVADEVSVTEPQKSPEEPVKVVECELEVTNSSALVSALNEIKELKSQLENIAESEATQTKNAGLAQADLNNLKENLTDSLLLVEDMKIQLRDCKEAEAQAQVLVNETLLQLETAKRTVEALRSDGVKAMELYNAVSMELDQSRAHVNLLEELVCQLQADGTKSGNDCSRVICDPKNRTSEKEENHEMLESEITSLKSEIESLREALEAAEVKRNEEQTRSTVEIRSAYELVEQITSSSSQREAELKGEVQKLSLAIEELKANLMDKETELQGICEENENLASRLENRQSGRREYELEKELQKSRHEIENLKANLMDKETELQNISEVNTMLKTRESNRGEVNGDDATELEGANVVEQEALVKLKYLKEEVDKSNQRTARAMEQLDAVQASNAEMEAELRRLKVQCDQWRKAAEAAAAMLSAGDNGKFIEKTLHNHSPGSGKISPPYNEDVDEDLLKRKNANMLKRLGISWKKPQK >itb07g12720.t1 pep chromosome:ASM357664v1:7:14653939:14659208:-1 gene:itb07g12720 transcript:itb07g12720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKSRNSSSEVPQRRSPQSASSRVPLKISPRAGSQEVPRKFSPRASSQDASQKISPRVVRKLVPTVPDSTLSSPHVISRTKERSPKVNEKKSPKSLGSEKRPSRVSELESRVSELQNDLKKVKDELCSTEALKNQAEQEAGETKKQLAAISSKLEESEKQLLKQAVADEVSVTEPQKSPEEPVKVVECELEVTNSSALVSALNEIKELKSQLENIAESEATQTKNAGLAQADLNNLKENLTDSLLLVEDMKIQLRDCKEAEAQAQVLVNETLLQLETAKRTVEALRSDGVKAMELYNAVSMELDQSRAHVNLLEELVCQLQADGTKSGNDCSRVICDPKNRTSEKEENHEMLESEITSLKSEIESLREALEAAEVKRNEEQTRSTVEIRSAYELVEQITSSSSQREAELKGEVQKLSLAIEELKANLMDKETELQGICEENENLASRLENRQSGRREYELEKELQKSRHEIENLKANLMDKETELQNISEVNTMLKTRESNRGEVNGDDATELEGANVVEQEALVKLKYLKEEVDKSNQRTARAMEQLDAVQASNAEMEAELRRLKVQCDQWRKAAEAAAAMLSAGDNGKFIEKTLHNHSPGSGKISPPYNEDVDEDLLKRKNANMLKRLGISWKKPQK >itb03g24330.t1 pep chromosome:ASM357664v1:3:23027349:23029280:1 gene:itb03g24330 transcript:itb03g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTNAMFLFPAVPITDGLWDLATVSLIAGILILSILSLTFIFHLHLRSQILPHLGHFNSLWKVRLVLVLFAAFWALNELLRLPVFRQSYLYPNFPSLTVAAQTTVCKLHVVLSLGFYEPGFLVTLLFLVNVSIKKTERSRMWAIPSVCAVCFPMLLLQAFVVFFSPLQAMLPGFMHGSSVLSSDLLGRSAVLCTYPALSCIIFTVFAVAYALAFLVSCWQVVMFVINKTIGGRVYFLAVSVMVTLPIQIFCLGLTSIWLPVDPVYYCAVSTMFLSVLWCITVSEIILVIKPIADALDACGALLISTTHHGNNSNEEPTPLFHQQGSQDQ >itb04g07230.t1 pep chromosome:ASM357664v1:4:4839726:4840529:1 gene:itb04g07230 transcript:itb04g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERRMAASLVRLHFHDCFVQGCDASILLDESPTIKSEKTALPNLGSVRGYDVIEAAKGELEKACPGIVSCADVLSVAARDATVAVGGLSWTVKLGRRDSTMTSRTIDLPSPFANLDMLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNRTDIDAGFANTRRRNCPKDTGNGNLPLLDLVTPNSFDNNYYKNLLQKKGLLQSDQSLFNGGATDSIVSEYARSPQAFQVDFASAMIKMSEIQPLTGQNGIFRKICSALN >itb15g02510.t1 pep chromosome:ASM357664v1:15:1516196:1517221:-1 gene:itb15g02510 transcript:itb15g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHRSDGTNLIFDILIWNPFTRETKNLPSVKVPAIKKPVEYWITNGFGFGLSKNMSWKIVMLWYFQYPRVESKDSYEIVMVCSQIGDGSWGWRQIDEAPHVLVDSNESFYLKGRYYWRSSGSIILQRPGDPRIGRLVWFDFSDEIFGIIEFPSHSKVASVTIMNDNIALLSCRGYAKVGDCIEIWLMNGNDGNICWHKHASIDFTRSIDYHKYWTLKGTWNEVWKPIGIWKLGGRDHLLVCPGYEGHRSDNKNEGFIAYVISIDLVTQEWKFVYLTRDGRTINILSNSDGFVQVCSETNSALGPICIFPNVPNSALGPICIFPNVPIYARAFSESLKLLQ >itb03g22230.t1 pep chromosome:ASM357664v1:3:20299327:20299758:-1 gene:itb03g22230 transcript:itb03g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVIMLSSSEGDIFSVEEEMALKFNYLGIDYCDGDTVIPLPKVSSKILAMVIEYCRRHVENKPNMLDDLKAFDDNFVQVDKRTLFDLILAAKYLKIWSLLDLTCQTLAETIDGMTLEMVYKAFNIKCYLPSEEEKPWAFM >itb06g17060.t2 pep chromosome:ASM357664v1:6:21017045:21018241:1 gene:itb06g17060 transcript:itb06g17060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQSKGGPVVPESVLKKQKRNEEWALAKKQEIAAVKKKNAENRKLIFCRAKQYAKEYEEQELIQLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPNTKKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKVSKQRIALTDNSVIEQTLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >itb06g17060.t1 pep chromosome:ASM357664v1:6:21016270:21018422:1 gene:itb06g17060 transcript:itb06g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQSKGGPVVPESVLKKQKRNEEWALAKKQEIAAVKKKNAENRKLIFCRAKQYAKEYEEQQKELIQLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPNTKKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKVSKQRIALTDNSVIEQTLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >itb06g17060.t3 pep chromosome:ASM357664v1:6:21016270:21018422:1 gene:itb06g17060 transcript:itb06g17060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKLSCCSSFASVGMNTLLFCVDRTVLQFLLLQFIYLAFHGMDSSINAMHPNTKKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKVSKQRIALTDNSVIEQTLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >itb10g11390.t1 pep chromosome:ASM357664v1:10:16925737:16926776:1 gene:itb10g11390 transcript:itb10g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLLRLFFLHTLLNAFLLRLVPKKLRPLLPASWYHQPTAITKSPPPSRHPRMDREELSRVFEMFDRNGDGHITKAELSDSLENMGIYIPDADLVAMIEKIDINGDGRVDIDEFGALYQSIMDERDEEEDMREAFNVFDINGDGFISVEELKSVLSSLGLKQGRSLEDCKKMIVKVDTDGDGMVDFAEFKQMMRRGGIAALSS >itb03g00130.t1 pep chromosome:ASM357664v1:3:67373:70735:-1 gene:itb03g00130 transcript:itb03g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNNNPPKSIGVSSPFGNSMSVNPAAHLQSQPQPQQRMPSGFPNQFQLSQLSAAHAQAIAQAQAQSKAQAHAQAQVQAAHAQFQAQLQAQGLSLAPLSQAHAAGIANLGSSPSLPGIGNASVKRFPQKPPVRPPGLSTTNTISPMRTMDLSAAARKKKQKLPEKQLQERVAAILPESALYTQLLEFESRVDAALARKKVDIQDALKTPPSIQKTLRIYVFNTFANQIRTIPKKPNAEPPTWTLKIVGRILEEGMDPDQAAMLQKSSSMYPKFSSFFKRVTISLDQKLYPDNHIIIWDSARSPALHEGFEVKRKGDQEFTVNIRLELNYSPEKYKLSQPLTEVLGIEVDTRARIIAAIWHYVKARKLQSADDPSYFNCDPLLQKVFGEGKVKFTAVTQKITHHLSPPQPIHLEHRIKLSGNNPAGSACYDVLVDVPFPIQRELSALLASTEKTKEIDACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIDSQGKDLKLVTAEASHNAEKERRSDFYSQPWVEDAVIRYLNRKPITDAPGSS >itb12g01830.t1 pep chromosome:ASM357664v1:12:1236412:1238258:-1 gene:itb12g01830 transcript:itb12g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNQRQEERTGKYGTPRVQYLQELVTRFQNASDEETKEQIVANLANFAYDPYNYTFLRQLNVIELFLDCITEPNERLVEFGVGGICNCCADPANAALVTQSGGIPLVIQCLSSPVRNTVNYSLGALYYLCNASNKKEILKPEVVDVIKRYAAAGEVSVSFSNLAQAFLDKHVSDLK >itb07g19200.t1 pep chromosome:ASM357664v1:7:23637623:23642640:-1 gene:itb07g19200 transcript:itb07g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFHTFSVPLIFHVVLFCSILSIVASERPEAEALLKWKSSLSPSYSLRNWSLSNLKNLCNWTGIICNGSGSVSGIDLPNAGLSGVLDHLNFTSFPSLTSFNINGNSLSGSIPPSVGDLSKLRLLDLSNNVLSGMIPPQIGELRELRYVSFYNNNFIGLIPIQIGNLQKLRLLDLGSNLLEAPNWSKIRDFPRLTHLSLNWNELRSGFPDFILGCRGLVFLDLSENHFNGSIPEPVFTSLNKLEYLNLTENQFSGPLSPNFTKLSNLKDLRLGINHFSGSIPHHIGSLPALRVLELHNNSFQGMIPSSIGKLKGLQHLNLEENRLNSSIPPELGLCTNLTFLGLALNSLTGDLPSSLSFLTKLTDLGLSANFLSGEIAPYLVSNWTKLTSLQLFNNSFTGRIPYEIGLLTNLEILFLYQNQFTGTIPPQIGKLRHLDSLDLSQNHLSGPIPPAIGNLTNLTWLSVFANNLTGTIPPEIGNLKSLTFLDLSCNHLSGELPYTISELGNLQTLSLFTNDFVGEIPRDLGLNSPYLANVSFSNNSFSGELPPGLCSGYLLEELTVNGNRFSGELPDCLKNCRNLSRIRLEENEFTGNISEAFGVHPGLRFVFVSKNQFTGQLSPRWGQYTQLMALRMDGNKVSGSIPSELGKLAQLHVLNLDGNELSGEIPAELGNLGLLLNLSLSNNGLVGEIPKSFGNLSLLQYLDLSANKLNGEVPGELCKCKNLLSLNLRNNSLSGYIPSELGNIFGLSILLDLSSNSLSGMIPQNLGKLITLENLNLSHNKLSGEIPPALTGMVSLQVMDFSYNQLCGPVPRDGRFLGATAEAFLGNSGLCGNIAGLPQCRLNSSKAKINIGKAIIGVIVLAISLIVAVTAVWCYVLRRRKKQEIEEERSGDKYETSISLIWNREGKFTFRDIVKATEDFSEKYCIGRGGFGSVYRADLLTGQVVAVKRLDVSNSTDVPLTNRHGFENEVRTLTEVRHRNIIKLYGYCSRSCFLYLVYEYVEKGSLGKVLYDDKMAVEFGWDMRVKVVQGIAHALSYLHHDCCPPIVHRDISPNNVLLESEFVPRLSDFGTAKLLVSDSSTWTSVAGAYGYMAPELAFTMRVTEKSDVYSFGVVALEVMMGRHPGELLLSSSPELSANTPLRDLLDPRLLPPTGKFADEVVFVMSMALACTRTTPDSRPTMRSVAQQLSARTKVLLGEPLETITINTLTNFKKF >itb14g06840.t1 pep chromosome:ASM357664v1:14:6228526:6231552:-1 gene:itb14g06840 transcript:itb14g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVEKWITSHWQDANTVLKKPLVLAEFGKSSRDGGFSVSVRDSFMATVYGQIYNLAKNGGVMAGIMVWQIMAQDMGGWDDGYSIVLPENPSTAAVLSSQSHAMAELSHSLGHQRLPRVDGWITRNGDRFELNGSPFLFNGFNSYWLMHVASDADQRNKVTEVLKEASAAGLSVCRTWAFSDGTQYNALQTSPGVYDERVFQGLDFVISEARTYGIHLILSFVNNWHDFGGKAQYANWARDSGVQIDSEDDFYTHPVLIGYYKNHIKKVITRVNTITGIVYKDDPIIMAWELMNEPRCQKDYSGKTVNGWIQEIASYVKSLDNRHLLEIGMEGFYGDSEPDKKKFNPGYQVGTDFISNHLVPEIDFATIHAYTDQWVSGESDDAQMEFMENWMRSHWEDAKTVVKKPLVLAEFGKSSRDGGFSIAVRDSFLTTVYKNTYDLAKAGGTMAGSMVWQLMAHDMGAWDDGYSIVLPENQSTAGVISGQSQAMKDLARDLPHYDD >itb13g26590.t2 pep chromosome:ASM357664v1:13:31818612:31821222:1 gene:itb13g26590 transcript:itb13g26590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSIHDPVASTNYPEKMRRCQEYIEALEEERRKIQVFQRELPLCLELVTQAIEAYKQELCGTRSGCNLNGQSECSEQITSSDDEGPVLEEFIPLKRASSLSQEEEDDEQQSPNKSTNHRIDDDDDNNNNNVDSSDYSSKDGKNSTDDKVSKKQDWLRSAQLWNQSFTDPTPKEESPSNCKAAVMGVKRNGSGGAFHPFKREKSAGTSCSDAVQTPASTPAKTAGEGNGGGGSKKEEKETQSQRKQRRCWSPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQVCEYI >itb13g26590.t3 pep chromosome:ASM357664v1:13:31818617:31820020:1 gene:itb13g26590 transcript:itb13g26590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSIHDPVASTNYPEKMRRCQEYIEALEEERRKIQVFQRELPLCLELVTQAIEAYKQELCGTRSGCNLNGQSECSEQITSSDDEGPVLEEFIPLKRASSLSQEEEDDEQQSPNKSTNHRIDDDDDNNNNNVDSSDYSSKDGKNSTDDKVSKKQDWLRSAQLWNQSFTDPTPKEESPSNCKAAVMGVKRNGSGGAFHPFKREKSAGTSCSDAVQTPASTPAKTAGEGNGGGGSKKEEKETQSQRKQRRCWSPELHRRFLQALQQLGGSHGTVDHKLY >itb13g26590.t1 pep chromosome:ASM357664v1:13:31818446:31821222:1 gene:itb13g26590 transcript:itb13g26590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSIHDPVASTNYPEKMRRCQEYIEALEEERRKIQVFQRELPLCLELVTQAIEAYKQELCGTRSGCNLNGQSECSEQITSSDDEGPVLEEFIPLKRASSLSQEEEDDEQQSPNKSTNHRIDDDDDNNNNNVDSSDYSSKDGKNSTDDKVSKKQDWLRSAQLWNQSFTDPTPKEESPSNCKAAVMGVKRNGSGGAFHPFKREKSAGTSCSDAVQTPASTPAKTAGEGNGGGGSKKEEKETQSQRKQRRCWSPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPTPSIRNNGSQQQGPQFVVVGGIWVPPPEYAAAMAAPATSGEAANSNGIYAPIATTPKQGQQNGIPFSGERGSHSEGGGARRSDSPSTSYSTHTTTTSHSS >itb10g23480.t1 pep chromosome:ASM357664v1:10:27813851:27817356:1 gene:itb10g23480 transcript:itb10g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGGEHSLFR >itb10g23480.t5 pep chromosome:ASM357664v1:10:27813851:27817356:1 gene:itb10g23480 transcript:itb10g23480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb10g23480.t4 pep chromosome:ASM357664v1:10:27813851:27817356:1 gene:itb10g23480 transcript:itb10g23480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGGEHSLFR >itb10g23480.t9 pep chromosome:ASM357664v1:10:27813740:27817343:1 gene:itb10g23480 transcript:itb10g23480.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb10g23480.t10 pep chromosome:ASM357664v1:10:27813748:27817356:1 gene:itb10g23480 transcript:itb10g23480.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb10g23480.t2 pep chromosome:ASM357664v1:10:27813851:27817356:1 gene:itb10g23480 transcript:itb10g23480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGGEHSLFR >itb10g23480.t6 pep chromosome:ASM357664v1:10:27813740:27817343:1 gene:itb10g23480 transcript:itb10g23480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb10g23480.t7 pep chromosome:ASM357664v1:10:27813740:27817343:1 gene:itb10g23480 transcript:itb10g23480.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb10g23480.t3 pep chromosome:ASM357664v1:10:27813748:27817356:1 gene:itb10g23480 transcript:itb10g23480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb10g23480.t8 pep chromosome:ASM357664v1:10:27813842:27817325:1 gene:itb10g23480 transcript:itb10g23480.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MNMELIHERLNGERGGEVEEEEMETMPLTHTNNIGENNDFLVRCSGAVRVKAYIFDGEGGYFNKEWDLLEGRGKEFCWYHVELPKLNHKISQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPAMSKFTFRISARVTEHSVITVSLGRLPRLGFSPVNDSLLSEVPVVESPSHSSTEQRERSGIVIREHFLDFLLTMNHSEEADNPVPKSVSNLVVHFIDTHVDQLQDVVTNLELELDTVELELDRGGSALKKQMLDDRRFPKMHLDLQRLLQAIAHGEQVFLRVKEKCSSKDWFAHEDIVSLEELIGRLRRLKENVGFIANRVTAVQAGLDSWQSAQINRRLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTNQKQPELQDGFRNVMQLCLTMLLFLLLCFLFPSLYNKVMAWRRKRAMKRSWSLNQRSFVKRGTGNAERAKKEGYLRLH >itb03g22290.t1 pep chromosome:ASM357664v1:3:20359656:20360533:1 gene:itb03g22290 transcript:itb03g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHYSDPQSPPSLKQKLKHSLCFSCCFPHRRRRNNNNNHLRYYASPPPISSDEKPTLIWVQAEDPPDIKDKCRTVFSFIANANVKQKRPSSAEFRYDPLSYSLNFEDGYDDDNDAAPLRNFSARLPPSPPQPPVKSAVAVT >itb01g32140.t1 pep chromosome:ASM357664v1:1:35704875:35705168:-1 gene:itb01g32140 transcript:itb01g32140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRRKTDMKLSYYALLGVAIACFGVGLCFPDAFVTFSTTTFVNFFIAKLLVFRYVAPLRPSVSVLSWLFWVVFILSVYSSLYYVFYRNQMHVALY >itb03g27420.t1 pep chromosome:ASM357664v1:3:27177425:27178148:1 gene:itb03g27420 transcript:itb03g27420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCEVSVFCYVNDIVLAILERLKVHEQVLYVDINIHHGDGVEEVLTHETVSWFDSYCNVHGWYVSERRFTYMCKLIMIVSDLYAKNRVFIYRLIMLSALELMKKAVKMAVGIGLITLMNPIAVNRYKRFSSKWTYSSCQVCRLCQQQDERPTCSGTNMLNLYMLRQLLTEVKVVIQRANMIRVLWQSV >itb12g23910.t1 pep chromosome:ASM357664v1:12:25544174:25545106:-1 gene:itb12g23910 transcript:itb12g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHEINPNIHNSITPPQPPTSNAANLECNAAAAPSGEGGGKRGGGKGRGGPDNSKFKYRGVRQRSWGKWVAEIREPKKRTRRWLGTFATAEDAARAYDRAAIVLYGSKAQLNLQPSGHSDDGSSSSSQSSKRSTAYSTQNLRPILPKPPGFGLVPPPSAAAGGGAYIPYAFNPTIQYPPEILQQFSLQLPQPPQQPPFRSSEAIVLCNSNPNPNPTPTPNPNHPESDPQTQQQDDFSFYDEMNSLVGSVGSSLSLSSTMAPAPAFGSPGSPMFWPTGEDGFGGSSIWDYPNVDQYQLFDFNQDNLGKI >itb03g22520.t1 pep chromosome:ASM357664v1:3:20567467:20575495:1 gene:itb03g22520 transcript:itb03g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNNAFTTLWFYWLLVVEESYDHSMGATHDLELMDVILLPISLSVFHMQQRREELQNTDALPVPHPPSPKKTESLKEYIGATPALTFEASTVIVHSKTSDVSMPNGECEGEEGADQFYDAITVESSSSSSEDESDNEVEPIKKDKKVKLKNVSWAIASLALRRDSVLDIGKELNPNVSPLMLNPSDFHGTMQQGKDEKDTNCWTSPGGSGFMIRGKTYLKDNTKVKGGDPLLKLIAVDWYTLENSITKLALHPRCLVQSEAGKKLPFILVINLMVPAKPNYSLVLYYAADRPVNKDSLLGKFIDGTDLYRDSRFKLIPSIIEGYWMVKRAVGTKACLLGKAVTCKYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRVRLDSAVPLDV >itb06g13170.t1 pep chromosome:ASM357664v1:6:17807861:17810828:-1 gene:itb06g13170 transcript:itb06g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEGRLCESQSHKFKGECWSDTNCASVCLSEGFTGGHCRGFRLRCFCTREYEKMAMRVEGRLCESPSERFKGLCFIDTNCANVCISEGFTGGHCRGFRRRCFCTKLCI >itb05g22630.t1 pep chromosome:ASM357664v1:5:27977507:27982610:-1 gene:itb05g22630 transcript:itb05g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G10250) TAIR;Acc:AT5G10250] MKKCIKLDRSESFGSESDPIQAILSPPKFITSADSFERREQSWYANCQIPTDLSIQVQDVTFHVHKFPLVSKCGYLSRVEFQPPNPNSGYDYKIEKFPGGADTFEIILKFCYGLQICLNPNNVAALRCASEFLEMNEAQEDGNLISKAEAFFTFVALSSWKDTITVLKSCEPLSPWAENLQIVRRCCDSIAWKICQETRTEETMNEVEESWWFEDLAVLRIDHFLRIIAAVRAKGMKGETIGSCLMFYGDKWLSISSMENEMKGRNELQWNITVGVRQERGIIGQNNKEQRIIIESLVSALPPQKEAVSCKFLLRMLKLAILHSASPALVSELEKRVGMVLENANVHDLLIPSYFVTDQGNPINQSEDQTMHNIDVVQRILEYFLMYEHQRLQQQEQQKTSTLNISKLVDNYLAEIARDPNLSITRFQVLAEFLPRNVRTCDDGLYRAIDIYLKSHPSLSEHDRRRLCKVIDCEKLSLDACMHAAQNDRLPLRIVIQILFAEQVKMRAAMQQKGQTSSDDNSEKGESCWSSTKKEVKCVKEELDKLKEQMEELQKDYSDLQKEYEKVNKHSKNFAIWAIGWKKIKRATLFNGKLEVEESDEAQNRTNHGRRSNPRRTQSVS >itb05g22630.t2 pep chromosome:ASM357664v1:5:27977507:27981565:-1 gene:itb05g22630 transcript:itb05g22630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G10250) TAIR;Acc:AT5G10250] MNEAQEDGNLISKAEAFFTFVALSSWKDTITVLKSCEPLSPWAENLQIVRRCCDSIAWKICQETRTEETMNEVEESWWFEDLAVLRIDHFLRIIAAVRAKGMKGETIGSCLMFYGDKWLSISSMENEMKGRNELQWNITVGVRQERGIIGQNNKEQRIIIESLVSALPPQKEAVSCKFLLRMLKLAILHSASPALVSELEKRVGMVLENANVHDLLIPSYFVTDQGNPINQSEDQTMHNIDVVQRILEYFLMYEHQRLQQQEQQKTSTLNISKLVDNYLAEIARDPNLSITRFQVLAEFLPRNVRTCDDGLYRAIDIYLKSHPSLSEHDRRRLCKVIDCEKLSLDACMHAAQNDRLPLRIVIQILFAEQVKMRAAMQQKGQTSSDDNSEKGESCWSSTKKEVKCVKEELDKLKEQMEELQKDYSDLQKEYEKVNKHSKNFAIWAIGWKKIKRATLFNGKLEVEESDEAQNRTNHGRRSNPRRTQSVS >itb12g21320.t1 pep chromosome:ASM357664v1:12:23683529:23685517:1 gene:itb12g21320 transcript:itb12g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSSSSFSSVSPYYHHIFTALACLISALIFFFSRKSKSKRLNLPPGPPGWPVVGNLFQVARSGKPFFQYVRDDLRPKYGPIFTLRMGTRTMIVISSAELVHEALIEKGQVFASRPRENPTRSIFSCNKFTVNAAVYGPVWRSLRRNMVQNMLSSSRLKEFRRAREVAMDKMIDKILTEAAANGGAVWVLRNARFAVFCILLAMCFGIEMDEEMITTVDQMMKKVLIVLDPRVDDYLPILSPFFSKQRKRAMEVRKEQIETIVPFIEKRRRALQNPGSDKTASSFSYLDTLFNLKIEGRKSEPTNAEMVTLVSEFLNGGTDTTGTAIEWAVARMIHAPALQSRLYDEIKRVVGERKVAEADVEKLPYLNAFVMELLRKHPPTHLSLTHAVIEPAKLGGYDVPTDCNVEIFLPGISEDPKIWPDPDAFDPDRFLSGKEAADITGVTGVKMIPFGVGRRICPGLNMAMMHVNLMIARLVQEFEWSVYPENGKVDFSEKWEFTVVMKNPLRAKVKPRA >itb08g05730.t1 pep chromosome:ASM357664v1:8:4716783:4719866:-1 gene:itb08g05730 transcript:itb08g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSLNRYVGIWYNQIPNRTVVWVANRDTPLTNTSSVVLKIINSGRLALLDGNNNSNIYWHTNTSRLVPNPVAKLLDSGNLVVIDANDENPENFLWQSFDHPTDTLLPGMKLGANFVTGLNTTLSAWKSESNPGMGEYNLSLDPAGYPQLILTKGIKEVLRSGPWNGLGWGGLPGIKNTQLGEISVIFNRTEVSFSYKYYKSSIVRSVLSNSGNLEIYMWSDGKGEWNSLRRLPTDVCDEYGLCGAYGSCDYNNSPACGCLDKFVPKDSGAWDRVDFSGGCVRRTPLKNCQNGSSDGFLKYSGVKLPDTRFSTFNTSMSLQECREVCLKNCSCVAYSSLDISNGENGCLLWFGDLVDIRVLPVDGQDLYIRMASSDLEIISGKRNREFAHHQDHYENLLSHAWKLYRDGRSIELVDEHLDELHDLSQVLSSIQVGLLCVQHCPEDRPNMCSIVNMLTNDVQLSIAKEPGFFTERRAIETKSPSNKEKSYSINEVTISMLNPR >itb11g01640.t4 pep chromosome:ASM357664v1:11:816457:821478:1 gene:itb11g01640 transcript:itb11g01640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTALGDYGGDDNSFSSLWDALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTNDGQEEYAEFAHLPRRRFSDFSLVRKEIQDETDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGDRTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPEYGHLSSKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIDELQSELDHLGRPIGVDAGAQLYTILELCRAFFKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSIQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQTAIAAASNQALEKFREERNFPRKWRKGEKQLQLQQWTIIQKVTLGG >itb11g01640.t2 pep chromosome:ASM357664v1:11:817516:821478:1 gene:itb11g01640 transcript:itb11g01640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGDRTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPEYGHLSSKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIDELQSELDHLGRPIGVDAGAQLYTILELCRAFFKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSIQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQTAIAAASNQALEKFREESKKTVIRLVDMEAAYLTADFFRKLPQEVEKGGKAAATTTVDNYSEGHFRRIGSNVSSYVNMVSDTLRNTIPKAVVHCQVKEAKQSLLNHFYTRIGGKEGKALAELLDEDPALMERRQQCAKRLELYKKARDEIDSVSWAR >itb11g01640.t1 pep chromosome:ASM357664v1:11:816457:821478:1 gene:itb11g01640 transcript:itb11g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTALGDYGGDDNSFSSLWDALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTNDGQEEYAEFAHLPRRRFSDFSLVRKEIQDETDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGDRTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPEYGHLSSKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIDELQSELDHLGRPIGVDAGAQLYTILELCRAFFKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSIQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQTAIAAASNQALEKFREESKKTVIRLVDMEAAYLTADFFRKLPQEVEKGGKAAATTTVDNYSEGHFRRIGSNVSSYVNMVSDTLRNTIPKAVVHCQVKEAKQSLLNHFYTRIGGKEGKALAELLDEDPALMERRQQCAKRLELYKKARDEIDSVSWAR >itb11g01640.t3 pep chromosome:ASM357664v1:11:817921:821434:1 gene:itb11g01640 transcript:itb11g01640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPEYGHLSSKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIDELQSELDHLGRPIGVDAGAQLYTILELCRAFFKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSIQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQTAIAAASNQALEKFREESKKTVIRLVDMEAAYLTADFFRKLPQEVEKGGKAAATTTVDNYSEGHFRRIGSNVSSYVNMVSDTLRNTIPKAVVHCQVKEAKQSLLNHFYTRIGGKEGKALAELLDEDPALMERRQQCAKRLELYKKARDEIDSVSWAR >itb15g23840.t1 pep chromosome:ASM357664v1:15:26724378:26726683:-1 gene:itb15g23840 transcript:itb15g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLENLIERPFVKFSPSLWGDYGFHSSSIDDQVAEAYAKEIEILKEQTRATLLHTIASGSSSNVAQKIRFINLLERLGIAYHFEKEIDDQLLHIYTHPVHLNDLETVAIQFRVMRKHGYNISTDIFSNFVDGNGKFKDTSDVKGLLSLYEASYVRTRSDDEVLEGVTAFAETRLRSALPNLKPNSALEKLVTHALDQPFHTGLPRVETRFFISVYQEEDESLRNDELLRFAKLDFNLLQMLHKQELSEVSRWWKDLDLIATLPYARDRAVECYFWALGVYFQPQYSKARIMLAKNISIVSIVDDTFDAYGTVEELEVYTEVIQRWDIKEMNRLPNYMKISYKAMLDLFENDEKDLLEEGRSYAIQHGRERMKELVRCYFTESKWFSNEGHQPAFAEYLKNAFATSAYYLLSTISCYTLKSADEQAFNWLMKNPKILEAGVTICRLIDDIATFDGEKERGQVTTGIECYVKEYGVSLEKAMEKFQELADLALKDLNEGLLQPTPVSAEILLRIFNLTCIIFVTYQHNQDGYTCPEKVLKPHIVALLVDPVPL >itb12g03910.t1 pep chromosome:ASM357664v1:12:2578498:2581513:-1 gene:itb12g03910 transcript:itb12g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGFPAPKDGMLLRLRCSVKNYDWGRIGRESGVARLYSRNTGEEVQEDTPYAELWMGTHESGPAYVVGASRGSAANGLVNGGGREKYSLTLKDWIQRNPTVLGDKVVKTWGADLPFLFKVLSVAKALSIQAHPDKDLAARLHKEQPEVYKDGNHKPEMALALTDFEALCGFVSFEELKLAVQTVPEIGEVVGSAHVDQVLHVNEDEVDENAKPILKSLFTKLMSASKDVISQVLSKLISRLNIKNEARELTDKEKLVLRLEKQYPGDVGVIAAFLFNYVKLSPGEALYLGANEPHAYLFGECIECMATSDNVVRAGLTPKNRDVETLCSMLTYKQAFPEILKGEALDPYTKRYSPPFDEFEVDQCILPQAATTAFPAAPGASIFVITAGEGTMSTTSSNEAVAEGDVLFAPANTGIAVSTTSGLSLFRAGVKTCV >itb03g18140.t1 pep chromosome:ASM357664v1:3:16511534:16513794:1 gene:itb03g18140 transcript:itb03g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEGGGSGGEEPANWDELYNINLMPSEFFLKFRKELEGFRVGVNLEFYNSPTNEYLAKLVLKPLSPDRRWKFMYEPLHHEVRLFSSKIPVTKFLNLQVGVGHSFQSHATGWKWKLTTCLGGDGVSRIRNKTSLGLCPGVDFRFGWRADYVLPEITGALGTEEPLFNMNSGRLQASLDRVEAIFTQ >itb03g18140.t2 pep chromosome:ASM357664v1:3:16511534:16513107:1 gene:itb03g18140 transcript:itb03g18140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MEGGGSGGEEPANWDELYNINLMPSEFFLKFRKELEGFRVGVNLEFYNSPTNEYLAKLVLKPLSPDRRWKFMYEPLHHEVRLFSSKIPVTKFLNLQVGVGHSFQSHATGWKWKLTTCLGGDGVSRIRNKTSLGLCPGVDFRFGWRADYVLPEITGQVAKLLSPRFLFT >itb09g23480.t1 pep chromosome:ASM357664v1:9:22793025:22800929:-1 gene:itb09g23480 transcript:itb09g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYRNGNHKSPNLRTSKSKLSPSTSNVRRCSNVSTLGIADAVSGRVRVAVRLRPRNAEELAADADFADCVELQPELKRLKLRKNNWDTDVYEFDEILTESASQKRVYEVVAKPVVQSVLEGYNGTVMAYGQTGTGKTYTLGQLGEEDPSARGIMVRSMEEILANTCLETDSVSVSYLQLYMETIQDLLNPANDNIAIIEDQISGDISLPGATVVEVRDHQSFLELLQLGEGHRYAANTRMNTESSRSHAILMVHIKKSVSEIESDLTGEYDISSHPALNFKPPMLRKGKLVLVDLAGSERVLKSGSEGLVLEEAKSINLSLSALGKCINALAENSAHVPFRDSKLTRLLKDSFGGTSRTSLVVTIGPSSCHRAETASTILFGQRAMKVENVLKIKEECDYKSLCKGLQVQVDKLIAENERQQKSFEDEVKKIRLEAQKHISEAEGNYAEELKEEKLKCQMEYMESIKKLEEKMLNQQQHPSNGITDGTYLGEGQKGFCTEEVTELKKLLHNEIKIRKAAEEETNKLKDQIMKFSEPELKGGNSDIVNLQKVLEEETRQKKRLEEEVNILKSQLSHLTLHAGLIRNSPDRDGNGSLLSGLDSPSPLRHLPYKDSNNGERGAITYLHGQVGLHKILSLLESEDACVQIHAVKVIANLAAEEANQEKIVEAGGLSSLLILLRSSEDETIRRIAAGAIANLAMNEANQELIMAQGGVGLLAMTASDAEDPQTLRMVAGAIANLCGNDKLQIGLRSEGGIRALLGMVRSRHPDVLSQVARGLANFAKCESRSSAQGTKTGRSLLIEDGALPWIIKNANNEASLIRRHVELALCHLAQHEMQNFLFLFLIPFLRGKCKRHD >itb10g21370.t1 pep chromosome:ASM357664v1:10:26613251:26613996:1 gene:itb10g21370 transcript:itb10g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHGVVNIIGWGILVPAGTISARYYKKLRLRCEECYSLHTVSQVSGFIVGTVGWGLGISLRNAATAKQHPMTTHGILGTIVLSFSTLQVLGVWLQPDEENGNRKYWVIYHNVLGYALIILIIANIFQGIDDQISHGSRWKWCYGVIVGVLAFTALVLELFSCWLKF >itb09g11920.t1 pep chromosome:ASM357664v1:9:7463388:7465835:-1 gene:itb09g11920 transcript:itb09g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAGCFGNFLENVRPFIAMICMQFGYAGMNIITKVSLNRGMSHYALVVYRNAFATAVIAPFALLLERKIRPKMTFSIFMQIFVLGLLGPVVDQNLYYAGLKFTSPTFSCGMSNILPAMAFVMGVVCKMEKVNIKKVRCQAKVIGTLVTLGGAMVMTLYKGHLVNLFWSNHIYTPNQTSSFDKDWIKGSILLILATIAWASFFILQAITLKKYTAQLSLTAMVCFIGTLQSIAVTFVMEHRSSVWSIGWDLNLFAAAYAGIVSSGIAYYVQGIVMQKRGPVFVTAFSPLMMIIVAIMGSFILAENIFVGSVAGAVLIVMGLYAVLWGKYREYKEKEAEEIQEAVKGISGNKNERMMVIIEEEGEGEEDDIEMQKAPPVAIIAISPNISQPPMLAMEAPKH >itb09g11920.t4 pep chromosome:ASM357664v1:9:7463437:7465830:-1 gene:itb09g11920 transcript:itb09g11920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAGCFGNFLENVRPFIAMICMQFGYAGMNIITKVSLNRGMSHYALVVYRNAFATAVIAPFALLLERKIRPKMTFSIFMQIFVLGLLGPVVDQNLYYAGLKFTSPTFSCGMSNILPAMAFVMGVVCKMEKVNIKKVRCQAKVIGTLVTLGGAMVMTLYKGHLVNLFWSNHIYTPNQTSSFDKDWIKGSILLILATIAWASFFILQAITLKKYTAQLSLTAMVCFIGTLQSIAVTFVMEHRSSVWSIGWDLNLFAAAYAVSLILNLD >itb09g11920.t2 pep chromosome:ASM357664v1:9:7463437:7465830:-1 gene:itb09g11920 transcript:itb09g11920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILPAMAFVMGVVCKMEKVNIKKVRCQAKVIGTLVTLGGAMVMTLYKGHLVNLFWSNHIYTPNQTSSFDKDWIKGSILLILATIAWASFFILQAITLKKYTAQLSLTAMVCFIGTLQSIAVTFVMEHRSSVWSIGWDLNLFAAAYAGIVSSGIAYYVQGIVMQKRGPVFVTAFSPLMMIIVAIMGSFILAENIFVGSVAGAVLIVMGLYAVLWGKYREYKEKEAEEIQEAVKGISGNKNERMMVIIEEEGEGEEDDIEMQKAPPVAIIAISPNISQPPMLAMEAPKH >itb09g11920.t3 pep chromosome:ASM357664v1:9:7463388:7465835:-1 gene:itb09g11920 transcript:itb09g11920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAGCFGNFLENVRPFIAMICMQFGYAGMNIITKVSLNRGMSHYALVVYRNAFATAVIAPFALLLERKIRPKMTFSIFMQIFVLGLLGPVVDQNLYYAGLKFTSPTFSCGMSNILPAMAFVMGVVCKMEKVNIKKVRCQAKVIGTLVTLGGAMVMTLYKGHLVNLFWSNHIYTPNQTSSFDKDWIKGSILLILATIAWASFFILQAITLKKYTAQLSLTAMVCFIGTLQSIAVTFVMEHRSSVWSIGWDLNLFAAAYAGIVSSGIAYYVQGIVMQKRGPVFVTAFSPLMMIIVAIMGSFILAENIFVGR >itb03g02150.t1 pep chromosome:ASM357664v1:3:1188472:1189193:1 gene:itb03g02150 transcript:itb03g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYCNISAPIFIIALFISTAVPIADSNNSGGGQKCGGAAECAAAAGDDGEEFLMMDSQSSAWVLDSLQGSSQKLIYGSLEPGAQCDARRAGSCVANAVNPNLNVCKNIRNRSCLRNR >itb08g11600.t1 pep chromosome:ASM357664v1:8:11630836:11638858:-1 gene:itb08g11600 transcript:itb08g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKHLSAIANDVVRQCAQKLDTSVDALVAESEGGGYEADVKVYSRKIVEVCCSKALTGIFSYPDNCILDDSFSRFTFNMMLAWEMPSLEHEGLYAESLVKELEDTNKEAIKMSHEHDDIALFYSDIMPLLVDDSSCVGEDSFVWLAALVPVVADVVNARSTFEILTASTGSRLHFPAYDVFLKEIDKCVRHLQKQVVPTGMELVDDEFILHVEGTATSQRVVRHIGGTSWPGRLTLTNYALYFEASGILSYEDALKLDLSKDVQKSVKPTVTGPWGAPLFDKAIVYQSSEMQEGVVLEFPEMTSSTRRDHWLALIKEIMLLHQFLLMFNIKSPVQSWGMHARTILGIIRLHAAREMLRLSPPIPKSFLILDLIDELPKGEHLLQELCESLKKTDTRHPCSSSSILRTINVPQLSVPATEVKEIDNKGTLLVQSQNASPLASAIEQSREEEKEIDMAKATAREVKEEGLGDSFQHAYVVMIAMTIAAIVLALIPFKFVLMALTLYSFMATLMVAKERQNQRGNRRLQEWWDSIPVIPVELVQDKAMETSILNDLDWY >itb15g15090.t1 pep chromosome:ASM357664v1:15:13638796:13644385:-1 gene:itb15g15090 transcript:itb15g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRPHIVLHTAFTMLQVLQALCTSTLCLLPLHTIPSPSRLLVDSFTRSASRQRQHSRSDCWPLSALSRQRFVVGGRFPSSTRPESGSRIFERLKLRCTMALRQLCGFSDGEVMRSDCKPCSRLMRQTAAIFSVGGALGFWVLCRLHYGPRITNPRSLRWGACGAVSTSSTTALLVRLFSPECEPQNIAAYDKKG >itb04g10040.t2 pep chromosome:ASM357664v1:4:9285585:9292297:-1 gene:itb04g10040 transcript:itb04g10040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESSGTLSEFFHDGAQDDINYRDTNVHNVSVETGEVFSAEFTPKNAAKKGNQVRGDGFTIAQNDLVYEELGIQRGCESGMEFLDFSPNNGYALRGNYRAYLDSMSSYAMEIPGKGQHRARFADESKGDRARPGSPLHASKSLHSFYPYNHSPEVLYNSFSGKMKFICSFGGRILPRPNDRRLRYVGGETKMISIRKDLTYNELVKKTTAICNHPHTIKYQLPGEDLDALISVTSDEDLHNMIEEFHDLERSSLRLRLFLVPPSDAESLCLQQTDADYQYVVAVNGMMEPGLPRNSSRENLASQISQCGNIMDYSQSCQRDTPPSFHPFERRNRTKAMNILVPKNPTAHWFNASSQTPPKSYVQSPPLSPPTGQFKDLKRSPNNFASPDGHEFYSPYAVDKPQAAYDNSYNLGNSGYCYNHPSDAMPLSYYQKENTHLLESNKASEPFSQNRTFKRCMTFQPSYQNDLDCEMSVSKDIPLHSERLIHSRDINLLPSPRVGFQAKPGYGMHHAISDPQLLCEERYNVTSIETISPAPSNFSGEMSPSLEIFSTSPEMSMQWPELRDQKQLMTKNEHQKTLKEPEFNKEYAEWDPNSINWIKQKNAFSPQEGSYFGIKDICTPKAYGGRNSSKPLVSPSNSTTSCRENCNDPNTCWETTSKPQVGENRTFAPPPIREQCHDLNSSAKLFFVRPNETTNEKHAASGTTKNTEDGFCLSHELQPAYHMNSTDTSGFFLDSNDPRITDIPFPNSASGAAFAQNVAIRGNISNGKLEEENCDRISNQKHDSGDEEFFEFQLSDNSHHCRVQEQRILGEGNANNTPPDAPLSSSVVSRVQDEPSDGLPPLGENRESESVPTKPAYKDDKVDGDSEGLSIGTNIEMEAGIYGFQIIRNSDLGELRELGSGTFGTVYHGKWRGTDVAIKMIKESCFAGRSSDQEQLTKDFWREAQILSKLHHPNVVAFYGVVPDGPGGTMATVTEYMANGSLRHVLVRKDRTLDKRKKLKIALAAAFGMEYLHTKNIVHFDLKCENLLVNLGDPQRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNSSRVSEKVDVFSFGIAMWELLTGEEPYANMHCGAIIGGILNNTLRPPIPQHCDPEWRKLMEDCWSSDPAARPSFTEITGRLRAMSAALHPKTRARK >itb04g10040.t1 pep chromosome:ASM357664v1:4:9285585:9292297:-1 gene:itb04g10040 transcript:itb04g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESSGTLSEFFHDGAQDDINYRDTNVHNVSVETGEVFSAEFTPKNAAKKGNQVRGDGFTIAQNDLVYEELGIQRGCESGMEFLDFSPNNGYALRGNYRAYLDSMSSYAMEIPGKGQHRARFADESKGDRARPGSPLHASKSLHSFYPYNHSPEVLYNSFSGKMKFICSFGGRILPRPNDRRLRYVGGETKMISIRKDLTYNELVKKTTAICNHPHTIKYQLPGEDLDALISVTSDEDLHNMIEEFHDLERSSLRLRLFLVPPSDAESLCLQQTDADYQYVVAVNGMMEPGLPRNSSRENLASQISQCGNIMDYSQSCQRDTPPSFHPFERRNRTKAMNILVPKNPTAHWFNASSQTPPKSYVQSPPLSPPTGYCYNHPSDAMPLSYYQKENTHLLESNKASEPFSQNRTFKRCMTFQPSYQNDLDCEMSVSKDIPLHSERLIHSRDINLLPSPRVGFQAKPGYGMHHAISDPQLLCEERYNVTSIETISPAPSNFSGEMSPSLEIFSTSPEMSMQWPELRDQKQLMTKNEHQKTLKEPEFNKEYAEWDPNSINWIKQKNAFSPQEGSYFGIKDICTPKAYGGRNSSKPLVSPSNSTTSCRENCNDPNTCWETTSKPQVGENRTFAPPPIREQCHDLNSSAKLFFVRPNETTNEKHAASGTTKNTEDGFCLSHELQPAYHMNSTDTSGFFLDSNDPRITDIPFPNSASGAAFAQNVAIRGNISNGKLEEENCDRISNQKHDSGDEEFFEFQLSDNSHHCRVQEQRILGEGNANNTPPDAPLSSSVVSRVQDEPSDGLPPLGENRESESVPTKPAYKDDKVDGDSEGLSIGTNIEMEAGIYGFQIIRNSDLGELRELGSGTFGTVYHGKWRGTDVAIKMIKESCFAGRSSDQEQLTKDFWREAQILSKLHHPNVVAFYGVVPDGPGGTMATVTEYMANGSLRHVLVRKDRTLDKRKKLKIALAAAFGMEYLHTKNIVHFDLKCENLLVNLGDPQRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNSSRVSEKVDVFSFGIAMWELLTGEEPYANMHCGAIIGGILNNTLRPPIPQHCDPEWRKLMEDCWSSDPAARPSFTEITGRLRAMSAALHPKTRARK >itb08g16580.t1 pep chromosome:ASM357664v1:8:18627514:18632731:1 gene:itb08g16580 transcript:itb08g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVAVAIAAAIGNLLQGWDNATIAGAILYIKKEFKLEDEPTIEGLIVAMSLVGAVLITTCSGAISDWLGRRPLLIVSSVFYFISGLVMLWCPNVYVLLLARLLDGFGIGLAVTLVPIYISETAPPEIRGLLNTLPQFTGSIGMFSSYCMVFGMSLTSSPSWRLMLGVIFIPSVVYFALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGIGGETSVEEYAIGPAQELTEDQEPGIHKELIRLYGPEEGISLVARPVTGQSFLSLASRPGSIVAKNVPLMDPVVTLFGSVHEKIPDTGSKGSMLFPHLGSMFSVAENQPKNDEWDEESLAREGEDCTSETAAAESDDNLQSPLISRQTTSMDKDMVPPPFQGSIFSMRQGSLTQGANSELVGSAGIGGGWQLAWKWIEREDPEGNKEAGFKRIYLHEGGIAGKWRGSLVSVAGDDMPAAGEYFHATALVSQPALYSKELIDEHPIGPAMIHPAEATTKGPSWSDLFEPGVKHALIVGVGIQILQQFSGINGVMYYTPQILEHAGVEVLLSNMGISSASASLLISAIINLLMLPCIAVAMRLMDLSGRRSLVLGTIPILITTLVILVIGNVVHMGSVAKAAISTVCVVLYLCSFVMGFGPVPNILCSEIFPTRVRGTCIAICALTFWIADIIITYSLPVMLSSIGLAGVFGIYAVACVISWAFTFLKVPETKRMPLEVITEFFALGAKQAAHPSAD >itb03g26650.t2 pep chromosome:ASM357664v1:3:26248941:26251169:1 gene:itb03g26650 transcript:itb03g26650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYIYVCVYKLGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLTTSAAGAAAVITPATPTPQQPSPTTSSGGLSSSEETAYLNLELSISLPTPADEEHSNSGSSHAVCLCQKLGFQSGNACNCSKMAASINYAARDGMQTLFTPLSL >itb03g26650.t1 pep chromosome:ASM357664v1:3:26248370:26251169:1 gene:itb03g26650 transcript:itb03g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDDRLIRYIKKHGEGCWRTLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPLTTSAAGAAAVITPATPTPQQPSPTTSSGGLSSSEETAYLNLELSISLPTPADEEHSNSGSSHAVCLCQKLGFQSGNACNCSKMAASINYAARDGMQTLFTPLSL >itb10g15450.t1 pep chromosome:ASM357664v1:10:21657412:21657908:-1 gene:itb10g15450 transcript:itb10g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQGLVLATAMAVSAGTIIILDLLRDKYFHQNQQSFPEKHRILRSCLTSSASGGDRNKESEKKSKKKKRVHFAEDVKDTIGNGEEYRRQFEMVNNQRRRYSCGNAGNKGMPENRAALYAGVLRDRVQRMEYSY >itb12g04580.t1 pep chromosome:ASM357664v1:12:3031923:3034403:1 gene:itb12g04580 transcript:itb12g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPQNLRGHLIKLNCLLADFTHCRQFYSALHLFHHIHSSHLLRPDHYTLSTVLTACANIQHTLVFGAQLHAFGFRAGLTAFSHVSNALLSFYAKSQDLCGVKRLFTEIQSPDVYSWTTLLSACTKLGEVEYALQVFDEMPRRDVAVWNAIITGCADNGDDEVALNLFQKMHSLGVSHDNYTFASVLSLCSLELWSLGRQVHSMVVKTGFLATTSVINALVTMYFNCKSVVDACWVFEDADDEVVDQITYNGMIAGLVSMERNEEALVMFNHMRNIYLMPTELTFVSLMSSCFDAMIATQIHGLVVKQGFGDCTSVSNAAMTMYSNCQDLKSTCLIFERIKEKDIVSWNAMITSYAQENLSGEAILAYLEMQREGVVADEFTLGSLLSSSQSVAHAEIILSIVIKNGLILKIEVSNALVSAFCKLGEIEQAYRYFHDMFTRNLISWNAMISGCQSNGFPMQSLNLFSELLAEGLTPNAYTLSTVLSACAGIPSFQHGKQIHGYILKFGLFLETSIGNTLIALYSKCGILHWSTRVFQTMTERDVVSWNSMISAYAQHGKGGEAVHCFEMMLNSTRVEPDKATFTGVLSACSHAGLVEDGIQIFNSIVNNYGIKPGVEHFSCIVDILSRAGYLDEAEKLVKTKKFEVDSTVWWTLFSSCAGHGNTRLGRIVAGILLESEKNNPAVYVLLSNIYADAGKWEESASVRELMQRYRVIKQPGSSWVRS >itb13g22570.t1 pep chromosome:ASM357664v1:13:28849855:28850537:-1 gene:itb13g22570 transcript:itb13g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQAHQALLLLLLLLGLFTPGLALSGHRRRLHSEPPPPSSSAPGTKPQLNAAAASAAAGGAGKASSGWEFRVSAHEVPSGPNPEQNK >itb05g20660.t1 pep chromosome:ASM357664v1:5:26707471:26708859:1 gene:itb05g20660 transcript:itb05g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYCGGIDRDAVKIYVTEVRQVGFKIEEAISESLGLDPQCFKNVLGEQGQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLQDLQVAGLQVLKDGKWLAVKPRPDAFVINIGDQLQALSNGKYKSVWHRAIVNTDKPRMSVASFLCPGEDALISAPKPLLGDGMPAVYRDFTYPEYYSKFWSRNLDQEHCLELFKN >itb15g11690.t1 pep chromosome:ASM357664v1:15:9463696:9464316:1 gene:itb15g11690 transcript:itb15g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDREGNTHLRELIICFEKIKDLKPHFSSEVCIYRVHEKLRTANPEAYTPLTISIGPNHHKPCNSRLWELEGLKEFYTLSLLNRAQGVGVEECWKKLKELEGRAKSYYNDHVRGLGDEFVKMLLLDGCFILEFVIRSFLRALGGVREYDDDPIYNISAGWKDILFVTCCSWKTNSPSLFCNHSRHDYWSVWQHRILRHGGICISD >itb10g18730.t1 pep chromosome:ASM357664v1:10:24584845:24591154:-1 gene:itb10g18730 transcript:itb10g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRILHSRLSSLSNTQVPLKASSHGFSKPHGRSSISLAGILQVHVGVTSNRNIYNGIRAFCTTEDLSIKKCVPCNTKDLRPMTEEAANTLMSQVPEWSLVNDGGILKLHRSWKVKTFIKGLNFFELVGCLAETEGHHPDLHLVGWNNVKIDIWTHAVGGLTENDFILAVKIDRLNVKELLRREVAKPTVPSS >itb02g01050.t3 pep chromosome:ASM357664v1:2:598343:599992:-1 gene:itb02g01050 transcript:itb02g01050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAALVNDTIGTLAGGRYSNKDVSVAVILGTGTNAAYVERAQAIPKWHGPLPKSGEMVINMEWGNFRASHLPLTEYDQALDAESLNPGEQIFEKITSGMYLGEILRRVLLKMAEEAAFFGDEVPSKLKTPFVLRTPEMSAMHHDTSPDLKVVGEKLKDILEIPNTSFKTRRVVVELCNIIATRGARLAASGILGILKKMGRDAPREGGPGPVKTVIAMDGGLYEHYTEYSRCLENSLYDLVGEEMAPHIVFELSNDGSGIGAALLAASHSFYAEQIA >itb02g01050.t1 pep chromosome:ASM357664v1:2:598335:601791:-1 gene:itb02g01050 transcript:itb02g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVVGAAVVGAAAACAVAALVVRHRMKKSNKWARAMAILREFGEKCATPNAKLRQLADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDEEGVFYALDLGGTNFRVLRVQLGGKNGGIVNQEFTEASIPPNLMVGSGEALFDYIAEKLAKFIDEEEQNSHQPPGKLRELGFTFSFPVMQTSINTGDLIRWTKGFSIDDMVGQDVVAELTKAITRKGVDMRVAALVNDTIGTLAGGRYSNKDVSVAVILGTGTNAAYVERAQAIPKWHGPLPKSGEMVINMEWGNFRASHLPLTEYDQALDAESLNPGEQIFEKITSGMYLGEILRRVLLKMAEEAAFFGDEVPSKLKTPFVLRTPEMSAMHHDTSPDLKVVGEKLKDILEIPNTSFKTRRVVVELCNIIATRGARLAASGILGILKKMGRDAPREGGPGPVKTVIAMDGGLYEHYTEYSRCLENSLYDLVGEEMAPHIVFELSNDGSGIGAALLAASHSFYAEQIA >itb02g01050.t2 pep chromosome:ASM357664v1:2:598335:600648:-1 gene:itb02g01050 transcript:itb02g01050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGEALFDYIAEKLAKFIDEEEQNSHQPPGKLRELGFTFSFPVMQTSINTGDLIRWTKGFSIDDMVGQDVVAELTKAITRKGVDMRVAALVNDTIGTLAGGRYSNKDVSVAVILGTGTNAAYVERAQAIPKWHGPLPKSGEMVINMEWGNFRASHLPLTEYDQALDAESLNPGEQIFEKITSGMYLGEILRRVLLKMAEEAAFFGDEVPSKLKTPFVLRTPEMSAMHHDTSPDLKVVGEKLKDILEIPNTSFKTRRVVVELCNIIATRGARLAASGILGILKKMGRDAPREGGPGPVKTVIAMDGGLYEHYTEYSRCLENSLYDLVGEEMAPHIVFELSNDGSGIGAALLAASHSFYAEQIA >itb09g05440.t1 pep chromosome:ASM357664v1:9:3109963:3112580:1 gene:itb09g05440 transcript:itb09g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPTAPTTSRATPTTIKPEDYAHSPVHYAVAVGDHNTLTRLVASVERLSDPTRIHTESDSIAQERRADKIAAVLDRRDNPNRETPLHLAVRLNDAFAVRTLANAGADISLQNSAGWNPLQEAIVRRCSDIVATLVQHHHLAAWFKWRRRLPRLVSGLRRMRDFYMEISFHFESSIVPFVGKIAPSDTYKIWKRDANLRADTSLAGYDGLKIQRANQSFLFFGEGDSNFDIPAGSLLVLNHDDRKIFDAFENAGSPLTDTDVANFCSQTSVYRPGMDVTKATLVGRTNWRGQEKTESVGEWKSRVYEVHNVTFSFRSRKAASGEAGSEQILPLDLEIEDDADEGFLVAENPRFSVSVDSASRQRRHSSFVREDREFISVSRKSVDIIPEPRRRSTAIPVAPAPQTKEKEFVKNLKPSIWLTENFPLKTEELLPLLDILANKVKAIRRMRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVELQPVEQFFTPFSSPRHLSGNDDDSTDGGDISFSSKSWLSRGGNRSCSTSQQESCNGQQGDPFAIPGNYIWSSFDERNSRKMKKCRSTRRSK >itb15g13570.t1 pep chromosome:ASM357664v1:15:11578021:11581927:-1 gene:itb15g13570 transcript:itb15g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWAARLSSTSKRYQSASQSRSGMRWDGIDAEMLIGFEEIDMVDDDVREEFPCPFCSEYYDIVGLCCHIDDEHPLEAKNGVCPVCEMRVGVDMVAHITLQHGNIFKMQRKRKSRKGGSHSTLSLLRRKLREGNLQSLLGGSSFIAPSSSAAPDPLLSSFILPMGDDFGSAQPRSSAEAISAMKSTPENVSERKQPPLSIKDKEERTKRSEFVQGLLLSTIFDDNL >itb02g01710.t8 pep chromosome:ASM357664v1:2:948671:952525:1 gene:itb02g01710 transcript:itb02g01710.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MIQENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t9 pep chromosome:ASM357664v1:2:948671:952525:1 gene:itb02g01710 transcript:itb02g01710.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQKKLRKLRLKLVTDAASLLAEPASILHADSNSSWEGSCNTVKVDVNIGSLFASGEENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t2 pep chromosome:ASM357664v1:2:948671:952588:1 gene:itb02g01710 transcript:itb02g01710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVTLSLSNTIVDSPVMSNHVEITRLKLVTDAASLLAEPASILHADSNSSWEGSCNTVKVDVNIGSLFASGEENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t3 pep chromosome:ASM357664v1:2:948671:952588:1 gene:itb02g01710 transcript:itb02g01710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVTLSLSNTIVDSPVMSNHVEITRLKLVTDAASLLAEPASILHADSNSSWEGSCNTVKVDVNIGSLFASGEVGGSDLSESVLKIGNGLTVSDAMIQENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t7 pep chromosome:ASM357664v1:2:948709:952391:1 gene:itb02g01710 transcript:itb02g01710.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVTLSLSNTIVDSPVMSNHVEITRLKLVTDAASLLAEPASILHADSNSSWEGSCNTVKVDVNIGSLFASGEVGGSDLSESVLKIGNGLTVSDAMIQENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t1 pep chromosome:ASM357664v1:2:948671:952588:1 gene:itb02g01710 transcript:itb02g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t4 pep chromosome:ASM357664v1:2:948671:952588:1 gene:itb02g01710 transcript:itb02g01710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVTLSLSNTIVDSPVMSNHVEITRLKLVTDAASLLAEPASILHADSNSSWEGSCNTVKVDVNIGSLFASGEVGGSDLSESVLKIGNGLTVSDAMIQENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t5 pep chromosome:ASM357664v1:2:948671:952525:1 gene:itb02g01710 transcript:itb02g01710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVTLSLSNTIVDSPVMSNHVEITRLKLVTDAASLLAEPASILHADSNSSWEGSCNTVKVDVNIGSLFASGEVGGSDLSESVLKIGNGLTVSDAMIQENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb02g01710.t6 pep chromosome:ASM357664v1:2:948736:952588:1 gene:itb02g01710 transcript:itb02g01710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLENEYDEILSVGEEPNEINGEELLPLGATSEISLPIAVEIEGIDNGQIVAKVISLEERSFERKVINNLSSLAACSNEGISSGPTIKASVVALPLPSEKDPVKGGVKSVFELDCVPLWGSVSICGKRPEMEDSVMAAPHFMKIPIKMFVGDRVLDGLGQTLSHLTSHFFGVYDGHGGSQVANYCRERIHLALAEELEMPKDYSISGNTSCLDTRQLLWEKVFRNCFLKVDDEVGGKVERGGPGDDVSASACTSDPIAPETVGSTAVVAVISSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNREDEYARIEASGGRVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLVLASDGLWDVMTNEEACEAARKKILIWHKKNGSNHFDRGTGVDPAAQAAAEYLSMLALQKGSRDNISIIVVDLKAQRKFKTKS >itb01g01970.t1 pep chromosome:ASM357664v1:1:1149423:1150078:-1 gene:itb01g01970 transcript:itb01g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLFFLALSLYLLPIPTHSTFNPIRLRPADKAAAADTTAVLDTDGDEVRPGGEYYIVSAIWGAGGGGLKLVQLDHRTKCASDVIVSPSDLYHGNKITITPADPNATAHDAETGQDFVKAGEFNSVDSNRFKIEVVDPQINVYKITYCPFGADQCSNVGRYLDEEIVISRLALTDYTFSFMIVKA >itb13g21260.t1 pep chromosome:ASM357664v1:13:27847585:27849507:-1 gene:itb13g21260 transcript:itb13g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKHIVLGKFKDGIPQEEIDQLIKQYANLVNLIQPMKSFRWGKDVSIENLHQGFTHVFESTFESCEGVAEYIAHPDHVEYASKLLPQLEKVLIIDYKPAPVQL >itb02g23710.t1 pep chromosome:ASM357664v1:2:23891853:23894127:-1 gene:itb02g23710 transcript:itb02g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSLVDTSLDLNIKPLRPAGDAGLPPKQEVESNFIGLGINMAIKNEADGLVEELNRVSAENKKLTEMLTIMCENYNVLREKYKYMMKNNNGCEENSSPVGVLGSRKRKSESNNVNNNGGQHSESSSSDEDSVKKPREEQQHHQQQPQHIKSKTSKVYVRTESSDTGLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSVEDQSVLVATYEGEHNHPHPSKMDQSSTPPARSAPAPSTTSALTTLNSSGPTITLDLTDPKPKPSLPIAAAARVLPPAADRPDFHQFLIEQMASSLTKDPSFKAALAAAISGKLIFPHNQTEKW >itb14g07560.t2 pep chromosome:ASM357664v1:14:7001613:7004063:-1 gene:itb14g07560 transcript:itb14g07560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNSATDPFGQNTIKLISNVCFSVFVFFVLLFTVIAITYQPPDPWESSRALTKAFTAVEKATFKTDNSILKTGEDIAVSSPVGSPAFAFVPITEDTIDKSEGELRNVTLKSGCMDGDSVNCSDPRVLIAIERFNLKTFKSIAFLDYQTPVSGSKPDECDVAWRFRNKREKSWRKYRDFRRFRVGFASDCSYRVIHAGRWHSGGNARRARIVSGTRTGPRSRIAPPVRDEDINDTIPILGSDADFRKGRYLYYSRGGDYCKDMNHFTWSFLCAVGEAQYLNRTFVMDLSMCLASTYTQSHKDEEEKDFRFYFDFEHLKEVVPIVEEGDFVKDWKRWDKTHKKKIPVRKVRDYKVTPMQLRKDKSTIIWRQFDAPEPENYWYRVCEGSSAKYIQRPWHALWKSKRLMNIVSAISGDMDWDFDAAHVVRGEKAENKQLWPHLDADTSPDALVAKIQGMIKPGRHLYIATNEPFYNYFDKLRPHYKVHLLDDYKYLWSNVSEWYNETTLLNGGKPVDFDGYMRVEVDTEVLYRSKIRVETFYNLTSDCKDGVNTC >itb14g07560.t1 pep chromosome:ASM357664v1:14:7001613:7004063:-1 gene:itb14g07560 transcript:itb14g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSVNCSDPRVLIAIERFNLKTFKSIAFLDYQTPVSGSKPDECDVAWRFRNKREKSWRKYRDFRRFRVGFASDCSYRVIHAGRWHSGGNARRARIVSGTRTGPRSRIAPPVRDEDINDTIPILGSDADFRKGRYLYYSRGGDYCKDMNHFTWSFLCAVGEAQYLNRTFVMDLSMCLASTYTQSHKDEEEKDFRFYFDFEHLKEVVPIVEEGDFVKDWKRWDKTHKKKIPVRKVRDYKVTPMQLRKDKSTIIWRQFDAPEPENYWYRVCEGSSAKYIQRPWHALWKSKRLMNIVSAISGDMDWDFDAAHVVRGEKAENKQLWPHLDADTSPDALVAKIQGMIKPGRHLYIATNEPFYNYFDKLRPHYKVHLLDDYKYLWSNVSEWYNETTLLNGGKPVDFDGYMRVEVDTEVLYRSKIRVETFYNLTSDCKDGVNTC >itb14g00430.t1 pep chromosome:ASM357664v1:14:291335:298466:-1 gene:itb14g00430 transcript:itb14g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMESLIGLVNRIQRACTVLGDHGGEGSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEGGAEYAEFLHAPKKRFSDFATVRQEIADETDRITGKTKQISNVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVEDIEGMVRSYVEKVLEGRSYRLQHPWVGIVNRSQADINKNVDMMYARRKEQEYFESSPEYGHLAHKMGSEYLAKLLSQHLEVVIRQRIPGIIALINKTIDELNAELDRIGRPIGVDGGAQLYTILQMCRAFDRIFREHLDGGRPGGDRIYGVFDNQLPAAMKKLPLDRHLSLNNVKKVISEADGYQPHLIAPEQGYRRLIDGCLGYFKGPAEASVDAVHFILKELVRKSIAETEELKRFPTLQSDIAASANDALERFRDESRKTVLRLVEMESSYLTVEYFRKLQSEPEKNPNTNQNSSNTDRYTDHHLRKIGSNVSAYVNMVCDTLKNTIPKAVVYCQVREAKRSLLNKFYSDVGRREKEKLGKMLDEDPSLMARRESIAKKLELYKSARDEIDSVAWK >itb01g20720.t1 pep chromosome:ASM357664v1:1:26935522:26936849:1 gene:itb01g20720 transcript:itb01g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLHFFIHHCHFSSLPNPSLSTFPKCETLSASCRLKNGATKGVSRPRPATRRRLISSTKTAFHPAISASLDLTEDNIKQVLVDARTELAQLFDTEVGITGKVELAEVDGPYVKISLSGKFWHKRSTVLARLGNYLKQRIPEILEVDIEDEKQLDDSPASF >itb04g30480.t1 pep chromosome:ASM357664v1:4:33604498:33606105:1 gene:itb04g30480 transcript:itb04g30480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIERSLGTSVHGLTGREQTFAFSVGSQTIPSNTAKFDLPVDSEHKAKALKIFSFAQPHMRSFHLAWISFFTCFISTFAAAPLIPVIRDNLDLTRSDIGNAGVASVSGSIFSRLAMGFICDLIGPRYGCAFLNLITAPVVFSVAFVSSAPGFIAVRFLIGFSLATFVSCSYWTSTMFNGKIIGLVNGVSAGWGDMGGGATQLLMPFLFHVLKLAGATPFTAWRIAFFIPGWLHIIVGIMVLTLGQDLPDGNLSALRKSGDVVKDKFSKVFWYAVRNYRTWVFFFVYGYSMGLQLCINNVITEYFYDKFSLKLHTAGIIAASFGMANFFSRPFGGYASDFFAAKFGMRGRLWVLWILQTLGGAFCIWLGRAESLPVAITAMVLFSIGAQAACGATYGIIPFVSRRSLGLISGLTGAGGNFGGGLTTFLFFSSSRFSTATGITWMGVMAVVCTLPVAFIHFPQWGSMFFAASEDEKRDEEYYYEGEWNEEEKLKGLHQGSVKFAENSRSERGKKRTSATVSAAVTPPQATPIHGQV >itb11g02070.t1 pep chromosome:ASM357664v1:11:1040002:1042609:-1 gene:itb11g02070 transcript:itb11g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVIDWKNLDSRFVKDDILEQFNAPQWVDFSGADGVVDDEAWFCRPDCNHPKTVEDFHKAAATLTPKLQKSSGVSEIPLMRERIRRIFEDSENQNPNTGTPPRFKPKLMMKEAIKSSAEKKTVDDNSLPKEQTPRLRSTNSARNLFSGVDLLGRVTEFCNELKKLTVRAKEKERIGNENVERTPLMVNKQEVKGEFSDENKDLAEIEKEKTPLFELSVKKNDTMGKNILKEKQRMKQRNENAENTPITIDVKSAKRTGDESLSSQIRTCPPTPQCFSASHGPRSTKAATPPKAFRSRPPEMMQGRGILQELGKSSRNDRKEEPGNKIKDGGRREASSSAVIESEARGLDVFWFLKPCTLST >itb08g15830.t1 pep chromosome:ASM357664v1:8:18050758:18053082:1 gene:itb08g15830 transcript:itb08g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCRHVFFSDSFSLPKMETKRSALSSAFSFFSSRRRGIAAAIGTSSSSSSSSLRSFSFHSCPENVSSSSNQSYSPYSNLSETGKNFNHVNNVDDALNLFREMARTRPLPSTIHFTKLLSRVMKMKHYSIVVSLFQEMRVRGIPIGVYTLNILIDAFRCSDRVDCGFCVLGLFFKCGIEFDNITFNTLLKGLCQDHKIVEVVELFRKLVRENLYTLNTFNILIDACCRANRVDCGFCVLGMLFKRGIEFDVITFNTLINGLCLDNKIAEAVELFKKLVRENMCEIDHITYGTLISGLCKAGYAQTALDLLTQMPNEGPKPNTIGYNTLIKGLCLDNKFVEAVQLFRKLVRDNVCKIDEVTYGTLISMLCRAGYTQNALDLLRVMQKEGPKPNTRAYNTVIDALCKEGMVDEALNLLSEMNGIGVPPDIFTYTSLILGLCNFSRWKEVTKLMNEMVLHNVYPGVYIFNILVDAFSKEGKLKDAESIIQIMIQRNTYPDVVTYNTLIEGYCLQGQMDEARKAFGKMVDRCIQPNVRSYNTLINGYFKRKEMDDAMHLFHEMPQKGICPDDVTYTTILQGLFLVGRCSTAIKLFQDMQVSGHNLTFHTFCVLLKGLCDNGHIEEGMSVYHKLDRNVNCPLVFSNIMIDGLCNTGQLHIARGIFNNLLSKGPHPDVQTYNIMINGLCREGFTDEALYLFRKMEENGCLPNTVTYTVILQQFVRTKKCYEANVIFDEMIGRGISPDGYTLSFMNDLLALGTGQESVLKMIQKFAANDVK >itb07g23740.t1 pep chromosome:ASM357664v1:7:28109855:28115362:-1 gene:itb07g23740 transcript:itb07g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQDDNQPHPVRDQLPNVSYCITSPPPWPEAILLGFQHYIVMLGTTVLIPSSLVPQMGGGKEEKAKVIQTLLFVAGLNTLTQSLFGTRLPAVIGGSYTFVPASLSIILAGRYSDIADPQEKFEKTMRAIQGAVIVASTLQIILGFSGLWRNVIRFISPLSAAPLVALSGFGLYEIGFPLVAKCVEIGLPLLIVLVIFSQYIPHMMKGNEHLFGRFAVLFSVVIVWVYAHLLTVGGAYRNAPMKTQMSCRTDRAGIVSAAPWISIPYPFQWGAPTFDAGESFAMMATSFVALVESTGAFYAVSRYASATPIPPSVLSRGVGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIIAALYCLFFAYVGCAGLSFLQFCNLNSFRTKFIIGFSVFLGLSIPQYFNEHLAVNGYGPVHTKAKWFNDMINVPFSSEAFVAGLLALFLDITMHKKDSASRKDRGMSWWDKFRSFKTDARSEEFYSLPFNLNKIFPSV >itb07g23740.t2 pep chromosome:ASM357664v1:7:28111111:28115362:-1 gene:itb07g23740 transcript:itb07g23740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQDDNQPHPVRDQLPNVSYCITSPPPWPEAILLGFQHYIVMLGTTVLIPSSLVPQMGGGKEEKAKVIQTLLFVAGLNTLTQSLFGTRLPAVIGGSYTFVPASLSIILAGRYSDIADPQEKFEKTMRAIQGAVIVASTLQIILGFSGLWRNVIRFISPLSAAPLVALSGFGLYEIGFPLVAKCVEIGLPLLIVLVIFSQYIPHMMKGNEHLFGRFAVLFSVVIVWVYAHLLTVGGAYRNAPMKTQMSCRTDRAGIVSAAPWISIPYPFQWGAPTFDAGESFAMMATSFVALVESTGAFYAVSRYASATPIPPSVLSRGVGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIIAALYCLFFAYVGKS >itb05g01030.t1 pep chromosome:ASM357664v1:5:867223:870250:1 gene:itb05g01030 transcript:itb05g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFLVLLQLVLWAVLGCFAPTYSTATSKTNYPIAKPNCVDHCWNVSIPFPFGLGEECALNSNFSITCNTSYYPPKPFITNSNLEVKDISVAGQLRIMKRVSKYCYEKGKWRSPLRSWLTSPMYYVNETANKFVAVGCDTFANVYAYGDDRSYRTGSNCMATCNSTQDVTNGTCSGFGCCETEIPNLAKNVYVQLDTVNNYTNTTDDVIPCSYALVVQKEEFNFSSTILSKEWNVEKLPMVLDWIISNETCNNACQDNSSCVAINGEGHRCACKEGYEGNPYLPPGCQDVDECENEQHNCSKNAVCSNTEGGFECSCKKGYRGDGKSALGCTSSNYRRHIMLVLGISLGIITKMISCFGLYLKCRQKKSVKMKKKFFKDNGGLILQERIDRGSTSSSTTRIFAAEELKKATNNYDKTRIIGQGGYGIVYRGDLLDGRIVAVKKAKMMDPTQVEQFINEVVVLSQINHRNIVKLFGCCLETEIPLLVYEFISNGTLSEHLHNKDKASAISWSTRLRIATETAEVLSYLHSAASPPIIHRDVKSVNILLDDDYTARVSDFGASRLVPQDQTQLTTMVQGTFGYLDPEYLQTNHLTEKSDVYSFGVVLVELLTSRRALSFDGPEKERHLSQYFLSLLKENHLFHILDDNIVCQGNTEELREVALLAKRCLNVKGDDRPTMKEVAVELGGLRRTTKHPWINNSDILMESDALLTEQPIPFGYDATFSITSSTTEYDSLKHNMEFPAAAGR >itb04g33890.t1 pep chromosome:ASM357664v1:4:35991895:35996785:-1 gene:itb04g33890 transcript:itb04g33890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNNFSGEISIELTNLVGLRSLNLSRNNLTGKIPKEMGNMKVLESLDLSKNKFSGQIPSSFSNLFTLSVLNLSYNNLSGKIPSSTQLQGFNASCYIGNNLCGLPLSQACRVIDDGEIPKNENNEGDDDSEVDWFYIIIFLTFVVMELCICSGSNNTGACREAEKRALLCFKEEGKVSTDWVYGTDCCTQWGGVVCDNITGHVIELRLSDYYVAFVDYPSKGTIPHQLGNLSSLQTLSLSNADLKVDSLDWLSSISNLQLLDLSSVNLSMVHNWLEVINLFPSLRELHLSDCGLSKLSYHPLGHNSSSLEVLDLSGNEFINSIIPRWIFNLNSLHSLDLSSGGFVSPFPNDPWNLTSLSILDLSNNEFSGSLPSQLFDLKYLVSLNLGNNRFQGHLPISPWNFTSLSFFDISTNNISGSLPSQLFSLSYLTSLNLNNNKFQGQFPSSSWNLTSLKTLDASYNYLSSHIPNWIYDCTNLESLSLGYNQLQGNISNSISNLTSLSFLELTGNNLTGKIPNQIGKLSKLQTLDLSGNKFYGSLPESLGYLVSLTTLTISSNMLEGMVTESHFVNLTELTYLRASGNRLTLNVSPNWMPPFQLDLLQLSGWNLGSQFPAWLKSQHSIGEVDISNTGIKGEVPTWLWNLSSNIQVIDLSHNQLRGKIEDISIQQLSGSRWLLVYLDSNQFNGSLPRIAINITELDLSNNSFSGDVSHFLCHAQNLPYKLRLLHFGGNDLSGKIPDCWMHWPHLNFINMNENKLIGSIPNSIGLLSKLKSLDLHKNMLSGHLPTSLQNCTHLLKVDLGENGFTGKIPRWLGTGLSNLIVLRLRVNKFNGELFPEFCHLIFLQILDLANNNFSGVLPGCLKNITAMIHETRKIEDNDYELEYSDLGGFLEESALVVTKENEYTYDATILLMLASIDLSSNNFSGKIPIELTNLVRLRSLNLSRNNLIGNIPIEIGNMKLLESLDLSRNQISGEIPSSLSSISTLGVLDLSYNNLSGRIPSGTQLQGFNVSCYIGNHLCGLPLSQNCSGIPKHENKGDDDDDSEVDWFYISMAIGFAVGFWVTCGSLFLVRCWRIAYFQFLDNKLNSFFAWARALWV >itb13g26510.t4 pep chromosome:ASM357664v1:13:31744113:31746978:1 gene:itb13g26510 transcript:itb13g26510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSSRDAYMGSGAGNTRSDLMFPEVSPVLPWPVQSFNPVTFQTNPVRDHDQFLIPPPPSPYGGLFNRRPPPPGLQFAYDGQTSEHHHHHLRLISDTLAGHVVQPGLAAPFGLQAELQKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELRRQTSIISETTQIPTEVDELTVDNAAADDGKVMIRASLCCEDRSDLLPDLIKALKALRLRTLKAEITTVGGRVRNVLFITGEDGDGDPPSSQQSLQHCSISSIQEALKAVMEKGSGEESGTGNAKRQRTNNINILEQQQQQQQHRSL >itb13g26510.t1 pep chromosome:ASM357664v1:13:31744040:31746978:1 gene:itb13g26510 transcript:itb13g26510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSSRDAYMGSGAGNTRSDLMFPEVSPVLPWPVQSFNPVTFQTNPVRDHDQFLIPPPPSPYGGLFNRRPPPPGLQFAYDGQTSEHHHHHLRLISDTLAGHVVQPGLAAPFGLQAELQKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELRRQTSIISETTQIPTEVDELTVDNAAADDGKVMIRASLCCEDRSDLLPDLIKALKALRLRTLKAEITTVGGRVRNVLFITGEDGDGDPPSSQQSLQHCSISSIQEALKAVMEKGSGEESGTGNAKRQRTNNINILEQQQQQQQHRSL >itb13g26510.t2 pep chromosome:ASM357664v1:13:31744168:31746976:1 gene:itb13g26510 transcript:itb13g26510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSSRDAYMGSGAGNTRSDLMFPEVSPVLPWPVQSFNPVTFQTNPVRDHDQFLIPPPPSPYGGLFNRRPPPPGLQFAYDGQTSEHHHHHLRLISDTLAGHVVQPGLAAPFGLQAELQKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELRRQTSIISETTQIPTEVDELTVDNAAADDGKVMIRASLCCEDRSDLLPDLIKALKALRLRTLKAEITTVGGRVRNVLFITGEDGDGDPPSSQQSLQHCSISSIQEALKAVMEKGSGEESGTGNAKRQRTNNINILEQQQQQQQHRSL >itb13g26510.t3 pep chromosome:ASM357664v1:13:31744113:31746978:1 gene:itb13g26510 transcript:itb13g26510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQSSRDAYMGSGAGNTRSDLMFPEVSPVLPWPVQSFNPVTFQTNPVRDHDQFLIPPPPSPYGGLFNRRPPPPGLQFAYDGQTSEHHHHHLRLISDTLAGHVVQPGLAAPFGLQAELQKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELRRQTSIISETTQIPTEVDELTVDNAAADDGKVMIRASLCCEDRSDLLPDLIKALKALRLRTLKAEITTVGGRVRNVLFITGEDGDGDPPSSQQSLQHCSISSIQEALKAVMEKGSGEESGTGNAKRQRTNNINILEQQQQQQQHRSL >itb04g32140.t4 pep chromosome:ASM357664v1:4:34826327:34834261:1 gene:itb04g32140 transcript:itb04g32140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLMLHFSSTSSNQSDNSKMAKLEARMVRKPASAPQPVSWPSAAKFGDAETLPEPVVSSDSDDDDNGGEFLIQANTSKRRRLEEDTSLIAYGNVEAVANTGKKSIEITDTSKVGSEENRRRQSRGRGSSATGRGRGSRNGDQTKAPMLSPSNGQLENSNHMDEINSLRAKVTALEEELKKSHKEASDNQHMYQELEKELKTLKDNEKQMKPKITKIISELLISVSKAERHEARMKVRQDSLRLGNVGVIRAGTVISEAWEDSELVKDLNVQLRNLAESKESLERQRKSKKKRHLDKSDVVDEEAGNHEEDYFSQDEYYRSRLASIKREEEMMLRERDRLELEKVRLIREMKRIRDEDGSRFNSFQILNRRYALLNLLGKGGFSEVYKAFDLIEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHINIVRLWDIFEIDQNTFCTVLEYCSGKDLDAILKATPVLSEFEARFIIVQIFRGLVYLNKRSQKIIHYDLKPGNVLFDESRIAKMTDFGLSKIVEDDVGSKGMELTSQGAGTYWLMFGLLAFCSIKCYLASVLLGMTRHKNEFYEKIL >itb04g32140.t1 pep chromosome:ASM357664v1:4:34826327:34834374:1 gene:itb04g32140 transcript:itb04g32140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLMLHFSSTSSNQSDNSKMAKLEARMVRKPASAPQPVSWPSAAKFGDAETLPEPVVSSDSDDDDNGGEFLIQANTSKRRRLEEDTSLIAYGNVEAVANTGKKSIEITDTSKVGSEENRRRQSRGRGSSATGRGRGSRNGDQTKAPMLSPSNGQLENSNHMDEINSLRAKVTALEEELKKSHKEASDNQHMYQELEKELKTLKDNEKQMKPKITKIISELLISVSKAERHEARMKVRQDSLRLGNVGVIRAGTVISEAWEDSELVKDLNVQLRNLAESKESLERQRKSKKKRHLDKSDVVDEEAGNHEEDYFSQDEYYRSRLASIKREEEMMLRERDRLELEKVRLIREMKRIRDEDGSRFNSFQILNRRYALLNLLGKGGFSEVYKAFDLIEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHINIVRLWDIFEIDQNTFCTVLEYCSGKDLDAILKATPVLSEFEARFIIVQIFRGLVYLNKRSQKIIHYDLKPGNVLFDESRIAKMTDFGLSKIVEDDVGSKGMELTSQGAGTYWYLPPECFELGKTPLISSKVDVWSAGILLYQMLFGKRPFGHDQTQERILREDTIIKARKVEFPSRPAISSEAKDFIRRCLTYNQAERPDVLAIAQDPYLNFQKK >itb04g32140.t3 pep chromosome:ASM357664v1:4:34826327:34834261:1 gene:itb04g32140 transcript:itb04g32140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLMLHFSSTSSNQSDNSKMAKLEARMVRKPASAPQPVSWPSAAKFGDAETLPEPVVSSDSDDDDNGGEFLIQANTSKRRRLEEDTSLIAYGNVEAVANTGKKSIEITDTSKVGSEENRRRQSRGRGSSATGRGRGSRNGDQTKAPMLSPSNGQLENSNHMDEINSLRAKVTALEEELKKSHKEASDNQHMYQELEKELKTLKDNEKQMKPKITKIISELLISVSKAERHEARMKVRQDSLRLGNVGVIRAGTVISEAWEDSELVKDLNVQLRNLAESKESLERQRKSKKKRHLDKSDVVDEEAGNHEEDYFSQDEYYRSRLASIKREEEMMLRERDRLELEKVRLIREMKRIRDEDGSRFNSFQILNRRYALLNLLGKGGFSEVYKAFDLIEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHINIVRLWDIFEIDQNTFCTVLEYCSGKDLDAILKATPVLSEFEARFIIVQIFRGLVYLNKRSQKIIHYDLKPGNVLFDESRIAKMTDFGLSKIVEDDVGSKGMELTSQGAGTYWLMFGLLAFCSIKCYLASVLLGMTRHKNEFYEKIL >itb04g32140.t2 pep chromosome:ASM357664v1:4:34826327:34834295:1 gene:itb04g32140 transcript:itb04g32140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDLMLHFSSTSSNQSDNSKMAKLEARMVRKPASAPQPVSWPSAAKFGDAETLPEPVVSSDSDDDDNGGEFLIQANTSKRRRLEEDTSLIAYGNVEAVANTGKKSIEITDTSKVGSEENRRRQSRGRGSSATGRGRGSRNGDQTKAPMLSPSNGQLENSNHMDEINSLRAKVTALEEELKKSHKEASDNQHMYQELEKELKTLKDNEKQMKPKITKIISELLISVSKAERHEARMKVRQDSLRLGNVGVIRAGTVISEAWEDSELVKDLNVQLRNLAESKESLERQRKSKKKRHLDKSDVVDEEAGNHEEDYFSQDEYYRSRLASIKREEEMMLRERDRLELEKVRLIREMKRIRDEDGSRFNSFQILNRRYALLNLLGKGGFSEVYKAFDLIEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHINIVRLWDIFEIDQNTFCTVLEYCSGKDLDAILKATPVLSEFEARFIIVQIFRGLVYLNKRSQKIIHYDLKPGNVLFDESRIAKMTDFGLSKIVEDDVGSKGMELTSQGAGTYWYLPPECFELGKTPLISSKVDVWSAGILLYQMLFGKRPFGHDQTQERILREDTIIKARKVEFPSRPAISSEAKDFIRRCLTYNQAERPDVLAIAQDPYLNFQKK >itb10g02850.t1 pep chromosome:ASM357664v1:10:2485188:2485844:-1 gene:itb10g02850 transcript:itb10g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOG1-like 4 [Source:Projected from Arabidopsis thaliana (AT4G18650) UniProtKB/Swiss-Prot;Acc:Q84JC2] MRSKVEESFTDFFEKWMAQLEECAHSLLIENEKDHDNLVNKMTTHYKNYYTAKWAAAREDVLAFFAPVWLTSLESAYVWVTGWKPSTAFRLVPRAGMSEEQAKKVEILKAKIKAEEEKVEREMERQQVAVADRRMVELARLQGGGGDTVADVAVKNMVGGLEKVMKMADCVRLKTLKGLLDVLGPTQSVHFLASASMLQIQMRKCGKTLDNLSRLITN >itb12g04070.t1 pep chromosome:ASM357664v1:12:2671541:2673625:1 gene:itb12g04070 transcript:itb12g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTKATIKGSSADSVTRRSAAYARMTKFSLFEDDLGAGPSTRSPSLSPTPKKRRTVPSKPSPEPEEIEAEDEEEAEVEEGEGEEEEAEEDDEDGFEEMVPGSEDFTQTDRGSEDPSGQGSICVQLTDPDVLDCPICFDSLTIPVFQCENGHVACASCCINISNKCPSCAWPIGYNRCRALEKVLESVKVRCPNARYGCKESLIYSNQNEHLSTCIYAPCSCPLQTCNFLGFSREVYTHFSAHLSSAKRFSFNSPKLISLKNHQRFVYLQECTTRTIFVVNHNDDCSLGSAINIVCIAPRSSMRTFFYKLTARDGDSTFELQSLAENVPEWSPFTLMKIFLVVPSDITETREQIMLEVCIYDN >itb04g20880.t1 pep chromosome:ASM357664v1:4:25895441:25899487:1 gene:itb04g20880 transcript:itb04g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKIHPFSSTLGWHSLKSEGRDFTSAVASTPSLFMLLRLHASAATDRPTADACRSPWRLGRQIAAPSPCELAVLPACPMPISILLIVQL >itb02g19230.t1 pep chromosome:ASM357664v1:2:16276792:16283477:1 gene:itb02g19230 transcript:itb02g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MSLSLSTAKLTEMPSHIFSTPARRPPFFLLLKPLSSLRLSVTAAAGKAKIPSRNYGKSKPVPLKSKQQQHSSSWLNKWPQHSVEPLASSTNPVDLDTETRVFDEHTRAGGTAIERIVFRLRNLGLGSDDEGEEGDEGNSGLDVNGEGKLGDLLKRDWVRPDTMLLESDDEDEDETLLPWERSVEGKDDDDEEEDGRRRRIVKAPTMAELTIEDEELRRLRGQGVHLREKINVPKAGVTGAVLEKIHDKWRKNEVVRLKFHEALAHDMKTAHQIVERRTGGLVVWRSGSVMAVYRGNNYLGPSSRAEPKDREVSSSDKSVNNENKSFHPVEEKSNPVIHNKSMTAEEAEFNKLLDGLGPRFEDWWGTGILPVDADLLPTTVPGYKTPFRLLPTRMRPNLTNAEMTNLRKLAKSLPCHFALGRNRHHQGLAVAIIKLWEKSLIAKIAVKRGIQNTNNKLMSEELKALTGGVLLLRNKFYIVIYRGKDFVPPSVAAALAERQEITKQILDDEDKVRKGATTLLAVDKYGHELTGNLAELYEASDVEEKQRRGLAAVAPVNNDGHALAGTLAEFYEAQAQWGRDVSNEEREKMLKDAARARTERVIKRLEHKLAISQAKKLKAENLLSKIVASWVPAGPSDDQETITEEERVMYRQVGLKMKSYLPLGIRGVFDGVIENMHLHWKHRELVKLISKEKEVAFVEETARLLEYESGGILVAIDRVPKGYALIFYRGKNYRRPISLRPRNLLTKAKALKRRVALQRYEALSQHISELENNIEQTKREIGDSQDVNGSDRKHAQFNHVSELTQSEVEASWMGSDGDEDEDEDEDEDPEWESDEDSEYSDAEFSFVQRE >itb02g19230.t2 pep chromosome:ASM357664v1:2:16276792:16283008:1 gene:itb02g19230 transcript:itb02g19230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MSLSLSTAKLTEMPSHIFSTPARRPPFFLLLKPLSSLRLSVTAAAGKAKIPSRNYGKSKPVPLKSKQQQHSSSWLNKWPQHSVEPLASSTNPVDLDTETRVFDEHTRAGGTAIERIVFRLRNLGLGSDDEGEEGDEGNSGLDVNGEGKLGDLLKRDWVRPDTMLLESDDEDEDETLLPWERSVEGKDDDDEEEDGRRRRIVKAPTMAELTIEDEELRRLRGQGVHLREKINVPKAGVTGAVLEKIHDKWRKNEVVRLKFHEALAHDMKTAHQIVERRTGGLVVWRSGSVMAVYRGNNYLGPSSRAEPKDREVSSSDKSVNNENKSFHPVEEKSNPVIHNKSMTAEEAEFNKLLDGLGPRFEDWWGTGILPVDADLLPTTVPGYKTPFRLLPTRMRPNLTNAEMTNLRKLAKSLPCHFALGRNRHHQGLAVAIIKLWEKSLIAKIAVKRGIQNTNNKLMSEELKALTGGVLLLRNKFYIVIYRGKDFVPPSVAAALAERQEITKQILDDEDKVRKGATTLLAVDKYGHELTGNLAELYEASDVEEKQRRGLAAVAPVNNDGHALAGTLAEFYEAQAQWGRDVSNEEREKMLKDAARARTERVIKRLEHKLAISQAKKLKAENLLSKIVASWVPAGPSDDQETITEEERVMYRQVGLKMKSYLPLGIRGVFDGVIENMHLHWKHRELVKLISKEKEVAFVEETARLLEYESGGILVAIDRVPKGYALIFYRGKNYRRPISLRPRNLLTKAKALKRRVALQRYEALSQHISELENNIEQTKREIGDSQDVNGSDRKHAQFNHVSELTQSEVEASWMGSDGDEDEDEDEDEDPEWESDEDSEYSDAEFSFVQRE >itb07g19610.t2 pep chromosome:ASM357664v1:7:24092444:24094411:-1 gene:itb07g19610 transcript:itb07g19610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYITSWDDFVEKSVQLFRADPEKTRYVMKYRHSDGKLVLKVTDDKECIKFKTDQAQDAKKMEKLNNIFFTLMARGADADISDVGGKDNQESQPAKRGRGRKQ >itb07g19610.t1 pep chromosome:ASM357664v1:7:24091604:24094411:-1 gene:itb07g19610 transcript:itb07g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYITSWDDFVEKSVQLFRADPEKTRYVMKYRHSDGKLVLKVTDDKECIKFKTDQAQDAKKMEKLNNIFFTLMARGADADISDVGGKDNQESQPAKRGRGRKQ >itb06g17560.t1 pep chromosome:ASM357664v1:6:21374449:21375803:1 gene:itb06g17560 transcript:itb06g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGERKAVELLEEESRKQTRQPLLGGEGKPKTKQTTTFYNFMFHPCAVGERMLTIEKFGLVQYMILKTLCALLTLVLELLGVYGDGEFKWYYGYPYIAAVLFFSQMWALYCLVQFYNVTHQRLRAIKPLAKFISFKAIVFATWWQDVGIALACYCGVLSREGKFQTKLQDFLICIEVMAIAAIAHLFVFSAKPYRLLPSPAYGKITTQKTKTKTKTSVDVEDKKKAAVVEKTETEVEAPGTSVKKSVQDIVVGGGQQVVEDVVLTINQAIGPVEKGMTKIQEKFHQISVSSDEK >itb03g02650.t1 pep chromosome:ASM357664v1:3:1503963:1508286:1 gene:itb03g02650 transcript:itb03g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDIINLSTSSVSDIEDNEDFNCKPNWTPLPGEANSMDEGANGEIPENDGSEGDRLCLATNDSMELDNSHSTFQDSVKLTETAVVAEKVTSFSSDVCGENGCLTVQDASNIDHPKGYQQHDRSSKIDPSALSGVKRRRTSLGEEQPSVCVIYNNLTRESKHKLEELLQQWSQWSAEHGPSLLDEEVLESGEETYFPALCIGLDKPLAVSFWMDDLPRKKKNKDSTLFDANSVPLYDRGFSFTLSSTDGSSNLEGGLQVANASRCFNCGSYSHSLKDCPKPRDNVAVNTARKQHQLKWNKNAANPSRYYQSSQKGKYDGLKPGVLDPETRKLLGLGELDPPPWLHRMRKIGSPPRYLGPEDEDHPSGITIYGDDEIKEATEEGEILENSAEPTKAMSIEFPDKPAGPSSITASRTRSYSSRNKSNNHMSDTVYRGHYLEQHRSRDANFEDKGPIRGRFSGLEGEGPPHWHFSGREGEGPPHWRFRDFEGEGPPHRHFSGIEGEGPPHWRFRDFEGNCPPRSQFEFNNEGPPGCELDPAIIPLSYRYRDYDSINGPMDGLGRSLPDRFRRSPLVDNRDFYHTSYPRY >itb03g02650.t2 pep chromosome:ASM357664v1:3:1503963:1508286:1 gene:itb03g02650 transcript:itb03g02650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDIINLSTSSVSDIEDNEDFNCKPNWTPLPGEANSMDEGANGEIPENDGSEGDRLCLATNDSMELDNSHSTFQDSVKLTETAVVAEKVTSFSSDVCGENGCLTVQDASNIDHPKGYQQHDRSSKIDPSALSGVKRRRTSLGEEQPSVCVIYNNLTRESKHKLEELLQQWSQWSAEHGPSLLDEEVLESGEETYFPALCIGLDKPLAVSFWMDDLPRKKKNKDSTLFDANSVPLYDRGFSFTLSSTDGSSNLEGGLQVANASRCFNCGSYSHSLKDCPKPRDNVAVNTARKQHQLKWNKNAANPSRYYQSSQKGKYDGLKPGVLDPETRKLLGLGELDPPPWLHRMRKIGSPPRYLEDEDHPSGITIYGDDEIKEATEEGEILENSAEPTKAMSIEFPDKPAGPSSITASRTRSYSSRNKSNNHMSDTVYRGHYLEQHRSRDANFEDKGPIRGRFSGLEGEGPPHWHFSGREGEGPPHWRFRDFEGEGPPHRHFSGIEGEGPPHWRFRDFEGNCPPRSQFEFNNEGPPGCELDPAIIPLSYRYRDYDSINGPMDGLGRSLPDRFRRSPLVDNRDFYHTSYPRY >itb14g21470.t1 pep chromosome:ASM357664v1:14:23454632:23457290:-1 gene:itb14g21470 transcript:itb14g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPCATAMAGSPSLAGGEKKHWWLTNRKIVEKYVKDARILISTREQSEIASAINLLDTALALSPRFELALELKARSLLYLRRFKDVADMLQDYIPSVKMASDEASSSSTSSSSSSSSSDNSFSQLSKERVKLLSGGESSGSDEPAFKCFSLSDLKKKVVAGLCKSCERGGQWRYLVLGQACCHLGLMEDALVLLQTGKRIATDAFRRESICLSDDSFSFARFPLAGEISAGGAQPQAPPITESESISQLLSHIKLLLRRRTAAIAALNAGLYSEAIRHFSKIVDGRRGAPQGFLAECYMHRASAYRSSGRIAEAIADCNRTLALDPSCIEALSTRAALFEAIRCLPDSLHDLEHLKLLYNSMLRDRRLPGPAWKRQNVQYREIPGRLCSLATKIQELKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLTLRHKPDKSSGFIERCEYAEERDVESVRERAKMSALLLYRLIQRGYTSLMTTIIDEEAAEKQRKKAAAALQAMQQEVQQQQPQESKIKPISSSESSVVMIENNGTATTTPPASSVFQGVFCRDLAIVGNLLSQAGFNRPLPVKYEALSC >itb01g08580.t1 pep chromosome:ASM357664v1:1:6916931:6918535:-1 gene:itb01g08580 transcript:itb01g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPTGDLGKRRRQYVQAELSRSGGEDLMERSLENMSRRSALRRGQEVHCSRSIPVGLSSNCGAYLIPTIRPDSNPEGSPQKIKHSNSNSNSRNSTKHTVEEMDLRLMGFDHPLFHQLHNIVDYAGDDKSSNSSAPSRTFMLDAKAMAATPADVKEYPNSYVFIIDMPGMKSGDIKVQIDDDNVLSISGERKREAEEKEGAKYVRMERRVGKLMRKFVLPENANKEKITAVCQDGVLTVTVEKLPPPQPKKPRTIEVKIA >itb02g11940.t1 pep chromosome:ASM357664v1:2:7968879:7975056:1 gene:itb02g11940 transcript:itb02g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSFKLEHPLERRQAEAARIREKYHDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEEHKDEDGFLYMTYSGENTFGSF >itb06g03660.t1 pep chromosome:ASM357664v1:6:6086151:6088786:1 gene:itb06g03660 transcript:itb06g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKCLSFNLHLHQPHHDSIPAIFSKPKRHFQTITPIKLIPTPKQGARRSFKKTCHQPTTTPMASPSSQPPQFTSQFDADDLVVDPDPTLANDDLRPTASGERTFSGWEMASLWIGLVVGVPSYYLAGSLVDLGMAWWQGIATVVVANVVTMVALVLTGHAGTRYGVPFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFLLLPRIIKESSLSQPLTWLGTSPLEFACFIAFWLAQLGFVWNGIDGIREVEKYSAPILMALTSCLLIWSYVKAGGFGHMLSLSSRLTSSQFWSLFFPSLTANISFWATLALNIPDFTRYAKSQRDQVIGQAGLPVFMGLFTFVGLAVTSSTKVIFGDVISSPIQLLGQIGGFWTMIIAILGISLAIITTNIAANVVAPANALVNLSPSRFTFRRGAVLTALLGIVFQPWRLLKSSESFVYTWLVGYSALLGPIGGIVLTDYYLIKGMDLKIKELYTLNPKGEYYYSNGYNFAAFLALVIGILPVIPGFLQNVGVLRSIPRVFTEIYNNAWFFSFFSAGAIYWILSLLSGRNRNQESIEPLLPNTP >itb01g35430.t2 pep chromosome:ASM357664v1:1:37642044:37644728:1 gene:itb01g35430 transcript:itb01g35430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKVCDLQNKVIGIYFSANWHPPCRKFTQVLSDVYAQLKNRNAGFEVVFVSSDEDVHAFDAYRACMPWPAIPFSDLETRKELNRSFGIEGIPALIIIQPNNNRENDEDAVVYNGVEVIYRHGMEAFPFTKERLEELHKEERKKHEKQTLKDLITHRHRDFVLGHSILQEQVPVDSLSGKTVGLYFSAKWCHPEQKFTPKLISVYQKIKQETGNNGGGFEVVFVSSDRDQKTFDAYFGTMPWLALPFDDPKNKELTKYFDITGIPSLVILGPDGKTVTKLGRNLINLYEEKAYPFTRGRVELLEKKMDEEAKSLPKSEFHVGHRHELSLVSEGTGGGAFICCDCDEQGYGWAYLCLRCGYEVHPKCVKTIMESNKT >itb01g35430.t1 pep chromosome:ASM357664v1:1:37642044:37644989:1 gene:itb01g35430 transcript:itb01g35430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMRRRPEEDGAAVDGKVAVVEDSEMVAPPAASKHESLLAKKYPYNNFLLSPTGTKVKVCDLQNKVIGIYFSANWHPPCRKFTQVLSDVYAQLKNRNAGFEVVFVSSDEDVHAFDAYRACMPWPAIPFSDLETRKELNRSFGIEGIPALIIIQPNNNRENDEDAVVYNGVEVIYRHGMEAFPFTKERLEELHKEERKKHEKQTLKDLITHRHRDFVLGHSILQEQVPVDSLSGKTVGLYFSAKWCHPEQKFTPKLISVYQKIKQETGNNGGGFEVVFVSSDRDQKTFDAYFGTMPWLALPFDDPKNKELTKYFDITGIPSLVILGPDGKTVTKLGRNLINLYEEKAYPFTRGRVELLEKKMDEEAKSLPKSEFHVGHRHELSLVSEGTGGGAFICCDCDEQGYGWAYLCLRCGYEVHPKCVKTIMESNKT >itb01g35430.t3 pep chromosome:ASM357664v1:1:37642263:37644728:1 gene:itb01g35430 transcript:itb01g35430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKVCDLQNKVIGIYFSANWHPPCRKFTQVLSDVYAQLKNRNAGFEVVFVSSDEDVHAFDAYRACMPWPAIPFSDLETRKELNRSFGIEGIPALIIIQPNNNRENDEDAVVYNGVEVIYRHGMEAFPFTKERLEELHKEERKKHEKQTLKDLITHRHRDFVLGHSILQEQVPVDSLSGKTVGLYFSAKWCHPEQKFTPKLISVYQKIKQETGNNGGGFEVVFVSSDRDQKTFDAYFGTMPWLALPFDDPKNKELTKYFDITGIPSLVILGPDGKTVTKLGRNLINLYEEKAYPFTRGRVELLEKKMDEEAKSLPKSEFHVGHRHELSLVSEGTGGGAFICCDCDEQGYGWAYLCLRCGYEVHPKCVKTIMESNKT >itb06g11130.t2 pep chromosome:ASM357664v1:6:15678758:15681777:-1 gene:itb06g11130 transcript:itb06g11130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEDSRSLSNSSSNRFSQTRPCYYYTPPPSSSSIKIHWSMGRSMRTFRSNLYQAGGSGSENSGDLSENLSDSVVDVRLDELANKPAFNESSGSEDNYLEISQALSDFSACSSDISGELQRLATIPASDPVENRDPNTEPDPEPEPCHGFLERENFSTEIIESITPEDLQPTVKLCVDALCSSSVAVKRSAAAKLRLLAKNRADNRALIGESGAIQKLVPLLRCSDPLTQEHAVTALLNLSLHEPNKGIITNTGAIKSLIYVLKTGTETSKQNAACALLSLALLDENKLSIGACGAIPPLVALLVSGSNRGKKDALTTLYKLCSVKLNKERAVTAGAVKPLVGLVGEQGTGLAEKAMVVLSSLAGIQSGQEAIVEGGGIAALVEAIEDGSDKGKEFAVLTLSQLCSDNVRNRGMLVREGGIPPLVALSQTGTAKAKHKQAETLLQRLREPRQESSTSTP >itb06g11130.t1 pep chromosome:ASM357664v1:6:15678758:15681783:-1 gene:itb06g11130 transcript:itb06g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEDSRSLSNSSSNRFSQTRPCYYYTPPPSSSSIKIHWSMGRSMRTFRSNLYQAGGSGSENSGDLSENLSDSVVDVRLDELANKPAFNESSGSEDNYLEISQALSDFSACSSDISGELQRLATIPASDPVENRDPNTEPDPEPEPCHGFLERENFSTEIIESITPEDLQPTVKLCVDALCSSSVAVKRSAAAKLRLLAKNRADNRALIGESGAIQKLVPLLRCSDPLTQEHAVTALLNLSLHEPNKGIITNTGAIKSLIYVLKTGTETSKQNAACALLSLALLDENKLSIGACGAIPPLVALLVSGSNRGKKDALTTLYKLCSVKLNKERAVTAGAVKPLVGLVGEQGTGLAEKAMVVLSSLAGIQSGQEAIVEGGGIAALVEAIEDGSDKGKEFAVLTLSQLCSDNVRNRGMLVREGGIPPLVALSQTGTAKAKHKAETLLQRLREPRQESSTSTP >itb06g11130.t3 pep chromosome:ASM357664v1:6:15678761:15681783:-1 gene:itb06g11130 transcript:itb06g11130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEDSRSLSNSSSNRFSQTRPCYYYTPPPSSSSIKIHWSMGRSMRTFRSNLYQAGGSGSENSGDLSENLSDSVVDVRLDELANKPAFNESSGSEDNYLEISQALSDFSACSSDISGELQRLATIPASDPVENRDPNTEPDPEPEPCHGFLERENFSTEIIESITPEDLQPTVKLCVDALCSSSVAVKRSAAAKLRLLAKNRADNRALIGESGAIQKLVPLLRCSDPLTQEHAVTALLNLSLHEPNKGIITNTGAIKSLIYVLKTGTETSKQNAACALLSLALLDENKLSIGACGAIPPLVALLVSGSNRGKKDALTTLYKLCSVKLNKERAVTAGAVKPLVGLVGEQGTGLAEKAMVVLSSLAGIQSGQEAIVEGGGIAALVEAIEDGSDKGKEFAVLTLSQLCSDNVRNRGMLVREGGIPPLVALSQTGTAKAKHKVSLVCCH >itb12g03690.t1 pep chromosome:ASM357664v1:12:2418585:2421177:1 gene:itb12g03690 transcript:itb12g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDALPISTPTSFSASSATTTGHSRKESSQSVLFGRGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRFSDDFDIPLHEDLDVLEMEEREKMVKHMWDVYTNSRRIRLPKFWQQAFEAAYEDLTSDEPEVREAAISEIAKMSIRSIHFESPPVHTLAIRELSKANRVQENDLSKE >itb01g24110.t3 pep chromosome:ASM357664v1:1:29859162:29863180:1 gene:itb01g24110 transcript:itb01g24110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSDEARRRWREAVTLVLNRRRRFRYTPNFEKRDEAKQLMQKTREKLRVGFMAYLAAIRFIDAGDRARSPEEGGDLGDEIESGLPEVAGAASFRVHPDKLATIVGSYDIKALRKIGGVEGLAARLGVSLEEGIQSGDVPISIRQSVYGSNKFTEKPFRSFWTFVWEALHDLTLIILMVCAVVSIGVGLATEGWPRGMYDGLGIILSIFLVVIVTAISDYRQALQFKDLDKEKNKILIQVTRDGYRQKVYTYDLVVGDVVHLSIGDLVPADGIFISGYSLLVDQSSLSGESVPVNIHEKRPFLLSGTKVQGGSAKMLVTTVGMRTEWGKLMGTLSEGGEDETPLQIKLNAVATTIGKIGLAFAILTFLVLMVRFLVEKAINHELTNWSSADVLTLLNYFATAVTIIVVAVPEGLPLAETLSLAFAMKQLMDNKALVKHLSACETMGSATCICTDKTGTLTTNHMVVNKTWICGKVNMVKTYHDRGNISSEISDNVLAILLQAIFHNTGSEVVKNKEGKISIMGTPTESAILEYGLGLGGDFDDQRKDCKLLKVEPFNSEKKKMSVLVALPDGTIRAFCKGAAEIVLRMCDRAFDGNGEFVHLSGGQVENITNVINEFASEALRTLCLAFKDIDDGCLENNIPDFGYTLIAVVGIKDPVRPGVEDAVKTCLAAGIKVRMVTGDNINTAKAIAKECGILTDDAFAIEGSEFRRKTPDELRELIPRIQVMARSSPLDKHVLVKNLRGMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIVKVAKWGRAVYLNIQKFVQFQLTANVVALMINFLSACISGSAPLTAVQLLWVNLIMDTLGALALATEPPHQGMMERPPVGRDVSFITKAMWRNIFGQSVYQLAILLVFNFTGKQLLRLEGTDAQAVLNTFIFNTFVFCQVFNEVNSRDIEKLNVFSGIIGNWIFIGVMGFTVIFQVIIVEFLGTFASTIPLSWHLWLLSILIGASSMIVGVVLKLIPIAEGTVKQHDGYSLLPNGPELT >itb01g24110.t2 pep chromosome:ASM357664v1:1:29859258:29861954:1 gene:itb01g24110 transcript:itb01g24110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSDEARRRWREAVTLVLNRRRRFRYTPNFEKRDEAKQLMQKTREKLRVGFMAYLAAIRFIDGEFICYLIYVSTLASYFTFNFCTAGDRARSPEEGGDLGDEIESGLPEVAGAASFRVHPDKLATIVGSYDIKALRKIGGVEGLAARLGVSLEEGIQSGDVPISIRQSVYGSNKFTEKPFRSFWTFVWEALHDLTLIILMVCAVVSIGVGLATEGWPRGMYDGLGIILSIFLVVIVTAISDYRQALQFKDLDKEKNKILIQVTRDGYRQKVYTYDLVVGDVVHLSIGDLVPADGIFISGYSLLVDQSSLSGESVPVNIHEKRPFLLSGTKVQGGSAKMLVTTVGMRTEWGKLMGTLSEGGEDETPLQIKLNAVATTIGKIGLAFAILTFLVLMVRFLVEKAINHELTNWSSADVLTLLNYFATAVTIIVVAVPEGLPLAETLSLAFAMKQLMDNKALVKHLSACETMGSATCICTDKTGTLTTNHMVVNKTWICGKVNMVKTYHDRGNISSEISDNVLAILLQAIFHNTGSEVVKNKEGKISIMGTPTESAILEYGLGLGGDFDDQRKDCKLLKVEPFNSEKKKMSVLVALPDGTIRAFCKGAAEIVLRMCDRAFDGNGEFVHLSGGQVENITNVINEFASEALRTLCLAFKDIDDGCLENNIPDFGYTLIAVVGIKDPVRPGVEDAVKTCLAAGIKVRMVTGDNINTAKAIAKECGILTDDAFAIEGSEFRRKTPDELRELIPRIQVMARSSPLDKHVLVKNLRGMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVGL >itb01g24110.t1 pep chromosome:ASM357664v1:1:29859162:29863180:1 gene:itb01g24110 transcript:itb01g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSDEARRRWREAVTLVLNRRRRFRYTPNFEKRDEAKQLMQKTREKLRVGFMAYLAAIRFIDGEFICYLIYVSTLASYFTFNFCTAGDRARSPEEGGDLGDEIESGLPEVAGAASFRVHPDKLATIVGSYDIKALRKIGGVEGLAARLGVSLEEGIQSGDVPISIRQSVYGSNKFTEKPFRSFWTFVWEALHDLTLIILMVCAVVSIGVGLATEGWPRGMYDGLGIILSIFLVVIVTAISDYRQALQFKDLDKEKNKILIQVTRDGYRQKVYTYDLVVGDVVHLSIGDLVPADGIFISGYSLLVDQSSLSGESVPVNIHEKRPFLLSGTKVQGGSAKMLVTTVGMRTEWGKLMGTLSEGGEDETPLQIKLNAVATTIGKIGLAFAILTFLVLMVRFLVEKAINHELTNWSSADVLTLLNYFATAVTIIVVAVPEGLPLAETLSLAFAMKQLMDNKALVKHLSACETMGSATCICTDKTGTLTTNHMVVNKTWICGKVNMVKTYHDRGNISSEISDNVLAILLQAIFHNTGSEVVKNKEGKISIMGTPTESAILEYGLGLGGDFDDQRKDCKLLKVEPFNSEKKKMSVLVALPDGTIRAFCKGAAEIVLRMCDRAFDGNGEFVHLSGGQVENITNVINEFASEALRTLCLAFKDIDDGCLENNIPDFGYTLIAVVGIKDPVRPGVEDAVKTCLAAGIKVRMVTGDNINTAKAIAKECGILTDDAFAIEGSEFRRKTPDELRELIPRIQVMARSSPLDKHVLVKNLRGMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIVKVAKWGRAVYLNIQKFVQFQLTANVVALMINFLSACISGSAPLTAVQLLWVNLIMDTLGALALATEPPHQGMMERPPVGRDVSFITKAMWRNIFGQSVYQLAILLVFNFTGKQLLRLEGTDAQAVLNTFIFNTFVFCQVFNEVNSRDIEKLNVFSGIIGNWIFIGVMGFTVIFQVIIVEFLGTFASTIPLSWHLWLLSILIGASSMIVGVVLKLIPIAEGTVKQHDGYSLLPNGPELT >itb02g18110.t1 pep chromosome:ASM357664v1:2:14506677:14510060:-1 gene:itb02g18110 transcript:itb02g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSVVRNFTFQISHSPTLVSHSLRSKFHSFQLFTAIHRHGATAHRRPALTGDRSPQARQPAAHRPLPAACCSSPVAHRLTLAVDARRRRQPPAGCRSRCQSVNSRFLVYRSRLDDFQYDNVYCLKALNH >itb13g07030.t1 pep chromosome:ASM357664v1:13:8592849:8593289:1 gene:itb13g07030 transcript:itb13g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNSQAQMKSEGGCLPQVLSSKLREIGMLRLIPRMFAFKAVQRQIAASRSRRPPRAEQQCGSGGFPTTVPILPFSNLAHTPSFRASLGQCPLLGFGLGFGLGLGLGVGMYGQPLLQPLTRVKKRRLKEKNNASESDFFDESIIDG >itb06g19590.t1 pep chromosome:ASM357664v1:6:22857447:22858736:1 gene:itb06g19590 transcript:itb06g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSMGLIVVLTSVFALLSAQTINGVTVKLIHPNSPSNPNRNPSSNRFDWIQQAYNNTRSRAAFIQNRLGVNDSFKFKTDMMPYGGGYFMKYSIGTPPFESYGIADTGSDFTWTQCQPCTDCFPQIPPIFDPKNSNSYKTTSCDSDKCSIFGTPCSDQKVCQYYVIYGGLSHTAGDVATDTLTIGDGSFTNVMFGCGHNNSGFPNVTSGIFGLGYSNVSIVKQLSNEISGKFAYCLSPQPDSKSYISFGKDAIVTGPDAVSIPFSLSLDKTIFYWLTLESMSAGDKNFPVKQSSPSDIGNQAAASGNVIIDSGTTMTIIPTYMFNSLKSELMKQIPATPLDDPRGLCYSTSDKITVPKIVAHFSGGDIELSPRGSFQEVEEGISCFTIIPDQHLGIFIFGNLSEVDHLVGYDLEAQTVTFKPADCSKF >itb02g02530.t1 pep chromosome:ASM357664v1:2:1483902:1486311:-1 gene:itb02g02530 transcript:itb02g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 12 [Source:Projected from Arabidopsis thaliana (AT2G26440) UniProtKB/Swiss-Prot;Acc:O48711] MAMARLRLLLLFLLLSVHLFAAKTADFNNYPITSAANFCKSKPHPDACLHSIKLSISINISPNVLNFLLQSLKTALTQAGKLSDLFAVSGSGGNIVEKQRGTVQDCKDLHERTVSSLKKSVSRLSASPDARKIADAKAFLSAAMTNKATCLEGLESSTGPMKTTLVGSIEDTYKHVANSLSALAQPGRAATKSGGDNGGRRRLMSADFPGWLSGKDRRILDDYYNDYDQSDTLTVAADGTGNFTTVTDAVNFAPNNSDVRIFIYVKQGVYQENLVIPGWKPNIVLLGDGSNVTTITGNRSNVGGWTTFRSATVAVSGDGFLARDIAFENTAGPENHQAVALRINADLAAVYRCTIAGFQDSLYVHSFRQFYRECDIYGTIDYIFGNAAAVFQGCNIVSRMPMPGQFTVVTAQSRGNPFEVTGIAIQNCSILATYDLYNNSNAVKSYLGRPWQNYSVTVYIESYIDDFIAPEGWTNWVGDDGLDTLYYGEYQNNGPGSGTENRVGWAGFHVMDYNDALNYTVSEFITGDEWLDSTSFPYDDGI >itb01g07130.t1 pep chromosome:ASM357664v1:1:5348040:5360957:-1 gene:itb01g07130 transcript:itb01g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MDSQSSDVANRPQFSEESRKVQGDVVMENALEEAQSVADSCRERLHQLINGDSLDFGAWNSLITEIEKIHPDDIDMISLAYDSLLSKFPLCHWYWKRYAYHKARLCNADKAVETFEQAVELTPFSVGLWYEYCLFAITYSDDPDDVRRLFQKGLAIVGKDYYCHVLWDKYMVFEFSQQKWDVLAKVYAQALRFPTQKLKKYYKIFKDLVSILEEEIRSLNNGNLEVQAEELYNGASALSDKEISQVVNDLWDPCDISVRCKALHRYKFIGDQLYQKACQLDEKIKSFESNIQRRYFHITPIDDDQLNNWHRYLDFIEKQEDFDWALKLYERCLISCANYPEFWMRYVDFMEAQGGRELALLAIERAKTVFLNNVPGIHLFSARFSEQIRDLAGARASFSNYDSGCDIYFIESVVKQANMEKRLGNDEAACEIYEKALRWAMPDKEKQHFLPKLYIHYYRLKHMLTGGADTSRDVLIEGIKQVPDSRLLYEELIRHAMMHGGAKQLSIIDSIITTAISPSLDGPQGLDIKDREYISTLFLEFVDLCGTVHDVKKAWNLHIKLFPQLIRVNPLYKYPTSKERQSNSPVLLNLPSKNQSSGDLIWQPEQEKLPSLPLVDDKQPSNVSAEQIPTLDDDDVANKKLQQLSPKVSVDFDDESKTDASKQMELVDGLDQRPKEDCPQQMDWTSISERQSKEDASTSSLLEQEQPSGPNVPPEISEEEARSTNILCPQELELEKQLESVSLEKISPDSQQEVPEGQVLVASDHHGSEEVPSTSNCRSAEGHLNAGHGRSFVTLAQNEADENKSAADSAQSWDFTAASHQNSTATQHPSSRVTSSRAENQCETKDMATPARSGSSEFTHNPALQDQQLHPQQVGLGPQDSTFEIHPIQTQNSQGDPELPLVPEKSGQGNIQPRESAAQEDISVKHGLENQPQMFSSPVSSAQGNTAENAMQTSQQVGHAHHGQAFDQMWQYHSQQQYQLLQQQYQQYQQQFLQMQQSYPHQQPYLNQQTYQQQVPIFQQQQQLQSLPYQHQQFQQQIPYLQQMQQQYHQQQYQQMVQSMQPYNQSPALAYQYQMNQQGYEQVLQQYQLNQQTSHTLQQQQEQGGYPQVQQHQEQHQHLQEQVDQGQQIVQHGTTSLESQPERVSTQSKTAEEGSRHPKSAQGSL >itb01g07130.t2 pep chromosome:ASM357664v1:1:5348040:5360669:-1 gene:itb01g07130 transcript:itb01g07130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MENALEEAQSVADSCRERLHQLINGDSLDFGAWNSLITEIEKIHPDDIDMISLAYDSLLSKFPLCHWYWKRYAYHKARLCNADKAVETFEQAVELTPFSVGLWYEYCLFAITYSDDPDDVRRLFQKGLAIVGKDYYCHVLWDKYMVFEFSQQKWDVLAKVYAQALRFPTQKLKKYYKIFKDLVSILEEEIRSLNNGNLEVQAEELYNGASALSDKEISQVVNDLWDPCDISVRCKALHRYKFIGDQLYQKACQLDEKIKSFESNIQRRYFHITPIDDDQLNNWHRYLDFIEKQEDFDWALKLYERCLISCANYPEFWMRYVDFMEAQGGRELALLAIERAKTVFLNNVPGIHLFSARFSEQIRDLAGARASFSNYDSGCDIYFIESVVKQANMEKRLGNDEAACEIYEKALRWAMPDKEKQHFLPKLYIHYYRLKHMLTGGADTSRDVLIEGIKQVPDSRLLYEELIRHAMMHGGAKQLSIIDSIITTAISPSLDGPQGLDIKDREYISTLFLEFVDLCGTVHDVKKAWNLHIKLFPQLIRVNPLYKYPTSKERQSNSPVLLNLPSKNQSSGDLIWQPEQEKLPSLPLVDDKQPSNVSAEQIPTLDDDDVANKKLQQLSPKVSVDFDDESKTDASKQMELVDGLDQRPKEDCPQQMDWTSISERQSKEDASTSSLLEQEQPSGPNVPPEISEEEARSTNILCPQELELEKQLESVSLEKISPDSQQEVPEGQVLVASDHHGSEEVPSTSNCRSAEGHLNAGHGRSFVTLAQNEADENKSAADSAQSWDFTAASHQNSTATQHPSSRVTSSRAENQCETKDMATPARSGSSEFTHNPALQDQQLHPQQVGLGPQDSTFEIHPIQTQNSQGDPELPLVPEKSGQGNIQPRESAAQEDISVKHGLENQPQMFSSPVSSAQGNTAENAMQTSQQVGHAHHGQAFDQMWQYHSQQQYQLLQQQYQQYQQQFLQMQQSYPHQQPYLNQQTYQQQVPIFQQQQQLQSLPYQHQQFQQQIPYLQQMQQQYHQQQYQQMVQSMQPYNQSPALAYQYQMNQQGYEQVLQQYQLNQQTSHTLQQQQEQGGYPQVQQHQEQHQHLQEQVDQGQQIVQHGTTSLESQPERVSTQSKTAEEGSRHPKSAQGSL >itb03g19430.t1 pep chromosome:ASM357664v1:3:17554832:17559032:1 gene:itb03g19430 transcript:itb03g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLSHASASAATAALVYDVKQENPTNTYVYGGAHAGNAEFPAKSCVTSLSSNNMLDFSNKSDARHPPPDHSSECNSTATGGAPTKKARIQPSSTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGNGSGNNMRHQQQQQSFVQAERNCLFPEDPGQLLNDNCMKRKGTSDHHQPQDGDEEAKKDLRSRGLCLVPISCTLQVGSDNGADYWAPAAFGGGFRS >itb15g07390.t1 pep chromosome:ASM357664v1:15:5057635:5058948:1 gene:itb15g07390 transcript:itb15g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVLNQLQPTTLKHSKQPQPQPQRLAAVRVNATSGNAQQLIQSGTVRPIPPKDAGTAVDSEGYILLDIRPEWERVKARVSGSRHIPLFVKDPDNSPLGLLKKWVHFGYIGLWTGQNMTMINPEFLTQVETQIPDKDSKILVACGEGLRSMMAVSKLHKSGYANLAWLAGGFKSADDSHFPAVEGPEKLQYATVGGASYYFLQLLILLRAVGKND >itb04g01710.t1 pep chromosome:ASM357664v1:4:983500:986384:1 gene:itb04g01710 transcript:itb04g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MSTLDVARTELALAVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPNAPGTPLPLILLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERSELLGRISLFCWMGSSICTTLVEIGELGRLSASMKKLEKELKNTDKYKNEQYRSKLQKSNDRSLALIKAALDIVVAVGLLQLAPKKVTPRVTGAIGFVTSLISCYQLLPPPPAKAKAT >itb04g31920.t1 pep chromosome:ASM357664v1:4:34631488:34635978:1 gene:itb04g31920 transcript:itb04g31920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNLPAAAAADIGNWTEAVEDLVHDGQVEEAISLLETVVSKLETDSKLGQLSAALLDLSKLYATQGLSIKAEETRSRAFLLEQISLPFPHQMKDADDANKSSKESRGKGISEYSNLESSSDLQGDGSKQGGSSVDDWEAIADRDPNELLSPSSLPEVSNLSLQDSKVQAPKRRGRGAFLYQKYGLYSDEQSEGRKFDYLDDDVSDSTEGSSHSLNLKYGTSHVLVLADFPKSTRTTDLEKLLEKQKDQVAIRWVNDEVALAVFRTPSEALEASSSIEWPFSKHILNEDDELLKSIPPRDLEPPRQRPRTSARTAQRMIAQSIGIKLPSDFGSRELRRQEEARKNRILSRQNKKDDAWGDDDTN >itb04g31920.t2 pep chromosome:ASM357664v1:4:34631518:34635978:1 gene:itb04g31920 transcript:itb04g31920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNLPAAAAADIGNWTEAVEDLVHDGQVEEAISLLETVVSKLETDSKLGQLSAALLDLSKLYATQGLSIKAEETRSRAFLLEQISLPFPHQMKDADDANKSSKESRGNLESSSDLQGDGSKQGGSSVDDWEAIADRDPNELLSPSSLPEVSNLSLQDSKVQAPKRRGRGAFLYQKYGLYSDEQSEGRKFDYLDDDVSDSTEGSSHSLNLKYGTSHVLVLADFPKSTRTTDLEKLLEKQKDQVAIRWVNDEVALAVFRTPSEALEASSSIEWPFSKHILNEDDELLKSIPPRDLEPPRQRPRTSARTAQRMIAQSIGIKLPSDFGSRELRRQEEARKNRILSRQNKKDDAWGDDDTN >itb15g16110.t1 pep chromosome:ASM357664v1:15:15242583:15245814:-1 gene:itb15g16110 transcript:itb15g16110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQHQPRTEIRAIMAKDKIAKAAVCVTGANGFIGSWLVKTLLDSGYATIHAAIFPGSDPSHLLSLPGASDSTVRLVVHEVNVLDADAVSRAVEGCAGGGVFHVASPCTLEDPVDPQKELVEPAVQGTLNVLAAAKRFGVRRVVLTSSISALVPNPSWPTNKVFDESSWTDLDYCKSRQKWYPVSKTLAEKAAREFAEKNGLDVVAIHPATCLGKLLQPGLNASSAVLQQLLRGSKDTQEYHWLGAVHVEDVAKAQVLLFETPSASGRYLCTNGIYQFGDFAERVSKLFPQFPVHRFSGETQPGLMTCEDAAKRLIALGFVFTPVEDAVRETVESLRVNGHLVLPN >itb01g00760.t1 pep chromosome:ASM357664v1:1:347491:348859:-1 gene:itb01g00760 transcript:itb01g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGSIEKRKPVFVKVNSLKPGTNGHTLTVKVVSSTTVKSNAAGGGRRGGRASMSLNPRAPARIAECLVGDETGTIIFTARNDQVDAMTVGSTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFVVKEDNNLSLVEYELVNVEE >itb06g05670.t1 pep chromosome:ASM357664v1:6:8340869:8343085:-1 gene:itb06g05670 transcript:itb06g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALLSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKKNELKVKDSKTLLFGDHPVAVYGMRNPEEIPWGEAGAEYVVESTGVFTDQDKAAAHMKGGAKKVVISAPSKDAPMFVMGVNHKDYKKDIDIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAMTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTADVSVVDLTARIEKAASYDDIKKAIKEESEGSMKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNGNFVKFVSWYDNEWGYSNRVVDLIRHMASA >itb04g25590.t1 pep chromosome:ASM357664v1:4:30142846:30143885:1 gene:itb04g25590 transcript:itb04g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPEVESLNPGKNSGGAGSSAAAASSSSSAAAAASASTSSRYENQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPDTNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQPPPSQPPPPP >itb06g06240.t1 pep chromosome:ASM357664v1:6:8905974:8907463:-1 gene:itb06g06240 transcript:itb06g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPVFLFFCCFFFFFTLSSLPLHVVAPPPKLAHIPDPQITVMGMVYCDICSNNSFSRHSYFMPGVEVKIDCTFKASSPKTAEQIQFSVNRTTNRFGIYRLMIPSVDGIECARENEIGNSCRASLVRSSTSACSVPASITTTQEVTVKSRSANTCIYSLSALTFRPSKKNAALCGNKH >itb01g12360.t1 pep chromosome:ASM357664v1:1:11839846:11843695:-1 gene:itb01g12360 transcript:itb01g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISVHIGQAGIQVGNACWELYCLEHGIQPDGMMPSDTSVGIANDSFNTFFSETGSGKHVPRAVFVDLEPTVVDEVRSGAYRQLFHPEQLISGKEDAANNFARGHYTVGRDIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVVVLLDNEAIYDICRRALDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCMISNNTAVAEVFS >itb15g19450.t1 pep chromosome:ASM357664v1:15:21808726:21812218:-1 gene:itb15g19450 transcript:itb15g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAYYVENRKIYKKIPGQLIRTLTRKTNTHNKQGSSANQMVLWLWNGRMKKQKAEPIPAEAEAAITHTSFGQAACDSDCIKGFVAAHNAARETVGAPLVKWNSTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKQYYDNTSNSCTGGECHHYTQVVWRNTASIGCARATCKTGWMFVTCNYYPPGNYVGERPF >itb09g03800.t1 pep chromosome:ASM357664v1:9:2081940:2084495:-1 gene:itb09g03800 transcript:itb09g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQATMAFSVLKTLLTSLFFYADKPLILFADKCKYLQFIRCILVSAFLFFLRLLPSLLPSPSFESYKFCLNSKRGEALCTVADGGGGEPGIARALTQVLSIVTDIPVSSRKYEVVRSLAERLIDDNLLDGNEALREVNCTALVAAFCRTLKRLESAVVDHGGSRQLDAVTATPDGGGGGVIEDYYRGLCRVVRAAKFYGDVVRRRLSARDELSRSEVSAEKLAAELLWLAQKMTACGCAEEALRRWSSAQHLAWLSLSADPRLQGALVKVSAFLLDQARELWKEADEENMKYELRETNMNMLVSWLPLLCRASNGTDTPVLSINKRAELERTLELMINTLDEEEQEKVLSLWLQHFTYCPSSDWPNLHDSYTRWCTASRSSLLLLN >itb04g01550.t5 pep chromosome:ASM357664v1:4:870435:876750:-1 gene:itb04g01550 transcript:itb04g01550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKELAETLSSIFYDHQSASSSSSSSSSSSSSSSSSSYQSQQNPAGRPMDGVSTGNERTAYKLKSYFDLAKEEIAKAVRAEEWGLAEDAVSHYQNAQRVLSEGISTPVPSYISSSELEKAKSYRQKMSQWQGQVAERIQTLSQRAGISGSTSVIKSGAPRVQSASASPTSSYLRRSAVEKPSVSSNASAVRIQSNKAGSSKPVQDSGGGYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRRDLFTGLRKPSRGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKKPSVIFMDEIDSIMSTRTANENEASRRLKSEFLIQFDGVTSSSDDLVIVIGKMHLLFEIFSLLFLRPCVHSPSLILHNVNLYGLC >itb04g01550.t3 pep chromosome:ASM357664v1:4:868722:876883:-1 gene:itb04g01550 transcript:itb04g01550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKELAETLSSIFYDHQSASSSSSSSSSSSSSSSSSSYQSQQNPAGRPMDGVSTGNERTAYKLKSYFDLAKEEIAKAVRAEEWGLAEDAVSHYQNAQRVLSEGISTPVPSYISSSELEKAKSYRQKMSQWQGQVAERIQTLSQRAGISGSTSVIKSGAPRVQSASASPTSSYLRRSAVEKPSVSSNASAVRIQSNKAGSSKPVQDSGGGYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRRDLFTGLRKPSRGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKKPSVIFMDEIDSIMSTRTANENEASRRLKSEFLIQFDGVTSSSDDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDAGVRRQLLKHRLKGQQFSLPDGDLAKLVKETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRGLRYADFQKAMTVIRPSLQKSKWEELEQWNREFGAN >itb04g01550.t4 pep chromosome:ASM357664v1:4:870435:876750:-1 gene:itb04g01550 transcript:itb04g01550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKELAETLSSIFYDHQSASSSSSSSSSSSSSSSSSSYQSQQNPAGRPMDGVSTGNERTAYKLKSYFDLAKEEIAKAVRAEEWGLAEDAVSHYQNAQRVLSEGISTPVPSYISSSELEKAKSYRQKMSQWQGQVAERIQTLSQRAGISGSTSVIKSGAPRVQSASASPTSSYLRRSAVEKPSVSSNASAVRIQSNKAGSSKPVQDSGGGYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRRDLFTGLRKPSRGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKKPSVIFMDEIDSIMSTRTANENEASRRLKSEFLIQFDGVTSSSDDLVIVIGKMHLLFEIFSLLFLRPCVHSPSLILHNVNLYGLC >itb04g01550.t1 pep chromosome:ASM357664v1:4:868722:876883:-1 gene:itb04g01550 transcript:itb04g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKELAETLSSIFYDHQSASSSSSSSSSSSSSSSSSSYQSQQNPAGRPMDGVSTGNERTAYKLKSYFDLAKEEIAKAVRAEEWGLAEDAVSHYQNAQRVLSEGISTPVPSYISSSELEKAKSYRQKMSQWQGQVAERIQTLSQRAGISGSTSVIKSGAPRVQSASASPTSSYLRRSAVEKPSVSSNASAVRIQSNKAGSSKPVQDSGGGYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRRDLFTGLRKPSRGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKKPSVIFMDEIDSIMSTRTANENEASRRLKSEFLIQFDGVTSSSDDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDAGVRRQLLKHRLKGQQFSLPDGDLAKLVKETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRGLRYADFQKAMTVIRPSLQKSKWEELEQWNREFGAN >itb04g01550.t2 pep chromosome:ASM357664v1:4:868722:876883:-1 gene:itb04g01550 transcript:itb04g01550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSTGNERTAYKLKSYFDLAKEEIAKAVRAEEWGLAEDAVSHYQNAQRVLSEGISTPVPSYISSSELEKAKSYRQKMSQWQGQVAERIQTLSQRAGISGSTSVIKSGAPRVQSASASPTSSYLRRSAVEKPSVSSNASAVRIQSNKAGSSKPVQDSGGGYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRRDLFTGLRKPSRGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKKPSVIFMDEIDSIMSTRTANENEASRRLKSEFLIQFDGVTSSSDDLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDAGVRRQLLKHRLKGQQFSLPDGDLAKLVKETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRGLRYADFQKAMTVIRPSLQKSKWEELEQWNREFGAN >itb04g01550.t6 pep chromosome:ASM357664v1:4:871752:876750:-1 gene:itb04g01550 transcript:itb04g01550.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKELAETLSSIFYDHQSASSSSSSSSSSSSSSSSSSYQSQQNPAGRPMDGVSTGNERTAYKLKSYFDLAKEEIAKAVRAEEWGLAEDAVSHYQNAQRVLSEGISTPVPSYISSSELEKAKSYRQKMSQWQGQVAERIQTLSQRAGISGSTSVIKSGAPRVQSASASPTSSYLRRSAVEKPSVSSNASAVRIQSNKAGSSKPVQDSGGGYDAKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRRDLFTGLRKPSRGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKKPSVIFMDELPRLSSHCSRKTILTLPLKLEHLEVPSLKSNINSTLNYK >itb14g20100.t1 pep chromosome:ASM357664v1:14:22563276:22565431:-1 gene:itb14g20100 transcript:itb14g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPQIRTSNSALIAMIGDEDTITGFLMAGVGNVDLRRKTNYLIVDSKTTVKQIEDAFKEFTSREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAQDSILSRVKYLFSAESVASGRR >itb10g25140.t1 pep chromosome:ASM357664v1:10:28572072:28577366:1 gene:itb10g25140 transcript:itb10g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVNVDASSAEIKKAYYVKARAVHPDKNPGDPKAAHNFQVLGEAYQVLSDPEKREAYDRNGKDGVQEEAMLDPAAVFGMLFGSDFFEDYVGQLLLASLSVVEAEQDCIDPETRNKKVQEKMKALQKEREDKLVKILIDRLHPYVEGHIEEFVDWATSEAICLSQAAFGEAMLHTIGYIYTRQAARELGKDKRYMKVPFLAEWVRDKGHRVKSQVMAASGAVNLLQIQEDIKKSCEGENAQEAMLKALEEKRDVMLNSLWQINVVDIETTLCRVCQAVFRDSTVSKDVIRHRARGMRKLGTIFQVGRKGNLQQG >itb10g25140.t4 pep chromosome:ASM357664v1:10:28572072:28577277:1 gene:itb10g25140 transcript:itb10g25140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVNVDASSAEIKKAYYVKARAVHPDKNPGDPKAAHNFQVLGEAYQVLSDPEKREAYDRNGKDGVQEEAMLDPAAVFGMLFGSDFFEDYVGQLLLASLSVVEAEQDCIDPETRNKKVQEKMKALQKEREDKLVKILIDRLHPYVEGHIEEFVDWATSEAICLSQAAFGEAMLHTIGYIYTRQAARELGKDKRYMKVPFLAEWVRDKGHRVKSQVMAASGAVNLLQIQEDIKKSCEGENAQEAMLKALEEKRDVMLNSLWQINVVDIETTLCRVCQAVFRDSTVSKDVIRHRARGMRKLGTIFQGAKGIYSRDSSLRHEALITMEGSASSKRR >itb10g25140.t2 pep chromosome:ASM357664v1:10:28572119:28577124:1 gene:itb10g25140 transcript:itb10g25140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVNVDASSAEIKKAYYVKARAVHPDKNPGDPKAAHNFQVLGEAYQVLSDPEKREAYDRNGKDGVQEEAMLDPAAVFGMLFGSDFFEDYVGQLLLASLSVVEAEQDCIDPETRNKKVQEKMKALQKEREDKLVKILIDRLHPYVEGHIEEFVDWATSEAICLSQAAFGEAMLHTIGYIYTRQAARELGKDKRYMKVPFLAEWVRDKGHRVKSQVMAASGAVNLLQIQEDIKKSCEGENAQEAMLKALEEKRDVMLNSLWQINVVDIETTLCRVCQAVFRDSTVSKDVIRHRARGMRKLGTIFQGAKGIYSRDSSLRHEALITMEGSASSKRR >itb10g25140.t5 pep chromosome:ASM357664v1:10:28572091:28576948:1 gene:itb10g25140 transcript:itb10g25140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVNVDASSAEIKKAYYVKARAVHPDKNPGDPKAAHNFQVLGEAYQVLSDPEKREAYDRNGKDGVQEEAMLDPAAVFGMLFGSDFFEDYVGQLLLASLSVVEAEQDCIDPETRNKKVQEKMKALQKEREDKLVKILIDRLHPYVEGHIEEFVDWATSEAICLSQAAFGEAMLHTIGYIYTRQAARELGKDKRYMKVPFLAEWVRDKGHRVKSQVMAASGAVNLLQIQEDIKKSCEGENAQEAMLKALEEKRDVMLNSLWQINVVDIETTLCRVCQAVSINL >itb10g25140.t3 pep chromosome:ASM357664v1:10:28572091:28577366:1 gene:itb10g25140 transcript:itb10g25140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVNVDASSAEIKKAYYVKARAVHPDKNPGDPKAAHNFQVLGEAYQVLSDPEKREAYDRNGKDGVQEEAMLDPAAVFGMLFGSDFFEDYVGQLLLASLSVVEAEQDCIDPETRNKKVQEKMKALQKEREDKLVKILIDRLHPYVEGHIEEFVDWATSEAICLSQAAFGEAMLHTIGYIYTRQAARELGKDKRYMKVPFLAEWVRDKGHRVKSQVMAASGAVNLLQIQEDIKKSCEGENAQEAMLKALEEKRDVMLNSLWQINVVDIETTLCRVCQAVFRDSTVSKDVIRHRARGMRKLGTIFQVGRKGNLQQG >itb01g17440.t2 pep chromosome:ASM357664v1:1:22160692:22163184:-1 gene:itb01g17440 transcript:itb01g17440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFPPSLVSLYLGSSVRRAQNLIHHHASSSNLTQLPIFHYPLQSIGERETTLSRFLQSSTRFLQLSRHRREPSIAAAISTGCHPQPAVSLRRRRCLVEKGSSRRLLFGKIEDMQPRFECKCGFLNCSVDLISHTDSKYQDIHKDLELQEQIC >itb01g17440.t1 pep chromosome:ASM357664v1:1:22159975:22163184:-1 gene:itb01g17440 transcript:itb01g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFPPSLVSLYLGSSVRRAQNLIHHHASSSNLTQLPIFHYPLQSIGERETTLSRFLQSSTRFLQLSRHRREPSIAAAISTGCHPQPAVSLRRRRCLVEKGSSRRLLFGKIEDMQPRFECKCGFLNCSVDLISHTDSKYQDIHKDLELQEQIC >itb14g14010.t4 pep chromosome:ASM357664v1:14:16410097:16414426:-1 gene:itb14g14010 transcript:itb14g14010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHSKNDDDLESGKLEKDRERSLRSSRIITVQNKALLSGLSYCISSCSMILINKYVLSSYDFNAGISLMLYQNFVSVVLVSILNFVGIISTEPLTWKLIKVWLPVNFIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMVISAISGGITDLSFNAIGYTWQIINCFMTASYSLTLRRIMDTAKQVTKSGNLDEFSMVLLNNTLSLPLGIVLVFLFNEVDYLATTPLLQLPMFWLVITLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVTGILLFKVPTSLENATSILFGLLAGVFFARAKMRER >itb14g14010.t3 pep chromosome:ASM357664v1:14:16410097:16416144:-1 gene:itb14g14010 transcript:itb14g14010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHSKNDDDLESGKLEKDRERSLRSSRIITVQNKALLSGLSYCISSCSMILINKYVLSSYDFNAGISLMLYQNFVSVVLVSILNFVGIISTEPLTWKLIKVWLPVNFIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMVISAISGGITDLSFNAIGYTWQIINCFMTASYSLTLRRIMDTAKQVTKSGNLDEFSMVLLNNTLSLPLGIVLVFLFNEVDYLATTYPCFGWL >itb14g14010.t1 pep chromosome:ASM357664v1:14:16410097:16416252:-1 gene:itb14g14010 transcript:itb14g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHSKNDDDLESGKLEKDRERSLRSSRIITVQNKALLSGLSYCISSCSMILINKYVLSSYDFNAGISLMLYQNFVSVVLVSILNFVGIISTEPLTWKLIKVWLPVNFIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMVISAISGGITDLSFNAIGYTWQIINCFMTASYSLTLRRIMDTAKQVTKSGNLDEFSMVLLNNTLSLPLGIVLVFLFNEVDYLATTPLLQLPMFWLVITLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVTGILLFKVPTSLENATSILFGLLAGVFFARAKMRER >itb14g14010.t2 pep chromosome:ASM357664v1:14:16410097:16416158:-1 gene:itb14g14010 transcript:itb14g14010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLEFRFSRSLIGKSHWSKWRENLQSNNLADQVSSPVRRELASRLSFAMKAHSKNDDDLESGKLEKDRERSLRSSRIITVQNKALLSGLSYCISSCSMILINKYVLSSYDFNAGISLMLYQNFVSVVLVSILNFVGIISTEPLTWKLIKVWLPVNFIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWAALFLMVISAISGGITDLSFNAIGYTWQIINCFMTASYSLTLRRIMDTAKQVTKSGNLDEFSMVLLNNTLSLPLGIVLVFLFNEVDYLATTPLLQLPMFWLVITLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVTGILLFKVPTSLENATSILFGLLAGVFFARAKMRER >itb13g00190.t1 pep chromosome:ASM357664v1:13:165229:166356:-1 gene:itb13g00190 transcript:itb13g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIDQTKLINKVEKQSSLGILGIIKESLNTTLRNGKPMLAILLFTLYFFSILEVGEYLLSKPIPRRLSPKSKLSPQAFWYYAFHYAKTAISCVVLLFLSMATIHTTSEAHAGKPRTIKDAIFSVKGVWKSVFLTLFYAVLILIGALTIFWMLFQLTPSLPVSDTVWSCVDIALAFIALVVLVYMLAISGLSLVVSAAEEGCEGLSAISRGGELVKGKKLQAWVLTLLYVLCILGVSLLSKFAKTKMIGVGNWTPHAVLVAINSAIWVISHFRFVVLTVFYHQLHYTNLQPADPVYAPISTLV >itb08g08420.t1 pep chromosome:ASM357664v1:8:7392936:7396402:1 gene:itb08g08420 transcript:itb08g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDVALSSPFQEESVRSSRHSSNNAPLGLCTNESIVIYITVGGSVVPMRVLKSDSIASVKLRIQTCQGFVVKRQKLVFGGRELSRNDCLVRDYGVVNGNFLHLILKLSDLLVINVSTTCGQDFEFHVDRHQNVGYLKRQIAKEGNGYFDLEDKDLFCNGEKLEDLRLIDDINKNTADAVVHLVVQKSAKVWAKPVDRDVELSVVAAATANWNENPQVKEPTRDLQALSTKNKNSPDVLLEPIIVNPKAKLPQSLWDLINSALDGLVKGKTPIRSSEGTGGTYLMLDGSGNKYVAVFKPIDEEPLAVNNPQNLPLSPTGEGLKRGTKVGEGAFREVAAFLLDHPKTGPRSFSNGGEIGFSGVPPTALVQCLHNGFHYPDGFQWSPENIKIGSLQLFMSNCGNCEDIGPQDFPVEEVHKISVFDIRTANADRHAGNILVNKGENRRTMLTPIDHGYCLPEKFEDCTFDWLYWPQARQPFSAEAIEYIKSLDAEEDIALLRFYGWELSLECARVLRISTMLLKKGAERGLTPFGIGSMMCRENLNKESAIEEIVREAMGARGSRDETEFLQTVSELMDVRLERLLKEEEEDDKK >itb04g12820.t1 pep chromosome:ASM357664v1:4:12554785:12555333:-1 gene:itb04g12820 transcript:itb04g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWIHEKPLFIFVTAQNQSRINNAKVVNFWDDTIGWKWEALSILPDEIKQKMELMIVGVEEEVDKLYWSRDTSGKFSIRVAYNVIKGNANNVQDAAWETLWKIKVPSKMKNFLWLSMHDQALGNAERKRRGMTGDGRCNICPECDESTEHILKDCEQANEVWKAMLGHARANAWSQLSYKH >itb04g32680.t1 pep chromosome:ASM357664v1:4:35142084:35148412:1 gene:itb04g32680 transcript:itb04g32680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVESDPEAALEGFAEVVRMEPEKADWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEESKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQKEDGSDDQKKGTHLLEVYAIEIQMYTETKNNKKLKELYQKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLMRNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEELLVSLILDNRIQGHIDQVNRLLERGDRSKGMKKYTAVDKWNTQLRSLYQTVSNRVC >itb06g25120.t2 pep chromosome:ASM357664v1:6:26340790:26342341:-1 gene:itb06g25120 transcript:itb06g25120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTVGGEEKTVTLYGTWTSPYAKRVELGLRIKGIPFEYVEEDLSNKSPFLLENNPVYKKVPVLVHNGKCLSESLIILEYIDEVWSQEPHFLPADPYERSQIKFWAGYIQQVYECLLKVLTSGARDQENACKELYDKLRVLENGMGGRTNVQSSNLGLLDIMIVPTLGAYKVQEEVFGVKILDPDRNPMLHSWVNSLIELPVIKEAAAPREKVVPFLQGFKQRLALKQPSKRDETDL >itb06g25120.t1 pep chromosome:ASM357664v1:6:26340790:26342343:-1 gene:itb06g25120 transcript:itb06g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTVGGEEKTVTLYGTWTSPYAKRVELGLRIKGIPFEYVEEDLSNKSPFLLENNPVYKKVPVLVHNGKCLSESLIILEYIDEVWSQEPHFLPADPYERSQIKFWAGYIQQVYECLLKVLTSGARDQENACKELYDKLRVLENGMGGRTNVQSSNLGLLDIMIVPTLGAYKVQEEVFGVKILDPDRNPMLHSWVNSLIELPVIKEAAAPREKVVPFLQGFKQRLALKQPSKRDETDL >itb07g11210.t1 pep chromosome:ASM357664v1:7:12638856:12642715:1 gene:itb07g11210 transcript:itb07g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDLHGKEPPLLPPPIWVADSIFGSENSSSGLSTPFGSVSSTRTETESDDDEGEDFLAELTRQMAGYTLQDEDDERNVLVEDHEHSPKFKEELANSSANSDFANQAMRPIEVYTMKDQSSLRKQSNPTPRGRRVAKTESIHQRVEHAAEAEHMPKKGRRRGNGHGGGRAHTLRSGSGMQAVFLGGSGYVTGASSSGTGVFIPRGCNYHSQTEPKKKTGCSTVLIPTRVLQALQLHFSTMEAKSQSNTYAASPTNRLAQFVTGNKIEDSVPQIEQRSDVETQPATIDQEMQLPQEWTY >itb09g12480.t2 pep chromosome:ASM357664v1:9:7904184:7907684:1 gene:itb09g12480 transcript:itb09g12480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSQVAAHMEPWRSLRDKVVMVTGASSGLGREFCLDLAKAGCRIIAAARRIDRLQSLCDEINGAGSGSGEPNSSRQLTAVAVELDVSANGPAIEAAVQRAWDAFGRIDALINNAGVRGRVHTPLDLSEEEWNSIIKTNLTGTWLVSKYVCLHMCNAKQGGSVINISSIAGLNRGQLPGSLAYACSKAAVNTMTKVAFLHLSVRIQR >itb09g12480.t1 pep chromosome:ASM357664v1:9:7904184:7907684:1 gene:itb09g12480 transcript:itb09g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSQVAAHMEPWRSLRDKVVMVTGASSGLGREFCLDLAKAGCRIIAAARRIDRLQSLCDEINGAGSGSGEPNSSRQLTAVAVELDVSANGPAIEAAVQRAWDAFGRIDALINNAGVRGRVHTPLDLSEEEWNSIIKTNLTGTWLVSKYVCLHMCNAKQGGSVINISSIAGLNRGQLPGSLAYACSKAAVNTMTKMMAIEMGKHGIRVNSISPGLFKSEITESLMQRDWLKNVALKTVPLRTYGTSDPALTSLVRYLIHDSSEYVSGNCYIIEAGATLPGVPIFSSL >itb09g16920.t2 pep chromosome:ASM357664v1:9:12068258:12069715:-1 gene:itb09g16920 transcript:itb09g16920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISDSSSNVQAQASLLSVGSVLDEKLRRNAQTCTHTHNHIYTSLQSDGEARRRASGNREAVRKYREKKKAHTAYLEEEVRKLRALNEQMVKKVERQVVLEAEVMRLRGLLLKLRDKIIDSEGSGSGFPFQKHCDSATLFKQSSGCGKNKMMFSLQHYCQPQPATADCVNHMGSDEQKSKLHSLLSSSSHAHYTKE >itb09g16920.t3 pep chromosome:ASM357664v1:9:12068258:12069348:-1 gene:itb09g16920 transcript:itb09g16920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDHFLVLNSADSSSNVQAQASLLSVGSVLDEKLRRNAQTCTHTHNHIYTSLQSDGEARRRASGNREAVRKYREKKKAHTAYLEEEVRKLRALNEQMVKKVERQVVLEAEVMRLRGLLLKLRDKIIDSEGSGSGFPFQKHCDSATLFKQSSGCGKNKMMFSLQHYCQPQPATADCVNHMGSDEQKSKLHSLLSSSSHAHYTKE >itb09g16920.t1 pep chromosome:ASM357664v1:9:12067293:12069348:-1 gene:itb09g16920 transcript:itb09g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDHFLVLNSADSSSNVQAQASLLSVGSVLDEKLRRNAQTCTHTHNHIYTSLQSDGEARRRASGNREAVRKYREKKKAHTAYLEEEVRKLRALNEQMVKKVERQVVLEAEVMRLRGLLLKLRDKIIDSEGSGSGFPFQKHCDSATLFKQSSGCGKNKMMFSLQHYCQPQPATADCVNHMGSDEQKR >itb11g11710.t1 pep chromosome:ASM357664v1:11:8594123:8597461:1 gene:itb11g11710 transcript:itb11g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKERARERREKRRQEICLLRSIPYSDHQRWWTSDTVAVVTGGNRGIGFEIAHQLGMHGLTVILTSRDTSVGEEAAKVMREGGLKVAFHQLDIVDPSSIESFSTWLNEQFGGLDILINNAGVNFNLGSENSVEHAETVIKTNYYGTKNMIKAIIPLVRLSTSGGRVVNVSSRLGRLNGRRNRIGDVELRHKLEDIESLSEELIDRTLNTFLEQVKDGTWESHGWPQNFTDYSLSKLAVNAFTRLMARELSDRPDGQKIYMNCYCPGWVKTAMTGWAGNLPPEEAADTAVWLALHPDLSVSGKFFAERREINF >itb10g04090.t1 pep chromosome:ASM357664v1:10:3844473:3844875:1 gene:itb10g04090 transcript:itb10g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb09g19090.t1 pep chromosome:ASM357664v1:9:15202552:15203064:-1 gene:itb09g19090 transcript:itb09g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKHTSLASKESVRKNPKVARDEYYEEEEEEVEYNVSRHEHMSVTIEYDEDEEEEEVRRGSTNHGSHGKGNHAHANSKKSNSHGGHSKHHHDDDDDDDDDDYEYSQPYGKATTTNVAPDYETPHSRGHAKSKKSNHHGHSRRDDDDDDDDDEYSEPYGKATVTHVAPDY >itb07g00880.t1 pep chromosome:ASM357664v1:7:568507:569141:-1 gene:itb07g00880 transcript:itb07g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGAIRPPAVSKDESRDDGGGGGGGGRAHRPVGEFAGGTAAECAMVCCCCPCAVLDFLVLAFYRVPVGLCRKAWRRRKQKRLLMKRRKMEEGGAATVPATTGSPTQPQPPPAPIGEAEGSRRPKTSNSIDFDREMWRRFNGHGFWRSASERDDSFIAPRF >itb06g24360.t1 pep chromosome:ASM357664v1:6:25956103:25958818:1 gene:itb06g24360 transcript:itb06g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDAANSGGDVWINENRFRIVRQLGEGGFAYVFLVKEVLSNPSIPGVSKKFKDPSHVSDDGTYAMKKVLIQNSEQLELVREEIRVSSLFTHPNLLPLLDHAIINVKAAQEQSWKHEAYLLFPVHMDGTLLDNATTMKAKRESFSTSDVLQIFRQLCAGLEHMHSFDPPYAHNDLKPGNVLLTHRKGQPPLAILMDFGSARPARRQIRSRSEAVQLQEWAAEHVSAPYRAPELWDCPSQSDIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAVVNAQVKWPTGPTDPSYPEALQQFVTWMLQPQATVRPRIGDIIIHVDRLISKFSH >itb09g10940.t1 pep chromosome:ASM357664v1:9:6844440:6848143:1 gene:itb09g10940 transcript:itb09g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVWLEAALPLGIIAGMLCVAGNIQYFVHKTYHGRPKHIGNDVWDVAMERRDKKLVEMLSAPSSD >itb02g19910.t1 pep chromosome:ASM357664v1:2:17338124:17342966:1 gene:itb02g19910 transcript:itb02g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLLDDSDQNSEQPNNKRMKTRPTFASVIQEVVAVKFLDSICSALEPMLRKVVNEEVENGLRRCSRSMTRSPSLRIQALEPSSLRLVFHSEPALPIFTGSKIAGEDGNPLKIFLVDTMGDVFVPTPLPYPLRVEIVVLDGDFPSRENERSWSADDFGTNIVKEREGKRPLLAGELSLTMRDGVATVGDLEFTDNSSWIRCRRFRLGARVVSGDQGVRISEAMTKPFMVKDHRGELYKKHYPPALDDDVWRLEKIGKDGIFHKRLAGQGIKTVQDFLKMSTVDINRLRKILGAGMSEKMWDATYKHASTCDMGNKLYLAQDPNYTLLLNPICQVVKAVIHGQVCPVRNISNYHKSYITDLVKYAYGSWSSLQEVDAAVNELPLLTQGDLVDQCSNHNQPQSIRGFQRNAFLTEGSDQAEYEFGSSGYQFY >itb02g10610.t1 pep chromosome:ASM357664v1:2:6863082:6863405:1 gene:itb02g10610 transcript:itb02g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGASDLVGAGQMGSGIAQLAAVNGVDVCLPIPKWNLLTEPTNPSLLTSNASSQKLYFLRNEVVMQSGV >itb01g00330.t1 pep chromosome:ASM357664v1:1:165166:165877:1 gene:itb01g00330 transcript:itb01g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKVLAALDSARTQYYHYKAIIIAGMGLFTDAYDLFCIPPIMKLLAHIDLLSPPLHRPPLTPANWPTPRLRCRAHPHAHQRSRQRIFNLHLRSTTCVLLTLGFFRFLLGVGIGGDYPLSATIMSEFANKNTRGAFIAAVFSMQGFGILVSSTVTMAVCAVFMRAANLSKDSLHTPMSADLAWRIILMLGVRCSAGRDDVLLAYDDARDRQV >itb01g00330.t2 pep chromosome:ASM357664v1:1:165166:166603:1 gene:itb01g00330 transcript:itb01g00330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKVLAALDSARTQYYHYKAIIIAGMGLFTDAYDLFCIPPIMKLLAHIDLLSPPLHRPPLTPANWPTPRLRCRAHPHAHQRSRQRIFNLHLRSTTCVLLTLGFFRFLLGVGIGGDYPLSATIMSEFANKNTRGAFIAAVFSMQGFGILVSSTVTMAVCAVFMRAANLSKDSLHTPMSADLAWRIILMLGVRCSAGRDDVLLAYDDARDRQLVAKNEVQAAKDMEKVLEDVSLTPVVEDDCEWSSSPTIMNSFCNNNEDDYPLFSRKFLFSRHGTHLLACSLSWFLLDVVFYSSNLFQSHIYQHYLGTTTKNAFQEAFDIAKL >itb03g18770.t1 pep chromosome:ASM357664v1:3:16989344:16991029:-1 gene:itb03g18770 transcript:itb03g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGSAGHNSRTCTTLKAASSHHHHHYHSSSSSVNVGGLRLFGVELEISSSSSSSAMKKSCSLDCLSSSSSLSSSQFSANEISAAEKTSVTFPANADATIFEAKEKKKGVPWTEEEHRTFLIGLERLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRSQGGLNSNNNSKSRRRASLFDMVEHSIKSQQELEDDDQEHTPNKHCSPNSFLQAHDNINAAGKALNSRVIMSSSSTGSSSSNAALLDLELTIGAPKNV >itb09g01150.t1 pep chromosome:ASM357664v1:9:695983:696432:-1 gene:itb09g01150 transcript:itb09g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFGLSKNASTAPEQNHVSTSVKGSFEYLDPEYFKKQQLTDKSNVYSFGVVLLEVLCARQAINLQLPRDQMNLVEWAIDPTLVGQINPESMRKFAEAAEKCLAEYGADRPTMGDVFWNLEYALQLQEASAMGKPTKKTSRLQRQIRRL >itb03g09980.t1 pep chromosome:ASM357664v1:3:7751906:7754070:1 gene:itb03g09980 transcript:itb03g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMSYRNVDVNGGGGGRIGFVKSLEESSAVVKEAASGFESVEEFIRLLSQGKKKQQGDREKAAMEIDVVADVAVNKFKKVIDLLGRTRTGHARFRRGPVASSPVMEAPADNKVYSPTPIQQVPPPASYDYSAAAHRFFQESAAAAATAPMTISFTCSPEISRANSFNISSLTGETESKPMLSSSSAFQLTNLSQVSSAGKPPLSTSSLKRKCSSSENNLSGKCSGSSSRCHCSKRKKLRLKRVTRVPAISMKLSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAVDDPTMLIVTYEGEHNHSVSVAETNGLILESS >itb07g00750.t1 pep chromosome:ASM357664v1:7:471072:474273:-1 gene:itb07g00750 transcript:itb07g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESQSGEKPAGGVFGRAWRRVKALPEGLFAKVVDIARQTRDVATDDPRRVVHSLKAGLALTLVSLLYYLHPLYHNFGVSTMWAVMTVVVVFEFTVGATLGKGLNRALATLLACALGVGAHYLASTTGKICEPLLLGFFVFVQAVASTFIRFFPAVKARYDYGLLIFILTFCLVSISGFRTHEILELAHKRFSTIAIGASVCVIVSIFVCPVWAGEDLHRLVASNLEILGNFLEGFGAEYFKSSSEEEESSKEAKSSMVSYKSVLNSKTTEEALANFARWEPGHGRFKFRHPWKQYLKVGTLTRQCACRVEALNGYLNSKIQGPGEMRRIMKGTCTKMSMESGKALQELASSVRNMNNPSSAKKHMANSKTAAKNLKLLLSSSFWEDVDLLQVIPVAAVASILTEIVVCVENIAESVSELAAAAQFKDNAESAPAHFKDNAESPPESSSSASGSDHVVIAVGEMAAPAAEGNQSRCL >itb01g29690.t1 pep chromosome:ASM357664v1:1:33890876:33892255:1 gene:itb01g29690 transcript:itb01g29690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDVQSDGKSPRRELQGPRPAPLRVRKDSHKIRKPPVAPSMHPPPQAPPRPPVIIYTVSPKIIHANPSEFMSLVQRLTGPDHASASSSSSAIAAASSSLLSAAAFQDHFNVGGGGAVSPAARFAAVERTRTPQGKKQPIHDVTADIGMIGGMEIMSDVERSGFFPGVLSPNPSSLPPIPPNFFSPPSSDQNPLGFFADFISPGFHNTNTNNRNSLETTLFMPSPSTINSFISPGRFLISPGTPSLDFFNNIFDL >itb14g09770.t2 pep chromosome:ASM357664v1:14:10611802:10613259:1 gene:itb14g09770 transcript:itb14g09770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLCAHNMLPQALALLRTVVSRKGKDSASAIFASILDSKGTLDSTFRLANFLIIVYLDSSFIPDAIQCFRIIKKHRLRIPFEAFYRVIDHVMKMNPPLVAWGVYKEILESGYPPSVYCFNILMHKLCKEGAMKEAHLVFYDIGKWGLKPTAVSFNTLINGYCRLGDLDAGFRLKSVMEDNGVVPDAYTYSALINGLCKKCSMGVAGDLFEEMCERGLVPNGVIFTTLINGHCKAGRVDLAMDSYQRMLRQGVNPDLITYNTLIDGLSKSGDLIGAHKLVTQMNEKGLRPDKITYTALIDGYCKEGDLDAAFEVRKGMVENGIVLDDVTYTSFITSLCRQGRVSIAERMLREMLGSGIKPDDATYTMVIDGFCKKGDVKMGFKLLREMQSDGHVPGIITYNVLMNGLCKRGQMKNADMLLHAMLNLGVVPDDITYNILLEGHCKHGKSGDVDRLRGEMGLVFDYASYSSLISSLDKKLRHHNRR >itb14g09770.t1 pep chromosome:ASM357664v1:14:10611448:10613259:1 gene:itb14g09770 transcript:itb14g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQLKYRRPPPQLHQPFTTAAFVKPWFAAPPPPPKRYQNDGVDPILTTLSEAIANAPNKSFQASLKSLLPSLKPRHIVNLINTNPSCLSPSSLYSFFTWLASHPSPFRHTLHTYSTMAHFLCAHNMLPQALALLRTVVSRKGKDSASAIFASILDSKGTLDSTFRLANFLIIVYLDSSFIPDAIQCFRIIKKHRLRIPFEAFYRVIDHVMKMNPPLVAWGVYKEILESGYPPSVYCFNILMHKLCKEGAMKEAHLVFYDIGKWGLKPTAVSFNTLINGYCRLGDLDAGFRLKSVMEDNGVVPDAYTYSALINGLCKKCSMGVAGDLFEEMCERGLVPNGVIFTTLINGHCKAGRVDLAMDSYQRMLRQGVNPDLITYNTLIDGLSKSGDLIGAHKLVTQMNEKGLRPDKITYTALIDGYCKEGDLDAAFEVRKGMVENGIVLDDVTYTSFITSLCRQGRVSIAERMLREMLGSGIKPDDATYTMVIDGFCKKGDVKMGFKLLREMQSDGHVPGIITYNVLMNGLCKRGQMKNADMLLHAMLNLGVVPDDITYNILLEGHCKHGKSGDVDRLRGEMGLVFDYASYSSLISSLDKKLRHHNRR >itb01g27240.t2 pep chromosome:ASM357664v1:1:32128105:32129012:-1 gene:itb01g27240 transcript:itb01g27240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPFRSSAKPEFDTATNNLWVGNLTPDVTEAELTALFEKYGQVDSITSYSARSYAFLYFRSLEDAKAAKDALQGTVLRGNPLKIEFAKPIIFSADDKSPSVEENWQ >itb01g27240.t1 pep chromosome:ASM357664v1:1:32128536:32129324:-1 gene:itb01g27240 transcript:itb01g27240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPFRSSAKPEFDTATNNLWVGNLTPDVTEAELTALFEKYGQVDSITSYSARSYAFLYFRSLEDAKAAKDALQGTVLRGNPLKIEFAKPVCIFVSRHCLMMMIWLYCFCFLIMDLFFWICNQISFVLTLHFVFALFSLRIPSPFFSRSPNFIGEACR >itb08g12480.t1 pep chromosome:ASM357664v1:8:12609564:12613670:-1 gene:itb08g12480 transcript:itb08g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGTTHDDSTNERLALDKKGSESFFRSVLAIMEANYLNKNPSAKSILELVRSADGDRICYDHFAFRTFGVNGHGIDSMAKFFLDFGYEKREELRFPAKKLKAFWFSPPKLLDSENGTRVSGPLPRIFISELLVDQMSPGAQEIIRKYTNISGNGYGHAALASALHCLTWEKPSFEEFQKLARESEYAAWTLVNGYALNHVTISTHRLKSNLASIDSFNRFIEENGFKLNSEGGILKVSPDGLLLQSSTVADSAPFQFSDGTTELVPCSYIEFAERLVLPEYKDLPREEIKEFHRRDGFEVGNADKIFESTSRDQLTRKAA >itb08g12480.t4 pep chromosome:ASM357664v1:8:12609564:12612723:-1 gene:itb08g12480 transcript:itb08g12480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYLNKNPSAKSILELVRSADGDRICYDHFAFRTFGVNGHGIDSMAKFFLDFGYEKREELRFPAKKLKAFWFSPPKLLDSENGTRVSGPLPRIFISELLVDQMSPGAQEIIRKYTNISGNGYGHAALASALHCLTWEKPSFEEFQKLARESEYAAWTLVNGYALNHVTISTHRLKSNLASIDSFNRFIEENGFKLNSEGGILKVSPDGLLLQSSTVADSAPFQFSDGTTELVPCSYIEFAERLVLPEYKDLPREEIKEFHRRDGFEVGNADKIFESTSRDQLTRKAA >itb08g12480.t5 pep chromosome:ASM357664v1:8:12609564:12613670:-1 gene:itb08g12480 transcript:itb08g12480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGTTHDDSTNERLALDKKGSESFFRSVLAIMEANYLNKNPSAKSILELVRSADGDRICYDHFAFRTFGVNGHGIDSMAKFFLDFGYEKREELRFPAKKLKAFWFSPPKLLDSENGTRVSGPLPRIFISELLVDQMSPGAQEIIRKYTNISGNGYGHAALASALHCLTWEKPSFEEFQKLARESEYAAWTLVNGYALNHVTISTHRLKSNLASIDSFNRFIEENGFKLNSEGGILKGE >itb08g12480.t2 pep chromosome:ASM357664v1:8:12609684:12613661:-1 gene:itb08g12480 transcript:itb08g12480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGTTHDDSTNERLALDKKGSESFFRSVLAIMEANYLNKNPSAKSILELVRSADGDRICYDHFAFRTFGVNGHGIDSMAKFFLDFGYEKREELRFPAKKLKAFWFSPPKLLDSENGTRVSGPLPRIFISELLVDQMSPGAQEIIRKYTNISGNGYGHAALASALHCLTWEKPSFEEFQKLARESEYAAWTLVNGYALNHVTISTHRLKSNLASIDSFNRFIEENGFKLNSEGGILKVSPDGLLLQSSTVADSAPFQFSDGTTELVPCSYIEFAERLVLPEYKDLPREEIKEFHRRDGFEVGNADKIFESTSRDQLTRKAA >itb08g12480.t3 pep chromosome:ASM357664v1:8:12609601:12613471:-1 gene:itb08g12480 transcript:itb08g12480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGTTHDDSTNERLALDKKGSESFFRSVLAIMEANYLNKNPSAKSILELVRSADGDRICYDHFAFRTFGVNGHGIDSMAKFFLDFGYEKREELRFPAKKLKAFWFSPPKLLDSENGTRVSGPLPRIFISELLVDQMSPGAQEIIRKYTNISGNGYGHAALASALHCLTWEKPSFEEFQKLARESEYAAWTLVNGYALNHVTISTHRLKSNLASIDSFNRFIEENGFKLNSEGGILKVSPDGLLLQSSTVADSAPFQFSDGTTELVPCSYIEFAERLVLPEYKDLPREEIKEFHRRDGFEVGNADKIFESTSRDQLTRKAA >itb04g29160.t2 pep chromosome:ASM357664v1:4:32639044:32641007:-1 gene:itb04g29160 transcript:itb04g29160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILSLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRIDLAGRDLTDYLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELEGSKTSSSVEKPYELPDGQVLTIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKELTALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb04g29160.t1 pep chromosome:ASM357664v1:4:32639044:32641481:-1 gene:itb04g29160 transcript:itb04g29160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILSLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRIDLAGRDLTDYLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELEGSKTSSSVEKPYELPDGQVLTIGSERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKELTALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb13g05330.t1 pep chromosome:ASM357664v1:13:6385695:6385982:1 gene:itb13g05330 transcript:itb13g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIILAHLALLADPPHRDAAAPSHPLRRPHRHHHRRLLLLLHVLLHQLLQLDPTLHAAHRPLRAPLDPCSILHCNSVEPSAPSTGSPLRNCSCR >itb12g25640.t1 pep chromosome:ASM357664v1:12:26822444:26829799:-1 gene:itb12g25640 transcript:itb12g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELWETLKAAITTYTGLSPATFFTVAALVLAIYYVASGLFGSSDQGNYGRPREVEEHVEPLPPPVQLGEITEEELKQYDGSDPKKPLLMAIKSQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALRDWEYKFMSKYVKVGTVKSSVTEGGPETSNEDGPSQTTVNPAEDGPSESAKPTEQAQSESVPAETGDASGGIKGKRKENREVLHCSIANKSVFSSRREVDKFANSQKDYCWTVKMKDHVSWDEANLGEIEANKPVRQRINEPKTPYHRMIEDDGPTSPVSVSFEDVIDDAMHAEAIRNALNDVVPSSKNTSRGTGWTSSEDEADAMDEDGEDFDSESSKSFREHRRAHYDEYLRVRELLRGCPLMDNESDEENGGVNNGRCDSSLSSTLAAKDMEIEEGRPDNSKRSPPRVNGA >itb12g25640.t2 pep chromosome:ASM357664v1:12:26822444:26829799:-1 gene:itb12g25640 transcript:itb12g25640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELWETLKAAITTYTGLSPATFFTVAALVLAIYYVASGLFGSSDQGNYGRPREVEEHVEPLPPPVQLGEITEEELKQYDGSDPKKPLLMAIKSQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALRDWEYKFMSKYVKVGTVKSSVTEGGPETSNEDGPSQTTVNPAEDGPSESAKPTEQAQSESVPAETGDASGGIKGKRKENREVLHCSIANKSVFSSRREVDKFANSQKDYCWTVKMKDHVSWDEANLGEIEANKPVRQRINEPKTPYHRMIEDDVSFEDVIDDAMHAEAIRNALNDVVPSSKNTSRGTGWTSSEDEADAMDEDGEDFDSESSKSFREHRRAHYDEYLRVRELLRGCPLMDNESDEENGGVNNGRCDSSLSSTLAAKDMEIEEGRPDNSKRSPPRVNGA >itb12g25640.t3 pep chromosome:ASM357664v1:12:26823833:26829799:-1 gene:itb12g25640 transcript:itb12g25640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALELWETLKAAITTYTGLSPATFFTVAALVLAIYYVASGLFGSSDQGNYGRPREVEEHVEPLPPPVQLGEITEEELKQYDGSDPKKPLLMAIKSQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELDALRDWEYKFMSKYVKVGTVKSSVTEGGPETSNEDGPSQTTVNPAEDGPSESAKPTEQAQSESVPAETGDASGGIKGKRKENREVLHCSIANKSVFSSRREVDKFANSQKDYCWTVKMKDHVSWDEANLGEIEANKPVRQRINEPKTPYHRMIEDDGIFKISSFHFAYSALYFENFHMHTHTPSIEVKHSI >itb14g13520.t1 pep chromosome:ASM357664v1:14:15687436:15705977:1 gene:itb14g13520 transcript:itb14g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFYRNYSNETVDFEEKRQGQGHRDPGIAGNEEVEATSSDNDDASRLQDGASEDARRIRDEQQSTRIMGVAGKWGSSCWKDSQPMHNNGRSESREESKSGSDYKNEEESEDVSSDGSREDRLESEDDGQQKEAGKGGSVPADEMLSDEYYEQDGDDQSDSLHHRAANHTTSGYTTKLPARPVVASSYTSRKPKTSKACQYDDDADYGDEEEDEDDPDDADFDPDFGTTSDRRGTKEKDEDWEGEDSDEENNSEDDDDLDILDEADDYYKKTRGKQQTRGGRNVKSTRELKNAAPSARRKRGRTSFEDEESSEQDSEGDSDEDFRSMSRRGGNLRRKNSGRSMTASVSGRVSEQRTSGRRSVRKVSYAESEESEELDECKKKKNQKEELEEEDADSIEKVLWHQPKGIAEEAMRSNKSTHPMLLSHLFDSEPDWNEMEFLIKWKGQSHLHCQWKPYSELQNLSGFKKVLNYIKKVTEDVRYRKTVSREEIEVNDVSKEMDLDIIKQNSQVERVIADRIGKDSLDNVVPEYLIKWQGLSYAEATWEKDTDIAFAQDAIDEYKAREAAMMIQGKTVDFQRKKSKGSLRRLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQHIHGPFLVVVPLSTLSNWAKEFKKWLPNMNVIVYVGTRASREVCQQYEFYNDKKAGSSMRFDTLLTTYEVLLKDKAVLSKIRWSYLMVDEAHRLKNSEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDHDKFKSKDDFIQNYKNLSSFNENELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDANFFGSTKLERVILSSGKLVILDKLLDRLHETKHRVLIFSQMVRMLDILAEYLSLKGFQFQRLDGSTKAELRQQAMEHFNALGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGAVFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKGADEEQGSELLSAFKVANFCGAEDDATFWSRWIKPEAIAEAEEALAPRAARNIKSYAETNPLVETNKRKKRGTEAQERFPKRRKADTGYSAPAIEGAAAQVRGWSYGNLSKRDATRFSRVVKKFGNDSQISLIAAEVGGAVEAAPTEAQIELFDSLIDGSREAVKGEIVDPKGPLLDFFGIPVKADELLSRVEELQLLAKRISRYDDPISQFRALAYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLTKKIAPAELQHHETFLPRAPQLKERASQLLEMEVAAIGGKNPNVKVGRKGNKKQKDSLPNITASHSKAKHGKPSNKGLAQKPQRTEQLVKEEGEMSDNEEVYKQFKEGKWMEWCEDVMIDEEKTLKRLQKLQTTSADLPKEKVLSKIRNYLQLLGRRIDQIVFEYAQESYKQERMTTRLWNYVSTFSNLSGERLQQIYSKLKQEQQVSGVGPSQMNGSASGFINKGFDTEKFEAWKRRKRAESDAHSQFQQRPITNGVRVPEPNSSSGILGAAPNNGRPFRMHQSGFSQRQGFSSGIK >itb14g13520.t2 pep chromosome:ASM357664v1:14:15687436:15705977:1 gene:itb14g13520 transcript:itb14g13520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFYRNYSNETVDFEEKRQGQGHRDPGIAGNEEVEATSSDNDDASRLQDGASEDARRIRDEQQSTRIMGVAGKWGSSCWKDSQPMHNNGRSESREESKSGSDYKNEEESEDVSSDGSREDRLESEDDGQQKEAGKGGSVPADEMLSDEYYEQDGDDQSDSLHHRAANHTTSGYTTKLPARPVVASSYTSRKPKTSKACQYDDDADYGDEEEDEDDPDDADFDPDFGTTSDRRGTKEKDEDWEGEDSDEENNSEDDDDLDILDEADDYYKKTRGKQQTRGGRNVKSTRELKNAAPSARRKRGRTSFEDEESSEQDSEGDSDEDFRSMSRRGGNLRRKNSGRSMTASVSGRVSEQRTSGRRSVRKVSYAESEESEELDECKKKKNQKEELEEEDADSIEKVLWHQPKGIAEEAMRSNKSTHPMLLSHLFDSEPDWNEMEFLIKWKGQSHLHCQWKPYSELQNLSGFKKVLNYIKKVTEDVRYRKTVSREEIEVNDVSKEMDLDIIKQNSQVERVIADRIGKDSLDNVVPEYLIKWQGLSYAEATWEKDTDIAFAQDAIDEYKAREAAMMIQGKTVDFQRKKSKGSLRRLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQHIHGPFLVVVPLSTLSNWAKEFKKWLPNMNVIVYVGTRASREVCQQYEFYNDKKAGSSMRFDTLLTTYEVLLKDKAVLSKIRWSYLMVDEAHRLKNSEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDHDKFKSKDDFIQNYKNLSSFNENELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDANFFGSTKLERVILSSGKLVILDKLLDRLHETKHRVLIFSQMVRMLDILAEYLSLKGFQFQRLDGSTKAELRQQAMEHFNALGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGAVFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEKVEEKGADEEQGSELLSAFKVANFCGAEDDATFWSRWIKPEAIAEAEEALAPRAARNIKSYAETNPLVETNKRKKRGTEAQERFPKRRKADTGYSAPAIEGAAAQVRGWSYGNLSKRDATRFSRVVKKFGNDSQISLIAAEVGGAVEAAPTEAQIELFDSLIDGSREAVKGEIVDPKGPLLDFFGIPVKADELLSRVEELQLLAKRISRYDDPISQFRALAYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLTKKIAPAELQHHETFLPRAPQLKERASQLLEMEVAAIGGKNPNVKVGRKGNKKQKDSLPNITASHSKAKHGKPSNKGLAQKPQRTEQLVKEEGEMSDNEEVYKQFKEGKWMEWCEDVMIDEEKTLKRLQKLQTTSADLPKEKVLSKIRNYLQLLGRRIDQIVFEYAQESYKQERMTTRLWNYVSTFSNLSGERLQQIYSKLKQEQQVSGVGPSQMNGSASGFINKGFDTEKFEAWKRRKRAESDAHSQFQQRPITNGVRVPEPNSSSGILGAAPNNGRPFRMHQSGFSQRQGFSSGIK >itb13g26250.t1 pep chromosome:ASM357664v1:13:31577583:31581401:-1 gene:itb13g26250 transcript:itb13g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSILTSNRPQMHEVTRRSANFHPSVWGDHFLAYSSHEKKAEAQEWQEHQQLKEKVKNMLVEAPCISSQKLELINKIQLLGVSYHFEKEIEATLQLIFNTYYEFNAENDENDLYTVSLRFRLLRQQGYHAPCSVFESFTSCDGKFKESLTDNVQAILAFYEASHLRVGGEKILDEALVFTTSYLKSLLPNLTDPLRSQVSEALKRPIYKRLTRIEARRYISIYELDETHDIVLLKFAKLDFNMLQKEHQRELGDLTRWWKELDTPKNLPFVRDRFVEGYFWMLGVYFEPQYSLARKFLVKITAVTTIIDDIYDVYGNLDELHLFTDAIHRWDTSVVNELPEFMRVCYVALLDVYAEMEKELVIKGESYRISYAKSEMKKLAGAYYEEAKWFYNRCTPKFEEYMKVALVTGAYMMLSTTSLVGMQEDFVTKEAFDLVCKEPLIIQAASVICRLMNDMAGHEFEQQRGHVDSAVECYMKQYEKSKEETFIEFQERVSNAWKDINQECLKSTALPMSILIRVVNLARVIDLMYKDGDAYTHSATELKAIITSVLIDPII >itb06g23670.t1 pep chromosome:ASM357664v1:6:25513493:25516347:-1 gene:itb06g23670 transcript:itb06g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWDGYVSDEVMGTFAPIVVYWLYAGFYQLLPPLDNYRLHTRKEEDEKNLVPLLAVIKGVLLQQLVQATIAHLLFLLTSSTTPSVTVVQPSIIVQIVQIVIAMLVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISYLISGMTARTAAIFFCFAVVKTVDDHCGLWLPGNIFHLFFQNNTAYHDVHHQLQGTKYNYSQPFFPIWDKLLGTYVPYKLVKRPEGGFEARLIKD >itb06g23670.t2 pep chromosome:ASM357664v1:6:25513493:25516347:-1 gene:itb06g23670 transcript:itb06g23670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWDGYVSDEVMGTFAPIVVYWLYAGFYQLLPPLDNYRLHTRKEEDEKNLVPLLAVIKGVLLQQLVQATIAHLLFLLTSSTTPSVTVVQPSIIVQIVQIVIAMLVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISYLISGMTARTAAIFFCFAVVKTVDDHCGLWLPGNIFHLFFQNNTAYHDVHHQLQGTKYNYSQPFFPIWDKLLGTYVPYKLVKRPEGGFEARLIKD >itb05g21170.t1 pep chromosome:ASM357664v1:5:27076279:27077406:1 gene:itb05g21170 transcript:itb05g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFLFVACLLLLPFAYANINTTTIKNTPSLCPFKSIYQFGDSFADTGNFLRISGVSTSFHADRHPYGRTYFRKPTGRFSDGRLIVDYIAFALKLPFIAPYLDNSASFVHGVNFAVAGATALDNSFFSQRHINAPAYNVPMSAQLKWYHAHLNATCHTSSACAGKVKNALFIFGEFGHDDYYNALAQRKSVHDTRAYVPYAVDAVINGVRDIVKSGAKRVIVPGTIPFGCLPVYLTAFRSSDPKAYDDLGCLKSLNDLSSYHNTYLKKALSLLSKELPSDAVVVYGDYYGAFRSVLRQASSLGFDSGSLLKACCGTGGVYNFDAKKMCGSGNVPVCSNPARSVNWDGFHPTDETNHRMSEILIDQVLRKITCA >itb01g01170.t1 pep chromosome:ASM357664v1:1:589617:590312:1 gene:itb01g01170 transcript:itb01g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCKGRQKIEMTRMSKESNLLVTFSKRRSGLFKKASELSTLCGVEIAIIVFSPGKKVFSFGHPCVDAVVDRFLARSPPPQPAAASNTSQLIEAHRNASVRELNVQLMEILSQAELEKKRGEELTKMRKESARKWWWESPVGELGLEELEQLKVAMEELKSGVGKQMEKLVVEASNPNLYFGGGAASSSTSKGLGLFDEAKGSSGLGLSVTPHHHLHGFANFGYGGRGFF >itb11g06580.t2 pep chromosome:ASM357664v1:11:3965362:3968325:1 gene:itb11g06580 transcript:itb11g06580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVDGAAVVPRRLSPRRSQYSISAFPAKPQDCFLSHFQLQNVKMQKASAVAKMQNAVAKMVEELKVESELRRGKEKDKKLERIEAELSRARALIKDAIVNGNNGSHVVQDEDDDNFVLRGDIYRNAYAFQRSYSLMESMFKIYVYEEGEPPLFHSGPCKDIYSLEGVFLNQIELENTRFRTHNPDEAHAFFLPFSVVMILEELFDPVVRDKAVLERVVGDYVRIVSTKYAFWNRSLAADHFMLSCHDWGPRSTWYVHGLYFSAIRALCNANTSEFFNPKKDVPIPEINLKNGGSIGPIGGLPYSERTILAFFAGALHGRIRPALFRHWRGKDEDIKVYEKVPEGTSYEDMVRKSKFCLCPSGFEVASPRIVEAIYAECVPVLISQHYVLPFSDVLDWDKFSISVSVEELPELKKILLGVSEDRYVAMRENVRQARRHFVVNDVPKRYDVFHMIVHSVWLRRLNVRICG >itb11g06580.t1 pep chromosome:ASM357664v1:11:3961963:3968325:1 gene:itb11g06580 transcript:itb11g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCSRINNLYVTIFASTLLLFFALIVQQNDINGGGLLPLPSSSWWSSWTAQPSCPAVSPLVALSTQSPPSPPNPKTAFYPTFNNVKMQKASAVAKMQNAVAKMVEELKVESELRRGKEKDKKLERIEAELSRARALIKDAIVNGNNGSHVVQDEDDDNFVLRGDIYRNAYAFQRSYSLMESMFKIYVYEEGEPPLFHSGPCKDIYSLEGVFLNQIELENTRFRTHNPDEAHAFFLPFSVVMILEELFDPVVRDKAVLERVVGDYVRIVSTKYAFWNRSLAADHFMLSCHDWGPRSTWYVHGLYFSAIRALCNANTSEFFNPKKDVPIPEINLKNGGSIGPIGGLPYSERTILAFFAGALHGRIRPALFRHWRGKDEDIKVYEKVPEGTSYEDMVRKSKFCLCPSGFEVASPRIVEAIYAECVPVLISQHYVLPFSDVLDWDKFSISVSVEELPELKKILLGVSEDRYVAMRENVRQARRHFVVNDVPKRYDVFHMIVHSVWLRRLNVRICG >itb10g08720.t1 pep chromosome:ASM357664v1:10:11149307:11150650:1 gene:itb10g08720 transcript:itb10g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRLLQKDLNQTTKLLVSTEEELRQCQYALKERDFIISEQKKAENALIHQACVLRADLERALQDNAGLFLKISMLLSCSVITC >itb06g17970.t1 pep chromosome:ASM357664v1:6:21716654:21720383:-1 gene:itb06g17970 transcript:itb06g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPNYCSTLRQAEHSAAEVALNALANRGPSHSLAAKILDETGVYKNLLQEVSQRVGASLPAYTTFRSGLGHQPVFTCIVELAGVTFTGEPAKNKKQAEKNAAMAAWSSLKLLEQQSENSSPDKGRIEEQEHVTVARALQIYLIKAKLAGVPFPINFQTPNSRPSSTQQAQPTTSKILPLIRPRTSHRSRPVSPLCSRTTVQSRLLNSTSNETSLCSNTAVISDGLSTTMESHWARPVKSLAAGAAPYIPVRHFSPHHRIAPPVTIRNAIPVFSAPPRPLPSQSQRNIRPPSMGVAPSVSVRQVVPVYASPLPVRPEELPISDRSHKLKEPPSPKLPPVQFKEALDSKAPEIQVNPHPPKAAPGRAEGPTASPALGSQVLPSKVEEPLPVIPGALPVQNDPPVSRTSTDLGTTLIAPKETRIATDDQLQESLEIENLKKLNI >itb05g02860.t1 pep chromosome:ASM357664v1:5:2321076:2323512:-1 gene:itb05g02860 transcript:itb05g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLAIVLVVVVAAACTFPVSVESTIRHYKFTVVMKNTSRLCASKAIVVVNGKFPGPVLYAREGDTVLVRVANHVQENVSIHWHGVKQFRTGWSDGPAYITQCPIQPGQSYLYNFTLTGQRGTLLWHSHINWQRATVHGAIVILPKLGVPYPFPKPDKEEIIVLGEWWNSNVEDVINDAMQLGIPPNVSDAHTINGLPGPTPTCSSKGYNLEVESGKTYLLRVVNAALNEEMFFKIAGHRLRVVEVDATYVKPFETDTIFVGPGQTTNAVLTADQKAGKYQIAISPFMDTIVATDNQTATATLSYKGTQLLASTALTIMPLQNATPVTSTFADSLRSLNSRLYPANVPLSVDHSLVFAIGVGVNPCPACSSGARVVADINNVSFVMPTTALLQAHYYNISGVFTDDFPGTPRMPFNYTGTPPANMQTQNGTKVYRLGFNSTVQIVIQGTSIIAPESHPTHLHGFNFYVVGKGLGNFDPRNDPGKFNLIDPVERNTVSVPTAGWTAIRFRADNPGVWFMHCHLEIHTTWGLKMAFLVENGKGPYESILPPPSDLPKC >itb14g04460.t2 pep chromosome:ASM357664v1:14:4008491:4010671:-1 gene:itb14g04460 transcript:itb14g04460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKVEGALPYLGMVLAQFAQVGLMIIGKAAMSSGMTNFTWVFYSNALAALLLLPAFFFQRSTRPPLTFSLICWFFLMGILGCSAQLTGYTGINYTSSSFASAMLNLIPGFTFLLAIIFRMEKLDLASSSTMAKCIGTIVSIVGAFIATLCKGPQILTNSASSRTNFHYLHLPQVDWIIGGAFLLVDCLVSSAFLVVQASILKNYPAELIVVFFYFFFTAILSAVIALIVGGDLNAWMLTPDMRWLAVLYSVSYLLPLLHLF >itb14g04460.t1 pep chromosome:ASM357664v1:14:4007870:4010660:-1 gene:itb14g04460 transcript:itb14g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKVEGALPYLGMVLAQFAQVGLMIIGKAAMSSGMTNFTWVFYSNALAALLLLPAFFFQRSTRPPLTFSLICWFFLMGILGCSAQLTGYTGINYTSSSFASAMLNLIPGFTFLLAIIFRMEKLDLASSSTMAKCIGTIVSIVGAFIATLCKGPQILTNSASSRTNFHYLHLPQVDWIIGGAFLLVDCLVSSAFLVVQASILKNYPAELIVVFFYFFFTAILSAVIALIVGGDLNAWMLTPDMRWLAVLYSGFFGSAFQVSVMVWCVRRKGPVFVALFHPLGIVIAAALGIIFLGDIFYVGRFL >itb09g12460.t1 pep chromosome:ASM357664v1:9:7893048:7893733:-1 gene:itb09g12460 transcript:itb09g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISYFLLFFLVSSSSVFSDGDPGHKPGNLAIRVEESSESPTYLAIRFLNLGAQTEIVAVDVTQVGSPNWNFMNRKNEAIWETNSVPSGPLQFRVVVTAGLEGKWYLASKVMPEDWRNGEIYDTGLHITDTSIMDTAGEL >itb05g22140.t2 pep chromosome:ASM357664v1:5:27644966:27651251:1 gene:itb05g22140 transcript:itb05g22140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTGGRLIAGSHNRNEFVLINADEIGRIKSVRELSGQICQICGDEIEITAEGEVFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSARVDGDEEEDDIDDLEHEFDCDIEALGGAGTVPSSYCHGGHAGSSGNEASTPGLEIPLLTYGEEDVEISSNHNAIILPSSASVVNGAPPYGEPSGSSQCRPMVPEKDIALYGYGSVAWKDRMEDWKKKQGDKLQVVKHQGGSIDGNDFDDTDLPMMDEGRQPLSRKLPIASSKISPYRILIILRLVILGLFFHYRILHPVANAYGLWLTSVICEIWFAASWILDQFPKWCPIVRETYLDRLSLRYEREGRASELAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNVEPRAPEWYFSQKIDYLKDKVNPAFVRERRAMKREYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQDGVRDIEGNELPQLVYVSREKRPGFDHHKKAGAMNALVRVSAVISNAPFLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPSKKKRPSKTCNCWSKLCCSCCCFGRKKNGKGKTKRNTKKKTKQRDTSKQIHALETIEEGIEETSVDPSQASQTKLEKKFGQSPTFIASTLLENGGVPNAASATTLLKEAIHVISCGYEDKSEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGGGLKWLERFSYINSVVYPLTSIPLIVYCSLPAICLLTGKFIVPEISNYASIVFMAMFISIAATGILEMRWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKGGDDGEFSELYIFKWTSLLIPPMTLLIINIVGVVVGVSDAINNGYDSWGPLFGKLFFALWVILHLYPFLKGLMGKQDRTPTIVVVWSILLASILTLLWVRVNPFVSRDGPVLEICNLNCDDR >itb05g22140.t1 pep chromosome:ASM357664v1:5:27644899:27651251:1 gene:itb05g22140 transcript:itb05g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTGGRLIAGSHNRNEFVLINADEIGRIKSVRELSGQICQICGDEIEITAEGEVFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSARVDGDEEEDDIDDLEHEFDCDIEALGGAGTVPSSYCHGGHAGSSGNEASTPGLEIPLLTYGEEDVEISSNHNAIILPSSASVVNGAPPYGEPSGSSQCRPMVPEKDIALYGYGSVAWKDRMEDWKKKQGDKLQVVKHQGGSIDGNDFDDTDLPMMDEGRQPLSRKLPIASSKISPYRILIILRLVILGLFFHYRILHPVANAYGLWLTSVICEIWFAASWILDQFPKWCPIVRETYLDRLSLRYEREGRASELAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNVEPRAPEWYFSQKIDYLKDKVNPAFVRERRAMKREYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQDGVRDIEGNELPQLVYVSREKRPGFDHHKKAGAMNALVRVSAVISNAPFLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPSKKKRPSKTCNCWSKLCCSCCCFGRKKNGKGKTKRNTKKKTKQRDTSKQIHALETIEEGIEETSVDPSQASQTKLEKKFGQSPTFIASTLLENGGVPNAASATTLLKEAIHVISCGYEDKSEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGGGLKWLERFSYINSVVYPLTSIPLIVYCSLPAICLLTGKFIVPEISNYASIVFMAMFISIAATGILEMRWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKGGDDGEFSELYIFKWTSLLIPPMTLLIINIVGVVVGVSDAINNGYDSWGPLFGKLFFALWVILHLYPFLKGLMGKQDRTPTIVVVWSILLASILTLLWVRVNPFVSRDGPVLEICNLNCDDR >itb05g05250.t1 pep chromosome:ASM357664v1:5:4986658:4987170:-1 gene:itb05g05250 transcript:itb05g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIVDLRIYHGGSFVQDPRLRYINGEVDEVTTDPDLICVPHLMKFIAHERYGKVESLSYKLTMEPMEKLRPFFNDTHTLELMMLARKYKKVDIYVEHGIDEPEVVPYLELAPQLASNVNQSQGDPDGGVVTQPEQEGITVEEENEGNPSEDLEEKNENNEYDDEENNDD >itb07g20700.t1 pep chromosome:ASM357664v1:7:25069479:25074687:1 gene:itb07g20700 transcript:itb07g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMECERFSAQMFSSPGFSRRSIQTLYPTTKTSLIKCLNKPQLKPKHGFPFVRFYSCCSAILPTVERETAPNSKLHASSGFQTLPRKLPSGISEVGKSRKRDLKRYSEMFRDYTQTKNLKEGKVLHGELVRNLIDPDMHLYVSLINFYAKSGDLSSARKVFDQMPERDVISWTALITGFLPEGNGSESVRLFCEMRRESVKPNGFTLATVLKGCSMCLNLEFGKQLHAEVVKSDTFSDVYIGSALIDLYAKCHQMEDAKTVFLHMPDQNLVSWNALLNGYAQEGEWQEVLRLFCSLSESEFRFSNYTLSTVLKSCANVGNLRVGQVLHSVAIKIGSEFDNYISSSLVDMYSKFRFPEDSMKVFKRIRSPDVVAWSTMINGLDQQGHKKEAFQLFQLMRHSDVRPNQFTLASVISAAADLGDLLLCKSIHACVYKFGFDSETVVNNTLISMYMNFGSFNDGYKVFVSMDSRDVISWNGLLSGFHDNETSHEGPKIFRQMLIEGFKPNMYTFISILRSCSSLSNVSLGKQVHAHVVKENLSCDCYVGTTLIDMYSKCGCLNDVEVIFNRLTQKDVFTWTVMTAGYVQSDQGEKAFECLSQMLKEGVKPNEFTLASCVSGTSRIASLSNGRQLHCLAVKSGQFSDLFVASALADMYGKCGCIADTETLFEGMGSCDTVLWNTMICSYSQHGQGKKALQAFSRMLNEGTLPDAITFIGVLSACSHMGLVDEGRRYFESINNTYGIIPSIEHYACMIDILGRAGKFDEVKNFIEHMELSPNALIWETVLGACTIHGNIELAEKAANFLFEFEPKVESSYIMLSNIYAAKGMWNDVAKLRAFMSDQGIKKEPGCSWVEVNAQVHAFLSQDATHPSWPEYNSSVSKEDFRQAESVKAEKRDEAKCTNLGRLMETHGSKVLGASYKDPIASFKQFHKFSVDHPEEAPKCILDTSVKSKPRGSWLPGSVLNIAECCLLPSSYPRKQDNSLAIVWRAEGRDDEDVNCMSLKELREHVMLVANALDSIFSKGDTIAIDMQMTEKAVIIYLAIVLGGFVVVSIADSFAPKEIATRLGVSKAQGFYCARRQQISIIQSCCRSCSKEIVVPAAGEDVEIQLRQQDVSWKGFLARAHYLPRPSYYTPVYQPVDSITNILFSSGTTGDSKAYLGLTSPP >itb15g20910.t1 pep chromosome:ASM357664v1:15:23565452:23572170:-1 gene:itb15g20910 transcript:itb15g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTPSMKVTAAAASFLAFLYRIVSLNEVEALYELYKKLSCSIIDDGLIHKEELQQALVHTPNGETLFLDRVFDLFDEKRNGIVDFEEFIHALNVFHPNTPIEEKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESDTKLSDDLLEEIIDKTFADVDADNDGKINREDWKGFVTRHPSILKNMTLPDLKNITTMFPSFIFNTQAEEGTSAELQHHYWLSCTELVSSGHSLWLNTANKKQKAETIWPAKRVMGDQPSRALVLYGDRLARFLSPSHTHLHSLASRACCGFLSLPHSPPSENEDGRIVREFAELLDASEAYNEMNKQDLSEAKCQEKFVTPTVSQRFMGMKAAIVTDNSILNGFCSHLGFTVLQLEDLVHSSFDVAKLASELLKLLGFQEGKVLETSQFDLVIVHVGSSKKSTLEDIEHVNGLVGELINTSQPRTGVGSQLHLSVLLSFGAIIENENSNFSVADGRHENSLELCRLFPRQSYTLKGGKPRENVRKHCPMLVAQWQDAVTRKDRVESYSFEDFKEHGGNLVIPTDRYLHEIAFKLWKAPKYGA >itb04g27020.t1 pep chromosome:ASM357664v1:4:31176111:31179419:-1 gene:itb04g27020 transcript:itb04g27020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSISSSLPLKPHLKSPKPLLNLPNTRKKSSFPFRIPEISRRSSGGLLKAVACSTSFTGKVGWHRRDGNLSWLSFGKNHRIVAAGEGKADSSKVLSALLPFVVVLTAVSALSYPSTFTWVSKDLYAPALGGIMLSIGIKLSIDDFALAVQRPLPLSIAFIAQYILKPVLGVLIAQAFGMPRMFYAGFVLMSCVSGAQLSSYASFLSKSDVAFSILLTSSTTIASVLVTPPLSGLLIGSVVPVDAVAMSKSILQVVLVPVTLGLVLNTYAKPVVSILQPIMPFVAMVCTSMCIGSPLAINRAQILSAEGAKLIGPVLAFHAVAFSLGYWISKIPVFRFNEEICRTISLCTGMQSSTLAGLLATQFLGSTQAVPPACSVVAMAIMGLCLASFWGSGYRIRDLPSRLIPRAGSAVRP >itb07g08590.t1 pep chromosome:ASM357664v1:7:6810126:6810629:1 gene:itb07g08590 transcript:itb07g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHYFFFFFFFLFLSITNAQTKYMNARTNFINQFLAPQNAARSALHLRPLVWDTRLENYAKWYANQRRGDCALMHSAGPYGENIFWGGGEGWMPVQAAAAWAAERRWYNYRYNSCAGGQECGHYTQIVWRETRRVGCARVACSGGKGVFMTCNYDPPGNYIGERPY >itb10g00210.t1 pep chromosome:ASM357664v1:10:137508:147702:1 gene:itb10g00210 transcript:itb10g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTPMVNTTCPQMAATNPTVAQAENAGFVSAPVFTTSEVDPSPFNQEDYKRFLEFMQHNKAISSPLQAGPQVNAIAANFIPDAKLEEVDPSPFNQEDYKRFLEFMQHNKAISSPLQAGPQVNAIAANFIPDAKLEDELNDETSLETDIVLPSRGVIYSDNEEQVQDTTISPESHQQNQEDTDIQTQSVPSFQEGEPIAESTIEPQPRRSTRIRTIPTYLSDYACQNTTHRSAEDGGGCDEEPLLTSSAEAAERQLVPKFSFIFSGDDR >itb14g18600.t1 pep chromosome:ASM357664v1:14:21555305:21558154:1 gene:itb14g18600 transcript:itb14g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIPSKSLSLSLKPSRFNPRPKILLTALKNRPFCSRNFRGGALVAVACSTSSPLFGRREGNLSLLLFGESRRIVAVGVEKKADVSQVLSALLPFVVALTSIAALSQPATFSWVSKELYAPALGGIMLSIGIRLSIDDFALAFKRPVALSIGFIAQYIVKPVLGVLVARAFGMPKMFYAGFVLTSCVAGAQLSSYASFLSKSDVAFSILLTSSTTIASVLITPLLTGLLIGSVVPIDAVAMSKSILQVVLVPVTLGLALNTYAKPVVSVIQPVMPVVAMVCTSMCIGSPLAINRAQILSTEGAKLLGPVLAFHAAAFTLGYWIPKFPIFRFDEGTCRTIALCTGMQSSTLAGLLATQFLGSTQAVPSACSVVVMAIMGLCLAAFWGSGYRIRDLPSLLNRHKPGPFVFLNRSMTSKQHNL >itb06g18980.t1 pep chromosome:ASM357664v1:6:22484713:22489246:1 gene:itb06g18980 transcript:itb06g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPM1 [Source:Projected from Arabidopsis thaliana (AT3G07040) UniProtKB/Swiss-Prot;Acc:Q39214] MAEAAVELLVVSITYLLNRPGFLIGGVRQEISKIKLELESMRCFIKDAEKCKNEIEGVSVWAVQVRDIVFEAEDVIDEFLYHVDGMKKSGFKAFLLRMLKLPEELWITHKTAVELKKIKGEIKDIAKRSKRYDLSHIVSSSEPSSHSRSHAQNISDSAFFIGSDEIVGLDSETDELLTWLEGEEEHRIVISVVGMGGSGKTTLVSKTYTSRTIKRNFNCCAWVSVSRHYTIEDLLRRMITEFFNDKDEPVPKKMNSMDYKHLVETLVKFLEQKRYVVVLDDVWNINFWRQVCVALPDNKNRSRVIITTRKEDIASYPYGAGCRVFHSQPLSKSDAWALFCRKAFCNEHNCNCPEELEGIGHTLVEKCEGLPLAIVALGGLMGSKDPSERKWREVYNALSWHISNNPLLDEVKTIFLLSFNDLPYYLKNCFLYCCLFPMGRWVGAGRLMRMWMAEGFLEERRGLIPEEVGKIYLKELISRSLLQVTKRHSYLRPKMCKLHDLMWELAHSLSEQENFLSICDPENLEGEIRARRLSVHASDGTFRMGGDMKNVRSFSAFDVKETLDDLLPRFRLLRVLELPDAQIQHLPDVIGNLFNLRYLGLSGTLIKELPKSVGRLRNLYTLDIRRTNVKALPQEIDKLFYLRHLLLYQEIEKECFTYTKGMRVPATICKIKNLQVVNCIEANADIIKGIGKMSQLVRIGLTNVRETDKKALCASIEKLKFLRQLLLMVAEENESLPIDHLSSTPTNFRKLTLVGKLKDVPHWFPSLRNIIHLHLHWSRLTEDPVPYLCELQCLEHLTLVNAYSSGKEQLFFSSGFLKLEDLHLAVFPDLVEIVFSNGVMPRLECLNIHSCPKLNKVPQGIEYLTRLEELDLKGVSGEFIQRIGGDGIDRPKIRHIPSIKYRTETESCHL >itb06g18980.t2 pep chromosome:ASM357664v1:6:22485511:22489144:1 gene:itb06g18980 transcript:itb06g18980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPM1 [Source:Projected from Arabidopsis thaliana (AT3G07040) UniProtKB/Swiss-Prot;Acc:Q39214] MAEAAVELLVVSITYLLNRPGFLIGGVRQEISKIKLELESMRCFIKDAEKCKNEIEGVSVWAVQVRDIVFEAEDVIDEFLYHVDGMKKSGFKAFLLRMLKLPEELWITHKTAVELKKIKGEIKDIAKRSKRYDLSHIVSSSEPSSHSRSHAQNISDSAFFIGSDEIVGLDSETDELLTWLEGEEEHRIVISVVGMGGSGKTTLVSKTYTSRTIKRNFNCCAWVSVSRHYTIEDLLRRMITEFFNDKDEPVPKKMNSMDYKHLVETLVKFLEQKRYVVVLDDVWNINFWRQVCVALPDNKNRSRVIITTRKEDIASYPYGAGCRVFHSQPLSKSDAWALFCRKAFCNEHNCNCPEELEGIGHTLVEKCEGLPLAIVALGGLMGSKDPSERKWREVYNALSWHISNNPLLDEVKTIFLLSFNDLPYYLKNCFLYCCLFPMGRWVGAGRLMRMWMAEGFLEERRGLIPEEVGKIYLKELISRSLLQVTKRHSYLRPKMCKLHDLMWELAHSLSEQENFLSICDPENLEGEIRARRLSVHASDGTFRMGGDMKNVRSFSAFDVKETLDDLLPRFRLLRVLELPDAQIQHLPDVIGNLFNLRYLGLSGTLIKELPKSVGRLRNLYTLDIRRTNVKALPQEIDKLFYLRHLLLYQEIEKECFTYTKGMRVPATICKIKNLQVVNCIEANADIIKGIGKMSQLVRIGLTNVRETDKKALCASIEKLKFLRQLLLMVAEENESLPIDHLSSTPTNFRKLTLVGKLKDVPHWFPSLRNIIHLHLHWSRLTEDPVPYLCELQCLEHLTLVNAYSSGKEQLFFSSGFLKLEDLHLAVFPDLVEIVFSNGVMPRLECLNIHSCPKLNKVPQGIEYLTRLEELDLKGVSGEFIQRIGGDGIDRPKIRHIPSIKYRTETESCHL >itb06g18980.t3 pep chromosome:ASM357664v1:6:22484713:22488808:1 gene:itb06g18980 transcript:itb06g18980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPM1 [Source:Projected from Arabidopsis thaliana (AT3G07040) UniProtKB/Swiss-Prot;Acc:Q39214] MAEAAVELLVVSITYLLNRPGFLIGGVRQEISKIKLELESMRCFIKDAEKCKNEIEGVSVWAVQVRDIVFEAEDVIDEFLYHVDGMKKSGFKAFLLRMLKLPEELWITHKTAVELKKIKGEIKDIAKRSKRYDLSHIVSSSEPSSHSRSHAQNISDSAFFIGSDEIVGLDSETDELLTWLEGEEEHRIVISVVGMGGSGKTTLVSKTYTSRTIKRNFNCCAWVSVSRHYTIEDLLRRMITEFFNDKDEPVPKKMNSMDYKHLVETLVKFLEQKRYVVVLDDVWNINFWRQVCVALPDNKNRSRVIITTRKEDIASYPYGAGCRVFHSQPLSKSDAWALFCRKAFCNEHNCNCPEELEGIGHTLVEKCEGLPLAIVALGGLMGSKDPSERKWREVYNALSWHISNNPLLDEVKTIFLLSFNDLPYYLKNCFLYCCLFPMGRWVGAGRLMRMWMAEGFLEERRGLIPEEVGKIYLKELISRSLLQVTKRHSYLRPKMCKLHDLMWELAHSLSEQENFLSICDPENLEGEIRARRLSVHASDGTFRMGGDMKNVRSFSAFDVKETLDDLLPRFRLLRVLELPDAQIQHLPDVIGNLFNLRYLGLSGTLIKELPKSVGRLRNLYTLDIRRTNVKALPQEIDKLFYLRHLLLYQEIEKECFTYTKGMRVPATICKIKNLQVVNCIEANADIIKGIGKMSQLVRIGLTNVRETDKKALCASIEKLKFLRQLLLMVAEENESLPIDHLSSTPTNFRKLTLVGKLKDVPHWFPSLRNIIHLHLHWSRLTEDPVPYLCELQCLEHLTLVNAYSSGKEQLFFSSGFLKLEDLHLAVFPDLVEIVFSNGVMPRLECLNIHSCPKLNKVPQGIEYLTRLEELDLKGVSGEFIQRIGGDGIDRPKIRHIPSIKYRTETESCHL >itb08g06150.t1 pep chromosome:ASM357664v1:8:5273975:5278882:-1 gene:itb08g06150 transcript:itb08g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAARNAYSWWAASHIRTKQSKWLQQNLQDIEEKVVYIMKILEDDGDSFARRAEMYYRGRPELLNFVEDFFKSYKALAERYDYISKELQSANKTIATIYPERVHVDMDEYEDGESYLAAAAAAGGNGSPEKGNSAPKDLPPPPKMTIPDVVSMVTKKAKAKAKTTSRLMSKKGLLKFNGAGDQAGASPAASGLSKDEALQEIDALQKQILGLQTEREFVKSSYENGLAKYWDIENHVTDLQARVSSLQDEFGIGTFIEDDEAQTLMASTALKSCQDTLTQLQEQQTQTDKDAKIEHRKLQNAQLKFQSLVEQFEVSQLDRQQSPPAAAKEKSPAENFEECQQIQPAAAREKSPEKPNQEPSKSENQQMGITAEGKGDLESLSEKIKEEMQLSTSTHLTMSGLEEKVDELVDKIINLEGLVVSQNAQVNKLKAEANELHAHLQSAEDEKETLLKDSEKMSKKIRELEEQLQRVQNLNQTVNNQSNYIYKRITEASCRVDHLSGKLQSVLPDEGVNDTSSGKAANASGDKTDSRTQEQPNESVNPKGFQTRESKATAHSASALSGDEPVEEKQTKDGIPQDLSTRGEQDESGTDDAEPNWRALFLNGLDDRDKLLLEEYISVLRNYKESKRKLNEAEKKRRANHFQYVVQIKVLKNSIALKDAEIQSLRKKLKPLHGDHVETMKSNESTTKASVEGTDENTSKTDLEDKDEKKKSQHAHRRTLSEFLDVPIPEDAPAKEEATYRESLKLSSIEECEDLKGNSVDENHAFSAIEEKICTDIDELVEENMDFWLRFSTAFHQIGKFQSTVRDLQDELEKKKPSKQQLDNKPLEQLSEIRPIYNHLKEIQTELTLWLEHSVVLKDDLHNRLSSLCNLQEEITRYSQEVHKEEEKELIACQAAKLQGEILNMKRENKKVENELQAGARRVEKLHEEIKTTIQELDEFGVKRESANPHRIPLRSFLFGVKLKKQKQRSSLFACSKSGLGESLISNINNHTSQILQVTISDLLFAQLLELRLFILSHHFHFIYAISSSVVAIIEGNGNCSFLHPR >itb02g02060.t1 pep chromosome:ASM357664v1:2:1132710:1133398:1 gene:itb02g02060 transcript:itb02g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKAPAAEKAPAEKKPKAGKKLPKDGGAAVGEKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >itb07g17960.t2 pep chromosome:ASM357664v1:7:22308291:22311975:1 gene:itb07g17960 transcript:itb07g17960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METNPQQPGSSPPPKPWERAGSSSGPTPFKPPSVGKTSDIVEASGTSTPGELVPAADRNTATNINTVARPVPTRPWEQPQTYGSTFGGINGSYGMGMYSGGLYGNNMYRGGYGGLYGGGMYGGGMYNSGIGGPMGGYGMGMGAPYGEQDPNNPFGAPSSPPGFWISLMRVMQGVVNFFSRIAMLTDQSVQACHVGITALLQLFDRSGLLYGEVGGLARFVLRLLGIKTKPKKVEPPSAEGLPGPQSLHGNQHYIAGPKAAPSGAWDSVWGE >itb07g17960.t1 pep chromosome:ASM357664v1:7:22308291:22311975:1 gene:itb07g17960 transcript:itb07g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPQQPGSSPPPKPWERAGSSSGPTPFKPPSVGKTSDIVEASGTSTPGELVPAADRNTATNINTVARPVPTRPWEQPQTYGSTFGGYGSGLNYNSGYGTGINGSYGMGMYSGGLYGNNMYRGGYGGLYGGGMYGGGMYNSGIGGPMGGYGMGMGAPYGEQDPNNPFGAPSSPPGFWISLMRVMQGVVNFFSRIAMLTDQSVQACHVGITALLQLFDRSGLLYGEVGGLARFVLRLLGIKTKPKKVEPPSAEGLPGPQSLHGNQHYIAGPKAAPSGAWDSVWGE >itb15g12660.t1 pep chromosome:ASM357664v1:15:10534739:10538277:1 gene:itb15g12660 transcript:itb15g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDEEEEQLFQPLNPPQTLPTDSSSSVTTTDYGGDAVISPMNSNFCALLCHDTLRAILEKLPLPDLARAACVSRLWNAVASDREIQTRAFKAPWKLKELIGNPTSSSFWRDNTISKFAISHRIVRGDTVASLAVKYSVHVMGIKRLNNMMSDHGIYSRERLLIPISNPDLLIEGTCYVELDTYAKREVAVLYLEGNPDGKPKCVVSKLTSEHGKRRVIDSLRRSMQVDDGTAQYYLAESNGDPRTAIAQFSEDLRWERQLGLA >itb09g26550.t1 pep chromosome:ASM357664v1:9:27167511:27170938:-1 gene:itb09g26550 transcript:itb09g26550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTVEAEFEKKVAICDKMEEVVEANGGSEAETESETSKIAVLLRRFLALQQRRAQAYARLKRGFEDYMVSGVESTYQKLCSEITVEFNDCSKQVLEMESQFLSPDCFREDLAALLRSVQTQEKQKLNLTATIQVLKKAGRPSERLVSHENCRFSKPMGHECVHIQKITEASGTEEAEADAEYDNALREAIKGVQDAVTVINEHLEEVRYEIAALEVSS >itb05g04450.t3 pep chromosome:ASM357664v1:5:4002432:4006311:-1 gene:itb05g04450 transcript:itb05g04450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAEEDLPRDAKVVKTLLKSMGVEEYEPRVVHQFLELMYRHAVDVLMDAQVYSEHAGKTVIDSDDLKLAVQSKVNFSFAPPPPREVLLELAQSRNKIPLPKSISGPGIPLPPEQDTLISPNYQLAIPKKRTAQHVEETEEEEEGSDPNPASNQNQNLSQDRTDVPQEAPQRVSFPLGAKRPR >itb05g04450.t2 pep chromosome:ASM357664v1:5:4002515:4006309:-1 gene:itb05g04450 transcript:itb05g04450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAEEDLPRDAKVVKTLLKSMGVEEYEPRVVHQFLELMYRHAVDVLMDAQVYSEHAGKTVIDSDDLKLAVQSKVNFSFAPPPPREVLLELAQSRNKIPLPKSISGPGIPLPPEQDTLISPNYQLAIPKKRTAQHVEETEEEEEGSDPNPASNQNQNLSQDRTDVPQEAPQRVSFPLGAKRPR >itb05g04450.t1 pep chromosome:ASM357664v1:5:4002431:4006503:-1 gene:itb05g04450 transcript:itb05g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAEEDLPRDAKVVKTLLKSMGVEEYEPRVVHQFLELMYRHAVDVLMDAQVYSEHAGKTVIDSDDLKLAVQSKVNFSFAPPPPREVLLELAQSRNKIPLPKSISGPGIPLPPEQDTLISPNYQLAIPKKRTAQHVEETEEEEEGSDPNPASNQNQNLSQDRTDVPQEAPQRVSFPLGAKRPR >itb14g05670.t2 pep chromosome:ASM357664v1:14:4931500:4934029:1 gene:itb14g05670 transcript:itb14g05670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKFSAERLGLPHVSAFLDALGSNFTHGANFATAGSTVRPQNTTLHQSGFSPFSLNVQFYQFSDFHRRSQIIRRRGAPVFRGLMPNAHHFSKALYTFDIGQNDLTAGYFLNMSTAQVRAYVPDVLDQFKTVVKDIYGQGGRYFWIHNTGPVGCLPYVMDRLLVSAAQVDKAGCAAPFNEVAQYFNGRLKQAVQQLRQDLPHAALTYVDVYSVMYDLISRAKQHGFVHPLQACCGHGGKYNYNMHIGCGGKFMVNGTEVLVGKACRDPSVRINWDGVHYTEAANKWVFDKIVDGSYSDPPVPLRMACHRFK >itb14g05670.t1 pep chromosome:ASM357664v1:14:4929787:4934029:1 gene:itb14g05670 transcript:itb14g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQYLHTTLLALLLLLPISICIAKKPPPPCNFPAIFNFGDSNSDTGGLSAAFGQAGPPHGETYFHHPAGRYCDGRLKIDFIAERLGLPHVSAFLDALGSNFTHGANFATAGSTVRPQNTTLHQSGFSPFSLNVQFYQFSDFHRRSQIIRRRGAPVFRGLMPNAHHFSKALYTFDIGQNDLTAGYFLNMSTAQVRAYVPDVLDQFKTVVKDIYGQGGRYFWIHNTGPVGCLPYVMDRLLVSAAQVDKAGCAAPFNEVAQYFNGRLKQAVQQLRQDLPHAALTYVDVYSVMYDLISRAKQHGFVHPLQACCGHGGKYNYNMHIGCGGKFMVNGTEVLVGKACRDPSVRINWDGVHYTEAANKWVFDKIVDGSYSDPPVPLRMACHRFK >itb01g21460.t2 pep chromosome:ASM357664v1:1:27580001:27583224:-1 gene:itb01g21460 transcript:itb01g21460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRCRKATKLYCFVHKVPVCGECICLPEHQICVVRTYSEWVIDGEYDWPPDCCFCHAVLEEATDTQTTRLGCLHVMHTNCLVSHIKGFPPQTAPAGYVCPACSTSIWPPKHFKDSGSHLHLKLKEAIMQTGMEKNLFGNHPVLLPPTDSQSPPPAFASDPLKHVSEAGSPGKDFEGYTAVPTTGSAKPSAIDIVEIDGLNSASAHSNHEANFTKSSSPPAPGATTRKSAIQVERQNSEISYYADDEDGNRKKYTRRGTLRHKFLRSLLPFWSSALPTLPVTAPPRKETPNADGTRHHKSSRMDPRKILLVIAIM >itb01g21460.t1 pep chromosome:ASM357664v1:1:27579300:27583224:-1 gene:itb01g21460 transcript:itb01g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRCRKATKLYCFVHKVPVCGECICLPEHQICVVRTYSEWVIDGEYDWPPDCCFCHAVLEEATDTQTTRLGCLHVMHTNCLVSHIKGFPPQTAPAGYVCPACSTSIWPPKHFKDSGSHLHLKLKEAIMQTGMEKNLFGNHPVLLPPTDSQSPPPAFASDPLKHVSEAGSPGKDFEGYTAVPTTGSAKPSAIDIVEIDGLNSASAHSNHEANFTKSSSPPAPGATTRKSAIQVERQNSEISYYADDEDGNRKKYTRRGTLRHKFLRSLLPFWSSALPTLPVTAPPRKETPNADGTRHHKSSRMDPRKILLVIAIMACMATMGILYYRLARGLEELPDEEQQ >itb04g21730.t3 pep chromosome:ASM357664v1:4:26844717:26846803:-1 gene:itb04g21730 transcript:itb04g21730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSLARYPAYYDVFLSFRGEDVRNNFVDHLYNALQRRNIYTFKDDEKLETGVSISPALNKAIEESSIAVVVFSKHYADSTWCLEELAKIVECMKGKGQIVVPIVYGVDPLTVWEQKDSFKEAFDRHEERFENEREKVKKWKEVLAEVANLPHHILENGNEANFIEKIVEDIIAKLDTKSSSDEPHLIGINPRIQELDSLIDLGSSDVRFVGIYGMSGIGKTAIARAVYDKIWSKFEGSCFIHDIKSQLFSKVHLVDLQKILLSEILSTKDLQINNTFDGTMTIKQRMRCKKVLIILDDVDHMDQLECLARNDDWFGAGSRIIVTTTKQQLLNSHKVSCMRYYEMRVLDEGESSQLFRQYAFKKYPPTKNTKEFEGLETQIIRYAGGIPLTLINLGSFLYGRGIDEWGSEVDRLKETKKVGL >itb04g21730.t2 pep chromosome:ASM357664v1:4:26844334:26846803:-1 gene:itb04g21730 transcript:itb04g21730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSLARYPAYYDVFLSFRGEDVRNNFVDHLYNALQRRNIYTFKDDEKLETGVSISPALNKAIEESSIAVVVFSKHYADSTWCLEELAKIVECMKGKGQIVVPIVYGVDPLTVWEQKDSFKEAFDRHEERFENEREKVKKWKEVLAEVANLPHHILENGNEANFIEKIVEDIIAKLDTKSSSDEPHLIGINPRIQELDSLIDLGSSDVRFVGIYGMSGIGKTAIARAVYDKIWSKFEGGILVHQLIQEMGQYVVLQED >itb04g21730.t4 pep chromosome:ASM357664v1:4:26846132:26846803:-1 gene:itb04g21730 transcript:itb04g21730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSLARYPAYYDVFLSFRGEDVRNNFVDHLYNALQRRNIYTFKDDEKLETGVSISPALNKAIEESSIAVVVFSKHYADSTWCLEELAKIVECMKGKGQIVVPIVYGVDPLTVWEQKDSFKEAFDRHEERFENEREKVKKWKEVLAEVANLPHHILENGYLKLYLSCNFNLQIIRRGHFQKTLDS >itb04g21730.t1 pep chromosome:ASM357664v1:4:26843030:26846803:-1 gene:itb04g21730 transcript:itb04g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSLARYPAYYDVFLSFRGEDVRNNFVDHLYNALQRRNIYTFKDDEKLETGVSISPALNKAIEESSIAVVVFSKHYADSTWCLEELAKIVECMKGKGQIVVPIVYGVDPLTVWEQKDSFKEAFDRHEERFENEREKVKKWKEVLAEVANLPHHILENGNEANFIEKIVEDIIAKLDTKSSSDEPHLIGINPRIQELDSLIDLGSSDVRFVGIYGMSGIGKTAIARAVYDKIWSKFEGSCFIHDIKSQLFSKVHLVDLQKILLSEILSTKDLQINNTFDGTMTIKQRMRCKKVLIILDDVDHMDQLECLARNDDWFGAGSRIIVTTTKQQLLNSHKVSCMRYYEMRVLDEGESSQLFRQYAFKKYPPTKNTKEFEGLETQIIRYAGGIPLTLINLGSFLYGRGIDEWGSEVDRLKETKKVAFWCIN >itb09g13220.t1 pep chromosome:ASM357664v1:9:8572634:8575012:1 gene:itb09g13220 transcript:itb09g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGCCSPTILSSPSNPGTSWLVLKTYRGGIVKGKPLRRRALGIKAEVEFVNAEEAKKLVAVDGYAVVDVRDRTQFERARIKECFHVPLFIENKDNDLGTIVKRTVHNNFSGLFFGLPFTKPNPEFVQSVRSQFSPESKLLLVCQEGLRSAAAAQKLEEAGFQNIACVTSGLQSVKPGTFDSVGSTELKDAGKAGLITIQGKISAVLGTVLICALLLITLFPDQAEKILQMAPTG >itb01g04030.t1 pep chromosome:ASM357664v1:1:2685123:2686925:-1 gene:itb01g04030 transcript:itb01g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTFKAGFKYISSIFGIVKEEEIEIGMPTDVKHVAHIGFDGQSAAGTAPTWMREFKTGPDFAASSIENNKPSSQAENGGNPTKKPKRKKAKAMSSSSSSASRATSSRATSKSKAKLVEEENPPNPADIQVI >itb13g24880.t1 pep chromosome:ASM357664v1:13:30438600:30441419:1 gene:itb13g24880 transcript:itb13g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIDTVSGPLGNYGGNFWSFRPVNKINQIVILSGGSGNNNPIGITFSCIKDDGSKETITVGGGGTDTRVTRTDTVFIDGADEYLTEICGTFGPFIDSTYSVLRSIKFTTNVRVFGPYGPEVGRPFNFQAPNGQKIVGFIGRAGFYVDAIDTETLYESSPTLSMAISAVSVALSKFTDEICEVEDRAEELVMELKWMQCFLIDGERTPEGRVRAELVAGHRAPRT >itb11g15690.t1 pep chromosome:ASM357664v1:11:13524293:13524877:1 gene:itb11g15690 transcript:itb11g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNPINNWVILLNQSSTKWALPDSAPTQCHLYPPSKVRNGAVRLRPKITDTVKGKLILGAKLLQAGGVQNVFNKNFSVKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSDRSIKIFSSNGKSMRMHYKVSIPIANIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFQHHQRTLKYLQNVISQAQYL >itb14g04990.t1 pep chromosome:ASM357664v1:14:4335815:4337778:-1 gene:itb14g04990 transcript:itb14g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKQVYEDPSISLHFDVRAWAVASQVHNKRQMLVSLLNSISKQDDIERSSDEELALKLYQCLKRQRYMIVVDDVWSGEAWDDVSNCFPDDGNRSRVLLTTRLSQRTGYTMSPKMIQINSNIVLLKSLKRLKFENNHFEWNGINVLCKLPRLEVLKLNKYACLGKEWELPEDDDKFCQLIVLKIGRTDLKDWKATGDHFPKLKYLSLSSCTELKEIPSRFAEIEELKSIQLADCLPSVVASAEEIKKEQLEYMNNTVDVVEL >itb13g18310.t1 pep chromosome:ASM357664v1:13:25279398:25282164:-1 gene:itb13g18310 transcript:itb13g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKATDDETYDRTTEIKTFDETKAGVKGLVDAGITKVPRMFHTQPDPFVQASSSHDDPDKTRFSIPVIDLQGINSGSEQRREVVDKVRGASEVWGFFQVVNHGIPASVLEEMLDGTRRFYEQDTEVKKEWYTRDSSKRVVYNSNFDLYSAGSANWRDTTYCSMAPHAPSPDELPEACRDILIEYSEQVKKLGLTLFGLLSEALGLNPNHLNEIGCPEGLAVLYHYYPACPEPELTIGASKHSDSDFMTVLLQDHIGGLQILHENLWVDVPPVPGALVINIGDLLQLISNDRFKSVAHRVLASDVGPRVSVASFFTTGHVPSSRIYGPIKELLSEDNPAKYRETTVREYSVHYDATGLGGKSALLGFRI >itb02g08790.t1 pep chromosome:ASM357664v1:2:5566814:5568885:1 gene:itb02g08790 transcript:itb02g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFCYLFLFSFSIIFLICAWRVVNWAWLRPKTLEKWLRGQDLKSNAYRLFYGDIKELAKMRNETKSKPMKVLSDEVVPRAIPYFFESIQKHGKNSFVWLGTIPMVIITDPDHVKEVLSKHDTFQQNLTDPVVYKLSQGLLKYEADKWSKHRKIVIPAFQLEKLKHMVPAMNKICSEMVGRWEEIVSRNESGELDVWPDLKTMTANVISLAAFGINYEDGRRVFELQCEQAEDAMELRNSVYVPGGRFLPTKRNRRVNEIDKEINAVVRRIIEKRIKAMKEGEANNNDLLDMLLESNFKEIKHHGNQEFGITIEEIISECKLFYLAGQVTTSALLVWTMVLLSRNQEWQERAREEVVHVFGDDKPDFDGLNRLKIVTMILHESLRLYPPAPSITRIVKQDAKLGNLSLPAGVLLLVPIILLHHDREIWGDDANEFKPERFREGVSKATNGRACYLPFSGGPRICIGLNFAMVEAKIALSCILRRFSFKLSPSYAHAPYTVATIQPLYGAPLILQNL >itb13g22440.t6 pep chromosome:ASM357664v1:13:28707786:28711398:-1 gene:itb13g22440 transcript:itb13g22440.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t5 pep chromosome:ASM357664v1:13:28707786:28711398:-1 gene:itb13g22440 transcript:itb13g22440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t8 pep chromosome:ASM357664v1:13:28707786:28710322:-1 gene:itb13g22440 transcript:itb13g22440.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t4 pep chromosome:ASM357664v1:13:28707600:28711524:-1 gene:itb13g22440 transcript:itb13g22440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFQADSKVQLLSERQEKKKEGDCTVDIKEENQNQEAEKYISRVNSALTKTNPDAYKPKLISIGPYHREVTGLEMSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t1 pep chromosome:ASM357664v1:13:28707600:28711593:-1 gene:itb13g22440 transcript:itb13g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFQADSKVQLLSERQEKKKEGDCTVDIKEENQNQEAEKYISRVNSALTKTNPDAYKPKLISIGPYHREVTGLEMSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t7 pep chromosome:ASM357664v1:13:28707600:28711450:-1 gene:itb13g22440 transcript:itb13g22440.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVVCLFLCNSPGMADHFQADSKVQLLSERQEKKKEGDCTVDIKEENQNQEAEKYISRVNSALTKTNPDAYKPKLISIGPYHREVTGLEMSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t2 pep chromosome:ASM357664v1:13:28707786:28711398:-1 gene:itb13g22440 transcript:itb13g22440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFQADSKVQLLSERQEKKKEGDCTVDIKEENQNQEAEKYISRVNSALTKTNPDAYKPKLISIGPYHREVTGLEMSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb13g22440.t3 pep chromosome:ASM357664v1:13:28707600:28711450:-1 gene:itb13g22440 transcript:itb13g22440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFQADSKVQLLSERQEKKKEGDCTVDIKEENQNQEAEKYISRVNSALTKTNPDAYKPKLISIGPYHREVTGLEMSVKQKFKESLFGKINKFEEHSTQKLKKLLDKARSCYTDDTQKMNDDDFVEMLLLDGCFILEFLEKYSEKEVTEEFMKVKGNLVQTLIDMTLLENQIPFFVLFELFKLKRNNENKDDVLLQDLIVLVKRCIGTQVPKLTPRNIEDHDYKPDTLPKHLVEVVHNLCIPRNPKCGRHSCRNDSWGITEQINTATELEADGVEFKKVGKVYERYFGEKEGAKHFNSKDNTTMFDLEFINGTLKIPSFKIDDGTETLLRNMIAYEQHSTEASVWFSDFASFMDQLIEDTKDVNLLRRRGIIVNCMAQDKMVAEMFENLCQDVINYNTFSGVIRKVNLHVDKAWNVWFGKLRHDLSYSPWKLISAVAGGLVVTVTTTAALRNILR >itb12g27270.t1 pep chromosome:ASM357664v1:12:27756637:27759525:1 gene:itb12g27270 transcript:itb12g27270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVVAAGTSSAAYSRGRSVNRATPSLPQCQKTSFQGVSLQDSRRGISNLFLAEKGVSLSVTKGKRDLVITARTAAKNIEVEVDKPLGLTLGQKSGGGVVITAVENGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAVPESCYFVVSRGADVDVKRLPKRPAPPRFGRKLTDAQKARATHICLDCGYIYTLQKPFEEQPDGYVCPQCRAPKKRFLPYDVNTGKAVGGGLPPIGVIIGLLVGIGGVGALLVYGLQ >itb04g24380.t1 pep chromosome:ASM357664v1:4:29086531:29089143:-1 gene:itb04g24380 transcript:itb04g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNANSPDTVLEDYLNGLVSETDTTKSSILEFESESYEKRNSKWDGFLQLFRDKFKKRHFGSLDSLSSLKISKRFSCSMREAACGSGLLQSPILEGGLSYFKPQWKNFSLSEIEIATNNFHHENLIGKGGYAEVHKGRLRDGKLVAVKRLTRGSPEERIGDFLSELGIMAHLNHPNTTKLIGYGVEGGYYLVLKLSPHGSLASMLHVSKQKPGWYIRYKVALGTAKGLQYLHEGCQRRIIHRDIKAANILLMEDFEPQICDFGLAKWLPERWTHLTVLKFDGTFGYLAPEFLMHGIVNEKTDVFAFGVLLLELITGRRALDYSQQSLVMWAKPLLKKKRIRELADPSLGDDYNLLQMNLMVLAASLCVQHSSIKRPRISQVLQLLNGNCESLDYIRKTRKPSPIRRAATKTSFAHKEKT >itb04g24380.t2 pep chromosome:ASM357664v1:4:29086531:29089143:-1 gene:itb04g24380 transcript:itb04g24380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNANSPDTVLEDYLNGLVSETDTTKSSILEFESESYEKRNSKWDGFLQLFRDKFKKRHFGSLDSLSSLKISKRFSCSMREAACGSGLLQSPILEGGLSYFKPQWKNFSLSEIEIATNNFHHENLIGKGGYAEVHKGRLRDGKLVAVKRLTRGSPEERIGDFLSELGIMAHLNHPNTTKLIGYGVEGGYYLVLKLSPHGSLASMLHVSKQKPGWYIRYKVALGTAKGLQYLHEGCQRRIIHRDIKAANILLMEDFEPQICDFGLAKWLPERWTHLTVLKFDGTFGYLAPEFLMHGIVNEKTDVFAFGVLLLELITGRRALDYSQQSLVMWAKPLLKKKRIRELADPSLGDDYNLLQMNLMVLAASLCVQHSSIKRPRISQAS >itb09g07560.t1 pep chromosome:ASM357664v1:9:4440830:4441636:1 gene:itb09g07560 transcript:itb09g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFQSLTRKPLFKCHKKRLTQDQVRLLEGSFNVNNKLDADSKSQLARQLGLPPRQIAIWYQNKRARSKSQSLEVDHKALHERLDEVLSDNERLRREVERLREEVQKAQEMLQLATAAATPYSSLSLSCSSCDEAAGSLGLLHGSSKELYACLLDDHESQFGTSGDLHNFFAPPALS >itb02g16670.t1 pep chromosome:ASM357664v1:2:12637752:12642485:1 gene:itb02g16670 transcript:itb02g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGDRSEIVFFDIETTTPTRPGQGHAILEFGAILVCPRKLVELESYSTLVRPADPSLMSNLSVRSNGICRDAVDSAPTFADIADKVYDILDGRIWAGHNILKFDCHRIREAFAGINRPAPEPKGTIDSLALLTQRFGRRAGDMKMASLATYFGLGQQKHRSLDDVRMNLEVLKYCSTVLLLESSLPDIFTEKCWVSPNAITRSRSKASPGSTGSSATTPSTNLKIENQTHSKKNERVENHPVSLLAVSGKVEVEDVIESSSAMPDPFNMGKLLDEIEIESHQSEGDMDDDDDEDEDDDEYCPSDESSSEIESEVPRGCCTGFLELNEISMPYLSVTLVPFYRGMQKIKVFHDCAELQVCCKRLKVRFGISKKYFDYAGRPQLNFVVNATPNLCKILDEINSRAQRLCAESGSSSEWRPIVSRNPGYENFPTVRFQLASIVNGEIVCWATEIYEQETGSGRGRKIVSSRFDNNAELDGLVRAGCFVDVYFSLDAYDYQGRAGIRLVLKKLILHHN >itb14g16300.t1 pep chromosome:ASM357664v1:14:19614898:19617549:1 gene:itb14g16300 transcript:itb14g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTCGGKTSRNRLCQSPKDQAVLGVASIPLSSIQRRVDDRQVISRWFTFEDQNEEKRVYKGRVHLRLCFDGGYHVIEEAAHVCSNYRPTARQLWKPPVGTIEMGIIGCKNLLPMKTMRGKGCTDAYTVAKYSSKWIRTRTISDNLCLIWNEQYTWRVYDPSTIVTIGVFDSWN >itb04g31570.t2 pep chromosome:ASM357664v1:4:34391400:34394334:1 gene:itb04g31570 transcript:itb04g31570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINPQFFIFQLKLEDFWKRLPVMQCNLCYDDEILHNFCATVFGVSTESMQLSFDSRGNSVPTILLLMQRRLYAQRGLQAEGIFRINAENSQEEYVREQLNRGVVPEGIDVHCLAGLIKAWFRELPSGVLDSLSQEQVIQCQSEEDCTTLVRLLPTTEAALLDWAINLMADVVQEEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLIEKTLRDRKDSVVEPAPVSNIEPYGEDGHQNPPQFVLEETDNSAESSEQMFTIEDPYTESLSDSNPVGNLTDEEYLSYSVSTEESEESGSSKFVLDTTTPIAREVSDLDSQMFKEQTDSKLGQSSDSNQGKGPAKTDLVQQTGQSLGTENKCKGISNLSRINSMTERIEAWR >itb04g31570.t1 pep chromosome:ASM357664v1:4:34389191:34394334:1 gene:itb04g31570 transcript:itb04g31570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSSSPSSPSASPPTISTPTHTHTGSLFQAGAFGEEEGEAKGGVKERDQLSLLALLVTLFRKSFWLACKTDRAELCGTGGGGGGGGGMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSFDSRGNSVPTILLLMQRRLYAQRGLQAEGIFRINAENSQEEYVREQLNRGVVPEGIDVHCLAGLIKAWFRELPSGVLDSLSQEQVIQCQSEEDCTTLVRLLPTTEAALLDWAINLMADVVQEEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLIEKTLRDRKDSVVEPAPVSNIEPYGEDGHQNPPQFVLEETDNSAESSEQMFTIEDPYTESLSDSNPVGNLTDEEYLSYSVSTEESEESGSSKFVLDTTTPIAREVSDLDSQMFKEQTDSKLGQSSDSNQGKGPAKTDLVQQTGQSLGTENKCKGISNLSRINSMTERIEAWR >itb05g02260.t1 pep chromosome:ASM357664v1:5:1797989:1799372:1 gene:itb05g02260 transcript:itb05g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGSGCGGGRTAEKGEESGVGWLLIVKEQVLRNPKSSMSAAEDDDGLIRRPEEVEEEPGSEEGQENEERQREKFLRTREAASERVSGREKEDRSRSSDHGRRRDKPCLMEEERREMNARKAGVEIPV >itb07g24200.t2 pep chromosome:ASM357664v1:7:28467810:28472151:-1 gene:itb07g24200 transcript:itb07g24200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIGILDNLPLVMPMKRPDQESIIYQHGFHVGLKGIYAGSKEEKYFIHNHLTFTVKFHKDAQTDAARIVGFEVKPFSVRHEYEDQWNDKHTRLTTCDPHAKRTVTSSDSPQEVDDKKEIIFTYDVEFEESEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDIFRPPTNSDLLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMTAMLLLWAFMGVLAGYASARLYKMFKGSEWKKITLQTAFMFPGVFFAIFFVLNALIWGEKSSGAVPFGTMFALVLLWFGIAVPLVFVGSYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFVELFFILTSIWLQQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSAVYLFLYAAFYFFTKLEITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRRIYSSVKID >itb07g24200.t1 pep chromosome:ASM357664v1:7:28467646:28472223:-1 gene:itb07g24200 transcript:itb07g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARVPAMPRWISCCFLVFLFFAEVRSFYLPGVAPQDFQKGDLLKVKVNKLTSTRTQLPYSYYSLPYCKPGRIVDSAENLGEVLRGDRIENSVYEFRMREPRMCNIACRLTLNAKTAKEFKEKIDDEYRVNMILDNLPLVMPMKRPDQESIIYQHGFHVGLKGIYAGSKEEKYFIHNHLTFTVKFHKDAQTDAARIVGFEVKPFSVRHEYEDQWNDKHTRLTTCDPHAKRTVTSSDSPQEVDDKKEIIFTYDVEFEESEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDIFRPPTNSDLLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMTAMLLLWAFMGVLAGYASARLYKMFKGSEWKKITLQTAFMFPGVFFAIFFVLNALIWGEKSSGAVPFGTMFALVLLWFGIAVPLVFVGSYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFVELFFILTSIWLQQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSAVYLFLYAAFYFFTKLEITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRRIYSSVKID >itb07g24200.t3 pep chromosome:ASM357664v1:7:28467646:28470129:-1 gene:itb07g24200 transcript:itb07g24200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRPDQESIIYQHGFHVGLKGIYAGSKEEKYFIHNHLTFTVKFHKDAQTDAARIVGFEVKPFSVRHEYEDQWNDKHTRLTTCDPHAKRTVTSSDSPQEVDDKKEIIFTYDVEFEESEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDIFRPPTNSDLLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMTAMLLLWAFMGVLAGYASARLYKMFKGSEWKKITLQTAFMFPGVFFAIFFVLNALIWGEKSSGAVPFGTMFALVLLWFGIAVPLVFVGSYVGFKKPAIEDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFVELFFILTSIWLQQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSAVYLFLYAAFYFFTKLEITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRRIYSSVKID >itb12g27950.t1 pep chromosome:ASM357664v1:12:28097266:28100953:-1 gene:itb12g27950 transcript:itb12g27950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRALVGIFVILAALFAVSTAEAEAEEKEYVLTLDHTNFSDTVAKHNFIVVEFYAPWCGHCKRLAPEYEKAASILSSHDPPITLAKVDASDEVNKELSTKYRVQGFPSIKILRDGGNKVQDYKGPREADGIVTYLKKQAGPASTEIKSREDAASLIDEKKIFVAGVFPKFSGVEFEKFITLAEKLRADYDFAHTLDAKHLPRGEPVDKPTLRLLKPFDELFADYQDFDVDAMEKFINEASTPKVTVFDKNPDNYPYIDKFFRSKFAKVLLFVNFSSELDAFKSKYDDIAALYKGKDIGFLLGDVQSSGGVFQYFKVNEDQAPLVLGQTNDGEKYLKPNVEPDHIATWVKDFKDGKLKPFLSSEPIPENNSERVKVVVTDSLEEMVFNSGKNVLLEFYAPWCGHCKQLAPILDEVAISLENDPNVMIAKLDATANDIPKGKFEVKGFPTLYFKSASGNLLQYEGNRTKEDIIDFIQKNRDKTIQSGSATSEEPSSREEL >itb12g27950.t2 pep chromosome:ASM357664v1:12:28097266:28100953:-1 gene:itb12g27950 transcript:itb12g27950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRALVGIFVILAALFAVSTAEAEAEEKEYVLTLDHTNFSDTVAKHNFIVVEFYAPWCGHCKRLAPEYEKAASILSSHDPPITLAKVDASDEVNKELSTKYRVQGFPSIKILRDGGNKVQDYKGPREADGIVTYLKKQAGPASTEIKSREDAASLIDEKKIFVAGVFPKFSGVEFEKFITLAEKLRADYDFAHTLDAKHLPRGEPVDKPTLRLLKPFDELFADYQDFDVDAMEKFINEASTPKVTVFDKNPDNYPYIDKFFRSKFAKVLLFVNFSSELDAFKSKYDDIAALYKGKDIGFLLGDVQSSGGVFQYFKVNEDQAPLVLGQTNDGEKYLKPNVEPDHIATWVKDFKDGKLKPFLSSEPIPENNSERVKVVVTDSLEEMVFNSGKNVLLEFYAPWCGHCKQLAPILDEVAISLENDPNVMIAKLDATANDIPKGKFEVKGFPTLYFKSASGNLLQYEGNRTKEDIIDFIQKNRDKTIQSGSATSEEPSSREEL >itb07g15820.t3 pep chromosome:ASM357664v1:7:18872069:18875241:1 gene:itb07g15820 transcript:itb07g15820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKHAPMHDFKPVECNDCALHTAEEKTNIKENHGMFCHLNDGKGEADLFVSEEKVVLDSTGLVAENDYITNGAKDIDNSLKHIENEDECSPLKDAKGVIFNFNAHKTTEEVLFESDKQDRDRLWKSPESESFMIENSGDYEVRCGISGAESASEFSHSGADKHIMEPEFPESIASYKESNSNDVKDICIDEGVPIVDKNLIENLNDSSFGTCVSLPSIGDKNDNLGEDVQKEMLSGDPSIIQDAKIGAANASTVIDDIESVAPDCLISSVEDNVNKESATDTYLEDLMKLFGSKHATTVKVENDMSEKQSFGNKPLLESLCGQQQCQSSEEAILESHATVPTNAESNKNDHSTFDLNNSKAATADESAEKISKQVLDSDAMPNNEEGISDKTSAAPASEVQCRTTVKTNENSCGNPLGPELQDTRNSEDKASAKFSIGSHDQFADGEASFSSVGPMSGLITYSGLIPHSGNISLRSDSSTTSARSFAFPVLQSEWNSSPVRMAKGDRRYRKNRGWMQGLLCCRF >itb07g15820.t2 pep chromosome:ASM357664v1:7:18872069:18875241:1 gene:itb07g15820 transcript:itb07g15820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIEKSTGRADNESVFCNLTPAPMHDFKPVECNDCALHTAEEKTNIKENHGMFCHLNDGKGEADLFVSEEKVVLDSTGLVAENDYITNGAKDIDNSLKHIENEDECSPLKDAKGVIFNFNAHKTTEEVLFESDKQDRDRLWKSPESESFMIENSGDYEVRCGISGAESASEFSHSGADKHIMEPEFPESIASYKESNSNDVKDICIDEGVPIVDKNLIENLNDSSFGTCVSLPSIGDKNDNLGEDVQKEMLSGDPSIIQDAKIGAANASTVIDDIESVAPDCLISSVEDNVNKESATDTYLEDLMKLFGSKHATTVKVENDMSEKQSFGNKPLLESLCGQQQCQSSEEAILESHATVPTNAESNKNDHSTFDLNNSKAATADESAEKISKQVLDSDAMPNNEEGISDKTSAAPASEVQCRTTVKTNENSCGNPLGPELQDTRNSEDKASAKFSIGSHDQFADGEASFSSVGPMSGLITYSGLIPHSGNISLRSDSSTTSARSFAFPVLQSEWNSSPVRMAKGDRRYRKNRGWMQGLLCCRF >itb07g15820.t1 pep chromosome:ASM357664v1:7:18871843:18875241:1 gene:itb07g15820 transcript:itb07g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIEKSTGRADNESVFCNLTPAPMHDFKPVECNDCALHTAEEKTNIKENHGMFCHLNDGKGEADLFVSEEKVVLDSTGLVAENDYITNGAKDIDNSLKHIENEDECSPLKDAKGVIFNFNAHKTTEEVLFESDKQDRDRLWKSPESESFMIENSGDYEVRCGISGAESASEFSHSGADKHIMEPEFPESIASYKESNSNDVKDICIDEGVPIVDKNLIENLNDSSFGTCVSLPSIGDKNDNLGEDVQKEMLSGDPSIIQDAKIGAANASTVIDDIESVAPDCLISSVEDNVNKESATDTYLEDLMKLFGSKHATTVKVENDMSEKQSFGNKPLLESLCGQQQCQSSEEAILESHATVPTNAESNKNDHSTFDLNNSKAATADESAEKISKQVLDSDAMPNNEEGISDKTSAAPASEVQCRTTVKTNENSCGNPLGPELQDTRNSEDKASAKFSIGSHDQFADGEASFSSVGPMSGLITYSGLIPHSGNISLRSDSSTTSARSFAFPVLQSEWNSSPVRMAKGDRRYRKNRGWMQGLLCCRF >itb13g11690.t1 pep chromosome:ASM357664v1:13:16504166:16506541:-1 gene:itb13g11690 transcript:itb13g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVGEPCGLRRRNGESAITSDQRSLFSDDDGNLNGYDDWNLNGFTVETILGRKVIEQGSCAGLRYDDLFYPKEHLDIKEALERLPQEVIDARNQRLKRAMDLSMKHDDLQVEFFMLNVCVNGDIDEA >itb15g13740.t1 pep chromosome:ASM357664v1:15:11805931:11811044:-1 gene:itb15g13740 transcript:itb15g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRIYTALAIAALFFFSAAFADDVVVLTQDNFDKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLAASFKKAKSVLIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGARTAEAISEYVNKEAGTNVKIAAVPSSVVVLTPENFDEIVLNEKKDVLVEFYAPWCGHCKHLAPIYEKVAAAFKLDEDVVIANCDADKHKDIAEKYGVSGYPTLKFFPKGNKAGEEYEGGRDLDDFVNFINEKCGTSRDAKGQLTSKAGIVEHLSNLAKEFVSAIDSEKKEIFSKLEEEVEKLSGPSARYGKIYLKVAKSCMDKGTDYAKNENQRLERMLSKSISPTKADEFTLKKNILSAFA >itb10g12600.t1 pep chromosome:ASM357664v1:10:18638559:18639397:-1 gene:itb10g12600 transcript:itb10g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISIACIMIAFLFLLIPAMANNGLFSFSPSALTSGECICNATPRPPYCGIEGLEPMDGCSFHDVARKSFQYSLLITQHLFYRPVDDAFYTLLSVSPDNPMLSALESCEALIRENKKILFRTFQVIKCRDKLENQTEARGMTNLLRSVVRNHETCFDALTGAVASANATTPTQIDNILSDLPIGSESLNASLEFFRLGWGQHQDQITHSAPSTRLTKTLFFWS >itb09g10700.t1 pep chromosome:ASM357664v1:9:6603082:6604150:-1 gene:itb09g10700 transcript:itb09g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSCRGGADSHHNNNNVREKSFRIRHDDLKLFSRFLSRQETSNRYYGDVSVPFVWESQPGTPKHRFADSAAALRPPLTPPPSYYSNSKFTPAVAPARDKKALRPGSILLRTLLTKVSLRRTKSAASAAPPPPSLSLSPSFSSSSSSLSSFSTTPTRSSFRGRRARRFSGSGSSFDKGVEDIAKAEPSPACCGIINMERSIIAKKVLFSIVGRRQNLN >itb04g18350.t1 pep chromosome:ASM357664v1:4:21762234:21764686:1 gene:itb04g18350 transcript:itb04g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNVIFRGVCKPVRSILNDSKQIFEIFRPASLRKSAHMLLQTMYKTGGGRPKKKIYHRVHELDRVMDLQKKPSLILQLKSIIESQRNQSLLLRDLEKEVGFVQKWNFMNVIEKYPTIFYVTCAGGTPPAVMLSRKAKEIAVEEGQVRLEMEPILIKNLRKLLMLSVDCRVPLGNIDLIENELGLPPDYKNSLISKYPEFFSVKSVNGRDYLHLENWDSSLAVTAREERWACERESKLEEGIKPTRTSKDGNFSGPFAFHVSFPAGFRPNKSYLEEVVRWQKMEFPSPYLNARRFDIADPKARKRVVGVLHELLSLTMEKRLTSAQLEAFHSEYRLPAKLLLCLIKQHGIFYITNKGVKSSVFLKEAYSGSNLIHKCPLLVFRDRFIALIGRKDINSFTSAHHNIFHEIS >itb04g18350.t2 pep chromosome:ASM357664v1:4:21762959:21764686:1 gene:itb04g18350 transcript:itb04g18350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNVIFRGVCKPVRSILNDSKQIFEIFRPASLRKSAHMLLQTMYKTGGGRPKKKIYHRVHELDRVMDLQKKPSLILQLKSIIESQRNQSLLLRDLEKEVGFVQKWNFMNVIEKYPTIFYVTCAGGTPPAVMLSRKAKEIAVEEGQVRLEMEPILIKNLRKLLMLSVDCRVPLGNIDLIENELGLPPDYKNSLISKYPEFFSVKSVNGRDYLHLENWDSSLAVTAREERWACERESKLEEGIKPTRTSKDGNFSGPFAFHVSFPAGFRPNKSYLEEVVRWQKMEFPSPYLNARRFDIADPKARKRVVGVLHELLSLTMEKRLTSAQLEAFHSEYRLPAKLLLCLIKQHGIFYITNKGVKSSVFLKEAYSGSNLIHKCPLLVFRDRFIALIGRKDINSFTSAHHNIFHEIS >itb12g01860.t1 pep chromosome:ASM357664v1:12:1261412:1264389:1 gene:itb12g01860 transcript:itb12g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATILRVLAVILLCYITPLAHSHYHWPSSSAPAQSEWRPARATYYAPADPRDAVGGACGFGDLERSGYGKATAGLSTVLFERGQICGACFEVRCVEDLRWCIPGTSIIVTATNFCAPNYGFEPDGGGHCNPPNAHFVLPIEAFEKIAIWKASNMPIQYRRIKCRKEGGVRFTITGAGISLSVLISNVAGAGDIVAVKIKGTKTGWLPMGRNWGQIWHINADLKNQPLSFEIATSDKVTLTSYSVAPKNWNFGQTFEGKQFEL >itb14g19680.t1 pep chromosome:ASM357664v1:14:22278616:22281849:1 gene:itb14g19680 transcript:itb14g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGCNYIALIIFLVLPPCFFASASTTTEAEALLKWKTSLFVSSSYLDSWSLSNLRNMCNWTGIVCNGGGATTVSQINLPYANLSGTLHHLNFTSFPSLTGFNISGNFFNGSIPPDIGDLSDLVFLDLSNNTFDGSILPQIGKLRELQYLSLSDNNFNGVVPHQIGNLQKVCFLDLGSNSYLEAPDWSRVQSFPVLRHLSFGGNGFGPARFPDFILGCNNLTYLDLSDSNLNGSIPESLFTRLEKLEHLDLFDNKFSGSLSPNIGKLSNLRHLDLSANNLNGSIPESLFTSLEKLEYLYLDSNDFSGPLSHSIDKLSSLKDLRLFGNKLSGSIPESLFTSLEKLEYLDLSCNDFSGPLSPNIDKLSNLKYLDLSSNSFQGEIPYFIGQLKNLQTLYIKNNYYLNSSIPSELGRCTNLTYLVLSSNSLFGALPSSLSFLAMLFELDLSDNFLSGNDGLKGKPLSPDCENGIAPQLPAPKELHQEDDSSFLTGCTVKVVAMGYGCGILFGLFIGSLMLLTRKPEFISRFAEEEAYKLAMKVKRRRSKTRRRN >itb08g08960.t1 pep chromosome:ASM357664v1:8:8179475:8182964:1 gene:itb08g08960 transcript:itb08g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLLDDIIRRLLEAKTGRVPKQVQLTEAEIKELCVASKQIFLSQPNLLELEAPIKICGDIHGQYSDLQRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFFDECKRRYNVRVWKTFTECFNCLPVAALIDEKILCMHGGLSPDLKHLDQIRSIPRPIDVPDQGLLCDLLWADPDRDIEGWGENDRGVSYTFGADKVAEFLEEHDLDLVCRAHQVVEDGYEFFSNRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKASEKKGKSGFGNMPRPATPPHKGAKG >itb13g11560.t1 pep chromosome:ASM357664v1:13:16245696:16246919:-1 gene:itb13g11560 transcript:itb13g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGLGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSIIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWIGFICILGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >itb04g08530.t1 pep chromosome:ASM357664v1:4:6825727:6831789:1 gene:itb04g08530 transcript:itb04g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSVPWLTSLECSAPTLPSSENSSFFSVAIKWLRFILLSPCPQRVLCSSVDLVFLLTLLVLAVLKLCHSSRLTGDANSLNKPLLETKRLRVRVNLWLYASFVVTALLGLAYIALFSFSIAKGIHSAWDSIEILFKSLQAVAHVAVVVVLIAHVHQKKFGATHTVSHPMSLRAYWVASFIVTCFFSSSAVIRLISSAAEPRLRMDDIFFLCSLPLYVYLLVLSIRGSSTEEKEANDDIDSNVVVSGYTTASLLSKAVWNWINPVLCKGYKSPLTADDVPWLPPEFRAEKMALVFERSWPKAGENMNHPVLKALARCFWKQIAFTGFLAIVRLGVMYVGPLLINSFISFASGDRSNPSQGYFLVLTLFLAKVIEVLSSHHFNFHTMKLGMLIRSSLITNLFNKGLRLSCSSRQAHGVGQIVNYMAVDSQLLSDMIPQLHSLWMMPIQLTAALFLLYLYIGVPVVASLVAVVGVIIFTLIVSRMNNLFQFRLMENRDRRMKAINELLSNMRVIKFQAWEEHFSNKIQSYRGVEFSWLSKIMYLVSLNFSVLWSVPSVISALTFAVAIWMKVPLDAGTVFTATTILKILQEPIRTFPQCLIYLSQAITSLNRLDNYLTSHELDPKLVEREEDYCDGRIAVEVKQGNFSWDDEGNQIILKDINFEVRKGELAAIVGTVGSGKSSLLASLLGELHKSTGRVRVCGTTAYVAQTSWIQNATVQDNILFGSPMNMERYKEVIRACSLEKDMEILEHGDQTEIGERGINLSGGQKQRIQLARAVYQDSDIYFLDDIFSAVDAQTGSEIFKECLKGVLKDKTILLVTHQVDFLHNADLILLMRDGKIVQSGHYRELLKSGMDFGALVAAHENSMELVEMSRNVCHDNSAQSPKKFEDQREPNGQNEESAESSKGGTGNSKLIEEEQRETGHVSLEVYKQYCTEAYGWWGVAAVLIASLSWQISLSGNDYWLAYQTSSQQTFNPPLFISVYSIVGAISCVLVVIRSFTAAVLGLKTAQSFFTQIVCSILHAPMSFFDTTPSGRILSRVSTDQANVDFLIPLFMSIAVAMYFTVISILVIMCQNAWPTIFLLIPLIWLNVWYRNYYIASSRELTRLDSLTKAPILHYFSETVSGVMSIRCFGKQSTFFQGNVDRVNANLRMDFHNNGSNEWLGFRLEFIGSALLCISTLFMIMLPNTLIGSEYVGLALSYGLSLNGVLFWCAYITCFMENRMVSVERIKQFIKIPSEATWKIAGCLPSPDWPTHGDIEIKDLKVRYRHNTPLVLKGISLSIHGGEKIGIVGRTGSGKSTMIQVLFRLVEPCGGTIVIDGVDICRLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPLGLYSDQQIWKSLERCQLKQVVADKHGQLDASVAEAGDNWSVGQRQLLCLGRVMLKNSRIVFMDEATASVDSQTDVVIQRVIRHDFLDCTIITIAHRIPTVIDCDRVLVVDEGWAKEFDSPAKLMERPSLFAALVQEYSNRSSGL >itb04g05910.t1 pep chromosome:ASM357664v1:4:3848096:3849863:-1 gene:itb04g05910 transcript:itb04g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEVLKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRQHGVNYGNFMHGLMKENIQINRKVLSELSMHEPYSFKALVDISRAAFPGNKAAIPSSEKKGLSILV >itb07g07800.t1 pep chromosome:ASM357664v1:7:6045425:6049001:1 gene:itb07g07800 transcript:itb07g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDPHGAVLGAFFSRFTFDMMLAWEMPTSADEQSYNECAGKEKEDHKEVAIKSTDQQQDDIPLFYSDIMPLLVDNEQNVGEGGFVWMASLVPVIADVVNAQFTFETLTATSASRLHYPAYNMFLNQIDKCIRHLQKQSTPTGVELGDDEFILHVEGTATTQRVVRHIGGTSWPGRLTLTNYALYFEASGVLSYEDALRLDLSKDVEQTVKPDATGPWGSPIFDKAIVFESSELQESVVLEFPEMASATRRDHWLALSREVMLLHRFLRDFEVRSPIESWEMHARTILGIVRLHAAREMLRISPPAPKGFLIFELLDELPKGDYVLEELADSLKRADVVHLCSASSILRSLNVSQLSMPSTEVKEISPDGNPAVAQAKNASSLENAIDQARKQDNEINVAQATVEEVREEGIANNIQVLMGLLKPFGGLMPHFLEILTWERPLTTSIVLLITLLVIYKEWVGKAIAALLLWMVATMLRARQLGIANTYNKIVIFTGSDKTAVENIVSAQQGLRTAYDIIQRLNVTILKLWSLFISKAPKHSKMVMAGMIVVAVVVAVIPFKFILMGAVVSGFLSSSKKGKGKQNQKEGGNRRVKEWWDSIPVSVVEAVDEIAVQSVALKAD >itb07g14270.t1 pep chromosome:ASM357664v1:7:16760252:16767028:-1 gene:itb07g14270 transcript:itb07g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYIVIFEIQVSLNIEYSNVGSLQLRTSKLRHLLTEIHGQFPVLGGTQSNSPIFAYLGAEIAIDNDVTHAVFLLDIANRFNALLVYIEVLWESVPFGAQADALKNETLRGYFNSAQVLADFAEVLLHIKNTYGAQNSPIIVVGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFDDITPQNGYYDVVTKDFRDVSESCVETIKESWSRISDYAKQPNGLYYLSRKFNLCRNLTSPQAKELKDYLHKMYADAAQYNNPPNYLVKRVCGGIDGAPQGSDILDRIHAGVVANYGVKSCYEVSGINTTASPGEGNINYGYAWQDFNLVLKDFGSNIIFSNGLRDPYSIGGVLKNISDTLVAVYTQYGPHVLDLFGEYASAPSWLTEQRKRRLK >itb02g15420.t1 pep chromosome:ASM357664v1:2:11226050:11229613:1 gene:itb02g15420 transcript:itb02g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MRVLEYAGTNLGSSTPNHEQVVPITVFVALLCLCLVVGHLLEDNRWFNESIAAIFIVLNQEETPLLYSLVFGEGVVNDATSVVLFNAIQKIDVNRLDGWALVSVLLDFLYLFSTSTALGVGVGLLTSFILRVLYFGRHVFATLSFIAETFIFLYVGMDALDIEKWKMSDLSVWKSAAASGIVLLVTLVGRAAFVFPLSALSNCMNRNRTRSSSITLKHQVIIWWAGLMRGAVSIALAFNQVFGFLTKPLVCCLLPCHDSNIDQEPSVSHESNLDREPSISKDDDVRLPLLSFEESAATNILRAKDSLSMLIERPAYTVHSYWRRFDDAYMRPIFGGPHSQRHESSR >itb15g08150.t1 pep chromosome:ASM357664v1:15:5709545:5711452:-1 gene:itb15g08150 transcript:itb15g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGVWGSPFSRRVEVALRLKGVEYEFIEEDTSNKSPLLLKSNPIHKKIPVLLHNGNPLAESLVIVEYIDDTFPGTPILPQDPYHRALDRFWAKFIDDKLVSGAAKNAIFSVGEEQERGKEEVGELLKILDNELKKKKFLGGERIGLADIGGSFVALWMGVLGEVMGVDLDMTEEKFPHLCRWKKDFLKCDVIKEALPPRDKLVEFLTKRFKPEAASSASQ >itb15g23350.t2 pep chromosome:ASM357664v1:15:26163668:26166900:1 gene:itb15g23350 transcript:itb15g23350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNTNANIKIPANNAAEEVKGSSVFHDFLGKGCAPDSSPAPPPTARPPLDPSSGAGCGPVSTTSDMSSGQEASFRFSGSKRCSSDSNHSIMGSCKDRFPGVQPDSLATSHVMKVQLLRGAGGERPRGPPYNEEPSLGVHPMRPLSLSSLSMGARTYANTSKWDRVPISATPTLQYSPHATQAVSFGYQAPANRFRENNAGPSVISQAAADEGSRTGIKGSGGILRSINTSAGMSDNRTLSGVPLSGCKQSFGVQSSEPESSNPSRQGVASAGHQMTIFYGGQAHVFDDVHPNKADLIMALAGSNGGSWSTTYAPKSAARVSGEKNAVPAAGEKETGAGSGSAILRELQGRASLRVGSSRPFGSGDQSFLPPGNHQGGSMTKETRTAVQVAEALNEEKSDV >itb15g23350.t1 pep chromosome:ASM357664v1:15:26163623:26166994:1 gene:itb15g23350 transcript:itb15g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNTNANIKIPANNAAEEVKGSSVFHDFLGKGCAPDSSPAPPPTARPPLDPSSGAGCGPVSTTSDMSSGQEASFRFSGSKRCSSDSNHSIMGSCKDRFPGVQPDSLATSHVMKLLRGAGGERPRGPPYNEEPSLGVHPMRPLSLSSLSMGARTYANTSKWDRVPISATPTLQYSPHATQAVSFGYQAPANRFRENNAGPSVISQAAADEGSRTGIKGSGGILRSINTSAGMSDNRTLSGVPLSGCKQSFGVQSSEPESSNPSRQGVASAGHQMTIFYGGQAHVFDDVHPNKADLIMALAGSNGGSWSTTYAPKSAARVSGEKNAVPAAGEKETGAGSGSAILRELQGRASLRVGSSRPFGSGDQSFLPPGNHQGGSMTKETRTAVQVAEALNEEKSDV >itb01g33800.t1 pep chromosome:ASM357664v1:1:36775264:36778566:1 gene:itb01g33800 transcript:itb01g33800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRLPALFRTAVSNASPFSKLRFFSSPSAATQRAWQFYSDDADSTESAVYKHALKFQQPSTVQIKPHIHNSVSLIGTVDRPFRRFNTADGSLGGQTWLSVSTTSVSRPFKIKLKMWGDIAELSMVHLKWNDLIYVWGYLKSFVKAGQEGNPRVFHELIVKEINFVARHDKASTCQESKTIESWDEDRLEKSNSRLHLWQVFFSNPSEWWDNRKNKVNHKGPDFKHRYSGEALWLQQNDPPWIRRQLELLDSRPVVKDTGYSQVSPLKYDGEYY >itb01g33800.t2 pep chromosome:ASM357664v1:1:36775264:36778566:1 gene:itb01g33800 transcript:itb01g33800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRLPALFRTAVSNASPFSKLRFFSSPSAATQRAWQFYSDDADSTESAVYKHALKFQQPSTVQIKPHIHNSVSLIGTVDRPFRRFNTADGSLGGQTWLSVSTTSVSRPFKIKLKMWGDIAELSMVHLKWNDLIYVWGYLKSFVKAGQEGNPRVFHELIVKEINFVARHDKASTCQESKTIESWDEDRLEKSNSRLHLWQVFFSNPSEWWDNRKNKVNHKGPDFKHRYSGEALWLQQNDPPWIRRQLELLDSRPVVKDTGYSQVSPLKYDGEYY >itb13g16880.t2 pep chromosome:ASM357664v1:13:23827038:23830543:-1 gene:itb13g16880 transcript:itb13g16880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGFRANALLTFAVTIMALMCAIASFSDNFNSPSPTAQVEVLNINWFQKKPDGDDEVSLSLNISADLQSLFTWNTKQVFVFLAAEYETPKNALNQVSLWDGIIPAKEHAKFWIHTKNKYRFIDQGSNLRGKNFNLTLHWHVMPKTGKMFADKLVMIGFLLPETYR >itb13g16880.t1 pep chromosome:ASM357664v1:13:23827038:23830543:-1 gene:itb13g16880 transcript:itb13g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGFRANALLTFAVTIMALMCAIASFSDNFNSPSPTAQVEVLNINWFQKKPDGDDEVSLSLNISADLQSLFTWNTKQVFVFLAAEYETPKNALNQVSLWDGIIPAKEHAKFWIHTKNKYRFIDQGSNLRGKNFNLTLHWHVMPKTGKMFADKLVMIGFLLPETYR >itb06g21820.t1 pep chromosome:ASM357664v1:6:24348390:24350493:-1 gene:itb06g21820 transcript:itb06g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRNTTLASVDLERQAPKTSKLPTHHHLRPSFAKAMFALLCFFLLGCARFAKPADLGNGGAAPYFSQPSSVFLLAGQSNMAGRGGVVNDVWDGHVPTECRASGSVLRLSPGMIWEAAKEPIHLGIDVNKTVGIGPGMAFANAVLRKDSGLGVIGLVPCAAGGTNISEWGRGGHLYTRLIRRGEAAARGGATIQAMLWYQGESDTVNLEDAKKYRTRLRRFFNHVRSDLELPTLPIIQVALATQQGPYIDEVREAQFRVDLPNVKTIDAKGLQAGPDYLHLTTASQVQLGQMLAEAFLERMPLRLQTSSASRRHPSFVSGFLCRPFR >itb11g03640.t1 pep chromosome:ASM357664v1:11:1978404:1986657:-1 gene:itb11g03640 transcript:itb11g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMREEAAPAQDQRPPTCWWPSNSVDTFGSVSLDAKDETQRNEVLTDSEVYDSVLCQTASQILWKTGMLSEPIPNGFYSVIPERRLKELFDDIPTFDELCSLELEGIRADVILVDGKKDKKLSMIKQLTVALVKGLNSNPAAKIKKIARLVSDFYKRPNSELSHAKAPVEETSHVSDSHGIQMLGQIKHGSCRPRAILFKFLADAVGLESRLVVGLSTEGDSECIDSYKHMSVLVVLNSVELLVDLLRCPGQLIPQSAKAIFMTHLSAAGESDSAENDSCDSPLEPNSPLYGVSEKADLESVYKDDALQYKQQLEATSNAAGPSLRNMILRSSTLIDRKMSLSHSEPNIPTTFWRRSRRKIITEQRTASSSPEHPSFRGRSQSMLSGGQNTYQDYSKTVDMPRSEGATMSEVRRIRRSISITPEIGDDIVRAVRAMNESLKQNCILKEQQESRPLPGASIDKDGASALHNGASDFHTDDRGVILGGHASLFPFKREFMSSQKSVSLPSSPHDFGSETPEGSKASDLRVHEEMVSTWNRILESSVFQNKSLLPFEEWNINFSELTVGSRVGLVKICDFGLSRLMTDAPVRDITSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELYTLNRPWEGVPPQRVVYAVANEGARPEIPEPKGPLGRLIADCWSEPHVRPGCEEILKRLHSLS >itb09g11060.t1 pep chromosome:ASM357664v1:9:6913597:6914740:1 gene:itb09g11060 transcript:itb09g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHQSGLKRAKDPILFLFLLLLLFIFHLVMLEGSSQSHAVDEPSESPGLAGKLLLQPLTTAPEFRVDMESAGRRRLAPFQLCLACKCCVSAADPNTCATMPCCFGIDCQLPNKPFGVCAFVPKTCNCTSCAV >itb11g03320.t1 pep chromosome:ASM357664v1:11:1742367:1743820:1 gene:itb11g03320 transcript:itb11g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKWASVDLLSQEIFVQILSKLPAKSLVRFKCVSEFFCYLIVDHSFADLHRNWSLTLPSSRMSILISLPICVEDGRLRWHYTINYSEENQGILHANRLRYIDDDGNLFQKVYISSSVDGLICFCTYSRLGDAIAIHNLSTRKHISLPSSIPSVGRDSGSRMTAFGLLGFDSVSRRYKVLKSVHYTNRSYNRHVLVKHWVFTLGVDKSWREIHSSPAFHPCTCFNNHFYFRTSVHIDGIIYSLNSLNKGEIVTFDVRVENFSVTPPPPPATIPGLVEGPAGVDSGRSGLVEVDGRLAIVDLISDNLRYGMAIWILEELAWKKQYLIFIPIPMQEIHDFNKMILFVATNHVGEIAVLVRRNICLSILFYNFRRQSWRKFEICKLPLGSSMYPKLYPAMYFILDNIFVL >itb10g10710.t1 pep chromosome:ASM357664v1:10:15630999:15636135:1 gene:itb10g10710 transcript:itb10g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPGSGSSSAFSCFLSIVRVDFLVISTSEGRTIWVAWLGETQTEIFYTPELIMEREGRLLISDVDHEFVINDPQQHPYVMNTTATLLDTGNLVLRGGGRMLWQSFDHPNGNVWIPGMKLGWFGLQKTPQLQQRCLTSWTSEENPSPGAFRLCVDPNNTKQLIAMRRGVVYWHSGVWNGYNFPFLHGHSHRLSPCSSTSSSGCFDKEYVTPHDENHNYYSSSYSNTACHRPCPDFPSLLFKKKLHLLLHSGYMSPEYAMDGCFSEKSDIFSFGVMVMEIVSGKRNNGFYNPDRVSNLLGYIMFTGIWTPHRTTSGHHFSPSGIDILASLFGETTKLPSPSVAGYPGERRWAMGRRPRFCSRAEGGVGDLVLDLQPVSSMPLHSLGDAL >itb01g18130.t1 pep chromosome:ASM357664v1:1:23058192:23059161:1 gene:itb01g18130 transcript:itb01g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMEAKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYNAPEKAARAFDVAQYCLRGPTARFNFPDDPPDVPGGKWLSPAEIQDVAAHSGNNYRLEPLPENGGGGNGDGGVRDDDNTHVVEINDTSTSSSGGVQLEGIDWSFLDNLDAPFTSNNYFGLFHGDVYVPPNDYEIEYEDGESICHFSQQSFLWNF >itb10g19960.t1 pep chromosome:ASM357664v1:10:25628808:25629944:1 gene:itb10g19960 transcript:itb10g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKAAAGRVNPQNEEVRYRGVRKRPWGKYAAEIRDPARRTRVWLGTFETAEEAARAYDKAAREFRGAKAKTNFAPPLGDHQFPLNFGKKLGENNQNQSPSHSSTVESSSRDGGIFSPTPDLGFRGPPAGNPAWFPSMNYPPSGSPPVFPVAVNLSSQTLYLDALARGATTAIPPPNRHLETVDFLGGGAGTPTESDSSSIINNQPKKGGLNLDLNLPPPET >itb13g12530.t1 pep chromosome:ASM357664v1:13:18726219:18733640:1 gene:itb13g12530 transcript:itb13g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSTNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPVDRPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGRAKYNPAIDCLVWKIRKFPGQTEPTLSAEVELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >itb09g18070.t1 pep chromosome:ASM357664v1:9:13500644:13503755:1 gene:itb09g18070 transcript:itb09g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNSVCSIHYASRSNSPFTEKHSAERYQTDNWIYKNQLEQPSSCPLLADPDPTFVKDYDIAQQLPELKKLLQVLREKRGENGSGEKRGPGNVFLVGTGPGDPELLTLKALKVIQNADLLLYDRLVSNDVLSLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEVGANVVRLKGGDPLVFGRGGEEMDFLQQQGIEVKVIPGITAASGIAAELGIPLTHRGISNSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLASKLMYHGLPPDTPAAAVERGTTPHQRSVFSELNNLADEIASHQLVSPTLIIIGKVVALSPLWPHSTEGAPVLVEAKL >itb06g12130.t1 pep chromosome:ASM357664v1:6:16701125:16706047:1 gene:itb06g12130 transcript:itb06g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSSPVVKHASSSRGGGAGMVAVAVSRSSTRFLPSRSLVEISSDMLLTAIAVNSSADVDAQIQTTLPLAAIRHSKSKQEVASCRPRLPSLSAATDRHFPPTVEFSCLFNTDIATPKRRQLPPPVTAATISAKKVFNQDMYFKKTVKFDGKPMSHLESIASSAVRAAIKVKASVTICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKCFEYFVLELVPHLGPQPKNDVVEFCQLCLSLARYDVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIMFQLFYGLMGSWTAYLISVLYLMGSWTAYLISVLYVEYSWTAYLISVLYVEYRTRKEREKVDFRNHVIQASSSTALFFFLDLSFSSLHVQGTSETKSASVPEKAASLDMKT >itb10g20730.t1 pep chromosome:ASM357664v1:10:26185822:26188964:1 gene:itb10g20730 transcript:itb10g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGSTVQRISFLGLFLGIVSLCSAADRIQQGQWIKDDGENIVSEKDKFVLGFFSPEGTTNRYVGIWYYDITPQSVVWVANRENPILDKNGAFGLTGNGTFVVLDGKNVSVWNTNSSVTSSNATMVLRETGELIILKSPGWRPNNTIWTSFNHPTDSFLPGMRVNLSAGESKLFTCWTNSSNPSPGRFSMGIDPRASAQIVIWEGEKRYWRSGHWDGRVFLGVPIRTDFVYGFDLINEGNSLYLDYKSNPSDLIMFKVDWNGKEVQQRWDESRKQWNKIQEQPADECGVYNHCGKFARCVNSSSNGINCLCIDGFVPSDGNEWNARNWTGGCKRRTTLQCQKNSTEGGAEKDRFFEVKNIKLPDFADVDRNMRSPEDCKKKCEEDCSCNAYAPPVLGGIFCMLWRNELVDMMQLDEGSGSTLFVRLHPSELDNESSKTTIIVAVSVVAAVAVLVIVSIWLLCRRQARIRAAKKKDEIPRTELPSSGEFSDFSAQCDLTGVLPCGQEIAVKRLSRRSGQGVQEFTNELTVIAKLQHRNLVRLLGCCIEGDEKMLLYEYMPNKSLDSFVFDPAKRGELNWRKRFHIIEEIARGLLYLHRDSRLRIIHRDLKASNILLDGEMNPKISDFGMARIFGGNQNEANTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRTGDHSGIIEYAWEQWDQGKPMNLLDPSIFSSCVPQEVLRCIHIGLLCVQDLAVHRPNMSAVVLFLETDNVTLPVPRPPTYTASMRRSVDVDSWNQNNDLPCSNSITMSVIVGR >itb09g05890.t1 pep chromosome:ASM357664v1:9:3339209:3340976:-1 gene:itb09g05890 transcript:itb09g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFQFLSCFCLAYTFVPAIGSTLLFQGFNWESNKQKGGWYNSLINSIPDLANAGITHVWLPPPSHSVDAEGYMPGRLYDLDASKYGNKQQLQALVAALHDKGIKAVADIVINHRCADNKDSRGIYCIFEGGTPDNRLDWGPGQICKNDTEYSDGTGNDDTGEDFGPAPDIDHLNPRVQKELSEWMNWLKSEIGFAGWRFNFVRGYAANITKIYMENTTPEFAVGQFWSYLAYDSDGKPAYNQDDRRNELSQWVQNSGGAVTAFDFTTKGILQLAVLGELWRLKDPNGKPPGLIGISPENAVTFIDTHDTISTDNKWPFPGDKVIQGYAYILTHPGVPCVFYDHFFDWGLKDEISKLISIRNSNGISETSSVEILAADANVYVAKIDDKVIVKIGPKYDVGKLIPSNFNMVTFGQDYAVWGAEAW >itb02g10330.t1 pep chromosome:ASM357664v1:2:6645488:6649633:-1 gene:itb02g10330 transcript:itb02g10330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTYLTLLPLLLLSGAASGLLVADRPLGLVSDGGSAGGAGECEQTYGFLPCTHTALGNLFLIIVYGYLMYLAATYLSSGSELLLQLLGPGLIGGLFLPILGALPDAMLILVSGLSGSAVEAQSQVSVGMGLLAGSTVMLLTVIWGTCVLVGKCDIQNSVAVDLRDTKGYSFTGSGVTTDVWTRYAATIMAVSVIPFVVVQLPQLLHSSSGRHLTVLIALVLSLSLLISYCLYQVFQPWIQARRLAYSKHKHVVSGVLKQLKMHALGRLSTDQGTPNLEVLQKLFKRVDENGDGRLSQSELKALVVGISLDGVNLDENDAVEKVMKDFDTSNDGEVDFDEFVAGVDKWLDEFYGSRVCPESISDFDYFQEQTKREHYLLGDQSDEATEGVENPKWTSIKAASLLLLGTAIAAAFADPLVDAVDNFSTATKIPSFFISFVALPLATNSSEAVSAIIFASRKKLRTASLTFSEIYGAVTMNNVLCLSVFLGLVYARGLTWDFSSEVLVILIVCIVMGVFGGTRSTFPLWTSLLAFLLYPFSIALVYVLDFKCGWT >itb11g20090.t1 pep chromosome:ASM357664v1:11:21021070:21035009:1 gene:itb11g20090 transcript:itb11g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEAQNSSQILVGMIVAILLFLVFLAKVWNKSREVRSTGKRFPPGGNGWPIIGDSFNWYKAVASSHPPRFVEQQVQRYGKIFSCRIHGKRAVVSAEAGFNRFVLQNEGRLFRSSYPKSFRDLVGKNGVITVHGEKQRKLHSIASNMMPLEKLRFHFLHDTQTVIRRTLHGLQDAQVVPLQDVCRKVAIKLMVNQLLGESSESEVDEMAKLFSDFADGCLSLPIHFPGFAYHTAMKARESIIEKINKTIESHRKQVSQGIGSGLLRRLMEEQSIPDDGLADFILNLLFAGNETTAKTMAFAVFFLTHCSTAMKQLLDEHESLLRTKSGFCDDMITWEDYKAMHWTQCVIDETLRIGGIAICLMREATVDIQYQDYIIPKGCFVVPFLSAVHLNDDIYPEPLTFNPWRWMVPENQDMRNWKNSQYFMPFGGGARFCPGAELARLQVAIFLHYFVTTYKWEQVKEDRMSFFPSARLVNGFEVQLTRI >itb06g04960.t1 pep chromosome:ASM357664v1:6:7729862:7730413:1 gene:itb06g04960 transcript:itb06g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFSANESTLIVRCSYAVDAHTTMKAKLNNHDKLGALVQHELKPKSSLTVLGRLTPRQWIKSPNLRHNVCCRAGFSQGNFKLVIPVDGGGGERQSFADDLLQCGPAFPATQTTAMPLSRACNAPLRTIASIKKSGLQKSTSTSEG >itb13g04610.t1 pep chromosome:ASM357664v1:13:5537054:5537566:1 gene:itb13g04610 transcript:itb13g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLAAAGACTPSGKIRGKKPPPGECNQENGSDCCKAGKMYTTYKCSPPVTGNTKATLTLNSFQKGGDGGGPSECDNQYHSDDTPVVALSTGWYSGGSRCLNNIKISANGRSVTAMVVDECDSTMGCDDEHDYQPPCENNIVDASKAVWEALGLDQNEGEHDITWTDA >itb04g03490.t1 pep chromosome:ASM357664v1:4:2142644:2143589:1 gene:itb04g03490 transcript:itb04g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSLIILLLLSFSIFPFIIHGTNEKEKQTHLIHKTCKISAKTDPNINYAFCTSALQAKPAKQCATLRRIGTTCIKLVMTNVTDTRHHIQGLVKGGKVKHDLRKCIDHCYEMYTDAAPDVGGALSAYRDKKYGDANIKLSAVMDAAADCEDLFGRSRRHGGGCGGVSPLSERNNATFQLSAMALSVMHLIKEGKAH >itb02g16210.t1 pep chromosome:ASM357664v1:2:12036118:12036677:-1 gene:itb02g16210 transcript:itb02g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLAWARHSSVRKRELECAFPARKRSDKAAKKRAFSRGAQVNSQKREKNTNKTKNGRTIRKTLLPHLISTSQKPLLTASPLCSLPHLHRESSLPSRNPSTSPHFNFSKPSVMRLCSLSHPHSKSSLSLTFLAGVAASRSLSVFCPLLTLNS >itb02g00870.t2 pep chromosome:ASM357664v1:2:488140:490657:1 gene:itb02g00870 transcript:itb02g00870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEHDYIGLSEASSMERSSEKISSSGSSSTVCTEVEESNDLNLKETELRLGLPGSESPERKAGPAGAGVCLFGKDLEDRSHVGFSPLKNFVSGAKRGFSDAIDGSGKWDLSMNGGSESDWGKTEVLFSLKGGNSGPKDTKTCLPGPPMKEVAPPPPKPAEEKKPSPINENVGAPAPKAQVVGWPPVRSFRKNTLVKKNSDVEAKPGPGCIFVKVSLEGAPYLRKVDLKSYANYSELSSALQKMFGCFTVGQCSSDGFPGQKKLDESNLIDLTSGSEYVLTYEDKDGDWMLVGDVPWEMFTESCKKLRIMKSADAIGLGMSLFWNSRVSCFPAVKNNHK >itb02g00870.t1 pep chromosome:ASM357664v1:2:488136:491230:1 gene:itb02g00870 transcript:itb02g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLEHDYIGLSEASSMERSSEKISSSGSSSTVCTEVEESNDLNLKETELRLGLPGSESPERKAGPAGAGVCLFGKDLEDRSHVGFSPLKNFVSGAKRGFSDAIDGSGKWDLSMNGGSESDWGKTEVLFSLKGGNSGPKDTKTCLPGPPMKEVAPPPPKPAEEKKPSPINENVGAPAPKAQVVGWPPVRSFRKNTLVKKNSDVEAKPGPGCIFVKVSLEGAPYLRKVDLKSYANYSELSSALQKMFGCFTVGQCSSDGFPGQKKLDESNLIDLTSGSEYVLTYEDKDGDWMLVGDVPWEMFTESCKKLRIMKSADAIGLAPRAAQKFKIQK >itb08g00280.t1 pep chromosome:ASM357664v1:8:261733:268416:-1 gene:itb08g00280 transcript:itb08g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRSEKNRIRVAFKLQFYATQVTGDALMISVVPADVGKPTLKLEKAVVHNGSCYWENAVYETVKFNRDQKSGKIHERIYNFVVGTGSLKAGVVGEASVDLSSYAEATKVSYVSLPLKNSKSGAILHVSIKRVQDSTDQSSIVESEHAKNNPQDRTFKPQFSNVDMEGGLKTNSVDETPLNKIVSHVPTLNGRTESSESDTTLSSSESSLGLDTPREVEMKKSNIHENGVSSLSSLNNGLVPPRPITDVPVIHDHQKSQWEWLGGSVLEVSTDGSSNTPTETLLEASENASDVIEKLRSELTALARQADLSELELQTLRKQIVKENKRAQDLSRETINLKEERDMLKEECEKLKASQRDLADAKSKDKRLCPDSKDVQDIVDELRQELNYQKEINANLQIQLQKTQESNSELILAVHDLDEMLEQKNQEIMNLSSNSVICDNAVNLRIANSKHGITDHEDEEQKALEQLVRGHSDAKDVFLLEQKIMDIQREIDICRRDKDELEMQMEQLALDYEILKQENHEMHYKLEQSQLQEQLKMQYECSSSYATVNELEGQIEGLEDELKKQSKEFSDSLVTINKLEAQIEGLEDELKKQSKEFSDSLVTITKLEAHAKSLEEELEIQAQGFETDLEALTLAKVEQEQRAIRAEQELRKTQWQNANTAERLQMEFKRLSYQMASSFEANEKIATKALTEANELRLQKRHLEEMLQKSSEELHSVREHYEAMLHELPYQVIMMLGSEIDEESMQLENVEKCTENLSEQMLMLKAEIEKLVADTKALPEYAKKCESLTAELENTRKSMKEMELMLEQGNGERTELESRLASVQKEAEESLKEKETLVRKLQEEIDVLRTQCSELRNSLFEDELEKERLRKHMLHLKGDLKKKDDALNSLDMNLKDANNRAKTVASLKEKIKLLEDQITLKENALETSCNAFLEKEKDLQNKIEELEARLEELNQNSFCKFLSAKVATEDLSVNSGTTEQVKSSSSLSGEEMKASVSSDTDKLLNEVALLKEKNTLMEGELKEMQQRYSEISLKFAEVEGERQQLVMKLRNRKNAQKSLQVPLL >itb06g14100.t3 pep chromosome:ASM357664v1:6:18704767:18709700:1 gene:itb06g14100 transcript:itb06g14100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MSSNTDIDLPLEKLSLGLIQQHNPPIPKTEKITYVVLVSTGSFNPPTYMHLRCFELARDALNSQGFCVIGGYMSPVNDAYKKKGLISAKHRIAMCHLACKSSDFVMVDPWEASQVTYQRSLTVLSRIKTSLLDSGLASSDSLKVMLLCGSDLLESLSTPGVWIPEQVRTICRDFGLVCVRRDCQDVEKIIAKDDILNAYNTNIKVVDEVVPNGISSTGLRDCILKGLSIKYLTADEVIDYIKQQSLYQEVQN >itb06g14100.t6 pep chromosome:ASM357664v1:6:18705399:18709700:1 gene:itb06g14100 transcript:itb06g14100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MSPVNDAYKKKGLISAKHRIAMCHLACKSSDFVMVDPWEASQVTYQRSLTVLSRIKTSLLDSGLASSDSLKVMLLCGSDLLESLSTPGVWIPEQVRTICRDFGLVCVRRDCQDVEKIIAKDDILNAYNTNIKVVDEVVPNGISSTGLRDCILKGLSIKYLTADEVIDYIKQQSLYQEVQN >itb06g14100.t2 pep chromosome:ASM357664v1:6:18704318:18709719:1 gene:itb06g14100 transcript:itb06g14100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MSSNTDIDLPLEKLSLGLIQQHNPPIPKTEKITYVVLVSTGSFNPPTYMHLRCFELARDALNSQGFCVIGGYMSPVNDAYKKKGLISAKHRIAMCHLACKSSDFVMVDPWEASQVTYQRSLTVLSRIKTSLLDSGLASSDSLKVMLLCGSDLLESLSTPGVWIPEQVRTICRDFGLVCVRRDCQDVEKIIAKDDILNAYNTNIKVVDEVVPNGISSTGLRDCILKGLSIKYLTADEVIDYIKQQSLYQEVQN >itb06g14100.t4 pep chromosome:ASM357664v1:6:18705399:18709700:1 gene:itb06g14100 transcript:itb06g14100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MHLRCFELARDALNSQGFCVIGGYMSPVNDAYKKKGLISAKHRIAMCHLACKSSDFVMVDPWEASQVTYQRSLTVLSRIKTSLLDSGLASSDSLKVMLLCGSDLLESLSTPGVWIPEQVRTICRDFGLVCVRRDCQDVEKIIAKDDILNAYNTNIKVVDEVVPNGISSTGLRDCILKGLSIKYLTADEVIDYIKQQSLYQEVQN >itb06g14100.t5 pep chromosome:ASM357664v1:6:18704970:18709700:1 gene:itb06g14100 transcript:itb06g14100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MCHLACKSSDFVMVDPWEASQVTYQRSLTVLSRIKTSLLDSGLASSDSLKVMLLCGSDLLESLSTPGVWIPEQVRTICRDFGLVCVRRDCQDVEKIIAKDDILNAYNTNIKVVDEVVPNGISSTGLRDCILKGLSIKYLTADEVIDYIKQQSLYQEVQN >itb06g14100.t1 pep chromosome:ASM357664v1:6:18704318:18709719:1 gene:itb06g14100 transcript:itb06g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MSSNTDIDLPLEKLSLGLIQQHNPPIPKTEKITYVVLVSTGSFNPPTYMHLRCFELARDALNSQGFCVIGGYMSPVNDAYKKKGLISAKHRIAMCHLACKSSDFVMVDPWEASQVTYQRSLTVLSRIKTSLLDSGLASSDSLKVMLLCGSDLLESLSTPGVWIPEQVRTICRDFGLVCVRRDCQDVEKIIAKDDILNAYNTNIKVVDEVVPNGISSTGLRDCILKGLSIKYLTADEVIDYIKQQSLYQEVQN >itb07g04740.t1 pep chromosome:ASM357664v1:7:3181120:3185042:-1 gene:itb07g04740 transcript:itb07g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIPSSSSSPIISLLVFFALLVFSVCPVRPQETAAFNQTFRPGDELRKMKMIRDHLMKINKPAVKTIQSPEGDIIDCVPSHKQPAFDHPRLKGQKPLDPPERPKGHDTMNERLYENFQLWSLSGESCPEGTVPIRRTFEDDILRASSVRRFGRKIVAPVRRDSTSNGHEHAVGYVSGEEYYGAKASINVWAPRVANQFEFSLSQMWVISGSFGDDLNTIEAGWQISPELYGDKYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQYDISILIWKDPKHGNWWLEFGSGNLVGYWPSFLFTHLRSSASMVQFGGEIVNSKTSGASHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNSLIPLSNLKVLADHPSCYDIQGGINRVWGNYFYYGGPGRNSRCS >itb10g02250.t3 pep chromosome:ASM357664v1:10:1891962:1894524:1 gene:itb10g02250 transcript:itb10g02250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKSRGGGVGGGAEKVSRSVISKKWTLFLCIGSFCAGMFFTNRMWRVLPESQEISITPTSEDEGLKLGSQGCDTKYAKYVKVSKGVFGEDHRKDEAIKTLDKTISSLEMELAAAKAAQGSILGGGTVMEDNEKSGLSRRRKYFMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHGAMSGGILDRAIDAEDSKHGDFLRLDHVEGYLELSAKTRTYFATAVKLWDAEYYIKVDDDVHVNIGTLGETLARHRKKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGDNGNKYFRHATGQLYAISKDLATYISVNQLRMFQLLF >itb10g02250.t2 pep chromosome:ASM357664v1:10:1892715:1895287:1 gene:itb10g02250 transcript:itb10g02250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLPESQEISITPTSEDEGLKLGSQGCDTKYAKYVKVSKGVFGEDHRKDEAIKTLDKTISSLEMELAAAKAAQGSILGGGTVMEDNEKSGLSRRRKYFMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHGAMSGGILDRAIDAEDSKHGDFLRLDHVEGYLELSAKTRTYFATAVKLWDAEYYIKVDDDVHVNIGTLGETLARHRKKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGDNGNKYFRHATGQLYAISKDLATYISVNQHVLHKFANEDVSLGSWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSVDRIKEVHRRCGEGEKALWNASF >itb10g02250.t1 pep chromosome:ASM357664v1:10:1891953:1895301:1 gene:itb10g02250 transcript:itb10g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKSRGGGVGGGAEKVSRSVISKKWTLFLCIGSFCAGMFFTNRMWRVLPESQEISITPTSEDEGLKLGSQGCDTKYAKYVKVSKGVFGEDHRKDEAIKTLDKTISSLEMELAAAKAAQGSILGGGTVMEDNEKSGLSRRRKYFMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHGAMSGGILDRAIDAEDSKHGDFLRLDHVEGYLELSAKTRTYFATAVKLWDAEYYIKVDDDVHVNIGTLGETLARHRKKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGDNGNKYFRHATGQLYAISKDLATYISVNQHVLHKFANEDVSLGSWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSVDRIKEVHRRCGEGEKALWNASF >itb06g03740.t1 pep chromosome:ASM357664v1:6:6160749:6164416:-1 gene:itb06g03740 transcript:itb06g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEEPAIGIPYNSSYQAPAPPTQQQQYFVQQNPYKAGVIPPNAIVGDPKGILIQQTMYRDTPAPFNCIHRGNSGLTLVKEVWKSRKPVSKLLTMVEEQFHFVLLPFMAQGHTIPMIDLAKLLANLGVRVTILQTPQNASRVKSVIVRAQESGLPIQILQISFPCTEVGLPEGCENVDLLPSFDLMVQFYEAVRMLQPQVEGLLREMKPSPSCIIADMNFPWATNVAQRLKIPRIVFNGMCCFSLLCLHNIRNWSGIESVESESVYFQVPGLPDSIEVTKAQISQMFTPSSAGQKDIAKEIEDAEGNALGIVINSFEELESEYTKEFEKAKGKKVWSIGPVSLCNKEDSDMVERGNKATIDKHQCLKWLDSKETTSVLYVCLGSLARLPTSQMIELGLALESSKRPFIWVIKHISNEFQNWLQRETYEERVKGQGLIIFGWAPQVLVLSHPSVGGFLNHCGWNSTLEAITSGVPLITWPMFGEQFLNERLVVDVLKTGVRAGVELPVLVGREEETGVQVNRDDIALAIEKVLGGGEEAEMRRKRMKGLAEMARTAVEEGGSSFLNIAKLIQDVAEETNTLKSV >itb09g16700.t1 pep chromosome:ASM357664v1:9:11864456:11865415:1 gene:itb09g16700 transcript:itb09g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSTPCIATLSCLLLLLSSFSQSQTQLSPTFYDKTCPNALNIIRTTVRQAVSSERRMAASLVRLHFHDCFVQGCDASILLDDSLTIKSEKTALPNLGSVRGYDVIEAAKRELEKACPGIVSCADVLSVAARDATAAVGGPSWIVKLGRRDSTMASRTIDLPSPFANLDSLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNHTDIDASFANTRRRNCPNDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYARSPQAFQTDFASAMIKMSEIQPLTGQNGIIRKVCGALN >itb02g18100.t3 pep chromosome:ASM357664v1:2:14499705:14507051:-1 gene:itb02g18100 transcript:itb02g18100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKPIDHGYHMPAEWEPHSQCWIGWPERPDNWRDYAVHAQRVFARVAQAISRFEHVTVCASSAQWNNARDQLPKHIRVVEMSMNDSWFRDTGPTFVIRKGAESSQNLEPSVAGIDWTFNSWGGIEDGCYADWSQDLLVARKILSIERSPRFPQTMILEGGSIHVDGEGTCLTTEECLLNKNRNADMTKEEIESELKAYLGVSKIIWLPRGLYGDDDTNGHIDNMCCFVKPGVLLLSWTDDKDDPQHERSLEALTVLENSTDAKGRKLQVIKLHIPGPLHMTQEEADGLVEGDGKPRLPGTRLAASYVNFYIANGAIIAPQFGDKWDDEAVRVLSHAFPDHE >itb02g18100.t4 pep chromosome:ASM357664v1:2:14499666:14507051:-1 gene:itb02g18100 transcript:itb02g18100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKPIDHGYHMPAEWEPHSQCWIGWPERPDNWRDYAVHAQRVFARVAQAISRFEHVTVCASSAQWNNARDQLPKHIRVVEMSMNDSWFRDTGPTFVIRKGAESSQNLEPSVAGIDWTFNSWGGIEDGCYADWSQDLLVARKILSIERSPRFPQTMILEGGSIHVDGEGTCLTTEECLLNKNRNADMTKEEIESELKAYLGVSKIIWLPRGLYGDDDTNGHIDNMCCFVKPGVLLLSWTDDKDDPQHERSLEALTVLENSTDAKGRKLQVIKLHIPGPLHMTQEEADGLVEGDGKPRLPGTRLAASYVNFYIANGAIIAPQFGDKWDDEAVRVLSHAFPDHELSETAITPID >itb02g18100.t2 pep chromosome:ASM357664v1:2:14499705:14507051:-1 gene:itb02g18100 transcript:itb02g18100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKPIDHGYHMPAEWEPHSQCWIGWPERPDNWRDYAVHAQRVFARVAQAISRFEHVTVCASSAQWNNARDQLPKHIRVVEMSMNDSWFRDTGPTFVIRKGAESSQNLEPSVAGIDWTFNSWGGIEDGCYADWSQDLLVARKILSIERSPRFPQTMILEGGSIHVDGEGTCLTTEECLLNKNRNADMTKEEIESELKAYLGVSKIIWLPRGLYGDDDTNGHIDNMCCFVKPGVLLLSWTDDKDDPQHERSLEALTVLENSTDAKGRKLQVIKLHIPGPLHMTQEEADGLVEGDGKPRLPGTRLAASYVNFYIANGAIIAPQFGDKWDDEAVRVLSHAFPDHE >itb02g18100.t1 pep chromosome:ASM357664v1:2:14499666:14507052:-1 gene:itb02g18100 transcript:itb02g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKPIDHGYHMPAEWEPHSQCWIGWPERPDNWRDYAVHAQRVFARVAQAISRFEHVTVCASSAQWNNARDQLPKHIRVVEMSMNDSWFRDTGPTFVIRKGAESSQNLEPSVAGIDWTFNSWGGIEDGCYADWSQDLLVARKILSIERSPRFPQTMILEGGSIHVDGEGTCLTTEECLLNKNRNADMTKEEIESELKAYLGVSKIIWLPRGLYGDDDTNGHIDNMCCFVKPGVLLLSWTDDKDDPQHERSLEALTVLENSTDAKGRKLQVIKLHIPGPLHMTQEEADGLVEGDGKPRLPGTRLAASYVNFYIANGAIIAPQFGDKWDDEAVRVLSHAFPDHELSETAITPID >itb03g07310.t1 pep chromosome:ASM357664v1:3:5345011:5347551:-1 gene:itb03g07310 transcript:itb03g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGGGGSGGRGGGAGGGGGNSHPHHHQNSSSSNAATEISPETWFLYRNDQEIPAAYKGFELWQEHQPSIRHHQHLNSLQDLYPSSSTVGLGVGPSRNPPGANNNMSSSSPAAADEPSRSAAFVMMRSGAGSGGAGGGISISCQDCGNQAKKDCSHMRCRTCCRSRGFQCQTHVKSTWVPASKRRERLSSLQDAQQQQQQIHSRETPKRQRDNDDNNPPTSSSLLCTSHRLPSTISGLEMGNFPAKVSLNAAFQCVRMRSVDDSEDQYAYQTAVNIGGHVFKGILYDEGPESQYMTAGESSSGGGSGSAGLHTQHNLLSSGAAATATSAATTSASGGTTAAAEGGGQAAFFDPSLYPAPLSTFMAGTQFFPPPRS >itb04g06740.t1 pep chromosome:ASM357664v1:4:4443729:4446356:1 gene:itb04g06740 transcript:itb04g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGDVFSIPSSLKPTPPPQDPNPNPKPNPSSKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRPKTEQVKRKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITSVAANTLNFRNNNESLMNPQQNLPHGLSSGAGAGIPQIPAVFQQKPRLSLWLDQAAGNSHHHLQMPGNSGGIFGGSGSSLPEILQMGNSPMMYGSSPIESFGTSNLSLSPLAPPQGLQDQEGMGMSKAETLSSMYSDNSLQTSTKSSAGAAAMSATALLQKAAQMGSTKSSAPTAFFGNSFGLMTSSSSSSNNRSELQHMMSQNNNGFDIAAENSSCLMQRQQGVPLNGVNVNAHHLTRDFLGMGSEDGRPFLAEELAKFASSMGSAAMGLSHFSTGH >itb09g00500.t1 pep chromosome:ASM357664v1:9:386460:395613:-1 gene:itb09g00500 transcript:itb09g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEANSSAPSSSSGPTTRAAKRARLSVSSTPTSTTATATNSASIPTRSRIATRSQDSLLSSTPMDSTNESSGSAPRSRRGKNPTHAPDKDNSDKGKEKEQVRDRDRDREAERSLRLNIDSGGADDDDNDSEGGVGMLHPNLSSASSALQGLLRKLGAGLDDLIPSSAIGSTSSSHQSGRLKKILSGLRADGEEGKQVEALSQLCDMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLVDVLPSSCAAVVHYGAVSYFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALFTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDSKVLEHASICLTRIAEAFASSPEKLDELCNHGLVREAAALISSSSSGGGQSSLSTSTYTGLIRLLSTCASGSAIGAKTLLHLGISGILKEILSGSGLVSTMSVSPALSRPPDQIFEIVNLANELLPPLPQGTISLPVSSNLFIKGSFTKKFCAGGSSKQEDVNASSQDIAQEVSAREKLLNEQPEFLRQFGMDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSTADMIQSLNSVTNISSFLAGVLAWKDPQVLVPALQIAEILMEKLPGFFSKMFVREGVVHAVNALIIAEAHVTPSQPPSFEKDNDCITGSSRSRRYRRRGGNSNIDMSSTEELKNSVPNLLSPPNSVEVPNVNSNIRMTVSACAKRFKEKYFPSDLESTEAGVTDDLLRLKNLCNKLNAGIDGQMTKSKGKSKTSGPRLGDISTSKEENLAGLITEMLGELSKGDGVSTFEFIGSGVVTSLLNYFTCGYFSKERISNANLSRLRQQAMRRYRSFIAVALPPGVEGSSVPMGILVQKLQNALSSLERFPVVLSHTSRSLSGSARLSSGLGALAQPFKLRLCRAQGEKTLRDYSSNVVLIDPLASLAAVEDFLWPRIQRIESGPKAPASAGNSEAWSVLPASSTTPSVPPRHSTRSRSVTNMNDGAKESSQENNASSSKGKGKAVLKSGQEEGRGPQTRNAARRRAALDKEAQAQPVNGDSNSEEDELVSPVDIIDDLVIEEDDISDDDDEDDRDDVLRDDPLDSLPYCMPEKVHDVKLGDSSEESPVVQTPSNSQANAGGGSSSRDGSIRGSDSLEFRGGSSYSSRGAMSFAAAAMAGLGSSNGRGVRGGSRDRQGRPIFTSNDTGRLIFSAGGKQLNRHLTIYQAIQRQLVLDDDDDDRHGGNDFVSSDGSRLWSDIYTITYQRADNQADRGMNGTGTSTSSKSKKASSSTALSEDSSLHASLLDSILLGELPCDLEKSNPTYNILALLRVLDILNQLAPRLQFLSVIEDFSEGKISSLDELSVSSVKIPPEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQGADGSTNEREVRFGRLQRQKVRVSRNRILDSAAKVMDMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKAGLGMWRTGLTFHKHGMEMDVDGEVNGKTVDGGEQDLIIAPLGLFPCPWPPSAENSEGQPLFKAIEYFRLLGRVMAKALQDGRLLDLPLSTAFYKLVLGQELDLYDILSFDTELGKTLQELQALVYRKQHLESMGNNSQVKITDLCFRGTPVEDLCLDFTLPGYPEYILKAGDENVDLSNLEEYISLVVDATIKTGIVRQIEAFRSGFNQVFDISTLQIFSPTELDYLLCGRRELWKAETLVDHIKFDHGYNAKSPAILNLLEIMGDFSPEQQRAFLQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSTGNTSVSGNAASESADDDLPSVMTCANYLKLPPYSTKEIMYKKLVYAINEGQGSFDLS >itb15g04960.t1 pep chromosome:ASM357664v1:15:3205308:3207103:-1 gene:itb15g04960 transcript:itb15g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFFHFLLSLIPFLFFIIIFLKHQKPTKPGFKFYPLVGALPGFIKNRHRFLDWSTDVLAACPTNTAVFRRPGKVHGVMTANPLNVEHMLKANFQNYPKGDRFISLLQDFLGAGIFNSDGDQWRTQRKTASYEFSTRSLRNFAMETANMELHTRLIPILRDAAEGDSVLDVQDILERFAFDNICKVAFNVDPGCLGGDGNKSGEFMQAFEDAATLSSGRFMYALPFFYRIKKFLNIGSERKLQKSIQIVHKFADEIILARIKHRARTDRSVGYSSELSGKTGEDLLSRIKHPAHTDSSVDYQPELSGKTGEDLLSRFMGTTEEYTPMYLRDIVISFILAGRDTTSSALTWFFWLLSSRPDVEHTILKDIKKVRLHKTGESSHYTLDDLREMHYLHAAISEAMRLYPPVPVDTKACLEDDVMPDGTFVGQGWFATYHTYAMGRMESIWGKDCCEYKPERWLAENGEYRPESPFRFPVFHGGPRMCLGKEMAYIQMKGIAASILERFEIEVLSEEKGKVPDFVLSLTLRMKGGLLVKVKERKDDELVC >itb02g09610.t1 pep chromosome:ASM357664v1:2:6179208:6179549:1 gene:itb02g09610 transcript:itb02g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNMTVFILICIFTAHVSCCCILALRNKEAKNKRNAARRDGNMVVLSGAGAAVAGAAAASESKAAEEKRREVEVQIDVGAVAEATDMVGCCCCGGGGGGSDGSGCGGGCGGD >itb11g06050.t2 pep chromosome:ASM357664v1:11:3613083:3617439:1 gene:itb11g06050 transcript:itb11g06050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKAQAQSLKELALEYGSHITTLRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEANKGANWYLNTHVSSSLKSSLKFSALVNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYDDLTKAVQDKVTPATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVVYSFRDSDVGYCQGLNFVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTYNLSGCHVEQRVFKDLLRKKCPRIASHLDALEFDVSLVCTEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMCEEEVLLAHQVGDVINIIQRTTHHLFDPDDLLTVAFDKIGFMMTTSISKQRKKQEPAVLAELDERSRRLHSLNGEDK >itb11g06050.t3 pep chromosome:ASM357664v1:11:3613083:3617439:1 gene:itb11g06050 transcript:itb11g06050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKAQAQSLKELALEYGSHITTLRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEANKGANWYLNTHVSSSLKSSLKFSALVNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYDDLTKAVQDKVTPATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVVYSFRDSDVGYCQGLNFVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTYNLSGCHVEQRVFKDLLRKKCPRIASHLDALEFDVSLVCTEWFLCLFSKSLPSEVNNYILPFLHP >itb11g06050.t4 pep chromosome:ASM357664v1:11:3613083:3615427:1 gene:itb11g06050 transcript:itb11g06050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKAQAQSLKELALEYGSHITTLRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEANKGANWYLNTHVSSSLKSSLKFSALVNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYDDLTKAVQDKVTPATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVVYSFRDSDVGYCQVDSISPRKKRKKRQIMGFLSYVCFLRKVKFKAFGPL >itb11g06050.t1 pep chromosome:ASM357664v1:11:3613083:3617439:1 gene:itb11g06050 transcript:itb11g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSKAQAQSLKELALEYGSHITTLRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEANKGANWYLNTHVSSSLKSSLKFSALVNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYDDLTKAVQDKVTPATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVVYSFRDSDVGYCQGLNFVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTYNLSGCHVEQRVFKDLLRKKCPRIASHLDALEFDVSLVCTEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMCEEEVLLAHQVGDVINIIQRTTHHLFDPDDLLTVAFDKIGFMMTTSISKQRKKQEPAVLAELDERSRRLHSLNGEDK >itb04g22840.t2 pep chromosome:ASM357664v1:4:28100051:28106030:-1 gene:itb04g22840 transcript:itb04g22840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNADRKGYCIDDRNSRDIATNLAKGNQGEPSLHDKSAWISTRSRSIHHVEQPSNLPAIHEICNISAGTKITPGASSVQFLDDQNSKFLSNKAKEKGGNDSAAESAGKFLFAVAQEDMQMKASTGDKTERADIKGSESLRMRLREILETVSSPKKLRSNSEVLDIDAEGSHTERVVAENHILKASLDTIENDSQSHNPMVSRPFTRKRAPSKLQRGKSNKIVAPCAQEKRLEKEIFYFGEGRAKRSFDAATGGSLTKHEILTKRKSSEIEAHEISVDLDADKNQEQNPKCNKVSSVERSTGNTQSHGTSTSVSLELRVDTSEKDLYKSPVVEKTEQLRDNSLKLRENLYHSEDLANSSLKENFDPKCDVQHPTFPTKTPLERHFLGSLQRSKKEELDEHSHTEIIFNPKGIQKFKNLQRLRQENKSNEQDASSDDAAVSEYPPPLKQKSLIGEDNHVKLSQSPSDDRYSESSEDGVHVKGCRKSPSLSPEIGTAEKPNFAFKPGKVVFNEKGSTKFRGSILNQGTPKELEDSGSLLRQSEQSEEDGFDRAVALFGFALQRVKTKMKSMADKRSAEILKSAAEGIHRELQTAEIQILADLRTVTSKSKANQKHLEAILQEQQQQLDAIYVRFKEQVNQHLQDCRITLEHLEEHESELKATVEKQKVSKRKLLLQLEEEVETRLVDAHRSITAVHHLTRDKMHQLKLVVAECLKLS >itb04g22840.t1 pep chromosome:ASM357664v1:4:28100051:28106030:-1 gene:itb04g22840 transcript:itb04g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGGRKLQDDCKCQSFGNNHHPCSQPRKISIGVVVDSVAKCKSMSGNADRKGYCIDDRNSRDIATNLAKGNQGEPSLHDKSAWISTRSRSIHHVEQPSNLPAIHEICNISAGTKITPGASSVQFLDDQNSKFLSNKAKEKGGNDSAAESAGKFLFAVAQEDMQMKASTGDKTERADIKGSESLRMRLREILETVSSPKKLRSNSEVLDIDAEGSHTERVVAENHILKASLDTIENDSQSHNPMVSRPFTRKRAPSKLQRGKSNKIVAPCAQEKRLEKEIFYFGEGRAKRSFDAATGGSLTKHEILTKRKSSEIEAHEISVDLDADKNQEQNPKCNKVSSVERSTGNTQSHGTSTSVSLELRVDTSEKDLYKSPVVEKTEQLRDNSLKLRENLYHSEDLANSSLKENFDPKCDVQHPTFPTKTPLERHFLGSLQRSKKEELDEHSHTEIIFNPKGIQKFKNLQRLRQENKSNEQDASSDDAAVSEYPPPLKQKSLIGEDNHVKLSQSPSDDRYSESSEDGVHVKGCRKSPSLSPEIGTAEKPNFAFKPGKVVFNEKGSTKFRGSILNQGTPKELEDSGSLLRQSEQSEEDGFDRAVALFGFALQRVKTKMKSMADKRSAEILKSAAEGIHRELQTAEIQILADLRTVTSKSKANQKHLEAILQEQQQQLDAIYVRFKEQVNQHLQDCRITLEHLEEHESELKATVEKQKVSKRKLLLQLEEEVETRLVDAHRSITAVHHLTRDKMHQLKLVVAECLKLS >itb12g01650.t2 pep chromosome:ASM357664v1:12:1106125:1109031:-1 gene:itb12g01650 transcript:itb12g01650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETGVLNQIGGNNRMYCSDYGPKNLRRLVIDNDGNLRVYTFDRSSGNWTAVWQAIYQLCHVHGTCGNNSICMYDAATAKTSCLCPPGFRKTSPDSCERKTPMSGNTKFLSLDFVNFTGGANQTDLKADNVTDCQKKCLNKENCLGFMMKYDGTGYCVLQLETLAYGFWSPGTETVMFLRVDSSETDVSNFTGMTGLMETSCPVRITLPPPPSESKATARNIAIISTIFAAELISGVLFFWAFLKKYIKYRDMARTFGLEVMPAVGPKRFSFSELKDATKDFSEKIGRGGYGDVYRGKLSDGRSVAVKCLKNVAGGDSEFWAEVTIIARMHHLNLVRLWGFCAEKGKRILVYEYVPNGSLDKFLFRPVLVGGLSDKTESAESTISPKPESELEPVPVLDWNIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKVSDFGLAKLKKKEEIMTMSRFRGTPGYIAPEWMRADPITPKADVYSFGLVLLEIVCGARNFDQCTSKVESDLWFLPSWAFDKVYKEMNVEDILDPRIKHSYDTRAHFEMVNRMVKTAMWCLQDRADQRPSMGKVAKMLEGTVEIIEPKRPSIFYLPPEEQ >itb12g01650.t1 pep chromosome:ASM357664v1:12:1106125:1109032:-1 gene:itb12g01650 transcript:itb12g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSILFSLFFVIFFFSPELTSSQKLPKKIISSFSASNPPWTPAQNQILISPNNTFAAGFLPSDGSHNRFVFSVWYYNLDSPATVVWSANDDQPVDSSGQLSITSAGEINFTAPSGFGTARGARGVSLLLHDNGSLAFGRWESFSNPTDTILPLQPINGTTLTSKNGKFKFLNSDQLIFTRKNDSYWSLDQGIQMLDETGVLNQIGGNNRMYCSDYGPKNLRRLVIDNDGNLRVYTFDRSSGNWTAVWQAIYQLCHVHGTCGNNSICMYDAATAKTSCLCPPGFRKTSPDSCERKTPMSGNTKFLSLDFVNFTGGANQTDLKADNVTDCQKKCLNKENCLGFMMKYDGTGYCVLQLETLAYGFWSPGTETVMFLRVDSSETDVSNFTGMTGLMETSCPVRITLPPPPSESKATARNIAIISTIFAAELISGVLFFWAFLKKYIKYRDMARTFGLEVMPAVGPKRFSFSELKDATKDFSEKIGRGGYGDVYRGKLSDGRSVAVKCLKNVAGGDSEFWAEVTIIARMHHLNLVRLWGFCAEKGKRILVYEYVPNGSLDKFLFRPVLVGGLSDKTESAESTISPKPESELEPVPVLDWNIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKVSDFGLAKLKKKEEIMTMSRFRGTPGYIAPEWMRADPITPKADVYSFGLVLLEIVCGARNFDQCTSKVESDLWFLPSWAFDKVYKEMNVEDILDPRIKHSYDTRAHFEMVNRMVKTAMWCLQDRADQRPSMGKVAKMLEGTVEIIEPKRPSIFYLPPEEQ >itb01g29460.t1 pep chromosome:ASM357664v1:1:33710387:33716128:1 gene:itb01g29460 transcript:itb01g29460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENSSNRQVTYSKRRNGIIKKAKEITVLCDAKVSLIVYGSSGKMHDYCSPSTSLVEMLDGYQKASGKKLWDAKHEDLNNEIDRMKKENDGMQIELRHLKGEDINSLNYKELMGLEDALENGLTSIRNKQDEDVMEELKQFQFGWALMI >itb01g29460.t2 pep chromosome:ASM357664v1:1:33710387:33714413:1 gene:itb01g29460 transcript:itb01g29460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein PISTILLATA [Source:Projected from Arabidopsis thaliana (AT5G20240) UniProtKB/Swiss-Prot;Acc:P48007] MGRGKIEIKRIENSSNRQVTYSKRRNGIIKKAKEITVLCDAKVSLIVYGSSGKMHDYCSPSTSLVEMLDGYQKASGKKLWDAKHEDLNNEIDRMKKENDGMQIELRHLKGEDINSLNYKELMGLEDALENGLTSIRNKQDEDVMEELKQFQFGWQQKEMGAEMVVREYQSAEMPLTFRVHPMQPNLHQTM >itb11g02420.t1 pep chromosome:ASM357664v1:11:1215438:1218751:-1 gene:itb11g02420 transcript:itb11g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVALVNDFIIKLKKRKIEGSKATAKLTAELLRSFISQQRLPHTNQAGALIDAVNAVGEKLIAANPVELAVGNIVRRVLHIIREEDASLTTAAIGGLSISAGSDDEDERENDDHPVLSAAAVAAAARSTLRAPSLQTLLEDLPHSTAVPHTSSSGGDSEGKSKSADKNSQTRKLKHNVIEAVNELIQDITTCHEQIAEQAVEHIHHNEVILTLGSSRTVMEFLCAAKEKKRSFRVFVAEGAPRYQGHALAKDLVARGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGMNMVALAAQRHAVPFVVLAGVHKLCPLYPHNPEVLLNELKSPAELLDFGEFSDCLDFGSGSGSPLLQVVNPAFDYVPPNLVSLFITDTGGHNPSYIYRLIADYYSADDLVVQQRAAS >itb11g02420.t2 pep chromosome:ASM357664v1:11:1216239:1218665:-1 gene:itb11g02420 transcript:itb11g02420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVALVNDFIIKLKKRKIEGSKATAKLTAELLRSFISQQRLPHTNQAGALIDAVNAVGEKLIAANPVELAVGNIVRRVLHIIREEDASLTTAAIGGLSISAGSDDEDERENDDHPVLSAAAVAAAARSTLRAPSLQTLLEDLPHSTAVPHTSSSGGDSEGKSKSADKNSQTRKLKHNVIEAVNELIQDITTCHEQIAEQAVEHIHHNEVILTLGSSRTVMEFLCAAKEKKRSFRVFVAEGAPRYQGHALAKDLVARGLQTTVITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGMNMVALAAQRHAVPFVVLAGVHKVFCTSGALILLQSL >itb12g09230.t1 pep chromosome:ASM357664v1:12:7263660:7266628:-1 gene:itb12g09230 transcript:itb12g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISIIFPLVFHILCLLAPSQANKEEAEALLKWKINGLSSSLLDSSWSVNNLTNVCNWEGIICNRGGSVSELNLPHESIRGTLEPFRFSLLPNLTRINLKNNSLSGVIPSAITTLLKLTFLDLSNNNFTGAIPVEVVQLTKLQYLSFFRNCLTGVIPFEVSNLQNLRYLDLGVNNLETPDWSKFRGMPSLTHLNLRYNKLTLDFPKHWIENCTNLSYLDLSKNHFTGKIPKFAFSKLQNLEHLDLIDKLFQGPLPSSLANLTKLKLLRLSNNNLSGTIPNEIGSKISLRVLKLFNNSFQGRIPPSIGNLKHLQYLALGRNLLNYTIPSELGLCTNLENLYLHNNFLTGALPATMSNLSKLVMLQLQFNNLSGRLLPQLIANWTQLNLFSIESNFFTGRIPSEISLLTQVQYLYLNDNQLSGQIPSSIGNMPNLGLLYLSENHLSGQIPPSVGNLTELNVLELSYNNLKGSIPREIGRLTSLADISVSVNLLDGSETLSNICNVTSLVSISLAINKLNGVIQECLGNATALYYLDLKENQFHGERDHRILLCISIWSLCGIFCSAIWKTQLVAKETHMEERNGFQKCCSKKAW >itb11g06810.t1 pep chromosome:ASM357664v1:11:4124129:4128361:1 gene:itb11g06810 transcript:itb11g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPKLKSLHPLIHRRQINNCIHSYRLWFSSKPDISELFDFRSNQEYGENSSSHLVSKVCEILSNRRFQWQRSSELNSLSSELRPRHVARVIEIHENADIALQFFYWVSKRHFYKHDIDCYVSMLNRLLRDKKFEPADHVKILMIKDCRNMEEMRRVVECLSEIRKKGLGHGLYSYNTLLIQLGKFDMVEAARSTFREMLNYGIQPSLLTFSTMINILCKEGKVQEALVILSKMYRHEMSPDVFTYTSFMLGHCRNMNLDAAFVVFDKMSKEGIDPNAVTYATLINGLCNQGRVDKALDMFKEMTEKGIEPTVYTYTVPITSLCALGHVEKAIDLVLSMRERGCKPNVQTYTALISGLSCSGRLNVVIGLFNKMFREGLIPTIVTFNALINELCAGGFLSAACSVLQWMKTHGYPPNAETCNALIHGFCMVGNIERGMILFNEMLKLGPSPTVVTYNTLINGYLEKGFLENAVRLLDLMKNNGLKRDEWTYAQLVSGFCKRGKLDSAAAFFGEMIKQGLSPNQVNYTTLIDGLAKTGKLDTAVALFQKMEEIGCSPGIETYNAIINGLSKGNRLSEAEKMCNKLTESGLLPNVITYTTLIDGLCRNGGTQLAFKIFQEMEKRNCMPNLHTYSSLIHGLCLEGQADDAEMLLKEMERKGLVPDHVTYTSLIDGFVALGRIDHAFLLLSQMIDSGCKPNYRTYIALVKGLQRESQLIAERIAVQNETVYGYSDGKDSCIDFLCSLLDRMSESGREPSVDTYSTLVVGLSKEGKIYEAEQLVTQMKSKGFCPNDAIDCSLLCAYCKELKVGPALEIFDLMVLKGFKPSLSIYQLLISSLCKASWVKEAEALFMSMLERQWNSDEIVWTILIDGLLKEGESEMCMKLLHAMESKNCPINLQSYLILARELSKADKSIDAREIANKLRIGRDEK >itb11g06810.t2 pep chromosome:ASM357664v1:11:4124129:4127691:1 gene:itb11g06810 transcript:itb11g06810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPKLKSLHPLIHRRQINNCIHSYRLWFSSKPDISELFDFRSNQEYGENSSSHLVSKVCEILSNRRFQWQRSSELNSLSSELRPRHVARVIEIHENADIALQFFYWVSKRHFYKHDIDCYVSMLNRLLRDKKFEPADHVKILMIKDCRNMEEMRRVVECLSEIRKKGLGHGLYSYNTLLIQLGKFDMVEAARSTFREMLNYGIQPSLLTFSTMINILCKEGKVQEALVILSKMYRHEMSPDVFTYTSFMLGHCRNMNLDAAFVVFDKMSKEGIDPNAVTYATLINGLCNQGRVDKALDMFKEMTEKGIEPTVYTYTVPITSLCALGHVEKAIDLVLSMRERGCKPNVQTYTALISGLSCSGRLNVVIGLFNKMFREGLIPTIVTFNALINELCAGGFLSAACSVLQWMKTHGYPPNAETCNALIHGFCMVGNIERGMILFNEMLKLGPSPTVVTYNTLINGYLEKGFLENAVRLLDLMKNNGLKRDEWTYAQLVSGFCKRGKLDSAAAFFGEMIKQGLSPNQVNYTTLIDGLAKTGKLDTAVALFQKMEEIGCSPGIETYNAIINGLSKGNRLSEAEKMCNKLTESGLLPNVITYTTLIDGLCRNGGTQLAFKIFQEMEKRNCMPNLHTYSSLIHGLCLEGQADDAEMLLKEMERKGLVPDHVTYTSLIDGFVALGRIDHAFLLLSQMIDSGCKPNYRTYIALVKGLQRESQLIAERIAVQNETVYGYSDGKDSCIDFLCSLLDRMSESGREPSVDTYSTLVVGLSKEGKIYEAEQLVTQMKSKGFCPNDAIDCSLLCAYCKELKVGPALEIFDLMVLKGFKPSLSIYQLLISSLCKASWVKEAEALFMSMLERQWNSDEIVWTILIDGLLKEGESEMCMKLLHAMESKNCPINLQSYLILARELSKADKSIDAREIANKLRIGRDEK >itb06g13660.t1 pep chromosome:ASM357664v1:6:18360202:18362055:-1 gene:itb06g13660 transcript:itb06g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKPALSESSRTMYMALASNSSLLINHNNNNIAESQTFGVNRIPSTNLLLLQMCRYSAEIKQIHAQLVVSGSIQRRQNQAKLLQSYVGSFELDHASSVFESIQSPDAFAYNVMIRGLILGKRANESLVMYARMCGEGIRQDNHTYTFVLKACSRVNAICEGKQVHARIIKAGVKPNTHVSSSLIHMYSNAGCLDSAEQVFDEFCEEDRVLVMNSMVTGYMNQGHVEAAREMFCMMKMKDEATWSTMIAGYTKNSMHEEGVRTFQEMVASGVSPVTESAIVSGLSACGSLGALDQGRWIHRYILKMRIPVSLNLGTALVDMYARCGSIEFSYEVFENMPRKDVVTWGVIIAGFAIHGHANRCFELLDEMICAGINPNSIIFASLLSACSYAGLVEHGFSYFHLMVNQYKITPSIEHYGCLVDLLGRAGRLAEAEELISAMPMEPNSVIWGSLLNACRIHKDQQRGERAFRELIRLDPSGDRYKLAAYLWHNNRELDEHNIWNGKDNKPGLSVIEVSGVTHEFMVRDISHKHSQDIYQMMEGSRD >itb10g18450.t2 pep chromosome:ASM357664v1:10:24440745:24441601:1 gene:itb10g18450 transcript:itb10g18450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLLQDSLDPPRPLPCIHFSKPHNPIIDHSFEQNYRTTCALLLSQTRSRLLPKGLALHAHTIKSGISAVPLVCHHLINFYSKLQCPVESTIVFGEAPVKSPTTWSSVISSLAQNEAPCLALQYFREMIRSGVRPDDHTFPCATKSSAMLSDYNVGGMIHCFSVKTGFDSDVFVGSSLVDMYAKCGKIDIARKVFDEMPERNVVSWSGMICGYALIGENDEALRLFKEAVVEDLDVNDFTYSSVIRLVNIFVL >itb10g18450.t1 pep chromosome:ASM357664v1:10:24439491:24443005:1 gene:itb10g18450 transcript:itb10g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLLQDSLDPPRPLPCIHFSKPHNPIIDHSFEQNYRTTCALLLSQTRSRLLPKGLALHAHTIKSGISAVPLVCHHLINFYSKLQCPVESTIVFGEAPVKSPTTWSSVISSLAQNEAPCLALQYFREMIRSGVRPDDHTFPCATKSSAMLSDYNVGGMIHCFSVKTGFDSDVFVGSSLVDMYAKCGKIDIARKVFDEMPERNVVSWSGMICGYALIGENDEALRLFKEAVVEDLDVNDFTYSSVIRVCGSSTLLELGKQIHGLCLKTSYDSSSFVGSSLISLYSKCGLVEGAYQVFDEVPVKNLGMWNAMSIACAQHGHTKKVFDLFTQIERAGLKPNFITFLCVLYACSHAGLVQEGKHYFGLMKDYGIEPGDQHYASFVDCLGRAGKLQEALKVVEEMPIQPTESVWGALLTGCRIHRNTELAAYVADRVFELGPVSPGLHVLLSNTYAAAGRYKDAAKARKMLREQGVRKETGLSWVEEGNMVHTFATGDRRHAKYKEIYQKLEELGDEMERAGYVADTNFVLQQVGDQERSEAIRYHSERIAIAFALITFPPERPIRVMKNLRVCGDCHNAIKFMSKCTGRIIIVRDNNRFHRFES >itb01g03310.t1 pep chromosome:ASM357664v1:1:2200840:2201403:1 gene:itb01g03310 transcript:itb01g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASVMLILGVVDDNEMPLLNSSSVGRIPTDEPAKDTRLDMYLQLGIMSMDFSKKIKTQVVCKLSHPKVDLYTFCKAINHLVKALRFYKDQNSLVPQSANLNFISLTRKFSSMSDCME >itb12g08820.t1 pep chromosome:ASM357664v1:12:6895690:6901259:1 gene:itb12g08820 transcript:itb12g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAEKVVVGDSRRDTILNPSNYVSHNTINNTFFKESGKQNIGTHISGDVEMGTPDTTSALEASTAGGKGGNNNGGNPPPGSNQPTQPFSCNNIENKEAQKNKGKEKVQTTLTTTIVTQMVENANDGILDVVDQKRQRVGEYGSGSGMDTMAMDVETLGPYPSHSLRLSPPVIVSPCLALSPFPFLRPLRFRSPPSASFALRQAGVRLSTLGILRSPPSMNNLSVR >itb12g11410.t1 pep chromosome:ASM357664v1:12:9636804:9637808:1 gene:itb12g11410 transcript:itb12g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPPKTSVLLQRISTRKQSQRRSSHIISCAAAASEVPETVARYHAHPVGPNQCCSAVIQRISAPVSTVWSVVRRFDNPQAYKHFVKSCDVIVGDGDVGTLREVRVISGLPAGSSTERLEILDEERHVISFSVVDGDHRLANYRSVTTLHADVGGTTTVVESYVVDVPPGNTRDETCVFVDTIVKCNLQSLAQIAQNPADETTTEGIGSN >itb04g08670.t1 pep chromosome:ASM357664v1:4:7379090:7381044:-1 gene:itb04g08670 transcript:itb04g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGINKNPSPISVIGNSSSNQSHLLQTLALYMLALYPCSTPLSLLSLPDSISVRSIPRGLKPSTMLVYQDLLTGDELLSDSFCYKEIENGVLWEVEGKWVVQGAVEVDIGANPSAEGAEDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVAYIKKYIKLLTPKLDAEKQEVFKKNIEGATKFLLSKLSDLQFFVGESMADDSTLVFAYYKDCATDPTFLYFAHGLKEVKC >itb01g31410.t1 pep chromosome:ASM357664v1:1:35173838:35180454:1 gene:itb01g31410 transcript:itb01g31410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDAGNIVLSSYSLLETKEETVLVVVTAAAAIEAMQRRRWRTVLAVRQMLKFAIGVIALLALVSVHVEVIFPPSAVFKLPEKLPMPYELGYQRLSREGNWMHEPSLPARKLEGERWSSDVDKLWKPPPKRDYVPCVSPTSFYTPPPESQGYLLVHANGGLNQMRAGICDMVAVARIINATLVMPELDKRSFWKDSSNFTDVFDGDHFVKSLANDITVVSKLPKELVTATRAVKHFRSWSGVDYYEQEIASMWADYQVIRAAKSDSRLANNNLPPDIQKLRCRACYQALRFSPRIEAMGKILVDRMRSYGPYIALHLRYEKDMLAFSGCTHDLSPQEAEELKEIRENTTWWKVKDIDPVDQRAKGYCPLTPKEVGMFLSGLGFPSSTPIYIAAGEIYGGESRMANLFSRYPLIMSKEKLASAKELKPFIKHSSQMAALDYIVSIESDIFVPSYSGNMARAVEGHRRFLGHRKTISPDRKALVRLFDKVQMGRMKEGNNLSNRIVEIHKRRQGSPRKRKGPISGTRGMDRFRSEEAFYVNPLPDCLCQEFTHTNHSQLFR >itb01g04170.t1 pep chromosome:ASM357664v1:1:2785670:2789409:1 gene:itb01g04170 transcript:itb01g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLTSSPPPSPPTITPVSSPPAPPTISSISSRELPDPFFNPFFLFPVASPTISPADIPVPSPTFSAGYSPTAPPTISPVDILVPSPTFSADYSSPPAPPTISRPPPGNHGSSSAKVIIAIVVPVIGIILLLIAVLYFLMRIRNSKKQNTELQTTDPEKQHVLEWSKRYKIIRGIARGLLYLHEDSRLRIIHCDLKTSNILLDVNMDPKIADFGMAKTFGIDQIQGNTSRIVGTYGYMSPEYAMHGEFSVKSDVFSFGVMVIEIITGKKNRNTCQTTNRAQDLLSYAWEQWRDGTPLEILDPVLAKSSYTVNEVIHCLHIGLLCVQEDADERPTMEDVVLMLSSYSTNNWSAPREPAFYRNRSGNVPKEISLELSVTVSEASTIGKLCPR >itb01g27910.t1 pep chromosome:ASM357664v1:1:32505165:32511630:1 gene:itb01g27910 transcript:itb01g27910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTHSTPFPRLKPPCDDLSFTRKLGLCTASPNIHVGKPKKLSVVFANAADGQHKAPSEITLSTSDPAPPTPRQKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEAVLQNVMEQLQKLVNVLKVEDISKEPQVERELMLIKIDADPKFRAEVMWLVDIFRAKIVDISDHSLTIEVTGDPGKMVAVQRNLSKFGIREIARTGKIALRREKIGESAPFWRFSAATYPDLEGVMPIDTVSRKTLRSHNGYADSTVGGDVYPVETADEAPVSQVLDAHWGVLTDDDTSGLRSHTLSLLVNDSPGVLNLVTGVFARRGYNIQSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLHKLVDLHEVRDLTHLPFAERELMLIKVAVNAAVRRTILDIASIFRAKAVDVSDHTITLELTGDLHKMVALQRLLEPYGICEVARTGRVALARESGVDSNYLRGYAFPL >itb03g09650.t3 pep chromosome:ASM357664v1:3:7459985:7464613:1 gene:itb03g09650 transcript:itb03g09650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKRIQQECTIVLSLDELEDSDLSPIIDALLKVHSFSVDAVDILCRSRSILNQEYVLSLMRAVDSKLRIANLQDMLLRKDIIWELFEGGSNCRLLKLRSTEIQKLNVTGNFMQLHTLNLDFCSSLVSLEKDCFANMPKLTCLSMCGTRVADLWTTSTALSRLTCLAELRFQNCICCKDTGMCLASSNDSDDLVSTGVRDFQNTPQELPDDLVDRVLDQIKKIMALDLSSASCSGPESLELEVPAGELNVQEKNESPRPLKLRDTSIVSEMYVSHHASPICFEKHYREYMITSLPHLQVLDNMPVRKKDREIAKAVFSTSYEYLPYKRPHKESVLRVLHMRETGTNNLYSRKSARVERPASRGNSQFSYYRSLCAAKFGASSWPSANPLSQICNIINEDNKIPQPRQFEYHPSDPSLMSFGTLDGEVVVVNHERGNIVTRIPPFGMTNSVLGLSWLNKHPSKLLVGSDDGSLRLYDINHVREEAEGYYYTSAPVIFESFEHLTSVHVNSTDDQFLTSGYSKKVAIYDICSGKRIHMFSDMHTEPINVAKFANHSPNVLVTSSFDHDVKLWDLRQQPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAVDGRLHTDFGIHSTGSAHNYTRSYYMNGRDYIVSGSSDESVVRICCAQTGRRLKDLYLEDRDFGHSMFVQSLRSDPFRHFHLAVLATYAHPCSKRDIIKVNLLESAQSKENLGWEDSFQISNSLGG >itb03g09650.t1 pep chromosome:ASM357664v1:3:7459984:7464657:1 gene:itb03g09650 transcript:itb03g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDMSTLVARYLDSCKSHDVLPNSAILSALYKAMLQKRIQQECTIVLSLDELEDSDLSPIIDALLKVHSFSVDAVDILCRSRSILNQEYVLSLMRAVDSKLRIANLQDMLLRKDIIWELFEGGSNCRLLKLRSTEIQKLNVTGNFMQLHTLNLDFCSSLVSLEKDCFANMPKLTCLSMCGTRVADLWTTSTALSRLTCLAELRFQNCICCKDTGMCLASSNDSDDLVSTGVRDFQNTPQELPDDLVDRVLDQIKKIMALDLSSASCSGPESLELEVPAGELNVQEKNESPRPLKLRDTSIVSEMYVSHHASPICFEKHYREYMITSLPHLQVLDNMPVRKKDREIAKAVFSTSYEYLPYKRPHKESVLRVLHMRETGTNNLYSRKSARVERPASRGNSQFSYYRSLCAAKFGASSWPSANPLSQICNIINEDNKIPQPRQFEYHPSDPSLMSFGTLDGEVVVVNHERGNIVTRIPPFGMTNSVLGLSWLNKHPSKLLVGSDDGSLRLYDINHVREEAEGYYYTSAPVIFESFEHLTSVHVNSTDDQFLTSGYSKKVAIYDICSGKRIHMFSDMHTEPINVAKFANHSPNVLVTSSFDHDVKLWDLRQQPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAVDGRLHTDFGIHSTGSAHNYTRSYYMNGRDYIVSGSSDESVVRICCAQTGRRLKDLYLEDRDFGHSMFVQSLRSDPFRHFHLAVLATYAHPCSKRDIIKVNLLESAQSKENLGWEDSFQISNSLGG >itb03g09650.t4 pep chromosome:ASM357664v1:3:7459990:7464657:1 gene:itb03g09650 transcript:itb03g09650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDMSTLVARYLDSCKSHDVLPNSAILSALYKAMLQKRIQQECTIVLSLDELEDSDLSPIIDALLKVHSFSVDAVDILCRSRSILNQEYVLSLMRAVDSKLRIANLQDMLLRKDIIWELFEGGSNCRLLKLRSTEIQKLNVTGNFMQLHTLNLDFCSSLVSLEKDCFANMPKLTCLSMCGTRVADLWTTSTALSRLTCLAELRFQNCICCKDTGMCLASSNDSDDLVSTGVRDFQNTPQELPDDLVDRVLDQIKKIMALDLSSASCSGPESLELEVPAGELNVQEKNESPRPLKLRDTSIVSEMYVSHHASPICFEKHYREYMITSLPHLQVLDNMPVRKKDREIAKAVFSTSYEYLPYKRPHKESVLRVLHMRETGTNNLYSRKSARVERPASRGNSQFSYYRSLCAAKFGASSWPSANPLSQICNIINEDNKIPQPRQFEYHPSDPSLMSFGTLDGEVVVVNHERGNIVTRIPPFGMTNSVLGLSWLNKHPSKLLVGSDDGSLRLYDINHVREEAEGYYYTSAPVIFESFEHLTSVHVNSTDDQFLTSGYSKKVAIYDICSGKRIHMFSDMHTEPINVAKFANHSPNVLVTSSFDHDVKLWDLRQQPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAVDGRLHTDFGIHSTGSAHNYTRSYYMNGRDYIVSGSSDESVVRICCAQTGRRLKDLYLEDRDFGHSMFVQSLRSDPFRHFHLAVLATYAHPCSKRDIIKVPPNFFILCVVN >itb03g09650.t2 pep chromosome:ASM357664v1:3:7459985:7464613:1 gene:itb03g09650 transcript:itb03g09650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDMSTLVARYLDSCKSHDVLPNSAILSALYKAMLQKRIQQECTIVLSLDELEDSDLSPIIDALLKVHSFSVDAVDILCRSRSILNQEYVLSLMRAVDSKLRIANLQDMLLRKDIIWELFEGGSNCRLLKLRSTEIQKLNVTGNFMQLHTLNLDFCSSLVSLEKDCFANMPKLTCLSMCGTRVADLWTTSTALSRLTCLAELRFQNCICCKDTGMCLASSNDSDDLVSTGVRDFQNTPQELPDDLVDRVLDQIKKIMALDLSSASCSGPESLELEVPAGELNVQEKNESPRPLKLRDTSIVSEMYVSHHASPICFEKHYREYMITSLPHLQVLDNMPVRKKDREIAKAVFSTSYEYLPYKRPHKESVLRVLHMRETGTNNLYSRKSARVERPASRGNSQFSYYRSLCAAKFGASSWPSANPLSQICNIINEDNKIPQPRQFEYHPSDPSLMSFGTLDGEVVVVNHERGNIVTRIPPFGMTNSVLGLSWLNKHPSKLLVGSDDGSLRLYDINHVREEAEGYYYTSAPVIFESFEHLTSVHVNSTDDQFLTSGYSKKVAIYDICSGKRIHMFSDMHTEPINVAKFANHSPNVLVTSSFDHDVKLWDLRQQPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAVDGRLHTDFGIHSTGSAHNYTRSYYMNGRDYIVSGSSDESVVRICCAQTGRRLKDLYLEDRDFGHSMFVQSLRSDPFRHFHLAVLATYAHPCSKRDIIKVNLLESAQSKENLGWEDSFQISNSLGG >itb15g08560.t2 pep chromosome:ASM357664v1:15:5988293:5990892:1 gene:itb15g08560 transcript:itb15g08560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSKSWMESLLCDEVLDFSSLSAASPPPAAAERPPSFVCSTATTAAVSSRNDFEEAVGFLVEKEMSYMPKPGYVNLLQSNALIYHARQKAISWIIQVQKSLSVSMETVFYAANYLDRFISLNKCQGWTCCTFDLLAVACLYLASKFNETHPPPLPALQMEGLGHPPFGSNMFGRMESSLLQGLEWKLLATTTYSYLQLTETLQDELKTRATQILLQTLLDPKFVGFRPSLVAESVVQCILNPQEKDQYFYHFSALIPQVEKAECRMI >itb15g08560.t1 pep chromosome:ASM357664v1:15:5988293:5990892:1 gene:itb15g08560 transcript:itb15g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSKSWMESLLCDEVLDFSSLSAASPPPAAAERPPSFVCSTATTAAVSSRNDFEEAVGFLVEKEMSYMPKPGYVNLLQSNALIYHARQKAISWIIQVQKSLSVSMETVFYAANYLDRFISLNKCQGWTCCTFDLLAVACLYLASKFNETHPPPLPALQMEGLGHPPFGSNMFGRMESSLLQGLEWKLLATTTYSYLQLTETLQDELKTRATQILLQTLLDPKFVGFRPSLVAESVVQCILNPQEKDQYFYHFSALIPQVEKDDIMKKIHEMVEKEDTATWEKKEEYCSGDCAAFLTLQLEEATADLKNIDGSTRKRRRDT >itb09g13280.t2 pep chromosome:ASM357664v1:9:8618856:8623723:-1 gene:itb09g13280 transcript:itb09g13280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDGTADNTPVKEARWGTWEELLLAGAVNRHGTKSWDSVADELQKRSSVPTMLLSPESCRLKYLDLKRRFSSGEVNGVDDECGERTETAVPLLEELRRLRVAELRREVERYDISIVSLQLKVKTLTEERDRSLREDEKSDPAMSEKQEIRCEEKNEGDVEITPESDAGEPVTGDKDQQSMNESNSTDQKDANARTGTKDDGKHLEAILTGNVEDEPDKVKEGKPGREDSCNCSSNSVEKEPVHEVVKLKTEPVTDSTELLESVAESKGNEEGTKENSDVQSSASKSRKTGDADRSNRRSSSRDERANTNESPAVKEMPVEFQPLINFLEKIESNKHYALFMRRLESQETEDYKLLIRQHIDLEMVRAWLEEGRYLVCKAKFFRDLLLLANNAIIFFRKNTSEFLAAMELRQLVSNELPRAKFYSSSVKQKSIKLASLTKEENSKPAESLLLKTKQSGSLVVCRKRSSITPKSSGSSSGVDKRREQIARRPDDKPVTNSKQQPSQTVTNSGENRITKKRTRDRFSSASTTSRRNEKNSSTTIPTKNSAAVVEKTQGKRERDPSPQNHQSKSENTNDKSSTDLKKRSAANFLNRMKQGSSSNSGSLLDALKSTPLSADSKGGGGYEKKRNESGKSSGRKEPVSSKPPEATQQTKEKGSLSKKSSGRPPKRGAAPSPQLSKRNLDVDHSESLASKQPKKRARR >itb09g13280.t3 pep chromosome:ASM357664v1:9:8618856:8623723:-1 gene:itb09g13280 transcript:itb09g13280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQEIRCEEKNEGDVEITPESDAGEPVTGDKDQQSMNESNSTDQKDANARTGTKDDGKHLEAILTGNVEDEPDKVKEGKPGREDSCNCSSNSVEKEPVHEVVKLKTEPVTDSTELLESVAESKGNEEGTKENSDVQSSASKSRKTGDADRSNRRSSSRDERANTNESPAVKEMPVEFQPLINFLEKIESNKHYALFMRRLESQETEDYKLLIRQHIDLEMVRAWLEEGRYLVCKAKFFRDLLLLANNAIIFFRKNTSEFLAAMELRQLVSNELPRAKFYSSSVKQKSIKLASLTKEENSKPAESLLLKTKQSGSLVVCRKRSSITPKSSGSSSGVDKRREQIARRPDDKPVTNSKQQPSQTVTNSGENRITKKRTRDRFSSASTTSRRNEKNSSTTIPTKNSAAVVEKTQGKRERDPSPQNHQSKSENTNDKSSTDLKKRSAANFLNRMKQGSSSNSGSLLDALKSTPLSADSKGGGGYEKKRNESGKSSGRKEPVSSKPPEATQQTKEKGSLSKKSSGRPPKRGAAPSPQLSKRNLDVDHSESLASKQPKKRARR >itb09g13280.t1 pep chromosome:ASM357664v1:9:8618856:8623723:-1 gene:itb09g13280 transcript:itb09g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQEIRCEEKNEGDVEITPESDAGEPVTGDKDQQSMNESNSTDQKDANARTGTKDDGKHLEAILTGNVEDEPDKVKEGKPGREDSCNCSSNSVEKEPVHEVVKLKTEPVTDSTELLESVAESKGNEEGTKENSDVQSSASKSRKTGDADRSNRRSSSRDERANTNESPAVKEMPVEFQPLINFLEKIESNKHYALFMRRLESQETEDYKLLIRQHIDLEMVRAWLEEGRYLVCKAKFFRDLLLLANNAIIFFRKNTSEFLAAMELRQLVSNELPRAKFYSSSVKQKSIKLASLTKEENSKPAESLLLKTKQSGSLVVCRKRSSITPKSSGSSSGVDKRREQIARRPDDKPVTNSKQQPSQTVTNSGENRITKKRTRDRFSSASTTSRRNEKNSSTTIPTKNSAAVVEKTQGKRERDPSPQNHQSKSENTNDKSSTDLKKRSAANFLNRMKQGSSSNSGSLLDALKSTPLSADSKGGGGYEKKRNESGKSSGRKEPVSSKPPEATQQTKEKGSLSKKSSGRPPKRGAAPSPQLSKRNLDVDHSESLASKQPKKRARR >itb14g05880.t1 pep chromosome:ASM357664v1:14:5125241:5127962:-1 gene:itb14g05880 transcript:itb14g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILSWLECSLLPDDQIANHNQPEALLIGNKWEAKILALWGISIANIADFSKPPEKLSYPQQIAVTATGVIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLTRKIRNDYFNDNQVDVAKE >itb01g04470.t3 pep chromosome:ASM357664v1:1:3015115:3018435:1 gene:itb01g04470 transcript:itb01g04470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRGFLLGLGYTLCIFFLLTLFAGVSSQRLGQFCPNTSTYTPSSNYRANLDVLLSTLSSNGNRENGFYNSTVTVGDRNAAVYGLFMCRGDVSAADCGACVSDASATVLQLCPNQTTATIWYNFCTLRYSGEPVYGIPNPSFVPLYSYNGNNHSQPDSFMMSVNKTLTQVAAGVVNDQSPDRKFATEEGNFTESERIYSLAQCRPDIGNPDCQTCLRKAIQELQSCCYSRLSATALSLDCNMKYATEPFYNISTAAPPWAPPPISPPSSTSAGNKGNSSSKLIIAIVVPVIGVTLFIAIFCFVRIKKEKISKTTAQTKEDVSGISTQQFSQYDFATLQTITNGFSDETKIGQGGYGSVYKGKLPNGLEVAVKRLSRNSKQGAQEFKNEVGVVAKLQHRNLVKLLGFCSEGEEKILIYEFVPNKSLDYFLFDTEKKHLLNWSRRYKIIEGIARGLLYLHEDSRLKIIHRDLKASNVLLDKEMNPKIADFGIAKIFEVDQTQGNTSTIVGTQ >itb01g04470.t2 pep chromosome:ASM357664v1:1:3015115:3018435:1 gene:itb01g04470 transcript:itb01g04470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRGFLLGLGYTLCIFFLLTLFAGVSSQRLGQFCPNTSTYTPSSNYRANLDVLLSTLSSNGNRENGFYNSTVTVGDRNAAVYGLFMCRGDVSAADCGACVSDASATVLQLCPNQTTATIWYNFCTLRYSGEPVYGIPNPSFVPLYSYNGNNHSQPDSFMMSVNKTLTQVAAGVVNDQSPDRKFATEEGNFTESERIYSLAQCRPDIGNPDCQTCLRKAIQELQSCCYSRLSATALSLDCNMKYATEPFYNISTAAPPWAPPPISPPSSTSAGNKGNSSSKLIIAIVVPVIGVTLFIAIFCFVRIKKEKISKTTAQTKEDVSGISTQQFSQYDFATLQTITNGFSDETKIGQGGYGSVYKGKLPNGLEVAVKRLSRNSKQGAQEFKNEVGVVAKLQHRNLVKLLGFCSEGEEKILIYEFVPNKSLDYFLFDTEKKHLLNWSRRYKIIEGIARGLLYLHEDSRLKIIHRDLKASNVLLDKEMNPKIADFGIAKIFEVDQTQGNTSTIVGTHGYMSPEYVMYGNFSVKSDVYSFGVLLLEIITGKKNFNFAESSSPQDLLSYVSAKSMIKSINHILLLTLLT >itb01g04470.t1 pep chromosome:ASM357664v1:1:3015115:3018435:1 gene:itb01g04470 transcript:itb01g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRGFLLGLGYTLCIFFLLTLFAGVSSQRLGQFCPNTSTYTPSSNYRANLDVLLSTLSSNGNRENGFYNSTVTVGDRNAAVYGLFMCRGDVSAADCGACVSDASATVLQLCPNQTTATIWYNFCTLRYSGEPVYGIPNPSFVPLYSYNGNNHSQPDSFMMSVNKTLTQVAAGVVNDQSPDRKFATEEGNFTESERIYSLAQCRPDIGNPDCQTCLRKAIQELQSCCYSRLSATALSLDCNMKYATEPFYNISTAAPPWAPPPISPPSSTSAGNKGNSSSKLIIAIVVPVIGVTLFIAIFCFVRIKKEKISKTTAQTKEDVSGISTQQFSQYDFATLQTITNGFSDETKIGQGGYGSVYKGKLPNGLEVAVKRLSRNSKQGAQEFKNEVGVVAKLQHRNLVKLLGFCSEGEEKILIYEFVPNKSLDYFLFDTEKKHLLNWSRRYKIIEGIARGLLYLHEDSRLKIIHRDLKASNVLLDKEMNPKIADFGIAKIFEVDQTQGNTSTIVGTHGYMSPEYVMYGNFSVKSDVYSFGVLLLEIITGKKNFNFAESSSPQDLLSYAWKHSRDNTPLRIVDPVLGESYSRNEVIQCINIGLLCVQEDICERPTMANVVLMLNNHSIARSSPREPGFFFRERSEPKGAKTN >itb11g22630.t1 pep chromosome:ASM357664v1:11:24570856:24572137:1 gene:itb11g22630 transcript:itb11g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACEGNCWIWPSITQRAYQAAEIIAAANAVSRSFLARGLGAYEGKSLDSVSEVYESDSLSPNIKPPPIDNGTPNESASNVFVRVTQLMSIPETQYSDDTVIIVSPDSDNLTILQAGLIGLDLRRHRDLAFEPGEVRFVDASSIPAYKQPPSAVYKCLNPPYCT >itb01g07690.t1 pep chromosome:ASM357664v1:1:6083366:6085965:1 gene:itb01g07690 transcript:itb01g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSSLWPSPGAKPFFFSPQTCPLLRQMQTPIILQSSPSIYTTKVGQNRFFNCKEENYKRLSSPIVCFRPVSDDGENTQPQAAKNEQKRVEFWTRMTKSLEVAPGSQMAKVVLLPLLHCLCLFAPFCFSWDAFGVAFGLYIITGLGITLSYHRNLTHRSFKLPKWLEYFFAYCGVHALQGDPIGWVSNHRYHHQYVDTKKDLHSPIEGFWHSHVGWLYDTKAAIERSERPTNVGDLENQFFYNFIRNTYIIHPIILTTLLYAIGGFPYVVWGMGVRIIFYSHVIYSVNSVCHLWGNQAWNSGDQSRNNWLVALLSFGEGWHNNHHTFEYSARHGLEWWQLDITWYIVRALQAVGLATDIKLPTDAQKKKMALTTT >itb08g09050.t1 pep chromosome:ASM357664v1:8:8304001:8304660:1 gene:itb08g09050 transcript:itb08g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTDLFLPELLVQVLMVMDLVRNLIAMFFGILGLDNFLEPEMSSTPEESKSELQSLSAALIREVLPVVKFSEVAERAESCAVCLLEFDGNDEIRRLRNCRHIFHRSCLDRWMDHDQKTCPLCRTPFIPRDMQERFNERLWLAYGGENSQIVAGV >itb10g17190.t1 pep chromosome:ASM357664v1:10:23424371:23424958:-1 gene:itb10g17190 transcript:itb10g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITSTKSSASSINGVNAAAVLSSTSPSSSGSELSPRNGGVGKPVRRRSRASKKTPTTHLNADAASFRAVVQQFTGCHTAATFSGAHKGPINLNFGVQEDDDVFDAVSEMRYGGYDSGFRGDRCRKERRWVKKVEEEEEGERNNEVEDRRGLASSTVSSDAALTLDDLDLDNIPFHDFIEDFSLMSEDWNHSSLF >itb01g07720.t1 pep chromosome:ASM357664v1:1:6112861:6116650:1 gene:itb01g07720 transcript:itb01g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRGKVAKSIKKENLFLKAFDMSGDILDRRRIIDNMKPRTGSSAIQPPRWDEFRAQIQSFMERILAQLGSLQTLVASFDSELVSFESRAAEFEVELDGIYAQLLEWMGQHGLIPEPYCACTDPISVQSARADEDATHNAAEPSPGPSRMDELRKKMLSVEEEVLANSRSLQTTIGSIYGWLGSLDSPVADPANDDDATTHTDDDTARPSLRLSWRDEFRNQISSFEECALAHFGSLQASIGSINSRLGPLDSRVTGLEVKLAELKVKLDGIPARFPSGPPSIPPQAP >itb01g07720.t2 pep chromosome:ASM357664v1:1:6112861:6116650:1 gene:itb01g07720 transcript:itb01g07720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVDLEGRTYNCKSCKTQLALVDDLISKALDCRRRKAHLFNNVVNITVGPLEERPMISGTHTVADIFCCCCGQIVGWKYESAWESSQKYKEGKFVLERRRIIDNMKPRTGSSAIQPPRWDEFRAQIQSFMERILAQLGSLQTLVASFDSELVSFESRAAEFEVELDGIYAQLLEWMGQHGLIPEPYCACTDPISVQSARADEDATHNAAEPSPGPSRMDELRKKMLSVEEEVLANSRSLQTTIGSIYGWLGSLDSPVADPANDDDATTHTDDDTARPSLRLSWRDEFRNQISSFEECALAHFGSLQASIGSINSRLGPLDSRVTGLEVKLAELKVKLDGIPARFPSGPPSIPPQAP >itb04g23040.t3 pep chromosome:ASM357664v1:4:28246554:28248166:1 gene:itb04g23040 transcript:itb04g23040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPRQLVIDASLCGGRYLVPRDMSIGQFIHILSVRLHLAPGKALFVFVENTLPQTSAVMDSVYESFKDEDGFLYMCYSSEKTFGAIAPN >itb04g23040.t1 pep chromosome:ASM357664v1:4:28246554:28248166:1 gene:itb04g23040 transcript:itb04g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKTSSFKQQFSLEERLQESQDMIAKYPDRLPVVVERYSKTDLPEMEKKKYLVPRDMSIGQFIHILSVRLHLAPGKALFVFVENTLPQTSAVMDSVYESFKDEDGFLYMCYSSEKTFGAIAPN >itb04g23040.t2 pep chromosome:ASM357664v1:4:28246717:28248166:1 gene:itb04g23040 transcript:itb04g23040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKYPDRLPVVVERYSKTDLPEMEKKKYLVPRDMSIGQFIHILSVRLHLAPGKALFVFVENTLPQTSAVMDSVYESFKDEDGFLYMCYSSEKTFGAIAPN >itb13g24680.t1 pep chromosome:ASM357664v1:13:30291659:30292848:1 gene:itb13g24680 transcript:itb13g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHARRFLPPGGASRKRKERETASYSTWPSSPVTGVSSPSQKATTSSKQAEPPAGGSSNRLLAGYMAYEFLTSGTLFGQKFDPARAEAFPVNNNNNLSSELKRGSKPGQSPSSQEPEPSQAYIEVATLLKNEGAHIPGVVNPMQLARWIQM >itb13g02210.t1 pep chromosome:ASM357664v1:13:2054682:2057997:1 gene:itb13g02210 transcript:itb13g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISNFVMAAKDDDAVHQEEASQQLCQILQQAEEKIADVVEIIKELEEKELKNGDYLTYFIGIIDHHIKSLRSNARVFYFYDQTLLNKSLIENLYSLRGFLHTSKLGAAAAISEDLETKIRDLLAVDDIKIQLSSFLLAKDTVHEEEAFQELCQTLQQAQEKRVELVEIINKEKERHKTCECCDDLANLLRRLMDDDYLRSSSAPPCSTPLESLYENLFALKEYVLKSKFGGSGAEIQIRHFVLKAKQDIQKQFINFFVAKEEHCLPKEASQQLFQTLHQLTENAAQLLSTIHNARSNEAADDEAYDTQFVCYPKLEDGRIMVGRENDVSMIKDLLFSSFHGVKVIPIIGMPGIGKTTLARQILKDQLVALHFQVQGWVTMTQNYNQTKVLRDFLHSISPNHEVIKEASLWEQVRKCLKEKRYLIVLDDIWSTQHWDELENLFRNSAANGSCILLTTRFYGVADYACKIKGTYHVMSLLDPNQSWDLFCTIFPLQRYRAPSFGKFRSDLLHVVEICEGLPLSIVVVAKRLSECKNNIQDELKKIEKEIELLGIVDYSALILMYNQLPEYLKGCFLYLGVFPKRSEIQVKILLRLWIAEGFVKPSKNKELERIAYCYLKDLIDRSLVLIGKQTFDGKIKTCRVHSVMHNICFREAQKEGILCAVNTRQLPTSSLNAFANSCRWLSLCEHSFDYYVLFGSNNPRSIFFFQENTENFVSFKLLRVLAFVQSSFLQRLPMQLGDLIFLRYLSVTQWFEGLSDVVSSNVNLQTLIVSGSDSESQPVIPILHLPSTIWELPQLRHLELGALYTVNPPSVVKRNLQTLSWVGPTHWRKKVYSSFPNMKKLKIFCKEELEPSHIGGSSSKHIILDKLDYLGWLKSLTISVSIGSFVTLPERCMFPLQLKKLRLSGIRVSGWDLKVIGRLKCLKVLKLENVFHQEVWRVCEGEFNELKFLLLEDKILKRLEAVQYCFPLLERIGLRLCNCLEEIPSSFGEIFCLKSIDLDRCSRPSIIASAKDIQEQLKKNFGKEDFEIKIQGQGPEYLEERVEDVEVRTKLWVDEEEGESSTGGRW >itb08g07090.t1 pep chromosome:ASM357664v1:8:6071698:6073041:1 gene:itb08g07090 transcript:itb08g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRDHSSAEAPPQSDYASALTRVAVAQICGTVGYGAAEASALAVLTDVAGLYLRAIAKSAVSSANSGGRTEANLVDVIASLEELNSVQGFEGASRPTSNALSSSLITELERFVNFTEETPFAKALPRNSFFRKKVKLPKRGLDQYKEGRFRHVPKWLPSMPEIEKEEKEEEAKWGFGNGMKEERESELDSEGRNKSNKRVEREGKGKGKNLPVKRIRVKFKLLQKKGRNEGIEQSSTGVCRGGIGKRVSCENHGDDGKKEKNKKRKSSKMAKSMIIPNNLRLSRPSDSMILS >itb01g23430.t2 pep chromosome:ASM357664v1:1:29437650:29439390:-1 gene:itb01g23430 transcript:itb01g23430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSATYLTTRSNRHSIVTAALAIPFWVGAFLICLAMAQAGIMKAFLIVAVLAIFSAAAAAQGIGSSPAPAPDAGSAITIPVSGALIAASLLVSSIAFLRH >itb01g23430.t1 pep chromosome:ASM357664v1:1:29437650:29439390:-1 gene:itb01g23430 transcript:itb01g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSATYLTTRSNRHSIVTAALAIPFWVGAFLICLAMAQAGIMKAFLIVAVLAIFSAAAAAQGIGSSPAPAPDAGSAITIPVSGALIAASLLVSSIAFLRH >itb09g26320.t1 pep chromosome:ASM357664v1:9:26855533:26856777:1 gene:itb09g26320 transcript:itb09g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVACCLLVVVALVAGAAAQSATNVRATYNNYNPQNINWDYRTASVYCATWDADKPLAWRQKYGWTAFCGPVGPTGQASCGRCLRVTNTATNAQVTVRIVDQCSNGGLDLDVNVFNQLDTNGQGVAQGHLIVNYDFVNCND >itb03g13330.t1 pep chromosome:ASM357664v1:3:13515123:13516790:-1 gene:itb03g13330 transcript:itb03g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHCKYWTVSLGQCFLCFAGRFPGVHAIQTLVNYWKVECKFFTRPNGHVLFRFQSEEDRSAILKDGPYFLFGKRLFLKSLPEKFRLENEDFSILPIWVRFPFLPLECWSPTALGKIASCIGIPICADEKTREQRMGREEFARILIDVDTSKRAPDSILVNMPNGDSFRQKVTFELNPCYCTKCKSNDHLMDECTGNKPWTKKRNKKGKAAKWVATKASSSNKGQDDLGAASKYHTNIQEPCPQANESPNLCTDLSDQSMHPEHCPEAMEAHEPCTVVPSNIPAIPEIDDQSSENESADGAAKHLEEDTQAATGDGEERDDASSLDGTESFDADKGEEPAAKSKQPNAPELSTSGVGTRSKTKAGPKTSFKNALLSPPKDKIAAGSNRAGNRFVPLPVGGKPMARGGGRRQPTSVAK >itb15g11130.t2 pep chromosome:ASM357664v1:15:8935283:8946082:-1 gene:itb15g11130 transcript:itb15g11130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEIVVWKLIRLWIVEEFIPTIDEQGYALEAEVEAQKYLNDLVDRNLVMVKKRRANGQIKTCCIHTTLHEFCKSEGARINLFHVMDERQRLDEDTSSTPHENISSTRRLCFHSFTIYEFDAFFESYNQKRSLCPFGKHIHSLLLFPSQNNETLLFTKERLATIPNTFPLLRVLNIEFSMEFDDEIQPDELYNLHLLRYLAIKFINLDSLPKSFKKLRGLETLVIETTARTLHIDGGILNMKKLRHVQTNTSLQLPPFPPKRSTTNSRGNDIRTLSTISPTSCTKEIFWKTPNLQKLGVRGNLSELKEPQRSLRYGSLENLKLYGQYDKVLTFPSDFLDGLRLKKLSFSSTLFEWKYMEVLGSLEELEVLKLDDYAFKGENWELSNHVVFKHLQYLRIGKTNLTIWKLATKNSFPALRSLILRNCSSLKEIPRAFAYVHTLEVMELFHTSESAVESAKKVKEMQPENCGFQLLIPSKMRCISPVPVVTFYFKPSSSARQYSPYIAQLERRCSSLIFLRNPSKIQYPLSSRNTPPQPAMPFMRDEQPSGKGPMTADTETIIEQAVNIMVQFVEYGGEWSGGPPGLYSKLKDVSFNIQMINARLHQAYTNPIASVDVSMLKTFQTIVHEATDAADKYWDLKIFYKDKTLTKFLESYRREVKSCASRIQSVRSKVTNMMIRQQHEIDRHSPTINRNNVLLTLQIERPIGFDKAIKKVEQAVNMLHKTVGDNVHLVSDSMKSEIEDITSQIKTFTESLVVACKSPLANEHRLLRLIVTHFGTHVNEARDAVANYFAQEKKHGLAKAFDKIRLCGELNNVASEILSIKKKVETISEYLEEDLRHLQDDYNERSDDDPPPPKKPTVEKPQSSSGFESESDEEGSDSSQHTPDFTIKPNLPSNAPPASKSPVKQPAETPVRESTRKKLKSGEENGNAEENKLPSLAFARFARVWSEDDEIAVLNGMIDYKNEKGTDPSADLSVSMIY >itb15g11130.t1 pep chromosome:ASM357664v1:15:8935283:8946411:-1 gene:itb15g11130 transcript:itb15g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQHKNIADWQRVVENPILEINQEGQIYHDRVKITYNDLPDEKLKNCFLYFGCFPMGHEIVVWKLIRLWIVEEFIPTIDEQGYALEAEVEAQKYLNDLVDRNLVMVKKRRANGQIKTCCIHTTLHEFCKSEGARINLFHVMDERQRLDEDTSSTPHENISSTRRLCFHSFTIYEFDAFFESYNQKRSLCPFGKHIHSLLLFPSQNNETLLFTKERLATIPNTFPLLRVLNIEFSMEFDDEIQPDELYNLHLLRYLAIKFINLDSLPKSFKKLRGLETLVIETTARTLHIDGGILNMKKLRHVQTNTSLQLPPFPPKRSTTNSRGNDIRTLSTISPTSCTKEIFWKTPNLQKLGVRGNLSELKEPQRSLRYGSLENLKLYGQYDKVLTFPSDFLDGLRLKKLSFSSTLFEWKYMEVLGSLEELEVLKLDDYAFKGENWELSNHVVFKHLQYLRIGKTNLTIWKLATKNSFPALRSLILRNCSSLKEIPRAFAYVHTLEVMELFHTSESAVESAKKVKEMQPENCGFQLLIPSKMGKGPMTADTETIIEQAVNIMVQFVEYGGEWSGGPPGLYSKLKDVSFNIQMINARLHQAYTNPIASVDVSMLKTFQTIVHEATDAADKYWDLKIFYKDKTLTKFLESYRREVKSCASRIQSVRSKVTNMMIRQQHEIDRHSPTINRNNVLLTLQIERPIGFDKAIKKVEQAVNMLHKTVGDNVHLVSDSMKSEIEDITSQIKTFTESLVVACKSPLANEHRLLRLIVTHFGTHVNEARDAVANYFAQEKKHGLAKAFDKIRLCGELNNVASEILSIKKKVETISEYLEEDLRHLQDDYNERSDDDPPPPKKPTVEKPQSSSGFESESDEEGSDSSQHTPDFTIKPNLPSNAPPASKSPVKQPAETPVRESTRKKLKSGEENGNAEENKLPSLAFARFARVWSEDDEIAVLNGMIDYKNEKGTDPSADLSVSMIY >itb02g07210.t1 pep chromosome:ASM357664v1:2:4470120:4473674:-1 gene:itb02g07210 transcript:itb02g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKKLVLLRRTIWRAFRPPLPSFSATRRSYLPSSSLFSIYNYVLEPSSYSSKSFVINPSSSFCSQTPPLNEGSQGPTTVDYRLLLAEDEYHRLANSTIHDLLEKLEEYGDLVDIDGFDVDYGNEVLTLKLGSLGTYVINKQTPNRQIWMSSPVSGPSRFDWDQNSEAWIYRRTKAKLNQVLETELGNLCGMPIKLS >itb12g17680.t1 pep chromosome:ASM357664v1:12:19784923:19787328:-1 gene:itb12g17680 transcript:itb12g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKLTSVVLSIFSLESYTNRTSQVCDIGRREHAEKLMKYQNTRGGRVKLHSILMPPPEFDNNEKGDALHAMELALSLEKLVNEKLLNLYAVADKNNDAELQDFVEREFLEEQENNEIIRKQLDGFLYPKRQKID >itb08g11260.t1 pep chromosome:ASM357664v1:8:11211766:11213746:1 gene:itb08g11260 transcript:itb08g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDMGTITASLERSLQNCSLNYHRESSSNADGGASRIGAGRSSSSSSSSAAVAAHHTPPNNSSVGDATLELNSEISMPYHWEQCLDLETGEVYYINWRTGMKVKEDPRITKEYSSGEFYSEEDEEEDDGDGDGDSCYDDDTEESGSSSEESTLSSSRDVQRRRHAGSSRPESSTAATAAAVLVVAGCKTCLMYFMVPKEVDDCPKCCGQLLHFDRSDNSSP >itb13g06140.t1 pep chromosome:ASM357664v1:13:7307748:7309606:1 gene:itb13g06140 transcript:itb13g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRYPTFFPETRPRNLAQKILWELKVQRPIVLPLLVMNFTWFAKLSITLVFLGRLGELALAGASLGFTFANVTGFAVLNGLCCAMEPICGQAFGAKNFKLLHKTLVMAVLLLLLVSLPVAFLWLNVDRILICFGQQEDISLVAKKYLLFLLPDLVIVSFLCPLKYYLSAQSITIPIMLSTALAVALQVPMNLLLYKARGVEGVAMAFWMTDFTIMFLLDVYVVISENWKSRRWAEGGWWEQSTGDWKQLIKLAVPCCLTVSLEWWCYEILVLLTGRLHNAKQAVGIITILFNFDYVLYSVMQSLATAASVRVSNELGANNAAMARISASVSLGLGVISGILGSLATVGARGFWGALFTHEKGITRGVKKMMLILAVMEIVNFPLAVSSGIARGTARPLVGTYASVCGFYLLALPLGVILAFKLHLGLTGLFVGFIAGVTVCLVVLVVFIARNNWDEEAKKAQILACCTDEEEEAANDDKSETLPNCTD >itb06g22220.t1 pep chromosome:ASM357664v1:6:24595785:24596339:-1 gene:itb06g22220 transcript:itb06g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVNNRQAGDYLLKPKRYIQKSFGEVENGYLSSTALKSKPRFSSKENIATDDGFLHIIISSLAATWSLLVLPKCHNSVGC >itb11g00910.t2 pep chromosome:ASM357664v1:11:391611:393647:1 gene:itb11g00910 transcript:itb11g00910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAQNHLQKPPKQPPRILKKQWSIDDFEIGKPLGKGKFGRVYLAREIKSKYVVALKIIFKEQIEKYRLHHQLRRELEIQSSLRHPNVLRLYGWFHDDERIFLILEYAHGGELYKELRKSGTFSEEQAATYIASLTQALAYCHEKHVIHRDIKPENLLLDHEGRLKIGDFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGVLCYEFLYGMPPFEAESQKDTFRR >itb11g00910.t3 pep chromosome:ASM357664v1:11:391611:393647:1 gene:itb11g00910 transcript:itb11g00910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQSKYVVALKIIFKEQIEKYRLHHQLRRELEIQSSLRHPNVLRLYGWFHDDERIFLILEYAHGGELYKELRKSGTFSEEQAATYIASLTQALAYCHEKHVIHRDIKPENLLLDHEGRLKIGDFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGVLCYEFLYGMPPFEAESQKDTFRR >itb11g00910.t1 pep chromosome:ASM357664v1:11:391611:393647:1 gene:itb11g00910 transcript:itb11g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAQNHLQKPPKQPPRILKKQWSIDDFEIGKPLGKGKFGRVYLAREIKSKYVVALKIIFKEQIEKYRLHHQLRRELEIQSSLRHPNVLRLYGWFHDDERIFLILEYAHGGELYKELRKSGTFSEEQAATYIASLTQALAYCHEKHVIHRDIKPENLLLDHEGRLKIGDFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGVLCYEFLYGMPPFEAESQKDTFRRIMRVDLNFPSTPQVSAEAKNLISRLLVKDSSKRLSLEKIMDHPWIIKNANPIGACWN >itb11g02150.t1 pep chromosome:ASM357664v1:11:1080004:1083006:1 gene:itb11g02150 transcript:itb11g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNSAFLSLFLLVLSTPAAFSDDSSLNEKFYQCISNTSGVSIPFSDAFFTPKNNASAFTAVLESTAQNLRCLEPSVQKPMIIFTPLCEAHVQAAVVCAKQLGLELRLRSGGHDYEGVSYISEMESPFVIVDLARLREVEVDIEANTAWAQAGATVGEVYYRISEKSKVHGFPAGLCSSLGIGGHITGGAYGAMMRKYGLGADNVLDARIVDANGKILDRESMGEDLFWAIRGGGGGSFGIILSWKLRLVPVPETVTVFTVPKTLEQGATKILYKWQNIADKIDEDLFMRVELSVVKGGGGLANRTVQTAYNALFLGSADRLLKVMEQSFPELGLTKQDSIEMSWIESILYIAGYPTNTSPEVLLDGKSLFKNYFKAKSDFVTQPIPETGLKGLFKRLLSEDSPLMIWNPYGGMMANISESATPFPHRKGVIYKIQYLTLWETLDDDAAARHINWIRKLYRYMTPYVSSSPRQAYVNYRDLDLGSDKSGNATVMEASAWGEMYYKDNFHRLVQVKTKVDPDNFFRHEQSIPTLTATVISRGKRMIH >itb15g17090.t1 pep chromosome:ASM357664v1:15:17410282:17410769:1 gene:itb15g17090 transcript:itb15g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRDCHRAQKINPTSFRALLFIAEALSQIGKYEKALEFAICAQSLAPYEFEVVDMVDHLRKHIAAETSSCTLNFIL >itb10g18780.t1 pep chromosome:ASM357664v1:10:24611662:24618493:-1 gene:itb10g18780 transcript:itb10g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKEKGSTAWRTCSGSDCFDTSTDASLFSSSLPVLSHERLNLSEAEHGCESSDDAYSLKKIHQVVESNDLLGDNISDPIRCLLPDDEDALLSGVMDGFDIGEFQNCSDDLEEYDIFGNGGGLELESDFPRENLTMGASRITLSDTTGVAHCGLSSGGVAITGEHPLGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDMNQGTLVIFNLDPSVSNDDLLQIFGSYGEIKEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGTRRNLMLQLNQDLEQDECRSFTHQMGSVIGISPPGNWPKFGSPIGHGFVHNPSNSPGIRSLSPTTNSNLHGLASLLHPQGFNSTRVAPIGPRSSYGASSSNGSVIETLSGQEFLWGSPKVHSDYSNSSWQAQPMGHAFISNGQEHWFPSPNHHGSFLNSSQHHNHPHHAGSAPSSLPVERHLAFFPDSLEASHLSAFSGIGMGHNDKSYTMNAGPRGASNSGVSFSGSMSYGSPRLNIMSSQRPSPVFPANAYFPGSTATDFKVLTEHVHSQHEEVNGNQIDNKKFQLDLDKIRSGEDTRTTLMIKNIPNKYTSKMLLAAIDEHHKGSFDFLYLPIDFKNKCNVGYAFINMLSPSLIIPLFEAFNGKKWEKFNSEKVTLLAYARIQGKEALVGHFQNSSLMNEDKRCRPLLFHPESSDSSNQIVQEHRSSSSLSVQVHRSNESKLSGYTGISPEDSSIEKSGEVLTEGRRHLNIS >itb10g18780.t4 pep chromosome:ASM357664v1:10:24611662:24615477:-1 gene:itb10g18780 transcript:itb10g18780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDMNQGTLVIFNLDPSVSNDDLLQIFGSYGEIKEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGTRRNLMLQLNQDLEQDECRSFTHQMGSVIGISPPGNWPKFGSPIGHGFVHNPSNSPGIRSLSPTTNSNLHGLASLLHPQGFNSTRVAPIGKDQARGGLTEHLSNNGNSNFGASIQQPHSFPEPKLNWYSGPRSSYGASSSNGSVIETLSGQEFLWGSPKVHSDYSNSSWQAQPMGHAFISNGQEHWFPSPNHHGSFLNSSQHHNHPHHAGSAPSSLPVERHLAFFPDSLEASHLSAFSGIGMGHNDKSYTMNAGPRGASNSGVSFSGSMSYGSPRLNIMSSQRPSPVFPANAYFPGSTATDFKVLTEHVHSQHEEVNGNQIDNKKFQLDLDKIRSGEDTRTTLMIKNIPNKYTSKMLLAAIDEHHKGSFDFLYLPIDFKNKCNVGYAFINMLSPSLIIPLFEAFNGKKWEKFNSEKVTLLAYARIQGKEALVGHFQNSSLMNEDKRCRPLLFHPESSDSSNQIVQEHRSSSSLSVQVHRSNESKLSGYTGISPEDSSIEKSGEVLTEGRRHLNIS >itb10g18780.t3 pep chromosome:ASM357664v1:10:24611662:24615477:-1 gene:itb10g18780 transcript:itb10g18780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDMNQGTLVIFNLDPSVSNDDLLQIFGSYGEIKEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGTRRNLMLQLNQDLEQDECRSFTHQMGSVIGISPPGNWPKFGSPIGHGFVHNPSNSPGIRSLSPTTNSNLHGLASLLHPQGFNSTRVAPIGPRSSYGASSSNGSVIETLSGQEFLWGSPKVHSDYSNSSWQAQPMGHAFISNGQEHWFPSPNHHGSFLNSSQHHNHPHHAGSAPSSLPVERHLAFFPDSLEASHLSAFSGIGMGHNDKSYTMNAGPRGASNSGVSFSGSMSYGSPRLNIMSSQRPSPVFPANAYFPGSTATDFKVLTEHVHSQHEEVNGNQIDNKKFQLDLDKIRSGEDTRTTLMIKNIPNKYTSKMLLAAIDEHHKGSFDFLYLPIDFKNKCNVGYAFINMLSPSLIIPLFEAFNGKKWEKFNSEKVTLLAYARIQGKEALVGHFQNSSLMNEDKRCRPLLFHPESSDSSNQIVQEHRSSSSLSVQVHRSNESKLSGYTGISPEDSSIEKSGEVLTEGRRHLNIS >itb10g18780.t2 pep chromosome:ASM357664v1:10:24611662:24618493:-1 gene:itb10g18780 transcript:itb10g18780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKEKGSTAWRTCSGSDCFDTSTDASLFSSSLPVLSHERLNLSEAEHGCESSDDAYSLKKIHQVVESNDLLGDNISDPIRCLLPDDEDALLSGVMDGFDIGEFQNCSDDLEEYDIFGNGGGLELESDFPRENLTMGASRITLSDTTGVAHCGLSSGGVAITGEHPLGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDMNQGTLVIFNLDPSVSNDDLLQIFGSYGEIKEIRETPHKRHHKFIEYYDVRAAEAALRSLNRSDIAGKRIKLEPSRPGGTRRNLMLQLNQDLEQDECRSFTHQMGSVIGISPPGNWPKFGSPIGHGFVHNPSNSPGIRSLSPTTNSNLHGLASLLHPQGFNSTRVAPIGKDQARGGLTEHLSNNGNSNFGASIQQPHSFPEPKLNWYSGPRSSYGASSSNGSVIETLSGQEFLWGSPKVHSDYSNSSWQAQPMGHAFISNGQEHWFPSPNHHGSFLNSSQHHNHPHHAGSAPSSLPVERHLAFFPDSLEASHLSAFSGIGMGHNDKSYTMNAGPRGASNSGVSFSGSMSYGSPRLNIMSSQRPSPVFPANAYFPGSTATDFKVLTEHVHSQHEEVNGNQIDNKKFQLDLDKIRSGEDTRTTLMIKNIPNKYTSKMLLAAIDEHHKGSFDFLYLPIDFKNKCNVGYAFINMLSPSLIIPLFEAFNGKKWEKFNSEKVTLLAYARIQGKEALVGHFQNSSLMNEDKRCRPLLFHPESSDSSNQIVQEHRSSSSLSVQVHRSNESKLSGYTGISPEDSSIEKSGEVLTEGRRHLNIS >itb12g04230.t1 pep chromosome:ASM357664v1:12:2761055:2761426:1 gene:itb12g04230 transcript:itb12g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDFDLEVEASSENDYDIISQVGSNVSIQETLTEASKNSLADSSNMSGLMALQLSSEPVSLDLSLGFKPGCFDEMAGGQGSAAFSVSSMSESSNELTTQTTGSANPSVFTCNFCKRKFYSS >itb01g28680.t1 pep chromosome:ASM357664v1:1:33074313:33078610:1 gene:itb01g28680 transcript:itb01g28680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIVFTIHTYSVVLWITFLTAAAPAASNIDLEASSLGRWNLRAMKLKTVNENQNKTQDSICVTALVVALAFFVIVSCGLLFMCRHRFKNTDLEQGRVNLGLLFLCRPRLKKTNVEQSHGDMLKILNYDGKIAYNDILRATENFDPSYCIGAGSCGSVYRAQLPNGKVVAIKKLHEDHEGSVPEHDTSLRNEARVLSEIRHRNILKLLGFCLHDKCMRVNVVKGISSALGYLHHGCSPPVVHGDISTKNVLINSEFEAFVSDFGTARLLSPNSSHQIVLAGTYGYIAPELTFSTINTEKCDVYSFGVVVLEIMLGKYPDELISSLSSRCYEQILLKDSLDPRLPPPNSVVAPDVILMMALALASGLDHRHSIATVACRCYLPAYRRRFVSHCSFLRAALAVPGSFGGPASRVILINTLWTGTGILYDANRRKRLSILVLSARIRDSSMTGFASSSSS >itb08g01430.t1 pep chromosome:ASM357664v1:8:1057378:1061659:1 gene:itb08g01430 transcript:itb08g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATETAVSRSMPKFFFSTYLNSLSQTPKKLKKRALATWTPDQELNKVRLRSGADMKRKLTWYDLVALGVGGMLGVGVFVTTGPVARKTAGPAVFISYIVAGLSALLSSLCYTEFSVEVPVAGGAFSYLRITFGEFVGYFAGANILMDYVLSNAAVSRSFTEYLSYAFGEGNPDSWRVKVDGLMEGYNMLDFPAVALIILLTICLCHSTKESSLLNLVMTIFHVVFFGFIIIAGFYKGSSDNLVKPAGGLAPFGVRGVLDGAAIVYFSYIGYDSVSTMAEEITNPSKSLPVGIVGSVLIVSALYCLMALSLCLLLPYNLIPEQGSFSAAFKMMGWKWASNVVGVGASLGIVASLLVAMLGQARYLCVVGRARLVPSWLAKVHPSTGTPLNATIFLGICQASVALFTELKIVIEIISIGTLVVFYLVANALIYRRYAIINGNPPFQVLLFLFLVSSSSLGFSLSWKLDLQWWNLPLFGFLTLSFTALFHRLVPCARRPENWSVPLMPWPAAMSIFLNVFLMGTLNAKAYKRFGIWSGMICLFYVVYGVHSTYRVEEMDNGGMENGDNNHVNNNNTQPIKVEIQVL >itb03g00850.t1 pep chromosome:ASM357664v1:3:459986:460411:1 gene:itb03g00850 transcript:itb03g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADENDDFEELALRRRGCCLWLPCFGRRPAGAWERIPTSESYKEDWLGKSTSALKKVREWSELVAGPKWKTFIRRFNKHRSKPVKFQYDPVSYALNFDEQSGNNLDDGHLPRDFSSRYASIPATAKSPMDLGKDADSFT >itb01g29070.t1 pep chromosome:ASM357664v1:1:33356608:33358947:-1 gene:itb01g29070 transcript:itb01g29070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYVSLLCFFVLLVSISLHLLFYKNKSSGGPLPPGKTGWPFIGESLTFLSTGWKGQPEKFIFDRMAKYSSAVFRTHLLGEKAAVFCGAAGNKFLFSNENKLVEAWWPESVNKVFPSSTQTSSKEEAIRMRKMLPNFLKPEALQRYVGIMDHIARRHFADGWETMDKVEVFPLTKRYTFWLACRLFISVEDPAHVARFAEPFNAIASGLISIPIDLPGTPFRRAIKASNFIRKELVVIIKQRKLDLAEGKASPTQDILSHMLLTADENGKFMPELEIADKILGLLIGGHDTASSACAFIVKYLAELPEIYQGVYKEQMEIAESKAEGEMLRWEDIQKMKYSWNVACEVLRLAPPLQGAFREAIADFTFNGFYIPKGWKLYWSANSTHRDPKVFSEPEKFNPERFEGTGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHHLVKRFKWEKMIPDEKIIVDPMPIPAKGLPIRLFPHQKS >itb04g33290.t1 pep chromosome:ASM357664v1:4:35584411:35587189:-1 gene:itb04g33290 transcript:itb04g33290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLQFSLNNPKLLPTFEDGLLLNKTSFFPIHIKPFSSLRSSPHHFSLKCSTLSSPPIPIPSQLEHVHKEEEEERAQEEIIEEYMEDQRPLDKIWKLIQGLDNWEGLLDPMNSHLRKEIIRYGEFAQACYDSFDFDPHSKYCGTCKYTATDFFPKLGMAHRGYHISRYLYATSNINLPNFFQMSTVSSIWSQHANWMGYVAVTTDPEEIRRLGRRDIVIAWRGTVTYLEWIHDLKNILHPAHFRDDPDIKIESGFFDLYTKKEMACNFCTYSAREQVLSEVNRLIEKYRGEELSITVTGHSLGASLALLSAYDIAEMKVNILHDDDDSLTRIPITVFSFAGPRVGNLRFKERCEELGIRVLRVVNVHDKVPTVPGIIANENFQYQKQLEKTLQFPWSYAHVGVELALDHTRSPFLKKSGDLGCAHNLEAHLHLVDGFHGKDQPFRSATGRDIALVNKDSNFLKRELDVPPYWRQDENKGMVRTSEGRWVVPDRSRIEAHPPDTGHHFEKVLRLARARLELFG >itb04g01110.t1 pep chromosome:ASM357664v1:4:620955:627390:1 gene:itb04g01110 transcript:itb04g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MAIVAPSAELFQSLKPTITASLAAPRRFPSFPLSSELAVKSSHCSRRWRGVFVDSSAGTWARTGSWPAAVVRDRGERIEVHSFCDGDNSVGKEGANASPKVQERDFTGTPYVPVYVMLPLGVIDMQCRLVDPDTLIEHLRILKSINIDGVMVDCWWGIVEAHAPQQYNWSGYKRLFEIVRDLKLKLQVVMSFHECGGNVGDDVYIPLPEWVTEIGHRNPDIYFTDREGRRNHECLTWGIDKERVLRGRTAVEAYFDYMRSFRIEFDEFFVDRVISEIEIGLGPCGELRYPSYPAKHSWRYPGIGEFQCYDKYLMKNLRRAAEVRGHSFWGRGPDNAGSYNSSPHETGFFRDGGDYDSYYGRFFLKWYSQVLVDHGDRVLSLANLAFEGTSIAAKVSGIHWWYKTASHAAELTAGFYNPCNCDGYAPIVSMLKKHETALNFTCVELRTVNQNEDFPEALADPEGLVWQVLNAAWDVNIPVASENALPSYDRAGYNKILENAKPWNDPDGRHLSAFTYLRLSPILIENHNFLEFERFLKRMHGEPLFN >itb04g01110.t2 pep chromosome:ASM357664v1:4:620955:627390:1 gene:itb04g01110 transcript:itb04g01110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MVIIRLARRILISVVSIVSCMGANASPKVQERDFTGTPYVPVYVMLPLGVIDMQCRLVDPDTLIEHLRILKSINIDGVMVDCWWGIVEAHAPQQYNWSGYKRLFEIVRDLKLKLQVVMSFHECGGNVGDDVYIPLPEWVTEIGHRNPDIYFTDREGRRNHECLTWGIDKERVLRGRTAVEAYFDYMRSFRIEFDEFFVDRVISEIEIGLGPCGELRYPSYPAKHSWRYPGIGEFQCYDKYLMKNLRRAAEVRGHSFWGRGPDNAGSYNSSPHETGFFRDGGDYDSYYGRFFLKWYSQVLVDHGDRVLSLANLAFEGTSIAAKVSGIHWWYKTASHAAELTAGFYNPCNCDGYAPIVSMLKKHETALNFTCVELRTVNQNEDFPEALADPEGLVWQVLNAAWDVNIPVASENALPSYDRAGYNKILENAKPWNDPDGRHLSAFTYLRLSPILIENHNFLEFERFLKRMHGEPLFN >itb10g23030.t1 pep chromosome:ASM357664v1:10:27613648:27615473:-1 gene:itb10g23030 transcript:itb10g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRMCRNPPTSLNVRGLVGLLHRYYRVGGGGGSSGPSTSFSRILVPTATFSRGGYGGAPYYMNPGFRLFSGSPAGDYTKPDDHLLKNEANKVGVQDPLPTPKFKIFSWAKWIIGSLLSIIFPFWNGILRIEGKVEEVVEEVEEVAEMVEKVATAAENVIEAVADKLPANTILKEAVEAVEHATAQVAEDARNVTVFIHKAEEVKQDLESLETMVEPLVDKIIEESKNNKVNNSL >itb09g08870.t1 pep chromosome:ASM357664v1:9:5285953:5288391:1 gene:itb09g08870 transcript:itb09g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHHGLTSLLFFFFILLSFSGAKTLLQLERGHSLSVEDADSTFLTSPDNTFTCGFYGLGSNAYWFAIWFTNSRDKTVVWVANRARPVNSRGSNVKLEKNGALTLTDVDGAIVWESNTTSTRVNTAELLDTGNLVLKNPQGDVLWQSFGFPTDTLLPSQVFTKNNRLISVLREGGFDPGYFSLYFDGDNVLKLIYDGPEVSGLYWPNPDLDAYGNQRTIQNSTRIAFLDNSGKFFSSDRQVQILYLNASDAGDEMIKRRMTLDVDGNLRIYSLQDSTGVWKVTWEALPRPCRIHGICGRNGLCYYAPEPRCSCPPGYVVVNPNDWSRGCRALFNVTSLVTQPVKFLEISQVDYWGFDLNFTKPFSFEDCKSLCLKDHNCMAFSYRRNGEASCYTKNTLYNGYRSPDFPGSIFLKLPRDFPVAESGHPVILTRSNLVCSNSSEQLPLKYEVSSKKVRWIYLYSFCLAVGVIELLVCALGWWALFSKHGIPASLENGYCMLSSQFRMFTYAELKKATKNFKVELGRGGSGAVYKGILADDRAVAVKKLGDEFHGEEQFWAEMTTIGKINHMNLVRMWGFCAEGKRRLLVYEYVENSSLDKHIYTSNFLGWKERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLTGELEPKIADFGLAKLSQRGEPGSYFTKIRGTKGYMAPEWALNQPITSKVDVYGYGVVILEMVKGSRLSSWAAEESELHQEAVDLKQFLWEMKSKVELRDESWVEDIVDKRLEGKFSRRQAKTLIKVGVACVEEDRNMRPTMASVVQTLLECEDETIVIQTSDPLYI >itb04g25670.t1 pep chromosome:ASM357664v1:4:30215997:30219257:1 gene:itb04g25670 transcript:itb04g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESKSIQVSGMQFGYDFQTPLFFDFSLKASPGSRCLLVGANGSGKTTLLRILAGKHMVGGKDVVRVLNFSAFHDTHLVCSGDLAYLGESWSKNAGSAGEIPLQGDFSAEHMIFGVDGVDPIRREKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATVVYATHIFDGLETWATDLVYVQDGVLKRSEKLSELAELKTKPNLLSVVETWLRSETPIEKKKASNNPSAVKTSSPFDASPFRSTRHMAYYR >itb04g25670.t2 pep chromosome:ASM357664v1:4:30216068:30218672:1 gene:itb04g25670 transcript:itb04g25670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESKSIQVSGMQFGYDFQTPLFFDFSLKASPGSRCLLVGANGSGKTTLLRILAGKHMVGGKDVVRVLNFSAFHDTHLVCSGDLAYLGESWSKNAGSAGEIPLQGDFSAEHMIFGVDGVDPIRREKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEVTVDLDVVARMDLLDFFKEECEQVFNCSLVFLIPTVVCVHMN >itb09g16840.t1 pep chromosome:ASM357664v1:9:11977372:11979045:1 gene:itb09g16840 transcript:itb09g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYITNSSSDTDESPAPSRRHDFPDLHFPIPKPPISPSQSYKSLAILSGHIGSVSCLSLCGEFILSASQGRDIIVWQHPDLRQFTKFGQGDGSVKAILTVGNKVFTAHQDSRIRAWKVSRRSENVFRILDTLPTTKDCLGKFMRQSNYVQTRRNHKKLWIQHADSISCLAFHNGHLYSGSWDKTLKVWSLSDFKCVESIKAHEDAINGLISSHGVVYSASADGKIKAWRRTEKERIHSLVGLMEGHTDVSFNTVVVSEDGGFVYGGGSDGYVMGWDRVGGKRVCEVKAHEMAVLCMCVMGGDFLCSGSADKSIGIWRREMNGGIFRVGVIKGHEGPIRCLQASPFSVGGGFMLYSGSLDRSLRVWWVPKYCDRSEEKSADRFLRLPSV >itb09g16840.t2 pep chromosome:ASM357664v1:9:11976042:11978759:1 gene:itb09g16840 transcript:itb09g16840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVGLLPSSNRLFKGRMKKDGNVVGSLHGHGYTPSSNSSSDTDESPAPSRRHDFPDLHFPIPKPPISPSQSYKSLAILSGHIGSVSCLSLCGEFILSASQGRDIIVWQHPDLRQFTKFGQGDGSVKAILTVGNKVFTAHQDSRIRAWKVSRRSENVFRILDTLPTTKDCLGKFMRQSNYVQTRRNHKKLWIQHADSISCLAFHNGHLYSGSWDKTLKVWSLSDFKCVESIKAHEDAINGLISSHGVVYSASADGKIKAWRRTEKERIHSLVGLMEGHTDVSFNTVVVSEDGGFVYGGGSDGYVMGWDRVGGKRVCEVKAHEMAVLCMCVMGGDFLCSGSADKSIGIWRREMNGGIFRVGVIKGHEGPIRCLQASPFSVGGGFMLYSGSLDRSLRVWWVPKYCDRSEEKSADRFLRLPSV >itb01g20320.t1 pep chromosome:ASM357664v1:1:26559084:26559437:1 gene:itb01g20320 transcript:itb01g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALISTKHNEYSKRYKSKIPHIEITPPEIATIGGRSPQPSAEGCSSELQSAEYGRRSRNYRLREKKVEDQPQLSNPQSTAGLAHVVTPRLLRRPQFRTASRLRERKTGKGRNFDFY >itb12g07270.t1 pep chromosome:ASM357664v1:12:5423342:5424148:-1 gene:itb12g07270 transcript:itb12g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKITRVSNNSTTQHLATLSGHRGPVCEHKSSVNSISWAPHELGLCLACGSSDGCISVYSARSDGSWDTKRIDQAHPVGVTVVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPALQKHSNWVRDVAWAPNLGLPNSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb11g01090.t1 pep chromosome:ASM357664v1:11:494385:496802:-1 gene:itb11g01090 transcript:itb11g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT3G60730) UniProtKB/TrEMBL;Acc:A0A178V7L0] MSNSSATFLIVFNLSLLLAAIDARNTLTTNNQDLEAIRTARSNVLRALEWAQSLHELHEQSRESGNAAAAMSDCATLYADAEERLARLDVSPAAGDDKYDHLDAVTWLSATMSSHRSCLDGLHEMGLVSHGYASQNLTLPLKQALARFSRKRNVKTTRNVVHKKPSSSDGGGLLDSWSSASSKANIVVAQDGSGNYKTINEAVSALSTMGNGGERRVVVYVKAGVYNERVEIERGMKNVMLVGDGMDRTIITGNRNVQDGSTTFGSATFRVSGDGFWARDVTFENTAGPEKHQAVAVAVASDQAVFYRCSMKGYQDTLYVHSLRQFYRDCHIYGTIDFIFGNAAAVLQNCNIFVKKPMAHQSNLITAQGRDDPNENTGISILNSRVIPTPELRGAGGVKSFLGRPWRKYSRTVFIKSDLDGLIDPKGWMEWSGDFALSTLYYGEYMNSGAGASTEKRVNWPGLHLLRQAEEASPFCVRNFIQGDSWIPESGVPFGSQL >itb05g11000.t1 pep chromosome:ASM357664v1:5:16913895:16915046:1 gene:itb05g11000 transcript:itb05g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTHNMLSSNIKGVTNGFPLLIEVEKAMEKTVDFNPDFIKNLFPKIEWKALVGASRTLGYADLPEDAEAAASMLDSPDFLQKLHHALLEIHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >itb01g10710.t3 pep chromosome:ASM357664v1:1:9332653:9336794:1 gene:itb01g10710 transcript:itb01g10710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFEGDNDDVEKLSKIEINKEFARRFEHNKKREDLQRFEELKKKGLVDEDDSSDESEDDDDDEDFGKPISEKDAEFFNALIKIRNKDPILLNKDAKLFESESESEPDSEADDKKKEKAKKKMYLKDVASKHLIEEGPEFNDEDEEEDKDKMKVKTYSEEQEELRKEFLDAAEKMEMENERDGEDFFKVKERGDEDVEDDDDAEEFSKKLDEYFGEDDKLDENDRFLKDYFRNKMWMNSDEKVGKEDDVGFEFSEDEEEIEKQEDYERDFNFRFEENAGDRVWGHSRKVEGSVRKKTNARKLQRERKEERMAKEEDERKEELKRLKNLKKKEMREKLQKIKETAGIGDDGVCLLDEDDLEEEFNPEEYDKKMKKAFGEAYYEAEDVNPDFGSDEDADEGDLEKPDFDKEDELLGLPKGWDEMNQPREGFLSIRERILKSQENTGEEHETVDEEDGVSEEGKRKKKRRKDSVVMQAAKDQLMEEYYKLDYEDAIGDLKTRFKYKPVNAKRFGLSTEEMLMLDDKELNQYVPLKTLAPYRDKDWKVPRAKRHQQKQKIKELIQGKTLDIRKNEKKRPRDQETTIVANYTENEKPHLEGSNGDTSTLSRKKRRKMRLAELKTSHRRLLAFGFNKKK >itb01g10710.t2 pep chromosome:ASM357664v1:1:9332653:9336794:1 gene:itb01g10710 transcript:itb01g10710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFEGDNDDVEKLSKIEINKEFARRFEHNKKREDLQRFEELKKKGLVDEDDSSDESEDDDDDEDFGKPISEKDAEFFNALIKIRNKDPILLNKDAKLFESESESEPDSEADDKKKEKAKKKMYLKDVASKHLIEEGPEFNDEDEEEDKDKMKVKTYSEEQEELRKEFLDAAEKMEMENERDGEDFFKVKERGDEDVEDDDDAEEFSKKLDEYFGEDDKLDENDRFLKDYFRNKMWMNSDEKVGKEDDVGFEFSEDEEEIEKQEDYERDFNFRFEENAGDRVWGHSRKVEGSVRKKTNARKLQRERKEERMAKEEDERKEELKRLKNLKKKEMREKLQKIKETAGIGDDGVCLLDEDDLEEEFNPEEYDKKMKKAFGEAYYEAEDVNPDFGSDEDADEGDLEKPDFDKEDELLGLPKGWDEMNQPREGFLSIRERILKSQENTGEEHETVDEEDGVSEEGKRKKKRRKDSVVMQAAKDQLMEEYYKLDYEDAIGDLKTRFKYKPVNAKRFGLSTEEMLMLDDKELNQYVPLKTLAPYRDKDWKVPRAKRHQQKQKIKELIQGKTLDIRKNEKKRPRDQETTIVANYTENEKPHLEGSNGDTSTLSRKKRRKMRLAELKTSHRRLLAFGFNKKK >itb01g10710.t1 pep chromosome:ASM357664v1:1:9331805:9336794:1 gene:itb01g10710 transcript:itb01g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFEGDNDDVEKLSKIEINKEFARRFEHNKKREDLQRFEELKKKGLVDEDDSSDESEDDDDDEDFGKPISEKDAEFFNALIKIRNKDPILLNKDAKLFESESESEPDSEADDKKKEKAKKKMYLKDVASKHLIEEGPEFNDEDEEEDKDKMKVKTYSEEQEELRKEFLDAAEKMEMENERDGEDFFKVKERGDEDVEDDDDAEEFSKKLDEYFGEDDKLDENDRFLKDYFRNKMWMNSDEKVGKEDDVGFEFSEDEEEIEKQEDYERDFNFRFEENAGDRVWGHSRKVEGSVRKKTNARKLQRERKEERMAKEEDERKEELKRLKNLKKKEMREKLQKIKETAGIGDDGVCLLDEDDLEEEFNPEEYDKKMKKAFGEAYYEAEDVNPDFGSDEDADEGDLEKPDFDKEDELLGLPKGWDEMNQPREGFLSIRERILKSQENTGEEHETVDEEDGVSEEGKRKKKRRKDSVVMQAAKDQLMEEYYKLDYEDAIGDLKTRFKYKPVNAKRFGLSTEEMLMLDDKELNQYVPLKTLAPYRDKDWKVPRAKRHQQKQKIKELIQGKTLDIRKNEKKRPRDQETTIVANYTENEKPHLEGSNGDTSTLSRKKRRKMRLAELKTSHRRLLAFGFNKKK >itb01g02750.t1 pep chromosome:ASM357664v1:1:1787419:1791863:1 gene:itb01g02750 transcript:itb01g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQPQSMSKRPNSAITREADRLIITPLGAGSEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEKDINKSMDKIEVIDFHQTMEVNGIRFWCYTAGHVLGAAMFMVSIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQQHQPRHIREKRFTEVIHNTITQGGRVLIPAFALGRAQELLLILDEYWSSHPELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFVSSNPFDFKHISPLNSIENFQDTGPCVVMASPGSLQSGLSRQLFDKWCSDKRNACVIPGYVVEGTLAKTIINEPKEVTLTNGLTAPLHMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLTTLFADQNTKIITPKNCQSVEMYFSSEKMAKTIGKLAEKTPEVGETVSGLLVKKGFTYQILAPEDLHIFSQLSTANVTQRITIPYSGAFAVIRHRIKQVYESVESSTDEDSGVPTLRVHDRVLVKQESENHLSVHWAADPISDMVSDSVVALVLNANREMPKLVVESEPVVNEEEDAKKTEKIIHTLLVSLFGDVKLGENGKIVINVDGNIAELDKQTGDVESENDGLKERVKTAFRRITSAVKPIPLSAP >itb01g02750.t3 pep chromosome:ASM357664v1:1:1787419:1791232:1 gene:itb01g02750 transcript:itb01g02750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQPQSMSKRPNSAITREADRLIITPLGAGSEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEKDINKSMDKIEVIDFHQTMEVNGIRFWCYTAGHVLGAAMFMVSIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQQHQPRHIREKRFTEVIHNTITQGGRVLIPAFALGRAQELLLILDEYWSSHPELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFVSSNPFDFKHISPLNSIENFQDTGPCVVMASPGSLQSGLSRQLFDKWCSDKRNACVIPGYVVEGTLAKTIINEPKEVTLTNGLTAPLHMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLTTLFADQNTKIITPKNCQSVEMYFSSEKMAKTIGKLAEKTPEVGETVSGLLVKKGFTYQILAPEDLHIFSQLSTANVTQRITIPYSGAFAVIRHRIKQVYESVESSTDEDSGVPTLRVHDRVLVKQESENHLSVHWAADPISDMVSDSVVALVLNANREMPKLVVESEPVVNEEEDAKKTEKIIHTLLVSLFGDVKLGENGKIVINVDGNIAELDKQTGDVESENDGLKERVKTAFRRITSAVKPIPLSAP >itb01g02750.t2 pep chromosome:ASM357664v1:1:1787419:1791853:1 gene:itb01g02750 transcript:itb01g02750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQPQSMSKRPNSAITREADRLIITPLGAGSEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEKDINKSMDKIEVIDFHQTMEVNGIRFWCYTAGHVLGAAMFMVSIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQQHQPRHIREKRFTEVIHNTITQGGRVLIPAFALGRAQELLLILDEYWSSHPELHKIPIYYASPLAKRCMAVYQTYINSMNERIRNQFVSSNPFDFKHISPLNSIENFQDTGPCVVMASPGSLQSGLSRQLFDKWCSDKRNACVIPGYVVEGTLAKTIINEPKEVTLTNGLTAPLHMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLTTLFADQNTKIITPKNCQSVEMYFSSEKMAKTIGKLAEKTPEVGETVSGLLVKKGFTYQILAPEDLHIFSQLSTANVTQRITIPYSGAFAVIRHRIKQVYESVESSTDEDSGVPTLRVHDRVLVKQESENHLSVHWAADPISDMVSDSVVALVLNANREMPKLVVESEPVVNEEEDAKKTEKIIHTLLVSLFGDVKLGENGKIVINVDGNIAELDKQTGDVESENDGLKERVKTAFRRITSAVKPIPLSAP >itb07g04890.t1 pep chromosome:ASM357664v1:7:3309512:3310567:1 gene:itb07g04890 transcript:itb07g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIGDRFQPKDYELLTILLRFVIGMGCHRTNIRHEDLYGDKDPWEIFRWDCNEKHQYFFTQLKRKSKTMKGSRINRTVGKSAGTWHGQDKGKPIIDKKTGALLGYKRSFLYQNKMEPEQDRQWLLKEFYLNDEAIRKATETYPKLEDTKDFVLCRLQRKKRAGGEPQEIKYVPVETIVQILLQGAADSTATLPTIEKKFDNYCYINPTATATATLPTTEENFDNNYYINPTEENLATTTLPTTEENFDNHYYINPTEEISAQVQPQPSVLGENNGDDMSLYFDCNILNEDPEMLAMQQRWLEGNNDICMDDLTGPSLEEMLQELAAAPLPQPSMQASEKDDYTTVFYPF >itb07g00090.t1 pep chromosome:ASM357664v1:7:76819:78136:1 gene:itb07g00090 transcript:itb07g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTYVTELGFRRLESDQKREVRVSANSGLEGGKSLRTKEGKKKLPARVAVLLRAQPVGEGGGGGGAASVPRRCCFGSSVVDCGKQREVGRQMAEGEDGGFGGAASVRRSSIAGSRGKAEGGWQRAKTEGRRCRFGGPWSIAGRQRAEGGWECCCASLPLWGKYY >itb05g00380.t2 pep chromosome:ASM357664v1:5:279032:280658:-1 gene:itb05g00380 transcript:itb05g00380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHVSFNSSINPLSINLKKKKIISKTFAVQCSHWGADTIEGKGSWVWGYPPLLRIVSPKSDMAGNKPAEIKDLQILSSHNTKDQPQPQPQPQENNKKLQVAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKTDGETVQWLLQQAEPAIIAATGTGTIPASALAAAAEASVSEQGTSVSASLHEFAGIRSHWAVMGGANNLSRPQISSAAAAAWPSIPGFAPGFSGNQILNSIPKFGFHGFEFPNPGTFTQHLPGLELGLSQEGRIGSVNFQAVPQSYQMGTGNNPMNQQHPGTGRDESQGPGQ >itb05g00380.t1 pep chromosome:ASM357664v1:5:279082:280658:-1 gene:itb05g00380 transcript:itb05g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHVSFNSSINPLSINLKKKKIISKTFAVQCSHWGADTIEGKGSWVWGYPPLLRIVSPKSDMAGNKPAEIKDLQILSSHNTKDQPQPQPQPQENNKKLQVAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKTDGETVQWLLQQAEPAIIAATGTGTIPASALAAAAEASVSEQGTSVSASLHEFAGIRSHWAVMGGANNLSRPQISSAAAAAWPSIPGFAPGFSGNQILNSIPKFGFHGFEFPNPGTFTQHLPGLELGLSQEGRIGSVNFQAVPQSYQMGTGNNPMNQQHPGTGRDESQGPGQ >itb02g24190.t1 pep chromosome:ASM357664v1:2:24550483:24554058:-1 gene:itb02g24190 transcript:itb02g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSDPNQYLGMMPYTTAFLAKQRSPKRVASYEEGFVGSYYEVTVLDELPGGKGYVVQYKTLVTDDFSRPLTEAFLDAEIQS >itb13g25740.t1 pep chromosome:ASM357664v1:13:31058140:31060789:-1 gene:itb13g25740 transcript:itb13g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKGQFVSDPREKVLKRTKFRDFISSDQHDSYCSPRVLRANLRGKKEGCIHARITLSSQICCHGCLELHMLIALAKVMRLISLAKVNIPTICYVVFTLQLKTMEWKAKKQSLFIANSLPLNLPFCFTFSLH >itb06g02360.t1 pep chromosome:ASM357664v1:6:4111697:4114581:1 gene:itb06g02360 transcript:itb06g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQDPFYIVKEEIQESIDRLLSTFHQWECIPNSGEHQQLVKELHSACESISWQVDELDKTISIASKDPSWYGINDVELDKRRRWTSDARTQVGNVKKAVITGQESTGLSVFEMRRELMNLPDPDQIVDKPNKYTTANNDDYVSAESDTQLLLIKQQDDELDELSASVRRIGGVGLTIHDELRAQDKIINDLGAEMDNTSTRLDFVQKRVAMVMKKASPKGQFMMILFLIVLFIILFILVFLT >itb14g21260.t1 pep chromosome:ASM357664v1:14:23351784:23352233:-1 gene:itb14g21260 transcript:itb14g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTSMEVGDDGVAVITINNPPLNLLSVNVVLSLKRSVEEAVQRDDVKAIVLIGSKGYFSAGFDVTAFGVSQG >itb01g30410.t2 pep chromosome:ASM357664v1:1:34452685:34459288:1 gene:itb01g30410 transcript:itb01g30410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYKLFLLLTIIRLLSLLLLSCAPNTSTALPLFPPSHHLQVPNEVGALLTWKSSLDLRSQSLLTSWVVGSDTCNWTGIACDGGRSITSLNLTSYGLKGTIRYMSFSSLTHLQVIDLSNNSLHGSISFIKEMSALQKLTTLYLWGNKLSGHIPQEIGMLKSLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTSLTDLQLSSNDLTGQIPTSIGNLQNLTILYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTILYLWGNKLSGHIPQEIGMLKSLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTSLTDLQLSSNDLTGQIPTSIGNLQNLTILYLWGNKLSGHIPQEIGMLKSLTDLQLSTNDFTGQIPTSIGNLQVLTTLYLTRNQLSGNIPQEIGRLTSLVNLGLGSNDLTGQIPTSIGNLQNLTTLYLWGNKLSGHIPQEIGMLKSLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGYIPEEIGLLKSLVELDLSENDLCGQIPTSMRSLKNLTTLIVWGNQLSGHILSVFSNLTSLSILDLSYNHFIGQLPENLCLGHSLKRLLVKNNNFVGNIPVTLKNCTSLFRVLLQNNKFSGDIFEAFGEYPNLNYIDLSNNSFYGQLSSNWGNCPKLSALKISNNKISGKLPTNLYNASQLVLLDLSSNQLVGMIPKSLEKLVRLTILKLDTNKFSGNISLEIGKLSGLSIFSIAANSFVGLIPEHFESCQGLIDLNLSRNMFVGNIPYGMGNMKLLESLDLSHNTLSGQIPQQFEGLTSLQIMNLSHNNLSGYIPSSIAQCLGLLSVDVSYNQLEGPIPNNKAFLEAPYDALRNNKGLCGNHSGFKPCSSNNQRDHHQRRNLLLIILLTLGGLFMIISIVVLLIIRSRSHIREKPRAITNKDVLAILDFDGKIAYESIIEVIGNFDSIYCIGEGGHASVYRAELPSGQIVAIKRFNAIGQEDKRCELKSFSNEVRTLTEVRHRNIVKLYGFCASERNSFLIYEYLEGGSLAHILNDSEKAMELGWMKRVNVVKDVAKALSYIHHDCLPPIVHRDISAKNVLFDSEYEAHVSDFGTARILSLHSSNWTSFAGTFGYAAPEFAYTMEVTEKCDVYSFGVLALEVIMGKHPGDLITSIFSSSPISTAHGTLLLRDVLDSRLFTPTKQEAEELILVAKIAVACLNMNPQCRPSMQQVSVLLSKERRYSSSNSLPQITIRQLFGLEFPTP >itb01g30410.t3 pep chromosome:ASM357664v1:1:34457203:34460944:1 gene:itb01g30410 transcript:itb01g30410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGWMKRVNVVKDVAKALSYIHHDCLPPIVHRDISAKNVLFDSEYEAHVSDFGTARILSLHSSNWTSFAGTFGYAAPEFAYTMEVTEKCDVYSFGVLALEVIMGKHPGDLITSIFSSSPISTAHGTLLLRDVLDSRLFTPTKQEAEELILVAKIAVACLNMNPQCRPSMQQCHQARQSTLHASDEVEKLLLAEGSKMRIQNYTLLNCLASCWDQIWYGGAISVGIDHVCFHCFRGLSMAHVLFNWRSEALSTTMASTTYSLFEISKHFHSHP >itb01g30410.t1 pep chromosome:ASM357664v1:1:34452685:34459288:1 gene:itb01g30410 transcript:itb01g30410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYKLFLLLTIIRLLSLLLLSCAPNTSTALPLFPPSHHLQVPNEVGALLTWKSSLDLRSQSLLTSWVVGSDTCNWTGIACDGGRSITSLNLTSYGLKGTIRYMSFSSLTHLQVIDLSNNSLHGSISFIKEMSALQKLTTLYLWGNKLSGHIPQEIGMLKSLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTSLTDLQLSSNDLTGQIPTSIGNLQNLTILYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTSLYLWGNKLSGHIPQEIGMLKPLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTALTDLQLSSNDLTGQIPTSIGNLQNLTILYLWGNKLSGHIPQEIGMLKSLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGHIPQEIGLLTSLTDLQLSSNDLTGQIPTSIGNLQNLTILYLWGNKLSGHIPQEIGMLKSLTDLQLSTNDFTGQIPTSIGNLQVLTTLYLTRNQLSGNIPQEIGRLTSLVNLGLGSNDLTGQIPTSIGNLQNLTTLYLWGNKLSGHIPQEIGMLKSLVNLGLSSNNLTGQIPTIIGNLRNLMTLYLFGNQLSGYIPEEIGLLKSLVELDLSENDLCGQIPTSMRSLKNLTTLIVWGNQLSGHILSVFSNLTSLSILDLSYNHFIGQLPENLCLGHSLKRLLVKNNNFVGNIPVTLKNCTSLFRVLLQNNKFSGDIFEAFGEYPNLNYIDLSNNSFYGQLSSNWGNCPKLSALKISNNKISGKLPTNLYNASQLVLLDLSSNQLVGMIPKSLEKLVRLTILKLDTNKFSGNISLEIGKLSGLSIFSIAANSFVGLIPEHFESCQGLIDLNLSRNMFVGNIPYGMGNMKLLESLDLSHNTLSGQIPQQFEGLTSLQIMNLSHNNLSGYIPSSIAQCLGLLSVDVSYNQLEGPIPNNKAFLEAPYDALRNNKGLCGNHSGFKPCSSNNQRDHHQRRNLLLIILLTLGGLFMIISIVVLLIIRSRSHIREKPRAITNKDVLAILDFDGKIAYESIIEVIGNFDSIYCIGEGGHASVYRAELPSGQIVAIKRFNAIGQEDKRCELKSFSNEVRTLTEVRHRNIVKLYGFCASERNSFLIYEYLEGGSLAHILNDSEKAMELGWMKRVNVVKDVAKALSYIHHDCLPPIVHRDISAKNVLFDSEYEAHVSDFGTARILSLHSSNWTSFAGTFGYAAPEFAYTMEVTEKCDVYSFGVLALEVIMGKHPGDLITSIFSSSPISTAHGTLLLRDVLDSRLFTPTKQEAEELILVAKIAVACLNMNPQCRPSMQQVSVLLSKERRYSSSNSLPQITIRQLFGLEFPTP >itb01g23870.t2 pep chromosome:ASM357664v1:1:29730678:29734117:1 gene:itb01g23870 transcript:itb01g23870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDQRVLDLVKELVHRLLYTSPQSATSSDSNPNPSPSEQQLQQAFKYAVRILSSRMTPTIAADEASMAESIKRQLATQGKSSEALTFADLYSKFSSKIGPGSVKNKWALLYLIKTISEDRKARKSQPLSGVSVGVFSSAVNGGLPMLFDGQSNGYSRVGNGASKSLGTLDNSDNLRALDGNLGYSTNVGKVEKFSVDGAMSDEFRNLRRPSDNSRGMRGRENTEKGWHGGVLMVSKDPENLRDMAYREFANLLKEENEVSEEVLVRDILYACQGIDGKYVKFDANADGYVLPDLVKVPRATRIMVRKLCELGWLFKKVNGYSSESMDRFPAEDVGTVGQAFCAVLQDELSEYFKLLSVLEAQAMNPMPLVSENANSGNYLSLRRLSVWFAEPLVKMRLMAVLVDSCKVLKGGAMAGAIHMHAQHGDPLVKDFMKRLLHRVCSPLFEMVRTWVLEGELEDIFAEFFIVSQPVKAESLWREGYRLHAAMLPSFISPSLAQQILRTGKSINFLRVCCEDRGWADAAMEAAAAAGTTTTRGSLKYGETDALESLVTEAAKRIDKHLLDVIYKRYKFKEHCFAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISAFKLAGLLESAIRSSNAQYDDRDMLDRLRVKMMPHNPGDRGWDVFSLEYDARVPLNTILSESVMARYLRIFNFLWRLRRVEHALTGAWKAMKPNCIASHFFSKLPQAVKLQLLLTSRKCQVLWDEMNHFVSNLQYYIMFEVLEVSWSNLLREMELAKDLDDLLAAHEKYLFSIVEKSMLGERSQALNKTLFVLLDFILRFRSHVDRLYEGINELQSRSAESSLTSQDRVKSRGQSSAKSSEPGSWLGEGRKALTNRAGEFLQNIGQDIDAITKEYSSLFEGFISQLPVQQHIDLKFLMFRLDFTEFYSHLRGNIGERLP >itb01g23870.t1 pep chromosome:ASM357664v1:1:29730678:29734117:1 gene:itb01g23870 transcript:itb01g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDQRVLDLVKELVHRLLYTSPQSATSSDSNPNPSPSEQQLQQAFKYAVRILSSRMTPTIAADEASMAESIKRQLATQGKSSEALTFADLYSKFSSKIGPGSVKNKWALLYLIKTISEDRKARKSQPLSGVSVGVFSSAVNGGLPMLFDGQSNGYSRVGNGASKSLGTLDNSDNLRALDGNLGYSTNVGKVEKFSVDGAMSDEFRNLRRPSDNSRGMRGRENTEKGWHGGVLMVSKDPENLRDMAYREFANLLKEENEVSEEVLVRDILYACQGIDGKYVKFDANADGYVLPDLVKVPRATRIMVRKLCELGWLFKKVNGYSSESMDRFPAEDVGTVGQAFCAVLQDELSEYFKLLSVLEAQAMNPMPLVSENANSGNYLSLRRLSVWFAEPLVKMRLMAVLVDSCKVLKGGAMAGAIHMHAQHGDPLVKDFMKRLLHRVCSPLFEMVRTWVLEGELEDIFAEFFIVSQPVKAESLWREGYRLHAAMLPSFISPSLAQQILRTGKSINFLRVCCEDRGWADAAMEAAAAAGTTTTRGSLKYGETDALESLVTEAAKRIDKHLLDVIYKRYKFKEHCFAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISAFKLAGLLESAIRSSNAQYDDRDMLDRLRVKMMPHNPGDRGWDVFSLEYDARVPLNTILSESVMASTT >itb02g01940.t1 pep chromosome:ASM357664v1:2:1071322:1073648:1 gene:itb02g01940 transcript:itb02g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLVMNHIIPRCFKPRNHPAIESRTQDSQQPSGRHRLAVSDLSDPGSPLSANDLSSNSVIGSNLHIFTLAELKVITGDFSAANFLGEGGFGPVHKGFVVDKSRPGLEAQTVAVKRLDLEGTQGHREWLVEVIILAQLKHPHLVKLIGYCWEDEDRLLVYEYMTRGSLENHLFGRCSACLPWLTRIKIAVGAAKGLAFLHGEEQPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDNTHVTTRIMGTHGYAAPEYLMTGHLTPMSDVYSYGVVLLELITGKRAVDKKRPSREQNLVEWAKPYLKDPHKLDRILDPRLEGQYSTEGAKRVAALAYQCLSHHAKCRPTMSNVVKRLEPVLDLKDIPIGTFVYVAPSETSQKEPNNSDEAKKEETMEKLLSPCEDEKKAEKQENNKQRRIHGGRHNHRTKLAAVYSDTALYRTLRNELKPTKYSEPKRIELNKC >itb10g01100.t1 pep chromosome:ASM357664v1:10:808496:811498:-1 gene:itb10g01100 transcript:itb10g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLTGEESLGRDFESLSVSKRLVRSVSQKLKKKNHRVEGEEDSARGVSLSCLISLYGRGGGCKVGADTGDDLGSQQGRRHSSSSDEGKGYVPVCGNEEASVDCFSYGMREKLWRRSNRKALQVEESVQNRSVNVFLPDDILEMCLVRLPLVSLMNARLVCKKWKNLSATPRFWKMRQEGMFQSPWLFLFGVVKNGYCSAEIHALDVSFNKWHKIDAEILRGRFLFSVASVRDDVYIAGGCSSLTNFGKVDKSSYKMHKSVLVFSPLTRSWRKAASLKHARSSPVLGIFEVTSDCLIIKNQQHRSEKRFYRSRVGGTSDVYEDPHRLSVRRQFGHSLDENPLVKPYKFIRQKSERFNKDHRRFILIAVGGLGCWDEPLDSGEIYDSVSNKWTEIPRLPVEFGVACSGVVCKGLFYVYSETDKLAAFDIERGYWIGIRTSPSPPRVHEYHPKLISCNGRLFMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVCIHPDAPMDWNATFASDKNLIFGVEMFKIFGQVLDFSTVCRVSDTDTNWKHVSRNQVAHELDPSSCATKSMAVLHL >itb04g00840.t1 pep chromosome:ASM357664v1:4:457908:459890:1 gene:itb04g00840 transcript:itb04g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01310) UniProtKB/Swiss-Prot;Acc:O04603] MASSPALLHSSASSFHSRLPVHSVPLPAGNLKCWRRSLCVKAATGSSGIVLVEKTESEKTNRLKTSYLEKIVPLLKEEFNYKNILEVPKIEKIVVNCGIGDAAQNSKGLDAALSDLAQITGQRPVKTRAKKAIATFKIRENQPLGIAVTLRGKVMYAFLDRLINLGLPRTRDFQGVSPNSFDGHGNYSIGFRDQSVFPELTSDILGKPRGMDVCITTTAKTDKEGQRLLALMGMPFREGGGGPVTEVRKKKLKSHHFDSKAKQKARK >itb03g02610.t1 pep chromosome:ASM357664v1:3:1486587:1488250:1 gene:itb03g02610 transcript:itb03g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHPLHLKLLLDTDCTVTVFEGLKYNSIDGELVAVAGDSWALRSEPISVTWHSIKGIINEESCSEIISALNSDVEALDQKDIVTKSFYSQEKMIARAARLALIAEEVCCFDVILEIRDFLRDAIEPWLSGSSGANNGFLYESKWGGIVTKKGCLDSGADNGFGAYNSHHSQIGYFIYGIAVLSKIDSVWGRKYKRQAYSLVGDYMNLGRRESSHYPRLRCFDMWKLHSWGGGLTEYADGRNQESTSEAVNAYYSAASMGVAFGDPHLVAIGSTLSALEILSAQTWWQARDGDTIHPEDFKRENRLVSVLWANKRDSNLWLAQAEWREHRFVKQLVEWTEPALAREGVADRWKGFVYALEGLYDKEGALEKIRNLKGFDDGNSLTNLLWWIHSRDDNNEGVGSERGRQFMCWSP >itb05g19290.t2 pep chromosome:ASM357664v1:5:25876906:25880410:1 gene:itb05g19290 transcript:itb05g19290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSIKDAFDRVTNNKRLSASKSEEIIEQVGLEIEQALIRLQSVNDASPTNHRFVLTELKTKLKDIAPLTHLESTQKELNIALSKYLKILEKSFIPDISKAYRNVDCDIHTVNQIIASHFYRGGLFDIGDCFIDESMEPEAAASKSPFLEMYPILEAMRSQNLQPALSWAAANHEKLEQSGSDIEMKLHRQHFVEILQNRGRDEALDYARTVFPPFGAKYMSEIQKLMACLLWAGKLDSSPYSDLLSPVHWDKLGEELTREFCNLIGQSYESPLSVTIAAGVQGLPTLLKLANVMTMKRQEWQSMKQLPVPVDLDREFQFHSIFVCPVSRDQATDENPPMLLSCGHVLCKQSITKLSKNNSARPFKCPYCPSEIEAGQCRQLYI >itb05g19290.t3 pep chromosome:ASM357664v1:5:25878809:25880503:1 gene:itb05g19290 transcript:itb05g19290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSIKDAFDRVTNNKRLSASKSEEIIEQVGLEIEQALIRLQSVNDASPTNHRFVLTELKTKLKDIAPLTHLESTQKELNIALSKYLKILEKSFIPDISKAYRNVDCDIHTVNQIIASHFYRGGLFDIGDCFIDESMEPEAAASKSPFLEMYPILEAMRSQNLQPALSWAAANHEKLEQSGSDIEMKLHRQHFVEILQNRGRDEALDYARTVFPPFGAKYMSEIQKLMACLLWAGKLDSSPYSDLLSPVHWDKLGEELTREFCNLIGQSYESPLSVTIAAGVQGLPTLLKLANVMTMKRQEWQSMKQLPVPVDLDREFQFHSIFVCPVSRDQATDENPPMLLSCGHVLCKQSITKLSKNNSARPFKCPYCPSEIEAGQCRQLYI >itb05g19290.t1 pep chromosome:ASM357664v1:5:25876909:25880503:1 gene:itb05g19290 transcript:itb05g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSIKDAFDRVTNNKRLSASKSEEIIEQVGLEIEQALIRLQSVNDASPTNHRFVLTELKTKLKDIAPLTHLESTQKELNIALSKYLKILEKSFIPDISKAYRNVDCDIHTVNQIIASHFYRGGLFDIGDCFIDESMEPEAAASKSPFLEMYPILEAMRSQNLQPALSWAAANHEKLEQSGSDIEMKLHRQHFVEILQNRGRDEALDYARTVFPPFGAKYMSEIQKLMACLLWAGKLDSSPYSDLLSPVHWDKLGEELTREFCNLIGQSYESPLSVTIAAGVQGLPTLLKLANVMTMKRQEWQSMKQLPVPVDLDREFQFHSIFVCPVSRDQATDENPPMLLSCGHVLCKQSITKLSKNNSARPFKCPYCPSEIEAGQCRQLYI >itb12g00100.t1 pep chromosome:ASM357664v1:12:127401:145727:-1 gene:itb12g00100 transcript:itb12g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MAASNGGEDIKFSVVVSMFQWIHKSKSKPLKQSKFRKFLDTFCRKPHDYFAAMRLILPGLDRDRGSYGLKEHVLATCIIDALGISRDSDDAHRLLNWRKAGPRAGLNAGNFSLVAAEVLQRRQGVSSGGLTIKELNDLLDALASSVNRSEKTTVLSDLIRRTNAQEMKWIVMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKVVRPQLALRVSNAAAAWKKLHGKEVVVECKFDGDRIQIHKNKAEMHFFSRNFFDHQEYAHAMSDIIAQNILVEKCILDGEMLVWDTSANRFAEFGSNQEIAKAAREGLDSDRQLCCILLYVMCWQGWSNQSAFSADVAFDVLYVGDTSVIHQSLKERHELLRKVVKPIKGSLEILVPNGGLNAHRAPGELCWSVVGHGVDDVEKFFKATVENRDEGIVLKDLNSKWEPGDRSGKWLKLKPEYIRAGSDLDVLIIGGYYGSGRHGGKVAQFLVGISERPSLGTYPRRFISFCRVGTGLSDEELDAVVTRLKPYFRKYEYPKQTPPSFYQVTNSSKERPDVWVESPEKSIIVSITSDIRTIRSEVFAAPYSLRFPRIDRVRYDKPWHECLDVQTFVELVHSSNGTTQRGAYSGRVKDHEPKHIKSKGRERKNALVVPSHFIQTDVSHIKGETSIFLNMVFYFANVPSSHTLDSLHKMVVEHGGTFSMNLNNSVTHCIAAESRGIKFQAAKLRGDVVNCSWLFDCCSQKRLLPLHPKYFLFLSDATKKKLQEEVDEFSDSYYSNLNIDDFKQLLSSICRPEESKTVEYYKKKYCPKDKWCCFHYCSIHFFLHMHSLKSLDQKVLLELAMRRLKLEVSMGGGKVSDDLFHATHLVIISLPEFCVDFDTLLRSFSVSEGHVMCSNKLHVVGSQWLEDCFEKNQKLPEGSYSLKPKNFEASAIKESESDFMIEDHMGKHVIPSSTAKNVGKEKEVALEESTVNMLLPKRDGKRKRRASGRSTSRGKATIIKRPRRTKFGSKPARIDENESSESDASRGIRQNKFGGSDNEENFEFNRWNGENISNHGPEIPVEYVIEDSKPLVEGKEIVQECIDESGVGGEWNASKTLSQNPLDPVQSILLNMIPSLEKTSSSTVVHENVGQKPSSSSTSMDMGLEQEKPPPLDLTPNPIKKKKVSYKDAVAALLKD >itb06g09340.t1 pep chromosome:ASM357664v1:6:13558816:13564571:-1 gene:itb06g09340 transcript:itb06g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSCLSPTSLYGGFLRVCLSAAGLTSQTIDIDDETTIHFWGPNPKPNSKSPPKPTLVLIHGFGPHGVWQWRSQIAVFARDYNVYVPSLVFFGRSTTKSSDRSEVFQAKCIGKLLVEKLGVEKFSVVGTSYGGFVAYHIARMWAERVEKVVVASSGINMNKKDSDDLLKRANAEKVEEFLLPTTAGQLRTLISLCMFRGPSYLPDFVFNDFIKKLYRENRKEKLELLKGLSFGKDNTVNISPLQQDVLIIWGENDQIFLLERATELKELLGKKVRLEVIKSASHLPQFEKGYKFNNILKHFLNGS >itb11g00540.t1 pep chromosome:ASM357664v1:11:235766:236864:-1 gene:itb11g00540 transcript:itb11g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGVGVFDECKLKFMELKSKRNFRYIIFKIDETGQAVVVEKMGSHEETHDDFTKSLPSNDCRFAVFDYDFTTQENCQRSRIFFIAWSPETASVRNKMVYAASKDKFRRELDGVQVELQATDPSEMSLDIFKGRAH >itb08g01020.t1 pep chromosome:ASM357664v1:8:759245:759625:-1 gene:itb08g01020 transcript:itb08g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASLALLLTLNILFFTMVSSTNVPCPPPPSGGHGHGKAKCPKDALKLKVCANLLNDLVHLVIGSPAKAHCCPLIDGLVDLEAAVCLCTAIKANVLGINLNVPLSLSLVLNNCGKKVPEGFKCE >itb01g20430.t1 pep chromosome:ASM357664v1:1:26683545:26683826:-1 gene:itb01g20430 transcript:itb01g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCYRECVKVVVINTQYIETDARSFKSVVQSLTGKDSPAESTVAPPGLNGSGGGEGTAEISSTLSRGLSFKDFENLFMNLPPLEELYQALY >itb13g01410.t1 pep chromosome:ASM357664v1:13:1355455:1357014:-1 gene:itb13g01410 transcript:itb13g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKKPKHSTSFSSARLWEDRISNIFKKEAEIQFDNLPALCVFQVPKLLIDQNPEAYTPQLIGMGPYHHLKPELYHMERYKLAAIKQILDPSQILNFQHTVVDKFRQKDPMIRACYSRFMDLQEGTLAWIIAIDGLFLIHILHTLSWGDNILTRDIILLENQIPVVLLKEILKLVELSSPSSDDDEVFSMLLRFCEAHSPLKISTETRNFNHFRQPLHLLDLMYHLIVKDQGPALSSCRLVEVPIQEISVLQKAIVETKLIVAQEHNGQDPDTFQNFEEIINGLESMGLKHTRHIITPVKAVSNVIPWTTISGLFRTATKIDGDDEDEITIPSVASLWRYACIRCSPILQGGICSIKFDEHEATLYLPVITLNSCSEVILRNLVAYEASMLRSSLEFARYVNLMNGIVDTAEDVRMLRQNGVIKGTLSDQETADLFNGMKRFFTKPDKRSNIEVAIKKTNEFYDMRMIVRVYRKVKKNAHMTWKVFAVLSFILTLALLAFQTFCGSYRCSNLWAKEEG >itb06g22790.t1 pep chromosome:ASM357664v1:6:24974311:24979185:1 gene:itb06g22790 transcript:itb06g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGVRLYIQDRHVMMDNGIVQVTISNPEGIVTGIRYNDIDNLLEVLNDESNRGYWDVVWNATDTGKSGIFEVIKATKFSVIMETEEQVEVSFSRPWDPSLQGKFVPLNIDKRFILLRGISGFYSYAIYEHVGSPEWPAFSLGETRIAFKLRKDKFHYMAMADNRQRFMPLPDDRSTGRGQALAYPEAVLLVNPVEPELKGEVDDKYQYSCDNKDNKVHGWISTNPPVGFWQITPSNEFRTGGPLKQNLTSHVGPTTLAMFLSAHYTGDELSPKFAQGEAWKKVFGPVFIYLNSAMEAEDALTLWDDAKQQMLIEVQSWPYSFPASEDFPSADKRGNVSGRLLISDKYISDDLIPANGAYVGLAPPGDAGSWQRECKDYQFWSTADEDGYFSINNIRPGDYNMYAWVPGFIGDYRNEAAITITSGGIIDMGDMVYEPPRAGPTLWEIGVPDRTAAEFYAPDPNPKYINKLYVNHPDRFRQYGLWERYSELYPDGDLVFTVGESDYTKDWFYAQVTRKKNDKTYQGTTWQIKFKLDNVNHDGVYKLRVAIASSTFAELQVRVNDPSSNKPVFSSGLIGRDNSIARHGIHGLYWLYNVDIQGSLLVEGDNNVIYLTQPRNQSPFQGIMYDYIRLEAPPTMPK >itb06g22790.t2 pep chromosome:ASM357664v1:6:24974311:24978766:1 gene:itb06g22790 transcript:itb06g22790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGVRLYIQDRHVMMDNGIVQVTISNPEGIVTGIRYNDIDNLLEVLNDESNRGYWDVVWNATDTGKSGIFEVIKATKFSVIMETEEQVEVSFSRPWDPSLQGKFVPLNIDKRFILLRGISGFYSYAIYEHVGSPEWPAFSLGETRIAFKLRKDKFHYMAMADNRQRFMPLPDDRSTGRGQALAYPEAVLLVNPVEPELKGEVDDKYQYSCDNKDNKVHGWISTNPPVGFWQITPSNEFRTGGPLKQNLTSHVGPTTLAMFLSAHYTGDELSPKFAQGEAWKKVFGPVFIYLNSAMEAEDALTLWDDAKQQMLIEVQSWPYSFPASEDFPSADKRGNVSGRLLISDKYISDDLIPANGAYVGLAPPGDAGSWQRECKDYQFWSTADEDGYFSINNIRPGDYNMYAWVPGFIGDYRNEAAITITSGGIIDMGDMVYEPPRAGPTLWEIGVPDRTAAEFYAPDPNPKYINKLYVNHPDRFRQYGLWERYSELYPDGDLVFTVGESDYTKDWFYAQVTRKKNDKTYQGTTWQIKFKLDNVNHDGVYKLRVAIASSTFAELQVII >itb05g02670.t1 pep chromosome:ASM357664v1:5:2147459:2151062:1 gene:itb05g02670 transcript:itb05g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMAQAAADVYAPRSPQLWGALLNWLCFFFQILAQIVRGTPSLTQVLSYLGFRNSSFLSSPPPEFKPLGTVELSDFPEPDEAPPPPPSVAVQPIAKGRVAGCDGENPLPRLTVVLDLDETLVCAYETSTLPSIITTEATEAGLNWFELECVSSDKECDGKPKINYVTVFERPGLHEFLKQLGTFADLVLFTAGLEGYARPLVDKIDVENRFIRRLYRPSTTSTEYREHVKDLSGISNDLSRIVIVDNNPFSFLLQPLNGIPCIPFSAGQPHDEQLMDVILPLLKHLSQQEDVRPVLNQRFHMPEWFQKHGIPSSGLTT >itb07g14660.t1 pep chromosome:ASM357664v1:7:17348514:17349998:1 gene:itb07g14660 transcript:itb07g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGDNWHHQRLCHAASVSRFKLQSVDLRPATSTWNGGWQRRGSDCISFSSKFVSVTHSFSSSGGEATDLEIEGGGSCGGEAVAAADLEIEGCGSCGQRIDGNPRRWQLRWRRRRGNPGGGKAVATGQ >itb08g15580.t2 pep chromosome:ASM357664v1:8:17759017:17764589:-1 gene:itb08g15580 transcript:itb08g15580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHADLDRQIEQLMECKPLTESEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb08g15580.t1 pep chromosome:ASM357664v1:8:17758794:17764950:-1 gene:itb08g15580 transcript:itb08g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHADLDRQIEQLMECKPLTESEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb06g09490.t1 pep chromosome:ASM357664v1:6:13786081:13787211:1 gene:itb06g09490 transcript:itb06g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPIILLVLSISFLPLAISGLPPLIRLPTNPNLIDAILDTDGNPVVAGAKYYAIPALIGVEGGISVANPDTGNPSACPTDVVINVTLAGQEPPAVSRLLTFYPLKLEAEASRAAADTADIIIEQYPLNVAFDSRDPSDPCAKENVWKLNDEAAIVTGGVIGKEDDLDNWFRIQNDINGRGYLFNWWPSLCLGCRIGYFRIGTVGDGHQLGINYRDEALYPFEFVKAE >itb10g18760.t1 pep chromosome:ASM357664v1:10:24593759:24599811:-1 gene:itb10g18760 transcript:itb10g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRLRKPESRRGNYSKDTVTRSELWIDGLICAFEFVKGQSKAGRTRSYSKAVSRSETDDRDGKTQACSYGRHNEATAQSSNGESLLESASLIEPGNQENTPGDETYNLAGSHWVPIGWARISELVQKVQVDAEFSGQQFDFRDGEDDLTVADVAAPYWERPGGPIWWCHVAAGHPFVDSWLTNADWLHPAISNALRDETRLISEKMKHLLYEVPVRVAGGLLFELLGQSAGDPYHDEDDIPIVLRSFQVQNFLITALHIKGSAKGVNVLGITEVQELLFAGCYNTPRTVHEIIAHLASRLARWDDRLFRKSIFGVADEVELKFVDRRNQEDPNLFGIILNQEIRRLSRQVIRVKWSLHAREEIVFELLQHLRGSATRSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSNVRAWLQDRSLTVTHNLAVFGGCGLVLTIITGLFGINVDGIPGAENTPYAFGLFTGILVFLGILLIIVGSIYLGLRKPFREEQVEVRKLELQELVKMFQHEAETHAQVRQPLSRHNLPPTAGDRFAERADFVLIT >itb10g18760.t2 pep chromosome:ASM357664v1:10:24593880:24599802:-1 gene:itb10g18760 transcript:itb10g18760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRLRKPESRRGNYSKDTVTRSELWIDGLICAFEFVKGQSKAGRTRSYSKAVSRSETDDRDGKTQACSYGRHNEATAQSSNGESLLESASLIEPGNQENTPGDETYNLAGSHWVPIGWARISELVQKVQVDAEFSGQQFDFRDGEDDLTVADVAAPYWERPGGPIWWCHVAAGHPFVDSWLTNADWLHPAISNALRDETRLISEKMKHLLYEVPVRVAGGLLFELLGQSAGDPYHDEDDIPIVLRSFQVQNFLITALHIKGSAKGVNVLGITEVQELLFAGCYNTPRTVHEIIAHLASRLARWDDRLFRKSIFGVADEVELKFVDRRNQEDPNLFGIILNQEIRRLSRQVIRVKWSLHAREEIVFELLQHLRGSATRSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSNVRAWLQDRSLTVTHNLAVFGGCGLVLTIITGLFGINVDGIPGAENTPYAFGLFTGILVFLGILLIIVGSIYLGLRKPFREEQVEVRKLELQELVKMFQHEAETHAQVRQPLSRHNLPPTAGDRFAERADFVLIT >itb10g18760.t3 pep chromosome:ASM357664v1:10:24593780:24599802:-1 gene:itb10g18760 transcript:itb10g18760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRLRKPESRRGNYSKDTVTRSELWIDGLICAFEFVKGQSKAGRTRSYSKAVSRSETDDRDGKTQACSYGRHNEATAQSSNGESLLESASLIEPGNQENTPGDETYNLAGSHWVPIGWARISELVQKVQVDAEFSGQQFDFRDGEDDLTVADVAAPYWERPGGPIWWCHVAAGHPFVDSWLTNADWLHPAISNALRDETRLISEKMKHLLYEVPVRVAGGLLFELLGQSAGDPYHDEDDIPIVLRSFQVQNFLITALHIKGSAKGVNVLGITEVQELLFAGCYNTPRTVHEIIAHLASRLARWDDRLFRKSIFGVADEVELKFVDRRNQEDPNLFGIILNQEIRRLSRQVIRVKWSLHAREEIVFELLQHLRGSATRSLLEGIKKSTREMIEEQEAVRGRLFTIQDVMQSNVRAWLQDRSLTVTHNLAVFGGCGLVLTIITGLFGINVDGIPGAENTPYAFGLFTGILVFLGILLIIVGSIYLGLRKPFREEQVEVRKLELQELVKMFQHEAETHAQVRQPLSRHNLPPTAGDRFAERADFVLIT >itb12g21700.t1 pep chromosome:ASM357664v1:12:23974652:23980644:-1 gene:itb12g21700 transcript:itb12g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFKLQQRHGKARVRVGRVWRDGDGTHHFSEWNVSISLLSDCLPAYVAGDNSDIVATDTMKNTVYAKAKECSQRLPVEDFAIELAKHFTSYYKQVTAAIIRIVEKPWERVNINGRPHAHGFKLGSEKHTTEVFLEKSGVLQVTSGIEGLAVLKTTKSGFEGFIRDKYTMLPETQERMLATEITALWRYSFKSLSSVPMKNHYFAEMYMDVKKVLLRTFFGCPKEGVYSPSVQSTLYDMAKVALGRFPELVSIQLKMPNLHFLPVNLSSKDNPAIVKFGDDVYLPTDEPHGSIEATLSRIHSKI >itb10g24580.t1 pep chromosome:ASM357664v1:10:28318974:28321982:-1 gene:itb10g24580 transcript:itb10g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMKREKEVVSCAKNAQEMESVRGNDQGKKKMGGGSVSIGGTSSSGGGCFKLNVHAPEFIPRSFTQIPVSGYYYPYSQYQDWIYVGDQDSFPFFDNKNVVLPQDHRKDDLPEEVRLKIIKQVEYQLSDMSLLANENLAKQMSKDPEAYVPITSVASTKKIKSLLSNKPMQVLAQALRSSSKLNVSNDGKKVKRKQPFTDKDKEELQSRTVVAENLPDDHSHHNIEKIFNVVGSVKTIRVCHPQDSNSSASKGEHFISNKLHALIEFESPEIAEKAVERLNDEKNWRKGLRVKMLLRRSPKSVLKNRKSDFDGYLDDDELAEDASQPIQPEPANDTNNIAEESSTASKKGWGKGRVKLRQQQSLSYSARGLLSASPQSNCHIQSEGPAKPIVKVPRMPDGTRGFTMGRGKPLGAAAPSSLSCVV >itb04g14120.t1 pep chromosome:ASM357664v1:4:14426653:14427731:-1 gene:itb04g14120 transcript:itb04g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLQKWKPIAVMVGVNFAMAVVNILFKKALNGGMNQQVITTYRLSTAAVFLAPVACCRERKSNKKLTALTFCTLFFSALTGCELYLLIPYSEPSIYVEYSDRRCIFCRGTLTQYLILIGLKYTSSTFSCAFINIVPINTFIMALILRKEKINMNSKSGKAKVLGTIICLGGALVLTLYKGTPLTKTTSKDVEAEHDVKNWVIGSLFLYAGSLAWSSWYHIQDRVGREYPYQYSSTTIMSFLAAIQSAILCFIIERRTSIWKLKGALEISTILYTVSISSSCQPSLKFRNIDEKFNSWMVFC >itb03g23110.t1 pep chromosome:ASM357664v1:3:21276753:21280453:1 gene:itb03g23110 transcript:itb03g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFSPSQSPLILVLLLFLFLAVPCLSRSEAEILLQFKESLENSNGFATWNASIPPCKGWRAYWEGVICANGTVRGLNLNNRGLGGSIDVEALAELPLFRSFSAMNNSFDGDLPAFYRVGALKNLKLSRNKFSGEIDDTFFSGMRSLKKLYLSHNEFEGTIPASLIISKRLLELKLNDNAFEGKIPYMKVPRIKFVNFSDNHLEGEIPTTFSRFPASSFKGNLGLCGKPLKPCIMPELSMTTLIVVGIVVGAAVLAIVAVIIILRQPKPADLEEGAQLPSSHRRMASVDLDKFEVSPVDSCAKSEPGLRLTFLRDDRERFDLASLLKASAEILGSGVFGSTYKAALNDGPVLVVKRFRQMNHVGKEDFYEHMRRLGSLNHKNLVPIAAFYYRKEEKLLVADYVQNVSLAVHLHVNGNETLDWPTRLKIMKGVARGLMFLYNELPDLIVPHGHLKSSNVLLDATFEPMHGDYGLLPVVNMEHAQEHMIAYKSPEYRQRGRISKKTDVWALGILIVETLTGKLPSGFLQQGKSNDADIAGWVQTVAPEDATPEVFDKNMKGITPASEGQIMKLLKIGLRCCEVDVEKRWDIKEAVERIEEVRERDDDDEHAGDGQESHPVSSRGESHQD >itb03g08290.t1 pep chromosome:ASM357664v1:3:6207198:6213368:1 gene:itb03g08290 transcript:itb03g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MLSSVVVINSPQGRADSGFGLQTRRRGFERSKTFNSRRILACSNLRMTERSSCFGLKLGSASMGIELGRPRARVPSVFGESAKPRSIRASASEGDIEAVAPLKGNGKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYVAKDLGIVENTVVQGWIVSATLAGATVGSFTGGTLADKFGRTKSFILDAIPLTIGAFLCATAQSVETMIIGRLLVGIGVGISSAIVPLYISEISPTEIRGTLGSVNQLFICVGILVALVAGLPLAGNPLWWRTMFGIAIIPSVLLALGMAFSPESPRWLYQQGKITQAETAIRRLYGKERVAEIMNDFNTAAQGSSEPEAGWLDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGITSDIAASALVGASNVFGTIVASSLMDKQGRKSLLLTSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAMALSLGTHWISNFAIGLYFLSIVNKFGISTVYLGFATACVLAVMYIGANVVETKGRSLEEIERALSPAV >itb03g08290.t3 pep chromosome:ASM357664v1:3:6207198:6213368:1 gene:itb03g08290 transcript:itb03g08290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MLSSVVVINSPQVETLKMQASTYAGRADSGFGLQTRRRGFERSKTFNSRRILACSNLRMTERSSCFGLKLGSASMGIELGRPRARVPSVFGESAKPRSIRASASEGDIEAVAPLKGNGKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYVAKDLGIVENTVVQGWIVSATLAGATVGSFTGGTLADKFGRTKSFILDAIPLTIGAFLCATAQSVETMIIGRLLVGIGVGISSAIVPLYISEISPTEIRGTLGSVNQLFICVGILVALVAGLPLAGNPLWWRTMFGIAIIPSVLLALGMAFSPESPRWLYQQGKITQAETAIRRLYGKERVAEIMNDFNTAAQGSSEPEAGWLDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGITSDIAASALVGASNVFGTIVASSLMDKQGRKSLLLTSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAMALSLGTHWISNFAIGLYFLSIVNKFGISTVYLGFATACVLAVMYIGANVVETKGRSLEEIERALSPAV >itb03g08290.t2 pep chromosome:ASM357664v1:3:6207198:6213368:1 gene:itb03g08290 transcript:itb03g08290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MLSSVVVINSPQALTVETLKMQASTYAGRADSGFGLQTRRRGFERSKTFNSRRILACSNLRMTERSSCFGLKLGSASMGIELGRPRARVPSVFGESAKPRSIRASASEGDIEAVAPLKGNGKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYVAKDLGIVENTVVQGWIVSATLAGATVGSFTGGTLADKFGRTKSFILDAIPLTIGAFLCATAQSVETMIIGRLLVGIGVGISSAIVPLYISEISPTEIRGTLGSVNQLFICVGILVALVAGLPLAGNPLWWRTMFGIAIIPSVLLALGMAFSPESPRWLYQQGKITQAETAIRRLYGKERVAEIMNDFNTAAQGSSEPEAGWLDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGITSDIAASALVGASNVFGTIVASSLMDKQGRKSLLLTSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAMALSLGTHWISNFAIGLYFLSIVNKFGISTVYLGFATACVLAVMYIGANVVETKGRSLEEIERALSPAV >itb12g07550.t2 pep chromosome:ASM357664v1:12:5659520:5667202:1 gene:itb12g07550 transcript:itb12g07550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSPKLVLSSSDCNSGPEEKEISEDDDDDRNHKHRRRETHSESVETDPFESVLTRPYRKRNKPIGNGHLYKDSESASFEKEQSVQFEKKRGMNSFSRPQHDSNLRIRSNQSLSGEPGLGRARGREPGIWGQRDSRFNPNDIASQMAQQVPVPPGLFAGRGLPNVSNTLSSPWSSFGMIPRMPITGLDPLHPLGLQGALRTPMNPTIGMGIPRQRCKDFEERGFCLRGDMCPMEHGMNRIVIEDVQSLSQFNLPVSLSNAPLLGTASGQGALPAVLPSCSLVNSKAHMKGGKPVTTDDGLGLNEAHTGGSVAGGADVYDPDQPLWTSVGETVQLMDMDPSDHGKPELSDGYDIEHPTRSNLAATVSQGVWGRIGSSKGKLEIKEKVGPTVDSSSHIENETKKDLDSLSSQGVYSHGKHINVENLDPAITGTSFKSQTDSGCDAWKSCQKAHSTLFVNGIPQKDNRREAIFSHFKKFGEVIDIYIPQKNERAFVQFSKREEAEAALKAPDAVMGNRFIKLRWANRDNIPHNGATRVMPASAPPHLSAAHKGKDSIQSVPRTIPNMPKPMVANIPKAPAPPQKKMESIELLREELRKKQEMLDQKRNEFRRQLDEFEKQASVLKDEGPCNQVVKRHKVEMASGLKDEGPSDQVAKRPKVEKVADFAKAETSSSTEPDIAVSSQHTEVVPDSNRSANNALLSCSKSSSPVATSEPSSLKQSIRPLAPVGAPFIFNRYKLDNRPTAFKINPPIPSGLANAAVLKDHFSAFGELSSVELEATEVQDKLDTSKISAQVSFASRRSAERAFLNGKSWQGHTLQFTWLPFVNSNKGGVKENASACKDLGIKENTSSSCQLSSDTNTPTPMEDTPSCSLKTAVVGTSKTENIEKGKSDPRTPITDEDSQSGSAKISPEKQSFK >itb12g07550.t1 pep chromosome:ASM357664v1:12:5659268:5667202:1 gene:itb12g07550 transcript:itb12g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSPKLVLSSSDCNSGPEEKEISEDDDDDRNHKHRRRETHSESVETDPFESVLTRPYRKRNKPIGNGHLYKDSESASFEKEQSVQFEKKRGMNSFSRPQHDSNLRIRSNQSLSGEPGLGRARGREPGIWGQRDSRFNPNDIASQMAQQVPVPPGLFAGRGLPNVSNTLSSPWSSFGMIPRMPITGLDPLHPLGLQGALRTPMNPTIGMGIPRQRCKDFEERGFCLRGDMCPMEHGMNRIVIEDVQSLSQFNLPVSLSNAPLLGTASGQGALPAVLPSCSLVNSKAHMKGGKPVTTDDGLGLNEAHTGGSVAGGADVYDPDQPLWTSVGETVQLMDMDPSDHGKPELSDGYDIEHPTRSNLAATVSQGVWGRIGSSKGKLEIKEKVGPTVDSSSHIENETKKDLDSLSSQGVYSHGKHINVENLDPAITGTSFKSQTDSGCDAWKSCQKAHSTLFVNGIPQKDNRREAIFSHFKKFGEVIDIYIPQKNERAFVQFSKREEAEAALKAPDAVMGNRFIKLRWANRDNIPHNGATRVMPASAPPHLSAAHKGKDSIQSVPRTIPNMPKPMVANIPKAPAPPQKKMESIELLREELRKKQEMLDQKRNEFRRQLDEFEKQASVLKDEGPCNQVVKRHKVEMASGLKDEGPSDQVAKRPKVEKVADFAKAETSSSTEPDIAVSSQHTEVVPDSNRSANNALLSCSKSSSPVATSEPSSLKQSIRPLAPVGAPFIFNRYKLDNRPTAFKINPPIPSGLANAAVLKDHFSAFGELSSVELEATEVQDKLDTSKISAQVSFASRRSAERAFLNGKSWQGHTLQFTWLPFVNSNKGGVKENASACKDLGIKENTSSSCQLSSDTNTPTPMEDTPSCSLKTAVVGTSKTENIEKGKSDPRTPITDEDSQSGSAKISPEKQSFK >itb12g07550.t3 pep chromosome:ASM357664v1:12:5659520:5667202:1 gene:itb12g07550 transcript:itb12g07550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSPKLVLSSSDCNSGPEEKEISEDDDDDRNHKHRRRETHSESVETDPFESVLTRPYRKRNKPIGNGHLYKDSESASFEKEQSVQFEKKRGMNSFSRPQHDSNLRIRSNQSLSGEPGLGRARGREPGIWGQRDSRFNPNDIASQMAQQVPVPPGLFAGRGLPNVSNTLSSPWSSFGMIPRMPITGLDPLHPLGLQGALRTPMNPTIGMGIPRQRCKDFEERGFCLRGDMCPMEHGMNRIVIEDVQSLSQFNLPVSLSNAPLLGTASGQGALPAVLPSCSLVNSKAHMKGGKPVTTDDGLGLNEAHTGGSVAGGADVYDPDQPLWTSVGETVQLMDMDPSDHGKPELSDGYDIEHPTRSNLAATVSQGVWGRIGSSKGKLEIKEKVGPTVDSSSHIENETKKDLDSLSSQGVYSHGKHINVENLDPAITGTSFKSQTDSGCDAWKSCQKAHSTLFVNGIPQKDNRREAIFSHFKKFGEVIDIYIPQKNERAFVQFSKREEAEAALKAPDAVMGNRFIKLRWANRDNIPHNGATRVMPASAPPHLSAAHKGKDSIQSVPRTIPNMPKPMVANIPKAPAPPQKKMESIELLREELRKKQEMLDQKRNEFRRQLDEFEKQASVLKDEGPCNQVVKRHKVEMASGLKDEGPSDQVAKRPKVEKVADFAKAETSSSTEPDIAVSSQHTEVVPDSNRSANNALLSCSKSSSPVATSEPSSLKQSIRPLAPVGAPFIFNRYKLDNRPTAFKINPPIPSGLANAAVLKDHFSAFGELSSVELEATEVQDKLDTSKISAQVSFASRRSAERAFLNGKSWQGHTLQFTWLPFVNSNKGGVKENASACKDLGIKENTSSSCQLSSDTNTPTPMEDTPSCSLKTAVVGTSKTENIEKGKSDPRTPITDEDSQSGSAKISPEKQSFK >itb14g06170.t1 pep chromosome:ASM357664v1:14:5365076:5365966:1 gene:itb14g06170 transcript:itb14g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAHGNECEEVLVKSSFLSELQRRVLRAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDDMERNSDASVNTMSDDRESIQLGIEAGSNRNNRDSSSGVRPMSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDANLNPDRELRRLKQMFEAWKKDYGTRLRETKVILHKLQGNDELVNNKMRKKWWGKRNNSSRFN >itb14g17730.t1 pep chromosome:ASM357664v1:14:20946941:20948368:-1 gene:itb14g17730 transcript:itb14g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLAGRFRFKTVGEVGAEHSEEARFKPLGGGFWSRRIRSGEDGEEEEEAAPDGDWIRVRASGDEEDPAAALYGREEEMETLEGEKTGELEIATVQDIISVCVLGFSNVQIFVFRFSDFWRFGKENRTGFIYIGMESGVGFLAHNRDPGRPNPTESDTPPDLLLCLPHNPLS >itb06g14880.t1 pep chromosome:ASM357664v1:6:19313822:19316255:1 gene:itb06g14880 transcript:itb06g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLATFRTQLEDFARKHKNDIRKNPAFRSQFHEMCAKIGVDPLASNKGFWVELLGIGDFYYELGVQIIDICLATRPHNGGLISLEELCKILVQKRKGARETVSEDDCLRAISKLKVLGNGFEVISVGKRKLVRSVPTELNKDHNEILELAQTQGFVTVDEVQRRLNWSSGRATDTLETLLKEGLAMVDDGHRDGRRRYWFPCVSSISSYAGSDSA >itb01g27340.t1 pep chromosome:ASM357664v1:1:32180713:32185053:1 gene:itb01g27340 transcript:itb01g27340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRFMWFTLGFSSASALMAQFVFRDLLIDRTLLSSQLKEKFSALEGRVSSLETVLQDNPEPHQGEGN >itb13g24780.t1 pep chromosome:ASM357664v1:13:30368864:30373548:-1 gene:itb13g24780 transcript:itb13g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGGGSFGELYLGVNVQTGDEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLLNRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHRGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARQPIGRLSLNPGGPSAERAEKTPVRQESRDRFSGGVEAFARRNSSSTGLHGDHSRHRTSEDIRSSKEAHADSERGRVSRTVSASRRAVMSSSRPSSSGEPTDSRTGRLGSSSGRLSSTQRLQPGVESKSSSFTRTSATRGGRDDTLRSFELLTIGTGRRK >itb13g24780.t2 pep chromosome:ASM357664v1:13:30370353:30373548:-1 gene:itb13g24780 transcript:itb13g24780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGGGSFGELYLGVNVQTGDEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLLNRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHRGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARVCLYLLYLYLHLLSAFFSASY >itb05g07690.t1 pep chromosome:ASM357664v1:5:10042246:10042707:-1 gene:itb05g07690 transcript:itb05g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAVQLHHPYLSLFLTILSSSKLQVANNNNEDLRPAAFPSLALCWSANRRLPLFQQSLARAISSGTVVYLPCSPSHKQSASKVVAGSGNGFPTLSGARSLQQQRFRRRHNGSNAVTAVSNSVIHLRPFLFSGEAKYGWQRWMLRSSSPLGS >itb01g21480.t1 pep chromosome:ASM357664v1:1:27593275:27597706:-1 gene:itb01g21480 transcript:itb01g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49970) UniProtKB/Swiss-Prot;Acc:Q9XJ35] MSSSLLLSRLPGPATAGNNRDLCSSISLHKSTFLSSQNLIFAPSGPSPRAKNGVRGGCPYRSPVASSKSLDHIPKQFREENLKEGLMENYKNVPQTLYGLTPSQMDLFLKEDSHVHRQSESVTEESISSRHNYLNNGGMWTMSSMNGKRPSKMSYSMYYGGARGYGGRPRSAPPDLPSLLLDARIVYLGMPIVPAVSELLVAQFLWLDYDNPTKPIYLYINSHGTQNEKKELVGSETDAYAIADAMRLSKPDVCTVNVGKAYGQAGMLLSLGKKGFRALQASASTKLYLPDVYKSSGPVTEMWIKAKELEANKDYYLELLSKGIGKPKEEIERDIQRPAYFTVQEAIDYGIADKIIDPKDSIFEKRDYEGMLAQSRAMRRAAGSGAQAAAGSGFR >itb02g13890.t1 pep chromosome:ASM357664v1:2:10129730:10133223:1 gene:itb02g13890 transcript:itb02g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYPAFMLQYPWSTETIPTSFLLPAQWPHPQSDELLLAMEESDFEEKCSEIGKINSNLTVIGKTNIVDNDKEDFDNEAEDDDVDNGEESEGDDFDQETG >itb08g05750.t1 pep chromosome:ASM357664v1:8:4726163:4726816:-1 gene:itb08g05750 transcript:itb08g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSIPAGSINRYVGIWYNQIPVPTIIWIANRETAINTTSAILKIINPGRLVLIDAKNDTIWSSNTSRSAQNPIAQLLDSGNLVVRDSEDDNPENFLWQSFDYPTDTFFPGMKLGRNLVTGHEVYVRAWKSENDPSSGQFTVHFDPTGYPQSVIKNGQEKVYTTGPWNGLRWSGTPGINPDNPHYKYQVFMNQREAYARYDIINNSILHFTKDSFD >itb15g10060.t1 pep chromosome:ASM357664v1:15:7318664:7322092:1 gene:itb15g10060 transcript:itb15g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNSTATMTMDAGRKTVGAGMSAMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDEEGVPPTTLREISLLRMLSRDPHIVRLIDVKQGQNKEGKTVLYLVFEYMDTDLKKFLRSFRQTGDSIPPKTIKSLLYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTSMLKIADLGLARSFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTQQAIFAGDSELQQLLHIFRLLGTPNEQVWPGVSKLVNWHEYPQWKPQPLSSAVPNLDENGLNLLAEMLHYEPSRRISAKKAMEHPYFDDLDKSDLKPHNLLMDRKTSMLKIADLGLARSFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTQQAIFAGDSELQQLLHIFRLLGTPNEQVWPGVSKLVNWHEYPQWKPQPLSSAVPNLDENGLNLLAEMLHYEPSRRISAKKAMEHPYFDDLDKSYL >itb01g24030.t1 pep chromosome:ASM357664v1:1:29809884:29811510:1 gene:itb01g24030 transcript:itb01g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSSSSTSTSADSSSDGLKFGKKVYFEDASLPAVVPSPAKRGRTAAAQGGQPPRCQVEGCKADLSEVKAYYSKHKVCGMHSKSPKVIVSGLEQRFCQQCSRFHQLSEFDQVKRSCRRRLAGHNERRRKPPLGSILSTHYGTLSPSMFVTGNNGKTGSFVMDFASYPYPGGKASWDSQTTGAGNFLQQPWQRSSEDPPPKLLLLGSDAATARATYPGPSVVPCGECFTGVSDSTRALSLLSNSNQPWGSRNQLPSGLGVNSLLNTDGTLAVHPSGSHAAVINEFSSPWGFKGNQGTTSSDKIIPDSHYSGELEMAHQQTGRAYMGMEYSTGYDSSVQNVHWTL >itb06g17300.t1 pep chromosome:ASM357664v1:6:21173833:21176934:1 gene:itb06g17300 transcript:itb06g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRNCARLFSIAVTSRTFCHHHPRRRGLCGGGCLMAAASSPPFASHPKLGFRCFPGGRPSLDVCGRGRYWNGSAALVLRGCCSGKASNAAGKWPTDGNFYSASSSINGNNNGLPKPRAVSPCLPHLLRDSEEFRLGDELSSCSNSNSKASLRGGSSLGFSNHYLPEKIEVAVDVDEVLGNFVSALNRFIADRYASHHSVSEYHVYEFFKIWNCSRDEADIRVHEFFKTSYFKQGIHPIPGAKQALQNLSRFCNLSIVTSRQNAIKDHTVEWIEKHYPGLFQNMYFGNHFALNGKSIPKSEICRSLGAKVLIDDNPRYAIECAEVGMKVLLFDYENSYPWCKTESVEGHPLVTKVHNWEEVEQQLVSWTFPKIIAK >itb02g17280.t2 pep chromosome:ASM357664v1:2:13236036:13238872:-1 gene:itb02g17280 transcript:itb02g17280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MASPDKRCLYEVLGVSRDCSPDEIRSAYKKLALQRHPDKLVQSGVPESEATAAFQELVNAYEVLSDPRERSWYDSNRSKILFSSSTSGPNSSSKFGSVPNLFSFFSNSVYSGFSDTGKGFYKVYADVFDKVYHNEVNFAKTLGLNPPKEAPLMGNSNCPYAQVTAFYNYWLGFTTVMDFCWVDQYDAMAGINRKSRRVMEDENKKLRKKARREYNETVRGLAEFVKKRDKRVIDMQVKRSEEMERKREEEQARKKELERLKKERAKKYEEPDWTQVEDVEDEAVETWEEDDGKEEGNELYCIACGKKFKSEKQWKNHEQSKKHKEKVAALREAFSGEDKEFEEIDVNGRKEEEEEPKTTPEADESGYFSATDDAIDELGEQFEGSFGILGKSGDDDDDEEFETAGKAGNTSDGQDSKGAAAESGSDDDEASILEAMVSGHRSRKNAVSNRHSEIESDDNEMDFMEYNNLKSNGRKRGSRKQRDRRAEEEMKLNEDEPSGQAEKNTGYDENLDVQRSPKAKTDGDRIAEEEMKLNEDELGQAEKNTGYIENLDVQRSPKAKTDGKEDDSSEMIDKIPRHVNKRGNSKKDNNTKSKNASKGRKEKTTSKVSGNACEKCGEEFESRFV >itb02g17280.t1 pep chromosome:ASM357664v1:2:13235646:13238872:-1 gene:itb02g17280 transcript:itb02g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MASPDKRCLYEVLGVSRDCSPDEIRSAYKKLALQRHPDKLVQSGVPESEATAAFQELVNAYEVLSDPRERSWYDSNRSKILFSSSTSGPNSSSKFGSVPNLFSFFSNSVYSGFSDTGKGFYKVYADVFDKVYHNEVNFAKTLGLNPPKEAPLMGNSNCPYAQVTAFYNYWLGFTTVMDFCWVDQYDAMAGINRKSRRVMEDENKKLRKKARREYNETVRGLAEFVKKRDKRVIDMQVKRSEEMERKREEEQARKKELERLKKERAKKYEEPDWTQVEDVEDEAVETWEEDDGKEEGNELYCIACGKKFKSEKQWKNHEQSKKHKEKVAALREAFSGEDKEFEEIDVNGRKEEEEEPKTTPEADESGYFSATDDAIDELGEQFEGSFGILGKSGDDDDDEEFETAGKAGNTSDGQDSKGAAAESGSDDDEASILEAMVSGHRSRKNAVSNRHSEIESDDNEMDFMEYNNLKSNGRKRGSRKQRDRRAEEEMKLNEDEPSGQAEKNTGYDENLDVQRSPKAKTDGDRIAEEEMKLNEDELGQAEKNTGYIENLDVQRSPKAKTDGKEDDSSEMIDKIPRHVNKRGNSKKDNNTKSKNASKGRKEKTTSKVSGNACEKCGEEFESRNKLHKHLGETGHAALKTR >itb01g00490.t1 pep chromosome:ASM357664v1:1:224265:225432:1 gene:itb01g00490 transcript:itb01g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSRRSSSNTAANAEMPPKLERKHVEKKRRENLKFLYNHLFSLLPTHHISPGTMALPDQVDEAVNYIKTLQNQLEKSKQKKQELLSEQQIQIRSTTIPRKRPHYYSSSSSSSSCSNSTSKQPLIQILDIGPDIDVVLIDGLEDMAAFHNIIRTLHEHGLEVATAIFQPRGNSTLQILHQQGFGGTKTVYEKLKQAAVNGSSGSEPESLDSWDFDIWGFPILETSQEQLQNPPPYCIDNSTDHE >itb01g00490.t2 pep chromosome:ASM357664v1:1:224734:225036:1 gene:itb01g00490 transcript:itb01g00490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHNIIRTLHEHGLEVATAIFQPRGNSTLQILHQQGFGGTKTVYEKLKQAAVNGSSGSEPESLDSWDFDIWGFPILETSQEQLQNPPPYCIDNSTDHE >itb12g22320.t1 pep chromosome:ASM357664v1:12:24426236:24427226:1 gene:itb12g22320 transcript:itb12g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAGDSQQAREGALLEASYYGHARISELLMGSDLLKCGVDVNAISRVLLQLIRLSALELVWKAVGTDLIKMMNPIAVNRYKRFPKAGLAAQIATGPLSAPVNMSAFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTPRDIHLGDDVNVSVTTMTNNLSSPTSMLSFAAEVRKGNERVAKLFENDLEKVGADIDSDVNSRSYCGQTALMQACRFGHWKVVQILGGDDFDKRIGDWLASNFKEDEGIDLLELLLKLNGQQELLEFQSH >itb02g19440.t1 pep chromosome:ASM357664v1:2:16575876:16576549:-1 gene:itb02g19440 transcript:itb02g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSPYYHLIFTSLAFRLDLLAFQKIKVQEKAQLASRTAGLAGGRKPVPGDSIWKDVFANQVLSKRRVRSAAMDRLIERIGSEAKANDDAVWVLRNAWFAIFCILLAMCFGVEMDEETIDSVDQMMKVVLIVLDPRVDDFLPILSPLFSEQRKWVLEVRKQQIQPIVSLI >itb02g16750.t1 pep chromosome:ASM357664v1:2:12702451:12707938:-1 gene:itb02g16750 transcript:itb02g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGGASDNPLRNYRIGKTLGHGSFGKVKIAEHLLTGHKVAIKILNRRKMRSPDMEEKLRRETKICRLFVHPHVIRLYEVIETPTDIFVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIIAGVEYCHRNRVVHRDLKPENLLLDSRGNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGLYTLPSHLSAGARDLIPRMLVVDPLKRITIPQIRQHHWFKAHLPRYLAVPPPDAMQHLKKIDEEILQEVIRKGFDRGQLLASLQNREQNDATVAYHLLYDSRSIVSGGYLGAEFEDSMEPFSPGLFSNIDSQMSVGHGLSYEISSRPLSSREKKWLVGLQSPATPQEIMTQVLRALQELNVRWKKIGHYNMKCMWCYSHNPIRTTTNNCMSDDCITVSQTAANANGLLSQVVVKFEMQLYKTLEDKYLLDLQRISGPQFLFLDFCAIFIVHLKVS >itb02g16750.t4 pep chromosome:ASM357664v1:2:12702451:12707784:-1 gene:itb02g16750 transcript:itb02g16750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGGASDNPLRNYRIGKTLGHGSFGKVKIAEHLLTGHKVAIKILNRRKMRSPDMEEKLRRETKICRLFVHPHVIRLYEVIETPTDIFVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIIAGVEYCHRNRVVHRDLKPENLLLDSRGNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGLYTLPSHLSAGARDLIPRMLVVDPLKRITIPQIRQHHWFKAHLPRYLAVPPPDAMQHLKKIDEEILQEVIRKGFDRGQLLASLQNREQNDATVAYHLLYDSRSIVSGGYLGAEFEDSMEPFSPGLFSNIDSQMSVGHGLSYEISSRPLSSREKKWLVGLQSPATPQEIMTQVLRALQELNVRWKKIGHYNMKCMWCYSHNPIRTTTNNCMSDDCITVSQTAANANGLLSQVVVKFEMQLYKTLEDKYLLDLQRISGPQFLFLDFCAIFIVHLKVS >itb02g16750.t2 pep chromosome:ASM357664v1:2:12702451:12707938:-1 gene:itb02g16750 transcript:itb02g16750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGGASDNPLRNYRIGKTLGHGSFGKVKIAEHLLTGHKVAIKILNRRKMRSPDMEEKLRRETKICRLFVHPHVIRLYEVIETPTDIFVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIIAGVEYCHRNRVVHRDLKPENLLLDSRGNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGLYTLPSHLSAGARDLIPRMLVVDPLKRITIPQIRQHHWFKAHLPRYLAVPPPDAMQHLKKIDEEILQEVIRKGFDRGQLLASLQNREQNDATVAYHLLYDSRSIVSGGYLGAEFEDSMEPFSPGLFSNIDSQMSVGHGLSYEISSRPLSSREKKWLVGLQSPATPQEIMTQVLRALQELNVRWKKIGHYNMKCMWCYSHNPIRTTTNNCMSDDCITVSQTAANANGLLSQVVVKFEMQLYKTLEDKYLLDLQRISGPQFLFLDFCAIFIVHLKVS >itb02g16750.t3 pep chromosome:ASM357664v1:2:12702451:12707938:-1 gene:itb02g16750 transcript:itb02g16750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGGGASDNPLRNYRIGKTLGHGSFGKVKIAEHLLTGHKVAIKILNRRKMRSPDMEEKLRRETKICRLFVHPHVIRLYEVIETPTDIFVVMEYVKSGELFDYIVEKGRLQEDEARSFFQQIIAGVEYCHRNRVVHRDLKPENLLLDSRGNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGLYTLPSHLSAGARDLIPRMLVVDPLKRITIPQIRQHHWFKAHLPRYLAVPPPDAMQHLKKIDEEILQEVIRKGFDRGQLLASLQNREQNDATVAYHLLYDSRSIVSGGYLGAEFEDSMEPFSPGLFSNIDSQMSVGHGLSYEISSRPLSSREKKWLVGLQSPATPQEIMTQVLRALQELNVRWKKIGHYNMKCMWCYSHNPIRTTTNNCMSDDCITVSQTAANANGLLSQVVVKFEMQVL >itb09g11980.t1 pep chromosome:ASM357664v1:9:7521780:7528736:-1 gene:itb09g11980 transcript:itb09g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKKKHSKSASRLSQSDPNASPSPSPSVPSSPYTPSPFSNSNRGPELAEDDLRCYLEEASRKFPSFISAAAFIGRVSEEAATAEGVGSSGCKIWLSEASMVSSSISPGSLVSVSLASSDSLSNFPLSALPDECTRHLGFGFTEQLGDEAGNYFVLATVFPSCKVLKSAVRLSSNLSWTMGCPVSGRTIFVHPVIDQPLRVILNGNENLPNPKASCFSLCNCEELYLKPISSKENFMVKSTVSSQIASTTGKVIGRAENGKISSPTTPSHSHTRLSSPGPYESNASSYQESVSNSFDLNGISSNITYMMEVLEDENSKKLMQTCVGFSLHSRSLLCGNLVTIPVLSRLCTFLVTGAKKFSTKGNQCLKDKSNQKPFPHATDLEDHANVAFLIDHETKVCIQFPQNIQDGAPTRGAALLTELECAGGKTNIASDVSKLGGLTKESAELKDIIISSAVKGVVASMGLRPTKGVLLHGPPGTGKTSLARFCAHCTGVNFFSVNGPEIISQYYGESERALHEIFDSAAQATPAVVFIDEVDAIAPVRKDGGDELSQRMVATLLNLMDGISRTDGLLVIAATNRPDSVEPALRRPGRFDREIEIGVPSPRQRYEILLVLLSEMEHSLVDLDIQHLATATHGFVGADLAALCNEAALSSLRRHVNLKMSYGDTNSKSSTPLQNGCSDVSLCFEESKLPSVNSAVEGSSLVTETCISSDIVNGVNVNGTCTTENGILTVTSDDFESARFKVRPSAMREVMLEVPKVNWEDVGGQREIKMQLMEAVLWPQKHQEAFKRIGTRPPTGVLMFGPPGCSKTLLARAVASEAGLNFLAVKGPELFSKWVGESEKAVRALFAKARANAPSIIFFDEIDGLAVVRGKESDGVSVSDRVMSQLLVELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPDENDREDIFRIHLRKIPCHSDVCIKELALLTKGCTGADISLICREAAIAAIEENLGASEITMEHLKAGILRVQPADVLSYKALSTKFQRLVHSSTKGADLTSPSCLNRSSETHFWALLRSTAMFLYRLPSSILRSMSSLSS >itb04g20730.t1 pep chromosome:ASM357664v1:4:25614535:25616450:-1 gene:itb04g20730 transcript:itb04g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANRRKMSGHFPEDVLISILSRLPVATVLRCTAVCKSWYALISSPLFISTHFQFNSAKTHLLLRYCDEDRVLDKKENYNLYFDDNDTFEVYRKFEFPFIGVNDYFRIVGSCNGLVCLTDDMGEYNLTTILWNPSIRKSVVLPEPRVTFTSHGACSHTHGFGFDPLTNEYKVVRIVNIWLNDGPPQVELYELSKGRWRDISHAASGFRYRVGATAPAAFARGILHWAAMDENFRNVILWFDMSKEVFGEISLPESLASSSLRYFTDLRVGMYKESLAVFVHGTYSMNPYCCVWVMEEYGNVRSWTQLFSINHLRLGFQLLVGFRQNGEALFVDRDKCFAIFDPKALVIEYLDIHGSYLHAFYASTYTESLVFMDKIEWSDTNTVRDLLLKHSIEDDADQCTHS >itb03g25620.t1 pep chromosome:ASM357664v1:3:24793657:24795624:-1 gene:itb03g25620 transcript:itb03g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPLNLKSRKRLKSSATEASSEGLQNIHTNEQQETDSVLTLSEVDWVKKMQMCPEYYPSHLEFEDPFAYLQKIAPEASKYGICKVVSPLDACVTAGTVLTKNIKNFKFKTQLQPLRLAKWDSDDRIIFYTGGRKYTLHGFEKMAGRRAARKYGISGCLPASHLEKEFWEEMSRGGGKKEMVEYGINIDGIDTSYALHRNALQYVCMACGGSLSVQAGGFNHVRTKVEIKRIEDKAKRHTTFTKGRQDLFKKASELCKRCNAEAAVITFSLAGNAFAFGHPSVNAVLARYDSYDANRVVLIKKRRVVYWDLGE >itb01g17950.t1 pep chromosome:ASM357664v1:1:22795282:22802471:-1 gene:itb01g17950 transcript:itb01g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLCPLLRNLHPPAPSLSGDGMAVRVLPRAIPSTYVVTVSASSRSHFRLSPTLSRLSFPTSSIEPSSIFERRFGNGPGRKEFSSWSFARSFGRVLAHRREYRKNSRRPVKRKGKELDLSVKICIEEQLPDDPEVLDIAEMLRLNVPMALKLALDGLKDSEYKTRDTAIGDVGSFESIELSVLLCNDEFIRALNKEWRDEDKPTDVLSMSQHIPELKIPILMLGDIVISVETAAKQAEERGHTLLDEIRILLVHGLLHLLGFDHEISDEAETEMEEKEEALLNRLGWKGKGLIHSAYDTDGTTSIETIDDRKMEGGLRFYRPKFRYIFCDMDGTLLNSKSQITCATAQALKEATSRGVKVVIATGKTRPAVINLMKTVDLVGKDGIISEFSPGVFLQGLLVYGRQGREISRRNLDPNVCREACLYSLKHKVPLIAFSEDRCLTLFDHPSVESLHTIYYEPKAEIIPSVEQLLAGVEIQKMIFLDTAEGVASTLRPYWMEATGEYASVVQAVPDMLEIVPSGTSKGRGVQMLLDHLGVSPNEIMAIGDGENDMEMLELASLGVALSNGSEKAKAVANVIGSSNDEDGAADAIYRYAF >itb11g12830.t1 pep chromosome:ASM357664v1:11:9803842:9806870:-1 gene:itb11g12830 transcript:itb11g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTYLPWLLFLLIIVQYLNGSRSCFENERNALLSFKHTIISDPFSSLSSWGASRDDDCCKWKGIGCDNTTGHVVALHLEHEGLQGEISPSLLHLPFLNFLDLSSNEFETIPSFIGSLDKLVYLDLFFNNFSGNVPPHLGNISTLKYLDLGFNQELKVVYTLEWISHLSSLEYLNMDSVDLHSVSDWLHSITKLSLLRTLSLSSCDVPSPPSSSLLHINSSTFLQHLSLRYNGNITTFPLLNLWLNQSYFLQYLDLSGNSLVGGDKDIKFLRNLGHLKTLDLSYNSFSFNFSQLILGSEKLIKILILNDNKIVGSLDDTREVNSLRELNLENNQLSGLLPDMSTMLSLEIFAIGNNHFNGNSMGSNISHLSNLKFLDVSSNSLDGAISEISFSNFSKLDVLVLSDNSLTLNLGTHWIPPFQLSGLGLRSCELGPKFPNWLHTQTKLEDLDISNNEISDLIPQWFTNLSNLDYLSVSQNRIRGKLPNLPLGLNLVDFSSNLLEGPIPKNYSGASELNLSNNKFSGTILDLCTNISKSHNQVDLSNNFFTEKLPECLGKFNYFYTLNLANNDFFGEIPLSIGHLTNMASLHLRNNRFYGEFPVSLQNCTSLVVLDLGNNYLSGGIPAWIGESLIDLNILCLDSNELKGSIPINICQLQSIQVIDLSSNHLSGSIPTCFNSLMTKFDELIPNTETFGYSQQIYGILDSTKEVFFDYEWLVWKGTEVEYSKNLKFMKLIDLSNNKLVGEIPVQITDLHMLNSLNLSRNKLTGSIPNKIGQMSSLESLDLSNNQLSGAIPFSLASISFLAHLDLSNNNLSGCIPLGTQLQGFTEAYKGNSKLRGPPLQTKCQRDEPGNAPQQGGIEEDEGWIIWDFDFFVSLALGFILGFWGVCGTLILKHSWRHAYFQFLEDKKEKICTAIVVYGAKLKRGMRAS >itb12g12560.t1 pep chromosome:ASM357664v1:12:11281750:11282316:-1 gene:itb12g12560 transcript:itb12g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVLLCLMFTATSAAARGVAFDPKAVDQWFESLSYAKERVTKLHFYFHDIAKGKNPTALQIAQSNITSKSSTSFGSLSMADEPLTVGPELNSTIVGHAQGMYGSASFSEIGLLMALNFVFTGGEYNGSTLSLLGRNPTSKEYREMPIVGGSGVFRLARGVATAKTYLFNVTSGIAIVEYHVTVLHY >itb07g09340.t1 pep chromosome:ASM357664v1:7:8734617:8736578:1 gene:itb07g09340 transcript:itb07g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVRLKAVVFALSPFQQKVMTGLWKDLPGKIHHKVSENWISTTLLLAPLIGTYTYVQQYQEREKLEHRY >itb13g02390.t1 pep chromosome:ASM357664v1:13:2250340:2250699:1 gene:itb13g02390 transcript:itb13g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPLRPATTLNHHYLHRQLVDSFIFTVWATTDSCQIRFCLQSTICSSLQDHRRFSSSNTALSPSPSFLLQRHNSPRPSSSFLHTESITAISPHRGVNVISPHTDRRQEEATGDNGG >itb02g09960.t1 pep chromosome:ASM357664v1:2:6417729:6418001:-1 gene:itb02g09960 transcript:itb02g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACPNAVLLVLMVLLACHAIPMESRKVMKMEASLVVMNALPKGDVPPSSPSDKGHDNGDNAVIGHGGLPSVQSRVGRNLESRPSPGIGN >itb03g05240.t1 pep chromosome:ASM357664v1:3:3572916:3574015:-1 gene:itb03g05240 transcript:itb03g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQRIHPVPDADPERSPRPTAPLVPRGSFRSSEKGDPEQQPPPLRRTIPYSPSKPPKRRSCCGRCVCCTCCFLFFLIVAIAASAGILYLIFRPKIPKYSVDTLRITQLNLNNDNSLSATFNVNITARNPNKKIGIYYEGGSNLSVFYAGTKLCEGSLPKFYQGHRNTTVLSVNLSGQTDNASGLLQSLQAQQQTGTIPLNLRVKVPVKLKVGKLKLMKWKFLVKCRVNVDSLNQDSVIRVRDSSCKFRFRL >itb01g29660.t1 pep chromosome:ASM357664v1:1:33861859:33868455:1 gene:itb01g29660 transcript:itb01g29660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPINLAWVLLLFFSIFTSPAISITPSFSSPNITVIGDASFSNTSIALTHAQNCTAVAAATPAASLSGIGRALYVNPVRFLEPATNSALSFYSSFSFTITLTTPSCSFGDGFAFLITSDATSFSRSDGFMGLPEHSFLAVEFDTNFDPFLEDLNDNHIGIDLNGVKPLASVDVVPRGVDLKSGREMTAWIQYIDQERTMRIWVGHNSQIRPPEPILESQIDLSTYFKEFMHIGFTAANGKGSAVYSIDHWKFKTSEFLSSANTMDEADCLMCISGDNNEIGRNATSGSGPSLRLILAYGGLSFAAVILVFAIIVLVLVCVTRKGLNREHKEAQMCRYQGNRVPQRWSLNEIRSATEGFNQERIVGEGASAVVYEGSIPSRGGGVVAVKRFTQGSKLGPSHIPFSTEFASMVGCLRHKNLIQLQGWCCERNELVLVYEFMPNGSLDKLLHSHAGFNRFLTWERRLNIIHGVASALVYLHEECENQIIHRDVKACNIMLDGDFNAKLGDFGLAEVFDDSKTPMDRSPDIPPANVTICLQCGDRGYTNALIFCVECLEYAVHRYCLDDIPDTLDEFVRWICDDCRAKVPNQLIVHNSEKTNDVSHGKVHGRVSSSFDTQAIVASDTQAQPKKLNLEEKHNDSFLVVGEDGQRQLNGSFKQRHVELVGCNTQAQLAACHEPGLPVIDIIWEGCFKICNKDYDIFDGIVAHLSIKAHAKVYEEAKSFPPMLKLEMLPKSVVWPKSFLTSEPTDENIALYFFPADTKCERNFDYLVEIMLREELAMKAVLANAELLIFTSQELPLLYWRFQGKYYLWGVFRGRQQPSNSGTLQSA >itb10g10650.t1 pep chromosome:ASM357664v1:10:15436002:15436355:1 gene:itb10g10650 transcript:itb10g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWRTYNCSITTKVHLILCILCVRGQVDIFVEHGIDDAEVVLELALPALVNEVVLDDVVSKAEYDPRVVTQLESEQVATKNQGDEEQLLGDDLEGEEGDEKRFLGDELEGEEARRK >itb07g19980.t1 pep chromosome:ASM357664v1:7:24430812:24432162:1 gene:itb07g19980 transcript:itb07g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSAMAALAVVMIFAVWEGAWAQSNCMTAIVSLSPCMNYVTGNSSAQPSSSCCSQLANVVQSTPQCLCSLLNGGGSSFGININQTLAMSLPAACKVQTPPVSRCNAANGPSSPAAVPPAATSPVGSEAPSDSETPTTPSGSGSTIPTSGSKTVPSTNGSSSADNNVGVSFHILSFFLFAASWALSSATI >itb02g06060.t1 pep chromosome:ASM357664v1:2:3749214:3753059:-1 gene:itb02g06060 transcript:itb02g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGIQQSAFAGQAALKSQTDLLRKVGSSGSGRFTMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >itb09g16190.t1 pep chromosome:ASM357664v1:9:11435563:11438039:-1 gene:itb09g16190 transcript:itb09g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSQPWQSSRVFLKLQSPRFSPCPFSELNAGTMNSLIKLLSTQISQHCSRSSSQPRKCIFSIQSLAVLQQASFSSSRIARTGTTKPKESSPPTASYVLSPVKLTRESGPASSWRRPSEIPFQGKAANSVKVIGFVQRPVQFQTLPDGNCVAATVIVQDNREITDSASYVPSFLIPVVFEGDLAQVVGFHVKESDCVHVSGQLSGDNLPFQIDGYGGNFHIVAQDVYFVEGVKGKAASKKNGAKIDSEDLENLADASESEVKKMKNGESIVSDDSLVGVDNGDQNGLNSSNTSMNPSATGNEDWWDLIRNPNDWWDCRERKSEGKLKAKHPDFKHKNKGVALWLNNAPNSVLKGLEGVEFRSKQQVKGAEFRSKQQVKSEKEEHWKSLVENPDKWWDNRLNKRNEKYPDFKNKESGEALWLNGAPDWAIPKLPPLRDGKVASAAT >itb09g16190.t2 pep chromosome:ASM357664v1:9:11435563:11438039:-1 gene:itb09g16190 transcript:itb09g16190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSQPWQSSRVFLKLQSPRFSPCPFSELNAGTMNSLIKLLSTQISQHCSRSSSQPRKCIFSIQSLAVLQQASFSSSRIARTGTTKPKESSPPTASYVLSPVKLTRESGPASSWRRPSEIPFQGKAANSVKVIGFVQRPVQFQTLPDGNCVAATVIVQDNREITDSASYVPSFLIPVVFEGDLAQVVGFHVKESDCVHVSGQLSGDNLPFQIDGYGGNFHIVAQDVYFVEGVKGKAASKKNGAKIDSEDLENLADASESEVKKMKNGESIVSDDSLVGVDNGDQNGLNSSNTSMNPSATGNEDWWDLIRNPNDWWDCRERKSEGKLKAKHPDFKHKNKGVALWLNNAPNSVLKGLEGVEFRSKQQVKGAEFRSKQQVKSEKEEHWKSLVENPDKWWDNRLNKRNEKYPDFKNKESGEALWLNGAPDWAIPKLPPLRDGKVASAAT >itb08g02840.t1 pep chromosome:ASM357664v1:8:2413195:2414043:1 gene:itb08g02840 transcript:itb08g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVLGPERRTNPLIWCAAIICTLLTLAVIFTGIAVFIGYMVVKPKVPQMSIASAQLERISYDMASVLTVKAAIVIKAENGNAKAHSSFYHTHYTLSFHGVKVAYLIANDFDVPKNSSLDLYYPVESTPIPLTPEQADTAESALRQRHVVFDIQGETGTRWRVWLLGSVKFRLHLDCQLKLPINGTIIYPNCSTRSR >itb13g06080.t1 pep chromosome:ASM357664v1:13:7203243:7215836:1 gene:itb13g06080 transcript:itb13g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFRSGAVGRGGVKADTITNRTSSINSAPKSSFKSKSSAPPQNSGLRRNSTGSVATSTKERGGVSGRVRVAVRLRPRNAEEMVADTDFADCVELQPELKRLNLRRNNWDTDTYEFDEVLTEFASQKRVYEAVAKPVVENVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIMVRAMEDILAEISLVTETVSVSYLQLYMESIQDLLDPANGNVSIVEDPKTGDISLPGATVMEIRDQKSFLDLLQLGEAHRIAANTILNTESSRSHAILMVHVKRSVKGRDSALSTEHGPSHLAKTFKQPVVRRSKLAVVDLAGSERISKSGSEAHTLEEAKSINLSLTALGKCINALAENSNHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGKRAMKVENMLKTKEEFDYKGLSRRLDIQLDKLIAEHESQQKAFQDEIERIAQEAQKRISEAERNHDVLLESERMKYEKEYLDAIQKLEQQWETSQGKHEKVGVNDSNNTEKFNQEPNDLGVTEDIAEIKRLLQNETLLRKAAEEEISDLKNQIAQWQRSEAVRSTEILKLQKMLEDETRKKKRLEEQITILQNQLLQSNFEVDEARRNLDGGGTTELPSDLDSPLPLICQQLRDSEEEKASMEKLFEQVGLQKILSLLESEDADVRIHAVKVLANLAAEVTNQVKIVKAGGLTSLLAILRSSSDETIHRVAAGALANLAMNETNQELIMSQGGIRLLSVTATNAQDPQTLRMVAGAIANLCGNDKLQIKLRGEGGIRALVGMVKCKHPDVLAQVARGIANFAKCESRAYIQGTKSGKSLLIEDGAMPWIIQNASNEASHIRRHIELALCHIAQHEVNAKDMISGGALWELVRISRNCSREDIRTLAYHTLTSSKSFQAELKRLRVDYG >itb13g06080.t2 pep chromosome:ASM357664v1:13:7203243:7215836:1 gene:itb13g06080 transcript:itb13g06080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFRSGAVGRGGVKADTITNRTSSINSAPKSSFKSKSSAPPQNSGLRRNSTGSVATSTKERGGVSGRVRVAVRLRPRNAEEMVADTDFADCVELQPELKRLNLRRNNWDTDTYEFDEVLTEFASQKRVYEAVAKPVVENVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIMVRAMEDILAEISLVTETVSVSYLQLYMESIQDLLDPANGNVSIVEDPKTGDISLPGATVMEIRDQKSFLDLLQLGEAHRIAANTILNTESSRSHAILMVHVKRSVKGRDSALSTEHGPSHLAKTFKQPVVRRSKLAVVDLAGSERISKSGSEAHTLEEAKSINLSLTALGKCINALAENSNHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGKRAMKVENMLKTKEEFDYKGLSRRLDIQLDKLIAEHESQQKAFQDEIERIAQEAQKRISEAERNHDVLLESERMKYEKEYLDAIQKLEQQWETSQGKHEKVGVNDSNNTEKFNQEPNDLGVTEDIAEIKRLLQNETLLRKAAEEEISDLKNQIAQWQRSEAVRSTEILKLQKMLEDETRKKKRLEEQITILQNQLLQSNFEVDEARRNLDGGGTTELPSDLDSPLPLICQQLRDSEEEKASMEKLFEQVGLQKILSLLESEDADVRIHAVKVLANLAAEVTNQVKIVKAGGLTSLLAILRSSSDETIHRVAAGALANLAMNETNQELIMSQGGIRLLSVTATNAQDPQTLRMVAGAIANLCGNDKLQIKLRGEGGIRALVGMVKCKHPDVLAQVARGIANFAKCESRAYIQGTKSGKSLLIEDGAMPWIIQNASNEASHIRRHIELALCHIAQHGICQRILNLSCLLVTKNYRCLVPYLLLKLLYFRGKRKGHD >itb13g06080.t3 pep chromosome:ASM357664v1:13:7203243:7215836:1 gene:itb13g06080 transcript:itb13g06080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFRSGAVGRGGVKADTITNRTSSINSAPKSSFKSKSSAPPQNSGLRRNSTGSVATSTKERGGVSGRVRVAVRLRPRNAEEMVADTDFADCVELQPELKRLNLRRNNWDTDTYEFDEVLTEFASQKRVYEAVAKPVVENVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIMVRAMEDILAEISLVTETVSVSYLQLYMESIQDLLDPANGNVSIVEDPKTGDISLPGATVMEIRDQKSFLDLLQLGEAHRIAANTILNTESSRSHAILMVHVKRSVKGRDSALSTEHGPSHLAKTFKQPVVRRSKLAVVDLAGSERISKSGSEAHTLEEAKSINLSLTALGKCINALAENSNHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGKRAMKVENMLKTKEEFDYKGLSRRLDIQLDKLIAEHESQQKAFQDEIERIAQEAQKRISEAERNHDVLLESERMKYEKEYLDAIQKLEQQWETSQGKHEKVGVNDSNNTEKFNQEPNDLGVTEDIAEIKRLLQNETLLRKAAEEEISDLKNQIAQWQRSEARRNLDGGGTTELPSDLDSPLPLICQQLRDSEEEKASMEKLFEQVGLQKILSLLESEDADVRIHAVKVLANLAAEVTNQVKIVKAGGLTSLLAILRSSSDETIHRVAAGALANLAMNETNQELIMSQGGIRLLSVTATNAQDPQTLRMVAGAIANLCGNDKLQIKLRGEGGIRALVGMVKCKHPDVLAQVARGIANFAKCESRAYIQGTKSGKSLLIEDGAMPWIIQNASNEASHIRRHIELALCHIAQHEVNAKDMISGGALWELVRISRNCSREDIRTLAYHTLTSSKSFQAELKRLRVDYG >itb08g00780.t1 pep chromosome:ASM357664v1:8:583103:585091:-1 gene:itb08g00780 transcript:itb08g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE5 [Source:Projected from Arabidopsis thaliana (AT4G12250) UniProtKB/TrEMBL;Acc:A0A178UUD1] MTQPKTVLSHFDSMPSTPGKFKPEKSAFYRLRLQPRLFLRFILWSFVFVFVILLFFFFSPPASGASNRRNLIDKQARSVTDQLGPNWERRVRASALPRSKSGFSILVTGAAGFVGSHVSLALKRRGDGVLGLDNFNNYYEVGLKRARKSLLARSGVYIIEGDINDAVLLQKLLDAVAFTHVMHLAAQAGVRYAMQNPSSYIHSNIAGFVNLLEACKSSNPQPAIVWASSSSVYGLNSQTPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFTFTKDILRGKEIKIFETSDHHSVARDFTYIDDVVKGCLGALDTAKKSTGSGGKKKGAAQLRIYNLGNTKPVPVGKLVSTLEKLLKMKAKKKVLVMPRNGDVPFTHANITLARGELGYKPTTDLETGLKKFVKWYLNYYGSKKRSAW >itb00g00390.t1 pep chromosome:ASM357664v1:16:3470541:3475827:-1 gene:itb00g00390 transcript:itb00g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTILPDLGTEVLIPVCSIVGIAFALVQWILVSKVKLLPEKSASASDAKNGFAESLIEEEEGINDHNVVHKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTKSQPCTYDETKLCKPALATAVFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLYPLLVSSMGILVCLLTTLFATDFFEVKAVKEIEPALKNQLIISTALMTVGIALITWIALPSTFTIFNFGAQKVVHSWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPILAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEITTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNTIPGLMEGTAKPDYTNCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKIF >itb14g05450.t1 pep chromosome:ASM357664v1:14:4757030:4757902:-1 gene:itb14g05450 transcript:itb14g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEKPHHQMESSLQVDQSEAKRWILAGIALRNPLKPIRVAATHGACAWENNDDQDGGGGSSTTPTSEGSRIPRRLTCPPPPKKRKASKRSSSSACCYINGGGREFFSPPDLDTVFIRRLHT >itb03g12060.t2 pep chromosome:ASM357664v1:3:10924389:10928680:1 gene:itb03g12060 transcript:itb03g12060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKDATSVPLSQAVADDPEDPLKSSPPSSNSSTRKACCYFLQSWVSKKFMTGCVVLFPVAVTFFVTWWFIQFVDGFFSPLYEQLGFNIFGLGFVTSLLFVFLVGVFVSSWLGATVFWIGEWLIKRMPFVKHIYSASKQISSAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVILQKDDGDEELCSVFVPTNHLYIGDILLVSSKDIIRPNLSIREGIEIIVSGGMTMPQVISPVERVARPNERIPLNRIM >itb03g12060.t1 pep chromosome:ASM357664v1:3:10924352:10928680:1 gene:itb03g12060 transcript:itb03g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKDATSVPLSQAVADDPEDPLKSSPPSSNSSTRKACCYFLQSWVSKKFMTGCVVLFPVAVTFFVTWWFIQFVDGFFSPLYEQLGFNIFGLGFVTSLLFVFLVGVFVSSWLGATVFWIGEWLIKRMPFVKHIYSASKQISSAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVILQKDDGDEELCSVFVPTNHLYIGDILLVSSKDIIRPNLSIREGIEIIVSGGMTMPQVISPVERVARPNERIPLNRIM >itb01g09490.t1 pep chromosome:ASM357664v1:1:7747671:7749473:-1 gene:itb01g09490 transcript:itb01g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISLLQATTKCVPEKQPRSGLLMVNSSPPSSNSNMVSPCLALSKNDSYAISASGGMVSLFNVIAFKKMKSFMPPAPAATCIAFYPSDNNIIVVGLEDSTIIIYNVRLDEVVSKLEGHLKGISCLAFSNALNVLISSGIDAQDQKRFLAVHMTQLSIYEACTLHCVKQWTMRNFCTRICHTTFSCNNQFVYAVMRDGIVLILDASHLCPRFEIDPSTYIPSDIRSNGVPLVIAAHPEKPNQFALGWLSLKSLKMNGWWMTTKPK >itb10g06990.t1 pep chromosome:ASM357664v1:10:8005552:8008338:1 gene:itb10g06990 transcript:itb10g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISIVVDQLFNILKDQVEELRAAMGVEKEITSLSSKLKKMREVLDDAEKRSFKENGVKLWVDTIQDFSYEVDNVLDEWRTRILTQQIERSEVAAPVIRRKTIGCSSFLPSCFHFKKLVVHRDIALKIKELDSRLDQIVKEKEQYNFLAVTHTSDQESKRVTTTSFGVDTSEIQGRDIDASDLIRDIDQHEDKVKIENLRHLSWQKSGRPVNPASVCDIGKLRSFFAEYLSPKELTPNLFNEWKEWQELKKEAAIESKIMPCLRELEVDCCRKLKTVPHHLVSRTPPLESLKIKGCPSLKLDYSDMSHIPHSTLHM >itb14g09530.t1 pep chromosome:ASM357664v1:14:9948018:9949508:1 gene:itb14g09530 transcript:itb14g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSCMIDLHNMGTKNADTGFKVGYLTELEKMLAIKLPNLNIKAKPHIESRIKTLKKEWSIVHDLLTNNNSGFGWDDERKVVTAEDDVWDAYLTSHKEAAHYRMKSFPFYIEFTTIYGKDRATGKDSQTGADIVYEMGNTEDFDGTSEGIGGTMEGSEDDNPIDLRDNNFSFTPAQTSSARGVSSTKRKRQSIDTCEPITADSLFGAAMMLSEKLELVGEKISRSLGTELTLIFNKRLSN >itb01g19640.t1 pep chromosome:ASM357664v1:1:25885460:25885783:1 gene:itb01g19640 transcript:itb01g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLQGYTLLEPIGVVGHIIPWNFSAQMFSLKVAPALATGCTMVVKPAEQTNLSALFYAHLSKLAGQGSLMEC >itb09g04930.t1 pep chromosome:ASM357664v1:9:2799391:2802027:-1 gene:itb09g04930 transcript:itb09g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSQLPDAKIVKEGQVIIRARNLRAGANFIPAKSFRTRRLYYSNEENGLLFVPKDQVQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMGGFGFDPSKSLGAEKDSLDIIQHEWALPKFEQRAEAVLRKLVA >itb05g14530.t1 pep chromosome:ASM357664v1:5:21629615:21637131:1 gene:itb05g14530 transcript:itb05g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIVTSLGDLVVDLFTDRCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSVYKVLYGDQARFFGDEIHLDLKHSKKGTVAMASAGENLNASQFYVTLRDDLDYLDGKHTVFGEVAEGIDTLDRINEAFIDEKGRPFKNIRVKHTYILDDPFDDPPQLVELIPDASPEGKPKDEVDDDVRLEDDWVPMDETLGTQELEEVLRAKEAHSRAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFEDKEACEQAYFKMDNALIDDRRIHVDFSQSVAKYWFQYRNRKDQMGKGGGCFKCGSLDHIAKDCTANSTQQHHPKYILKEDNRQHGGDDNSRFEMVFDEDAGGSPRGNKRQQDYGAEKDDGKQNVKRRGSDEHFDRDNEKRELHDRYGHGHRSKENREDRYRGSRGSPSRSERERDHRGSPFDDEKERSHRDHKYTGRRASPSRGERDRDHRARNDSDNRRKADHSHRDRRDDIVNDKSRASPSRGERDRDHRARNDSDHRRKADSSHRDRRDEGVNDRRRDNGVAHKDKRDEREHEKRDRRKEEGYNRRHADDVRHDKRDEQETVKKARKDEIQYDGRHADGTRHRDKGDKKEYDEKGRDDFGRKGREQERDHGKRSAKIDDACDGGRRR >itb05g14530.t2 pep chromosome:ASM357664v1:5:21629615:21637131:1 gene:itb05g14530 transcript:itb05g14530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIVTSLGDLVVDLFTDRCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSVYKVLYGDQARFFGDEIHLDLKHSKKGTVAMASAGENLNASQFYVTLRDDLDYLDGKHTVFGEVAEGIDTLDRINEAFIDEKGRPFKNIRVKHTYILDDPFDDPPQLVELIPDASPEGKPKDEVDDDVRLEDDWVPMDETLGTQELEEVLRAKEAHSRAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFEDKEACEQAYFKMDNALIDDRRIHVDFSQSVAKYWFQYRNRKDQMGKGGGCFKCGSLDHIAKDCTANSTQQHHPKYILKEDNRQHGGDDNSRFEMVFDEDAGGSPRGNKRQQDYGAEKDDGKQNVKRRGSDEHFDRDNEKRELHDRYGHGHRSKENREDRYRGSRGSPSRSERERDHRGSPFDDEKERSHRDHKYTGRRASPSRGERDRDHRARNDSDNRRKADHSHRDRRDDIVNDKSRASPSRGERDRDHRARNDSDHRRKADSSHRDRRDEGVNDRRRDNGVAHKDKRDEREHEKRDRRKEEGYNRRHADDVRHDKRDEQETVKKARKDEIQYDGRHADGTRHRDKGDKKEYDEKGRDDFGRKGREQERDHGKRSAKIDDACDGGRRR >itb07g24290.t1 pep chromosome:ASM357664v1:7:28526561:28528563:1 gene:itb07g24290 transcript:itb07g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQRDRVRFNVGGRVFETTATTLANAGRNSFFGAMFDENWSLKSEATITEHFIDRNPDCFAVILDLLRTGELYIPANVPEKLVYREALFYGVLDHVREAKWGPFDGNRLRLARSMTGRAPGDGTAIRAGPDGGCCVVHGSMVHVYDWIMEEHPPINLDYQRVNDAGWIDSGKIVISACERLGRGDGGLGLFNASNGELGFKFNVCHENQAKSFTAGALSFSSDYKLFSSCKGRSNEYGIGVWDQVTGKQTDFFYEPPGWSLGDADKLQWLHGANCLLVATLFPRKDNCYISLLDFRAKTMVWSWSDIGAPISDERRVRDAIAMEETSSVCVVNEYEDLGFMDLRSSAGSVRWSSRSRLMKGGKMPDEPCYPKLALHEGQLFSSMNDCVSVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDVWETPPPPIL >itb06g17720.t1 pep chromosome:ASM357664v1:6:21502061:21503291:-1 gene:itb06g17720 transcript:itb06g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEEVAGGPNQLQDLSHIVKGKRTKRSRSTPHSPISFTMITATTTPPHSPPAGDAADNAATSAPIPAPPTPEDEDMARCLMLLSQGGGGASRHPPPAIPKSPIHKEEEIGGAYNNTGGAWNNNGGAYNNNGGAWNNGGGGSKFCSKKYIETTTGGGGGGGGVKVGMYVYECRTCGRTFPTFQALGGHRTSHTKEKAPLTTAETASAKRPLALYDEEDINPYPFNKAPKISSAPYHFYDSANKSSPRIHECSYCGAEFSSGQALGGHMRRHRNSAAAAAASPLSPSSSSLEKRVPQKEENNGKCLNLDLNLLPAGEDNQDHRRARELPENQEQQPPPVEPTLFLSTTPPLVGCKYI >itb01g19630.t1 pep chromosome:ASM357664v1:1:25858850:25860622:1 gene:itb01g19630 transcript:itb01g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLREREPEPSFPAAFLLSQPALNRLDALDSRSYSSAAIIPLLLRFKVADRTPLRLLVSSVAAVAQPRRRRPPARPPFAVVSSVAFAHRPRRSHRSIKKLKKAGLGPHQRFDCPFA >itb06g17360.t3 pep chromosome:ASM357664v1:6:21215949:21218839:-1 gene:itb06g17360 transcript:itb06g17360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNDVLNDGSGRMAACFRHTSSNVHSVILPPQKLEFGFDNQEWVQREVDEVCSMADDLFGEVSKALIGALENITVNNGVEAQDSCHHQIAELEGILKEERMGFEEMLGKMLHGEDKNGQQAEIHNILEINHLRRQLVLHAHSWEQRLLHIASSYDTPSQAASSSHGESYRVFHSSTSTVNNESDREPGKNLPDALDAAWTGKSQAASSKKSSAILDSLLHQDEPSSIISYALLSPDYHAQISNESEKVTPESSGSESSSFSDPSFYSTNVLHPCISFSEPGPEGKVEYRVTCYYARQFEALKKACCISELDFIHSLSRSTKCNSISHAKTLDNRLIVKVVGKKELESFIMFAPSYFKYLSDSIASSTPTCLAKIFGIYEVLLIYYLFMNHFNNMANFSSILEFCLV >itb06g17360.t2 pep chromosome:ASM357664v1:6:21215949:21218839:-1 gene:itb06g17360 transcript:itb06g17360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNDVLNDGSGRMAACFRHTSSNVHSVILPPQKLEFGFDNQEWVQREVDEVCSMADDLFGEVSKALIGALENITVNNGVEAQDSCHHQIAELEGILKEERMGFEEMLGKMLHGEDKNGQQAEIHNILEINHLRRQLVLHAHSWEQRLLHIASSYDTPSQAASSSHGESYRVFHSSTSTVNNESDREPGKNLPDALDAAWTGKSQAASSKKSSAILDSLLHQDEPSSIISYALLSPDYHAQISNESEKVTPESSGSESSSFSDPSFYSTNVLHPCISFSEPGPEGKVEYRVTCYYARQFEALKKACCISELDFIHSLSRSTKCNSISHAKTLDNRLIVKVVGKKELESFIMFAPSYFKYLSDSIASSTPTCLAKIFGIYEITSKNLKDGKESKTKVLVMENLMLGHNITRMYDLKGSSEPRHNQDPSENNKVLFDQNLVEEMPTNPIYVGSKAKRLLEKAVWNDTAFLASVNVMEYSLLVGLDDEKHELVVGVIDYRRQYTWDKQLETWVKTALGVVLGGTMNASSPTVISPTQYKKRFRKAMSGYFIMVPDEELQCEHLA >itb06g17360.t1 pep chromosome:ASM357664v1:6:21215949:21218839:-1 gene:itb06g17360 transcript:itb06g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNDVLNDGSGRMAACFRHTSSNVHSVILPPQKLEFGFDNQEWVQREVDEVCSMADDLFGEVSKALIGALENITVNNGVEAQDSCHHQIAELEGILKEERMGFEEMLGKMLHGEDKNGQQAEIHNILEINHLRRQLVLHAHSWEQRLLHIASSYDTPSQAASSSHGESYRVFHSSTSTVNNESDREPGKNLPDALDAAWTGKSQAASSKKSSAILDSLLHQDEPSSIISYALLSPDYHAQISNESEKVTPESSGSESSSFSDPSFYSTNVLHPCISFSEPGPEGKVEYRVTCYYARQFEALKKACCISELDFIHSLSRSTKCNSISHAKTLDNRLIVKVVDHIKES >itb04g32160.t1 pep chromosome:ASM357664v1:4:34839254:34839628:-1 gene:itb04g32160 transcript:itb04g32160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVQRALRPPLLGLLLLLLCSCATAARLPPEYVKKGSDEADLGKDSRLDWADEFGGEDWTNGAARSRRPHAGDHEGLLHRQCVERRRKRAEGESHGGGMGGDGEPVPKRFDVEPARDSDDIWD >itb07g23070.t2 pep chromosome:ASM357664v1:7:27549370:27559483:1 gene:itb07g23070 transcript:itb07g23070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHISDIRLSSDQFQRLVSILQNQDKGGQASSSAVMTAANSGLKSDFKEIEGAHNEDFAFNIVSTSKLTKQTGCKLVLEADSCTVQGPLGKMDGFAIERNGLYLINHPPAAKMRVQDNSKIVDVQWFISIESLYEKLSSLQAFLQEKPKSGVAIRDLEMKIRDFALDVEDRIEIQLSNFLLAKNKEIQEKAASSDDLGCLLGLCSYLLWFNPSLPTENGEEDQQQTSQELHQTLREAAENAADLLMKVSHSIIICNEADQQVVNESQRPPIPCLKHVSANSDTPMFEVGRMVGRGRDHKLIMDQLERHPFDGLKLISIVGMTGIGKTTLAASVYNDPKIASLFQVYDFLSGKRYLIVLDNLWNNEAWDDIKQCFPETWIGSRIVLTTTHFRKGNNAFKYSYIHNMDLLNSEESWDLFCNNPFLEQHMAPKFKKIRSQIVEKCEGLPHSVLVVAERLSKCDNIKQEWKKVEKEIELLGVLDSKVLTFTFHQLPQHLKVCFLYFGVFPKRSAIKVKLLIRLWISEGFIEPLKHKELEDQAYEYLEELIDRSLILIDNWSLSGKVKNCRMHSALHSFCVRESQKEGIFCALNTLQLPRGSFSMFANSCRWLSFYTHKFDYYVLLRANNPRSIFFFQEDAEVYVSFKLLRVLAFVPSSFLQRVPARLEDLVFLRYLSVTEWFEGLDYVVSTNRNLQTLVVSSKEYELRAPTLHLPSTIWDSPRLQYLELDNSYVIDPPTVVKDNMQTLSWVCLTHCRTGVYSKFPNIKKLKLFASGSNSTIILDNLERLERLTIISVAFGCVVTLPKPSLFPSQLKKLRLDGTNLSERDLMVIARLPRLEILKLENAFHGKVWEVERGGFKQLKFLLLENKTLTQWRVGGWRVGGYSFQCLKRLVLRFCYCLEKIPRYTSNCESIELEHCCPSVIASAKWFLQFQRWRGNKNFEGVSAVPELVVPTTSVTMPRSHSVSGAWLVTRAHAMVTRSMSRAGGAQAVRFPKC >itb12g26370.t1 pep chromosome:ASM357664v1:12:27310844:27313808:-1 gene:itb12g26370 transcript:itb12g26370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGNGGVEREYITKHHRHAPAENQCSSFLIKHIRAPVNLVWSLVRRFDQPQKYKPFISRCISQGSLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSVRIVGGDHRLRNYSSVISVHPEVIDGRPGTVVIESFVVDVPEGNTIDETCYFVEALIKCNLKSLADVSERLAVQGRTEPIDPM >itb12g26370.t2 pep chromosome:ASM357664v1:12:27310845:27313709:-1 gene:itb12g26370 transcript:itb12g26370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGNGGVEREYITKHHRHAPAENQCSSFLIKHIRAPVNLVWSLVRRFDQPQKYKPFISRCISQGSLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSVRIVGGDHRLRNYSSVISVHPEVIDGRPGTVVIESFVVDVPEGNTIDETCYFVEALIKCNLKSLADVSERLAVQGRTEPIDPM >itb01g13130.t1 pep chromosome:ASM357664v1:1:13075122:13092480:1 gene:itb01g13130 transcript:itb01g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKGINIPKQAACDSDCIKGFIAAHQAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTATIAQPSFGQAARDSDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTATIAQPSFGQAACDSDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTATIAQPSFGQAACDSDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTAAIAQPSFGQAACDSDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTATIAQPSFGQAACDSDCAQPSFGQAACDSDCIKGFIAQAACDSDCIKGFIAAHNAARETVGAPPVKWNTTLAEVGAPPVKWNTTLADFAESYATKRSTLADFAESYATKRSALADFAESYATKRSANCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQALNSCTGGECHHYTQVVWRDTTSIGCARATCKTAAIAQPSFGQAACDSDCIKGFIVAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb15g03970.t1 pep chromosome:ASM357664v1:15:2493106:2494787:-1 gene:itb15g03970 transcript:itb15g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVVMVPLPAQGHLNQLLHLSRLISSYKIPVHYAGTTTHNRQARLRLQNWDNLAADSDLHFHDFSLPPFESTPPPNPDSSADKFPAQFIPLFFATSHLRRPVEALVRRLCGEARRVVVIYDCAMAWVVQDVPSLPNAECYFFNSVSAFQIYTYFMEAAGKAFPADGPPVLREIPSLDGTFPDEFWEYWHIQEKFIGNSAGVLHNTCRVIEGKFLDLFEKEGTPTAAMNPSAGSTTSRETPSYSFSFGTTTTLSGQQIKELANALEKSRQKFVWVLRDADNADIFSGNDEDDSGGRRSDQLPEGYEERVRGRGIIVRDWAPQLDILAHPATGGFVTHCGWNSCMESMSMGVPMVAWPMHSDQPRNSVLVTKFLGIGILVRDWERRGEVVTSATIEQCIALLMDTPEGEEMRRKAAELSAAIKRSVMDGGGMRKELDSFILHISR >itb13g08040.t1 pep chromosome:ASM357664v1:13:10339238:10342252:1 gene:itb13g08040 transcript:itb13g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKIVGWRKDGDWLLSIEEDDEEMKGSVPQPPTPKEPMDFLSRSWSLSASEISKALLAQKQKHAYAAATFDKNKPDVIPETDNDVAVAVAQNNLSREMMKQRNGRKTGAIGIGRWLNPKEYSISSSSSGVQKKDKARVEKAHMHAVLSVAGLAAAVAAVAAEADNSNSAALASATELLASHCIEMAESVGVEHQRVAAVVRSAVDIRGATHLLTLTAAAATALRGEAALKARLPREAKRNATISPCDKAAALMEDKEMEKDDPPFEGDLLQITRKGLLRWKHVSVYINKNYQVVIKLKSKHVGGAFSKKNKSIVYEVFDDTTAWPFKKEKENGEIYFGVRTAQELLEFKCKNKIHRQKWVDGIQNLLHRASSIEESENSLRMLNINKSI >itb13g08040.t2 pep chromosome:ASM357664v1:13:10339273:10342163:1 gene:itb13g08040 transcript:itb13g08040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKIVGWRKDGDWLLSIEEDDEEMKGSVPQPPTPKEPMDFLSRSWSLSASEISKALLAQKQKHAYAAATFDKNKPDVIPETDNDVAVAVAQNNLSREMMKQRNGRKTGAIGIGRWLNPKEYSISSSSSGVQKKDKARVEKAHMHAVLSVAGLAAAVAAVAAEADNSNSAALASATELLASHCIEMAESVGVEHQRVAAVVRSAVDIRGATHLLTLTAAAATALRGEAALKARLPREAKRNATISPCDKAAALMEDKEMEKDDPPFEGDLLQITRKGLLRWKHVSVYINKNYQVVIKLKSKHVGGAFSKKNKSIVYEVFDDTTAWPFKKEKENGEIYFGVRTAQELLEFKCKNKIHRQKWVDGIQNLLHRASSIEESENSLRMLNINKSI >itb01g28380.t2 pep chromosome:ASM357664v1:1:32839945:32843749:1 gene:itb01g28380 transcript:itb01g28380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDADGSKQSTGDMTVFVQNLLQQMQTRFQAMSESIISKIDEMGNRVDELEQSINDLRAEMGQDGSPSPSAAMKTRDEPKSGADSA >itb01g28380.t1 pep chromosome:ASM357664v1:1:32839945:32843749:1 gene:itb01g28380 transcript:itb01g28380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDADGSKQSTGDMTVFVQNLLQQMQTRFQAMSESIISKIDEMGNRVDELEQSINDLRAEMGQDGSPSPSAAMKTRDEPKSGADSA >itb11g20450.t1 pep chromosome:ASM357664v1:11:21518009:21520774:1 gene:itb11g20450 transcript:itb11g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSRTSYQNWSLQVILPLTSLLLSKFSLIVPLSKHYTRNPFSAAAGFTIYHSLLSSHSLRLSGSKSVTN >itb11g20450.t2 pep chromosome:ASM357664v1:11:21515448:21520774:1 gene:itb11g20450 transcript:itb11g20450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVFVFCCAHSKQVIDYLENSKWFGQPNFVVITIESHNAISAGDALRLIYEQNVITLALLNARMSRTSYQNWSLQVILPLTSLLLSKFSLIVPLSKHYTRNPFSAAAGFTIYHSLLSSHSLRLSGSKSVTN >itb05g11630.t1 pep chromosome:ASM357664v1:5:17774396:17774842:-1 gene:itb05g11630 transcript:itb05g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKQRFCWHSLSLIALVVVLLALFAAQTVAATKSKQRFCWHSLSLIALVVVLLALFAAQTVAATESPSAMPGPSSTTNVAAFIPATAFASCIWVALLIPPPPPLVGKNLEIPTRMMQTVSMYSDGSQSKAEILGYWRGTRRGQRESN >itb15g04410.t1 pep chromosome:ASM357664v1:15:2806937:2808309:1 gene:itb15g04410 transcript:itb15g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEIRLAVGGDSSVLKKIHKLPRHLRSKEDSDVYDPKVVSIGPYHHGKPQLQLVQGMKRKVLDHFISISKQDYEFYFKKMLEVVHMARSCYILMDDEEFDDDQFAEMMLLDGCFLLNYCLVTTDYGDDVATNKALEYCSPLDIITLVDAAAGWLFIERDTLLLDNQIPLWIIELLFNATYGNANPLHTWKQLLSMTSQAAVWGEIVYENVDELEKHIMLQHHDGAPLHLLEFYHMLMMVSRPSSSSSSEAAAAIGSTPKMSTKEFSDEGIKFNSNLLRAEVKLPVFDANAFTRVYFQNMIAYELCNYRRTQFVVGSYINFMKSLIVSPQDVKELREKCIIRSALGEDKEVVKLFHGLNTHGLENPIIFRDVKHKILNYYNSKTKTWMAELTHTYFRTPWTAIAFFAATSLLVLTFLQTYFTINPRGH >itb03g21230.t1 pep chromosome:ASM357664v1:3:19029153:19033002:-1 gene:itb03g21230 transcript:itb03g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVGQMPVQSMNPRLKARELAGSDSWIFGWHGASENCCFRTMAEKGVSLLCRGGKKVSTGGFQCTCKNMVKKGAHRGSVENNQVAEKLREPRADGRKKHKHLHFHRSGLIR >itb11g01340.t4 pep chromosome:ASM357664v1:11:621385:627998:1 gene:itb11g01340 transcript:itb11g01340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGGIRLLLSLAKCWQEGIQSEAAKAIANLSMNTNVAKTVAEEGGISILTDLARSVNRLVAEEAAGGLWNLSVGEEHKASIAETGGLKALVNLIFKWSVNGGEGVLERAAGALANLAADDSCSMEIASVGGVHALVTLAQKCKAEGVQEQAARALANLAAHGDSNNNNASVGQEAGALEALVILTRSLHDGVRQEAAGALWNLSFDDRNREAIAATGGVEALVALAKSCSNASPALQERAAGALWGLSVSETNSIAIGQEGGIPPLIALARVEAEDVHETAAGALWNLAFNSGNALRIVEEGGVPALVHLCSTSVSKMARFMAALALAYIFDGRMDEVALLGSASENALKSVNLRGARRMALKHIKAFVLAFSDLQAFSAAAMSSAPAALTQVTELARIHEAGHLRCSGAEIGRFVKMLQNPSSVLKACAAFALLQFTIPSGRHAMHHVCLLQSPSASRILRAAAASASAPLEAKIFARIVLRNLEHHQTESSLKY >itb11g01340.t5 pep chromosome:ASM357664v1:11:621374:628002:1 gene:itb11g01340 transcript:itb11g01340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKLENEGFEESGSVGNGNGEVDWTRLADDTVIQLFSSLNIRDRASLASTCRAWRALGMSRCLWQQIDLRSYKFDAATAASLASRCGSLKKLRFRSAESADAIIHLKARDLSEISGEYCRKITDASLAMIVARHEALESLQLGPDFCERISSSAIKAIAICCSRLCKLRLCGIRGVDGEAIIALAKHCKNLMDIGFIDCLNVDEIGIGQVLSLKFLSVAGTTNMKWNLASQHWSELPNLIGLDVSRTDISCSAIERMFSSSKSLKVLCALYCPALEKSPTFVSHSNNKGKLLLTLVTDIFKEVASLFIGTINKERNIFLEWRQLSSKVRKPEDIMNWVEWIISHSLLRIAEVNPQGLDTFWLNQGAYLFLSLTQSAQEEVQERAATGLATFVVIDDENANVDSGRAEAVMQDGGIRLLLSLAKCWQEGIQSEAAKAIANLSMNTNVAKTVAEEGGISILTDLARSVNRLVAEEAAGGLWNLSVGEEHKASIAETGGLKALVNLIFKWSVNGGEGVLERAAGALANLAADDSCSMEIASVGGVHALVTLAQKCKAEGVQEQAARALANLAAHGDSNNNNASVGQEAGALEALVILTRSLHDGVRQEAAGALWNLSFDDRNREAIAATGGVEALVALAKSCSNASPALQERAAGALWGLSVSETNSIAIGQEGGIPPLIALARVEAEDVHETAAGALWNLAFNSGNALRIVEEGGVPALVHLCSTSVSKMARFMAALALAYIFDGR >itb11g01340.t1 pep chromosome:ASM357664v1:11:621366:628002:1 gene:itb11g01340 transcript:itb11g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKLENEGFEESGSVGNGNGEVDWTRLADDTVIQLFSSLNIRDRASLASTCRAWRALGMSRCLWQQIDLRSYKFDAATAASLASRCGSLKKLRFRSAESADAIIHLKARDLSEISGEYCRKITDASLAMIVARHEALESLQLGPDFCERISSSAIKAIAICCSRLCKLRLCGIRGVDGEAIIALAKHCKNLMDIGFIDCLNVDEIGIGQVLSLKFLSVAGTTNMKWNLASQHWSELPNLIGLDVSRTDISCSAIERMFSSSKSLKVLCALYCPALEKSPTFVSHSNNKGKLLLTLVTDIFKEVASLFIGTINKERNIFLEWRQLSSKVRKPEDIMNWVEWIISHSLLRIAEVNPQGLDTFWLNQGAYLFLSLTQSAQEEVQERAATGLATFVVIDDENANVDSGRAEAVMQDGGIRLLLSLAKCWQEGIQSEAAKAIANLSMNTNVAKTVAEEGGISILTDLARSVNRLVAEEAAGGLWNLSVGEEHKASIAETGGLKALVNLIFKWSVNGGEGVLERAAGALANLAADDSCSMEIASVGGVHALVTLAQKCKAEGVQEQAARALANLAAHGDSNNNNASVGQEAGALEALVILTRSLHDGVRQEAAGALWNLSFDDRNREAIAATGGVEALVALAKSCSNASPALQERAAGALWGLSVSETNSIAIGQEGGIPPLIALARVEAEDVHETAAGALWNLAFNSGNALRIVEEGGVPALVHLCSTSVSKMARFMAALALAYIFDGRMDEVALLGSASENALKSVNLRGARRMALKHIKAFVLAFSDLQAFSAAAMSSAPAALTQVTELARIHEAGHLRCSGAEIGRFVKMLQNPSSVLKACAAFALLQFTIPSGRHAMHHVCLLQSPSASRILRAAAASASAPLEAKIFARIVLRNLEHHQTESSLKY >itb11g01340.t2 pep chromosome:ASM357664v1:11:621866:627938:1 gene:itb11g01340 transcript:itb11g01340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSGCRNFLGFSVRRPCDISCSAIERMFSSSKSLKVLCALYCPALEKSPTFVSHSNNKGKLLLTLVTDIFKEVASLFIGTINKERNIFLEWRQLSSKVRKPEDIMNWVEWIISHSLLRIAEVNPQGLDTFWLNQGAYLFLSLTQSAQEEVQERAATGLATFVVIDDENANVDSGRAEAVMQDGGIRLLLSLAKCWQEGIQSEAAKAIANLSMNTNVAKTVAEEGGISILTDLARSVNRLVAEEAAGGLWNLSVGEEHKASIAETGGLKALVNLIFKWSVNGGEGVLERAAGALANLAADDSCSMEIASVGGVHALVTLAQKCKAEGVQEQAARALANLAAHGDSNNNNASVGQEAGALEALVILTRSLHDGVRQEAAGALWNLSFDDRNREAIAATGGVEALVALAKSCSNASPALQERAAGALWGLSVSETNSIAIGQEGGIPPLIALARVEAEDVHETAAGALWNLAFNSGNALRIVEEGGVPALVHLCSTSVSKMARFMAALALAYIFDGRMDEVALLGSASENALKSVNLRGARRMALKHIKAFVLAFSDLQAFSAAAMSSAPAALTQVTELARIHEAGHLRCSGAEIGRFVKMLQNPSSVLKACAAFALLQFTIPSGRHAMHHVCLLQSPSASRILRAAAASASAPLEAKIFARIVLRNLEHHQTESSLKY >itb11g01340.t3 pep chromosome:ASM357664v1:11:621387:627938:1 gene:itb11g01340 transcript:itb11g01340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDISCSAIERMFSSSKSLKVLCALYCPALEKSPTFVSHSNNKGKLLLTLVTDIFKEVASLFIGTINKERNIFLEWRQLSSKVRKPEDIMNWVEWIISHSLLRIAEVNPQGLDTFWLNQGAYLFLSLTQSAQEEVQERAATGLATFVVIDDENANVDSGRAEAVMQDGGIRLLLSLAKCWQEGIQSEAAKAIANLSMNTNVAKTVAEEGGISILTDLARSVNRLVAEEAAGGLWNLSVGEEHKASIAETGGLKALVNLIFKWSVNGGEGVLERAAGALANLAADDSCSMEIASVGGVHALVTLAQKCKAEGVQEQAARALANLAAHGDSNNNNASVGQEAGALEALVILTRSLHDGVRQEAAGALWNLSFDDRNREAIAATGGVEALVALAKSCSNASPALQERAAGALWGLSVSETNSIAIGQEGGIPPLIALARVEAEDVHETAAGALWNLAFNSGNALRIVEEGGVPALVHLCSTSVSKMARFMAALALAYIFDGRMDEVALLGSASENALKSVNLRGARRMALKHIKAFVLAFSDLQAFSAAAMSSAPAALTQVTELARIHEAGHLRCSGAEIGRFVKMLQNPSSVLKACAAFALLQFTIPSGRHAMHHVCLLQSPSASRILRAAAASASAPLEAKIFARIVLRNLEHHQTESSLKY >itb04g25810.t2 pep chromosome:ASM357664v1:4:30285266:30290264:1 gene:itb04g25810 transcript:itb04g25810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSIARFLNPWTLHLQKLGLELKCPLCLKLLNKPILLPCNHLFCNCCVPDSTQCGSECPVCKHQFADRDIRPAPYIENMVSIYQSLDAAFVANVSLSLSSAVGRSLEQSPVSVSSAQGKSSKEMRSDKAVMSNPCLNKGHMQRDGRKIVLNGEKGSNPLPTSVLAGYITDGRPLAEEIDVNQLPQQSPVSYLSSDDNKDVDGNTCELACRDLGFRKTAVNVSAVDGRDMTTSEICCRSTDDDASLERDTKRQKKLNYRLSGMDSQIGGNTRKEIASECNMEHIAGGCAAADSSLDVKATCAFCKSSNTTEVTGPMLFYANGKEVVDSFPDATPAHRLCIDWAPQVYYDGEIIKNFKSELARSAKLKCNICGLKGAALGCYMKSCQRTYHMPCAFGIQECRWDLDNFLMLCPSHKSVRFPNEKLKSQKCSTVEKNKSSKLVTLQFNFWATSPDGPKQWVLCGSALSSEDKYTLVKFAKMCGATVSKLWTPNVTHVLASTDAEGACTRTLKVLMAILGGKWILTMDWVRACMEANGPMNEEPYEITLDNHGCSDGPKTGRLRASTNVNAYSVHIQTGMNMPKRRNT >itb04g25810.t4 pep chromosome:ASM357664v1:4:30285324:30287696:1 gene:itb04g25810 transcript:itb04g25810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSIARFLNPWTLHLQKLGLELKCPLCLKLLNKPILLPCNHLFCNCCVPDSTQCGSECPVCKHQFADRDIRPAPYIENMVSIYQSLDAAFVANVSLSLSSAVGRSLEQSPVSVSSAQGKSSKEMRSDKAVMSNPCLNKGHMQRDGRKIVLNGEKGSNPLPTSVLAGYITDGRPLAEEIDVNQLPQQSPVSYLSSDDNKDVDGNTCELACRDLGFRKTAVNVSAVDGRDMTTSEICCRSTDDDASLERDTKRQKKLNYRLSGMDSQIGGNTRKEIASECNMEHIAGGCAAADSSLDVKATCAFCKSSNTTEVSFNMKLSFPFPFGLE >itb04g25810.t1 pep chromosome:ASM357664v1:4:30285266:30290264:1 gene:itb04g25810 transcript:itb04g25810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSIARFLNPWTLHLQKLGLELKCPLCLKLLNKPILLPCNHLFCNCCVPDSTQCGSECPVCKHQFADRDIRPAPYIENMVSIYQSLDAAFVANVSLSLSSAVGRSLEQSPVSVSSAQGKSSKEMRSDKAVMSNPCLNKGHMQRDGRKIVLNGEKGSNPLPTSVLAGYITDGRPLAEEIDVNQLPQQSPVSYLSSDDNKDVDGNTCELACRDLGFRKTAVNVSAVDGRDMTTSEICCRSTDDDASLERDTKRQKKLNYRLSGMDSQIGGNTRKEIASECNMEHIAGGCAAADSSLDVKATCAFCKSSNTTEVTGPMLFYANGKEVVDSFPDATPAHRLCIDWAPQVYYDGEIIKNFKSELARSAKLKCNICGLKGAALGCYMKSCQRTYHMPCAFGIQECRWDLDNFLMLCPSHKSVRFPNEKLKSQKCSTVEKNKSSKLVTLQFNFWATSPDGPKQWVLCGSALSSEDKYTLVKFAKMCGATVSKLWTPNVTHVLASTDAEGACTRTLKVLMAILGGKWILTMDWVRACMEANGPMNEEPYEITLDNHGCSDGPKTGRLRASTNAPKLFLGLKFYISGDFLAPYLKDLLQLVEVAGGTIVENKEQLIAEMRDLAATDPTYCVIVYNCDPPRGCMPTEESSILLRRFAEADELAKQIGCLAIKHTWILESIAGCKLVPFVC >itb04g25810.t3 pep chromosome:ASM357664v1:4:30285266:30288536:1 gene:itb04g25810 transcript:itb04g25810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQSIARFLNPWTLHLQKLGLELKCPLCLKLLNKPILLPCNHLFCNCCVPDSTQCGSECPVCKHQFADRDIRPAPYIENMVSIYQSLDAAFVANVSLSLSSAVGRSLEQSPVSVSSAQGKSSKEMRSDKAVMSNPCLNKGHMQRDGRKIVLNGEKGSNPLPTSVLAGYITDGRPLAEEIDVNQLPQQSPVSYLSSDDNKDVDGNTCELACRDLGFRKTAVNVSAVDGRDMTTSEICCRSTDDDASLERDTKRQKKLNYRLSGMDSQIGGNTRKEIASECNMEHIAGGCAAADSSLDVKATCAFCKSSNTTEVTGPMLFYANGKEVVDSFPDATPAHRLCIDWAPQVYYDGEIIKNFKSELARSAKLKCNICGLKGAALGCYMKSCQRTYHMPCAFGIQECRWDLDNFLMLCPSHKSVRFPNEKLKSQKCSTVEKNKSSKL >itb08g12630.t1 pep chromosome:ASM357664v1:8:12860867:12861615:1 gene:itb08g12630 transcript:itb08g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVLTPLATGLGVLAGAVLVRSVMGQKPMMGSGKFPRCPSCNGTGRVSCLCSRWSDGDVGCRTCAGSGRMACSSCGGTGTGRPIPLQISVRPPNRPS >itb12g04090.t1 pep chromosome:ASM357664v1:12:2674959:2676315:1 gene:itb12g04090 transcript:itb12g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMKKSSTNATIKGSCSKNKRSIAVTTRFSLRETPKKPRTTLVSSKSPPQPAHDQDIEAEDEEEEEDDDDFEADEEEEEEEEEEEEEEEEEEEPENEAVTGDGVSKGVMSGKGKGSISATLTDPDVLDCPICFLSLTIPLFQCENGHIACASCCTKLINKCPSCSSPIGFNRCRALEQVVEAVKVPCPNNTYGCKDSIIYSKLRDHEPTCIHTPCSCPNRACKFLGFSKEVYTHFTDKHVQAKRITLNSPRQISLKKKQRFIYLQEKSGGVIFVVNHHIHSLGSAVNVICIAPGSSKRRFPYKLVAKGEEETSVMKGTSGETTAIKLEAMAENIPRWDPLTPLKIFLLVPGDVVSDSCDQLKLEVCIKDS >itb09g05910.t1 pep chromosome:ASM357664v1:9:3353941:3357680:1 gene:itb09g05910 transcript:itb09g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVMDFQKWIVVFLILSLHVCATAAEDIDINYEWHSCGTNGTYSRDSAYQKNLDNLLPSLSNNVNEYGFYNGSVGQGSDRVSAIVLCRGDADINLCRDCVSDNARRILEWCPTQKEAFRWYNICSIYYSDESILGSLRTTPEITQFSSISLKNPAQFNGNLTVMVDRLRTQAAGGGPFLKYAADITSGPDQQTIFSYVQCTPDLSVRDCTDCINTAIDLWNKSEGNGRIGARVLRPSCFFRYEISSFFGTSLINESVSTPPPSALPSGSSDGNKTVIIIVIVVAIAAGLIGVAICIFVILRKRQKRKANSPVKSLEETSSTVEISTVESLKYDLNTIQNATNNFSEANKLGEGGFGPVYKGKLENGLEIAVKRLSENSRQGNLEFKNEMALMARLQHRNLVRLLGYCQEGREMILVYEFVPNGGLDHILFDPIKRGYLNWGRRYKIIEGISRGLVYLHEDSRLRIIHRDLKASNILLDEDLNPKIADFGMARLFTLDETQGSTSRIVGTYGYMAPEYAWQGLFSLRSDVYSFGVLVLEIISGQKNIDFQKGESMKDLLSYAWTHWKGGSASNVMDPMLRGTSSPGHEITKCIHIALLCVQENVADRPTMTEVLQMLSNLTMSLPVPLAPGFFIHSSVSSEASNNSTRNEMSISEQFPR >itb06g13160.t1 pep chromosome:ASM357664v1:6:17802050:17803990:-1 gene:itb06g13160 transcript:itb06g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALSFGLPLLNPYKNTNANFSNGVNFAVAGSTALSVEALAAKNIHDPVTASSLAVQLGWMDSYFNSTCNGTQSETRKDSLFMVGEAGGNDINYAFLQGKNMEELRSMVPEIVQLIMVAVKKVISYGATRIIVPGNFPIGCIPMYLTGFQTNESSAAYDEHHCLRDLNNLSIYHNDLLKQAIQDLQKQHDPHHVTMIYGDYYNAYLSLLQYSAIFGFDESSIQKSCCGVGGNYDFNIHKMCGFPGVPVCSDPSKMISWDGIHMTQKAYRIMTNWLLHDILSKIQARNA >itb10g05760.t1 pep chromosome:ASM357664v1:10:6060394:6061343:1 gene:itb10g05760 transcript:itb10g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSTTVLPPHFPANKNYRAKLLPRHPLSLVNVENEKKKEREKVGGANALSEEINRIIVSNGHVLRNSMSKDEFSFSQSSALGSSEESGSPANFIFGSGRNELQCTQFGRASHVKGRRRSLVVRQLLWRGRTVLPASSSPSGLDLAAVVVAWSPVSHLLDRQNKAVGRRWREAGVAAKQSSGRSSSRLDVAAPSPPLCGEG >itb03g08230.t1 pep chromosome:ASM357664v1:3:6168253:6174889:1 gene:itb03g08230 transcript:itb03g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGALSLLNVPYTRMKMRPQAVLTKDCKMGVPYELKQGQSRLFHKLPSGLNMEVIFQKGDPDKEIDKPHNPPLVFVHGSFHAAWCWAEHWLPFFSQNGFDCYAVSLLGQGESDAPAASVAGSLQTHAGDIADFIHKEIRLPPVLLGHSFGGLIVQYYIANLPRGKERENEGLYPNLSGAALVCSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTSLPLCKETFFSATMDDQLVLRYQKLMTESSRLPLFDLRKLNASLPVPPVADSSLKVLVLGAKDDFIVDFEGLDETGRFYGVSPVCIEGVAHDMIALSLSLYAQARSAVCSLEGFKMYTALQKIHKDKDVEPSEFEETVAQALFDLENTNQELKSDLKDLFINSAVQVDISGNRKAVVIHVPYRLRKAFRKIYLRLVRELEKKFSGKDVVLVATRRISRPPKRGSAVQRPRSRTLTAVHEAILEDLVYPAEIVGKRIRYRIDGSKIMKVFLDPKFRNDTEYKLETYAGVYRKLSGKDVVFEYPITEA >itb03g12080.t1 pep chromosome:ASM357664v1:3:10941960:10942235:-1 gene:itb03g12080 transcript:itb03g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMLSSCIGEFVAEDIDMAMAAEQLMQLSGDDDGGAEKRKRKIKISEKVAMRDQRNEVVILVMEPRRVRKYRSLHNLYMLTKPITNVLP >itb13g25780.t1 pep chromosome:ASM357664v1:13:31088540:31089130:-1 gene:itb13g25780 transcript:itb13g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSLTLFAMIGAGRLFSFVRVLKDGESADKTKKTRINADALSARSLRWSGECSVDVGEANRERRGTAIAGARDVEVKSGGEWRGTAGGGGGEWRGTAGGGGGEEPRAAESGEEPRGDRGDERRSPGRGTAGEGGGAAERNREAIGAMNVEERKPIAGARAAESGEEPRGDRGDERGGEEGDRRGTSCFLKGWIAD >itb04g06020.t1 pep chromosome:ASM357664v1:4:3919343:3922556:-1 gene:itb04g06020 transcript:itb04g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGAGNAGGGAAAALGAGVEWHLRPQVPKNPVVFFDITIGNIPAGRIKMELFADIAPKTAENFRQLCTGEFRKAGIPQGFKNSQFHRVIKDFMIQGGDFLKNDGSGCISIYGSKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLPCVIAECGEM >itb04g22620.t1 pep chromosome:ASM357664v1:4:27871331:27878492:-1 gene:itb04g22620 transcript:itb04g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MAKKNGAKTLAELKTLGNKLLSSRAHINNLPVLLTFVNPSSAPQYALEALLSLQAFFTPLIPDLPSTTSAADPRDEPEIIYSIWLRSKFEDLVQSLIDIATSSQCEQALREVVLDALMEFVKVGNSGKFHPAIYRRFLHSIVHSSLGVDDILLHLLATKYFNYIDIRYFTYINMEKLSQTLEAKYITDYDNKISSGDSENPPRESMELSVQKIYYILSHIPPPDGLDQMSKYEMWNGLGIFVGKNNNRENALDSKAESKIGKAESSSDKVLPSLKVAKKMKLKFTKAWISFLKIPLPLDVYKEVLVSLHQAVIPYLSKPIMLCDFLTRSYDIGGVVSVMALSSLFILMTQHGIEYPNFYEKLYALLEPSIFMAKHRAKFFELLDSCLKSPLLPAYLAAAFCKKLSRLSLSVPPSGSLVITALIHNLLRRHPSINCLVHQDDTDETTKENSEAERETDVTADACTEVSVNGLGIDPFDEEERDPLRTNAMRSSLWEIDTLRHHYCPPVSRFVLSLENDLTVRAKTTEIAVKDFSSGSYATIFGDEIRRRVKQVPLAFYKVAPTSLFSESDFPGWTFDIKNKELAGVNQENDVISAKRQRVECS >itb04g22620.t2 pep chromosome:ASM357664v1:4:27871339:27878472:-1 gene:itb04g22620 transcript:itb04g22620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MAKKNGAKTLAELKTLGNKLLSSRAHINNLPVLLTFVNPSSAPQYALEALLSLQAFFTPLIPDLPSTTSAADPRDEPEIIYSIWLRSKFEDLVQSLIDIATSSQCEQALREVVLDALMEFVKVGNSGKFHPAIYRRFLHSIVHSSLGVDDILLHLLATKYFNYIDIRYFTYINMEKLSQTLEAKYITDYDNKISSGDSENPPRESMELSVQKIYYILSHIPPPDGLDQMSKYEMWNGLGIFVGKNNNRENALDSKAESKIGKAESSSDKVLPSLKVAKKMKLKFTKAWISFLKIPLPLDVYKEVLVSLHQAVIPYLSKPIMLCDFLTRSYDIGGVVSVMALSSLFILMTQHGIEYPNFYEKLYALLEPSIFMAKHRAKFFELLDSCLKSPLLPAYLAAAFCKKLSRLSLSVPPSGSLVITALIHNLLRRHPSINCLVHQDDTDETTKENSEAERETDVTADACTEVSVNGLGIDPFDEEERDPLRTNAMRSSLWEIDTLRHHYCPPVSRFVLSLENDLTVRAKTTEIAVKDFSSGSYATIFGDEV >itb03g21320.t1 pep chromosome:ASM357664v1:3:19159740:19163662:1 gene:itb03g21320 transcript:itb03g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFILLRLTLLLFLFCNHNGKLSVRAFTGTYGINYGRTADNIPSPDEVVKLLRAAKIKNVRIYNADHSVIKAFRGTGLELVVGIPNENVKDMSANADHALNWVKDNVKAFLPDTLIVGIAVGNEVLAGNDSEVSAALLNAVKNVHNATKNLGISDAIQITTAHSQAVFANSFPPSSCIFKEDVAQLMKPLLEFFSQIGSPFCLNAYPFLAYTYDSDKIDINYALFKSNEGIYDEKPALHYDNLLDAQIDAAYAALEDAGFRKMEVVVTETGWASHGGQNEPAATVDNARTYNYNLRKRLAKKKGTPVRPKTVLKVTKRFICNILYFDFEGNHGSRILFLGVRNLGVAFALAFMVMKPVLILFYFYTSILSEYHIISTVFICIYSNFPIFFSPLFHYLSSSLNWRNIQGTYFLFSLL >itb03g21320.t2 pep chromosome:ASM357664v1:3:19159740:19163662:1 gene:itb03g21320 transcript:itb03g21320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFILLRLTLLLFLFCNHNGKLSVRAFTGTYGINYGRTADNIPSPDEVVKLLRAAKIKNVRIYNADHSVIKAFRGTGLELVVGIPNENVKDMSANADHALNWVKDNVKAFLPDTLIVGIAVGNEVLAGNDSEVSAALLNAVKNVHNATKNLGISDAIQITTAHSQAVFANSFPPSSCIFKEDVAQLMKPLLEFFSQIGSPFCLNAYPFLAYTYDSDKIDINYALFKSNEGIYDEKPALHYDNLLDAQIDAAYAALEDAGFRKMEVVVTETGWASHGGQNEPAATVDNARTYNYNLRKRLAKKKGTPVRPKTVLKVYVFALFNEDLKQGQLSEKNYGLFKPDGRISYDIGFSGLKDSSATSSILTLKGIMVQGSYFWVFAIWVLLLL >itb03g21320.t3 pep chromosome:ASM357664v1:3:19159734:19161974:1 gene:itb03g21320 transcript:itb03g21320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFILLRLTLLLFLFCNHNGKLSVRAFTGTYGINYGRTADNIPSPDEVVKLLRAAKIKNVRIYNADHSVIKAFRGTGLELVVGIPNENVKDMSANADHALNWVKDNVKAFLPDTLIVGIAVGNEVLAGNDSEVSAALLNAVKNVHNATKNLGISDAIQITTAHSQAVFANSFPPSSCIFKEDVAQLMKPLLEFFSQIGSPFCLNAYPFLAYTYDSDKIDINYALFKSNEGIYDEKPALHYDNLLDAQIDAAYAALEDAGFRKMEVVVTETGWASHGGQNEPAATVDNARTYNYNLRKRLAKKKGTPVRPKTVLKVYVFALFNEDLKQGQLSEKNYGLFKPDGRISYDIGFSGLKDSSATSSILTLKVLGLSFL >itb02g22850.t2 pep chromosome:ASM357664v1:2:22544147:22548840:-1 gene:itb02g22850 transcript:itb02g22850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGNLQRHRHRHSDTEIVGFDGTTSYSGPLSGPLNNKRGGKKSARFNIPESSDLAAAAAKAGNDDDAYVEITLDVREDSVAVHSVKAAGGGGAEDPELALLARGLEKKTTLGSSLARNASSRFRQVSQELKRLTSFTKRPYPGRIDRTKSAAAHALKGLKFISKTDGGAGWAAVEKRFDDLTASTNGLLPKAKFGECIGMNKESKEFAGELFDALSRRRNITTDSINKAQLREFWEQVADQSFDSRLQTFFDMVDKDADGRITEEEVKEIISLSASANKLSTIQKQADEYAAMIMEELDPNNLGYIMIENLETLLLQAPNQTVRGHESRNLSQMLSQKLKPTIEPNPIVRWYKDFRYFLLDNWQRVWVLLLWIGTMAGLFAWKYVQYKNRAAYDVMGACVCLAKGAAETLKLNMAIILLPVCRNTLTWLRNKTKLGVAVPFDDNLNFHKVIAVAVTMGVGIHAISHLTCDFPRLLHADSKKYKPMVKYFGEQPDSYWHFVKGVEGVTGIVMVVLMAIAFTLATPWFRRNRVKLPKPFNKITGFNAFWYSHHLFVIVYSLLIVHGIKLYLTHKWYKKTTWMYLAVPILLYGGERLIRAFRSSIKPVKILKVAVYHGNVLTLHMSKPQGFRYKSGQYMFVNCAAVSPFECFIL >itb02g22850.t1 pep chromosome:ASM357664v1:2:22539329:22548840:-1 gene:itb02g22850 transcript:itb02g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGNLQRHRHRHSDTEIVGFDGTTSYSGPLSGPLNNKRGGKKSARFNIPESSDLAAAAAKAGNDDDAYVEITLDVREDSVAVHSVKAAGGGGAEDPELALLARGLEKKTTLGSSLARNASSRFRQVSQELKRLTSFTKRPYPGRIDRTKSAAAHALKGLKFISKTDGGAGWAAVEKRFDDLTASTNGLLPKAKFGECIGMNKESKEFAGELFDALSRRRNITTDSINKAQLREFWEQVADQSFDSRLQTFFDMVDKDADGRITEEEVKEIISLSASANKLSTIQKQADEYAAMIMEELDPNNLGYIMIENLETLLLQAPNQTVRGHESRNLSQMLSQKLKPTIEPNPIVRWYKDFRYFLLDNWQRVWVLLLWIGTMAGLFAWKYVQYKNRAAYDVMGACVCLAKGAAETLKLNMAIILLPVCRNTLTWLRNKTKLGVAVPFDDNLNFHKVIAVAVTMGVGIHAISHLTCDFPRLLHADSKKYKPMVKYFGEQPDSYWHFVKGVEGVTGIVMVVLMAIAFTLATPWFRRNRVKLPKPFNKITGFNAFWYSHHLFVIVYSLLIVHGIKLYLTHKWYKKTTWMYLAVPILLYGGERLIRAFRSSIKPVKILKVAVYHGNVLTLHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKAVFSEVCQPPPNGKSGLLRADCLQGQNNPNFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVSNLKAMEDDEEEAGESRSGSGRSNNNFKTRRAYFYWVTREQGSFEWFKGIMDEVAEMDQKHVIEMHNYCTSVYEEGDARSALITMLQSLHLAKSGVDIVSGTRVKSHFAKPNWRNVYKRIALNHSEARVGVFYCGAPTLTKELKHLALDFSHKTSTKFDFHKENF >itb06g10720.t1 pep chromosome:ASM357664v1:6:15221566:15222821:-1 gene:itb06g10720 transcript:itb06g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFNKQSSISVIALMALVFVAVLCLTIACADAARLPHDPTVFPQAGCRCCDFIWKPMIHCGRVCCEDGCCG >itb01g05650.t1 pep chromosome:ASM357664v1:1:3916382:3922410:1 gene:itb01g05650 transcript:itb01g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPSSTPKQSPPSDPAEGISVIPPFKPVNAVHLFSLLFFANEKIQSKIFYFYFFSSALVIGAELLAMSFNPSNRMDPHAAAERAVSVIGFGYDLTADIRLSACKPGPSGSGLIEIQRSSTRDLVLPAGVVVPNVSTSIKCDKGERTRFRSDALSFSQMSEQFNQELSLSGKIPSGLYNAMFGHKGCWQKDAASTKLLAFDGWFISLYNVELVRSQVALSEQLKREVPSSWDPPALAEFIEKYGTHVIVGVKMGGKDVIHIKQLHNSNLEPTEVQKLLKQLADERFSNDVNGSLISNTNTSTGKLKAEKSMLWDASLPVARSMIPTITSHSKNDDIISIHIRRGGVDYGQSHSQWLSTVSENPNVISMSFVPIASLLSGVRGSGFLSHAMNLYLRYKPPLEELQQFLEFQLPRQWAPAYGDLPLGHRRRKQSSPSLQFTFMGPKLFVNTEKVESGNRPVTGIRLYLEGKRSDHLAIHLQHLSTLPQSLQLTDDLTYEPVDEHFERGYFEPVKWSIFSHVCTAPIECHGTRIDDFASIATKAWFEVKAIGMKKVLFLRLGFSMVASATIRRSEWDEPMSSTRKSGLISLLITTPFSTKLTQPDKQPAKVDLNSAVYPAGPPTPARPPKMSHFVDTKEMVRGPEESPGYWVVTGARLFVEDSRIRIKVKYSLLTIMSEDSFLI >itb10g03070.t3 pep chromosome:ASM357664v1:10:2733477:2740525:1 gene:itb10g03070 transcript:itb10g03070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSKRTVKQSANAAPNSKQEEPQDVKQKEVSLDQEDFVEHQSAAIRALRDVQIEQLRTMLRLLRSNFSKEQLQVPVLQFFRENLPNLVVVRNENDGMYEVQWKKDDGNLSMDQLDGRNIHASLLQRLSMVYPSCSAAMPPIGGFEFSNKSVKTSIFGAENLQIKGFVLEEPSETQLFEQQDLLQTPGANSHRLSVGMTPKTLRQPKHGEMLLSVHGSPLGVYKEDMEAIHESEDGSA >itb10g03070.t4 pep chromosome:ASM357664v1:10:2733472:2740533:1 gene:itb10g03070 transcript:itb10g03070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSKRTVKQSANAAPNSKQEEPQDVKQKEVSLDQEDFVEHQSAAIRALRDVQIEQLRTMLRLLRSNFSKEQLQVPVLQFFRENLPNLVVVRNENDGMYEVQWKKDDGNLSMDQLDGRNIHASLLQRLSMVYPSCSAAMPPIGGFEFSNKSVKTSIFGAENLQIKGFVLEEPSETQLFEQQDLLQTPGPPVICWDDTENFEAAQTRGDASVCTWLTPWRLQGRHGSNTRIRRWFSLDYGSVIVGIILVEEVTAIVFDESTADFCVVIKLLASHVCFFALKQHISYFQLMR >itb10g03070.t1 pep chromosome:ASM357664v1:10:2733485:2740601:1 gene:itb10g03070 transcript:itb10g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSKRTVKQSANAAPNSKQEEPQDVKQKEVSLDQEDFVEHQSAAIRALRDVQIEQLRTMLRLLRSNFSKEQLQVPVLQFFRENLPNLVVVRNENDGMYEVQWKKDDGNLSMDQLDGRNIHASLLQRLSMVYPSCSAAMPPIGGFEFSNKSVKTSIFGAENLQIKGFVLEEPSETQLFEQQDLLQTPGANSHRLSVGMTPKTLRQPKHGEMLLSVHGSPLGVYKEDMEAIHESEDGSA >itb10g03070.t2 pep chromosome:ASM357664v1:10:2733472:2740533:1 gene:itb10g03070 transcript:itb10g03070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSKRTVKQSANAAPNSKQEEPQDVKQKEVSLDQEDFVEHQSAAIRALRDVQIEQLRTMLRLLRSNFSKEQLQVPVLQFFRENLPNLVVVRNENDGMYEVQWKKDDGNLSMDQLDGRNIHASLLQRLSMVYPSCSAAMPPIGGFEFSNKSVKTSIFGAENLQIKGFVLEEPSETQLFEQQDLLQTPGANSHRLSVGMTPKTLRQPKHGEMLLSVHGSPLGVYKEDMEAIHESEDGSA >itb13g03930.t1 pep chromosome:ASM357664v1:13:3939779:3943070:-1 gene:itb13g03930 transcript:itb13g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSRRVFRTSPFSSFNRKKFPSNLQSGLLSSVFESDSVRLLSSYGSREQCNVAALCPKRGLIYKDFGRGTVIRPKYGFQNFNFGWIHFGRFLCTALGAPESGGGGGGSIAVADGSGQAKEAKVKRKKLKGKRAVVRWLKFFRWKKKKEFQRMTAEEKLVYKLRKARKKEERLLEALEKIEPKETSEATHDPEILTPEEHFYFLKMGQKCKNYVPVGRRGIYQGVILNMHLHWKRHQTLKVVVKTFSPEEVKEIAAELARLSGGIILDIQDDNTIIMYRGKNYAQPPTEIMSPRSTLSRNKALNKSKYRDALRAVRRYIPRLEQDLELLRAQAENKASLSEENQLIGSEDYDSDHHLQLQTEATKKLNELMPQNGENDDENDSMMESDLGEDSEDLSDIFETESEEENEERNEKPLYLNAFEKFPVYSNGETDDFEEHLRQISANSRKEKLQGRDVKSPDLDEVDRMILQAASLLKKNRR >itb01g24010.t1 pep chromosome:ASM357664v1:1:29791772:29793902:1 gene:itb01g24010 transcript:itb01g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLQKPRVSPLLKWVSSFFDNHFGYTSPTLWLSGSARSTRFYRTKKNAPVLEKTPQFPLAVRQEAQAALTEYLHSTRSLPFSDAEHISRNSPHFLESLLKRVNPDKEVSRSVVRFLRYHPINEFEPFFESVGLSPSEYSPFLPPKMMFLNDDKLLMENYHVLCNYGVARNEIGNIYKEAPEVFRYSSGLLKLKLQSFEEVGLHRVTVAKIVCLSPHLLTGNESKDFFTVLEKLKSAGVEAGTECEWIQGQISKGNAHNWRCVCEAMCMFCKLGFSEEKLRKIICQNPELLFEASGHTTFLLIGFLLKFGYAPHDMQNVFLQIPKHKVGQFVRNLRSCYIFLVEIDMHSHDIGNIVRSHAVLLGSCSLKKAVTLLTNLRSGKKRICKMILTDPHVLKKWVVGLRVEPLQPTEDDLNSNVMKTKFLLSLGFVENSSEMEEALKAFRGKGLELQERYDCLVNSGLKPKEVAKMVKVAPHILNQSKEHIEAKIDFLINTLGYPVSSLVAFPYYISYTFERSTLRLSMYNWLKERGRVRQNLALSTLIACSDKIFLKTYVNPHPGGLYTWEKLKKQVYPD >itb01g20830.t1 pep chromosome:ASM357664v1:1:27039047:27040225:-1 gene:itb01g20830 transcript:itb01g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSRSVGTRNKPSVVELDFELRLVSFCLSFFLKSKLGSEVNKSEIKSHRKSKIQNIGSTEYRLIVSHMLNFNVRKAVKIAVGTDLIKMMNPTAVNRYKRFSKSGLAAQIATGPLPAPVNMSAFEKKNNETILVFDLGGGTFVGTTTSVVLAQGLIDEGVKVVAAGGTYLGEGQKGFCTEEVTELKKLLHNEIKIRKAAEEETNKLKDQIMKFSEPELKGGNSDIVNLQKVLEEETRQKKRLEEEVNILKSQLSHLTLHAGQIRNSPDRDGNGSLLSVLDSLSPLRHLPYKDSNNGERGAITYLHGQVGLHKILSLLESEDACVQIHAVKVIANLAAEEANQEKIVEAGGLSSLLILLRSSEDETIRRIAAGALYLRKVDLRMYSAYQGHG >itb13g05100.t3 pep chromosome:ASM357664v1:13:6009809:6011976:-1 gene:itb13g05100 transcript:itb13g05100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQNDDIMLKRNLSKRRVIGDQEKVCSNRMDYRMDAWSWEHQGSSRSGPIDDGKSDKILEIDTGKPVFLPKHRNLFHSSHLSLNSDQYSYSLTTSKDSTAHQIVHSPSYCEAQSLSPQNVGQQINESCFCTADNSPQFYSASSKGGSSRVGPFTPSKSDSRSCLSGYSDHPNYMSYTESAKAKMRSLSAPKQRPQYERSSSTKRYSIHGYGELRTNSQRGSTLQANFTSKAYPGSGRLDSFGMPVIRDQVGFSGGLCHRY >itb13g05100.t2 pep chromosome:ASM357664v1:13:6009809:6011976:-1 gene:itb13g05100 transcript:itb13g05100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLLINLTMLGNLKSRRALRALKALVRLQALVKGYLVRKHTADMLRGLQALVRAQSRARSGLPKISESPHSSTKSVQFQHPGPPTPEKFEQVIHMRSVQNDDIMLKRNLSKRRVIGDQEKVCSNRMDYRMDAWSWEHQGSSRSGPIDDGKSDKILEIDTGKPVFLPKHRNLFHSSHLSLNSDQYSYSLTTSKDSTAHQIVHSPSYCEAQSLSPQNVGQQINESCFCTADNSPQFYSASSKGGSSRVGPFTPSKSDSRSCLSGYSDHPNYMSYTESAKAKMRSLSAPKQRPQYERSSSTKRYSIHGYGELRTNSQRGSTLQANFTSKAYPGSGRLDSFGMPVIRDQVGFSGGLCHRY >itb13g05100.t1 pep chromosome:ASM357664v1:13:6009809:6013909:-1 gene:itb13g05100 transcript:itb13g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGKWFRGLLGLKKPECAANPNQNPSAKPPKRRWSFVKSNREKDGGLDKSQRGASTARLDAAVDPSKHAVAVAAATAKVAEAAIAAAQAAAAVVQLTSSGRSGGSGAAAWCGSTVNSTACINRNAGGYGIREELAAVKIQSHFRAYLSRRALRALKALVRLQALVKGYLVRKHTADMLRGLQALVRAQSRARSGLPKISESPHSSTKSVQFQHPGPPTPEKFEQVIHMRSVQNDDIMLKRNLSKRRVIGDQEKVCSNRMDYRMDAWSWEHQGSSRSGPIDDGKSDKILEIDTGKPVFLPKHRNLFHSSHLSLNSDQYSYSLTTSKDSTAHQIVHSPSYCEAQSLSPQNVGQQINESCFCTADNSPQFYSASSKGGSSRVGPFTPSKSDSRSCLSGYSDHPNYMSYTESAKAKMRSLSAPKQRPQYERSSSTKRYSIHGYGELRTNSQRGSTLQANFTSKAYPGSGRLDSFGMPVIRDQVGFSGGLCHRY >itb12g24240.t2 pep chromosome:ASM357664v1:12:25836475:25837235:1 gene:itb12g24240 transcript:itb12g24240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSNVLMQKYEVGRVLGQGNFAKVYHGRDIKSGQSVAIKVINKEKVMITDMVNQTKREISVMGLIKHPNIVQLYEVMATKSKIFLVLEFVKGGELFNKVAKGRLRENVARKYFQQLIDAVDLCHSRGVYHRDLKLENLLLDEDGNLKVSDFGLSALAESKQQDDLLHTTCGTPAYVAPELEPYGDVQENNEGRIQMPELVPTRSAQIAVEDSRSKPPH >itb12g24240.t1 pep chromosome:ASM357664v1:12:25835317:25838077:1 gene:itb12g24240 transcript:itb12g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSNVLMQKYEVGRVLGQGNFAKVYHGRDIKSGQSVAIKVINKEKVMITDMVNQTKREISVMGLIKHPNIVQLYEVMATKSKIFLVLEFVKGGELFNKVAKGRLRENVARKYFQQLIDAVDLCHSRGVYHRDLKLENLLLDEDGNLKVSDFGLSALAESKQQDDLLHTTCGTPAYVAPEVICRKGYNGEKADIWSCGVILFILLAGHLPFHSSNLMEMYRKIMKAEYRCPSWFPQEVRKLLSRILDPNPHTRISIAKIKQHSWFKRGFESRNEKHTPGEFQATLPIPNPNPNHVVLDHTPEEKQEMEKHSPTYLNAFDIISLSTGFDLSGLFVSLDQKEEVQFTSVQPRSAITGKFEEIARNLKLEVTIEGGTRMVLKGSHEGGGNVIGFGALSIDVAVYEITSSFHLIEMKRSSGNVIEYQNMLRQQIRPALEEIVWSWQGEQNNRSEIAL >itb09g13370.t1 pep chromosome:ASM357664v1:9:8706424:8707477:1 gene:itb09g13370 transcript:itb09g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCEILVAFMALACCYTATAFEPKPLQDFCVADTNSAVKVNGLVCKNPTQVEANDFFFSGLHMAGNTASTPTGSTVTPVTVAQIPGLNTLGLSLARIDYGPWGIIPPHYHPRASEIFLVIEGTIEVGFVTSNPENRLISKILNKGDVFVYPVGLLHFQRNLGKTNAVAISGLSSQSPGVVTVANAVFGSNPDIGGAVLAKAFQVDINTVSQIQSKF >itb02g13390.t1 pep chromosome:ASM357664v1:2:9433896:9437146:-1 gene:itb02g13390 transcript:itb02g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEVSDCESGISGSAHEVDSHFGCEKGLIQVDEGEQAYHTIKQKLLSGLGVLGCQTTIEGIYKSSYQGLIKEIKLKIFGIFSKAVEKKNGGSANVEFAWYGASSMDEINAILSHGFAPKINNAGSYGHGIYLSPSNFSLGCLKSAVADENGVRHLLLCRVILGKMEVVPPGSGQWHPSSEEFDSGVDDSVSPKKYIMWSTNMNTHILPQYVVSFRVPASVKGVQRNSVPLRLPNSPWISFPALVSALGKFLPPQSMKLITKYQKDHRERRITRHEMIQHVRKLAGDDLLATIIKAQRDKQKSIN >itb09g05250.t1 pep chromosome:ASM357664v1:9:2988585:2990831:-1 gene:itb09g05250 transcript:itb09g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTVLPFSRPSFSTSATQAQPFKPWNHRTSLPTRSLCHKMYVPGYGVSPEKRAANHLHDFFNFIAVKIVVAQLQRYNREAYQELMEFLERNSLNDGDKFCEKLMRESPRHKKLALRIMEVRSAYCKDDFEWEHLSSLSSKMMNEYNTRLMRDYVLETSCLEQGK >itb15g03120.t1 pep chromosome:ASM357664v1:15:1975957:1978475:-1 gene:itb15g03120 transcript:itb15g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTKEIVLFLQESEEVHWVDEIYSEQELQDDDDDEDFVATFEETECYMDDETTLSEEEELAKAEPNDVKNEIALLEMDRKIPLAELFAWYKEVCAMDGDEDEDEDEDEDEDVPVVAIEQPRSDRVTTAEPLPSDHAAVEPNLAAELLDMVASCVSYEELMRRLKARRVPVAKRQNTGESSRAHLMPPPSSAFTSVDLSARVVEPMVEILAPTLPAPATSAHWAVDLPPTASLMRGEIPIDALSLLRQVAMDDTLRATRARLEAERKARSELEMKCAMLKSRLRVLDAKHVALCTKYSELEVQRANETKEHEEALTLAVSRYRNSEAFNQDAKAYSTAHMEELVTSWITTDAGRERIAVEGELLYDVGQYTMQRDIYTVLRRRDKAFDPVPWGLPAELENPDHAASVKPMEVADGVETCPNSLCNSTTNFSRYEEDLFPELHYDPSAATLSLDQIRISEGNHALLLAAEEGQAVCPPRETW >itb14g19840.t2 pep chromosome:ASM357664v1:14:22373621:22376416:-1 gene:itb14g19840 transcript:itb14g19840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMLLVKGLLRCGKSCRLRWINYLRPDIKRGNFSHEEEDAIIKLHQALGNRWSVIAARLPGRTDNEIKNIWHTRLKKRLNDYDLVSPQPRLKSKSQPLKPFAMDLLITNGSMLTPSSPPHSSTTTSTEFHASSACSISSDCVVSDAVLQSDPPEVDESFWSQVFSSENSSDAGDLPATVDGGSRFDSTENETYETKSSVEFWHRLFSKAEDLPVSPEL >itb14g19840.t1 pep chromosome:ASM357664v1:14:22373621:22376416:-1 gene:itb14g19840 transcript:itb14g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKMGLKKGPWTKDEDEILVDYISRHGHGNWRALPKHAGLLRCGKSCRLRWINYLRPDIKRGNFSHEEEDAIIKLHQALGNRWSVIAARLPGRTDNEIKNIWHTRLKKRLNDYDLVSPQPRLKSKSQPLKPFAMDLLITNGSMLTPSSPPHSSTTTSTEFHASSACSISSDCVVSDAVLQSDPPEVDESFWSQVFSSENSSDAGDLPATVDGGSRFDSTENETYETKSSVEFWHRLFSKAEDLPVSPEL >itb13g16400.t1 pep chromosome:ASM357664v1:13:23380414:23386846:-1 gene:itb13g16400 transcript:itb13g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMNASNLDSSQHEPRKVETNPEMDDFRCSINELSTKVDNLEQRVKDVEKFYLNTITELPNSSKSTSTGKDKDMDKHIPSVKRLQQEASRREAAAAKRMHELMRQFGTIFRQITQHKWAWPFMQPVDVEGLGLDDYYEIIERPMDFSTIKNQMEVKDGTGYKHVREIYADVRLVFKNAMKYNDEKSDVHAMAKALLEKFEEKWLQFLPKVSEEEKRREEEEKEAKLRMQLAQEASHAKMARGLHNELCEVDMRLEELRGIVVKRCRKMSNVDKRKLGVALSKLSPEDLTKALEIVAQDDPSFSASSLNIDLDMDTQSESTLWRLKFFVKDALEVQCKSPSKGEKNDATDKNAAANPNNIAPLKRKGEICDALAKCTKRRNKKPAS >itb13g16400.t2 pep chromosome:ASM357664v1:13:23382020:23386804:-1 gene:itb13g16400 transcript:itb13g16400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMNASNLDSSQHEPRKVETNPEMDDFRCSINELSTKVDNLEQRVKDVEKFYLNTITELPNSSKSTSTGKDKDMDKHIPSVKRLQQEASRREAAAAKRMHELMRQFGTIFRQITQHKWAWPFMQPVDVEGLGLDDYYEIIERPMDFSTIKNQMEVKDGTGYKHVREIYADVRLVFKNAMKYNDEKSDVHAMAKALLEKFEEKWLQFLPKVSEEEKRREEEEKEAKLRMQLAQEASHAKMARGLHNELCEVDMRLEELRGIVVKRCRCVFTLNCYLKFLTTVLWFNL >itb02g15150.t1 pep chromosome:ASM357664v1:2:11002845:11003484:1 gene:itb02g15150 transcript:itb02g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKIRSKESTVRIHIYYPIKDSITRGPAGWLSGPQTEGHCILLVILGPQQSPVGFKGSSNSNLLFFDFGLTLVSDLQQILGILRFQGHFLIFSKNQSVFPQFI >itb09g02060.t2 pep chromosome:ASM357664v1:9:1241278:1245562:-1 gene:itb09g02060 transcript:itb09g02060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWSTRGLTPSTSSPSPPRRCPPSPPPLTVLLLAAAMRPGSLRSGIRGPDWRAAKSGWRRRTPWLIREILKNTQFSYTVANRNGNSVLWGVHLELKLTGRLMLHLECLNQLAQLVYFGRWSLQNTTLRTTLLWQDGGRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTQKALAAAFISNCGARNFRLQALEALEKESISIDSYGSCHRNKDGRVDKVDTLRQYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEYAPCPGSLLHIKNIEDVESVAKTMKHLAENPSAYNQSLRWKFEGPSDSFKALVDMAAVHSSCRLCIHLATKIQEKEENSTNFAKRPCKCTRGSETVYHVYVRERGRFKMESIFLRSTNLTMEAFKSAVLQKFESQNHVPIWKEERPPVLRGGDELKVYLIYPLGLTQRQALYSFRFNGDSEFRKHIESNACAKFEVIFV >itb09g02060.t1 pep chromosome:ASM357664v1:9:1241278:1245562:-1 gene:itb09g02060 transcript:itb09g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPLPIQRHPKMEGGPTSTVSPPYSTNPKKRWSNWLPLFVALVVVAEIGFLGRLDMAKNVDLVNSWADSFYQFTFTASSMSTVPAAADGFTARGGDEAWITAERDPRPGLESCEEWLEKEDTVAYSRDFEKYPIFVHGGEQEWKFCAVGCTFGAQADRTPDAAFGMPQSAGTASILRSMESAEYYAENNIAMARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTQKALAAAFISNCGARNFRLQALEALEKESISIDSYGSCHRNKDGRVDKVDTLRQYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQEYAPCPGSLLHIKNIEDVESVAKTMKHLAENPSAYNQSLRWKFEGPSDSFKALVDMAAVHSSCRLCIHLATKIQEKEENSTNFAKRPCKCTRGSETVYHVYVRERGRFKMESIFLRSTNLTMEAFKSAVLQKFESQNHVPIWKEERPPVLRGGDELKVYLIYPLGLTQRQALYSFRFNGDSEFRKHIESNACAKFEVIFV >itb09g12330.t1 pep chromosome:ASM357664v1:9:7778643:7783771:-1 gene:itb09g12330 transcript:itb09g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNHEDGEKNPSRGGNSGGAGGGGGATRSWGTTVSGQSVSTSGSVGSPSSRSEAAIATPASENTFARVTSLDNHADDAGSLGAAGKKKRGQRAAGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNLSSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSINDIEELKTERLGLRNRIDKKAAYLEELEEQYAGLQNLMKRNEQLYSSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMNFCGRPKDDSVPQNLPADGGEGSSMPNVFQLQIPNLPIQNISGRPPTSPPLPGILKARVKHEHPPPST >itb08g08720.t1 pep chromosome:ASM357664v1:8:7698519:7701227:1 gene:itb08g08720 transcript:itb08g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEDWKSLWPISSIFSPPLLLSNEGSSSSKRRRVEKNPIGPLIFNPCQETLTQLLNSPSLAPRLPPLYPDLTLPRFILTSSTNNQSIASTIASNMGPQVSDTIHNFNALQLLRCPKIKADNETMHDMVLAFFPAGDNYDQLGFTMLNLNDSKLSVQKFKDGKDFVVHSRKLNHRILRLLVNPVADYDCFYSSPGNYCYSSSNYTCIGYVMVCTMYCVHWYSVKMPKEVGSIVLDYVGCAGVKLFQGSAVVNACWSPHLSEECVVLLESGKLFLFDVSYWLKNERLLNVEGKKLSVSWDNLNENGRWLSCEFSWHPRILVVAHSSAVFLVDLRFDKCEICTLLKIELFSVGKFDRFVALSRSDSSGFCFAAASNNLLLLCDVRKPSMPVLQWAHSLQNPEYVAVFQLSELRPIIEDDNFKWASESGHCILLGSFWNCEFILFCYGPDNERGPVLSEISTVCNSFYSWGLPSELSLSGRDCFCGSCLIRRDCLKDLLPDWIDWKQKKDIILGFGILQNDLHVQWDNFEKSVGFSLIRLMSSGKLEAQRYSAAWEFDKILEAAHKESTFRIEDNFLYDIGNGENKLRKKHEYLKIDFLKDYLNGNVAKIVSRRQIELQKYAEENQSKFHQEICEKMKICSITALRSPLIISDMLKDARFPTSIHEISLKSIWGSLPMNLLALAFSASKLSDPHLKQKRATSNVGDILDKNPLPFPFGNTYEKVQSSNALVSPALPTHILILLRNQQLVERDILPVDDELRLNCDKVMEAARALQSSEPYSDNIVSLADDKDVMPNASENLNVLGIHRPTFSSPNLALENSKHMMYETFVYQKREEPISDAQNKVTAVELFDEGCPLQLKFNSCDFELTPTELDLFQQLKRQGLNFQKSFRPYQEYLINSQRFL >itb06g09300.t1 pep chromosome:ASM357664v1:6:13502189:13502900:1 gene:itb06g09300 transcript:itb06g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRRQKKNNIYYIHMQEMVTTSTTTYTQIKLFKLVWLGRQTLWRKGANFNHFHISSKTLSVPYVQRFIIIYHDLDNWSFFYFNIFGYSLIIYHDPSFMAFDSNSTINNLKILELNMTMEPIWIQFKEFKNGIHTRTK >itb10g24910.t1 pep chromosome:ASM357664v1:10:28466476:28467155:1 gene:itb10g24910 transcript:itb10g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSSFIIFVFLVSAGFLAAEARRDPGEYWDAMMNGDPMPKAITDLLSVNQDPSSSPKDRFVRDFDIKPNLIIYHSHVDVYPKKHEVVAKDVQHKKT >itb15g08330.t2 pep chromosome:ASM357664v1:15:5847767:5851799:-1 gene:itb15g08330 transcript:itb15g08330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGAALRCETTTERRVMSEDRMLAIVPPHALIKHWISVLRNEQTPCPIFKNAMAELGRLLMYEASRDWLPTITAEIQTPVAIASVEFIDPSEPVVIVPILRAGLALAEHASLILPATKIYHLGISRNEETLQPTVYLNKLPDKFPDGSRIFLVDPMLATGGTAVAALDLIKKRGVENKQIKVISAVASPPALQRLHEKFPGLHVYAGTVDPKINEKGFIIPGLGDAGDRSFGT >itb15g08330.t1 pep chromosome:ASM357664v1:15:5847714:5851799:-1 gene:itb15g08330 transcript:itb15g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGAALRCETTTERRVMSEDRMLAIVPPHALIKHWISVLRNEQTPCPIFKNAMAELGRLLMYEASRDWLPTITAEIQTPVAIASVEFIDPSEPVVIVPILRAGLALAEHASLILPATKIYHLGISRNEETLQPTVYLNKLPDKFPDGSRIFLVDPMLATGGTAVAALDLIKKRGVENKQIKVISAVASPPALQRLHEKFPGLHVYAGTVDPKINEKGFIIPGLGDAGDRSFGT >itb15g08330.t3 pep chromosome:ASM357664v1:15:5847714:5850554:-1 gene:itb15g08330 transcript:itb15g08330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGRLLMYEASRDWLPTITAEIQTPVAIASVEFIDPSEPVVIVPILRAGLALAEHASLILPATKIYHLGISRNEETLQPTVYLNKLPDKFPDGSRIFLVDPMLATGGTAVAALDLIKKRGVENKQIKVISAVASPPALQRLHEKFPGLHVYAGTVDPKINEKGFIIPGLGDAGDRSFGT >itb08g15760.t1 pep chromosome:ASM357664v1:8:17955602:17959155:-1 gene:itb08g15760 transcript:itb08g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRIRKAISTPISPIFAAEAGTVSSEPSLRAFHSRPQTVSSNQSKFEKSSNDLDDALKLFRQMAHKRPLPSVIQFNKLLSRILKLKHYSVVVSLFQEMRIKGIPISVYTINILVDVYCRSSRVDCGFCLLGVVFKCGFEFDVVTFTTLIKGLILDNKIVEAVGLFKKLVREYVCKVDQITYGVLINGLCKAGHTQNALDLLIVMQEEGPKPDTIAYSTVIDSLCKDRMVDQALGLLSEMIERGVPPDIFTYTSLIQGLCNFNRWKEVTKLMNDMVLHNVYPGVYIFNILVDALCKVGKLESAETIIQIMIQRKIYPDVVTYNTLIEGYCLQELMDEARKVFGRMVESGIQPDVRAYNTLINGYCKIKEMDEARKVFGRMVESGLQPDVMIYNILINGYCKIKEIDEAMHLFCEIPQKGFHPNVVTYNTMLQGFFLVGRCSAALDLFQEMLDAGHKPDFYTSCALLSGLGDNGLVEQAMSVYHQLDRNGNGSHVYDTIIIDKVCKMGQLNIGRNVFNDLISKGRRLDVNTYNVMINGLCRGGFLDEALELLRKMEKNDCLPNTVTYNVILQEFVREKKCHEANLLLDEMVGKGISPNHCTFFFINDLLALKTGDETVLKVIQKFAANHVK >itb09g03960.t1 pep chromosome:ASM357664v1:9:2179783:2184116:1 gene:itb09g03960 transcript:itb09g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGAASAANPNPNKSTEVAQPPSDSVSSLCFSPKANFLVATSWDNQVRCWEVMRSGTNIATVPKASISHDQPVLCSAWKDDGSTVFSGGCDKQVKMWPLAGGQPVTVAMHDAPVKEIAWVPEMSLLVTGSWDKTLRYWDLRQQNPAHVQQLPERCYALTVKHPLMVAGTADRNLIVFNLQNPQTEFKRIMSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDAQQSKNFTFKCHRENNDIYSVNSLNFHPIHGTFATSGSDGAFNFWDKDSKQRLKAMQRCSQPIPCSSFNNDGSIFAYAVCYDWSKGAENHNPATAKSYIFLHLPPESEVKGKPRIATGSRK >itb09g03960.t2 pep chromosome:ASM357664v1:9:2179783:2184116:1 gene:itb09g03960 transcript:itb09g03960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGAASAANPNPNKSTEVAQPPSDSVSSLCFSPKANFLVATSWDNQVRCWEVMRSGTNIATVPKASISHDQPVLCSAWKDDGSTVFSGGCDKQVKMWPLAGGQPVTVAMHDAPVKEIAWVPEMSLLVTGSWDKTLRYWDLRQQNPAHVQQLPERCYALTVKHPLMVAGTADRNLIVFNLQNPQTEFKRIMSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDAQQSKNFTFKCHRENNDIYSVNSLNFHPIHGTFATSGSDGAFNFWDKDSKQRLKAMQRCSQPIPCSSFNNDGSIFAYAVCYDWSKGAENHNPATAKSYIFLHLPPESEVKGKPRIATGSRK >itb05g17500.t1 pep chromosome:ASM357664v1:5:24418727:24420097:-1 gene:itb05g17500 transcript:itb05g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPNSTQFRKGKTVNVLRHTWRTTAQPPPPRIYNGPGSCKFIRSFTSREPLRSHSFSRKFPSLFLTAYSAFEKAPPLGLRSRSAALHTEQEDGNHLLLLLVFRRRIKRQLIVNSFIFHIDLCNELMESKGGKKKSSSSKSLFYEAPLGYTVEDVRPNGGIKKFRSAAYSNCARKPS >itb08g12940.t1 pep chromosome:ASM357664v1:8:13464073:13470032:1 gene:itb08g12940 transcript:itb08g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSTGGYLAGKHVFPAVNYSSSGEAVSQRLVDAAHADDLDLSMELLADPFVDVNYVGTVCLRSRRTELVLHDETATEVRVEFEEFKTEITPLFLAAHNGNATLVRKLLRDGATVNHKLFRGYATTAAVREGHAETLEILLKAGGAAQPACEEALLEACLLGRATHAHILMASQMIRPHVAIHALVTASSRGFIEFVDSLLQFGVDVNASARVLLQSSNPPLYANVNCNALAAAVVNRQVSVVHLLLQRGARADCNVRLGAWFWEVTTGEEFRVGVGLAEPYPITWCAVEYFEGTGAILKAIMLQQHYNISPHLGRTILHHALLCGNSKAVEVLLSSSGANAELGGGGLFFALHYAVQRGNLDLVESLIRKGCDVNELDREGYTPLMLAAKAGNIAMCRLLISRRARLGIENARLETALSLARNNGSSSVVEETIVDEFAREVVLEGGYVKKHTKGGRGAPHRKMLKMLGDLGELRWGKSRKRNVICEGAEVGPSSKFRWNRRKKFDADAPGVFRVMTTKNKVIHFECEGGTEMAELWVRGIKLVTREAVNIILVDRSGKPENRK >itb12g00420.t3 pep chromosome:ASM357664v1:12:358264:366198:1 gene:itb12g00420 transcript:itb12g00420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFTFDLMEPDCNSNSNLDNRQSVTPPSTSTPKEELEWIPLQNHPVFTADGVATQTSSTKMQKNLLAWDGASRLYYWDSSRQCLHRISVRLGEPDSSSVLASCPSKVLQADVQLNFEVDRISINRNGSAIFLVGMEGLCIMYLYGRTSTKENTIICRTVSAGSEIYFNGKNAIRTLQISWHPCSDTHLGILSSDSVFRIFDLSSALAQPEQEYYLQPIEHGSSCDAASICPVDFSFGGDHLWDKFSVFVLFSDGSVYVLCPVVPFGSVYKWESILELYNDANAFGLKSSNSRAVKNSNLAISWLGATFPELSHQDVQGGNAFALRAQPYALFDASVALQGPLRKISHGVEDPQVQPAICEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWKVGSPARLRVDSNDRIVGLAMISESVTSDHSILKLDLPPDDTLWLGHPPPLLRLAIVDLSLPRKSGYLISMCADPLMSERIYCLHVGGVDSVVLHFLPFTNQNSDKDESVRSPSVHPVLTTCQEESSLPSPLCGFLALSDSFGDSWVVGLTCNFQCIVLDMETWNIMPRRVVNEVGKPTSSEVSKEANKQTIISKELLTGPKVVLLPPSSPTLRSVTSDSIEGRATLHQYFKLFHENYVEYAHKVYFELQHHAPHLKRIIDEQHSRLQKAQQKLVNVEKKQEKLEDRFKCAVQRHSAIEERLQKLRSLPTAHKRSLSKAEQEFKSELDKITGVQLDALRSSIEALNARMKRYTHSPNSNQLNEQRQISARRKSHVVDNQMSQLKASLEKLSLTNSENTKKVKLVESALKTREA >itb12g00420.t2 pep chromosome:ASM357664v1:12:358264:366198:1 gene:itb12g00420 transcript:itb12g00420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFTFDLMEPDCNSNSNLDNRQSVTPPSTSTPKEELEWIPLQNHPVFTADGVATQTSSTKMQKNLLAWDGASRLYYWDSSRQCLHRISVRLGEPDSSSVLASCPSKVLQADVQLNFEVDRISINRNGSAIFLVGMEGLCIMYLYGRTSTKENTIICRTVSAGSEIYFNGKNAIRTLQISWHPCSDTHLGILSSDSVFRIFDLSSALAQPEQEYYLQPIEHGSSCDAASICPVDFSFGGDHLWDKFSVFVLFSDGSVYVLCPVVPFGSVYKWESILELYNDANAFGLKSSNSRAVKNSNLAISWLGATFPELSHQDVQGGNAFALRAQPYALFDASVALQGPLRKISHGVEDPQVQPAICEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWKVGSPARLRVDSNDRIVGLAMISESVTSDHSILKLDLPPDDTLWLGHPPPLLRLAIVDLSLPRKSGYLISMCADPLMSERIYCLHVGGVDSVVLHFLPFTNQNSDKDESVRSPSVHPVLTTCQEESSLPSPLCGFLALSDSFGDSWVVGLTCNFQCIVLDMETWNIMPRRVVNEVGKPTSSEVSKEANKQTIISKELLTGPKVVLLPPSSPTLRSVTSDSIEGRATLHQYFKLFHENYVEYAHKVYFELQHHAPHLKRIIDEQHSRLQKAQQKLVNVEKKQEKLEDRFKCAVQRHSAIEERLQKLRSLPTAHKRSLSKAEQEFKSELDKITGVQLDALRSSIEALNARMKRYTHSPNSNQLNEQRQISARRKSHVVDNQMSQLKASLEKLSLTNSENTKKVKLVESALKTREA >itb12g00420.t4 pep chromosome:ASM357664v1:12:358276:366198:1 gene:itb12g00420 transcript:itb12g00420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFTFDLMEPDCNSNSNLDNRQSVTPPSTSTPKEELEWIPLQNHPVFTADGVATQTSSTKMQKNLLAWDGASRLYYWDSSRQCLHRISVRLGEPDSSSVLASCPSKVLQADVQLNFEVDRISINRNGSAIFLVGMEGLCIMYLYGRTSTKENTIICRTVSAGSEIYFNGKNAIRTLQISWHPCSDTHLGILSSDSVFRIFDLSSALAQPEQEYYLQPIEHGSSCDAASICPVDFSFGGDHLWDKFSVFVLFSDGSVYVLCPVVPFGSVYKWESILELYNDANAFGLKSSNSRAVKNSNLAISWLGATFPELSHQDVQGGNAFALRAQPYALFDASVALQGPLRKISHGVEDPQVQPAICEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWKVGSPARLRVDSNDRIVGLAMISESVTSDHSILKLDLPPDDTLWLGHPPPLLRLAIVDLSLPRKSGYLISMCADPLMSERIYCLHVGGVDSVVLHFLPFTNQNSDKDESVRSPSVHPVLTTCQEESSLPSPLCGFLALSDSFGDSWVVGLTCNFQCIVLDMETWNIMPRRVVNEVGKPTSSEVSKEANKQTIISKELLTGPKVVLLPPSSPTLRSVTSDSIEGRATLHQYFKLFHENYVEYAHKVYFELQHHAPHLKRIIDEQHSRLQKAQQKLVNVEKKQEKLEDRFKCAVQRHSAIEERLQKLRSLPTAHKRSLSKAEQEFKSELDKITGVQLDALRSSIEALNARMKRYTHSPNSNQLNEQRQISARRKSHVVDNQMSQLKASLEKLSLTNSENTKKVKLVESALKTREA >itb12g00420.t1 pep chromosome:ASM357664v1:12:358264:366198:1 gene:itb12g00420 transcript:itb12g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFTFDLMEPDCNSNSNLDNRQSVTPPSTSTPKEELEWIPLQNHPVFTADGVATQTSSTKMQKNLLAWDGASRLYYWDSSRQCLHRISVRLGEPDSSSVLASCPSKVLQADVQLNFEVDRISINRNGSAIFLVGMEGLCIMYLYGRTSTKENTIICRTVSAGSEIYFNGKNAIRTLQISWHPCSDTHLGILSSDSVFRIFDLSSALAQPEQEYYLQPIEHGSSCDAASICPVDFSFGGDHLWDKFSVFVLFSDGSVYVLCPVVPFGSVYKWESILELYNDANAFGLKSSNSRAVKNSNLAISWLGATFPELSHQDVQGGNAFALRAQPYALFDASVALQGPLRKISHGVEDPQVQPAICEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWKVGSPARLRVDSNDRIVGLAMISESVTSDHSILKLDLPPDDTLWLGHPPPLLRLAIVDLSLPRKSGYLISMCADPLMSERIYCLHVGGVDSVVLHFLPFTNQNSDKDESVRSPSVHPVLTTCQEESSLPSPLCGFLALSDSFGDSWVVGLTCNFQCIVLDMETWNIMPRRVVNEVGKPTSSEVSKEANKQTIISKELLTGPKVVLLPPSSPTLRSVTSDSIEGRATLHQYFKLFHENYVEYAHKVYFELQHHAPHLKRIIDEQHSRLQKAQQKLVNVEKKQEKLEDRFKCAVQRHSAIEERLQKLRSLPTAHKRSLSKAEQEFKSELDKITGVQLDALRSSIEALNARMKRYTHSPNSNQLNEQRQISARRKSHVVDNQMSQLKASLEKLSLTNSENTKKVKLVESALKTREA >itb03g25250.t1 pep chromosome:ASM357664v1:3:24191366:24192390:-1 gene:itb03g25250 transcript:itb03g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQAHLALFLFLFLFFFSCLALSLLADSSENMDLAGDGGLIDSEEGEEMTMDSESSRRMLYRRLGKYVSYYSIIEMDSVPCDRRGHSYYSCMYRGPVRPYMRGCSRFTKCRSRFRR >itb15g21560.t1 pep chromosome:ASM357664v1:15:24263607:24267059:-1 gene:itb15g21560 transcript:itb15g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQAAKLRKGLFDQGYIDDQFIQLEELQDDANPNFVEEVVRLFFNDSTRQIHNIELALGSGACDFTKLDDMMHQFKGSCSSIGARKVKKECSEFQQYCDAGNVEGCRRAFQRLKQEYYTLEAKLDTYFQMAKQDS >itb12g01660.t1 pep chromosome:ASM357664v1:12:1115041:1119712:-1 gene:itb12g01660 transcript:itb12g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDDASRCVKHLNHSTKPPNPKPPKLYSIFSPSPSSHKLPLPLLQPQFPSLPLTFTRNTSICLSTPRHSGLVQDEYEEPIIGDCVVFEEGIFDDPYLRPSPRIERSISGQKKKKKKKEDSDEVEPENLVPEKWTQVQREINITKKEKRRIALEMEFGRRVERRRLLLRPIPDVEEDYSKVVDQKLKQLKPIVLDNPVFLEEEEKSDEEELSGGEEEFSVSNSRVAPRNPRRAVYGGGLDDIRNFFNSENYDPSENKTSEGLRKLFSKEEKLLLNNKFPDLAVASSGKWQPFHTFAASGEFYFTRSLLKHIIDANLPENDGLTAIHRAILAKKHAIFNFLLRESANPFIRDKDGATLMHYAVWAASSPMIKILLLYNVDINLQDEYGWTPLHLAVQSRRTDVVRLLLLKGADKTLRNRDGLTPIDLCLHSGRNIRTYELLKLLKQLPYNSKKISAS >itb07g08230.t1 pep chromosome:ASM357664v1:7:6434533:6434787:-1 gene:itb07g08230 transcript:itb07g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKVVGIFFALFLVATAVEGAYLPPNNCCRPPVQACCPPAVAARYKAAHAAAVVAGKRLPPHHPSVNKPRKIPHSKPSINLQP >itb09g01830.t1 pep chromosome:ASM357664v1:9:1111566:1115799:1 gene:itb09g01830 transcript:itb09g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSHTATQLTVSLSSCSRQETHKIRSSPKFSMRTAFSFLHTFSVSVLTRSGHWNRRGLLCTASLLRNSSATSPHLRFYPGSLVYCSTLRSSSPSASTFFEQLVYEPEESLKHEEDLSSSTEREIFNFDSSFESIELKRFDSPVVDVKELEELPEEWRRSRLAWLCKELPAHKHNTLIRILNAQRKWLRQEDATYIVCHCMRIRENETAFRVYKWMMLQHWFQFDFALATRLADYLGKERKYLKCREVYDDILNQGRVPAESTFHILVVAYLSSSGQSVVEEAFSIYSRMIQLGGYRPRLSLHNSLFKALVGKQGGSFEETLKQAEFIYHNLTTSGLQIHKDIYGGLIWLHSYQDLIDKDRIALLRTEMRLRGIEESTDVLVSVLRACSKNGDAEEAERTWSKLLSSNPSPPPQAFMFRMVTYAKIGEHMKCLEIFRLMQEELGSTAAIAYHKIIEVLSKAEKLELAESIMTEFIDSGLGPLRPSFIDMMKMYSTLGLHEKLESTFFQCLKKCRPNRKVFSIYLDLLVQIGSIDEAGEVFNQMIENTSIGVNAHCCNSILRGYLSQGEHVKAEKVYRLMHLKKYDIDSSLIEKLNFVLSLRQKVVKEPIRQKLSIEQREVMVGLLLGGLQIKSDAERKKHLVHFEFSENLKHHSVLRRHMYDKCREWLACTDKLAGDDDDDDVPWVFTTIPHSYFGFYADQFWRKGQPTIPKLIHRWLSPRVLAYWYMYSGYRTSSGDILLRLKGSQEGIENIVKAFKAKSLDCRLKRKGSSVWIGFLGDKSMWFWKLVEPFILDDLKDCLRPRGNLSDDLEGIQTIDSGSESDEKPSECSDGEM >itb01g06780.t5 pep chromosome:ASM357664v1:1:5001935:5010679:1 gene:itb01g06780 transcript:itb01g06780.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMHTILIPIILIIFYPIFLEAQSGHLPQHELNALKEIGEQMGKKDWDFKLNPCENNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSIVLKGQDLQGVLPPALVKLPFLKIIDLTRNYLNGTIPYEWASMKLEYISLTVNRLSGSIPKYLGNITTLVLLNLENNLFNGTIPPELGKLANLQKLMLAANYLTGEFPKELNVLTKLTEFRLGRNNFTGKLPSFQTLKNLQKLELQATGFEGPIPENISALTSLIQLRISDLNGGVSIFPTFNNMTGMTTLILRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQGLHDKVQYMYLMNNSLSGQIPQWVLSRGHQYYTDLSYNNFTESSVPPICNRESLNLFKSYSGGEISEAAKCLQNCTKDWYSFHINCGGGNVLIGDTTYDADDGSTGLAKFVSNRENWVTSNTGYFLDSQITLSDYTTSNISVIKGKDSEIYKTARLSPLSLTYQGRCLVNGNYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIQTEAQGVDKALVKQFQAVVTDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIIVAAISSLFLISAILYFAGWKITLRILDFRKTGSQENELQGLDLQTGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEIGIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGPEDCQLELDWATRQRICIGIAKGLVFMHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPRLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSEVVKMLEGHDDIIEYKSDLHEFNFQAMRDRYDEMTVRSSESSSKVGFSSSYAN >itb01g06780.t4 pep chromosome:ASM357664v1:1:5001935:5011241:1 gene:itb01g06780 transcript:itb01g06780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMHTILIPIILIIFYPIFLEAQSGHLPQHELNALKEIGEQMGKKDWDFKLNPCENNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSIVLKGQDLQGVLPPALVKLPFLKIIDLTRNYLNGTIPYEWASMKLEYISLTVNRLSGSIPKYLGNITTLVLLNLENNLFNGTIPPELGKLANLQKLMLAANYLTGEFPKELNVLTKLTEFRLGRNNFTGKLPSFQTLKNLQKLELQATGFEGPIPENISALTSLIQLRISDLNGGVSIFPTFNNMTGMTTLILRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQGLHDKVQYMYLMNNSLSGQIPQWVLSRGHQYYTDLSYNNFTESSVPPICNRESLNLFKSYSGGEISEAAKCLQNCTKDWYSFHINCGGGNVLIGDTTYDADDGSTGLAKFVSNRENWVTSNTGYFLDSQITLSDYTTSNISVIKGKDSEIYKTARLSPLSLTYQGRCLVNGNYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIQTEAQGVDKALVKQFQAVVTDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIIVAAISSLFLISAILYFAGWKITLRILDFRKTGSQENELQGLDLQTGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEIGIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGPEDCQLELDWATRQRICIGIAKGLVFMHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPRLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSEVVKMLEGHDDIIEYKSDLHEFNFQAMRDRYDEMTVRSSESSSKVYACGLICQRII >itb01g06780.t1 pep chromosome:ASM357664v1:1:5001935:5011241:1 gene:itb01g06780 transcript:itb01g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMHTILIPIILIIFYPIFLEAQSGHLPQHELNALKEIGEQMGKKDWDFKLNPCENNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSIVLKGQDLQGVLPPALVKLPFLKIIDLTRNYLNGTIPYEWASMKLEYISLTVNRLSGSIPKYLGNITTLVLLNLENNLFNGTIPPELGKLANLQKLMLAANYLTGEFPKELNVLTKLTEFRLGRNNFTGKLPSFQTLKNLQKLELQATGFEGPIPENISALTSLIQLRISDLNGGVSIFPTFNNMTGMTTLILRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQGLHDKVQYMYLMNNSLSGQIPQWVLSRGHQYYTDLSYNNFTESSVPPICNRESLNLFKSYSGGEISEAAKCLQNCTKDWYSFHINCGGGNVLIGDTTYDADDGSTGLAKFVSNRENWVTSNTGYFLDSQITLSDYTTSNISVIKGKDSEIYKTARLSPLSLTYQGRCLVNGNYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIQTEAQGVDKALVKQFQAVVTDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIIVAAISSLFLISAILYFAGWKITLRILDFRKTGSQENELQGLDLQTGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEIGIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGPEDCQLELDWATRQRICIGIAKGLVFMHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPRLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSEVVKMLEGHDDIIEYKSDLHEFNFQAMRDRYDEMTVRSSLCMWLDLPKDYIK >itb01g06780.t6 pep chromosome:ASM357664v1:1:5001935:5010679:1 gene:itb01g06780 transcript:itb01g06780.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMHTILIPIILIIFYPIFLEAQSGHLPQHELNALKEIGEQMGKKDWDFKLNPCENNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSIVLKGQDLQGVLPPALVKLPFLKIIDLTRNYLNGTIPYEWASMKLEYISLTVNRLSGSIPKYLGNITTLVLLNLENNLFNGTIPPELGKLANLQKLMLAANYLTGEFPKELNVLTKLTEFRLGRNNFTGKLPSFQTLKNLQKLELQATGFEGPIPENISALTSLIQLRISDLNGGVSIFPTFNNMTGMTTLILRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQGLHDKVQYMYLMNNSLSGQIPQWVLSRGHQYYTDLSYNNFTESSVPPICNRESLNLFKSYSGGEISEAAKCLQNCTKDWYSFHINCGGGNVLIGDTTYDADDGSTGLAKFVSNRENWVTSNTGYFLDSQITLSDYTTSNISVIKGKDSEIYKTARLSPLSLTYQGRCLVNGNYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIQTEAQGVDKALVKQFQAVVTDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIIVAAISSLFLISAILYFAGWKITLRILDFRKTGSQENELQGLDLQTGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEIGIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGPEDCQLELDWATRQRICIGIAKGLVFMHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPRLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSEVVKMLEGHDDIIEYKSDLHEFNFQAMRDRYDEMTVRSSESSSKVGFSSSYAN >itb01g06780.t3 pep chromosome:ASM357664v1:1:5001935:5011241:1 gene:itb01g06780 transcript:itb01g06780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMHTILIPIILIIFYPIFLEAQSGHLPQHELNALKEIGEQMGKKDWDFKLNPCENNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSIVLKGQDLQGVLPPALVKLPFLKIIDLTRNYLNGTIPYEWASMKLEYISLTVNRLSGSIPKYLGNITTLVLLNLENNLFNGTIPPELGKLANLQKLMLAANYLTGEFPKELNVLTKLTEFRLGRNNFTGKLPSFQTLKNLQKLELQATGFEGPIPENISALTSLIQLRISDLNGGVSIFPTFNNMTGMTTLILRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQGLHDKVQYMYLMNNSLSGQIPQWVLSRGHQYYTDLSYNNFTESSVPPICNRESLNLFKSYSGGEISEAAKCLQNCTKDWYSFHINCGGGNVLIGDTTYDADDGSTGLAKFVSNRENWVTSNTGYFLDSQITLSDYTTSNISVIKGKDSEIYKTARLSPLSLTYQGRCLVNGNYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIQTEAQGVDKALVKQFQAVVTDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIIVAAISSLFLISAILYFAGWKITLRILDFRKTGSQENELQGLDLQTGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEIGIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGPEDCQLELDWATRQRICIGIAKGLVFMHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPRLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSEVVKMLEGHDDIIEYKSDLHEFNFQAMRDRYDEMTVRSSLCMWLDLPKDYIK >itb01g06780.t2 pep chromosome:ASM357664v1:1:5001935:5011241:1 gene:itb01g06780 transcript:itb01g06780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMHTILIPIILIIFYPIFLEAQSGHLPQHELNALKEIGEQMGKKDWDFKLNPCENNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSIVLKGQDLQGVLPPALVKLPFLKIIDLTRNYLNGTIPYEWASMKLEYISLTVNRLSGSIPKYLGNITTLVLLNLENNLFNGTIPPELGKLANLQKLMLAANYLTGEFPKELNVLTKLTEFRLGRNNFTGKLPSFQTLKNLQKLELQATGFEGPIPENISALTSLIQLRISDLNGGVSIFPTFNNMTGMTTLILRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQGLHDKVQYMYLMNNSLSGQIPQWVLSRGHQYYTDLSYNNFTESSVPPICNRESLNLFKSYSGGEISEAAKCLQNCTKDWYSFHINCGGGNVLIGDTTYDADDGSTGLAKFVSNRENWVTSNTGYFLDSQITLSDYTTSNISVIKGKDSEIYKTARLSPLSLTYQGRCLVNGNYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIQTEAQGVDKALVKQFQAVVTDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIIVAAISSLFLISAILYFAGWKITLRILDFRKTGSQENELQGLDLQTGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEIGIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGPEDCQLELDWATRQRICIGIAKGLVFMHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPRLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSEVVKMLEGHDDIIEYKSDLHEFNFQAMRDRYDEMTVRSSESSSKVYACGLICQRII >itb14g18390.t2 pep chromosome:ASM357664v1:14:21432016:21436587:1 gene:itb14g18390 transcript:itb14g18390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPQSSAGGGSPPKPWERAGSSSGPAPFKPSSPGNTSDVVEASGTANPGEIVTAADRNTAVNRNAVARPVPSRPWEQQQQYGSTYGGYGSGLNYNSGYGTGTYSSYGSGGMYGGGLYGNNMYRGGYGGLYGGGMYGGGMYNSGLGGQMGGYGMGMGMGMGMGGPYGEQDPNNPFGAPSSPPGFWVSLMRVMQGVVTFFGRVAILIDQNTQAFHMFMTALLQLFDRSGLLYGELAAFVLRILGVKTKPKKVHHPGAEGLPGPRNPHGNQNFIEGPKAGPTGGWDNVWGNNPNS >itb14g18390.t1 pep chromosome:ASM357664v1:14:21432016:21436587:1 gene:itb14g18390 transcript:itb14g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNPQSSGGGSPPKPWERAGSSSGPAPFKPSSPGNTSDVVEASGTANPGEIVTAADRNTAVNRNAVARPVPSRPWEQQQQYGSTYGGYGSGLNYNSGYGTGTYSSYGSGGMYGGGLYGNNMYRGGYGGLYGGGMYGGGMYNSGLGGQMGGYGMGMGMGMGMGGPYGEQDPNNPFGAPSSPPGFWVSLMRVMQGVVTFFGRVAILIDQNTQAFHMFMTALLQLFDRSGLLYGELAAFVLRILGVKTKPKKVHHPGAEGLPGPRNPHGNQNFIEGPKAGPTGGWDNVWGNNPNS >itb06g12480.t1 pep chromosome:ASM357664v1:6:17076563:17077332:-1 gene:itb06g12480 transcript:itb06g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGEDNKLSEMVRDFLESDSSFISAYNYNKNSSDQPRPLEIIHHHHLSLQEILENVTEAETEILGKIMSYWREEAAGMKMEPKELRKWMVSRLRMDGYDASLCKTSSAHSSSDKYKFRGDYEYIDVMMKSSESEREQLRVIVDTDLRSQFEVARPTEAYKELSSFLPCIFVGTEHRLHTIISLLCEAAKQSLKESGLHVPPWRKATYMQSKWLSQNCNKIIPFSPL >itb01g31330.t1 pep chromosome:ASM357664v1:1:35107221:35108061:-1 gene:itb01g31330 transcript:itb01g31330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRVVAVMMLALMAGSAFAQAPGAAPAASPKRSPSPVASPPQEVPAPRSSPVSSPAQTPSAAAPSESPLSSPPAPPTGTPAVSPVSSVANPPSIAQTPAGDAPSSPPNAAALNRVALAGSAGLAFVAAALLF >itb15g23700.t1 pep chromosome:ASM357664v1:15:26474487:26484887:1 gene:itb15g23700 transcript:itb15g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTEIQDVATKAEGQIGSKLRLLYLAANANSNAKGGDECLVEEACQQLYQTMQQVLKDIKAVEVRILMLKPNLLSSSTNDFQGDNIMVGVYSPSPSDLIPEDTPIIGLDPEAWEVVKMLIYKGSPLKREVIAMCGLGGIGKTTLAKMVYEHREVRHYFHIRAWTVVSREHNVKEMLIHLLDCILPVTTEISNKDEVELAEQLRKSLVANANGGDECFVEEACQQLYQTIQQVLKDIKAVEVRILMLKPNFLFTSSTNDIKEENIIFGVSSPRPSDLIPQDTPIVGFDNDARTLVDWLTNGLMERRVIPIWGMGGLGKTTLAKMVYEDPEVRYHFHIRAQAVVSREHNVKEMLIQLLDCILPVTTEISNKGEAELAEQLRKSLVGGGGVADRDSEKKKKRRRRMKKKKKKKEEEEEEVATMTMAVRRRKEEEGKESE >itb15g05480.t1 pep chromosome:ASM357664v1:15:3518170:3529921:-1 gene:itb15g05480 transcript:itb15g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCGMGAEEQLQKCSQWALEYMDYCLCSSKDGVSLVLGALSVLSWTVAEVPQIMTNFREKSTEGLSCWFLLTWIIGDLCNIFGCLLEPATLPTQTYMAVFFTLTTFVLSGQALYYSHIYPKLKSNRRWHEVSEDGAYEKRTEHNGTENKKSNHVNRLKDGKSLSAYGALPSSPIPLPNCSLSASSERDLYYMSARSLSMSHTPPVGSFPSHRTLNTDAEQDSFATPLLGEVEPVHSSPAPKTKSMLCVASLLTCFLGGWKRHQRKSTNSGLILQKQAGEVVLFGRKLLQESGGFLLNSQSNQTSGIAALLGWGMAIIYIGGRLPQIRLNFRRGNVEGLNPLMFMFALFGNITYVASILVSSLDWSKIGPNMPWLVDASGCVLLDTLILIQFFYYRNRVSDKVVIKHENGSP >itb15g05480.t3 pep chromosome:ASM357664v1:15:3518170:3529815:-1 gene:itb15g05480 transcript:itb15g05480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCGMGAEEQLQKCSQWALEYMDYCLCSSKDGVSLVLGALSVLSWTVAEVPQIMTNFREKSTEGLSCWFLLTWIIGDLCNIFGCLLEPATLPTQTYMAVFFTLTTFVLSGQALYYSHIYPKLKSNRRWHEVSEDGAYEKRTEHNGTENKKSNHVNRLKDGKSLSAYGALPSSPIPLPNCSLSASSERDLYYMSARSLSMSHTPPVGSFPSHRTLNTDAEQDSFATPLLGEVEPVHSSPAPKTKSMLCVASLLTCFLGGWKRHQRKSTNSGLILQKQAGEVVLFGRKLLQESGGFLLNSQSNQTSGIAALLGWGMAIIYIGGRLPQIRLNFRRGNVEGLNPLMFMFALFGNITYVASILVSSLDWSKIGPNMPWLVDASGCVLLDTLILIQFFYYRNRVSDKVVIKHENGSP >itb15g05480.t2 pep chromosome:ASM357664v1:15:3518170:3525221:-1 gene:itb15g05480 transcript:itb15g05480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCGMGAEEQLQKCSQWALEYMDYCLCSSKDGVSLVLGALSVLSWTVAEVPQIMTNFREKSTEGLSCWFLLTWIIGDLCNIFGCLLEPATLPTQTYMAVFFTLTTFVLSGQALYYSHIYPKLKSNRRWHEVSEDGAYEKRTEHNGTENKKSNHVNRLKDGKSLSAYGALPSSPIPLPNCSLSASSERDLYYMSARSLSMSHTPPVGSFPSHRTLNTDAEQDSFATPLLGEVEPVHSSPAPKTKSMLCVASLLTCFLGGWKRHQRKSTNSGLILQKQAGEVVLFGRKLLQESGGFLLNSQSNQTSGIAALLGWGMAIIYIGGRLPQIRLNFRRGNVEGLNPLMFMFALFGNITYVASILVSSLDWSKIGPNMPWLVDASGCVLLDTLILIQFFYYRNRVSDKVVIKHENGSP >itb15g05480.t4 pep chromosome:ASM357664v1:15:3518170:3525036:-1 gene:itb15g05480 transcript:itb15g05480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCGMGAEEQLQKCSQWALEYMDYCLCSSKDGVSLVLGALSVLSWTVAEVPQIMTNFREKSTEGLSCWFLLTWIIGDLCNIFGCLLEPATLPTQTYMAVFFTLTTFVLSGQALYYSHIYPKLKSNRRWHEVSEDGAYEKRTEHNGTENKKSNHVNRLKDGKSLSAYGALPSSPIPLPNCSLSASSERDLYYMSARSLSMSHTPPVGSFPSHRTLNTDAEQDSFATPLLGEVEPVHSSPAPKTKSMLCVASLLTCFLGGWKRHQRKSTNSGLILQKQAGEVVLFGRKLLQESGGFLLNSQSNQTSGIAALLGWGMAIIYIGGRLPQIRLNFRRGNVEGLNPLMFMFALFGNITYVASILVSSLDWSKIGPNMPWLVDASGCVLLDTLILIQFFYYRNRVSDKVVIKHENGSP >itb15g05480.t5 pep chromosome:ASM357664v1:15:3518170:3529661:-1 gene:itb15g05480 transcript:itb15g05480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCGMGAEEQLQKCSQWALEYMDYCLCSSKDGVSLVLGALSVLSWTVAEVPQIMTNFREKSTEGLSCWFLLTWIIGDLCNIFGCLLEPATLPTQTYMAVFFTLTTFVLSGQALYYSHIYPKLKSNRRWHEVSEDGAYEKRTEHNGTENKKSNHVNRLKDGKSLSAYGALPSSPIPLPNCSLSASSERDLYYMSARSLSMSHTPPVGSFPSHRTLNTDAEQDSFATPLLGEVEPVHSSPAPKTKSMLCVASLLTCFLGGWKRHQRKSTNSGLILQKQAGEVVLFGRKLLQESGGFLLNSQSNQTSGIAALLGWGMAIIYIGGRLPQIRLNFRRGNVEGLNPLMFMFALFGNITYVASILVSSLDWSKIGPNMPWLVDASGCVLLDTLILIQFFYYRNRVSDKVVIKHENGSP >itb12g08060.t2 pep chromosome:ASM357664v1:12:6213824:6219609:1 gene:itb12g08060 transcript:itb12g08060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEWCVEKRGSFRNESFRDNDNVPETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLQSNEVHIFGYARSKISNNDLKERISGYLSQGKENAEEVSDFLQLIEYISGGYDSEEGFQTLDKAIYEHEISRNSTEGSARRLFYLALPPSVYPPVCRMIKSYCMNKSDLGGWTRIVVEKPFGKDLASSEELSAQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIANVQIVFREDFGTDGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPISLKPEHIRDEKVKVLQSVVPIKDEEVILGQYEGYRDDPTVPDNSNTPTFATMVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKRGRNEFVIRLQPSEAMYMKLMVKQPGLEMSTAQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKVAWEIFTPLLHRIDDGELKPIPYKAGSRGPVEADDLLRKAGYVQTHGYIWIPPTL >itb12g08060.t3 pep chromosome:ASM357664v1:12:6214018:6219627:1 gene:itb12g08060 transcript:itb12g08060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEWCVEKRGSFRNESFRDNDNVPETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLQSNEVHIFGYARSKISNNDLKERISGYLSQGKENAEEVSDFLQLIEYISGGYDSEEGFQTLDKAIYEHEISRNSTEGSARRLFYLALPPSVYPPVCRMIKSYCMNKSDLGGWTRIVVEKPFGKDLASSEELSAQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIANVQIVFREDFGTDGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPISLKPEHIRDEKVKVLQSVVPIKDEEVILGQYEGYRDDPTVPDNSNTPTFATMVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKRGRNEFVIRLQPSEAMYMKLMVKQPGLEMSTAQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKVAWEIFTPLLHRIDDGELKPIPYKAGSRGPVEADDLLRKAGYVQTHGYIWIPPTL >itb12g08060.t1 pep chromosome:ASM357664v1:12:6213664:6219732:1 gene:itb12g08060 transcript:itb12g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEWCVEKRGSFRNESFRDNDNVPETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLQSNEVHIFGYARSKISNNDLKERISGYLSQGKENAEEVSDFLQLIEYISGGYDSEEGFQTLDKAIYEHEISRNSTEGSARRLFYLALPPSVYPPVCRMIKSYCMNKSDLGGWTRIVVEKPFGKDLASSEELSAQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIANVQIVFREDFGTDGRGGYFDEYGIIRDIIQNHLLQVFCLVAMEKPISLKPEHIRDEKVKVLQSVVPIKDEEVILGQYEGYRDDPTVPDNSNTPTFATMVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKRGRNEFVIRLQPSEAMYMKLMVKQPGLEMSTAQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKVAWEIFTPLLHRIDDGELKPIPYKAGSRGPVEADDLLRKAGYVQTHGYIWIPPTL >itb15g22140.t1 pep chromosome:ASM357664v1:15:24842905:24850084:1 gene:itb15g22140 transcript:itb15g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSEEKENEEPLLITSSQTSKGGFRTFPFIIGNSALLFLAIYALTPDMILYLMKEYNMDMASGSNVLYLWSAALNFTPVIGALMADSFVGRFQMIGLGSALCLLGMAMFWSTTVIPQLRPPPCSENDNVCTSATKFQLLTLFTSFIVVALGSGAARSCSLAFGADQLQDLQKTTTGSMERYFGWYYAISSFSVLVAMTVLVYIQENMGWEIGYGVLVVIMLISLVVFFLGSSFYVKPKVKESLIVGLIQVAVASYRKRNLKCCEGNSSEVAYHQKGSSLLLPTEKLRFLNKACIIQDPQRDLTSDGKPTDPWNLCTVDQVEELKALLKVTPIWFTGVIMSINVSQGSFGTLQATTMDRRIGSTFEIPAGSVGMFAIVSVVLWIVLYDRFIIPLASRAMRKPVRFSTKSRMGCGIFVSFLSVVVAATVETIRRRRAINEGFANNPDEVVGMSVLWLVPNNLLAGFAEGLSAVAQNEFYISEFPKSMSSIASSLFLLGMGFASLLASLVMNAINDFTGGGEESWISSNINKGHYDYYQWILAGLSVVNLLLFFVCSRAYGPCKDENMEVLALGREDQP >itb05g22740.t1 pep chromosome:ASM357664v1:5:28045355:28054819:1 gene:itb05g22740 transcript:itb05g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNMDQFEAYFRRADLDQDGRISGAEAVSFFQGANLPKQVLAQIWMYADQSQTGFLSRQEFYNALKLVTVAQSKRELTPDIVKAALFGPASAKIPAPQINLAAIPGPQPNNMSNSPVPSVGAGVPAAGPSSGTRGPQVFQPQQSQVARPPRPPAPSTTFQSHPAVSGPGVPVGSTMTSSNSPISPDMNGGRTSGSQPGVTPQLSYRGINPKSHDGFGLVASGSTPPQSKPQDAALPGHHPPAKDSKTHQATGNGFSSNSLFGDVFSATSIQPNQASKPPKSSASSVSISSSPNPVSSGSQQKVKSNSIDSLQNMHSKQPAGYQYQQTPSSVKQYQHVPLQTSNAIPGGAGNTPSSQSQLPWPRMTQADVQKYSKVFVAVDTDRDGKITGEQARNLFLSWKLPREILRQVWDLSDQDNDSMLSLREFCISLYLMERYREGRPPPPVLPTSIMLDEAMAASGQPTAVHSGAAWRHTPGIPQPQGTKGTHQAAPGSFGKPPRPVPISQPDEARQPTQQKPKPKVPVLEKHLVEQLSSEEQDSLNSKFQEATEAEKKVAELEKEIMDAKEKIQFFHAKMQELILYKSRCDNRLNEITERTSADKKEVELFAKKYEEKYKQTGDVASKLTIQEATFRDIQEKKMELYQAIVKMDQDGNADSTKDRANHIQKDLEELIKSLNERCKTYGLRAKPTSLLELPFGWQPGIQEGAADWDGDWDKFEDEGFTSLKELTLDVQNVIAPSKTKSSLILEKVSSGDARETGKSRLDADVGAENLSSPVKSTVVDEVTSVHSDDQRARSPPESPSKSNAFDSPSKELREFQPRKEFNFDGSPHAMQGDHGDAESVFSSDKGFDESGWGTFDTNYDSDAAWDFNPVASKNADNETQKENSLFGFDDWGLAPIKTGSKYAVDTVPKLGPSFDSVPSTPSYNTGAPPAGDVLPKQSLFFDSVPSTPSYNAPTQAGDKFSKQMPFFDSVPSTPSYNAGFTQAGDAFSKQSSFFDSVPSTPNYNAGFTQAGDTFSKQGSFFDSVPSTPSYNTGFSYTENAFSKQSPFFDSVPSTPAYSSNLHADDMFQRKSSFADSVPSTPMYGSTNSPRRFSEGPEEFSRDFSRFDSFSSHDGSNLFAPDASFSRFDSMRSTKDSEYDHGLFPPRDSLARFDSFRSTADSEYTFGNPPPRDSFARFDSFRSTKDSEYGHGFASFDDADPFGSSGPFKTSFESETPRRDSDSWKAF >itb12g13150.t2 pep chromosome:ASM357664v1:12:11904209:11906717:1 gene:itb12g13150 transcript:itb12g13150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFRKIFGFLFYLHLFLVTILTIFLTVRGMIFAANNHRSFRPREWYSPILASTACGGIIALAWPAIVFRPSRAIMAVLWLSPLLTLGLAILLIYIGTTGSSAAGALALISAFIQSLYSCWVNPRIEYATRVISISTTSSYNYWCMVLLSIAISTLYSLVAIAGIGGARATATRLDVVFIVAIVLSFIWTLQVIKNTLQVTVSHIKYFEIAAAIQLDSKEVFLNYTAKNSMGSIVFASILVPILTVIRGTARAVSQVSGDVDEFLFSCSSCSSGIASCLVAYGNRWGFLRVGVHNKGIVQASSETWEMFRRAGMEQLINSDLTTSICFFCGVSGGAACSLLGGSWAFAMHKTYATEISLFAFLIGYLMSRVTMALLQACISAYYIAYAENPQSQRFDNTIPAYIQRLQRYGT >itb12g13150.t1 pep chromosome:ASM357664v1:12:11904209:11906717:1 gene:itb12g13150 transcript:itb12g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRDLNASQVSHPQDPRQASSTSRNNKQVEEVNNTDMTFFRKIFGFLFYLHLFLVTILTIFLTVRGMIFAANNHRSFRPREWYSPILASTACGGIIALAWPAIVFRPSRAIMAVLWLSPLLTLGLAILLIYIGTTGSSAAGALALISAFIQSLYSCWVNPRIEYATRVISISTTSSYNYWCMVLLSIAISTLYSLVAIAGIGGARATATRLDVVFIVAIVLSFIWTLQVIKNTLQVTVSHIKYFEIAAAIQLDSKEVFLNYTAKNSMGSIVFASILVPILTVIRGTARAVSQVSGDVDEFLFSCSSCSSGIASCLVAYGNRWGFLRVGVHNKGIVQASSETWEMFRRAGMEQLINSDLTTSICFFCGVSGGAACSLLGGSWAFAMHKTYATEISLFAFLIGYLMSRVTMALLQACISAYYIAYAENPQSQRFDNTIPAYIQRLQRYGT >itb02g02140.t1 pep chromosome:ASM357664v1:2:1181188:1181727:-1 gene:itb02g02140 transcript:itb02g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEVDSADSFSEALSGCMDDLQRNLEILNSFTVGMEAGKQKKKRKREIEAWLEEVEKTRGEVVELQERSAGMNIRDCVARLNATVEGLIQKWNHLREMFVDGDERNGENTANSANVSPQDLQRIWACLSIDF >itb07g00250.t1 pep chromosome:ASM357664v1:7:207844:211868:1 gene:itb07g00250 transcript:itb07g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGASERSLDQTPTWAVALTFERKRKRALYEALQKIKGELMVLGFISLLLTFGQNYIAKICIPASAANTMLPCPAKYATREDGGGHEDGHHRRLLWYEHRLLLDDSSPQGCKPIRGWKEWEEEITSGKTSYDPSKIRFTKEISFIRENTRFMPHTPVLFYMYEFGSDSCFHESFHLAMARVAFGIGVQFMCSYITLPLYALVTQMGSSMKQSIFDEQTSKALMKWRNKAKHKNHHHHHHVTREHGSIKVRKLGGSKSSGHLPDAAAAGKSSSIDDASSASGHTIEIHEDHQEGNNDDHSNVVVDDDDNGNSMPTNNNTSLIDS >itb05g24070.t1 pep chromosome:ASM357664v1:5:29005892:29011336:-1 gene:itb05g24070 transcript:itb05g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYAALILACFFSLASPDAQGDALYALKGSLNASSGQLPDWNVNQVNPCTWSKITCDDSYNVIMVSLSNMGFSGTLSPKIGALRTLNTLSLQGNGITGKIPEEVGNLTSLTMLDLDNNRLSGEIPPSLGNLKKLQFLFLSQNNLTGTIPQSLSSLPSLINLQLDSNGLTGQIPERLFEVPKYNFTGNHLNCGFNSSRRCESDNGGAPSKTKTGMVVGIVVGFVGFVLIVGLLLFFFRGRHKGYRREVFVDVAGEVDRRIEFGQLKRFLWTELQIATDNFSEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYESPGGDAAFHREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEAVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREKRLHAIVDRNLNKNYNIVEVEMMIQVALLCTQASPEERPAMSVVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSIYNQDAIELSGGR >itb12g08240.t4 pep chromosome:ASM357664v1:12:6404697:6406719:1 gene:itb12g08240 transcript:itb12g08240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSYFRHWIRSSSKRMRVMAVVSDGSSNEVPQDADYPSLSYELESLILARFPRSEYWKLCFVNKRCLALLRTGELFKIRREIGFKEPSVFMLASGESSWWSFDGEFRCRRKLPVLPSDFCFTSGDKESLCAGTHLLVSGKEIDGLVVWRYELATNEWFKGPCMENPRCLFASATCGNSAFVAGGVGMAANSPVYDTAEKYNPENRSWEPLPRMKTRRKMCSGCYMDNRFYVIGGRNDQGELTCGEFFDEARNRWEVIPDMLKDDPVRTCHSPPLVAVVNNELYSLEASTNQLKVYLKKSNTWKHLGAVPVRADFNRGWGVAFKSLGNELLVIGASSVSYSGNCMAIYTCCPNPDASQLQWRPLDGGRNQLSHFILNCSVMVA >itb12g08240.t3 pep chromosome:ASM357664v1:12:6403874:6406478:1 gene:itb12g08240 transcript:itb12g08240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSYFRHWIRSSSKRMRVMAVVSDGSSNEVPQDADYPSLSYELESLILARFPRSEYWKLCFVNKRCLALLRTGELFKIRREIGFKEPSVFMLASGESSWWSFDGEFRCRRKLPVLPSDFCFTSGDKESLCAGTHLLVSGKEIDGLVVWRYELATNEWFKGPCMENPRCLFASATCGNSAFVAGGVGMAANSPVYDTAEKYNPENRSWEPLPRMKTRRKMCSGCYMDNRFYVIGGRNDQGELTCGEFFDEARNRWEVIPDMLKDDPVRTCHSPPLVAVVNNELYSLEASTNQLKVYLKKSNTWKHLGAVPVRADFNRGWGVAFKSLGNELLVIGASSVSYSGNCMAIYTCCPNPDASQLQWRPLDGGRNQLSHFILNCSVMVA >itb12g08240.t2 pep chromosome:ASM357664v1:12:6403753:6406719:1 gene:itb12g08240 transcript:itb12g08240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSYFRHWIRSSSKRMRVMAVVSDGSSNEVPQDADYPSLSYELESLILARFPRSEYWKLCFVNKRCLALLRTGELFKIRREIGFKEPSVFMLASGESSWWSFDGEFRCRRKLPVLPSDFCFTSGDKESLCAGTHLLVSGKEIDGLVVWRYELATNEWFKGPCMENPRCLFASATCGNSAFVAGGVGMAANSPVYDTAEKYNPENRSWEPLPRMKTRRKMCSGCYMDNRFYVIGGRNDQGELTCGEFFDEARNRWEVIPDMLKDDPVRTCHSPPLVAVVNNELYSLEASTNQLKVYLKKSNTWKHLGAVPVRADFNRGWGVAFKSLGNELLVIGASSVSYSGNCMAIYTCCPNPDASQLQWRPLDGGRNQLSHFILNCSVMVA >itb12g08240.t1 pep chromosome:ASM357664v1:12:6403753:6406719:1 gene:itb12g08240 transcript:itb12g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSYFRHWIRSSSKRMRVMAVVSDGSSNEVPQDADYPSLSYELESLILARFPRSEYWKLCFVNKRCLALLRTGELFKIRREIGFKEPSVFMLASGESSWWSFDGEFRCRRKLPVLPSDFCFTSGDKESLCAGTHLLVSGKEIDGLVVWRYELATNEWFKGPCMENPRCLFASATCGNSAFVAGGVGMAANSPVYDTAEKYNPENRSWEPLPRMKTRRKMCSGCYMDNRFYVIGGRNDQGELTCGEFFDEARNRWEVIPDMLKDDPVRTCHSPPLVAVVNNELYSLEASTNQLKVYLKKSNTWKHLGAVPVRADFNRGWGVAFKSLGNELLVIGASSVSYSGNCMAIYTCCPNPDASQLQWRPLDGGRNQLSHFILNCSVMVA >itb12g20430.t1 pep chromosome:ASM357664v1:12:22910164:22911028:1 gene:itb12g20430 transcript:itb12g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDIKSFSNEISTLTNLRHRNIVKLYGFCAHNRHSFLIYEYLQGGSLAQILSDDEKALQVGWLERINVVKAVAKALSYMHHDCLPPIIHRDISSNNILFDSEHEAHVSDFGTARFLSLDSSNWTSIAGTMGYTAPEFAYTAEVNCKCDVYSFGVVTLEVLMGKHPGDLVTYISSSSLSATAGMLLMDLLDPRLSTPKKHDAQQLVLLANIGVSCMNSNPQCRPTMQQVSMMLSKERDFPDFSPEITLCQLFGLEFRNP >itb15g12820.t1 pep chromosome:ASM357664v1:15:10750364:10756299:-1 gene:itb15g12820 transcript:itb15g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTIEPLIHHSFRRTPKFCLNHRQPSPSFCNCKHRPQRKPLAVRASSTVIETPDVVFKETLDLKRRPPIKICSGTGSGHEILCQGFNWESHKCGRWYLELQEKAELLSSLGFTIVWLPPPTDSVSPEGYMPKDLYNLNSRYGSIDELKVIVRRFHEVGIRVLGDAVLNHRCASFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGENFHAAPNIDHSQEFVRKDLKEWLWKKLVMMDGDLILFGDSGRIVDWINATNGNAAAFDITTKGILHSAIERCEYWRLSDTRGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHIFSGYQSQIGSLLSLRKRNKIHCRSKVKITKAERDVYAAMIDEKLVVKIGPGYYEPPSGPHNCSLALQGSDYKTRSTAVAQGGVTAFSISVPPIGHVSRGVVALYLFVAIQTTPDWPSLRASDWLCPDLHRRHLVNCEIAISFSSRRFEGDGNSIVLIN >itb12g17250.t1 pep chromosome:ASM357664v1:12:19211924:19214552:1 gene:itb12g17250 transcript:itb12g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLCTNTLFFVFFFFFYLTVTCSAFTSQDYSDALHKSILFFQGQRSGKLPADQDQRLNWRGNSALSDGSAENVDLVGGYYDAGDNIKFGLPMAFTTTLLAWSVIEFGNDGSMNNQLENAKAAIRWSTDYLLKAATASPDTLYVQVGDAEADHKCWERPEDMDTPRSIYKVTPQNPGSDVASETAAALAAASIVFKDSDPAYSSQLFTTATKVFDFADKYRGAYSDSLSSAVCLFYCSTGYHDELLWGAAWLYKASEDSSYQDYIQSNGQTLGATEDVYSLSWDDKRPGTKILLSQMFLEKNTQALELYKEHSDNYICGIIQDSPMVKVQYTPGGLLFIREGSNLQYVTSTSFLLLTYANYLSSSGQVVNCGASTITADKLVALAKRQVDYILGDNPTGMSYMVGYGQRYPQHLHHRGSSIPSRKDHPDHIGCNDGFQYLSSGSPDPNILVGAVVGGPDNMDNFADDGNNYKQSEPTTYINAPFVGALAFFSANA >itb03g08270.t1 pep chromosome:ASM357664v1:3:6198402:6202784:1 gene:itb03g08270 transcript:itb03g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGGITASSLAITEKKPQRTGGCVGIFFQLFDWNRRFAKKKLFSKKLLPPVRLKQGSKKFGGDEKQPKHRLIADENSGGFPNIIKSNSKGCSVYSEKKHEMRSPGLVARLMGLDSMPAVPKEKPKKVSFNESAGNKEEKFGCDTGRFEGEEQSFVKMGAKQELRPQKLQKTGLSERRPVTRFGAEALQIKSMLSRSRKHHPKLATPLKSPRNVAGRNASRLIGVATKILEPGMQKSRGKYALPCSDTLRRPSAVLQEHPMSSTPGNSEFSSYYTGAANALKGTSCQHCGHSLDILDSIPSQEEEPSIIPSPVSNCIEHCQDSERSMPRVPVFYSQEQKERAHQGPLYSAAVEEELCSAGGRFEKNPVNRGQMPQQLTSQECQPSHGSSHGPVCRTQKPNQMFPGRDRVPQRARSSSLQSNRVTSAANSVNETKNFVALNKALNGSSTRMRMPTKSDSCAFESERRFCNRRTESLSPVRKRRSVNVTRQGESSEFTNFNLGKGLSGSSNSMSGKESVHPIRSMNRAYTDRKSPYPQEIGQNGSRQKVNDIVSFTFKSPVKQKAEVQGEAIGRRFQTNSCSDRTPGKLVLKENNGSICSEEPPFALRGDTLGAILEQKLKELTCQEVELAEGGTTSRKTTAVILQELISALTAERQFHEDNFIVRSSGKSGISHPDNTHHDYTPTKFQATAKSATTPAAYNRDINHFSPGSVLEGSFSNNSYVSSSLDGNSISGDKLVSDSLYFCDESCSFNPDTDLLDSATSLATGKCYRTLVSDLVNNITAVLQKINLFNGDLRGSKLDYAKEILLNAELVLGNAAWSSSPLDTGFSVTQFLLEELETLASVMLMRFDDLLGLENAKHGSQLKQFIFDCVLEVLDSKVSRYSKSGFRAWTKLPPCMNTEILLFEILGEVKLWTAMTGLIHDDKIEWDMSHSLGKWTDFEVEVFENGAEIDRQILQNLVDEVVMDFCTVL >itb09g03550.t1 pep chromosome:ASM357664v1:9:1965945:1967008:1 gene:itb09g03550 transcript:itb09g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPNPSFLLLFLITLSAVAIGTIQTEARHLLETTLPELPKPEIPSLPKPEVPEIPKPKLPEIPKLELPQFPKPQIPEIPKPQMPGIPKPNMLEIPKPQVPEIPKPKVPEIPQPKMPEIPKPQVPEIPKPQMQEIPKPKMPEIAKPQVPKVPKPQVPEIPKPKMPEIAKPQVPEVPKPQVPEIPKLKMPEIPKSQVPDVPKPQVPEIPKPKMPKISKPQVPEIPKPEVPEIPQPKMLEIPKPQVPEIPKPQVPEIPQPKMPEIPKPQVPEIPKPQVPEIPQPIPKPNLPEIPKPQVPELPKPQVPEIPKPELPTIPKPQVPEIPKPEQPAFPKPELPKKP >itb07g22860.t1 pep chromosome:ASM357664v1:7:27356349:27358650:-1 gene:itb07g22860 transcript:itb07g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRCIYPPPNYSPSPSSSSSSSSPFGASMGMVFADMGSLSIDPKNGGTQILQEASLPKNTEIGGGEGRGCWGFPSFLKGGLEDHHHHNHNHHQNHQNQNNSDGDEGGKGSDCSDGFGENSEPIIDLNAAVLSGEDHHHHHQPIMHVGGNNGKEAESTGQSKLCARGHWRPAEDAKLKELVAIYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKMGQLVYRRMEEDDEIATPNNGGGGGGAAAARDHGGNSGGGSGGGVMRTTSIGPISSTQFGGVGSNNNGGWMVYGGANGSPHMVASSEAIPTNNGHHHHHPPPPFSALCAPQQPPFDPFPGQCTNQMVGMLNQGRYWGKPHHHDHHHILGHHPPPPPPPLMMTPMQQQQQQYYNFPYSISPDFSSRPPEPHPPPPAAAAAGDDRKGGGGNSSTTCDAISPPFIDFLGVGAT >itb07g22860.t2 pep chromosome:ASM357664v1:7:27356349:27358650:-1 gene:itb07g22860 transcript:itb07g22860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRCIYPPPNYSPSPSSSSSSSSPFGASMGMVFADMGSLSIDPKNGGTQILQEASLPKNTEIGGGEGRGCWGFPSFLKGGLEDHHHHNHNHHQNHQNQNNSDGDEGGKGSDCSDGFGENSEPIIDLNAAVLSGEDHHHHHQPIMHVGGNNGKEAESTGQSKLCARGHWRPAEDAKLKELVAIYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKMGQLVYRRMEEDDEIATPNNGGGGGGAAAARDHGGNSGGGSGGGVMRTTSIGPISSTQFGGVGSNNNGGWMVYGGANGSPHMVASSEAIPTNNGHHHHHPPPPFSALCAPQQPPFDPFPGSIPLSPHFP >itb15g07710.t1 pep chromosome:ASM357664v1:15:5274893:5278875:-1 gene:itb15g07710 transcript:itb15g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSWFRIVVLLACCIMFPAIVECRVRHYKFDVVMKNMTRMCSSKPAVTVNGKFPGPTIYAREGDTVLIRVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPISPGHSYVYNFTITGQRGTLFWHAHILWLRSTVHGALVILPKLGVPYPFPKPDHEAVVLLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPVANCSTQGGYRLSVEAGKRYMLRVINAALNEELFFKIAGHKMTVVEVDATYVKPFKADTIVIAPGQTTNVIVTADQGSGQYMVAASPFMDAPVAVDNQTATATLHYTGTLATGPTTLTTAPPKNATPVANTFVDALRSLNSKQFPANVPQRVDHSLFFTVGLGVNPCPSCKPANGSRVVASINNVTFVMPTTALLQAHFFGTKGVFTTDFPANPPNAFNYTGAGPANLQTTSGTKLYRLAYNSTVQLVLQDTGIIAPENHPIHLHGFNFFAVGKGLGNFNPKTDPKNFNLVDPVERNTIGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPKDLPKC >itb14g05270.t1 pep chromosome:ASM357664v1:14:4620503:4621126:1 gene:itb14g05270 transcript:itb14g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGRTWQACLINGQRKKSSRGDQSTHRRPALEQKMRVAMVNEKGVVPQRRQKGQVLLNLAVKWSLCW >itb14g02990.t1 pep chromosome:ASM357664v1:14:2698297:2699385:-1 gene:itb14g02990 transcript:itb14g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSVSAIGFEGYEKRLEIVFSEPGIFSDPDGKGLRSLSRAQLDEFLGPAECTIVSSLSNEFVDSYVLSESSLFVYPYKIIIKTCGTTKLLLAIPPILKLAETLSLSVQSVRYTRGSFIFPGAQSFPHRSFSEEVAVLDSYFGNLGLGSQAIVLGQSDGPQKWHVYTASAVAMLSTKPVYTVEMCMTGLDHEKARVFYKNETCSAALMTVNSGIRKILPESDICDYEFDPCGYSMNSIEGAALSTIHVTPEDGFSYASFEAVGYDLEAVKVSTMIERALGCFEPSEFSVAVHADVAGKLLDQNCSLDVKGYCLGEKSVEEFGIGCGSVIYQKFVRTAFACGSPKSVLQSCWKQEDKEEKQ >itb12g26860.t2 pep chromosome:ASM357664v1:12:27569322:27570550:1 gene:itb12g26860 transcript:itb12g26860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYLIGVIDKSTVPLKRTYVPPPQAPYNPDKTPEFVIKILQFLVPLLILGLAFAVRHYTKEK >itb12g26860.t1 pep chromosome:ASM357664v1:12:27568377:27570446:1 gene:itb12g26860 transcript:itb12g26860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQKVHVFEEVAQHNKTKDCWLIIDGKVYDVTPFMEDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYLIGVIDKSTVPLKRTYVPPPQAPYNPDKTPEFVIKILQFLVPLLILGLAFAVRHYTKEK >itb08g17670.t1 pep chromosome:ASM357664v1:8:20425580:20431165:-1 gene:itb08g17670 transcript:itb08g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIIIKEQEQKMRLRGLDAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAEKLLERGIHPIRIAEGYEMASRIAVGHLERIAHKFEFGANDIEPLVQTCMTTLSSKIVNRCKRNLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKAFGTTKDRMIYIEHCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISSSIAVEAAADKYPGVEQYAIRAFADALDAVPMALAENSGLQPIETLSAVKSQQIQENNPCCGIDCNDVGTNDMREQNVFETLIGKQQQVLLATQVVKMILKIDDVITPADY >itb05g21920.t1 pep chromosome:ASM357664v1:5:27515040:27517427:1 gene:itb05g21920 transcript:itb05g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFKFLLIPVIFGVTTGVAAQMQPATNIASGSMLYPDRHPTSWSSPSGLFAFGFYQQGDGFRVGIWLQGAMPQRTVVWAADRDAPPVPSDSYLEFATGKLILWTKGGRKEIVDPPETAATPTASMLDSGNFVIYDKTGVVWQSFDFPTDTILVGQHLVLNNRLVSSVSTSDTSSGRFYLGMQGDGNLVAYPLNSLSRPEDSYWANPIQTRGEFGKVNEAFVSIDPSGQLFMNVSNDIHFEVKSIANSSNEDTSNRTVIYRASLDPDGILRLYSHRFGGTDNSTVIEWPARQNQCQVKGFCGVNSYCTAIKGGEGDCSCFPGFQYFNPKVKYQGCYRGFIYEELCRKEDPNLSYNITLIKNLTLGGYPFSYLSMVEGDCQKSCQEDCTCWAAQYVDGTCSKFKLPLIYSTLDQNNKPVMAIIKQLHNDSQLVTISGETGDKDGTRKRIILILSVVLGFLAFLFSLIAIFSFVFYRNRAIQYQKLSEKANLGLNEEFTLRSFSYSELDRATDGFKEELRHSDFGKVYKGTISDGDKTVAVKRLEKSGDEGENEFRAEITAIGQTYHRNLVQLLGFCLEGSRKLLVYEFMSNGTLADIIFNTTMRPFWKQRVKLARDIARGICYLHEECETCIIHCNIRPQNILVDDSWTAKISEFGLAKLLTQPETGNPTVVNWTNGYLAPELQTNALITEKVDVYSYGIMLLEIICCRSYLEVNVTTADEMLLSTWVSKCFVEDNLQQLVGEEEIDMKSLERMVKVGLLCIQDNPALRHSMKGVVLMLDGTMDIPLCLPASTP >itb10g23000.t1 pep chromosome:ASM357664v1:10:27603028:27604256:1 gene:itb10g23000 transcript:itb10g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNYTSAPLLLLLCLFAAGFRASSAALVKEEPLVLKYHNGTLLKGTVTVNLVWYGKFTPAQRAIIVDFLQSLNSLKSAAPSAASWWKITGKFKGGGASTVVVGKQILVENYPLGKSLKNYQIAYLASKGGSFGGGANGVNLVLTAKDVYVDGFCMSRCGTHGSTRGKTRSAFAWVGNSETQCPGYCAWPFHQPIYGPQTPPLVAPNADVGVDGMIISIATVLAGAVTNPFNNGYFQGPATAPLEAVSACTGIFGSGSYPGYPGQVLVDKATGASFNAYGVNGRRFLIPAMWDPQKSACSPLV >itb01g07300.t2 pep chromosome:ASM357664v1:1:5510042:5511411:-1 gene:itb01g07300 transcript:itb01g07300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPQIDTNPFLIFKATDERNTLFRSILTNSSNLSPSCKEQVLATLLQNQELLDDLSTKESRNLLALAQGICATPWPIEESDDEINEAELQAALEASKKADHVEAR >itb01g07300.t1 pep chromosome:ASM357664v1:1:5509471:5511411:-1 gene:itb01g07300 transcript:itb01g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTPRMTRSKSAFGSADAAASVSPIIDPASPPASIKIEKFKPILRDSSLIREWTTHSSRGVLLQKEIDVDDIMRHCNIIPLLKDQDLLQTVQNVGPCSEWLTAEFYSNLSADSTSQESTLFHKAYVRGKWYDFSLEIINKFYNRAALSDRCNPGLDTLAAALTHNKLTAWPKGNLSSQQLTAVYSVLFRLAMSN >itb08g14190.t1 pep chromosome:ASM357664v1:8:15926737:15930879:1 gene:itb08g14190 transcript:itb08g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQFHTHLSPYIYCLTRFRDSFLPAPSLSPPCARFLSLDPSHRNTFQVSPEEREMGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb04g01820.t1 pep chromosome:ASM357664v1:4:1067483:1071706:1 gene:itb04g01820 transcript:itb04g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMRRLKSITSGRSSVSDPGGDSNIKRVKVEQEVDQRATGEPQPKEESTTALRQDAASISQGTTTDVLNVAGVEKSGYDELPKEMNEMKIRDENIDNHEGNVKEMEPAVVSGNGTEVGQIIVTTVGGQNGQQKQNLSYMAERVIGSGSFGVVFQANCLETGDTVAIKKVLQDRRYKNRELQIMRLVDHPNVVQLKHCFYSTTEKKEMYLNLVLEYVPETIYRVSRHYCRMNQPMPIIYVQLYTYQICRALNYLHSIIGVCHRDIKPQNILVNSHTHQLKLCDFGSAKKLVRGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVMAELLLGRPLFPGESSIDQLVEIIKILGTPTREEIKLMNPNYTEFKFPQIKAHPLHKVFHKRMPPEAVDLISRLLQYSPTLRCTALEACAHPFFDSLREPNACLPNGKPLPALFNFTPQELASAPAELRNRLIPEHMSKSIC >itb01g17510.t1 pep chromosome:ASM357664v1:1:22244566:22248639:-1 gene:itb01g17510 transcript:itb01g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFALVVADSSAVHSILVHKSNEDKIMILDSHKLMGASGEAGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNIILAGYDKETGPSLYYIDYIATLHKVDKAAFGYGSYFSLAMMDRHYKNDMTLEEAIDLADKCIMEIRSRLVVAPPNFVIKIADQNGAREYAWRESIKDAHVPPPVAA >itb10g21870.t3 pep chromosome:ASM357664v1:10:26915975:26922033:1 gene:itb10g21870 transcript:itb10g21870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAMGLGKIRLGLRTRIMGCICSKGGGPMKKLAKKHSKGGKDKEAKRQSSKRNCRKEEIVLEMENGNLDITPLIISDEKSVGPAPQGGGGLEEKEKKRVVRKMKKHHTMDVGGGGLEPQINRMFSVRNGVDGAQVVAGWPSWLTAAAGEAIKGWVPRKADSFEKLDKIGEGTYSSVYRALDLDTGTIVALKKVRFVNMDPESVHFMAREILILRRLDHPNVMKLEGLVTSRVSGQLHLVFEYMEHDLGGLAASPDVKFDESQIKCYMQQLFRGLEHCHTRGVLHRDIKGSNLLIDNNGTLKIGDFGLATFYRANQVQPLTSRVVTLWYRPPELLLGATNYRVNVDMWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSDDFWKKSKLQNATIFKPKKTYERRIAETFKDLSPSSLSLLDSLLSLEPERRGTASSALENEFFTTAPLPCDPSALPKYPPCKEYDARMRDEMLRRQNGSGGKDSEGAAPAPGDLAKLQAAIKKWQGQLKLKKRGGMSEVDNSRRCGGSELRRHVSFKARGGVGQLPMFCNSAAPHTASIGLDLCREDISVNSHWHRLAMKYNRVIETESVHHYQNDEQQPRKDNMMGYVPQSGHIHYSGPLIPAGGNIDEMLKEHEKQVQNAVRKARLDKTSKAQKGNIDYGQTESLLQYVGNPR >itb10g21870.t2 pep chromosome:ASM357664v1:10:26915975:26922033:1 gene:itb10g21870 transcript:itb10g21870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAMGLGKIRLGLRTRIMGCICSKGGGPMKKLAKKHSKGGKDKEAKRQSSKRNCRKEEIVLEMENGNLDITPLIISDEKSVGPAPQGGGGLEEKEKKRVVRKMKKHHTMDVGGGGLEPQINRMFSVRNGVDGAQVVAGWPSWLTAAAGEAIKGWVPRKADSFEKLDKIGEGTYSSVYRALDLDTGTIVALKKVRFVNMDPESVHFMAREILILRRLDHPNVMKLEGLVTSRVSGQLHLVFEYMEHDLGGLAASPDVKFDESQIKCYMQQLFRGLEHCHTRGVLHRDIKGSNLLIDNNGTLKIGDFGLATFYRANQVQPLTSRVVTLWYRPPELLLGATNYRVNVDMWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSDDFWKKSKLQNATIFKPKKTYERRIAETFKDLSPSSLSLLDSLLSLEPERRGTASSALENEFFTTAPLPCDPSALPKYPPCKEYDARMRDEMLRRQNGSGGKDSEGAAPAPGDLAKLQAAIKKWQGQLKLKKRGGMSEVDNSRRCGGSELRRHVSFKARGGVGQLPMFCNSAAPHTASIGLDLCREDISVNSHWHRLAMKYNRVIETESVHHYQNDEQQPRKDNMMGYVPQSGHIHYSGPLIPAGGNIDEMLKEHEKQVQNAVRKARLDKTSKAQKGNIDYGQTESLLQYVGNPR >itb10g21870.t1 pep chromosome:ASM357664v1:10:26915975:26922033:1 gene:itb10g21870 transcript:itb10g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAMGLGKIRLGLRTRIMGCICSKGGGPMKKLAKKHSKGGKDKEAKRQSSKRNCRKEEIVLEMENGNLDITPLIISDEKSVGPAPQGGGGLEEKEKKRVVRKMKKHHTMDVGGGGLEPQINRMFSVRNGVDGAQVVAGWPSWLTAAAGEAIKGWVPRKADSFEKLDKIGEGTYSSVYRALDLDTGTIVALKKVRFVNMDPESVHFMAREILILRRLDHPNVMKLEGLVTSRVSGQLHLVFEYMEHDLGGLAASPDVKFDESQIKCYMQQLFRGLEHCHTRGVLHRDIKGSNLLIDNNGTLKIGDFGLATFYRANQVQPLTSRVVTLWYRPPELLLGATNYRVNVDMWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSDDFWKKSKLQNATIFKPKKTYERRIAETFKDLSPSSLSLLDSLLSLEPERRGTASSALENEFFTTAPLPCDPSALPKYPPCKEYDARMRDEMLRRQNGSGGKDSEGAAPAPGDLAKLQAAIKKWQGQLKLKKRGGMSEVDNSRRCGGSELRRHVSFKARGGVGQLPMFCNSAAPHTASIGLDLCREDISVNSHWHRLAMKYNRVIETESVHHYQNDEQQPRKDNMMGYVPQSGHIHYSGPLIPAGGNIDEMLKEHEKQVQNAVRKARLDKTSKAQKGNIDYGQTESLLQYVGNPR >itb04g09910.t1 pep chromosome:ASM357664v1:4:9152783:9154176:1 gene:itb04g09910 transcript:itb04g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEIQSSLPSPFPLFFPLSLRFSCSGPCPSVAASLTSRQSPPRFASRPLPASAVRRSPLARRPPSPSVARPSFPGCATALYSSVDCSSALPKPNEDR >itb04g09910.t2 pep chromosome:ASM357664v1:4:9152783:9154427:1 gene:itb04g09910 transcript:itb04g09910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEIQSSLPSPFPLFFPLSLRFSCSGPCPSVAASLTSRQSPPRFASRPLPASAVRRSPLARRPPSPSVARPSFPGCATALYSSVDCSSALPKPNEDR >itb04g09910.t3 pep chromosome:ASM357664v1:4:9152783:9154427:1 gene:itb04g09910 transcript:itb04g09910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEIQSSLPSPFPLFFPLSLRFSCSGPCPSVAASLTSRQSPPRFASRPLPASAVRRSPLARRPPSPSVARPSFPGCATALYSSVDCSSALPKPNEDR >itb01g19730.t1 pep chromosome:ASM357664v1:1:26008514:26011170:1 gene:itb01g19730 transcript:itb01g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MTSTQFSQDHKIQFLFPIALASLLVLGAVRFCLDNLKSNQFHLLWQSGFSQIRFLRVPINVSADEVIEDGCNLFEGKWVWDNVSYPLYTEESCPYLVKQVTCQRNGRPDSFYQNWRWQPNGCKLPRYNALKMLEMLRDKRLMFVGDSLQRGMFESMVCLVQSAIPDGRKSLRRVPPRKIFTIEDFNATIEYYWAPFIIESISDHATNHTVLKRMVKLDSIEKHSKQWEGADILLFESYVWWMYKPFINATYGSPDNVREYNVTTAYRLALETWANWIESAINPRRQKVFFVTMSPTHLWDWEWKAGSDGNCFNETHPIEGSYWGTGSNLEIMGIVKEVTEKLQVSIRLLNITQLSEYRKDAHTSIFGERKGKLLTKEQRSDPKNFADCIHWCLPGVPDTWNEIFYAILLQDYRKH >itb11g02040.t1 pep chromosome:ASM357664v1:11:1023137:1029154:1 gene:itb11g02040 transcript:itb11g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGETKGKSLKTMGGQVCQICGDSVGTAVDGEPFVACDVCSFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAIHGEGGDDGDADDGASDLHYSSQNHNEKLKISERMLSWHMTYGRGEDNEAPKYDKEVSHNHIPLLTNGTDVSGELSAASPERFSMASPGLGGGMKRIPHSTDANQSPNIRVVDPTREFGSPGLGNVAWKERVDGWKMKQDKNAVPLTTSRPPSERGGGDIDTVTDILVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVPNAFALWLLSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEWYFCQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKPKKKKTGFLASCCGGSRKSSSKSSKKGSDKKKSSKHVDPTVPIFNLEDIEEGVEGAGFDDEKSLLMSQVSLEKRFGQSSVFVASTLMENGGVPQSATPENLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERLSYVNTTIYPVTAIPLLIYCTLPAVCLLTGKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVQECGINC >itb06g00810.t3 pep chromosome:ASM357664v1:6:1926023:1932588:1 gene:itb06g00810 transcript:itb06g00810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEKDVLHEMVKIVKKKPDFHVKEKILSLIDTWQEAFGGARARHPQYFAAYQELLRMGAVFPQRSERSAPVFTPPQSHPLASYPQNVRNPESREDAAETSTEAEFPTLSLSEIQNARGIMDVLAEMLNALDPGNKEGLKQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLTLNDDLQRVLAKHEAIASGASPAQADKLKQPEPAKGLLNVDAPLIDTGDNKQTDQGSTSSASLGAQLLLPAPPSANGQSITPTKSGPKMDLLSGDDFGSPAAENSLALVPVGVVEAQPANQVPQQNALVLVDMFSETSNPQSTYTVGQTHPSSSQFPQQQNFQSPQQSFYTNGTASGTMLPQQEQSPYLQGSNAAWNGQMTQQPQPQPQPQPQQPSPVYGAETTGALPPPPWEAEATNDGEMSGSPLTQTVQNNQAFGGSPHALPTQNNQFVGSSPQALQMQNNQLGGSPTIQNTQLGSSLQPTVPMQNAYGFQSYGKEQVGGMYAQPMTGANPVMNSHGMQSNQMAGFHPQPIQGGQSMGMFPQQMPSGQMAYMYPQQMYSNQMTGYGYGYGYGQQQNSQFLEQRMSGLSVRDDGVLKSSSYQLSTPSYVPSGKPSKPEDKLFGDLVDISKFKPAKGPSGRAGSM >itb06g00810.t2 pep chromosome:ASM357664v1:6:1926023:1932588:1 gene:itb06g00810 transcript:itb06g00810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWAMNIEICDICNHDPAQAKDIVKGIKKRLGSKNSKVQLLALTLLETIVKNCGDIVHMHVAEKDVLHEMVKIVKKKPDFHVKEKILSLIDTWQEAFGGARARHPQYFAAYQELLRMGAVFPQRSERSAPVFTPPQSHPLASYPQNVRNPESREDAAETSTEAEFPTLSLSEIQNARGIMDVLAEMLNALDPGNKEGLKQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLTLNDDLQRVLAKHEAIASGASPAQADKLKQPEPAKGLLNVDAPLIDTGDNKQTDQGSTSSASLGAQLLLPAPPSANGQSITPTKSGPKMDLLSGDDFGSPAAENSLALVPVGVVEAQPANQVPQQNALVLVDMFSETSNPQSTYTVGQTHPSSSQFPQQQNFQSPQQSFYTNGTASGTMLPQQEQSPYLQGSNAAWNGQMTQQPQPQPQPQPQQPSPVYGAETTGALPPPPWEAEATNDGEMSGSPLTQTVQNNQAFGGSPHALPTQNNQFVGSSPQALQMQNNQLGGSPTIQNTQLGSSLQPTVPMQNAYGFQSYGKEQVGGMYAQPMTGANPVMNSHGMQSNQMAGFHPQPIQGGQSMGMFPQQMPSGQMAYMYPQQMYSNQMTGYGYGYGYGQQQNSQFLEQRMSGLSVRDDGVLKSSSYQLSTPSYVPSGKPSKPEDKLFGDLVDISKFKPAKGPSGRAGSM >itb06g00810.t1 pep chromosome:ASM357664v1:6:1926023:1932588:1 gene:itb06g00810 transcript:itb06g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEKDVLHEMVKIVKKKPDFHVKEKILSLIDTWQEAFGGARARHPQYFAAYQELLRMGAVFPQRSERSAPVFTPPQSHPLASYPQNVRNPESREDAAETSTEAEFPTLSLSEIQNARGIMDVLAEMLNALDPGNKEGLKQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLTLNDDLQRVLAKHEAIASGASPAQADKLKQPEPAKGLLNVDAPLIDTGDNKQTDQGSTSSASLGAQLLLPAPPSANGQSITPTKSGPKMDLLSGDDFGSPAAENSLALVPVGVVEAQPANQVPQQNALVLVDMFSETSNPQSTYTVGQTHPSSSQFPQQQNFQSPQQSFYTNGTASGTMLPQQEQSPYLQGSNAAWNGQMTQQPQPQPQPQPQQPSPVYGAETTGALPPPPWEAEATNDGEMSGSPLTQTVQNNQAFGGSPHALPTQNNQFVGSSPQALQMQNNQLGGSPTIQNTQLGSSLQPTVPMQNAYGFQSYGKEQVGGMYAQPMTGANPVMNSHGMQSNQMAGFHPQPIQGGQSMGMFPQQMPSGQMAYMYPQQMYSNQMTGYGYGYGYGQQQNSQFLEQRMSGLSVRDDGVLKSSSYQLSTPSYVPSGKPSKPEDKLFGDLVDISKFKPAKGPSGRAGSM >itb10g16520.t1 pep chromosome:ASM357664v1:10:22844234:22846229:1 gene:itb10g16520 transcript:itb10g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQNTAKVAEGSSEVLPHQTSKLWIILMCLIDKTVFRLWRFFVQAWDIGCKEPRKVIHGLKVGIALSVASLVYYMRPLYDGVGGTAMWAVLTVVIVFEYTVGATISKCLNRIVGTFLAGFLALGIHWFASKLGAKMEPVIAGTSVFLLVSAATFSRFIPSVKKRFEYGTLIFILTFSLVSISGYRVEELFSLAHHRVSTIIIGTSLCTLSTMLVFPVWAGEELHLSITSNMDKLANSLDCCVAEYFGDCGETSKSSQEHAKNVAACRSVLNSKGTEDIMANFARWEPAHGQFNFQHPWKQYLKIGTSIRACACCIETLNSCINQETKGSECVKKALSEACKELGSRTSSILRELASEIKTGSTFPRVDILIQEKDSAIQEIQERLKSISVSVIPRPASSGTKLSVNDEEEEKDSVLAPCNNAAIPLAEAIPVVAFASLTIEVAMRIERVVSEVKELARMAKFKAGDSEMSKENGCNNV >itb08g04550.t1 pep chromosome:ASM357664v1:8:3731027:3737026:-1 gene:itb08g04550 transcript:itb08g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNEDFCSEYAELKPEEASFFDCLRILFSPELGGTDCVDTNSVPAAGHLSFRSRWLIFISVVAQKILIYLKDPLAWLGSALEIFLNYPSFNGGVFWLVLNFLTGKIVRPETTSENFRSFIANMDVRVDLDRKIKNGDSRYAPLLSIMAAKLSYENEAFVQRVVTNNWKMEFLEFYNFENDYADDKSTQAIMFQDKAEDPNLVVVAFRGTEPFNADDWRTDLDLSWYKLEGVGKLHAGFMRALGLQQNKGWPKEIERGPGKKQFAYYEIREKLREILRTNENAKFVVTGHSLGGALAILFAAVLIIQEEEELLGKMEGVYTFGQPRVGDVEFGDYMVEKLKKYRVKYFRYVYANDMVPRLPYDDKTYFFKHFGSCIYYNSLYNAQVLEEEPNKNYFSLLWVMPKMMNAGFELMRGFMLPWINGGDYREGWVLTLGRMAGLLIPGISDHGPEDYVNLTRLGTPPESLQFPETVQQQGLKRD >itb13g03910.t2 pep chromosome:ASM357664v1:13:3924982:3928286:-1 gene:itb13g03910 transcript:itb13g03910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMSNLKLGVDVISAHNLLPKDGQGSSNAFVELYFDGQKFRTTIKEKDLHPVWNESFYFNISDPSTLHVRALEAYVYNTIRSTQSRSFLGKVTISGTSFVPLPDAVVLHYPLEKRSVFSHVRGELGLKVYVTNDPSIKSSTPISGDNNIAHQVLHSQSVQSPVSEVVSHVKSEARHTFHHLPNPNHPQQHHEPPPVPIPHRDQVPRDQETKYDAGEMKAVEPRPPKLVHVHSTSMAQPVDYALKETSPFLGGGRVVGGRVIRTDRTVGCTYDLVEKMYFLFVRVVKARELPAMDVTGSLDPFVEVRVGNYKGITNHIEKQQNPHWNVVFAFSRERMQASVLEVVVKDKDLVKDDFVGIVRFDLNEVPMRVPPDSPLAPEWYRLEEKKGGKIKGELMLAVWLGTQADEAFPDAWHSDSALSISTAASTLIRSKVYHAPRLWYVRVNVVEAQDLFPTEKNRFPDVFVKAQIGNQVMKTKPVQARSFNPLWNEDLLFVAAEPFEDHLVLTVEDRVGPGKDEILGRAIIPLSAVERRADDRMIPSRWFHLEKPVAVDVDQLKKDKFSSRLHLRLCLDGGYHVLDESTHYSSDLRPTAKQLWKPPIGILELGILNAVGLHPMKTREGKGSSDTYCVAKYGHKWVRTRTVVDNLFPKYNEQYTWEVFDPATVLTVGVFDNSQLGDKGPNGSSSNKDLKIGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCTSFVNMLYIYSRPLLPKMHYVRPFTVMQLDVLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRMMSVFSGVFAVGKWFGDICMWRNPITTVLVHILFLMLVSFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISQAEAVHPDELDEEFDTFPTSRNPEVVRLRYDRLRSVAGRIQTVVGDVATQGERFQSLLSWRDPRATAIFVTSCLVVALVLYVTPFQIIAALIGIYAMRHPRFRYRLPSVPINFFRRLPARTDSML >itb13g03910.t1 pep chromosome:ASM357664v1:13:3924982:3928286:-1 gene:itb13g03910 transcript:itb13g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMSNLKLGVDVISAHNLLPKDGQGSSNAFVELYFDGQKFRTTIKEKDLHPVWNESFYFNISDPSTLHVRALEAYVYNTIRSTQSRSFLGKVTISGTSFVPLPDAVVLHYPLEKRSVFSHVRGELGLKVYVTNDPSIKSSTPISGDNNIAHQVLHSQSVQSPVSEVVSHVKSEARHTFHHLPNPNHPQQHHEPPPVPIPHRDQVPRDQETKYDAGEMKAVEPRPPKLVHVHSTSMAQPVDYALKETSPFLGGGRVVGGRVIRTDRTVGCTYDLVEKMYFLFVRVVKARELPAMDVTGSLDPFVEVRVGNYKGITNHIEKQQNPHWNVVFAFSRERMQASVLEVVVKDKDLVKDDFVGIVRFDLNEVPMRVPPDSPLAPEWYRLEEKKGGKIKGELMLAVWLGTQADEAFPDAWHSDSALSISTAASTLIRSKVYHAPRLWYVRVNVVEAQDLFPTEKNRFPDVFVKAQIGNQVMKTKPVQARSFNPLWNEDLLFVAAEPFEDHLVLTVEDRVGPGKDEILGRAIIPLSAVERRADDRMIPSRWFHLEKPVAVDVDQLKKDKFSSRLHLRLCLDGGYHVLDESTHYSSDLRPTAKQLWKPPIGILELGILNAVGLHPMKTREGKGSSDTYCVAKYGHKWVRTRTVVDNLFPKYNEQYTWEVFDPATVLTVGVFDNSQLGDKGPNGSSSNKDLKIGKVSPPVSTPHEHEDIPS >itb08g10190.t1 pep chromosome:ASM357664v1:8:9592317:9592568:-1 gene:itb08g10190 transcript:itb08g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPASKSPIPNPFFSGSASPLHRPQPQTTPRWFFSSPSPLAAAMPNALRPQRYSGQADKQLLPRAVRPHLSLALQPISPLRLC >itb04g05160.t1 pep chromosome:ASM357664v1:4:3176663:3177319:-1 gene:itb04g05160 transcript:itb04g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVALLDAGVRMAARFNSHCPQTSRMYYHPPAKHEQNHYRDDDDRRRAFAFQNYRRLQDDGVSGSGGAVGDNVSAADGAGTGLILLTSGL >itb02g05770.t1 pep chromosome:ASM357664v1:2:3573599:3578685:-1 gene:itb02g05770 transcript:itb02g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAETINARDVCIVGVARTPMGAFLGSLSSLPATKLGSIAIESALKRASVDPSLVEEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYFAEARKGSRLGHDSLVDGMLKDGLWDVYNNCGMGVCAELCAETHKLSREDQDDFAIQSFERGIAANNAGAFAWEIVPVEVSGGRGKPSTIVDKDEGLGKFDASKLRKLRPSFKEDGGSVTAGNASSISDGAAALVLVSGKKAIELGLTVIAKITGYADAAQAPELFTTSPALAIPKAIKNAGLEASQVDYYEINEAFAAVALANQKLLGINADKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKNGKYGVGGICNGGGGASALVLELL >itb02g05770.t2 pep chromosome:ASM357664v1:2:3573599:3578685:-1 gene:itb02g05770 transcript:itb02g05770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAETINARDVCIVGVARTPMGAFLGSLSSLPATKLGSIAIESALKRASVDPSLVEEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYFAEARKGSRLGHDSLVDGMLKDGLWDVYNNCGMGVCAELCAETHKLSREDQDDFAIQSFERGIAANNAGAFAWEIVPVEVSGGRGKPSTIVDKDEGLGKFDASKLRKLRPSFKEDGGSVTAGNASSISDGAAALVLVSGKKAIELGLTVIAKITGYADAAQAPELFTTSPALAIPKAIKNAGLEASQVDYYEINEAFAAVALANQKLLGINADKVNVHGGAVSLGHPLGCSGARILVTLLGLGMLQVLKQKNGKYGVGGICNGGGGASALVLELL >itb15g08940.t1 pep chromosome:ASM357664v1:15:6361279:6362454:1 gene:itb15g08940 transcript:itb15g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCRPPRNTNRAASSPTQGGSHGQSKAAKRKRGPSFPSPEPHYNRLDPNVAQTIKPRISPLCISKAIDLLGPNIEIINPSPEILIVNHCSAEANSLEVGVHYNSIKCGLRFPLHPFVSEFLNTHQIIPAQLAPNGYKFLVVFLNICKECDIPPSQSLFHYLFTVEAGTKVETQSFVTVSSRRGLKFVTAIPSSHSGWKERFFKVRLPESQICFPNVWATRVIHFPMPEETPELLSQAKKIASVSRSCNFYASKEKIDILYGLKEAEADEVVADEAPNVEPIPVADLMEPKPEVIEVVEESTKEMAEKIKALEAQVLFFARQAGVEDFKKSKEFEQSALQYMHQNVEAFVGWELADEARREAFFKILDDHPASIEKKAREEVAAYYKYPLP >itb01g03840.t1 pep chromosome:ASM357664v1:1:2518316:2519247:1 gene:itb01g03840 transcript:itb01g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.4 kDa class V heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G21870) UniProtKB/Swiss-Prot;Acc:O49710] MEFTAGFHPSSLHSFFNYHLLPENYVHWRQTPESHIYSADLPGVEKEKIRVEVEDSRYLIIRTEGGNDVDDESTPPATRSFMRKFRLPGMVDVDGISAGYRDGVLTVTVPRSFVRGRLLIHPTHVLDSLSFGASAA >itb12g03900.t1 pep chromosome:ASM357664v1:12:2574875:2578383:1 gene:itb12g03900 transcript:itb12g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAHRFPGVSEEVRTLVDADMDFVDARRRAREAFKDVQLSIDHMLFKMTTAGLKIEESYEVNSRGLEVFCKSWLPEMSSPKAVICFCHGYGDTCTFFFEGIARKLATSGYGVFAMDLPGFGLSEGLHGYIPCFDNLVDDFIEHCSKIKENPELRGLPSFLYGESMGGALALKVHLKQPDAWTGAILSAPMCKIDDDLVPPWLLTQVLIGMAKFLPKRKLVPQKDLADLAFREAKKRQQAVYNIIAYKHKPRLKTALELLRTTKEIEESLEQVSLPLLILHGENDIVTDPSVSKALYEKASSRDKKLNLYEEACHCLLEGEPDEMIHQVFRDMISWLDDHSAR >itb07g02840.t1 pep chromosome:ASM357664v1:7:1891996:1893280:1 gene:itb07g02840 transcript:itb07g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKLSSVLSNITDFVVHEGNGVKGLADMGLQSLPKQYIQPAEERITTSTVIVDDTIPVIDLSEWGSDPKVGDMICEAAEKWGFFQIVNHGVPLEVLEEVKAATYRFFRLPAEEKNKHSKDNSPSNNVRYGTSFTPHAEKALEWKDFLSLFYVSDEEAAALWPSACRDEALSFMRNCDAVIKRLLESLMKGLNVTEIDGTKESLLMGSKRINMNYYPKCPNPELTVGVGRHSDVSTLTILLQDQIGGLYIRKLDSDTWVHVPPINGAIVINVGDALQILSNGRYKSIEHRVIANGSNNRISVPIFVNPRPNDIIGPLPEVLESGEKPVYKNVLYSDYVKHFFRKAHDGKETVDFAKIN >itb01g30660.t1 pep chromosome:ASM357664v1:1:34602873:34603697:-1 gene:itb01g30660 transcript:itb01g30660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGEEGERVTITSINDPCKWLQELSRAMLKCLGFEICSDQPSPPAPAASSSSSPSSFSGEDHHKCSFQQLPIIPTDNKDPPPPSTTDPLQLIVSLSSRPLRPGLGNSGDPQTNDTSS >itb08g07830.t1 pep chromosome:ASM357664v1:8:6747341:6748838:1 gene:itb08g07830 transcript:itb08g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDESMALVDESIHHQKHNRERDTMHYKVLLIVNYVFLLVGSVSSSLLSKFYFVHGGSSRWVATWVQCAGFPLLIPAVYAFSSTERRPFAGFNLPIVSLSVVIGLMLGVNNLLISWGTSYLPVSTSSLVLASQLVFVLFLSAIIVRQKITFANLNCVVLLTLAAVLLALDSSSDKPEGLTKAKYFVGFFCTIGAALLFALYLPLVEKLYRNVDCYAMVVEMQVVMQAAATVLATVGMAIDGGFSGMKKESQGGFNLGSKAYVMTVGLNVVTWQLCFIGTAGMVFLTTSVTSGICSTALMAVNVIAGVLAYGDHMGGSKAVSTVLCVWGFSSYVYGMYVKTKRVTEEVPPEIGTESD >itb01g02120.t1 pep chromosome:ASM357664v1:1:1366447:1369751:-1 gene:itb01g02120 transcript:itb01g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRSRKRRKLFKRRVKVKAVPRAEEPGDGEGVSPMVEKYWLQRFNLFSKYDEGIKMDEEGWFSVTPEEIAARQADRCFGGAVVIDGFTGVGGNAIQFAAKCNHVIAIDIDPKKIEMARNNASIYGVEEYIDFLIGDFFQLAPFLKGDIVFLSPPWGGPTYKLRSTYTLDLLKPKDGYSLFQAAQNITPNIMMYLPRNVDLLQVEQLSWLSSPPLQIQIEENVLHGYVKGITVYFGDIASP >itb01g02120.t2 pep chromosome:ASM357664v1:1:1366211:1369770:-1 gene:itb01g02120 transcript:itb01g02120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRRSRKRRKLFKRRVKVKAVPRAEEPGDGEGVSPMVEKYWLQRFNLFSKYDEGIKMDEEGWFSVTPEEIAARQADRCFGGAVVIDGFTGVGGNAIQFAAKCNHVIAIDIDPKKIEMARNNASIYGVEEYIDFLIGDFFQLAPFLKGDIVFLSPPWGGPTYKLRSTYTLDLLKPKDGYSLFQAAQNITPNIMMYLPRNVDLLQVEQLSWLSSPPLQIQIEENVLHGYVKGITVYFGDIASP >itb10g09160.t1 pep chromosome:ASM357664v1:10:12140445:12141719:-1 gene:itb10g09160 transcript:itb10g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWYEFEVGGESDVLFDELRKQVLQLTADDDDEEFPGLIQGSCSRYLKNERDPNNKSSGSSSVVQLGCEYEWTGSMDRSKVPQWLLDLWRTENKDAAAMFNGTGVFIPHIVNTRRRYKPRKKNNERGRVYKPVAKVN >itb01g08610.t1 pep chromosome:ASM357664v1:1:6929304:6930696:-1 gene:itb01g08610 transcript:itb01g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTELDHLVPMDFKNVVQVPDSHTWLDSPCINNSCSIDESVPLIDLEDPQAVEKIKMACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSPNGYGIIPISRTFNTLMWMEGFTLSGSPLELARRVWPNDYSPFCTVIEDYQEQMMGLAVKITSLIFKSLGLSGEDVEWFDLKSTEAFFHLNSYPRCPDPTRALGMVPHTDSSLITLLYQSSTNRGLQVYGPNLKWVDVEPISNAIVVNVSDLLQIYSNDQFKSVVHRAIVSEAQHRISVVYFFGPKIDVNISSSPKLIKDGDFPIYRPVSWKEYREIKGVHFDKALEVVRFNSVVVENANVLTSGNEAPLDGGKVEALEA >itb11g10780.t1 pep chromosome:ASM357664v1:11:7703441:7705098:1 gene:itb11g10780 transcript:itb11g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAKKSSDGNHKEVFSSPAVSQLIPYSREIQNLLTEFSDSESIAEFQIKIGEFELHVMRNLNGKSTPPPPITAPLTLAVSTAAEAPNSYTSISTKSLALGKPLVTPCEKDALLARAAEEGFVVIQSLRVGFFRRSRTVKGKSGPPSCKENQVVDEGQILCYVDQLGGEMPIEADVSGEVVSILLEDGAPVGYGDPLIVISPSFPGVKELP >itb01g02910.t1 pep chromosome:ASM357664v1:1:1884923:1903269:1 gene:itb01g02910 transcript:itb01g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQQQKQKQKASSSSSSKGKAPSSTGPKLQISAENESRLRRLLLNSGRSTAPAPVDDSLSQAQKAKKLRSIYEKLSCEGFKDDQIERVLSALKDGATYEAALDWLCLNLSGDELPLKFAGGTLHSNEGSVGIISTAREDWVPSTDSSAAGTREEISEAFITTKEQRVNETLDSVERSQADWIRRYMEQQEEDESESESGLFADASSKQSLQSRRSHETIVQEFHTARLEAIRAKETGDKKGQEQASRTIRKIKQEISALGLSDAILESGYESFPHQALQDLSCPSMSSENLEADVVTLQNGEDCITSSLHEWELNVDKKVAGLSSEEDSVSVSIPEKTESEGESGDVELGNFLFEEASAAELPAEALERQKKEKLRELLSEKNLEKLEGIWKKGDPQKIPKAFLHQLCQKSGWDAPKYTKALGKRNISSYTISILRKASGRGKSRKAGGLITIELPEQDQTSSNAEDAQNMVAAYALHQLFPDLPVHLPITEPYASIVLHWKAGESLTDVVEDQEERRASFVNSLLNADGSEVNQRKESESVYLRQEQENKKKMKKYQDMLKSRAALPIAELKDDILHSLEKNDILVVCGETGCGKTTQVPQFILDDMIESGHGGYCNIICTQPRRIAAVSVAERVADERVESSPGSYDSLVGYQVRLDSARSERTKLLFCTTGILLRMISGNKDLSGITHIIVDEVHERSLLGDFLLIVLRNLVEKQSAHGTPKLKVILMSATVDSHLFSQYFGHCPVITAQGRTHPVSSYFLEDIYENINYRLASDSPASMNYGAPTKEKNAPIGNHRGKKNLVLSAWGDESLLSDDRVNPYYDPSIYQTYNEQTRKNLRRMNEDVIDYDLLEDLVCHIDETYPDGAILVFLPGVAEIHALLDRLSASYRFGGQSSEWLLPLHSSIASEDQKKVFLRPPENIRKVIIATNIAETSITIDDVVYVVDCGKHKENRYNPKKKLSSMVEDWISQANARQRRGRAGRVKPGICFCLYTHYRYEKLMRPYQIPEMLRMPLVELCLQIKLLSLGNIKIFLSKALEPPKEEAITSAISLLYEVGAIEGNEELTPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSISAFLSYKSPFVYPKDERQNVERAKLALLGDKLGGETDSCDSNLQSDHLLMMVAYKKWEKILRESGAKAAHQFCSSYFLSNSVMHMIRDMRVQFGTLLVDIGLIDIPKNFQIAGKRKEKLDSWLSDASQPFNMHANHNLILKAILCAGLYPNVAATEEGISASALGSLKQNTGPTARSQPLWFDGKREVHIHPSSMNSTLKAFQYPFLVFLEKVETNKVFLRDTTVISPYSILLFGGSITVQHQSGIITIDGWLKIRAAAQTAVLFKELRLTLHGILKELIQNPQSASTKDNEVIRSIIHLLLEEDKPSM >itb03g04160.t1 pep chromosome:ASM357664v1:3:2588393:2590599:1 gene:itb03g04160 transcript:itb03g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGEGGKPLHIVMFPWLAFGHMIPYLELSKLIALKGHKVSFVSTPTNIDRLPKFSGSLASQISFVKLPLPHVKNLPENAEATIDVPYDKVKYLKLAHDGLQESMAKFLEDSCPDWVFLDFDAYWLPPVASKLGVSTAYFSIYIAAFLGFLGPLPSSSLMADYDERKRLEDFTVPPKWVPFESSVAFRLFEISRILDGVTGDEENVSDEFRFMSTIQRCDILAVRSCSEFEPEWLKLVEDMHRKPVIPWQVIKGWLDRQVTGSIVYIAFGSEAKPSQVELTEIALGLELSGLPFFWVLRTKRGEADTELIQLPEGFEERTNDRAIVCTTWVPQLNILSHDSVGGFLTHSGWSSVVEAIQFEKPLVLLTFLADQGLNARVLEEKKMAYSIPRDDRDGWFTRDSVAHSLKLVIVEEGGKIYRDKIKEMKGLFCDKAKQDAYVDNLLNFLHNHKKLKP >itb13g04760.t2 pep chromosome:ASM357664v1:13:5631305:5639482:1 gene:itb13g04760 transcript:itb13g04760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTPIRLRGCRDFRCVDHCLTFVRAMGGGPRTFPGGLNKWQWKRLHEKKARDKERRLLEHEKQLYQARIRSQIRAKLSPPPQQASTAVTQNPPSDPNQPTYAPMTPQQQIKALADRFMKEGAEDLWNEDDGPIQAPTPEPRRRVVGEPINLRRLISENSNSGQTSGSLSGFSNFRRFSSDTRSSVRGLSFAGHGENGSLENLPTWSRERHFPIFAQRIGYFKGGNITVWHSFLTSRCYSFDAKRINKLERFDFPRNKSLKTEDDSKKDALGSRGRRTKWPRFTSNVTDSSDDDDDESDDEAGEGSVANVKRMSSAALGKYDMKIKKRIPLQLVEDEYDLSQHVEEIRKEVNQRRLEKSGGEEEEESILSLKRFDECEISPLTVKALTAAGYMQMTRVQEATLSAVLEGRDALVKARTGTGKSAAFLIPAIETVLKPIRSRTVERVPPIYVLILCPTRELASQIAAETNVLLKYHDGIGVQTLVGGTRFKVDQKRLESDPSQIIVATPGRLLDHIENKSGFSTRLMGLKMLILDEADHLLDLGFRKDMEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAYIDTVGLGLETNEKVKQFYLVAPHEQHFQIVHQLLRGHISEVPDYKIIVFCTTAMMTSLMFSLYREMKMNVREIHSRKPQLYRTRISDEFKEMRRVILITSDVSARGMNYPDVTLVIQVGIPVDREQYIHRLGRTGREGKDGEGILLIAPWEEYFLDGLKDLPIQKCDLPYLDPNITAKMEESMANIDASVKEAAYHAWLGYYNTIREIGRDKTTLVSLANQFSESIGLEKPPSLFRKTAVKMGLKDIPGIRVRK >itb13g04760.t3 pep chromosome:ASM357664v1:13:5631305:5639482:1 gene:itb13g04760 transcript:itb13g04760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTPIRLRGCRDFRCVDHCLTFVRAMGGGPRTFPGGLNKWQWKRLHEKKARDKERRLLEHEKQLYQARIRSQIRAKLSPPPQQASTAVTQNPPSDPNQPTYAPMTPQQQIKALADRFMKEGAEDLWNEDDGPIQAPTPEPRRRVVGEPINLRRLISENSNSGQTSGSLSGFSNFRRFSSDTRSSVRGLSFAGHGENGSLENLPTWSRERHFPIFAQRIGYFKGGNITVWHSFLTSRCYSFDAKRINKLERFDFPRNKSLKTEDDSKKDALGSRGRRTKWPRFTSNVTDSSDDDDDESDDEAGEGSVANVKRMSSAALGKYDMKIKKRIPLQLVEDEYDLSQHVEEIRKEVNQRRLEKSGGEEEEESILSLKRFDECEISPLTVKALTAAGYMQMTRVQEATLSAVLEGRDALVKARTGTGKSAAFLIPAIETVLKPIRSRTVERVPPIYVLILCPTRELASQIAAETNVLLKYHDGIGVQTLVGGTRFKVDQKRLESDPSQIIVATPGRLLDHIENKSGFSTRLMGLKMLILDEADHLLDLGFRKDMEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAYIDTVGLGLETNEKVKQFYLVAPHEQHFQIVHQLLRGHISEVPDYKIIVFCTTAMMTSLMFSLYREMKMNVREIHSRKPQLYRTRISDEFKEMRRVILITSDVSARGMNYPDVTLVIQVGIPVDREQYIHRLGRTGREGKDGEGILLIAPWEEYFLDGLKDLPIQKCDLPYLDPNITAKVCILIPIHLFKLQTTFCFIVLCTDQLCPLNSSPPLPQPRSK >itb13g04760.t1 pep chromosome:ASM357664v1:13:5631195:5639482:1 gene:itb13g04760 transcript:itb13g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTPIRLRGCRDFRCVDHCLTFVRAMGGGPRTFPGGLNKWQWKRLHEKKARDKERRLLEHEKQLYQARIRSQIRAKLSPPPQQASTAVTQNPPSDPNQPTYAPMTPQQQIKALADRFMKEGAEDLWNEDDGPIQAPTPEPRRRVVGEPINLRRLISENSNSGQTSGSLSGFSNFRRFSSDTRSSVRGLSFAGHGENGSLENLPTWSRERHFPIFAQRIGYFKGGNITVWHSFLTSRCYSFDAKRINKLERFDFPRNKSLKTEDDSKKDALGSRGRRTKWPRFTSNVTDSSDDDDDESDDEAGEGSVANVKRMSSAALGKYDMKIKKRIPLQLVEDEYDLSQHVEEIRKEVNQRRLEKSGGEEEEESILSLKRFDECEISPLTVKALTAAGYMQMTRVQEATLSAVLEGRDALVKARTGTGKSAAFLIPAIETVLKPIRSRTVERVPPIYVLILCPTRELASQIAAETNVLLKYHDGIGVQTLVGGTRFKVDQKRLESDPSQIIVATPGRLLDHIENKSGFSTRLMGLKMLILDEADHLLDLGFRKDMEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAYIDTVGLGLETNEKVKQFYLVAPHEQHFQIVHQLLRGHISEVPDYKIIVFCTTAMMTSLMFSLYREMKMNVREIHSRKPQLYRTRISDEFKEMRRVILITSDVSARGMNYPDVTLVIQVGIPVDREQYIHRLGRTGREGKDGEGILLIAPWEEYFLDGLKDLPIQKCDLPYLDPNITAKMEESMANIDASVKEAAYHAWLGYYNTIREIGRDKTTLVSLANQFSESIGLEKPPSLFRKTAVKMGLKDIPGIRVRK >itb08g10030.t1 pep chromosome:ASM357664v1:8:9457450:9465157:-1 gene:itb08g10030 transcript:itb08g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNAAASTSGNKSRKEREKQMEHMFRERDRRAFTTRIIDGLRQHGNYNLPAGASMNQVIVAVVNEAGYIVGPDGTTYRPPPPSNVVANPARVVGNSLKNCSTTASVLRIVESLSPASFDSLVVSDTSTNSSPMNSSGCLEADQSGIINNSCQLVDLDGVKQELQLLKSLNIDGVVVNCWWGIVERWKNQKYEWSGYRELFNIIRNMELKLQVVMAFNAYAGNDFNVDISFPEWVLKIGKDSPEIFFTDRVGRWNTECLSWGIDTERILEHRTGQECYDKFLLQSLKDAAKKQGQPSWGRGPDHVGCYNSRPHDTKFFRERGDYDNHYGQFFLRWYSRALIDHADIIMSAATEAFDETPLVVKIPGIYWWYKTSSHAAELTAGYYNTSNRDGYSPLFEVLKKLNATMKFVSEEMETQISPHYLVEASADPNGLCWQVLNGARDEGLAIAGHNAPRDYDRETFMRLVETSKPINDPEPYHCSFFVLERPWQRIQTPDSISELEYFVKCMHGYA >itb03g03610.t1 pep chromosome:ASM357664v1:3:2120469:2125163:1 gene:itb03g03610 transcript:itb03g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRMRRSGRGQSNQTVLPLRAARRLSNTISCWYCDFKFSMLNEPLFLFGRRYSRYFRSWFSMGVGFSLAALLGVTLILLWEMGKLLHLYNGETQFVTLLSGSLFGGLSGLTISLADIGYLCISTIVSVSVHELGHALAAASEGIQMEYIAVFLAVLFPGALVAFNDEILQALPKSAALRIYCAGIWHNAGLCAVCAISLFILPLIFYPVYIHGEGPMVLDVASTSPLSRYLSPNDVIHSVDGIRINTVQEWLQIATSLTMQEYQGNYSAFKTSSGTGYCVPYSLLEKRIHVQLRGNETTCPNDLIAFVATSCPELRLSGDWRMENMYCLYAKDILKLEKCGDGWAKTSDNRSSCLCSQEESCLAPVLLPGIAWVEITYLRPFMPGCHQFQRTHFLDDKNSDFGDKGCFQSFLFIGDVESLLDSVHMTSYQPRWPTKFGAQFPNVLEKLLMLVFHVSLTLALLNSLPVYFLDGESILELMLQYLRFLSPRKRRVALKCCLLGGTFISTLIIFWNFTSF >itb03g03610.t3 pep chromosome:ASM357664v1:3:2120469:2125163:1 gene:itb03g03610 transcript:itb03g03610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRMRRSGRGQSNQTVLPLRAARRLSNTISCWYCDFKFSMLNEPLFLFGRRYSRYFRSWFSMGVGFSLAALLGVTLILLWEMGKLLHLYNGETQFVTLLSGSLFGGLSGLTISLADIGYLCISTIVSVSVHELGHALAAASEGIQMEYIAVFLAVLFPGALVAFNDEILQALPKSAALRIYCAGIWHNAGLCAVCAISLFILPLIFYPVYIHGEGPMVLDVASTSPLSRYLSPNDVIHSVDGIRINTVQEWLQIATSLTMQEYQGNYSAFKTSSGTGYCVPYSLLEKRIHVQLRGNETTCPNDLIAFVATSCPELRLSGDWRMENMYCLYAKDILKLEKCGDGWAKTSDNRSSCLCSQVGNSNFPEIVAMSWLYMHVLKS >itb03g03610.t2 pep chromosome:ASM357664v1:3:2120469:2125163:1 gene:itb03g03610 transcript:itb03g03610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRMRRSGRGQSNQTVLPLRAARRLSNTISCWYCDFKFSMLNEPLFLFGRRYSRYFRSWFSMGVGFSLAALLGVTLILLWEMGKLLHLYNGETQFVTLLSGSLFGGLSGLTISLADIGYLCISTIVSVSVHELGHALAAASEGIQMEYIAVFLAVLFPGALVAFNDEILQALPKSAALRIYCAGIWHNAGLCAVCAISLFILPLIFYPVYIHGEGPMVLDVASTSPLSRYLSPNDVIHSVDGIRINTVQEWLQIATSLTMQEYQGNYSAFKTSSGTGYCVPYSLLEKRIHVQLRGNETTCPNDLIAFVATSCPELRLSGDWRMENMYCLYAKDILKLEKCGDGWAKTSDNRSSCLCSQEESCLAPVLLPGIAWVEITYLRPFMPGCHQFQRTHFLDDKNSDFGDKGCFQSFLFIGDVESLLDSVHMTSYQPRWPTKFGAQFPNVLEKLLMLVFHVSLTLALLNSLPVYFLDGESILELMLQYLRFLSPRKRRVALKCCLLGGTFISTLIIFWNFTSF >itb05g15240.t1 pep chromosome:ASM357664v1:5:22630316:22631662:-1 gene:itb05g15240 transcript:itb05g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAGTTNSSEASKPKERHIVSWSQEEDDILREHIRVHGSDKQPALSEESAGSSEYSTGSTLIAHGVGDNREKSEAKLCALHQDIESGLQSTHIDDEFAKGISGNASTSQGLKDLLIGVENLASNNVPIRLFTLFCGHEFRSFLDSTTAVFALLEICNGVSVVGKKLRGLRSSVI >itb01g29820.t2 pep chromosome:ASM357664v1:1:33958485:33960614:1 gene:itb01g29820 transcript:itb01g29820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAAGIVESVGEGVTELAAGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLHDQKSRFTINGKPIYHFVGTSTFSEYTVCHVGSIAKINPHAPLDKVCVLSCGISTGLGATLNVAKPKQGSTVAVFGLGAVGLAAAEGARMAGASRIIGVDLVPSRFELAKKFGVTDFVNPKDHSKPVQEVIVEMTDGGVDRSVECTGNINAMISAFECVHDVCAIYVSVNLSRFINRLLTIRFGLSGMGSGGSGGSATQRGSVQDSPHELA >itb01g29820.t1 pep chromosome:ASM357664v1:1:33958485:33960614:1 gene:itb01g29820 transcript:itb01g29820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAAGIVESVGEGVTELAAGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLHDQKSRFTINGKPIYHFVGTSTFSEYTVCHVGSIAKINPHAPLDKVCVLSCGISTGLGATLNVAKPKQGSTVAVFGLGAVGLAAAEGARMAGASRIIGVDLVPSRFELAKKFGVTDFVNPKDHSKPVQEVIVEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNLLNERTLKGTFFGNYKPRTDLPAVVEKYMNKELELEKFITHEVPFADINKAFDLMLKGEGLRCIITM >itb10g25430.t1 pep chromosome:ASM357664v1:10:28760816:28763921:-1 gene:itb10g25430 transcript:itb10g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACCVDAAVAPPPGYAFAWDRSLPAPEALVSSDAPLPTNDVPPTVDLSHWSPAMSSALYRIDGWGDPYFTVNSSGDISVRPHGAATLSHQEIDLLKVVKKASDPKQLGGLGLQLPLIVRFPDVLKNRLESLQSAFNFAVESQGYESHYQGVYPVKCNQDRFVVEDIVKFGSSFRFGLEAGSKPELLLAMSCLCKGSPDALLICNGFKDAEYISLALAARKLLLNTVIVLEQEEEVDLIIEISRKMAVRPVIGLRAKLRTKHAGHFGSTSGEKGKFGLTTTQILRVVKKLQESEMIDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELVRLGACMKVIDIGGGLGIDYDGSKSADSEVSVGYTLQEYASAVVQAVRFVCDRKGVSHPVLCSESGRAIVSHHSILVFEAVSSSSNKLMQQLPNAGLQSFVERLNDDARADYQNLSAAAIRGEYETCVLYADQLKQRCVDQFKEGSLSIEQLAAVDGICDLVSKAIGAYDPVCTYNVNLSIFTSIPDFWAIGQLFPIVPIHRLNERPGVRGILSDLTCDSDGKIDKFVGGESSLPLHELGGGNGGRYYLGMFLGGAYEEALGGFHNLFGGPSVVRVSQSDGPHSFSVTRAAPGPSCADVLRMMQHEPELMFETLKHRAEEFLHDEDNGGMAFASLATSLAQSFHNMPYLVVGSTCCLTAATTNGSYYYGNDVSAANPIADSSPGEDEHWSYCIA >itb10g18200.t1 pep chromosome:ASM357664v1:10:24204487:24209461:-1 gene:itb10g18200 transcript:itb10g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFGLFTNTSPSSSSFNNYIYQFHQVRQRGRKITEASGEKVIIVVGGIQVHRFPSPNPVRTSRRGRPPFSVAREAAVPVTEASRDRLRLRRRRSLIISHSLKRAVVIVRRRHLLLRGAGGCNNASALPSFFARKWLEGKVALITGAASGIGEKAARLFSRHGAKVVIADIQDDLAQKVCNELDTASSPTFVRCDVTKESDVENAVNVAVSKFGKLDIMYNNAGITGLNRPSILDGEKSNFERVISVNLVGAFLGTKHAARVMVPNRRGSIITTASVCAVIGGVASHAYTSSKHGVLGLTRNTAIDLGRYGVRVNCVSPHLVATPLAKGFFDMTDDEMGKVYSAFKVEELTAEDVAAAALFLASDESRYLNGENIVVDGGFTIVNPNLCIYK >itb14g00240.t1 pep chromosome:ASM357664v1:14:172644:174234:1 gene:itb14g00240 transcript:itb14g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLWKRRRSGKKQNVQDDDNKNGGRELKIPSNFVCPISLDLMKDPVMLSTGITYDRDSIEAWIEAGNRTCPLTKQALLAGSDPVPNHAIRQMIQDWCVENADSGVERIATPKAPIASRDVVEILAKIEAAGDELNGEMCRGLVVKLRTAMEESKRNKKRIIANSAGKVLLDIFSSFSKDNNFLDHGSVLDEILTTLAMILPLDAESRSRSANILTDSSLLCLACFMNNGNLPSRTNAVLVLKELLAAKDQTKSEEIGFILEPLVNLVKEPICPAATKAALLSIYYLISKSSTPEKSAAAVSSLGIIPTITEKLVDCDRSVCEKALAVLDGVCISATGRETATNHALTVPVLVKKLHRVSELSTEFSVSVLWKLSKYDEKVVVEALRVGAFQKLLLLLQVGCSETTKEKAGELLKMMNVHKDNAECIESGDFRNLKRTF >itb15g00610.t1 pep chromosome:ASM357664v1:15:358542:360348:1 gene:itb15g00610 transcript:itb15g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSAQIHFVLVPLMSPGHLIPMVDIAKLLAHHNVVVSIVSTPLNTPRIKSAVDFAVKSGLKIRLLELEFPAVQAGLPAGCENMDSLPSRDAIKNYFEAAAMLQEPFEKLFQDLKPRPRCIISGKNLAWTVETARKFKVPRLFFDGMGCFSFACTQSLHNSRIHETVSRFEPFTVPNLHHEIKLTRAQLPEALNPGSEDLIDVRNKIVAAESISDGIIVNTFEELEQDYIQEFKQTKGTRVWCIGPVSACNKSETEKITRGNPNPPTKNKHPCLEWLDSQEPKSVVYACLGSICGLSATQLIEVGLGLESSNSPFLWVIRGGEKSKQLEKWIQEEKFEERTNGRGFIIRGWSPQLLILSHPSTGAFLTHCGWNSTLEGICAGKPIITCPLFAEQFINERLIVDVLGSGVSVGVKAAVAWGIEEKSGLVILREDVKNAVEKAMENSQEAEKRREKAVEIAEMAKKATAEEGSSYLSVKALIRDVIQETSF >itb10g19020.t2 pep chromosome:ASM357664v1:10:24827732:24830127:1 gene:itb10g19020 transcript:itb10g19020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDPCSINALPPFIAKTYEMVDDSSTDSIVSWSQNNKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFKKVDPENWEFANDDFVRGEPQLLKNIHRRKPVYSHSAQNLHSHSSSLSESERRKYKDDIEKLRRDNELLQSELERHVQDQRGLELELERVAELLRDAEKQQKSMLSSLARALDKPELALGLVPQSALPCRKRRLLGNSNLHDETAMADTQASSSQHLARENTSSISLMTLNKLLDQLESSLIFWDNILQDVAQTELDESRRCADSPAISYTQLNADTAANTRGIDMNSEPNASPLLEAIPPIPQQQAVVPGTVTNAPTRVNDVFWEQFLTENPGSSNVSQVQQERKDLDAKKGENDPVNHKKLWRSMKNVNINSLAEQLEHNAPAEIT >itb10g19020.t1 pep chromosome:ASM357664v1:10:24827588:24830041:1 gene:itb10g19020 transcript:itb10g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEDPCSINALPPFIAKTYEMVDDSSTDSIVSWSQNNKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFKKVDPENWEFANDDFVRGEPQLLKNIHRRKPVYSHSAQNLHSHSSSLSESERRKYKDDIEKLRRDNELLQSELERHVQDQRGLELELERVAELLRDAEKQQKSMLSSLARALDKPELALGLVPQSALPCRKRRLLGNSNLHDETAMADTQASSSQHLARENTSSISLMTLNKLLDQLESSLIFWDNILQDVAQTELDESRRCADSPAISYTQLNADTAANTRGIDMNSEPNASPLLEAIPPIPQQQAVVPGTVTNAPTRVNDVFWEQFLTENPGSSNVSQVQQERKDLDAKKGENDPVNHKKLWRSMKNVNINSLAEQLEHNAPAEIT >itb04g00800.t1 pep chromosome:ASM357664v1:4:435978:438670:1 gene:itb04g00800 transcript:itb04g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMEEVKGQTEGGVTEKSEISMPSSQQEQEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSLCAPANGEDGSNSPSDEATPMDEK >itb04g00800.t3 pep chromosome:ASM357664v1:4:435978:438640:1 gene:itb04g00800 transcript:itb04g00800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMEEVKGQTEGGVTEKSEISMPSSQQEQEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSLCAPANGEDGSNSPSDEATPMDEK >itb04g00800.t2 pep chromosome:ASM357664v1:4:435978:438640:1 gene:itb04g00800 transcript:itb04g00800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMEEVKGQTEGGVTEKSEISMPSSQQEQEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSLCAPANGEDGSNSPSDEATPMDEK >itb04g00800.t4 pep chromosome:ASM357664v1:4:435978:438640:1 gene:itb04g00800 transcript:itb04g00800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMEEVKGQTEGGVTEKSEISMPSSQQEQEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSLCAPANGEDGSNSPSDEATPMDEK >itb14g14830.t2 pep chromosome:ASM357664v1:14:17810916:17814274:-1 gene:itb14g14830 transcript:itb14g14830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFYQFLGINNGAVELDASIMGAQQQEGKKTKVPLNSSDKLFKEIRNQNFEVVVQVLRQRATSMKQDYTEMQSTSMLQVDPAWISYIYAFAIFHGVV >itb14g14830.t4 pep chromosome:ASM357664v1:14:17811450:17814272:-1 gene:itb14g14830 transcript:itb14g14830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFYQFLGINNGAVELDASIMGAQQQEGKKTKVPLNSSDKLFKEIRNQNFEVVVQVLRQRATSMKQDYTEMQSTVRPHIHKCAWNALTLIPD >itb14g14830.t3 pep chromosome:ASM357664v1:14:17810916:17814274:-1 gene:itb14g14830 transcript:itb14g14830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFYQFLGINNGAVELDASIMGAQQQEGKKTKVPLNSSDKLFKEIRNQNFEVVVQCNCRFYVKEQHP >itb14g14830.t1 pep chromosome:ASM357664v1:14:17810916:17814251:-1 gene:itb14g14830 transcript:itb14g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFYQFLGINNGAVELDASIMGAQQQEGKKTKVPLNSSDKLFKEIRNQNFEVVVQVLRQRATSMKQDYTEMQSTSMLQVDPAWISYIYAFAIFHGVV >itb04g34100.t1 pep chromosome:ASM357664v1:4:36173676:36175575:1 gene:itb04g34100 transcript:itb04g34100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSVDDGDFNIDYEDSDVDDGDFYHSDNIDYEGSDEDGGEDSFTRKPSYSILKEEDIRVLLEDDINKVSSTLSLRRGDACVLLLAYSWSVSKVPEEWFADEEKVRKAVGLLPESQTSPIRFSKREKFRCEICGDEYPLSESTAASCGCGHAFCIACFKSWIQSLIKDGPGCLFKLRCPWVGCNAAVVAETVESVADEEDITKYRHYLLRSYVEQNRMIKWCTGPGCDFAVKFDTWNADYYDVVCECSHTFCWNCSEDNHRPVDCGTVAKWMMKNVSEAENTNWLLSHSKLCPKCKRPIEKNHGCMHMTCIAPCFYEFCWLCLGGWGEHDCNRYKREREDKDKDEVTRREKAKKMWGKYIHHYERWEGNERSRKKAVEDLRRSRGEDIQKLSKIQSESLSQVKFLVEAWEQIVECRRVLKWTYAYGYYLPEEAAARKQLFEYSQGEAEGVLEKLHHCAEREVEDYLSSAKPSEEFQNFRRKLCNLTSVTKGFFEKLVAELENNPLESEDNVVDVADANKRLKQ >itb02g11010.t1 pep chromosome:ASM357664v1:2:7197717:7199915:-1 gene:itb02g11010 transcript:itb02g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLSPSSDRYFLRKLKKVKKSNGQILAINEIFEKNPTKINNYGIWLRYQSRTGYHNMYKEFRDTTLNGAVEQMYTEMASRHRVRYHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >itb02g11010.t2 pep chromosome:ASM357664v1:2:7197717:7199915:-1 gene:itb02g11010 transcript:itb02g11010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRGLPTENDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTKINNYGIWLRYQSRTGYHNMYKEFRDTTLNGAVEQMYTEMASRHRVRYHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFV >itb09g28950.t1 pep chromosome:ASM357664v1:9:29587696:29589110:1 gene:itb09g28950 transcript:itb09g28950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFIVFAIAMVALASSFAHASDPSPLQDFCVAVNDSNAAVFVNGKICKNPMQVVANDFLFRGLNMPGNTSNPLGSKVTPVNVNNLPGLNTLGVSLVRIDFAPNGLNPPHTHPRATEVLFLLEGTLYVGFVLSNPPPGIKNPLFTKTLVAGDVFVFPEGLIHFQFNVGKSNAVAFAGLSSQNPGVITIANAVFGSNPPINPQVLTKAFQVGQNVINYLQAQFWYNN >itb06g22630.t1 pep chromosome:ASM357664v1:6:24868125:24870160:1 gene:itb06g22630 transcript:itb06g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTSMNKSKDNDWFRISAANPEGTRWTGKCWYIHNLLKYEFDLQFDIPVTYPATAPELELPQLDGKTEKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMVKHKDDVATSSES >itb05g08280.t1 pep chromosome:ASM357664v1:5:11511040:11513012:-1 gene:itb05g08280 transcript:itb05g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSLSLLSPNKKSTTIPTKEEGEEEEETPKSTIALLSFNTDSSSVNPSKPTFTTLILTSLFLITSIALFAAIVFAFLYFSSSSSSDSSEVSRSLKKLKRPVVLLVSSDGFRFGFQYKATTPNIHRLIKNGTEAEMGLIPVFPSLTFPNHYSIVTGVYPAYHGIINNFFIDPNTGDYFTMQSHDPKWWLAEPLWETVVNHGLKAATYFWPGSEVNKGCWTCPEFYCKRYNSSVAFEDRVDTVLKYFDLPSDEIPSFITLYFEDPDHQGHKVGPDDPEITEAVIRIDRMIGRLIQGLEGRGVFEDVNIIMVGDHGMVGTCDKKLIFLDDLSPWIQISKDWVLSYSPLLAIQPPYNYSAKDVVAKMNEGLQSGKIENGQYLKVYLKEELPGRLHYSGSSRIAPIIGLIDEAFKVEQKNTERKECGGSHGYDNALFSMRSIFISHGPRFARGRKVPSFENVEIYNLVTAILNIEGAPNNGTASFPDKLILP >itb13g19110.t1 pep chromosome:ASM357664v1:13:26104268:26106073:-1 gene:itb13g19110 transcript:itb13g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASSSSSGGGAFQVQQQQDYSGECPAGETPLWKMVVVSAIACGVQFGWALQLSLLTPYVQLLGIPHKFSSLIWLCGPISGLIVQPLVGYYSDNCTSRFGRRRPFIASGAILVIFAVILIGFAADIGHSSGDPLGKESKPRATVIFIVGFWILDVANNMLQGPCRALLADLSGGDGGKTRLANALFSFFMAVGNIGGYAAGAQPILYKSFPFTHTDACDVYCANLKSCFIISAILLLVITTVAVTCVSEIQYTKKKMEGGGVPFFGELFGAFKNLPRPMLILLLVTALNWIAWFPFLLYDTDWMAREVYGGEVNDSGLYDKGVRAGALGLMLQSIVLGFMSIGVELLARQLGDVKTLWGGVNFILAIGLALTVAVTKTATHSRRFDAQGHALMPNSKVKAFALAIFCILGIPLAVTFSIPFALACIYSSDAGSGQAGLSLGVLNLAIVVPQMFVSFLSGPWDALFGGGNLPAFIVGAISAALSGILAITLLPKPQSDKLAIP >itb13g02290.t1 pep chromosome:ASM357664v1:13:2118674:2120518:1 gene:itb13g02290 transcript:itb13g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQECLIDRRYLIVLDDIWSTQHWDDIKYVFHRYVEGSRILLTTRFNEVADYACTLKGHQDLKALGVICPMFWRNVKDCHSSVGCIVTFPERCAFPSQLKKLSLSGTNLFGRDLTVIGSLKRLEVLKMENAFHEEVWRVYEGGFYGLKYLVLKDKKLKRLEAYTDAFPCLEYLVLRCCHYLEEIPSSFGEIFCLKSIELDRCSRPSIVTSAKDIQEKLNKNFGEAK >itb15g13640.t1 pep chromosome:ASM357664v1:15:11655800:11665279:1 gene:itb15g13640 transcript:itb15g13640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVTIQFGSYANFIGSHFWNFQDELHGLADSFESDEVFKNHSLNTDVLYRSGETQQGLLTYTPRLVSVDFQGSLGSMSSCGNMYSEKPSQSSDVLTWAGKVTTQASEPLKRNLFLQSLYVEENKVDGPDGRESDSHNEIQDKDIVECLENGVQYWTDFSKVQFHPQSLYQLSGLWMDAQEFDNYGIGRDTFHNHQRGEEINERLRFFIEECDHIQGIQFIVDDSGGFSGLAAEFLQNIADDYSNVPVLLYTVRSPGSFINTKSQKRNISQSLHNAVSFSRLASFSKLIVPIGLSSLSTSKASRRLCIKDEQPYYTSAVYASALHSLSLPFRMEQPGPTAESIYASGALNMYEVIHVLADQARQNIVSTLDVSMPAPSLTGNQVQWSLLDNFVSLTLEVEQDNEDMHAMECMTIHGVLGSGSRRAPVYEVKRAVQDAYEKAISRPKFSHLSVACCPLPIPLPFPAIFGNSVGQHGELLETPITETPSRGSLDVHSIPMASRLRSSTAILPFLESRLENLRKLAIQRGAIGAQVLESWGFGRDEVEDLGETLSKLVNTLKPYSDISSDSD >itb07g02500.t1 pep chromosome:ASM357664v1:7:1617697:1621484:-1 gene:itb07g02500 transcript:itb07g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLQQLLSEEGFKGRRLKKLSRASTGLQTASGSLYPLQERHKSSGVRRPERAKSDLPFYDSERNNATSERNQRIQDWKPRAGNKEKAMIMKQKSKKLYAESSEESPDSEIIDAAVVSNEIVEIGKSDQRYKDVYSNEVYSREGSEEEKEWQWHTPSLKNGRQHSTTTYANSANNYRKNAVLAEMSGASSKRNSLNCKSFDDSRGRRKAGIEQTVLDTPAIDEAATQAIVSILSGHMKSFLQDEDFRTSLRHNSFASLNFAGLEEGLDVKSKIITNLEQAIETVEKAAEGSAGLKELKKASLQLSVITGLNSSDLKDGFTSGIPNVKLAACAHLYLSVIYKLQRKDRIAAKHILQVFCDSPLQARTSLLPDLWDNIFLPHLSHLKVWYIKELGMLESPDSKSRKLKLLEKVYNETMDIGTNKFAVYYKDWLTEGVEPPSIPSVQIPFISPRLAQNEDPNSVIVGSFSPQPVVSKKLYDEVFRHKNGVELDYKEESYHGSASMSNGSVTEETHCSQIVKIEPIATCQSYSMPITEEAKTIHNASCSEETKLVEHFSNVHPKTSIQEIYGTIDAAPHSKVSEIIIERIAKAFFGQQKTEATLFLCYEDSQHPDSCGNDTERPSGLSIPTEFICPLTGLVFEDPVTLETGQSFERAAILNWFSKGNRTCPITSKKLECQAVPLSNFILKRVIDKWRSEHWQHILAFSSQFASDSKAEIAVSILEKILIVSSIDERMKKVKQLICLGGLQFLIHRFNFGNLNEKKCVSALLSSCIEADSNCRNLVARNIEKTCLLELLCSEHLESVQNAISLMTELICLNRRKDAKVFLSGLQSDDIANIMILLSTYLQSSHCEQKPLVAVLLLHFHLLTDTQCSDVCIDEVIDAITVALESSFLDERLIEMCCKALLILGGHFSSFGKIMTEDWILKQAGFIDRFESDLESSEDNEPDNGRITMMDDGEEEAREEWQAKMSAMLLGSGKKSFLGALSMCLASGNIELVRVCLTTVAWLSSVLASSSQSGSEFELSAFSALICQLKTCLEHGKLLEHKVLASVCLLNFSKIPECRVLLMMTGEVIEDTLECLAEVTWVARELQTVISSS >itb03g00940.t1 pep chromosome:ASM357664v1:3:504368:505559:1 gene:itb03g00940 transcript:itb03g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 14 [Source:Projected from Arabidopsis thaliana (AT5G14920) UniProtKB/Swiss-Prot;Acc:Q9LFR3] MDFKPLLLLLIFLSATTATAPAPPVAKPPTTPYPPAVKTPPPPPTPPVKQTPPPPAPVKTTPPPPPAPVKPPSPPSPPVGPPATPVYPAPPPHPPRNREECAPPCTVRCKLHSRKKVCLRACMTCCERCKCVPPGQYGNLEKCGSCYANMTTRGGRRKCP >itb05g13360.t1 pep chromosome:ASM357664v1:5:20289977:20291252:1 gene:itb05g13360 transcript:itb05g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNKVAETHGECFCFAIKHAAGFGIPVDMDRAADLHSACGVTNDIKLSCRDVVSMSPGSSPVQPPAFSPTPAPLTNTLPLVLPPAPESPDSPIPAPFKSLEPPSPSVSSPAPDSPIPAPLKSLEPPSPSVSSPAPDSPDSPIPAPLKSSEPPSPSVLPPAANSPDSPIQAPLKSSEPPSPSVSSPAANSPASPIPAPLKSSEPPSPTVSPAAADSPNSPIPAPLKSSESPSPSPALASSISEPPSSSVLAPIAASPTAPPKSSPTSAPPKPAVTSGHSPAPEKSAGYNILSFSVAFSAAAALVSFMFVVA >itb08g05940.t1 pep chromosome:ASM357664v1:8:4910100:4918231:-1 gene:itb08g05940 transcript:itb08g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKNPMYVEQSLENGEIQKNFDDDGRHKRTGTLLTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLADCYRSPGPTAGKRNYTYMDVVRSHLGGVKVQLCGLAQYANLVGITIGYTITASISMVAVRKSNCYHRYGHEAACAISNYPFMIIFAGIQIVLSQIPNFHELSWLSILAAVMSFAYSSIGLALSIAKVAVHGRHVSTSLTGVTIGVDVSGSEKVWRSFQAIGDIAFAYAYSTVLIEIQDTLRSHPPENKVMKKASGVGVTTTTMFYVLCGCLGYAAFGNNAPGNFLTGFGFYEPFWLIDFANVCIAIHLIGAYQVFCQPIFSFVESRCRQRWPDNKFITTEYDVNVPCFGTYSINFFRLVWRSCYVIVTAVVAMIFPFFNDFLGLIGAASFYPLTVYFPIEMHIAQAKIPKYSFRWIWLKILSWTCLVVSLVAAAGSIQGLASDVKTYKPFKAQ >itb01g35260.t1 pep chromosome:ASM357664v1:1:37551718:37556503:-1 gene:itb01g35260 transcript:itb01g35260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWTIPSVLFLLCIFSLLPDQGRNIHANAAFNSGAPVDQPKVEEKIGAVPHGSSTDSDVAKREAESMSRRTLRASAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEILGEGDTSKLEIQIKLDKDKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQKSGDLNLIGQFGVGFYSVYLVADYVEVITKHNDDKQYVWESKADGAFAISEDSWNEPIGRGTEIRLHLRDEAGEYLDQYKLKDLVKRYSEFINFPIHLWASKEVDKEVPVDEDESSDEDDTSESTSSGEETDDEDAEKGEDEKKPKTKKVKETTYEWELLNDVKAIWLRNPKEVTEEEYKKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKAVLFVPHKAPHNLYERYYNPKKSDLKLYVRRVFISDEFDDLLPKYLKFLTVVFDLLKFCGFKKSLQQRLADEDPDESSDKDKKEVEESSDDNEKRGQYAKFWNELGKSIKLGIIEDTANRNRLAKLLRFETYALGFIVILFTDPVDEYLVQYLMEYEDKKFQDVSKEGLKIKGSKDKLLKESFKDLTKWWKKSLASDNVDDVKISSRLADSPCVVVASKYGWSANMERIMQSQTLSDTGKQAYMRGKRALEINPRHPIIKELRVRVAKDPEDESVKQTAQLIYQTALMESGFILNDPKDFASRIYGSVKSSLKINPDAAVEEEEEAEETEAETSAKETDSSPQTNDVKDEL >itb03g00550.t1 pep chromosome:ASM357664v1:3:273876:275461:-1 gene:itb03g00550 transcript:itb03g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQIEADKRLSFNRSIKYGDLVIVYEKHDAMKAVKVSENGVLQNRFGAFKHSDWIGKSFGSKVFGHKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCVVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFERTGLSKLVSVGVRDIQGAGFPEELSGRADSVFLDLPQPWLAIPSAGKMLKEDGILCSFSPCIEQVQHSCEALSSQFTDIRTFEVLLRTYEVRQKILEGEGGPIDENPSKRKRVTNGVECSASNSVMLRPSSEARGHTGYLTFARLKCVALS >itb06g18130.t1 pep chromosome:ASM357664v1:6:21868370:21871759:1 gene:itb06g18130 transcript:itb06g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNSYCFRPPKVISRCTLFKSSYALNNGCHNVVCFIKRSDRQVNLEEKVGLLLDNIKWDDKGLTVAIAQNIDTGAVLMQGFTNRDALLTTISSRKATFYSRSRSALWTKGETSMNFINVSDIFLDCDRDSIIYLGKPDGPTCHTGSETCYYTSVEEADELCRTLEENEDKLRTSSEAADLLYHAMVLLANRGVKVEEVAMVTQTLQQMCCCHPDICIFALAVAVYEAMVFLSLTLSLSVVTIYKAVKGIAILDNGDVVMTCGKICNAT >itb11g20140.t1 pep chromosome:ASM357664v1:11:21104628:21109709:-1 gene:itb11g20140 transcript:itb11g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILEFQYAYFITGAEIIRIKIPMTSNSFKPEVKLVFSNPSQPLPLAMGLFSHNHIVYMVGGFYGRKAVAVGVDSPKDVDMDVVDSPKDVDMDVVGVDSPKDDDVDDDDVEYVDRVYMFDPTKFDEIPLENIETLKNLTCEHMVYPKVIRAEDRIYLLSQRDFFYCHFDMIRYAFHFQYFDPNKNLFTTLPPPPVLTDHKMSIARLGARCHFFLRGYIYVFITDTKTCFQTSKFNTINLQWEDCKSMVDKFKEKTIPFPFLHAGDMGISNELVDNTWILVALNGGALPIAYCVHLSDKGDIDPISHRVLAELYTSGVDMYGSRYDWKQLADMGGGRFCVMCCALDAYFLIYGFEIDFEREHTIQNFKTKESSSCIIFKMEFNHNYPIPLMRSLTGFCIASAPPPLASPDNEDQDKNEDQDKNDRKSKRKRGSRASSPPPPPAPLSLQ >itb11g20140.t2 pep chromosome:ASM357664v1:11:21106589:21109709:-1 gene:itb11g20140 transcript:itb11g20140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILEFQYAYFITGAEIIRIKIPMTSNSFKPEVKLVFSNPSQPLPLAMGLFSHNHIVYMVGGFYGRKAVAVGVDSPKDVDMDVVDSPKDVDMDVVGVDSPKDDDVDDDDVEYVDRVYMFDPTKFDEIPLENIETLKNLTCEHMVYPKVIRAEDRIYLLSQRDFFYCHFDMIRYAFHFQYFDPNKNLFTTLPPPPVLTDHKMSIARLGARCHFFLRGYIYVFITDTKTCFQTSKFNTINLQWEDCKSMVDKFKEKTIPFPFLHAGDMGISNELVDNTWILVALNGGALPIAYCVHLSDKGDIDPISHRVLAELYTSGVDMYGSRYDWKQLADMGGGRFCVMCCALDAYFLIYGFEIDFEREHTIQNFKTKESSSCIIFKMEFNHNYPIPLMRSLTGFCIA >itb08g14460.t5 pep chromosome:ASM357664v1:8:16219229:16222623:-1 gene:itb08g14460 transcript:itb08g14460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSAVLCFQDHGGPNFPATLPFSFPACNRPRLFTVPSAHRNHQENRQNTETSTTSSPLNDEATVTQKHNSKSTSMLLHFLSFDQISYSKTTPFAERQVSSVEEEEEDKMRLLEMSLIRRRTPQFPGSSYVQSPSDPDVNSSLPPIKSLFDDQSGIAAAADDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMVRWLKHNSLSFPQIGNLVCKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGENVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRMIPRHKVLVENRVNFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSTTCINGSIEDFSFNHRSNCSSRLETDK >itb08g14460.t1 pep chromosome:ASM357664v1:8:16219267:16224985:-1 gene:itb08g14460 transcript:itb08g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSAVLCFQDHGGPNFPATLPFSFPACNRPRLFTVPSAHRNHQENRQNTETSTTSSPLNDEATVTQKHNSKSTSMLLHFLSFDQISYSKTTPFAERQVSSVEEEEEDKMRLLEMSLIRRRTPQFPGSSYVQSPSDPDVNSSLPPIKSLFDDQSGIAAAADDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMVRWLKHNSLSFPQIGNLVCKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGENVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRMIPRHKVLVENRVNFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSTTCINGSIEDFSFNHRSNCSSRLETDK >itb08g14460.t4 pep chromosome:ASM357664v1:8:16219229:16224985:-1 gene:itb08g14460 transcript:itb08g14460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSAVLCFQDHGGPNFPATLPFSFPACNRPRLFTVPSAHRNHQENRQNTETSTTSSPLNDEATVTQKHNSKSTSMLLHFLSFDQISYSKTTPFAERQVSSVEEEEEDKMRLLEMSLIRRRTPQFPGSSYVQSPSDPDVNSSLPPIKSLFDDQSGIAAAADDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMVRWLKHNSLSFPQIGNLVCKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGENVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRMIPRHKVLVENRVNFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSTTCINGSIEDFSFNHRSNCSSRLETDK >itb08g14460.t3 pep chromosome:ASM357664v1:8:16219229:16224985:-1 gene:itb08g14460 transcript:itb08g14460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSAVLCFQDHGGPNFPATLPFSFPACNRPRLFTVPSAHRNHQENRQNTETSTTSSPLNDEATVTQKHNSKSTSMLLHFLSFDQISYSKTTPFAERQVSSVEEEEEDKMRLLEMSLIRRRTPQFPGSSYVQSPSDPDVNSSLPPIKSLFDDQSGIAAAADDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMVRWLKHNSLSFPQIGNLVCKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGENVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRMIPRHKVLVENRVNFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSTTCINGSIEDFSFNHRSNCSSRLETDK >itb08g14460.t2 pep chromosome:ASM357664v1:8:16219110:16223023:-1 gene:itb08g14460 transcript:itb08g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSAVLCFQDHGGPNFPATLPFSFPACNRPRLFTVPSAHRNHQENRQNTETSTTSSPLNDEATVTQKHNSKSTSMLLHFLSFDQISYSKTTPFAERQVSSVEEEEEDKMRLLEMSLIRRRTPQFPGSSYVQSPSDPDVNSSLPPIKSLFDDQSGIAAAADDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMVRWLKHNSLSFPQIGNLVCKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGENVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRMIPRHKVLVENRVNFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSTTCINGSIEDFSFNHRSNCSSRLETDK >itb11g16800.t1 pep chromosome:ASM357664v1:11:15402625:15403769:-1 gene:itb11g16800 transcript:itb11g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTADSPAHSSSSDDLAAFLDAELETASGTSSELEDVEAGVEEGEEEGKDDEQYEDENEDWGEDGDGKDDSDATRIKRRRVAIDECTGDTESSKSRGEPAETSGGHASIFYESN >itb01g23970.t1 pep chromosome:ASM357664v1:1:29780602:29783416:-1 gene:itb01g23970 transcript:itb01g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELEASKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb04g11360.t1 pep chromosome:ASM357664v1:4:10965508:10971478:1 gene:itb04g11360 transcript:itb04g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVGAGCCSSDIADYYGERQRQGTSVVPQMSPATSSLLLYTKGGHSVLLRTSLVRRRDQKKWITNGAVRSKIHQTTPLFSDLINPDRSFEDLQPIYITPLCYPFLAHSLLPKTPKKRGPDLLPLLSILSLPMALTVEKTTSGREYKVKDMSQADFGRLELELAEVEMPGLMACRAEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEYAKNGTVPDPSSTDNAEFQIVLTIIRDGLKADPKKYTRMKERLVGVSEETTTGVKRLYQMQENGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSDADIFVTTTGNKDIIMVSHMKKMKNNAIVCNIGHFDNEIDMQGLETYPGVKRITIKPQTDRFVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSPEQAAYISVPVEGPYKPPHYRY >itb15g21460.t1 pep chromosome:ASM357664v1:15:24162656:24165492:1 gene:itb15g21460 transcript:itb15g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSRFQMDRPFLIASPYEEEKIRRSQECTQEGIRAGAKAAAIACVSSAVPTLVAVRTIPWAKANLNYTAQALIISAASIAAYFITADKTILECARRNTHYDKPT >itb07g00010.t1 pep chromosome:ASM357664v1:7:30879:33174:1 gene:itb07g00010 transcript:itb07g00010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIIAHLIVVGSGMMIRAFAQAYRQALSNASKTGAAQEVAQNIKRAGKAITETEARQVLGVAENSSWEEIMQKYDNLFEQNAKNGSFYLQSKVHRAKECLEAVYQSKSEGTN >itb12g10000.t1 pep chromosome:ASM357664v1:12:7974556:7975496:1 gene:itb12g10000 transcript:itb12g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETSNSARRMSTRSRKVAPKMAAALASSDNRTQAILARLDALESDNAVPEPVQLDDDDEASLDDDDQATKRGFLTTHGFSQGALY >itb03g25000.t1 pep chromosome:ASM357664v1:3:23978442:23979017:1 gene:itb03g25000 transcript:itb03g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSGGNEKSEKAEPAIASPDEAKRYQRSNSSTKVEKVSKTDFEKVERTHHSASAKSEKVIKSERPMSEKKVESVDAAKTGKSSASAHVRVEKTTNVGKSSSFGANPAPPKLNALIYCKDADRDKIRELIAQALCKVIDEVDDDLKADVNACDPYRIAVQVETTLYEKWGGSKGAQKFKYRSXISDAKSC >itb07g04490.t5 pep chromosome:ASM357664v1:7:2999160:3002530:1 gene:itb07g04490 transcript:itb07g04490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDLALQRCVVPAHEKIGVVDAQPVVHKRIPSLGNEGIAENGKPNWRGVNDRCRKEWAMFRRRVADAEKAYYKSIGFEPSNSTDH >itb07g04490.t8 pep chromosome:ASM357664v1:7:2998228:3001375:1 gene:itb07g04490 transcript:itb07g04490.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHK >itb07g04490.t3 pep chromosome:ASM357664v1:7:2998228:3002530:1 gene:itb07g04490 transcript:itb07g04490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDLALQRCVVVSYSLPCSFILSPKSANHPVQ >itb07g04490.t2 pep chromosome:ASM357664v1:7:2998228:3002530:1 gene:itb07g04490 transcript:itb07g04490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDLALQRCVVVSYSLPCSFILSPKSANHPVQ >itb07g04490.t1 pep chromosome:ASM357664v1:7:2998228:3002530:1 gene:itb07g04490 transcript:itb07g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDLALQRCVVPAHEKIGVVDAQPVVHKRIPSLGNEGIAENGKPNWRGVNDRCRKEWAMFRRRVADAEKAYYKSIGFEPSNSTDH >itb07g04490.t4 pep chromosome:ASM357664v1:7:2998617:3002512:1 gene:itb07g04490 transcript:itb07g04490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDSWRCVWHMIQNDLVHGWGLDLALQRCVVPAHEKIGVVDAQPVVHKRIPSLGNEGIAENGKPNWRGVNDRCRKEWAMFRRRVADAEKAYYKSIGFEPSNSTDH >itb07g04490.t6 pep chromosome:ASM357664v1:7:2998617:3002530:1 gene:itb07g04490 transcript:itb07g04490.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAFVEIMAPVFSRDSWRCVWHMIQVHSEPNFF >itb07g04490.t9 pep chromosome:ASM357664v1:7:2998228:3002530:1 gene:itb07g04490 transcript:itb07g04490.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAYE >itb07g04490.t7 pep chromosome:ASM357664v1:7:2998228:3002530:1 gene:itb07g04490 transcript:itb07g04490.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTNKMKNFGRCAKPNETKRIILTVILGFIFGFLIGLSHSPPTFKLNVAESLVNITKKGVNSSSETSNSTVNHNVTDHTKIWVSSNPRGAERLPPQIIVSESDFFPRRLWGKPSEDLTSTPKYLVTFTVGYNQRNNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSAHKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVENFNAEEYIKLVKKHGLEISQPALEASSGVSWQMTRRKPDREVHKETEEKPGWCSDPHLPPCAAYE >itb03g15950.t1 pep chromosome:ASM357664v1:3:15121600:15126903:1 gene:itb03g15950 transcript:itb03g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MNSTDSIKPLLAAAAGDTVKLFDLSLEPRDTCILTYTPSPGSQVNSVKWSHTNLVVASAGDDKKISLWRKNGQTLGTIPAAGSDSGDSIEESISTINFNNKGSRYLCSGGSGQVVRIWDLQRKRCIKWLKGHSDTITGAMYNCKDEHLASISFNGDLILHNLASGARAAELKDPNEQVLRVLDYSRISRHLLVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTTGISFSPSNDKIIASVGLDKKLYTFDSGSRRPAFCIPYEAPFSSLAFTDDGLTLAAGTSSGRVVFYDIRGKPQPLTVQRAFGNSEAVTSLCWQRSKPIIVNENNCTAETALLGTAVEDSILMPDPLPAVTSSSLSTSTTVSGSRNTGRTGPSVDSLSFLSGGSGSTSGTRFTSEETPIRNELWKGGALGRLNAPRYNFKDDMEVFSPLVDVQPITPSLDKLWDDHDASKKDHEKKSSFLLPSRRFPLLDEGASENLSVFDWKSSTVSKQDDIRSSLSQMASTPSASSRSDDSSSITPPEAWGGERFSDRLAHLRQSRFAMSTSSSLASGTMFSGLQDTFPASHSMSSLTSSSLSLENLRVRETSNEETSLGSSDHVPLSSTSLSLGTKGLTGQGSLDSLGTALALPRKFSSYAERISTTSSFSDGTLSVGSPKTKKTGAETREELLNSLLSRSDTASPKATGAFQSMNGDVKQPMKNSSQPDSQQGSSFTLQLYQRTLEETLASFQKSIHEDMRNLHIEILRQFHMQEVETSSVMKSILENQAELMREIQLLRKETQQLRQLL >itb05g16360.t2 pep chromosome:ASM357664v1:5:23570523:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSHFTFLGTFSLSVFSYLLPLFSHFSAFLFRLRKGNGTLEKSFDFSETEAEVDGAESKRESGKAENKSQKQKDCFDFSHEALFQSEKEKEWLVTDSYSAATGFEHMSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKN >itb05g16360.t1 pep chromosome:ASM357664v1:5:23570523:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKN >itb05g16360.t3 pep chromosome:ASM357664v1:5:23570523:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKKERKLKDILRSENSMLRGFSRCKEKDTYHHHHVLYFFSQVDMKLVSRVLNMSAITRDQLQWCHNKLSTISFQQTKIHVQPSFLLFPSSY >itb05g16360.t6 pep chromosome:ASM357664v1:5:23571974:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKVCV >itb05g16360.t5 pep chromosome:ASM357664v1:5:23571230:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSHFTFLGTFSLSVFSYLLPLFSHFSAFLFRLRKGNGTLEKSFDFSETEAEVDGAESKRESGKAENKSQKQKDCFDFSHEALFQSEKEKEWLVTDSYSAATGFEHMSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKN >itb05g16360.t4 pep chromosome:ASM357664v1:5:23570523:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSHFTFLGTFSLSVFSYLLPLFSHFSAFLFRLRKGNGTLEKSFDFSETEAEVDGAESKRESGKAENKSQKQKDCFDFSHEALFQSEKEKEWLVTDSYSAATGFEHMSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKKERKLKDILRSENSMLRGFSRCKEKDTYHHHHVLYFFSQVDMKLVSRVLNMSAITRDQLQWCHNKLSTISFQQTKIHVQPSFLLFPSSY >itb05g16360.t7 pep chromosome:ASM357664v1:5:23571974:23575330:-1 gene:itb05g16360 transcript:itb05g16360.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSHFTFLGTFSLSVFSYLLPLFSHFSAFLFRLRKGNGTLEKSFDFSETEAEVDGAESKRESGKAENKSQKQKDCFDFSHEALFQSEKEKEWLVTDSYSAATGFEHMSRLGDSLRSDGFLSDEDFEIDSFKDLNYGDNVVIFHHPEIPDENNQDSGQFAGSESYVIMEEELRELEQDHLCKMESMASEFLSESDFHEELESAEEDLDNSGDANRWEHQELIEQLQSEVSELRKVRTNSLPTILEESDDESPEMSLQKSDESPEMEEDYWKPWKNVDQSFQPENCMADELHQFYHAYKERMRRFDILTYQKMYAIGFLHKEPLKDPLQLLSTQKSSSLKSLLSQNILLFKHKNRGVDPLTSFIKELRRDLETVYVGQMCLSWEFLHWQYVKALDLRGSDPRRLHLYNEVSEEFQQFMALMLRFMEDEPFQGTRVQHYIKCRCDFRSLLQVPLIKEDSWMEETKARRFENGGNPITSDMLVEILEECIRTFWRFVKADKESSAGAVGKGQKRVHPEVRNAEDIRLLREVTKSLHKVCV >itb05g22400.t1 pep chromosome:ASM357664v1:5:27830967:27831212:1 gene:itb05g22400 transcript:itb05g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAMLVAEEYERRVKNSRKYGGGEEIDFLSGFSTVSQKLRRTCSWVMVVDDAEKKRMMDKVLEPRSGFSVAARNGFFSA >itb09g08660.t1 pep chromosome:ASM357664v1:9:5158354:5162773:-1 gene:itb09g08660 transcript:itb09g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEGRTPNTHTREKAGFTITPCGIIMTILIPILLSLALDERRKTKKRGVRVKAGGEAGITMRNAKFSRLVDVPWEGAMTMAALFEQSCRKHLNEPFLGKRKVISREFITIGEGRKFEKLHLGEYQWESYGQIFDRASNFSSGLVKLGHNLDTRVAIFSENCPEWLIAFQGCFRQNITVVTIYVSLEDDAVMYSLNETQASTVICDAMQRKRLTAISASLETIKNVIYFEDDETASDSEISENPGNWTVSSLSEVEKLGKSSPVHPRLPIKNDIAVIMFTTGSTGLPKGVMITHGNIVATAAAGMSKIPKLGVKDVYLAYLPLAHVYELAAETTILAAGGSIGYGSALTLTDTSNNIKRGTRGDASVLKPTLMAAVPAVLDRVREGVMKEVEEKGGNVEALFNIAYKRRLEALEGSWFGIWRLETRLWDKIIFKKIQTILGGKIRLMLSGGAPLSEDTQRFIKICIGAPVCQGYGLTETFAGATFSEWDDTFVGHAGPPLPCAYIKLVSWEEGGYRITDKPMPRGEVVVGGCSVAAGYFNNDAETNDAYHVDERGMRWFYTGDIGRFHRNGCLEIIDRKKDIVKLQHGEYISLGKVEAALLQSDYVASIMVYADPFHNYCIALVVPSREALLNWAEEYGFRTRNLPELCDRLEAIKEIQHSLYEVGIDAGLDRLEIPAKIKLLPEPWTPESGLVTAALKLKRAQIKATFKDELSKLYK >itb14g20590.t1 pep chromosome:ASM357664v1:14:22903767:22911117:-1 gene:itb14g20590 transcript:itb14g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFKKPVKCSLVDVFTDSAFKGNPALVCVLDEESERDSEWMKCIAREFNAPMTCFLVRIAQSEVVLSDSAVVNPRFHLRWFTPFAVEVELCGHATVAAAHHLFSNGLVRTETVEFSTLSGVLCARKLPDDQAADNTFYVELDFPIIPVSDINCAGDIPAVAKCLNGASVVEISRTAKGDLFIVLPSADAVVAVDPDMDEIKKLSGKGMILTGSAPSQSGFDFFSRVFWPKVGVPEDPVCGSAHCALAHYWHKKLGKCDFVAYAASARGGVLKLLVDEAKQRVLISGKAVAVMEDRPIRALKPRPPGCRGFCALSLRHSPSFSVLTLSTMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKTVKLTPKGKNPVTMDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPMGRGRGRGRGRGRGRGR >itb15g23830.t1 pep chromosome:ASM357664v1:15:26702553:26705235:-1 gene:itb15g23830 transcript:itb15g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFISFVFFLCFIILLHTQWRKKAKTRRKEPPGPWKLPIIGNLHQLSASSQQPTHRVLRELVKKYGSQGMMKLQIGEINAVVVSSPAVAKELIRNHDLTFATKWQSLASKTLFYNDFGVVFSPYGDYWRQLRKVYSTELLNAKNVRSFSSIRHDEIHSLLADLHSSSGQLVNFTHRIFLLMSSIICKSAFGKVFTGREEFLEQIKEISEFLGGFDFADVFPSWKVLHGLCGNKKRIMKTRRKVDGIIENIIKEHREKVESGDCLIDVLITQMDSGGLKLPITHDTIKGVIVEIFAAGSDTSSTATVWAMSEMMKNPRVLAKAQAEVREAFRGKEKLEEEDMEELAYLKSVVKETLRFHPPLPMLVPKECMEETMVCGYTIPLKSKILINVWAMGRDPQYWEDPESFIPERFEKSSIDFMGNHFEFLPFGGGRRICPGLGFGFANALSPLAHLLFHFDWKLPSGVTADTLDMTEMNGIAVARKNDLFLIPTPIHASS >itb14g04060.t1 pep chromosome:ASM357664v1:14:3627000:3628708:-1 gene:itb14g04060 transcript:itb14g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETVKLLGSWASPVVLRARIAFNIKSVAYEFVEENFAAKSDLLLKSNPLYKKVPVIIHNQNPVCESLLIVQYIDEVWTSGPAIMPAHPYDRAIHRFWATYIDDTWFPKLQTVATAEDEEARKAAIGEVEEGLGVLEGAFQSCSKGKKFFGGERMGFLDIALGCFMAWIRVTETFNRIKFLDEAKVPGLANWAEDFCTDDAVKDVMPSTEKLGEFAMKIFTHMKPQS >itb07g21790.t1 pep chromosome:ASM357664v1:7:26340234:26340560:1 gene:itb07g21790 transcript:itb07g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNVIDPSEIDYADDGRYRIDLSVRSKSSGANWILMEKQWDSFVVLMTMMGEELEVYVEVHAWQKIFCVAEIHRNREGEVRFFPSTRGCIPSYNKEDGEKKRKGRWR >itb09g15730.t1 pep chromosome:ASM357664v1:9:10987438:10991018:-1 gene:itb09g15730 transcript:itb09g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGILLGMGNPLLDISSVVDNDFLNKYEIKSNNAILAEEKHLPMYEEMSSKYSVEYIAGGATQNSIRVAQWMLQKPGATSYMGCIGKDKFGGEMKKHAKEAGVNAHYYEDENTPTGTCAVCVVDGERSLVANLSAANCYKSDHLKKPENWALVEKAKYYYIAGFFLTVSPESIQLVAEHAAAKNKVFTMNLSAPFICEFFKDVQEKAFPYVDYVFGNETEARTFSKVHGWETDNVEEIALKISQWPKASGTHKRITVITQGADPVVVAEDGKVKLFPVTQLPKEKLVDTNGAGDAFVGGFLSHLVQEKPIADCVRAGVYAANVIIQRSGCTYPEKPDFQ >itb12g20460.t1 pep chromosome:ASM357664v1:12:22935382:22942919:1 gene:itb12g20460 transcript:itb12g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPENITLLLLIIYLFAFHPISASHFNTTKTHSLLLAKNLREANELEVASLLTWKSSLDLKSQKLLSSWVVGGSHCNWTGINCNVDGSITSLNLTGYGLQGTLSGLNFSSLSSLEILDLSANSFHGNISFIEEMFNLQNLTILDLGDNQFLGHIPQEIGSLVSIVELALYKNSLIGRIPTSIGNLKNLKRLYLRYNNLLGKVPPEIGNLLMLVHLSLAKNQLYGSIPRELGKLTSLQGLWLYSNNLNGRIPISLGNLQNLKFLSLYTNNLSGHIHQEIGLLKSLVVCDLSSNALMGQIPLEIGNLSMLVNLSLWGNQLYGSIPKELGKLTSLQGLWLYSNYLSGQIPISLGNLQNLKFLSLYTNNLSGHIPQEIGLLKSLVVCYLSSNALTGQIPPEIGNLSMLTNLSLFQNQLYGPIPKEIGKLRSLQSLRLDSNNLSGKIPTTIGNLKRLKWLNLKHNNLSGQFLENLVVNSLVEIDFRSNALTEIDFRSNALTGHIPTSIENLRNLTIFYLDENQFSGNIPQEIGNLSQLVYLSLLGNKLYGPIPKELGKLASLQGLWLYSNNFSGQIPISVGNLQNLKFLSLCTNNLSGHIPQELGLLKSLVVCDLSSNTLTGQIPPEIGNLSMLVNLSLWENQLYGSIPKELGKLTSLQGLFLNSNSLNGQIPISLGNLQNLKFLFLYTNNLSGHIPQELGLLKSLVVCDLSSNALMGQIPLEIGSLISLTEINFRSNKLIGQIPTSIGNLRNLTIIYLDQNQIFGNIPLEMGNLSKLTNLGLSENQLYGSIPEEFGKLKSLQKLWLYSNNLNGQIPRSFDNFTHLITFQVFDNHLTGSLPENLCLGQSLENVSVAYNEFSGKIPKSLKNCTTLYRLRLESNELYGDISKDFGIYPNLDYIDLSYNNFYGRLSSKWALCPKLTAMKMAGNRITGNIPLQLSNASQLRYLDLSSNQLVGRIPGSLGKLSLLYMLKLDNNRLTGNIPLEVGELSWLEDLNLASNKFVNSIPQQIGRCERLITLNLSRNMLVGKIPPDMLSLKSLENLDLSHNILSAQIPPQVGKLTNLQTLDLSHNNLSASIPSTIAQCVIGLLKSLVVCYLSSNALTGQIPPEIGNLSMLTNLSLFQNQLYGPIPKEIGKLRSLQSLRLDSNNLSGKIPTTIGNLKRLKWLNLKHNNLSGHIPREIWLLISLVEIDFRSNALTGHIPTSIENLRNLTIFYLDENQFSGNIPQEIGNLSQLVYLSLLGNKLYGPIPKELGKLASLQGLWLYSNNFSGQIPISVGNLQNLKFLSLCTNNLSGHIPQELGLLKSLVVCDLSSNTLTGQIPPEIGNLSMLVNLSLWENQLYGSIPKELGKLTSLQGLFLNSNSLNGQIPISLGNLQNLKFLFLYTNNLSGHIPQELGLLKSLVVCDLSSNALMGQIPLEIGSLISLTEINFRSNKLIGQIPTSIGNLRNLTIIYLDQNQIFGNIPLEMGNLSKLTNLGLSENQLYGSIPEEFGKLKSLQKLWLYSNNLNGQIPRSFDNFTHLITFQVFDNHLTGSLPENLCLGQSLENVSVAYNEFSGKIPKSLKNCTTLYRLRLESNELYGDISKDFGIYPNLDYIDLSYNNFYGRLSSKWALCPKLTAMKMAGNRITGNIPLQLSNASQLRYLDLSSNQLVGRIPGSLGKLSLLYMLKLDNNRLTGNIPLEVGELSWLEDLNLASNKFVNSIPQQIGRCERLITLNLSRNMLVGKIPPDMLSLKSLENLDLSHNILSAQIPPQVGKLTNLQTLDLSHNNLSASIPSTIAQCVALVSVDISYNHLDGPIPNTKAFLQAPYSALSNNIGLCGNHSGLMPCSSK >itb08g02290.t1 pep chromosome:ASM357664v1:8:1833405:1837525:1 gene:itb08g02290 transcript:itb08g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPGHVLPGTLFLVVGVWHMWCSIVRYVSNPEAFRVRVWNPVMGFNEKFKYLELYVIAIGGLIDFCIELFYSTHLKLFVDGVLNPSHMNNFEHAGMLLMFFVFGIIVLLSEKTSFLPLPHQALCLIASTAFCAEYFLFYFHSTTHKGLEGYYHLILVLLIGLCIVTTIAGALVPANFPVDLSSGIAITLQGLWFYQTAFTLYGPMMPEGCSLQGNEVLCRSTDSQVRGESLANLQLFSMVFCVLAGVAGAYGFAVSKFGYPEIILPVVTLDG >itb08g02290.t2 pep chromosome:ASM357664v1:8:1833324:1837561:1 gene:itb08g02290 transcript:itb08g02290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPGHVLPGTLFLVVGVWHMWCSIVRYVSNPEAFRVRVWNPVMGFNEKFKYLELYVIAIGGLIDFCIELFYSTHLKLFVDGVLNPSHMNNFEHAGMLLMFFVFGIIVLLSEKTSFLPLPHQALCLIASTAFCAEYFLFYFHSTTHKGLEGYYHLILVLLIGLCIVTTIAGALVPANFPVDLSSGIAITLQGLWFYQTAFTLYGPMMPEGCSLQGNEVLCRSTDSQVRGESLANLQLFSMVFCVLAGVAGAYGFAVSKFGYPEIILPVVTLDG >itb01g30890.t2 pep chromosome:ASM357664v1:1:34731660:34736035:1 gene:itb01g30890 transcript:itb01g30890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSKVEAVKTGEMETRSLSSSQHEESVPYIHRVGAPPKVNLFKEFKNTVKETLFSDDPLRSFKDQPRSRKFVLFIQAVFPILEWGRHYSFAKFRGDLISGLTIASLCIPQDIGYSKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLRNEIDPVKNKTEYMRLAFTATFFAGITQAILGILRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVSVMKSVWGSVHHGWNWQTILIGASFLAFLLLAKYIGKKNKKFFWVPAIAPLISVVLSTFFVYITHAEKRGVEIVRHIEKGINPSSVNEIYFSGEYVLKGVRIGIVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNFMAGCNTAVSNIVMSCVVFLTLEFITPIFKYTPNAILSAIIISAVIGLIDYEAAILIWKIDKFDFVACMGAFLGVVFVSVEIGLLIAVSISFAKILLQVTRPRTASLGRIPRTNVYRNIQQYPEATKVPGVLIVRVDSAIYFSNSNYTRERILRWLEDEEEHLKAASLPRIEFLILEMSPVTDIDTSGIHALEELHSSLQKRNVQLVLANPGRVVIDKLHASNFTNLIGEEKIFLTVADAVLTCSPKLADV >itb01g30890.t1 pep chromosome:ASM357664v1:1:34729016:34736035:1 gene:itb01g30890 transcript:itb01g30890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSKVEAVKTGEMETRSLSSSQHEESVPYIHRVGAPPKVNLFKEFKNTVKETLFSDDPLRSFKDQPRSRKFVLFIQAVFPILEWGRHYSFAKFRGDLISGLTIASLCIPQDIGYSKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLRNEIDPVKNKTEYMRLAFTATFFAGITQAILGILRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVSVMKSVWGSVHHGWNWQTILIGASFLAFLLLAKYIGKKNKKFFWVPAIAPLISVVLSTFFVYITHAEKRGVEIVRHIEKGINPSSVNEIYFSGEYVLKGVRIGIVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNFMAGCNTAVSNIVMSCVVFLTLEFITPIFKYTPNAILSAIIISAVIGLIDYEAAILIWKIDKFDFVACMGAFLGVVFVSVEIGLLIAVSISFAKILLQVTRPRTASLGRIPRTNVYRNIQQYPEATKVPGVLIVRVDSAIYFSNSNYTRERILRWLEDEEEHLKAASLPRIEFLILEMSPVTDIDTSGIHALEELHSSLQKRNVQLVLANPGRVVIDKLHASNFTNLIGEEKIFLTVADAVLTCSPKLADV >itb01g30890.t3 pep chromosome:ASM357664v1:1:34731740:34736097:1 gene:itb01g30890 transcript:itb01g30890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHSKVEAVKTGEMETRSLSSSQHEESVPYIHRVGAPPKVNLFKEFKNTVKETLFSDDPLRSFKDQPRSRKFVLFIQAVFPILEWGRHYSFAKFRGDLISGLTIASLCIPQDIGYSKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLRNEIDPVKNKTEYMRLAFTATFFAGITQAILGILRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVSVMKSVWGSVHHGWNWQTILIGASFLAFLLLAKYIGKKNKKFFWVPAIAPLISVVLSTFFVYITHAEKRGVEIVRHIEKGINPSSVNEIYFSGEYVLKGVRIGIVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNFMAGCNTAVSNIVMSCVVFLTLEFITPIFKYTPNAILSAIIISAVIGLIDYEAAILIWKIDKFDFVACMGAFLGVVFVSVEIGLLIAVSISFAKILLQVTRPRTASLGRIPRTNVYRNIQQYPEATKVPGVLIVRVDSAIYFSNSNYTRERILRWLEDEEEHLKAASLPRIEFLILEMSPVTDIDTSGIHALEELHSSLQKRNVQLVLANPGRVVIDKLHASNFTNLIGEEKIFLTVADAVLTCSPKLADV >itb03g19690.t1 pep chromosome:ASM357664v1:3:17684255:17687756:1 gene:itb03g19690 transcript:itb03g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARDQRSKSSRPTTIHGFAQSGDLNAFHKMLNDNPSLLNERNPVMAQTPLHVSAGYNNIEIVKLLLGFQGPEKVELEAKNMYGETPLHMAAKNGCNEAAKLLLSHGALVEARANNGMTPLHLAVWHSIRVEDYSTVKTLLEHNADCSVKDNEGMTPLNHLSQGPGNEKLRELLHKHLEEQRRRKALEACGETKAKMDELENELSKIVGLHELKVQLRKWAKGMLLDERRQALGLKVGARRPPHIAFLGNPGTGKTMIARVLGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVISSNEGFCRRVTKYFHFNDFSIEDLATILHLKMTYQAEGSLLFGFKLHPSCSVETVAALIKRETTEKQRKEMNGGLLDPMLVNARENLDFRLSFDCVDAEELLTITLEDLEAGLRLLSQ >itb03g19690.t2 pep chromosome:ASM357664v1:3:17684308:17687649:1 gene:itb03g19690 transcript:itb03g19690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARDQRSKSSRPTTIHGFAQSGDLNAFHKMLNDNPSLLNERNPVMAQTPLHVSAGYNNIEIVKLLLGFQGPEKVELEAKNMYGETPLHMAAKNGCNEAAKLLLSHGALVEARANNGMTPLHLAVWHSIRVEDYSTVKTLLEHNADCSVKDNEGMTPLNHLSQGPGNEKLRELLHKHLEEQRRRKALEACGETKAKMDELENELSKIVGLHELKVQLRKWAKGMLLDERRQALGLKVGARRPPHIAFLGNPGTGKTMIARVLGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKVNFVIQNVYLFNITYSRGFFDQANGCICRFKKLRGGFYLLMRPID >itb02g04910.t2 pep chromosome:ASM357664v1:2:2934037:2936635:-1 gene:itb02g04910 transcript:itb02g04910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIREGGEDEKRVGLIGGVFVPPPNFAAVEDFCIYRSGFPQPSNFPFIQSLNLRSIIYLCPEPYPEETKEFLRLNKVQLFQFGMEGTKEPSAIPRGAITEALKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWRLSSVLEEYKHFAGVKWRESDIRFLEEYDVSCIRYSLQSIIYRYYGSKKRRLLYGEEESVQKPRITSV >itb02g04910.t1 pep chromosome:ASM357664v1:2:2933759:2936635:-1 gene:itb02g04910 transcript:itb02g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIREGGEDEKRVGLIGGVFVPPPNFAAVEDFCIYRSGFPQPSNFPFIQSLNLRSIIYLCPEPYPEETKEFLRLNKVQLFQFGMEGTKEPSAIPRGAITEALKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWRLSSVLEEYKHFAGVKWRESDIRFLEEYDVSCIRYSLQSIIYRYYGSKKRRLLYGEEESVQKPRITSGKEISLVGNQPTKEKRRSDNSLTKRLMRFFRTKLFKKRCKSLI >itb09g05180.t1 pep chromosome:ASM357664v1:9:2937693:2943568:1 gene:itb09g05180 transcript:itb09g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAISLLANSDIASAKTSTGRYASVYSEVQNSRLDHPLPLPSVLRKPFKVVDGPASSAAGHPEEIAKLFPGLFGQPSAALVPDDSGDVAMNQNLKIGVVLSGGQAPGGHNVISGIFDYLQDHCKGSTLYGFRGGPAGIMKCKYVILTPEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNVTLIGEEVFAKKDTLKNVTDYIADVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHGVVDDAGVWKRKLTPQCLQLFEILPQAIQDQLLLERDPHGNVQVAKIETEKMLIQMVETELELRRKSGSYNGQFKGQSHFFGYEGRCGLPSNFDATYCYALGYGAGALLQSGKTGLISSVGNLAAPVSDWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASMREEWALKNRYLNPGPIQFVGPVADQVNHTLLLELGA >itb15g13560.t1 pep chromosome:ASM357664v1:15:11574177:11577790:1 gene:itb15g13560 transcript:itb15g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKGRHYAYRGRKARSDYKCYEQSDEDYKVCKNEVFDESEDEYSSFVGDTSEESLGEYEDKEEEKEMEEMDSRVVKKVVKKNDVRPKAPKRQKNGVVKRRKRKRLVYRGEDDDNDICDDDDDEEEFTPYGINRVVKRRKRKQVAYREEDTDIDDDDDDDDDREFTPDEIDGVGDDEDELPMTRRKKKLCKPQLRKGNGKGMQRKRISEVSRKKTRKKNSRKKISKISKKTTGKKRRKKRTVKRKARFDDGDGESMNINTMEKKTRKNIGAGRRKRRIIIDSDSDFVTSESSDLEYTISEEEREQVREASKFCRGLTASWRRPAFLKNSPEEKTQRLQKKRSLRKDKEKVEEVKTESGKQICGICLSEEGKKTIRGTLNCCSHYFCFACIMEWSKVESRCPLCKQRFVTISKPAKSSAGFDLRPVVIQVLDRDQVYEPSEEELRGYLDPYENVICTECQQGGDDALMLLCDICDSPSHTYCVGLGHEVPEGNWYCEGCRSSSPGFQNPQNSNRTPESRTVNNFSVRLPPIANMRDTFDLNEIYVPDTPLAQEVHCTPSPRDSQAASPASGSVASTVSDRRRIHRRINQFLSNRTRQVDSRTRDNG >itb15g13560.t2 pep chromosome:ASM357664v1:15:11574183:11577730:1 gene:itb15g13560 transcript:itb15g13560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGKGRHYAYRGRKARSDYKCYEQSDEDYKVCKNEVFDESEDEYSSFVGDTSEESLGEYEDKEEEKEMEEMDSRVVKKVVKKNDVRPKAPKRQKNGVVKRRKRKRLVYRGEDDDNDICDDDDDEEEFTPYGINRVVKRRKRKQVAYREEDTDIDDDDDDDDDREFTPDEIDGVGDDEDELPMTRRKKKLCKPQLRKGNGKGMQRKRISEVSRKKTRKKNSRKKISKISKKTTGKKRRKKRTVKRKARFDDGDGESMNINTMEKKTRKNIGAGRRKRRIIIDSDSDFVTSESSDLEYTISEEEREQVREASKFCRGLTASWRRPAFLKNSPEEKTQRLQKKRSLRKDKEKVEEVKTESGKQICGICLSEEGKKTIRGTLNCCSHYFCFACIMEWSKVESRCPLCKQRFVTISKPAKSSAGFDLRPVVIQVLDRDQVYEPSEEELRGYLDPYENVICTECQQGGDDALMLLCDICDSPSHTYCVGLGHEVPEGNWYCEGCRSSSPGFQNPQNSNRTPESRTVNNFSVRLPPIANMRDTFDLNEIYVPDTPLAQEVHCTPSPRDSQAASPASGSVASTVSDRRRIHRRINQFLSNRTRQVDSRTRDNG >itb07g06880.t1 pep chromosome:ASM357664v1:7:5194811:5195480:-1 gene:itb07g06880 transcript:itb07g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISFHGDLFHEILRARPHHLPSMSSIVHAVDGQWGTQGCTTIFKFTQDGKTEIAEHVMDTIDDEKKLLKYRAIKGDVLKSYKSFIITCEVDTNGDDNLVTWTLLYKKLKEETPEPFTYLNFFFKLTKEMDNHHAKPSPAH >itb03g16000.t1 pep chromosome:ASM357664v1:3:15156088:15158271:1 gene:itb03g16000 transcript:itb03g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMFGRPGKASGLVLRIGQCGFAAASLGVMASASGFSIATAFCYLIASMGLQVIWSFILACNDIHSLRVKRDLRNHIFMSLSVVGDWVTATLSLGAACSSAGVMVLLARDTTFCRAQANIDCNMFQISIALAFISWFFLAISSLVTFCLAASS >itb09g05660.t2 pep chromosome:ASM357664v1:9:3215401:3220013:-1 gene:itb09g05660 transcript:itb09g05660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGSSLRHRLLFIVTAVLFSPLLTSCRLVSVTASSDPSPFPSGFLFGTASSSYQYEGGYLADGKGLSNWDVFTHKSDKIEDGSNGDVADDQYHQFQEDIDLMASLGMNSYKFSISWARILPKGRYGNINIGGINYYNNLIDALLLKGIQPFVLLNHFDIPQELENRYQSWLSPKMQEDFGYFADICFKNFGDRVKYWVTFNEPNMYVPLGYRSGDYPPNRCSKPYGNCTRGDSEREPFLVGHNIILAHAAAVNIYRTKYQEEQGGQIGFAAHTFWFEPVSNSTEDKLAAERGQAFFSNWFLDPIIYGRYPKEMKDILGSLLPEFSSEDLEKLKTGLDFIAINHYTSFYVQDCMYSACGPVDGNTRIEGFIGKSSIKNGIPIGESVSTVILTTLCITFLCLLFINFGSILALCRQGWTYSMFTQKE >itb09g05660.t1 pep chromosome:ASM357664v1:9:3215401:3220013:-1 gene:itb09g05660 transcript:itb09g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGSSLRHRLLFIVTAVLFSPLLTSCRLVSVTASSDPSPFPSGFLFGTASSSYQYEGGYLADGKGLSNWDVFTHKSDKIEDGSNGDVADDQYHQFQEDIDLMASLGMNSYKFSISWARILPKGRYGNINIGGINYYNNLIDALLLKGIQPFVLLNHFDIPQELENRYQSWLSPKMQEDFGYFADICFKNFGDRVKYWVTFNEPNMYVPLGYRSGDYPPNRCSKPYGNCTRGDSEREPFLVGHNIILAHAAAVNIYRTKYQEEQGGQIGFAAHTFWFEPVSNSTEDKLAAERGQAFFSNWFLDPIIYGRYPKEMKDILGSLLPEFSSEDLEKLKTGLDFIAINHYTSFYVQDCMYSACGPVDGNTRIEGFIGKSSIKNGIPIGESTGMDILYVYPEGMKKTVTYLKERYNNTPLYIAENGYCEIIDSQSKDEELLYDIKRVNFMTEYIDALSTAMREGADVRGYFAWSLLDNFEWLYGYTKRFGLYQVDRRTLKRTPKLSATWYKQFIAEQKRTITQVQKISPKLYQ >itb01g18010.t1 pep chromosome:ASM357664v1:1:22904049:22908929:-1 gene:itb01g18010 transcript:itb01g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIPHVLLLMTFSVPTTTFANMICLAMTVSKPSATLRLILSPSVKLPSRTLRWVGCGHRNKFKFSNNTTSGIVGLGYSNVSIIKYLHKEIGEKFAHCLSHQSDSKSYISFGTDAIVKGPDVVLILFLISLVKPLY >itb13g21670.t1 pep chromosome:ASM357664v1:13:28219091:28219904:1 gene:itb13g21670 transcript:itb13g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATATTLPSILLILIVAFTIANTAVAHPLYPSDKTLLFKKCAEVLSNPNDSNLVTFCARNLLGHRAAFLATCDRRKTVAVVLKEAYNKVKAFKALENKINSDKSLSAKEVQDLERCWGFINKVVGSTKTMFSNALVKETSTDSLVELKGMEGVDGRAAMKEHCHFPAVESTLWLELKVKAVESLRADVVAMAFVDHLYSITH >itb14g16210.t1 pep chromosome:ASM357664v1:14:19506941:19508551:-1 gene:itb14g16210 transcript:itb14g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSETTTNSSRSSSSPPPPATPPPIPVVSPCAACKILRRRCAEKCVLKPYFPPNDPLKFTTAHRVFGASNIIKILQDLPEAQRADAVSSLVYEANARLRDPVYGSAGAICHLQKQVNELQAQLAVAQAELVNIQMQCQQANLMALIYMENTAPKSAPLQQSSFDDDADLAFLDENNFLGSYFWDQSLSSLDMMSVL >itb09g11210.t1 pep chromosome:ASM357664v1:9:7008581:7012321:1 gene:itb09g11210 transcript:itb09g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFEKPEAHFLIGVTVALIAAGAAAYYVYSSKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFELPTPTSVLGLPIGQHMSCRGKDGQGEEVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDHTKVYLIYANVTYEDILLKEELDGLSANYPDRFKVYYVLNQPPEVWNGGVGFVSQEMIQSHCPAPAPDVQILRCGPPPMNKAMAGHLEALGYTPEMQFQF >itb07g13630.t1 pep chromosome:ASM357664v1:7:15913746:15914258:-1 gene:itb07g13630 transcript:itb07g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVPFVVCLTAVFSLREVPLPSTAVEEKEEVKCFGVVNIIAIVIAVYLLVFDVSRLHGRLFSQVFVVILFILLAFPLSLSVYLTIKNYLRSNSNSNGLDVERNPTQPLLAQETHPMEKSGDYAPPAADDDAVKTPPVIGREDHTIFEAMKTVTFEYCLCHFCVEWERD >itb10g00730.t1 pep chromosome:ASM357664v1:10:495240:497542:1 gene:itb10g00730 transcript:itb10g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGRSGSAGNGSGGGSESLGGGGGQFRHHQTMLPPLPLHLRPTVPISPTAGGGGVLGGDDEFPRRDERVPPWSNQETRDFIAIRAELERDFASAKRSTSKALWEVVAAKMKELGYRRTAEQCKCKWKNLVNRYKGKETSDADSGRQCPFFEELCAVFTARENNMQQLQLESEVGPQRVRKRVRSADQSSEEFSEEEDNDYESDDDRVTTGSVASKKKAQKEKRPRPTATDKSSKNPASDRGNRSTLEGIHELLCSFIQQQLRIEMQWRESMEKCSKERELFEQEWRQTMEKLEKDRIMLEQAWREREEQRRIREESRAEKRDALLTMLLNKLINENQP >itb01g04100.t1 pep chromosome:ASM357664v1:1:2710672:2711565:-1 gene:itb01g04100 transcript:itb01g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRFVLRGYMLCFCLLIIIALFIGTISAPYGYYCLNTTYTATGTYGQFLESLLSDLSSDGYGNGFYNSSAGDDYDGSGNTVYGLFMCRGDVSITDCQTCVDGASTEILRLCWPPNQMAVVAWYDYCMLRYSNSSMLGRADQSPVIHFRNTQNDSQPASFMDAVGNTLNQLATRSSRSPKKFATLQAKYTANETIYSLGQCTPYLSDSDCHSCLTAAIQQLSRCCYSSLGARTYSTSCNIRYEVYSFYNSIADPAPPPAPLPIRPPPPVPFRPPPPPPPTGEGKPFLEIVIDGIYVN >itb12g23900.t5 pep chromosome:ASM357664v1:12:25531145:25533567:-1 gene:itb12g23900 transcript:itb12g23900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRRATAAPSQAAPLDYQDPQSQHPFHWHYSEFDDSNFQIRGRTLFFIVVLFAIILLITFVVLYARWVCRFRPRPIQSAHAPPLVSAAPPPPPRGLDPCVIDSIPIALHRSSVNGGGNVGETECCICLGIFQDGDKVKSLPQCRHCFHSECVDKWLRTQSSCPLCRTSLRFDSPV >itb12g23900.t2 pep chromosome:ASM357664v1:12:25530228:25533567:-1 gene:itb12g23900 transcript:itb12g23900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRRATAAPSQAAPLDYQDPQSQHPFHWHYSEFDDSNFQIRGRTLFFIVVLFAIILLITFVVLYARWVCRFRPRPIQSAHAPPLVSAAPPPPPRGLDPCVIDSIPIALHRSSVNGGGNVGETECCICLGIFQDGDKVD >itb12g23900.t1 pep chromosome:ASM357664v1:12:25530293:25533567:-1 gene:itb12g23900 transcript:itb12g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRRATAAPSQAAPLDYQDPQSQHPFHWHYSEFDDSNFQIRGRTLFFIVVLFAIILLITFVVLYARWVCRFRPRPIQSAHAPPLVSAAPPPPPRGLDPCVIDSIPIALHRSSVNGGGNVGETECCICLGIFQDGDKVD >itb12g23900.t3 pep chromosome:ASM357664v1:12:25530228:25533567:-1 gene:itb12g23900 transcript:itb12g23900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRRATAAPSQAAPLDYQDPQSQHPFHWHYSEFDDSNFQIRGRTLFFIVVLFAIILLITFVVLYARWVCRFRPRPIQSAHAPPLVSAAPPPPPRGLDPCVIDSIPIALHRSSVNGGGNVGETECCICLGIFQDGDKVD >itb12g23900.t4 pep chromosome:ASM357664v1:12:25530221:25533567:-1 gene:itb12g23900 transcript:itb12g23900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRRATAAPSQAAPLDYQDPQSQHPFHWHYSEFDDSNFQIRGRTLFFIVVLFAIILLITFVVLYARWVCRFRPRPIQSAHAPPLVSAAPPPPPRGLDPCVIDSIPIALHRSSVNGGGNVGETECCICLGIFQDGDKEINSL >itb03g21850.t4 pep chromosome:ASM357664v1:3:19789727:19793587:-1 gene:itb03g21850 transcript:itb03g21850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MGQGGSKKYRLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVCAIVLRALIDVCMRGQCGLFGKGGLIMFDVTSANIPYHLVDVPPVLVLAIVGGILGSLYNLILNKVQRTYNLINEKGIAYRILLACSMSIITSCLLFGLPWLATCRPCPSDTSEPCPTIGRSGNYKKFQCPPGHYNDLASLFFNTNDDAIKNLFSKDTDSEFHHSSMLIFFVTCFFLSIFSYGVVAPTGLFVPVIVTGAAYGRFVGMIVSGRSNLNHGLFAVLGSASLLGGSMRTTVSLCVIILELTNNLLLLPLIMLVLLVSKTVADSFNGNIYDLLVQAKGFPFLEAHAEPYMRQLTVSDVVTGPLQFFHGIEKVSNIVHILRTTGHNGFPVLDELPLSESPILFGLILRAHLITLLKQKAFMHDPVSISECAVDEFSSDDFAKKGLGHGDRIEDIILTNEEMEMYIDLHPFTNASPYTVLETMSLSKARTLFRQLGLRHLLVVPKIPGRVPVVGIMTRHDFMPEHVLNLHPSMALSRWKRLRFQMPRWNKLF >itb03g21850.t1 pep chromosome:ASM357664v1:3:19789727:19794916:-1 gene:itb03g21850 transcript:itb03g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MPPEPPTPAAEGDMEALTQPLLNHNNNLLRRSASNCTSQVAIVGSNVCPIESLDYEIIENDLFKQDWRSGRKIEIFQYVCMKWILCFLIGFLVSLVGFCNNLAVENIAGVKFVVTSNMMLARKYLAAFLVFTSANFGLTLFASLITAFIAPEAAGSGIPEVKAYLNGVDAPAIFSLRTLFVKIVGSISAVSSSLNIGKAGPMVHTGACIAAIMGQGGSKKYRLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVCAIVLRALIDVCMRGQCGLFGKGGLIMFDVTSANIPYHLVDVPPVLVLAIVGGILGSLYNLILNKVQRTYNLINEKGIAYRILLACSMSIITSCLLFGLPWLATCRPCPSDTSEPCPTIGRSGNYKKFQCPPGHYNDLASLFFNTNDDAIKNLFSKDTDSEFHHSSMLIFFVTCFFLSIFSYGVVAPTGLFVPVIVTGAAYGRFVGMIVSGRSNLNHGLFAVLGSASLLGGSMRTTVSLCVIILELTNNLLLLPLIMLVLLVSKTVADSFNGNIYDLLVQAKGFPFLEAHAEPYMRQLTVSDVVTGPLQFFHGIEKVSNIVHILRTTGHNGFPVLDELPLSESPILFGLILRAHLITLLKQKAFMHDPVSISECAVDEFSSDDFAKKGLGHGDRIEDIILTNEEMEMYIDLHPFTNASPYTVLETMSLSKARTLFRQLGLRHLLVVPKIPGRVPVVGIMTRHDFMPEHVLNLHPSMALSRWKRLRFQMPRWNKLF >itb03g21850.t2 pep chromosome:ASM357664v1:3:19789727:19794916:-1 gene:itb03g21850 transcript:itb03g21850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MPPEPPTPAAEGDMEALTQPLLNHNNNLLRRSASNCTSQVAIVGSNVCPIESLDYEIIENDLFKQDWRSGRKIEIFQYVCMKWILCFLIGFLVSLVGFCNNLAVENIAGVKFVVTSNMMLARKYLAAFLVFTSANFGLTLFASLITAFIAPEAAGSGIPEVKAYLNGVDAPAIFSLRTLFVKIVGSISAVSSSLNIGKAGPMVHTGACIAAIMGQGGSKKYRLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVCAIVLRALIDVCMRGQCGLFGGILGSLYNLILNKVQRTYNLINEKGIAYRILLACSMSIITSCLLFGLPWLATCRPCPSDTSEPCPTIGRSGNYKKFQCPPGHYNDLASLFFNTNDDAIKNLFSKDTDSEFHHSSMLIFFVTCFFLSIFSYGVVAPTGLFVPVIVTGAAYGRFVGMIVSGRSNLNHGLFAVLGSASLLGGSMRTTVSLCVIILELTNNLLLLPLIMLVLLVSKTVADSFNGNIYDLLVQAKGFPFLEAHAEPYMRQLTVSDVVTGPLQFFHGIEKVSNIVHILRTTGHNGFPVLDELPLSESPILFGLILRAHLITLLKQKAFMHDPVSISECAVDEFSSDDFAKKGLGHGDRIEDIILTNEEMEMYIDLHPFTNASPYTVLETMSLSKARTLFRQLGLRHLLVVPKIPGRVPVVGIMTRHDFMPEHVLNLHPSMALSRWKRLRFQMPRWNKLF >itb03g21850.t3 pep chromosome:ASM357664v1:3:19789727:19793587:-1 gene:itb03g21850 transcript:itb03g21850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MQSNWIVFANRYLAAFLVFTSANFGLTLFASLITAFIAPEAAGSGIPEVKAYLNGVDAPAIFSLRTLFVKIVGSISAVSSSLNIGKAGPMVHTGACIAAIMGQGGSKKYRLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVCAIVLRALIDVCMRGQCGLFGKGGLIMFDVTSANIPYHLVDVPPVLVLAIVGGILGSLYNLILNKVQRTYNLINEKGIAYRILLACSMSIITSCLLFGLPWLATCRPCPSDTSEPCPTIGRSGNYKKFQCPPGHYNDLASLFFNTNDDAIKNLFSKDTDSEFHHSSMLIFFVTCFFLSIFSYGVVAPTGLFVPVIVTGAAYGRFVGMIVSGRSNLNHGLFAVLGSASLLGGSMRTTVSLCVIILELTNNLLLLPLIMLVLLVSKTVADSFNGNIYDLLVQAKGFPFLEAHAEPYMRQLTVSDVVTGPLQFFHGIEKVSNIVHILRTTGHNGFPVLDELPLSESPILFGLILRAHLITLLKQKAFMHDPVSISECAVDEFSSDDFAKKGLGHGDRIEDIILTNEEMEMYIDLHPFTNASPYTVLETMSLSKARTLFRQLGLRHLLVVPKIPGRVPVVGIMTRHDFMPEHVLNLHPSMALSRWKRLRFQMPRWNKLF >itb08g01780.t1 pep chromosome:ASM357664v1:8:1429066:1431745:-1 gene:itb08g01780 transcript:itb08g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRRLAGILGFSREEGQEVHEENGDADANLASSSAVAAAVAAAAQAQHLHRRGFSVPIQVQVDRPAPGPVLLPCTAGDGGVQGLRWYAKRLRVDEDGDVADEFINEVLPEKLSIEEQDRQYPRFEVKYQTRPAKIRNQVLSSAGKIQLCVEHQGRLEI >itb07g13120.t1 pep chromosome:ASM357664v1:7:15255132:15255521:1 gene:itb07g13120 transcript:itb07g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEHVVRHCPKVATVWKILMGRVEARRMATMQFKYWFDDNVNGKVNTPYGEQCGGALRNHNNGKWLGGFMQAFHTTHDIEAEVWAIVKGLEWTWKKDVRHLIIQSDSKEAVDLINGRDTPRGHIRTP >itb04g20870.t1 pep chromosome:ASM357664v1:4:25875110:25891567:1 gene:itb04g20870 transcript:itb04g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAPFQIIDDDDDFDWEAAVREIDVACEATAAAAAVNVPFSSSSSAGASTSNYAPIKQTHQKLNGAPARQSTLDKFMGFSSKVKNAEPAPHNSNGFGDINENDFDNDESDDKGCCVPIDIEAAKTWIYPANLPCRDYQFSITRTALFSNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFAAPSRPLVMQQIEACHNIVDIPQEYTIDLTGQTNPTRRASLWKEKRVFFVTPQVLEKDILSGTCMVKHLVCLVIDEAHRATGNYSYCVVVRELMAVPIQLRILALSATPGSKQQAVQNIIDNLQISTLEYRNEGDPDVLPYVHDRKIQLIKVPMGKDAVEINNLLSDVIRPFAARLSALGVLQNRDYLAFSPCDILNSRDKFHRDPPRDLPHTKYGEIEGYFAVLLTLYHTRKLLSSHGIKYAFEMANDKLQQGASGRLLSRNETLLKAKLLMQKTVDHGAPSPKLSKMLEILSDHFKMNDPNNSRVIIFSNYRGSVRDILDELANIGPSVKATEFIGQSSGKASKGQSQKVQQAVLEKFRAGVYNVIVATSIAEEGLDIMEVDLVICFDSNVSPLRMTQRMGRTGRKHDGRVVVLAFEGSELKGYLRKQANSKNLKKIMWNGGMNSFNFHPSPRMIPHVIRPEVQHVKLLIETFVPRGKKAKGAHPVQIPTLENKLSDNEIDLLAKYFNSSGESLSKPSLIAYRHFQAFPSRVHRVSHSFRTEMLIDAMQHLEGLAFSSYAKASSEVETPGNLCMRVEAAETYENGEEDLETFGCSSEEDCERELSENDKDPAKTTVEVINSVKEFTGKNSHTHLSLFDSELVTVDDLGNVLVSPVPRLSSKEAPESKCVGVDLMAMMKLPKEDVCDLMDSDDLIHEKTMKFKGVFDDLGERVEENVQASRFCNMDEWQEEVFQSDRVLQTPVCKVKSKDSRTAEDPEAILDNTDIKKLSNDSEDVAALSPRLTNFILSGVVPESPLNSPDREKDEGQKLNSDDLMGSLQKSNQAVHYSTTIGENVLRSQINETFTPLQRRDKNGEREASRDSRSSLPNFYGNQSPFEKLSSPSCSKDWYLESQHKSERVGQKQFRRLRKLGDVCRKTSLECNEQTTISPKRLAGSCFTSNQTVNKKRRGEMKQVKDARAFIEVEAEVSSDGLVSDDEEEEDCNSYDDSFIDDRINLTAADTQADSSRMDMMAIYRRSLLTQSPMAQLPKASTHQTPDSMAPRSITNVTMSSSGTEYHPTPQAGLESSTRRSEATPCATTCPLEEKESKIENRKRKASSCETSPLPARNLENDFLLQPETGGGRSSPLPVQERKNEDMVLFDDDDDEFFRGIDLDAVEEEAARILRHKSQNQATSIPIPRNIDLFSDAPSFDLGI >itb14g02970.t1 pep chromosome:ASM357664v1:14:2684148:2686739:1 gene:itb14g02970 transcript:itb14g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRPEGASPSQPLVDSENVVLNLIKSKKNLGIWLADIKKEAKLQPAVVDKSLASLVKKNLIKQVKNIQNKARKHYMAVEFEPSEELTGGAWYTEGNLDKELINILKETCLKVINKLHVTTADGIFDFLKDRKAINCTLGQISEILRSMVLDNSVIEVKSSGLGEYHSIPVGATCYRIIASAGTGADKKMGSAMASIPCGVCPRISECTPDGIISPKACIYFSKWLEF >itb15g06810.t1 pep chromosome:ASM357664v1:15:4567550:4570349:-1 gene:itb15g06810 transcript:itb15g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDISTNVNLDGVDVDSFFKEATTAVANIIGKPEKYVMVVLKGSANIHFGGNKEPAAFAEVVSMGGINSEVKKQLISSIGSMMEANFSIPRTRFFLKVFDTTLAKKLSKI >itb02g14290.t1 pep chromosome:ASM357664v1:2:10439771:10443411:-1 gene:itb02g14290 transcript:itb02g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIACSQLLPSVALPLRSSLLQNSALRWLKHSETTHSLLVKTRQFSAAKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLSVLSDLSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPRSDIDVINLELVFSDLDQIEKRLEKLKKGRAKDSVSKLKEEAEKSALERIKQALMDGKPARSVSLTDFEKDAVNHLCLLTMKPVIYVANVAESEVAQPENNTHVKEVMNTASELQSGVVTISAQVESELAELALDERMEYLKSLGVNESGLGNLIRTTYALLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSFAAAREKGLLRSEGKEYVVQEGDVMLFRFNV >itb08g00910.t1 pep chromosome:ASM357664v1:8:644419:648967:-1 gene:itb08g00910 transcript:itb08g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSTKASPVLTDPAPLNKSRLGIHSPVLPYSQSGTSFSASMLTIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKILKDFSVEIITNDADVDYFSWMVKYPSALSSCQRILNQAMNRRIVMFLDYDGTLSPIVDDPDRAFMSDEMRAAVKNFAKYFPTAIISGRSRDKVYELVGLAELYYAGSHGMDIMFPAKDEMLSTHSNCIKSTDQNGKEINLFQPAHEFIPMINEVFKTLTENTKDIKGAMVENHKFCASVHYRNVNENLWPTVAQRVHDILKEYPRLRLTHGRKVLEIRPAIDWDKGKAVDFLLESLGLGNNQDVLPIYIGDDRTDEDAFKVLREGNRGYGILVSAVPKESKAFFSLKDPSQVKEFLESVVRMKEGKRASKA >itb08g00910.t3 pep chromosome:ASM357664v1:8:644419:648967:-1 gene:itb08g00910 transcript:itb08g00910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSTKASPVLTDPAPLNKSRLGIHSPVLPYSQSGTSFSASMLTIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKILKDFSVEIITNDADVDYFSWMVKYPSALSSCQRILNQAMNRRIVMFLDYDGTLSPIVDDPDRAFMSDEMRAAVKNFAKYFPTAIISGRSRDKVYELVGLAELYYAGSHGMDIMFPAKDEMLSTHSNCIKSTDQNGKEINLFQPAHEFIPMINEVFKTLTENTKDIKGAMVENHKFCASVHYRNVNENLWPTVAQRVHDILKEYPRLRLTHGRKVLEIRPAIDWDKGKAVDFLLESLANRVGLGNNQDVLPIYIGDDRTDEDAFKVLREGNRGYGILVSAVPKESKAFFSLKDPSQVKEFLESVVRMKEGKRASKA >itb08g00910.t2 pep chromosome:ASM357664v1:8:644419:648967:-1 gene:itb08g00910 transcript:itb08g00910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSTKASPVLTDPAPLNKSRLGIHSPVLPYSQSGTSFSASMLTIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKILKDFSVEIITNDADVDYFSWMVKYPSALSSCQRILNQAMNRRIVMFLDYDGTLSPIVDDPDRAFMSDEMRAAVKNFAKYFPTAIISGRSRDKVYELVGLAELYYAGSHGMDIMFPAKDEMLSTHSNCIKSTDQNGKEINLFQPAHEFIPMINEVFKTLTENTKDIKGAMVENHKFCASVHYRNVNENLWPTVAQRVHDILKEYPRLRLTHGRKVLEIRPAIDWDKGKAVDFLLESLGILYFLFVFLGKNTPNK >itb06g04730.t1 pep chromosome:ASM357664v1:6:7525355:7527010:1 gene:itb06g04730 transcript:itb06g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPAESNSMMESSSTSGNNAQSSGLKTHFETPEGRYKLRYEKSYPTGYTQYGEDLCSGPSATFPSQSANPFISSLKLYASLVGGFGGFKHFLYLIHFLAMGYVETTKEESLARKDALLSELQPSSFSMAYHTPFLS >itb04g12650.t1 pep chromosome:ASM357664v1:4:12380341:12383123:-1 gene:itb04g12650 transcript:itb04g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQAMSRYASDEYEDLDEYEEDGEEGEGGYEEEEYEQEETHEPSMEELEYLELRQKLKERFRKKMKKELGTGSASSSRNIKSSSSKDNFGSFFGPSQPVIAQRVIQESKSLLENPDLAAKVMRKNTDNGRVHASNSVRPKSSTSNHAPKVSNGVKTKIQMLKNTRDYSFLLSDDADVPAPSKASLPPKSSAPNSDSRSAQFVPRDRKPSSSMGRKLPNSHDGRKPLPGGVSQMKPKAGIQKNVSASKPSQPAREPKKEPIRSNGSVRGQPMAPKNHPLKPSTGMNERKSSTWDMKRPMPNLPRPNPSKLQPSISKQALVQKKMPQESSKPKMIQKPAMPSSRPPVAMQKHAVPSSKPQVVMQKRVVPSSRPPQIKQPPVKNPAQRPAKRPMRWDDEEEDGAAAISMIRQMFRYNPNGYRDDDDDSDMEAGFDDILKEERHSEKIGRKEDEEELRKIEEEERREQMRRQAKKRKMIAR >itb09g18510.t1 pep chromosome:ASM357664v1:9:14271287:14272925:1 gene:itb09g18510 transcript:itb09g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRTINVLLILVFLAAGGANSDTSKDKEECTESLVGLATCLPYVGGNAPSPTPDCCNGLKQVLKSSKKCLCLLIKDRNDPQLGLSINLTLALGLPSACHAPSNISECPALLHLPPKSPDAQVFYQFGRASSPAHTPIPSEGGPASAPQKSGGRRCIGRTWLALGTILPLSGLILLSTYTI >itb04g12270.t1 pep chromosome:ASM357664v1:4:12010421:12012551:-1 gene:itb04g12270 transcript:itb04g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKRVYEDQSIVSYFEKRAWVVASQHHNKRQMLTGLFKSMGYGYANSGTEEELAEQLYRYLLHQRYFVVIDDIWSDEAWNVVKACFPDNSNHSRVLLTTRFANVPTRIGSSNDFSHQMQLLDESESWNLFHEKTCKSCSVEFEAIVRRVVEKCKGLALAIVVAAGLFSKLNTLDEWKNIAKTLNSFATTSIDEECSRILSSSYNHLPYKLKACFLYLGIFPEDEEIHVKDLKKLWAAEGLIKEFDESMSFDAIVEKHIQELKSICGIGPDQCTRELFVNIPHVKKVKVRLLRQASNDYIKNLAYLHQLESLHLYGTYFSSGDYYVMNPNNHIGRLENLKKLVFCDITFRWRAVNSLSKLPKLEVLKLLRCSPIGEAEWKLSKKEKFEQLIYLKIDAINLKCWEASAYHFPKLECLILSQCEKLEEIPAKFAEISNLKSIKLIRCLPSAVASANQILKEQHEQGNDDMIVIEEYTRQPDYSL >itb03g19510.t1 pep chromosome:ASM357664v1:3:17598152:17599412:-1 gene:itb03g19510 transcript:itb03g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDDVDADQDDDLGFLQNGAQQEMSNPSWKYSCPHVIVATIVSFLFGYHLGVVNEPLESISIDLGFKGDTLAEGLVVSTCLAGAFVGSLFSGWVADGIGRRRAFQLCSLPMFIGASLCATTKTLTGMLLGRFLVGIGLGVGPPVASMYIAEVSPAHVRGTFGSSIQIATCLGLMGAFVVGMPVKSIMGW >itb02g02720.t1 pep chromosome:ASM357664v1:2:1587750:1593927:-1 gene:itb02g02720 transcript:itb02g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPMRIVESRRPEQWGSSKDDVPYAQPMNEMAVDELGLLLKGHKVQGDNRNKVPNRSGSAPPSMEGSFAAFGNLIYQQTGGWDLGSTNLDSALLSCQSEEQIRSDPSYFAYYSSNVNLNPRLPPPIISRENRHLAHHVGATKDSYKFTSSGDSGDRLVHFPRGSLSTHIEEPEDENSTPNALDNLSESRTADLTGQNIGTLIGRRKSLVDLIQDDFARTPPLYSQSRLSGHVAVEEPTKCDIQALGLENLSLDIPKLPDTGSDSCNEALTGHSAAAANNSSILSFGETSYLETLGKPCSRENNKETGGDRRLRSGGTVSGVSKKPAMAESKEDGSSSNQNEPVPQHPHSQRNTGYQVSGLQVQIPVHGVNEMRNSLEKGQSHPRFSSVEVQTVPQASGLAPPLYAAATAYMSPGSPYYANLNSSGLYSPQYSMGGYAVGSTFLPPYMPGYPSHNSIPMQFDVNPGQSISGQTGGLSTRDVQQLGDFQHVNKFYGQHGLMMHPSLPDHFQMQYFQHPVDDAFNAPGQFVRFPSSLVGGPADPYAAQKDPTVASYFGDQKFQPHHNGSLSTPSPRKMGVSNNSYYGSPTALGFMPQFPASPLGSPVLPGSPIGGAFPFGRRSEGRYSQGSSRNAGVYSGWQGQRGSDNFNEPKKHSFLDELKANNARKIDLSDIAGRIVEFSVDQHGSRFIQQKLESCSAEEKASVFTEVLPHASKLMTDVFGNYVIQKFFEHGSHEQRKELACQLTGKMLPLSLQMYGCRVIQKALEVIDIDQKTELVHELDGHVMTCVRDQNGNHVIQKCIECVPTESIEFIISAFQDQVATLSTHPYGCRVIQRVLEHCSDDSQSQCIVDEILESAYVLAQDQYGNYVTQHVLERGKPHERKQIIGKLSGKVVQLSQHKYASNVIEKCLEHGDAAERELLIDEILAQSDGNDSLLAMMKDQFANYVVQKIIDISNDKQCEVLLSRIKVHLPALRKYTYGKHIVARFEQLSGEEE >itb02g02720.t2 pep chromosome:ASM357664v1:2:1587750:1593927:-1 gene:itb02g02720 transcript:itb02g02720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPMRIVESRRPEQWGSSKDDVPYAQPMNEMAVDELGLLLKGHKVQGDNRNKVPNRSGSAPPSMEGSFAAFGNLIYQQTGGWDLGSTNLDSALLSCQSEEQIRSDPSYFAYYSSNVNLNPRLPPPIISRENRHLAHHVGATKDSYKFTSSGDSGDRLVHFPRGSLSTHIEEPEDENSTPNALDNLSESRTADLTGQNIGTLIGRRKSLVDLIQDDFARTPPLYSQSRLSGHVAVEEPTKCDIQALGLENLSLDIPKLPDTGSDSCNEALTGHSAAAANNSSILSFGETSYLETLGKPCSRENNKETGGDRRLRSGGTVSGVSKKPAMAESKEDGSSSNQNEPVPQHPHSQRNTGYQVSGLQVQIPVHGVNEMRNSLEKGQSHPRFSSVEVQTVPQASGLAPPLYAAATAYMSPGSPYYANLNSSGLYSPQYSMGGYAVGSTFLPPYMPGYPSHNSIPMQFDVNPGQSISGQTGGLSTRDVQQLGDFQHVNKFYGQHGLMMHPSLPDHFQMQYFQHPVDDAFNAPGQFVRFPSSLVGGPADPYAAQKDPTVASYFGDQKFQPHHNGSLSTPSPRKMGVSNNSYYGSPTALGFMPQFPASPLGSPVLPGSPIGGAFPFGRRSEGRYSQGSSRNAGVYSGWQGQRGSDNFNEPKKHSFLDELKANNARKIDLSDIAGRIVEFSVDQHGSRFIQQKLESCSAEEKASVFTEVLPHASKLMTDVFGNYVIQKFFEHGSHEQRKELACQLTGKMLPLSLQMYGCRVIQKALEVIDIDQKTELVHELDGHVMTCVRDQNGNHVIQKCIECVPTESIEFIISAFQDQVATLSTHPYGCRVIQRVLEHCSDDSQSQCIVDEILESAYVLAQDQYGNYVTQVCVCFEFKWHQTISFLAFNNVSNNHGR >itb02g10360.t1 pep chromosome:ASM357664v1:2:6682317:6685902:1 gene:itb02g10360 transcript:itb02g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAYPNLLLVLVALVVLHSRLIRVSSAAGNEEMKPGTIGAIIDGRTRVGKEVKVAMEMAMDDFFNQTQQKLTLLVKNSHGEPVHAANAAQHLIKKRHVEAILGPQSWEETSTVAEVGSRHGIPVLSLSDSSPSWSTKRWPNLIQAAPSTANQMKAVADIVQSWGWWRVNVIYEDSDSFSAGITPHLLEALKEVDAQISNLVAIPSLADSSFLSGQLENLKNDQCRVFVVHASLPVSEVVFAKAKEMKMIQKGYVWITTDSTASLAHSLSVTATAAMQGVLGIQAYYPQTSEDFQNFYRRFQEKFRSKYPDERNYEPSFFAVRAYDLTRTLSFTFTLTTNFTTTKTLLQKIAETQWSGLGGRVQFQERISPPVDVFRIINVIGKSYNELGFWTEGQDRGFSEAIDVGAVYNKSMENALGQVFWPGSPKSAPRGWEFPTYLRIGVPNNSMTRTFVSIDCDPSKEKCKFSGFSVKVFELTMSLMKPKVPYKFIPFNNNYTELVENVHLKKFDAAVGDIAITAGRYELAEFSHSHTETGLVMVVANQPQSKRAWLFMKPFTMTMWALTFAINVYNGFVIWMIEKNYSSELKGSALNQIGSLLWLAFATLFSPHGELRSNLSKMATLVWLFVALILTQSYTASLASMLTVQKLEPKVADIETLKSSNAKVGCSRKAFVCSYLNTAIGFKPENIQRLPDMESAADALRNGKIEALFLEAPVSKLFLAKYCKSFTTAGPTYKIGGYGFAFPRGSPLLPYIDEALLKAFESSDFMGLENGLTASEKCVEDGQESDDNDDKASLSAGSFCVLFALTGGTSTTALLIYAFRRREPIRMVSMRESKRVGEVLSLLLLHMKNIKNSRRKVCDSETPRNNPPEIQVSDPEQKFRASI >itb05g28310.t1 pep chromosome:ASM357664v1:5:31705441:31706095:1 gene:itb05g28310 transcript:itb05g28310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKEEETAYVLCYSANPSSSTAALRRPSLRQLAVHSLSQPQPVLHWPVLSDNVARPPQTSLNRSSPSAGPLSDRLSVNNQPFTGQSQPALHLPHAWDTV >itb01g32380.t1 pep chromosome:ASM357664v1:1:35895879:35899320:-1 gene:itb01g32380 transcript:itb01g32380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSAFAGAKLESLPPCNASAAASPSLPGVFCKPARTTRAATNRRVLFNSPIKCEGSPSDVVVQTRSNAASASSLSALEQLKTSAVDRYTKERSSIVVLGLSVHTAPVEMREKLAIPEAEWARAIGELCNLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGVPVSEICKHLFLLYNNDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVTGFGRNISGLFKHAISVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHASARMLVIGAGKMGKLVIKHLAAKGCTKMVVVNRSEDRVAAIQEEMKDVEIIYKPFAEMLNCAAEADVVFTSTASETLLFRKDHVMGLPPVGPDVGGLRLFVDISVPRNVGACVDELETAKVYNVDDLKEVVAANKEDRLRKAMEAQAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCLSKMGDDVTKKTRKAVDDLSKGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFNLETEISVLEQKIRAKVEQTQK >itb15g19790.t1 pep chromosome:ASM357664v1:15:22287955:22288637:1 gene:itb15g19790 transcript:itb15g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKLRHSRWRQRLNLRLSFISLHLASLVSDACYGGAPTKQRRPPGEQVSFGGAEAAGLLRGDPAAESGFVLTPSPVNGQCGGSSFGELRRRGGGG >itb06g15240.t1 pep chromosome:ASM357664v1:6:19587547:19588873:1 gene:itb06g15240 transcript:itb06g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGCECCKCCLKFILTLGLLALFIWLSLRTTKPSYSIQRFSLPALNKTGNSTSLRSNHTLLFTLRFKNKMKDKGVKYNDIHLTFFYGSNTTFPIANATVPGFYQGHGKKGDKSGAVETRGVPWEAALNQSKPVFRVDLVARVRYKILFWFTKGHDFVVTNTTVEVNDSGEKSGSPPGSRACIAATMFSLVLFVLVLVL >itb11g20190.t1 pep chromosome:ASM357664v1:11:21167138:21167761:1 gene:itb11g20190 transcript:itb11g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQIKSRIGESPVPINYWAVLLNQSSTKQSLPHSSPSPTQCHLSSSSKLRFGAVRLRPKITDTVKGKLILGTKLLQAGGVEKVFNKKFSAKEGEKLLKASQCYLSTTSGPIPGLLFVSTHKLAFLSERSIKIPSSTGKSMRIHYKVSIPIAKIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFPHHQRTLKYLQNAISQSQYL >itb04g25830.t1 pep chromosome:ASM357664v1:4:30293900:30298183:-1 gene:itb04g25830 transcript:itb04g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRVGSRQLNNFGASGALSSSLPVLPTNLEGKYPKLPDSQQISLERETMQCPPAVAPLSSNSEVAGLMFSSSSGFSSDLHFSSASPLEKHSRQPPFISQSTNNESSMLLPDSGVLQSTASSHYSKENIGPWSTDSLTDFLDYPINTPTHSGQLQCSNNADCAITSEDLGKRNDWQEWADQLITDDDALAANWSDIIADTSTADPKPKLQVQYQVSKQSSNLQIQQSQASQQLVAFPEETSATVPLSSTAGAAPTKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLKLMKVDHLTIYHVKSHLQKYRTARYKPESSEASSEKKQSAIDDFPSLDLKAGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSMPNIEMGKGSSPTSENPVAQLTDGVQTSPGKSDPVANHPKTGDDSETREEKQKECETGEVPAASSESPPPSKRTKVD >itb03g19120.t1 pep chromosome:ASM357664v1:3:17259328:17262198:-1 gene:itb03g19120 transcript:itb03g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSFTSDSVAKSANDSRRHTELSGMALTRNVVVTTLFIFLPLLSTSAAQSVFPFASELGDLFAIDRIVRHRKIPRDDDLYCESWKFTVETNDAPSWTLVPERCKVFVQDYMTGDRFLSDSDAVANASLAFARTVSVAGDGKDAWVFDIDETLLSNVPYYEAHGFGADIFDESSFDEWVDLAEAPAISASLRLYKELREQGFTIFLLTGRSEYQRESTEKNLQYAGYSNWERLILRGPSDQGTLATVYKSQKRKELEDEGYWIHGCSGDQWSDLMGFAVAERSFKLPNPMYYIA >itb02g12940.t2 pep chromosome:ASM357664v1:2:8951986:8956513:1 gene:itb02g12940 transcript:itb02g12940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMQSEELANFGSLAQTGGSDDGGLLNIANLERVIEVLVIRTINRVLEPTMADLFRRVVRKELEEAQLKLMGSFKGNPENENHTSNPIPRCLKLKFLQTVSDLVLTGKEIKGEGGCVLKVALLDDLTEEVIETGSEASAEIEIVALQRELDDAVEDNWTSQVFNESIITVNRANKSLLQGNVRLKLNKGIGILQNFKFRQCSGWTSNCKYMLGARVVGGASSGTVVKEAKTKSFTVKDSRAQLYKKHEFPDLSDDVWRLKNIDRKGKIYDRLKREQVETVEKFLVRLLSDSEHLKEILHMPPKCWTETVSHARRCKIDERLYCYADSQDTRVVFDLFGEVLGLVLQGQYRRTEVLSPDDKDNALKLKESACRHWNDIVRYSDEKEFIQQLNPSDSMDMECHNDREVINESSYCPREATCLSIGRSGQDFGSMSPINEDFSYYPIHSPSWSSWGMSNNFMLGHAPFELVDNQQSYGNSSTSIPPLDGSLTEGHALKHALKRLRMVFLKVHALKRLRMVYRKEHALKHWRMAFSVVKSSKRKGGEPLGLPNIHAQKRLKIF >itb02g12940.t1 pep chromosome:ASM357664v1:2:8951986:8956513:1 gene:itb02g12940 transcript:itb02g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFRRVVRKELEEAQLKLMGSFKGNPENENHTSNPIPRCLKLKFLQTVSDLVLTGKEIKGEGGCVLKVALLDDLTEEVIETGSEASAEIEIVALQRELDDAVEDNWTSQVFNESIITVNRANKSLLQGNVRLKLNKGIGILQNFKFRQCSGWTSNCKYMLGARVVGGASSGTVVKEAKTKSFTVKDSRAQLYKKHEFPDLSDDVWRLKNIDRKGKIYDRLKREQVETVEKFLVRLLSDSEHLKEILHMPPKCWTETVSHARRCKIDERLYCYADSQDTRVVFDLFGEVLGLVLQGQYRRTEVLSPDDKDNALKLKESACRHWNDIVRYSDEKEFIQQLNPSDSMDMECHNDREVINESSYCPREATCLSIGRSGQDFGSMSPINEDFSYYPIHSPSWSSWGMSNNFMLGHAPFELVDNQQSYGNSSTSIPPLDGSLTEGHALKHALKRLRMVFLKVHALKRLRMVYRKEHALKHWRMAFSVVKSSKRKGGEPLGLPNIHAQKRLKIF >itb15g00960.t1 pep chromosome:ASM357664v1:15:550511:550978:1 gene:itb15g00960 transcript:itb15g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGNSGTAAIDVGRFFFLMSFNLIGNLMFSKDLLDPRSERGAKFFYHAGKVMEFAGKPNVADFFPVLRWFDPQGIRRMTQFHVNKAFDIAGDYLKERMESMNAAGGNDDEKKKDYMDVLLQYRGDLVEGPARFSSRTINVILFVCPLSSTLCV >itb14g05410.t1 pep chromosome:ASM357664v1:14:4730519:4734872:-1 gene:itb14g05410 transcript:itb14g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTPSPALRLSRTRTGISNCVLLRSAKSPIRCDFSSFEQQQSRRWTVDCVPGSDPIHIILKPPPTNSIPMASTAALESALKSSKKVCLFYCAEMKDLAERIAAQSDAIELRSITWRTFEDGFPNLFISNAPGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLLNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCAKVREGEQRIVRLKEGEPKGRHVVIVDDLVQSGGTLIECQKVLAKHGAQKISAYVTHGIFPNKSWQRFEHDKGGNPGNGMAYFWITDSCPSTVRAVKGKQPFEVLSLAASIAADLQI >itb12g14670.t1 pep chromosome:ASM357664v1:12:14731237:14732890:-1 gene:itb12g14670 transcript:itb12g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKMEIPASTSTSPVKHKKSTKLDSFSENPTSNATPLLLYLTNAVFFTAVYFLLVSWRDKIRHSKPLHVVSSSEMAALLALAISLFYLLGFFAHPLQEQENEEEEEEEEEKLKKKVPDVKSDPIIILSEEDEEIVKGVVEGRVPSYSLESKLGDCKRAAAIRREALERITGKSLDGLPLENFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGEEYSVPMATTEGCLVASTNRGCKAIYASGGATSVLLRDGMTRAPVVRFSTAKRAAQLKLYLEDPLSFETIAGAFNKSSRFARLQSIKCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLLTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEIVKKVLKTEVASLVELNMLKNLTGSAMAGALGGFNAHAANIVSAVYIATGQDPAQNIESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASKVEPGANSRRLAAIVAGAVLAGELSLMSAIAAGQLVNSHMKFNRSNKA >itb07g23490.t3 pep chromosome:ASM357664v1:7:27881577:27883046:-1 gene:itb07g23490 transcript:itb07g23490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLNISSLDDLVKSSMDIREPAPVDSDGKEREDVMQASEPVDLFIVPGLAFDGSGRRLGRSGGYYDLFLRNYEELVKRKNWKQPLLVALAYSIQVVEEGAIPITPNDVPVDALVSPTGFIPISPIAKELHD >itb07g23490.t1 pep chromosome:ASM357664v1:7:27881417:27884307:-1 gene:itb07g23490 transcript:itb07g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKFVNKVTMALMPNPCKIASPSTSSLFSPSHTANARQTPIPPRSFVAASDAPENASQLDAIFRKKHALRSKIRRELKNMSPIQRYEEDNSIQNIVLEAPWFKSSQSLCTYISSAALREVNTSKILADVLHHVPGNKQEKPKRVYVPRVEDRFSNMRMLNISSLDDLVKSSMDIREPAPVDSDGKEREDVMQASEPVDLFIVPGLAFDGSGRRLGRSGGYYDLFLRNYEELVKRKNWKQPLLVALAYSIQVVEEGAIPITPNDVPVDALVSPTGFIPISPIAKELHD >itb07g23490.t2 pep chromosome:ASM357664v1:7:27882035:27884307:-1 gene:itb07g23490 transcript:itb07g23490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKFVNKVTMALMPNPCKIASPSTSSLFSPSHTANARQTPIPPRSFVAASDAPENASQLDAIFRKKHALRSKIRRELKNMSPIQRYEEDNSIQNIVLEAPWFKSSQSLCTYISSAALREVNTSKILADVLHHVPGNKQEKPKRVYVPRVEDRFSNMRMLNISSLDDLVKSSMDIREPAPVDSDGKEREDGFAWFSYAG >itb04g05850.t1 pep chromosome:ASM357664v1:4:3782047:3782733:-1 gene:itb04g05850 transcript:itb04g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGKVVEVKSGGNMVIRLSSDDREVIVQSSDVAELGSVEEEKCMRKLKELKIKDRNKDSSSVRHRRESRDEVTRDREIREERSRDRRKDSKRSRDESNAKGVEQISWLTSHIRVRVISKALKGGKLYLKKGEVVDVVGPSTCDISMDESRELIQGVNQNQLETALPRRGGPVLVLCGRHKGVYGSLVERDTEKETGVVRHGDTHELLNVRLEQIAEYTGDPSYIGY >itb11g09660.t1 pep chromosome:ASM357664v1:11:6552905:6554514:-1 gene:itb11g09660 transcript:itb11g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVVVVIFDFDKTIIDLDSDNWLVDELGFTDLFNQLLPTMPWNSVMDKMMNEIHAKGKKIEEIEEVLRRTPIHPRIVPAIKSAYALGCELRIVSDANLFYIETILKHHGISECFSEIYSNPGYVDEEGRLRIRPYHDFHSSPHGCNNVCPPNMCKGKIIERIEGSLGREGMGKKRMIYLGDGAGDFCPSLKLKEGDFVMPRKDFPVWKLITENRMLFKAEIHEWSDGEELERVLLHLINKICAEEESQFLPAAADETNSKFQTTHQALPKAIPVPF >itb03g03170.t1 pep chromosome:ASM357664v1:3:1802867:1803770:-1 gene:itb03g03170 transcript:itb03g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADCDVVNSARHLFDELPQPNVFAWTALVSFFSGNGLFYECVRTYCKMKFNGVLPDKYVFPRVLRACSLYSCLEVGVQVHKDVIVCGAEQNVHVGNSFD >itb01g36120.t6 pep chromosome:ASM357664v1:1:37991116:38008541:-1 gene:itb01g36120 transcript:itb01g36120.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKENDRWIRGLLKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLISTDIYAHLSLGVISLLLNLQIQLATTLQFGNADPLSPCTHFDRIWVSPKENGLLNNITFWRPRAPPNYVILGDCVTSRPNPPSQAVVAISNTYGRVRKPLGYKLIGIFSGIQGPVGTQVCAGADDDCSLWLPIAPPGYVAVGCVAHIGSQPPPSHIVHCIRLDLVTSTTYSECIFNAAVSASFISGYSIWRLDNALGSFYAHPSTEYPPKDSSFDLNHLLLWNSSWYNSSSIHPSPDLTFEHERMQPNATSGWDIIRSISKATSYYISTPNFERIWWDRGSDLRRPVSIWRPITRPGYAVLGDCITEGLEPPPLGMIFKADNPEISSNPVQFTKVANIAVKGLEEAFFWYPVAPPGYATLGCVVTRHDEAPPLESFCCPRMDLVSGSNIVEIPISRSSSSKTSQCWSIWKVENQACTFLARPDLKKPSTRLAFAIGDSVKPKTRDNVTAEMKIRCFSITFLDSLCGMMTPLFDVTITNLKLATHGRMEAMNAVLISSIAASTFNTHLEAWEPLVEPFDGIYKFETYETNLHSPSNLGKRIRIAATSILNINISAANLGTLAQTVDSWRKQRELEQKALKLLEEALGHDTDHQSSTFSALDEDDFQTLVVENKLGCDMYLKKVEEHSDAVELLAPDSSASVWIPPPRFSDRLNVTEESREHRHYVTVHIVEAKCLPVVDDGNSHNFFCALRLVVESQDTSQQKVFPQSARTKCVKPLIAKRNGQDEGMAKWNELFIFEVPRKGLAKLEVEVTNLAAKAGKGEVVGASSFSVGHGSGVLKKIASVRMLHSTSDAEKIVCHPLKRRGQLNNDADSRGWLFISTSYFEKKTMVNFQNDKRGKDDSDGDIGFWVGLNAYGPWESIRSFLPLSVITKEMKDDYVAVEVVTKNGKKHAIFRGLATVTNDSDIKLEISSCDVSMINPQEHRRKQIKEKGTSGINDIFLSPGSSSVLPWKCTSKDSNHCLQVRPCLDHSQTPYAWGNPVVLGSAYVLGKDQPSVEQGTLSRQNTLRQGNRLHVSPLKLNQLEKMDLLLCCPDATGKHYWLCIGTDASVLHTELNAPVYDWKISVSSPLKLENRLPCGAEFRIWEKLKDGSNVERHRGCLSSRESMHIYSADIRNPLYLMLFLQGGWVLEKEPVLVLDLTSNSHASSFWMVQQHRKRKQIGPRKTILVLDIIEDTSPIPSMLSPQDYVGRGGVVLFSSRNDAYLSSRVGISVAIQNSENFSPGISLLELEKKQRVDIKAFGPDGYYYKLSAVLHMTSDRTKVVHFQPHTLFINRLGCNICLCQSNSELCEWIHPTDPPKHFAWQHNKVELLKIRLDGYQWSSPFSVGSEGLMSICLRSETGSDLIHVRVEVRSSSKDSRFEVVFRPKSFSSPYRIENRSLVLPIRFRQLDGANDAWRVILPNASASFSWEDLGRHRLLELLIEGNEPASTKKYNIDEISDHQPVHTTERPEKALRVTVLKEEKMNVVRISDWMPVNDIPTNLSRTPSLSQISGNSPSQQSIYTSDSEFHVIVEVAELGLSIIDHTPEEILYLSVQSLLLSYSTGLGSGVSRLKVRMHRIQVDNQLPLSPMPVLFRPQRIEEHTDYILKFSLTQQSSGSLDLCVYPYIGFQGPENSAFLINIHEPIIWRLHGMIQQANLSRLFDTQTTSVSVDPIIQIGVFNISEFRIKVSMVMSPTQRPVGVLGFWSSLMTALGNTENMPVRINQRFLENVLMKRSVLVGNAIANIKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISADNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRAKFALTDAYEDHFMLPKGRIIVITHRRVLLLQQTSNLIAQKKFNPARDPCSVLWDVLWDDLVTMELSPGKKDVINGPPSRLIIYLQSRSLEGKDQFRIVKCQRDSNQAFQVYSSIELARTTYGPSDSKAMLKRKVTKPYSPVADAASTEAISKDGAGAWSPQLMPISSFGSSEH >itb01g36120.t2 pep chromosome:ASM357664v1:1:37990239:38008541:-1 gene:itb01g36120 transcript:itb01g36120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKENDRWIRGLLKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLISTDIYAHLSLGVISLLLNLQIQLATTLQFGNADPLSPCTHFDRIWVSPKENGLLNNITFWRPRAPPNYVILGDCVTSRPNPPSQAVVAISNTYGRVRKPLGYKLIGIFSGIQGPVGTQVCAGADDDCSLWLPIAPPGYVAVGCVAHIGSQPPPSHIVHCIRLDLVTSTTYSECIFNAAVSASFISGYSIWRLDNALGSFYAHPSTEYPPKDSSFDLNHLLLWNSSWYNSSSIHPSPDLTFEHERMQPNATSGWDIIRSISKATSYYISTPNFERIWWDRGSDLRRPVSIWRPITRPGYAVLGDCITEGLEPPPLGMIFKADNPEISSNPVQFTKVANIAVKGLEEAFFWYPVAPPGYATLGCVVTRHDEAPPLESFCCPRMDLVSGSNIVEIPISRSSSSKTSQCWSIWKVENQACTFLARPDLKKPSTRLAFAIGDSVKPKTRDNVTAEMKIRCFSITFLDSLCGMMTPLFDVTITNLKLATHGRMEAMNAVLISSIAASTFNTHLEAWEPLVEPFDGIYKFETYETNLHSPSNLGKRIRIAATSILNINISAANLGTLAQTVDSWRKQRELEQKALKLLEEALGHDTDHQSSTFSALDEDDFQTLVVENKLGCDMYLKKVEEHSDAVELLAPDSSASVWIPPPRFSDRLNVTEESREHRHYVTVHIVEAKCLPVVDDGNSHNFFCALRLVVESQDTSQQKVFPQSARTKCVKPLIAKRNGQDEGMAKWNELFIFEVPRKGLAKLEVEVTNLAAKAGKGEVVGASSFSVGHGSGVLKKIASVRMLHSTSDAEKIVCHPLKRRGQLNNDADSRGWLFISTSYFEKKTMVNFQNDKRGKDDSDGDIGFWVGLNAYGPWESIRSFLPLSVITKEMKDDYVAVEVVTKNGKKHAIFRGLATVTNDSDIKLEISSCDVSMINPQEHRRKQIKEKGTSGINDIFLSPGSSSVLPWKCTSKDSNHCLQVRPCLDHSQTPYAWGNPVVLGSAYVLGKDQPSVEQGTLSRQNTLRQGNRLHVSPLKLNQLEKMDLLLCCPDATGKHYWLCIGTDASVLHTELNAPVYDWKISVSSPLKLENRLPCGAEFRIWEKLKDGSNVERHRGCLSSRESMHIYSADIRNPLYLMLFLQGGWVLEKEPVLVLDLTSNSHASSFWMVQQHRKRTLRVSIERDMGGTTAAPKTIRFFVPYWISNESYLSLAYRVVEIEPVESADVDSHVLSRTSKSARFSSRGSSTSFGRKQIGPRKTILVLDIIEDTSPIPSMLSPQDYVGRGGVVLFSSRNDAYLSSRVGISVAIQNSENFSPGISLLELEKKQRVDIKAFGPDGYYYKLSAVLHMTSDRTKVVHFQPHTLFINRLGCNICLCQSNSELCEWIHPTDPPKHFAWQHNKVELLKIRLDGYQWSSPFSVGSEGLMSICLRSETGSDLIHVRVEVRSSSKDSRFEVVFRPKSFSSPYRIENRSLVLPIRFRQLDGANDAWRVILPNASASFSWEDLGRHRLLELLIEGNEPASTKKYNIDEISDHQPVHTTERPEKALRVTVLKEEKMNVVRISDWMPVNDIPTNLSRTPSLSQISGNSPSQQSIYTSDSEFHVIVEVAELGLSIIDHTPEEILYLSVQSLLLSYSTGLGSGVSRLKVRMHRIQVDNQLPLSPMPVLFRPQRIEEHTDYILKFSLTQQSSGSLDLCVYPYIGFQGPENSAFLINIHEPIIWRLHGMIQQANLSRLFDTQTTSVSVDPIIQIGVFNISEFRIKVSMVMSPTQRPVGVLGFWSSLMTALGNTENMPVRINQRFLENVLMKRSVLVGNAIANIKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISADNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRAKFALTDAYEDHFMLPKGRIIVITHRRVLLLQVC >itb01g36120.t4 pep chromosome:ASM357664v1:1:37991107:38008541:-1 gene:itb01g36120 transcript:itb01g36120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKENDRWIRGLLKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLISTDIYAHLSLGVISLLLNLQIQLATTLQFGNADPLSPCTHFDRIWVSPKENGLLNNITFWRPRAPPNYVILGDCVTSRPNPPSQAVVAISNTYGRVRKPLGYKLIGIFSGIQGPVGTQVCAGADDDCSLWLPIAPPGYVAVGCVAHIGSQPPPSHIVHCIRLDLVTSTTYSECIFNAAVSASFISGYSIWRLDNALGSFYAHPSTEYPPKDSSFDLNHLLLWNSSWYNSSSIHPSPDLTFEHERMQPNATSGWDIIRSISKATSYYISTPNFERIWWDRGSDLRRPVSIWRPITRPGYAVLGDCITEGLEPPPLGMIFKADNPEISSNPVQFTKVANIAVKGLEEAFFWYPVAPPGYATLGCVVTRHDEAPPLESFCCPRMDLVSGSNIVEIPISRSSSSKTSQCWSIWKVENQACTFLARPDLKKPSTRLAFAIGDSVKPKTRDNVTAEMKIRCFSITFLDSLCGMMTPLFDVTITNLKLATHGRMEAMNAVLISSIAASTFNTHLEAWEPLVEPFDGIYKFETYETNLHSPSNLGKRIRIAATSILNINISAANLGTLAQTVDSWRKQRELEQKALKLLEEALGHDTDHQSSTFSALDEDDFQTLVVENKLGCDMYLKKVEEHSDAVELLAPDSSASVWIPPPRFSDRLNVTEESREHRHYVTVHIVEAKCLPVVDDGNSHNFFCALRLVVESQDTSQQKVFPQSARTKCVKPLIAKRNGQDEGMAKWNELFIFEVPRKGLAKLEVEVTNLAAKAGKGEVVGASSFSVGHGSGVLKKIASVRMLHSTSDAEKIVCHPLKRRGQLNNDADSRGWLFISTSYFEKKTMVNFQNDKRGKDDSDGDIGFWVGLNAYGPWESIRSFLPLSVITKEMKDDYVAVEVVTKNGKKHAIFRGLATVTNDSDIKLEISSCDVSMINPQEHRRKQIKEKGTSGINDIFLSPGSSSVLPWKCTSKDSNHCLQVRPCLDHSQTPYAWGNPVVLGSAYVLGKDQPSVEQGTLSRQNTLRQGNRLHVSPLKLNQLEKMDLLLCCPDATGKHYWLCIGTDASVLHTELNAPVYDWKISVSSPLKLENRLPCGAEFRIWEKLKDGSNVERHRGCLSSRESMHIYSADIRNPLYLMLFLQGGWVLEKEPVLVLDLTSNSHASSFWMVQQHRKRTLRVSIERDMGGTTAAPKTIRFFVPYWISNESYLSLAYRVVEIEPVESADVDSHVLSRTSKSARFSSRGSSTSFGRKQIGPRKTILVLDIIEDTSPIPSMLSPQDYVGRGGVVLFSSRNDAYLSSRVGISVAIQNSENFSPGISLLELEKKQRVDIKAFGPDGYYYKLSAVLHMTSDRTKVVHFQPHTLFINRLGCNICLCQSNSELCEWIHPTDPPKHFAWQHNKVELLKIRLDGYQWSSPFSVGSEGLMSICLRSETGSDLIHVRVEVRSSSKDSRFEVVFRPKSFSSPYRIENRSLVLPIRFRQLDGANDAWRVILPNASASFSWEDLGRHRLLELLIEGNEPASTKKYNIDEISDHQPVHTTERPEKALRVTVLKEEKMNVVRISDWMPVNDIPTNLSRTPSLSQISGNSPSQQSIYTSDSEFHVIVEVAELGLSIIDHTPEEILYLSVQSLLLSYSTGLGSGVSRLKVRMHRIQVDNQLPLSPMPVLFRPQRIEEHTDYILKFSLTQQSSGSLDLCVYPYIGFQGPENSAFLINIHEPIIWRLHGMIQQANLSRLFDTQTTSVSVDPIIQIGVFNISEFRIKVSMVMSPTQRPVGVLGFWSSLMTALGNTENMPVRINQRFLENVLMKRSVLVGNAIANIKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISADNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRAKFALTDAYEDHFMLPKGRIIVITHRRVLLLQVC >itb01g36120.t5 pep chromosome:ASM357664v1:1:37990239:38008541:-1 gene:itb01g36120 transcript:itb01g36120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKENDRWIRGLLKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLISTDIYAHLSLGVISLLLNLQIQLATTLQFGNADPLSPCTHFDRIWVSPKENGLLNNITFWRPRAPPNYVILGDCVTSRPNPPSQAVVAISNTYGRVRKPLGYKLIGIFSGIQGPVGTQVCAGADDDCSLWLPIAPPGYVAVGCVAHIGSQPPPSHIVHCIRLDLVTSTTYSECIFNAAVSASFISGYSIWRLDNALGSFYAHPSTEYPPKDSSFDLNHLLLWNSSWYNSSSIHPSPDLTFEHERMQPNATSGWDIIRSISKATSYYISTPNFERIWWDRGSDLRRPVSIWRPITRPGYAVLGDCITEGLEPPPLGMIFKADNPEISSNPVQFTKVANIAVKGLEEAFFWYPVAPPGYATLGCVVTRHDEAPPLESFCCPRMDLVSGSNIVEIPISRSSSSKTSQCWSIWKVENQACTFLARPDLKKPSTRLAFAIGDSVKPKTRDNVTAEMKIRCFSITFLDSLCGMMTPLFDVTITNLKLATHGRMEAMNAVLISSIAASTFNTHLEAWEPLVEPFDGIYKFETYETNLHSPSNLGKRIRIAATSILNINISAANLGTLAQTVDSWRKQRELEQKALKLLEEALGHDTDHQSSTFSALDEDDFQTLVVENKLGCDMYLKKVEEHSDAVELLAPDSSASVWIPPPRFSDRLNVTEESREHRHYVTVHIVEAKCLPVVDDGNSHNFFCALRLVVESQDTSQQKVFPQSARTKCVKPLIAKRNGQDEGMAKWNELFIFEVPRKGLAKLEVEVTNLAAKAGKGEVVGASSFSVGHGSGVLKKIASVRMLHSTSDAEKIVCHPLKRRGQLNNDADSRGWLFISTSYFEKKTMVNFQNDKRGKDDSDGDIGFWVGLNAYGPWESIRSFLPLSVITKEMKDDYVAVEVVTKNGKKHAIFRGLATVTNDSDIKLEISSCDVSMINPQEHRRKQIKEKGTSGINDIFLSPGSSSVLPWKCTSKDSNHCLQVRPCLDHSQTPYAWGNPVVLGSAYVLGKDQPSVEQGTLSRQNTLRQGNRLHVSPLKLNQLEKMDLLLCCPDATGKHYWLCIGTDASVLHTELNAPVYDWKISVSSPLKLENRLPCGAEFRIWEKLKDGSNVERHRGCLSSRESMHIYSADIRNPLYLMLFLQGGWVLEKEPVLVLDLTSNSHASSFWMVQQHRKRKQIGPRKTILVLDIIEDTSPIPSMLSPQDYVGRGGVVLFSSRNDAYLSSRVGISVAIQNSENFSPGISLLELEKKQRVDIKAFGPDGYYYKLSAVLHMTSDRTKVVHFQPHTLFINRLGCNICLCQSNSELCEWIHPTDPPKHFAWQHNKVELLKIRLDGYQWSSPFSVGSEGLMSICLRSETGSDLIHVRVEVRSSSKDSRFEVVFRPKSFSSPYRIENRSLVLPIRFRQLDGANDAWRVILPNASASFSWEDLGRHRLLELLIEGNEPASTKKYNIDEISDHQPVHTTERPEKALRVTVLKEEKMNVVRISDWMPVNDIPTNLSRTPSLSQISGNSPSQQSIYTSDSEFHVIVEVAELGLSIIDHTPEEILYLSVQSLLLSYSTGLGSGVSRLKVRMHRIQVDNQLPLSPMPVLFRPQRIEEHTDYILKFSLTQQSSGSLDLCVYPYIGFQGPENSAFLINIHEPIIWRLHGMIQQANLSRLFDTQTTSVSVDPIIQIGVFNISEFRIKVSMVMSPTQRPVGVLGFWSSLMTALGNTENMPVRINQRFLENVLMKRSVLVGNAIANIKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISADNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRAKFALTDAYEDHFMLPKGRIIVITHRRVLLLQQTSNLIAQKKFNPARDPCSVLWDVLWDDLVTMELSPGKKDVINGPPSRLIIYLQSRSLEGKDQFRIVKCQRDSNQAFQVYSSIELARTTYGPSDSKAMLKRKVTKPYSPVADAASTEAISKDGAGAWSPQLMPISSFGSSEH >itb01g36120.t3 pep chromosome:ASM357664v1:1:37991107:38008546:-1 gene:itb01g36120 transcript:itb01g36120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKENDRWIRGLLKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLISTDIYAHLSLGVISLLLNLQIQLATTLQFGNADPLSPCTHFDRIWVSPKENGLLNNITFWRPRAPPNYVILGDCVTSRPNPPSQAVVAISNTYGRVRKPLGYKLIGIFSGIQGPVGTQVCAGADDDCSLWLPIAPPGYVAVGCVAHIGSQPPPSHIVHCIRLDLVTSTTYSECIFNAAVSASFISGYSIWRLDNALGSFYAHPSTEYPPKDSSFDLNHLLLWNSSWYNSSSIHPSPDLTFEHERMQPNATSGWDIIRSISKATSYYISTPNFERIWWDRGSDLRRPVSIWRPITRPGYAVLGDCITEGLEPPPLGMIFKADNPEISSNPVQFTKVANIAVKGLEEAFFWYPVAPPGYATLGCVVTRHDEAPPLESFCCPRMDLVSGSNIVEIPISRSSSSKTSQCWSIWKVENQACTFLARPDLKKPSTRLAFAIGDSVKPKTRDNVTAEMKIRCFSITFLDSLCGMMTPLFDVTITNLKLATHGRMEAMNAVLISSIAASTFNTHLEAWEPLVEPFDGIYKFETYETNLHSPSNLGKRIRIAATSILNINISAANLGTLAQTVDSWRKQRELEQKALKLLEEALGHDTDHQSSTFSALDEDDFQTLVVENKLGCDMYLKKVEEHSDAVELLAPDSSASVWIPPPRFSDRLNVTEESREHRHYVTVHIVEAKCLPVVDDGNSHNFFCALRLVVESQDTSQQKVFPQSARTKCVKPLIAKRNGQDEGMAKWNELFIFEVPRKGLAKLEVEVTNLAAKAGKGEVVGASSFSVGHGSGVLKKIASVRMLHSTSDAEKIVCHPLKRRGQLNNDADSRGWLFISTSYFEKKTMVNFQNDKRGKDDSDGDIGFWVGLNAYGPWESIRSFLPLSVITKEMKDDYVAVEVVTKNGKKHAIFRGLATVTNDSDIKLEISSCDVSMINPQEHRRKQIKEKGTSGINDIFLSPGSSSVLPWKCTSKDSNHCLQVRPCLDHSQTPYAWGNPVVLGSAYVLGKDQPSVEQGTLSRQNTLRQGNRLHVSPLKLNQLEKMDLLLCCPDATGKHYWLCIGTDASVLHTELNAPVYDWKISVSSPLKLENRLPCGAEFRIWEKLKDGSNVERHRGCLSSRESMHIYSADIRNPLYLMLFLQGGWVLEKEPVLVLDLTSNSHASSFWMVQQHRKRTLRVSIERDMGGTTAAPKTIRFFVPYWISNESYLSLAYRVVEIEPVESADVDSHVLSRTSKSARFSSRGSSTSFGRKQIGPRKTILVLDIIEDTSPIPSMLSPQDYVGRGGVVLFSSRNDAYLSSRVGISVAIQNSENFSPGISLLELEKKQRVDIKAFGPDGYYYKLSAVLHMTSDRTKVVHFQPHTLFINRLGCNICLCQSNSELCEWIHPTDPPKHFAWQHNKVELLKIRLDGYQWSSPFSVGSEGLMSICLRSETGSDLIHVRVEVRSSSKDSRFEVVFRPKSFSSPYRIENRSLVLPIRFRQLDGANDAWRVILPNASASFSWEDLGRHRLLELLIEGNEPASTKKYNIDEISDHQPVHTTERPEKALRVTVLKEEKMNVVRISDWMPVNDIPTNLSRTPSLSQISGNSPSQQSIYTSDSEFHVIVEVAELGLSIIDHTPEEILYLSVQSLLLSYSTGLGSGVSRLKVRMHRIQVDNQLPLSPMPVLFRPQRIEEHTDYILKFSLTQQSSGSLDLCVYPYIGFQGPENSAFLINIHEPIIWRLHGMIQQANLSRLFDTQTTSVSVDPIIQIGVFNISEFRIKVSMVMSPTQRPVGVLGFWSSLMTALGNTENMPVRINQRFLENVLMKRSVLVGNAIANIKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISADNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRAKFALTDAYEDHFMLPKGRIIVITHRRVLLLQQTSNLIAQKKFNPARDPCSVLWDVLWDDLVTMELSPGKKDVINGPPSRLIIYLQSRSLEGKDQFRIVKCQRDSNQAFQVYSSIELARTTYGPSDSKAMLKRKVTKPYSPVADAASTEAISKDGAGAWSPQLMPISSFGSSEH >itb01g36120.t1 pep chromosome:ASM357664v1:1:37990239:38008546:-1 gene:itb01g36120 transcript:itb01g36120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKENDRWIRGLLKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLISTDIYAHLSLGVISLLLNLQIQLATTLQFGNADPLSPCTHFDRIWVSPKENGLLNNITFWRPRAPPNYVILGDCVTSRPNPPSQAVVAISNTYGRVRKPLGYKLIGIFSGIQGPVGTQVCAGADDDCSLWLPIAPPGYVAVGCVAHIGSQPPPSHIVHCIRLDLVTSTTYSECIFNAAVSASFISGYSIWRLDNALGSFYAHPSTEYPPKDSSFDLNHLLLWNSSWYNSSSIHPSPDLTFEHERMQPNATSGWDIIRSISKATSYYISTPNFERIWWDRGSDLRRPVSIWRPITRPGYAVLGDCITEGLEPPPLGMIFKADNPEISSNPVQFTKVANIAVKGLEEAFFWYPVAPPGYATLGCVVTRHDEAPPLESFCCPRMDLVSGSNIVEIPISRSSSSKTSQCWSIWKVENQACTFLARPDLKKPSTRLAFAIGDSVKPKTRDNVTAEMKIRCFSITFLDSLCGMMTPLFDVTITNLKLATHGRMEAMNAVLISSIAASTFNTHLEAWEPLVEPFDGIYKFETYETNLHSPSNLGKRIRIAATSILNINISAANLGTLAQTVDSWRKQRELEQKALKLLEEALGHDTDHQSSTFSALDEDDFQTLVVENKLGCDMYLKKVEEHSDAVELLAPDSSASVWIPPPRFSDRLNVTEESREHRHYVTVHIVEAKCLPVVDDGNSHNFFCALRLVVESQDTSQQKVFPQSARTKCVKPLIAKRNGQDEGMAKWNELFIFEVPRKGLAKLEVEVTNLAAKAGKGEVVGASSFSVGHGSGVLKKIASVRMLHSTSDAEKIVCHPLKRRGQLNNDADSRGWLFISTSYFEKKTMVNFQNDKRGKDDSDGDIGFWVGLNAYGPWESIRSFLPLSVITKEMKDDYVAVEVVTKNGKKHAIFRGLATVTNDSDIKLEISSCDVSMINPQEHRRKQIKEKGTSGINDIFLSPGSSSVLPWKCTSKDSNHCLQVRPCLDHSQTPYAWGNPVVLGSAYVLGKDQPSVEQGTLSRQNTLRQGNRLHVSPLKLNQLEKMDLLLCCPDATGKHYWLCIGTDASVLHTELNAPVYDWKISVSSPLKLENRLPCGAEFRIWEKLKDGSNVERHRGCLSSRESMHIYSADIRNPLYLMLFLQGGWVLEKEPVLVLDLTSNSHASSFWMVQQHRKRTLRVSIERDMGGTTAAPKTIRFFVPYWISNESYLSLAYRVVEIEPVESADVDSHVLSRTSKSARFSSRGSSTSFGRKQIGPRKTILVLDIIEDTSPIPSMLSPQDYVGRGGVVLFSSRNDAYLSSRVGISVAIQNSENFSPGISLLELEKKQRVDIKAFGPDGYYYKLSAVLHMTSDRTKVVHFQPHTLFINRLGCNICLCQSNSELCEWIHPTDPPKHFAWQHNKVELLKIRLDGYQWSSPFSVGSEGLMSICLRSETGSDLIHVRVEVRSSSKDSRFEVVFRPKSFSSPYRIENRSLVLPIRFRQLDGANDAWRVILPNASASFSWEDLGRHRLLELLIEGNEPASTKKYNIDEISDHQPVHTTERPEKALRVTVLKEEKMNVVRISDWMPVNDIPTNLSRTPSLSQISGNSPSQQSIYTSDSEFHVIVEVAELGLSIIDHTPEEILYLSVQSLLLSYSTGLGSGVSRLKVRMHRIQVDNQLPLSPMPVLFRPQRIEEHTDYILKFSLTQQSSGSLDLCVYPYIGFQGPENSAFLINIHEPIIWRLHGMIQQANLSRLFDTQTTSVSVDPIIQIGVFNISEFRIKVSMVMSPTQRPVGVLGFWSSLMTALGNTENMPVRINQRFLENVLMKRSVLVGNAIANIKKDLLSQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISADNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRAKFALTDAYEDHFMLPKGRIIVITHRRVLLLQQTSNLIAQKKFNPARDPCSVLWDVLWDDLVTMELSPGKKDVINGPPSRLIIYLQSRSLEGKDQFRIVKCQRDSNQAFQVYSSIELARTTYGPSDSKAMLKRKVTKPYSPVADAASTEAISKDGAGAWSPQLMPISSFGSSEH >itb14g06820.t1 pep chromosome:ASM357664v1:14:6216011:6218941:-1 gene:itb14g06820 transcript:itb14g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSSSQILICREEASVIDAPIISSYNDRIRPLLDCVDNLRRLKIMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQNQPNLATPELQLEFNGKTVPTDEAQIEKSIIEATEEIAGHGKGISHTPLTLAVKKNGVPDLTMVDLPGITRVPVHGQPEDIYEQISNIIMEYITPEESIILNVLSAASDFTTNESIRMSQKVDKTGERTLAVVTKADKAPEGLLEKVTADDVRIGLGYVCVRNRIGDESYELARNEEAKLFDAHPLLSKINKSMVSIPVLAQKLVRIQATIISKCLPEIIKKINDKLAANAAELNRLPPKLNSLAEAMTAFMRILGSAKDSLKKILLLGEFDEYPEEKDMHCSARLVEMLNRYSAELTARDPGQNKGAVWAKDSFLVEEITALDEAKGIQLQNFLPRGIFLNMLQKNVKAISAIPRGFIDDLWDYIEAVLIRVLIHHSDNYPQLQSSTRRAAQNLIAKKKLESAGWVEEIIGMETHTDYTCNPEYITTCNKLMEKQGEFMETVKDPWKSKITIAGIGEEIETAHLKRHQGVAQQAFDLKMRMVAYWNIVLMRLVDSMALHIQFSIHKLVTKEMGEAIVADLMAPHGGGIERMLDESPTVAEKRYRLSKSVKLLKESKNVVANIIDRITVNDDL >itb13g25510.t1 pep chromosome:ASM357664v1:13:30869244:30870534:1 gene:itb13g25510 transcript:itb13g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSETNISNSPSSLSQFLQENSYTEELREFLNSLPKETGWLTPDLYNYKGFWLEPSLLQGALTSHQHFQAQDSDVILSTFPKSGTVWLKALAFALITRKHFLASQESEETHPLHTNNPHDLIPFLEFSYAVNERPDFALTNGLRLLATHLPLSLLPKSVWESKCKLIYLCRNPKDTVVSFWHFINKLRSELRGQEAMPFPEAFDSYCRLFKVGDWRNHLTDEMARRLDQIVEEKFSGTGLKF >itb09g31030.t1 pep chromosome:ASM357664v1:9:31545484:31546710:1 gene:itb09g31030 transcript:itb09g31030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANTRDEMYAASSFPMSRKNVNLKEVAAKKMEYQRRRGSVSQGQGGGQAKRRWMCMNYFSSESFVLLVCLTASVLILPLILPPLPPPPFMLLLLPICILVVLVILAFSPSTSSGQTITHPYPYL >itb12g01390.t1 pep chromosome:ASM357664v1:12:961068:961876:-1 gene:itb12g01390 transcript:itb12g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLVVVSAVLFLAGYCAAQEAAASGGKTEVSDVKTNAEVQNLGRKAVMEFNKRLNVKVNPENNAKRLVFTEVIKAEKQVVAGEKYFLTIKATSEDGQTKTYESEMWVKPGDETVHEMLNFAPAAAA >itb03g08340.t1 pep chromosome:ASM357664v1:3:6228006:6229103:1 gene:itb03g08340 transcript:itb03g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYLKLFVEETSFYNRAVLGAFLPENVWSGLPHFLQGWLRCYIGGTLLYLLSAFLWSFYIYYFKRHLYFPKDTIPSNRAMLLQIGVSMKAMPCYSALPAFSEYMIEHGWTKCYPRISDVGFPLYLAYLIVYLVIVEFGIYWMHRELHDIKPLYKYIHATHHIYNKQNTLSPFAGLAFNPLDGILQAVPHVIALFLVPTHLMTHMILLFVEGVWTANIHDCIDGKVWPIMGAAYHTIHHTTYRHNYGHYTIWMDWMLGTLHKPTDDELKKM >itb11g03750.t1 pep chromosome:ASM357664v1:11:2027195:2029441:-1 gene:itb11g03750 transcript:itb11g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGHYYDYMPDQVQRYVQRDEFESVHSLPGRYNWNRSQPGFPRSPPVEELGNQYNWSRTQPGFHHSQLDEPGNQYNRNRIQTRLPHWEEPPSFPSQDPGYPRRLPNPRRPIGAPLRFNSVQSGNRPPAPPRPPIRVVVLEDSVSANAWQTQLARMDQSPPSRSPPPPFRMFMQQPEDDSPQSSTNESKLTTDEQHKVLAKLRKEIYNPATTLPNLYFRDRNHTAQTQEASKDKDENWKRCAVCLEDFEAREVVTLTPCNHMFHEDCIVPWVKNHGLCPVCRFAICERLKENAAPPAPPRRTGVPPPRRLFPPPPPPSRDNDMFAIMRALVLEDDYELQSMIPALLGR >itb03g19490.t1 pep chromosome:ASM357664v1:3:17591608:17594116:1 gene:itb03g19490 transcript:itb03g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MDSPPSKSWSIHTRKEITSKYEIFERVGSGAYSDVYRGRRRSDSLTVALKEIHDYQSALREIEALQTLQNCPNVVVMHEFFWSEDDDSVLVLEFLPTDLGAVIKAAKKIWDNGLTVGEIKRWMVQILCAVDACHRNSIVHRDLKPSNLLISDDGILKLADFGQARMLLAPGLAAVDDGQPYGKASRNVPTPMYTPEFNPVMENAYREGQSHHYQRNVAKEDRIADSDEFRYRLDDIDSIQDGNTSCLATCTNSDFEDDPLKSSYVEDEEGDLAPLTSCVGTRWFRAPELLYGSVNYGPEIDLWSLGCIFAELLSLEPLFPGTSDIDQLGRIFTILGNLSEEVWPGCVHLPDYKTISFNKVEKPTGLEACLPNRSPDEILLVKKLLCYDPAGRATSMELLHDKYLNEDPLPVLVSELRVPPKNSELDEDSPGEWGDLNSDSDMDDFGPVQFSRTENGFSVQFS >itb00g00050.t1 pep chromosome:ASM357664v1:16:676664:677845:1 gene:itb00g00050 transcript:itb00g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKPISVNPYELLAEKTIIGCNFGGINAKTDIPQLAEKYLKNELNLEGFKTHEVKFQDINEAFELLEQKKSLRCIIWMDNNA >itb09g24810.t1 pep chromosome:ASM357664v1:9:24658752:24663880:1 gene:itb09g24810 transcript:itb09g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAFPLVTSILKSHNTRFSFLLTARPPAPVLLRRRFLSRTAISAVNTHSVSHNCSAGHDSENQKKSSAPTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGIDVNEHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQAGSIQLMPISVEITYGLERILMSLQGVDHFKNIQYANGITYGELFLENEKEMSAYYLEHATVDHIQKHFDLFEAEARRLLDLGLPIPAYDQVLKTSHAFNVLDSRGFVGVTERARYFGRMRSLARNCAQLWLKTRETLDYPLGVISQPDRLVIPREDLKAAAGQVCFRLLCVVFSFYAIFLANLVFPNKDDLSYFIDLYLLQS >itb09g20050.t1 pep chromosome:ASM357664v1:9:16676389:16677138:1 gene:itb09g20050 transcript:itb09g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMMIEVTSPDGHHYHYADSVENGDFAFTAAEGGNYMTCFWAPEHKPPFTLSIDFDWKLRLDLLPRIGRKLPRKIR >itb04g32280.t1 pep chromosome:ASM357664v1:4:34920074:34921363:1 gene:itb04g32280 transcript:itb04g32280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHANDSPPFSEKSGEVAEQNAQQPGKPQLPVSAKPVPPPPGTYVIQVPKDQIYRYPPPENSRRLKSFSRRKSRRGCCCRCLCYTLCLLVVLIVALAIAAGVFYLVFRPESPNYTVTDVAIKGLNVTSRSAVSPEFDVIVRAENPNDKIGIFYRKGSSVRVSYSGIELSHGELPVFFQPSNNVTEFRTALKGSGVLLGNTVRSSLVRQQRQRKVTFKLNVETPVKIKVGAVKTWEITVKVKCDVTVDALNEKSNVVSKDCNYSVKLW >itb04g33220.t1 pep chromosome:ASM357664v1:4:35535021:35541737:1 gene:itb04g33220 transcript:itb04g33220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAVLSQGGSSELLDLENGDVSNNGVGDYQKGGAYLGWKDLTVVIPNFGDGPTKRLLNGVTGYALPARILAIMGPSGSGKSTLLDSLAGRLSTNLVMTGNAILNGRKTKLNSGAVAYVTQEDLLMGTLTVRETITYSAQLRLPSNLRRSEVHEITENVIMEMGLQECADQLIGNWHLRGISGGEKKRLSIALEILTQPRLMFLDEPTSGLDSAAAFFVLQVLKNAACGGGRTIVCSIHQPSSEVFALFDDLCLLSSGETVYFGEAKSAVEFFADSGFPCPSRRSPSDHFLRCINSDFDTVTETLIGSQRIKDPKWSSGSTIYFHTAEIRARILQKFRYSKYASRTRATVEEISSMNGVGIEGLGGSQARWWKQLETLIRRSFTNMSRDFGYYWLRIIVYIIVSTCVGTVFYNVGTNHDAVLARGACGGFIAGFMTFMSIGGFPSFIEEMKIFYKERRNEHYGVGLFILSNFISSFPFLVVMSFSSAAITYHLAKFHAGFFHFIYASLVLLSSVAVVESCMMVVASFVPNFTMGLVTGAGLIGIMMVSAGFFRLLPDLPKVFWRYPVSYVNYMSWALQGAYKNDMLGLEFDAMNPGEPKLTGEVIMTAVLGLSVSYSKWWDLGAVIAILICYRLVFYMVLKLRERALPYVYYLHTKTTLHRISKRASFRKTPSFSSSKRHRTLISLSSQEGLNSPIN >itb10g06310.t1 pep chromosome:ASM357664v1:10:7018515:7054062:-1 gene:itb10g06310 transcript:itb10g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYENWERLVRATLRREQLRQSGPGHGRTPSGIAGSVPDSLQRSTNINAILQAADEIQDEDPNVARILCEQAYSMSQNLDPNSDGRGVLQFKTGLMSVIKQKLARRDGAQIDRNRDIERLWEFYQQYKRRNRVDDIQREEQQLRESGNFSANFGELGLRFSEMRKVFATLRALVEVMEALSKDAAPEGVVKLIMDELRRIKASDTTLSGELTPYNIIPLEATSFTNAIGFFPEVRGAISAIRYGEHFPRLPSNYEISGQRDLDMFDLLEYVFGFQKDNIRNQRENVILTVANMQSRLGIPDGADPKIDEKVITEVFLKVLDNYIKWCRYLRIRLVWNKLEAINRDRKLFLVSLYFCIWGEAANVRFLPECICYIFHHMARELDAILDHGEAYPAASCTGENGSVSFLEQIICPIYETMTKEVANNNNGKAAHSKWRNYDDFNEYFWSPACFEWSWPFKKEGSSFLLWPRKGKRTGKSTFVEHRTFLHLYRSFHRLWIFLVVMFQALTIVAFSDQKINLNSFKTLLSVGPTFAIMNFIESCLDVLLMFGAYSTARGMAISRLVIRFFWTGFGSAFVTYVYLKLLEERNRNNSDSLYFRIYVLALGVYAGIRIVFALLTKFPATHTLSEMSDQSFFQFFKWIYQERYFVGRGLVEKTTDYIKYVLYWLVIFICKFTFAYFLQIKPLVEPTRVIRHLPSLPYSWHDLVSKNNNNALTIVSLWAPVIAIYLMDIHIWYTLLSAIVGGVIGARARLGEIRSIAMVHKRFESFPEAFAKNLVSPEAKRMPFDGQSSQSSQDDSKAYAALFSPFWNEIIKSLREEDFISNREMDLLSMPSNTGSLRLVQWPLFLLSSKILLAIDLALDCKDSQADLWNRICKDEYMAYAVQECYYSIEKILYSLVDGEGRLWVERIYREINNSLSEGSLFTALTLTKLPNVLSKLAALTGLLSRNETPELAKGAPKAMYELYEVVTHDLLAPGLREQLDTWNILARARNEGRLFSRMQWPKDPETKEQVKRLYLLFTVKDSAANIPSNLEARRRLEFFTNSLFMDMPQAKPVFQMMPFCVFTPYYSETVLYSSSELRSENEDGISILFYLQKIFPDEWVNFLERIGRGDTADSEVFQESSSDALELRFWASYRGQTLARTVRGMMYYRRALMLQSFMERRPLGEGVYSQTNFPTTHSFELSHEARAQADLKFTYVVSCQIYGQQKQKKAPEAADISLLLQRNEALRVAFIHVEENAGASKEFYSKLVKADAHGKDQEIYSVKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFHENHGLRPPTILGVREHVFTGSVSSLAMFMSNQETSFVTLGQRVLAKPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRTYLAFSGLDEGISRRARFLGNTALDAVLNAQFFVQIGIFTAVPMIMGFILEKGLLKAVFSFITMQMQLCSIFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLTVYIAYGYTKGGASSFILLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDNSWESWWDEEQMHIQTLRGRILETILSLRFFIFQYGIVYKLHLTGDDTSLAVYGFSWVVLIGIVMIFKIFTFSPKKSTNFQLMLRFIQGVTALGLVAALCLVVALTRLSIADLFASVLAFVATGWAIICLAITWKRVVRSLGMWDSVKEFARMYDAGMGMIIFAPIAVLSWFPFVSTFQSRILFNQAFSRGLEISIILAGNKANMEPSAY >itb01g26730.t1 pep chromosome:ASM357664v1:1:31819028:31824237:1 gene:itb01g26730 transcript:itb01g26730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDRRGRRVPFKDRTEWADVRPVPQDDGPSPVVPIAYTDDFSETMDYFRAVYLADERSPRALQLTAEAIRLNPGNYTIWQFRRVVLEALNANLREELEFVDHIARGNPKNYQIWHHRRWVAEKLGTDAVNKELEFTKKIFSQDAKNYHAWSHRQWVLQALGGWEDELAYCHQLLEDDIYNNSAWNQRYFVVTRSPLIGGLAAMRDSEVQYAVQAIKTTPDNESPWRYLRGLYNGDVQSLLRDPQVTSVLLEILTSKANHVYALSMLLDLCCHGFEPSQELRSAVHELSPESCSSDPILIKEICSILETVDPMRINYWNWRKSTTTAQSTQFHNEDRLAGMNL >itb04g12120.t1 pep chromosome:ASM357664v1:4:11890809:11900019:1 gene:itb04g12120 transcript:itb04g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MANPDSQRRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVTDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADKNREQGRGGPGMVAGADPQRHIGGPAALGNSSLHQPIGHAVATTAATVMAGALGAAQMGSSLTQTGLHNQFGSGIDPLSLYLSKMSRSQLYEIISDMKAIATQNKEQARQILHTVPNLSKAIFQAQLMLGLVTPQMLQMPNIRQSSVPPPHSILPDSHRNQQLAVRTLPGIPPPHSSLAQTRPQVQLPQSAENNILQHGRLPIHSGVQAIPSIRPQGLVPSANLAYTSQPAAPNAALQPSLACPPATEKVFQQGSSAVSTPLDNIIKGTQIVANNSAWLNKTIPPSGLPERARVPNDSIDAMNRPSKLSKLNDGRSSYSPAELNVGTLVTRPSRSASLSGNQISGSEEASSSEKPALQITPDVESALLQQVLSLTPEQLNSLPPDQRQQVLQLQRMLRQPT >itb04g12120.t2 pep chromosome:ASM357664v1:4:11890809:11900019:1 gene:itb04g12120 transcript:itb04g12120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MANPDSQRRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVTDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADKNREQGRGGPGMVAGADPQRHIGGPAALGNSSLHQPIGHAVATTAATVMAGALGAAQMGSSLTQTGLHNQFGSGIDPLSLYLSKMSRSQLYEIISDMKAIATQNKEQARQILHTVPNLSKAIFQAQLMLGLVTPQMLQMPNIRQSSVPPPHSILPDSHRNQQLAVRTLPGIPPPHSSLAQTRPQVQLPQSAENNILQHGRLPIHSGVQAIPSIRPQGNLTATLPIQVGTSTSSSLKQQMHHPLLPQAGLVPSANLAYTSQPAAPNAALQPSLACPPATEKVFQQGSSAVSTPLDNIIKGTQIVANNSAWLNKTIPPSGLPERARVPNDSIDAMNRPSKLSKLNDGRSSYSPAELNVGTLVTRPSRSASLSGNQISGSEEASSSEKPALQITPDVESALLQQVLSLTPEQLNSLPPDQRQQVLQLQRMLRQPT >itb08g03640.t1 pep chromosome:ASM357664v1:8:2950665:2952290:-1 gene:itb08g03640 transcript:itb08g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKSSTSHIEITIEDPNAPPPPPPPPPPPSSASLDDAPTRWLSPILAGFHAGYFRISLSLGWQAMLWKTLFPPHHAAFHVLNITLWSFSLIVLIILSALYLLRCLLFFSLVKSEFLHHIGVNYLFAPWISWLLLLQSAPFHSHYSPRVLWWFFAVPVVVLDVKIYGQWFTKGTRILTAVANPTSQLTVISNLVVARAAARIGWQEVSIGCFSLGMIHYLVLFVTLYQRLPGSDRRLPAMLRPVFFLFFAAPSEASLAWDSISGIFDTCSKMLFYLSLFLFTALVMFVLLRCITQNKIIN >itb06g20200.t1 pep chromosome:ASM357664v1:6:23285077:23286801:-1 gene:itb06g20200 transcript:itb06g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSKPQIQNNKMTPKLILFFTLFLLPQTFHNRGFFAAVAALRGGGKWDLLQSSIGISAMHMQLLHNDKIVAFDRTNIGPSNISLPAGKCHHGVSGGGSFGGNKTPPDDNCTAHSVEYDVVSNSIRPVLVLSDFWCSSASVSPAGTLIQTGGYGEGTRVVRRFNPCNDAECDWEEIKYGLTRPRWYSTDHILPDGRQIIVGGRRAFNYEFFPKSGPADAVYSLPFLAQTTDPGQGAENNLYPFVLLNVDGNLFIFANNRAILLDYVNNVVVRQYPQIPGGEPRNYPSSGSAVLLPLKNLKGSTTMAEVLVCGGSPKDAYYNARRNIFVGALNTCGRIVITDPNPVWITEEMPFPRVMGDMVVLPTGNVLIINGATNGAAGWDLARNPAFHPVLYRPDGPPRSRFDLQNPNKIPRMYHSTAVLITDGRVLVAGSNPHATYKFTNVAFPTELSMEAFSPSYLDPKYAALRPEILSPASQSWLSYGEVVSVQFKVSGKVDLSSVKVTMVSPAFSTHSFSMNQRLLVLNIVKVKAAGEESYGIDVVAPDSGNLAPSGYYLLFVVHREIPSKGIWVHIQ >itb02g16850.t1 pep chromosome:ASM357664v1:2:12849674:12850000:1 gene:itb02g16850 transcript:itb02g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVYVGTALIDMYAKCGDIDEGERVFEAMRAKNVQTWNVLISGYAMNGRGEAALLTFNGMIMENFKPDVVTFLGVLCACCHQGFVEEGRKKKAFLKNEKRIWFAAKD >itb13g24650.t1 pep chromosome:ASM357664v1:13:30269963:30280480:1 gene:itb13g24650 transcript:itb13g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSSSAVSPPALLHSTAASTRTSGHYLVTRRLPPWIVRSRSKRRTALLVTAAIAQKTALEYRKLGDSDLNISEITLGTMTFGEQNTEKEAHEILSYAFEQGINALDTAEAYPIPMRKETQGRTDLYIGNWLKSQPRDKVILATKVCGYSERSGYIRDNAKILRVDAANIKESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEFFYDSSKWRPSVPVVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHSAKVEGLPKIVSIQNSYSLLVRCKFEIDLVEVCHPNNCNIGLLAYSPLAGGTLSGKYMDSKSEAAQKGRLNLFPGYMERYNKSLSKEATIKYIEVAKKHGLTPVELALGFVRDRPFMTSSIIGATSVDQLKEDIAAVLTTQRPLPPQASTYGFELFHGGGGGFIQNDRPFGATLEYTSRLSVESSLSDSSSRDSDVVLERANMQVRSGGDGFRISVSSTPSCLSGPDDIESLGDVFVWGEIWSDGASKDGYGNPVTVKGDVLTPKPLESNVVLDVQQIACGARHVALVTRQGEVFTWGEESGGRLGHGVEKDFSHPRLVEFLAVINVDFVACGEHHTCAVSASGDLYTWGDGAHNVGLLGHGNDVSHWIPKRVSGVLEDLQVVSVACGTWHSGFTTSTGRLFTVGEGTFGALGHGNRESITFPKEVHTLDGLKSIKVACGVWHTAAIVEVCNQSGASVASRKLFTWGDGDKNRLGHGNKNTYLLPTCVSSLIEYNMHQVACGHNFTVALTMSGHVFTMGSNAFGQLGNPDSDGKQPCLVQDRLVGEFVEDISCGDFHVAVLTSRCEVFTWGRGANGRLGHGDIEDRNSPTLVEAFKDKHVKNIACGSNYTASICIHKWVSGADQSVCTGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDPCYLKLKKAAEGQAQGQHSTASASSSSSSNYFKKVSLPMRLLERGEAKPSRILLSPKVEPIKYLEVKSNKPGTRTDSYNIVRASQVPSLLQLKDIAFPSSLSVLQSAWKPVMTPPTQQPLLAIPPPSFPNSRSTSPYSSRRPSPPRSPAPGSSRGVVESLKKTNELLNQEVTKLQNQIKSIRQKSEHQDMEIRKMKKNVQDTSSLAADRSSKCNTAIEVVKSISSQLKEMSEKLPSDISERETIFSLNAQVESFLNTVEPQGSEDSSSLPPDRISSGSESPHNIDGTPQENNGVSHSIAEGGGRPSRVPSMGRREIIEQFEPGIYVTLIQLSTGSKIFKRVRFSKRRFDSQQAEEWWRENKDRLLTKYSPKVHSSSTPVESPATPTEETTETETA >itb05g28330.t1 pep chromosome:ASM357664v1:5:31754052:31754907:1 gene:itb05g28330 transcript:itb05g28330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFDPNSLRSGLPKAVLLLELAISEEKGRVYVHCTVGLGRAQAMAIAYMFWFCHMDLTTAYEEIYTAIQVAAELVNGGANDSRRHFLRHKYCYNEVSRRKAINDSQRHNNVRGMVATGPCTRPLRQRHEVDGTGGGTLQQELLKSIVTLLPLEKGDFPINFLCCLLTTAIFLTAATCCKTS >itb05g14710.t1 pep chromosome:ASM357664v1:5:21986772:21988720:1 gene:itb05g14710 transcript:itb05g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETWLIKVKKTIARATAPHHHAAKPSVMVKKSAQVGVLAFEISGLMAKLLHLWQSLSDKNVTRLRGECLALEGVRKIVSNDDVFLLGLACAELVENLRVVAKSVTRISRKCDDPKLRSLDRSLNEFANSGKDPHGMVLGWKEMEAKIKKMDRYVSSTALLHKQMDELSGLEKKAAQAEGLESSAREKRLGDLHQKIQWQKQQIKYLKEKSLWSRTFDTVTLLLARSIFTILARTKIVFGINNSTLPYPSTLPRSLSASATVHPSENPPPPFVSGPLLQEPPNKFFRSNSDMLKPPATTLGAAALALHYANLIIVMEKMIRSPQLVGVDARDDLYSMLPNSIRSALRARLKGVGFSATDPALAGEWRDALQKILGWLSPLAHNMIKWQSERSFEHQNLLPKTNVLLLQTLFFANQEKTEAAITELLVGLNYIWRFEREMNAKALFECTNFNTFLNLQEFK >itb05g20650.t1 pep chromosome:ASM357664v1:5:26694155:26694992:1 gene:itb05g20650 transcript:itb05g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLLFSGTPYSTLPHKYIRPESERPKLSEVATCDDVPVIDLGCGDRNLIVRQIGDACRHYGFFQVINHGVSKKVIEDMLKVAHEFFNLSVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCYPLEKYAPEWPSNPPTLRYFTALFLLIP >itb14g19370.t1 pep chromosome:ASM357664v1:14:22067619:22070177:-1 gene:itb14g19370 transcript:itb14g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSLAFSPPCSHSHQPRFALGMTFVHQKPRSTFSGQPLYLPRLHISSCTQTRKTPSRLTIMMVKPSIQFIQGTDEQTVPDVRLTKSRDGTNGMAIFNFSQPSVFDSSQEVGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYIMRNPREWDRFMRFMERYANANGLAFVKK >itb06g17500.t1 pep chromosome:ASM357664v1:6:21335026:21338004:1 gene:itb06g17500 transcript:itb06g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVFGSSPVQCSLKTQMSPAQQSCPQEPHWKLNKISLVVFTAPILSSRQPAAALIGTNLRSLYLFSPMAAYCHQRDFMFCERCQTMLSFDSSKYAHCPLCKFQKRIKDIIGCEIRYTISAEAIRKELGISSYDDAEAEKELKQMDYNAKCKACQHLGLAYVARQIRSADEGQTIFYTCPICGNKQTENS >itb06g10750.t2 pep chromosome:ASM357664v1:6:15252642:15254855:-1 gene:itb06g10750 transcript:itb06g10750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDYESEEKKQAAADVLFQYSRFVMACIGNQVRPCDLRMHLMKEVSGLPTTLKRETRVASSPDIMGESSSSGTSRLDKTDSFRGV >itb06g10750.t3 pep chromosome:ASM357664v1:6:15252642:15254695:-1 gene:itb06g10750 transcript:itb06g10750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDYESEEKKQAAADVLFQYSRFVMACIGNQVRPCDLRMHLMKEVSGLPTTLKRETRVASSPDIMGESSSSGTSRLDKTDSFRGV >itb06g10750.t1 pep chromosome:ASM357664v1:6:15252642:15254855:-1 gene:itb06g10750 transcript:itb06g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDYESEEKKQAAADVLFQYSRFVMACIGNQVRPCDLRMHLMKEVSGLPTTLKRETRVASSPDIMGESSSSGTSRLDKTDSFRGV >itb04g33400.t1 pep chromosome:ASM357664v1:4:35657451:35658013:-1 gene:itb04g33400 transcript:itb04g33400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSFPPGVRFVPSDYEVIHYYLELKIMNGILPYNHIQEVNLYKYSPEELSGMYPRPQGENELYVFTPRDRKYRNGTRPKRAAGNGYWKATGADKPITHGAHCVIGYKKTLVYYEGKPPNGEKTNWIMHEYTVKDAKTPKPRRDNADPMRVITDN >itb08g12740.t2 pep chromosome:ASM357664v1:8:13135566:13139874:1 gene:itb08g12740 transcript:itb08g12740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQPSSSAFATPSSTPPFGTPSSTPAFGTPSSTPGFGTPSTPAFGTSLFSSPFSQQSQPQQQGSLFQTPQSSSAFSFSTPFGATQSQQNLSASPFGQQPSTQTTSPFANAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKHLLFSVTEPQQRVKPAGVSDIMWAEAMGKLEGMESSNRERLWPQLIQGFKDLSQRLKLQDEVILSDAERLKLTQSNVKMLQRHFQADTLPWIERMRQKEQGLQRRLLRVMRIIEALEGKGCRLPLMKGEADLAEKLAAITRQLKGSGAEVSRRVQNLLTICRVQDGIGGGSFYLPGSAKIHEQSLVDMQEV >itb08g12740.t1 pep chromosome:ASM357664v1:8:13135553:13140516:1 gene:itb08g12740 transcript:itb08g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQPSSSAFATPSSTPPFGTPSSTPAFGTPSSTPGFGTPSTPAFGTSLFSSPFSQQSQPQQQGSLFQTPQSSSAFSFSTPFGATQSQQNLSASPFGQQPSTQTTSPFANAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKHLLFSVTEPQQRVKPAGVSDIMWAEAMGKLEGMESSNRERLWPQLIQGFKDLSQRLKLQDEVILSDAERLKLTQSNVKMLQRHFQADTLPWIERMRQKEQGLQRRLLRVMRIIEALEGKGCRLPLMKGEADLAEKLAAITRQLKGSGAEVSRRVQNLLTICRVQDGIGGGSFYLPGSAKIHEQSLVDMQEVLQQQTEAIARLGNVLKRDMRDMEIIMAEETEMIED >itb06g05430.t1 pep chromosome:ASM357664v1:6:8145167:8147029:1 gene:itb06g05430 transcript:itb06g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSKAKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNLIGEAECNICKESYSTTVTALTEPIDIYSEWIDECERVNNPEDDG >itb09g24360.t1 pep chromosome:ASM357664v1:9:23986403:23988574:-1 gene:itb09g24360 transcript:itb09g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVVEGAAKPPQISEMFQKFAHAFKAKTFELFADEETSAAGDGDVYALLDSAEEFITDQKVVVIKPDSCKYPPSPDPTAKVQFTNALVSSLFANVSSFEASYLQLQMAHVPFDEKAIESADKALVSILQKLTEIRNLYRSFKKHPSCNLEYFPVGSVLEFQVQENQSKLRALETMVNQLQSDIESKDDEVLILRKKLDKIHDTNSSLSRKLGVRTENLSNSIDVLLTIRVFELMLRDSLKSVHCFSKLLLELMKKAGWDLEQAANSVYPGVNYAEKGHEKYSFLSYVCLEIFRGFDKDDFGILDDNNACNGNGSICGGENGYLRQLIEHVSCNPMDVLQKNPNSGFSKFCEKKYEQLIHPTMESSIFSNMDQKEVVLDSWRSLSVFYELFVRMASSIWLLHKLAFSFNPVVKIFQVEGGVDFSMVYMEDITRKSSFFLGKTRPKVGFTIVPGFKIGQTIIQSQVYLTSCKHPEQHGSQRDLTKI >itb10g02230.t1 pep chromosome:ASM357664v1:10:1870298:1873172:1 gene:itb10g02230 transcript:itb10g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILIFFSLIRIPISEQILSRRETEMSERAVPRRESPWGLPEGDTRQPKPHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPFTYLQLDPPKREVKLE >itb09g02720.t1 pep chromosome:ASM357664v1:9:1549128:1549448:1 gene:itb09g02720 transcript:itb09g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLLHAMKKQKQPHNRSYHLLIGADDSADGSSHRRTFSDFQPDYFSDHQRSPRGLGCFSKNVAGTGSVMSPAASKHNNGTETAVTSGYQASPATVNVNHRR >itb02g03590.t1 pep chromosome:ASM357664v1:2:2121756:2124187:1 gene:itb02g03590 transcript:itb02g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFAYTFLFILLCLVSVNLSTSSENQETFIVYMSKSNKPHFFSTHHDWYSSIIRRLSPLSQLPSELLYTYGHVTHGFSARLTPSQVSELRNIPGVISVLPDQARQLHTTHSPEFLGLAESSGLWPNSDYGDDVIVGVLDTGIWPERPSFSDKGLSPVPASWKGKCENSTDFPESLCNRKIIGARAFYKGFEAAKGGPMDESVDSKSPRDTNGHGTHTASTAAGSIVANASVFGYARGEARGVAIKARIAVYKICWSSGCFDSDILSAFDQAVEDGVHVISLSVGANGYAPQYFRDSTAIGAFGAMQHGIVVSCSAGNSGPGPFTAVNVAPWILTVGASTIDRTFPADVVLGDGRIFTGISLYSGDPLGDYQIPVVYAGDANSNYCYSGELNATKVAGKIVFCEPGGNLDVEKGFAVREAGGVGMIVDGNVGWGELILANAHVIPAANVDETAGKEIHEYIKSDPSPTATIIFRGTVIGSSPSAPRVASFSSRGPNLITFGILKPDVIAPGVNILAGWTGANSPSESEMDQRRVEFNIISGTSMSCPHVSGLAALLRKAHPTWSPAAIKSALMTTAYTVDNAGNNFIDQASGDKSNAYIHGAGHVDPNRAMDPGLIYDLGVNDYVAFLCTIGYDKNQTSLFVKDPSKVNCSVQNLGTPGSLNYPAFSVVFSDQNEIKYKRSVKNVGSEKYVVYEVKVNAPLGVQVSVSPTKLVFDEKADTLSYEITFTNVNSGGYDNSYGSIAWEDGVHTVSSPIAVLWLNGTRSAL >itb12g21280.t1 pep chromosome:ASM357664v1:12:23658278:23661775:-1 gene:itb12g21280 transcript:itb12g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPRSAGASPIDAENGVVVVGPKELDAGALFVLKSQGSWLHCGYHMTTAIVAPALLSLPFAFTFLGWVGGLISLTLAGMVTFYSYYLLSVVLEHHDRIGKRQLRFRDMAHHILGPGWGRYFVGPLQLTICYGAVVACILLGGQSLKFIYLVTTPNGSMQLYQFIIIFGCLTLVMAQLPSFHSLRHINLVSLVLCLAYCACTTTGSIDIGHSNKAPTRDYSVTGSGINRVFGIFNAVSIIATTYGNGIIPEIQATIAPPVTGKMLKGLLVCYSVVISTFFSVSISGYWAFGNQAQGSVLQNFMVDGKPLLPKWFLLMTNVFTLTQVSAVTLTYLQPSNVVLENKCADPKKDVLSIRNVVPRLIARSATVVIATTLAAMLPFFGDIMAIFGAFGCIPLDFILPMVFYNVTFKPSKKSLIFWGNTIIAVVSTVFSLIGAVSSVRQMVLDARTYRLFANM >itb12g21280.t2 pep chromosome:ASM357664v1:12:23659032:23661775:-1 gene:itb12g21280 transcript:itb12g21280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPRSAGASPIDAENGVVVVGPKELDAGALFVLKSQGSWLHCGYHMTTAIVAPALLSLPFAFTFLGWVGGLISLTLAGMVTFYSYYLLSVVLEHHDRIGKRQLRFRDMAHHILGPGWGRYFVGPLQLTICYGAVVACILLGGQSLKFIYLVTTPNGSMQLYQFIIIFGCLTLVMAQLPSFHSLRHINLVSLVLCLAYCACTTTGSIDIGHSNKAPTRDYSVTGSGINRVFGIFNAVSIIATTYGNGIIPEIQATIAPPVTGKMLKGLLVCYSVVISTFFSVSISGYWAFGNQAQGSVLQNFMVDGKPLLPKWFLLMTNVFTLTQVSAVTLVRH >itb10g17820.t1 pep chromosome:ASM357664v1:10:23929402:23930039:1 gene:itb10g17820 transcript:itb10g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSKNVGKGLRALVVEDDRAMQMVHKMLLEKYGLEAQVANNGEEAVELHRSGARFDLLLMDKDMPVKDGVNATRELREMGVKSMIVGVTSHEPGAVMDEFIAAGLDECLTKPLGQEVILGFINQLVA >itb03g08390.t2 pep chromosome:ASM357664v1:3:6268971:6271291:-1 gene:itb03g08390 transcript:itb03g08390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLPAMAASLVDAQPLSASASASAAKIRLMCSYGGHIAHRPLCKSLCYVGGETRVVAVDRRTTAASLSALIAHLSRVLYNSRPFHLKYQLPNEELDSLVSVTTDEDFHNMLEEYDRISSSASATPSRIRLFLFPDSQGSALLDQKADSWFSDALNNSTIVRKGQSTDSCTPRGLMGLDTVVGSDLLSVEGQAECLSSSGGGGGDAKHGLELGGLLQESLVLETSSSFGSTNSSISMSNLPAIGVHGEETAFNLLEKRLRVPSSASLESDISVGSVGFQSKPSTYQEPFIQVVSGATSCTTEPESPISNASNLFQAQKMVQVPAYQVSQQSDGKAQHHGVQYVHGGPYYIPQYTTTPLPSSSCYPVYQVPVQQSPYTLSQQYPIYFVPVHPTPSINMSMQCSVNDTATISPNRPILHPQGHVIPPPTAQKDFPSAQQVPDSSAKVYRTIPISTVPVSAPSTQGQQQFVGHLEAQFSSQPVSSTSVSVTNYSDEFDEDLSYAQIYKSQPPAPAFISQCQTITKGQQYSYKSPQCSNT >itb03g08390.t1 pep chromosome:ASM357664v1:3:6268936:6271291:-1 gene:itb03g08390 transcript:itb03g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLPAMAASLVDAQPLSASASASAAKIRLMCSYGGHIAHRPLCKSLCYVGGETRVVAVDRRTTAASLSALIAHLSRVLYNSRPFHLKYQLPNEELDSLVSVTTDEDFHNMLEEYDRISSSASATPSRIRLFLFPDSQGSALLDQKADSWFSDALNNSTIVRKGQSTDSCTPRGLMGLDTVVGSDLLSVEGQAECLSSSGGGGGDAKHGLELGGLLQESLVLETSSSFGSTNSSISMSNLPAIGVHGEETAFNLLEKRLRVPSSASLESDISVGSVGFQSKPSTYQEPFIQVVSGATSCTTEPESPISNASNLFQAQKMVQVPAYQVSQQSDGKAQHHGVQYVHGGPYYIPQYTTTPLPSSSCYPVYQVPVQQSPYTLSQQYPIYFVPVHPTPSINMSMQCSVNDTATISPNRPILHPQGHVIPPPTAQKDFPSAQQVPDSSAKVYRTIPISTVPVSAPSTQGQQQFVGHLEAQFSSQPVSSTSVSVTNYSDEFDEDLSYAQIYKSQPPAPAFISQCQTITKGQQYSYKSPQCSNT >itb03g20450.t1 pep chromosome:ASM357664v1:3:18360084:18361240:-1 gene:itb03g20450 transcript:itb03g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASPISPFSAPPTRSSSSTHCCHVAFAASAEPFEKSIEIMRKFSEQYALAIITRKKIKIKKIDNLQPSKVTFSKRRRGLFKIAEELVVLCDADVKGAD >itb02g01290.t2 pep chromosome:ASM357664v1:2:702894:710008:-1 gene:itb02g01290 transcript:itb02g01290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKRGRRGAGGAGKRNNNNSSFRTPAVQRSSAALGGRLSLGSGAAGPRNRSTAVAPTPSAPSNAGEETFSLVSGNPLHFAMIIRLAPDLVEEIKRTEAHGGTTRIKFGANANNSAVVQGIEVSPFQVINVGGKDFRFTWSQDRGDLCDIYEERQSEEDGNSLLVESGSAWRKMNVQRVLDESTKNHVKMLSEEAERKSKSRKTIILDPRNPSTKSQVKARATVEGNPSKMTLQQPPHKKRKVEPPPARGPPNKVSSIGTQGMPGGKKSTSSKPSDLQCMLITFLMDNQSNGMTLKALEKAVGDAFPNSARKIKPILKKVATFQAPGRYFLKPGVKIENFMKSTIGSGSCHEVNHPTLPTHNKHELPVPKSSFSMRADTNALEGQQQFNSKRDEAPTFLEKVDLVQLSPEYFDEQKGPDHSERPAGRFSDSSTDSESDRSGSGNKSRSRSPVDDGASRSTSDSESDIPSNSEEASDDDLDIMIRDDDRLVKYKPDGTKRQKAGNMNQQKISGGINQVVAKNSNKVGVNSKNQHNVTEWSLEAYGDNHGKVSTGEAERHDTEQGVCLPVTKECKMQETNLVTDLNDRQKDTLMRSNDGCQERRHSSPDEISCSFSKYEKEDPEFRVPINGFSQYKEYVEEYHEKYEIYCLLKKTLESYRFEFFELGKDLDICKGKDMERYYEILARLKDSYCQYGPGHKRLKKIFVVLHKELKHLKQMINDFAASYCKDR >itb02g01290.t1 pep chromosome:ASM357664v1:2:702616:710008:-1 gene:itb02g01290 transcript:itb02g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKRGRRGAGGAGKRNNNNSSFRTPAVQRSSAALGGRLSLGSGAAGPRNRSTAVAPTPSAPSNAGEETFSLVSGNPLHFAMIIRLAPDLVEEIKRTEAHGGTTRIKFGANANNSAVVQGIEVSPFQVINVGGKDFRFTWSQDRGDLCDIYEERQSEEDGNSLLVESGSAWRKMNVQRVLDESTKNHVKMLSEEAERKSKSRKTIILDPRNPSTKSQVKARATVEGNPSKMTLQQPPHKKRKVEPPPARGPPNKVSSIGTQGMPGGKKSTSSKPSDLQCMLITFLMDNQSNGMTLKALEKAVGDAFPNSARKIKPILKKVATFQAPGRYFLKPGVKIENFMKSTIGSGSCHEVNHPTLPTHNKHELPVPKSSFSMRADTNALEGQQQFNSKRDEAPTFLEKVDLVQLSPEYFDEQKGPDHSERPAGRFSDSSTDSESDRSGSGNKSRSRSPVDDGASRSTSDSESDIPSNSEEASDDDLDIMIRDDDRLVKYKPDGTKRQKAGNMNQQKISGGINQVVAKNSNKVGVNSKNQHNVTEWSLEAYGDNHGKVSTGEAERHDTEQGVCLPVTKECKMQETNLVTDLNDRQKDTLMRSNDGCQERRHSSPDEISCSFSKYEKEDPEFRVPINGFSQYKEYVEEYHEKYEIYCLLKKTLESYRFEFFELGKDLDICKGKDMERYYEILARLKDSYCQYGPGHKRLKKIFVVLHKELKHLKQMINDFAASYCKDR >itb04g19310.t1 pep chromosome:ASM357664v1:4:23670752:23675197:1 gene:itb04g19310 transcript:itb04g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKNARREERLNQGPGVRSAAAAVPPPTKPADAGKKSKK >itb13g10780.t1 pep chromosome:ASM357664v1:13:15704422:15706571:1 gene:itb13g10780 transcript:itb13g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECIATKLQIEAMQTVMPLKPTDPRHSRRVSVPEKHGPGSSFRRRLHMILCYNKASDDESGWIVAGWIKESLGRALIENPVLAGRLRKGEDDDGDMEIVSNDCGVRMLEAQINITLAQFLHLKHKRVAESELVFWEDVQESTPQYSPLFYIQVTNFNCGGYSIGISCSLFLADPFSMTSFLNKWSKIHCTMLSQADKPNKIPTFYFPNLRKPGTLPAHVPLDSSSTNDHPAATTRTVIFRLPTKISNSDKEIHKTLAAKCIEEAENKTSKRLSSRFTMFLKDSMEDVKVESWLREDVFQKPFSGLISGVDSLSWEDLGIDKICFKEDTKAVYFSCWISSIFGEDFVMIVPSPDDDEGRSGHNIIVTISD >itb10g02400.t1 pep chromosome:ASM357664v1:10:2025909:2027089:-1 gene:itb10g02400 transcript:itb10g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFDLRLGVVAALFLFGAWASQAGADDNFRPEKPIKPSRRQFDNDNSEDKSETETPEFSSSPAPPTKTTPPAPDQSSTAILESADRIKDRAEMTDSIVQPLSQNCDADKEFMREWSPMVIGAVLFVLLQPGLIFQWPGNDRKFEFRSMKTNRKAMFTHTMIFIAIYAIIIAVSHGKI >itb01g04090.t1 pep chromosome:ASM357664v1:1:2707416:2708026:-1 gene:itb01g04090 transcript:itb01g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMHGEFSVKSDIFSLGVILLEIITGNKNRIFDQSANRSVNLLGYAWEQWRDGTPLEILDPVLAKSYKVNEVIQCIHIGLLCVQDVAVERPTMAEVMLMLSSYSSNSWPSPREPAFYHGGSEGMPRELELERPMTVNVVSISELYPR >itb10g18480.t1 pep chromosome:ASM357664v1:10:24465386:24467455:-1 gene:itb10g18480 transcript:itb10g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQPILEDFPVLKACKSNTASFGGIPVIDLLDPEAKTQIVKACQEFGFFKVVNHGVPMEAMAKLESEAVKFFGLPQHEKDKAGPPTPYGYGNRRIGSNGDVGWIEYLLFTTNPELISQKPITIPGNSDLFWGLVNEYTEAVKNMTCELLEMMAEGLKIGPKNVLSRLIRDEKSDCCFRVNHYPPCPELQALSGRNLIGFGEHTDPQVISVVRSNDTTGLQISLKDGTWVSVPPDQYSFFINVGDSLQVMTNGRFRSVKHRVLADSLKARVSMIYFGGPPLSAKIAALSSLMEEGEESLYNEFTWHEYKKSAYKTKLADNRLSLFEKNNKSLSLHCNNPTSVN >itb03g10010.t1 pep chromosome:ASM357664v1:3:7763877:7765259:-1 gene:itb03g10010 transcript:itb03g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNLGTNTKFILLHPYIQKQGGTNRVWILAFVSFFAVAFLLTLFYARDYIPTTSAPVISATSTAAANSPLSKSVARALVHYASNSNNTDRMSYTDIKQISDVLRQCSQPCNFLVFGLTHETLLWQALNHNGRTVFIDENRYYAAYIEEKYPEVEAYDVQYTTKLSEMKDLVSAVKQQVRNECRPVQNLLFSECKLGLNDLPNQLYEIDWDVILIDGPRGYWPEAPGRMSAIFTAGVLARSKKGGNPKTHVLVHDFNQKVDRMVSDEFLCRENLVKSEDMLGHFVLEKMDANCYQFCHSHNTA >itb15g09140.t1 pep chromosome:ASM357664v1:15:6523676:6525802:1 gene:itb15g09140 transcript:itb15g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHGGKRNSTIRSVIIVTLILVAVAALFHVTATGAALFHVTATEFNDRRLLIEAQSSESTPGPGYRRLLASVRYTHYRRALMDAEQSQSPSGPGY >itb02g11290.t1 pep chromosome:ASM357664v1:2:7526454:7531153:-1 gene:itb02g11290 transcript:itb02g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKASRLHSEEDMGLRAAFIPAAAVDGGAKLERLTIDDMLQKHCGEFGWWQLKHFVLTSLAWALEGIHTMVMIFADRDPGWRCTTSGCSSDVCNLEGGSWEWEGGPESSTMAEFGLICGHKYRVGLVQALFFAGCMIGAGIFGHLSDSRMGRKGTLTIVCLMNAIFGCLTAFSPNYYVYVFFRLLSGFSTGGTGLCAFVLATEPVGPIKRGIAGMSTFYFFSSGIAILAGIAYLFQTWRALYIASSIPSLLFLILVLPFIHESPRWCLIRGKVHDAMKIMHSIAKSNGKHLPENVVIALDSEVNGDYYSDDPESKQVTSSLIDVLRCPLTRIRLFLAVTINFTMATVYYGLSLNAVNLGTNLYMNVALNAIAEMPAYFLTAIWLDKLGRKPLTIGTHWFSGVFCLAGSSMKGYGTWKIIRMICGILGIFGMAGTYNLLFIYTVELFPTTVRNAALGSTTQAAQLGAILSPLIVVLGGGIPFAVFGAFGISGGLLGFFLPETLNKPLYDTMEGMEDGESKEAAA >itb06g17440.t1 pep chromosome:ASM357664v1:6:21285956:21288715:1 gene:itb06g17440 transcript:itb06g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHCKIGIIGAGISGLAAAKQLSEHEPVVFEATDCIGGVWKHCSFRSTKLQTPRCDFEFSDFPWTQRDNSSFPTYQEILDYLHSYATHFDILKFVKFNSKVVEIRFVADREATGFGGDNGEYGSMLPGHPVWEVAVRTSQSETLQWYTFECLVVCTGKYGDVPNIPSFPHNKGPEVFQGKVLHTLDYSKLDKEECTQLLKGKKTVVFGYKKSAIDLAVECAEANQGTDGQACTMVIRTLHWTVPHYSIWGLPFYLFFSTRSSQFLYERPKQGLARTLLCHLLSPLRRGVSKTIESYLSWKLPLDKYGLRPDHPFVEDYASCQMAILPENFFQEADKGKIQFKRASSKWWFWEGGVEFEDGTKLEADVVILATGFDGKKKLKTILPDPFRSLLEFPASGTMPLYKGTINPLIPNMAFVGFIESVSNLHTAEIRCKWLSRLVDGHFKVPRVEKMVEQTQEEVEIMKRSTRFYKRSCISTFSINHSDEICEEMGWESWRKKTWLSEAFSPYNSQDYTEEN >itb15g04210.t1 pep chromosome:ASM357664v1:15:2663379:2667943:-1 gene:itb15g04210 transcript:itb15g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFTAMRLRRNHSSFSSSSSSSPSSLVHSSPNTYASSSGVLFLLTLLSLSLAAFAFILQWRGGLPDLRCPGPVLSSSEPQGILGRSRKLSFPYFRDWKIKFGSSDLLPKICITTSTSAGLEQTLPWIFYHKVMGITTFFMFVEGKAASPHVSNVLESIPGVTVIYRTKELEEQQAKSRIWNETWMGYLLYKPCNYELFVKQTLNMEMGIVMAREAGMDWIFHLDTDELVHPAGTDPFSVQQLFSNLPAEVDAVVFSNYESSVERDDIKEPFTEVSLFKKNQDHIAEEAYYKHYQEACHGISTFFLTYVNGKSAARIQDHLRPNGAHRWQNYMKSLKEVQFNEAVVLHYTYSKFSDLTSRQARCGCIYNSFNCNRRGNALLVIIKHLRESGVFSSVIAEAWAKKNNNASRHGGFWGTYSRKFGRLFGSHLTSGKFNNLGQLKSRKVSPELAPMEHGF >itb06g18650.t1 pep chromosome:ASM357664v1:6:22275180:22277205:-1 gene:itb06g18650 transcript:itb06g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRGNTNAARKVVRQDIDEESLVLFREMHKHEKDEVASLLLPVSEELESNDAGGGYGLYRVTSGRKNDYDWLKTPPATPLFPSLEMDANAPALLIQRELPIVQPLLPSRFSDNSKAPRTITPRSSSPAPHKKTPARSSVVPAALNLKLNKSNNAAVAGEKCNPTFSSSSNASSAKSSIVKNKESSLNLSASNLSKSLGPIDSSPATNKIQPRIRGVSPLVRPKIPAQFPGFSDETPPNLRSVSAARGRSAAADHQQKQQNQTIIPNQRPSSVSRTRRQSCSPSVARGRKTAQVSEGNANKEKVTITINTRIVQHGNPQVLGSRMVDKFLNARKASSIIQVEGMINDNKAAGKLMNGSSSINESSGFGRMMSRNSLNMPLKHMEIKQIESNKNGMGATARKSTSNMRGSSISSRPTPTSNGNTFLCM >itb08g03710.t1 pep chromosome:ASM357664v1:8:3016948:3020220:-1 gene:itb08g03710 transcript:itb08g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLLLSVFLFFPSAAAAASLAKPGCEEWCGNVSIPFPFGIGEGCALNPWFLVKCDNSSNNPPKPYLTSFLPIELQGSVVAVSLQNQTITTLKSVINFCDNSAGRYAITNGTDLSASPFYYSKSRNKFLFGGCGNSLLTQNSAVLAGCTAICGENISSGLTGCYGIDCCESPIPFDLSSYSANFTNSGIQSGANPYNLSRCNSAFLVDQRWIPKQSTSLFSDYAPVVWIWTVQAKDFPAATICRTSDDAAVQLADGTSVSNFRCDCPTGQEGNPYIAHGHGCQACASCGPDPITSRKLSIFGSILISAGILVFVLCTFFLYKVVKKRRAKRIRAKFFKQNGGLLLQQQLSSNEDDVIDRTKLFTAKELEKATDRFNENRILGRGGQGTVYKGMLADGRIVAVKKSVRVDESKIEEFINEVVILSRVNHRNVVKLLGCCLETEVPLLVYEFITNGTLFSLIHSDNLNDEFPFSWEMRLKIATEVADALAYLHSSSSIPILHRDIKSSNILLDEKYRAKVSDFGTSKSIAIDQTHVTTQVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELMTGNKAISFATNEEERSLATRFLLAMEGNRLFKILDKQVLEQGKKEDLMVVADLGRRCLDLNGKKRPTMKEVVAELEKVKSGTTSSVTKNFEGKRVWEIETTTFSETNYTWTTTEENCSTTSLDAHPLLFDTL >itb08g03710.t2 pep chromosome:ASM357664v1:8:3016948:3020220:-1 gene:itb08g03710 transcript:itb08g03710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLLLSVFLFFPSAAAAASLAKPGCEEWCGNVSIPFPFGIGEGCALNPWFLVKCDNSSNNPPKPYLTSFLPIELQGSVVAVSLQNQTITTLKSVINFCDNSAGRYAITNGTDLSASPFYYSKSRNKFLFGGCGNSLLTQNSAVLAGCTAICGENISSGLTGCYGIDCCESPIPFDLSSYSANFTNSGIQSGANPYNLSRCNSAFLVDQRWIPKQSTSLFSDYAPVVWIWTVQAKDFPAATICRTSDDAAVQLADGTSVSNFRCDCPTGQEGNPYIAHGHGCQGILISAGILVFVLCTFFLYKVVKKRRAKRIRAKFFKQNGGLLLQQQLSSNEDDVIDRTKLFTAKELEKATDRFNENRILGRGGQGTVYKGMLADGRIVAVKKSVRVDESKIEEFINEVVILSRVNHRNVVKLLGCCLETEVPLLVYEFITNGTLFSLIHSDNLNDEFPFSWEMRLKIATEVADALAYLHSSSSIPILHRDIKSSNILLDEKYRAKVSDFGTSKSIAIDQTHVTTQVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELMTGNKAISFATNEEERSLATRFLLAMEGNRLFKILDKQVLEQGKKEDLMVVADLGRRCLDLNGKKRPTMKEVVAELEKVKSGTTSSVTKNFEGKRVWEIETTTFSETNYTWTTTEENCSTTSLDAHPLLFDTL >itb12g14000.t1 pep chromosome:ASM357664v1:12:13287856:13289265:-1 gene:itb12g14000 transcript:itb12g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAEQKSMMDLRAQVFRIWGLLRSSESFDPSLLQPILQSLDVGLIAREIKSKYAVALKIIFKEQIEKYMLHHQLRRELEIQISLCHPNVLKLFGWFHDDERIFLILEYAHGGELYKELHKSGTFSEEQAANITVAFDETTIVDIFACGKPVPFSAQSIAPEVQNVIPSNLVHFNLNIDDYY >itb12g02430.t1 pep chromosome:ASM357664v1:12:1598537:1602461:1 gene:itb12g02430 transcript:itb12g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENMVVVSDENNGASIKPRNVQGGAEMDGRKFGMEGRQNRRVLSVINQNLGAHPYPCVVRKKELSERTRVGDKNPGIPANRPITRKFAAQISDSQQQHCHEENKKPRTVADDDELRVLVDDEGVDKDQPVPMALEHTEVVSNEKTQIEEVEMEDIFEDAVLDIDSGDARNPLAVVEYIEDLYAYYRKMENSSCVSPDYMANQPDINERMRAILIDWLIEVHHKFELREETLFLTVNLIDRFLEKQGIVRKKLQLVGLVAMLLACKYEEVAVPIVDDLVIISDKAYTKQEVLDMESCMLNTLQFNMSVPTPYVFLRRFLKATQADKKLELLSFFLIELCLVEYKMLKLAPSTLAAAAVYTAQCSLHCMRQWNQTCEWHTGYSEDQLLESSRMMVSLHQKAATGKLTGVYRKYSISRFGGVAKCEPATFLLIQE >itb10g20920.t1 pep chromosome:ASM357664v1:10:26313914:26315212:1 gene:itb10g20920 transcript:itb10g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGYQDYVGEYSTTPYHHFYTTQPSYEFCNQNLVENSPNNFPNSHDYYSGYYAKNPENPEVNYNYNYSVYSSSEPKAIEYDGGYGFSETKFIALYSTLEPQDDTDFDEYDPDPYDGGYDIAETYGKPLPPSGKTCYPRSTTNSLVPEDFSYGSIPIASPHGKEPKEILDQPVVKPSKEIAKDREIVPIDAGKFEDLNKGNENSSKNDENGYGYDYDYQIANIPYGSGLESVDICEGIFGYWPCWEKYQKGNGNLQVSDQESSRNPWESTAEYLFGSPFGYGGDERFGGFSYGGYYLQPNQNLNGC >itb14g07540.t1 pep chromosome:ASM357664v1:14:6991562:6992263:1 gene:itb14g07540 transcript:itb14g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVEKDNMVGFDNEMKIIKDRLFGGSENLRVISIVGVIGVGKTTFANMVFNDREIEYEFFTRIWVYVSRACSRRQIFVYILSRFTSIRNDYHEMSDENLAERIKEHLKGAKYLIVFDDVWTKEDWEQLKIAFPNNVKGSRILVTTRYQNVASHIDSSGTPHELKGLSDDECWELLEKKIFGGERCPNSLESVGRSIAKKCNGVPLEVRKISGVLSQNRILEDWSYLKRCMA >itb10g08070.t1 pep chromosome:ASM357664v1:10:10216031:10220535:-1 gene:itb10g08070 transcript:itb10g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSWFLQMRLCILVLGVFNVSLISGYGTVGVQGTVYVDGKSRIGRTDSDFICATLDWWPPEKCDYGTCAWGHASLLNLDLSNIIFLNAIKAFSPLKIRLGGTLQDKVIYQTKDDRVPCTSFVSNTSETFSFSQGCLPLSRWDELNVFFNKSGAVIIFGLNALTGRSIQSDASTVGAWDSTNAESLMKYTVEKGYTIHGWELGNELSGSGVGVRVAADQYASDTSHLHNIVQNIYKDASYKPLIIAPGGFFDANWFTEFVDKTNNSLDVITHHIYNLGPGRDEHLIEKILDPSYLDGEADTFNQLRSILNSSETSVNAWVGEAGGAYNSGRNHVTNAFVFSFWYLDQLGMSASYDTKTYCRQTLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGRNALSTSFSGTKKIRAYAHCAKQSGGITLLLINLDSNTTVEANVAYNGSFVHHRKHISHINHHHHRHHSHSHSHGKRSAPRSQKRGTMTREEYHLTAKGGDLHSQTMLLNGNELSVDSSGSIPEMKPRYLNSSEPITVAPYSIVFAHIPYVFLPACR >itb06g09250.t1 pep chromosome:ASM357664v1:6:13463644:13467513:-1 gene:itb06g09250 transcript:itb06g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAIIPAQVSTARSLGSQFTSSSPFSSLFPARRQRRTLRLRCALLSEKRRESRRLVSVSLAAFLHWFSLPKEAVGGSIFDKYMKRKKLDPLEAYVPAVILAQLQIKQLGKSLEVDQPKFADCRNVLRSGPAASLRVNIRAVAQYASDDGNGELAFSEVDQCLRSLEELDSLFLRASRNERDASTESMKGQITAAINALDELLKTVPANVLDQGRAIADAYVESLEEDEAPGNQDPNLKQLESIL >itb12g01120.t2 pep chromosome:ASM357664v1:12:790837:793824:-1 gene:itb12g01120 transcript:itb12g01120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSYDCVDSDLPCTETIRALCFDDLDSVATDRQTHQSDGGEGLIFGNGGSDPLIDFPILSEESFCLMVGRESQYMPADDYLSRLRTGELDLTFRREALDWIWKAHSHFGFGEFSFCLSMNYLDRFLSMYELPRSKTWAVQLLAVACLSLAAKLEEISVPLTVDLQVGDPKFLFDGKTIQKMELLVLSTLKWRMQADTPCSFIDYFLRKINGDQLPSLHVISRSMHLILSTIKGIDFLEFRPSEVAAAAAIFVSGKTQAIDIIKAMPSFAQETQKERLAKCMELIQNLKLKRNAGGSGSSIPQSPNGVLEAACLSDEQTAGSCPSSSTSTPDTKRRRLETQHNK >itb12g01120.t1 pep chromosome:ASM357664v1:12:790837:793824:-1 gene:itb12g01120 transcript:itb12g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSYDCVDSDLPCTETIRALCFDDLDSVATDRQTHQSDGGEGLIFGNGGSDPLIDFPILSEESFCLMVGRESQYMPADDYLSRLRTGELDLTFRREALDWIWKAHSHFGFGEFSFCLSMNYLDRFLSMYELPRSKTWAVQLLAVACLSLAAKLEEISVPLTVDLQVGDPKFLFDGKTIQKMELLVLSTLKWRMQADTPCSFIDYFLRKINGDQLPSLHVISRSMHLILSTIKGIDFLEFRPSEVAAAAAIFVSGKTQAIDIIKAMPSFAQETQKERLAKCMELIQNLKLKRNAGGSGSSIPQSPNGVLEAACLSDEQTAGSCPSSSTSTPDTKRRRLETQHNK >itb10g03030.t1 pep chromosome:ASM357664v1:10:2701052:2703178:1 gene:itb10g03030 transcript:itb10g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGFAIDHQGHGYTDGRLRAHIPDINPVADNCVAFFDSFHDRHVPLELPSFLYAKSLGVAIALLITLLRDGSTPKRLFDDVVLNGAMCGINDQFKPSWPLEFTINDQFKYSSWTFAS >itb13g01210.t1 pep chromosome:ASM357664v1:13:1167301:1172105:-1 gene:itb13g01210 transcript:itb13g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAKKLLPIFTRAKEDIESIYRDLNEDDIETMDLESFVLRMVESLDFNEHRKTAYFGVEFARKYVTLPVSKKLRLRWAQEFFHTLNGVVKGGDLKYVMEMLWKHFTLPGREDEPETIKEETEDEWESEDEQEIDDEPETEEETEDEQETEDETEDEWESKEEQKNNDEQRSKDEQETKDEWENKEEQKSEDEQEESDDELETEVVQPILSEAESIIRQELRAPSYLNKYMKQRIQARHKIHQIFMKGIKLTSYIKKEVLKVKNAHYNESNTPQGSNTSASLTVGDSLQHTTIEMVGCDDEFNMIMDKLNQQSKQREIVSIVGMGGIGKTTLAKRIYGDASFISRFDCRALVTISQDYNPIKVLNALLRSLDPTWVEDEEKNDPTWVEGEEKNELAMRVDKCVKGKRYLIVIDDIWSTNVLDDLLRCFKDGKNGSRMLLTTRLKNVAEYADSRGNLCHNMRFLNLYESWNLFHNQVFSQRITLSPEFERIGREIVKKCKGLPLTINVVAGLLSNSKQDLNEWELIAKNVHKVSIDHSNQQRENIIDLSYTFLPHHLKHCFLSIGCFREDEELAEDFIVDYWVSEGFLKVLRSKSLEDVARESLKDLVDRNLLLISTKIGFNGLMNVYQMHDVLRELALREAQKENLLCLKNDRYFFSVGFRRTQLRNSSHTCSTFLSWTFYSQQFHDHYFKFLRGFVSKRGYALGVRDYDAYASMEFMGLVHLRYLKGDAALKLHSLPLFMLWNLQKLGVDCYPSTNGSLNIWGLPQLKNIHFPGPIRRRPFRLVLPRSVHHNLESIRYLDHRSCTEELFMRIPNLRTLGVMAGCKINLKCKAFNWFESLACLYKLEDLLLCGKLLHPKFSTIHSMGTLSVENFLPNLKRLKLLYTTLNWKNMYVVEMLPKLEVLILGEDAAVGGKWKPTDRGFPRLKFLIIQDCDLQIWKVTGDHFPVLECLVLMRLYDLKQIPSDFADITTLKSIKLYECSKSAISSAKCIQKEKLEYGNDAFTVDILRIDDFDDSLWD >itb01g25800.t1 pep chromosome:ASM357664v1:1:31118622:31123204:-1 gene:itb01g25800 transcript:itb01g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFGRQQKLDEVSGLRKDKTCERKRVAQRERGHHAGASRFRFGLRRRRRQASHRRTQLAAKLASSEHSVAKPPEEPSSLVFAGGGRRIPAGHSVKQPTHASAFVVRGAPPAATIAESQLHRRRRVTSVRHL >itb12g04790.t1 pep chromosome:ASM357664v1:12:3170175:3176163:1 gene:itb12g04790 transcript:itb12g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLNVTCSLVPGRQQGNHAGGSCSSWIVHVNKAQILSKRFTAKALKDEMNGGTGGLPGRSWDPGLEFEVPFEQRPVNEYSSLKESAMYSWAELSPGSFFLRLGGLWFITFTVLGVPIAAASFDPSKDPLRFALASGTGTTLLVGLIVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEILARDRLLGSYKVKPVIALLKQTLVGTGAFLVAAVSLFIFATPVEDFIHNTFTTEEYSSNGSSSRNNTKFNIRKADPLRLPGEVKNDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLLK >itb09g12030.t1 pep chromosome:ASM357664v1:9:7570284:7571906:1 gene:itb09g12030 transcript:itb09g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPTEFRLRMYRITEVLFSCKATRCSECKNVELAFPNEMKRVRNFIYGDAEEEALTVREALSIKGILDNYEEKSDSILFTSLRMLQLMNISVETLEATKIVESVSCLHKHGSVQIKHLAWTLIKDWKVMVDEWIQATTAAAITENTPESVKASVVEEEE >itb14g10080.t1 pep chromosome:ASM357664v1:14:11328949:11329938:-1 gene:itb14g10080 transcript:itb14g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVHIPIEIIRCMLLKLKVKPLIRCQSVCKEWRSIIQDDPDFKLSYRGPGRVVAAAACDRRSAVTYITNTLHIKTLFKVTANSYFLNTTTRFPPSNRWFSSVWCSCNGLVLFSVGKHILLWNPSTRCCTKVLELQRLFVWWLQVVVSGLCFVPSTGDYKAVVCFSSDTTTVFVASLKNKDWHEVLFPYDAESITDCGINFHNTLHWRVSDLQTGWNKIVCFDPESDEFKELSIPGGGESSVIVGMGIIKDRLCVALEQKELIQVLVMKEYGVEKSWVTEFNIFATNFESEKFSWGHINLYSLECNTKVLICWKCLYAAACPFVHGQY >itb04g12170.t1 pep chromosome:ASM357664v1:4:11940618:11946062:1 gene:itb04g12170 transcript:itb04g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTASLSGGNAFLSHFFTSDHPTAPPCISHLPLKHIPYFRRCSSLRKIPLFTPKASVSSGSSSKVDQQSPDELRSARRSADWKSAGIYFERGSIYKGKVEGFNNGGLRIRFYSLVGFLPFPQLSPSYQCKEPNKTIQEIARGLVGSILSVKVIEVSEDMGRLVFSEKEANWMKFSSQIKTGDIFEGRVGFVEDFGAFIHLRFPDGCYHLTGFVHVSEVSWDLVHDVRDVLKKGDEVRVKVIKIDSGKSRLSLSIKQLEDDPLLETLDKVIHQDSPLDPSSLNSNGSFSIEPLPGLETIMAELMQEDGIQDIKISRQGFEKRVVSQDLQLWLSNAPPVGEQFTLLARAGRQVQEIQLTTSLDQQGIKMALQRVLERIP >itb04g12170.t2 pep chromosome:ASM357664v1:4:11940618:11946062:1 gene:itb04g12170 transcript:itb04g12170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRIAKLVEDLKCFLYTVEPNKTIQEIARGLVGSILSVKVIEVSEDMGRLVFSEKEANWMKFSSQIKTGDIFEGRVGFVEDFGAFIHLRFPDGCYHLTGFVHVSEVSWDLVHDVRDVLKKGDEVRVKVIKIDSGKSRLSLSIKQLEDDPLLETLDKVIHQDSPLDPSSLNSNGSFSIEPLPGLETIMAELMQEDGIQDIKISRQGFEKRVVSQDLQLWLSNAPPVGEQFTLLARAGRQVQEIQLTTSLDQQGIKMALQRVLERIP >itb04g12170.t3 pep chromosome:ASM357664v1:4:11940626:11945903:1 gene:itb04g12170 transcript:itb04g12170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTASLSGGNAFLSHFFTSDHPTAPPCISHLPLKHIPYFRRCSSLRKIPLFTPKASVSSGSSSKVDQQSPDELRSARRSADWKSAGIYFERGSIYKGKVEGFNNGGLRIRFYSLVGFLPFPQLSPSYQCKEPNKTIQEIARGLVGSILSVKVIEVSEDMGRLVFSEKEANWMKFSSQIKTGDIFEGRVGFVEDFGAFIHLRFPDGCYHLTGFVHVSEVSWDLVHDVRDVLKKGDEVRVKVIKIDSGKSRLSLSIKQLEDDPLLETLDKDSPLDPSSLNSNGSFSIEPLPGLETIMAELMQEDGIQDIKISRQGFEKRVVSQDLQLWLSNAPPVGEQFTLLARAGRQVQEIQLTTSLDQQGIKMALQRVLERIP >itb14g16160.t2 pep chromosome:ASM357664v1:14:19452655:19460952:-1 gene:itb14g16160 transcript:itb14g16160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MDWYSYAGLSKTENLCGIPGCAKSALCKEILNAPGGLGDDRPLQSLMGDLIKGRYWQKVADARRAKSYSVMLADKNAPNEEVWRQIEDMCRSTKSSAIPVVPDSEGTERNPFSLDALAVFIFRVLHRVNHPGNLDKSSPNAGYVLLMFYDLYDGRSREEFETDLIGRFGSLVKIPLLKSERSPLPDSVKSILEEGMKLYHLHTSRHRRLEPAKGTFAKEWAKWEKELRDVLFANADYLNSIQVPFEFAVKQVLEQLRSIATGETPAIEKRKLGTIVFAAVSLPVTEIQSLLDNLGKKDSEAGSFLKDKNLESRLQKAHVTLAHKGSHSVAALASFAPFLHQQVPVEITALLFSERVAALEACIGSINGEKIHSKNEWSHVTLWTSKGTAPVEANSLPQLLQEGKAKRVEIEPPIAITGVIQFY >itb14g16160.t1 pep chromosome:ASM357664v1:14:19452655:19463528:-1 gene:itb14g16160 transcript:itb14g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MVKGDDDNNSLADEDANLMVKLKFLTYKLRTFLIRNGLPILFKQGPMAYKTYYLRQMKIWNTSPAKQQAMSKMLDEWAVYIRRKHGNKQLSSSIYLSEAEPFLEQYAKRSPQNQALIGAAGSFVKSEDFLAITDGRDEEGDLEHEKDVPPLGHSISSKDTVMKDEGLIVFFPGIPGCAKSALCKEILNAPGGLGDDRPLQSLMGDLIKGRYWQKVADARRAKSYSVMLADKNAPNEEVWRQIEDMCRSTKSSAIPVVPDSEGTERNPFSLDALAVFIFRVLHRVNHPGNLDKSSPNAGYVLLMFYDLYDGRSREEFETDLIGRFGSLVKIPLLKSERSPLPDSVKSILEEGMKLYHLHTSRHRRLEPAKGTFAKEWAKWEKELRDVLFANADYLNSIQVPFEFAVKQVLEQLRSIATGETPAIEKRKLGTIVFAAVSLPVTEIQSLLDNLGKKDSEAGSFLKDKNLESRLQKAHVTLAHKGSHSVAALASFAPFLHQQVPVEITALLFSERVAALEACIGSINGEKIHSKNEWSHVTLWTSKGTAPVEANSLPQLLQEGKAKRVEIEPPIAITGVIQFY >itb01g01900.t1 pep chromosome:ASM357664v1:1:1107159:1108404:-1 gene:itb01g01900 transcript:itb01g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALLFALSLYLLPNPIHSTRNPIRLPTAASGGIPVVDDHGLPLLPGTPYILRSWNWTHGGLRLVSLDGATTQCPSDVVISSDLDEIGIPVMFTPADPNAPVVFEWTPQNIKFDIPTTRLCVNNVSWEAQYDPKSAQRFVKAGDVLSHNFQIESVAPTLHAYNITYCESGADNCYPVGTHYGPGQQLRLALSTDQPYCISFMKARFA >itb07g22620.t1 pep chromosome:ASM357664v1:7:27141500:27143385:-1 gene:itb07g22620 transcript:itb07g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRHPESSRISDDQIADLVSKLQRLIPEIRGRRSDKVSASKVLQETCNYIRSLHREVDDLSDRLSQLLESTDGDSAQAAIIRSLLM >itb07g22620.t2 pep chromosome:ASM357664v1:7:27141500:27143385:-1 gene:itb07g22620 transcript:itb07g22620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRHPESSRISDDQIADLVSKLQRLIPEIRGRRSDKVLQETCNYIRSLHREVDDLSDRLSQLLESTDGDSAQAAIIRSLLM >itb10g01250.t1 pep chromosome:ASM357664v1:10:921009:921284:-1 gene:itb10g01250 transcript:itb10g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDGLWDVMSSQCAVTMARKELMMHNDPERCSRELVREALKRNTCDNLTVIVVCFSSDPPPQIETPQTRVRQSASTERSNLLKGVLESNS >itb11g06230.t1 pep chromosome:ASM357664v1:11:3711283:3712716:1 gene:itb11g06230 transcript:itb11g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGFISDELAYSSNPYATILKRNADSCIPVFCDNEMLESKRPKRAPSIGDCLGSNEIRNSLSRVHFRDHVLAYSQRFLAAEAVELQAADEMIGSDNGESADGMKLVQLLISCAEAVACRDKSRASVLLSELRSSALVFGTSFQRVASCFVQGLADRLALVQPLGTVGYLTPAAALDAAAASEKDEALRLVYEICPHIQFGHYIANASIVEALEGESFIHVVDLGLSLGLPYGHQWRHLIQRLASSNRPLRRLRITAVGTLLHRFRSIGEELKDYASGHGINLEFSIVESNLETLKASDIKIVDGEVLAVNSVLQLHRVVKESRGALNSVLQIIHELSPKILVLVEQDSGHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKIEQFYFAEEIKNIVSCEGPARVERHERVDQWRRRMSRAGFQAAPIKMVAQAKQWLAKTSPCEGYTVTEEKGCLVLGWHSKPIVAASCWKC >itb14g02290.t1 pep chromosome:ASM357664v1:14:1918161:1923564:-1 gene:itb14g02290 transcript:itb14g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSMSPCFLYPPSTSRFLYPPNLKFSSIPFLIRTRSTRFSVSASTVEKNARLSWGESSELNASDAYNGWAVVEKPEFKEKEKGLPTFVKIGIGASVAALLGAVAAYFSVSAKGFKFQFSSPFNSSQGILAPHTREEEAIEQEAVSSDELLEDGEAFEVSPESVPDTFDKNVVADPNNITTQGELHRIIIPFAVDSTQQDALLVLKKLKIIEDEVKAEELCTRREYARWLVQASALLERNRKHRIASSLALAGSTSAAFDDVCIEDPDFMPIQSLAEAGIIPSKLSLEKLPSNPYDSGDHKGVKFFPDRSISRQDLISWKAKLEYDIVPGINEEISRRKIGFLDVRDITSEVLVDLFVDILANERSIVWKVFGQSKRFQPNKPCTKGQVAVALSSGKMAEYTQSELAKLETENSSRLATMEEIKSDLLERGDVQRFWQRKIDEEKNRGLEVNKAYLDAIKDLDQEKVVLENAQAELLKQKAALDCQKQLLSSLKEEVDEMSNTLASEQAVYMEEQSELQGVLSDLQVKYEGLLDTKSVLEAEIEALRILRSWVEDEGKKSQARAKVLEEAGRRWKWEKE >itb03g11670.t1 pep chromosome:ASM357664v1:3:10052810:10056691:-1 gene:itb03g11670 transcript:itb03g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVRSALPEKIIGLGARRILACPVHRRRRSSRRIFLSQPDVADQTLLVAGARCCYALYEGEAVRELGNGIAEPMAELVSVSVRRCCHLDGVRPWSVLTERERGRKGGRRCYSASRRVAAVSDCHWSTAKAEMVFSDGER >itb02g13360.t1 pep chromosome:ASM357664v1:2:9391697:9392574:1 gene:itb02g13360 transcript:itb02g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQQQLLSDSLLRSIHHIEMKHGRWKNRIVYFDPESDEFKELPIPGGEIESSEILGLGIIDDCFCLALQQEKLIQVLVMKEYGAEKSWVTEFNISLANLKSQILTCDFVNITLYSSKCNTKVLICSYSCFDSWEISVYDLKNKTLEPLSIESVPDGKLVGICSYVQSIVSPHEFIWRDNDDQPNLPAQNYAQNYAHAALLSFILKTVRGTPLISD >itb08g08050.t1 pep chromosome:ASM357664v1:8:6898989:6903301:1 gene:itb08g08050 transcript:itb08g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASHFVSHVCGGATSGESKVGLGQLALRSQAVTHNGLRPVNKIDMLQLRTSAKKPSKNGRKNEGGMTAGTIVCKQQGMNLIFVGCEVGPWCKTGGLGDVLGGLPPALAARGHRVMTVCPRYDQYKDAWDTCVIVELQVGDRIEPVRFFHSYKRGVDRVFVDHPMFLEKVWGKTGSMLYGPKAGKDYKDNQLRFSLLCQAALEAPRVLNLNSSKYFSGPYGEDVVFVANDWHTALLPCYLKTMYQSRGIYMNAKVAFCIHNIAYQGRFAFSDFSLLNLPDEYKGSFDFIDGYDKPVKGRKINWMKAGIREADRVFTVSPNYAKELVSCVSKGVELDNHIRDCGITGICNGMDTQEWNPATDKYLAVKYDITTVMQAKPLLKEALQAAVGLPVDRNIPLIGFIGRLEEQKGSDILYAAISKFISMDVQILILGTGKKKFEQQIEQLEVMYPDKARGVAKFNVPLAHMITAGADFMLIPSRFEPCGLIQLHAMRYGTPCICASTGGLVDTVKEGYTGFHMGAFNVDCETVDPADVLKVITTVGRALAIYGTLAFTEMIKNCMSQELSWKGPAKNWETVLLSLGVAGSEPGLEGDEIAPLAKENVATP >itb03g07030.t1 pep chromosome:ASM357664v1:3:5129314:5132421:1 gene:itb03g07030 transcript:itb03g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGDKVRASHILIKHQGSRRKSSWKDPEGAVISNTTRDEAVAQLKALRDDIVSGKADFNDVAARYSDCSSAKRGGDLGPFGKGQMQKPFETTTYALKVGEISDIVDTDSGVHIIKRTG >itb07g02570.t1 pep chromosome:ASM357664v1:7:1654699:1655361:1 gene:itb07g02570 transcript:itb07g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKNPVLNPNNSLFLSNDMTLKVELMPEGSGEKWDEHSLGQVEGIMVYHDSSFVNSLGFFCVKDNINLISEQHGYSVGKCEMIMLDYPTELLTGLYGFYDNPSCTHIRCITFVTNKATYGPFGGQSPRSPEKAFSFQLRGKERNWITGFYGTIVHNGKLGRLGVYIQKSIIARPLGKLDGDEV >itb09g04880.t1 pep chromosome:ASM357664v1:9:2772429:2773568:-1 gene:itb09g04880 transcript:itb09g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRFVSFTLFSLAVAAAALGGREYPLVGGWSPISDPKAPQVVEIAKFAVDHHNKEAKANLQFESVIEGQSQVVAGMNYKLVIAAKDGGAGNKYEAVVWDKPWEKFRQLTSFKQL >itb12g24320.t1 pep chromosome:ASM357664v1:12:25875992:25877099:1 gene:itb12g24320 transcript:itb12g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYHRPNRLFLETRPGNESSRTPHRNGYSNEASFDTNMVIILAALLCALLCALGLNSIVRCAVRFSRRFGLDSEGEAGGGLKKSSLKEIPVAVYGSGVNIPATDCPICLGEFVDGEKVRVLPKCHHGFHVRCIDVWLAAHPSCPTCRQSLIEQPPPSDAAADVEAG >itb04g23580.t1 pep chromosome:ASM357664v1:4:28590171:28596707:1 gene:itb04g23580 transcript:itb04g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G02280) UniProtKB/TrEMBL;Acc:W8Q6L8] MSGHKLGRIPSMRERVEGTLSAHRNELVALLSRFVAQGKGILQPHSLIDELNNVSCNETAGVKLSDGPFSEVLKSAQEAIVLPPFVALAIRPRPGVWEFVRVNVYELSVDELTISEYLGFKEELADGQKCGQFILELDFEPFNVSIPRPTRSSSIGNGVIFLNRHLSSIMFRNKESLEPLLDFLRVHRHKGHALMLNDRITKISRLESSLAKADDYLSKLPPDAPYSEFEYALQEMGFERGWGDTAGRVKDMMHLLSDILQAPDPSTLEKFLGRIPMVFNVVILSVHGYFGQANVLGLPDTGGQVVYILDQVRALETEMLQRIKQQGLNITPRILIVTRLIPDAKGTSCNQRLERVSGTEHSHILRIPFRSDNGVLRKWISRFDVWPYLERYTEDAASEIAAELQGKPDLIIGNYSDGNLVASLLSHKLGVTQCTIAHALEKTKYPDSDIYWKKFEDKYHFSCQFTADLLAMNHSDFIITSTYQEIAGTKNTVGQYESHTSFTLPGLYRVVHGIDVFDPKFNIVSPGADDSIYFSYSEKEKRLTSLHGSIEQLIYDTEQNDEHIGTLSDPSKPLIFSMARLDRVKNITGLVECYAKNAKLRELANLVVVAGYNDVKKSSDREEIAEIEKMHALMKQYNLDGQLRWISAQTNRARNGELYRYIADKRGIFVQPAFYEAFGLTVVESMTCGLPTFATSHGGPMEIIEDGISGFHIDPYHPDEAAELMANFFQKCKENPEYWAKISDAGLKRIQERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKYRELVKSVPLAIEDAE >itb04g23580.t2 pep chromosome:ASM357664v1:4:28590285:28596585:1 gene:itb04g23580 transcript:itb04g23580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G02280) UniProtKB/TrEMBL;Acc:W8Q6L8] MGRNVGSSSLSLILSRSMYQFHDPPDHPQLEMGLYSSTGISLQSCSATKSLWSLYLISLEYTDTKGILQEMGFERGWGDTAGRVKDMMHLLSDILQAPDPSTLEKFLGRIPMVFNVVILSVHGYFGQANVLGLPDTGGQVVYILDQVRALETEMLQRIKQQGLNITPRILIVTRLIPDAKGTSCNQRLERVSGTEHSHILRIPFRSDNGVLRKWISRFDVWPYLERYTEDAASEIAAELQGKPDLIIGNYSDGNLVASLLSHKLGVTQCTIAHALEKTKYPDSDIYWKKFEDKYHFSCQFTADLLAMNHSDFIITSTYQEIAGTKNTVGQYESHTSFTLPGLYRVVHGIDVFDPKFNIVSPGADDSIYFSYSEKEKRLTSLHGSIEQLIYDTEQNDEHIGTLSDPSKPLIFSMARLDRVKNITGLVECYAKNAKLRELANLVVVAGYNDVKKSSDREEIAEIEKMHALMKQYNLDGQLRWISAQTNRARNGELYRYIADKRGIFVQPAFYEAFGLTVVESMTCGLPTFATSHGGPMEIIEDGISGFHIDPYHPDEAAELMANFFQKCKENPEYWAKISDAGLKRIQERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKYRELVKSVPLAIEDAE >itb15g09230.t1 pep chromosome:ASM357664v1:15:6572756:6573463:-1 gene:itb15g09230 transcript:itb15g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLINMAKKWQKFVAIRRKRIPFPKQNEEVDSCSTSSAVSKGHFVIYTADQKRFVIPLSFLDNKIIRQLLEMSEEEFGLPSDGPITLPCDAVLMKYIISLLSRGVSTQLQNALLVSVTSNRCSSASLHQRWRNQPFFIC >itb10g24170.t1 pep chromosome:ASM357664v1:10:28138418:28141320:1 gene:itb10g24170 transcript:itb10g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNQKKDASFVCPTCLSKRSGNNFASGIELKLIVLARKMKALSLLLLFTALFRAFASSNDAYPTGYGDEPGICLGPSDKLQQREGEIFDITHPYTPNTPVGDSDEGAGQILSLLMSMKNGSDYNLSEMRLCVHAGTHVDAPGHMYDNYFDQGFDVDTLDLRVLNGPVLVVDVPRDKNITAEVMKSLNVPKGVKRVLFRTLNTDRRLMWKKEFDSSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAADDVISAHLAFLKSREIILVEGLKLDEISLGIYTIHCLPLRLVGSDGSPIRCILIK >itb03g23730.t1 pep chromosome:ASM357664v1:3:21984389:21986623:1 gene:itb03g23730 transcript:itb03g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRQEKFVRFKDWNSDRSSEGQYPSRSGSRYGRLNSVSDMFHRTLESGYERIKSLKDSLKSYSLNHAHTKDMESTKKILDPQGSFLQRWNKIFVLSCVVAISLDPLFFYIPVVDNDKKCLGLDRKLEITASVLRSFTDIFYILHIVLQFRTGFIAPSSRVFGRGVLVEDTWEIAKRYLSSYFLIDILAVLPLPQVVILIIIPKMRGAQSLNTKNWLKFVVFFQYIPRMLRVYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQRACGNSSPCHHASLYTGDDHTTFKTLLNESCPIDTPNTTRFDFGIFLDALQSGVVESRDFPQKFFYCFWWGLQNLSSLGQNLKTSTFVWEICFAVFISISGLVLFSFLIGNMHTYLQSTTIRLEEMRVKRRDAEQWMSHRLLLEDLRERIRRYEQYRWQETRGVDEENLIHNLPIKTSEET >itb06g02830.t1 pep chromosome:ASM357664v1:6:4851974:4856431:1 gene:itb06g02830 transcript:itb06g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQHLLSTEIVNRGIQSSGPDAGSMTFSVRVRRRLPDFVQSVNLKYVKLGYHYLISHGVYLATVPLLLAVFGAEVGSLSRAEMWQKLWDSTAKYDLATVLSFLVLVVFTLSVYFMSKPRPIYLLDFACFKPSDDLKVTKEQFIDLVRKSGKFDEESLEFQKRILESSGIGDETYVPKSIGSPENTATMKLGREEAATVMFGAIDEVFEKTRVRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSFNLGGMGCSAGVIALDLARDMLQANPNNYALVVSTEMVGFNWYPGKERSMLIPNCYFRMGCSALLLSNRRRDYRRAKYQLEHIVRTHKGADDRAFRSIYQEEDQERHKGLKISKDLIQIGGDALKTNITTLGPLVLPFSEQLFFFANLIWTHIFPSKKAPNKPYIPDYKLAFDHFCVHPAGKAVLDELQRNLGLSDHNMEASRAALHRFGNNSSSSIWYELAYLEAKGRVKGGHRVWQIAFGSGFKCNSAVWKAMRRVGTQGGNPWLDCVDRYPQALYSP >itb06g15640.t1 pep chromosome:ASM357664v1:6:19960540:19964409:-1 gene:itb06g15640 transcript:itb06g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSPKNSSLPLGEILKFLKNFWGDSNVQVAVVASLAFQVLLVFCAPFRKRSKKSRTLVILWLVYLLSHYVATFAIGLINNYGTDESNQQNSVVALWAPFLLLHLGGPDTITAFSIEDNDLWLRYLLTLIVQLLSVLFVFYRFKIHANDEFLIPTILTFAAGVIKYGENIRSLNLASTVSMRKSMRENVDHRSAKERLQFEEEEIGLATETDKHERDIKIVTKGYELYQKYKAVIVDHVFSFTLREESRSFFLHLPTYKEAFEVTQVELNFMYDAMFTKMIAVQGNIPGYLFRVGCAALIISTLIVFIFHPKHNVSHHDIQVTYCLVGSAAVLDLIALVKHFFCDWTIALMMNNEKWRMKARKRGAKMVNKVRRWISAEMRWSEEIQQYSLIKHSLKKQWKISKKIFEFFGLTERMEASKNTKTDRVDENLKAMIFNEIKKKAARADTDENAEEIYSYRGESVLEDCQQCREKIRQKIAGAQYDNVVLMWHIATEICYFTAQKEELDPNVEICRKVSEYLAYLLMMEGKITSAVPGNVGMRFRDICWQEVRDTHLHLRQYIRMKKIDEDQMPEWERKRKRREEIEKKKEELKQMKSFYRYPEYQINSVWKEMKKMLPQNVKLDNAETRMEITEWERKQKKKEFEARKEVCQFLVEEKTKRNFEGHYEPPRKSLLYEAVTLARMLKELLDGGEDAENPTAAAAHNPDAPQKNHVWEMMARVWVEMLCYGACHCRGDVQYLTKGGELLTFVRLLMAHFGIGKQFKKEDEDTAVQHDSDF >itb14g06940.t1 pep chromosome:ASM357664v1:14:6282442:6287086:1 gene:itb14g06940 transcript:itb14g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPIDIIRRILLKLKVEALIRCQSVCKEWRSTIQDTHFKLSYRGPRRVLVVSSSGSSLEVTSVTNDTSLRIKTLFQVSKNRSFTPQYHWWSGVWCSCNGLVLFSVLKHILLWNPSTRCCTKVLEFPRMSKTICSAQVVSGLCYILSTGDYKAVLIFGFGRDRIAMVASLRNKGWKKVSFPNYELYSVGNGINFNNTPHWRANGSGIGRCEKIIYFEGESDEFKELPTPELCRRESVVLGLGIIDGCLCMIHKGKEIGKNNVLVMKEYGVKKSWVSMVGSLKNKEWGKLPFPYDAYSVSHSVNFHNTIHWRVNGSRNIIYFEAMNSKSCPPLNCADGKVEFLAWESWTVVSALFVRGKKEKRNYYKYWL >itb14g02920.t1 pep chromosome:ASM357664v1:14:2651863:2652546:-1 gene:itb14g02920 transcript:itb14g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSGKLAGLVGINMRYSNVLAGLVGINMRYSNVSNQVAYKGKLAGLVGINMRYSGKLAGLVGINMRYSNVLAGLVGINMRYSNVSNQVAYKGKLAGLVGINMRYSGKLAGLVGINMRYSNVLAGLVGINMRYSNVSNQVAYKGKLAGLVGINMCTRGKLAGLVGINMCTRGKLAGLVGINMCTRLEPSRVQGTRENWQALWASICVHVSNQVAYKGQGKIGRPCGH >itb07g10000.t1 pep chromosome:ASM357664v1:7:10199469:10200055:1 gene:itb07g10000 transcript:itb07g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQLALEFKVNAVVYLVDAFDKERFVESKKELDALLSDEVLASVPFLILGNKIDIPYAASEDELRYHLGLTGITTGKGKVNLAESSVRPIEVFMCSIVRKMGYGDGFKWVSQYIK >itb15g01400.t1 pep chromosome:ASM357664v1:15:844724:846689:1 gene:itb15g01400 transcript:itb15g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHCVISATHPPIVEPFYCQHKKKKQKERKKEEEEDRNPSILTYSKASKGEMDRLNSKLYLENCYIMAENERLRKKAELLNQENQELLNELKHRLSQGNNGGGNSSNPRGKNSSNSAASSHSKSSKK >itb06g10700.t1 pep chromosome:ASM357664v1:6:15203168:15207328:-1 gene:itb06g10700 transcript:itb06g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRKSVLFLLLVTVLAPIVLYTDTRFNSSPSRDEFIEEVSAFTLGGEIRPLNVLPQEPNTITKEPLGVVYSEDSILSHSKASDGQRNESSRKTRQLAEENETGNVSTGRAVVDDPLRQVTEEGNNTVKSDHISDDSSRTLERIRDRKNLQNFGGNDSGEHASSKTEKQSEQVVFTDARVRQLRDQLIRAKVYLSLSATRSNPHFIRELRLRIKEVEKTLGEATKDSDLPRTANDKMKAMEQTLVKGKQIQDDCAAFVKKLRAMLHSTEEQLRVHKKQALFLTHLTAKTLPKGLHCLPLRLSTEYFKLNSSQRNFPNQEKLENPELYHYAIFSDNILATAVVVNSTVTHAKDPSKHVFHIVTDRLNFAAMRMWFLTNQPHNATIEVQSVDDFTWLNSSYSPVIKQMGTPSMISYYFKARHADTDSNMKFRNPKYLSIMNHLRFYMPEIFPKLNKVLFLDDDIVVRKDLTALWSLNLKGKVIGVVETCGESFHRFDRYLNFSNPLISKNFDPRACGWAFGMNILDLGEWRRRNITEVYHSWQTLNNDRQLWKLGTLPPGLITFWNHTYALDRSWHVLGLGYNPNVSQKDIERAAVIHYNGNLKPWLEISIPKFRNYWSRFIDYDQVFLRECNLNSLSGN >itb11g00920.t1 pep chromosome:ASM357664v1:11:393577:396216:-1 gene:itb11g00920 transcript:itb11g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTHSNSGIEKDGSPSLKLVLGYEGSGHVKIEQKDREEEEESKCVCGFTEAQWNELYLQAVIFKYIQCGLPVPFHLVLPIWRTVSTSFDTTVFFRQQFSGFRDLNAWGFGDMNMMDPEPGRCRRTDGKKWRCSKSVVPHEKYCERHMHRGSNRSRKLVEASQTESKSRINPASKYDRPLNLEPKPKFLSAAKASIGSPSNGVNGHIDSPFSTLEANRFCTNNGKHNSLSVHGVDPNLVRTIAPSDSHNFRGRSDNVVGKYAMFNGVDTAKETNQMSEKRNTSQSYVFVPGLGMFPKSGHEHGTDKGSSSSGIPQNRLAETEPLRCFRSDGKKWRCKRASVPGHKYCGMHLHRGSRKITTPSNPVTVALPPPSSTARICPPLAIPTRYIDDGTSLNTNLSIAPASHQLVNCDSTHTSSSDSTTITDETPTFVMH >itb11g00920.t3 pep chromosome:ASM357664v1:11:393577:395058:-1 gene:itb11g00920 transcript:itb11g00920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMDPEPGRCRRTDGKKWRCSKSVVPHEKYCERHMHRGSNRSRKLVEASQTESKSRINPASKYDRPLNLEPKPKFLSAAKASIGSPSNGVNGHIDSPFSTLEANRFCTNNGKHNSLSVHGVDPNLVRTIAPSDSHNFRGRSDNVVGKYAMFNGVDTAKETNQMSEKRNTSQSYVFVPGLGMFPKSGHEHGTDKGSSSSGIPQNRLAETEPLRCFRSDGKKWRCKRASVPGHKYCGMHLHRGSRKITTPSNPVTVALPPPSSTARICPPLAIPTRYIDDGTSLNTNLSIAPASHQLVNCDSTHTSSSDSTTITDETPTFVMH >itb11g00920.t2 pep chromosome:ASM357664v1:11:393577:396216:-1 gene:itb11g00920 transcript:itb11g00920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGWTYVVQRKGIEKDGSPSLKLVLGYEGSGHVKIEQKDREEEEESKCVCGFTEAQWNELYLQAVIFKYIQCGLPVPFHLVLPIWRTVSTSFDTTVFFRQQFSGFRDLNAWGFGDMNMMDPEPGRCRRTDGKKWRCSKSVVPHEKYCERHMHRGSNRSRKLVEASQTESKSRINPASKYDRPLNLEPKPKFLSAAKASIGSPSNGVNGHIDSPFSTLEANRFCTNNGKHNSLSVHGVDPNLVRTIAPSDSHNFRGRSDNVVGKYAMFNGVDTAKETNQMSEKRNTSQSYVFVPGLGMFPKSGHEHGTDKGSSSSGIPQNRLAETEPLRCFRSDGKKWRCKRASVPGHKYCGMHLHRGSRKITTPSNPVTVALPPPSSTARICPPLAIPTRYIDDGTSLNTNLSIAPASHQLVNCDSTHTSSSDSTTITDETPTFVMH >itb04g05470.t1 pep chromosome:ASM357664v1:4:3555284:3556684:-1 gene:itb04g05470 transcript:itb04g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGFWLHLPFCISINVSLEKLVSSLPGFHSSNPSFHPSTTNKMPHSTPNLPVPHSTPPPPSLSSPSATTSTTGSSSAASSDSDESPSPSRRHNFQDLHPHIPRTPVSGPQSPKPLAVLSGHVGAVSCIALCGEFILSASQGQDIIVWQHPNLRQFTKFGQGDGSVKALLTLGNKVFTAHQDSRIRVWKVSRNSENVFRLVDSLPTTKDYLRKFLKQSNYVQTRRHHKKLWIQHSDTISCLAVTNGNIYSGSWDKTLKVWRISDFKCLESIKAHDDAINGLVTSKGMVYSSSADGKIKVWGKEGKKKHSLKGVLEGHRGVSMNGVVVSGDGGLVYGAGSDGYVMGWMRNGDLEDWEMVCEVKAHEMAVLSLCVMGGFLCSGSADKSIRVWKMGINGGLVKVGVINGHEGGVRCLQASPVYVGGGFMVYSGSLDRSLRVWWVPNYDTSQKSQVIQSTDQLNTKFLLS >itb07g12250.t1 pep chromosome:ASM357664v1:7:13894530:13898499:-1 gene:itb07g12250 transcript:itb07g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPNIVKEALIDLVAKVGKHVYTRNGVLTDVKSFGTVQLGYGIKKLDGRYYQGTLMQMTMMTPPSFNSELHYLNKEDRLLRWLLVKHRDIKPGLEYFGEKDSNLSIKVYQVMGDDKDDESDQDSDTADEM >itb05g17530.t2 pep chromosome:ASM357664v1:5:24426745:24431390:1 gene:itb05g17530 transcript:itb05g17530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKSKPPPPFLRHPPLGPSTVHPDPYGPSIRPPPGGFPPFEMLPHPEIMEQKLATQHAEMQKLAKENHRLAATHGTLRQELAAAKHELQLLHTHIGAMKSEKEQQTTVLKDKIARMEAELKAADPLKAELQKARGEAQSLIAERQELILKVQQLGQDLQRTHSNTQQIPLLLSELEALRQEYSHCRATYEYDRKFYHDHIESLKVMEKNYMTMASEVEKLRAELNNTANLDRTGTTYGNSMGHNESDTATHYPVAQNIYGDGYGVAQQGRGPLPPMGNVSGVAAPTGNSPNFGAHPGPTSAKPTHDASKMPNYDAQIGRAGPGYDAQRVANAPGYDAQRGASGHGYTPQSGPTGASYDAQRGPGYDHQRIPGYDTHTGPGYDGYRSLGYDANRGSGYDLASGANYDATMVSGHDTTGKGGVGAQGQLGLSRNPAYGSATPSGHTGTGYDGAARGGNPGRR >itb05g17530.t1 pep chromosome:ASM357664v1:5:24426658:24431399:1 gene:itb05g17530 transcript:itb05g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKSKPPPPFLRHPPLGPSTVHPDPYGPSIRPPPGGFPPFEMLPHPEIMEQKLATQHAEMQKLAKENHRLAATHGTLRQELAAAKHELQLLHTHIGAMKSEKEQQTTVLKDKIARMEAELKAADPLKAELQKARGEAQSLIAERQELILKVQQLGQDLQRTHSNTQQIPLLLSELEALRQEYSHCRATYEYDRKFYHDHIESLKVMEKNYMTMASEVEKLRAELNNTANLDRTGTTYGNSMGHNESDTATHYPVAQNIYGDGYGVAQGRGPLPPMGNVSGVAAPTGNSPNFGAHPGPTSAKPTHDASKMPNYDAQIGRAGPGYDAQRVANAPGYDAQRGASGHGYTPQSGPTGASYDAQRGPGYDHQRIPGYDTHTGPGYDGYRSLGYDANRGSGYDLASGANYDATMVSGHDTTGKGGVGAQGQLGLSRNPAYGSATPSGHTGTGYDGAARGGNPGRR >itb12g22720.t1 pep chromosome:ASM357664v1:12:24708611:24708922:-1 gene:itb12g22720 transcript:itb12g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGKGGKGLGLGGAKRHRKVLWDNIQGITKSAIRRLARRGGVRRISGLIYEETRGVLKIFLENVIRDVVTYTEHARRKTVTTMDVAYALKRQGRTLYHFGG >itb10g10670.t1 pep chromosome:ASM357664v1:10:15515588:15517027:1 gene:itb10g10670 transcript:itb10g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRKEATKCQRKTLLKYKIALEKSSGLEELLHGVCLVLFPKPSDYDARRDLIRIFNEIVKEIYGCSRDIPRVVEFGSFTMDLFAAKSDLDLSVNFSNVDIPRDRKISTLKKLAKKLNLLQPQREAAATVNLHGLRQSNDVQRPLPFLPARRRRTTTVILSLYHERRVEGCLE >itb05g13020.t2 pep chromosome:ASM357664v1:5:19851491:19853284:-1 gene:itb05g13020 transcript:itb05g13020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTYKLTVEYGKTYLLRMVNAVMNNILFFSIANHQITVVGTDGAYTKPLTSDYIAISPGQTIDFLLHANQTPNHYYMAAKAYNSAPRVDFNPNTTTAVLQYAGNYTPTSPLPFPALPAFNDTNASVNFTGRLRSLADTNHPIDVPLNISTNLLFTISINTLPCNNTNSTCAGPNGTRLAASMNNNSFVLPQIDILEAYYYQINGVYDDNFPSFPPLVFNFTASNLSTALQTANRTTEVRVLEYNETVELVFQGTNLVAGIDHPIHLHGYSFYVVGWGFGNFDKDKDPLNYNLVDPPLQNTIAVPKNAWTAIRFKANNPGVWFMHCHLERHTSWGMEMAFIVKDGNGTNEKLMPPPPDMPKC >itb05g13020.t1 pep chromosome:ASM357664v1:5:19851491:19855432:-1 gene:itb05g13020 transcript:itb05g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIMKVLVLNILGILLLDVLSPSQAKTHRHTFIVEETPYTKLCSSKNILTVNGSFPGPTLYMNKGDMLIVDVYNKGTQNITIHWHGIKQPRYPWSDGPEYITQCPIAPGANFSQRINLSDEEGTVWWHAHSDWSRATVHGAVIIYPDNGTEYPFPQPDAEIPIILGEWWKSDIQAVLDEFLASGGGPNNSDAYLINGQPGDLYPCPVNDTYKLTVEYGKTYLLRMVNAVMNNILFFSIANHQITVVGTDGAYTKPLTSDYIAISPGQTIDFLLHANQTPNHYYMAAKAYNSAPRVDFNPNTTTAVLQYAGNYTPTSPLPFPALPAFNDTNASVNFTGRLRSLADTNHPIDVPLNISTNLLFTISINTLPCNNTNSTCAGPNGTRLAASMNNNSFVLPQIDILEAYYYQINGVYDDNFPSFPPLVFNFTASNLSTALQTANRTTEVRVLEYNETVELVFQGTNLVAGIDHPIHLHGYSFYVVGWGFGNFDKDKDPLNYNLVDPPLQNTIAVPKNAWTAIRFKANNPGVWFMHCHLERHTSWGMEMAFIVKDGNGTNEKLMPPPPDMPKC >itb06g05980.t1 pep chromosome:ASM357664v1:6:8666749:8667912:1 gene:itb06g05980 transcript:itb06g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVIPDLSKITALDGNNYKRWTQRILILFEQLELVYVLFCDPLEPAQLRSPFQEPREISPEPDYTHDQELGITLVQFTCDNMVARGYLLSQMNDKLFDIYVKQDSARVIWKMLSEKYGANDAGNQSVRNNNNKKSGKVKKLKGGCFVCDNHGHRTYQCYHRKGKIHRGQSY >itb06g05980.t2 pep chromosome:ASM357664v1:6:8666749:8667912:1 gene:itb06g05980 transcript:itb06g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVIPDLSKITALDGNNYKRWTQRILILFEQLELVYVLFCDPLEPAQLRSPFQEPREISPEPDYTHDQELGITLVQFTCDNMVARGYLLSQMNDKLFDIYVKQDSARVIWKMLSEKYGANDAGNQSVRNNNNKKSGKVKKLKGGCFVCDNHGHRTYQCYHRKGKIHRGQSY >itb02g15880.t1 pep chromosome:ASM357664v1:2:11599357:11604076:1 gene:itb02g15880 transcript:itb02g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKKKAADSSGDDEGYCTHEENKLLESAKAEMGEVMEENQRLKMYLERIMKDYRTLQMQFQGMVEKEGEKAAKSDDNTPQITEESELVSLSLGRASAEMKREEQNRPVIVCAGKDKVDNEDNDQKEGLTLGLDCKFKSLQHNNPSTDNSSDEVKEENGETWPPSKALKTTRSGEDDISQQNPAKRARVSVRVRCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQEKFILGSLRNRSREKGGQLCPFAMCRHSTQPRGRARDQALGSALGARGRALGLASGSHGRALSLAFSHLRPSGER >itb15g00590.t1 pep chromosome:ASM357664v1:15:346058:349700:-1 gene:itb15g00590 transcript:itb15g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTGGQSSSGGFDLSFKILLIGDSGVGKSSLLVSFISNAVDDLAPTIGVDFKMKTLAVGGKRLKLTLWDTAGQERFRTLTSSYYRGAQAIILVYDVTKRVTFTNISDVWAKEMELYSTNQDCVKMIVGNKVDRESERAVSREEGITLAKELGSLFLECSARTRENVEHCFEELALKIMEVPSLLEKGSTTVKRNILKQKQEHQTQTGGGCCS >itb11g09400.t1 pep chromosome:ASM357664v1:11:6265579:6271851:-1 gene:itb11g09400 transcript:itb11g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTGKGSQPSVNERYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQVEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKHKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPSRHAVLGASDSKPDLVLTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIHDHISTLATDQGDKKPLGSGATSTKLPANSPTVQARGVFQGHEDTVEDVQFCPSSAQEFCSVGDDSCLILWDARRGSAPVMKVEKAHSADLHCVDWNPFDVNLILTGSADNTVRMFDRRKLTSGGVGSPIHIFEGHSAAVLCVQWSPDKSSVFGSSAEDGILNIWDHEKIGKEDPGKKPPNSPQGLFFRHAGHRDKVVDFHWNASDPWTIVSVSDDGESTGGGGTLQIWRMMDIIYRPQDEVITELEKFKSHLLTCS >itb11g09400.t2 pep chromosome:ASM357664v1:11:6265653:6271851:-1 gene:itb11g09400 transcript:itb11g09400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTGKGSQPSVNERYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQVEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKHKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPSRHAVLGASDSKPDLVLTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIHDHISTLATDQGDKKPLGSGATSTKLPANSPTVQARGVFQGHEDTVEDVQFCPSSVGDDSCLILWDARRGSAPVMKVEKAHSADLHCVDWNPFDVNLILTGSADNTVRMFDRRKLTSGGVGSPIHIFEGHSAAVLCVQWSPDKSSVFGSSAEDGILNIWDHEKIGKEDPGKKPPNSPQGLFFRHAGHRDKVVDFHWNASDPWTIVSVSDDGESTGGGGTLQIWRMMDIIYRPQDEVITELEKFKSHLLTCS >itb05g09510.t1 pep chromosome:ASM357664v1:5:13933432:13933725:-1 gene:itb05g09510 transcript:itb05g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASLAYGSEKKNNETTLVFDIGGGTFDVSVIEVGDGVFEMLSTSGDTHLGGDDFDKRIGDWLASNFKKDEGIDLLKLLLKLNAQQELLEFRSH >itb05g20570.t1 pep chromosome:ASM357664v1:5:26613134:26616700:1 gene:itb05g20570 transcript:itb05g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNQNELIVFSFFFVCLLVFQNALVYTSALSEPKVYIVYMGARQHDDVDLITSTHHDMLATALGSREAAANSMIYSYSHGFSGFAAMMTKSQAQTFQDLPGVVKVIPNSFYKLRTTRSWDYLGLSLNSATNLLHDTKMGDGAIVAVLDTGVTPENEAYNDRGLGPIPSKWKGYCESGERFDPKKHCNRKLIGARFFIKGYLAEIGQPGNVSGIYDYISPRDLNGHGTHTSSTAVGSLVSNVSYKGLALGTFRGGAPRARLAFYKIAWEGHFNAADALKAFDAAIHDGVDVISASFGKDVPLSAEVDPIDSLHFGSFHAVAHGITVVAAGGNEGPAAQTVSAADPWILTVAANTPDRDFPTPITLGNGQTFMGQSLFTGKDTGVVDLVFVSDQFCETLSTNDTWIAGKVVLCFTGKHGLDLDVANIVAQVRAIVREVGGVGIIVSQKPFTLLDGYLDDFPSVQVDHEVGTKILLYTGTKSTPKVRLSPSKTHIGKPISSVIAKYSSRGPYTISPSILKPDVAAPGTNILAAYFTNDRTVSSFYTFLSGTSMAAPHVAGIVALLKVAHPDWSPTAIKSAIVTTAWTTDPSSGEPIFSEGETITKVADAFDYGGGIINPNKARYPGLIYDMSTSDYLQCLCAMGYNSTSISIIAGKTTSCNKGLSLLDVNFPSITTPNLKGSVTITRIVTNVGSVNSKYKVMVEPPKGITVTVKPTTLNFSSNVKKASYSVTISTSYRFNTGYYFGSLTWNDGVHNVRIPISVKTTY >itb05g20570.t2 pep chromosome:ASM357664v1:5:26613134:26616700:1 gene:itb05g20570 transcript:itb05g20570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQHDDVDLITSTHHDMLATALGSREAAANSMIYSYSHGFSGFAAMMTKSQAQTFQDLPGVVKVIPNSFYKLRTTRSWDYLGLSLNSATNLLHDTKMGDGAIVAVLDTGVTPENEAYNDRGLGPIPSKWKGYCESGERFDPKKHCNRKLIGARFFIKGYLAEIGQPGNVSGIYDYISPRDLNGHGTHTSSTAVGSLVSNVSYKGLALGTFRGGAPRARLAFYKIAWEGHFNAADALKAFDAAIHDGVDVISASFGKDVPLSAEVDPIDSLHFGSFHAVAHGITVVAAGGNEGPAAQTVSAADPWILTVAANTPDRDFPTPITLGNGQTFMGQSLFTGKDTGVVDLVFVSDQFCETLSTNDTWIAGKVVLCFTGKHGLDLDVANIVAQVRAIVREVGGVGIIVSQKPFTLLDGYLDDFPSVQVDHEVGTKILLYTGTKSTPKVRLSPSKTHIGKPISSVIAKYSSRGPYTISPSILKPDVAAPGTNILAAYFTNDRTVSSFYTFLSGTSMAAPHVAGIVALLKVAHPDWSPTAIKSAIVTTAWTTDPSSGEPIFSEGETITKVADAFDYGGGIINPNKARYPGLIYDMSTSDYLQCLCAMGYNSTSISIIAGKTTSCNKGLSLLDVNFPSITTPNLKGSVTITRIVTNVGSVNSKYKVMVEPPKGITVTVKPTTLNFSSNVKKASYSVTISTSYRFNTGYYFGSLTWNDGVHNVRIPISVKTTY >itb14g21320.t1 pep chromosome:ASM357664v1:14:23386075:23389706:1 gene:itb14g21320 transcript:itb14g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKDREPMKGLVVLLLLLVLNESVEHTEAQIEGECTLSKPVKPRPHRASVLDFGAVGDGKTSNTVAFQNAIFYLKSFADKGGAQLYVPAGRWLTGSINLTSHLTLFLEKDAIILGSEDYTHWDVVDPLPSYGRGIDVPGQRYRSLITGNNLVDVAITGNNGTIDGQGSVWWEQFDAHALNYSRPHLIEFISSSDVVISNLTILNAPAWSIHPAYCSNVVVQNITVHSPSTSPYTSGIVPDSSEHVCIENSNISMGHDAIALKSGWDEYGIAYGRPTTNVHVRGMHLRSTNGAGIAFGSEMSGGLSNVLVENLYLHDSPVGIELKTARGRGGYIEDIIVSGVVMENVQVGINATSYCDTHPDDEFDPNALPVVGNITFKDIIGTNISIAGNFKGLPESPFTSICLSDISFSISPDHSTPWICSDVSGISSNVSPEPCPELQNQVSSISSVCFSLLQSYNQVAIL >itb01g08240.t3 pep chromosome:ASM357664v1:1:6643381:6646300:1 gene:itb01g08240 transcript:itb01g08240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNLTMTACTVCLVLTLHFTVRLVSQHLSAWKKPKEQKAILIIILMAPLYAVVSYVGLVDFLGSNTFFTFLESVKECYEALVMAKFLGLMYTYLNISISKNIVPDEIKGREIHHSFPMTLFQPHTAHLNHHTLKLLKDWTWQFVLIRPVCSVLMIALQLLGMYPTWVSWIFTIILNISVSLALYSLVVFYHVFAKELAPHKPLAKFLCVKGIVFFCFWQVINSLNLSLSHLELCTVSNHLSRSKISTSE >itb01g08240.t4 pep chromosome:ASM357664v1:1:6643362:6646327:1 gene:itb01g08240 transcript:itb01g08240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNLTMTACTVCLVLTLHFTVRLVSQHLSAWKKPKEQKAILIIILMAPLYAVVSYVGLVDFLGSNTFFTFLESVKECYEALVMAKFLGLMYTYLNISISKNIVPDEIKGREIHHSFPMTLFQPHTAHLNHHTLKLLKDWTWQFVLIRPVCSVLMIALQLLGMYPTWVSWIFTIILNISVSLALYSLVVFYHVFAKELAPHKPLAKFLCVKGIVFFCFWQGIAIEILVALGIIKSHHVWFDVEHIQEALQNMLVIVEMVLFSIVQQHAYTAEPYRKENKKD >itb01g08240.t2 pep chromosome:ASM357664v1:1:6643362:6646327:1 gene:itb01g08240 transcript:itb01g08240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNLTMTACTVCLVLTLHFTVRLVSQHLSAWKKPKEQKAILIIILMAPLYAVVSYVGLVDFLGSNTFFTFLESVKECYEALVMAKFLGLMYTYLNISISKNIVPDEIKGREIHHSFPMTLFQPHTAHLNHHTLKLLKDWTWQFVLIRPVCSVLMIALQLLGMYPTWVSWIFTIILNISVSLALYSLVVFYHVFAKELAPHKPLAKFLCVKGIVFFCFWQGIAIEILVALGIIKSHHVWFDVEHIQEALQNMLVIVEMVLFSIVQQHAYTAEPYRKENKKD >itb01g08240.t1 pep chromosome:ASM357664v1:1:6643362:6646327:1 gene:itb01g08240 transcript:itb01g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNLTMTACTVCLVLTLHFTVRLVSQHLSAWKKPKEQKAILIIILMAPLYAVVSYVGLVDFLGSNTFFTFLESVKECYEALVMAKFLGLMYTYLNISISKNIVPDEIKGREIHHSFPMTLFQPHTAHLNHHTLKLLKDWTWQFVLIRPVCSVLMIALQLLGMYPTWVSWIFTIILNISVSLALYSLVVFYHVFAKELAPHKPLAKFLCVKGIVFFCFWQGIAIEILVALGIIKSHHVWFDVEHIQEALQNMLVIVEMVLFSIVQQHAYTAEPYRKENKKD >itb11g05100.t3 pep chromosome:ASM357664v1:11:2968265:2973206:1 gene:itb11g05100 transcript:itb11g05100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSFLSRVLHTKPSNYSKLLILVSVSSGGLIAYAEAHSNNETKIVEQSQPEPKKKKVVVLGTGWASISFLKDLDVSSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNILKKGNGKIQFWEAECLKIDAKEKKVHCRSHIDENLVGKNDFSLDYDYLIVAVGARVNTFNTPGVLEYCHFLKEVEDAQRIRRAVIDCFEKAVLPGLSDEERRTNLHFVIVGGGPTGIEFAAELHDFVHEDLVRIYPGVKDLAKITIIQSGDHILNTFDERISTFAERKFQRDGIEVLTGCRVVSVSDKFINMKVKATAENVKVPHGMVVWSTGVGTRPVVTDFMEQIGQTNRRALATDEWLRVKGCEGAYALGDCATVDQRKIMEDITTIFKAADKDNSGTLTVDEFEDVIEDIIIRYPQVELYVKSKHLLEVVDLLRDYEGNKQGCCTTRCISV >itb11g05100.t2 pep chromosome:ASM357664v1:11:2968265:2973206:1 gene:itb11g05100 transcript:itb11g05100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSFLSRVLHTKPSNYSKLLILVSVSSGGLIAYAEAHSNNETKIVEQSQPEPKKKKVVVLGTGWASISFLKDLDVSSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNILKKGNGKIQFWEAECLKIDAKEKKVHCRSHIDENLVGKNDFSLDYDYLIVAVGARVNTFNTPGVLEYCHFLKEVEDAQRIRRAVIDCFEKAVLPGLSDEERRTNLHFVIVGGGPTGIEFAAELHDFVHEDLVRIYPGVKDLAKITIIQSGDHILNTFDERISTFAERKFQRDGIEVLTGCRVVSVSDKFINMKVKATAENVKVPHGMVVWSTGVGTRPVVTDFMEQIGQTNRRALATDEWLRVKGCEGAYALGDCATVDQRKIMEDITTIFKAADKDNSGTLTVDEFEDVIEDIIIRYPQVELYVKSKHLLEVVDLLRDYEGNKQGEIDIEGFKLALSHVDSQTKSLPATAQVSLSFFRFSPFFVFFFTISSFSVSLYIAPIYSYYI >itb11g05100.t1 pep chromosome:ASM357664v1:11:2968265:2973206:1 gene:itb11g05100 transcript:itb11g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSFLSRVLHTKPSNYSKLLILVSVSSGGLIAYAEAHSNNETKIVEQSQPEPKKKKVVVLGTGWASISFLKDLDVSSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNILKKGNGKIQFWEAECLKIDAKEKKVHCRSHIDENLVGKNDFSLDYDYLIVAVGARVNTFNTPGVLEYCHFLKEVEDAQRIRRAVIDCFEKAVLPGLSDEERRTNLHFVIVGGGPTGIEFAAELHDFVHEDLVRIYPGVKDLAKITIIQSGDHILNTFDERISTFAERKFQRDGIEVLTGCRVVSVSDKFINMKVKATAENVKVPHGMVVWSTGVGTRPVVTDFMEQIGQTNRRALATDEWLRVKGCEGAYALGDCATVDQRKIMEDITTIFKAADKDNSGTLTVDEFEDVIEDIIIRYPQVELYVKSKHLLEVVDLLRDYEGNKQGEIDIEGFKLALSHVDSQTKSLPATAQVAAQQGAYLSSCFNRWEQCKSNPEGPRRFKSSGRHEFRPFRYRHLGQFAPLGGDQAAAELPGDWVSMGRSTQWLWYSVYASKQVSWRTRILVVADWTRRYIFGRDSSRI >itb04g07210.t3 pep chromosome:ASM357664v1:4:4823477:4831027:-1 gene:itb04g07210 transcript:itb04g07210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSAKGVPTNGSVYVCNLPPGTNEDMLAEFFGTIGVLKKDKRTGRPKIWLYHDKVTNEPKGDATVTYEDPHAALAAVDWFNNKDFHGSIIGVFIAESKSKDEPSYNSGSHAGDTNLDSGFAGLEEGSRDINGAGGRGRGRGDSSGKGWQQEGDWMCPNTSCSNVNFAFRGVCNRCGTARPVGVSGGSAGSGGRGRGRGNNDPGAPGRAVGGPPGLFGPNDWPCPMCGNINWAKRLKCNICNTNKPGHNEGGVRGGRGGGYKELDEEELEETKRRRREAEEDDGEMYDEFGNLKKKFRAKAQQAEAAQSLPGVGRAGWEVEELGMSDRDRKERSRDRGRDRDERERHRSRSRDRDRGRDRDRSYDYDRDRDYGRERDRDRDRNRYR >itb04g07210.t1 pep chromosome:ASM357664v1:4:4823447:4831043:-1 gene:itb04g07210 transcript:itb04g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSAKGVPTNGSVYVCNLPPGTNEDMLAEFFGTIGVLKKDKRTGRPKIWLYHDKVTNEPKGDATVTYEDPHAALAAVDWFNNKDFHGSIIGVFIAESKSKDEPSYNSGSHAGDTNLDSGFAGLEEGSRDINGAGGRGRGRGDSSGKGWQQEGDWMCPNTSCSNVNFAFRGVCNRCGTARPVGVSGGSAGSGGRGRGRGNNDPGAPGRAVGGPPGLFGPNDWPCPMCGNINWAKRLKCNICNTNKPGHNEGGVRGGRGGGYKELDEEELEETKRRRREAEEDDGEMYDEFGNLKKKFRAKAQQAEAAQSLPGVGRAGWEVEELGMSDRDRKERSRDRGRDRDERERHRSRSRDRDRGRDRDRSYDYDRDRDYGRERDRDRDRNRYR >itb04g07210.t2 pep chromosome:ASM357664v1:4:4823447:4831043:-1 gene:itb04g07210 transcript:itb04g07210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNQKDKRTGRPKIWLYHDKVTNEPKGDATVTYEDPHAALAAVDWFNNKDFHGSIIGVFIAESKSKDEPSYNSGSHAGDTNLDSGFAGLEEGSRDINGAGGRGRGRGDSSGKGWQQEGDWMCPNTSCSNVNFAFRGVCNRCGTARPVGVSGGSAGSGGRGRGRGNNDPGAPGRAVGGPPGLFGPNDWPCPMCGNINWAKRLKCNICNTNKPGHNEGGVRGGRGGGYKELDEEELEETKRRRREAEEDDGEMYDEFGNLKKKFRAKAQQAEAAQSLPGVGRAGWEVEELGMSDRDRKERSRDRGRDRDERERHRSRSRDRDRGRDRDRSYDYDRDRDYGRERDRDRDRNRYR >itb02g24420.t1 pep chromosome:ASM357664v1:2:24985123:24986870:1 gene:itb02g24420 transcript:itb02g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFPASMASNPDETRWIIQIKESFIQEFEEDDETPATIFNVPKILMLSDPLSYTPHQIAIGPYHYWRPELYEMEKYKLDAAKRFSKNLADGRKFQDLVDELRKLSVPRIRASYDKYLSINDDTLAWMVVMDACFLLEFLRVYAVKQGKAFARLPTRMSHMVDVSGRKSAHNVMLRDIIMLENQIPLFILRKVLEWESSSSPESAADMLLTMLSGLCKDLSPFVNVSEEDMAKVPLDSCAHLLDFLYKAMVPDMDAPCQESSRDLEGLSSGNIAYEDDGEDKDKSLGDTTNLKRLVQEIWKILSKVKSAPVRVFRVIVNLPWDVIGGLPGVKSLKRRLSPGTQGSKKSDDDDNSSKRPPLVEEISIPSVYKLVKSGVRFLPTDSGILSISFDSKTTTLNLPVINLDVNSQFVLRNMVAYESCIASGPLVFSRYTELMNGIIDSEKDTKILREKGILLNHLKNDEEVANLWNGMSKSIRLTKVPLIDKTIEDVNKYYNGRWKVKAGKFMRSYVYGSWRILTVLATILLLLLLSIQSFCSVYSCPRLFNIDNSTP >itb01g25380.t1 pep chromosome:ASM357664v1:1:30818189:30818744:1 gene:itb01g25380 transcript:itb01g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGRNRLVLGDIGNMVTLRGAEGKQQLPQGSCPSQGVFVHNYWLMHKLQQLTRTGNVLALLMWMELLLQMEVPRKPPPQKRATVKPIKPEAVKPIDTKEEVKEKNSLHRKAAAEDSFSVDLISGNEWKSFVSVD >itb03g21940.t1 pep chromosome:ASM357664v1:3:19860362:19869039:1 gene:itb03g21940 transcript:itb03g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MIQKPFITATQTDSTLSLFMQKCKTLSHLKQIHAHLLKLYLPESPSSISPLLSFAVSSKNPAFFSYARAIFQNLQFRSTFVYNTMIRGYVQSHLPVPAILCYKEMLSYKLIVNNYTFTPLIKACSMVLDDSREMGFSVHAHIFKLGFQQDRFIASALIEFYSLGLDMRKAAYSRVSDFKEVMVLYRQMEEVGMRPNESVLVTAVTACAHLGSLEQGLWIHSLAKRYGYDSNLILATALVDMYSKCGRMDFALSVFEGISCKDTGSWNAILSGFAMNGDAVKSLHMFDKMVSTGARPNETTFISLLSACTHAKLIDNGLLLFDKMSSVYGVKPRFEHHACVVDLLARAGNLEEAEKFIDEKMGGIEKCDPNVWGALLSACRVYGNVEIGNRLWRKLCNTRAANYGTHMLSYIMYKEAGWDAEAKNLSTLLLIVAIFYCLLSMAKLLTVRAENHFCCLSQYTTHKAQIFKPISLPPIKHGFRFRVSCLKEKEKEFLLETKKTLNGIGLNGELGKKGGLIQTSGDELGAESRENEVGVYGDWPPWKNLPQRYKLIGTTALAFVICNMDKVNLSVAIIPMSHQFGWNSSVAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLEVGVLVWSLATALVPILSGFMPALIFSRILVGIGEGVSPSAATDLIARSIPLEERSRAVSFVFGGLSFGSVTGLLLAPPLIQNFGWESVFYMFGFLGIAWFLGFQIVKEDQSSFFQTTMSGPQSLSIKKSPSPSLSELGDSLKDVPWKAFFQTKAVWAMIYTHFCGSWGHYTCLSWLPTYFSEELNLNLTEAAWVSVFPPLASIFVTTIASQFADNLISKGVETTVVRKICQTIAFLSPAACMILSSLDFGLPPWEVVTILTGGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLFAPSIFFYLTGTIVWLAFASSKPQNFSKED >itb12g06270.t1 pep chromosome:ASM357664v1:12:4653782:4654614:-1 gene:itb12g06270 transcript:itb12g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNYSIVANLLLLLLLTRAAGAAAASISSVMMGSCRSAAECATAGGGVIGEEPVMMMMMDSETNNRVLESARGNNHHFLSYRAIHTPAPACKAAVQNSCFGDRKYGKKKCGVYHRTCGGS >itb07g23020.t1 pep chromosome:ASM357664v1:7:27509670:27516196:1 gene:itb07g23020 transcript:itb07g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALTSLIVTMELEFLQPIPRVPLDDEASISMESLFEKLLFLKVLVQQKSGGGSVIRDLEVKIRDFALEAEDGIEIQLSNFLLAKNGEDQQKACQKFHQILQEAAENAAELLEIIKEADDEEEVVNKRERSLIPWLKHASEPGNNVISFRRHSPMLEEEGRMVGRHLDHMLVVDQLVPNEREEHRAKVITIVVGMTGIGKTTLARNVYNDPKVTSHFDVRCWVTMSGEYNKTQMLHHLLWTLAEADDDEIKEGSIPKEGLAAAEQVYKCLKGKRYLIVLDNLWNNQAWYDIRRCLPDDNIGHRIVITTAHFRRDDYDYSSYYIHRMTLLNPEESWDFFCNNPFLEQHMAPKFEKIRSEVVEKCEGLPHTILVVAKRLSKCDDILQEWKKVEKEVELLGVLDSRALTLTYNQLPQHLKVCFLYFGVFPKRSAIEVKQLIRLWIDEGFIEPLDHKGSENQAYEYLLEFISRSLILIDSWSSDDKVKNCRMHSALHSFCVREAQKEGIFCALNTLQLPRGSFSMFANSCCWLSLYTHRFDYYVLFGTNNPRSIFFFNEDDDISVSFKLLRVLAFVPSSFLQREPTRLQDLVFLRYLSVSEWFEGLDYVVSMNRNLQTLVVYSKESQLGSPTLHLPSTIWESPQLQHLELDKSYLIDPPSMVKDNMQTLSWVCPTHCRTEVYRKFPNIKKLKFFVFGSNPIILGSLEYLERLEKLSISVWFGCIVTLSKPSMFPSELKKLKLNGTKLSKRDLMAISMLPQLEVLKLENALHGKVWKVAEGRFDQLKFLCLEDKTLKMWVVGAGSFPRLRHLVLRFCYCLKEIPWIMAYIYCLKSIKLQQCCRTLIASAERIIKVQHCMGTAILESVHTQKSLNLQMMNQSVHTQKTPLYLQMMNDKAQRIFGNRWTEIAKVVSGRTDNAVKNRFTILCKKRAKNEALAKENSNSSINNRRVIFPSWLNSSDSISESAVPLKKQRRSHIPDHPESFSNGEKTLVSCDATNQMLRSPFAVIGQNLYSPGSNVSSHQNDEDTKDLHANGSSNKTEGTFLKKDDPKILALMQQAELLSSLALKVNSENTDQSLENACKVLEDFLNHTKDGDVTKCQIAEMEIQLENFKQSANELKNINECSQPSWRQPALSEESAGSSEYSTGSTLLAHGVGDNGEKSKAELCSLHQDIESGLQSTHIDDEFAKGISGNNASTSQACDKVNPINENICEYSNEECCSPLQVTPMFRSLAAAIPSPKFSESERQFLLKTLGMESTSPNPSINPSHPP >itb15g23230.t1 pep chromosome:ASM357664v1:15:25973136:25974316:-1 gene:itb15g23230 transcript:itb15g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSVKIWVVLGVLVALAGAQCGEADSVYGCLGGCYNQCVVLARKSGASRYPCYIGCINGCVPRTAADYQNYCQIGCNLQLCSPTRYDGAQLEKCVGRCGNICNRA >itb02g07650.t1 pep chromosome:ASM357664v1:2:4784378:4786811:1 gene:itb02g07650 transcript:itb02g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSASFLPSALSIHKEGKSLKETTFYGVALPCNPKAQFSHSACNKEWGRKLVVGPVRAQTAVTTPVQSKPEEKKTLRKGCVIITGASSGLGLATAKALAETGKWHVIMACRNFLKAETAAKKAGMPKENYTVMHLDLASLDSVRQFVDTFRRSERPLDVLVCNAAVYFPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPQKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLKGGLNGTQSSPMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVAFASLYPGCIAETGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLSKSGVYWSWNSNSSSFENRLSKEASDAEKARKLWEVSEKLVGLA >itb15g06620.t1 pep chromosome:ASM357664v1:15:4414331:4416737:1 gene:itb15g06620 transcript:itb15g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLRAQPSSLMEHVQFPEMVWRELLTTVLRMLVGGRLFAGRYSRAFSVHRKIKRKMKPSTDDCSETSCAADQLPQRKISDDLGKRTRLHIDQNSGDGQNCDSHLGSVISSSHRSIRRTRIDLGTRFELPLDQTEGIGSQKIQGSKILNSLDHKRDSLLPISFGKRSVSFGDNKLKLQKAYSPSRFGGTKRSLVEALNMNEPFDICLSESREAVTLEAPLRRNVMEKQSDVDQWIEERIVLRPGMVLLRKYISLSEQVSIVKTCQELGLGPGGFYRPGYKDGAKLRLHMMCLGLDWDPQTRRYSKTRQHDNAEPPCIPNEFTSLVSSALRDSHDLIQRQFKTSSPVEILPLITPDVCIVNFYTIEGRLGLHQDRDESPESLNSGLPVVSFSIGDSAEFLYGDERDVQKAEKVFLRSGDVLIFGGKSRHIFHGVTSIIPSTAPPALSKVTKLRPGRLNLTFRHY >itb15g00440.t1 pep chromosome:ASM357664v1:15:275150:278956:1 gene:itb15g00440 transcript:itb15g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVLLRQSEKEGEPSVITVNCPDKTGLGSDLCRIILFFGLTVVRIDVSTDGKWCYLVFWVIGKPNTRWSLLKKRLIGVCPSCSSASGISYYRAELQSPRPPDVFLLKFCCYDRRGLLHDVTAVLYELELIIEKVKISTTPDGKVMDLFFITDTRELMHTKKRQEDTYDNLKSVLGDAMISCEIEMVGPEVTVCSQGPSFLPPEITEDMFDSEVVDEHRGGSPACKKASIAVDNLLSPSHTLIQIVCQDHKGFLYDIMRTLKDYNIQISYGRFTMKSKMDCELDLFIMQADGKKIVDPNKLNALCSRIQMELRRPLRVALVNRGPDTELLVANPVELSGKGRPLVFHDITLALKMLSVGVFSAEIGRYMVGDREWEIYRVLLDEGNGLPVPKTKIQEAVWKMLMGWE >itb11g14440.t1 pep chromosome:ASM357664v1:11:11531087:11532992:1 gene:itb11g14440 transcript:itb11g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALSKVGSIKAGTFWVSKKAKQEISNISQDLSSLTNTVEEKAKWVFNKLKAGKPTKSLADLLREHNLPAGLFPQNITCYEYDEVTCKLVVHLPYPCELCFKDSSVIRYSGRRVKATLSRGKLAGVEGMKTKVLVWVKVTSINVESYKSDKVWFVAAGVKKSRPKDAYETPRDAIKVDEF >itb11g14440.t2 pep chromosome:ASM357664v1:11:11531087:11532992:1 gene:itb11g14440 transcript:itb11g14440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALSKVGSIKAGTFWVSKKAKQEISNISQDLSSLTNTVEEKAKWVFNKLKGKPTKSLADLLREHNLPAGLFPQNITCYEYDEVTCKLVVHLPYPCELCFKDSSVIRYSGRRVKATLSRGKLAGVEGMKTKVLVWVKVTSINVESYKSDKVWFVAAGVKKSRPKDAYETPRDAIKVDEF >itb05g04740.t1 pep chromosome:ASM357664v1:5:4371920:4374370:-1 gene:itb05g04740 transcript:itb05g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRNLSSYRCPLMALRHNRQSNRHSRASGAYTAKKQTCQLVNYFSTLKPRVSRDPPELWLANKKITELIRSGRLEDARSLFDKLRHRNIVTWNSMLTGYIQRREMAKAQDLFDEMPERDVVSWNLMISGYMSCRGSAYIEFGRYLFDRMPMRDIISWNTMISGYAKIGKIDEALKLFDCIPEKNVVSWNAVISGLLQNGEVKAAIEFFNRMPERDAASLSALVSGLIQNDKLDIAANVLFDFQRSGNKSSDLVYAFNTLIVGYGQKGRTDDARHLFDQIPFYTDKGIGQCRRFERNVVSWNSMIMCYVKAGNMVSARELFDLMVEKDTFSWNTMISGYVRISNMEEASNLFSMMPKPDAFSWNTIISGYAQGGKLELACDFFKRMPQKNRVSWNSMIAAYERNADYKGAIRLFIQMQLAGEKPDRHTLSSLLGICAETVALCLGMQIHQLVTKTVIPDVPLNNSLITMYARCGAIMEARSIFDRMKFCKDVISWNAMIGGYASHGLATEAFELFDRMKELKMKPTYITFIAVLSACAHAGLVEEGRFHFKSMVDEFGIEPRLEHFASLVDIVGRHGRIEEAMDIVKSMPVKPDKVVWGALLGACRVHNNLEFAQTAAEALMQLEPESSGPYILLYNMYIEAGRLDDANEIRMRRERSSVKKEPAYSMVNSIYP >itb02g01750.t1 pep chromosome:ASM357664v1:2:965782:966350:-1 gene:itb02g01750 transcript:itb02g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTKLSNVKIIVLALVMFGGIGELCHAQSVCNMSREGLMSCRPAVTPPNPPMPTAACCTAISHADIGCLCSYKNSSWLPSLGVDPNLAMTLPKKCKLSTAPSC >itb09g11140.t1 pep chromosome:ASM357664v1:9:6963659:6968608:-1 gene:itb09g11140 transcript:itb09g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVASELRASIWKQMAGAGIKYIPSNTFSNYDQVLDTTAMLGAVPPRYNWTGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVNEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFQLLSLLDKILPIYKEVVTELKAAGASWIQFDEPTLVLDLEAHQLESFTKAYSELESTLSGLNVLIETYFADVPAEAFKTLTALKGVTAFGFDLVRGSKTVDLIKGGFPSGKYLFAGVVDGRNIWANDLAASSSLLASLEGIVGKDKLVVSTSCSLLHTAVDLINETKLDNEIKSWLAFAAQKVVEVNALAKALVGQKDEAFFSANGAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKASKISEDEYVKAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSTAQGMTKRPMKGMLTGPVTILNWSFVRNDQPRSETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKAEHAFYLNWAVHSFRITNCGIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALENMVSAAKKIRTQLAK >itb15g21890.t1 pep chromosome:ASM357664v1:15:24556484:24561996:-1 gene:itb15g21890 transcript:itb15g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHILLLSFLFHILLLFSLPLETATSDTAEGRALLKWKNTLXNSFTGSIPYAIANLSQLVFLDLSWNGFVNFIPTEIGRLTNLRFLYFGVNNLSGSIPSQISYLQHLTFISFNYNSLIGQIPEAIFSNLSNIQTFDCGWNMFHGPFPTSLVRLSKLKQLDLSGNNFYGSIPPTIGNLSSLTNLYLGSNMLQGNIPRTLCKLHFLEGLYLYNNTLSGIIPQCLENVTSLRYLSLYSNMLQGNIPRTLCKLHFLEGVYLSSNALSGQIPQCLGNLTALRYLYLYSNMLQGNIPKALCKLQSLEGVYFSSNTLSGQIPQCLGNLTSLRYLYLDSNMLQGNIPGALCKFQSLEVLYLSNNTLSGKIPQCLENVTSLRYLYLYTNMLQGNIPQTLCNLQSLEGVYLSRNALSGQIPQCLGNLTSLGYLHLGSNMLQGNIPQTLCNLQSLEGVYLSRNALSGQIPQCLGNLTSLGYLHLGSNMLQGNIPRTLCKLHSLEGVYLSNNALSGQIPQCLGNLTSLGYLHLGSNMLQGNIPQTLCKLQSLEDLYLLNNTLSGLIPQCLGNLTSLRYLDLASNKLQGNIPSALCQLHSLENLFLYINALSGHIPQCLGNLTSLRYLYLYTNLLLQGNIPKTLCKLHSLEGLSFEENSLSGSIPQCLGNVTSLRKLYILSNILMEGSIPESLCNLLSLEEINLAQIGLEEQLREEPFSNGRTPLNSILMLDLHSWSIANLDNICGIERRLKHEVKVYWDLDGNFSKILWSWTAETLPTGVWMNMEVLKHCTTVMFLDLSLLGMGMNRMMNNECRGA >itb07g03210.t1 pep chromosome:ASM357664v1:7:2178573:2185332:-1 gene:itb07g03210 transcript:itb07g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQDRVGLQSPMFGKQDENLKHSSVNASQPANPETLGNSLSMKAVHTTVEAKTSIGSASVKTSNNYSQSFGKSMQTGHAMGVQGRTQSTGFSNAASFTGTLKSDERSPLQTFGNIQQKVAGNSDRTTLSLSGGHPQSSPWTQAHSTSVDSSKQKVMPWAESIKSSSLLQQNISLQKPSKLQPARDASERIPSKQFRSVEEMARKLDDLLEGIEGEGGFMDASIASQENSVITLEKGIQALSDRCRLWRGIMDERQGEIQLLLDKTVKVLAKKVYMEGIFKQATDSRYLDLWSRQKLSSELDLKQEHIRDMNKVLTNQLIELERHFNILELNTFGGSDGMQMNRRASQSKHGQGRNVQSLHSLRDTVNAQLAVAEQLSGSLSKLIADLNIDSSTDRRNVRKELFETIGLSYDDTAYSTPAKEMVLSTPLNRERSVSFAAKEQSLRKQMDAAKSSEPETARRRRDSLDRSWASFEPSKTTVKRILLREDHQKVSASRASLSADKQQLNSQSYDRSAAAGSNLFGASSTSFQQFKSTGFPDISEKLSSRSPASSQWADALGKPAEQPSSARNFSISPLASSTSFQQFKSTGFADISEKLSSRSPTSSQWADGLGKPTEQLSSGRNFSISPLAQTKSTVSGQSISRESGKLTNENSTSNTLTGNLALGHSKFIQQSETKPHLMTNSTLRSESPIPLKVSLDSQNPDGRQLVDTNLTVRDQKNVPIARGFMPTELKTSSGFSFSPATVTDPAFNLPGNAMASEILLNRSQAGGTSISTSVQSVSSSLPSKADFSSLSTSSFSSPSVSKFNLPSMSTALFGSPSPAKVSLPSISSTSSPGANTPTSSSELTSDKFSSILKASSDSNQMISLSQSSGASQTNSAFHFPNFQKVGSSPIIPESKKSDPKSLSQLPTSNIDSKDDTNSATTPSLPNGTPKTEALTSQPESSIGTSKSPVGFLVQSSSINGHSENLQSGSQMSSASLSSPTLGVGLNVIQEQSFDAAVSPSTAVSTSQSASGEKNENTDAFIDEDEMEEVAPETNQATEQTLGNLAGFGIGGPTTVAAAKPNIFGTSLSNNTPTPTSSTFTMTAPSGELFRPASFSFPSLQPPQPPSLGSFSGGFTTSNVSQAPTGSGFGQPAQIGSGQQALGSVLGAFGQSRQFGAGLAASGSASASGFGTSFISNNSGGSFGGGFSGVSAVGGGFSNLASAGGGFAAAATTGAGGFAGAAGAGGFAAAATPTASAFGAAGGGFGGFSSQQGTAAGFSAFGNSSVAARPPSQLFTQMRK >itb02g20040.t1 pep chromosome:ASM357664v1:2:17678137:17679450:1 gene:itb02g20040 transcript:itb02g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEEKLILIGRFARNRPSLEEIRREIGSSLRLGGAIQIGSLNRKTIMLQFTLEADCKHAWLQGRAIVWLSRWTPDWIANRDPPLSLVVKPERMPAYCSTCGRYGHMMRGGEGREWWW >itb09g02000.t1 pep chromosome:ASM357664v1:9:1213101:1213867:-1 gene:itb09g02000 transcript:itb09g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMLKNDQQGLCTSMGPRISFSNDFADSQHPKRHDHSYKEAPVSSDFEFSVPTYNIISADEVFFKGKILPLKEKSTKTTTLRDELLQATDDDYGDLFLKGTSRWRERLGLKRSPVFPKKLSDRNDGPLQGIDEVKVQDLINIAGGK >itb02g17500.t1 pep chromosome:ASM357664v1:2:13536954:13539157:1 gene:itb02g17500 transcript:itb02g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSAELLKDPQVESLPILQRLDLSFSHIALTHSLIRATLNLSPDSGRAVLGFLDWVKTRPNLNLDDEVYSCFVDYFGRKKDFKATHDVLIGGRGVIGAKTLESMIDRLVRAGRPTQTVAFFEKMEEEYGFVRNMDSMKLVVAKLCEHGFASYAEKMVKSLANEFFPDEYVCEMLIKGWCVDGKLDEAQRLAGEMYRGGFEIGTPSYNAILDCVCKLCRKKDPFRLQSEVDKLLLEMEEKGVPRDVETFNVLVSNLCKIRQTQAAMDLFYRMGEWGCHPNETTFLELIRSLYQAARIGEGDEMIDRMKSAGYGDALDKKAYYGFLKILCGIERIDHAMNVFVKMKEDGCKPGIKTYDLLMGRLVAHGRMDKANALYKEAESDGILVEPKAYKVDPRFAKKKSSTSVKKEKKRETLPEKMARKRRTLKKIRLSYVKKPRGTRRAL >itb02g17500.t2 pep chromosome:ASM357664v1:2:13536954:13539157:1 gene:itb02g17500 transcript:itb02g17500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYTALHLRAVFRCKFSSATGEHCLLNSPQFSLSKPHFLSPSPPHHNLYSFSTVAQLKLPLFLSSSAFRSFSSEADGDRSKNEESPEEEAMAETLSAELLKDPQVESLPILQRLDLSFSHIALTHSLIRATLNLSPDSGRAVLGFLDWVKTRPNLNLDDEVYSCFVDYFGRKKDFKATHDVLIGGRGVIGAKTLESMIDRLVRAGRPTQTVAFFEKMEEEYGFVRNMDSMKLVVAKLCEHGFASYAEKMVKSLANEFFPDEYVCEMLIKGWCVDGKLDEAQRLAGEMYRGGFEIGTPSYNAILDCVCKLCRKKDPFRLQSEVDKLLLEMEEKGVPRDVETFNVLVSNLCKIRQTQAAMDLFYRMGEWGCHPNETTFLELIRSLYQAARIGEGDEMIDRMKSAGYGDALDKKAYYGFLKILCGIERIDHAMNVFVKMKEDGCKPGIKTYDLLMGRLVAHGRMDKANALYKEAESDGILVEPKAYKVDPRFAKKKSSTSVKKEKKRETLPEKMARKRRTLKKIRLSYVKKPRGTRRAL >itb04g26580.t1 pep chromosome:ASM357664v1:4:30867314:30870808:1 gene:itb04g26580 transcript:itb04g26580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRLEAGGRLPVKDRLNGRTLDGSGRWRQISGKRQREDDDKWEHDLYEHNRPQMSRRKIGSTDLRLKLQRKSNQQASQSGKADLRERLSGIFHPQVENDLPKPKNAPEISKPVMKNVISEAPISATKKVSNKIIKKSQQKAGSMDSFLQSLGLEKYSITFQAEEVDMAALVHMTDEDLKAMGIPMGPRKKILLALESKS >itb04g13480.t1 pep chromosome:ASM357664v1:4:13600372:13606183:1 gene:itb04g13480 transcript:itb04g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G15080) UniProtKB/Swiss-Prot;Acc:Q9XI60] MPPQLGAQTFRSHGKEIARIHMHDWIILFLLLVIEVVLNVIEPFHRFVGADMMTDLKYPMKDNTIPVWAVPIIAIILPFTVMLGFFFRGTGRNVYDLHQAILGLLFSVLITGVITDAIKDGVGRPRPDFFWRCFPDGKGVFDSVTGNVKCTGLKNVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIKAFDQQGHAAKLCIVVLPLLFAALVGVSRVDDYWHHWQDVFAGGFIGFTVASFCYLQFFPPPYDVNGCMPHVHNARMGESRNGTQPPIYDQSCSLAVRQTELENVYARSQHGLAITEFNVPDSSPILSDVESGRRY >itb13g15590.t1 pep chromosome:ASM357664v1:13:22463048:22464928:-1 gene:itb13g15590 transcript:itb13g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAGEILVVPFFGQGHLFPAMELCRKFCSFNYKSTLIIPSHLSSSVPSDLRCHSCVEVVEIQAAELPPPPPAEMNAPPRHPFRQDQERMGGGIESFLAERYGGSGVTRPDCVVVDVMMSWSKEIFAGMGIPIVSFFTSGACSAAMEFAAWKNKADEMKPGETRILPGLPRHMALTHSETQRRHRHGPPGGPPPHLHRGNGFIRGGPGGRGPGGPGGPRGPGRRPQWMEGVESSIAVLINTCDDLERPFIDYLSDQIQKPIWGVGPSLPAQFWESAGSILRDHQVRSSNRSSNFTEEEVVQWLNSKPDRSVIYVSFGSEVAPTMEESEELAKALEQSNRPFIWVIQPGSGKPGPPVGLFGEKTPGSDSADEGYYPHGLQERIGNRGLIIKGWAPQLLILSHPSTGGFLSHCGWNSTLESIGRGVPILAWPIRGDQFFDAKLIANHLKVGHILCSDKDATEAVKKDEIGQGIEKLMNDEEVHSRAMALKSKFEAGFPKSSTTSINSFIKLLAK >itb11g18380.t1 pep chromosome:ASM357664v1:11:18641947:18648575:-1 gene:itb11g18380 transcript:itb11g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYYICLNKLHYPPIYMSKGYLSINNVAMAFTYKLCASRITLSTLLLLLFLCCPNPSSATAPKLFKKIYAFGDSFTDTGNTNSSTGSIFFTHVSNPPYGRTFFHRPTNRYSDGRLVIDFVAQALSLPFLPPYMDPKADRSHGISFAVAGATAISYRFFEKNNVSLDITPQSLGTQLGWFNRYLESVGCRDYKTTPTQCGDVFDDALFWVGAIGAGDYAFTSGSSIPRKTLRRLAISNINGFIQALLKKGAKYMVVEGLPTLGCLTANLYLYTDRDAMGCAATVNNESHAHNAVLQANLRSFRAHFPHATIVYADYWSAYASVLANPSKYGIAEPFKACCGSATGDPLNFDIFETCGSPHTKSCPDPSMYINWDGGHLTEAMYKAVADELLNGTFCHPPFGYLLSKKMSSG >itb03g18940.t1 pep chromosome:ASM357664v1:3:17105075:17107174:1 gene:itb03g18940 transcript:itb03g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPPPLLLEILTRLTDPADLARCRIASKTLNSTSRDLQSVCLLCSFDRYAKYRSSLTRSSVTPFKQIFRRLLSELRTVKSVSIGVEKPLRTASYDDVEDEEDLYLTDVNFVEDWLPRVSQSLISVSISDFWVQSCWRRSTVLAVISSHCYNLVDLEIKNAWLSVNGLKPMPKLTSLTLEYVRLDDENLENISGCFPCLQVLNLIGVGGLKDPRVHLLDLRSCYWTVSNAPNSVAVVAPKLVKLKLTCVRPKVLVIDAPLLAEMHFCILKKSDYLKVEEFIKLETLHLESMELGDLLCTFPLAKTIRSLTLDKINWVEPVGTGICLGSLSRNCPNVTTLTLAAGVWSELERHLCPECLEVRTNMWGLKDITAYLVLNNIDSTLSFIKTVLESCPNLSDIALLIHRDVVSSVSCNLISKCAAYCPGIRWRWGMWKEGTKDAWISHSL >itb04g05950.t1 pep chromosome:ASM357664v1:4:3866602:3868976:1 gene:itb04g05950 transcript:itb04g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNLFLTLFLAVFSLGVVAQGLNNPKCKAQEKGSTLQVLHVYSSCSPFRPAKSSLSWEDTVLQMQTKDEARLLYLDSLVAKKSSVPIASARQITQSPTYIVRANIGTPPQTLLLAMDTSNDAAWVPCSGCIGCPSSSALFDQSKSTTFHDVACGAPQCSQVPNPACDGNVCGFNLTYGGSTIAANLSQDTVTLAADSVAGYTFGCILRATGSSVPPQGLLGLGRGPLSLLSQTQSLYQSTFSYCLPNYKSPNFTGSLRLGPVGQPQRIKFTPLLKNPRRPSLYYVNLIGIKVGRGLVNTPPGSFTFDPATGAGTIIDSGTVFTRLVQPAYEAVRDEFRRRMGPNATVTSLGGFDTCYTVPITIPSLTFLFAGMNVTLPPENFLIHSSSGSTTCLAMAAAPGNVNSVLNVIANLQQQNHRILFDVPNSRLGVARETCS >itb04g05950.t2 pep chromosome:ASM357664v1:4:3866689:3867868:1 gene:itb04g05950 transcript:itb04g05950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNLFLTLFLAVFSLGVVAQGLNNPKCKAQEKGSTLQVLHVYSSCSPFRPAKSSLSWEDTVLQMQTKDEARLLYLDSLVAKKSSVPIASARQITQSPTYIVRANIGTPPQTLLLAMDTSNDAAWVPCSGCIGCPSSSALFDQSKSTTFHDVACGAPQCSQVPNPACDGNVCGFNLTYGGSTIAANLSQDTVTLAADSVAGYTFGCILRATGSSVPPQGLLGLGRGPLSLLSQTQSLYQSTFSYCLPNYKSPNFTGSLRLGPVGQPQRIKFTPLLKNPRRPSLYYVNLIGIKVGRGLVNTPPGSFTFDPATGAGTIIDSGKSSIISFVP >itb06g01700.t1 pep chromosome:ASM357664v1:6:3003597:3007875:1 gene:itb06g01700 transcript:itb06g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREMAISLDGVRDKNLMQLKKLNTALFPVRYNDKYYADALSSGEFTKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGVGSKLLNHVLDLCAKQNMSEIYLHVQTNNEDAIKFYKKFGFEITETIQNYYTNITPPDCYVVTKFITPSEAKK >itb08g12430.t1 pep chromosome:ASM357664v1:8:12585209:12586826:1 gene:itb08g12430 transcript:itb08g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVEEHPNLHEQPKGEIDEESRESLLPPRPKSPPNQNSRPSSMKAHTVIPAHLVAEAISTLHGLDLRWSGPITPSEMQYVQQYVFAKYPEYCNGLVEDGDKLDLYTLCINNDESLDKGKSPRREASSPSFTHNTTASELGRIQLEPSRLLDILTKKNSFQGNFISIPEIQVRNRALQHCGLSEDEYLVVFTPTIKEAMMMIGESYPFFRGNYYLTVLGGEEDYDCIREFVVFKDSKVIAAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFAYPAYVNETRYSMHWISEAHRNSWHVLLDASELDVGKDRLLTLALHRPDFVLCTVNNTHSHPSKITCLLVRKQSFDTTSSSSPSA >itb02g03020.t1 pep chromosome:ASM357664v1:2:1731351:1739710:1 gene:itb02g03020 transcript:itb02g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLVLIFCFHFLHYPLFCTAQKELQVGVILDSDSMVGKIGSNFLSIALSDFYSVHSNYSTRLILHFRDSKEQTLNAASSGLELLKDVKVDAIIGPQKSAQANFLMDLGDKAKVPVISFSATSPSLRPRSPYFIQTGLSDDAQAGAIAAIVESFKWSQVVLIFEDSEFGHGIVPYLSNAFQEINVRISYKSLIPVSASSDFLLKELYKMMTMQTRVFVVQTNPDLGAKLFLKAKEIGMMNEGYAWIITQTLMDVMYMMDSNVVEAMQGVLGVKPLIPKTRRLDSFEMRLKSKLLKDETKTLDHPDFRQADLSVFGLWAYDTLWALAEAAEKVGRGREGKEVLDKRIADSSSDPFSVGISESGPELLQAILGTRFEGISGKFQLADGKREASSFQILNVVGNGEREVAIWTPSQGINLKKNGTNFYSSSREIFKSTVIWPGGSSAVPRGWEVPVAGKKLRIGVPVKSGFTDFVRVERDKELNKTQVSGYYIDVFNSVMSALQYAVRYEFVPFEKPDGSSAGTYNDLVYEVFLQNFDAAVGDVSITANRSKYADFTLPFAEGGVLGIVPIAYEDVDNIWTFLKPLTKELWLTSIVFFIFTGMAVWILEHRVSSAFRGPPSQHVGMILYFPFSTLVFAHRERIMSNLARLVVVVWMFVVLILNSTYTASLSSSLTVQRLKPAVKDVNQLIKNGDFVGCQEGSFLTDLLKEKGFQESKIKTYKNAEDVHDALSSGSKKGGISAFFDVAPHCKLFLSKYCSKYTIGPTYRTDGFAFVFPRGSPLVADVSRAVIQLTENGKILEIGNQGLINDAACTGPGSRLGSTSVTLQCFSGLFAITGGITGSCILVFLVSYVYQNKTCLQTILDSKTTVWSKIVAICRHFDQRDTSADPKEKIPDVEGGEVSSSSHISEVHELSRFSSNSMPLNMEEQNSANVRMGLNLGADACSVHLLLLNTTLTRLLSEGRYTLGLAPNDYTHYNNAINRKVDSSLLFVFYVQLFMSLVFSGLLLGLDLSYILYCGCRLINAQEKFASAGNSSVTFQVGVVLDLDTDLGRRGIACLYMAHSVFYSVHSKYKTRLDLHVRDSKKNVIDAAAASLHLLKEIKVDAIIGPQKSAQASFVSDLGDRAQVPIISFSATNPSLHHRAPYFIQTAQSDDMEVAAVAAIVKAFKWSQVVIICEDSEYGNGIVHYLSNELQSINARVSGRSVIPLSATDDFILKELYKLVASQTRVFVVHMSHSLGAKLFSEAKKIGMMSDGYAWIITSGLTDLFYLMNSDVRKAMQGVLGVKPLIPKTKRLESFVAGLRKEFFDSNDFKVAEVMSIFDIWAYDTMWALAMAAERVGSKEPQMVSNSPADLNSSDPFHIDISLTGPKLLKAIQNTHFEGLAGNFSLKDGRLKPSSYQILNMVEDGEREVGIWNPNLGITSGTNATLKDVVWPGESAVVPRGWEIPAMGKRLKIALAARPGFPEYINVVRDAQTNSFRFGGYYIDVFSRVMASLPYSVPYDLVPYEKPDGSCPGTYNDLIYDVYSQKYDGAIGDITITANRSQYVDFTLPFDDGGVVSIVPITYEDESGSWTFLKPLKKELWLTSILLFIFTGVAIWILEHRISSAFRGPPSQHVGMMFYFPFSTITFAHRERIVSNLGRLVVVLWMFVVLILNSTYTASLSSMLTAQKLRPATKDVKELIKKGDYVGCFNGSFIFNLLIEMGFEKSKIRTYRYPEDYKDALSDGSKLPRISAFFDVVPYSNLFLSKYCDKYMKVGQTYHTTGFAYVFPKGSPLVANVSRAIIKLTEEGNILDNTRQWLRSDEVCTGPEKTSASTVVALQSFKVLFAIFGGVTGLCLLVFIATYVYKNKDFIQETLSSRTTIWLKIQAIGRHFDQRDLSSHKLERAKDPQEPEGDDSEFTSSHSIEMSNLPRNPPCSRPSSPCALEGNTDEPGNANSSSQHEVANDQPQHR >itb09g19870.t2 pep chromosome:ASM357664v1:9:16307029:16311776:-1 gene:itb09g19870 transcript:itb09g19870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEGKSCKPEKSSSPTPELGNIHVYPDWAAMQAYYGPRVTAPAYFNSTVAPGHAPHPYMWGPPQPMIPPYGAPYAAVYAHGGVYAPPGVPVGSHAQGHGVTVSPAVSEAMAVASLSADTSGKSSGNTDQGLIRKLKGFDGLAMSTRNGNTDNGEGGADQEHSQSADTEGSSDGSNAAGAGENNKKRSRETTPTDSDEKTPTRSYPNPALELSGATGKVIGMAVSPQTVAGKVMGSVLSPNMATGLEIKSPGANMKASPTNVPQLGPQMPNDAWLQSERELKRERRKQSNRESARRSRLRKQAEAEELAMRVQSLTAENMTLKSEINKLTESSDKLKIENAALMEKLKNEKLGKMEELSLGKIADKRPQPVTTVNLLARVDNSSSVNRNNDEEEEMYENNTSGTKLQLTDRSPRTDAVAAG >itb09g19870.t4 pep chromosome:ASM357664v1:9:16307029:16310035:-1 gene:itb09g19870 transcript:itb09g19870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYYGPRVTAPAYFNSTVAPGHAPHPYMWGPPQPMIPPYGAPYAAVYAHGGVYAPPGVPVGSHAQGHGVTVSPAVSEAMAVASLSADTSGKSSGNTDQGLIRKLKGFDGLAMSTRNGNTDNGEGGADQEHSQSADTEGSSDGSNAAGAGENNKKRSRETTPTDSDEKTPTRSYPNPALELSGATGKVIGMAVSPQTVAGKVMGSVLSPNMATGLEIKSPGANMKASPTNVPQLGPQMPNDAWLQSERELKRERRKQSNRESARRSRLRKQAEAEELAMRVQSLTAENMTLKSEINKLTESSDKLKIENAALMEKLKNEKLGKMEELSLGKIADKRPQPVTTVNLLARVDNSSSVNRNNDEEEEMYENNTSGTKLQLTDRSPRTDAVAAG >itb09g19870.t3 pep chromosome:ASM357664v1:9:16307075:16311350:-1 gene:itb09g19870 transcript:itb09g19870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEGKSCKPEKSSSPTPELGNIHVYPDWAAMQAYYGPRVTAPAYFNSTVAPGHAPHPYMWGPPQPMIPPYGAPYAAVYAHGGVYAPPGVPVGSHAQGHGVTVSPAVSEAMAVASLSADTSGKSSGNTDQGLIRKLKGFDGLAMSTRNGNTDNGEGGADQEHSQSADTEGSSDGSNAAGAGENNKKRSRETTPTDSDEKTPTRSYPNPALELSGATGKVIGMAVSPQTVAGKVMGSVLSPNMATGLEIKSPGANMKASPTNVPQLGPQMPNDAWLQSERELKRERRKQSNRESARRSRLRKQAEAEELAMRVQSLTAENMTLKSEINKLTESSDKLKIENAALMEKLKNEKLGKMEELSLGKIADKRPQPVTTVNLLARVDNSSSVNRNNDEEEEMYENNTSGTKLQLTDRSPRTDAVAAG >itb09g19870.t1 pep chromosome:ASM357664v1:9:16307029:16311776:-1 gene:itb09g19870 transcript:itb09g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEGKSCKPEKSSSPTPELGNIHVYPDWAAMQAYYGPRVTAPAYFNSTVAPGHAPHPYMWGPPQPMIPPYGAPYAAVYAHGGVYAPPGVPVGSHAQGHGVTVSPAVSEAMAVASLSADTSGKSSGNTDQGLIRKLKGFDGLAMSTRNGNTDNGEGGADQEHSQSADTEGSSDGSNAAGAGENNKKRSRETTPTDSDEKTPTRSYPNPALELSGATGKVIGMAVSPQTVAGKVMGSVLSPNMATGLEIKSPGANMKASPTNVPQLGPQMPNDAWLQSERELKRERRKQSNRESARRSRLRKQAEAEELAMRVQSLTAENMTLKSEINKLTESSDKLKIENAALMEKLKNEKLGKMEELSLGKIADKRPQPVTTVNLLARVDNSSSVNRNNDEEEEMYENNTSGTKLQLTDRSPRTDAVAAG >itb03g25660.t1 pep chromosome:ASM357664v1:3:24844950:24848593:1 gene:itb03g25660 transcript:itb03g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSMVNVKTSHHPIISYRPIRPSDLEVLEKLHGDLFPIRYESEFFQNVVNGRDIVSWGAVDCNRPNGQSDELIGFVTARTIRAKESEVEDLIRFDPSMPDQTLVYILTLGVLEPYRKLGIASSLIREVIKYASSIPTCQAVYLHVISYNNPAIYLYQKMSFQCVRRLHSFYLINGQHYDAYLFIYYVNRGRSPCSPIEILTLLVTYAKSGFKSMTAKLWKHEERKTSKWPKSKESGCLLPTTQNKRTHN >itb03g25660.t2 pep chromosome:ASM357664v1:3:24845470:24848593:1 gene:itb03g25660 transcript:itb03g25660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSMVNVKTSHHPIISYRPIRPSDLEVLEKLHGDLFPIRYESEFFQNVVNGRDIVSWGAVDCNRPNGQSDELIGFVTARTIRAKESEVEDLIRFDPSMPDQTLVYILTLGVLEPYRKLGIASSLIREVIKYASSIPTCQAVYLHVISYNNPAIYLYQKMSFQCVRRLHSFYLINGQHYDAYLFIYYVNRGRSPCSPIEILTLLVTYAKSGFKSMTAKLWKHEERKTSKWPKSKESGCLLPTTQNKRTHN >itb01g12100.t1 pep chromosome:ASM357664v1:1:11507955:11508778:-1 gene:itb01g12100 transcript:itb01g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFKLKLSRFFRSCKAKNLSTDVVNLHDTTKTVFHDDDNPPMNPHHKRDYQLFELFSPKPRTFPSICRPRFPQSFDDAAAAVSPTLPPYADFYYCGRPRRPRKRRKNKKKKTPAPATTAARSHIRRLDYDDFPAFEYKGLFSSDDEEEEENSTLFSSRSLSVSSSDSAASLRRRRKDEAAAVKDSFAVVKRSSDPYGDFRASMLEMILEKQMFGAKDLEKLLECFLSLNSDHHHGVIIHVFTEICEALFSTCKA >itb02g13130.t1 pep chromosome:ASM357664v1:2:9153185:9156487:1 gene:itb02g13130 transcript:itb02g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MNQLKKLHAHTLRNGLDFTKFLIEKLLEIPNIPYAHQLFNDIPNPTIFLYNKVIQAYSSHGPHDHCLSLYIQMCRRGFTPNAHSFTFLFVACTKLSNPRQGQMLHADFIKHGFQFEVFALTALVDMYAKMGSVHFARKQFDEMKIRDVPTWNSLISGYVKSGDMEEALHLFSTMPSRNVISWTAIISGYAQNGQYGRALAIYRELEKEKGVEPNQVTIASVLPACANLGALEFGQRIEAYARTNGYFKNMFVCNAVLEMYAKCGKLDAAMKVFVEIGKRRNLCSWNTMIMGLAVHGKGNEALDLFHQMLGEEISPDDVTLVGAILACTHGGMVARGRELFNSMEQEFSIAPKLEHYGCMVDLLGRAGQLQEAYDLIQSMPMRADSVIWGSLLGGCSFHCNVELGEKAAEFLFELEPWNPGNYVILSNIYARAGRWDGVARLRKVMKGSQIRKAAGYSFIEEGGQVHKFIVEDKSHPKCSQIYALLDEITAKTKLYVYGIYIDSDIAIEY >itb02g13130.t2 pep chromosome:ASM357664v1:2:9153229:9156487:1 gene:itb02g13130 transcript:itb02g13130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MNQLKKLHAHTLRNGLDFTKFLIEKLLEIPNIPYAHQLFNDIPNPTIFLYNKVIQAYSSHGPHDHCLSLYIQMCRRGFTPNAHSFTFLFVACTKLSNPRQGQMLHADFIKHGFQFEVFALTALVDMYAKMGSVHFARKQFDEMKIRDVPTWNSLISGYVKSGDMEEALHLFSTMPSRNVISWTAIISGYAQNGQYGRALAIYRELEKEKGVEPNQVTIASVLPACANLGALEFGQRIEAYARTNGYFKNMFVCNAVLEMYAKCGKLDAAMKVFVEIGKRRNLCSWNTMIMGLAVHGKGNEALDLFHQMLGEEISPDDVTLVGAILACTHGGMVARGRELFNSMEQEFSIAPKLEHYGCMVDLLGRAGQLQEAYDLIQSMPMRADSVIWGSLLGGCSFHCNVELGEKAAEFLFELEPWNPGNYVILSNIYARAGRWDGVARLRKVMKGSQIRKAAGYSFIEEGGQVHKFIVEDKSHPKCSQIYALLDEITAKTKLYVYGIYIDSDIAIEY >itb04g11800.t1 pep chromosome:ASM357664v1:4:11424533:11426136:-1 gene:itb04g11800 transcript:itb04g11800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDCHVLLVIYPGQGQINPCLQFAKRLVNLGVRVTFSTTLFAIRRISTRSTIPGIDFAPFSDGYDEGYKGTADGFCHMYDSVKARGSEYVASLVKAKAEEGAPFTQIIFTTLMAWVGEVARSLQVPATLLWTQPATVLDIYYYYFSGYQDLFRSCGGNGVVEFPGLPALAPTDFPSFMFSKTTTNVLDWAIQGMKDQIDLINSEESPKILVNTFDDLEVDALRAIKKLTLIGIGPLVPSAYLDGKDPYDTSFGGDLLEKSDDYVEWLDSQPKGSVIYVAFGSYSDLPNAMMEEIGQGLVQSKMPFLWVIRETLTGVRKPEENLRCKEALEKQGKIVRWCTQVEVLQHPAIGCFLTHCGWNSTIESLVLGMPIVAFPLWSDQICNAKLIQDVWKIGMRVKANEEGIVEARELKRCVECVMGEEGAELRKNAMKWRELAKSSMKEYGSSYLNLKAYVNDILL >itb12g22100.t1 pep chromosome:ASM357664v1:12:24296168:24298700:1 gene:itb12g22100 transcript:itb12g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTGARDTQPRDCNNQKVHPQPMEEAANQNPEALEALISKIFTNVSSLKSAYIQLQSAHTPYDPDKIQSADKLVISELKTLSELKHFYREHNPKPVCASPQDSRLASEIQEQQSLLKTYEVMVKKFQSEIQNKDSDIVQLQQQIQEASQKQVKLEKNLKLRGLSARECDGIGSENGFFSVDLTPDLFKSSVESAYRAIHDFSKPVINMMKAAGWDLDEAANSIEPDVTYAKRAHKKYAFESHICQRMFSGFQEECFSVKPEDPTVTKESFFHQYLALREMDPLDAVGQNPDSNFGKFCKSKYLAVLHPRMEVSFFGNLDQRNYVTGGGHPRTAFYQVFLKMAKSIWLLHKLAYSFEPPVKIFQVKKGSVFSEDYMDSVLKNFIVENSEQKPKVGLMVMPGFYIDESIIQCQVYLTGVKVTD >itb12g25850.t2 pep chromosome:ASM357664v1:12:26975295:26982149:-1 gene:itb12g25850 transcript:itb12g25850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNAAAIVVYGLILLTSTPWCICSAAVREERLLVNMTLVQNASAFGAYCLDGSLPAYHLHRGFGAGAQNWLLQFEGGGWCNDIKSCLDRSTTKRGSTKFMTKWEVFSGILSNNPSLNPDFYNWNRVKLRYCDGASFAGDSKFDNGTILLYFRGQRIWQAIIHDLLPKGLGQARKALLSGCSAGGLSTFLHCDNFTSYLPKNTSVKCLSDAGFFLDERDVSLNYTMRSFYQNMLFLHGAGHTLNKNCTDSLLHPQLCIFPQYALSYIQTPFFILNSAYDVYQFHHILVPPSADPRGHWNHCKLNPAACNPLQLRTLQGFRRDMLAALRVFYFYSRRDGMYINSCFAHCQSETQDTWFAVDSPRIRNKVHILYPLIPLLVPQRKTKLNLSY >itb12g25850.t1 pep chromosome:ASM357664v1:12:26975295:26982149:-1 gene:itb12g25850 transcript:itb12g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNAAAIVVYGLILLTSTPWCICSAAVREERLLVNMTLVQNASAFGAYCLDGSLPAYHLHRGFGAGAQNWLLQFEGGGWCNDIKSCLDRSTTKRGSTKFMTKWEVFSGILSNNPSLNPDFYNWNRVKLRYCDGASFAGDSKFDNGTILLYFRGQRIWQAIIHDLLPKGLGQARKALLSGCSAGGLSTFLHCDNFTSYLPKNTSVKCLSDAGFFLDERDVSLNYTMRSFYQNMLFLHGAGHTLNKNCTDSLLHPQLCIFPQYALSYIQTPFFILNSAYDVYQFHHILVPPSADPRGHWNHCKLNPAACNPLQLRTLQGFRRDMLAALRVFYFYSRRDGMYINSCFAHCQSETQDTWFAVDSPRIRNKTIAEAVGDWYFSRRVSKQVDCAYPCDSTCHNIIGSNINTSRRH >itb05g21180.t1 pep chromosome:ASM357664v1:5:27079097:27079444:1 gene:itb05g21180 transcript:itb05g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGKRIQDLMNNKFQRHGGAGVPKGHVIVYVGEEEKEYYVSSKVWDAMESLRECEEQSLQCLPYVSPQQFEIYLNHAKLVVKKRVKPYVPQVGLIEDPKPKQIPKHKVFMYIY >itb07g03050.t1 pep chromosome:ASM357664v1:7:2082474:2083261:-1 gene:itb07g03050 transcript:itb07g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGAFLVSVATVSAEIWQRVACLPEHIPGEQLLDLVLCFPARELGRMAMHLWDFLCFSPPTPYYGITYAYTSSDDDDSDYGRPYAGLEYYDDDDDDEDSSSSG >itb03g02660.t1 pep chromosome:ASM357664v1:3:1511516:1514173:1 gene:itb03g02660 transcript:itb03g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTVKFPIFLLVRIIGIVVATLVITWTVRYRGGLALISDNKDLIFNVHPVLMVISLVILNGEAMMAYKTLPGTKNFRKLVHLVVQFLAFFLGVIGVWAALKFHNDKGIDNFYSLHSWLGLTCLVLFGIQWAIGFSTFWYPGGSRNSRAGLLPWHVFFGIFIYALAVATCTTGLLEKVTFLQTNHIISRYSTEALLVNSLGMLIVALGGLVILAVASPVNGHGDILREHRRIQTTKVHGIVVQSFFQHSCEHESEGEDGGVFSRKPCRSMPPSSAHRMGKGVTTRVAVKVLAERRLMVGLAHVDSVALLFDCDQNPLFLAPPTLRVIDPLSCHSFTTIIPRFEEKLSWN >itb04g14820.t1 pep chromosome:ASM357664v1:4:15533697:15541746:-1 gene:itb04g14820 transcript:itb04g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MGLKSFEQSSSSSIMTDLLRRCGGYAVIDGGLATELERHGADLDDPLWSAKCLLSSPHLIRRVHLDYLEAGANIIISASYQATLQGFEAKGLSREEGESLLKRSVQIACEARDIYNDRATKGCWDIINGDETSTKQRPILVAASVGSYGAYLADGSEYSGMYGDTVTIETLKNFHRRRVQILADSGADLIAFETIPNKLEAQAYAQLLEEESIKVPGWFSFNSKDGANVVSGDSIEECASIADSCSQVVSVGINCTPPRYIHGLVQAIQKVTSKPILVYPNSGETYDPERKLWVEASSRGVGDGDGDGEFVWYVGKWCEVGASLVGGCCRTTPNTIRAISKLLSTNSSSISRLE >itb01g34360.t1 pep chromosome:ASM357664v1:1:37108903:37110775:1 gene:itb01g34360 transcript:itb01g34360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTNTDSMLKPHVAFLPSPGMGHIIPLLEFAKRLVQHHGVRATFFLISTDASAAQNDLRHSKSLPAGLNIVEIPEIDTSGIFNDDMKIVTRISANVGASIKLLPAIIKRLPDLPKALVIDLFCTQAFDVCKELSIPIFSFWTASTCLLALSLYLPTLDREVDGEFVDLPGPIRAPGCNPIRPKDLIDQLTNRKIEEYKWYYYHVSRLPLAAGIFVNSWEDMEPVDLRALKENAFFQSIPIPRVIPIGPLIKQDEAVNGNGAEILAWLDDQPPESVLFVAFGSGGSLSTKQLTELAWGLEMSQQRFILVARKPSDATPSASFFRAGGSDDGDDPLLYLPEGFVGRTAGKGLVVPTWAPQAAVLGHPSTGAFLSHCGWNSTLESIVHGVPIIAWPLYAEQKMNAAMLSEEVGVAVRPAPAAGEEVIGRAEIERVVRLAMEGKEAIAMKLRVKELKESALVALNEGGQSYNNISSFAHILLE >itb07g20530.t1 pep chromosome:ASM357664v1:7:24920960:24924982:-1 gene:itb07g20530 transcript:itb07g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKEETTVIIVGAGPAGLATSACLNRLSIPNILLEKDDCYASMWKKYAYDRLHLHLAKNFCELPHFHFPATAPTYVPKKQFISYLDQYVENFKITPRCKRAVESAAYDEGAGKWKVTARMADSGEVEEYSGSFLVVATGEASIPFIPEVKGVERFAGEIIHSTQYKNGEKYRNKRVLVVGCGNSGMEIALDLSNYGAKTSIVVRSPFHLITREMGYLALTIMVKYHMPYWIVDSVLLLLSKLLYGDTAKYYGVKRPKEGPFTAKVKDGRYPIFDVGTHDKVKSGQIQVLPAIESINGYDVTFLNGISHPFDAIVFATGFKRSTNKWLQGDDYLLNEDGLPNPEFPMHWKGKNGLYCAGLARRGLYGISFDSQSIANDIKTLL >itb01g11810.t1 pep chromosome:ASM357664v1:1:11089968:11093238:1 gene:itb01g11810 transcript:itb01g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFPRKSFHNVFERVGVFGGMSQKRCKYEIDEEEDGTTMEMLQLGAERTKNVLILMSDTGGGHRASAEAIRDAFNLEYGDEYNVFVKDVWKEYAGWPLNNMEQQYKFMVKHVQPWKVAFHGTSPCWIHSMYLAAIAAYYAKFHPDVNRLYCPSEEVSKRALLDGLEECQIRVFGLPIRPSFCRALLSKDELRVELEMDPILPAVLLMGGGDGMGPVKKTAKALGEALFDKTLGKPIGQMIRGFEKQMERWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVAHVVDNGAGFFTRHPKETTTIVVEWFSSKCDERERMAENALKLAQPNAVFDIVKDIHELAAQRGPLADIPYMLTSSFSSLI >itb02g19320.t1 pep chromosome:ASM357664v1:2:16319061:16323467:-1 gene:itb02g19320 transcript:itb02g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALLLTICWDVHDTTLANQAHTQEATTKDFGADLDDHLAKVLDQEGVPQAQSQEEPQVQSHNDTQTPVIAHTPTRAHNEDAYSSPTQVEDNSTQGDASFAAPTSLGLQTPVRGQGTGLCHLNTEQATIPTVSTVAQHTKASVTKRIRRARGSTGRRLMMIAILPWLVELLYLSLRDVIGMARPLRLLKFHLVAGSLDLRLDYFRLRLGFDFAFAEVNDKLWLFWNSDLTLNFESESNKSVSVSCIHDTIPTAFWVSFVYAKTRERLRVSLWAELCSVSDKVPSGVPWSVVGDFNYLLNVDEKKGGLPYPHRKTTNFWECVKNYGLKTPAISPKSFTFPPNFPKSFALHSPKSVRATADFLQTADYHTADRHHLLREAPTATADRHLLRELGAPTIAVRDPGAPTATAISPLAYSRRRHLRSSKVHPVAISIQVCAKEDSVSMTNEALQKSCLSRVEFKEAWVEKGCYVCIVIGYCEGGDMAELMKKANGLYFPEEVCV >itb11g04460.t1 pep chromosome:ASM357664v1:11:2338471:2339812:1 gene:itb11g04460 transcript:itb11g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPFSSTASALVLLLLILSSFKISSPKKNVEHEEAALHPGHHSHSSTNATTASPRLQQAYVAFQAWKKVIYSDPHNITSNWEGSSVCNYTGVYCAPFPNDTSIQVVAGIDLNHADIAGFLPDELGLLTDLALIHLNSNRFCGIIPETLSNLALLFELDLSNNRFVGPFPSVVLSLPSLKYLDLRYNEFEGQLPSELFSRNLDAIFVNNNRFSSMIPSNLGSSSASVVVFANNYFGGCLPPSIANFANTLEELLLINTSLSGCLPPEVGDLYKLRVLDVSHNDLIGPIPYSIAGLSHLEILNLGHNMFRGNVPEGVCDLPNLSNFTLSYNFFCEEDGMCSNLTSKGIMFDDRRNCLPEKPLQRSKKECDAAYEHPVDCLADGHCGA >itb03g17430.t1 pep chromosome:ASM357664v1:3:16047483:16054971:1 gene:itb03g17430 transcript:itb03g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVNIIVGSQVWFEDPDVAWLDGEVHKINGDKIEIQTSDGKKVVRKLSDVYPKDTEAPAEGVDDMTKLSYLHEPGVLQNLKFRYELNEIYTYTGNILIAINPFQKLPDLYSAQTMQHYKGAPLGELSPHVFAVADAAYRAMINEMKSNSILVSGESGAGKTETTKMLMHYLAFLGGRAATTEDRTVEQQVLKSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSDAERNYHCFYLLCAAPQEEIDKYKLGHPKTFHYLNQSNCYEIAGVSDAQDYLATRKAMDIVGISKEEQEAIFRVVAAILHLGNIEFAKGKEADSSVLKDANAKFHLKTATELLMCDPVALEDALRKRVMITPEEVIKRSLDPLSAAVSRDGLAKAIYSRLFDWLVDKINVSIGQDSQSKCIIGVLDIYGFESFKHNSFEQFCINFTNEKLQQHFNQHVFKSQQEDYTKEAIDWSYIEFVDNQDVLDLIEKRPGGIIALLDEACMFPKSTPDTFSNKLYLTFKKHKRFIKPKLSRTDFTISHYAGEVQYQSDQFLDKNKDYVVAEHQDLLNASKCSFVAGLFPDILEELKSPMKSPKSPKTSKFSSIGSRVKLQLQQLMDTLNATEPHYIRCIKPNNVLKPAIFENVNILQQLRCAGVLEAIKINCSGYPTRRPFSEFITRFRLLATEALVGNYDEKAACKKILDKWGLKGYQMGKTKVFLRAGQMAELDARRAEVLSSASKVIQGRMRTHTARKRFIALREAAVCMQSLCRDLLSNFLLKLKRRQLQQKFRSKCVETYGEKNITSSAPQQLRCR >itb10g21890.t1 pep chromosome:ASM357664v1:10:26927969:26930210:-1 gene:itb10g21890 transcript:itb10g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTSFENISRWLKELRDHADSNIVILLIGNKTDLKHLRAVATEDAESYAEKEGLSFIETSALEATNVETAFQTALSEIYRIISKKSISSESTSAHIKEGQTLVVDAQVTDTKRTCCSAP >itb07g03780.t1 pep chromosome:ASM357664v1:7:2544959:2547728:-1 gene:itb07g03780 transcript:itb07g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGSKSTYLAQNCRNQKMANNVDGQEEKQQGIPLLTPYKMGNFHLSHRIVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLIAEATGVSDTAQGYRDAPGIWTKEQVEAWKPIVDAVHAKGGFDGVEIHGAHGYLIDQFLKDEVNDRTDQYGGSLENRCRFALEIVDAIANEIGADRVGIRLSPFANYMESGDSNPKALGLYMAEALNKYGILYCHMVEPRMIKVGVKCECPDSLLPMRKAFKGTFLVAGGYEREDGIKAVAENRGDLVVYGRLFLANPDLPKRFELNAPLNMYNRNTFFTSDPVVGYTDYPFLETTA >itb05g01240.t1 pep chromosome:ASM357664v1:5:1078455:1081416:1 gene:itb05g01240 transcript:itb05g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLALSLVLTTLATAGVLSPPPPEKNQEDVIIKDGHRVVVVEYEKEQGNTKVLISPHESSEDIAAGKGGEKMEEEDGDGSYHHLRRTTAGELVCDAFGRCRRKIAGAFDRTKDTAPEKAEAARGAVSEGLGKVRDTVVDKGRKAAEMAYEAEEEARDAVSEGLGKGNKAAKKVYEMEEGARDAITERLENLRASAAETAKETAKDAAEKVKGIRTDASRKMEHMKEQLSDRAKKAEEKVGEAKESAKQNILRWAGRAFGMANLIGLAFAYGMSVWVTFISSYVLAKALPRQQFAMAQSKIYPVYFKAMAYSIGTALLGHLFSQTQRLHSKTTQQFLGFNLLFSLVMVLVNLLYLEPRATKVMFERMKVEKEEGRGKVSTETEHGGSDGVNPPAGAVRTATATEIAEKKLQRERAEEAAGKSSEMVRLSRKLKKLNSYSSFLNVVTLMCLTLYLADLGQRLHVAC >itb12g17110.t1 pep chromosome:ASM357664v1:12:18963017:18970740:-1 gene:itb12g17110 transcript:itb12g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPDSSYMMMENGSIELPFSPEEEGRIIQELTNKAESSLREGNLFYVISSRWFMAWQRYIGEPLSGYPFDSNGFQPSLVPNTVDRPGPIDNSDIIERGSDSEDDDPQLLRTLQEGRDYVLVPKDVWERLYEWYKGGPACPRKMISLGDNKQLSIEVFPLCLNLIDSRDNSQNVLRFSKKASLYELHERVRGLKGVVLEKVCIWDYFNKQKQNLLAASDQTLEESNLQMDQHILLEVQGEQHQPASVGIDSTGNSMALVPVEPLKSSVSIAGGPTLSIGSTVSNGSSTGDASNAYQLSSLNSTFGDADGGGKTLRPLTKADRGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLQDYTDEINRHNPLGMHGELALAFGDLLRKLWSSGRTPVAPRVFKGKLGRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIESKDSEGRPDEEVANEFWRYHKARNDSVIVDVSQGQYKSTLVCPDCNKISITFDPFMYLSLPLPSTATRSMTVTVFYGDGTSLPMPFTVTVLKHGYCKDLVQALATECCLRSDEYLLLAEAYDHRIFRYFDNPSESLSSIKDDEHIVAYRLPKRAAKLTKLEICHRFLERCMDNSKVGERKLFLTPLVTFLEGPVAPGDINFAVDRMLSPLRRKYFSSKTAPRGRENGSASEMIENPMNICGTKLGLAGGSAHNTESKEASSCELSFHLCITDDRGMSCQPIMKDTQIKPRPGGIVKVMLDWTDKEHESFDSSYLKDLPEVHKSGLTVKKTKSEAITLFSCLDAFLKEEPLGPDDMWYCPHCKEHRQATKKLDLWRLPDILVFHLKRFSYSRWMKNKIDTFVNFPIHNLDLSKYVKSKDASASSHIYELYAISNHYGGLGGGHYTAYCKLVDEKKWYHFDDSHVSPVGESEVRTSAAYVLFYQRVKAQGMSEGPSQSHI >itb07g13560.t1 pep chromosome:ASM357664v1:7:15837564:15838163:1 gene:itb07g13560 transcript:itb07g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSRSNWKKKNGMNLKTMVQMFQKSFLLSKRSSISANHFEEFEDSKDEANDVKEGHFAVIAVDDEKLKRFIVPLSCLTHPTFLRLLEKAAEEYGFEHEGALMLPCRPCELEKIIAMQWKDSY >itb03g00480.t1 pep chromosome:ASM357664v1:3:235321:237499:-1 gene:itb03g00480 transcript:itb03g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALYMGRSWLINSRGLAQKVRNASSPAENRIKDCGATRECPNCHHLIDNSDVSPEWPGFPAGVKFEPSDVELLEHLAAKCGEGNSEPHMFVDEFIPTLEGDEGICYTHPENLPGIKKDGSSVHFFYRTKKAYATGTRKRRKISNEKGLVMEHVRWHKTGKTKAVMENGVQKGCKKVMVLYRTTKKGEKPGKTNWVMHQYHLGIDEDEKEGGYVVSKIYYQQQKQTENAVLLSGESDMYANQAIPMTPMIAIPNPPRAGETPSCDDYNAALSPAEEVEGGKKEEYVSLSSDFQVKCEEYAGGCLAGESQAVDATDIDDFLLCDEIVGSFDLDNLGSHHATSANINPMPQEANNTTTSTNIADLVNLETEFSLQDLPFGSQDSISSWLDRF >itb01g35090.t1 pep chromosome:ASM357664v1:1:37493891:37495780:1 gene:itb01g35090 transcript:itb01g35090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTRCSANYVPLTPISFLERSALVYRDNVSIIHGSLNFTWKQTRDRCVSLASALSLIGIFRHDVVAALAPNIPAMYELHFGVPMAGAVLCTLNTRYDSAMIAVLLKRSEPKLLFVDDQFLDIAQGALEILSRSSGAIKLPRLVVIHDEVKQSSEAKKQGSLELEFEYESFLASGTSDFEIIWPNDECDPIAVTYTSGTTSTHPKGVVYSHRGAYLNALASILLNHMTLMPVYLWVVPMFHCNGWCLPWGMAAQGGTNICLRSVTAKGIFDNIDFHNVSHMGGAPAVLNMIINAPENVKRLVPRKVTVMTGGAPPPFHVLFKMEELGFEVVHSYGSTETYGPGTICMWKPEWNSLAPEARAKLKSRQGVHHIGMGMVDVKDPETMKSVPQDGKTLGEVMFRGNTVMNGYLKDLKATEAAFKGGWFRTGDLGVKHPDGYIEVKDRSKDIIVSGGKNISTVEVESVIFSHPAVLEVAVVGKPDDHRGETPCAFVKLKDGYKVSANEIIKYCRDRLPHYMAPTTVVFTDLPKTSTGKTQKFILRQRTQAIISSRL >itb10g18620.t1 pep chromosome:ASM357664v1:10:24523659:24527110:1 gene:itb10g18620 transcript:itb10g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATVSPPPPPAKPEVDLDRLGQTAMCFSETIYRANGVWEGPDPLTPIIPLFLVQIMMAIFFSRMLNLAMKPFNQPPIVAEILSGLLLGPSGIGGIKAFRIVFFPNYNFEIIETMAHLALVLYAFMTGLQTDLKPLLRVGSKAKTVALSGIIVPFLIGTSLYYALPHDDGRVSGFIFSGGALTVTGFSTLARILDRQRLLQSEAGKIALSSAAIYDFSSWVFLALGLAASGSAMHWAVLCSALYILFSVYYLRRFLAWVIRKTPEGQGYSEFYICSMVIAMMFCGVITDAMGTHPMMGAFLFGLIMPSQVVEAAILDKLEDFVMGVFMPVFFVVCGLRTNIGIIATDTNIFLVILAIILAFSAKIIGALSATCFSGLSAREGIAVGILTNTKSTMAMILLEVGQVQQVLTTQTYSIMVVAVLLMTITVTPLTNRYRPSQNISPYKRRTIEKGKPTEELRVLGCIYGTNDLSPIIQLLESSKSTMESPICVFGLQLVELVGRAPPMLVVHSSARRGPSRNLSHEGVQTSQIISAFDNYELRSHGVTTQVLTARSSFSTMAEDICSVARDKRAAFIILPFHKQPTVEGDMEEINPAIQSVNEAVLVNAPCSVGIIIDRGRSYSNESARNIVLLYFGGPDDREALSLAWRMVDESGAGGVNLTVIRFIPGEAVSDIDPMGFANYNRSFVSISIDPDKDREMDQELLNEFKTSTLNNNSVTYVEVVLNNEEETANSIKAMETRNYDLYMVGRGRGVVSPLTAGLADWCECPELGAIGDLLVTSEFESAFSVLVVQQYVKSSRAGGEESEESSERMSEMMDNKVEEIPLRASVSESESVFQSFPSFNGNKERV >itb09g03470.t1 pep chromosome:ASM357664v1:9:1943214:1943767:1 gene:itb09g03470 transcript:itb09g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKMAHHKRKLSVCLPVLMMMIVMASVEARNLLEATQADDDLPDNEPDLSEPQHPVELPHFPSLPQPTLPSLPQPQLPTLPLPELPSLPIPQLPSLPSLPSIPQFPSIPTFPNPSLAASKASRKP >itb14g16990.t1 pep chromosome:ASM357664v1:14:20339059:20341877:-1 gene:itb14g16990 transcript:itb14g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIANPTIGSMWNKRGPADDSAGDGDDRDKAIAKTAGFVVFSGIAMSIIKALNPFNNNTSLKNGDNESTQPQVLKQWQPQELPPLPPPPQPPSEPIVTKYSRPNDVSFFPMVEAMWPQLNP >itb09g26870.t1 pep chromosome:ASM357664v1:9:27466304:27466896:-1 gene:itb09g26870 transcript:itb09g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLRRSSSRTNREFEVPKGHFAVYVGENEKRRFVIPVSYLKNPSFQDLLSQAEEEFGFDHPTGGLTIPCMEDTFLEVISSLRS >itb07g21160.t1 pep chromosome:ASM357664v1:7:25582055:25585430:-1 gene:itb07g21160 transcript:itb07g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGGIGVISRSRFQKDLYRYPSMSCHCLVESSSLHIAGEEEEEEKISNSSTRISLSTEFGFLLPSMSPKVPPASLHALDTCTFQLHNWRPFQIHTRTLNSDHTNGFLYKRPCRADRATSFPFDAIDFSKLSLFDDHRPLSVHKRGGLRWRARKRRRIRGSRSGSGRSSDRSGTTRRCCSVGASAANGTCSDFLVTDSSGELFVNGDANWASDVSEAIRNLRREREHGSADKENLSFALQNGNFDSQGFESGYGSEPGYRGDAELGYGNEFDEEEDDQRILFWCHEFGVSKREKVGENTLQKGHHRWRRRKHDLKMVDLMRYGLLSLFVVCGIRYGLLSSTRGV >itb07g21160.t2 pep chromosome:ASM357664v1:7:25583336:25585430:-1 gene:itb07g21160 transcript:itb07g21160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGGIGVISRSRFQKDLYRYPSMSCHCLVESSSLHIAGEEEEEEKISNSSTRISLSTEFGFLLPSMSPKVPPASLHALDTCTFQLHNWRPFQIHTRTLNSDHTNGFLYKRPCRADRATSFPFDAIDFSKLSLFDDHRPLSVHKRGGLRWRARKRRRIRGSRSGSGRSSDRSGTTRRCCSVGASAANGTCSDFLVTDSSGELFVNGDANWASDVSEAIRNLRREREHGSADKENLSFALQNGNFDSQGFESGYGSEPGYRGDAELGYGNEFDEEEDDQRILFWCHEFGVSKREKVGENTLQKGHHRWRRRKHDLKMVDLMR >itb03g05370.t1 pep chromosome:ASM357664v1:3:3698358:3702743:-1 gene:itb03g05370 transcript:itb03g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPAAITVIILLCCSQAVFLQAEKNYTIQFDSFDPSEERVINDLERQNVTFTHNAMQLTPDTASDDTFNFKKTNNSGRVFLKKGFRLWEGSGNSSVASFNSTFRINIYPQEGSTAEGLTFLIASNPELPENSFGEYLGLTNSSIDGNSTNKFVAVELDTNKQSFDPDDNHVGIDINGVRSVKVESLRAHGIVLAPNSSNASFYNVWVQYDGVAKVMEVYIGKQETQLGPDSPKPDSPVLKLGLDLRDHLNQESYFGFSASTGVKYQLNCVLRWSFTIKYFPEKKDWWKIVVGVGVPVAVLGLIGAVWIVCRMRKKRKLRTQSAILGALKSLPGTPREFEFKDLKKATDNFDEKNKLGQGGYGMVYKGCLAGENLEIAVKWFSRESIKGQDDFLAELTIINRLRHKHLVKLLGWCHKYGKLLLVYEYMPHGSLDQHIFSAPEKDPLSWELRYKIVSGVASALQYLHNEFEQRVVHRDLKASNVMLDTNFNARLGDFGLARALDNERTSYAEAEGVLGTMGYIAPECFHTGKATQQSDVYAFGAVVLEVVCGQRPGTKIGGFQSLVDWVWYLHRDGRILEAVDKRLKEDFVVDEAKRLLLLGLACSHPIANERPRTSEVVQIILGSISVPYVPPFKPAFVWPSMAPIDIDSTEAETTSITTSQFNPGWTPHCVSTGEISTGHSDSLV >itb03g05810.t1 pep chromosome:ASM357664v1:3:4123054:4124825:-1 gene:itb03g05810 transcript:itb03g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTPHAVCIPSPAQGHICAMLKLSKLLHHKGFHITYVLTQLNHTHIMQARDFLPLNQTPTFRFETIADGIPARENRHSAIDFTELCFSTAKNCYAPFKELVNRLNEAPDVPPVSCIVSDACMSFTVRAAEELGIPIALFWPVSGVVTMLHLHSPHLRDKVSRNKGVKKSGVELEEVAHQNLEPVRCTGLVETDYIIDWIPGIKSIALGDIPSTAWSPDPKDPLIDYIISEISRSYKASAIIFHTFDELEPEVCNALCSMFNRAYTIGPIPLLLKGFPESEINKIECHMWREDPNCIQWLDSKPPKSVVYVNFGSMAITSPEKMIELAMGLCKSMQNFLWIIRSELLSSDWFATLPPEFMTALKTRGYVAGWCDQERVLNHPSIGGFLTHCGWNSIIESMSAGVAMICWSCFADQPLNRLCCCSQWGLGVEIDTDVNRENVGRVVRELMEGDKGREVKKKATFWKERAEVATSVGGSSFSNLDKLIREVLLCDGQYYPKPV >itb09g09110.t1 pep chromosome:ASM357664v1:9:5450635:5454660:-1 gene:itb09g09110 transcript:itb09g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLATAYFLGLKRTYRLALRIQRRVIRPKYPKIRQFAHRRTRAVFDVVLKFHRKIQERDLEAGRNLGNWILRWLDKAKPTANIRGEPPSIGSPNKFNSKPLANSTPPKKLDSFQKFDAKQDQDSSRHLFTSSRNMWPKAFPTVAMMMRPTKPAGTSIHYRQFSISRLGDFKANLGSNTYSGMVRPDIMQWIQRGHLTNQPTFAALSCKKLNFLEV >itb09g07290.t1 pep chromosome:ASM357664v1:9:4210249:4212504:1 gene:itb09g07290 transcript:itb09g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKSSAKKRVSESEQPKPEVRKRAILEEDFDADLSEDINVLMSALKQIKEKAHKDDQKKNEEAISSGFSEVKSNIEDVKSKLEKERQNFSKALSKSSKECENLLKNESVKFQAIYEKFSKEKATHLQTLKDAVNKYEEEKQKLFTRYEQLRKKEKIMLSELEKDTTKRLAELEESLKKKKKQDDKAFSSLRKKLGSFLDNPSDEDFLLDD >itb11g01410.t1 pep chromosome:ASM357664v1:11:654376:655260:1 gene:itb11g01410 transcript:itb11g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQENLSNSDLSLLESISQNLLNDSDLSDILLSVSRSHEFADPTSSGKFVNSTLYGECVDSSFECVDSSLSDELTDSTLSSKFTNSTLYDEFVDPNSSCEFNDSTLSGEFVDPTTDPTLSGELADPTSRFHSSWGESCGETIEGNSQSVAEVSGQAAADDWRRYRGVRRRPWGKFAAEIRDPKRRGFRIWLGTYEKPEDAALAYDRAAYKMRGSRAVLNFPHLIGSSDAPEPVRVKPRKRLQTSPPAEPLPSSLVDGESPKRRKIELINAVAKTNLINAISGIQFRPTAQLVLR >itb14g07750.t1 pep chromosome:ASM357664v1:14:7104175:7104984:-1 gene:itb14g07750 transcript:itb14g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLPSIFFILFVAATIATAHHSPSPSDKTRLVKACSEALGPGLGGRSLVTFCARDFLAGKASLLAHSGKREAAAIVVNEARKKAKVVEDFESKIDSNKSLSKGELKDLKSCWESMSVLIKTVGEVYVNVAFKKLSVDVVGKNMDNNIAKAMGQCKFSAAERQGGLWAEFHAKADASFNAQIVALAFMNEYRSIGFVA >itb05g12330.t1 pep chromosome:ASM357664v1:5:18873788:18879007:1 gene:itb05g12330 transcript:itb05g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINLQPSTWEYDVFLSFRGEDTRKTFTGHLYSALCNAGIHTFRDEEELRKGESLAPELTRAIQNSRVSMILFSKNYASSRWCLDELLQILECREKGKQLVVYPIFYDVEPSEMRSQSGNYNMALAKHEERFGGSDKVKKWRDALTKVANMSGWDLQGLANGYESKFIDEIVQDVLPVVSRMPMFVAKHVVGLESRVDHVLQINYGAHDNDVRMIGIYSMGGIGKSTLAKALYNKLFGYFERSCFLEISSEILETKKLQEELLSKLLKRKIEVGSEDEGKMLIKNWLQAKKCLVVLDNLELRNQFEALCGERDWFGKGSTLILTTRDAHVLKELNEGECYEAKELVHEESLQLFTLHAFRKPTLPKEDYAEVLDGIVAYCEGLPLALKVLGSYLSDKFMEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHIKSLFLDLVCFSGGISEETINAMGYFSTIEIRNLVDKCLINYSSWSHWISMHSLIREMGREIIRLESPNKSGERSRLWCPNDIHDVLIEQKGTEKPPLRLEPTTSCIKGRV >itb05g12330.t4 pep chromosome:ASM357664v1:5:18877241:18879007:1 gene:itb05g12330 transcript:itb05g12330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELNLGGCTRLKKLPRKFWHWQLRSVEDPSSSVRYSSKIKELGENFGILTSLRKLDLSFTNIHILPSNTPHLLKPPKSIPNFMRSFFRNYHLKLIQQFPPNLEVINLQNCKNLEVVSATLPTCLKWIDLYACTNLKMLPELPHTIAHIWLIDCKNLKMLSQLPQTLLSLYAINCEWLETVHLPNMLKFVNLTNCKKLKEIQGWENAQFLREIKLIGVPCINFSENINQVCIPLFSLSSICVIYEQHLLSLQVLIGI >itb05g12330.t3 pep chromosome:ASM357664v1:5:18873903:18876194:1 gene:itb05g12330 transcript:itb05g12330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINLQPSTWEYDVFLSFRGEDTRKTFTGHLYSALCNAGIHTFRDEEELRKGESLAPELTRAIQNSRVSMILFSKNYASSRWCLDELLQILECREKGKQLVVYPIFYDVEPSEMRSQSGNYNMALAKHEERFGGSDKVKKWRDALTKVANMSGWDLQGLANGYESKFIDEIVQDVLPVVSRMPMFVAKHVVGLESRVDHVLQINYGAHDNDVRMIGIYSMGGIGKSTLAKALYNKLFGYFERSCFLEISSEILETKKLQEELLSKLLKRKIEVGSEDEGKMLIKNWLQAKKCLVVLDNLELRNQFEALCGERDWFGKGSTLILTTRDAHVLKELNEGECYEAKELVHEESLQLFTLHAFRKPTLPKEDYAEVLDGIVAYCEGLPLALKVLGSYLSDKFMEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHIKSLFLDLVCFSGGISEETINAMGYFSTIEIRNLVDKCLINYSSWSHWISMHSLIREMGREIIRLESPNKSGERSRLWCPNDIHDVLIEQKVTICFLLSISYCA >itb05g12330.t2 pep chromosome:ASM357664v1:5:18873788:18879007:1 gene:itb05g12330 transcript:itb05g12330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINLQPSTWEYDVFLSFRGEDTRKTFTGHLYSALCNAGIHTFRDEEELRKGESLAPELTRAIQNSRVSMILFSKNYASSRWCLDELLQILECREKGKQLVVYPIFYDVEPSEMRSQSGNYNMALAKHEERFGGSDKVKKWRDALTKVANMSGWDLQGLANGYESKFIDEIVQDVLPVVSRMPMFVAKHVVGLESRVDHVLQINYGAHDNDVRMIGIYSMGGIGKSTLAKALYNKLFGYFERSCFLEISSEILETKKLQEELLSKLLKRKIEVGSEDEGKMLIKNWLQAKKCLVVLDNLELRNQFEALCGERDWFGKGSTLILTTRDAHVLKELNEGECYEAKELVHEESLQLFTLHAFRKPTLPKEDYAEVLDGIVAYCEGLPLALKVLGSYLSDKFMEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHIKSLFLDLVCFSGGISEETINAMGYFSTIEIRNLVDKCLINYSSWSHWISMHSLIREMGREIIRLESPNKSGERSRLWCPNDIHDVLIEQKGTEKPPLRLEPTTSCIKGRV >itb04g13360.t2 pep chromosome:ASM357664v1:4:13408971:13412774:-1 gene:itb04g13360 transcript:itb04g13360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQAKQNVKTKCLERSSADHRIVEEFVMEQPILLYLFAFVVGKLGFREVGPQTIVYFETNPIVLDVVVEEFKGSPDNSKVATGSLDTCFIVYEVRKPDRTTIKGAHLGGVYGLSFLDDYNILVAESKPQSICPKLFSCGNLRNLSFPFTNNNLSRPECGLYSLACGATPYPKIALGQHQYEVLITVEQLMLLFDPLLDKYLRKRSCNVFHRGISIPSSPSISFEFGLTTMFYKCNRTSNVGFHKIDDYFRGYKSYNSCEGFSLYYSEEDNRTLPGGGLPAECSAVRLPFNRTSNASDLFGKLNSSILIGWKLSESCVECHYKGGRCLTDGDNAFHCEEGMGPN >itb04g13360.t1 pep chromosome:ASM357664v1:4:13408971:13409699:-1 gene:itb04g13360 transcript:itb04g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELSMPTHLRFVCFLLFLQLVAESKPQSICPKLFSCGNLRNLSFPFTNNNLSRPECGLYSLACGATPYPKIALGQHQYEVLITVEQLMLLFDPLLDKYLRKRSCNVFHRGISIPSSPSISFEFGLTTMFYKCNRTSNVGFHKIDDYFRGYKSYNSCEGFSLYYSEEDNRTLPGGGLPAECSAVRLPFNRTSNASDLFGKLNSSILIGWKLSESCVECHYKGGRCLTDGDNAFHCEEGMGPN >itb03g16660.t1 pep chromosome:ASM357664v1:3:15535873:15540579:1 gene:itb03g16660 transcript:itb03g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAGCGVARLAVRRALSQGGSYGVRAHVLALQHRDLHSTGDRRKAESAPVPRSVPLSKLSDSFLDGTSSVYLEELQRAWEQDPNSVDESWDNFFRNFVGQASASAGVSGQTIQESMRLLLLARAYQVNGHMKAKLDPLNLEERQIPDDLDLASYGFTEADLDREFFLGVWMMSGFLSENRPVQTLRAILTRLEQAYCGNIGYEYMHIPDREKCNWLRERIETQTKPEYSRERREVILDRLIWSTQFENFLATKWTAAKRFGLEGCETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDESGYVGTGDVKYHLGTSYDRPTRAGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYTNDIDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTSGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALNAPIFHVNGDDVEAVTRVCELAAEWRQVFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYTVIRSHPSAQEIYQKKLLDSGVVTKEDIDRIHNKINSILNEEFIASKDHATKKRDWLSAFWSGFKSPEQLSRVRNTGVKPEILKDVGNAITTLPENFKPHRAVKRIFADRQKMIETGEGVDWALGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEKYCPLDHVLMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFANGAEVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCRSNLSDFDDVEGHPGFDKQGTRFKRLIKDKNDHSDLEEGIRRLILCSGKVYYDLDEEREKGEVKDVAICRVEQLSPFPYDLIQRELKRYPNAEVVWCQEEPMNMGAYHFIAPRLCTSMKAQGRGGMDDIKYVGRAPSAATATGFHQVHVKEQTGLVQKALQIDPINYPF >itb14g20480.t5 pep chromosome:ASM357664v1:14:22840062:22845784:-1 gene:itb14g20480 transcript:itb14g20480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHELQALKPRCVRALKRIFSLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVNDLGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLYSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVYQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREITEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPMAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVNAAEHPHLSVPETEVGRNQKRYRQLINRSLMVASGIVGMAAYRSYIARKNTSG >itb14g20480.t3 pep chromosome:ASM357664v1:14:22839876:22845497:-1 gene:itb14g20480 transcript:itb14g20480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHELQALKPRCVRALKRIFSLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVNDLGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLYSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVYQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREITEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPMAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVNAAEHPHLSVPETEVGRNQKRYRQLINRSLMVASVAAAIGIVGMAAYRSYIARKNTSG >itb14g20480.t2 pep chromosome:ASM357664v1:14:22839876:22845834:-1 gene:itb14g20480 transcript:itb14g20480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSTSGGRTNVRVVVVGDRATGKSSLIAAAASESFPEDLPPVLPPTRLPADLYPENVPVTIIDTSSSLESRGKLAEELKRADSVVLTYACDQPATLNRLSTFWLHEFRRLEIKAPVIVVGCKLDKRDEEHHMNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHELQALKPRCVRALKRIFSLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVNDLGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLYSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVYQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREITEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPMAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVNAAEHPHLSVPETEVGRNQKRYRQLINRSLMVASGIVGMAAYRSYIARKNTSG >itb14g20480.t4 pep chromosome:ASM357664v1:14:22840062:22845784:-1 gene:itb14g20480 transcript:itb14g20480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHELQALKPRCVRALKRIFSLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVNDLGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLYSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVYQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREITEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPMAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVNAAEHPHLSVPETEVGRNQKRYRQLINRSLMVASVAAAIGIVGMAAYRSYIARKNTSG >itb14g20480.t1 pep chromosome:ASM357664v1:14:22839876:22845834:-1 gene:itb14g20480 transcript:itb14g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSTSGGRTNVRVVVVGDRATGKSSLIAAAASESFPEDLPPVLPPTRLPADLYPENVPVTIIDTSSSLESRGKLAEELKRADSVVLTYACDQPATLNRLSTFWLHEFRRLEIKAPVIVVGCKLDKRDEEHHMNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHELQALKPRCVRALKRIFSLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVNDLGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLYSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVYQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREITEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPMAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVNAAEHPHLSVPETEVGRNQKRYRQLINRSLMVASVAAAIGIVGMAAYRSYIARKNTSG >itb12g22370.t1 pep chromosome:ASM357664v1:12:24463087:24468771:-1 gene:itb12g22370 transcript:itb12g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYRLSELSQSEVDKLKTRPRIDFSSIFNMVQPIVDDVRSRGDVAVKDYTIRYDKVELDKMVENVNELPHPELEADVREAFDIAYNNIYAFHAAQKPVERTVENMAGVRCKRVARSIASVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGNICKEVLYCAKKSGVTHILKAGGAQAISAMAWGTESCPKVEKIYGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKNATPAHVAADLLSQAEHGPDSQVVLVIVGDNVNLTAIQEEINQQCRSLPRGEFASKALDHSFTVFARDMIEAIAFSNTYAPEHLIINVKDAEKWESFIENAGSVFLGEWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLKNLGPCVATMADVEGLEAHKRAVTLRLQDIEARQASRAR >itb12g22370.t2 pep chromosome:ASM357664v1:12:24463087:24468771:-1 gene:itb12g22370 transcript:itb12g22370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVLSFSGSLSLTRSLGGNSARRKYPHLRAGSFCKIIRSAMKSYRLSELSQSEVDKLKTRPRIDFSSIFNMVQPIVDDVRSRGDVAVKDYTIRYDKVELDKMVENVNELPHPELEADVREAFDIAYNNIYAFHAAQKPVERTVENMAGVRCKRVARSIASVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGNICKEVLYCAKKSGVTHILKAGGAQAISAMAWGTESCPKVEKIYGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKNATPAHVAADLLSQAEHGPDSQVVLVIVGDNVNLTAIQEEINQQCRSLPRGEFASKALDHSFTVFARDMIEAIAFSNTYAPEHLIINVKDAEKWESFIENAGSVFLGEWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLKNLGPCVATMADVEGLEAHKRAVTLRLQDIEARQASRAR >itb05g21320.t1 pep chromosome:ASM357664v1:5:27136577:27137402:-1 gene:itb05g21320 transcript:itb05g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLILAFAILAMASSIAHASDPSQLQDFCVAINDSKAAVFVNGKICKNPMEVNADDFLFQGLNKPGNLSNPLGSAVTAVNVNNLEGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPAPGMKNKLFTKTLYPGDVFVFPQGLIHFQFNIGHSNAIAFAGLSSQNPGVITIANAVFGSDPPINPDVLTKAFQVDNKVIEYLQSQFWYNNNN >itb00g01320.t1 pep chromosome:ASM357664v1:16:7636307:7638213:-1 gene:itb00g01320 transcript:itb00g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRMRGSSVYSKHQHSLSFLLNESLCCVQLLKLRSFCSSVKFDDHQHYNNTPNSPNPNTPQSNFSPPEPIPNRPLRDESRRPPFIPRGQRQARRPSASFNRFDGQDRNQSPQASSGEDFLKRFQLGFDHEKSDPNHTNPLKGESTESSAEESPPPLQDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGIMREKGTLPEVVIYTAVVEGFCKAYNHDDAVRIFRKMQSNGIIPNAFTYSILIQGLCQGKRLEDAFMFCLEMMEAGHSPNLATFIGLVDGYCKEKTLEDAQNMIQTLRQKGYYLDEKAVREYLNKKGPFLPLVWEATLGKKASPRQSLF >itb10g04510.t1 pep chromosome:ASM357664v1:10:4260795:4262296:-1 gene:itb10g04510 transcript:itb10g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVITTFDDLPEGVLGEIFSRVPVRDLLRAKSVCKCWFDVISSRWFISMQLKQSFSDPTRNYLLLLRSYDQPSTVFCTVQSPNIMVEMDDFKKLGATSFVVGSVNGLVCIACMGLGRWCFLWNPSLREHRCLPKGSIFARDTKRVQASLGFGHEPSSDDYKVVRILNFRAQFADTGMPLTRVEVYSYSLDTWKEIEIDFPLKMTKSSCDVILNGFIYWLAEKGNVNEKACVAAFDMRREVFQQIVVPDALIVKSHHYSVMNFRGCLGLLVYGSHFELQKSLEVWMLEDKRSGVGGWTKNLVVTMDFQLSFHWGLANGCIVVQNTPNAPFLYDPERKERKIIGVNGMIGVLYYVESLVSIKKRRTRKRTIKKLT >itb15g01650.t1 pep chromosome:ASM357664v1:15:985240:987299:1 gene:itb15g01650 transcript:itb15g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWKPLKPAIYPSKSFDQFGTWSGSATILPGNKPAILYTGIVDEKQTQVQNLAYPKDYNDPYLQEWVKPDFNPIAIGDTPWVNTSAFRDPTTAWLGRDGHWRMLVGSKKRRRGLVYLYRSRDFVDWVKAKHPLHTAPQTGMWECPDFFPVQAQGKTGLDTSVIGENVKHVLKVSLDETRYEYYTVGTYYPDKDRFIPDPNSVDGWAGLRYDYGNFYASKTFFDPSKNRRVLWGWSNESDVSPDDSEIKGWSGIQAIPRKIWLHSNGKQVMQWPIEELEAIRENKVELNNHKLNIGEKIEVKGITAAQADVEVTFSFKSLDKAESFDPSWADYDAQKLCQVRGSTIQGGLGPFGLATLASTNLEEFTPVSFRVFKDHDKYKVLMCSDATLSTLRNEPKMYKPSFAGFVDVDLTVEKKLSLRSLIDHSVVESFGEGGKTCITSRVYPTLAIYDKAHLFAFNNGTEAITIETLNAWSMDNPKMN >itb12g17790.t1 pep chromosome:ASM357664v1:12:19993792:19995465:1 gene:itb12g17790 transcript:itb12g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKEGLKKGPWSTKEDLLLTNFIQQHGEGQWRSLPKKAGLLRCGKSCRLRWMNYLRPGIKRGNFSPEEEDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLLKKLKSSGIEPRPPRKIVTSKKKATIPKIVTSKKPANNSRNKKLQRKEISDHNQRYKVYAPKAIRLSSRNNSVEDIAGSVSSSSGEVENKGIIDGSSSFIPWNLYELRDDFCAEVLTAAGDDLSPQCALPVGSDDCLLDKVYDEYLQLLSENCFLEDDPFGANL >itb14g21240.t1 pep chromosome:ASM357664v1:14:23346980:23347494:-1 gene:itb14g21240 transcript:itb14g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFPVVNEACHIISEGIAEKASDLDVASVMGMGYPAYRGGVIFWSDIIGPKHICARLDDWSRKYGNFYRPSAYLMEQASKQGSLGAGNQQMSKSRSQL >itb07g04690.t1 pep chromosome:ASM357664v1:7:3165724:3167458:-1 gene:itb07g04690 transcript:itb07g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARIVAVICMLSLFTTPAFSFGLPGFLFGGFPDHNAETGETIAGMQVGFYGHTCPTAEQIVKNHMVQAFHDDPGVAAAIVRLQSHDCLVTGCDGSVLLDATPEGGRVEKQAPVNGKTVRGFDLIDRIKEELEQTCPGVVSCADILTFLARDALVLSGVPEFSVPGGRRDGNVSRESEARKHLASPDNTVDQMTELFKAKGLDVEDLVALLGAHSIGVSHCSNFRYRLKTPARAKEIDGSLKVVMATHCVNAKSAVPMDSTTQYKMDSEFYKQLLQKKGVLESDQRLAADPRTLPLVQKFAGDEAAWLGKFTESVIKMGKIQVLTGNEGEIRRKCRFVN >itb09g19660.t1 pep chromosome:ASM357664v1:9:15896936:15897656:-1 gene:itb09g19660 transcript:itb09g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLCNDVMAGPQPESGLDKLRKTLSVQTSVEAREGSSSKYQRAEVDVVGTKKADQRFKILLKLRR >itb15g03540.t2 pep chromosome:ASM357664v1:15:2246693:2249126:1 gene:itb15g03540 transcript:itb15g03540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAMSSGATPASSCTIGSLAWCQAMVSRNAWNNTYENSSASPSVGPGNETSAHMLWEDGGMHHTAPDPKGFKELHTSDKNCKVESENVARAMSPTTREHHYSRPATEHDLMGHSICASYPYTEPAAFLGAAAGESVVHQTAQGVQHGRMVLPLEVTTEEPVYVNAKQYHGILRRRQLRAKAELENKVVKNRKPYLHESRHLHAMRRARDGGGRFVNTKKSDSTSNTTPCSSNSGEANKYSDSHGSEASGMQGADQYVQWGYEYQHFKVMKDWV >itb15g03540.t1 pep chromosome:ASM357664v1:15:2243493:2249629:1 gene:itb15g03540 transcript:itb15g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIRRSQMASDAMSSGATPASSCTIGSLAWCQAMVSRNAWNNTYENSSASPSVGPGNETSAHMLWEDGGMHHTAPDPKGFKELHTSDKNCKVESENVARAMSPTTREHHYSRPATEHDLMGHSIQCASYPYTEPAAFLGAAAGESVVHQTAQGVQHGRMVLPLEVTTEEPVYVNAKQYHGILRRRQLRAKAELENKVVKNRKPYLHESRHLHAMRRARDGGGRFVNTKKSDSTSNTTPCSSNSGEANKYSDSHGSEASGMQGADQYVQWGYEYQHFKVMKDWV >itb13g24900.t1 pep chromosome:ASM357664v1:13:30443098:30444665:1 gene:itb13g24900 transcript:itb13g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAVSVALSKFNDEISRSPPCEVDERVEDLVIELTWMQCFLVAGERTPEGRERADVWSPAIERLALYAQNLHSGLVSPAVKILGIEKLTKKLAALREKLSPFVTAHVASSSEVPPPAAAVKLCKEIKVAAWGGPGGQKWDCKGSLKQIVVVHGLTIDSITLTAVRPDGDSEVPAKFGGGGGDTIVQVDIDAPMEYLTGISGTYGVINSLTVITSLKFYTNRTQHGPIGSCEGGDSFSCIVEDGEIVGLHGSSGIYLDSIGVYTAPK >itb11g10770.t3 pep chromosome:ASM357664v1:11:7695530:7699168:1 gene:itb11g10770 transcript:itb11g10770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLLDSGFIGLIFSCFSEDAQKVGRIQVIAFQSLDGKQNHVLKSVPLSPVHKSSVIDLESSLSSSETSARASARGEILEQDTGDSRAITLPSKAVGRPSDLGGFFANIDAKTGENLHTNTVSNAAIEIDHMDMSESMQEAMHLSNMEMSGAEYVRKEIPLLVMPSSSLLNLDTPLSSFTDLQRVLYEEERTAFNQAVTQNMRDGKVHPLTFIHHTSTYQASMCKLMEYCLSPAIIALQDRLRENEIQLKMLADEAKVLESETKRTETTTVSPRRGSPSSGHKDLYPPGELSNTRTFGSPGSRSRKGS >itb11g10770.t2 pep chromosome:ASM357664v1:11:7694924:7699150:1 gene:itb11g10770 transcript:itb11g10770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLLDSGFIGLIFSCFSEDAQKVGRIQVIAFQSLDGKQNHVLKSVPLSPVHKSSVIDLESSLSSSETSARASARGEILEQDTGDSRAITLPSKAVGRPSDLGGFFANIDAKTGENLHTNTVSNAAIEIDHMDMSESMQEAMHLSNMEMSGAEYVRKEIPLLVMPSSSLLNLDTPLSSFTDLQRVLYEEERTAFNQAVTQNMRDGKVHPLTFIHHTSTYQASMCKLMEYCLSPAIIALQDRLRENEIQLKMLADEAKVLESETKRTETTTVSPRRGSPSSGHKDLYPPGELSNTRTFGSPGSRSRKGS >itb11g10770.t1 pep chromosome:ASM357664v1:11:7692689:7699168:1 gene:itb11g10770 transcript:itb11g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKMTEEVWLTCLTHALSTETEEIMGLLLGDIQHSKNGSVTALIWGALPQPRCDRRKDRVETNPEQLTAASVQAERMTVATGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDAQKVGRIQVIAFQSLDGKQNHVLKSVPLSPVHKSSVIDLESSLSSSETSARASARGEILEQDTGDSRAITLPSKAVGRPSDLGGFFANIDAKTGENLHTNTVSNAAIEIDHMDMSESMQEAMHLSNMEMSGAEYVRKEIPLLVMPSSSLLNLDTPLSSFTDLQRVLYEEERTAFNQAVTQNMRDGKVHPLTFIHHTSTYQASMCKLMEYCLSPAIIALQDRLRENEIQLKMLADEAKVLESETKRTETTTVSPRRGSPSSGHKDLYPPGELSNTRTFGSPGSRSRKGS >itb11g18620.t1 pep chromosome:ASM357664v1:11:18999427:18999890:1 gene:itb11g18620 transcript:itb11g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPFVPSPESDRVSRSNPGACLILQECSAGDSIRKGRVQVQFCPSLLLVCGTAAMVTGVSPLLVEKPSPSLQPRSKSSSSHKRRREFTVFLYCRQNFYCWPRGKTRRKMKREV >itb01g25850.t1 pep chromosome:ASM357664v1:1:31160580:31165141:-1 gene:itb01g25850 transcript:itb01g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKGIIVSSLKVVVFVAAVVVGLEICGAQNISRASFPKGFVFGTASSAYQVEGAVEEDGRGATIWDTFSHTFDGSGEINQAGVDHYNKLIDALLANGIEPYVTLYHYDLPQALQDRYNGWLNRQVIKDFAAYAETCFEKFGDRVKQWATINEPRSVTVLGYDLGVQAPGHCSIFLHLLCSEGNSATEPYIAEQGGKIAIVVESFWYEPLTNSHEDIEAKQRAIDYYLGWFLEPVMTGDYPGSVISGAGDRLPKFSAAESALLKGSYDYLGVNHYTTWYVASDRTNIMDILLHDTLADSGAVTLRMDDPKSDGLHDFQRIKFHHDYLTSLLAAIKEDGCNVKGYFAWSLLDNWEWTVGFSSRFGLYYVDYDDNLKRIPKDSAKWFKNFLAS >itb08g00810.t1 pep chromosome:ASM357664v1:8:606521:608720:-1 gene:itb08g00810 transcript:itb08g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTRVTVLMVAAVVVLAATMTEAQIQQLPSCAHKLIPCVQYLNYTADRLLCCERLKYVMAHEVPCLCKFSRDNAMLSFSKVEGDGSRSAAKLPQECGVADTFPCNAHFETEKYDIAPLRYNLLAPAFRILLLFAAALLPRPNSKACIFPLFTLSSVDPSPPIPPPSRAVVAPNLRLRP >itb09g18300.t2 pep chromosome:ASM357664v1:9:14045338:14050629:-1 gene:itb09g18300 transcript:itb09g18300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVGGVQFSTGSDDSSSSQGKDILMALMEHPALVSASSSFSAIQERKFSLSNDSHLVRTRQIKWVYIFQREYATVDPSLVDLVGTDEATTCVGLIIRNPQSGMISVAHMDSPSIVEVGLTQMLSSVLDQNSDTILDVHLIGGFNDVSHQHENGVTKSHEKIEGYSFPLCSKIVEILGKSINKFNIQTLHVLGHNTRQDSEGNAYPIFNGFLVETATGSIFPASFDGTTRCPDELVRRIRVTASFEDPSWRGRLLETYDTQSDKFIIAPCAWTNLQVHIALSYQNLSDPEILRMCSTSPFAEAPDFVDNQRRQWEYVLEHPDWRETFPSKQPRIFERTVDGSWVRHPVRPRGSTFVCFSRGCI >itb09g18300.t3 pep chromosome:ASM357664v1:9:14045338:14050629:-1 gene:itb09g18300 transcript:itb09g18300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVGGVQFSTGSDDSSSSQGKDILMALMEHPALVSASSSFSAIQERKFSLSNDSHLLVGTDEATTCVGLIIRNPQSGMISVAHMDSPSIVEVGLTQMLSSVLDQNSDTILDVHLIGGFNDVSHQHENGVTKSHEKIEGYSFPLCSKIVEILGKSINKFNIQTLHVLGHNTRQDSEGNAYPIFNGFLVETATGSIFPASFDGTTRCPDELVRRIRVTASFEDPSWRGRLLETYDTQSDKFIIAPCAWTNLQVHIALSYQNLSDPEILRMCSTSPFAEAPDFVDNQRRQWEYVLEHPDWRETFPSKQPRIFERTVDGSWVRHPVRPRGSTFVYAK >itb09g18300.t1 pep chromosome:ASM357664v1:9:14045249:14050629:-1 gene:itb09g18300 transcript:itb09g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVGGVQFSTGSDDSSSSQGKDILMALMEHPALVSASSSFSAIQERKFSLSNDSHLVRTRQIKWVYIFQREYATVDPSLVDLVGTDEATTCVGLIIRNPQSGMISVAHMDSPSIVEVGLTQMLSSVLDQNSDTILDVHLIGGFNDVSHQHENGVTKSHEKIEGYSFPLCSKIVEILGKSINKFNIQTLHVLGHNTRQDSEGNAYPIFNGFLVETATGSIFPASFDGTTRCPDELVRRIRVTASFEDPSWRGRLLETYDTQSDKFIIAPCAWTNLQVHIALSYQNLSDPEILRMCSTSPFAEAPDFVDNQRRQWEYVLEHPDWRETFPSKQPRIFERTVDGSWVRHPVRPRGSTFVYAK >itb09g18300.t4 pep chromosome:ASM357664v1:9:14045338:14050629:-1 gene:itb09g18300 transcript:itb09g18300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVGGVQFSTGSDDSSSSQLVGTDEATTCVGLIIRNPQSGMISVAHMDSPSIVEVGLTQMLSSVLDQNSDTILDVHLIGGFNDVSHQHENGVTKSHEKIEGYSFPLCSKIVEILGKSINKFNIQTLHVLGHNTRQDSEGNAYPIFNGFLVETATGSIFPASFDGTTRCPDELVRRIRVTASFEDPSWRGRLLETYDTQSDKFIIAPCAWTNLQVHIALSYQNLSDPEILRMCSTSPFAEAPDFVDNQRRQWEYVLEHPDWRETFPSKQPRIFERTVDGSWVRHPVRPRGSTFVYAK >itb06g01890.t1 pep chromosome:ASM357664v1:6:3279017:3279415:1 gene:itb06g01890 transcript:itb06g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLTKSVILHIIIFTFCNLHIINTILYEFSLSDLRTILQLRKSGSITPVDNPSGFAASPPSQLHAQRSDHRHLTASRIDHRHLTASRTYHRHLTASRSDHRHHSSVEIRSPLSQILASSSFFLRQQQPREE >itb06g20650.t1 pep chromosome:ASM357664v1:6:23552690:23554174:1 gene:itb06g20650 transcript:itb06g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAFVLILCFWAVLMIVTPALIKLSANAKLNGEFYVVVSESKRHKSLGEGDGEAKNDKISASLLSRKALVAAVPRKAPIPAPAPAPAPAAEKRHFWREKLMNLRR >itb06g20650.t2 pep chromosome:ASM357664v1:6:23552690:23554174:1 gene:itb06g20650 transcript:itb06g20650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAFVLILCFWAVLMIVTPALIKLSANAKLNGEFYVVVSESEGDGEAKNDKISASLLSRKALVAAVPRKAPIPAPAPAPAPAAEKRHFWREKLMNLRR >itb14g07010.t7 pep chromosome:ASM357664v1:14:6348514:6350347:-1 gene:itb14g07010 transcript:itb14g07010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLSMYMQIIGFCVRLSSSILWIQIYRLGPSYVDNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGVWNSCHLYALCDLFYPL >itb14g07010.t6 pep chromosome:ASM357664v1:14:6346416:6350324:-1 gene:itb14g07010 transcript:itb14g07010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLSMYMQIIGFCVRLSSSILWIQIYRLGPSYVDNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGANQNHGMVVGSTASPERTTPVKPVINRSVQGKDVSCQDSSYCLAIINFKLYTISITSSLLFVTYQSVRPHSFLAYYLKI >itb14g07010.t1 pep chromosome:ASM357664v1:14:6345181:6350347:-1 gene:itb14g07010 transcript:itb14g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLSMYMQIIGFCVRLSSSILWIQIYRLGPSYVDNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGANQNHGMVVGSTASPERTTPVKPVINRSVQGKDMIAS >itb14g07010.t4 pep chromosome:ASM357664v1:14:6345181:6350324:-1 gene:itb14g07010 transcript:itb14g07010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLNNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGANQNHGMVVGSTASPERTTPVKPVINRSVQGKDMIAS >itb14g07010.t5 pep chromosome:ASM357664v1:14:6345181:6350324:-1 gene:itb14g07010 transcript:itb14g07010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLNNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGANQNHGMVVGSTASPERTTPVKPVINRSVQGKDDLNAVRNLLQG >itb14g07010.t2 pep chromosome:ASM357664v1:14:6345181:6350347:-1 gene:itb14g07010 transcript:itb14g07010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLSMYMQIIGFCVRLSSSILWIQIYRLGPSYVDNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGANQNHGMVVGSTASPERTTPVKPVINRSVQGKDDLNAVRNLLQG >itb14g07010.t3 pep chromosome:ASM357664v1:14:6345184:6350324:-1 gene:itb14g07010 transcript:itb14g07010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFGALRSGIRNWLRDYVRVQSAAVILIYIQIACALIGSLGALYTGVLFAHLGIALFALVAIESSSQSLGRTYAVLLLSAVFLDVSWFLLFSRQIWNISSENYGTSVIFSVKLSMYMQIIGFCVRLSSSILWIQIYRLGPSYVDNSVPREADADLQNSFLSPVNPSITRHPFSSGDALGGSVYDPAYYSSLTGDGKDEEYLSGANQNHGMVVGSTASPERTTPVKPVINRSVQGKDMIAS >itb08g03870.t2 pep chromosome:ASM357664v1:8:3126689:3130261:-1 gene:itb08g03870 transcript:itb08g03870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHESLTNGKLDDSGLQIIKHPLFYQSYSKSLLSWLDIRVFYIRVSNFVVDGSTPGSLTLNHIPLSPDTLLEVNGARCSMHSEGASCVLRRDRVDKKSEEATFVSTDSIRLTGSVKFEVFDRDDLVLSGVLEISNSNGVIGESKNSVRKWKMNCEPVMSAGSGFLKGKHITGSDSPTIEVYVAGCFSGTPIILTKTLQLNHWKKQHRKGILGSIPEDGTTDAQKDVASSGLNLQVPDYTSYKPESEEDYNDAYWRHTEYLDGEDGELSWFNAGVRVGVGIGLGICVGVGIGVGLLVRSYHSTTRNFRRRFI >itb08g03870.t1 pep chromosome:ASM357664v1:8:3126630:3130507:-1 gene:itb08g03870 transcript:itb08g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHESLTNGKLDDSGLQIIKHPLFYQSYSKSLLSWLDIRVFYIRVSNFVVDGSTPGSLTLNHIPLSPDTLLEVNGARCSMHSEGASCVLRRDRVDKKSEEATFVSTDSIRLTGSVKFEVFDRDDLVLSGVLEISNSNGVIGESKNSVRKWKMNCEPVMSAGSGFLKGKHITGSDSPTIEVYVAGCFSGTPIILTKTLQLNHWKKQHRKGILGSIPEDGTTDAQKDVASSGLNLQVPDYTSYKPESEEDYNDAYWRHTEYLDGEDGELSWFNAGVRVGVGIGLGICVGVGIGVGLLVRSYHSTTRNFRRRFI >itb08g03870.t3 pep chromosome:ASM357664v1:8:3126648:3130347:-1 gene:itb08g03870 transcript:itb08g03870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHESLTNGKLDDSGLQIIKHPLFYQSYSKSLLSWLDIRVFYIRVSNFVVDGSTPGSLTLNHIPLSPDTLLEVNGARCSMHSEGASCVLRRDRVDKKSEEATFVSTDSIRLTGSVKFEVFDRDDLVLSGVLEISNSNGVIGESKNSVRKWKMNCEPVMSAGSGFLKGKHITGSDSPTIEVYVAGCFSGTPIILTKTLQLNHWKKQHRKGILGSIPEDGTTDAQKDVASSGLNLQVPDYTSYKPESEEDYNDAYWRHTEYLDGEDGELSWFNAGVRVGVGIGLGICVGVGIGVGLLVRSYHSTTRNFRRRFI >itb01g19670.t1 pep chromosome:ASM357664v1:1:25890876:25896192:1 gene:itb01g19670 transcript:itb01g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSASIWLTDQAVCMVVNSCFQVVQQSASRGDLLQRSARFTMHELVHIVYSRLPEVEVTDWENSESDTEDSALDDSGYGIRAAVDIFHFLCSLLNVVEVVESDGVTYQTADESIQLFSLVLINSAIELSGDSIGMHPKLLRMIQDDLFHHLIHYGSCSRPLVLSMICSTVLNIYHFLRRSVRLQLEAFFSFVLFKVAGATNTLALQEVAVEGLINFCRQPTFVVEAYVNYDCDPTFRTVFEDTGKLLCRHAYPSGGALTSLQLQSFEGISTIIHNIADNIDREGDNTPSGPYPVEIGEYRPFWEERVKEDEDLDTWIDFVRVRKAQKRKILIAGDHFSRDEKKGLEYLKHSGLVSNSSDPKAYAMFFRYTPKLDKTAIGDFLGDPEDFHLKVLKEFTDTFEFTGMVLDNALRTYLESFRLPGESQKIQRVLEAFAERFYEQQSSEIFYSKDAVFILCYSVIMLNTDQHNPQVKKKMTEDEFIRNNRAINSGQDLPREYLSELFQSISANAILFDSAGTPQEMSPNQWIQLINRSKRMNPYIMCDFDRRLGRDLFASIAGPSVATLAAIFEQADEEEVLHECIEALFSIARICQYGLDDTLDELVCTFCKFTTLLNPYASAEETLYAFSNDMKPRMATLAVFTIANNFKNFIRGGWRTIVDCLLKLKKLKLLPQSVVEPEAKTRHERSASTSISASQDSKFGRKRHPCGVAGRFSQFLSMDNVEESLNMGVSEFENNLKIISQCRIGSIFNTSSSLPEDTVQNLGRSLIYSAAGKGQKFSTAIEEEETVGFCWDLIVAIASANINRLPTFWPYYHEYLLDVAQYPLFSPIPFAEKAIVSLMKICLKLLSSFQADKAPEELIFKSINLMWKLEKEILDTCSEFIVHSVTIIMNEYPANLQTVLGWKSVLHLLAITGRHPEMYDQKVEAVINLMSDGAHISRLNYPFCIETAFGFVALKNSPLEKNIKIMDLMTNTVTLLVNWFKSGYSDPGGPSSEENKALMSPNFTVSCFVKLGEAFRKTSLSRREEIRNHAVISLQKSFSLAEDLGFTPSNIVNCFKLVIFAMVDDLHEKMLEYSKRENAEKETRGMEGTLKLSMEMLTGVYLQYLKLISESPDFRGFWMGVLRRMDTCMKADLGEYGESRLQDNIPELLKKMVSTMKEKEILVQKEDDDLWEMTYIQIQWIAPSLKEELFPDN >itb05g28210.t2 pep chromosome:ASM357664v1:5:31303346:31305174:-1 gene:itb05g28210 transcript:itb05g28210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPSEDACEPGERDLAPKGANMSSTSNSANCPKKSDQIENFSIYSGTDSLLHQPANTSCPRPSPPTTSQSSTTLVPPPAGGMKTTTDGKGGQLLSNHGRGSGNTSRSDSLESSSAPFKPHTGGDIRWDAINSISSKGSPLGLNHFRLLKRLGYGDIGSVYLVELRRTNTFFAMKVMDKGSLASRNKLIRAQTEREILGLLDHPFLPTLYSYFETEKFYCLVMEFCSGGNLHTLRQKQPNKYFSEEASRFYASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHAANGGSASSSGSGILDDENAVQGCIQPSTFFPRILPSKKNRKSKSDFGLFVGGALPEFMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPESPQVSFVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGVNWALVRSALPPYVPEPVDFSQFATKETTHSTDKKMAEIGSDTDMNSSSTTDSSSYIDFEYF >itb05g28210.t1 pep chromosome:ASM357664v1:5:31303166:31306063:-1 gene:itb05g28210 transcript:itb05g28210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPSEDACEPGERDLAPKGANMSSTSNSANCPKKSDQIENFSIYSGTDSLLHQPANTSCPRPSPPTTSQSSTTLVPPPAGGMKTTTDGKGGQLLSNHGRGSGNTSRSDSLESSSAPFKPHTGGDIRWDAINSISSKGSPLGLNHFRLLKRLGYGDIGSVYLVELRRTNTFFAMKVMDKGSLASRNKLIRAQTEREILGLLDHPFLPTLYSYFETEKFYCLVMEFCSGGNLHTLRQKQPNKYFSEEASRFYASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHAANGGSASSSGSGILDDENAVQGCIQPSTFFPRILPSKKNRKSKSDFGLFVGGALPEFMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPESPQVSFVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGVNWALVRSALPPYVPEPVDFSQFATKETTHSTDKKMAEIGSDTDMNSSSTTDSSSYIDFEYF >itb09g01450.t2 pep chromosome:ASM357664v1:9:892059:895569:1 gene:itb09g01450 transcript:itb09g01450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] MEPLMENEEYNWREVVLPSLIPVVPPPELDRETGERRRGRDIIIAIDHGPNSKHAFNWAVTHLCRLADTIHLVTAVSNVRNQIVYEMTQGLMEKLSVEAFEVAMVKTKARIVEGDAGKAICKEADRLKPAAVVMGTRGRSLIQSVLQGSVSEYVFHNCKAAPVIIVPGKDAGDESVL >itb09g01450.t1 pep chromosome:ASM357664v1:9:892059:895569:1 gene:itb09g01450 transcript:itb09g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] MEPLMENEEYNWREVVLPSLIPVVPPPELDRETGERRRGRDIIIAIDHGPNSKHAFNWAVTHLCRLADTIHLVTAVSNVRNQIVYEMTQGLMEKLSVEAFEVAMVKTKARIVEGDAGKAICKEADRLKPAAVVMGTRGRSLIQSVLQGSVSEYVFHNCKAAPVIIVPGKDAGDESVL >itb03g28120.t2 pep chromosome:ASM357664v1:3:28786621:28794029:1 gene:itb03g28120 transcript:itb03g28120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNRIAGNNNLTKTSHFDALGICCSSEASLIEKIVKPLEGVVEVSVVIPTKTVVVVHHSLIISEQQIERAINRGGFEASVRVNGVKNNNAEKWPSPHSICCGVLLLLSLLTYVYEPFKWLAVGAAAVGSIPIFLRALKALLNLTLDINTLMFIAVIGSIALQDYWEAATIAFLFTIAEWLESRATHQAVAVLSSLVDVVPQRAVLAETGEELNADKVELNTLLSVKTGEMVPIDGIVVEGECEVDEKTLTGEPFPVAKQKDSTVWAGTINLNGYINIKTTAVAKDCVVARMAKLVEDAQNNKSKTQRYIDKIAKYYTPVIVVISASLAIVPASLRLDNRNEWYRLALVTLVTACPCALVLSTPIAMFCALSKAATLGLLFKGAEYLETLAKIKVMAFDKTGTVTRGQFTVTHFKTLMDGLSHNTLLYWVSSIESKSSHPMATAVIDYAYSHSVEPMGESVEGFQNFPGEGIYGRIDGREIYIGNKKISSRAGCTTVPELCDDTIEGKSMSYVFLGSSPAGCFSLSDVCRGGVQEALEELNSMGIQTVMLTGDCYTAANHAQDQLGGAFEAFHAELLPEEKARYIRGFQKEAATAMIGDGVNDAPALATADIGISMGVSGSALAMETGHIILMTNDVGRIPMAVQLARRVGRKIVENMILSVTMKAAVLALAVAGYPLVWAAVVSDVGSCLIVIFNSMLLLQGSRSTISGPQNSCKSSHSCQQPCCPSTENLQNTCDSICESTSDLEEPLLTSQNHCHANHSTNHCNSLNKDDKGTKPCCKSQRIDQACSPEFTTGNVECAAIDASCKARQVGCCQSFRKECCSKSSQFGGCLSEILIE >itb03g28120.t3 pep chromosome:ASM357664v1:3:28786621:28794029:1 gene:itb03g28120 transcript:itb03g28120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAVIGSIALQDYWEAATIAFLFTIAEWLESRATHQAVAVLSSLVDVVPQRAVLAETGEELNADKVELNTLLSVKTGEMVPIDGIVVEGECEVDEKTLTGEPFPVAKQKDSTVWAGTINLNGYINIKTTAVAKDCVVARMAKLVEDAQNNKSKTQRYIDKIAKYYTPVIVVISASLAIVPASLRLDNRNEWYRLALVTLVTACPCALVLSTPIAMFCALSKAATLGLLFKGAEYLETLAKIKVMAFDKTGTVTRGQFTVTHFKTLMDGLSHNTLLYWVSSIESKSSHPMATAVIDYAYSHSVEPMGESVEGFQNFPGEGIYGRIDGREIYIGNKKISSRAGCTTELCDDTIEGKSMSYVFLGSSPAGCFSLSDVCRGGVQEALEELNSMGIQTVMLTGDCYTAANHAQDQLGGAFEAFHAELLPEEKARYIRGFQKEAATAMIGDGVNDAPALATADIGISMGVSGSALAMETGHIILMTNDVGRIPMAVQLARRVGRKIVENMILSVTMKAAVLALAVAGYPLVWAAVVSDVGSCLIVIFNSMLLLQGSRSTISGPQNSCKSSHSCQQPCCPSTENLQNTCDSICESTSDLEEPLLTSQNHCHANHSTNHCNSLNKDDKGTKPCCKSQRIDQACSPEFTTGNVECAAIDASCKARQVGCCQSFRKECCSKSSQFGGCLSEILIE >itb03g28120.t4 pep chromosome:ASM357664v1:3:28786621:28794029:1 gene:itb03g28120 transcript:itb03g28120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAVIGSIALQDYWEAATIAFLFTIAEWLESRATHQAVAVLSSLVDVVPQRAVLAETGEELNADKVELNTLLSVKTGEMVPIDGIVVEGECEVDEKTLTGEPFPVAKQKDSTVWAGTINLNGYINIKTTAVAKDCVVARMAKLVEDAQNNKSKTQRYIDKIAKYYTPVIVVISASLAIVPASLRLDNRNEWYRLALVTLVTACPCALVLSTPIAMFCALSKAATLGLLFKGAEYLETLAKIKVMAFDKTGTVTRGQFTVTHFKTLMDGLSHNTLLYWVSSIESKSSHPMATAVIDYAYSHSVEPMGESVEGFQNFPGEGIYGRIDGREIYIGNKKISSRAGCTTVPELCDDTIEGKSMSYVFLGSSPAGCFSLSDVCRGGVQEALEELNSMGIQTVMLTGDCYTAANHAQDQLGGAFEAFHAELLPEEKARYIRGFQKEAATAMIGDGVNDAPALATADIGISMGVSGSALAMETGHIILMTNDVGRIPMAVQLARRVGRKIVENMILSVTMKAAVLALAVAGYPLVWAAVVSDVGSCLIVIFNSMLLLQGSRSTISGPQNSCKSSHSCQQPCCPSTENLQNTCDSICESTSDLEEPLLTSQNHCHANHSTNHCNSLNKDDKGTKPCCKSQRIDQACSPEFTTGNVECAAIDASCKARQVGCCQSFRKECCSKSSQFGGCLSEILIE >itb03g28120.t1 pep chromosome:ASM357664v1:3:28786621:28794029:1 gene:itb03g28120 transcript:itb03g28120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNRIAGNNNLTKTSHFDALGICCSSEASLIEKIVKPLEGVVEVSVVIPTKTVVVVHHSLIISEQQIERAINRGGFEASVRVNGVKNNNAEKWPSPHSICCGVLLLLSLLTYVYEPFKWLAVGAAAVGSIPIFLRALKALLNLTLDINTLMFIAVIGSIALQDYWEAATIAFLFTIAEWLESRATHQAVAVLSSLVDVVPQRAVLAETGEELNADKVELNTLLSVKTGEMVPIDGIVVEGECEVDEKTLTGEPFPVAKQKDSTVWAGTINLNGYINIKTTAVAKDCVVARMAKLVEDAQNNKSKTQRYIDKIAKYYTPVIVVISASLAIVPASLRLDNRNEWYRLALVTLVTACPCALVLSTPIAMFCALSKAATLGLLFKGAEYLETLAKIKVMAFDKTGTVTRGQFTVTHFKTLMDGLSHNTLLYWVSSIESKSSHPMATAVIDYAYSHSVEPMGESVEGFQNFPGEGIYGRIDGREIYIGNKKISSRAGCTTELCDDTIEGKSMSYVFLGSSPAGCFSLSDVCRGGVQEALEELNSMGIQTVMLTGDCYTAANHAQDQLGGAFEAFHAELLPEEKARYIRGFQKEAATAMIGDGVNDAPALATADIGISMGVSGSALAMETGHIILMTNDVGRIPMAVQLARRVGRKIVENMILSVTMKAAVLALAVAGYPLVWAAVVSDVGSCLIVIFNSMLLLQGSRSTISGPQNSCKSSHSCQQPCCPSTENLQNTCDSICESTSDLEEPLLTSQNHCHANHSTNHCNSLNKDDKGTKPCCKSQRIDQACSPEFTTGNVECAAIDASCKARQVGCCQSFRKECCSKSSQFGGCLSEILIE >itb03g10000.t1 pep chromosome:ASM357664v1:3:7759557:7762816:1 gene:itb03g10000 transcript:itb03g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDSSYPGLPAQEAAEVGCEAVLEFSCHLMNSILLYSFYPLEKAKHCITGFYKPQGSLLHLIILPEAILAMEVWNQSLTPGISSTSPVTF >itb04g04570.t1 pep chromosome:ASM357664v1:4:2844527:2847308:1 gene:itb04g04570 transcript:itb04g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSENGYGFGSTKNQTKLKLSSSSSSLSSLSLKNKTKLPKPTLGSYKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb10g25830.t1 pep chromosome:ASM357664v1:10:28987860:28991436:-1 gene:itb10g25830 transcript:itb10g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPCSLRKSLKSHVSFKKQRPRGIYGSNADDDDEEEDYRPILFANRHPDDVPNPSDVGSSIMKRSSLNPDDDDRPEVIVKIDGDNSNSSTSRGVIWDDNLEDGIKGIQRSKSKSKSKSKDVMEDPPSKLIGQFLNKQREGEMCLDMDLEMDELRHRRHSGNDSSCSSAPPPTDQHHQIHRTTTSKEHKVQFLQDGAGGCTTPPSRVVDIIGAEYEQTAEDCSSSTSDDEGGVIEHRQPEYSRRRTSGMISPNINEGREDQVVRCTSSFQRRGGNSFAGRMTNKTKSRLMDDPNASEIMKASGRYGKSVPMRSEMLGVGKGGGGGGGGGGGGGGEEEEEDPLLDEDIPDEFKEAKVNALTLAQWASLVIIVTALICTLSIGRLKENRLRGLRLWKWEALILVLICGRLVSGWAIRVAVFFIERNFLLRKRLLYFVYGVRNAVQNCIWLGLVLIAWRSMFDRKLEANNEFLQLVNKLMVCMLIGITLWLVKTLMVKVLASSFHVSKFFDRIQESLFNQYVIETLSGPAWIEIQCQREEEERILAEVRKLQSAGAKLPPELKGAGAAFKRMKSNSSNSNNNNNNNNNNNNNIGGGGGGGGKSTRSGVIGRGGGGGGAAAEEQNSGGAGAPPAGITIDHLHKLNPKNVSAWSMKRLVKIIRHGVLSTLDEQIRCSAQDDDSAHQIRSEVEAKIAARKIFRNVAKPKSNFIFLNDLRRFLPETEAVQVMNVLDGSPNCERISKASLKNWVVNAFRERRALALTLDDTKTAVKKLHQMITVLVCIIIILICLVILGFATGRVLLYISSQVVIVAFIFGNTCKTIFEAIIFLFVVHPFDVGDRCEVDGVELVVEEMNILSTVFLRVDNQKIVYPNSILAMRPIGNFYRSPDMGDTVDFLVHMATPMEKIKAVNQRIVNYVESKKEHWYSSPMIVMNNIPDVHKLKMSVWLRHRMNYQDTGERWTRRSQVIEEMVKIFKELDMEYRLYPMDINVRAMPPIQSTRLPPKWQPPINPNPIVPMASDAALHASPSTSINYSNSL >itb08g08820.t1 pep chromosome:ASM357664v1:8:7767333:7767683:1 gene:itb08g08820 transcript:itb08g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEHALFQSVFHIWLGAGDNEGGNIRWEGGERFGMAQAAWNPMEARVGGEHGGCGRCRKKSKKIGKARERWRLVEHCSGDLEEKEELGVRCSYGFISSPNQKTFFIGMYSKLVST >itb07g22540.t1 pep chromosome:ASM357664v1:7:27073117:27074622:1 gene:itb07g22540 transcript:itb07g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTLEEDKQLLAYIEQYGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGNFSLQEEQSIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKKLCKMGIDPMTHRPKINSSFGSAANLSHMAQWETARLEAEARLVRPSKFIGSSLISPRHFRNNPPPPPPPKVPPPLDVLKAWQETWTKPPRTRVSSDGAFVSTATLNQSPTTLNFSDQILNFSDQNLCNKETQSSIGNPNNTAGDGIIPHVSMDPLTELPTFIHGLSDLSPEALTGYLDDDNFVGNCGTADVEDNSRYWNSILNNLVASPVGSPVF >itb05g26080.t2 pep chromosome:ASM357664v1:5:30156639:30160809:-1 gene:itb05g26080 transcript:itb05g26080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKDELRATLTQPLKLKGPYKPTGAFAVESRYFDGVPPAATKADCPIKYEGARQLEDYTISPNGRDKIGRIISYLRRIAVDSVFNESLNIVAGGWNRVVKAAPKDHFPSRSSNNNEKQDRKALIVDEANAVLKKSQESILQNQKPAACATTKLDEEALDSPPPKKPLDEQAEISVPAKDSRKKIFIRSRL >itb05g26080.t1 pep chromosome:ASM357664v1:5:30157003:30160809:-1 gene:itb05g26080 transcript:itb05g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKDELRATLTQPLKLKGPYKPTGAFAVESRYFDGVPPAATKADCPIKYEGARQLEDYTISPNGRDKIGRIISYLRRIAVDSVFNESLNIVAGGWNRVVKAAPKDHFPSRSSNNNEKQDRKALIVDEANAVLKKSQESILQNQKPAACATTKLDEEALDSPPPKKPLDEQAEISVPAKDSRKKIFIRSRL >itb09g22260.t1 pep chromosome:ASM357664v1:9:20559201:20561956:-1 gene:itb09g22260 transcript:itb09g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKTSKMLQYINYRIRVTVQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPTKGSKEEREDRRTLGLLLLRGEEVISMTVEGPPPPDESRVKATGAANGVPGPGIGRGAGRGVPTGPLVQAQPGLAGPVRGVGGPAPGMMQPQISRPPINYPQQPPPGMAPPPQPLMARSGVPPMGAPQQFRPLPPGQFPPQFGQRPMVPPPPMMRGPPPPGAPPRPNMPGQPPRPGMPPPPPGGQVHVFGPPRPGMPPPQNQ >itb04g27650.t1 pep chromosome:ASM357664v1:4:31624405:31626602:1 gene:itb04g27650 transcript:itb04g27650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTGDIPPNQTIYIKNLSEKVKKEELKRSLYCLFSQYGRILDIVALKTAKLRGQAWVVFSEVTSASNAVRQMQNFPFYDKPMRIQYAKAKSDCIAKAEGTYDKKKKQDEKAERRKRTEEAQHSGTANGQRADANGGPAVATRQGKPGAQETTEPNNILFIQNLPHETTSMMLEVLFNQYPGFREVRMIEAKPGIAFVEFEDDVQSSVAMQALQGFKITPQNPMAISYAKK >itb06g01300.t1 pep chromosome:ASM357664v1:6:2553995:2555501:1 gene:itb06g01300 transcript:itb06g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLASKPSSNLKKPSQTFAGNDPKASASAAKEYVTEFDASKAPAAADSVANYIIPPKANEWEPRKRMKNIDLPPIRSSDDQPLQFEVDTGSSVEPSSDSVSYGLNIRQSADDPNTDKSENPNPSPNVDPMLHRLKEDLMRLPDDNGMDEFTDMPVEGFGAALLKGYGWSEGRGIGRNAKEDVKVREYKRWSAKEGIGFTAELPNDTKVHKVDGGEKRDKKMNVNGKEERGEKEGKGLFVGKNIRIVGGREIGMKGKVVEVKSGGNMVIRLSSDDREVIVQSSDVAELGSVEEEKCMRKLKELKIKDSNKDSSSVRHRRESRDEVTRDREIREERSKDRRKDNKRSRDESNAKGVEQISWLTSHIRVRVISKALKGGKLYLKKGEVVDVVGPSTCDISMDESRELIQGVNQNQLETALPRRGGPVLVLCGRHKGVYGNLVERDTEKETGVVRHGDTHELLNVRLEQIAEYTGDPSYIGY >itb04g08620.t1 pep chromosome:ASM357664v1:4:7146014:7146921:1 gene:itb04g08620 transcript:itb04g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIRVEERLDSTRRYTAGGTSGVTTNLFFIACELVAAFLFLKSHEEDNKSREDGTPAALTCAACGCHQNFHRRVVVSEARST >itb03g21190.t1 pep chromosome:ASM357664v1:3:18983182:18985930:1 gene:itb03g21190 transcript:itb03g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVTLLNTIDFHFLQPLPCLNVDDRQIITIQSLCKKLRFLQAFLEDSPDNSINCPAWNRLETEIRDVAAEAESKIESDLYQLYNEEEAPVELCESLHQTLQQVTGDIESLERRILQIQSYRNRNHSVEPPTRNPAIQNINADSSFKRSSEPKNAMVGCDDEFETIKNKLISDSNNLKIISITGMGGIGKTTLAQRVYNDEAAIAYFDSRAWTTVSQQHNLREMLRELLGSNDNNPDVSYLASQLRQKLLGHRYLIVIDDIWSTQAWDGIQRCFPEDFNRSRILVTTRLKQVAGYVSSGNNLYSMRFLNFNESWNLFYNKVFVEKKFPLEFEKIGRDIVKKCQGLPLTIIVVAGLLSSSSNKPSPNQWENVIANLDLLLNTDSKKKCSKMLSLSYNHLPPHLKVCFLYFGVFPEDSVIKVKKLIRLWIAEGFLKFELNKTMEEVAYAYLQDLVDRGLVQIDKWSSFDNKIKYCKLHDVLHSFSLREAQREKLLCVINEKNNVGLATSSLDRKACRRVVSYQLIHIQAEPITPISRSHELRSFLHLPHHSILGVSCNNSRILPYSKLLRVLNIRKCNLNHLPREIEDLVHLRYLALSLYQRASINDYQWCKLRCLQTVIITNSHWPSFSPNNILDMPQIRHVHFSPETLYYHHLPKLVQGNLQTLFWLSLPKRLQTEPDFKAIPNIKELGIHLMGYDGHYKMPSLSKKTWDLRPPISMEGLLNLHQLENLKFARDRWSPKCDNKVLKAFPPNLKKLTLIRTNFSWEDMPIISTLPNLEVLKLREDAFCGPEWKTTGNGFCKLKYLEVNSLLSLKHWSVDADHFPILECIFLYLCPDLVEFPTGFGEIDTLQLIDLKSCCSSLVNSAKKIQEERRDLGDDKLVLREIYTYCPKGNIF >itb06g18480.t1 pep chromosome:ASM357664v1:6:22121215:22123301:-1 gene:itb06g18480 transcript:itb06g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWEIFPSTEYYYYLDDHPQLLHQIPSQQPNGILSSSQQNYTMEDPTSWINPNNNDCGESFKKKRKRREMKLQSGDDDDSCRRALHRDVEKRRRNQMANLYESLRSLLPLQYIKGKRSASDHMQASVSYIKDLEKKVEGLHKKREKMIMKMKKTSSSSSNIVVEKEAKSAKWCCANDICVAVNKCEDGIEILMNTRHRRGGVEYPLSELLETLLRQGLNVVNCCYSHQEDRSLLRIQAQVRYV >itb12g23630.t1 pep chromosome:ASM357664v1:12:25294348:25302007:1 gene:itb12g23630 transcript:itb12g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALDAMRNLGFEEEVVTRTVKELLDIYGDDGWPFIEEYGYKELIDAILRGSETTKDENMEENDSRQNEVANVRPEDVAGSSNTASGQICSEVGDFAPEAENTIVKSPSSSTCNPVKNTDNRGGTPLANEGISGWKKVADYQSNQITSTQKERCNDICNSSGNPGAEMNSQSALAVLTPVVPSPQTPLIQKDKSHDDRKMNSQSASAVHFSPPTSSQTPVDPQLVTPQSSRRRRRIPCYGWIDSDDEEEPVDLVHLTPVEPAKRPTQFVRNNYGPNQDLDNLHTENASIFTMSFPAIFRGNVVPLLQCSSCSHLRFQPLLSCSAIARQKQIDFPTKHLLRFAFNMKRDVICSFSASETEPDRNEDNERKLHEEENSSGSADSASADTSEPNSLADQDNGQNLGMLESKDLVNGNSANANAQTGNEDADDIQIASGSPLPGLKPQQLDEAIRIPKETIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISKRMQDRFGDLYKLFLLINPEDDKPVAVVVPRITLQPDTTAVPEWFAAGAFGLVTIFTLLLRNVPALQANLLSVFDNFDLLENGLPGALVTALILGVHEISHTLVAQKAGIKLGVPYFVPSWQIGSFGAITRILNIVPKREDLLKLAAAGPLAGFSLGFILLLLGFFLPPADGLGVVIDPSVFHESLLAGGIAKLLLGDALKEGTPISVNPLVLWSLAGLLINAINSIPAGELDGGRISFSIWGRKASARLSSAAIGLLGISALFSDVAFYWVVLIFVLQRGPIAPLSEEISDPDNKYIALGIAVLLLGLLVCLPYPFPFSNEAVMVSSDIIM >itb04g13370.t1 pep chromosome:ASM357664v1:4:13434902:13435213:1 gene:itb04g13370 transcript:itb04g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMKSKEMEGELGNEILVWKTLQIRFPRSGSKSFMKLSPMNRTPYWCCPKVIVGYGVALQSKVYSPHSGLETLEKGKPTLTRFPQGNCLGQLDWELLSPWAN >itb12g24450.t1 pep chromosome:ASM357664v1:12:25968139:25970871:-1 gene:itb12g24450 transcript:itb12g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPENLLVRQRRAITELVNGKATTVELQTLLQNPPPDGASSSLPAELVQQIVRSFTQAIFELTSGDAAAQICQIPSASACSAGLTKNSETWNKVTETQEDGGAWRKYGQKNILHSEHPRCYFRCTHKRDQGCRATKQVQRTSEGLYQTTYFGYHTCKDPQRFPRRKPAADHVFSGDDAPNKDQVMLETEKQMNLQQEEEEEDGGAIDAVKKEEETAQSEISVSKSPNNNNNNDDNNDKFYDDDNFIWGDIIGESSNYESSFYACSSTSFNDLDMGGVADFGTFFPPH >itb11g22530.t1 pep chromosome:ASM357664v1:11:24502619:24508143:-1 gene:itb11g22530 transcript:itb11g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLLPPEIKAISFLDTSKTKSLKPKGGFAIKRKDCGGKFANRIQCAAQPPPPAWPGRAVAEPNQKSWDGPKPISILGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVALLADQVKTFRPKLVSVQHESLVEELKEALSGLEDKPEIIPGEQGIIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGIDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDLPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETKDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEITWPRLDLCKLGSLTFKAPDKVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVELTCDKHQEELVSSPSLEEIVHYDLWARDYAASLQTSSGLSPALV >itb05g17990.t1 pep chromosome:ASM357664v1:5:24844211:24845976:1 gene:itb05g17990 transcript:itb05g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSMVIAVLFAWTLVQGLCLLAKRSKSVAKRLLPGPVPLPVIGNLHLLGEQPHQSLARLAQKYGPVMNLKLGMINTVVISSPAMAKEALQKQDLAFSTHRSIPDALRANNHSQFSVGLLPVATKWRALRKIMNSNIFSGSRLETNQQLRAKKIQELIIYCQKSSEVGEAVDIGRAAFRTTLNLLSNTIFSKDLTDPYSDSGKEFKDLVWNIMVEAGKPNLADYFPFLEKFDPQGIRCRMTCHFTKALHLFQDLIDERLEERKMKGNKNDDALDSLLNVSQERPEEIDTTHMQHMFLDLFVAGTDTSSSTLEWAMTELLKNPETMAKAQAELADVIGKGKPIQEADVSRLPYLQCILKETFRMHPPAPLLIPRKVGYEVNLCEYTIPKDSHILVNVWAIGRDPNIWEKPLVFKPERFQNLEMDFRGQDFELIPFGGGRRICPGLPLATKTVPVMLGSLLNSFQWKLEGNIAPKDLDMKEKFGFTIAKDCPLKAIPIPI >itb02g07950.t1 pep chromosome:ASM357664v1:2:4981438:4982343:-1 gene:itb02g07950 transcript:itb02g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMLQKFIAAAGGGEWWLPAINLWDKVKNSVGLQDVMFYSLCAAYSAVATVALVQIIRREIRAAELGITTQKLFHVMNLFVSAIRAIVFGFQKKLFHGLPYKVFTSVLLDLPGLLFFSTYTLLVLFWAELYYQARNFPTGKLRFFYSSINAAIYTVQASFWVYLGITDVRNGVVDVILKMFTAAVFCTGALGFLIYGGRLYVILKWYPLEFRGKRRKTREIGCVTVICFSCFLVRCFMVAASGFNKNVAVDDVNHPVLSWVYYMTAEIVPSALVLYIQRRLPPRRLPADQIPLYQTTGDN >itb01g32870.t1 pep chromosome:ASM357664v1:1:36235817:36237468:1 gene:itb01g32870 transcript:itb01g32870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLNPYSTTAKTAEIMARYRPIAPKPEASGSGSGSPSSPENSNALPQSIRKSPYLRNIWPQLQARPTRTRKRGRSALAPPPLKRARACLQGLSPPYHVMASPAKSWSLQGFTHNPNGFPQIPILPLKCGVDNPVSTIANSITLPFLARNSPPSIPAKPLEPTSPHNGAENSRAERGIDLNMAAEVPEEIDFMSKFQRPAVITPRPVRPVGSNIYIGCITKDDRQPEKKLPKKPEEVENEVETEVLPAVISDSNHKVRLANSAYKEMVGQPECRWLDYTAYGGDGKEGGSTACKRICGEVILQFLDSCDVPLSSDRFTCWVRIEWRSNDKKNSVRALCDAVKLACQSKDYLFQWRFHTKEHSSESASNIKTTQV >itb11g02970.t1 pep chromosome:ASM357664v1:11:1514759:1516555:-1 gene:itb11g02970 transcript:itb11g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFMLLLKPFDAYPSLHSNGLSSRVTNPKVLKHLDNRRLVHKEAINFCQNVLRNKFVDCIPVSRHDLSQPIRDVDLVITIGGDGTLLQGSHFLDDKIPVLGVNSDPTQAREVNEDFDATRSTGYLCAATVDNFEQILDDILENRSKPSEVSRMLIHLNSQQLPTYALNDILIAHPCPATVSRFSFRIKKDDQHCSPMINCRSSGLRVSTAAGSTAAVLSAGGFAMPILSKDLQYIVREPIAPGASHGFMHGVMKHDELMEIAWYCKEGIIYVDGSHVVYPVQHGDTVLLSSQAPTLKVFLPSRLLC >itb13g23700.t1 pep chromosome:ASM357664v1:13:29619157:29624561:1 gene:itb13g23700 transcript:itb13g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPVMIPLENFEFSKDVNALPTQPIPLSNSVNLDIQFGVKLVLSSICHHFDYKTGIRTRRSIFKGGFYLSSETLFIHLFARRIQSREKMGSIEKDSREEIIQAWYMDDSNEDQRLPHHREPKQFVSLDKLAELGVLSWRLDADKYETDEELKKIRESRGYSYMDFCEVCPEKLPNYEEKIKSFFEEHLHTDEEIRYCVAGSGYFDVRDKNDEWIRIWVKKGAMIVLPAGIYHRFTLDSNNYIKDSREEIIQAWYMDDSNEDQRLPHHREPKQFVSLDKLAELGVLSWRLDADKYETDEELKKIRESRGYSYMDFCEVCPEKLPNYEEKIKSFFEEHLHTDEEIRYCVAGSGYFDVRDKNDEWIRIWVKKGAMIVLPAGIYHRFTLDSNNYIKAMRLFVGDPVWTPFNRPHDHLPARKEYVETFVQNDATGHAVNAAA >itb02g20000.t1 pep chromosome:ASM357664v1:2:17581617:17585320:1 gene:itb02g20000 transcript:itb02g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASTGGEKEAGTSPGSRNSFSTAPMAVANRPQPRRQLELEEQRQPIVGKTKQSRENKAVGYPRLLCLAIAQPSFGQAACDSECIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb01g35560.t1 pep chromosome:ASM357664v1:1:37696834:37706480:1 gene:itb01g35560 transcript:itb01g35560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAKSFDVFGFKEEELPNMVVTRVCSESVASEALNIHRALENEYFDIGGMSRFDDGEQINSRNQELFHHVRPNVLSGRFNPSLECSSSPGTASPEDNQHAFRESQSNDDSVDAVSDTDESMNVNSRTPSASPANHDLCEDGPSSENCQSHWEMGENMAIVFNPDFIYYRETYYTDCVVNFSNSYIEVKGSVVSGNMGTFSIQFTVEDIKQIHLEYSMTADAGQFKIRVISRCPEQSETIHEASGIEELQFSVHDSGFREKCEAITSVDGYKAVWHSNSEADDHCMGVQRDVSKPSSKRYFPSCDESFEEVIYPKGDSDAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIQPERRHRYHFFNSFFFRKLADMDKDPSNAFDGRAAFLRVRKWTRKLNLFEKDFVFIPVNYNLHWSLIVICHPGEVAKFEDDILGKLSRVPCILHMDSLRGSHTGLKDLLQSYLWEEWKERQKELSEDVSAKFLNLRFASLELPQQQNFCDCGLFLLHYVECFLEEDPADINPFSITKNSHFLSMDWFHPDEPSCKRSYIQRLIHDILQNRSCENSPSGENESCVPFNPLERGNHDQNAVEFISDQFGSKSCHGDLLCPQTSQGFEMSRLSASSLKDGQCPSSSGLVLRESFEPESNLHAQIVVHFDRSISFSEVKNSLPSIEEEVETGGNFVYASSNATGFGDLGAVASETFVFPYSSRDAASEASWKTQVPVHHAADEHIGSSPATICHDQNDLEVGIDGKCKAVGKESLDDCADQLASTVEENTECFTESLPAMFHVAAEPQNGVEPHSQNGIEDSLTASQNLSELPQIEFHDNTNIVSRNLDAIGSDVVVQHAAKKMRLMTPVEREVELNLSEDLHL >itb01g35560.t5 pep chromosome:ASM357664v1:1:37696834:37704396:1 gene:itb01g35560 transcript:itb01g35560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAKSFDVFGFKEEELPNMVVTRVCSESVASEALNIHRALENEYFDIGGMSRFDDGEQINSRNQELFHHDDSVDAVSDTDESMNVNSRTPSASPANHDLCEDGPSSENCQSHWEMGENMAIVFNPDFIYYRETYYTDCVVNFSNSYIEVKGSVVSGNMGTFSIQFTVEDIKQIHLEYSMTADAGQFKIRVISRCPEQSETIHEASGIEELQFSVHDSGFREKCEAITSVDGYKAVWHSNSEADDHCMGVQRDVSKPSSKRYFPSCDESFEEVIYPKGDSDAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIQPERRHRYHFFNSFFFRKLADMDKDPSNAFDGRAAFLRVRKWTRKLNLFEKDFVFIPVNYNLHWSLIVICHPGEVAKFEDDILGKLSRVPCILHMDSLRGSHTGLKDLLQSYLWEEWKERQKELSEDVSAKFLNLRFASLEVVSSISRHLFI >itb01g35560.t4 pep chromosome:ASM357664v1:1:37696834:37706334:1 gene:itb01g35560 transcript:itb01g35560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAKSFDVFGFKEEELPNMVVTRVCSESVASEALNIHRALENEYFDIGGMSRFDDGEQINSRNQELFHHVRPNVLSGRFNPSLECSSSPGTASPEDNQHAFRESQSNDDSVDAVSDTDESMNVNSRTPSASPANHDLCEDGPSSENCQSHWEMGENMAIVFNPDFIYYRETYYTDCVVNFSNSYIEVKGSVVSGNMGTFSIQFTVEDIKQIHLEYSMTADAGQFKIRVISRCPEQSETIHEASGIEELQFSVHDSGFREKCEAITSVDGYKAVWHSNSEADDHCMGVQRDVSKPSSKRYFPSCDESFEEVIYPKGDSDAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIQPERRHRYHFFNSFFFRKLADMDKDPSNAFDGRAAFLRVRKWTRKLNLFEKDFVFIPVNYNLHWSLIVICHPGEVAKFEDDILGKLSRVPCILHMDSLRGSHTGLKDLLQSYLWEEWKERQKELSEDVSAKFLNLRFASLELPQQQNFCDCGLFLLHYVECFLEEDPADINPFSITKNSHFLSMDWFHPDEPSCKRSYIQRLIHDILQNRSCENSPSGENESCVPFNPLERGNHDQNAVEFISDQFGSKSCHGDLLCPQTSQGFEMSRLSASSLKDGQCPSSSGLVLRESFEPESNLHAQIVVHFDRSISFSEVKNSLPSIEVFHPLLLFKFRLYLMVNMIIILFLTLSFLLPCLIQSIYFHIIQELNKLRSTLLNHLFDTGGGGNWWKFCICIL >itb01g35560.t3 pep chromosome:ASM357664v1:1:37696834:37706425:1 gene:itb01g35560 transcript:itb01g35560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAKSFDVFGFKEEELPNMVVTRVCSESVASEALNIHRALENEYFDIGGMSRFDDGEQINSRNQELFHHDDSVDAVSDTDESMNVNSRTPSASPANHDLCEDGPSSENCQSHWEMGENMAIVFNPDFIYYRETYYTDCVVNFSNSYIEVKGSVVSGNMGTFSIQFTVEDIKQIHLEYSMTADAGQFKIRVISRCPEQSETIHEASGIEELQFSVHDSGFREKCEAITSVDGYKAVWHSNSEADDHCMGVQRDVSKPSSKRYFPSCDESFEEVIYPKGDSDAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIQPERRHRYHFFNSFFFRKLADMDKDPSNAFDGRAAFLRVRKWTRKLNLFEKDFVFIPVNYNLHWSLIVICHPGEVAKFEDDILGKLSRVPCILHMDSLRGSHTGLKDLLQSYLWEEWKERQKELSEDVSAKFLNLRFASLELPQQQNFCDCGLFLLHYVECFLEEDPADINPFSITKNSHFLSMDWFHPDEPSCKRSYIQRLIHDILQNRSCENSPSGENESCVPFNPLERGNHDQNAVEFISDQFGSKSCHGDLLCPQTSQGFEMSRLSASSLKDGQCPSSSGLVLRESFEPESNLHAQIVVHFDRSISFSEVKNSLPSIEVFHPLLLFKFRLYLMVNMIIILFLTLSFLLPCLIQSIYFHIIQELNKLRSTLLNHLFDTGGGGNWWKFCICIL >itb01g35560.t2 pep chromosome:ASM357664v1:1:37696834:37706425:1 gene:itb01g35560 transcript:itb01g35560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAKSFDVFGFKEEELPNMVVTRVCSESVASEALNIHRALENEYFDIGGMSRFDDGEQINSRNQELFHHDDSVDAVSDTDESMNVNSRTPSASPANHDLCEDGPSSENCQSHWEMGENMAIVFNPDFIYYRETYYTDCVVNFSNSYIEVKGSVVSGNMGTFSIQFTVEDIKQIHLEYSMTADAGQFKIRVISRCPEQSETIHEASGIEELQFSVHDSGFREKCEAITSVDGYKAVWHSNSEADDHCMGVQRDVSKPSSKRYFPSCDESFEEVIYPKGDSDAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIQPERRHRYHFFNSFFFRKLADMDKDPSNAFDGRAAFLRVRKWTRKLNLFEKDFVFIPVNYNLHWSLIVICHPGEVAKFEDDILGKLSRVPCILHMDSLRGSHTGLKDLLQSYLWEEWKERQKELSEDVSAKFLNLRFASLELPQQQNFCDCGLFLLHYVECFLEEDPADINPFSITKNSHFLSMDWFHPDEPSCKRSYIQRLIHDILQNRSCENSPSGENESCVPFNPLERGNHDQNAVEFISDQFGSKSCHGDLLCPQTSQGFEMSRLSASSLKDGQCPSSSGLVLRESFEPESNLHAQIVVHFDRSISFSEVKNSLPSIEEEVETGGNFVYASSNATGFGDLGAVASETFVFPYSSRDAASEASWKTQVPVHHAADEHIGSSPATICHDQNDLEVGIDGKCKAVGKESLDDCADQLASTVEENTECFTESLPAMFHVAAEPQNGVEPHSQNGIEDSLTASQNLSELPQIEFHDNTNIVSRNLDAIGSDVVVQHAAKKMRLMTPVEREVELNLSEDLHL >itb01g35560.t6 pep chromosome:ASM357664v1:1:37696834:37704396:1 gene:itb01g35560 transcript:itb01g35560.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAKSFDVFGFKEEELPNMVVTRVCSESVASEALNIHRALENEYFDIGGMSRFDDGEQINSRNQELFHHVRPNVLSGRFNPSLECSSSPGTASPEDNQHAFRESQSNDDSVDAVSDTDESMNVNSRTPSASPANHDLCEDGPSSENCQSHWEMGENMAIVFNPDFIYYRETYYTDCVVNFSNSYIEVKGSVVSGNMGTFSIQFTVEDIKQIHLEYSMTADAGQFKIRVISRCPEQSETIHEASGIEELQFSVHDSGFREKCEAITSVDGYKAVWHSNSEADDHCMGVQRDVSKPSSKRYFPSCDESFEEVIYPKGDSDAVSISKRDFDLLQPDTFVNDTIIDFYIKYLKNKIQPERRHRYHFFNSFFFRKLADMDKDPSNAFDGRAAFLRVRKWTRKLNLFEKDFVFIPVNYNLHWSLIVICHPGEVAKFEDDILGKLSRVPCILHMDSLRGSHTGLKDLLQSYLWEEWKERQKELSEDVSAKFLNLRFASLEVVSSISRHLFI >itb07g11520.t1 pep chromosome:ASM357664v1:7:12979111:12980187:-1 gene:itb07g11520 transcript:itb07g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLKMKRAQQLLPLLFVWLLFAATLHYYSLIPTAQPIRSANFKSSHPNNKLGDKNGYFHAASGKEMKIHKKPSGPNPIGNQHPPSRP >itb14g10730.t1 pep chromosome:ASM357664v1:14:12170207:12171529:-1 gene:itb14g10730 transcript:itb14g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKIESTRIVKPLYEGNPPLTTSHVPLSVFDKVTFDAHIAIIYAYQPPTPSNSTILLGLRKALALYREWAGRLKKDEKDNPIILLNDKGVKFVEASSDITLDKIMPFKPSASLLNLHPSLKGVVELIQVQVTRFKCGSLVVGFTSHHLIADGHSTSDFLIAWGKMCRSIMIQPLPLHDRTIFVPRNPPKIEYNHVGVEYMPKQIKKNDHFLNNNDMKFLEDIVVHKVHFTFDFLAKLKAKASTMNDGARPYSTFESLVAHLWRAITKARNLGGFETTHIRISVDGRARLNPKVPNEYFGNLVLWAFPTAKVKDLLREPLPYAAKLIHDAVSKVNNNYFRSFIDFANSKVATEEDGLVPTADMDKHILSPNLEVDSWLRFPFYDLDFGTGCPYIFMPTFFPTEGMMFLLPSFIGDGSIEAFIPLFQDNLATFQQNCYSLD >itb08g15840.t1 pep chromosome:ASM357664v1:8:18054364:18055162:1 gene:itb08g15840 transcript:itb08g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGVKLLGVPPSPFVNRVQISLNLKSVDYELIPENPHCKSELLLKYNPVNKKIPVLVHDDKPICESLIIVQYIDDTWTSSSPSILPSDPHDRAIARFWASYIDDKWFPLLKQLQDAEGEEAKAATVGKITEGVVLLEEAFAKCSKGKAFFGGDSIGYVDIALGSCMGWVKAVEKIANFKILDETKTPALCQWAGTFLADKAVKDVILAPEKLIELFMMMQAQQKPASN >itb11g16070.t3 pep chromosome:ASM357664v1:11:13964819:13967572:1 gene:itb11g16070 transcript:itb11g16070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRPKTPKTPQMPQESSRAVTHELLLSIPGSKAHLVDAGEAVELASGVFTIWRIAEDGVSVATTVKVGDDLQWPLTRDEPVVKLAALNYLFTIPVRDGNSLSYGVTFSEGDLRFLDSFLKEHCLFSTSKTLLSDKKKKGSVVDWKEFAPRVDDYNNFLAKAIAGGTGQIVKGIFICSNAYANQDIFSGTHMMKISNPCLLRHEVKVRE >itb11g16070.t2 pep chromosome:ASM357664v1:11:13964819:13972327:1 gene:itb11g16070 transcript:itb11g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRPKTPKTPQMPQESSRAVTHELLLSIPGSKAHLVDAGEAVELASGVFTIWRIAEDGVSVATTVKVGDDLQWPLTRDEPVVKLAALNYLFTIPVRDGNSLSYGVTFSEGDLRFLDSFLKEHCLFSTSKTLLSDKKKKGSVVDWKEFAPRVDDYNNFLAKAIAGGTGQIVKGIFICSNAYANQVYKGGETILSPAGQEKSGVNAIERKNSVNGAAKKSAVNNSLQRARKLSQMTEKMSKAMLDGVGVATGSIMGPMVRSQAGKKFLSMVPGEVLLASLDAVSK >itb11g16070.t1 pep chromosome:ASM357664v1:11:13964952:13974489:1 gene:itb11g16070 transcript:itb11g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFRPKTPKTPQMPQESSRAVTHELLLSIPGSKAHLVDAGEAVELASGVFTIWRIAEDGVSVATTVKVGDDLQWPLTRDEPVVKLAALNYLFTIPVRDGNSLSYGVTFSEGDLRFLDSFLKEHCLFSTSKTLLSDKKKKGSVVDWKEFAPRVDDYNNFLAKAIAGGTGQIVKGIFICSNAYANQVYKGGETILSPAGQEKSGVNAIERKNSVNGAAKKSAVNNSLQRARKLSQMTEKMSKAMLDGVGVATGSIMGPMVRSQAGKKFLSMVPGEVLLASLDAVNKVLDAAEAAERQAFSATSGAVTKMVSQRFGENAGEATQDALATAGHTVGTAWNVFKIRKAITPGSSVTSGVRNAAKNTRR >itb07g03120.t1 pep chromosome:ASM357664v1:7:2120821:2124171:-1 gene:itb07g03120 transcript:itb07g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYAHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQ >itb07g03120.t2 pep chromosome:ASM357664v1:7:2120821:2124171:-1 gene:itb07g03120 transcript:itb07g03120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYAHGAFSSVCCELAISLSFIYKF >itb12g21000.t1 pep chromosome:ASM357664v1:12:23419103:23422160:1 gene:itb12g21000 transcript:itb12g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKKKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGKMNRQMNLPALQRIMQEFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDMNSELLNAPSSAVAAPAAKTKVPQAEATGNDDGGIDSDLQARLDNLRRM >itb02g12790.t1 pep chromosome:ASM357664v1:2:8830259:8831198:-1 gene:itb02g12790 transcript:itb02g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEWGSKFHHLRLLQLPTPTLHNPNSKSNVLRNGVPSSKEFRECSHFKATEKPQWRKGPMGLNTLCNPCGIRYSTGRLFPEYRPANSPTFVPTLHSSYHRKVVEMRRKGVEEATMVEEDSVN >itb02g10960.t1 pep chromosome:ASM357664v1:2:7166567:7170622:-1 gene:itb02g10960 transcript:itb02g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MAHPSVCSFISHKHNAALLRVSFSPSLQKRAFFSTHCMLPFARRLCRRTTSATPNHHGLTLLLAKIDPSLDLTKRLTTVSSLSRFLEHGMKRLETAIAVFIIFVQVACPVPLSGWESWGVSPAEAVLYSPETKLPRTGELALRRAIPANTSMKAIQESLEDISYLLRIPQRKPFGTMEGNVKKALKIATDEKEAILGSIPIDLRENGSVLYASLIDGKGGLQSLIESIKDKDPDKVSVGLASSLDTVAQLELLQAPGLSFLLPEQYLNYPRLTGRGMVEFTVEKGDGSMFSPESGGEGKSITKIQVILDGYSAPLTAGNFAKLVIDGAYDGVKLNCANQAILTESRLGKDIGYSVPLEIMPSGQFEPLYKTALSVQDGELPVLPLSVYGAVAMAHSDVSDEYSSPSQFFFYLYDKRNSGLGGISFEEGQFAVFGYTTAGREILSQIKTGDVIRSAKLVEGQDRLVLPKESL >itb02g10960.t2 pep chromosome:ASM357664v1:2:7166567:7170622:-1 gene:itb02g10960 transcript:itb02g10960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MAHPSVCSFISHKHNAALLRVSFSPSLQKRAFFSTHCMLPFARRLCRRTTSATPNHHGLTLLLAKIDPSLDLTKRLTTVSSLSRFLEHGMKRLETAIAVFIIFVQVACPVPLSGWESWGVSPAEAVLYSPETKLPRTGELALRRAIPANTSMKAIQESLEDISYLLRIPQRKPFGTMEGNVKKALKIATDEKEAILGSIPIDLRENGSVLYASLIDGKGGLQSLIESIKDKDPDKVSVGLASSLDTVAQLELLQAPGLSFLLPEQYLNYPRLTGRGMVEFTVEKGDGSMFSPESGGEGKSITKIQVILDGYSAPLTAGNFAKLVIDGAYDGVKLNCANQAILTESRLGKDIGYSVPLEIMPSGQFEPLYKTALSVQDGELPVLPLSVYGAVAMAHSDVSDEYSSPSQFFFYLYDKRNSGLGGISFEEGQFAVFGYALQTFSFRWFEF >itb14g00720.t3 pep chromosome:ASM357664v1:14:499992:503422:1 gene:itb14g00720 transcript:itb14g00720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEMEAPKIYEEGINEDELSPVEEVRLTVPNTDDPTLPIWTFRMWVLGLFSCVLLSFLNQFFAYRKEPLIVTQITALVAALPIGRFMAATLPTAKFRVPGFGAREFSLNPGPFNMKEHVLITIFANAGFSFGNGIPLGVAIVNIIIAFYRRKISLFTGWLLILTTQLLGYGWAGLLRKYVVEPAHMWWPNNLVQISLFRTLHEEENEEDDKKQYMSRSKFFVIALTCSFCWYLFPGYLFQSLQSISWVCWAFPRSVTAQQIGSGMNGLGLGALTLDWSTIASFLFSPLVSPFFAIANVFVGYVIAVYVVIPMFYWGFNVYNANSFPIFSSDLFTAEGQLYNISAIVNEKFELDEGEYAKQGKIHLSIMFSTLTYGFGFATVASTLSHVGVFYGRSMSGKRIYIQWRECGYNRKGRRRKTILSF >itb14g00720.t2 pep chromosome:ASM357664v1:14:499992:503422:1 gene:itb14g00720 transcript:itb14g00720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEMEAPKIYEEGINEDELSPVEEVRLTVPNTDDPTLPIWTFRMWVLGLFSCVLLSFLNQFFAYRKEPLIVTQITALVAALPIGRFMAATLPTAKFRVPGFGAREFSLNPGPFNMKEHVLITIFANAGFSFGNGIPLGVAIVNIIIAFYRRKISLFTGWLLILTTQLLGYGWAGLLRKYVVEPAHMWWPNNLVQISLFRTLHEEENEEDDKKQYMSRSKFFVIALTCSFCWYLFPGYLFQSLQSISWVCWAFPRSVTAQQIGSGMNGLGLGALTLDWSTIASFLFSPLKGNCITYLPL >itb14g00720.t1 pep chromosome:ASM357664v1:14:499992:503795:1 gene:itb14g00720 transcript:itb14g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEMEAPKIYEEGINEDELSPVEEVRLTVPNTDDPTLPIWTFRMWVLGLFSCVLLSFLNQFFAYRKEPLIVTQITALVAALPIGRFMAATLPTAKFRVPGFGAREFSLNPGPFNMKEHVLITIFANAGFSFGNGIPLGVAIVNIIIAFYRRKISLFTGWLLILTTQLLGYGWAGLLRKYVVEPAHMWWPNNLVQISLFRTLHEEENEEDDKKQYMSRSKFFVIALTCSFCWYLFPGYLFQSLQSISWVCWAFPRSVTAQQIGSGMNGLGLGALTLDWSTIASFLFSPLKGNCITYLPL >itb01g17890.t1 pep chromosome:ASM357664v1:1:22741035:22741922:1 gene:itb01g17890 transcript:itb01g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVVVQRKEKEEKRTFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQCNHSITENSLWDYQYEECALAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKQKRYQQLINLAHSTSFANSSRVPWEDEMF >itb15g05500.t1 pep chromosome:ASM357664v1:15:3541337:3544635:-1 gene:itb15g05500 transcript:itb15g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYASALRFSSSSTVFPKFTSAHNFTGNNTTSLFFPPKPFSTNQSNDIASQRSPSQLRAVSNEVGDMNKRRSSLESLFCYDKPVPEEIIEKPIGLSMTAKNIGNSPPCTGCEAKGAVLCKTCSGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCSDCGGRGHQ >itb10g22640.t1 pep chromosome:ASM357664v1:10:27402833:27408394:1 gene:itb10g22640 transcript:itb10g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRVICFVCCLSLLFGAGVYGYPEEDLVTGLPGQPEVSFRQFSGYVDVDEKAGRSLFYYFVEAEKDVHRLPLTLWLNGGPGCSSIGGGAFTELGPFFPSGDGRGLIKNSKSWNKASNLLFVESPAGVGWSYSNTSSDYTCGDISTAEDMLIFMKKWYEKFQEFLDRPLFLTGESYAGHYIPQLASLMIDHNKHSKGHQFNIKGVALGNPLLKLDRDVPAVTEFMWSHGMISDENYNAIRNECDFDDYSFIYPHNESDSCNAAINEENRAVTDYINVYDVIVDVCYPSIVEQELRLHKVVTKMSMGIDVCMGSERTFYFNLPEVQKALHANRTNLPYDWKTCADYSLLKYNQTDGDANVVPLLKKLLQHKIPLWIYSGDQDSVVPLVGSRTIVRELAADMKMSITVPYSAWFHKGQVGGWQTEYGKLLTFATVRGAAHMVPYAQPGRALHLFSSFIRGRRLPNTTSIPIDQQQYYY >itb14g11290.t1 pep chromosome:ASM357664v1:14:12919418:12923376:1 gene:itb14g11290 transcript:itb14g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGVFFSFLGIIFFFDKGLIAMGNILFFSGVALTIGLKSSMQFFTKRTNFKGTMSFGAGFFLVVIGWPILGMILEAYGFIILFSGFWPTLAVFLQKIPILGWLLQHPFVRSIFDRYRGKRVPV >itb04g07120.t1 pep chromosome:ASM357664v1:4:4760535:4764320:-1 gene:itb04g07120 transcript:itb04g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADSTTTASAAATTFDAVVSQEEHSITSVSEDHEKPCWGCGLRLLVSPYAPAFKCAWCGAITNENAVKRDNRCLKWKRLRDRCFVIVLIIFMIFIICGGIWAIYPVVFAINYYYGVLHLIIAVTLSISTISTFSFTAFRSAGAPPNILWGSYPAIGNCVGAANHRFFILFLISAVTSTVYVTFMSAYAAYHIWPPFRYGTVIPWAGVLDHELLLRAVREFMITFLSSMLFLPARALVLMYLFMSSVSVEIGLSVLLWQQLCYIYEGKTYLSHLSSLDSDEATTKDCENIIRFFGCPYSTKRYLPVFMSSSKSHEK >itb01g21350.t1 pep chromosome:ASM357664v1:1:27473015:27476494:-1 gene:itb01g21350 transcript:itb01g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSFSTEERSYGDAKLDDDGLQKRTGTFISTTAHIITGVIGSGVLSLAWAFAQLGWVAGPVSLLVFAVITWFTSVLLADCYRYPDGKRNYTYMDAVTAHLGGSNVKVCGTAQHSNLVGTGISYTITTALSMSAISKSNCYHKYGHGADCKHTNNTYIILFGVAQIILSQIPNFHKLSFLSLIAAIMSFTYSSIGLGLSIDRIARGGHVKTSLMGQPIGADLSSADKMWNTFAALGDIAFAYSFSNILVEIQDTIKASPRENKVMKQATFAGIAISTVFYMLCGVLGYAAFGNDAPSNFLTGFGFYDPFWLIDLANVCIIVHLLGAYQVFTQPLFAFVEEQCKRKWPESRFVNHESTIKVVPALPALSFSAFRLVWRSAYVVVTTFVSMLLPFFNDFVGLIGAAAFWPLTVYFPIEMYIARAKIRRLSFTWVWLQILSVVCFIISVVASAGSIRGLIQSVKTFQPFHVES >itb04g02030.t2 pep chromosome:ASM357664v1:4:1252988:1256904:1 gene:itb04g02030 transcript:itb04g02030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLPEIEKVCSVLVGKKLIYNKYDEVGVILFGTTETANELTKEVGGYEHVTVLRSIKVVDDDLVQAVEQIPRGSVDGDFLDAVIVGMDMMIKKYGLTNKGKKRLCLITNATCPIKDPYEGTKEDQVSTIAAQMKAHGMRMDSVIVRMKQDLDAKKGIIGENDFLLSVFSEKTSSKAVYVESATSLLGAIRTRNITPATLYRGDFELSNKLKIKVMVYKKTAEERFPTLKMYSDKAPPTDKFAKHEITINYEYKVVQDPTREVPPEQRIKGYRYGPQVVPISSAEWEAVKFKPEKGVKLLGFSDASNIMRHYYMKDANVFIADPENSKAILAVSALARAMKDMNRVAIVRCVWRQGQGNVTIGVLTPNVSDKGNVPDSLYFNALPFAEDVREFQYPSFSNLPSSMLPTEQQQEAADNLVKMLDLAPSDSQEVLLPEFTPNPVLQRFYHYLELKSKHHDAAVPPLDEMLRRITDPDPELLSRNKTVVDEFRRCFELKDNPKMKKSRRRLIREKPTGSDEEGEDLGKTAEAKRPKPIDFIEHASKVKPEKIGNINPVQDFEDMISRRDSPEWVNKAIQEMKNKIFYLVESSHEGDTYQKALECLVALRNGCIVEQEPKQFNDLLHNLYASCKEKDLGSFCKQLESHGITLITKTEAPDSDILESEARSFMTSSELKAETAMKEPKEEQDIMSIYLGGK >itb04g02030.t1 pep chromosome:ASM357664v1:4:1252747:1256904:1 gene:itb04g02030 transcript:itb04g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNREGLILVIDVGPSMHSVLPEIEKVCSVLVGKKLIYNKYDEVGVILFGTTETANELTKEVGGYEHVTVLRSIKVVDDDLVQAVEQIPRGSVDGDFLDAVIVGMDMMIKKYGLTNKGKKRLCLITNATCPIKDPYEGTKEDQVSTIAAQMKAHGMRMDSVIVRMKQDLDAKKGIIGENDFLLSVFSEKTSSKAVYVESATSLLGAIRTRNITPATLYRGDFELSNKLKIKVMVYKKTAEERFPTLKMYSDKAPPTDKFAKHEITINYEYKVVQDPTREVPPEQRIKGYRYGPQVVPISSAEWEAVKFKPEKGVKLLGFSDASNIMRHYYMKDANVFIADPENSKAILAVSALARAMKDMNRVAIVRCVWRQGQGNVTIGVLTPNVSDKGNVPDSLYFNALPFAEDVREFQYPSFSNLPSSMLPTEQQQEAADNLVKMLDLAPSDSQEVLLPEFTPNPVLQRFYHYLELKSKHHDAAVPPLDEMLRRITDPDPELLSRNKTVVDEFRRCFELKDNPKMKKSRRRLIREKPTGSDEEGEDLGKTAEAKRPKPIDFIEHASKVKPEKIGNINPVQDFEDMISRRDSPEWVNKAIQEMKNKIFYLVESSHEGDTYQKALECLVALRNGCIVEQEPKQFNDLLHNLYASCKEKDLGSFCKQLESHGITLITKTEAPDSDILESEARSFMTSSELKAETAMKEPKEEQDIMSIYLGGK >itb03g06390.t1 pep chromosome:ASM357664v1:3:4671749:4674828:-1 gene:itb03g06390 transcript:itb03g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEMRNGTAQINVITMCFPAASPVRLSRIWLTKIYNPHNLQFLCAYSLFFTLFGSSHLAISATLTLENIRWLVYSWLEYPRTNTQQQLSLDNKERRRSWETFCCNFMQTQLFNILTAKEKLFFQFLARKALAEARARQEAVEKERDRLLKELARSEAKQRDYVDAIRHDKEMAISELEAAKSMFNQKLEQSVEERFNLESKLVLAKQDAIELAWQVEKLAEIAFQQATSHILEDVQLRVSAAETSAAEAAYQIEEQIRNATEGTIFSIVQQSKDALEKALAVAESAGDHTRKAMSAFTDDMSSVEHITSIQSQNVKLQTVVNDLESQLLICKNEVERLKLDFETVSQRAVALENQANDAEKALHAFQESSRTTAIQQEQEINSLLETAIQQEQEINSLLEKMKKETAEKKRAASKAFKNELEKKRAASKAFKNELESIKAAIEAAKETSRSQEEAYMRRCDALQRSLKASEAASKMWRQRAELAEALLLNKTLSEGEDEDAIFVGSGGRINLLVDNDSQKRYTCLDGTKDKLHLSQVST >itb02g24670.t2 pep chromosome:ASM357664v1:2:25299196:25301983:1 gene:itb02g24670 transcript:itb02g24670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAVAKDLGFYLFIPYLSFAVQGAYIINGNCKNIMNMSQSDQSELWRSVVNRNLEAYLRVSSKLKLGRIPVRLYVRSPTGDLDYVEVAPVVESWDKIPYIKRPIEIHEDAFTSLKNLKVCFNGFVGSIPPAIGNLSALVALSICHQGRTISQELCPVKNFVSLKTSRSWISVIIAWKDFFLHAFAT >itb02g24670.t1 pep chromosome:ASM357664v1:2:25299196:25301983:1 gene:itb02g24670 transcript:itb02g24670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAVAKDLGFYLFIPYLSFAVQGAYIINGNCKNIMNMSQSDQSELWRSVVNRRIPVRLYVRSPTGDLDYVEVAPVVESWDKIPYIKRPIEIHEDAFTSLKNLKVCFNGFVGSIPPAIGNLSALVALSICHQGRTISQELCPVKNFVSLKTSRSWISVIIAWKDFFLHAFAT >itb14g10100.t1 pep chromosome:ASM357664v1:14:11350927:11351442:1 gene:itb14g10100 transcript:itb14g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRYYCRACKRHWTHGGIQRDIPIGGKSNKGRKSTKRYENKRVQSSLPQLQPPCPQANVAPLAFGPLALPPMVTPYRVENGYLNMVNPLRTIEPPYNSSQNAFQPTWHYDSRSHNNLFLNNNDGASSSNSIPLNASVNNNTTSGYTNIGCWTSLVALTHQHDELYASAC >itb08g12010.t1 pep chromosome:ASM357664v1:8:12053916:12063146:-1 gene:itb08g12010 transcript:itb08g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTEIGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb08g12010.t9 pep chromosome:ASM357664v1:8:12053916:12062278:-1 gene:itb08g12010 transcript:itb08g12010.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAGNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTEIGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGVVGNAGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb08g12010.t2 pep chromosome:ASM357664v1:8:12053916:12063146:-1 gene:itb08g12010 transcript:itb08g12010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTEIGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHEVKGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb08g12010.t6 pep chromosome:ASM357664v1:8:12054109:12063142:-1 gene:itb08g12010 transcript:itb08g12010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHEVKGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGGKFLFCFFHCC >itb08g12010.t3 pep chromosome:ASM357664v1:8:12053916:12063146:-1 gene:itb08g12010 transcript:itb08g12010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTEIGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHEVKGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDGILFSFCNFISLF >itb08g12010.t8 pep chromosome:ASM357664v1:8:12053916:12063146:-1 gene:itb08g12010 transcript:itb08g12010.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTEIGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGVVGNAGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb08g12010.t5 pep chromosome:ASM357664v1:8:12053916:12063146:-1 gene:itb08g12010 transcript:itb08g12010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHEVKGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb08g12010.t7 pep chromosome:ASM357664v1:8:12053916:12062278:-1 gene:itb08g12010 transcript:itb08g12010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAGNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTEIGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHEVKGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb08g12010.t4 pep chromosome:ASM357664v1:8:12053916:12063146:-1 gene:itb08g12010 transcript:itb08g12010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRKFDLPENLLALKASDQNSTPKCNDEDKSVMGLLDVSKDQMVPDSSIPLSPQWLYAKPSDTKTEMRAPSSLSLGSSSDSSQRESWRSDASEDRKDWKRTNAEVEGGRCWREEERETGLLGRRDRRKADRRVVENATTREITEAKVLPISDRWNDVGNRTTVHEMRRDNKWSSRWGPDEKEKEGHAEKRVDAEKEDPLNENQNFVSSRSVSEREQDARDKWRPRHRMEGNTVAAGSIRSAPGFGIERGRTEGSYVGFTLGRGRPNGSILRPSSGGAIGTEQFQNESAGKLCIAANTFFYPRGKLLDVYRRKKLDSHFCDVPDNMEEAPHIRQLTVVEPYAFVAPDSQEEAILHDIWKGKITGSDVLYNSFRKGRLTDNVTGVLEPINGRLAVLPTDMMEMMDTLPKTPKDVEEPTVDCLSYDNDLETNLHEVKGKVLEAIARDEILSARMRSDNINVSKDMTGPHFDVSSKLPDDSNSLFSMQSSEKYLDPCSSGNQVGRGVLPEELSLYYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDDALEDSPFEELGDVMPHLRGGHGYAVASDCRSKLEQPAAFEYKLEVVQDSAPISVGGPSVLLDGLTWQPEDFHGHYAQGIQSGGPDLELHSEHSYSNDFHDFGAPDEESAFPGRPGSSGTIGKISRSTNDPSFSILNNPYIPNDLSENVVPNQRESTLHPFGLLWSELESTYARDHHRSSQDQLANPIAGRVSPFSEMTESTGPAQTWPDAYRKFLLTNPNLYQDIADAHHMSRMDHELMQKLQHQHLQPHSLISRNVHMHDAVLERVANRNSMHNPQLASQMGQDLEQFMALHVKQQQQQHRQLQLQRQLQLHQHTLLKEQQQAQARQLLLEQLLQSQIRESNHGQSHINAIRPSSSLDEVLIKQKILSEVQQSSHPPTRYPDPSIDHPIQSRFSQMSHQGHQDDLLELLSRKKHGQMLQQEQLHGRQVPMGLRQWLEVEGDRQVSSVWPMDETSQFIRNPAVAHRDSSGFGPLDFLQQQQMPSPEEHHTLDRTLSLQDRLQRGLCDPGSLSFEQSISLPSVGARVNSNAVNALARVQGLDLKEPNMQMYSGGIMGGISSGLYSHHIQHPLVANHFHASHPESVDGRWAGTNGQLSTDCLESHIQQLHLSRGRQKRELENKKTSDGSRLWMSTEANDDSSKQLLMELLHKKSGQQSADNSKTIGTSYEMGLASNHISEANTLNHISDKKIGLNQSLAVGSFSCNSGVPPKNFLAEQIASGLDISERIPFRSHAGALAEEDLFHSGFNDISKGPEQETREDIVQLAGLAAIDQGEVPLRNLIRNSSLGNGVVGNAGSYGDKNRTDDSLAEDAHVDHATTATFRRPDSILLKHPPVSHVSSSHQESFSKLNSDSLAMGKSPSNTIASDVGKQDAVCNTANQVSDTLPTGKKDICSRRTVSCGDAEITEISFSDMLKSNVKKAPREFHSSTATSESSSDAAQSARSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >itb11g08920.t1 pep chromosome:ASM357664v1:11:5847238:5849235:-1 gene:itb11g08920 transcript:itb11g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSWMQSKINGGKPNPVASAHYHRKEAQKEEFSDWPDSLLAIGTFGINNNQKKNQGSEDAQSQIEEILQDDEQSSSPDLTEFTAEEVGKLQKELTKLLSRKPASKPESAETNNAAADLPLDRFLNCPSSLEVDRRNSSTLSTNSDNSSYDYDEDEIDRTIRVIIGRCKDVCEKSRKQKTIGKKSLSFLLKKVFACRSGIAPTPSLRDTFQESRMEKLLRTMLSKKVYSQTSSRPSTSMKRYLEDKQTSRTDEEDEQKRDKTCDSGRWDKTDSEFIVLEI >itb11g18920.t1 pep chromosome:ASM357664v1:11:19384343:19386211:1 gene:itb11g18920 transcript:itb11g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSQLGKRTVFRSPHLYGTSASFQFHGSKGFIASSIPPKRTANFSSTTWYADKEGEEAAYACALRKCVETSNLGYAKAIHAKLFRTPRMAFSLYLHNHVLNAYVKCGDIGGGLKLFDDMPEKNVVSWTALIAGFVQKGFPVEAFSSFFRMHSSGIKPNEFTFVSALHACSFADSLSLLHLCQVYGLIVKFGFESNIYLVNAFLTGLLRHHRLEEAKEVFENCSNKDNVTWNAMLDGYVQLCCSELPRFWLRMIRNGVGPDKFTFSSVLTGLAEHPNLEMGVQVHAWLIKCGHGSEVCVGNSLVDMYLKSQRLSEGLKAFEEIHLKDVRSWTQMAAGLLNYEKPSWALQVVGKMRMAGVRPNKFTLATAFNACANLAYLKEGEKLHGLRIKLEYDIDVCVDNALLDMYAKCGCMDGAFMVFKSMNERTIVSWTAMIAGYAQNGCPKEALEIFHEMRSRGVEPNVITLICVLYACSQGGLIDEGWSIFSSMSREYGIVPIQDHYACMVNLLGRAGRIKEAEELILGMPYQPGLLVWQTLLGACVLHGDTETAKRAAEKALNINKNDPATYVLLSNTFASLHHWENVGNLREIMESREVKKIPGSSWLEINRGKSLLEALGEYA >itb09g11830.t1 pep chromosome:ASM357664v1:9:7382014:7385038:-1 gene:itb09g11830 transcript:itb09g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSQEEVLAAHLEQQKIESEEPVIEDDDDDEDDDDDEGDEKDEDEEHGDGSGRSKQSRSEKKSRKAMLKLGMKPILGVSRVTVKKSKNILFIISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPNISDVISKPEPSTAAQDDEDDVDETGVEPKDIELVMTQAGVSRAKAVKALKAADGDIVSAIMELTN >itb13g14520.t2 pep chromosome:ASM357664v1:13:21117419:21127383:1 gene:itb13g14520 transcript:itb13g14520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHYCGSKSTKSCSSMDKQENDNCKRLNGMDSVQSCQFCGEMKDKNLTSHAVASISPTISMQSSERSVSSCSEFSVDVNSDYSTDSSQESFNSNTRGSLYGFSLQPPSNGSNLDSSGNNRSAIVENSRVERDIDEETGIPFNVEVDSHFWLPPDPEDRDLDLEGSVANYEDDDDIEGGNGLNLVSFGEEYSGSFKFKEEKQKAMEEVMCGKFKALVAQLLRSVGVNPKGEDSDSWVDIVTALSWNAASFVKVDAAEGKAMDPDGYVKIKCVATGSRNQSQFVKGLVFKKHAAHKHMPTRYKSPRLLLIQGALGLSSNELSSLQSMQQQEKEGLKSFVEILEKYHPNVVLVEKAVSRDVQESILQKGITLVFDMKLHRLERVARCTGSPILSCDTLAAQKLRQCDSFHFEKFIEEHAISREGGRKPNKTLMFIEGCPTRLGCTILLMGSNNDELKRIKCVVRCAVVMAYHLILETSFLLDQRAMFSTISPTHLVTVSANKQDSTSVGDGAESLSNNEDTAPDIHSTTDIAISDGLHAEGNHNLKSEVDSALSLEPYNPIILSGLSSLSSSLRKVMGESFPILSSSRQSMSSYLGFDRRNVDDLAQTDVQVSNSTTVVNDVKEPKIFPDEEKAPEKEIINTSLTNHEEPLDPQNEIHEDQRNGRDDISTVLDSESILVLMSSRNASKGIMCEHSHFSHIRFYKNFDVPLGNFLQDNLLNQKLPCKTCGEPSEAHISYYAHHNKQLTIQVRHFPADWCLPGESEGKLWMWSRCGKCKFENGNSKSTKRILMSAAARGLSFGKFLELSFSNSSFFSAPSGCGHSFHRDFVFFFGLGPMVAMFRYSPVATYSVSLPPLKLEFSNPVKGEYLKKDFEKVHSKGIQMFMDIENSLKDIWSHSTGKEFNLQETPKEFIEIEAMLKEERSQFEVGVQNLNNGNGDNIVYKLLSLNRIRLELMLESCVWDRRLRALLSSDTKLMRHRDFKVDNMEHGQTCLTQYDKTGREVNDIETDMERGNDVADRCADIRIDGSNGDEMDEAEISIEGHTDVSGNAPYHDINAAAIPITEIHLDKQVEGSILPDDSSSSCVFLEGGKSPIEMNATGNSLSALDTNSHFGDASHVEYNSPNQVLTDKLIPITCDVGSNHFQRQKSFISMLSTGEDDKGWIWTPFPEIRREFMKDLKKGNLPKFGSVTSHATETVASALVAEEAGKLHIPLGSDDYIVSDYEDELSSIIACALAFLKDFGDDDRKDKVMETKIYESSQGLMRIFSLSSPHWSASSSSLDSAEGSHSSSGLFDESRSSSFDGLDSLESSVSTTAFHSEVSMGSGKLQGKRKYTVLCTFASQFRQLRDRCCTSEDDYIASLSRCRGWDAKGGKSKSFFAKTLDDRFIIKGIKRTEFDSFMMFGLGYFHYMDQCYEKGNQTCLAKILGVYQVTIRNTKNGKETKHELMVMENLSFGRNITRQYDLKGALHARFNSAGNGAGDVLLDQNFVNDMNVSPLYVSRESKRNLQRAVWNDTGFLNLINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQFETWVKTSLVVPKNQLPTVISPIEYKKRFRKFIDTHFISVPDHWCSRGPSNPCQLCGTAGSVDSAHRRSQDGNSTEQEENERGESSAP >itb13g14520.t3 pep chromosome:ASM357664v1:13:21117419:21127383:1 gene:itb13g14520 transcript:itb13g14520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCHYCGSKSTKSCSSMDKQENDNCKRLNGMDSVQSCQFCGEMKDKNLTSHAVASISPTISMQSSERSVSSCSEFSVDVNSDYRGYLDESSTDSSQESFNSNTRGSLYGFSLQPPSNGSNLDSSGNNRSAIVENSRVERDIDEETGIPFNVEVDSHFWLPPDPEDRDLDLEGSVANYEDDDDIEGGNGLNLVSFGEEYSGSFKFKEEKQKAMEEVMCGKFKALVAQLLRSVGVNPKGEDSDSWVDIVTALSWNAASFVKVDAAEGKAMDPDGYVKIKCVATGSRNQSQFVKGLVFKKHAAHKHMPTRYKSPRLLLIQGALGLSSNELSSLQSMQQQEKEGLKSFVEILEKYHPNVVLVEKAVSRDVQESILQKGITLVFDMKLHRLERVARCTGSPILSCDTLAAQKLRQCDSFHFEKFIEEHAISREGGRKPNKTLMFIEGCPTRLGCTILLMGSNNDELKRIKCVVRCAVVMAYHLILETSFLLDQRAMFSTISPTHLVTVSANKQDSTSVGDGAESLSNNEDTAPDIHSTTDIAISDGLHAEGNHNLKSEVDSALSLEPYNPIILSGLSSLSSSLRKVMGESFPILSSSRQSMSSYLGFDRRNVDDLAQTDVQVSNSTTVVNDVKEPKIFPDEEKAPEKEIINTSLTNHEEPLDPQNEIHEDQRNGRDDISTVLDSESILVLMSSRNASKGIMCEHSHFSHIRFYKNFDVPLGNFLQDNLLNQKLPCKTCGEPSEAHISYYAHHNKQLTIQVRHFPADWCLPGESEGKLWMWSRCGKCKFENGNSKSTKRILMSAAARGLSFGKFLELSFSNSSFFSAPSGCGHSFHRDFVFFFGLGPMVAMFRYSPVATYSVSLPPLKLEFSNPVKGEYLKKDFEKVHSKGIQMFMDIENSLKDIWSHSTGKEFNLQETPKEFIEIEAMLKEERSQFEVGVQNLNNGNGDNIVYKLLSLNRIRLELMLESCVWDRRLRALLSSDTKLMRHRDFKVDNMEHGQTCLTQYDKTGREVNDIETDMERGNDVADRCADIRIDGSNGDEMDEAEISIEGHTDVSGNAPYHDINAAAIPITEIHLDKQVEGSILPDDSSSSCVFLEGGKSPIEMNATGNSLSALDTNSHFGDASHVEYNSPNQVLTDKLIPITCDVGSNHFQRQKSFISMLSTGEDDKGWIWTPFPEIRREFMKDLKKGNLPKFGSVTSHATETVASALVAEEAGKLHIPLGSDDYIVSDYEDELSSIIACALAFLKDFGDDDRKDKVMETKIYESSQGLMRIFSLSSPHWSASSSSLDSAEGSHSSSGLFDESRSSSFDGLDSLESSVSTTAFHSEVSMGSGKLQGKRKYTVLCTFASQFRQLRDRCCTSEDDYIASLSRCRGWDAKGGKSKSFFAKTLDDRFIIKGIKRTEFDSFMMFGLGYFHYMDQCYEKGNQTCLAKILGVYQVTIRNTKNGKETKHELMVMENLSFGRNITRQYDLKGALHARFNSAGNGAGDVLLDQNFVNDMNVSPLYVSRESKRNLQRAVWNDTGFLNLINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQFETWVKTSLVVPKNQLPTVISPIEYKKRFRKFIDTHFISVPDHWCSRGPSNPCQLCGTAGSVDSAHRRSQDGNSTEQEENERGESSAP >itb13g14520.t1 pep chromosome:ASM357664v1:13:21117419:21127411:1 gene:itb13g14520 transcript:itb13g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHYCGSKSTKSCSSMDKQENDNCKRLNGMDSVQSCQFCGEMKDKNLTSHAVASISPTISMQSSERSVSSCSEFSVDVNSDYRGYLDESSTDSSQESFNSNTRGSLYGFSLQPPSNGSNLDSSGNNRSAIVENSRVERDIDEETGIPFNVEVDSHFWLPPDPEDRDLDLEGSVANYEDDDDIEGGNGLNLVSFGEEYSGSFKFKEEKQKAMEEVMCGKFKALVAQLLRSVGVNPKGEDSDSWVDIVTALSWNAASFVKVDAAEGKAMDPDGYVKIKCVATGSRNQSQFVKGLVFKKHAAHKHMPTRYKSPRLLLIQGALGLSSNELSSLQSMQQQEKEGLKSFVEILEKYHPNVVLVEKAVSRDVQESILQKGITLVFDMKLHRLERVARCTGSPILSCDTLAAQKLRQCDSFHFEKFIEEHAISREGGRKPNKTLMFIEGCPTRLGCTILLMGSNNDELKRIKCVVRCAVVMAYHLILETSFLLDQRAMFSTISPTHLVTVSANKQDSTSVGDGAESLSNNEDTAPDIHSTTDIAISDGLHAEGNHNLKSEVDSALSLEPYNPIILSGLSSLSSSLRKVMGESFPILSSSRQSMSSYLGFDRRNVDDLAQTDVQVSNSTTVVNDVKEPKIFPDEEKAPEKEIINTSLTNHEEPLDPQNEIHEDQRNGRDDISTVLDSESILVLMSSRNASKGIMCEHSHFSHIRFYKNFDVPLGNFLQDNLLNQKLPCKTCGEPSEAHISYYAHHNKQLTIQVRHFPADWCLPGESEGKLWMWSRCGKCKFENGNSKSTKRILMSAAARGLSFGKFLELSFSNSSFFSAPSGCGHSFHRDFVFFFGLGPMVAMFRYSPVATYSVSLPPLKLEFSNPVKGEYLKKDFEKVHSKGIQMFMDIENSLKDIWSHSTGKEFNLQETPKEFIEIEAMLKEERSQFEVGVQNLNNGNGDNIVYKLLSLNRIRLELMLESCVWDRRLRALLSSDTKLMRHRDFKVDNMEHGQTCLTQYDKTGREVNDIETDMERGNDVADRCADIRIDGSNGDEMDEAEISIEGHTDVSGNAPYHDINAAAIPITEIHLDKQVEGSILPDDSSSSCVFLEGGKSPIEMNATGNSLSALDTNSHFGDASHVEYNSPNQVLTDKLIPITCDVGSNHFQRQKSFISMLSTGEDDKGWIWTPFPEIRREFMKDLKKGNLPKFGSVTSHATETVASALVAEEAGKLHIPLGSDDYIVSDYEDELSSIIACALAFLKDFGDDDRKDKVMETKIYESSQGLMRIFSLSSPHWSASSSSLDSAEGSHSSSGLFDESRSSSFDGLDSLESSVSTTAFHSEVSMGSGKLQGKRKYTVLCTFASQFRQLRDRCCTSEDDYIASLSRCRGWDAKGGKSKSFFAKTLDDRFIIKGIKRTEFDSFMMFGLGYFHYMDQCYEKGNQTCLAKILGVYQVTIRNTKNGKETKHELMVMENLSFGRNITRQYDLKGALHARFNSAGNGAGDVLLDQNFVNDMNVSPLYVSRESKRNLQRAVWNDTGFLNLINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQFETWVKTSLVVPKNQLPTVISPIEYKKRFRKFIDTHFISVPDHWCSRGPSNPCQLCGTAGSVDSAHRRSQDGNSTEQEENERGESSAP >itb03g28790.t1 pep chromosome:ASM357664v1:3:29499090:29503912:1 gene:itb03g28790 transcript:itb03g28790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKMGCMSGFLQLFERHHILASKRLYATKRLPPSTEVNDTLEPKNIVVSQEIAKERVKGHPAKVVAAPSPERLKESPSSELQSVCHAAFVPAETPPKSPLPLPIFEVKDGARSSWKIRKEAPRLSLDSRATVDAKGSLHPKEIQINASSISANHCENAKDGVVADDEKQHRCPSVIAKLMGLEALPQSSSEAIQKAELRRSASESRVSRDLFHSHFIEGNRFEFNEPNYLHPNIPNCVMAENVEIDFEKSMLNARHRGQEIEKSERPKGSSRGLSSTSWKPPQHRKSFFDTAEIFPEPKQTVPFHGEIDKRLRIRGLDEPSKDLETLKQILEALQLKGLLHTKRPSEQINRLNVICDPSFSFDESQIVVMKPSRSSSPINRKYRNDTPPSNMRSQIGVSQKSNHSNESLPSVSPYWERPTDRNAHSPLRTTGSTSPHRRDGCVRHSNPVMQSKILNVDPQRRASDSIECQKPSPLQSPKVISRRNSLEQNFTKRSWNKKSQAQVHEKEKITNFIADDDQSSSSISDCSLTMSFQIKGEGSNTEEYSEGRNLLERCDKLIHSIAEMTATDMQPSPVSVLDSSFYREDSPSPSPIRKRSIEFLGDFDNEIPRAEISPVQSKSKDTTVDCDISYITDILRASHYLPEESDIFLLLEKQQFLKGKDTSEDSRLQRKVIFDTITEILDRNRQLPPWKLYSRSNSITAQSSVQIILSEFLRIQERDNSDDLFEIICNALKKDLAHDDVNGWGDCPIEMSETVLDMERLIYKDLMGETISELASFACKSSAIAASRRKLVF >itb04g08140.t1 pep chromosome:ASM357664v1:4:5724657:5725796:-1 gene:itb04g08140 transcript:itb04g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVRPSCRFLYIILIYCLIFTLASYYKTMAISFLVTLSIFAFTCSIAYAYDPSPLQDFCVAVTDPQHAVFMNGRVCKDPKLATADDFFASGLNGIGTAVFPGLSVSVNVADVNRIPGLNTLGLTVVLAEVGPGGLIPPHTHPRSCEFIMVTQGSAYVGFVAVDPRNGLKNRLFAKTLKAGDVFVIPQGLIHFMHNVGVGNATFFTAYNSQNPGLITIANEMFGTDPAISGDVLSKAFRIDKESVKHIQAKFASVS >itb11g08470.t4 pep chromosome:ASM357664v1:11:5574035:5577245:1 gene:itb11g08470 transcript:itb11g08470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERPPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISNAPSRTHSGPINPNAAARASYSSGPFSSTMVTGSASMKKSNSGPLNKHGEPMKKVSGPQSGGATGRQNSGPQPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSMGSMKMHGPSIANNQAVTTLTQHDEFSFRKNFPKPILWAMILLFLMGFIAGGFILGAVQNPILLIVVIVLFAIVAALFIWNSWWGKRAIIGFIAQYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGIRSSEKHVVDFYISDFQSGLRALVKTGCGAKTTAYVEESVVVDVNPSNKDSSPEFTRWLGERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWMKCIFPASLEGIVLRYEDASKTDVIPV >itb11g08470.t2 pep chromosome:ASM357664v1:11:5574233:5577245:1 gene:itb11g08470 transcript:itb11g08470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERPPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISNAPSRTHSGPINPNAAARASYSSGPFSSTMVTGSASMKKSNSGPLNKHGEPMKKVSGPQSGGATGRQNSGPQPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSMGSMKMHGPSIANNQAVTTLTQHDEFSFRKNFPKPILWAMILLFLMGFIAGGFILGAVQNPILLIVVIVLFAIVAALFIWNSWWGKRAIIGFIAQYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGIRSSEKHVVDFYISDFQSGLRALVKTGCGAKTTAYVEESVVVDVNPSNKDSSPEFTRWLGERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWMKCIFPASLEGIVLRYEDASKTDVIPV >itb11g08470.t1 pep chromosome:ASM357664v1:11:5572673:5577245:1 gene:itb11g08470 transcript:itb11g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERPPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISNAPSRTHSGPINPNAAARASYSSGPFSSTMVTGSASMKKSNSGPLNKHGEPMKKVSGPQSGGATGRQNSGPQPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSMGSMKMHGPSIANNQAVTTLTQHDEFSFRKNFPKPILWAMILLFLMGFIAGGFILGAVQNPILLIVVIVLFAIVAALFIWNSWWGKRAIIGFIAQYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGIRSSEKHVVDFYISDFQSGLRALVKTGCGAKTTAYVEESVVVDVNPSNKDSSPEFTRWLGERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWMKCIFPASLEGIVLRYEDASKTDVIPV >itb11g08470.t3 pep chromosome:ASM357664v1:11:5573102:5577245:1 gene:itb11g08470 transcript:itb11g08470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERPPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISNAPSRTHSGPINPNAAARASYSSGPFSSTMVTGSASMKKSNSGPLNKHGEPMKKVSGPQSGGATGRQNSGPQPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSMGSMKMHGPSIANNQAVTTLTQHDEFSFRKNFPKPILWAMILLFLMGFIAGGFILGAVQNPILLIVVIVLFAIVAALFIWNSWWGKRAIIGFIAQYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGIRSSEKHVVDFYISDFQSGLRALVKTGCGAKTTAYVEESVVVDVNPSNKDSSPEFTRWLGERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWMKCIFPASLEGIVLRYEDASKTDVIPV >itb01g29720.t1 pep chromosome:ASM357664v1:1:33911476:33913726:-1 gene:itb01g29720 transcript:itb01g29720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAAGIVESVGEGVTELAAGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLHDQKSRFTIKGQPIYHFVGTSTFSEYTVCHVGSIAKINPHAPLDKVCVLSCGISTGLGATLNVAKPKQGSTVAVFGLGAVGLAAAEGARMAGASRIIGVDLVPSRFELAKKFGVTDFVNPKDHSKPVQEVIVEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNLLNERTLKGTFFGNYKPRTDLPAVVEKYMNKELELEKFITHEVPFAEINKAFDLMLKGEGLRCIITM >itb01g29720.t3 pep chromosome:ASM357664v1:1:33911476:33913181:-1 gene:itb01g29720 transcript:itb01g29720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLLRINTDRGVMLHDQKSRFTIKGQPIYHFVGTSTFSEYTVCHVGSIAKINPHAPLDKVCVLSCGISTGLGATLNVAKPKQGSTVAVFGLGAVGLAAAEGARMAGASRIIGVDLVPSRFELAKKFGVTDFVNPKDHSKPVQEVIVEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNLLNERTLKGTFFGNYKPRTDLPAVVEKYMNKELELEKFITHEVPFAEINKAFDLMLKGEGLRCIITM >itb01g29720.t2 pep chromosome:ASM357664v1:1:33911476:33913725:-1 gene:itb01g29720 transcript:itb01g29720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIKILFTSLCHTDVYFWEAKGQNPVFPRILGHEAAGIVESVGEGVTELAAGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLHDQKSRFTIKGQPIYHFVGTSTFSEYTVCHVGSIAKINPHAPLDKVCVLSCGISTGLGATLNVAKPKQGSTVAVFGLGAVGLAAAEGARMAGASRIIGVDLVPSRFELAKKFGVTDFVNPKDHSKPVQEVIVEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNLLNERTLKGTFFGNYKPRTDLPAVVEKYMNKELELEKFITHEVPFAEINKAFDLMLKGEGLRCIITM >itb09g06920.t1 pep chromosome:ASM357664v1:9:3990605:3994466:-1 gene:itb09g06920 transcript:itb09g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKVITRGEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRIESGTEPDIDLATITDRMAVKKAVQSGNIEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRHHKVEEALEFAHEELAPRGEENYGFLDELERTMTLLAFEDVENCPLGELVDMSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNELDEKLAYPRIKIVSTAALEDPDV >itb05g04510.t1 pep chromosome:ASM357664v1:5:4108148:4121263:-1 gene:itb05g04510 transcript:itb05g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMFSSSMDELRKMNVSVSAIVYSVCAMLSIYIAHWIYRWRNPKCNGVLPPGSMGLPFIGETLQLIIPSHSLALPPFINTRIKRYGSMFKTNVAGRPVIVSADTDFNSLILKQDGKMVETWAMDTFAQVFENQEMQSSMKYIRHLVLNHFGVESLRDKLLPQIETFINHTLHKWSTQDSVEVKSAAITAAIDFAAKEIFNGDLENAPFSISEMYRDLVEGLMSFPINLPGTSHHKCLQIHKKVRGAMRDVVKKRLETPERQQEDVLDHLIGDMGTHKFLSGDFIVQLMFGLLFVASESITTTLALAFKLLAEHPNVLEELTAEHDTILKNRENPDAPLTWNEYKSMTFTLQVINEVLRLGNIAPGLFRRAIKDIHYNGYTIPSGWVILIATSALHLNSDEYEDPLAFNPWRWQKIQQNSANKHFMPFGSGTKQCAGAEYSRVFLATFLHNLVTNYKWRNPKCNGVLPPGSMGLPLIGETLQLIIPSHSLALPPFISTRIKRYGSIFKTNVAGRGVIISADADFNSFILKQDGKLVETWSMDTFAQVFDQEMQSSKKYTRHLALNHFGVEALREKLLPQIETFINHTLHKWSAQDSVEVKSAAITATVDFAAKQIFSGDLENAPFSISDMFRDLVEGMMSFPINLPGTAHYNCLQIHKKVRGMMRDVVKRRLETPERQQEDVLDHMIRDMGTHKFLSEDFIVQLMFGLLFVTSDSISTTLALAFKLLAEHPNVLEELTAEHDTILKNRENPDAPLSWDEYKSMTFTLQVINEVLRLGNVAPGFFRRAIKDIPVKGYTIPSGWVIMIATAALHLNSHQFEDPLAFNPWRWQMEDGEGRENYPSPYHKIPRWISLQDLNEDLTTSD >itb15g22650.t1 pep chromosome:ASM357664v1:15:25322619:25326057:1 gene:itb15g22650 transcript:itb15g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLGAQPGVPRPPATMQQPNPFGNAFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLQGRFSPEALNWLFMKGLVGWFLQVSLLKMTLFSLGSGEAPFLDIVAYAGYTFTGLSVAILGKILWNYSYYFLMPWAGLCMGIFLVKTMKRVLFAEVRTYDSSRHHYLLLFIALSQFPLLFWLGKISISWFF >itb15g17400.t1 pep chromosome:ASM357664v1:15:18052204:18053253:1 gene:itb15g17400 transcript:itb15g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQGSSENQDWLAVKNWRNIQKEREREKRRMRDRERRQSMSLEERERHLARRRRNYQLRRQRALNNAAAKTGSEAENENNNLQVVSVVPELEFYSDSAPRFVSTKDHATNPPEARRIRPVKYPYPKGLHFYQIRHLARLLNSRSGGVRDHNQRVEAEVTDKNYDVPKSSGKLWRRIRLIDMKRLARALNSVPKGQPNTANNNSGERIEEVEGNAEQMICSSKSLTPEQSNEAICDVQQVNACK >itb01g00420.t1 pep chromosome:ASM357664v1:1:197059:198195:-1 gene:itb01g00420 transcript:itb01g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFYPNLMPDFVAENPKIEGGEVQRPISQGTNESLLKLLATPFHSLAEKLKRAALDLKETIVLETWGATRQQVSDFTLYTGPLGTALLLFKSYQVTNNTNDLNLCSQIVKACDSVTLSSRDVTFICGRGGVCALGAVVAKYMGNDLLVNYYLSQFQEIKITKEVPDELLYGRVGFLWACLFINKHLGKGTIPYTLTGAVVAKVIENGKRLGGRGKVL >itb05g24510.t4 pep chromosome:ASM357664v1:5:29275080:29278640:-1 gene:itb05g24510 transcript:itb05g24510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSNTSPVSSESLSPSITPKGSFLERILCRMFSSGMFCTSNQSPSSQRNFSRDVEEEEFQDASTLCLSSYYSVFVVRLAIMVMLAILIGLLTLLTWHFTRVYTTRSLNTLAFGLRHELLQRPILRMWNILNSTVEIATAQVKLSEYVIRRYSKPVNQAQQAELYEVMRDVTWALFASRKALNSITISYKNGFVQAFHRDHRSNNTFYIYSDLSNYSISGTYDVSMLTSRQGWNDQSIHNNTTAIWYRETLDPLTGVRVGRKSQIPPDELINIAGISQVPDGAATWHVAVSKFSDSPLLSSALPVWDASNESIVAVVGVTTALYSVGQFMKEIVEFHSGHIYLTSQEGWLLATSTSTPLLRNSTTRPELIMAVDSEDPVIKAGAQCLQKEYGNKFPPSNEVHIENAKLGDQMYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERAFKTFVILISASICILFIGCVCIFILTNGVSKEMKLRAELIKQLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILIYDDCLTNEQYATITQIRKCSTALLRLLNNILDISKVESGKLVLEETEFDLTRELEGLIDMFSVQCINHNVETVLDLSDEMPKLVKGDSGRVVQIFANLISNSLKFTTSGYIILRGWCESLNDLTNSRNFFFNQKDSWSVPKVKLKRAERRPFKKDSKTVLWFEVEDTGCGINYKL >itb05g24510.t2 pep chromosome:ASM357664v1:5:29272694:29279114:-1 gene:itb05g24510 transcript:itb05g24510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSNTSPVSSESLSPSITPKGSFLERILCRMFSSGMFCTSNQSPSSQRNFSRDVEEEEFQDASTLCLSSYYSVFVVRLAIMVMLAILIGLLTLLTWHFTRVYTTRSLNTLAFGLRHELLQRPILRMWNILNSTVEIATAQVKLSEYVIRRYSKPVNQAQQAELYEVMRDVTWALFASRKALNSITISYKNGFVQAFHRDHRSNNTFYIYSDLSNYSISGTYDVSMLTSRQGWNDQSIHNNTTAIWYRETLDPLTGVRVGRKSQIPPDELINIAGISQVPDGAATWHVAVSKFSDSPLLSSALPVWDASNESIVAVVGVTTALYSVGQFMKEIVEFHSGHIYLTSQEGWLLATSTSTPLLRNSTTRPELIMAVDSEDPVIKAGAQCLQKEYGNKFPPSNEVHIENAKLGDQMYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERAFKTFVILISASICILFIGCVCIFILTNGVSKEMKLRAELIKQLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILIYDDCLTNEQYATITQIRKCSTALLRLLNNILDISKVESGKLVLEETEFDLTRELEGLIDMFSVQCINHNVETVLDLSDEMPKLVKGDSGRVVQIFANLISNSLKFTTSGYIILRGWCESLNDLTNSRNFFFNQKDSWSVPKVKLKRAERRPFKKDSKTVLWFEVEDTGCGIDPNKWESVFENFEQADPSTTRLHGGTGLGLCIVRSLVNKMGGEIKVVKKNGPGTLMRLYLLLNAPTDGAEQHSHPTLAEQTTTVSKNISASYLCLCR >itb05g24510.t1 pep chromosome:ASM357664v1:5:29272694:29279114:-1 gene:itb05g24510 transcript:itb05g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSNTSPVSSESLSPSITPKGSFLERILCRMFSSGMFCTSNQSPSSQRNFSRDVEEEEFQDASTLCLSSYYSVFVVRLAIMVMLAILIGLLTLLTWHFTRVYTTRSLNTLAFGLRHELLQRPILRMWNILNSTVEIATAQVKLSEYVIRRYSKPVNQAQQAELYEVMRDVTWALFASRKALNSITISYKNGFVQAFHRDHRSNNTFYIYSDLSNYSISGTYDVSMLTSRQGWNDQSIHNNTTAIWYRETLDPLTGVRVGRKSQIPPDELINIAGISQVPDGAATWHVAVSKFSDSPLLSSALPVWDASNESIVAVVGVTTALYSVGQFMKEIVEFHSGHIYLTSQEGWLLATSTSTPLLRNSTTRPELIMAVDSEDPVIKAGAQCLQKEYGNKFPPSNEVHIENAKLGDQMYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERAFKTFVILISASICILFIGCVCIFILTNGVSKEMKLRAELIKQLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILIYDDCLTNEQYATITQIRKCSTALLRLLNNILDISKVESGKLVLEETEFDLTRELEGLIDMFSVQCINHNVETVLDLSDEMPKLVKGDSGRVVQIFANLISNSLKFTTSGYIILRGWCESLNDLTNSRNFFFNQKDSWSVPKVKLKRAERRPFKKDSKTVLWFEVEDTGCGIDPNKWESVFENFEQADPSTTRLHGGTGLGLCIVRSLVNKMGGEIKVVKKNGPGTLMRLYLLLNAPTDGAEQHSHPTLAEQTTTVLLALNGRMGRLIMSKWLEKNGLHTCEAADWNELTQMLQGVFGSKNSVQDSGCERFSDNSSTLLIVVIDIGLLNLSTNIWKEQLNFLDKYSERAKFAWVLYHDTSNSIKSELRKRGHLMMVNRPLYKGKMIQILEAAFTKDKNLELQSAENTAIQVNMHECHHEIDASHSCLTSPDDSDKSETGNVRPVRTFLAEEKPNKHFRNVSSSSIYATLNNYFVDITQPNLGEDDASREDDRREKRNRSEEHSGSTRRVELTTVSSSKTANEQKSLSGLRILLAEDTPVLQRVATIMLEKMGATVVVVGDGQQAVDALKFCKNGPNGSSQEDDTSPTSPTEGFCSPPYDLILMDCQMPKMDGYEATKAIRRSEMETGTHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDSKLMVSTILSLTTRKN >itb05g24510.t3 pep chromosome:ASM357664v1:5:29272694:29279114:-1 gene:itb05g24510 transcript:itb05g24510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSNTSPVSSESLSPSITPKGSFLERILCRMFSSGMFCTSNQSPSSQRNFSRDVEEEEFQDASTLCLSSYYSVFVVRLAIMVMLAILIGLLTLLTWHFTRVYTTRSLNTLAFGLRHELLQRPILRMWNILNSTVEIATAQVKLSEYVIRRYSKPVNQAQQAELYEVMRDVTWALFASRKALNSITISYKNGFVQAFHRDHRSNNTFYIYSDLSNYSISGTYDVSMLTSRQGWNDQSIHNNTTAIWYRETLDPLTGVRVGRKSQIPPDELINIAGISQVPDGAATWHVAVSKFSDSPLLSSALPVWDASNESIVAVVGVTTALYSVGQFMKEIVEFHSGHIYLTSQEGWLLATSTSTPLLRNSTTRPELIMAVDSEDPVIKAGAQCLQKEYGNKFPPSNEVHIENAKLGDQMYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERAFKTFVILISASICILFIGCVCIFILTNGVSKEMKLRAELIKQLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILIYDDCLTNEQYATITQIRKCSTALLRLLNNILDISKVESGKLVLEETEFDLTRELEGLIDMFSVQCINHNVETVLDLSDEMPKLVKGDSGRVVQIFANLISNSLKFTTSGYIILRGWCESLNDLTNSRNFFFNQKDSWSVPKVKLKRAERRPFKKDSKTVLWFEVEDTGCGIDPNKWESVFENFEQADPSTTRLHGGTGLGLCIVRSLVNKMGGEIKVVKKNGPGTLMRLYLLLNAPTDGAEQHSHPTLAEQTTTVLLALNGRMGRLIMSKWLEKNGLHTCEAADWNELTQMLQGVFGSKNSVQDSGCERFSDNSSTLLIVVIDIGLLNLSTNIWKEQLNFLDKYSERAKFAWVLYHDTSNSIKSELRKRGHLMMKIQLYK >itb07g06510.t1 pep chromosome:ASM357664v1:7:4666922:4669641:1 gene:itb07g06510 transcript:itb07g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGMDPSELQSKLLRRASRNTTMTTFSIGEVFDNEVVPSSLQSISPVLRVATEIQNERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTALLQRLERDNASSLASRVKKTDAREIESFYKQYYEQYVVALNKGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAANDVQAKKEIYAPYNIIPPDSAGASQSIMQLEEVKAAVSALSNMHGLSWPTSFEQQRQRAGELDLLDWLRAMFGFQRDNVRNQREHLTLLLANIHSRLVPKPEPQNKA >itb04g14100.t1 pep chromosome:ASM357664v1:4:14367165:14368070:1 gene:itb04g14100 transcript:itb04g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTSCTIRGPRVGSTKAARVILPGGEIRQFREAVKAAELMLEHPAYFLVNSRSLNMGRRFSALSADEDLEFGNVYIMFPMKRVNSVITAADMAVLLMAANSAAKRISGSANVKVSPDVAAATAGESPENDVSPSDAFAGLEFRHRLSSCRSKKPVLETIVEEPIRVR >itb09g09550.t1 pep chromosome:ASM357664v1:9:5785413:5786733:1 gene:itb09g09550 transcript:itb09g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCFNGMTALVTGGARGIGLAIVEELASFGAHVYTCALEQEELDACLQKWISKGYKVSGSACNLMIREEREKLMKVVSDHFDGKLNILVNNAGVGTSSKEMQHITEKDWSLLMGTNVEASFHISQMAYPLLKASGNGSLVFISSTAGIVALPGSSLYGLTKGAINQLTKNLSCEWAKDNIRVNAVAPWVIETPLVHLVCQDSTTKEAINGLINRSALHRPGKPTEVSGPVAFLCSPAASYITGHILCVDGGATVNGFP >itb10g04390.t1 pep chromosome:ASM357664v1:10:4161392:4165169:-1 gene:itb10g04390 transcript:itb10g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSNLHRHCEATRRTIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELHLGPNGGLIYCMEHLEENLDDWLTEELDNYMDDDYLVFDCPGQIELFSHVPVLKNFVEHLKRKNFNVCVVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDIDNYLNPEPQLLLADLNQRMAPQFQKLNKSLIELVDQYSMVSFMPLDLRKDSSIQYILSQIDNCIQYGEDADVKVKDFDAEEDD >itb04g13420.t1 pep chromosome:ASM357664v1:4:13500947:13502106:-1 gene:itb04g13420 transcript:itb04g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSLQFSPLCSKSTVIISRKKEKKKICLISCRLPSSLLLPPLFGRHSNGAPPLTRTRVVESKIREIFMLALNSTMTEGNIVSWVKSKGDKLAKGESVVVVESDKADMDVESFYDGFLTTIIVPEGSSTLVDSPMALLAESLNDSLYEKTGFLAENSKSDVLKRFEMQKIVKLFAKILYLIFGFNLICGNLNLVGFVKQKRRRRNGRTEEEAGGFTKISVSAVGRGPSDTVSSKQIKNVKPSAVKPF >itb14g01540.t1 pep chromosome:ASM357664v1:14:1173428:1174249:-1 gene:itb14g01540 transcript:itb14g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSLIRRLFGGRRNDAVSTDPVSLDIWDPFREFSPSFPISASGEAAAMVNTRVDWKETPEAHVFTAEVPGVKKEEVKIEIEDDRILQICGERKVEKEEKNDRWHRMERSSGKFMRRFRLPENVKMDEIKASMENGVLTITVPKIEVKKPEVKSIEISG >itb06g23690.t3 pep chromosome:ASM357664v1:6:25518756:25527325:-1 gene:itb06g23690 transcript:itb06g23690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVIPPPSPPLFSASSFSGYSFRRFFWPKRASFSSSNSRRLAPQLPAAVRVEDDFTKYSGYVFELSPAEEETLAEYNIAKIAAIYQKKPLLVLRRLFQIGSTLGKWFALRYIDTVSERADDMFKIRAEELRKILVQLGPAYVKIAQAVSSRPDLIPPSYLDELSLLQDQITPFPNEVAFGTIEQELGLPLDELFSEVSAEPVAAASLGQVYQARLQRSGKIVAVKVQRPGVQAAISLDILILRFLAGLVRKAGKFNTDLQAVVDEWASSLFREMDYEREASNAIKFRKLYGGIKDVMVPEMYVPQTTRKVLTMQWVEGGKLAEVKDIYLIEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQEFRDGFIEACLHLVNRDYDALAKDFVTLGLLPPTADKDAVTKALTGVFQDVVARGVQNISFGDLLTDLGFTMYKFKFRIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSTLHALLYKDGTFRIDRMESLISESLRARMERTLKKTDASETRVIIKQILAFTLDEKGTFVREVLLDELAKVCISLEVTMQMRGLNSPVHGLLEHNHHSNTVAFFFVFLFFHFS >itb06g23690.t2 pep chromosome:ASM357664v1:6:25518693:25527325:-1 gene:itb06g23690 transcript:itb06g23690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVIPPPSPPLFSASSFSGYSFRRFFWPKRASFSSSNSRRLAPQLPAAVRVEDDFTKYSGYVFELSPAEEETLAEYNIAKIAAIYQKKPLLVLRRLFQIGSTLGKWFALRYIDTVSERADDMFKIRAEELRKILVQLGPAYVKIAQAVSSRPDLIPPSYLDELSLLQDQITPFPNEVAFGTIEQELGLPLDELFSEVSAEPVAAASLGQVYQARLQRSGKIVAVKVQRPGVQAAISLDILILRFLAGLVRKAGKFNTDLQAVVDEWASSLFREMDYEREASNAIKFRKLYGGIKDVMVPEMYVPQTTRKVLTMQWVEGGKLAEVKDIYLIEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQEFRDGFIEACLHLVNRDYDALAKDFVTLGLLPPTADKDAVTKALTGVFQDVVARGVQNISFGDLLTDLGFTMYKFKFRIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSTLHALLYKDGTFRIDRMESLISESLRARMERTLKKTDASETRVIIKQILAFTLDEKGTFVREVLLDELAKGLDALGLATLESVSANLPFSSSDSFSSMTDEDIMNLRNLQRLVLFLQGLDKRPSMKVNGINAHSNQRELSNGVPFALNQFESAQELLPLLSVIPELPQDMQQKLLLLPGDLVGKLASRVAARTLRRIFL >itb06g23690.t4 pep chromosome:ASM357664v1:6:25518693:25527325:-1 gene:itb06g23690 transcript:itb06g23690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVIPPPSPPLFSASSFSGYSFRRFFWPKRASFSSSNSRRLAPQLPAAVRVEDDFTKYSGYVFELSPAEEETLAEYNIAKIAAIYQKKPLLVLRRLFQIGSTLGKWFALRYIDTVSERADDMFKIRAEELRKILVQLGPAYVKIAQAVSSRPDLIPPSYLDELSLLQDQITPFPNEVAFGTIEQELGLPLDELFSEVSAEPVAAASLGQVYQARLQRSGKIVAVKVQRPGVQAAISLDILILRFLAGLVRKAGKFNTDLQAVVDEWASSLFREMDYEREASNAIKFRKLYGGIKDVMVPEMYVPQTTRKVLTMQWVEGGKLAEVKDIYLIEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQEFRDGFIEACLHLVNRDYDALAKDFVTLGLLPPTADKDAVTKALTGVFQDVVARGVQNISFGDLLTDLGFTMYKFKFRIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSTLHALLYKDGTFRIDRMESLISESLRARMERTLKKTDASETRVIIKQILAFTLDEKGTFVREVLLDELAKGLDALGLATLESVSANLPFSSSDSFSSMTDEDIMNLRNLQRLVLFLQGLDKRPSMKVNGINAHSNQRELSNGVPFALNQFESAQELLPLLSVIPEVNHKFCRLHLASFD >itb06g23690.t5 pep chromosome:ASM357664v1:6:25518693:25527325:-1 gene:itb06g23690 transcript:itb06g23690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVIPPPSPPLFSASSFSGYSFRRFFWPKRASFSSSNSRRLAPQLPAAVRVEDDFTKYSGYVFELSPAEEETLAEYNIAKIAAIYQKKPLLVLRRLFQIGSTLGKWFALRYIDTVSERADDMFKIRAEELRKILVQLGPAYVKIAQAVSSRPDLIPPSYLDELSLLQDQITPFPNEVAFGTIEQELGLPLDELFSEVSAEPVAAASLGQVYQARLQRSGKIVAVKVQRPGVQAAISLDILILRFLAGLVRKAGKFNTDLQAVVDEWASSLFREMDYEREASNAIKFRKLYGGIKDVMVPEMYVPQTTRKVLTMQWVEGGKLAEVKDIYLIEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQEFRDGFIEACLHLVNRDYDALAKDFVTLGLLPPTADKDAVTKALTGVFQDVVARGVQNISFGDLLTDLGFTMYKFKFRIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSTLHALLYKDGTFRIDRMESLISESLRARMERTLKKTDASETRVIIKQILAFTLDEKGTFVREVLLDELAKGLDALGLATLESVSANLPFSSSDSFSSMTDEDIMNLRNLQRLVLFLQGLDKRPSMVNGINAHSNQRELSNGVPFALNQFESAQELLPLLSVIPEVNHKFCRLHLASFD >itb06g23690.t1 pep chromosome:ASM357664v1:6:25518693:25527325:-1 gene:itb06g23690 transcript:itb06g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVIPPPSPPLFSASSFSGYSFRRFFWPKRASFSSSNSRRLAPQLPAAVRVEDDFTKYSGYVFELSPAEEETLAEYNIAKIAAIYQKKPLLVLRRLFQIGSTLGKWFALRYIDTVSERADDMFKIRAEELRKILVQLGPAYVKIAQAVSSRPDLIPPSYLDELSLLQDQITPFPNEVAFGTIEQELGLPLDELFSEVSAEPVAAASLGQVYQARLQRSGKIVAVKVQRPGVQAAISLDILILRFLAGLVRKAGKFNTDLQAVVDEWASSLFREMDYEREASNAIKFRKLYGGIKDVMVPEMYVPQTTRKVLTMQWVEGGKLAEVKDIYLIEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQEFRDGFIEACLHLVNRDYDALAKDFVTLGLLPPTADKDAVTKALTGVFQDVVARGVQNISFGDLLTDLGFTMYKFKFRIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSTLHALLYKDGTFRIDRMESLISESLRARMERTLKKTDASETRVIIKQILAFTLDEKGTFVREVLLDELAKGLDALGLATLESVSANLPFSSSDSFSSMTDEDIMNLRNLQRLVLFLQGLDKRPSMVNGINAHSNQRELSNGVPFALNQFESAQELLPLLSVIPELPQDMQQKLLLLPGDLVGKLASRVAARTLRRIFL >itb01g02920.t1 pep chromosome:ASM357664v1:1:1910335:1912438:1 gene:itb01g02920 transcript:itb01g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVRSNDENLELSASSSPLSPSSSSSSSSWSLEEGDDNKRMPLLALNHVSFVCKSVPKTVQFYEQVLGFVLIKRPSSFDFEGAWLFNHGIGIHLLAAENVGITKKGKINPKDNHISFQCSDMNLIINKLGDMNIEYVTAKVKEGGVIVDQLFFHDPDGYMIEICNCQNLPVLPLSSCPLKNTNNNSINHQTSPSSPYDGRGSWKKPCCAGEVEHLMMENLAVDMLDISF >itb01g02920.t2 pep chromosome:ASM357664v1:1:1910335:1911149:1 gene:itb01g02920 transcript:itb01g02920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVRSNDENLELSASSSPLSPSSSSSSSSWSLEEGDDNKRMPLLALNHVSFVCKSVPKTVQFYEQVLGFVLIKRPSSFDFEGAWMSDPKYLSRS >itb05g22360.t2 pep chromosome:ASM357664v1:5:27785469:27788601:1 gene:itb05g22360 transcript:itb05g22360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRKLLSKTLRSLLPKCGWIGAPRAFAAASAASPPELPPFDYQPRPYNGPLADEVLEKRKKYLGPSLFYYYQKPLNIVEGKMQYLFDENGKRYLDAFAGIVTVSCGHCHPDILDAITEQNKLLQHATTIYLHHAIADYAEALAAKMPGNLKVVYFVNSGTEANELAMLMARLYSSNLGMIALRNAYHGGSSNTIGLNALNTWKYPIPQGEIHHVLNPNPYRGVFGSDAQRYADDVQDHIDHGTSGNVAGFIAETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFQTQGVVPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGHAVLRVIDNEERQKHCAEVGSHLIGRLRDLQQTHDSNASFPFSITMIIILYNIKSYLVRTP >itb05g22360.t1 pep chromosome:ASM357664v1:5:27785442:27789470:1 gene:itb05g22360 transcript:itb05g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRKLLSKTLRSLLPKCGWIGAPRAFAAASAASPPELPPFDYQPRPYNGPLADEVLEKRKKYLGPSLFYYYQKPLNIVEGKMQYLFDENGKRYLDAFAGIVTVSCGHCHPDILDAITEQNKLLQHATTIYLHHAIADYAEALAAKMPGNLKVVYFVNSGTEANELAMLMARLYSSNLGMIALRNAYHGGSSNTIGLNALNTWKYPIPQGEIHHVLNPNPYRGVFGSDAQRYADDVQDHIDHGTSGNVAGFIAETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFQTQGVVPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGHAVLRVIDNEERQKHCAEVGSHLIGRLRDLQQTHDIIGDVRGRGLMVGIELVSDRKLKTPAKAETGVVFEKLRELGVLVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYGISKL >itb11g03040.t1 pep chromosome:ASM357664v1:11:1555092:1555986:-1 gene:itb11g03040 transcript:itb11g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVPTESWCMNGYDDSGNLDFSQVDAALLMSLLDDTQAAVEDYDDERLMGVIQSLEAEIGGVEACQPSDAEKIDGGQDVVPEFNGLDLRMMEMVDMEILEPSFPAQNDGINYWYHCGDDHREMDGVDEYCSRVHFEDVSDYGFLWQETNASSSSLI >itb07g09320.t1 pep chromosome:ASM357664v1:7:8655191:8659204:1 gene:itb07g09320 transcript:itb07g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYHQNVNFSPARAVSPHIDSQYLTELLAERQKLAPFTQILPVCSRLLNQEILRVSGMIPNQGFNDFDRLHRGSPRPMASLDMMQDIGGKGLGGWNSLQQERLSGPQGMPIDWQAASGSPSSLIAKRVLRLDIPVDRYPNYNFVGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPDKEESLRGRPGYEHLNDPLHVLVEAELPINIVDSQLKQAREIIEELLKPVDESQDLYKRQQLRELALLNNSFREESPQPRGSLSPFGSTGMKRAKTGW >itb07g09320.t3 pep chromosome:ASM357664v1:7:8655929:8659204:1 gene:itb07g09320 transcript:itb07g09320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKNKKLKLLYYFSSILMTEILRVSGMIPNQGFNDFDRLHRGSPRPMASLDMMQDIGGKGLGGWNSLQQERLSGPQGMPIDWQAASGSPSSLIAKRVLRLDIPVDRYPNYNFVGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPDKEESLRGRPGYEHLNDPLHVLVEAELPINIVDSQLKQAREIIEELLKPVDESQDLYKRQQLRELALLNNSFREESPQPRGSLSPFGSTGMKRAKTGW >itb07g09320.t2 pep chromosome:ASM357664v1:7:8655191:8659196:1 gene:itb07g09320 transcript:itb07g09320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYHQNVNFSPARAVSPHIDSQYLTELLAERQKLAPFTQILPVCSRLLNQEILRVSGMIPNQGFNDFDRLHRGSPRPMASLDMMQDIGGKGLGGWNSLQQERLSGPQGMPIDWQAASGSPSSLIAKRVLRLDIPVDRYPNYNFVGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPDKEESLRGRPGYEHLNDPLHVLVEAELPINIVDSQLKQAREIIEELLKPVDESQDLYKRQQLRELALLNNSFREESPQPRGSLSPFGSTGMKRAKTDA >itb15g18380.t2 pep chromosome:ASM357664v1:15:19952500:19955917:1 gene:itb15g18380 transcript:itb15g18380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRLSCILLLLGFVLCFIPPSCCDPGPGCHNTWNTSLLNYTYQFSMAQHQLRGLLTVKDGCSFRVSEFDMLGGSDVRWWGAVGEEFENLTKGFVISDQILNKTYQNESFVVRLRKNVTWDRIKVVSVWDLPTASDFGHVVLGNSTNSTNYLAPSMVYVNGSAVHTKGQPTMLENCKLLSDKYRVRWTLNKDDDSIDIGLEAAIPFANYMAFGWAKPNASSKFMLGSDVTVTGFRDDAMPFADDFFISKYSECMISKDGSVEGVCPDTLYKSSDQVSVNNTRLVYGHRRDGVSFIRYKRPLKSDDKKYDSPLHPKDKMTVIWALGLIRPPDSLRPFYLPQNHGGTYGHLTLNISEHVNDCLGPLDADDKQDQDLVIADKKEPLIVTAGPAVYYPNPPNPSRVLYINKKEAPILRVERGVPITFSLQAGHDVAFYITSDPIGGNATLRNASETIYFGGPEAEGVQASPTEMTWAPNRNTPDLVYYQSVYTQKMGWKVEVVDGGLPDMYNSSVVLDDQQVTLFWTLAENSISMAARGEKKSGYLAIGFGRGMVNSYAYVGWIDDDGKARVSTYWIDGRDSSSIHPTHENLTNVRCRSENGIITMEFTRPFLPSCDHDDRPECKNIIEPTSPLKVVWAMGAQWSEGHLSVRNMHSITSSRPVRVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQIHVYLQYSGLAIVFLGFLFAVAELRGFAFNSLHVKFGLLAIILVIAQPVNAYLRPKKPSTGEEVSSKRVLWEYVHVVTGRCAIIVGIAALISGMKHLGDRYGDEDVRALIWALIVWFLIGALTMMYLEYRQKKRIRDRAVGRSNWVLGNGEEEDVDLLSPSRAAEKELHSSERMEVQLEPLSR >itb15g18380.t1 pep chromosome:ASM357664v1:15:19952481:19955917:1 gene:itb15g18380 transcript:itb15g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRLSCILLLLGFVLCFIPPSCCDPGPGCHNTWNTSLLNYTYQFSMAQHQLRGLLTVKDGCSFRVSEFDMLGGSDVRWWGAVGEEFENLTKGFVISDQILNKTYQNESFVVRLRKNVTWDRIKVVSVWDLPTASDFGHVVLGNSTNSTNYLAPSMVYVNGSAVHTKGQPTMLENCKLLSDKYRVRWTLNKDDDSIDIGLEAAIPFANYMAFGWAKPNASSKFMLGSDVTVTGFRDDAMPFADDFFISKYSECMISKDGSVEGVCPDTLYKSSDQVSVNNTRLVYGHRRDGVSFIRYKRPLKSDDKKYDSPLHPKDKMTVIWALGLIRPPDSLRPFYLPQNHGGTYGHLTLNISEHVNDCLGPLDADDKQDQDLVIADKKEPLIVTAGPAVYYPNPPNPSRVLYINKKEAPILRVERGVPITFSLQAGHDVAFYITSDPIGGNATLRNASETIYFGGPEAEGVQASPTEMTWAPNRNTPDLVYYQSVYTQKMGWKVEVVDGGLPDMYNSSVVLDDQQVTLFWTLAENSISMAARGEKKSGYLAIGFGRGMVNSYAYVGWIDDDGKARVSTYWIDGRDSSSIHPTHENLTNVRCRSENGIITMEFTRPFLPSCDHDDRPECKNIIEPTSPLKVVWAMGAQWSEGHLSVRNMHSITSSRPVRVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQIHVYLQYSGLAIVFLGFLFAVAELRGFAFNSLHVKFGLLAIILVIAQPVNAYLRPKKPSTGEEVSSKRVLWEYVHVVTGRCAIIVGIAALISGMKHLGDRYGDEDVRALIWALIVWFLIGALTMMYLEYRQKKRIRDRAVGRSNWVLGNGEEEDVDLLSPSRAAEKELHSSERMEVQLEPLSR >itb04g12010.t1 pep chromosome:ASM357664v1:4:11675611:11677073:1 gene:itb04g12010 transcript:itb04g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLDGPTQICTNRLPVATPRPKPPPSPLPPVVPSFNLQMMSFRNAAPLYSRDQRCLPCRSTLSRSPRSPPPQRNHRLPCDADATTATASTLATVVHVFPISRMCVSAPCT >itb04g12010.t2 pep chromosome:ASM357664v1:4:11675602:11677073:1 gene:itb04g12010 transcript:itb04g12010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPLDGPTQICTNRLPVATPRPKPPPSPLPPVVPSFNLQMMSFRNAAPLYSRDQRCLPCRSTLSRSPRSPPPQRNHRLPCDADATTATASTLATVVHVFPISRMCVSAPCT >itb01g35650.t1 pep chromosome:ASM357664v1:1:37745784:37746926:1 gene:itb01g35650 transcript:itb01g35650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQENCSKAVALVVGATGMVGVAMVEALKQPTTLGGPWKVYGAARRPLPSWFPSSFLDEYITFDALNLEDTHKSLSKISHEVTHVFWLALQVVEREEENIRLNSTMLDNVLRSLTSSNFTSLKHVTIQTGTKQYMGPIFDTSLETDKMIPHEAPFKEDYPRLPFPNFYYALEDLVASYAETNSFSFSIHRSSIIIGASSVSALNSLLSLCVYAIICKEKGFPFRYPGNRYTWDHFCDMSDARLLAEQQIWAGVTEKAKNQAFNCTNGDVFAWKSVWRLFCDMFELEFVAFEENGDKEFDIVEFMKDKGEIWEGVVEKHGLFRTRMEEITCYPALQTARRFQFQHVCSMNKSREFGFLGYADTLKRIRVWVQKLREMNIIP >itb15g11640.t1 pep chromosome:ASM357664v1:15:9436350:9438289:1 gene:itb15g11640 transcript:itb15g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVELYDTMGFIRNPDGSLTRIRENTADPTYAVDPSLVLTKDISINQSKNTWARIILPRQALDSPPQSKLPLILFFHGGGFIVAGPAEPSFQDFYRAMATLISAAVVSVSYRRAPEDRLPAAYDDCLESLHWIKDSDDEWLRNSVDFSRCFIMGESAGGNLAYRVGLRASASCAELKPLEIKGLILHEPFFGGMERTESELRSENDTVLPLDLTDLTWEVALPLGVDRDHVYCNPMVEIKANPGMFDEVKRLGWRIWVSGSKGDPTVDRQVEVLNALQNMGVKAVGRFIEGGRHGIELSDPPKAKELCIAIKEFVES >itb03g15020.t1 pep chromosome:ASM357664v1:3:14497794:14500504:1 gene:itb03g15020 transcript:itb03g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFSSSTAKQVYCLFSLLFLFADAKVHYHEFVLQATPVYRLCRTHNIVTVNGQLPGPTLEANNGDTLVIKVVNRAQYNATIHWHGVRQRRTAWADGPEFITQCPIRPGGSYTYRFTIQDQEGTLWWHAHSSWLRASVYGALIIRPREGESYPFPKPKVETPILIGEWWDRNPMDVLRQAQTTGATPNVSDAFTINGQPGDLYKCSSQYTTIVHVEPGETVLLRVINAALNQQHFFSVANHTMRVVGADASYLKPFNTSVIMLGPGQTVDVLITANREPGRGYYMAARAFDSSRGVAPFDNTTTTSILQYNTGNGVKISPILPSLPDYNDTATATAFSSSFRSLKRAQVPIEIDENLFITVGVGLNKCPSGASPGACQGPNGTQFTASMNNVSFVLPSNISLLQAHYQGVPGVFTADFPAAPPVMFNYTGNVSRSLWQPSSGTKVYKLKYGARVQIVLQGTSIFAAESHPVHLHGYDFYTIAEGLGNFNPQNDTAKFNLVDPPQRNTASLPANGWSVMRFVADNPGVWIMHCHLDAHISFGLAMAFIVENGVTELQTLEEPPADLPVC >itb03g15020.t2 pep chromosome:ASM357664v1:3:14498690:14500504:1 gene:itb03g15020 transcript:itb03g15020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMFLGEWWDRNPMDVLRQAQTTGATPNVSDAFTINGQPGDLYKCSSQYTTIVHVEPGETVLLRVINAALNQQHFFSVANHTMRVVGADASYLKPFNTSVIMLGPGQTVDVLITANREPGRGYYMAARAFDSSRGVAPFDNTTTTSILQYNTGNGVKISPILPSLPDYNDTATATAFSSSFRSLKRAQVPIEIDENLFITVGVGLNKCPSGASPGACQGPNGTQFTASMNNVSFVLPSNISLLQAHYQGVPGVFTADFPAAPPVMFNYTGNVSRSLWQPSSGTKVYKLKYGARVQIVLQGTSIFAAESHPVHLHGYDFYTIAEGLGNFNPQNDTAKFNLVDPPQRNTASLPANGWSVMRFVADNPGVWIMHCHLDAHISFGLAMAFIVENGVTELQTLEEPPADLPVC >itb05g03940.t1 pep chromosome:ASM357664v1:5:3374439:3379036:1 gene:itb05g03940 transcript:itb05g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYLAAIFLQFGYAGLTIVVKFALNEGMSPYTYTVYRNLFAAVAVAPFAIIFERKVRPKMTISTFLKIMVLGLLGIEKVNMRKVHSQAKVLGTVVTIVGAMIMTLVKGPNIGLPWTKHTDLIQTAATALHSQQDILKGAVMIIVACFFWACFIILQAITLKSYPAGLSLTTLICTAGALQGSVVALVAERKNLAAWALHWDTKLLAMVYSGVICSGVTYYLSGIIMKEKGPVFFTAFNPLSTIIAAIMGTFILADQLDLGMALGAVGIMVGLYMVIWGKKHDNVPPESIAGNRVVPVDELPSTLVKPPTNQEPSDTTTTVVAGDEAV >itb15g13190.t1 pep chromosome:ASM357664v1:15:11155369:11157760:1 gene:itb15g13190 transcript:itb15g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEKRLYEAAVDGNTVALQQLLANDELILERITVTRFDETPLHIAAMRGHVEFAAAILERNIELASELDSRKLSPLHIASVKGNVRMVKLLVWACPEMCVARDRYGRNPLHLAAMKGRVGVLKELLRTRPEAARQRTDFDETVLHLCVKHNQLEALEMMLESIESKGDGDEFVNAVDSDGNTIFHYAASHKQTQTMKNFVRNKQAADVNGNGKTEIDDTTLAQEERELSEEWLQSPEITEQPPATGGARRDTPPNNRQATHQAERPRRKNGGAIMVAASVLATMAFQAGVTPPGGVWINNDSAKYNKLPVGRRSPPPHKAGEAVMSSDNRRGGYQNFLDANTLAFIASLTAVLIELSGLNLRWIDGFLRLIMWFTVTSLVVTYGVSAVLVTSHNSVSDLSRTVKICITIWCIVMGLVVQWNNLRRLYMSKPWLGIARLGNNIVMRGKNIVMSSNYWSRVVP >itb12g03400.t3 pep chromosome:ASM357664v1:12:2212148:2215110:1 gene:itb12g03400 transcript:itb12g03400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREANLSHFTEAGPRDPPIQCFIKRDRATSIYRLYLGLTPSEDESDKLLLAAKKTRRATSTDFVISLVAGDFSRASQTYVGKLRSNFLRTKFTVYDSQPPNDAAVEQNSQLSRRFHTKQVSPRLSACNYSVASICYELNVLRTRGPRRMRCIMQTIPFSSIQEGGTAPTPTSFPQSFDRTSSSLTASKDKKPATDFPGSLESAPPSQEPLALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSYDTKLACE >itb12g03400.t2 pep chromosome:ASM357664v1:12:2212148:2215110:1 gene:itb12g03400 transcript:itb12g03400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELREMKDGIGSMSRRGLEGRQWRSRTTLSHIAPDLAHCDPIEQGRWANLPPELLLDIIQRVEESETSWPARTVVLFCASVCKSWREITKAIVKTPEECGRLTFPISLKQPGPRDPPIQCFIKRDRATSIYRLYLGLTPSEDESDKLLLAAKKTRRATSTDFVISLVAGDFSRASQTYVGKLRSNFLRTKFTVYDSQPPNDAAVEQNSQLSRRFHTKQVSPRLSACNYSVASICYELNVLRTRGPRRMRCIMQTIPFSSIQEGGTAPTPTSFPQSFDRTSSSLTASKDKKPATDFPGSLESAPPSQEPLALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSYDTKLACE >itb12g03400.t1 pep chromosome:ASM357664v1:12:2212148:2215110:1 gene:itb12g03400 transcript:itb12g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELREMKDGIGSMSRRGLEGRQWRSRTTLSHIAPDLAHCDPIEQGRWANLPPELLLDIIQRVEESETSWPARTVVLFCASVCKSWREITKAIVKTPEECGRLTFPISLKQPGPRDPPIQCFIKRDRATSIYRLYLGLTPSEDESDKLLLAAKKTRRATSTDFVISLVAGDFSRASQTYVGKLRSNFLRTKFTVYDSQPPNDAAVEQNSQLSRRFHTKQVSPRLSACNYSVASICYELNVLRTRGPRRMRCIMQTIPFSSIQEGGTAPTPTSFPQSFDRTSSSLTASKDKKPATDFPGSLESAPPSQEPLALKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSYDTKLACE >itb14g10410.t1 pep chromosome:ASM357664v1:14:11705027:11707071:1 gene:itb14g10410 transcript:itb14g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATPKQMIEQPQSSPQLLCVKSSGGILSFAAGMASPMADDREAEDMTKSALSAFRAKEEEIERRRREVTDRVQAQLGRVEEETKRLAEIREELEGFVDPVGKEVAVVRKRIDLVSRELKPLGQTCQRKEREYREVLEAFNTKTKEKAQLITKLMELVTESEKLRMKKLEELSKNLDSLR >itb06g02620.t3 pep chromosome:ASM357664v1:6:4513600:4518537:1 gene:itb06g02620 transcript:itb06g02620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIALVIQCLSLLILFSTTSLSLNPHHNIPRPRPLSSSSVSDSTAAYVTTGYYRQTLDHFNYAPQSYATFSQRYMVNSQYWGGAQSNFPIFAYLGAEIAIDNDITNAVFLLDVANRFNALLVYIEHRFYGESVPFGTQADALKNETLRGYFNSAQALADYAEVLIYIKNAYRAQNSPIVVVGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFDDITPQNGYYDVVTKDFRSVSESCVETIKESWSKISEYANQTNGGLYNLSRKFNLCKNLTSPQELTYYLHKMYADAAQYDNPPYYPVKRVCGGIDGAPQGSDILDRIHAGVVAYNNGVKSCYEISGLNTTASPGEGNINYGYAWQRCSEMVMPLAQGNDSMFEPFPFNLQKYSQDCIDTYGISPRPHWVTTYYGGHDFNLVLKDFGSNIIFSNGLRDPYSIGGVLKNISDTLVAVYTQNGAHVLDLFGEYASPPIWLTEQRETEVKIIQSWINKYYADLQGLKK >itb06g02620.t1 pep chromosome:ASM357664v1:6:4513600:4518537:1 gene:itb06g02620 transcript:itb06g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIALVIQCLSLLILFSTTSLSLNPHHNIPRPRPLSSSSVSDSTAAYVTTGYYRQTLDHFNYAPQSYATFSQRYMVNSQYWGGAQSNFPIFAYLGAEIAIDNDITNAVFLLDVANRFNALLVYIEHRFYGESVPFGTQADALKNETLRGYFNSAQALADYAEVLIYIKNAYRAQNSPIVVVGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFDDITPQNGYYDVVTKDFRSVSESCVETIKESWSKISEYANQTNGGLYNLSRKFNLCKNLTSPQELTYYLHKMYADAAQYDNPPYYPVKRVCGGIDGAPQGSDILDRIHAGVVAYNNGVKSCYEISGLNTTASPGEGNINYGYAWQRCSEMVMPLAQGNDSMFEPFPFNLQKYSQDCIDTYGISPRPHWVTTYYGGHVWSSHSFPRKTFSFLFLDNNTCLVTLTGEGRAEGKPAYLDCLIISVQTKKVNQRSS >itb06g02620.t2 pep chromosome:ASM357664v1:6:4513600:4518537:1 gene:itb06g02620 transcript:itb06g02620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIALVIQCLSLLILFSTTSLSLNPHHNIPRPRPLSSSSVSDSTAAYVTTGYYRQTLDHFNYAPQSYATFSQRYMVNSQYWGGAQSNFPIFAYLGAEIAIDNDITNAVFLLDVANRFNALLVYIEHRFYGESVPFGTQADALKNETLRGYFNSAQALADYAEVLIYIKNAYRAQNSPIVVVGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFDDITPQNGYYDVVTKDFRSVSESCVETIKESWSKISEYANQTNGGLYNLSRKFNLCKNLTSPQELTYYLHKMYADAAQYDNPPYYPVKRVCGGIDGAPQGSDILDRIHAGVVAYNNGVKSCYEISGLNTTASPGEGNINYGYAWQRCSEMVMPLAQGNDSMFEPFPFNLQKYSQDCIDTYGISPRPHWVTTYYGGHVWSSHSFPRKTFSFLFLDNNTCLVTLTGEGRAEGKPAYLDCLIISVQTKKDFNLVLKDFGSNIIFSNGLRDPYSIGGVLKNISDTLVAVYTQNGAHVLDLFGEYASPPIWLTEQRETEVKIIQSWINKYYADLQGLKK >itb08g06110.t1 pep chromosome:ASM357664v1:8:5235971:5241815:-1 gene:itb08g06110 transcript:itb08g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMANAAQNNQHDQLANGKDDLLRRQKIEKQRKSLPIASVEKQLVKEVHNNNTLIIVGETGSGKTTQLPQYLYHGGFCRDGGIIGVTQPRRVAAITVAKRVAEECGVELGQRVGYAIRFEDVTSNSTKIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLLGLLKNVQKARLQNADEGVSVGSTKPKNELLLEDKQDAHSDGIFKKCRAKNYTPLKLIIMSASLDARVFSEYFCGARAVHVQGRQFPVDIFYTHQPETDYIDAALITIFQIHLEEGPGDVLVFLTGQEEIESVERLVHDRLQHLPEGNRKLLTFPIFSSLPFEKQMKAFMPAPAGFRKVILATNIAETSVTIPGIKYVIDPGLVKARTYDADMGIDSLIVVKTSKAQALQRSGRAGREGPGKCYRLYPESEFEKLDDSTIPEIKRCNLSNVVLQLKALGIDNIVDFDFIEKPKRMALVKSLETLFLLGAITENNELSDPIGHQMARLPLEPMHSKALILASQFGCLEEMLISVAMLSVESIFYAPREKLEESRNALKSFASLEGDHLTLLSVYRASNELFEKSKIANGELKAEKNLRKWGKDNFINSRSLRHARDIHSQIHRNVEQMGLRISSCGEDTLLFRRCLAASFFLNAALKQPDSTYRVLSSGSTVQIHPSSVLFRAKPECIVFNELVQTNYTYVRNISRIDYLWLAELAPHFYALQD >itb08g06110.t2 pep chromosome:ASM357664v1:8:5236529:5241810:-1 gene:itb08g06110 transcript:itb08g06110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMANAAQNNQHDQLANGKDDLLRRQKIEKQRKSLPIASVEKQLVKEVHNNNTLIIVGETGSGKTTQLPQYLYHGGFCRDGGIIGVTQPRRVAAITVAKRVAEECGVELGQRVGYAIRFEDVTSNSTKIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLLGLLKNVQKARLQNADEGVSVGSTKPKNELLLEDKQDAHSDGIFKKCRAKNYTPLKLIIMSASLDARVFSEYFCGARAVHVQGRQFPVDIFYTHQPETDYIDAALITIFQIHLEEGPGDVLVFLTGQEEIESVERLVHDRLQHLPEGNRKLLTFPIFSSLPFEKQMKAFMPAPAGFRKVILATNIAETSVTIPGIKYVIDPGLVKARTYDADMGIDSLIVVKTSKAQALQRSGRAGREGPGKCYRLYPESEFEKLDDSTIPEIKRCNLSNVVLQLKALGIDNIVDFDFIEKPKRMALVKSLETLFLLGAITENNELSDPIGHQMARLPLEPMHSKALILASQFGCLEEMLISVAMLSVESIFYAPREKLEESRNALKSFASLEGDHLTLLSVYRASNELFEKSKIANGELKAEKNLRKWGKDNFINSRSLRHARDIHSQIHRNVEQMGLRISSCGEDTLLFRRCLAASFFLNAALKQPDSTYRYCVGLHFPI >itb10g17180.t1 pep chromosome:ASM357664v1:10:23410504:23412755:1 gene:itb10g17180 transcript:itb10g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFPLYSHSPNNRFQGGEIIMGVITTKPLPFFFLTLLTTCLFPFTVTVHGGDDTHHRNHRMQSIRASIVRRDSSATASPRVYHVTSYGADPNGKEDSTDAILKAITDALQGPSDGFLFNGIKNLGGARVDLDGGTYVVTRPLQFPVAGRGNLVIHGGTLKASDDFPTDGYVIDLSAPAGNGSGSDYNFEYITLRDLMVNSNFRGGGIQVVNSLRVNIDNCYITHFSTNGILVQGGHEAYIRNSFLGQHITAGNDAGERNFSGTAIHLNGNDNAVTDVVIFSANIGIMVSGQANILTGVHCYNKATGFGGTGIYLKVPGLTQTRIVNSYLDYTGIVAEDPVQLHISGSFFLGDAFILFKSVNGVVNGVNVVDNMFSGGNKGVDIVQLDESGGAFKKIDQVMVDRNNVNGMNLKSTIARGSVQGNGSSWTVDLNSVLLFPNFIKYVQYTFSPATGNSFANHALRNVSNNEVVVVSDVEIPASVFVMVDQGKSY >itb06g15270.t1 pep chromosome:ASM357664v1:6:19617393:19618353:-1 gene:itb06g15270 transcript:itb06g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A.3 [Source:Projected from Arabidopsis thaliana (AT4G27230) UniProtKB/Swiss-Prot;Acc:O81826] MAGRGKTLGSGAAKKAQSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKASADED >itb06g02040.t1 pep chromosome:ASM357664v1:6:3452712:3456502:1 gene:itb06g02040 transcript:itb06g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSFSRWLVDPKRNPLAAIHKKSLDSRLRKYGLRYDDLYDPYYDLDIKEALNRLPKEIVDARNQRLKRAMDLSMKHLYLPEDLQAVQTPFRNYLQEMLAIVKKERAEREALGALPLYQRTIP >itb07g12950.t1 pep chromosome:ASM357664v1:7:15015323:15020839:1 gene:itb07g12950 transcript:itb07g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASPPAETLNPAMVAPEDSSDQLPLPKAQPQIEPDETKPSEKRKREDEPDPEPQQSPLWKTTLCSYFRRSSESCSHGDTCRYAHGEAELRPRPDNSWDPTSDWAKKMARKADEDADTADVEEEKGNEVMMTEAFAEEEGFSSGSELAKCFVNLPLKWTSDKLQNFLNEHGILFKAAKKKKNMAVGFVTFENAEQVKSAEELDGKQVGNKTLKVSDVIPRSFEKQNKDEKQVPSGEMNSDSVLKGRSARSVVTPLADMSYAEQLENKKNSLMQSLKKLTQSARKACPRGVSLPEWVINAREIGNLPCKLESIIASPIINGYRNKCEFSVGYSLKGKLCVGFLLGNFREGVTAVEEPLDCPNVSRIACRYAAIFQEFLQHTSLPMWNRLNNTGFWRQLTVREGRTPGKTAEVENPESHISEVMLIVQICSVGLESQLVDTELHKMAKAFVHGASTELPVLPLTSLVVQDHTGISNVAPEDAPLRSLPILEGSDNRTEAAGAIAEAKLHDYINGLQFCISPTAFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVVGIEMDSSAVSDAQRNADINGIKNCRFICSKAEKVLGSLLKEYLVGPQIQDELSKSLKKSDPEITSIKEKVDSEDRTPGSEDKNGFDQESSKSAAELLENGNKDTEDQLQTSGELENTTPPVQKFSNVVAIVDPPRMGLHPTVIKVLRTHQQLKRLVYISCNPESLVANAIELCTPSADEAVKGKNKNNRRWKNMGIAGLARHRTKSMPKSEPFKPVKAVAVDLFPHTTHCELVMLLER >itb01g11110.t1 pep chromosome:ASM357664v1:1:9765553:9803504:1 gene:itb01g11110 transcript:itb01g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDTELLCKVTANHLFLAQFEPFRATLRSLRARNPDIARAILQTIVAQGGRFNSILWSHSCPSPALLTFLCTLELLEFNEPTSNLWSFDADTLKLRAEFLLYLYAVIFRVSESLKKGTDLEDPGGNGMNPDPPGKDESLKDCLSVLERISEVGLTRLKPDLIEIDHTRENEGTSGSEIVVQEEEIMRLRRVFLENADVFDALCVNIQKQVSWIEGENSAMALALRTTVKHNDAGEKVLKLIQRSVQISHLDAMKECLEKDDVDGAVSHIRFLNFDYGVEEKEHRLVLHDILKRVLPVKDDYGDAWLASRHKLLSVFREALSSCCARLVQMIQAIQDELLFAEMEILRTCDSEHITLPLLRLQNFIRELKPDTDSNERARLLDTAVSSCMREMYHYARVSGLHVLECVMHTALSALRKEELQEASSVLLLFPRLQPLVAVLGWDLLSGRTTLRRKLMQLLWTSKSQEFRLQDSPRYCSKLNEVSCVEHLCDLLCYRLDLASFVSSVNSGQSWSSKSSLLLYGSECTEQGNEDIKWDPFVENFVLERLSVQSPLRVLFDVVPSIKFQDAIELISMQPITSTLAAWKRVQDIELMHMRYALESAVLSLGAMEDDTSVGDGKGNANMCYLKDLKSHLDAINNVPRKIFMVNIIISLLHMDGLSLYSASSTSSRNSSEMPTTSIGKQLEAPTQDSVNETAVLFIRQLLDILRHNIPSSEKENARDENISSGGKEALEWRILNARHFIEDWEWRLSILQCLLPLSERQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVNRFSLPPEDKATLELVEWVDGAFKRASVEDAVTRAADGASAVQDLDFSSLRAQLGPLPAILLCIDVAATSAKSKTISLKLLNEAQIILSEIYPGSSPKMGSKYWDQIHDMAMISVVKRVLKHLQELLEQDKSSALQVILSGEMPLLFSKEFNRQGHRERALVMLHQMIEDAHIGKRQFLSGKLHNLARAVADEETEKDNFTGESSYSDRKGSLMCDRNGVLGLGLKSLKQLSVASAAGENSINSGNYDGKEAGKRLFGPLSSRAVTYLSQFVLYIAAIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAEKAADIMSADFVHEVISASVPPVYPPRYGHGWASIASVPTYPKNYFESRVISPSREAKLDSFSQSSSTTGLPLYPLHLDIIKHLIKLSPVRAVLACVFGSSILHRGSENAISRSFHGGLLQPSDANRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFSVMAEHSSKNDKDESESRVSMKRLRDYESDTESEVDENAVGNNIIKRSPEVKNEETISSDPWVEPPKSALAERDSVILSFDWENEGPYEKAVERLIDEGKLMDALAISDRFLRNGASDRLLQLLVERGEENNSGQSYSYSGNRIWSNSWQYCLRLKDKQLAARLALKYLHKWELDAALDVLTMCSCHLHDKDSLKDKVVQMRRALLRYNHILCADDHYTSWQQVETDCKEDPEGLALKLAEKGAVSAALEVAESEGLSIELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTADALPVAMSAMQLLPNLRSKQLLVHFFLKRKDNNLSEVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKELPSLRDNSLIIAYAVKAIAISINTPREPRVSVMVQRSRQKTKTVTPARSSFTSSLSNLQKEARRAFSWTPRNSGDKSAPKDTYRKRKTSGLMQSERVAWEAMTGIQEDRASSFSADGQERLPTAAIAEEWMLTGDLKKDEAVRSSHRYESAPDITLFKALLSLCSEESASAKGALDLCVNQMKNVLTSKQVPENASMETIGHAYHATETFVQGLFFAKSLLRKLAGGSDMSINSERNRDTDDASSGAGSSSVGSQSTDELSEALSQVEAWLGRAELLQSLLGSGIAVSLDDIADKESSERLRNRLILDEKYSMAVYAAKKCKIDVFPVWNSWGHALIRMEHYAQARVKFKQAFQLHKGDPANVILEIINTIEGGPPADVSSARSMYEHLARSAPAILDDSLSADSYLNILYMPSTFPRSERSRRSHEPSSNDSAANDADFEDGPRSNLDSVRYLECVNYFQDYARQLLLDFMFRHGHYKDACLLFFPPTSVPPPPQPSSGTVTSSTSPQRQDSLATDYGTLDVLCDLCIAYGAMPVLEELLSARTSKTTSLDPLVNQQTVAALARICNYCEIHKHFNYLYNFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLEHAKMHFDDGLSARSKAGESTKLVTKGGRGKSASEKLTEEGLVKYAARVSIQIDVVKCFNDAEGPQWKHSLFGNPNDLETFRRRCVIAETLAERSFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGGQLTEFFRNIKGTIDDEDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >itb01g11110.t3 pep chromosome:ASM357664v1:1:9771301:9803467:1 gene:itb01g11110 transcript:itb01g11110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRYALESAVLSLGAMEDDTSVGDGKGNANMCYLKDLKSHLDAINNVPRKIFMVNIIISLLHMDGLSLYSASSTSSRNSSEMPTTSIGKQLEAPTQDSVNETAVLFIRQLLDILRHNIPSSEKENARDENISSGGKEALEWRILNARHFIEDWEWRLSILQCLLPLSERQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVNRFSLPPEDKATLELVEWVDGAFKRASVEDAVTRAADGASAVQDLDFSSLRAQLGPLPAILLCIDVAATSAKSKTISLKLLNEAQIILSEIYPGSSPKMGSKYWDQIHDMAMISVVKRVLKHLQELLEQDKSSALQVILSGEMPLLFSKEFNRQGHRERALVMLHQMIEDAHIGKRQFLSGKLHNLARAVADEETEKDNFTGESSYSDRKGSLMCDRNGVLGLGLKSLKQLSVASAAGENSINSGNYDGKEAGKRLFGPLSSRAVTYLSQFVLYIAAIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAEKAADIMSADFVHEVISASVPPVYPPRYGHGWASIASVPTYPKNYFESRVISPSREAKLDSFSQSSSTTGLPLYPLHLDIIKHLIKLSPVRAVLACVFGSSILHRGSENAISRSFHGGLLQPSDANRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFSVMAEHSSKNDKDESESRVSMKRLRDYESDTESEVDENAVGNNIIKRSPEVKNEETISSDPWVEPPKSALAERDSVILSFDWENEGPYEKAVERLIDEGKLMDALAISDRFLRNGASDRLLQLLVERGEENNSGQSYSYSGNRIWSNSWQYCLRLKDKQLAARLALKYLHKWELDAALDVLTMCSCHLHDKDSLKDKVVQMRRALLRYNHILCADDHYTSWQQVETDCKEDPEGLALKLAEKGAVSAALEVAESEGLSIELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTADALPVAMSAMQLLPNLRSKQLLVHFFLKRKDNNLSEVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKELPSLRDNSLIIAYAVKAIAISINTPREPRVSVMVQRSRQKTKTVTPARSSFTSSLSNLQKEARRAFSWTPRNSGDKSAPKDTYRKRKTSGLMQSERVAWEAMTGIQEDRASSFSADGQERLPTAAIAEEWMLTGDLKKDEAVRSSHRYESAPDITLFKALLSLCSEESASAKGALDLCVNQMKNVLTSKQVPENASMETIGHAYHATETFVQGLFFAKSLLRKLAGGSDMSINSERNRDTDDASSGAGSSSVGSQSTDELSEALSQVEAWLGRAELLQSLLGSGIAVSLDDIADKESSERLRNRLILDEKYSMAVYAAKKCKIDVFPVWNSWGHALIRMEHYAQARVKFKQAFQLHKGDPANVILEIINTIEGGPPADVSSARSMYEHLARSAPAILDDSLSADSYLNILYMPSTFPRSERSRRSHEPSSNDSAANDADFEDGPRSNLDSVRYLECVNYFQDYARQLLLDFMFRHGHYKDACLLFFPPTSVPPPPQPSSGTVTSSTSPQRQDSLATDYGTLDVLCDLCIAYGAMPVLEELLSARTSKTTSLDPLVNQQTVAALARICNYCEIHKHFNYLYNFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLEHAKMHFDDGLSARSKAGESTKLVTKGGRGKSASEKLTEEGLVKYAARVSIQIDVVKCFNDAEGPQWKHSLFGNPNDLETFRRRCVIAETLAERSFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGGQLTEFFRNIKGTIDDEDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >itb01g11110.t2 pep chromosome:ASM357664v1:1:9770992:9803504:1 gene:itb01g11110 transcript:itb01g11110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRYALESAVLSLGAMEDDTSVGDGKGNANMCYLKDLKSHLDAINNVPRKIFMVNIIISLLHMDGLSLYSASSTSSRNSSEMPTTSIGKQLEAPTQDSVNETAVLFIRQLLDILRHNIPSSEKENARDENISSGGKEALEWRILNARHFIEDWEWRLSILQCLLPLSERQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVNRFSLPPEDKATLELVEWVDGAFKRASVEDAVTRAADGASAVQDLDFSSLRAQLGPLPAILLCIDVAATSAKSKTISLKLLNEAQIILSEIYPGSSPKMGSKYWDQIHDMAMISVVKRVLKHLQELLEQDKSSALQVILSGEMPLLFSKEFNRQGHRERALVMLHQMIEDAHIGKRQFLSGKLHNLARAVADEETEKDNFTGESSYSDRKGSLMCDRNGVLGLGLKSLKQLSVASAAGENSINSGNYDGKEAGKRLFGPLSSRAVTYLSQFVLYIAAIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAEKAADIMSADFVHEVISASVPPVYPPRYGHGWASIASVPTYPKNYFESRVISPSREAKLDSFSQSSSTTGLPLYPLHLDIIKHLIKLSPVRAVLACVFGSSILHRGSENAISRSFHGGLLQPSDANRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFSVMAEHSSKNDKDESESRVSMKRLRDYESDTESEVDENAVGNNIIKRSPEVKNEETISSDPWVEPPKSALAERDSVILSFDWENEGPYEKAVERLIDEGKLMDALAISDRFLRNGASDRLLQLLVERGEENNSGQSYSYSGNRIWSNSWQYCLRLKDKQLAARLALKYLHKWELDAALDVLTMCSCHLHDKDSLKDKVVQMRRALLRYNHILCADDHYTSWQQVETDCKEDPEGLALKLAEKGAVSAALEVAESEGLSIELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTADALPVAMSAMQLLPNLRSKQLLVHFFLKRKDNNLSEVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKELPSLRDNSLIIAYAVKAIAISINTPREPRVSVMVQRSRQKTKTVTPARSSFTSSLSNLQKEARRAFSWTPRNSGDKSAPKDTYRKRKTSGLMQSERVAWEAMTGIQEDRASSFSADGQERLPTAAIAEEWMLTGDLKKDEAVRSSHRYESAPDITLFKALLSLCSEESASAKGALDLCVNQMKNVLTSKQVPENASMETIGHAYHATETFVQGLFFAKSLLRKLAGGSDMSINSERNRDTDDASSGAGSSSVGSQSTDELSEALSQVEAWLGRAELLQSLLGSGIAVSLDDIADKESSERLRNRLILDEKYSMAVYAAKKCKIDVFPVWNSWGHALIRMEHYAQARVKFKQAFQLHKGDPANVILEIINTIEGGPPADVSSARSMYEHLARSAPAILDDSLSADSYLNILYMPSTFPRSERSRRSHEPSSNDSAANDADFEDGPRSNLDSVRYLECVNYFQDYARQLLLDFMFRHGHYKDACLLFFPPTSVPPPPQPSSGTVTSSTSPQRQDSLATDYGTLDVLCDLCIAYGAMPVLEELLSARTSKTTSLDPLVNQQTVAALARICNYCEIHKHFNYLYNFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLEHAKMHFDDGLSARSKAGESTKLVTKGGRGKSASEKLTEEGLVKYAARVSIQIDVVKCFNDAEGPQWKHSLFGNPNDLETFRRRCVIAETLAERSFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGGQLTEFFRNIKGTIDDEDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >itb01g03180.t1 pep chromosome:ASM357664v1:1:2093547:2094566:1 gene:itb01g03180 transcript:itb01g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIILLLLFPLAAVLVPETAAAMSAAMRKPDAGAGNMHKMFFRHRVFPPYLGGGPKGLIPGGGLGGGSSSSSGGGAGAGSGEPGSAGKFFRGIRAAGEASRALNRVYNGGVAAAGM >itb10g06300.t1 pep chromosome:ASM357664v1:10:6990420:7006241:1 gene:itb10g06300 transcript:itb10g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICLHNSILKQSNAESLTDEELEEQIYRCLKRQKYLVVMDDVWSGESWDDINACFPDDGNGSRVLLTTRLEVVANCVSSGNDFSHQMNLLDHNESWTLFHRKALLEGVSQGVEFEMIGRPIVEKCRGLPLAIVVVGGLFSKLNTLDDWKSVAKALDDSSTTTTIVEVCSKILSLSYNHLPHNFKACFLYLGIFPEDEEIYAKRLARLWGAEGLIKASKNESLEMVGQKHIKELIDRNLILLSEQSSCGTRIKTFKMHDVLHAFCVREAQNESLLHVVNENGTGFAHKSFRWLSITSSKLDISRLYSYPKTCRSMFEFSTYQITKLVPQNFETLRVLYTTDVKPKPVVYPRTVHLFTPIHLSYSISGQIARLKNIKKLSFYNTNFLWEEMGVLSKLPRLEVMKMKRWACKGQKWGLKEDERFCRLVFLKFDGVDLERWEVNDDNFPKLERLILYDCSRLEEIPSSFEDIQTLKSIKLRSFNSLLPTPHQLFSRLRHTSHRNRRKENKESTRGLNQFPLFAGKLLQSCRRAILQFSSFGSVVNSGGFAFLVISAMTSSDHDLSDNSETGQHENQSSPPSQVMPHPGTVAPTMPSGILPQLGNGNAMAQTAYPYPDPYYRSIFAPYDTQPYPQCFPAQSMVHLQLMGVQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKLHRNRKPYLHESRHLHAQRRARGCGGRCGVKQQPRSCFAFVVFIYGGFAGVVSQEFVVFRGVADSGSDHDGAYTGGGRRTVEGAGDGKNRDAVVAARQGVGGVDVGVAGGVERGGVGLAEEGDGSGSLTQTRSWAVAVRWMWASQPSHRYAVLVQQ >itb13g18430.t1 pep chromosome:ASM357664v1:13:25398271:25400102:-1 gene:itb13g18430 transcript:itb13g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MHPCLHFPNSLHTSLLNSSLTFSLSKILWGTQIEASKMNDLMTKSFTSYVDLKKEAMKDLEAGGDLEMGMTKMDQNLSAFLEEAEKVKTEMNSIREILVRLQESNDEIKALHKPEALKSLRDRINADILAVLKKARAIRAELEEMDRSNAVSRRLSGCKEGTPVDRTRLAVTNGLRKKLKEMMMDFQGLRQTMMTEYKETVGRRYFTVTGEQPNEEVIEKIISNGNGHGGEEFLSRAIQEHGRGKVLETVEEIQDRHEGAKEIERSLLELHQIFLDMAVMVETQGEQLDDIHHHVINASQYVNDGAKNLKTARTYQKSSRKWMCIGIILLLIIILVVIIPIATSFAKS >itb03g24610.t1 pep chromosome:ASM357664v1:3:23369804:23370886:-1 gene:itb03g24610 transcript:itb03g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGFLKLLLSESFADVFVSSTRLSEWVSLPFSEAIFSFYFGDYGHIVVQGPYLTYDEYYLTVTGGSDIFEGVTGQVKLWKLIYLFNLRVMSAAADLTVRICSNLKLPLLLGSIPIRQATALEVGWSCRGSGRKGTPASGDTGSCRCLTSSRTAPGQERSTITFSQLQFKNR >itb13g13610.t1 pep chromosome:ASM357664v1:13:20109202:20116551:1 gene:itb13g13610 transcript:itb13g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLDSLRNAHPELSDWYNTLADLYQRKLWHQLTLKLEQFVALAVFQAGDALIQLYNNFITDFETKINLLKLAHFAVIVSRQYSGKEAAICYLEGVIEKLRNTKEMRIEEPILYIKMQIALFKLEQGDQKSCNKLLEEGKGTLDSMTDIDPSVYASYYWVSSQYHKSRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGENIYNFGELLAHPIIKSLIGTKVEWLYYILEAFNTGDLARYQELCHVHQADLNAQPALVQNEKNLLEKINILCLMEIIFSRPSEDRTIPLSIIAERTKLTVEDVEYLLMKSLSVHLIEGIIDQVDGSVYVSWVQPRVLGIPQIKSLRDRLDNWVEKVHTALLSVEAETPDLVGA >itb13g13610.t2 pep chromosome:ASM357664v1:13:20109202:20116551:1 gene:itb13g13610 transcript:itb13g13610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLDSLRNAHPELSDWYNTLADLYQRKLWHQLTLKLEQFVALAVFQAGDALIQLYNNFITDFETKINLLKLAHFAVIVSRQYSGKEAAICYLEGVIEKLRNTKEMRIEEPILYIKMQIALFKLEQGDQKSCNKLLEEGKGTLDSMTDIDPSVYASYYWDLAFDLSLSALLGENIYNFGELLAHPIIKSLIGTKVEWLYYILEAFNTGDLARYQELCHVHQADLNAQPALVQNEKNLLEKINILCLMEIIFSRPSEDRTIPLSIIAERTKLTVEDVEYLLMKSLSVHLIEGIIDQVDGSVYVSWVQPRVLGIPQIKSLRDRLDNWVEKVHTALLSVEAETPDLVGA >itb01g21400.t1 pep chromosome:ASM357664v1:1:27535330:27538421:1 gene:itb01g21400 transcript:itb01g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGPPPSKVNAAPAADDDGHNAAAAVPGIGSGLRKKASGVRPWLLLDCTGQAQVVEAGKHAIMRRTGLPGRDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNAKDPSVAPFVEELQRRILRHHQATKSQETGIGGNNVDWGNLYAIEDSQSQRVSRPNHEEGKGDAKQQVEDNRDGIKLLPFEFVALEACLEATCTCLDSEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLSDKLFEVESSSVSSMNEQEDGMDDEVMQSDVNDRIPAEISIEGNGADPEIDYPMERVNTLGRDSHATRTSTTRSAISKHLNVEELEMLLEAYFIQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTAATLVISAFVAVAGVFGMNIHIELFNPDIAGMPQFLWAIGGGSAGCIILYVAVIAWCKHKRLLD >itb14g02260.t1 pep chromosome:ASM357664v1:14:1876292:1876603:-1 gene:itb14g02260 transcript:itb14g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWFPITLLCWSSNLTAVETPTFVLWNTCLNPRTEERLVVHGRVMVGAFETVIENNADKREPFDVTKLLDIKIQQKPWRYQHRIHNEEEDGRDREYFYRNLY >itb13g19070.t1 pep chromosome:ASM357664v1:13:26077945:26083935:-1 gene:itb13g19070 transcript:itb13g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEEPRGELMSARAEERKIPVFTVLKNNAILKNIFLLDNPAFSEESEKDLEEILVVGRHPECNITLEHPSISRFHLRIHSKPSSQSLSVIDLSSVHGTWIAGKRIEPGVRVTLQEGDTMQLGGSSRVYRLHWMPFSRAYDLETPVLLPILESEPVKEGEGETNQDEDGFSHDDSLTKRDELEGLDSLHSDTDVSTMKSLTPSAPPLPEDINSAVAYEERVECKTTNTGIHVEDKSNIGQPDYQLDKEDNMAQPSYAQTHSLAEFQTENAGSASLGSQQRPALNIWSRRGKASSVLIQTGRDAKKSSRVSFGSEINSENNADTYIQSFSTDLFQSGDMGEKVSTPDKENSPPSSLPLGSLKTTDELNLKSGSTMKSSLLNVDEVGEIVTPDKENVTMKVIQNNGRDANNSSSVSFGSEIKSENNANTDIQPFSKDLFQIGDMGEKVSTSDKVHSSPSSLLLESLKTKDELDLKSGSTMKSSPLNVDEVDEIVAPDKENVTMKVIQTGRDANKSSRASFGSEIKSENNADTDIQSFSKDLFQSGDMGEKNFTPDRENSPPSSLPLGSSFGSEIKSESNADTDIQSFSKDLFQSGDMGEKMFTPDRENSPPSSLLLGSSFGSEIKSENNADTDIQSFSKDLFHSGDMGEKISTPDKENSPPSSLPLGSLKITYEFDLKSGSTMKTSLLNEDEVDEIVTPNKEHITMSIFCQSGGADEETFTPDKQMLTPNKEMTDELYLKSGSTMKTSLLNVDEVDEIVTPDKENITMNILCLSGGADEETFTPDKQMLTTNKENQTPTSVSLRSLFDIGSAMISSVGEDAKIVIPDKKNTTLVIPPLSGDVDEEEISPPHQGNLTPTSFPLGSLKNTGEFDLRNGLTMKSTLVDETVIPGKENCISSSVLLRSLKKTGEVDLKSQSTMKSSIPNMDEVDDENVTPNKENMALKTHLLRSIKQKGKLENILKKVLNPSPLKNAADPRIHNDEGMPFSLENDNCTEKVGECDDEIFTPDKENMTPNTHFLWSMDKIGKPGETKKHTWKANEDDSLLSMNTVGKLEETFSRTVFNPHQYEGMSVEKENHYEVLQEPISICAVSKNQEEKGLNVSLQSVSLTQKGKIDRLPLQSVSLNSKSSKTESNYSTQDVSTKSIHRIKYLGVEEVYPVSEHIVREENKRWCMVVDTTTLLNKESRKALQLLQGLKRTCLIIPRIVIRELDCMKRRSGFFRRSTEVTSALEWVEDCMINTKWWIHVQSSEEEAIAIPPTPPATPASLISYDTLLNIVSPTAEDHILEYALSFRKINNGQLVLLSDDVTLKIKSMAEGLLCETASDFRESLVNPFSERFLWADSSPRGTTWSSADDVLKETYNRHRPKKPSKLGESVCGLKLILLHNSHYRQFIH >itb04g12220.t1 pep chromosome:ASM357664v1:4:11978967:11982104:1 gene:itb04g12220 transcript:itb04g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIMVPRIKLGSQGLEVSAQGLGCMGMSANYGTAKPEADMINLIHYALNSGVTFLDTSDIYGPHTNEILLGKALGGGMRDKVELATKFGIRGGGRGICGDPAYVRASCEASLKRLDVESIDLYYQHRIDKHIPIEVTMGALKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDAEEEIIPTCRELGIGIVAYSPLGRGFLSSGPNLVENLSEVDRRKNHPRFQAENAEQNYSLYERINKVAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIEALSVNLTAEDMSELESIASAVKGDRYPPGVSTWKDSDTPPLSTWKAT >itb04g12220.t2 pep chromosome:ASM357664v1:4:11979918:11982104:1 gene:itb04g12220 transcript:itb04g12220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKVELATKFGIRGGGRGICGDPAYVRASCEASLKRLDVESIDLYYQHRIDKHIPIEVTMGALKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDAEEEIIPTCRELGIGIVAYSPLGRGFLSSGPNLVENLSEVDRRKNHPRFQAENAEQNYSLYERINKVAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIEALSVNLTAEDMSELESIASAVKGDRYPPGVSTWKDSDTPPLSTWKAT >itb04g12220.t3 pep chromosome:ASM357664v1:4:11981008:11982101:1 gene:itb04g12220 transcript:itb04g12220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIFMFLMCLYLCFYKMGALKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDAEEEIIPTCRELGIGIVAYSPLGRGFLSSGPNLVENLSEVDRRKNHPRFQAENAEQNYSLYERINKVAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIEALSVNLTAEDMSELESIASAVKGDRYPPGVSTWKDSDTPPLSTWKAT >itb12g06800.t1 pep chromosome:ASM357664v1:12:5019875:5021707:-1 gene:itb12g06800 transcript:itb12g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSGSDNDMDLPPGFRFHPTDEELITHYLTPKVLDTRFSAAAIAEVDLNSVEPWDLPWKAKMGEKEWYFFCVRDRKYPTGMRTNRATGAGYWKATGKDKEIFKAKTLVGMKKTLVFYKGRAPRGENTSWVMHEYYRLEGMHNLPKSAKNEWVICRVFKKSSGGKKVTISGLMRGENGGENSKMPPLMDISQTSHVPCLSNSVEDQKPRNGESSGTLSNHQIMPGLDVDSGMMMMQDHSILKLLMGNNQNSEFGGLRPCDDDQQFLITSAAGAVDLDYLWNYHY >itb12g06800.t2 pep chromosome:ASM357664v1:12:5019875:5021600:-1 gene:itb12g06800 transcript:itb12g06800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEWYFFCVRDRKYPTGMRTNRATGAGYWKATGKDKEIFKAKTLVGMKKTLVFYKGRAPRGENTSWVMHEYYRLEGMHNLPKSAKNEWVICRVFKKSSGGKKVTISGLMRGENGGENSKMPPLMDISQTSHVPCLSNSVEDQKPRNGESSGTLSNHQIMPGLDVDSGMMMMQDHSILKLLMGNNQNSEFGGLRPCDDDQQFLITSAAGAVDLDYLWNYHY >itb09g15750.t1 pep chromosome:ASM357664v1:9:11015533:11018664:1 gene:itb09g15750 transcript:itb09g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIANGHNNDFCMKKADPLNWEMAADSLKGSHLDEVKRMVAEFRNPAVKIGGQTLTVAQVAAIAAGDIAVKVELSQAARAGVKASSEWVMNNGTGSNGFGANSHRRTKNGHALQQELIRFLNVGIFGTGTETGSCHTLPHSATRAAMLVRANTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGSITASGDLVPLSYIAGLLIGRPNSKAVGPNGETLTAEEAFKLAGVQGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVVAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSYYMKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRQATKMIEREINSVNDNPLIDVSRNKSLQGGNFQGTPIGVSMDNSRLALASIGKLIFAQFSELVNDYYNNGLPSNLTAGRDPSLDYGLKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDVLKLMSSTYLVALCQAIDLRHLEENLKNAVKNTVSQVAKRTLTMGVNGELHPSRFCEKDLLRVVDREYVFAYADDPCSANYPLFQKLRQVLVDHALQNGQHDKNVSTSIFQKIAAFEDELKAALPKEVEGARSALENGNPAIPNRISECRSYPLYKFVREELGTEMLTGEKVKSPGELCDKVFTAMCEGGMIDPLLECLKSWDGAPLPLIC >itb12g10670.t1 pep chromosome:ASM357664v1:12:8781955:8784958:-1 gene:itb12g10670 transcript:itb12g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEMDLECGEHRRRHSSGGATSEEEEGSFCFSDADEGSCYSQFYSTADGDNCSFACASESEIAETVDSRRASSAAESDCSVDLEKGVAETKGHFGKIERDCRICHLSFDSSGPETGVSIQLGCSCKGDLASAHKHCAETWFKIKGNKTCEICNSIARNVVGPNDTESSELQANETNGAGSTNAATGTASSPSTSEPRSCWSGQKFLNFLLACMVFAFVISWLFHFNAPS >itb06g14320.t1 pep chromosome:ASM357664v1:6:18894085:18896588:-1 gene:itb06g14320 transcript:itb06g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVMSPFKTSAIFTEPEKQNTELLLKNSGSLSARREDFIGLIDVYIHQARDIHNICIYQKQDVYAKLCLTSDPDNALSTKIINGGGKNPVFNENLRLNVRTIECSVKCEIWMMSRVRNYMEDQLLGFALVPLSEVLLGNGKLEKEFSLSSTDLFHSPAGFVQLSLSYNGTLPELISISAEKTVQNAEVLESLASEFEKIEFPDPKIMNENDVMVSEYFGIQGSTLESQSSDSLVSSSDNENQPSPEVDVHVGESFPYPKRDSPPSSVSTNEHPASSQSSEAPGASKTAPEEYISPSKECSKEKSLLDERDAESAFPKAVMAVSIEAEQKVVQQDIIDMYMKSMQQFTESLAKMKLPLELESTTTTTSSGNSSSDQKLQTPKSAGSRVFYGSRAFF >itb01g09470.t4 pep chromosome:ASM357664v1:1:7730974:7736192:1 gene:itb01g09470 transcript:itb01g09470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t5 pep chromosome:ASM357664v1:1:7730981:7736256:1 gene:itb01g09470 transcript:itb01g09470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t2 pep chromosome:ASM357664v1:1:7730981:7736255:1 gene:itb01g09470 transcript:itb01g09470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t10 pep chromosome:ASM357664v1:1:7730974:7735003:1 gene:itb01g09470 transcript:itb01g09470.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRRCSLVLTPLLLSISV >itb01g09470.t1 pep chromosome:ASM357664v1:1:7731188:7736192:1 gene:itb01g09470 transcript:itb01g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t3 pep chromosome:ASM357664v1:1:7730974:7736192:1 gene:itb01g09470 transcript:itb01g09470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t6 pep chromosome:ASM357664v1:1:7730981:7736256:1 gene:itb01g09470 transcript:itb01g09470.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t7 pep chromosome:ASM357664v1:1:7732021:7736256:1 gene:itb01g09470 transcript:itb01g09470.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t8 pep chromosome:ASM357664v1:1:7731961:7736192:1 gene:itb01g09470 transcript:itb01g09470.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRSSRGSSLWVATGSMDKKLIIWDVLHWLPRCTCEHEGGVTCLLWLGTSRFVATGCVDGKVRVWDSLLGNCNATFSGHSDAIQSLAASSDWEFLVSVSIDGTARVFEISAFE >itb01g09470.t9 pep chromosome:ASM357664v1:1:7730974:7735003:1 gene:itb01g09470 transcript:itb01g09470.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGYGDWDDGIEGAVDEEDSVHIFTGHSGELYAACCSPTDATLVATGGGDDRGFMWRIGQGDFAFELQGHKDSVSSLAFSSDGKLLASGSFDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPTEHLILAGSEDGIVWLWNAETGASLNMLTGHGESVTCGDFTPDGNTICTGSDDATLRIWNTWSGESIHVVRGHPYHTEGLTCLAILAIGTVSTLVLTGSKDSSVHIVNIATRKVVRSLNGHSDSIECIGISRRCSLVLTPLLLSISV >itb03g12670.t1 pep chromosome:ASM357664v1:3:12271997:12272596:-1 gene:itb03g12670 transcript:itb03g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEDKVNPISAVAAVPAVFISTATLRRLLTHAPLIRHLHSTLSTNIQSPVRYSHDTTPSSSLLLMPSWSLSPSLPYVGVKLVTYHPNNSAVNLPRVHASYALFSSLTGQTLATMDATDLTLYCTSCISALASKFLSRENSKTLVMVGACSLALHLIWAHLAVRLGIKSVIMWNRTIRKAQCLVEKLEKESGFEGVIF >itb15g02440.t2 pep chromosome:ASM357664v1:15:1485980:1487747:1 gene:itb15g02440 transcript:itb15g02440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSLLVVGVANVSADLCQYIACNPERLSRDQVLYLLFCFPCRQLSRLALCLWTFFCFPSPDRYLSSSSPSSSSSDSDSDSDLEDLHPHIH >itb15g02440.t3 pep chromosome:ASM357664v1:15:1485980:1487766:1 gene:itb15g02440 transcript:itb15g02440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSLLVVGVANVSADLCQYIACNPERLSRDQVLYLLFCFPCRQLSRLALCLWTFFCFPSPDRYLSSSSPSSSSSDSDSDSDLEDLHPHIH >itb15g02440.t1 pep chromosome:ASM357664v1:15:1485996:1487013:1 gene:itb15g02440 transcript:itb15g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSLLVVGVANVSADLCQYIACNPERLSRDQVLYLLFCFPCRQLSRLALCLWTFFCFPSPDRYLSSSSPSSSSSDSDSDSDLEDLHPHIH >itb14g15660.t1 pep chromosome:ASM357664v1:14:18978855:18982817:1 gene:itb14g15660 transcript:itb14g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRSINRSGDYLEGMLSDYMGGRKGCNNNKIIRSTKTTGSTKLVAVLTFLQFTFAVYATFLLYYMSPSVDLRMKPDFSWATRMAQQWKQYLIIPPHIVSKYQESNSQQMMVFSPSEVCELEKIDFEQKKSNDAVMIKLKRELYQQVLDFQKSSFGTETLSELMAMKSKWDLRGPNRPKITVILNHFKRKTLCAQLDSLLSQTLPFHHVWVLSFGSPNEQTLRRIVESYNDTRISFISSGYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILAHVAGTEKYQNSVLGSIGRILPFRQKDFSFPSYRKFRSKEAGLYLPDPAYDILVDRIVQVDFLSSSWFLSAELVKTLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMNPQRIDALFYAHSVDEIKALAPLLEKFRTTVGRKAYIVVSGGKFLGCEDAAMALNWPKTVCRDRRFKIMDLGIGALSGISNSEVPVLQAVYASMKGLIKIHNPSVVITVADADSNIKKALKMAVDTNTNNSTLVLLPRSSVTKVLWMADLRPTALPNWNKMRVSINIITQNRVNSLARLLRSLSSAFYVGDEVSITFNMDSKVDEATIKLVNSFSWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSTISLYTPRLVEVVKERPKWNATEFFKRIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHIAAKDNVVKHNKDDFEVPLLKQDFRDLLPNGKMPSASKLPSLNLFNQAISLKGLKSAGAKLKQDVLECDAAEVVAVHHDTGLPSHCARF >itb02g03440.t1 pep chromosome:ASM357664v1:2:1985880:1992677:-1 gene:itb02g03440 transcript:itb02g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQRLKQQQQQALMQQALLQQQSLYHPGLLAAPPIEPIPSGNMPPGFDPSTCRSVYVGNIHQQVTEPLLQEVFSSTGPVEGCKLIRKEDSSYGFVHYYDRASATLAILSLNGRHLFGQPIKVNWAYASSQREDTSSHYNIFVGDLSPEVTDAMLFTCFSTYPSCSDARVMWDQNTGRSRGYGFVSFRNEQDAQCAINDLTGKWLGSRQIRCNWATKGANNNDTKQSSDAKSVVELTNVSSEDGKEATNNEAPENNPQFTTVYVGNLAPEVTQLDLHRHLHALGAGVIEEVRVQRDKNFGFVRYNTHAEAALAIQMGNAQSILRGRQIKCSWGNKPTPPGTASNPLPPPAPAPMQGLSAIDLLAYERQVALAKMGGIHAFVQPQGQLPPKQASMGMGVAGASQAIYDAGFQNMAAAQQLMYYQ >itb10g02550.t1 pep chromosome:ASM357664v1:10:2194247:2196141:1 gene:itb10g02550 transcript:itb10g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNSSLKLLVALALVFATSAYLAMSRTLSDSLMVVRHEQWMAQYGRVYENKVEKTKRFNIFKENVEYIESFNKAGTKPYKLGINAFADLTNQEFRASRNGYKLPHDCSSNTPFKYENVSSVPTTVDWRTKGAVTPVKDQGQCGCCWAFSAVAAMEGITKLSTGNLISLSEQELVDCDVKGTDQGCEGGLMDDAFTFIINNKGLTTESNYPYQGTDGSCKKSKSSNSAAKISGYEDVPANSESALEKAVANQPVSVAIDAGGSDFQFYSSGVFTGECGTQLDHGVTAVGYGIAEDGTKYWLVKNSWGTSWGEKGYIRMQKDIEAKEGLCGIAMQSSYPSA >itb05g23460.t2 pep chromosome:ASM357664v1:5:28558464:28563317:-1 gene:itb05g23460 transcript:itb05g23460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLGLKLPALVFLGIFGSLFVNAVSQAGENDDASVMLALKKSLNPPLDMGWSGEDPCKWNHVGCSDERRVIRIQIGHNSLQGTLPPELSKLTQLQRLELQWNNISGPLPSLNGLSSLRVLMLSNNQFTSIPADFFVGLSSLQSVEIDNNPFAAWELPESLRNASTLQNFSANSANLSGEIPGFLNPDGFPGLINLHLAFNSLEGQLPLGFSGMSIESLWLNGQKLSGGIDVLQNMTLLKEVWLHSNRFSGPLPDFSGLKALQVLSLRDNSFTGPVPLSLLSLGSLKVVNLTNNLLQGPTPKFRDSVSVDLTKDTNSFCLPQPGDCDPRVNALILVAKSMEYPRKFAENWKGNEPCADWFGITCRNGNITIVNFQNMGLTGTISPEFASLKSLQRLVLADNNLTGNIPEELTSISGLTELDVSNNQLYGKVPAFKNNVIVKTNGNPDIGKNKSEANSPGNSPGSSGSPNSGHDEGGNSENSSKKSKNLIVIVVSVIGGIFVLCLIGVVALCVYKSKQKHFSRVLSPNAMVIHPRHSGSDNDSVKITVAGSSVSVGAVSEIHAVSTGETGDVQMGEAGNMFISIQVLKNVTDNFSEDNILGQGGFGTVYKGELHDGTKIAVKRMESGVIAGKGLTEFKSEISVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHLFNWAEEGLKPLEWTKRLTIALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELITGRKALDERQPEESMHLVTWFRRMHVNKDTFRKTIDPAIDFIEEEVLSSISTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPSEQNSEDIYGIDLDMSLPQALKKWQAYEGTSHMDSTSSSYIPSLDNSQTSIPVRPYGFAESFTSADGR >itb05g23460.t1 pep chromosome:ASM357664v1:5:28559489:28563317:-1 gene:itb05g23460 transcript:itb05g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHLGLKLPALVFLGIFGSLFVNAVSQAGENDDASVMLALKKSLNPPLDMGWSGEDPCKWNHVGCSDERRVIRIQIGHNSLQGTLPPELSKLTQLQRLELQWNNISGPLPSLNGLSSLRVLMLSNNQFTSIPADFFVGLSSLQSVEIDNNPFAAWELPESLRNASTLQNFSANSANLSGEIPGFLNPDGFPGLINLHLAFNSLEGQLPLGFSGMSIESLWLNGQKLSGGIDVLQNMTLLKEVWLHSNRFSGPLPDFSGLKALQVLSLRDNSFTGPVPLSLLSLGSLKVVNLTNNLLQGPTPKFRDSVSVDLTKDTNSFCLPQPGDCDPRVNALILVAKSMEYPRKFAENWKGNEPCADWFGITCRNGNITIVNFQNMGLTGTISPEFASLKSLQRLVLADNNLTGNIPEELTSISGLTELDVSNNQLYGKVPAFKNNVIVKTNGNPDIGKNKSEANSPGNSPGSSGSPNSGHDEGGNSENSSKKSKNLIVIVVSVIGGIFVLCLIGVVALCVYKSKQKHFSRVLSPNAMVIHPRHSGSDNDSVKITVAGSSVSVGAVSEIHAVSTGETGDVQMGEAGNMFISIQVLKNVTDNFSEDNILGQGGFGTVYKGELHDGTKIAVKRMESGVIAGKGLTEFKSEISVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHLFNWAEEGLKPLEWTKRLTIALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELITGRKALDERQPEESMHLVTWFRRMHVNKDTFRKTIDPAIDFIEEEVLSSISTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPSEQNSEDIYGIDLDMSLPQALKKWQAYEGTSHMDSTSSSYIPSLDNSQTSIPVRPYGFAESFTSADGR >itb05g20450.t1 pep chromosome:ASM357664v1:5:26526843:26531774:-1 gene:itb05g20450 transcript:itb05g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFRSKYLVTLFLVCILAISCVPGILVIRSNWFRNYECTPSCGNINNITFPFLLKGDPINCGYLDYQLNCEHNRTVLTLNSRKYYVQSINYSNYTIRVVDPDVQENNICSFPKYDLAQYRFSGYHSSYDIASTTYVPIIFLSCPFPMKSSAFVETTKECWNNKSSGGRNAPQLYSYAKVGRLYASDLRISCRVELITMTSLRIQEKNVSSSLLEIHDALMYGFELFWFNAVCYQSCLFTHLCYLDNVKHEVKCNVIIFGPKIVIGIPSVIVILIIKLRTRHLSVFDAIENFLSADNNLLPIRYSYRHIKNMTKGFKEKLGEGGYGSVYKGKLRSGSYVAVKIINESKSNGQDFINEVGSMGRIHHVNIVRLVGYCAQNSKCALVYDFMSNGSLDRYINQGANASLLNWQRKFEIAVGVARGIEYLHRGCNIQILHFDIKPQNILLDHNFVPKISDFGLAKHFPVDKSNVTLTAARGTIGGKSTDNASCTTAVALVVQMLVSKATRLDDSSPRLDFSYHLTLRP >itb09g28200.t1 pep chromosome:ASM357664v1:9:28856061:28858270:-1 gene:itb09g28200 transcript:itb09g28200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYGYDTKIWTNKPPLSLSRLISFMCGKEDKIVVLVGTITDDVRAYEVPALKVCTLRFTKTARARIEKAGGECLTFDQLALRAPLGQNTSANAVHALNKYLYREAKLEAQLEKERKKSFNLSMKNKVKLSPLSMSLKSKPCRKMVEYISGSLMFL >itb02g06500.t1 pep chromosome:ASM357664v1:2:4045517:4049388:-1 gene:itb02g06500 transcript:itb02g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHPPFPLYEIKFRNNKISRAIEIIILFLLLSLITYRLFSLHTHDRIPWLLALICEAWFTFVWILILNAKWNQIQTKTYPQRLSQWLGDGTSEFPAVDMFVTTADPELEPPIITVNTVLSLLAVDYPAKKLACYVSDDGASPLTFYSLVQASNFAKLWVPFCKKYNVAVRAPFQYFKANPIFPQDSSLDFQHEWKKMKDEYSKLCRKIEEASEESVSHELMDEFSVFANIDQRDHPVIIKVIWENKGSVDNGDGVPHLIYISREKRPMHPHHFKAGAINALTRVSGVMTNAPFMLNVDCDFYVNDSKVVLHAMCFFLGVKDEKDVGFVQFPQSFDGGLKNDPYGNQLKILMEYVLRGIAGIQGSFYMGTGCFHRRKIIYGMWPHVVDSNGKSTDKDQLELFGKSKIFSLSTIQILSGSLYPEIPIFPNSLEAAKEVASCGYESGTAWGQKVGWLYGSAAEDTKTGISIHGKGWKSAYCDPNPPGFLGSAPTSGPAALTQQKRWATGLLEILICKKSPIIWALFGRLHFRQFLAYLWILSWPIRPFFEICYALLPAYCIINNSHFQPKIKEGAIIIAASIFIIYNLYTLSEYIRAGESLRAWWNNQRMWKVYASGSWLLGFLSGVVKVFGLSETVFEVTKKDHSSDETLDKDDDSNVGRFTFDESPLFVPGTTILLVNMAALFIGILDYFKQINKSRSWSLGEAICSVWVMLMYWAFLKGLFGKGKYGIPLSTIAKSVGLALLFVHACKRTNLSA >itb02g06500.t2 pep chromosome:ASM357664v1:2:4045517:4049349:-1 gene:itb02g06500 transcript:itb02g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEYGVFKIQKSFFLKTNTKVLIIAKMKKKMEKHFPFCTCICLQDEYSKLCRKIEEASEESVSHELMDEFSVFANIDQRDHPVIIKVIWENKGSVDNGDGVPHLIYISREKRPMHPHHFKAGAINALTRVSGVMTNAPFMLNVDCDFYVNDSKVVLHAMCFFLGVKDEKDVGFVQFPQSFDGGLKNDPYGNQLKILMEYVLRGIAGIQGSFYMGTGCFHRRKIIYGMWPHVVDSNGKSTDKDQLELFGKSKIFSLSTIQILSGSLYPEIPIFPNSLEAAKEVASCGYESGTAWGQKVGWLYGSAAEDTKTGISIHGKGWKSAYCDPNPPGFLGSAPTSGPAALTQQKRWATGLLEILICKKSPIIWALFGRLHFRQFLAYLWILSWPIRPFFEICYALLPAYCIINNSHFQPKIKEGAIIIAASIFIIYNLYTLSEYIRAGESLRAWWNNQRMWKVYASGSWLLGFLSGVVKVFGLSETVFEVTKKDHSSDETLDKDDDSNVGRFTFDESPLFVPGTTILLVNMAALFIGILDYFKQINKSRSWSLGEAICSVWVMLMYWAFLKGLFGKGKYGIPLSTIAKSVGLALLFVHACKRTNLSA >itb01g02790.t1 pep chromosome:ASM357664v1:1:1807567:1808950:1 gene:itb01g02790 transcript:itb01g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDKNSIIKRASIPARDLRIIGPIFSHSSSILEVLLFIDQLRHQLPQKSVVQDEDLQFPNAGEWLQVPQVVEGLQEELERDGYPVLDELAMSLSTANVTQRITIPYSGALAVIRHRIRQVYDIVESSTDEDFGVPTLRIHDRVLVKQESENHLSVHWTVEPVVNEEEDAKKTEKIIHTLLVSLFGDVKLGENGKFVINVDGNIAELDKQIGDVETENDVLKEQVRKAFRRITSALKPIPLSSP >itb14g17700.t2 pep chromosome:ASM357664v1:14:20917873:20926501:-1 gene:itb14g17700 transcript:itb14g17700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFRNLIQLTTATTRKQSPFKAAPSLTQCLFFGTEGQSGGGTRYAGLGPTKADEKPRVVVLGTGWAGCRLMKGIDTNIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQPAISREPNSYFFLAKCSGIDFNNHTINCQTATDGVQTPEPWNFSISYDKLVVAAGAKALTFGIKGVLEHAIFLREVYHAQEIRRKLLLNLMLSDVPGVSDEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVHQRYAHVKDYIHVTLIEANEILSSFDDRLRKYATKQLTKSGVRLVRGVVQDVQPQKIILSDGTEVPYGLLVWSTGVGPSSFVESLDIPKARGRIGVDEWLRVPSVQDVFAIGDCCGFLESTGKPVLPALAQVKFYVYNASVIFPRDP >itb14g17700.t1 pep chromosome:ASM357664v1:14:20917873:20926550:-1 gene:itb14g17700 transcript:itb14g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFRNLIQLTTATTRKQSPFKAAPSLTQCLFFGTEGQSGGGTRYAGLGPTKADEKPRVVVLGTGWAGCRLMKGIDTNIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQPAISREPNSYFFLAKCSGIDFNNHTINCQTATDGVQTPEPWNFSISYDKLVVAAGAKALTFGIKGVLEHAIFLREVYHAQEIRRKLLLNLMLSDVPGVSDEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVHQRYAHVKDYIHVTLIEANEILSSFDDRLRKYATKQLTKSGVRLVRGVVQDVQPQKIILSDGTEVPYGLLVWSTGVGPSSFVESLDIPKARGRIGVDEWLRVPSVQDVFAIGDCCGFLESTGKPVLPALAQVAERQGKYLAMLVNQLGKAGGGKAKAAKDLDLGDPFVYRHLGSMATIGRYKALVDLRQSKEAKGVSMAGFTSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >itb07g15740.t1 pep chromosome:ASM357664v1:7:18791523:18792542:-1 gene:itb07g15740 transcript:itb07g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSLVKLDMRYCNALTIPDDYLHEEDLPIALRSLPSSLKEIDLMGRYYLQSLPLSLCHLYLDNWQNLRSLPQLPPNLEILSAKDCVSLEKIADLSNLKRLRSLNIQNCKSLVELSGLENISSFEFLWIANCSSLRNPSIEKWFKARSNGDSVEIGVRVGFGGISCYFPLTNGCLNITLNDIDHNYIDYSCYGICLSVRSKSSGAWILVKEHRHSFYEIPTKMGDVLEVYVEVCRVYNKIGCVAEIHRNRKGEVRFFPSTRGWIPSADEEYAALFNLYRNAEDGEMGFFPSTRGFVEMR >itb11g22060.t1 pep chromosome:ASM357664v1:11:23871255:23879159:1 gene:itb11g22060 transcript:itb11g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGEGTGENLSAAVDSHCDPPDHDSLLSPKSDGGDVLTDYSSCESEYERYCSANSAMGTPTVCSSLGSTAFLEFPDSFRLGDEHNKVRNSGNYRKLSDFGDPSPSLQENEDCDQMSEAEKGLAGIRGGVDLYGNAESFLMHDDIFMENLDVAEGWGVKTDELPVMGSDDDEEERSYGHGSEEDTNRGVVGVDVQNSRASSSLFEANIIGHEEVSVFHRGFENFDGKLEASGLHSGFTYDAAESGGCLDGCDTSSRNDHSEDDHSMFGGETDTEEKIDSYSMSNLPQFSKKHDENENKFLMGSAVAFGSDDWDDFVFQTEANSLDSVVQDDFQVEKKQNFEVENGVLAAASLSPAKFSSVSSTMQPEEAKSALVYNKQGRNGVSAKSTTSSTVDPFTLLTNCKGEEGEHPKSPHTEISQSHGVDESAECHNSVLQEVPFKDCLENREGKLDQNHQCTSTEEGTQTHASSMDSESTTLHLEPFSSSASGEHSEDTKAVDLELNEFYDEVVHDMEEILLDSGESSGFALGNRIYQSYIPLVSRDGGSTASTSGTDDAYPVIQHPWRISGVEVIGARQKNGNVSLSERILGVKEYTVYKIRVWSGEESWDVERRFRDFCTLHRRLKKSFAEQGRVLPSPWSSIERESIKVFGSASPDVITGRSVLIQDCLQSVLHSRFSSGPLNALICFLSPSQDIPNSPDSDTNVPRSPPYLRDTSKGDISTFGKTISLIVQNRPFKSVKQLLDEQHYACAGCYMNFGDGKSRIQELVQTLGWGKPRLCEYSGQLYCSSCHTNDTAVLPARVLHCWDFNHYSVSQLAKSYLESIRDQPMLCVSAVNPVLFSKVPALQHVTNIRKRIGAMLPFVRCSFRGSIYKGVGSRRYLLESSDFFALRDLIDLSKGVFAALPVMVETISRKITEHITEQCLICCDVGVPCNARQHCDDLSSLIFPFQEGEVERCKSCKSVFHKKCFKKISTCPCGTQLNPEPESNATIRNQSITNDGSSTLELSGKRPDSSKGFLSALFPKVKSPRSSGSREQGHKHSDTVILMGSLPSNTL >itb11g22060.t2 pep chromosome:ASM357664v1:11:23871255:23879146:1 gene:itb11g22060 transcript:itb11g22060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTVCSSLGSTAFLEFPDSFRLGDEHNKVRNSGNYRKLSDFGDPSPSLQENEDCDQMSEAEKGLAGIRGGVDLYGNAESFLMHDDIFMENLDVAEGWGVKTDELPVMGSDDDEEERSYGHGSEEDTNRGVVGVDVQNSRASSSLFEANIIGHEEVSVFHRGFENFDGKLEASGLHSGFTYDAAESGGCLDGCDTSSRNDHSEDDHSMFGGETDTEEKIDSYSMSNLPQFSKKHDENENKFLMGSAVAFGSDDWDDFVFQTEANSLDSVVQDDFQVEKKQNFEVENGVLAAASLSPAKFSSVSSTMQPEEAKSALVYNKQGRNGVSAKSTTSSTVDPFTLLTNCKGEEGEHPKSPHTEISQSHGVDESAECHNSVLQEVPFKDCLENREGKLDQNHQCTSTEEGTQTHASSMDSESTTLHLEPFSSSASGEHSEDTKAVDLELNEFYDEVVHDMEEILLDSGESSGFALGNRIYQSYIPLVSRDGGSTASTSGTDDAYPVIQHPWRISGVEVIGARQKNGNVSLSERILGVKEYTVYKIRVWSGEESWDVERRFRDFCTLHRRLKKSFAEQGRVLPSPWSSIERESIKVFGSASPDVITGRSVLIQDCLQSVLHSRFSSGPLNALICFLSPSQDIPNSPDSDTNVPRSPPYLRDTSKGDISTFGKTISLIVQNRPFKSVKQLLDEQHYACAGCYMNFGDGKSRIQELVQTLGWGKPRLCEYSGQLYCSSCHTNDTAVLPARVLHCWDFNHYSVSQLAKSYLESIRDQPMLCVSAVNPVLFSKVPALQHVTNIRKRIGAMLPFVRCSFRGSIYKGVGSRRYLLESSDFFALRDLIDLSKGVFAALPVMVETISRKITEHITEQCLICCDVGVPCNARQHCDDLSSLIFPFQEGEVERCKSCKSVFHKKCFKKISTCPCGTQLNPEPESNATIRNQSITNDGSSTLELSGKRPDSSKGFLSALFPKVKSPRSSGSREQGHKHSDTVILMGSLPSNTL >itb11g17730.t1 pep chromosome:ASM357664v1:11:17740020:17745812:-1 gene:itb11g17730 transcript:itb11g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MMLAEAAAVAAAVAPPPYVVNSFKFRRAMVLQPIPLAGSGNVVNLTSCRRKRREVQGSYTRRPMDTPGAYELIDNGTGEKVIVWGGVENDDDDSAIPSKELLSAWKSKSRTGGDVKASNKTLAGSFSRLKFHKMKALVKKSYTKEQEDDFRDHDEQNARVSATSEVDDFGEQERFDLLDDSSRSVQALDSEVLLQKLRRRMVKDSSDTANENDVRQLHIQNKESDAKSITQTLSASKDSVNHMGGWGKVDDFYRQKRMLNDSNNFFSKKSFQDLGCSDYLIESLRKQMFGFPSHIQAMAFERVIEGKSCIISDQSGSGKTLAYLLPLIQRLREEELQGLGKPLSQNPRVIVLTPTAELASQVLNVCRSFSKSGVPFRSVVATGGFRQRTQLENLRQELDVLIATPGRFMFLVKEGHLHLTNLNCAVLDEVDILFKDEDFETALQCLVTSSPVTAQFLFVTATLPVDIYNKLVENFPDCELITGPGMHRTSTGLEEVLVDCSGEEGSEKTPDTAFLNKKNALLQLVEESPVPKTIVFCNKIESCRKVENALKRFDRKGIYVRVLPFHAALDREMRLASMKEFHSPQPKNVSMFLVCTDRASRGIDFTGVDHVVLFDFPRDPSEYVRRVGRTARGAGGKGKAFIFVVGKQVSLAQRIIERNKKGHPLHDVPYT >itb02g12630.t1 pep chromosome:ASM357664v1:2:8701289:8703901:1 gene:itb02g12630 transcript:itb02g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRWIRPEVYPLFAAMSVAVGICGFQLIRNLTTNPEVRVNKEGRAAGVLENYEEGKKYAEHSLRKFVRNKSPEIMPSLNRFFSDPQRN >itb12g26830.t1 pep chromosome:ASM357664v1:12:27554321:27554961:1 gene:itb12g26830 transcript:itb12g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSKEGGGEIQGGDLEQVTEQPWDVKSIPPWTKQITIRGIVASILIGSIYSVVVMKLNLTTGLVPNLNVSAALLAYVCIQTWTKALQKANIVSTPFTRQENTVIQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGIDTEGNVPGSYKEPELGWMIGFLFVVSFVGLLALVPLRKVCVFSA >itb06g15560.t3 pep chromosome:ASM357664v1:6:19875391:19876593:1 gene:itb06g15560 transcript:itb06g15560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALDLSTAGSLASPPPSLLDATLSPPYLSVVGVAWRRREAAVVYYSVNWFFNSSNLAVKS >itb06g15560.t2 pep chromosome:ASM357664v1:6:19875391:19878063:1 gene:itb06g15560 transcript:itb06g15560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALDLSTAGSLASPPPSLLDATLSPPYLSVVGVAWRRREAAVVYYSVRTKTCNPDLSINVGFLNYSVDLISNSDSKYQDIRKDL >itb06g15560.t1 pep chromosome:ASM357664v1:6:19875391:19878063:1 gene:itb06g15560 transcript:itb06g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALDLSTAGSLASPPPSLLDATLSPPYLSVVGVAWRRREAAVVYYSPPSLISFIPR >itb03g10160.t1 pep chromosome:ASM357664v1:3:7911901:7913086:1 gene:itb03g10160 transcript:itb03g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVGSPAGGDGRKGDPPLTSLTFSLPVQPGKDPETYVIQIPRDQVYRVPPPENAKIVESYQGMQSNKKDTRLRKCCFWFLVPLAVLGILIGIIVGTINGLYTPKSPKFSMATIQFKKSPRHPNFHVSLLVHNTNQRMKVSFGDGGKSSLVFKNREIGKGKYPTVSVKPKETTAVDLKMAAKLSGDLHKELNGRRKKSMALKISVPMEISSWAKGKKKDVSIKCVFKVGSLAKSADLSEECETDF >itb01g07190.t1 pep chromosome:ASM357664v1:1:5396440:5400734:1 gene:itb01g07190 transcript:itb01g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMAQHGAGNNQNPDQQKAQDEAKREAEDRRQLMLSQILTSEARERVARIALVKPEKARGVEDVILRAAQFGQISEKVSEEKLIQLLEQINTQTTKQTKVTIQRRRSVLEDDD >itb05g06360.t1 pep chromosome:ASM357664v1:5:6782796:6785737:-1 gene:itb05g06360 transcript:itb05g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINQPLLALPLSNQRKINQVIRGSADFHPSVWGDYFLTYSSQPKEVDTQDSLEHQQLKEKLKNMLVDATHSSSHKLHLINKIQRLGVSYQFEKEIETSLEHIFKAYDEFDENDLFIVSLRFRLLRQEGYHVSANVFEKFKNSNGKFKESLVNMYNVQAMLSLYEASHLRVHGEQILEEALTFTTSHLESMLPNMTNPLRSQVSEALKQPIHKRLIRLDAQKFISTFEPNGTQDALLLKFAKLDFNLLQKEHQWELGNLTRWWKGLDVPNKFPFARDRLVECYFWALGVYFESKYYLARKFISKLFCITSIIDDIYDIYGTLDELKIFKDAVQRWDASVVNELPEYMRFCYIYLLDAFAEIEKELVDKGESYRVNYARIEMKKLVGAYFDERKWYHDGHNPTFDEYIKLASLSAGYPMLATASLVGMQEDFVTKEVLDWMSSMPLILHASSVMGRLLDDTTGHEFEQQREHIDSAVEIYMKEYGKSKEETVKELLEQVTNAWKDINQECLKPTIFPMPILTRILNLTRALHYIYDDKDSYTHSNTMMKDFITSMLVNPIM >itb11g12470.t1 pep chromosome:ASM357664v1:11:9338614:9340268:1 gene:itb11g12470 transcript:itb11g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQERKATLRDFYAVIYPSLKQLEGNLAGDRSRCSGNLSRVVDEGEDDECGICMESGANMVLPNCAHSMCINCFHHWYIRSQSCPFCRGSLKRVESGDLWVLTANSDVVDTITLAHHNLKHFYLYIDKLPGVVSETNASFYDYLIRLVRNAAERVCNAEVGGRRRVVASALHERRRQWRSWAFPATLLSSPPSGDVVIHALLSCCSSVHLLR >itb04g33080.t1 pep chromosome:ASM357664v1:4:35427440:35435583:-1 gene:itb04g33080 transcript:itb04g33080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMNPCLLPITLSSFFFILVFMINNEFGFCLGNPNPICRKDEKQALLCFKKGLKDPSNLLWSWVDNGDYDCCTKWAGVLCNNVTGHVTALHLSNPIPGNGSSAFGGELSPCLLELKKLSHLNLSGNDFEGIPIPGFLGSLLNLEYLDLSEAGFQGIIPHQLGNLTALHTLTIRGPGLKVDSLEWLSSLSNLQQLDLSSVNLSKALNWVEVTSKLPSLHHLLFSGCSLSTISSSLHHHNNYSSLLFLHLSQNSFTSLVPKWIFNLHSLVSLDLSDSNFLGPLPETGPWNFSSLETLDISLNDLGGSLPSLGNLPKLKIFRTTLNMFNSSLPQWIFRCNELQTLDVQGNRFSGPVDVSVGKMKRLRYLDLSENDFRSSIPSWIYESTELSYLDLGYNRFQGTISNSISSLTSLAFFSVSNNKMLSGEVPKQMGKLCKLVSLSLSANKFSGLISELFQSMSEECVFDGLVDLLLYNNQFSGPLTFDGSSTLKFPSLGTLDLSGNKINGTLPQGLGNMFPMLDFLDISNNRLEGVVTENHFVNLKELWCFYASGNRLTLKVSPNWLPPLKLSKLGLGSWHLGPQFPVWLKSLKEIISEVDISNAGIKDEVPTWLWNLSSQLSVLNLSHNQFYGQLPQNTFALTNLDLSNNFFSGNVVRFFCHPQNESIKLNKLHLRRNALSGQIPDCLGNWPVLEVLDLAENSLSGRIPESIGLLNILSSLDLNGNKLFGNVPSSLQNCTSLMKLDLGENELEGNIASWLGSSLSSLVALRLRSNRFYGELPPDFCHLTFLQILDLANNSFTGIIPKCLNNFTSMVEQNDIDIAGIDRGTVIFDGESATVTTKGQEYQYFTTILVLFASFDLSDNNFLGEIPVELTTLVELRSLNLSGNKLTGKIPEKIGDMKQLESLDLSRNHLSGEIPYSLSNLNFLSYLNLSYNNLSGKIPTGTQLQSFNPSCYVGNNLCGHPLIECSNDNVEEEEEDRGDDSEAKWLYISMAIGFVVGWCGILGPLFVVKSWRMRLAVTVRAHYKVDRDNESTVTALLCFKKGLIINDPGSWLSSWVDGDDCCTKWGGVVCNNVTGRVTALHLANLSSGGELSPCLLELKQLSHLDLSGNDFEGIPIPGFLGSLLNLEYLDLSEAGFQGIIPHQLGNLTRLHTLRIRIWGLKVDSLEWLSSLSNLQQLDLSSVDLSKALNWVEVTSALPSLHHLLLSGCNLANISSSLHHNNYSSLLVLDLSSNKFNYFVPKWIFSLNTLVSLDLSYNKFLGPLPGPWNFSSLENLDISYNHISGSLPMIFGGNKLQNLVLSGNQFSGAVPTSVGRMERLRYLDLSENDFSSSIPSWIYECTELSHLNLCCNQFQGTVLNSISNLTSLSYFDVSDNSMLSGEVPKQIGKLCKLEFLYLLGNKFSGLISELFQSLSECVFYGLEHLFLQDNQFSGPMFDSSLKFSSLIGLSLGGNKINGTIPKGLGNMFPMLQYLDISNNVLEGLVTENHFVNLKELVFFLASGNRLTLKVSPDWLPPFHLNISQLGLGNWHLGPQFPVWLQSLKEISEVDISNAGIKDEIPTWFWNFSSEISVIDLSHNQFSGPLPQISFDITYLDLSNNFFSGEVIRFLCPPQNESSMMKSLHLRRNGLSGQIPDCLSGSNWPELRVLDMAENSLSGRIPKSIGLLESLSFLDLDSNKLFGNIPPSIQNCTSLWKLDLGENELEGNIASWLGSSLSSLEVLRLRSNNFHGELPPDFCHLTSLRIVDLANNNFIGTIPKCISNLTSMVEQTKTALIREINLEDVKSYGESAIVTTKGQEYQYLNIILVLFASFDLSNNNFSGEIPVELTTLVELRSLNLSGNKLTGKIPTEIGNMEQLESIDLSRNHLSGEIPYRLSNLNFLSYLNLSYNNLSGKIPTSTQLQNFNASCYVGNNLCGPPLLKCSNDEDTPDEEEDRGDDSEAKWFYISMAVGFVVGWCGIWGPLFVVKSWRYTYFQFIDDKLKSLSKWYA >itb05g24400.t1 pep chromosome:ASM357664v1:5:29215057:29218881:-1 gene:itb05g24400 transcript:itb05g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKLVIFTCSLFAPKHPQRIKDSWSYEVAEAGLSGSKALCSVCLSRLKEGDDVRVLPCMHEYHRDCVDRWLSGSRKTCPVCRFAVENNDGDKPEKPSFFLPMSSRSCIAAKLRRHQANQPVQACCQTSKITQPNPFRPAAAVNFTRSVVVVEELHRRICSLLVADLLELPSTREGRESHHHPP >itb09g09590.t2 pep chromosome:ASM357664v1:9:5883043:5887360:-1 gene:itb09g09590 transcript:itb09g09590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQFLQTLCCDSLWNYAVFWKLQHENLMLLSWEDGFFDFPISGEPVGSIANNYCQKGLNDILLSTFLSKSDNDDIGESPIGLAMTEMSNTYHILGKGAVGEVASTGSPLWIFSDILAPGGISSSSVSKCPEEWMLQFLAGIKTILLIPCIPHGVLQLGSLEMVAENAQLVAYLMDRFDALKKSAETSPFISNIESPAQLSAFMPNAKDNLLGINNDNNDFRAGDAYNLSTAGKMASLYMIQDISTGVPGILECDSESKSGMHPMDLISVAEQLNNQFSEDYRWIMTESNVCKSSCLEQEVYATSYSEFYDGECELQNVLAHAFVDQSNHYIQTCNGSGQTTAAGSISNEDLIFNDEPSSWESNGCCFKEDDVDNLLESIVTTACMDSDIKSINASYSTESFHTSLGIPFSASQRRNHMVQGALVGGKTEPWSSPISASVASDMKSLTNSSLECSMGTVVEEQQQKKRSRSMHHAAESECSYAKMKRAKVSDRQPRRPRDRQLIQDRLKELRELVPNSAKCSIDGLLDRTIKHMLFMKNVTDQADKLRQQVKKEVLTGKSLTSSKAKANYQPGTSWALELGSDEQTCPIIVKDLNYPGYMLIEMLCNDHSGFLDIADVIHRLELTILKGVMENRTGGTWARFIVEASGNFHRLDIFWPLMQLLQRSSTLMST >itb09g09590.t1 pep chromosome:ASM357664v1:9:5883043:5887360:-1 gene:itb09g09590 transcript:itb09g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQFLQTLCCDSLWNYAVFWKLQHENLMLLSWEDGFFDFPISGEPVGSIANNYCQKGLNDILLSTFLSKSDNDDIGESPIGLAMTEMSNTYHILGKGAVGEVASTGSPLWIFSDILAPGGISSSSVSKCPEEWMLQFLAGIKTILLIPCIPHGVLQLGSLEMVAENAQLVAYLMDRFDALKKSAETSPFISNIESPAQLSAFMPNAKDNLLGINNDNNDFRAGDAYNLSTAGKMASLYMIQDISTGVPGILECDSESKSGMHPMDLISVAEQLNNQFSEDYRWIMTESNVCKSSCLEQEVYATSYSEFYDGAECELQNVLAHAFVDQSNHYIQTCNGSGQTTAAGSISNEDLIFNDEPSSWESNGCCFKEDDVDNLLESIVTTACMDSDIKSINASYSTESFHTSLGIPFSASQRRNHMVQGALVGGKTEPWSSPISASVASDMKSLTNSSLECSMGTVVEEQQQKKRSRSMHHAAESECSYAKMKRAKVSDRQPRRPRDRQLIQDRLKELRELVPNSAKCSIDGLLDRTIKHMLFMKNVTDQADKLRQQVKKEVLTGKSLTSSKAKANYQPGTSWALELGSDEQTCPIIVKDLNYPGYMLIEMLCNDHSGFLDIADVIHRLELTILKGVMENRTGGTWARFIVEASGNFHRLDIFWPLMQLLQRSSTLMST >itb09g15950.t1 pep chromosome:ASM357664v1:9:11282814:11284771:1 gene:itb09g15950 transcript:itb09g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDWEWLKEFLKGMMKPVAALAVVAMAVALSYLQRLGLEREMAYSIFRAFLQLSIIGFVLQFIFTQDNVLWILLAYLFMVSVAGYTAGQRAKHVPRGKYIAGVSILAGTAMTMFLLVILNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLRDDIKIQMALVETALALGATPRQATVQQVKRALVLALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSILSTYLSWPSFFTKAYQLETKVFSSD >itb06g03380.t1 pep chromosome:ASM357664v1:6:5745919:5749808:1 gene:itb06g03380 transcript:itb06g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATVIRRHQERMEKQIHHHHLTPPKTDGETDPLPSSDAAKNRWRNRSTTIVRRRCRNRSARSLIPALLSLVKSHISLTQTHPHFLKPIFTISTSNGLCHRHPTPPRTDGETDPPPSSDAAKNGWRNRSATIIRCSQEQMEKQIHHHRPSPV >itb11g12330.t1 pep chromosome:ASM357664v1:11:9176002:9180268:-1 gene:itb11g12330 transcript:itb11g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSPLISSRISTLSLLSLFSTVHTNMAMAVSDTSTAGAERSNRYRRPRNPKQNLPSADTDPNPEGVNSTRSKSTISAILLAPFSPTSPTHDPPTTKKKNFATFRGLGCAAPPQVSVPAVIRSSADWDSKKVKKKKARSKKSKPVNCAVPGINGNSNGSNGASSSCVAVPDVWCGPGIGLTTDAASVDCVVSRRPVNGRGRVDSDNKLPSRERSGYTVRRMVIPEDNPFLEIDSALELPRSRVDHFVSRHHRHSRYGFPEGLAEIVMLQNSLMGGRPDGLDRYRDLRLDVDSMSYEELLELGDRIGYVNTGLREDEIARCVQKTELQFLTNLRSHVPTEMEKKCSVCQEEYEADDEMGRLECGHLYHIDCIKEWLLQKNACPICKSAARSHSNA >itb09g28290.t1 pep chromosome:ASM357664v1:9:28928963:28929344:-1 gene:itb09g28290 transcript:itb09g28290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVCRVPPPPPPWAACTVAIGVIFWDSHGIAQVKSVYGSKILRILKAHGLAPEIPEDLLPLIKKVVAIRKHLEKNRKDKDSKFR >itb10g24090.t1 pep chromosome:ASM357664v1:10:28098327:28099703:1 gene:itb10g24090 transcript:itb10g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITLSLCILILGAAIHGCRAVEYTVNNTAANTPGGARFNQVIGEECAKNVLAAAANFIWRVFQQNSPNDRKDVQKVIMFVDDMDGVAYEDDNKIHVSARYINSYSGDVKVEITGVLYHESTHIWQWDGNGTANQGLIEGIADFVRLKAGYAPSHWRKPGAGGQWDAGYDITAWFLDYCNDLKNGFVAELNKKMKYDYSDCYFSDILGKTVDQLWKDYKAKYNNTN >itb15g07270.t1 pep chromosome:ASM357664v1:15:4924288:4927124:1 gene:itb15g07270 transcript:itb15g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCGGPVAACWCCLLVVVFLSGSSAIAQTRSNAPIVRPGCPTHCGNLTVPYPFGIGIGSECALDSGFEISCDTTTVSNQGRAIFRGWSGLRFVYNISETQISVAHSPMLATNCYDSKGALVRKPPFLLQTYWVLPIQRYYHCSLSPENKITTIGCDDTLVISQGTNITSTCSNASQVPHNGACSGIGCCQLPLPKGSNKVYNISMLSASNHTRVWSFNPCSYMFLGDTSRFRLLGASDFSNPNFTRRVVETVPVVLDWAIGDLSCKEAESSSGYACQANSHCVDSGTGFGGYRCECDYGYEGNPYLTQGCFMALDVDECKNPENNTCILQCNNIPGGFKCSCLEGYYSDGRKDGQGCLLMNKKSVSWFKFFLGIGLGIFALVAVATSLCYMIKKTNRANMRLKFFEQNGGFLLKQKITSSDGSEVTKIYSAKELTEATNNYAQDIILGRGGNGIVFKRILPNMLEVAIKRSKTVNDTQIEQFINEVVILSRINHRHVVKFLGCCLEAEVPLLVYEYISNGTLHHHIHRHSGASDWLSWENRLRIAIEAAGALAYLHSAASMPIIHRDVKSTNILIDENYTAKISDFGASRLVPLDRTHVATLVQGTLGYLDPEYFQTSLLTEKSDVYSFGVVLAELLTERKPVPPNMSEEEDRNLSAFFVRSVNENRLFQILVPRLVKEGTLEQLQRIAELVKRCLQLKGEDRPKMKEVASELECIRHSAEHSCAEPCFILEEDEASDLYPVTISP >itb04g30490.t1 pep chromosome:ASM357664v1:4:33608480:33612465:-1 gene:itb04g30490 transcript:itb04g30490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLQALSPHFVFFNTARASKCSDSYSFHRSIGSPNAKKSKAQVGKKLKISSALPETAASIAVAATVVGAAATLLVRRTKASAEIEAPTKICEDCGGSGICPECNGEGFVPKKLSDESAERARMMSKNAATRYTAGLPKKWSYCTKCNASRSCSLCSGIGKISL >itb04g30490.t2 pep chromosome:ASM357664v1:4:33608480:33612465:-1 gene:itb04g30490 transcript:itb04g30490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLQALSPHFVFFNTARASKCSDSYSFHRSIGSPNAKKSKAQVGKKLKISSALPETAASIAVAATVVGAAATLLVRRTKASAEIEAPTKICEDCGGSGICPECNGEGFVPKKLSDESAERARMMSKNAATRYTAGLPKKWSYCTKCNASRSCSLCSGIGKISL >itb09g01730.t1 pep chromosome:ASM357664v1:9:1052235:1054159:1 gene:itb09g01730 transcript:itb09g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRHSIPLRKLDRPELLQVIRHFSSRNLITTAEPTTSPAITGEALRECVISSQWQFIKQVVPELTPTLVSSTLSNLRSSPGHVLEFIQKLSPKCLDIDCYCLAISILSRLPSPHQAMQLLKQVVNSRTATQREIFDGLMSAREKLEIKSTISLDFLVRVHCELKKCDEALKCFYLMIEKRILPKVETCNALLSLFSNLNRIQSVWDVFGQMYRLGINSNLCTFNIMINVLCKEGNLKKARKFVEQMEALGFKPNLITFNTLINGHCQRGDLKGASKLLEAMEAKGVKPDSYTYNSLITGLTKEGKVERASVLIKKMDESGIVPTAVTYNTMINVYCNKGDLERAFASKDGMVRRGIMPTATTYNLLIQGLILEGTVAEADDLMKEMRGKGVAPDVITYNTLINGHSRAGNAKKALSVHDEMLSGGIEPTLVTYTSLIYVLGKRNRMGEADDLFAKMIKRGILPDLIMFNALIDGHCANGNVERALFLLKEMDRMNVTPDEVTYNTLMHRYCREGKVEEACKLLDEMKGRGIKPDHISYNTLISGYSRRGDMEDAFRVRDVMLGLGFSPTLLTYNALIQGLCKTKQGNAAEELLKEMVSKGITPDDNTYLSLIEGIGDVNAFLGKQDPL >itb15g17010.t1 pep chromosome:ASM357664v1:15:17202212:17204423:-1 gene:itb15g17010 transcript:itb15g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSASFSLFEVQTGELQFPLFPLLATAKCELGAETRPATWPTATSTNTAPPPATLTSVQTALRLRDSDQHRLLRDLPSARNFVFKTKHERSGIHRASNGIRKISFELLELKDAMENMCGNSRTKCLAFLRYSKIRLAEVD >itb15g17010.t2 pep chromosome:ASM357664v1:15:17202212:17204423:-1 gene:itb15g17010 transcript:itb15g17010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSASFSLFEVQTGELQFPLFPLLATAKCELGAETRPATWPTATSTNTAPPPATLTSVQTALRLRDSDQHRLLRDLPSARNFVFKTKHERSGIHRASNGIRKISFELLELKDAMENMCGNSRTKCLAFLRYSKIRLAEVD >itb09g25110.t1 pep chromosome:ASM357664v1:9:25056490:25057807:-1 gene:itb09g25110 transcript:itb09g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVEGLGGICIFAQWGLFSNRLFIAFLLEVNFRLFVFFVSFDRASVWCACLLFGGLRRVSRRWSVAYISVVGGDDLGGSVRCRRRQVQISK >itb09g25110.t2 pep chromosome:ASM357664v1:9:25056490:25057807:-1 gene:itb09g25110 transcript:itb09g25110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVEGLGGICIFAQWGLFSNRLFIAFLLEVNFRLFVFFVSFDRASVWCACLLFGGLRRVSRRWSVAYISVVGGDDLGGSVRCRRRQVQLAGGVLYQEH >itb04g09180.t1 pep chromosome:ASM357664v1:4:8494987:8508540:1 gene:itb04g09180 transcript:itb04g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTQLDSVVFHLTPTRTRCHLIIIANGKKEKIASGLLNPFLAHLKTAQDQIAKGGYSIVLEPDPQSVASWFTKGTVERFVRFVSTPDILERVYIIESEIIQLDEAIAIQGKNDAQDGLVEDHQTKLLGNCDGNKPKTAVNDDKAVVLYKPEEHQPETSSSSPQEGNSRVQILKVLETRKSVLEKEQGMAFARAVAAGFHFDDMPLLLSFGKCFGASRLRDACLRFMELWKIKHGARQWLENGAVEAMASQPDPSGIMFSNISHSNSEKTSDSNEKLTTDGTAGEGPPIDQQVPKSQQEYLQGQFPPWPMHSPASALPLLQPYPVQGVPYCQTYSQNSPLVGVMQRTGPKRQSMDNNNSNNQRLDDAEWDDEDSQHQEQKKKAGWLRNGQSRKIVIQNINYISKPKNSTSNNSESASDTQSDVDPEDLLDSDLHRQNEASQSSKAKGSYPKSWSESNSYDKEGKVVNEAEIDSGHWLAFQNLLFRETNEDNCTEKDHMFEMDKGMRRRQQNLICDDYTTLGGQSSDKLQDSRKNDIHELSINGKRVCSRTANDDFMLAGLENHSGLRNSIDPLAKNGIEKVANKLEKTSSQYMADESFIVPFRSMLLDDAVPENTTTINMDSEIPRTTKNTENNSNGVRRQISYEPSDLGLIPERETEKSSSGYDPNWDYEMQVHLEDASGKKGKKETSSNMKEVPKKLDKDRRAKVTADLDKKKTVGPIRRGKLSKTSPLDDARARADKIRSFKADIQKMKKEKEEEDLRRLETLKLERQKRIAARGSSISANSVAPQSQTRRLPRKLSPTPVRGSKFSDSEPGSSSPLQRSKIRTPLVSPNPKKAPKSSKSSDGRLENNRLTKPASSLFDPNKESSSSVTPDSKASMARIRRLSEPKTINWPVASVKAHSAEPVSKAKIRSSGTVTKPKKSAGPENNKKSEIMDLDKRKAATLPELKIRTPKELSDIQPDKSFMNGRKGSLEGEGDENIVEKTVVMLEYESASSPVMSVENFSVYNQQSDTRGTGEKTTVACEHASTDAPPSPFVGFVRDPIPGWHQGQLNSQEVGTSYAEETPKFANIALSGKPYQAPYAHNSSIEEPCTRNSEYSKAPPAVSDLASAEPTKAHANDAKTVRVYNSRDASAKTQVKEQPRGLRRLWKFGKKNQSLIASDKILESDSKSVNGLKQDDHATSTTSSSEAYTLKNLLSQDETPITGNSVQKSRHFSLLPPFWSRSEKKLTS >itb01g20450.t2 pep chromosome:ASM357664v1:1:26696012:26700134:-1 gene:itb01g20450 transcript:itb01g20450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVSDETALAKAPAVENSSPLPNTAADSNKISDAASDLSTNAKSESVMNGDKESDSDTNSNSGYQMQDIVDMLKTLKLNPLAKEFFPSSYNQEQKLVNNFVGVNKRQGADGLPNNRRRGNNQNQGRRRMNNRAFNAQREDSIRRTIYVSDIDQNITEEQLAALFSAYGQVVDCRICGDPHSRLRFAFVEFADEYSARGALNLSGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVKNFFETRCGAVSRLRLLGDQVHSTRIAFVEFVLVCLLICLPTTISVGSCVFLFLSSPKRLNSQPQGIPA >itb01g20450.t4 pep chromosome:ASM357664v1:1:26696071:26700077:-1 gene:itb01g20450 transcript:itb01g20450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVSDETALAKAPAVENSSPLPNTAADSNKISDAASDLSTNAKSESVMNGDKESDSDTNSNSGYQMQDIVDMLKTLKLNPLAKEFFPSSYNQEQKLVNNFVGVNKRQGADGLPNNRRRGNNQNQGRRRMNNRAFNAQREDSIRRTIYVSDIDQNITEEQLAALFSAYGQVVDCRICGDPHSRLRFAFVEFADEYSARGALNLSGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVKNFFETRCGFSSKAFGGSGALNPHCFC >itb01g20450.t1 pep chromosome:ASM357664v1:1:26696012:26700134:-1 gene:itb01g20450 transcript:itb01g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVSDETALAKAPAVENSSPLPNTAADSNKISDAASDLSTNAKSESVMNGDKESDSDTNSNSGYQMQDIVDMLKTLKLNPLAKEFFPSSYNQEQKLVNNFVGVNKRQGADGLPNNRRRGNNQNQGRRRMNNRAFNAQREDSIRRTIYVSDIDQNITEEQLAALFSAYGQVVDCRICGDPHSRLRFAFVEFADEYSARGALNLSGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVKNFFETRCGAVSRLRLLGDQVHSTRIAFVEFVLADSAILALDCCGEILGSQRIRVSPSKTPVRPRAPRAVMA >itb01g20450.t3 pep chromosome:ASM357664v1:1:26696012:26700134:-1 gene:itb01g20450 transcript:itb01g20450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVSDETALAKAPAVENSSPLPNTAADSNKISDAASDLSTNAKSESVMNGDKESDSDTNSNSGYQMQDIVDMLKTLKLNPLAKEFFPSSYNQEQKLVNNFVGVNKRQGADGLPNNRRRGNNQNQGRRRMNNRAFNAQREDSIRRTIYVSDIDQNITEEQLAALFSAYGQVVDCRICGDPHSRLRFAFVEFADEYSARGALNLSGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVKNFFETRCGAVSRLRLLGDQVHSTRIAFVEFVLVCLLICLPTTISVGSCVFLFLSSPKRLNSQPQGIPA >itb05g20770.t1 pep chromosome:ASM357664v1:5:26787445:26791615:-1 gene:itb05g20770 transcript:itb05g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVKKYQQRFRKVEDEIDRWEELHTRLLSQFANASSIIQRLQVIQGSKNYGALKCIQGIEDAVLAKQLNSLQTILLSMNKTLEGFHSIVLSFEKIVRDSRQQLKGGSAQPTVKQLQQRIGIKPSLADCLDGLTILSEMHQSEYRLKLSLVSATSTLALKPSVTDDLSVLQQLLVDQPNIPKEEVQFIFDIIFAEDIC >itb05g20770.t2 pep chromosome:ASM357664v1:5:26787445:26791615:-1 gene:itb05g20770 transcript:itb05g20770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGGRSFTLDCFPNSLTLPPSSRDCREGFHSIVLSFEKIVRDSRQQLKGGSAQPTVKQLQQRIGIKPSLADCLDGLTILSEMHQSEYRLKLSLVSATSTLALKPSVTDDLSVLQQLLVDQPNIPKEEVQFIFDIIFAEDIC >itb05g20770.t3 pep chromosome:ASM357664v1:5:26787837:26791915:-1 gene:itb05g20770 transcript:itb05g20770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKNSDYKREIGRERKEKILTRKRPEKEHTQRKDETRRPTCVALRVATSISITPSELFIGDLQIRGTLLHASTDDRRPAILLRASVSSSVHHSSTIIDLQPQSWVWSDTIDGKLICSGEALRLGDGTRFIYKARVNNQVSAEVLLKGIRVSPLCKVRSSEFSQLRNTNKDSEKWRMRLIGGRSFTLDCFPNSLTLPPSSRDCREGFHSIVLSFEKIVRDSRQQLKGGSAQPTVKQLQQRIGIKPSLADCLDGLTILSEMHQSEYRLKLSLVSATSTLALKPSVTDDLSVLQQLLVDQPNIPKEEVQFIFDIIFAEDIC >itb01g29730.t1 pep chromosome:ASM357664v1:1:33915806:33919321:1 gene:itb01g29730 transcript:itb01g29730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRLKILYTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLQPGDHALPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMIGDGNTRFSKDGKPIYHFVGTSTFSEYTTLHAGCVAKINPEAPLDKVCVLSCGISTGFGATVNVAKPTKGSTVAIFGLGAVGLAAAEGARLSGASRIIGVDLNANRFEDAKKFGVNEFVNPKDHSKPVQEVIAEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRSDLPAVVEKYMKKELELEKFITHQVSFSEINKAFELMLKGESLRCIIKMEH >itb01g29730.t2 pep chromosome:ASM357664v1:1:33915945:33919321:1 gene:itb01g29730 transcript:itb01g29730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRLKILYTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLQPGDHALPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMIGDGNTRFSKDGKPIYHFVGTSTFSEYTTLHAGCVAKINPEAPLDKVCVLSCGISTGFGATVNVAKPTKGSTVAIFGLGAVGLAAAEGARLSGASRIIGVDLNANRFEDGEFDLQWHKKSKKFGVNEFVNPKDHSKPVQEVIAEMTDGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRSDLPAVVEKYMKKELELEKFITHQVSFSEINKAFELMLKGESLRCIIKMEH >itb13g02090.t4 pep chromosome:ASM357664v1:13:1923102:1927577:-1 gene:itb13g02090 transcript:itb13g02090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDRDLLFILLQFLEEEGFKESARKLEEESRIFFNMLHFEELVKKGEWDEVEKYLLGFTSLDENRFSKEVFFQIRKQKYLEAIDRKDIKKAINILNSELKVFAREDNDLYKEMAMLLTLENIREPESERNNMIDKLKNAIESNPAFHDALNSPAVEASRLRTLINQSLNWQHHHCQKPNPKPEIMTLLVDHRCEESQLNGGQFLPPVTNQLTVDAPMPGGFPPLQADGVNNMPVNILHVGYGNQSQGQSSGSSDDIPMTVVMTLNQGSAIRSMDFHPVQHSLLLVGTSIGEIIIWELRTRTRLAKRSFEIWDHRACTLGLQSALRNDPPASVNRVLWSPRGSVFVVAYSKGLVHVYTYYGNLRKHVEIEAHEGSVNDIAFKNLNYRLFIITCGEDKLIKMWDASTGTKKYTFEGHESSVCSVCPHQIGNIQFFFSVATDGKIKIVLLWH >itb13g02090.t2 pep chromosome:ASM357664v1:13:1923102:1927577:-1 gene:itb13g02090 transcript:itb13g02090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDRDLLFILLQFLEEEGFKESARKLEEESRIFFNMLHFEELVKKGEWDEVEKYLLGFTSLDENRFSKEVFFQIRKQKYLEAIDRKDIKKAINILNSELKVFAREDNDLYKEMAMLLTLENIREPESERNNMIDKLKNAIESNPAFHDALNSPAVEASRLRTLINQSLNWQHHHCQKPNPKPEIMTLLVDHRCEESQLNGGQFLPPVTNQLTVDAPMPGGFPPLQADGVNNMPVNILHVGYGNQSQGQSSGSSDDIPMTVVMTLNQGSAIRSMDFHPVQHSLLLVGTSIGEIIIWELRTRTRLAKRSFEIWDHRACTLGLQSALRNDPPASVNRVLWSPRGSVFVVAYSKGLVHVYTYYGNLRKHVEIEAHEGSVNDIAFKNLNYRLFIITCGEDKLIKMWDASTGTKKYTFEGHESSVCSVCPHQIGNIQFFFSVATDGKIKIVLLWH >itb13g02090.t3 pep chromosome:ASM357664v1:13:1923102:1927577:-1 gene:itb13g02090 transcript:itb13g02090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDRDLLFILLQFLEEEGFKESARKLEEESRIFFNMLHFEELVKKGEWDEVEKYLLGFTSLDENRFSKEVFFQIRKQKYLEAIDRKDIKKAINILNSELKVFAREDNDLYKEMAMLLTLENIREPESERNNMIDKLKNAIESNPAFHDALNSPAVEASRLRTLINQSLNWQHHHCQKPNPKPEIMTLLVDHRCEESQLNGGQFLPPVTNQLTVDAPMPGGFPPLQADGVNNMPVNILHVGYGNQSQGQSSGSSDDIPMTVVMTLNQGSAIRSMDFHPVQHSLLLVGTSIGEIIIWELRTRTRLAKRSFEIWDHRACTLGLQSALRNDPPASVNRVLWSPRGSVFVVAYSKGLVHVYTYYGNLRKHVEIEAHEGSVNDIAFKNLNYRLFIITCGEDKLIKMWDASTGTKKYTFEGHESSVCSVCPHQIGNIQFFFSVATDGKIKVWPYFDRICAVDYPAQGTTMLCSSNGKRLFSCGTDMEGVSYLRELNDNTVTIKREYIGLGGQTTEAVKFDTTKNRFIAAGDDFTVKFWDMDDENLFTTTDAGGALPCRPCLRFNKEGNLLAVSTSENGIKILANADGFRLLRA >itb13g02090.t1 pep chromosome:ASM357664v1:13:1923102:1927614:-1 gene:itb13g02090 transcript:itb13g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDRDLLFILLQFLEEEGFKESARKLEEESRIFFNMLHFEELVKKGEWDEVEKYLLGFTSLDENRFSKEVFFQIRKQKYLEAIDRKDIKKAINILNSELKVFAREDNDLYKEMAMLLTLENIREPESERNNMIDKLKNAIESNPAFHDALNSPAVEASRLRTLINQSLNWQHHHCQKPNPKPEIMTLLVDHRCEESQLNGGQFLPPVTNQLTVDAPMPGGFPPLQADGVNNMPVNILHVGYGNQSQGQSSGSSDDIPMTVVMTLNQGSAIRSMDFHPVQHSLLLVGTSIGEIIIWELRTRTRLAKRSFEIWDHRACTLGLQSALRNDPPASVNRVLWSPRGSVFVVAYSKGLVHVYTYYGNLRKHVEIEAHEGSVNDIAFKNLNYRLFIITCGEDKLIKMWDASTGTKKYTFEGHESSVCSVCPHQIGNIQFFFSVATDGKIKVWPYFDRICAVDYPAQGTTMLCSSNGKRLFSCGTDMEGVSYLRELNDNTVTIKREYIGLGGQTTEAVKFDTTKNRFIAAGDDFTVKFWDMDDENLFTTTDAGGALPCRPCLRFNKEGNLLAVSTSENGIKILANADGFRLLRA >itb10g06250.t2 pep chromosome:ASM357664v1:10:6889534:6896230:1 gene:itb10g06250 transcript:itb10g06250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMHRESSNSGGSNSSGKHQQHQMDSSKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRNQLHTVNTTTTDTSCESVVVSGQQQQQNPTPQHPQRDANNPAGLLAIAEETLAEFLGKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAGRACGLVSLEPTKVAEILKDRPSWYRDCRCLDVLSVLPTGNGGTIELIYMQTYAPTTLASARDFWTLRYTTSLEDGSLVICERSLTSKTGGPSGAPATSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILVQKMTMAALRHIRQIAQETSGEIQYSGGRQPAVLRALSQRLCRGFNDAVNGFVDDGWTVLGSDGVEDVTIAINSSPSKFLGSQYNNLSMLPTFGGVLCARASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAASLKASPYAVPCARPGGFPSSQVILPLAQTVEHEEFLEVVRLEGHAFSPEDIALSRDMYLLQLCSGIDENAAGACAQLVFAPIDESFGDDAPLLPSGFRVIPLEPKSDAPGTTRTLDLASTLEAGNGGSTRPPGGEVESNNNYNLRSVLTIAFQFTFENHYRDNVAAMARQYVRSIVSSVQRVAMAIAPSQLSSNLTPRPLPGSPEAITLARWICRSYRMHTGNELLQLESQAGDAVLKQLWHHSDAIMCCSVKMNASAVFTFANQAGLDLLETTLLALQDIMLDKILDEAGRKVLVSEFSKIMQQVRLLRNC >itb10g06250.t1 pep chromosome:ASM357664v1:10:6889534:6896871:1 gene:itb10g06250 transcript:itb10g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMHRESSNSGGSNSSGKHQQHQMDSSKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRNQLHTVNTTTTDTSCESVVVSGQQQQQNPTPQHPQRDANNPAGLLAIAEETLAEFLGKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAGRACGLVSLEPTKVAEILKDRPSWYRDCRCLDVLSVLPTGNGGTIELIYMQTYAPTTLASARDFWTLRYTTSLEDGSLVICERSLTSKTGGPSGAPATSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILVQKMTMAALRHIRQIAQETSGEIQYSGGRQPAVLRALSQRLCRGFNDAVNGFVDDGWTVLGSDGVEDVTIAINSSPSKFLGSQYNNLSMLPTFGGVLCARASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAASLKASPYAVPCARPGGFPSSQVILPLAQTVEHEEFLEVVRLEGHAFSPEDIALSRDMYLLQLCSGIDENAAGACAQLVFAPIDESFGDDAPLLPSGFRVIPLEPKSDAPGTTRTLDLASTLEAGNGGSTRPPGGEVESNNNYNLRSVLTIAFQFTFENHYRDNVAAMARQYVRSIVSSVQRVAMAIAPSQLSSNLTPRPLPGSPEAITLARWICRSYRMHTGNELLQLESQAGDAVLKQLWHHSDAIMCCSVKMNASAVFTFANQAGLDLLETTLLALQDIMLDKILDEAGRKVLVSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAVAWKVLNDDDTNHCLAFMFVNWSFV >itb01g30480.t1 pep chromosome:ASM357664v1:1:34500807:34501763:1 gene:itb01g30480 transcript:itb01g30480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRSPNSLYHSILRRPFEKLTKPNSPFPSKYFSKLPGVSEPNPFLKPSRTIGFLHPASLNSSTHFKVFHNLRLYSVETDKEVDKINLKFAEAREEIESAMESKETVYFDEEAECARAAVKEVLGLYEGLLAKVNENEKGVIQRSMGLKIEQLKAELEQLNE >itb03g03060.t2 pep chromosome:ASM357664v1:3:1723960:1724845:-1 gene:itb03g03060 transcript:itb03g03060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLSRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGECFFIYYGLGAFAQVYFMLT >itb03g03060.t1 pep chromosome:ASM357664v1:3:1722102:1724845:-1 gene:itb03g03060 transcript:itb03g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLSRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGEDPGSLGTLLRSALAFRWQ >itb03g03060.t3 pep chromosome:ASM357664v1:3:1722652:1724821:-1 gene:itb03g03060 transcript:itb03g03060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLSRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGEPLWLKSEFTFVRIQEVLARCLDQHWHLDGNEQPEFLLEFGMDLLPVLIQDTWWISWEVMHLSMISLYVMKIVKICIKCEVQYAYEKPCISGWQIRSGIKGRTVEQKILEA >itb13g13270.t2 pep chromosome:ASM357664v1:13:19791601:19794632:-1 gene:itb13g13270 transcript:itb13g13270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAVGTSSSSASETAEAGASRCGFDGRNGGKGGGVNSSRSAKFPLTLWEIAVASGVVLGFASGLLGVYFTMPASDYSFLKLPRTLEDIQMLRDQLGSYTSDYTLQVLVGYCVVYIFMQTFMIPGTIFMSLLAGALFGVFKGVALVVFAATAGASSCYFLSKLIGRPLVFSLWPDKLTFFQNQVAKRRKGLLNYMLFLRVTPTLPNTFINVASPIVDVPYHIFFLATFIGLIPATYVTVRAGIALSELQSLGDLYDFNSIATLFVIGAVSITPTLMGSNRKS >itb13g13270.t1 pep chromosome:ASM357664v1:13:19791601:19794632:-1 gene:itb13g13270 transcript:itb13g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAVGTSSSSASETAEAGASRCGFDGRNGGKGGGVNSSRSAKFPLTLWEIAVASGVVLGFASGLLGVYFTMPASDYSFLKLPRTLEDIQMLRDQLGSYTSDYTLQVLVGYCVVYIFMQTFMIPGTIFMSLLAGALFGVFKGVALVVFAATAGASSCYFLSKLIGRPLVFSLWPDKLTFFQNQVAKRRKGLLNYMLFLRVTPTLPNTFINVASPIVDVPYHIFFLATFIGLIPATYVTVRVICFPFFPQILPIEPVS >itb13g13270.t3 pep chromosome:ASM357664v1:13:19791601:19794632:-1 gene:itb13g13270 transcript:itb13g13270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAVGTSSSSASETAEAGASRCGFDGRNGGKGGGVNSSRSAKFPLTLWEIAVASGVVLGFASGLLGVYFTMPASDYSFLKLPRTLEDIQMLRDQLGSYTSDYTLQVLVGYCVVYIFMQTFMIPGTIFMSLLAGALFGVFKGVALVVFAATAGASSCYFLSKLIGRPLVFSLWPDKLTFFQNQVAKRRKGLLNYMLFLRVTPTLPNTFINVASPIVDVPYHIFFLATFIGLIPATYVTVRVICFPFFPQILPIEPVS >itb02g10690.t1 pep chromosome:ASM357664v1:2:6937886:6940287:1 gene:itb02g10690 transcript:itb02g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQIKSSSCEIALPKSPEDKSPATSTGPDSKPKKKICCACPDTKKLRDECIVEHGESACKKWIEAHRKCLRAEGFNV >itb10g03410.t1 pep chromosome:ASM357664v1:10:3156163:3160414:1 gene:itb10g03410 transcript:itb10g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELIEGKVDWRGRTARKDKHGGIRASIFILGIFGFENMATIVLGVTLLTYLNGVMNINLADAANHVTNFAGATYILTIVAAVLADTYIGRFKAVLISAWIEFLGLGLLAMQAHYAKLKPPPCNPLDKAQKCEEVGGRNAAILFVALYTVAIGSAGVKAAVPSHGADQFDYKHPKEASQMSSFFNWLLLGVCVGGAVSLTLFVWLIQHKGWDWGFAASTVAMFFGGIFFTLGLPFYRVYVIQGSSAITQIIQVFVAAIHNRKLQLPEDSSELYEISNKDKEAAVIDAEFLPHTDKYRFLDKAAIQTSWDEEPNPWRVCRVTQVENAKILVSLIPVFLCTVIMTVCLAQLQTFSIQQGSTMDLNITKSFHILPSSLPFLPILFLIFLIPLYDRVFVPAMRRLTGIPTGITHLHRVAVGLVLSSLSMAAAAILELKRKQVARESNMVDAIPILQPLPISVLWLSIQYFIFGIADMFTYVGLLEFFHSQAPKDLKSVSSCFLWSSMSLGYFTSSVLVKVVNVATKGITESGGWLGGNNVNRNHLELFYALLSGLSFVNFLIYLFVSKRYKYRQQIGDDDSMMSENEDESK >itb15g08180.t1 pep chromosome:ASM357664v1:15:5722878:5723679:-1 gene:itb15g08180 transcript:itb15g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVVGSWASPYSASVEIALKLKGIEYEFIQEDLSNKSPLLLKYNPVHKKVPVLLHNGNPIPESLVILEYIDEVFPGTPILPKDPYQRAMARFWAKFFDDKFLPAVKKAVFTKGEEEKEKGKDEVCELLKILDNELKNKKFLGGETIGLADLAGNFITLWLGVFEEVIGVELGVTEEKFPHLCRWKEDFLNCGVIKNTFPPRDKLVAFNIKRFNPAAATASN >itb12g11370.t1 pep chromosome:ASM357664v1:12:9615311:9615655:1 gene:itb12g11370 transcript:itb12g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTVLVLHSFSADLNLERSFSIYVGIAYTRWAIHGETTPSNSHPQVSGVGNDFLVVHNGVIINYEALKETPIRLDFTFESEIDTEVIPKLAKFVFDKAKEGRGDGQVAEGREG >itb13g17340.t3 pep chromosome:ASM357664v1:13:24338168:24345878:-1 gene:itb13g17340 transcript:itb13g17340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSYFRRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILKDPKLPEWYKFTLFNELYFLVAGGTVWIDSEHRQHKQRSVQSNEVNLTETEEESNEGNATETEEESIEVNVTETDEGSNEVNVTETEEDLMNNAHVEQTACNGYKGGSRFATSSNKWLGPGNDEDEDEDVGKFLYLEGIEYIMWCTYDVHFYASFALLALFPKIELSIQREFARAVLCEDRRKVRFLAEGNCGIRKVKGAIPHDLGTHDPWHEMNAYNIHDTSTWKDLNPKFVLQVYRDFAATGDYSFGTDVWPAVCAAIEYMDQFDRDNDCLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAASMAMQLGDIAFAEKCKGKFVKAKAVFETKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYMASSGLPDLFDSAKIQSTLQKIYDFNVMKVQGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYGVAATMIHAGMEEQAFTTAEGIFIAGWSEEGFGYSFQTPEGWTMDGHFRSLVYMRPLSIWGMQWALSMPKAIVEAPRINIMDRIQVSPHGLKPPHESGVKKIATKAKCFGNSVFHCAC >itb13g17340.t1 pep chromosome:ASM357664v1:13:24338111:24352530:-1 gene:itb13g17340 transcript:itb13g17340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRKNSWPPEEYITRATLHLFESDSAAPPSEAWRRKLNTHASILKEFSVTFTEAIKMIRLGIRLWSYVREEASHGRKAPIDPFTRERCRPSASQGVPLGGMGSGSISRGFRGEFRHFQILPAACETSPVMANQFSIFISRDGGNKKYASVLSPGQHEGLGKPCDHGISSWGWNLSGQHSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYRESSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFVGEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVSVTVLPCFGLTEGSCITAKDMWGKMAQDGHFDRENFSKGPSIASSPGETHCAAVSASTWVEPHGKCTVAFSVAWSSPQVKFMKGKSYFRRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILKDPKLPEWYKFTLFNELYFLVAGGTVWIDSEHRQHKQRSVQSNEVNLTETEEESNEGNATETEEESIEVNVTETDEGSNEVNVTETEEDLMNNAHVEQTACNGYKGGSRFATSSNKWLGPGNDEDEDEDVGKFLYLEGIEYIMWCTYDVHFYASFALLALFPKIELSIQREFARAVLCEDRRKVRFLAEGNCGIRKVKGAIPHDLGTHDPWHEMNAYNIHDTSTWKDLNPKFVLQVYRDFAATGDYSFGTDVWPAVCAAIEYMDQFDRDNDCLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAASMAMQLGDIAFAEKCKGKFVKAKAVFETKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYMASSGLPDLFDSAKIQSTLQKIYDFNVMKVQGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYGVAATMIHAGMEEQAFTTAEGIFIAGWSEEGFGYSFQTPEGWTMDGHFRSLVYMRPLSIWGMQWALSMPKAIVEAPRINIMDRIQVSPHGLKPPHESGVKKIATKAKCFGNSVFHCAC >itb13g17340.t2 pep chromosome:ASM357664v1:13:24338111:24346042:-1 gene:itb13g17340 transcript:itb13g17340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDFRTAKGNPPVTFAIAACETQNVSVTVLPCFGLTEGSCITAKDMWGKMAQDGHFDRENFSKGPSIASSPGETHCAAVSASTWVEPHGKCTVAFSVAWSSPQVKFMKGKSYFRRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILKDPKLPEWYKFTLFNELYFLVAGGTVWIDSEHRQHKQRSVQSNEVNLTETEEESNEGNATETEEESIEVNVTETDEGSNEVNVTETEEDLMNNAHVEQTACNGYKGGSRFATSSNKWLGPGNDEDEDEDVGKFLYLEGIEYIMWCTYDVHFYASFALLALFPKIELSIQREFARAVLCEDRRKVRFLAEGNCGIRKVKGAIPHDLGTHDPWHEMNAYNIHDTSTWKDLNPKFVLQVYRDFAATGDYSFGTDVWPAVCAAIEYMDQFDRDNDCLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAASMAMQLGDIAFAEKCKGKFVKAKAVFETKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYMASSGLPDLFDSAKIQSTLQKIYDFNVMKVQGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYGVAATMIHAGMEEQAFTTAEGIFIAGWSEEGFGYSFQTPEGWTMDGHFRSLVYMRPLSIWGMQWALSMPKAIVEAPRINIMDRIQVSPHGLKPPHESGVKKIATKAKCFGNSVFHCAC >itb08g01200.t6 pep chromosome:ASM357664v1:8:893475:895408:-1 gene:itb08g01200 transcript:itb08g01200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQGT >itb08g01200.t5 pep chromosome:ASM357664v1:8:892793:895408:-1 gene:itb08g01200 transcript:itb08g01200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQELGLSVPR >itb08g01200.t2 pep chromosome:ASM357664v1:8:892793:895408:-1 gene:itb08g01200 transcript:itb08g01200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQGTLCCTSPGL >itb08g01200.t1 pep chromosome:ASM357664v1:8:892793:895408:-1 gene:itb08g01200 transcript:itb08g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQDEIDGT >itb08g01200.t8 pep chromosome:ASM357664v1:8:893967:895408:-1 gene:itb08g01200 transcript:itb08g01200.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQGTLCCTSPGL >itb08g01200.t4 pep chromosome:ASM357664v1:8:892793:895408:-1 gene:itb08g01200 transcript:itb08g01200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQDEIDGT >itb08g01200.t3 pep chromosome:ASM357664v1:8:892793:895408:-1 gene:itb08g01200 transcript:itb08g01200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQGTLCCTSPGL >itb08g01200.t7 pep chromosome:ASM357664v1:8:893475:895408:-1 gene:itb08g01200 transcript:itb08g01200.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIINRASISSIRSAIKPKLESTTTSFPKSSLPSRSTSSPLRQFSFSRSPLELGCAASMLPLHSAVATARMTSCLSSTSRNCRALTQGTLCCTSPGL >itb01g01110.t1 pep chromosome:ASM357664v1:1:549167:552736:1 gene:itb01g01110 transcript:itb01g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSQVALPQQPAVDYSKYRPLYRAILAANWEDAEIFFNQNPAAIRSPLNEAYLETALHVGAKVDLALLLVERYPDLGRHKNLRNTVSALEVIVFSDCSIINKHSLNFLQSFIYYCVSKAESTTLSAPIFHLITSLLQWLVGKSIVNKMVLHHQAVKLLKCLCDQLKTLNDTQVNSLTKRAVFEATSLDIWQVILNIADAYPRSVYFSDSMRQRILHVAVINRCENVFNLICGTNVLRNGLSTHDDVNCNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVKKITPPYFLSYRNKDKKSPSMVFTEEHKELKEAGEKWMKDTANSCTIAAALIVTVVFAAAITVPGGNNDENGLPIFSNNNAFTIFAFSNAASLFTSTTSLLVFLSILTSRFAEEDFLYALPKRLIIGLFTLFLSIIFMMIAFSSTVYLVFGNNRRGVLIMVAGFACLPVTSFVLLQLPLLVALVSSTYGRGIFDQRGFPQLPY >itb12g26450.t5 pep chromosome:ASM357664v1:12:27350782:27354254:-1 gene:itb12g26450 transcript:itb12g26450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETELMFTSFPSFPQEVQQLEEFYCSQKPNAPMASAISEYDLGGEGDLFNVPEPLIEEPLLDIDPMTAAISMISCGDDVMCHQGLKISDIESSFENEQLFNDVFYDCKKDLLGSEAIVETPQSEVLDVKIPIDNTDEKLASEGVFQKSGSSESLSSMEWVQGAPPTMPNFLTFPGMDFGAVYGMRRSYSEGDIKVQFNYSLFHQFSIPVKLF >itb12g26450.t1 pep chromosome:ASM357664v1:12:27350782:27354254:-1 gene:itb12g26450 transcript:itb12g26450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETELMFTSFPSFPQEVQQLEEFYCSQKPNAPMASAISEYDLGGEGDLFNVPEPLIEEPLLDIDPMTAAISMISCGDDVMCHQGLKISDIESSFENEQLFNDVFYDCKKDLLGSEAIVETPQSEVLDVKIPIDNTDEKLASEGVFQKSGSSESLSSMEWVQGAPPTMPNFLTFPGMDFGAVYGMRRSYSEGDIKTLGNRNVSLIHSPMGHQPIISSAISEDRQEKLSRYRSKRNKRNFGRKIKYACRKALADSQPRIRGRFARTEEAADASKKH >itb12g26450.t3 pep chromosome:ASM357664v1:12:27350782:27354240:-1 gene:itb12g26450 transcript:itb12g26450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETELMFTSFPSFPQEVQQLEEFYCSQKPNAPMASAISEYDLGGEGDLFNVPEPLIEEPLLDIDPMTAAISMISCGDDVMCHQGLKISDIESSFENEQLFNDVFYDCKKDLLGSEAIVETPQSEVLDVKIPIDNTDEKLASEGVFQKSGSSESLSSMEWVQGAPPTMPNFLTFPGMDFGAVYGMRRSYSEGDIKDGSSSLILSFCDRLLATVT >itb12g26450.t2 pep chromosome:ASM357664v1:12:27350782:27353014:-1 gene:itb12g26450 transcript:itb12g26450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNYSGIYCQGVQSYSSRDFLVASAISEYDLGGEGDLFNVPEPLIEEPLLDIDPMTAAISMISCGDDVMCHQGLKISDIESSFENEQLFNDVFYDCKKDLLGSEAIVETPQSEVLDVKIPIDNTDEKLASEGVFQKSGSSESLSSMEWVQGAPPTMPNFLTFPGMDFGAVYGMRRSYSEGDIKTLGNRNVSLIHSPMGHQPIISSAISEDRQEKLSRYRSKRNKRNFGRKIKYACRKALADSQPRIRGRFARTEEAADASKKH >itb12g26450.t4 pep chromosome:ASM357664v1:12:27350782:27352582:-1 gene:itb12g26450 transcript:itb12g26450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGFSSFLIIYLIGACTIQASAISEYDLGGEGDLFNVPEPLIEEPLLDIDPMTAAISMISCGDDVMCHQGLKISDIESSFENEQLFNDVFYDCKKDLLGSEAIVETPQSEVLDVKIPIDNTDEKLASEGVFQKSGSSESLSSMEWVQGAPPTMPNFLTFPGMDFGAVYGMRRSYSEGDIKTLGNRNVSLIHSPMGHQPIISSAISEDRQEKLSRYRSKRNKRNFGRKIKYACRKALADSQPRIRGRFARTEEAADASKKH >itb14g14060.t1 pep chromosome:ASM357664v1:14:16458019:16460558:1 gene:itb14g14060 transcript:itb14g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMGKSAKLAHASSSLFPDSKTSLDSEKKGSARYGQQRLNGRSTSTTTTSDHDLSISTYLKPFTFNDLKTATRNFRSDSLLGEGGFGFVFKGWIDEKTLAPCKPGTGMVVAVKKLKPESFQGHREWVAEVNYLGQLHHKNLVKLIGYCTESENRLLVYEFMPKGSLENHLFRKGVQLMTWTTRMRIAVDVARGLSFLHSLDANVIYRDLKASNILLDSDFNARLSDFGLARDGPSGDRTHVSTRVVGTQGYAAPEYVATGHLTTKNDVYSFGVVLLELLSGRRAMGDENAGGAAQETLVDWALPFLSDSRKVMRIMDSRLGGQYPKKGAQAAAALALKCLHIDPRARPCMPDVLAALEQLPAPKDVVSMDAPPKLQSHVNDKLIHPQKLNRLQ >itb14g14060.t2 pep chromosome:ASM357664v1:14:16458019:16460030:1 gene:itb14g14060 transcript:itb14g14060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMGKSAKLAHASSSLFPDSKTSLDSEKKGSARYGQQRLNGRSTSTTTTSDHDLSISTYLKPFTFNDLKTATRNFRSDSLLGEGGFGFVFKGWIDEKTLAPCKPGTGMVVAVKKLKPESFQGHREWVAEVNYLGQLHHKNLVKLIGYCTESENRLLVYEFMPKGSLENHLFRKGVQLMTWTTRMRIAVDVARGLSFLHSLDANVIYRDLKASNILLDSDFNARLSDFGLARDGPSGDRTHVSTRVVGTQGYAAPEYVATGLATLTLLCVGTIKEDASFVLYL >itb08g01120.t2 pep chromosome:ASM357664v1:8:850480:854892:-1 gene:itb08g01120 transcript:itb08g01120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKAFLKDIFIGGSRRQSSNLSREESNKAPKQQRSRLSFSFKKRLRKSKSLQMILQGSHDPKDQEKVDSFRDFIFPDIPLSGKQLDYHTLLRFLRMRDYDMVKAREMFLNYLKWREEFRVDAICKEFKYEEYREVKGLYPHGFHGVDRYGRPIYIDRVGMVDINKLLEVTTIERFVKYHISEQEKTLNLRYPACSLAAKKHIASTTSILDVKDVGMSNFSKPARYLFLEIQKIDSNYYPETLHRLFIINAGSGFKVLWKAIRAFLDQRTLAKIQVLGNNYLKNLVEVIDPSNLPTFLGGNCTCSEHGGCLSSDKGPWNDPEITDILQAMLEADEQCDNPPATRDTFDDAENVQIKDVYDVTPEREPSIGQLDKAQVFDAHFTQKVLRIEAVINDTNAKIQKLEDALKDTKLVLQTLTEHMEDLKRGL >itb08g01120.t3 pep chromosome:ASM357664v1:8:850414:854856:-1 gene:itb08g01120 transcript:itb08g01120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKAFLKDIFIGGSRRQSSNLSREEESNKAPKQQRSRLSFSFKKRLRKSKSLQMILQGSHDPKDQEKVDSFRDFIFPDIPLSGKQLDYHTLLRFLRMRDYDMVKAREMFLNYLKWREEFRVDAICKEFKYEEYREVKGLYPHGFHGVDRYGRPIYIDRVGMVDINKLLEVTTIERFVKYHISEQEKTLNLRYPACSLAAKKHIASTTSILDVKDVGMSNFSKPARYLFLEIQKIDSNYYPETLHRLFIINAGSGFKVLWKAIRAFLDQRTLAKIQVLGNNYLKNLVEVIDPSNLPTFLGGNCTCSEHGGCLSSDKGPWNDPEITDILQAMLEADEQCDNPPATRDTFDDAENVQIKDVYDVTPEREPSIGQLDKAQVFDAHFTQKVLRIEAVINDTNAKIQKLEDALKDTKLVLQTLTEHMEDLKRGL >itb08g01120.t1 pep chromosome:ASM357664v1:8:850414:854892:-1 gene:itb08g01120 transcript:itb08g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPKAFLKDIFIGGSRRQSSNLSREGQSSSSAKETDKILHPPIETYWYLPPQEESNKAPKQQRSRLSFSFKKRLRKSKSLQMILQGSHDPKDQEKVDSFRDFIFPDIPLSGKQLDYHTLLRFLRMRDYDMVKAREMFLNYLKWREEFRVDAICKEFKYEEYREVKGLYPHGFHGVDRYGRPIYIDRVGMVDINKLLEVTTIERFVKYHISEQEKTLNLRYPACSLAAKKHIASTTSILDVKDVGMSNFSKPARYLFLEIQKIDSNYYPETLHRLFIINAGSGFKVLWKAIRAFLDQRTLAKIQVLGNNYLKNLVEVIDPSNLPTFLGGNCTCSEHGGCLSSDKGPWNDPEITDILQAMLEADEQCDNPPATRDTFDDAENVQIKDVYDVTPEREPSIGQLDKAQVFDAHFTQKVLRIEAVINDTNAKIQKLEDALKDTKLVLQTLTEHMEDLKRGL >itb08g01120.t4 pep chromosome:ASM357664v1:8:850414:853486:-1 gene:itb08g01120 transcript:itb08g01120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYDMVKAREMFLNYLKWREEFRVDAICKEFKYEEYREVKGLYPHGFHGVDRYGRPIYIDRVGMVDINKLLEVTTIERFVKYHISEQEKTLNLRYPACSLAAKKHIASTTSILDVKDVGMSNFSKPARYLFLEIQKIDSNYYPETLHRLFIINAGSGFKVLWKAIRAFLDQRTLAKIQVLGNNYLKNLVEVIDPSNLPTFLGGNCTCSEHGGCLSSDKGPWNDPEITDILQAMLEADEQCDNPPATRDTFDDAENVQIKDVYDVTPEREPSIGQLDKAQVFDAHFTQKVLRIEAVINDTNAKIQKLEDALKDTKLVLQTLTEHMEDLKRGL >itb05g00960.t1 pep chromosome:ASM357664v1:5:792908:796562:1 gene:itb05g00960 transcript:itb05g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFLVLVLWAVLGCFAPTATSKTNYPIAKPNCKDHCGNISIPFPFGLTPGCYLNRDFFINCSMDDSPQAFARSSNIEVHEISVEGQLSVMTLIAQNCGLGFARTWLRLPRFYVNQTANKFVAVGCNTIATVSGSDKGLSYETGCIASCNRLQDVANGACSGIGCCQTTDIPILASNVNFTLRRMAGNRSTEGATGCSYASVVKKDAFEFSSDMLTRKWEVKGLPMVIDWVIFNDTCSNSRSTCQGNTTCVPFEGPDGGYRCACEKGYEGNPYLHPDIDECEKGKNNCSENATCENKPGGYSCHCKEGYEEDGEGGCQLPSKHEKNVNVIVLGVSLGTIMLLITSFCLYLGYRRRKSVQIKEKFFRENGGFILQQRIAQGGVCSGTTRIFTAEELKKATNNYDQDRIIGQGGFGIVYKGHLLDGRIVAVKKAKMMDPTQVEQFINEVIVLSQINHRNIVKLFGCCLETEIPLLVYEFISNGTLSEHLHNKEKASKMPWLIRLKIASEAAEVLSYLHSAASPPIIHRDVKPANILLDSDYTAKVSDFGASRLVLQDQTQLITMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLVELLTGRRAVFFDGPEEERNLSLHFLSSLKENRLFMILDDNIVCEENTEELQEVALLAKRCLNVNGEDRPTMKEVAVELGGLRRAAKHPWINNNSETSMESHALLVNPPIPFEYDATFSMTTTTEYDSLKHHMKLPVAAGR >itb11g10080.t1 pep chromosome:ASM357664v1:11:6857797:6860661:-1 gene:itb11g10080 transcript:itb11g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAIKADAGSQNTGNNGKRSDQEMMKERFAKLLLGEDMSGGGKGVSSALALSNAITNTAASVFGEIKRLEPMAPERRARWRKEIDWLLSVTDHIVELVPSKQTAKDGTPMEIMVTRKRSDLQMNIPALRKLDGMLIDCLDSSKDQNEFYYPSKDDGAQKGKNSRKDDKWWIPTPQVPPNGLSESSRKWLQAQKDAVNQVLKAAMAINAQVLAEMEIPESYIESLPKNGRATLGDSIYKSITDEYFDPDYFLSSMGLSSEHKIVELKNKIEASVVIWKRKMIYKNEKSSWSKAVSMEKREIFEDRAETILLIIKHRYPGVPQSSLDISKIQYNKDVGHAVLESYSRIIESLAYTVLSRIEDVIQADAATQGTRASKKKSYSLKEAPNAKDANASMTLWDFMDWEKLDKGEANAKKGAKDRSAKDRDAKLLSKPPSLVITTSKKTSYLENLAGSKSPTARH >itb12g09570.t1 pep chromosome:ASM357664v1:12:7504046:7505843:-1 gene:itb12g09570 transcript:itb12g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASAQYIHMVHHLIEECLMFKMSKEECMEALSKHANIQPLITSTVWKELEKENKEFFEAYKKQGREEGADIMETTRQKVEKMVLGSWKKDPKDE >itb13g09230.t1 pep chromosome:ASM357664v1:13:12521237:12522174:1 gene:itb13g09230 transcript:itb13g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPTFMNLEPYKYPIHPFRLSTSTNALCFSSSSLLAFHEPFNKNLGNGKVKLVLKMMYGDDNADFALLESIRRHLLDDFDDPVLGLGESWGEWTVPAPTDYNSPDSNFSGSSGWLSWVDKEETPSPMVKEEPETMGFHEFPAILDFTAAVPPPPKVEVSPAPPPVVEKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETAEDAAVAYDRAAFRIRGARALLNFPLRINSGEPEPVRITTKKRLAASTDYCSPTSSSSSSTTSDIVSSSKRMKKAAQSVEQSVEQSSCSFQI >itb05g26960.t1 pep chromosome:ASM357664v1:5:30591992:30595508:1 gene:itb05g26960 transcript:itb05g26960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDAEDPQPTCGSLLQQLQKLWDEVGESDEERDKMLLQLEQECSDVYKRKISCAVKCRAKLLQELADAKAELSSLQASLGDKTFGKEISTDKTSRTIKEQLAAIEPALEKLWKQKEERVKEFFDVQSQIQKIRNEISRTSDQPESLKVDDSDLSLKKLDEFGVQLQELQKEKSERLHKVLELVSTVQNLCGVLGVDFLSTVAEVHPSLNDSTGTQSKSISNDTLSRLAKTVLVLKGETQQRLQKLQELGAQLIDLWNLMETPKEERSVFDHVTCNVSASIDEVICPGSLARDLIQQIAVEVERLDKLKFSRMKEIALRRQAELEDIFARAHIEIDTEAAREKIVALTESGNVEPAELLAKMDDEIAKAREEASSRSEILDKIEKWMSACEEESWLDDYNRDENRYNACRGAHLNLKHAEKARILVSKIPAIVESLVNKTQAWEQDRGTTFTYDGVPLLAMLDEYMMLRHDREDQKRRLREQKKLQEQSKEHGLGCGFSPGKPSTKKANGNSNGAAPSRRLSLSGCYQNGSSRSTNKDGKRDTRPVAPVNYVAISKEGST >itb05g26960.t3 pep chromosome:ASM357664v1:5:30592988:30595414:1 gene:itb05g26960 transcript:itb05g26960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSLYFVQSERLHKVLELVSTVQNLCGVLGVDFLSTVAEVHPSLNDSTGTQSKSISNDTLSRLAKTVLVLKGETQQRLQKLQELGAQLIDLWNLMETPKEERSVFDHVTCNVSASIDEVICPGSLARDLIQQIAVEVERLDKLKFSRMKEIALRRQAELEDIFARAHIEIDTEAAREKIVALTESGNVEPAELLAKMDDEIAKAREEASSRSEILDKIEKWMSACEEESWLDDYNRDENRYNACRGAHLNLKHAEKARILVSKIPAIVESLVNKTQAWEQDRGTTFTYDGVPLLAMLDEYMMLRHDREDQKRRLREQKKLQEQSKEHGLGCGFSPGKPSTKKANGNSNGAAPSRRLSLSGCYQNGSSRSTNKDGKRDTRPVAPVNYVAISKEGST >itb05g26960.t2 pep chromosome:ASM357664v1:5:30591992:30595508:1 gene:itb05g26960 transcript:itb05g26960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLEQECSDVYKRKISCAVKCRAKLLQELADAKAELSSLQASLGDKTFGKEISTDKTSRTIKEQLAAIEPALEKLWKQKEERVKEFFDVQSQIQKIRNEISRTSDQPESLKVDDSDLSLKKLDEFGVQLQELQKEKSERLHKVLELVSTVQNLCGVLGVDFLSTVAEVHPSLNDSTGTQSKSISNDTLSRLAKTVLVLKGETQQRLQKLQELGAQLIDLWNLMETPKEERSVFDHVTCNVSASIDEVICPGSLARDLIQQIAVEVERLDKLKFSRMKEIALRRQAELEDIFARAHIEIDTEAAREKIVALTESGNVEPAELLAKMDDEIAKAREEASSRSEILDKIEKWMSACEEESWLDDYNRDENRYNACRGAHLNLKHAEKARILVSKIPAIVESLVNKTQAWEQDRGTTFTYDGVPLLAMLDEYMMLRHDREDQKRRLREQKKLQEQSKEHGLGCGFSPGKPSTKKANGNSNGAAPSRRLSLSGCYQNGSSRSTNKDGKRDTRPVAPVNYVAISKEGST >itb15g08340.t2 pep chromosome:ASM357664v1:15:5856991:5861900:-1 gene:itb15g08340 transcript:itb15g08340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADKCFVLNTGAKMPSVGLGTWQAEPGVVGQAVEAAINVGYRHIDGARAYKNEKEIGDVLKKLFANGVVKREDLFITSKLWCRDHAPEDVPVALNQTLQDWQLDYIDLYLIHWPVPMKKDSVGFAPEYLIPANIPATWKAMEALYDSGKARAIGVSNFSTKKLGDLLEVARVPPAVNQVECHPSWQQAKLREFCKSKGVHLSVSLLFKYFLHKGPSGLFKGKQFTSSFQLIIMVIGD >itb15g08340.t1 pep chromosome:ASM357664v1:15:5856848:5861917:-1 gene:itb15g08340 transcript:itb15g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADKCFVLNTGAKMPSVGLGTWQAEPGVVGQAVEAAINVGYRHIDGARAYKNEKEIGDVLKKLFANGVVKREDLFITSKLWCRDHAPEDVPVALNQTLQDWQLDYIDLYLIHWPVPMKKDSVGFAPEYLIPANIPATWKAMEALYDSGKARAIGVSNFSTKKLGDLLEVARVPPAVNQVECHPSWQQAKLREFCKSKGVHLSAYSPLGSPGTTYIKSNVLNEPVVLSVAEKLGKTPAQVCLRWGLQMGNSVLPKSTNEGRIKQNFDVFDWSIPDDLMAKFSEIEQARLIRGTSFVSDTYGSYKNVEELWDGEI >itb10g20330.t1 pep chromosome:ASM357664v1:10:25938339:25938941:1 gene:itb10g20330 transcript:itb10g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTYLYLLHYEGLFRINMSDFGGFLKKKELKVECVYFDPSSLSGSCPFKDMGLFSNRHTLYMIGGGSAETGRYPDGSSFYSFNPTRFNEFPVKDTECVDEPDFYGDFGALKCPHVVRANRMIYFFPNRVAPFYFRSFDSAENRFYTPPPPPFVLENRTRVSEVSGAFVLRGYLFCFSKNPAMSRVHVSAVPSLSTLDC >itb01g19060.t1 pep chromosome:ASM357664v1:1:25037327:25038735:-1 gene:itb01g19060 transcript:itb01g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPADRAAPWVDLPRDLTVNILQRLRVVDIFKSARVCIAWRRLCQDPSMWRYVDLRNVLAYEPGKARDWDKICREVVNRSDGQLISIKLGHYATDDLLFYIAQRAKQLRHLGIWYNYVSDEGFSKAVNEFPLLEELQVEYSKQGIEAAGRSCPFLNSFSFIKVSQYNGSSDEEAVAIAENMHGLKHLTLVGNEMSDKGVEAILDACPSLQSLYIADCNNVRLEGELAKRCSQQIKDLNHIPKPRTRFFF >itb05g18760.t1 pep chromosome:ASM357664v1:5:25448550:25450834:-1 gene:itb05g18760 transcript:itb05g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTYLKSPIQQNCSLIKEENGKRVGPRPSFRSSISGGYALLWPSNSSTASTIPIFSTKILSLCCLRNMGIDTLFFQELAFTVGLSLMITFLLCKFFSSVVVEEDRNMSFCCGGAIPKAASNRAMDGGEMRIQHGVVQFGDRVKEERGVFEEDEAVKVGEELGKDQESILGCDGMEQKVFDESPVRGNFGVEDEAVKVDEELAKDQENLLGCDGMEQKVADESSVRTKSREIEVELGDMGGGDSGEIGIEFGEREGVGKAPNKMENESSEDNDGLFDDDWEDIERTELEKSFDAAVVFVDSNANSDQFDNEFKMQLYGLHKIATDGPCSTAQPMALKVSARAKWTAWNSLGDISREEAMEQYIALLSSGITDWKNEQ >itb03g30520.t1 pep chromosome:ASM357664v1:3:32894487:32895404:1 gene:itb03g30520 transcript:itb03g30520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSKPKLDGVWLEIVNGEGVVRRIWQRVEYGRIPRYCAECGRFGHDRMNCWRLNAGGVRRRWGGWAGPVVVEPVAGERADERGVVEAGAMDSIGGEERETEQGEVLVPPGILVNGRQCMPTICENVHVTEERGVVFNEMVGDIGCKVAVEVNQNLAAASESVGSIMEQKIGLSGRSKGGRRRKKRGFQVGNALQILALDYGDEERLDGLEKWIEDLKEKVRHDEGLVRAVEEAYEEVLELFEEALEELGQPGEDVDDELICKAALIFWKKWEKIVQDGKELRLLNHVGQISSGSKEIAVSKT >itb01g27100.t1 pep chromosome:ASM357664v1:1:32063663:32064028:1 gene:itb01g27100 transcript:itb01g27100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRDNPSTVVVVVGPSPRLSPLITSDALTGDCYRVTAELSCRQGCEYWHSRRAFLNSYCFDRRQSRNIIERLGEMAAAVFLGIRRRMCSAGVWFKYYKLTFSWPRVLTASCYVPCPVYV >itb12g04180.t1 pep chromosome:ASM357664v1:12:2723470:2723916:1 gene:itb12g04180 transcript:itb12g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSIYGHYLLPTIFIIITAFMSLVTANPQYECPYPCLPPPTAASDCPPALPVASPPPPPPPLPSSPIYHCPPPPVGGYLPYFTPPAPEYINYNAPPPPNPILPYFPFYYKNPPPPERSSAAFSVVGLPIKLCVTVIILLHLCSSFS >itb15g05540.t2 pep chromosome:ASM357664v1:15:3566026:3570030:1 gene:itb15g05540 transcript:itb15g05540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRAKGVIYKAVEEVDLSHASKEFYLRANVKAPRMAGVLVKVFVWFLELPIFGQILLFFLKRNNLIHKLVSFAELQESPLYVPLHPYEENEEKEVKYMKPELSPPEQVQQVVECVQASPNTLHNATKLGFQRWTIMDYSRAYQLGEVTPRVVAERLIKAINESSSSKLQMSFFINFDAEDILRQAAESTVRYERGEPISVLDGVPIAIKDEIDCLPYPTTGGTKWLHKVRQCEDDACCVKRLRQCGAVLIGKTNMHELGAGTSGINPHYGATRNPYNSQRITGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPAALCGVVGLKPSFGRVPHSGVLPLNWTVGMVGILSGTVEDALIVYAAISNPSATDLSASALPKLCFPLLQLPNPISDIRLAKYGEWFNDSTDEIRVCCSRALANLCEHFCWKTIDVTVPEIEVMRLAHYVTIGSECSTSIARQLEKLKKSELGWDARVALPVYGSFSSLEYLNAQRIR >itb15g05540.t1 pep chromosome:ASM357664v1:15:3565998:3571224:1 gene:itb15g05540 transcript:itb15g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRAKGVIYKAVEEVDLSHASKEFYLRANVKAPRMAGVLVKVFVWFLELPIFGQILLFFLKRNNLIHKLVSFAELQESPLYVPLHPYEENEEKEVKYMKPELSPPEQVQQVVECVQASPNTLHNATKLGFQRWTIMDYSRAYQLGEVTPRVVAERLIKAINESSSSKLQMSFFINFDAEDILRQAAESTVRYERGEPISVLDGVPIAIKDEIDCLPYPTTGGTKWLHKVRQCEDDACCVKRLRQCGAVLIGKTNMHELGAGTSGINPHYGATRNPYNSQRITGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPAALCGVVGLKPSFGRVPHSGVLPLNWTVGMVGILSGTVEDALIVYAAISNPSATDLSASALPKLCFPLLQLPNPISDIRLAKYGEWFNDSTDEIRVCCSRALANLCEHFCWKTIDVTVPEIEVMRLAHYVTIGSECSTSIARQLEKLKKSELGWDARVALPVYGSFSSLEYLNAQRIRNRQLQFHRRIFSEADVIVTPTTGVTAYEIKNDALKTGELDYINGAALVRYQIAGNFLGLPAVTVPVGYDQSGLPIGLQFIGKPWTESLLIHIAFSMQVLCSSEYKKPEVFFDMLAKD >itb09g27390.t1 pep chromosome:ASM357664v1:9:27695186:27695969:1 gene:itb09g27390 transcript:itb09g27390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNKKLIKLVKRWQKFVVIRRKRISLPRLNDDADSCSTSSAVSKGHFAIYTADQNRFVVPLSYLENDIIMQLLNLSEEEFGLPSNGPITLPCDAVFMNYIISLLSRGLSREHENALLISVTSSRCSSASLNHEGWRNQEILVC >itb03g23630.t1 pep chromosome:ASM357664v1:3:21850835:21853392:-1 gene:itb03g23630 transcript:itb03g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANGYSSVEREYIRKHHRQEPAGNQCSSFLIKHIRAPVHLVWSLVRRFDQPQKYKPFVSRCIAQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSVKIVGGDHRLRNYSSVISVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLALQGRTEPIDQV >itb11g22380.t1 pep chromosome:ASM357664v1:11:24300111:24303126:-1 gene:itb11g22380 transcript:itb11g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLEAQIRDASFKAEERIEVELTAIYLAKGNGDSLHIAACLLRLHEIFNEAEKLTDYHRNELIRIQTEYQQLAKVSLLGRIRRRGLLQLVKGSSLPDLVHKNIIATKFFKNSSKFDSTMVGCHEVFNKILDQLLTQQTTKGRQVVSIVGMGGIGKTTLAHKLYQHPSITSHFDKRAWVTVSQAYNVEQMLQCLLSCVTGASRGGGQDQLAERMHKHLNGQRYLIVIDDIWHTTAWDSLQRCFPNDTNGSRVLLTSRLKEVAEYACSGNTPLNMPFLDADESWNLYCKVFGKTEFLSVFEQIGRDIVKKCKGLPLAITLVASLLSKTEEKVEKWKNVAESVIGDSNEACSRVLYLSYNQLPHHLKACFLYFGIFEEDYEISVKKLVRLWAAEGFLSTVKHVDLEKVAMECLEDLVDRSLVIVSKHSYNGEMKKIRIHDVLRDLCLREGGLENLLNVNGVKPCRWISFTPRPFSDKVSLTNLKKLSFFESNLQWHELSAISMLPNLEVLKLISACSGPKWETSDRGFHLLKRLEGLEKLLDEMTNFEYA >itb10g21470.t1 pep chromosome:ASM357664v1:10:26673773:26675171:-1 gene:itb10g21470 transcript:itb10g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPFSHHNPKPKSSGRKHTEPEREEEEMEEVEAQRPEILSPCSSGRRSGDSTSPEFEFWMVRNPSFPQPNLLSADELFSDGVLLPLDLLPQEGNACSDESPGGILGLGGENQPETSGSAAVVGGAAVVSGSKRWKDIFKKGEKKEKKGGSDENVKEKGEKKEKKGGVVGPSAAELNINIWPFSRSRSAGNGGARPRLGAAGSALTHRKVSSAPCSRSNSAGESKSRKWPTSPTRAGVHLGRSSPVWQVRRTSAAAATRTTENLSKAAEKALKKETNSDKPAKKDGNFSKSLKKEGNDTRRKNSSAAVHGGALPKARVLNLNVPMCIGYRHHLSCRSDENSTIAVAAAASDGGQNGGGVPGEAVRGSNLFNLRNLFTKKVY >itb10g19130.t1 pep chromosome:ASM357664v1:10:24881726:24882324:-1 gene:itb10g19130 transcript:itb10g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEVSSSPLRIQMVSKSVSEKLLSKFSDVSEFDFDYSQSGLWSPPIQRSVFLSSPGKILSHSEMAAKLTKVLKRHQRRRRHCFHGVLEACLCSPKRFQGGRS >itb03g13850.t1 pep chromosome:ASM357664v1:3:13817504:13818896:-1 gene:itb03g13850 transcript:itb03g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDRKLISFILTNGHCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTQEEENLVIDLHARLGNRWAKIAARLPGRTDNEIKNHWNTHIKKKLIKMGVDPLTHEPLQKDDEEMLPPSSSNSGDQKHKPAENDDDLEEEEELPKMEEHKQCSSKEAAMFAAAPQPQVEADYYDQLWSDTFFNDLSWSTFGGDECSDFGMSSSSHGSSEWLMDLQDFGEDQSWALLPGNQPPKNMDMEIHTQDIITWKF >itb04g26040.t1 pep chromosome:ASM357664v1:4:30465301:30467317:1 gene:itb04g26040 transcript:itb04g26040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFTLSSDQDSFAHRCVWVNGPVIVGAGPSGLAVGACLKEQGVPFVILERADCIASLWQKRTYDRLKLHLPKQFCQLPKFPFPDHYQEYPTRREFIKYLESYAEHFDIKPRFNECVSSAKYDEACKVWRVKTAAPDGGEVEYICQWLVVATGENAESVVPEIEGLKEFAGEVIHVCDYKSGENFRGKKVLVVGCGNSGMEISLDLCNHDAKPSMVCRNSVHVLPREILGKSTFELAVLMMKWLPLWLVDKILVVLTWFILGNIEKYGLKRPSIGPLELKNTRGKTPVLDIGALEKIRSGKLNVVPGIKKFSGGMVELVDGECLEIDAVVLATGYRSNVPYWLQEADFFSKNGFPKTPFPNSWKGKSGLYAVGFTRRGLSGTSADAVKTAQDITKVYKEDIKQKKQKVPTHRRCISTF >itb02g06470.t2 pep chromosome:ASM357664v1:2:4031347:4034715:-1 gene:itb02g06470 transcript:itb02g06470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKHFPFCTCMCLQDEYSKLCGKIEEASEESMSHELIDEFSVFANIDRRNHPAIIKVIWENKGSVDNGDGVPHLVYISREKRPKHPHHYKAGALNVLTRVSGVMTNAPFMLNVDCDFYVNDSKVILHAMCFFLGVKDEKDVGFVQFPQIFDGGLKNDPYGNQLKIIVEYVMRGIAGIQGSIYMGTGCFHRRKIIYGMWPHMVDSNGKTTDKDQWEIFGKSKTFTLSTTQILCGSLYPEIPIFRNSLEAAKEVASCGYESGTAWGEEVGLLYGSATEDTKTGISIHGKGWKSAYCDPNPPGFLGSAPTGGPAALTQQKRWVTGLLEILICKKSPIMWALFGRLHFRQFLAYLWPMIWPIRPVFEICYALLPAYCIINNSHFQPKINEAAIIIPASILIIYNLYTLWEYIRIGESLRAWWNNQRMWKVYASGSWLLGFLSGVVKVFGLSETVFEVTKKDHSSDENRDKDDDSNAGRFTFDESPLFVPGTTILLVNLAALFIGILDFKQNKSRSWSLGEAICSVWVILMYWAFLKGLFGKGKYGIPLSTVLKSGGLALLFVHACKSVY >itb02g06470.t1 pep chromosome:ASM357664v1:2:4031349:4035539:-1 gene:itb02g06470 transcript:itb02g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHPPFPLYEIKFRNNKISRAIEIIILFLLLSLITYRLFSLHTHDRIPWLLALICEAWFTFVWILTVNAKWNQIQPKTYPQRLLQWLGDGTSEFPAVDMFVTAADPELEPPIITVNTVLSLLAVDYPANKLACYVSDDGASPLTFYSLVQASNFAKLWVPFCKKYNVAVRAPFQYFKANPIFPQDSSLDFQHEWKKMKDEYSKLCGKIEEASEESMSHELIDEFSVFANIDRRNHPAIIKVIWENKGSVDNGDGVPHLVYISREKRPKHPHHYKAGALNVLTRVSGVMTNAPFMLNVDCDFYVNDSKVILHAMCFFLGVKDEKDVGFVQFPQIFDGGLKNDPYGNQLKIIVEYVMRGIAGIQGSIYMGTGCFHRRKIIYGMWPHMVDSNGKTTDKDQWEIFGKSKTFTLSTTQILCGSLYPEIPIFRNSLEAAKEVASCGYESGTAWGEEVGLLYGSATEDTKTGISIHGKGWKSAYCDPNPPGFLGSAPTGGPAALTQQKRWVTGLLEILICKKSPIMWALFGRLHFRQFLAYLWPMIWPIRPVFEICYALLPAYCIINNSHFQPKINEAAIIIPASILIIYNLYTLWEYIRIGESLRAWWNNQRMWKVYASGSWLLGFLSGVVKVFGLSETVFEVTKKDHSSDENRDKDDDSNAGRFTFDESPLFVPGTTILLVNLAALFIGILDFKQNKSRSWSLGEAICSVWVILMYWAFLKGLFGKGKYGIPLSTVLKSGGLALLFVHACKSVY >itb04g22170.t1 pep chromosome:ASM357664v1:4:27341104:27341579:-1 gene:itb04g22170 transcript:itb04g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKKVYPGLLLSQKPNDERVALFRRNLLVLSGELDATIDSPKCSLCSELESTPTVNYIACELCGGMVVPFFISSFKSAPVCASTPMIRSGEAKLAELKSDDGIECAGFHLVQAHIHQEGKQ >itb12g02450.t1 pep chromosome:ASM357664v1:12:1607585:1611921:-1 gene:itb12g02450 transcript:itb12g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSNDARFTLIQMLSTRNKEESPPDNYGPNPPAAMAEFRLEKVFPVYAVGVSEPDPNLVVSLANSGSGVGDPIWDAVREEAKSEADKEPILSSFLYASILSHNCLEQALGFVLANRLQNPTLLATQLSDIFCGVMLHDRGIQCSIRMDIQAFKDRDPSCLSYCSALLYLKGYHSLQTHRVAHALWNQGRKVLALALQSRVSEVFGVDIHPAAQIGEGILLDHATGVVIGETAVIANRVSIMQGVTLGGTGKEIGDRHPKIGQGALIGASATILGNIKIGEGAMIAAGSLVLKDIPPHSMVAGIPAKVIGYVEDQDPSLTMKHDASKEFFEQIAGRFPAM >itb05g11470.t1 pep chromosome:ASM357664v1:5:17524173:17524953:1 gene:itb05g11470 transcript:itb05g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSNSPQGDHDYYLIIIVVPVVGCILFVMASLALCFYMKKRNKKRSVQEIDLKHIDEHMRIQEAIVTDSHGRKKTVVLSVNGDVHVDEDIIRREKEFINVGTSEVGSGDLEAGQSSSGATLAKLEKS >itb07g09550.t1 pep chromosome:ASM357664v1:7:9015368:9018268:1 gene:itb07g09550 transcript:itb07g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLGLFFAILVAIVVSKLRGKKYKLPPGPLPVPVFGNWLQVGDDLNHRNLTDYAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRRGWEDEIGLVVEEVKKNPEAATTGIVLRRRLQLMMYNNLFRIMFDRRFESEEDPMFKKLRALNGERSRLAQSFDYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDHFVEERKKLSSTKGMDNNSLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNNLGIQKKLREEIDAVLGPGVQLTEPDTHKLPYLQAVIKPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPSTWKNPEEFRPERFFEEEKHVEANGNDFRFLPFGVGRRSCPGIILALPILGIVLGRLVQNFELLPPPGHSKVDTTEKGGQFSLHILKHSTIVMKPRCF >itb10g18460.t2 pep chromosome:ASM357664v1:10:24447331:24449442:1 gene:itb10g18460 transcript:itb10g18460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRVSSQQSPVHKLGDSHMMLSPKFRIAGVGSTLLDPSLDNELAMRGEPLIPGIPDDVALNCLLRVPVDNHLRCRAVCKRWYLLFGNKERFFSRRKELSFHNPWLFVFAFHKCTGKIEWKVFDLTSFSWHTIPAMPCKDKVIPHGFRCISMPHEGVMFVCGGLVSDTDCPLNLVLKYEVGTNRWTVLKSMITPRSFFGSGVIDGMVYVAGGNSSDLFELNSAEVLDPYSGTWRSVSNIGIYMSSYDAAVLNGKLFITEGWFWPFYVVPRGQIYDPKTDNWEGMPSGLREGWTGSSVVIDGHLFVVSEHERAKVKVYNFETDNWDMIDGPPLPELICKPFCVNCCGNRIIVVGQNLNIAVGHVTRRQQSEMSNFNVEWEVMDAPDSLSELSPSSAQVLFA >itb10g18460.t1 pep chromosome:ASM357664v1:10:24447129:24449442:1 gene:itb10g18460 transcript:itb10g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRVSSQQSPVHKLGDSHMMLSPKFRIAGVGSTLLDPSLDNELAMRGEPLIPGIPDDVALNCLLRVPVDNHLRCRAVCKRWYLLFGNKERFFSRRKELSFHNPWLFVFAFHKCTGKIEWKVFDLTSFSWHTIPAMPCKDKVIPHGFRCISMPHEGVMFVCGGLVSDTDCPLNLVLKYEVGTNRWTVLKSMITPRSFFGSGVIDGMVYVAGGNSSDLFELNSAEVLDPYSGTWRSVSNIGIYMSSYDAAVLNGKLFITEGWFWPFYVVPRGQIYDPKTDNWEGMPSGLREGWTGSSVVIDGHLFVVSEHERAKVKVYNFETDNWDMIDGPPLPELICKPFCVNCCGNRIIVVGQNLNIAVGHVTRRQQSEMSNFNVEWEVMDAPDSLSELSPSSAQVLFA >itb01g33430.t1 pep chromosome:ASM357664v1:1:36571998:36574085:1 gene:itb01g33430 transcript:itb01g33430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKRGEKHLLCEIHRRKTAQPPPPPAAVGHQNHFNYPITSSPAFFPYPSRLSISPPDSDELLTTPNTKNSSWCDSPISVTFSPGISAAAAGGGSGGSVTALSEDNERLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVTPSNTYPSSFLQPPSPAAAAAAANPIISSSSPMAQKPLSQLITYHHNHHQPINPKRQGGNLINLTAQTPQIVELAAGESDLGRTKLFGVPLQSKKRLHPENQYPSSMEIMNYKARVLALEKDDLGLNLKPPSSCS >itb04g03700.t1 pep chromosome:ASM357664v1:4:2308473:2310992:-1 gene:itb04g03700 transcript:itb04g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQKAVSTLPTLMRAMRKELPKHNNTHQIQPLPSLRRAFSLYDQINLIDNVPEDQLRFQQFTDTGFKVNGVHYDGSLLCVGNLLMSWTPKKFSQVTPESLSIFQTVRPVPEILILGCGRHIQLVNPEVRRFIRSTGMKLETVDSRNAASTYNILNEEGRIVATALLPFGVES >itb08g13350.t1 pep chromosome:ASM357664v1:8:14154565:14161410:1 gene:itb08g13350 transcript:itb08g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVKLIKAAFFVLLIVWLLVDVLRQKKRQGSGDDNVFRQKEKPTIFAKITVVLNFVVAMAYLGFCVHEFWRYRSISVDDYFISALTWIAASISSVYSLNKNLRGDNGWPLLLILWWVFSGIFDSLLLVLHLLTVYDYIRAPSFIPKTTIIDLVTLPLLIVLCFHAFPKFSTSKQNDAQQPFLQKECNGPDDPLTSAGIWSQVMFTWLNPLFLKGRNEKLTLKHIPLIPRSDMADHSSSLLEDALRVQKTPTPSLPSAILHAVWWPLAANAVFAGVNTIASYTCLLLITSFVKFLSEKCDDSNNWHSGLVLAFVFFFAKTIESLSQRQWYFGAHRIGIRIRAALMALTYKKSLTIKHGAMSNGKVINFLNVDVERIGDFFWNIHGIWLLPIQVISALVILYLNLGAAPSIAAFFTTVIVMVSNTPLANRQEKLHTKIMEARDLRVKATSETLKNMRVLKLHSWESTFMGKLIDLRKTERCWLREYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLTAGTVLSAIATFRILQEPIYNLPELISMIAQTKVSVDRIQDFIREEDQKKLIGYLGPDATEVALEIEPGEYAWESDYLKKSTIKITEKIKIRKGCKVAICGSVGSGKSSLLCSIMGEIPKVCGLSIKTNGSKALVPQSAWIQTGTIRENVLFGKEMDKGRYHDVLERCALKRDIEMWADGDLTVVGERGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAKTGAHIFKKCLMESLHSKTVIYVTHQLEFLDASDLVLVMKDGMIVQSGKYENLIADSSGELVRHMAAHKKSLNQVDNSKQCFLNKRHTTNNLIEMIEESEELTCSDKLLENTQHEETESGRVKWNVYSTFVTSAYKGALVPPVLLCQILFQGLQMASNYWIAWGTEQDGVTREKLIGIFVLLSGGSSIFILGRAILLSTIAIETSQQLFTGMIKSVFRAPMSFFNSTPSSRILNRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVFFLFLIILPVSMWYQSYYITTARELARMVCILKAPILHHFSESIGGVSTIRCFNQEDRFLKSNLSFIDDYSHVAFFNSATMEWLCLRINFLFNLAFFFLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKIPSEAPLIIENSRPESEWPEKGTIQIKNLHVQYSPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIQALFRVVEPSEGCIKIDEIDISKIGLQDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDYDIWEVKLQMKRTWYNEIYMHAT >itb08g13350.t3 pep chromosome:ASM357664v1:8:14154565:14161410:1 gene:itb08g13350 transcript:itb08g13350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVKLIKAAFFVLLIVWLLVDVLRQKKRQGSGDDNVFRQKEKPTIFAKITVVLNFVVAMAYLGFCVHEFWRYRSISVDDYFISALTWIAASISSVYSLNKNLRGDNGWPLLLILWWVFSGIFDSLLLVLHLLTVYDYIRAPSFIPKTTIIDLVTLPLLIVLCFHAFPKFSTSKQNDAQQPFLQKECNGPDDPLTSAGIWSQVMFTWLNPLFLKGRNEKLTLKHIPLIPRSDMADHSSSLLEDALRVQKTPTPSLPSAILHAVWWPLAANAVFAGVNTIASYTCLLLITSFVKFLSEKCDDSNNWHSGLVLAFVFFFAKTIESLSQRQWYFGAHRIGIRIRAALMALTYKKSLTIKHGAMSNGKVINFLNVDVERIGDFFWNIHGIWLLPIQVISALVILYLNLGAAPSIAAFFTTVIVMVSNTPLANRQEKLHTKIMEARDLRVKATSETLKNMRVLKLHSWESTFMGKLIDLRKTERCWLREYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLTAGTVLSAIATFRILQEPIYNLPELISMIAQTKVSVDRIQDFIREEDQKKLIGYLGPDATEVALEIEPGEYAWESDYLKKSTIKITEKIKIRKGCKVAICGSVGSGKSSLLCSIMGEIPKVCGLSIKTNGSKALVPQSAWIQTGTIRENVLFGKEMDKGRYHDVLERCALKRDIEMWADGDLTVVGERGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAKTGAHIFKKCLMESLHSKTVIYVTHQLEFLDASDLVLVMKDGMIVQSGKYENLIADSSGELVRHMAAHKKSLNQVDNSKQCFLNKRHTTNNLIEMIEESEELTCSDKLLENTQHEETESGRVKWNVYSTFVTSAYKGALVPPVLLCQILFQGLQMASNYWIAWGTEQDGVTREKLIGIFVLLSGGSSIFILGRAILLSTIAIETSQQLFTGMIKSVFRAPMSFFNSTPSSRILNRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVFFLFLIILPVSMWYQSYYITTARELARMVCILKAPILHHFSESIGGVSTIRCFNQEDRFLKSNLSFIDDYSHVAFFNSATMEWLCLRINFLFNLAFFFLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKIPSEAPLIIENSRPESEWPEKGTIQIKNLHVQYSPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIQALFRVVEPSEGCIKIDEIDISKIGLQDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDYDIWEVLRKCHLGGIVRQDCRLLDAPVAEDGENWSIGQRQLVCLARVLLQKKRILVLDEATASVDTATDNVIQKTIREETDGCTVITVAHRIPTVIDNDLVLVLDDGKVLEYDSPTRLLEDDSSAFSNLVREFLRRSTKADC >itb08g13350.t4 pep chromosome:ASM357664v1:8:14154565:14161410:1 gene:itb08g13350 transcript:itb08g13350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVKLIKAAFFVLLIVWLLVDVLRQKKRQGSGDDNVFRQKEKPTIFAKITVVLNFVVAMAYLGFCVHEFWRYRSISVDDYFISALTWIAASISSVYSLNKNLRGDNGWPLLLILWWVFSGIFDSLLLVLHLLTVYDYIRAPSFIPKTTIIDLVTLPLLIVLCFHAFPKFSTSKQNDAQQPFLQKECNGPDDPLTSAGIWSQVMFTWLNPLFLKGRNEKLTLKHIPLIPRSDMADHSSSLLEDALRVQKTPTPSLPSAILHAVWWPLAANAVFAGVNTIASYTCLLLITSFVKFLSEKCDDSNNWHSGLVLAFVFFFAKTIESLSQRQWYFGAHRIGIRIRAALMALTYKKSLTIKHGAMSNGKVINFLNVDVERIGDFFWNIHGIWLLPIQVISALVILYLNLGAAPSIAAFFTTVIVMVSNTPLANRQEKLHTKIMEARDLRVKATSETLKNMRVLKLHSWESTFMGKLIDLRKTERCWLREYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLTAGTVLSAIATFRILQEPIYNLPELISMIAQTKVSVDRIQDFIREEDQKKLIGYLGPDATEVALEIEPGEYAWESDYLKKSTIKITEKIKIRKGCKVAICGSVGSGKSSLLCSIMGEIPKVCGLSIKTNGSKALVPQSAWIQTGTIRENVLFGKEMDKGRYHDVLERCALKRDIEMWADGDLTVVGERGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAKTGAHIFKKCLMESLHSKTVIYVTHQLEFLDASDLVLVMKDGMIVQSGKYENLIADSSGELVRHMAAHKKSLNQVDNSKQCFLNKRHTTNNLIEMIEESEELTCSDKLLENTQHEETESGRVKWNVYSTFVTSAYKGALVPPVLLCQILFQGLQMASNYWIAWGTEQDGVTREKLIGIFVLLSGGSSIFILGRAILLSTIAIETSQQLFTGMIKSVFRAPMSFFNSTPSSRILNRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVFFLFLIILPVSMWYQSYYITTARELARMVCILKAPILHHFSESIGGVSTIRCFNQEDRFLKSNLSFIDDYSHVAFFNSATMEWLCLRINFLFNLAFFFLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKIPSEAPLIIENSRPESEWPEKGTIQIKNLHVQYSPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIQALFRVVEPSEGCIKIDEIDISKIGLQDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDYDIWEVKLQMKRTWYNEIYMHAT >itb08g13350.t2 pep chromosome:ASM357664v1:8:14154565:14161410:1 gene:itb08g13350 transcript:itb08g13350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVKLIKAAFFVLLIVWLLVDVLRQKKRQGSGDDNVFRQKEKPTIFAKITVVLNFVVAMAYLGFCVHEFWRYRSISVDDYFISALTWIAASISSVYSLNKNLRGDNGWPLLLILWWVFSGIFDSLLLVLHLLTVYDYIRAPSFIPKTTIIDLVTLPLLIVLCFHAFPKFSTSKQNDAQQPFLQKECNGPDDPLTSAGIWSQVMFTWLNPLFLKGRNEKLTLKHIPLIPRSDMADHSSSLLEDALRVQKTPTPSLPSAILHAVWWPLAANAVFAGVNTIASYTCLLLITSFVKFLSEKCDDSNNWHSGLVLAFVFFFAKTIESLSQRQWYFGAHRIGIRIRAALMALTYKKSLTIKHGAMSNGKVINFLNVDVERIGDFFWNIHGIWLLPIQVISALVILYLNLGAAPSIAAFFTTVIVMVSNTPLANRQEKLHTKIMEARDLRVKATSETLKNMRVLKLHSWESTFMGKLIDLRKTERCWLREYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLTAGTVLSAIATFRILQEPIYNLPELISMIAQTKVSVDRIQDFIREEDQKKLIGYLGPDATEVALEIEPGEYAWESDYLKKSTIKITEKIKIRKGCKVAICGSVGSGKSSLLCSIMGEIPKVCGLSIKTNGSKALVPQSAWIQTGTIRENVLFGKEMDKGRYHDVLERCALKRDIEMWADGDLTVVGERGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAKTGAHIFKKCLMESLHSKTVIYVTHQLEFLDASDLVLVMKDGMIVQSGKYENLIADSSGELVRHMAAHKKSLNQVDNSKQCFLNKRHTTNNLIEMIEESEELTCSDKLLENTQHEETESGRVKWNVYSTFVTSAYKGALVPPVLLCQILFQGLQMASNYWIAWGTEQDGVTREKLIGIFVLLSGGSSIFILGRAILLSTIAIETSQQLFTGMIKSVFRAPMSFFNSTPSSRILNRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVFFLFLIILPVSMWYQSYYITTARELARMVCILKAPILHHFSESIGGVSTIRCFNQEDRFLKSNLSFIDDYSHVAFFNSATMEWLCLRINFLFNLAFFFLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKIPSEAPLIIENSRPESEWPEKGTIQIKNLHVQYSPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIQALFRVVEPSEGCIKIDEIDISKIGLQDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDYDIWEVLRKCHLGGIVRQDCRLLDAPVAEDGENWSIGQRQLVCLARVLLQKKRILVLDEATASVDTATDNVIQKTIREETDGCTVITVAHRIPTVIDNDLVLVLDDGKVLEYDSPTRLLEDDSSAFSNLVREFLRRSTKADC >itb08g13350.t5 pep chromosome:ASM357664v1:8:14154565:14161410:1 gene:itb08g13350 transcript:itb08g13350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAVKLIKAAFFVLLIVWLLVDVLRQKKRQGSGDDNVFRQKEKPTIFAKITVVLNFVVAMAYLGFCVHEFWRYRSISVDDYFISALTWIAASISSVYSLNKNLRGDNGWPLLLILWWVFSGIFDSLLLVLHLLTVYDYIRAPSFIPKTTIIDLVTLPLLIVLCFHAFPKFSTSKQNDAQQPFLQKECNGPDDPLTSAGIWSQVMFTWLNPLFLKGRNEKLTLKHIPLIPRSDMADHSSSLLEDALRVQKTPTPSLPSAILHAVWWPLAANAVFAGVNTIASYTCLLLITSFVKFLSEKCDDSNNWHSGLVLAFVFFFAKTIESLSQRQWYFGAHRIGIRIRAALMALTYKKSLTIKHGAMSNGKVINFLNVDVERIGDFFWNIHGIWLLPIQVISALVILYLNLGAAPSIAAFFTTVIVMVSNTPLANRQEKLHTKIMEARDLRVKATSETLKNMRVLKLHSWESTFMGKLIDLRKTERCWLREYLYTCSAVAFLFWASPTLVSVVTFGVCIVLKTPLTAGTVLSAIATFRILQEPIYNLPELISMIAQTKVSVDRIQDFIREEDQKKLIGYLGPDATEVALEIEPGEYAWESDYLKKSTIKITEKIKIRKGCKVAICGSVGSGKSSLLCSIMGEIPKVCGLSIKTNGSKALVPQSAWIQTGTIRENVLFGKEMDKGRYHDVLERCALKRDIEMWADGDLTVVGERGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAKTGAHIFKKCLMESLHSKTVIYVTHQLEFLDASDLVLVMKDGMIVQSGKYENLIADSSGELVRHMAAHKKSLNQVDNSKQCFLNKRHTTNNLIEMIEESEELTCSDKLLENTQHEETESGRVKWNVYSTFVTSAYKGALVPPVLLCQILFQGLQMASNYWIAWGTEQDGVTREKLIGIFVLLSGGSSIFILGRAILLSTIAIETSQQLFTGMIKSVFRAPMSFFNSTPSSRILNRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWQVFFLFLIILPVSMWYQSYYITTARELARMVCILKAPILHHFSESIGGVSTIRCFNQEDRFLKSNLSFIDDYSHVAFFNSATMEWLCLRINFLFNLAFFFLLVILVNLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQYTKIPSEAPLIIENSRPESEWPEKGTIQIKNLHVQYSPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIQALFRVVEPSEGCIKIDEIDISKIGLQDLRSRLSIIPQDPTLFQGTVRTNLDPLQQHSDYDIWEVKLQMKRTWYNEIYMHAT >itb07g03930.t1 pep chromosome:ASM357664v1:7:2639928:2642750:1 gene:itb07g03930 transcript:itb07g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICFSLLWSSSLLLAFQTFIASALLASSMDWQGQKLAEQLMQILLVSSAFVAFIAGYILGSFQTMILIYAGGVVLTSLITIPNWPVFNRHPLNWLDPVEAEKHPKPQAANSSSKKKTSKK >itb06g17910.t1 pep chromosome:ASM357664v1:6:21674169:21678377:1 gene:itb06g17910 transcript:itb06g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGFTSTFLGILGCWIGLPIGLFIGFYFFIYSKPEDVKDPKIRPLYELDIDTLQNLIPEIPLWVKNPDYDRVDWLNTFILDMWPFLDKAICSNIKSTCEPMFAEYIGQYKVEKIEFDSLTLGTLPPTIQGLKVYETNEKELVLEPAIKWAGNSNIVVSIVLLSARIRVQLVDLQIFAAPRVVLKPLVPTFPCFANIVISLMEKPHVDFGFKVLGADFMAIPGLYRFVQETIKKQVASLYHWPQRLEIPILAPTSVTIRKPVGILHVKVIRAMKLLKMDLLGLSDPYVKLSLSGDRLPARKTSIKKKTLNPEWNETFRLAVKDPESQVLHIDVFDWDKVGGHDKLGKQVFPLKKLQANESKEVTLDLLKSTDACDPDDMKQRGRVVVELTYAPFREESDGFSGLLGSFNRKESNAEGSSSDVSPGGAGLLMVTVQGAENVDGSRHNNPYVEVFFRGEKKRTKVIKKSRDPQWDEEFQFMVEEPPVHEKIHLQVMSKRKGFIYGSKESLGHVDINLDDVIHNGRINEKYHLIDSKNGVIHVEVRWKTI >itb02g01960.t1 pep chromosome:ASM357664v1:2:1088189:1089303:1 gene:itb02g01960 transcript:itb02g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDSEDTGAAPAPAAKQHISWSDSYTKANTAIKALASIVRYVPASLSSSETPAFSLLHDSEIAAQISDLLRQPDSSAGDNNLCRWLYDTFHSSEPDLHLVVLRFLPIIAGVYLSRVALHKPLAGFEAILLALYAHETVARNGHAVTVTIPDLSHSSIYHETKQTTRTSSTELALAVISSSLEPYTNVRSTKRARIVGVALELFYSKISQIPLQSKLDFCDFCKIWAGEKGCDPSETSKSGKNIKLITENGENEENTAPAGSSISKEEKKKGRIILSRELLQPTLRILGHCMMANHQNKELQEAARAACRCLYTRALHDISPKDILATGSLLRLAKLAEDSAGNEIDYTEITFTNKITL >itb09g06690.t6 pep chromosome:ASM357664v1:9:3838893:3847353:1 gene:itb09g06690 transcript:itb09g06690.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQPSNVCFNSNCKEVSEQPRKGWRRRTGEFADLCDRCASAYEEGKFCETFHLNASGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECITCARKSFILTPNPAWPPPSDFLPLQPEGIKDSRSWTPIAGSGPVPWRQAPSLFNGSVIQTKLQPKTPYGDITGCIDRLHLNERPPVSSLENKRKEENSGSLLNRSLKSCVSGRLENEHTVSSTPKKEASDRSKVFATHIQPTTLSPSVGKQSCSQNGTDSSGEAQMRNVRSRGDGGGKHHLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGKIGRLVLPKKCAEAYFPPISHPEGLPLKVQDLKGQEWLFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDIVTFSRIEPEGKLVMGCRKASSTPSAHQGYGTSNSPIGVPTNGNASTKNIKPGEIVTKCSIKAKEVVETKPPVRSKRKNSSLNSKSKRLKVDCEELIELKLTVVQAQQLMRPSPNDTPTVIVIEGCDFEEYEQDAPIIGRPTIPSTGSLGEKIQWVQCEDCFKWRKIPDDALLPGRWTCSENLWDPDRSVCSAVEELTVECLADLVPTIGKAPKKMKASKQDMNSPDTIEGLDALANLAIQEEGEGVLASSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQQCDCNVCKSVKRRFKTLMMKKGKKLSEKEAEAAANVNSPCSDAGAISPTHEEPNSESCLDDNTNDIIEKKSSPSPIKGEIDLNMKPEREDLSPRCDSGGVMRFLQDAAERFIWQRGLPSSYANGNPVGHEVQERVNLNNCGSDGSHQKTSDTDRHMALSIDNVSSVVQAEK >itb09g06690.t3 pep chromosome:ASM357664v1:9:3839023:3847353:1 gene:itb09g06690 transcript:itb09g06690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQPSNVCFNSNCKEVSEQPRKGWRRRTGEFADLCDRCASAYEEGKFCETFHLNASGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECITCARKSFILTPNPAWPPPSDFLPLQPEGIKDSRSWTPIAGSGPVPWRQAPSLFNGSVIQTKLQPKTPYGDITGCIDRLHLNERPPVSSLENKRKEENSGSLLNRSLKSCVSGRLENEHTGFSCSEQSNSFLSGTQQTSLSKNDFSAPNFRLTVSSTPKKEASDRSKVFATHIQPTTLSPSVGKQSCSQNGTDSSGEAQMRNVRSRGDGGGKHHLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGKIGRLVLPKKCAEAYFPPISHPEGLPLKVQDLKGQEWLFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDIVTFSRIEPEGKLVMGCRKASSTPSAHQGYGTSNSPIGVPTNGNASTKNIKPGEIVTKCSIKAKEVVETKPPVRSKRKNSSLNSKSKRLKVDCEELIELKLTVVQAQQLMRPSPNDTPTVIVIEGCDFEEYEDAPIIGRPTIPSTGSLGEKIQWVQCEDCFKWRKIPDDALLPGRWTCSENLWDPDRSVCSAVEELTVECLADLVPTIGKAPKKMKASKQDMNSPDTIEGLDALANLAIQEEGEGVLASSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQQCDCNVCKSVKRRFKTLMMKKGKKLSEKEAEAAANVNSPCSDAGAISPTHEEPNSESCLDDNTNDIIEKKSSPSPIKGEIDLNMKPEREDLSPRCDSGGVMRFLQDAAERFIWQRGLPSSYANGNPVGHEVQERVNLNNCGSDGSHQKTSDTDRHMALSIDNVSSVVQAEK >itb09g06690.t5 pep chromosome:ASM357664v1:9:3838992:3847353:1 gene:itb09g06690 transcript:itb09g06690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQPSNVCFNSNCKEVSEQPRKGWRRRTGEFADLCDRCASAYEEGKFCETFHLNASGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECITCARKSFILTPNPAWPPPSDFLPLQPEGIKDSRSWTPIAGSGPVPWRQAPSLFNGSVIQTKLQPKTPYGDITGCIDRLHLNERPPVSSLENKRKEENSGSLLNRSLKSCVSGRLENEHTGFSCSEQSNSFLSGTQQTSLSKNDFSAPNFRLTVSSTPKKEASDRSKVFATHIQPTTLSPSVGKQSCSQNGTDSSGEAQMRNVRSRGDGGGKHHLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGKIGRLVLPKKCAEAYFPPISHPEGLPLKVQDLKGQEWLFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDIVTFSRIEPEGKLVMGCRKASSTPSAHQGYGTSNSPIGVPTNGNASTKNIKPGEIVTKCSIKAKEVVETKPPVRSKRKNSSLNSKSKRLKVDCEELIELKLTVVQAQQLMRPSPNDTPTVIVIEGCDFEEYEQDAPIIGRPTIPSTGSLGEKIQWVQCEDCFKWRKIPDDALLPGRWTCSENLWDPDRSVCSAVEELTVECLADLVPTIGKAPKKMKASKQDMNSPDTIEGLDALANLAIQEEGEGVLASSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQQCDCNVCKSVKRRFKTLMMKKGKKLSEKEAEAAANVNSPCSDAGAISPTHEEPNSESCLDDNTNDIIEKKSSPSPIKGEIDLNMKPEREDLSPRCDSGGVMRFLQDAAERFIWQRGLPSSYANGNPVGHEVQERVNLNNCGSDGSHQKTSDTDRHMALSIDNVSSVVQAEK >itb09g06690.t4 pep chromosome:ASM357664v1:9:3839023:3847353:1 gene:itb09g06690 transcript:itb09g06690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQPSNVCFNSNCKEVSEQPRKGWRRRTGEFADLCDRCASAYEEGKFCETFHLNASGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECITCARKSFILTPNPAWPPPSDFLPLQPEGIKDSRSWTPIAGSGPVPWRQAPSLFNGSVIQTKLQPKTPYGDITGCIDRLHLNERPPVSSLENKRKEENSGSLLNRSLKSCVSGRLENEHTVSSTPKKEASDRSKVFATHIQPTTLSPSVGKQSCSQNGTDSSGEAQMRNVRSRGDGGGKHHLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGKIGRLVLPKKCAEAYFPPISHPEGLPLKVQDLKGQEWLFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDIVTFSRIEPEGKLVMGCRKASSTPSAHQGYGTSNSPIGVPTNGNASTKNIKPGEIVTKCSIKAKEVVETKPPVRSKRKNSSLNSKSKRLKVDCEELIELKLTVVQAQQLMRPSPNDTPTVIVIEGCDFEEYEDAPIIGRPTIPSTGSLGEKIQWVQCEDCFKWRKIPDDALLPGRWTCSENLWDPDRSVCSAVEELTVECLADLVPTIGKAPKKMKASKQDMNSPDTIEGLDALANLAIQEEGEGVLASSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQQCDCNVCKSVKRRFKTLMMKKGKKLSEKEAEAAANVNSPCSDAGAISPTHEEPNSESCLDDNTNDIIEKKSSPSPIKGEIDLNMKPEREDLSPRCDSGGVMRFLQDAAERFIWQRGLPSSYANGNPVGHEVQERVNLNNCGSDGSHQKTSDTDRHMALSIDNVSSVVQAEK >itb09g06690.t2 pep chromosome:ASM357664v1:9:3838852:3847350:1 gene:itb09g06690 transcript:itb09g06690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQPSNVCFNSNCKEVSEQPRKGWRRRTGEFADLCDRCASAYEEGKFCETFHLNASGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECITCARKSFILTPNPAWPPPSDFLPLQPEGIKDSRSWTPIAGSGPVPWRQAPSLFNGSVIQTKLQPKTPYGDITGCIDRLHLNERPPVSSLENKRKEENSGSLLNRSLKSCVSGRLENEHTGFSCSEQSNSFLSGTQQTSLSKNDFSAPNFRLTVSSTPKKEASDRSKVFATHIQPTTLSPSVGKQSCSQNGTDSSGEAQMRNVRSRGDGGGKHHLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGKIGRLVLPKKCAEAYFPPISHPEGLPLKVQDLKGQEWLFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDIVTFSRIEPEGKLVMGCRKASSTPSAHQGYGTSNSPIGVPTNGNASTKNIKPGEIVTKCSIKAKEVVETKPPVRSKRKNSSLNSKSKRLKVDCEELIELKLTVVQAQQLMRPSPNDTPTVIVIEGCDFEEYEQDAPIIGRPTIPSTGSLGEKIQWVQCEDCFKWRKIPDDALLPGRWTCSENLWDPDRSVCSAVEELTVECLADLVPTIGKAPKKMKASKQDMNSPDTIEGLDALANLAIQEEGEGVLASSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQQCDCNVCKSVKRRFKTLMMKKGKKLSEKEAEAAANVNSPCSDAGAISPTHEEPNSESCLDDNTNDIIEKKSSPSPIKGEIDLNMKPEREDLSPRCDSGGVMRFLQDAAERFIWQRGLPSSYANGNPVGHEVQERVNLNNCGSDGSHQKTSDTDRHMALSIDNVSSVVQAEK >itb09g06690.t1 pep chromosome:ASM357664v1:9:3838893:3847353:1 gene:itb09g06690 transcript:itb09g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQPSNVCFNSNCKEVSEQPRKGWRRRTGEFADLCDRCASAYEEGKFCETFHLNASGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECITCARKSFILTPNPAWPPPSDFLPLQPEGIKDSRSWTPIAGSGPVPWRQAPSLFNGSVIQTKLQPKTPYGDITGCIDRLHLNERPPVSSLENKRKEENSGSLLNRSLKSCVSGRLENEHTGFSCSEQSNSFLSGTQQTSLSKNDFSAPNFRLTVSSTPKKEASDRSKVFATHIQPTTLSPSVGKQSCSQNGTDSSGEAQMRNVRSRGDGGGKHHLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGKIGRLVLPKKCAEAYFPPISHPEGLPLKVQDLKGQEWLFQFRFWPNNNSRMYVLEGVTPCMQSMQLQAGDIVTFSRIEPEGKLVMGCRKASSTPSAHQGYGTSNSPIGVPTNGNASTKNIKPGEIVTKCSIKAKEVVETKPPVRSKRKNSSLNSKSKRLKVDCEELIELKLTVVQAQQLMRPSPNDTPTVIVIEGCDFEEYEDAPIIGRPTIPSTGSLGEKIQWVQCEDCFKWRKIPDDALLPGRWTCSENLWDPDRSVCSAVEELTVECLADLVPTIGKAPKKMKASKQDMNSPDTIEGLDALANLAIQEEGEGVLASSSQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQQCDCNVCKSVKRRFKTLMMKKGKKLSEKEAEAAANVNSPCSDAGAISPTHEEPNSESCLDDNTNDIIEKKSSPSPIKGEIDLNMKPEREDLSPRCDSGGVMRFLQDAAERFIWQRGLPSSYANGNPVGHEVQERVNLNNCGSDGSHQKTSDTDRHMALSIDNVSSVVQAEK >itb12g13450.t2 pep chromosome:ASM357664v1:12:12372236:12374376:-1 gene:itb12g13450 transcript:itb12g13450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTVSMLDSTMEIDKLTYEIFSVLENKFLYGCDDPKRSSVAGKARVKVRILSIDAGGSTDGVLAAKCLAHLEAILRRKSGNSTAHIADFFDVVAGSGAGGVLAGLLFTRRKDGGAPMFTAEEALKFIVENGRKFSLASPAGVFRRVFRPSKVFKKVFGGSTLKEMVKPVLIPCYDLRTGAPFLFSRADAVEMEGCDFKLAEVCGATVADRTVEMKSVDRKTRISAVGGGVAMNNPTAAAITHVLNNKRDFPLCNGVEDLLVVSLGNGESDSGTGNFMSSPASFVSIAGDGAADMVDQALSLAFGQASSKSNYIRIQGHGIFGKRHSQILEGRKRTSASDIADEMLRQRNVESILFQGRKLAGITNLQKLEGVAGELMKEQGRRNTSVLPPVVLLKHSHSSPSPRTSSSTTLSSISSSS >itb12g13450.t1 pep chromosome:ASM357664v1:12:12372325:12374376:-1 gene:itb12g13450 transcript:itb12g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTVSMLDSTMEIDKLTYEIFSVLENKFLYGCDDPKRSSVAGKARVKVRILSIDAGGSTDGVLAAKCLAHLEAILRRKSGNSTAHIADFFDVVAGSGAGGVLAGLLFTRRKDGGAPMFTAEEALKFIVENGRKFSLASPAGVFRRVFRPSKVFKKVFGGSTLKEMVKPVLIPCYDLRTGAPFLFSRADAVEMEGCDFKLAEVCGATVADRTVEMKSVDRKTRISAVGGGVAMNNPTAAAITHVLNNKRDFPLCNGVEDLLVVSLGNGESDSGTGNFMSSPASFVSIAGDGAADMVCGSSIITGIWTSKQQEQLYSDSRPWNFWKETFSNFRGQKKNIGK >itb12g14970.t1 pep chromosome:ASM357664v1:12:15337469:15343194:-1 gene:itb12g14970 transcript:itb12g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIAEGGNEAYLYSTNNYVGRQIWEFDPNAGTPEEWAEMEAARREFWNNRYMVKPSGDLLWRNQFLREKNFKQTIPPVKVEDGEEITHETATAALRRAVHFFSALQASDGHWPAENAGPLFFLPPLVMCMYITGHLNTVFPAEHRREILRYIYCHQNEDGGWGFHIEGHSTMFCTALSYICMRILGEGPDGGENNACARARKWILDHGTVKAIPSWGKTWLSILGVFDWTGSNPMPPEFWLLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYDEPYEQINWRKICHLCAKEDLYYPHPLIQDLIWDSCYVLTEPLLTRWPFNKLRQKALEVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQEWDTGFAIQALLASDLTDEIEDTLRRGHDFIKQSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEALKCCLIFSTMPTEIFGEQLEPERLYDAVNVLLSLQSKNGGLAAWEPAGASEWLELLNPTEFFADIVIEHEYVECTGSSIQALVLFKKLYPGHRTKEIDNFITNAAKYLENDQRPDGSWYGNWGVCFTYGCWFALGGLAAAGKTYNNCAAVRKGVDFLLRTQRDDGGWGESYRSCPDKVYRQLEGNRSNLVQTAWAMMGLIHSGQADRDPRPLHRAAKLLINSQMENGDFPQQEITGVFMKNCMLHYAAYRNIYPLWGLAEYRKRVPLPS >itb09g08840.t3 pep chromosome:ASM357664v1:9:5272797:5275263:1 gene:itb09g08840 transcript:itb09g08840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFICSSISTVHAIFITAMALHIAFWSDLFSDHLSGLITFRSSTLSTCVLGVSVGYFIADLGMMIWFYPTLGGMEYVVHHLLSMIAITYAMLTGEGQLYTFMVLVSEATTPSVNLRWYLDVAGMKKTKAYVINGVVMFSAWMVARILLFIYMFYHVYIHYNQVKEMHRSAVFLVLVVPLVLAILNLMWFGKIVMGLKKTLAKRD >itb09g08840.t1 pep chromosome:ASM357664v1:9:5271462:5275418:1 gene:itb09g08840 transcript:itb09g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISYHTQAELLLKNYMLSDSLVVYSSVICGIFACKMVYSLSQMITVACFKGYPNLSKAQQVEWNNRSISTVHAIFITAMALHIAFWSDLFSDHLSGLITFRSSTLSTCVLGVSVGYFIADLGMMIWFYPTLGGMEYVVHHLLSMIAITYAMLTGEGQLYTFMVLVSEATTPSVNLRWYLDVAGMKKTKAYVINGVVMFSAWMVARILLFIYMFYHVYIHYNQVKEMHRSAVFLVLVVPLVLAILNLMWFGKIVMGLKKTLAKRD >itb09g08840.t2 pep chromosome:ASM357664v1:9:5271618:5275263:1 gene:itb09g08840 transcript:itb09g08840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISYHTQAELLLKNYMLSDSLVVYSSVICGIFACKMVYSLSQMITVACFKGYPNLSKAQQVEWNNRSISTVHAIFITAMALHIAFWSDLFSDHLSGLITFRSSTLSTCVLGVSVGYFIADLGMMIWFYPTLGGMEYVVHHLLSMIAITYAMLTGEGQLYTFMVLVSEATTPSVNLRWYLDVAGMKKTKAYVINGVVMFSAWMVARILLFIYMFYHVYIHYNQVKEMHRSAVFLVLVVPLVLAILNLMWFGKIVMGLKKTLAKRD >itb12g09080.t1 pep chromosome:ASM357664v1:12:7131657:7134799:1 gene:itb12g09080 transcript:itb12g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGIDYGAYTYENLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYVIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMMEASRINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFDEKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAQGVDLSTYGSSKVVGTQAPVQLGSLRAADGKE >itb04g00130.t1 pep chromosome:ASM357664v1:4:98556:101243:1 gene:itb04g00130 transcript:itb04g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLKPIETRKQKCGMENVENNKFIAEEPVSPSTRLLHDPAFNLHILAIMGFNSKINPHVFEQNLPHTVLKHPRFTSLMVVDKEENGKMKWVETEVDLSQHIDDDEKNIKKSNQKFVDDYVYELSKERMDMSRPLWDLHILNLKTQDAQALAVLRMHHALGDGASINSLLLACTRQTANPQLFPTIPTRSPSANKTLKAPKNLLKLVWNTLVDVFIFIATLFFLKDSQTPITPSPASESKPKRFAYRILSLQHLYSIKLATNTTINDVALGVTQAALSMYLNRSYGKRDIGSIEGQNNLPKNVRLRSCLFFNLRPAKGIQALADMMKKEKGESKWGWGNRFGYAILPLKIGMLNDPLDYVRQAKATADRKKYSYEPIFTYFLANFVIKLFGPKAAAILTNKIFSNASTCFSNVVGPRQEIEFYGHPIAFIAPTCYGQPSALMVHFQSYVDKMAVIISVDDNVIPDPNQLLDDFEKSLHLIKDAAAAATSSPGQPAIYKPYVR >itb14g08690.t1 pep chromosome:ASM357664v1:14:8380532:8381713:1 gene:itb14g08690 transcript:itb14g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSINLRCYQVSKCGIQIQVLSLELDGSLGELVMFWRLFRFYAKSLMSLDSQSVGTRNKQSAVELDFELRLTCFYLSFFLKSKLGYEVNKSEIKSHRKSKIQSIRNTEYRLIVSHMLNFDVEGAMHALRRELSEGIRPLHEIFVALIRLFGSKGLATRGLDILATMENLKYDICQAWIILVEEFVRSNHLENANKVGMFTSVDFGFVAAAGNSEAAGDLEIVFEMKQISESVAIEAVKEDILMYRLIRLSALELVRKAVNIAVGTDLIKMMNPIAVNRYKRFSKAGLAAQIATGPLPAPINMSAFEKKNNETILVFDLGGGTFDVSILEVGDGVFEVLSTPGDIHLGDDVNIKRGFEDYMVSGVESNYKKLCSVITVELNDCSKRITNSQP >itb01g16920.t1 pep chromosome:ASM357664v1:1:21398192:21401393:1 gene:itb01g16920 transcript:itb01g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAYTVASDSETTGDEKTSPGLPDIAIGIDIGTSQCSVAVWNGSQVELVRNTRNQKLMRSYVTFKDEVPAAGVSNQLAHEYEMLSGAAVFNMKRLIGRVDTDPIVHSCKSLPFLVQTLDIGVRPFIAALVNNMWRSTTPEEVLAIFLVELRTMAEIRLKRPLRNVVLTIPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQLQSGHDNMGSGNEKIALIFNMGAGYCDVAITATAGGVSQIKALVGSTLGGEDLLQNMMHHLLPDMDKFSSSHGIEEIKRMGLLRVATQDAIHKLSMEPSVPIDVDLGNGTTISKVLTRKEFEEVNRNVFGKCESLIKQCLSDARFDVEDVHDVILVGGCSNIPKIRDIAMSICKRDALYSEMNPFEAAVRGAALEGAVASGINDSFGSLDLLTIQATPLSIGIRADGNKFVPIIHRNTTTPARREHIFTTVHDNQAEALIIVYEGDETTVEKNHLLGYFKIAGMPPAPKGVPEINVCMDIDASNALRVLAGVMIPGIEDPVTPFMEVRMPTVDDGHGWCAEALHKTYSSTLDLVTVQPKTYQ >itb02g18520.t1 pep chromosome:ASM357664v1:2:14875900:14876388:1 gene:itb02g18520 transcript:itb02g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQSLPAQNQRLPLQPRAIVPSATFLRRFQDHAPNSSQIFGFFTLVISAGILLFLTGLTLTASILGLIFFTPLILISSPLWIPIGTVLFIAIAGFLSVCAFAIAAILSVSWLYRYYRGFHPPGSDRFDYARSRIVDTANHVKDYAGGYLQGKVKDAAPGA >itb07g23240.t1 pep chromosome:ASM357664v1:7:27677074:27680068:-1 gene:itb07g23240 transcript:itb07g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSATLRFQDHGGPNFPATLPFSFPACNRPRLFTVASAHRNHQENRQNTDTSTSPAPSNDEASVSRKHNSKSTSMLLHFLSFDQTSDSETPPMAEREVSYAEEEEEDKMRLLEMSLIRRRTPQFPGSIYVQSPSDPNVNSSLPPIKTLFDDQIGIVAAVDDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMIRWLKHNSLSFPQIGNLICKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGKNVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRIIPRHKVLVENRVNFKLRYMLKSTDEKFEEMVQDKVERRRIYESGISCDKPSATCINDSVEDFSFNHQSNCSSRLETDQEN >itb07g23240.t3 pep chromosome:ASM357664v1:7:27677074:27680068:-1 gene:itb07g23240 transcript:itb07g23240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSATLRFQDHGGPNFPATLPFSFPACNRPRLFTVASAHRNHQENRQNTDTSTSPAPSNDEASVSRKHNSKSTSMLLHFLSFDQTSDSETPPMAEREVSYAEEEEEDKMRLLEMSLIRRRTPQFPGSIYVQSPSDPNVNSSLPPIKTLFDDQIGIVAAVDDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMIRWLKHNSLSFPQIGNLICKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGKNVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRQNNPKTQSPCRESGKF >itb07g23240.t2 pep chromosome:ASM357664v1:7:27677074:27680068:-1 gene:itb07g23240 transcript:itb07g23240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSATLRFQDHGGPNFPATLPFSFPACNRPRLFTVASAHRNHQENRQNTDTSTSPAPSNDEASVSRKHNSKSTSMLLHFLSFDQTSDSETPPMAEREVSYAEEEEEDKMRLLEMSLIRRRTPQFPGSIYVQSPSDPNVNSSLPPIKTLFDDQIGIVAAVDDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMIRWLKHNSLSFPQIGNLICKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGKNVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRYTVYYNACIRNSS >itb07g23240.t4 pep chromosome:ASM357664v1:7:27677074:27680068:-1 gene:itb07g23240 transcript:itb07g23240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFSATLRFQDHGGPNFPATLPFSFPACNRPRLFTVASAHRNHQENRQNTDTSTSPAPSNDEASVSRKHNSKSTSMLLHFLSFDQTSDSETPPMAEREVSYAEEEEEDKMRLLEMSLIRRRTPQFPGSIYVQSPSDPNVNSSLPPIKTLFDDQIGIVAAVDDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMIQAASMKQMPEFSHSSFNSRARAFIDDSNVVPMIRWLKHNSLSFPQIGNLICKSRRDVTYIRRFAEWLKSVNVKGRFIGVAMLRSGKNVFSRSFDDLDENIEYLEKNGVRRDWIGFVISRCPEILSFSMEELKMRVEFYLNLGMNENDFGTMVFDYPKVLGYLSMEEMNQKVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDAIGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRRTMVFQLLP >itb01g12640.t1 pep chromosome:ASM357664v1:1:12265719:12269046:1 gene:itb01g12640 transcript:itb01g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAILSLLIFPTLFLLTESSSDTNGVFSPCADTTVQKSDGFTFGIVFASKNATFINDNKNNAVQLSPCDRRLSLNNAQLAVFRPKVDEISLLTINTSSFFPDSVGGYMVAFAGRKYAARSPPAFVANGSYIVSSFTLVFEFKKGRLQNLYWKRDGCASCKGSSSFTCLNGQDCAMKINSCKNRGGNVDCSLGIQLTFSGTDKHETVFNSWYEVKNLRQYSLYSVYSNLKDSLTSQYNKFF >itb02g06010.t1 pep chromosome:ASM357664v1:2:3733000:3737869:-1 gene:itb02g06010 transcript:itb02g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLFSKRRPIFHQLLVPHQVGRGATSLRLVQAYGYAGNRQFSVFNEFSQKFKGEFNRNKEFQQSVKELKEKAEELKGVKEDLKTRTKQTTEQLYKHVDGVWTEAESTVKKVYANVEEKVSAAKEEVKETFKFEKQESQGSNVNSDSCSSNSKDTNHSTGEKQQQHQQSDFRDTAETVFGKVRYAASSFTSKVSPSIQKLREAKPIDLAKKGYAIVVDELKGTASKRKHLEAPVESPQANIQRSTRTDVVVLPSKKSRWSKNWEAFKEKMQGHPLFKNIRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDLNETVFGESSTAMSFKEIRRRDPTFSLPDFVAEVQEVIRPVLEGFYKGDLELLKKYCSSTMIERCKAEHSAFNSQGYVFDNKILHISEIEVRETKLMGETPIIILAFQTQQVYCLRDKLGSIAEGGKDAIHTVYYAWAMQLVDPEEVGEGAIYPVWRLREMQQFGVAALI >itb12g11640.t1 pep chromosome:ASM357664v1:12:10027674:10028176:-1 gene:itb12g11640 transcript:itb12g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIANDFCLDATATIEQIINFGKVLIVVGGSNSFIEALVEDPLSQFKFKYRYCFITGVKAKVMSNIFHLEIDSLKQMVQHTLHLRFSNLVQQNINAPMDLDLVRVGVSPPTWARG >itb10g23700.t1 pep chromosome:ASM357664v1:10:27918396:27919127:1 gene:itb10g23700 transcript:itb10g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSCDAQNSEKEYLDAHNAARAAVGVPPLKWDKEVAAFAQSYAKERSGDCKLIHSGNRRYGENLAWGNGAFVTGAFAVGLWVDEKKYYNYTTNYCNAPPGKECGHYTQVVWRNSATVGCDRVQCSNNTGYFVTCNYYPPGNYFGQRPY >itb07g23530.t1 pep chromosome:ASM357664v1:7:27904692:27913224:1 gene:itb07g23530 transcript:itb07g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDELHRSPPRKRPISSSSRAEGSGQPPMTSGGVAQKLTTVDALAYLKAVKDIFQDKREKYDEFLEAMKDFKAQRIDTSGVIARVKDLFRGHRDLILGFNTFLPKGYEITLPPEDETPPAKKPVEFDEAIGFVNKIKTRFQGDDYVYKSFLDILNMYKKESKSISEVYQKVASLLHEHPDLLEEFTRFLPDTSATTRAHYQSNRTHILQYDDKSSPMIKGRPINTDKKFIASHADYDLGMDRSDPDYERVRHRRMEKERIDKERDEKRLERNSAADHFHQGSLWNDGKVPRSVKVPDRDRYRDRQWEDRKDFDTREQDRPDRGVAFGSRDLHGRKMPFLSKDKYMENPIHELDLSNCEHCTPSYRLLPDNYPIPIASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRYELDMLLESVKATTKRVEEVLDKINDNTIITDGPFHSEEYFTALHLRCIERLYGDHGLDVIDVLKRNVHLALPVILSRLKQKLGEWENCRSDFDKVWAEIYAKNHHKSLDHRSFYFKQQDSKSLSTKALLTEIKEISDKKCEEDDVLLSIATGNRLPISPQLEFLFPDHDIHQDLYRLIKHSCGEVCTTEQLHKIMKIWTTLMEPMLGVPPCSQGSVDGKRAIRNNYLATGSVICTVEEKDRNTASASGAAITLRQLNIPRNGDGDTPPEHSSSSRVCLADADDAVKDDASHDVNMAAAEIDPAPLRIPPTGSSVVDAIIKGPKEECLPNPKEGKAQKSLEDDENFKVEREEGELSPNVDTEDNFEATNDARAEPTNASNETAAHRLHGIGEVGAGNENAQRSSQNSENVSGNGDVSMSESADREAGSNEDPNERNQKENEHKAESEGEVEGMDTARDVEGEGVLLPSLEPAVQTAKPLTTKIPLGLHDKGSRIFYGNDCFYILFRLYQILYERVQKAKCECSSAENRWRISNDGNPDPFARFMNAIFGLLDGSCDNAKFEDDCRAIIGSQSYILFTVDKLIYKIVKQLQTIATEEMENKLLQLFMYEKSRKSGTSCDVVYYENVRLLLHNENIYRMECSSTPMRLYVQLMDHEHDKHEVTGGAMEQNFASYLSDLLSPAPERPRVFLNRYRRKCGYEDETSFTKSKAMERLHMINGLECKISSRTLKVSYVLGTEELLYRKRKTRKTRKQSPAINSLKWFARRSPAS >itb07g23530.t3 pep chromosome:ASM357664v1:7:27904734:27911758:1 gene:itb07g23530 transcript:itb07g23530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDELHRSPPRKRPISSSSRAEGSGQPPMTSGGVAQKLTTVDALAYLKAVKDIFQDKREKYDEFLEAMKDFKAQRIDTSGVIARVKDLFRGHRDLILGFNTFLPKGYEITLPPEDETPPAKKPVEFDEAIGFVNKIKTRFQGDDYVYKSFLDILNMYKKESKSISEVYQKVASLLHEHPDLLEEFTRFLPDTSATTRAHYQSNRTHILQYDDKSSPMIKGRPINTDKKFIASHADYDLGMDRSDPDYERVRHRRMEKERIDKERDEKRLERNSAADHFHQGSLWNDGKVPRSVKVPDRDRYRDRQWEDRKDFDTREQDRPDRGVAFGSRDLHGRKMPFLSKDKYMENPIHELDLSNCEHCTPSYRLLPDNYPIPIASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRYELDMLLESVKATTKRVEEVLDKINDNTIITDGPFHSEEYFTALHLRCIERLYGDHGLDVIDVLKRNVHLALPVILSRLKQKLGEWENCRSDFDKVWAEIYAKNHHKSLDHRSFYFKQQDSKSLSTKALLTEIKEISDKKCEEDDVLLSIATGNRLPISPQLEFLFPDHDIHQDLYRLIKHSCGEVCTTEQLHKIMKIWTTLMEPMLGVPPCSQGSVDGKRAIRNNYLATGSVICTVEEKDRNTASASGAAITLRQLNIPRNGDGDTPPEHSSSSRVCLADADDAVKDDASHDVNMAAAEIDPAPLRIPPTGSSVVDAIIKGPKEECLPNPKEGKAQKSLEDDENFKVEREEGELSPNVDTEDNFEATNDARAEPTNASNETAAHRLHGIGEVGAGNENAQRSSQNSENVSGNGDVSMSESADREAGSNEDPNERNQKENEHKAESEGEVEGMDTARDVEGEGVLLPSLEPAVQTAKPLTTKIPLGLHDKGSRIFYGNDCFYILFRLYQVRLFYISVLPEFPQVL >itb07g23530.t2 pep chromosome:ASM357664v1:7:27904692:27913224:1 gene:itb07g23530 transcript:itb07g23530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDELHRSPPRKRPISSSSRAEGSGQPPMTSGGVAQKLTTVDALAYLKAVKDIFQDKREKYDEFLEAMKDFKAQRIDTSGVIARVKDLFRGHRDLILGFNTFLPKGYEITLPPEDETPPAKKPVEFDEAIGFVNKIKTRFQGDDYVYKSFLDILNMYKKESKSISEVYQKVASLLHEHPDLLEEFTRFLPDTSATTRAHYQSNRTHILQYDDKSSPMIKGRPINTDKKFIASHADYDLGMDRSDPDYERVRHRRMEKERIDKERDEKRLERNSAADHFHQGSLWNDGKVPRSVKVPDRDRYRDRQWEDRKDFDTREQDRPDRGVAFGSRDLHGRKMPFLSKDKYMENPIHELDLSNCEHCTPSYRLLPDNYPIPIASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRYELDMLLESVKATTKRVEEVLDKINDNTIITDGPFHSEEYFTALHLRCIERLYGDHGLDVIDVLKRNVHLALPVILSRLKQKLGEWENCRSDFDKVWAEIYAKNHHKSLDHRSFYFKQQDSKSLSTKALLTEIKEISDKKCEEDDVLLSIATGNRLPISPQLEFLFPDHDIHQDLYRLIKHSCGEVCTTEQLHKIMKIWTTLMEPMLGVPPCSQGSVDGKRAIRNNYLATGSVICTVEEKDRNTASASGAAITLRQLNIPRNGDGDTPPEHSSSSRVCLADADDAVKDDASHDVNMAAAEIDPAPLRIPPTGSSVVDAIIKGPKEECLPNPKEGKAQKSLEDDENFKVEREEGELSPNVDTEDNFEATNDARAEPTNASNETAAHRLHGIGEVGAGNENAQRSSQNSENVSGNGDVSMSESADREAGSNEDPNERNQKENEHKAESEGEVEGMDTARDVEGEGVLLPSLEPAVQTAKPLTTKIPLGLHDKGSRIFYGNDCFYILFRLYQILYERVQKAKCECSSAENRWRISNDGNPDPFARFMNAIFGLLDGSCDNAKFEDDCRAIIGSQSYILFTVDKLIYKIVKQLQTIATEEMENKLLQLFMYEKSRKSGTSCDVVYYENVRLLLHNENIYRMECSSTPMRLYVQLMDHEHDKHEVTGGAMEQNFASYLSDLLSPAPERPRVFLNRYRRKCGYEDETSFTKSKAMERLHMINGLECKISSRTLKVSYVLGTEELLYRKRKTRKTRKQSPAINSLKWFARRSPAS >itb02g02940.t1 pep chromosome:ASM357664v1:2:1695683:1697003:-1 gene:itb02g02940 transcript:itb02g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETTFIATSIVAVGGENPNPNDSKGDLEGITCRPGNSSTVLKGWEIPSDGKKLRVGVPLKRGLDQFIKVTIDSQTNTVKEASGFCIEVFELVMKSMSPSIPFEYIKDKAVAGNTSNYNDLVDENLDAVVGDITILSNRSEHVDFTLPFTESEVTLVVPVKQDGAWIFMKPLEKELWMMIAVFIVLIGTVIWVFEHRENPQFQGSIQRQLGMIFWFSISCPISPDREGKKQPINICDYSMDVDGAGSDI >itb04g06340.t1 pep chromosome:ASM357664v1:4:4102107:4104802:-1 gene:itb04g06340 transcript:itb04g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARPLVSVQALENDMATDGASATNSVALPGVMKAPIRPDVVTFVHSNISRNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKIPVNQKRYAVASAIAATAVPSLVLARGHRIESVPEIPLVVSDSAEGIEKTSNAIKALKQIGAFPDAEKAKDSQAIRAGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGIDICHVDRLNLLKLAPGGHLGRFVIWTKSAFDKLDEVYGSFDKPSEKKKGYVLPRPKMVNADLARIINSDEVQSVVRPIKKEVKRATLKKNPLKNLNVHLKLNPYAKTARRMALLAEAQRVKAKSEKLAQKRLQITKEEASAIRAAGKSWYKTMISDSDYTEFENFSKWLGVSQ >itb15g21620.t1 pep chromosome:ASM357664v1:15:24326917:24327913:1 gene:itb15g21620 transcript:itb15g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKWEAKVSARLQKATADEIWPLFQDFFGLGKWFPGLSTCHGIHGNNAQLGCIRYCAGFSLSGKTDCAGEENPAPAARTNWSKERLIAMDPAARTLSYEMLDGSLGFKNYVSTVKIVPGGDEGCEIEWGFTVDPVEGWRLEDLVKIYDLGLQSMAKKMEDFIAIS >itb09g06550.t1 pep chromosome:ASM357664v1:9:3769784:3773540:-1 gene:itb09g06550 transcript:itb09g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPCPKRLKNSADADNSLPESTQLPPPSAFPDEVLEKVLSFLESHKDRNSASLVCKDWYHAERWSRTKVFIGNCYSVSPEIVARRFPKIKSLTLKGKPRFSDFNLVPQNWGADIHAWLVVFARAYPLLEELRLKRMTVSDESLEFLATSFPSFKALSLLSCDGFSTDGIEAIATHCKNLTELDIQENGMDDYNGSWLSCFPEEFTSLEILNFASLNSEVSFDALERLVGRCKSLRVLKVNKNINPLQLQCLLMRAPQLVELGSGSFLQDLTPRDLSELADVFGKCKNLNTLSGLWEVTSVFLPVLYPACANLTFLNLSYATIRSSEFAKLLEHCPNLRRLWVLDTVEDKGLEAVGHSCPLLEELRVFPADPFDLDIVYGVTEKGFIAVSLGCRKLHYVLYFCRQMTNAAVATVVKNCPDFTHFRLCIMNPGQPDYLTNEPMDEAFGAVVKTCKKLQRLAVSGLLTDLTFEYIGQYAKNLETLSVAFAGRTDWGMTCVLEGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRYLAKQRPMLNVEVIKEEGSDDCAADKEFPGLWSQLSCSILLICRQCRNGGKTKILKGLRFLFQLQFDVNETAKLLEKFRLNCRLQNTQSQTSWNHPQKKILRGDIKRNLMGEFGISQVR >itb09g25750.t2 pep chromosome:ASM357664v1:9:25854622:25859158:-1 gene:itb09g25750 transcript:itb09g25750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGLGYGLPHLSLLRSYHRRQQQRSRLSSSASCVALLAPRFSPMSGASIAKVFFVLCLFQHKIGVKMLELKQIEEIAAARKRGDALACVNGSSTSSIFLHFLLKEEEEEEEKEEEEEEEEEERLTSKINDPIMNFFKTRVENPDSVPDVDHHGKFSLSKNRKTSWHLSPSIGSTKEKEEKGGGGGVGGGEEEEEEEEDDDDDDDDDYEIEKLQTGLPITKVEGVVGEILEKARSLPENVTLGEVLREFEGRIGEKECVEVLGLLGEEGLLKGCLYFFEWMRLNEPSLLTPRACTVLFPILGRAGMGNELILLFRSLPNHKPFRNVHIYNAAISGLLSSRRYSDAWGVYEMMQMNNVQPDRVTCSILITVMRKMGSTAKEAWQIFEKMNKKGAMWSLEAVGALVKSFCDEGLKREALIIQSEMEKRGISSNAIVYNTIMDAYSKSNQIEEAEGLLAEMKMKGISPTSATYNILMDAYSRRMQPDVVEKLLEEMENTGLEPNVKSYTCLISAYGRQKKMGDRAADAFLRMKKVGIKPNSHSYAALIHAYSVSGWHEKAYIAFKNMLREGIKPSIQAYTALLDAFRRSGDTEALMEIWKMMIKDKIQGTRVTFNTLLDGFAKQGHYVEARDVICEFGKVGLQPTVMTYNMLMNAYARGGQESKLPQLLEEMAAHNLKPDSVTYATMIYAYIRVRDFKRAFYYHKKMVQSGEVPDAKLYQKLRAILDEKASFKNRKDRSALKGIIRSNMGVLKQKKRKKDEFWKYKKNRSRTDQTAQYQRKMF >itb09g25750.t1 pep chromosome:ASM357664v1:9:25854622:25859195:-1 gene:itb09g25750 transcript:itb09g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGLGYGLPHLSLLRSYHRRQQQRSRLSSSASCVALLAPRFSPMSGASIAKFQHKIGVKMLELKQIEEIAAARKRGDALACVNGSSTSSIFLHFLLKEEEEEEEKEEEEEEEEEERLTSKINDPIMNFFKTRVENPDSVPDVDHHGKFSLSKNRKTSWHLSPSIGSTKEKEEKGGGGGVGGGEEEEEEEEDDDDDDDDDYEIEKLQTGLPITKVEGVVGEILEKARSLPENVTLGEVLREFEGRIGEKECVEVLGLLGEEGLLKGCLYFFEWMRLNEPSLLTPRACTVLFPILGRAGMGNELILLFRSLPNHKPFRNVHIYNAAISGLLSSRRYSDAWGVYEMMQMNNVQPDRVTCSILITVMRKMGSTAKEAWQIFEKMNKKGAMWSLEAVGALVKSFCDEGLKREALIIQSEMEKRGISSNAIVYNTIMDAYSKSNQIEEAEGLLAEMKMKGISPTSATYNILMDAYSRRMQPDVVEKLLEEMENTGLEPNVKSYTCLISAYGRQKKMGDRAADAFLRMKKVGIKPNSHSYAALIHAYSVSGWHEKAYIAFKNMLREGIKPSIQAYTALLDAFRRSGDTEALMEIWKMMIKDKIQGTRVTFNTLLDGFAKQGHYVEARDVICEFGKVGLQPTVMTYNMLMNAYARGGQESKLPQLLEEMAAHNLKPDSVTYATMIYAYIRVRDFKRAFYYHKKMVQSGEVPDAKLYQKLRAILDEKASFKNRKDRSALKGIIRSNMGVLKQKKRKKDEFWKYKKNRSRTDQTAQYQRKMF >itb08g07270.t1 pep chromosome:ASM357664v1:8:6217730:6220612:-1 gene:itb08g07270 transcript:itb08g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNELELETKERKLGANLSQIQNTKHKSLIPNPKSLIPYSVLHFSLVSHPLCASRPPPSATLRSGGHSHCCFEAKLCHKVSIVLQVAVKKEMSAFNAFKACAPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLQKCNRTVMRWNTPTVRGMLQQVKRLVVVETEEMYKARKEKEANHRALRPPLVINHHPASATSSSQ >itb05g22600.t1 pep chromosome:ASM357664v1:5:27961891:27963539:-1 gene:itb05g22600 transcript:itb05g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNENKSGDGRWSLKGMNALVTGGTRGIGYAIVEELVSFGATVYTCSRKQEDLDKCFLEWKNKGYKVMGSVCDLSSRPQREQLIEKVADCFDGKLHILVNNAGTTITKQATDFNAEDYSVIMNTNFEASYHLSQLSHPLLKATGHGSIVFISSVAGLLAVPFNSLYSGSKAAINQVTKNLACEWAKDGIRVNAVAPWIIETTLSATVNTAFKAGEVEGVIERTPISRAGKPNEVSSLVAYLCLPAASWITGQIINVDGGKTANGF >itb12g26470.t1 pep chromosome:ASM357664v1:12:27364349:27365576:1 gene:itb12g26470 transcript:itb12g26470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERGIFNHAGPLHLSAVDWSNSGHRSSVAACLVQGAYSLERDRHGDGGAPDSSWWEFFGFQLTQVLVDQQDHSIFGAIYELKFSPHSSSKHHHLPAAAAAGGGGGGNPPKHVIAFRGTLIKADIRLDVQCISNTLQKSSRFHVGFQAVQAVISMAGAEDVWLAGHSLGSSIALLVGRNMVEMGYHLETYLFNPPFVSLPVHIFKNEKIRQGLRFAHTVVKSGMAFAMSTAQNKKIAENDEAFNLLLTWIPYLFINPSDPICAEYLEYFRNRETMVAAGAGEIGRFAARNSVRSMIMSASGKDSEPSHLIPSAYLTINLNHSPDLMAAHKLSQWWRPDLTLDYKLYQFR >itb08g13400.t1 pep chromosome:ASM357664v1:8:14313611:14317080:1 gene:itb08g13400 transcript:itb08g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAAARSKNCRILRLLLHCCVSSPKPKGVGSAAFNKEMVNRAVHAAARGGNVEVLTELLGNNCSNILDLDYRDDQGATLLHSASGRGQVEVVKSLLASYDDISSSRDKLGNTALHVAAYRGYLTVVEVLVSASSSSVSPTNDHGDTFLHMAVAGFSIPSFRRLDRQIELMKQLVSGELVNIEGIINVRNNDGKTALHVAVMDSIVQTDLVELLMTVPSIDLNICDAEGNTPLDLLKQHPQSASSEILIKRLVSAGGISNFRDHTTRSAIVPHRKTHEWIIGSPGTSFRMSDAEVFVHVGVENVCDDVHSGLAGMDDHETTQMSPCLSVAGSNSSQGKKLASVNSAARRLKALLQRAWRKEAIEKDYCVESYTTGCLSLAEKPPIPLRQRFSTTPSVADNQETTPTSSLSPISPSSKKKFGGGLSCGVFHATPRSSFGSPLSVLSGSSWASPASLSQARSNTSSSVKLFYNGEKSKMKRKYNSLNMRLVNKYLCFGAQGLAMEHHPSSSGCNPQDQFYKHPVVA >itb08g13400.t2 pep chromosome:ASM357664v1:8:14314664:14317080:1 gene:itb08g13400 transcript:itb08g13400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGFSIPSFRRLDRQIELMKQLVSGELVNIEGIINVRNNDGKTALHVAVMDSIVQTDLVELLMTVPSIDLNICDAEGNTPLDLLKQHPQSASSEILIKRLVSAGGISNFRDHTTRSAIVPHRKTHEWIIGSPGTSFRMSDAEVFVHVGVENVCDDVHSGLAGMDDHETTQMSPCLSVAGSNSSQGKKLASVNSAARRLKALLQRAWRKEAIEKDYCVESYTTGCLSLAEKPPIPLRQRFSTTPSVADNQETTPTSSLSPISPSSKKKFGGGLSCGVFHATPRSSFGSPLSVLSGSSWASPASLSQARSNTSSSVKLFYNGEKSKMKRKYNSLNMRLVNKYLCFGAQGLAMEHHPSSSGCNPQDQFYKHPVVA >itb09g11400.t1 pep chromosome:ASM357664v1:9:7103737:7106344:-1 gene:itb09g11400 transcript:itb09g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVSKAAGVVFSPSSTDHKSAARRYSQHRVCTFPNGSLVCSRACSDAQSLKLWCGAPNSPSTDFYGRRVATGTMLFPGNGRTQMSIGIGKAQRWWEKGLQPNMKEVVSAQDLVESLSNAADNLVVVDFFSPGCGGCKALHPKICQLAEMNPDIQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAEGRVCSFSCTNATIKKFKDALVKYGADRCGLGPPKGLEEKELLALAANRDLSFNYTPKPEGPVCVLLQDETMTVAAAAGKPPSHHPHSEFRLPLPRPIPSAASPETKQLSGTDDRTFVPSVW >itb03g19960.t1 pep chromosome:ASM357664v1:3:17894615:17897740:1 gene:itb03g19960 transcript:itb03g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKRRSWSFFYFTFLLISSLLAFSSSVVVGFEVSHNGPLSDAEVHYIQRRQLLYYRDEFGDRGEEITVDPSLRFENPRIRNAYIALQAWKQAIISDPRNFTADWVGSDVCNYSNVFCDRPPENRKIRTVASIDLNHGDIAGFLPEELGLLADLAVFHLNSNRFCGTIPKKFKNLKRLYELDVSNNRFAGKFPHVVLSLPQLIYLDIRFNEFEGTVPPALFDKPLDAIFINHNRFAFELPDNFGNSPVSVIVVANNKFHGCLPASIGNMTNLNEIILMNNDLMSCLPPGIGRLKNLTVFDVSFNKLLGPLPEKIGEMVSLEQLNVGHNMLSGTIPKSICKLPKLENFTFSYNFFTGEPPACLALPKIDDRRNCLPSRPEQRSAAQCKAFSGKRISCSAFKCQKFTPSLPSPPLPSPPLPAPPPPVSVPVSPPSPGFSPPPVFTPPPSPGFSPPPIFTPPPSPGFSPPPVFTPPPSPGSNPPSHSPPSSPSPPPPVVHSPPPPPPPSPSPPPPPVYSPPPPPPPSPSPPPPPVYSPPPPPPSPPPPVYSPPPPPPSPPPPVQSPPPPQTPPQPSPTPPYCVHSPPPPPPASSPPPPPNSPPPPPHSPPPPLYIYASPPPPPIHSSPPPPHSPPPPSPLPCIETPPPPPHVYLPPPSPSPPPPHPIHYNSPPPPSPSPPPPVHHHHSPPPPSPSPPPPSEPCEHPPPLPSLPPPVVIYESPPPPPPFVGPLPPVIGVSYASPPPPPFY >itb09g29270.t1 pep chromosome:ASM357664v1:9:29970210:29973584:-1 gene:itb09g29270 transcript:itb09g29270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDMDTKKEGDLCSLHDKKVKVVFVVGGPGSGKGTQSEKIVQHFGYTHLSVGDLLRAESKTGSEIGSVIQSIMKEGKLVPSDVTVKLLQRAMQETDSDRFLIDGFPRNMENVTTFENITQIEPECVIFLDCPEEEMERRLLSRNEGRVDDNVDTIRKRFKVFQESTLPAVEYYASKGKVHKIDGARPVEEVFESVKAIITQTEEKQNTATSNTKPYLQV >itb09g29270.t2 pep chromosome:ASM357664v1:9:29970210:29973445:-1 gene:itb09g29270 transcript:itb09g29270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METDMDTKKEGDLCSLHDKKVKVVFVVGGPGSGKGTQSEKIVQHFGYTHLSVGDLLRAESKTGSEIGSVIQSIMKEGKLVPSDVTVKLLQRAMQETDSDRFLIDGFPRNMENVTTFENITQIEPECVIFLDCPEEEMERRLLSRNEVNSVNHFRLIW >itb09g29270.t3 pep chromosome:ASM357664v1:9:29970210:29972567:-1 gene:itb09g29270 transcript:itb09g29270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPFYLLSVKCYLFCRSVIQSIMKEGKLVPSDVTVKLLQRAMQETDSDRFLIDGFPRNMENVTTFENITQIEPECVIFLDCPEEEMERRLLSRNEGRVDDNVDTIRKRFKVFQESTLPAVEYYASKGKVHKIDGARPVEEVFESVKAIITQTEEKQNTATSNTKPYLQV >itb12g22350.t1 pep chromosome:ASM357664v1:12:24447909:24451584:1 gene:itb12g22350 transcript:itb12g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETVPGGNSPASTTSTTSTAATPAVPSPAATSSKLSQLTESLKLEHQFLRVPFEHYKKTIRSNHRIVEKEISAVISGVGDATDSDLSGDEAAQRLNSLVSRLQGLKRKLEESGRMENLQAQRCRARLDHLESMDVENLSDWNRTRLKRILVDYMLRMSYYDTATKFAESSNIEDLVDIDVFLEAKKVIDALHNKEVAPALAWCVDNKSRLKKSKSKFEFQLRLQEFIEMVRAENMMRAITYARKYLAPWGSTHMKELQRVMATLAFRSNTECAIYKVLFDAKQWDYLVDQFKQEFCKLYGMTLEPLLNIYLQAGLSALKTPLCYEDDCTKEDPLSQESFRKLAQPLPYSKQHHSKLVCYITKELMDTENPPLVLPNGYVYSTKALEEMAKKNDGRITCPRTSYTCNYTDLVKAYIS >itb01g01980.t1 pep chromosome:ASM357664v1:1:1192475:1196587:-1 gene:itb01g01980 transcript:itb01g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTLALFLALSLYLLPNPAHSRFNPIRLPTTHEPPSSETPVLDINGDEVRAGGNYYMVSAIWGAGGGGLRLAHLDTMSKCASDVIVSPNDLDNGDPITITPAAADPESTVVMASTYQTFRFNIATNKLCVNNVNWGIQHDSASGQYFLKAGEFVSDNSNQFKIEVVDANLNFYKLTYCQFGSDKCYNVGRFHDPMLRTTRLALSNSPFVFVIKPTDTFRFNIATNKLCVNNVNWGIQHDSASGQYFLKAGEFVSDNSNQFKIEVVDANLNFYKLTYCQFGSDKCYNVGRFHDPMLRTTRLALSNSPFVFVIKPTDVSTVPTPQLQKNTADPRRSSSNRRHHTAPEAPSPPSSSIVAVATSSASPLPPKPQLHSRRRLHRSAVGLSSIAVGLPPPTLLFSGPAPIGAE >itb05g20640.t1 pep chromosome:ASM357664v1:5:26661022:26665357:-1 gene:itb05g20640 transcript:itb05g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTPPPPPPQRHLLRKPAFVPEFIFTALSVFILLSPSNPANNILQKLSFPLNPRRFLKIPTMSLPSSNRLRNPNRPFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVNNLWLEISEGETSLADSTPPIRTVEVVVVRVIGKDNKVLVESHQELSNGNVRNRSRPLSEKMKPGETVDSAVFRAIKEELGSIIVRNSELNSIVRILPNSYVKKVEERVSASYPGLPACYVLHTIDATVEGLPEGEFCTEEAEEYEGFDDKGVAAGAVSCKKHYWKWVDSYSV >itb05g20640.t2 pep chromosome:ASM357664v1:5:26661306:26665357:-1 gene:itb05g20640 transcript:itb05g20640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTPPPPPPQRHLLRKPAFVPEFIFTALSVFILLSPSNPANNILQKLSFPLNPRRFLKIPTMSLPSSNRLRNPNRPFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVNNLWLEISEGETSLADSTPPIRTVEVVVVRVIGKDNKVLVESHQELSNGNVRNRSRPLSEKMKPGETVDSAVFRAIKEELGSIIVRNSELNSIVRILPNSYVKKVEERVSASYPGLPACYVLHTIDATVEGLPEGEFCTEEAEEYEGFDDKGVAAGAVSCKKHYWKWVDSYSV >itb05g20640.t5 pep chromosome:ASM357664v1:5:26661306:26665377:-1 gene:itb05g20640 transcript:itb05g20640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTPPPPPPQRHLLRKPAFVPEFIFTALSVFILLSPSNPANNILQKLSFPLNPRRFLKIPTMSLPSSNRLRNPNRPFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVNNLWLEISEGETSLADSTPPIRTVEVVVVRVIGKDNKVLVESHQELSNGNVRNRSRPLSEKMKPGETVDSAVFRAIKEELGSIIVRNSELNSIVRILPNSYVKKVEERVSASYPGLPACYVLHTIDATVEGLPEGEFCTEEAEEYEGFDDKGVAAGAVSCKKHYWKWVDSYSV >itb05g20640.t4 pep chromosome:ASM357664v1:5:26661022:26665377:-1 gene:itb05g20640 transcript:itb05g20640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTPPPPPPQRHLLRKPAFVPEFIFTALSVFILLSPSNPANNILQKLSFPLNPRRFLKIPTMSLPSSNRLRNPNRPFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVNNLWLEISEGETSLADSTPPIRTVEVVVVRVIGKDNKVLVESHQELSNGNVRNRSRPLSEKMKPGETVDSAVFRAIKEELGSIIVRNSELNSIVRILPNSYVKKVEERVSASYPGLPACYVLHTIDATVEGLPEGEFCTEEAEEYEGFDDKGVAAGAVSCKKHYWKWVDSYSV >itb05g20640.t3 pep chromosome:ASM357664v1:5:26661306:26665377:-1 gene:itb05g20640 transcript:itb05g20640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTPPPPPPQRHLLRKPAFVPEFIFTALSVFILLSPSNPANNILQKLSFPLNPRRFLKIPTMSLPSSNRLRNPNRPFPTPQSLSDWLKPRLPSDSFASWGVKPGTKNVNNLWLEISEGETSLADSTPPIRTVEVVVVRVIGKDNKVLVESHQELSNGNVRNRSRPLSEKMKPGETVDSAVFRAIKEELGSIIVRNSELNSIVRILPNSYVKKVEERVSASYPGLPACYVLHTIDATVEGLPEGEFCTEEAEEYEGFDDKGVAAGAVSCKKHYWKWVDSYSV >itb02g00950.t1 pep chromosome:ASM357664v1:2:531056:537525:1 gene:itb02g00950 transcript:itb02g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCKDGKSAVDGKYVRYTPEQVEALERVYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRRQTQSTGLATKDTSCESVVTSGQHQLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPSRVAEILKDRPSWYRDCRAVDVVNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRFTSVMEDGSLVVCERSLGNVQNGPSMPPVQNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMNVEAWSVPEVLRPLYESSAVLAQKTTMAALRQLRQIAQEVSQTNVTNWGRRPAALRALSHRLSRGFNEALNGFTDEGWSLLGNDGMDDVTILVNSSPDKLMGLNLSYTNGFTSISNAVLCAKASMLLQNVPPAVLLRFLREHRSEWADNNIEAYSAAAVKVGPCSLPGARICNFGGQVTLPLAHTIEHEELLEVIKFEGIGHSPEDAMMPRDMFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDFGKESSSPNRTLDLTSALETGTAENKVLNDLNTNNGAARSVMTIAFQFAFESHMQENVAAMARQYVRSIISSVQRVALALSPSHLGSHGGLRLPLGTPEAHIIARWICQSYRCYLGVELLKSTGEGNESILKTLWNHSDAIVCCSAKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKSLCSEFPQIMQQGFACLQGGICMSSMGRPVSYERAVAWKVLNEEESAHCICFMFINWSFV >itb05g00590.t1 pep chromosome:ASM357664v1:5:512079:513949:-1 gene:itb05g00590 transcript:itb05g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVPITEVEEMGIQNILHSVVAYRKSVMVRHKPIAQIFRRFLEDKCFPAFQAGSDPIVISLDKRGRLVHYNALHMILTWRDQLYQETTMSHDLIPSLEDELRERTLGANRVIDDIDTQIHDFASEVRKNINNWVKDMKDKMKNSMRRDERKDEIMHGVKKLLAYEGEGSTVGVWALLSKGNRIIACDMGDKMLGVLNEYEKWKDSAQINGFERAFKDCYDMLNSSSPTSYQHSHCSLNYPSNLDKIPDTQSCPQCNHHMHKSNHLSAAAATFVSAT >itb07g11150.t1 pep chromosome:ASM357664v1:7:12566406:12566924:-1 gene:itb07g11150 transcript:itb07g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQNNSFVGSSTGSNYYVRILSTINRELHSQHRRRSVWRRFSSRKSHEAQPHHWDYPFSDCLCCLLGFFFVKTWTKFLEKSDFMKQPFTKQENTVIQIYVVASSGIAFSGKALGISD >itb10g15270.t1 pep chromosome:ASM357664v1:10:21534330:21534935:-1 gene:itb10g15270 transcript:itb10g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLQSSGMVEEGSLESSLEDIGLNVDKEHIIKVLETKYIPGENLIEFFSWASRRPGMALSTTMVEMLTRAICSGETMRDAYALWNLLKELGEKEIGVLSTHILNELISFFSRFAKGKAAYEVFNKFGDFGCEPNSDTYYFTIEAVSRRKIYDWASSVSEKMLGAQKLPDSCRVGKIISFLCKGDKARDAHLVYMAAKGN >itb03g16410.t1 pep chromosome:ASM357664v1:3:15383270:15386152:-1 gene:itb03g16410 transcript:itb03g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSIIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb02g06900.t1 pep chromosome:ASM357664v1:2:4319330:4321254:1 gene:itb02g06900 transcript:itb02g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCEFCGVVRAVVYCKADSAKLCLHCDGCVHSANGLSRRHQRSLICDKCNSQPAMVRCMDDDICICEACDWGGNGCSGQAHRRKVLSAYTGCPSPADFTRMWSSVLEVPVENNNNNVPPRRFDNNNASSSSMYVMNNDNNNINNNNENKNGNSNLAPCSVDLNKGNGDQQGFSAGLVASRLNELASCLKFDPCRINPSLMIPPHHPLTPFGRDHAGESSLLNNKGCGNAKNNLELPEGDGICQGVDAVDVSLNLNDYEMFNGLQQGQPRYNVNGEDVGMGCLTTMEKNSGTESSSHVTSSSGRQECIGLEWLPIGSSINLVPAMNCMLMNPPPSTTCKKNNGLGLPTGQQQAAHSLSNITTGDSSVADYQDCGLSPALLNRGGDFQVSCSPQARDKAKMRYHEKKKTRTFEKQIRYASRKSRADTRRRVKGRFVKAGEEYDYDPLITKKA >itb14g12570.t1 pep chromosome:ASM357664v1:14:14268482:14270763:1 gene:itb14g12570 transcript:itb14g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGLGNGFLSGYSGGFLGMNRAQQPQLNGNDVGNNRMQSSMDLKLELENGKRIELLERKGPAQKGFTMSFGKDKRVFCNNDMSDEDEPSLAEDGNGENEDEAPGKKGSQWQRMKWTNSIVRLLIQVVAYVGDDVCLEGPEGLKRKSGCIQKKGKWKTISKIMISKGCHVSPQQCEDKFNDLNKRYKKLNDILGRGTSCAVVENPALMDSMPQLSAKAKDTVKKILCSKHLFYREMCAYHNGQKIPDCSDLELQIHSSSVATQCSKDNNGCPGDEAEENDDSVDDESGDEENNTASGDAVKTGTCYERRENEGNVNLSQSIDNDSFGVEIDEFFQDPTKSQWERKVWIKKRMLQLEEERVGIEEEAVELEKRRFKWQRFCSEKDRELEIERLENERLVLENKRMVLQLKHKEHELDLPTPCVPFKSGPLSLDRP >itb14g18500.t1 pep chromosome:ASM357664v1:14:21492804:21494947:1 gene:itb14g18500 transcript:itb14g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCQIAEEDMSEQFVSTCAAAVFLSLPCCSCREAYNITAILAKYKEFSTFNHYLSLTHLAAHINNCNTIIVCAVDDAAMADLLAKHHSIYFHVLLDYYGANKLHQLTNDTAVAATMFQATRFVNIADLKGGKVRFSPHDNGGGLPATFVKAVEEIPYNISIIHINSVLPSAVAKAPALSQARQTSLS >itb13g07840.t3 pep chromosome:ASM357664v1:13:9891835:9893166:-1 gene:itb13g07840 transcript:itb13g07840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSLLTTQFHSSTSTVPQPITIFHNNFFSLKMRVHRQSFFSLSRQPFSLFFFSSRAKDGDDRHETTDLRESSTGKCETIYRHGTMDLEEPSVAKARTSAKKAFLLDSTNLSSIDLRESPSATIYQR >itb13g07840.t1 pep chromosome:ASM357664v1:13:9891427:9893166:-1 gene:itb13g07840 transcript:itb13g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSLLTTQFHSSTSTVPQPITIFHNNFFSLKMRVHRQSFFSLSRQPFSLFFFSSRAKDGDDRHETTDLRESSTGKCETIYRHGTMDLEEPSVAKARTSAKKAFLLDSTNLSSIDLRESPSATIYQR >itb13g07840.t2 pep chromosome:ASM357664v1:13:9891835:9893166:-1 gene:itb13g07840 transcript:itb13g07840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSLLTTQFHSSTSTVPQPITIFHNNFFSLKMRVHRQSFFSLSRQPFSLFFFSSRAKDGDDRHETTDLRESSTGKCETIYRHGTMDLEEPSVAKARTSAKKAFLLDSTNLSSIDLRESPSATIYQR >itb01g14910.t1 pep chromosome:ASM357664v1:1:17021933:17023592:1 gene:itb01g14910 transcript:itb01g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNLKHENGETYDRESEVKAFDETKAGVKGLVDAGISKVPRIFINPKKTLNSSNIISTANIPIIDLQGIHGNETKRREVVGAVGEASETWGFFQVVNHGIPGDVLEEIMRGARGFHEQDTEVKKEWYTRDRSRRVVYNCNFDLYTAPAANWRDTFLCVMAPNPPHPQELPSVCSDILIKYSKEMEKLGAVLLELLSEALGLHPNYLKDIECNKGLALLGHYYPACPEPDLTFGTTKHADNDFLTILLQDHTGGLQVLHQNQWVDVPPSPGALVVNLGDLLQLVTNDRFKSSEHRVLANRCGPRISVACFFSTFLLPFSRVYGPIKELLSEENPSKYRETTVKEYVAHFQAKGLDGTSALLHFRL >itb01g21720.t1 pep chromosome:ASM357664v1:1:27770756:27774452:-1 gene:itb01g21720 transcript:itb01g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISLSGTIFAVNYRLLPCNIAHHRLSPPRHPVVLNTSSRRRRHELSEFRRPAAVPSSISATAASNSQPPKDGHYTVGDFMTRKEDLHVVKATTSVDEALDTLVEKRITGFPVIDDDWNLVGVVSDYDLLALDSISGGPQGDTNLFPDVDSTWKTFNEIQKLLNKTNGKVVGDLMTPTPLAVREHTNLEDAARLLLETKYRRLPVVDGDGKLVGIITRGNVVSAALQIKRAIEKMQ >itb15g23060.t1 pep chromosome:ASM357664v1:15:25823431:25823970:1 gene:itb15g23060 transcript:itb15g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNLKELGIYIEGQLEPSYLGSLVYLHLLEKLKFEVGRVERFYLPIGFPPNLKKLTLRYTYLPWKEMDTIGKLPHLEVLKLKDFAFCGSKWEPSKQGFRELKALLISRSNLKHWNATSANNFPVLERLVLRYCWELNQIPQKFAKIRTLKLIVLECCYSSLVTSDFCFADFFCKQVIV >itb03g03000.t1 pep chromosome:ASM357664v1:3:1692114:1693629:-1 gene:itb03g03000 transcript:itb03g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNKKHIVLVHGICHGAWCWYKVVTLLRLAGHRVTALDLGACGVNPRQLKEIATVADYVQPLMELLGRLPRDEKVVLVGHSYGGLPISLAMQSFPNKILVAVFLAAYMPNHYAPPATLIQEYFRRTRMETLMDCQLTFDKGLENPPTSVIFGSNFMEAMVYTHSKPEDLELGRMLIRPGALFVEEMAKENLLTEDKYGTVKRVYIICEDDQVMDQEFQIHNIQNSPPCEVKSMAATGHMAMLSKPEELCFSLQEIIADANMEWK >itb05g02370.t1 pep chromosome:ASM357664v1:5:1894549:1898045:1 gene:itb05g02370 transcript:itb05g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVIGADAPLLQRRDTPAERRVVIWRAVFNVTTSIIGAGIMSIPATLKVLGVIPAFVLTVVVAVLVDVSVDFLLRFTHAGSASTYAGLMKESFGKIGSVALQICIMITNLGCLIMYLIIIGDVLCGNGTEHMGILQEWFGIHWWNSRSFSIFLVVIFIMLPLVLYRRVESLWWSSAIAVLLAVVFVGICIVMAIYALINGETETPRMLPQLDGTASFFQLFTAVPVIVTAFTFHFNVHPIGIEIGKPSVMASAVKISLLLCAGLYFTIGIFGYLLFGESINADILVNFDQTSSGSAISSLLNDVVRLSYALHLVLVFPLLNFSLRANIDELLFPKKPGLASDTARFVSLSLFLLAFSYVAAMVIPSIWYIFQFMGSTSAVCLAFIFPGAIAIRDIHGISTRKDKIIATIMIVQAIITSCIAIYTNVYNFISGTSS >itb13g08870.t1 pep chromosome:ASM357664v1:13:11719368:11720717:-1 gene:itb13g08870 transcript:itb13g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRGVLKKKQKGRVMMETISEGVELAEDEGLVLSQHERRRIKHYNSSQKILLVGEGDFSFSAALATAFGSAPNMIATSLDSLDFLNENYDNAFSNIVTLRRSGCVVMHGVDATHMANHPFLQWKTFDRIVFNFPFDRVRKKKSRSLKIRRNQALVSAFLENAKKMLDEDGEIHIRHKTNGLEREWGIIDLAEEQGVELARAVSFRLDDYPGYNTKFGNGGDRNFNCYPSKTFIFRRRPVSHLEAGSLDCAG >itb06g19480.t1 pep chromosome:ASM357664v1:6:22810340:22812077:-1 gene:itb06g19480 transcript:itb06g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNNAKPAKKPLLSLHSGLSTTLFFVVLFTVPALFLLHTPTISLCTGSSSSKTPPWSGDLRRVEFSWNRLEFSGGKPPLKALKIAVFSRKWPTSATPGGMERHAHTLHTALARRGHEVHVFTSPPPEPAAPGENGGPAPVIHWHDGEPGRWRYNKAWEQFEAENRKSAFDVIHSESVALPHHLARGIPNLAVSWHGIALESVQSAIFQDLTRRQNEPISPAFNRSLQTMIPKVLTEIRFFNNYAHHIAISDSCGEILRDVYQIPTKRVHVIVNGVNEHDFRYDPTLSSEFRSKIGLPKDPHLVLGIAGRLVKDKGHPILYEAFSKLIQKHPNIYLVVAGSGPWEQRYKELEPQVVVLGSMHPSELRAFYNGIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTIVVEDEFGFMFSPNVESLVEALETAVAEGPARLARRGKACKEYAASMFTATKMALAYERLFICIKNETFCNYP >itb11g08910.t1 pep chromosome:ASM357664v1:11:5840848:5843013:-1 gene:itb11g08910 transcript:itb11g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWATAEDIHLSTSLANYLDKKILVLLRDGRKLLGILRSFDQFANAVLEGACERLIVGDLYCDILLGLYIIRGENVVLIGELDLDKDELPPHMTRVSVPEIRRAQKAEREASDLKGTMRKRMEFLDMD >itb01g23550.t1 pep chromosome:ASM357664v1:1:29517962:29520440:1 gene:itb01g23550 transcript:itb01g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL18 [Source:Projected from Arabidopsis thaliana (AT3G57390) UniProtKB/Swiss-Prot;Acc:Q9M2K8] MGRGKIEIKKIENINSRQVTFSKRRAGLLKKAKELSILCDAEVAVIVFSGTGRLYEFASSSMEHVLAKYNKVPTTTEPAKVEIAAEHDLQPQVFKHDLQPEVNALRAELAKMHQLHCRMMGKDLEGLNFKELQHLEHQLTEGILTVKDTKEQVLFEQLEKSRLQEEKIKLENQVLREQIEELRRSSRPYQENHLPEKKNFLASLNAVCDCRSKREGNSDT >itb05g00300.t1 pep chromosome:ASM357664v1:5:213376:214702:-1 gene:itb05g00300 transcript:itb05g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGNNEQLISSALRSDHIRAEHESPTPTPSRSSPAATPSPSSSSSVATAPSTASSPSSTASSSPSPPRARWLLFMFHSLTTVVQLCLASPSLICTVTLLLELGATAF >itb08g06960.t7 pep chromosome:ASM357664v1:8:5944606:5948832:1 gene:itb08g06960 transcript:itb08g06960.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t4 pep chromosome:ASM357664v1:8:5944279:5949151:1 gene:itb08g06960 transcript:itb08g06960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t8 pep chromosome:ASM357664v1:8:5944367:5949151:1 gene:itb08g06960 transcript:itb08g06960.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t3 pep chromosome:ASM357664v1:8:5944279:5949151:1 gene:itb08g06960 transcript:itb08g06960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t6 pep chromosome:ASM357664v1:8:5944367:5949151:1 gene:itb08g06960 transcript:itb08g06960.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t9 pep chromosome:ASM357664v1:8:5944367:5949151:1 gene:itb08g06960 transcript:itb08g06960.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t2 pep chromosome:ASM357664v1:8:5944279:5949151:1 gene:itb08g06960 transcript:itb08g06960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t5 pep chromosome:ASM357664v1:8:5944367:5949151:1 gene:itb08g06960 transcript:itb08g06960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb08g06960.t1 pep chromosome:ASM357664v1:8:5944279:5949151:1 gene:itb08g06960 transcript:itb08g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPLALSSDSESPSNDSPISDDEDGMSLGKLFSKDKSNTIETKNNLDGNDLLIYTPSREKSPAKKARVEHTPGGKRKRESQPENEGKDDDDFKVFSKRKATEKFISHEEPIYSVWSLSSDSKSYPDTSPIRKGHILDKDLLAHNEAQDMKSKGTKDPDFLDSDGEPVSTEDLKMKSPKKQSEKDNHTPKKRKKVEKTTNEDAENKANADVLEEDIPEKHNGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSMPFCVVSFGPSEAKIDAIMNELIQLTPQSNVYEAETMVEGTLDGFSFDSEEEADNLPKKAVEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKIGGGKQPKKAKKKAQVTKKNKKK >itb12g03600.t1 pep chromosome:ASM357664v1:12:2366062:2367152:1 gene:itb12g03600 transcript:itb12g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDAICCGKMEERSSSFRRVGRSNSTLVASELEASEAARIVGYSRLSQSMRVYDEPASCRYDKRRKNKALAFLMKVFSCNNAGDATAIEGQTAEKAAERKKRQSSWLPDHRRRWPVQGWGKGMENEEKGAEVLVEQKKKRKQPIILPNPYRRWPVQGW >itb13g20490.t1 pep chromosome:ASM357664v1:13:27311735:27314627:-1 gene:itb13g20490 transcript:itb13g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLICDSTASSPVVPWRDPTATPSNLDSIDLVDQMSATAATPWENVSGLEEQQRRSLVKLQAKGVLWKHPKDQNSSVVFRLSHGGEVESDGNCLFTASIKAMGLATAAATARDLRRRTVLRFMEDFGSARDEEREMIESAIKHMYSPDLNAGWGIHVVQELKLLAKKEDRDALDSAITELVQLGMQRELAAESIYKERCIAVDDGPSWAKYMLISGSPDDEYNIINLQYTEDGLLTIDENRDGRAAAFGDDIAIECLATEFKREIFVVQAHGSDAMVDEDNCVFFLPHSPRSEICEPPFFLFMKGTGWCGAGADHYEPLIAHPLPFSQEKVALVL >itb13g20490.t2 pep chromosome:ASM357664v1:13:27312114:27314482:-1 gene:itb13g20490 transcript:itb13g20490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLICDSTASSPVVPWRDPTATPSNLDSIDLVDQMSATAATPWENVSGLEEQQRRSLVKLQAKGVLWKHPKDQNSSVVFRLSHGGEVESDGNCLFTASIKAMGLATAAATARDLRRRTVLRFMEDFGSARDEEREMIESAIKHMYSPDLNAGWGIHVVQELKLLAKKEDRDALDSAITELVQLGMQRELAAESIYKERCIAVDDGPSWAKYMLISGSPDDEYNIINLQYTEDGLLTIDENRDGRAAAFGDDIAIECLATEFKREIFVVQAHGSDAMVDEDNCVFFLPHSPRSEICEPPFFLFMKGTGNETSLIHHQRCNFFS >itb10g03350.t1 pep chromosome:ASM357664v1:10:3094353:3108117:-1 gene:itb10g03350 transcript:itb10g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVILLFLLFALNSQITMGEGILNTTSPISDFCTNILPLGYSGNKSLGTEDENAILELLGCQTRTYTSTNSTNCEIQSFDSVICDCSLDKDVCRVTEIDFGDRDLDGQLPEIIGNLTNLTSLILVWNKFSGEIPTSYANLKNLKHLNLGSNKLEGSIPPFLGDMKLDYLDLSDNSFGGQIPPELGSLKNLTYMDLSDNSFGGQIPPELTSLKNLTYLDLSSNSFGDPIPIQLGSLENLTHLDLSENLFSGSFLTHLSSLVNLRSLILSSNSFDGSISALDSLVNLEFLNLSANLFNGSIQTHFGSLVNLTMLDLSNNYLSGHLPDQLEKLVLLEYLNVQGNNLSGPIPDSIGQLQELQYLSLLGNNFEAPLNAAAFSNLTDLADLHVSDLVGGGQPQFPNFTNMVSLRHLTLRNCSLVGPIPDIVWNLSRLYLLDLSFNSLFGQIPDHSTLISPMYIFLRGNKLNGTIPTWIINSTMNIDVSENLFTNNVAQIQKLHSNSSNLNFFSSLNSSDDGGTHWEHVGYSCSSELKYQLNDQLFINCGGESMKINGSIYEGDLNSNGSSTFFLSSSSRWGYSSMGSHSSRWGYSSMGSYSSIITYSSINEEYILNNTCIVGVGDEPLYSTARVSPISLKYYGFCLRDGEYTVRLHFAELVRYNNYKTPYLNKSGRVFNVDIQGKNELKNFNIEKEAEGVNKAYTVEIENVIVNNSRLEIHLYWSGKGSLMYQGPLISAISVYPSKESGLSPPKMAAISLSVLVLLIVLIVYFWKMEDNSHEGMVELYPGGLYNFQKVKAAAKNFKDKLGEGGFGTFYEAKLGNGTVVAVEKVSATKDIIRAFREKDSTISLMEHPNLVKLMGCIAEKNQLLLVYEDIGHNSLQNALFGSNKLKLDWPTRRNICLGIAEGLAFLHECKQKNVHGNIKPTSIFLDMHLNAKIADFGFSRLHDQGKSLVDGTVVYLAPEYAKYDLLTTKADVYSFGVLVLIVVSGKKEKISSSGADTEYLPDMAVREKKREGHFMNLVDKNMSNTMDWNQAETMLELALLCLDQYPDQRPTMSQVVKVLKEQLPLKDLKESLKQLSSVRDPHQHSEISTTEQSTHHSKSRSTSRGGMTDTSVSASPSTGSNA >itb10g03350.t2 pep chromosome:ASM357664v1:10:3094363:3108000:-1 gene:itb10g03350 transcript:itb10g03350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGILNTTSPISDFCTNILPLGYSGNKSLGTEDENAILELLGCQTRTYTSTNSTNCEIQSFDSVICDCSLDKDVCRVTEIDFGDRDLDGQLPEIIGNLTNLTSLILVWNKFSGEIPTSYANLKNLKHLNLGSNKLEGSIPPFLGDMKLDYLDLSDNSFGGQIPPELTSLKNLTYLDLSSNSFGDPIPIQLGSLENLTHLDLSENLFSGSFLTHLSSLVNLRSLILSSNSFDGSISALDSLVNLEFLNLSANLFNGSIQTHFGSLVNLTMLDLSNNYLSGHLPDQLEKLVLLEYLSLLGNNFEAPLNAAAFSNLTDLADLHVSDLVGGGQPQFPNFTNMVSLRHLLYLLDLSFNSLFGQIPDHSTLISPMYIFLRGNKLNGTIPTWIINSTMNIDVSENLFTNNVAQIQKLHSNSSNLNFFSSLNSSDDGGTHWEHVGYSCSSELKYQLNDQLFINCGGESMKINGSIYEGDLNSNGSSTFFLSSSSRWGYSSMGSHSSRWGYSSMGSYSSIITYSSINEEYILNNTCIVGVGDEPLYSTARVSPISLKYYGFCLRDGEYTVRLHFAELVRYNNYKTPYLNKSGRVFNVDIQGKNELKNFNIEKEAEGVNKAYTVEIENVIVNNSRLEIHLYWSGKGSLMYQGPLISAISVYPSKESGLSPPKMAAISLSVLVLLIVLIVYFWKMEDNSHEGMVELYPGGLYNFQKVKAAAKNFKDKLGEGGFGTFYEAKLGNGTVVAVEKVSATKDIIRAFREKDSTISLMEHPNLVKLMGCIAEKNQLLLVYEDIGHNSLQNALFVFSSQVQTS >itb11g22980.t1 pep chromosome:ASM357664v1:11:24869163:24872206:1 gene:itb11g22980 transcript:itb11g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRSVGERLELREDLIKKACSFAMKAHEKFPGKAYLYEKSQSSNYAIFAFPGLWAVGDWYTHKPFGETKVNTALFRFLRSIGTDEVALVNAAFSSRFEELLGRTSLSSEVQKAVSDGKQIVFTGHSSGGPVAILAAIWCLETHFSSRLSTPQRFPFCVTFGCPLTGDRIFSHALRREGWSRYFIHFVTRHDLVPRMMLAPISSIEQYLQTFFAFISPKSRDFKNESVAAFTDVSSNLFVNVMKNTCSVTSRAACILMGSTNLLLETVSNFIQLSPYRPFGTFIFCTESGQLILVENPDAILQILFFSSQITSEAEGPEVAKKSLREHLCYETVMQGLEARNVFDFNNLVKLPLSSDLSLDDEAAMLNAASHELGLSARARLCLRAAGEWAEQKWKNKKKVDDNMGSIKEALSKIVEYKTKCEVRKIGYYDSFKLQKTTDDFNANVKRLELAGIMDEVIEMLKRYELPDEFEADENWVRLGTEFRRQAEPLDIANYYRHLKNEDTGPYMNRARPKRYRFTQRWLEHAERKGTGERSESCFWAEVEELKNVPVQNYSDVKERIGVLEEKAKEWFSKDLLGKDIFLEESTFAKWWKTLPLIHRQGSWISPHLTV >itb13g00630.t1 pep chromosome:ASM357664v1:13:584970:586819:1 gene:itb13g00630 transcript:itb13g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEATRCRGGVAAFFILLLTTTLSVGVAGNFNDEIGFNWGQDHGKILENGQLLTLSLDRAYGSGFRSKNQYMFGKIDMKIKLVPGNSAGTVTTYYLSSLGSTHDEIDFEFLGNLSGDPYVLHTNVFAQGKGGREQQFYLWFDPTKDFHTYSILWNPLSIIFSVDGTPIRQFKNMEASGIPFPKDQPMWMYSSLWDAEDWATRGGQVKTDWSQAPFIASYRNYSAQACVWGSAASTSSCTKNPSANPWLSQSLDISGQQRIKWVQKNYMIYNYCTDAKRFPQGFPPECSLN >itb04g24540.t1 pep chromosome:ASM357664v1:4:29327703:29329728:-1 gene:itb04g24540 transcript:itb04g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRGGCQGHRAEMYAARVAKCLAALVVISVVDANGSMALNKMQNAKGAALRLLAESYTSRDQVCIIPFRGDAAEVLLPPSRSISMARKRQRLERLSCGWGVLHLLMD >itb05g24660.t1 pep chromosome:ASM357664v1:5:29353661:29356743:-1 gene:itb05g24660 transcript:itb05g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHESTGHVVTVEMKSGELYRGSMLECEDNWNCQLENITYTAKDGRVSQLEHVFVRGSKVRYITSSCHF >itb09g17080.t1 pep chromosome:ASM357664v1:9:12305749:12314966:1 gene:itb09g17080 transcript:itb09g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKLLLDRGYTVHATVRSLTDPNKVAHLLALDRAKERLHLFEADLLDENSFDPAIKGCDGVFHTASPVSFKPSATKEELVNPAVNGTLNVLGSCVRTPSVKRVVVTSSTASVMYKRNPLTPADVIDETWFSDKDFAEETKQWYILSKVLAEEASWKYAGENKIDIVSLHPGLCIGPLLQPTLNFSSEVILGTIKEGMDFMSFPINIYVDVRDVAIAHIEAFEVPSARGRYCLVAETMHSSQVLKIVGQLYPALAIPEKYGADLPIVPTYKVSQDKAKSLGIKYTSIEHNLTPPSDISLSTRTADPREQPPPSGRDDSAIRPRPSALRTPPPPPPPRPWTATAPPIATAHRHSSAIRDSVPPPHTGRHSRLPPCKLGRKIGSGSFGELYLGVNIQNEEEVAIKLESVKTKHPQLHYESKIYILLQGGTGIRS >itb05g21850.t1 pep chromosome:ASM357664v1:5:27477975:27479171:1 gene:itb05g21850 transcript:itb05g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPPEYPFGFGFGVGDGERPSPTRRELQGPRPTPLRVNKDSHKIKKPPVPPHPPPRTAPPPPPAAVQNPQPVIIYAVSPKVIHTTVSDFMNVVQRLTGPYSGESSAAAAGGSGALSPAARLASIEKTSPSEREKQTEMAAFDSTDVIEIVGNSTVEMGQIPGILSPAPTSLLPISPPGLFSPASDPLMFINNIWSMSPSALFSAPLISPSPSSLDLFNPFFDF >itb03g27690.t1 pep chromosome:ASM357664v1:3:27823609:27824031:1 gene:itb03g27690 transcript:itb03g27690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKCIFEVTADKISTQTRRLIAIEHSQNRRLVHMKRCPFNLFRRRPPNPSKLVTAAVTKPPPVRGWLSAVLRGLPSLVRHCFYNPLFLTVNGGGQTNPTTTLLSSPESSSRLSRYAPLKFTTCVLHYCACSVEISFSLV >itb06g17710.t1 pep chromosome:ASM357664v1:6:21494753:21496513:-1 gene:itb06g17710 transcript:itb06g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQAPALTGSNLDLALAAPSAATRRLGPGFRFHPTDEELVVYYLRRKVRGKPFHVEAIAVVDIYKHEPWELHAFCAVNSTDQSSGQALGGHMRRHRNSTAAAAAASQLSPSSSSLEKRVPPQKEENNNNNGKCLNLDLNLLPAGKDNQDHRRARELPENQEQQPPPVEPTLFLSTTSPLEIAFLSNNPALNSNLHSIYSTYI >itb11g11060.t7 pep chromosome:ASM357664v1:11:7853780:7861598:1 gene:itb11g11060 transcript:itb11g11060.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGMYLSLQRET >itb11g11060.t6 pep chromosome:ASM357664v1:11:7853812:7863585:1 gene:itb11g11060 transcript:itb11g11060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCLVTADDIKKLQSTDLPNLVNYRPE >itb11g11060.t5 pep chromosome:ASM357664v1:11:7853781:7863511:1 gene:itb11g11060 transcript:itb11g11060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCLVTADDIKKLQSTDLPNLVNYRPE >itb11g11060.t2 pep chromosome:ASM357664v1:11:7853780:7863585:1 gene:itb11g11060 transcript:itb11g11060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCLVTADDIKKLQSTDLPNLVNYRPE >itb11g11060.t3 pep chromosome:ASM357664v1:11:7853780:7863585:1 gene:itb11g11060 transcript:itb11g11060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCLVTADDIKKLQSTDLPNLVNYRPE >itb11g11060.t4 pep chromosome:ASM357664v1:11:7853780:7863585:1 gene:itb11g11060 transcript:itb11g11060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCLVTADDIKKLQSTDLPNLVNYRPE >itb11g11060.t1 pep chromosome:ASM357664v1:11:7853780:7863585:1 gene:itb11g11060 transcript:itb11g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQWVDDDSIPRGASGRYCKTGSSKWLGKSLSRASSDAKQGTGKCPSLMELCICRICEDIYKYKTFSMLPRDITQQIFDELVYSQCLTKVILEAFQDCDLQDLNLGEYPGLSDSWMDVISSQGSSLLSVDLSGSDITDFGVILLKDCKNLQALNFNYCNQFTDHGLEQISGLSNLISLSFRRNNTITAQGMSALSGLNNLVKLDLERCPKIHGGLVHLKGLTKLQSLNLNCCSCITDADMKPLAGLINLKDLQISSSKVTDCGVSYLKALHKLTLLNMEGCPVTASCLESLSALGSLLYLNLSRCYLTDDGCNKFSTLQTLKVLNLGFNEISDGILVHLKGLTSLETLNLDSCEIGDKGLVYLAGLKRLKCLELSDTEVGSSGLHHLSGLHNLESLNLSFTVISDSGLRKLSGLSSLRSLNLDAGKVTDAGLAALTSLTGLTHLDLFGARITDSGTNYLRYFKNLRSLEICGGGLTDTGVKNIKDLTSLTLLNLSQNSHLTDKSLITISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCLVTADDIKKLQSTDLPNLVNYRPE >itb13g09560.t1 pep chromosome:ASM357664v1:13:13644829:13645194:-1 gene:itb13g09560 transcript:itb13g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDYHTDQGIEHYSCMVDLYVRAGCLEEAMNITLTMPFEADASIWSSILRGCVAQGNEILGKQVAKRITELDPQNSSAFAQLSSIYATSGKWERSALVGNLMKEKGVHKIPGQSWRDTCI >itb10g17320.t2 pep chromosome:ASM357664v1:10:23515394:23521371:-1 gene:itb10g17320 transcript:itb10g17320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDDSSETKGGMWVLDQKLDQPMEEEAGRLKTMYREKKFSSILLLQLAFQSLGVVYGDLGTSPLYVFYNTFPHGIEDTEDIIGALSLIIYSLTLIPLLKYVFIVCRASDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRNTFHEQSFAAKTKRWLEAYAFRKNALLILVLVGTCMVIGDGILTPAISVLSASGGIQVEHPKMSTDIVVLVAVLILVGLFSMQHYGTDKVGWLFAPVVLLWFLLIGGIGIFNIWKYDSSVLKAFSPVYIYRYFRRGRKDAWTSLGGIMLSITGTEALFADLSHFPLAAIQLAFTVIVFPCLLLAYCGQASYLTQHRDHVSDAFYRSIPDSIYWPVFIVATLAAIVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMVLCIAVTAGFRDKSQIGNAYGTAVVIVMLVTTLLMILIMLLVWHCHWIIVVIFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLIIMYVWHYGTVKRYEFELHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPATHSAVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKKLFDNLFTFVRLESMMEGCSDSDEYSVYGQQTQQSRDFLLAKNNGNTCTSTDLTVSSVDSIVPVQSPRHGCNMTMSSGRDSTQTEVDELEFLNACRDAGVVHILGNTVVRAGRDSRFYRKIAIDYVYAFLRKICRENSAIFNVPHESLLNVGQIIYV >itb10g17320.t1 pep chromosome:ASM357664v1:10:23515394:23521371:-1 gene:itb10g17320 transcript:itb10g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDDSSETKGGMWVLDQKLDQPMEEEAGRLKTMYREKKFSSILLLQLAFQSLGVVYGDLGTSPLYVFYNTFPHGIEDTEDIIGALSLIIYSLTLIPLLKYVFIVCRASDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRNTFHEQSFAAKTKRWLEAYAFRKNALLILVLVGTCMVIGDGILTPAISVLSASGGIQVEHPKMSTDIVVLVAVLILVGLFSMQHYGTDKVGWLFAPVVLLWFLLIGGIGIFNIWKYDSSVLKAFSPVYIYRYFRRGRKDAWTSLGGIMLSITGTEALFADLSHFPLAAIQLAFTVIVFPCLLLAYCGQASYLTQHRDHVSDAFYRSIPDSIYWPVFIVATLAAIVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMVLCIAVTAGFRDKSQIGNAYGTAVVIVMLVTTLLMILIMLLVWHCHWIIVVIFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLIIMYVWHYGTVKRYEFELHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPATHSAVVFVCVKYLPVYTVPEDERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKKLFDNLFTFVRLESMMEGCSDSDEYSVYGQQTQQSRDFLLAKNNGNTCTSTDLTVSSVDSIVPVQSPRHGCNMTMSSGRDSTQTEVDELEFLNACRDAGVVHILGNTVVRAGRDSRFYRKIAIDYVYAFLRKICRENSAIFNVPHESLLNVGQIIYV >itb02g19860.t3 pep chromosome:ASM357664v1:2:17117164:17126233:-1 gene:itb02g19860 transcript:itb02g19860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTSRPKRQHNQLPMSQPKRLRRLYIKCPQLHTIFHRFTPLIIAVAAPISKVSKMPRKVNYSTGYGYDDDDYYNYDDDDYYNYEDDGHDYAEETEVVSKAKVKRETSKLGVWRCPICTFDNEESMSACDICGVLRNPLVKGSRDSSTKEPFKFDTPSLDDMVSGGIHSSRVGSKATSNKILQSNASSGKDEMMTGAKAELIAGGSSIPIAKFGPNEIKQSASSQNSSKQGLSKSMKNLSVSSKSQSTEKGSIHDNVEDKLSQLNLAVVGHVDSGKSTLSGRLLHMLGHISRKDMHKNEKEAKQLGKGSFAYAWALDESAEERERGITMNVAVAFFNTKNYHVVLLDSPGHRDFVPNMISGATQADAAILVVDASMGAFEAGIDASGGQTREHALLIKSFGVDQIIVAVNKMDSVQYSKERFDAIKKQLGTFLRTCKFKDSSIVWIPISAMENQNLFTGPSDALFLSWFQGPSLVDAIDSLQPLVRDYSKPLLMPICDIVKSQSQGHVSVCGKLETGSLQTGFKVLVMPTREIATVRSLERNLQVCRSAKAGDNVTVNLQGIDGNRVMAGGVLCDIDYPVPVTNHLELKVVTLDISTPVLVGSQLEFHVHHAKVAAKVVKLLSLLDPKTGKETKKSPRCLLSKQNAIIEVALQLPVCVDEYANCKSLGRVSFRVLGRTIALGLVTKVLEQM >itb02g19860.t2 pep chromosome:ASM357664v1:2:17117164:17126233:-1 gene:itb02g19860 transcript:itb02g19860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTSRPKRQHNQLPMSQPKRLRRLYIKCPQLHTIFHRFTPLIIAVAAPISKVSKMPRKVNYSTGYGYDDDDYYNYDDDDYYNYEDDGHDYAEETEVVSKAKVKRETSKLGVWRCPICTFDNEESMSACDICGVLRNPLVKGSRDSSTKVGTSRDSEASKLAKSLFASLLQQNPKKAVTFEGKSDVIKSGEPFKFDTPSLDDMVSGGIHSSRVGSKATSNKILQSNASSGKDEMMTGAKAELIAGGSSIPIAKFGPNEIKQSASSQNSSKQGLSKSMKNLSVSSKSQSTEKGSIHDNVEDKLSQLNLAVVGHVDSGKSTLSGRLLHMLGHISRKDMHKNEKEAKQLGKGSFAYAWALDESAEERERGITMNVAVAFFNTKNYHVVLLDSPGHRDFVPNMISGATQADAAILVVDASMGAFEAGIDASGGQTREHALLIKSFGVDQIIVAVNKMDSVQYSKERFDAIKKQLGTFLRTCKFKDSSIVWIPISAMENQNLFTGPSDALFLSWFQGPSLVDAIDSLQPLVRDYSKPLLMPICDIVKSQSQGHVSVCGKLETGSLQTGFKVLVMPTREIATVRSLERNLQVCRSAKAGDNVTVNLQGIDGNRVMAGGVLCDIDYPVPVTNHLELKVVTLDISTPVLVGSQLEFHVHHAKVAAKVVKLLSLLDPKTGKETKKSPRCLLSKQNAIIEVALQLPVCVDEYANCKSLGRVSFRVLGRTIALGLVTKVLEQM >itb02g19860.t1 pep chromosome:ASM357664v1:2:17117164:17124171:-1 gene:itb02g19860 transcript:itb02g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYSTGYGYDDDDYYNYDDDDYYNYEDDGHDYAEETEVVSKAKVKRETSKLGVWRCPICTFDNEESMSACDICGVLRNPLVKGSRDSSTKVGTSRDSEASKLAKSLFASLLQQNPKKAVTFEGKSDVIKSGGHFNFHEHGRIHGQFQDLLKTFSCQNHYKFNIEPFKFDTPSLDDMVSGGIHSSRVGSKATSNKILQSNASSGKDEMMTGAKAELIAGGSSIPIAKFGPNEIKQSASSQNSSKQGLSKSMKNLSVSSKSQSTEKGSIHDNVEDKLSQLNLAVVGHVDSGKSTLSGRLLHMLGHISRKDMHKNEKEAKQLGKGSFAYAWALDESAEERERGITMNVAVAFFNTKNYHVVLLDSPGHRDFVPNMISGATQADAAILVVDASMGAFEAGIDASGGQTREHALLIKSFGVDQIIVAVNKMDSVQYSKERFDAIKKQLGTFLRTCKFKDSSIVWIPISAMENQNLFTGPSDALFLSWFQGPSLVDAIDSLQPLVRDYSKPLLMPICDIVKSQSQGHVSVCGKLETGSLQTGFKVLVMPTREIATVRSLERNLQVCRSAKAGDNVTVNLQGIDGNRVMAGGVLCDIDYPVPVTNHLELKVVTLDISTPVLVGSQLEFHVHHAKVAAKVVKLLSLLDPKTGKETKKSPRCLLSKQNAIIEVALQLPVCVDEYANCKSLGRVSFRVLGRTIALGLVTKVLEQM >itb15g09580.t1 pep chromosome:ASM357664v1:15:6829416:6835199:1 gene:itb15g09580 transcript:itb15g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASDALAVREKVEKFLNAARTGDLDLFKKLAKQLDDGKGLVQTVADVKDANKRGALHFAAREGQTDMCKYLVEELKVDVNTKDEDGETPLLHATRQGHTATANYLLQQEADPSIASEMGTTALHHAAGLGDIELMKAFLSKGVNVDLQSDAGSPLIWAAGHAQQDSVKLLLEHNANPNAETEDDITPLLSAVAAGSLQCLELLIKAGANVNITAGGATALHIAADNGSPEIIKALLQAGADPNASDEDGMKPIQVAAARGNRAAVELLFPVTSQVQGVSEWNVDGLIEYMQSQTEKAQQEANALKDGNKPKEPVLPKKDLPEVSPEAKKKAAEAKARGHDAFGRKDYAMAVDAYTQAIDFDPTDATLLSNRSLCWFRLGQAEQALADAQACRAIRPDWPKACYREGAALRLMQKFEDAANAFYEGVKLDPESMELVTAFREAVEAGKKFHAASKQNAKA >itb15g09580.t2 pep chromosome:ASM357664v1:15:6829450:6834933:1 gene:itb15g09580 transcript:itb15g09580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASDALAVREKVEKFLNAARTGDLDLFKKLAKQLDDGKGLVQTVADVKDANKRGALHFAAREGQTDMCKYLVEELKVDVNTKDEDGETPLLHATRQGHTATANYLLQQEADPSIASEMGTTALHHAAGLGDIELMKAFLSKGVNVDLQSDAGSPLIWAAGHAQQDSVKLLLEHNANPNAETEDDITPLLSAVAAGSLQCLELLIKAGANVNITAGGATALHIAADNGSPEIIKALLQAGADPNASDEDGMKPIQVAAARGNRAAVELLFPVTSQVQGVSEWNVDGLIEYMQSQTEKAQQEANALKDGNKPKEPVLPKKDLPEVSPEAKKKAAEAKARGHDAFGRKDYAMAVDAYTQVY >itb01g24100.t1 pep chromosome:ASM357664v1:1:29848801:29853193:1 gene:itb01g24100 transcript:itb01g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENKINSIDGNDEKLLLGVPFMAASSSKTNQVSVAQPPPFQDPAAPNNQDNNGAYYDLGELDQAFLLFLDAQAQDPSSTHDQQQQNYGMRPPNTLNIFPSQPMHVNPSSSAAAIAGLVISPEASNSPSLPLMELSNLKNKAVVASASASASQPPNKPLIKLEASGSRRGSTSSGDRHGNRTLDSKYYYVVEIEAAAFDMEYAMWLEEQHGMTCELRNAVDEHAPEIELKRYVDACFAHYAKMTHLKSTLAKSDVLHLVSGMCWTPVERCFIWIAGFRPSQILKVIMRQLELVTEQQVLGMIALQQSTQEAEEAMSQGMEALNQTISDIISSDALFYPSNVAACMPQMAAAITNLCTLETFVRQADHLRIQTIQRLYQILTIRQVARCFLIVADYFHRLRALSSLWLGRPRQD >itb02g00430.t1 pep chromosome:ASM357664v1:2:303236:303859:-1 gene:itb02g00430 transcript:itb02g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITILLLSFLVFATFTNFVHCTLEPPEYPEQVLDTRGKPVRADTSYYIVPIMARTGGGLALRSTTRKFCPLGIFQEVKDDYPGIPISFYPVNPKKGVIRVSTDLNIDFPKNRVQCAKSNVWKVDSYDQYTKMFYIAIGGVKGNPGPKTINNWFKIEKYGRGYKLVHCPSVVQHKVMCKDVGVVKYNGQKRLALSDAPFGVMFKKA >itb02g14070.t1 pep chromosome:ASM357664v1:2:10283917:10285545:1 gene:itb02g14070 transcript:itb02g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTLLPALKLRTKISPSLSPHYRMMQDQIGIPACFSSRENNKLTSDDNPAAITSSGQSIFVSVYRAKFSDQRRLVTVTWCKNLLLHELSVSVDCNGGERRRTAACKVELKPWCFWRKQGSKRVEVDGKTVEVFWDLKAAKFNGETEPRSEYYVAVVCEDEVVLLLGDMKNDAYRKTGCRPALVDPVLVSRKEHVFGKKKFQTRVKVEEKGKHHEISVEWKNRNGEDPEMEINVDDNSVIHVKHLQWKFRGNECLDLTDKVRIQVFWDVHDWLFCPGLKHALFIFKPVLTSPPPSSPSFSSSSSSTTSTPFMSSCYSSSSTTSSSYEFCLILYAWKGE >itb09g24780.t1 pep chromosome:ASM357664v1:9:24618060:24618638:1 gene:itb09g24780 transcript:itb09g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFWSLIINFFPVLNTIDTNLDAKNIATILRHCEAGEGVLRGLRVCEEGQESGGVVDGGEYYANVHGGYDRRCGFSQRNPPGRAGVQAASCGGESPCAFVVEKSAGKLNEAKIVAHCQKILLGFVVPKKIQFQKLNPAIPRVHARRLPISSFFELYLLRLCCKGKVAQLTMFFYPEHSCNALHNLVPTHRSC >itb01g06370.t1 pep chromosome:ASM357664v1:1:4548312:4553421:-1 gene:itb01g06370 transcript:itb01g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVFGRPGHGKGKAHPSNFVQYVAFTCFVSAMGSLILGVSGEVSVSGIAERWKDLSGSKNWEGLLDPLDSDLRRYLIHYGSMVAAVYDSFINEPISKNVGLCRYARKNLLRESGHDNGNPFKYEVTKYFYSPSTVPTAMDYLIQPLRADAVLKQSNWMGYVAVATDDGKAALGRRDILVAWRGTVRPAEWVKNFEFLFVKAPLIFGQDSDPLVHKGFYDMYTAVNPDPVLKAVSARDQIREEVSRLVEQYKGEEISITLAGHSLGSSLATLTAVDLATNPINKNTPPITAFLFASPKVGEHNFKTAVSNLTDNLKILRLTDANDIVPTLPPFGVQENTTLPILSYEHVGLEFDFDASKSDYLDGFKASWHALVTYLHGVDGFQGSGGGFKLHGDFDVALLNKYNDQLKGNYSLIAPAEWYVVKNTGMVQQDDGSWILDDHEVDDI >itb05g22730.t2 pep chromosome:ASM357664v1:5:28038137:28040700:-1 gene:itb05g22730 transcript:itb05g22730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSSGSEEEDESMDSYRKGGYHAVRVGDSFAGGRYIAQRKLGWGEFSTVWLAYDTRASTYVALKIQKSAPQFAQAALHEIDILSAIADGDPSNNNCVIRLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIRYNRHKGLELNKVREICKCILTGLDYLHRVLGIIHTDLKLENILLCSTINPAKDPIKSGNTPILQRPEGFLNGGATSNLIEKKLKQRARRAAARISGRRTSMAEGTNSKSSRSLDGIDMMCKVVDFGNACWADKQFAEEIQTRQYRAPEVILQCGYSFSVDMWSFACTAFELATGEMMFAPKSGQGFSEDEVMHFVLLLFHH >itb05g22730.t1 pep chromosome:ASM357664v1:5:28036072:28040700:-1 gene:itb05g22730 transcript:itb05g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSSGSEEEDESMDSYRKGGYHAVRVGDSFAGGRYIAQRKLGWGEFSTVWLAYDTRASTYVALKIQKSAPQFAQAALHEIDILSAIADGDPSNNNCVIRLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIRYNRHKGLELNKVREICKCILTGLDYLHRVLGIIHTDLKLENILLCSTINPAKDPIKSGNTPILQRPEGFLNGGATSNLIEKKLKQRARRAAARISGRRTSMAEGTNSKSSRSLDGIDMMCKVVDFGNACWADKQFAEEIQTRQYRAPEVILQCGYSFSVDMWSFACTAFELATGEMMFAPKSGQGFSEDEDHLALMMELLGKMPKKMATSGARSKDYFDRHGDLKRIRRLKYLSLDKLLVDKYRFSKEDAQEFAAFLCPLLDFEPEKRPTAQQCLQHPWLNAKSPNQNEVRSESGTQKVNVGMSSLQVKVGK >itb02g22390.t3 pep chromosome:ASM357664v1:2:21825537:21831690:1 gene:itb02g22390 transcript:itb02g22390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASHCGLLSLAILVLTTFVSPTSQRDLRMDENGLKTETFLSPKVEHEPGSVSNKYLYDIGFPKGHIAVKRFDAEVVDEAGHSVPLHQAYIHHWAVRRYYKPLGHHSNNDSTSTYKLAMNAGVCDSLPQYFGLGAETRQTDTHVPDPYGIEVGNPPEGYEDAWVLNLHTIDTRGTVDSLRCLECKCELYNVTVDGFGDPIGNVYTGGIRCCYDGVRCRLKDGYSSDVRKVYYMKYTVTYVDWNPSIVPVKIYIFDVADTLEWRESLQRHHCWIEYEVESCSGSTANEKCVDTKSVSVNLASGGDVIYAVAHQHIGGIGSTLYGEISETTVNPADDL >itb02g22390.t1 pep chromosome:ASM357664v1:2:21825607:21831690:1 gene:itb02g22390 transcript:itb02g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASHCGLLSLAILVLTTFVSPTSQRDLRMDENGLKTETFLSPKVEHEPGSVSNKYLYDIGFPKGHIAVKRFDAEVVDEAGHSVPLHQAYIHHWAVRRYYKPLGHHSNNDSTSTYKLAMNAGVCDSLPQYFGLGAETRQTDTHVPDPYGIEVGNPPEGYEDAWVLNLHTIDTRGTVDSLRCLECKCELYNVTVDGFGDPIGNVYTGGIRCCYDGVRCRLKDGYSSDVRKVYYMKYTVTYVDWNPSIVPVKIYIFDVADTLEWRESLQRHHCWIEYEVESCSGSTANEKCVDTKSVSVNLASGGDVIYAVAHQHIGGIGSTLYGETICSSFPIYGEGTEPGNEAGYIVGMTTCYPQPGSIKIVEGEMLTIASNYSTRLSHTGVMGMFYILVAEPLQKCNSTLQAQPEISETTVNPADDL >itb02g22390.t2 pep chromosome:ASM357664v1:2:21825537:21831687:1 gene:itb02g22390 transcript:itb02g22390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASHCGLLSLAILVLTTFVSPTSQRDLRMDENGLKTETFLSPKVEHEPGSVSNKYLYDIGFPKGHIAVKRFDAEVVDEAGHSVPLHQAYIHHWAVRRYYKPLGHHSNNDSTSTYKLAMNAGVCDSLPQYFGLGAETRQTDTHVPDPYGIEVGNPPEGYEDAWVLNLHTIDTRGTVDSLRCLECKCELYNVTVDGFGDPIGNVYTGGIRCCYDGVRCRLKDGYSSDVRKVYYMKYTVTYVDWNPSIVPVKIYIFDVADTLEWRESLQRHHCWIEYEVESCSGSTANEKCVDTKSVSVNLASGGDVIYAVAHQHIGGIGSTLYGEDGQTICSSFPIYGEGTEPGNEAGYIVGMTTCYPQPGSIKIVEGEMLTIASNYSTRLSHTGVMGMFYILVAEPLQKCNSTLQAQPEISETTVNPADDL >itb11g22360.t1 pep chromosome:ASM357664v1:11:24264772:24267923:-1 gene:itb11g22360 transcript:itb11g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLLSCVTAASSDDQDELAERLRKHLKEQRYLIVMDDIWSTNVWDSVQRCFPDDNNGSRILLTSRLKQVVEYASSDACEGPEWEASDGGFHRLKSLPNLKKLSFLESNLQGRELSAISMLPNLEVLKLIDACRGSKWETSDGGFHQLKRLVIKKAGLKYWNAVVTISLCSNV >itb01g30340.t2 pep chromosome:ASM357664v1:1:34403507:34411336:-1 gene:itb01g30340 transcript:itb01g30340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSERWIDRLQFSSLFWLPPQDLHQRKAQTTAYVEYFGQFTSEQFPEDIAELIRNHYPSKENRLFDDVLARFVLHHPEHGHAVIHPIISCIIDGTLEYDKSSPPFTSFISLVCPSNENEYSEQWALACGEILRILTHYNRPVYKVERQDCEADRSSSSSHASTSKPIDGEPSVPSIQHERKPFRPLSPWITDILLAAPLGVRSDYFRWCGGVMGKYAAGDLKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVSRYETATLTAIAVPALLLPPPTTPMDEHLVVGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVGVQHEPLGGYISWDRKQREIPEIEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSETCLMKIFVATVEAILHRTFPPESSREETQTRYVFGSASKNLAVTELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEANHNGSKRHKGENGRKKPKKQGPVAAFDSYVLAAVCALSCELQLFPLISRGCNQLDPRNMQDTTKLVNESSIEFKNGIHSAVRHTHRLLAILEALFSLKPSSVGTSWSYNSNQIVAAAMVAAHVSDLFRRSKACMHALSILIRCKWDNEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLTHTSVRKEIPTCFHGRESNKCASCNCLQSGQPSSPASEDSSNPRTVILCEKASYSTETSRGSMCKEIASFPTEASDLANFLTVDRHIGFNFHSQVLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVVASPAKAATAVVLQAERELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHDTTESVVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVQLIIEWGESGFAVADGILNLLKCRLPATVRCASHPSAHVRALSISVLRAILHAGLAKPSTKQVNKNGTHAPHQCLNVGVIDWQVDIEKCLNWEAHSRLANGMSAQFLDTAAKELGCTISV >itb01g30340.t1 pep chromosome:ASM357664v1:1:34403502:34412537:-1 gene:itb01g30340 transcript:itb01g30340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSERWIDRLQFSSLFWLPPQDLHQRKAQTTAYVEYFGQFTSEQFPEDIAELIRNHYPSKENRLFDDVLARFVLHHPEHGHAVIHPIISCIIDGTLEYDKSSPPFTSFISLVCPSNENEYSEQWALACGEILRILTHYNRPVYKVERQDCEADRSSSSSHASTSKPIDGEPSVPSIQHERKPFRPLSPWITDILLAAPLGVRSDYFRWCGGVMGKYAAGDLKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVSRYETATLTAIAVPALLLPPPTTPMDEHLVVGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVGVQHEPLGGYISWDRKQREIPEIEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSETCLMKIFVATVEAILHRTFPPESSREETQTRYVFGSASKNLAVTELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEANHNGSKRHKGENGRKKPKKQGPVAAFDSYVLAAVCALSCELQLFPLISRGCNQLDPRNMQDTTKLVNESSIEFKNGIHSAVRHTHRLLAILEALFSLKPSSVGTSWSYNSNQIVAAAMVAAHVSDLFRRSKACMHALSILIRCKWDNEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLTHTSVRKEIPTCFHGRESNKCASCNCLQSGQPSSPASEDSSNPRTVILCEKASYSTETSRGSMCKEIASFPTEASDLANFLTVDRHIGFNFHSQVLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVVASPAKAATAVVLQAERELQPWIAKDDDLGQKMWRINQRIVKLIVELMRNHDTTESVVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVQLIIEWGESGFAVADGILNLLKCRLPATVRCASHPSAHVRALSISVLRAILHAGLAKPSTKQVNKNGTHAPHQCLNVGVIDWQVDIEKCLNWEAHSRLANGMSAQFLDTAAKELGCTISV >itb03g13980.t1 pep chromosome:ASM357664v1:3:13900746:13901565:1 gene:itb03g13980 transcript:itb03g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITIIISITTYVLCCPVWVNWLGGPTDSSTTPACPRDALKLGVCANLLGGLVGVVIGAPPTLPCCSLIAGLADLEAAVCLCTAIRANILGINLNVPLSLSLVLNNCGRQVPNGFTC >itb02g26180.t1 pep chromosome:ASM357664v1:2:26955431:26956457:-1 gene:itb02g26180 transcript:itb02g26180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRYAFGRADEATHPDSMRATLSEFLSTALFVFVGEGAVLAIDKLYRDSALGASGLTVLALAHALALFAAVASSLNVSGGHVNPAVTFGALVGGRISFLLALYYWIAQLLGAIVACLLLRVSLDGMRPQGFSLAAGEGWGSGLLLEIIMTFGLMYTVYATAIDPKRGSLGTIAPLAIAFIVGANVFVGAPFTGASMNPARAFGPALVGWRWRYHWIYWVGPFIGAGLAGLIYEFGILPPAADPPHTHHTHHQPLAAEDY >itb02g13560.t1 pep chromosome:ASM357664v1:2:9734022:9744194:-1 gene:itb02g13560 transcript:itb02g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSAEDTPMENAVDGKPDEVVQPDETDRGDVKNEPQANSDQIHTEPKGISEEKEKKSPAVEDVDDEAEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRMDRSVCPWWNGPCLFEALDAAEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLALFIDEDKVRRAGPGENLRVRLSGVEEEDISSGFVLCSVVKPVPAVTEFVAQLSIMELLDNAIFTAGYKAVLHIHSVVEECEIVELMQQIDPKTRKPMKKKPLFVKNGAFVVCRIQVNSLICIEKFSDFAQLGRFTLRTEGKTVAVGKVTALSTNA >itb06g09820.t1 pep chromosome:ASM357664v1:6:14032995:14033912:1 gene:itb06g09820 transcript:itb06g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLKDFAHITLPAGSCRFDYLLAVCERERLLLEKEEQKDKQQKKGGCYNDDDDDDQYYLGGVDLNQSLITPSLPDKEEWKKKLMGSFYGCLSWHNDVVVKPVVQSKALSNTPESVISHSSGDDKASLKYDEAVAEKVNNSKKRKRGSSRVPNNGPEPPPGLPVEFRNFILQLAGNRAVRVEKLVIQKGLTNTDVNSTQNRLSIPARLVREEFVTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKVSLRRWEMKKEKGGSSVSYVIANTWNAIRRRNKFESDMIVQLWAIRVDLDLWMTLVRLS >itb15g24110.t1 pep chromosome:ASM357664v1:15:26974301:26978945:1 gene:itb15g24110 transcript:itb15g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKNLFTFLLSFASFLFFLILGCSAEKEIASESLTESTHFHTVPLTSLFPTTTHCHQPHTTHASKGLRRGASSLKVVHKHGGCSTTLPKGKAANTPTLTDILKQDESRVNSIHARVNSARIDNLNAVSGVRKKKIPDSKAAVPAKSGTTLGTGNYIVTVGLGTPKNTLTLVFDTGSDLTWTQCRPCVKSCYSQQESIFDPKLSTTYSNITCSAAACSGLTSATGNNPGCSSSTCVYGIQYGDSSFSVGFFAKDRLSLTATDAVDNFLFGCGQNNQGLFGNTAGLLGLGRDALSLVSQTAAKYGKYFSYCLPTKRGANGHLTFGKGAAAPGSLKFTPFATDQGASFYFIDVQAIAVGGKQLSISPVVFKSSGTIIDSGTVITRLPPAAYSALRSAFRQGMSKYPQAPGLSILDTCYDLSKYTTVSVPKISFVLNGGVTMDLPLVGILLGNGASQVCLAFAGNGDASDVGIFGNIQQQTFEVVYDVAGGKLGIGAGGCS >itb12g04370.t2 pep chromosome:ASM357664v1:12:2863937:2866353:-1 gene:itb12g04370 transcript:itb12g04370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFAGRNEAHWGDGRVQRAKFTCRPTSNPHSGDRLNHNPGHNLNRHDTTSNKHFKRPIPAPPPWPQPRDHESTRPSNPRPNTLIDCSNLFRKEYVTFNLASYSRMQVYELKQRLISDLVRVQGVLKRIETRELECRASLPDFQHREVPQPLVSHPWPQVNQNCAFNNLPEIQAPNVAASEHFQSTELGDRRNKRSTGNKLSGKKRVHTLTLDGDGKQPAVKGSRTDKVLSSMTRRCKQILEKLMKRKYGWIFNKPVDVKRLGLHDYNLIIKTPMDLGTVKSKLDRNEYKTPQDFAADVRLTFNNAMIYNPKGEQVYVMAELFLNSFEDMFKTAYQNYEAEDNKAVAPQQMTCDSKEQIVEPGEPKQIPIAKKSNSVPSPAMVFNPPVPIQALSALKSCPQPLASPKSGKLPKPMVNDPNKRQMTFEEKAKLGFSLQNLPQEKIDHMLQILKKMNSSLPVKGGEIELDIEALDNETLWKLHAFVGSQKNALSNVKMQCMSEDVSGGYPNKLPENEPIPQNVVQKINKGDAGEEDVDIGEDIPNSDIPLVVIEKDVSGKSSSSTSSSSSDSSSSGSSSGSDSEDTVQSPYIEA >itb12g04370.t1 pep chromosome:ASM357664v1:12:2864462:2866353:-1 gene:itb12g04370 transcript:itb12g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFAGRNEAHWGDGRVQRAKFTCRPTSNPHSGDRLNHNPGHNLNRHDTTSNKHFKRPIPAPPPWPQPRDHESTRPSNPRPNTLIDCSNLFRKEYVTFNLASYSRMQVYELKQRLISDLVRVQGVLKRIETRELECRASLPDFQHREVPQPLVSHPWPQVNQNCAFNNLPEIQAPNVAASEHFQSTELGDRRNKRSTGNKLSGKKRVHTLTLDGDGKQPAVKGSRTDKVLSSMTRRCKQILEKLMKRKYGWIFNKPVDVKRLGLHDYNLIIKTPMDLGTVKSKLDRNEYKTPQDFAADVRLTFNNAMIYNPKGEQVYVMAELFLNSFEDMFKTAYQNYEAEDNKAVAPQQMTCDSKEQIVEPGEPKQIPIAKKSNSVPSPAMVFNPPVPIQALSALKSCPQPLASPKSGKLPKPMVNDPNKRQMTFEEKAKLGFSLQNLPQEKIDHMLQILKKMNSSLPVKGGEIELDIEALDNETLWKLHAFVGSQKNALSNVKMQCMSEDVSGGYPNKLPENEPIPQNVVQKINKGDAGEEDVDIGEDIPNSDIPLVVIEKDVSGKSSSSTSSSSSDSSSSGNRLNPSNLISYCTNLNTCI >itb04g19560.t1 pep chromosome:ASM357664v1:4:23953966:23960008:1 gene:itb04g19560 transcript:itb04g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGEQSKKRKHVSHPKGDATKSNPKKLKSASGNPKDGPKKPFISSKQGAKLKQKEAKPRAQTDNAESKRESRLRAKELTEARKKKRKRHYTLEQELTKLWEKMRQRNISKEDRSRLVTEALNKMQGKIPEVASSHVSSRVLQTCVKHCTVEERNAVFQELRPNFITLACNTYSVHLVTKMLDNASKEQLAKFISSLHGHVASLLRQMVGSLVIEHAYQLGNSAQKQALLMELYSSEIQMFKDLLSVKETRVVDVISKLQLQKSSVLRHMTAVLQPILEKGILDHSIIHKALMEFLSITDQSSAADVIQQLSSADLVRMMHTKDGSRIAMLCIKHGSAKDRKKIIKGMKGKIEKIAHDKYGCMVLVCILSTVDDTKLLSKIIIRELEGILKEIVLDQNARRPLLQLLHPLCSRYFSPDDLDSMNLSIPSLLRGPSEVDEAEPLGVDEEDEIDAGVANSDKTKSPPKVQHLNEGGKKDPLRRRQELLVDSGLAEKLINVCLEMTGELLRSNFGKDVIFEVASGGADGILHPTLDEKLGALHGAIASLASQPKLEESEEEHLLEQYHSSRTIRKLVLECPAFACKLYENALKGKCATWVQGHSSKVVSALWETSDPAVRELIKEEMQPLVDNGVLKVAQEKETTKAGRKQ >itb11g06290.t1 pep chromosome:ASM357664v1:11:3726618:3728508:-1 gene:itb11g06290 transcript:itb11g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVEFLSKELMIKPSSPTPPHLKTFKLSFLDQIAPPFFIPLIFFFHHAAPADDHGRSSQLLKQSLSKVLTLFYPLAGRIKGNEFVDCSDEGALWVEARVHGFLKDVVENPLMEELEKFVPLEPYSGDDSELMLGVQVNYFVDGGIAVGVCVSHKIADALSVVNFVNAWAVTAREGDAARISPPNLGLGSYLFPATTMDLPGSGFSPSMGMTTREKIVTRRVVFDKENLAALKKSAAAESSGVGDPTRVEALSAFLWKHFREAIRKKSRQASTKKTFRATHNVNLRARMNPPLPGATFGNLWSLANTTETASETSPNDDLVFQLRTSIREINAEYTEALQNEKRHSEHLLKWHERFGGEGEAEFLEFTSWCRFPIYEVDFGWGKPVWACTTTFPYKNFIVFMSTKCGDGIEAWINIREEDDDIYMGAGSFTPMLKLQCYQ >itb02g06240.t6 pep chromosome:ASM357664v1:2:3875075:3879363:-1 gene:itb02g06240 transcript:itb02g06240.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCVFPLTSLQIGDLQSYLSHLNLFLAHESNKIYILVDNRPWLKDLISRPTHLWQLMVTKSRMSPFANTRGRKDKRGTGNMQEMQTNSEASTSESDKVKRWFSLIDAATLSRKRALLPVEKLRNSFISNSKLHRTLYGFIVFEVSWNDVRGLNYLNELQTDTSLAIEAKLMRRWEFDSVSQAARCIHLWFPGTDTEQSILGEYLNTIGEVFYDAEENILRTSDIDGSVATVDDICAKDETPSSSNASFSLYPETIENNPFCTPPAAGPYKRRKVLKSCIRSDLFSEESFCETIGSPIHSQSNYSSESEDVVEATGYKDILILFRFNDCDLPFKLKDIIMSDLRLLTLLETGLPSWAIFLQSYPGFSRLYRPWMCPLARFLYVIISVITVLIGFYDLYKNVPLLKATASHLFGPLFDWIETWEMISRIKYLGTMLFLHNFEKAVKWFLMMTRPVQSFLSFLTMPMAGPLLGFLDFLLPFWNMCTELVGSSFSIIWMTLETSCSLVGEFVEILFLPFWFLLSVIWNIVTFLLNPVFWLLWEILYSPIRLVLGLCSLVSGLCICIYSIVHDLWLFLSSLIRFTSEVESTVTSYEVSMWRSLWNDIFSRVGLFLVIQFL >itb02g06240.t3 pep chromosome:ASM357664v1:2:3874487:3879363:-1 gene:itb02g06240 transcript:itb02g06240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCVFPLTSLQIGDLQSYLSHLNLFLAHESNKIYILVDNRPWLKDLISRPTHLWQLMVTKSRMSPFANTRGRKDKRGTGNMQEMQTNSEASTSESDKVKRWFSLIDAATLSRKRALLPVEKLRNSFISNSKLHRTLYGFIVFEVSWNDVRGLNYLNELQTDTSLAIEAKLMRRWEFDSVSQAARCIHLWFPGTDTEQSILGEYLNTIGEVFYDAEENILRTSDIDGSVATVDDICAKDETPSSSNASFSLYPETIENNPFCTPPAAGPYKRRKVLKSCIRSDLFSEESFCETIGSPIHSQSNYSSESEDVVEATGYKDILILFRFNDCDLPFKLKDIIMSDLRLLTLLETGLPSWAIFLQSYPGFSRLYRPWMCPLARFLYVIISVITVLIGFYDLYKNVPLLKATASHLFGPLFDWIETWEMISRIKYLGTMLFLHNFEKAVKWFLMMTRPVQSFLSFLTMPMAGPLLGFLDFLLPFWNMCTELVGSSFSIIWMTLETSCSLVGEFVEILFLPFWFLLSVIWNIVTFLLNPVFWLLWEILYSPIRLVLGLCSLVSGLCICIYSIVHDLWLFLSSLIRFTSEVESTVTSYEVSMWRSLWNDIFSRIFRAVRSIFNVLVAALTACNRHRLRYGFRNTMCVCLIFEIYLQITSCSTYNYVQGFIQRLCRPGKSICSKDYSDSRQISLAQRMLDDEEVYQHGRKFRKSKK >itb02g06240.t4 pep chromosome:ASM357664v1:2:3875075:3879363:-1 gene:itb02g06240 transcript:itb02g06240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCVFPLTSLQIGDLQSYLSHLNLFLAHESNKIYILVDNRPWLKDLISRPTHLWQLMVTKSRMSPFANTRGRKDKRGTGNMQEMQTNSEASTSESDKVKRWFSLIDAATLSRKRALLPVEKLRNSFISNSKLHRTLYGFIVFEVSWNDVRGLNYLNELQTDTSLAIEAKLMRRWEFDSVSQAARCIHLWFPGTDTEQSILGEYLNTIGEVFYDAEENILRTSDIDGSVATVDDICAKDETPSSSNASFSLYPETIENNPFCTPPAAGPYKRRKVLKSCIRSDLFSEESFCETIGSPIHSQSNYSSESEDVVEATGYKDILILFRFNDCDLPFKLKDIIMSDLRLLTLLETGLPSWAIFLQSYPGFSRLYRPWMCPLARFLYVIISVITVLIGFYDLYKNVPLLKATASHLFGPLFDWIETWEMISRIKYLGTMLFLHNFEKAVKWFLMMTRPVQSFLSFLTMPMAGPLLGFLDFLLPFWNMCTELVGSSFSIIWMTLETSCSLVGEFVEILFLPFWFLLSVIWNIVTFLLNPVFWLLWEILYSPIRLVLGLCSLVSGLCICIYSIVHDLWLFLSSLIRFTSEVESTVTSYEVSMWRSLWNDIFSRIFRAVRSIFNVLVAALTACNRHRLSTYNYVQGFIQRLCRPGKSICSKDYSDSRQISLAQRMVSLIKIQF >itb02g06240.t2 pep chromosome:ASM357664v1:2:3874487:3879363:-1 gene:itb02g06240 transcript:itb02g06240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCVFPLTSLQIGDLQSYLSHLNLFLAHESNKIYILVDNRPWLKDLISRPTHLWQLMVTKSRMSPFANTRGRKDKRGTGNMQEMQTNSEASTSESDKVKRWFSLIDAATLSRKRALLPVEKLRNSFISNSKLHRTLYGFIVFEVSWNDVRGLNYLNELQTDTSLAIEAKLMRRWEFDSVSQAARCIHLWFPGTDTEQSILGEYLNTIGEVFYDAEENILRTSDIDGSVATVDDICAKDETPSSSNASFSLYPETIENNPFCTPPAAGPYKRRKVLKSCIRSDLFSEESFCETIGSPIHSQSNYSSESEDVVEATGYKDILILFRFNDCDLPFKLKDIIMSDLRLLTLLETGLPSWAIFLQSYPGFSRLYRPWMCPLARFLYVIISVITVLIGFYDLYKNVPLLKATASHLFGPLFDWIETWEMISRIKYLGTMLFLHNFEKAVKWFLMMTRPVQSFLSFLTMPMAGPLLGFLDFLLPFWNMCTELVGSSFSIIWMTLETSCSLVGEFVEILFLPFWFLLSVIWNIVTFLLNPVFWLLWEILYSPIRLVLGLCSLVSGLCICIYSIVHDLWLFLSSLIRFTSEVESTVTSYEVSMWRSLWNDIFSRVGLFLVIQFL >itb02g06240.t1 pep chromosome:ASM357664v1:2:3874487:3879363:-1 gene:itb02g06240 transcript:itb02g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCVFPLTSLQIGDLQSYLSHLNLFLAHESNKIYILVDNRPWLKDLISRPTHLWQLMVTKSRMSPFANTRGRKDKRGTGNMQEMQTNSEASTSESDKVKRWFSLIDAATLSRKRALLPVEKLRNSFISNSKLHRTLYGFIVFEVSWNDVRGLNYLNELQTDTSLAIEAKLMRRWEFDSVSQAARCIHLWFPGTDTEQSILGEYLNTIGEVFYDAEENILRTSDIDGSVATVDDICAKDETPSSSNASFSLYPETIENNPFCTPPAAGPYKRRKVLKSCIRSDLFSEESFCETIGSPIHSQSNYSSESEDVVEATGYKDILILFRFNDCDLPFKLKDIIMSDLRLLTLLETGLPSWAIFLQSYPGFSRLYRPWMCPLARFLYVIISVITVLIGFYDLYKNVPLLKATASHLFGPLFDWIETWEMISRIKYLGTMLFLHNFEKAVKWFLMMTRPVQSFLSFLTMPMAGPLLGFLDFLLPFWNMCTELVGSSFSIIWMTLETSCSLVGEFVEILFLPFWFLLSVIWNIVTFLLNPVFWLLWEILYSPIRLVLGLCSLVSGLCICIYSIVHDLWLFLSSLIRFTSEVESTVTSYEVSMWRSLWNDIFSRIFRAVRSIFNVLVAALTACNRHRLSTYNYVQGFIQRLCRPGKSICSKDYSDSRQISLAQRMLDDEEVYQHGRKFRKSKK >itb02g06240.t5 pep chromosome:ASM357664v1:2:3875107:3879363:-1 gene:itb02g06240 transcript:itb02g06240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCVFPLTSLQIGDLQSYLSHLNLFLAHESNKIYILVDNRPWLKDLISRPTHLWQLMVTKSRMSPFANTRGRKDKRGTGNMQEMQTNSEASTSESDKVKRWFSLIDAATLSRKRALLPVEKLRNSFISNSKLHRTLYGFIVFEVSWNDVRGLNYLNELQTDTSLAIEAKLMRRWEFDSVSQAARCIHLWFPGTDTEQSILGEYLNTIGEVFYDAEENILRTSDIDGSVATVDDICAKDETPSSSNASFSLYPETIENNPFCTPPAAGPYKRRKVLKSCIRSDLFSEESFCETIGSPIHSQSNYSSESEDVVEATGYKDILILFRFNDCDLPFKLKDIIMSDLRLLTLLETGLPSWAIFLQSYPGFSRLYRPWMCPLARFLYVIISVITVLIGFYDLYKNVPLLKATASHLFGPLFDWIETWEMISRIKYLGTMLFLHNFEKAVKWFLMMTRPVQSFLSFLTMPMAGPLLGFLDFLLPFWNMCTELVGSSFSIIWMTLETSCSLVGEFVEILFLPFWFLLSVIWNIVTFLLNPVFWLLWEILYSPIRLVLGLCSLVSGLCICIYSIVHDLWLFLSSLIRFTSEVESTVTSYEVSMWRSLWNDIFSRIFRAVRSIFNVLVAALTACNRHRLRYGFRNTMCVCLIFEIYLQITSCSTYNYVQGFIQRLCRPGKSICSKDYSDSRQISLAQRMVSLIKIQF >itb05g16520.t2 pep chromosome:ASM357664v1:5:23710215:23712585:-1 gene:itb05g16520 transcript:itb05g16520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVFFLCGGLRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSSDGQSKSAANLSHMAQWESARLEAEARLVRQSKLRSVSLQNPLEPSSPLTKPEVPAAGSPRCLDILKAWGGGGAGGSGGGAAFSVAGLGVGVEALESPTSTLSYSENAPQISSSTAAFMKEESEDQAWKCFGNAAEHFKHGGGVEDSAAAAGFASAGLLGLTNIPAAMESGAWTTQEHIPTGNFVERFTDLLLSANSTERSLSEAGSTESNNAAGDGGSGNHYYEDNKNYWDSILNLVNSSPSNSPMF >itb05g16520.t1 pep chromosome:ASM357664v1:5:23710215:23712594:-1 gene:itb05g16520 transcript:itb05g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEQHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSSDGQSKSAANLSHMAQWESARLEAEARLVRQSKLRSVSLQNPLEPSSPLTKPEVPAAGSPRCLDILKAWGGGGAGGSGGGAAFSVAGLGVGVEALESPTSTLSYSENAPQISSSTAAFMKEESEDQAWKCFGNAAEHFKHGGGVEDSAAAAGFASAGLLGLTNIPAAMESGAWTTQEHIPTGNFVERFTDLLLSANSTERSLSEAGSTESNNAAGDGGSGNHYYEDNKNYWDSILNLVNSSPSNSPMF >itb01g27460.t4 pep chromosome:ASM357664v1:1:32261048:32263178:-1 gene:itb01g27460 transcript:itb01g27460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFEQHLLKSKFSLTGFPFGHTPVTSKQNFIAKGLNFRHTMYPAYKSNRPPTPDTIVQGLQFLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMASFGMEDFAKKYGGLHPSQFVDVVSLVGDKSDNIPGVHGIGDVNASQLLLKFGMFHIPSLHIKLLNLPEEKSCSFFIHAIIVF >itb01g27460.t2 pep chromosome:ASM357664v1:1:32260111:32266804:-1 gene:itb01g27460 transcript:itb01g27460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSQMQPFFCRTLFSFGRNFSVITPRAVFINSSTTPGFRYHSPAAKFKRGYCALSSATNSNVGGTTESERVVQRNVLLDSEAHHKDGQLKPDSGNGRVMLIDGTSIIYRAYYRLLAKLHHGHLSHADGNGDWVLTIFTALSLIIDVLEFIPSHLVVVFDHDGFPFGHTPVTSKQNFIAKGLNFRHTMYPAYKSNRPPTPDTIVQGLQFLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMASFGMEDFAKKYGGLHPSQFVDVVSLVGDKSDNIPGVHGIGDVNASQLLLKFGTLENLLDCVEQVEDERIREALISNAEQARLSKNLALLRCDLPSYMVPFAPDDLVFRKPEDNGEKFTSLLTAISAYAEGFSADSIIRRAFYLWKKLERQ >itb01g27460.t1 pep chromosome:ASM357664v1:1:32260111:32266804:-1 gene:itb01g27460 transcript:itb01g27460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDGTSIIYRAYYRLLAKLHHGHLSHADGNGDWVLTIFTALSLIIDVLEFIPSHLVVVFDHDGFPFGHTPVTSKQNFIAKGLNFRHTMYPAYKSNRPPTPDTIVQGLQFLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMASFGMEDFAKKYGGLHPSQFVDVVSLVGDKSDNIPGVHGIGDVNASQLLLKFGTLENLLDCVEQVEDERIREALISNAEQARLSKNLALLRCDLPSYMVPFAPDDLVFRKPEDNGEKFTSLLTAISAYAEGFSADSIIRRAFYLWKKLERQ >itb01g27460.t5 pep chromosome:ASM357664v1:1:32260111:32266804:-1 gene:itb01g27460 transcript:itb01g27460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MACSQMQPFFCRTLFSFGRNFSVITPRAVFINSSTTPGFRYHSPAAKFKRGYCALSSATNSNVGGTTESERVVQRNVLLDSEAHHKDGQLKPDSGNGRVMLIDGTSIIYRAYYRLLAKLHHGHLSHADGNGDWVLTIFTALSLIIDVLEFIPSHLVVVFDHDGFPFGHTPVTSKQNFIAKGLNFRHTMYPAYKSNRPPTPDTIVQGLQFLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMASFGMEDFAKKYGGLHPSQFVDVVSLVGDKSDNIPGVHGIGDVNASQLLLKFGTLENLLDCVEQVEDERIREALISNAEQARLSKNLALLRCDLPSYMVPFAPDDLVFRKPEDNGEKFTSLLTAISAYAEGFSADSIIRRAFYLWKKLERQ >itb01g27460.t3 pep chromosome:ASM357664v1:1:32260102:32263178:-1 gene:itb01g27460 transcript:itb01g27460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFEQHLLKSKFSLTGFPFGHTPVTSKQNFIAKGLNFRHTMYPAYKSNRPPTPDTIVQGLQFLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFEMASFGMEDFAKKYGGLHPSQFVDVVSLVGDKSDNIPGVHGIGDVNASQLLLKFGTLENLLDCVEQVEDERIREALISNAEQARLSKNLALLRCDLPSYMVPFAPDDLVFRKPEDNGEKFTSLLTAISAYAEGFSADSIIRRAFYLWKKLERQ >itb04g23370.t1 pep chromosome:ASM357664v1:4:28458821:28459141:-1 gene:itb04g23370 transcript:itb04g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYRPSFRSSAGDFRLEVVSGRSFGGVNQMYGANRIRSPDLAPVPPRRSDVTATSMPWSFNDPEMKRRKRIAKYKVYTIEGRMKASIRNGLRWIKNKCSEIIHGY >itb11g02010.t2 pep chromosome:ASM357664v1:11:1010097:1014304:1 gene:itb11g02010 transcript:itb11g02010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQEGNLDRFLAFGPEQHPIVLQIGGNDLGNLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRKIPPLKYEYFYALLRDFPDLQFTINGGINTINEINAARTEGAHGVMVGRGAYHNPWQILGNVDSAVYGAPRSDLTRRQVLEKYLIYGDSVLNMYGPRPSVRDIVKPLLGLFHSEPGNSLWKRKADAAFLHCTTIKSLFDETLVAIPDSVLDSPVAEMTTGSTDIFAKAKGILPMPYPVREDELMYA >itb11g02010.t4 pep chromosome:ASM357664v1:11:1011148:1014239:1 gene:itb11g02010 transcript:itb11g02010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQEGNLDRFLAFGPEQHPIVLQIGGNDLGNLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRKIPPLKYEYFYALLRDFPDLQFTINGGINTINEINAARTEGAHGVMVGRGAYHNPWQILGNVDSAVYGAPRSDLTRRQVLEKYLIYGDSVLNMYGPRPSVRDIVKPLLGLFHSEPGNSLWKRKADAAFLHCTTIKSLFDETLVAIPDSVLDSPVAEMTTGSTDIFAKAKGILPMPYPVREDELMYA >itb11g02010.t6 pep chromosome:ASM357664v1:11:1010102:1014304:1 gene:itb11g02010 transcript:itb11g02010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSAGLCLFASLTPFHSVILKNYGRSSSNLFYGNSIKSKKLRAYHQKNELHTESVMGAERYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQEGNLDRFLAFGPEQHPIVLQIGGNDLGNLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRKIPPLKYEYFYALLRDFPDLQFTINGGINTINEINAARTEGAHGVMVGRGAYHNPWQILGNVDSAVYGAPRSDLTRRQVLEKYLIYGDSVLNMYGPRPSVRDIVKPLLGLFHSEPGNSLWKRKADAAFLHCTTIKSLFDETLVAIPDSVLDSPVAEMTTGSTDIFAKAKGILPMPYPVREDELMYA >itb11g02010.t5 pep chromosome:ASM357664v1:11:1010102:1014304:1 gene:itb11g02010 transcript:itb11g02010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSAGLCLFASLTPFHSVILKNYGRSSSNLFYGNSIKSKKLRAYHQKNELHTESVMGAERYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQEGNLDRFLAFGPEQHPIVLQIGGNDLGNLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRKIPPLKYEYFYALLRDFPDLQFTINGGINTINEINAARTEGAHGVMVGRGAYHNPWQILGNVDSAVYGAPRSDLTRRQVLEKYLIYGDSVLNMYGPRPSVRDIVKPLLGLFHSEPGNSLWKRKADAAFLHCTTIKSLFDETLVAIPDSVLDSPVAEMTTGSTDIFAKAKGILPMPYPVREDELMYA >itb11g02010.t3 pep chromosome:ASM357664v1:11:1010097:1014304:1 gene:itb11g02010 transcript:itb11g02010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQEGNLDRFLAFGPEQHPIVLQIGGNDLGNLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRKIPPLKYEYFYALLRDFPDLQFTINGGINTINEINAARTEGAHGVMVGRGAYHNPWQILGNVDSAVYGAPRSDLTRRQVLEKYLIYGDSVLNMYGPRPSVRDIVKPLLGLFHSEPGNSLWKRKADAAFLHCTTIKSLFDETLVAIPDSVLDSPVAEMTTGSTDIFAKAKGILPMPYPVREDELMYA >itb11g02010.t1 pep chromosome:ASM357664v1:11:1010097:1014304:1 gene:itb11g02010 transcript:itb11g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSAGLCLFASLTPFHSVILKNYGRSSSNLFYGNSIKSKKLRAYHQKNELHTESVMGAERYLPPWFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQEGNLDRFLAFGPEQHPIVLQIGGNDLGNLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRKIPPLKYEYFYALLRDFPDLQFTINGGINTINEINAARTEGAHGVMVGRGAYHNPWQILGNVDSAVYGAPRSDLTRRQVLEKYLIYGDSVLNMYGPRPSVRDIVKPLLGLFHSEPGNSLWKRKADAAFLHCTTIKSLFDETLVAIPDSVLDSPVAEMTTGSTDIFAKAKGILPMPYPVREDELMYA >itb14g21710.t1 pep chromosome:ASM357664v1:14:23575956:23589981:-1 gene:itb14g21710 transcript:itb14g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIELCDLIADNPAQFQEKLTWLCRRCPPPEALLVGSPRVSRPQLNAVLAVARFLSKCSNCVDKWPKSLILAFYRSIFSSFNPSFWPQSLGIDSIASFFNDFLGYVSKASNLCPDFASDVSGFTGEIVIAAIGNVSGDVRISKVFLHAISLNFPPTIPSDADRLISTLLDRFEICIPGSPRELISNNSVATSAQSSPLSMGHERSSPGNDMSIASGSSSSLVTRIADDAGSSQSPKGIVMNGSSGPWRTNVDSLAANMGFNDGGGGSGAYRLAIAAFEEESLDSLEKREIAFKLIGHILDKTQVDLKLLEQVRSIAREQLLSMVAFLKIRKHDWSEQGQSLKVRINTKLSVYQAASKLQIKTVGTLDSDGKSSKRLLHGALALLIEAAEACLFSVWRKLRTCEDLFSSLLAGISQAAAARSGQLLRVLLIRFKPLVLATCAQADTWASSQGAMFESVLKTSSEIIEFGWKIDRSPVDTFIMGLATSIRERNDYREEQEKEKQAASAIQLNAIRLLADLNVKVNKAEVVDMILPLFIESLEEGDALVPGLLRLRLLDAVSHLASLGFEKSYREAIVLMTRSYLSKLSDIGSAESKTLVPEATTERIETLPAGFLLIASRLSNPKLRSDYRHRLLSLCSDVGLAAEAKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKNQGVSKPISTTLNSVGSMGTIALQAVSGPYMWNSQWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVSQRTALSAALGGRLELSAMSTISGVKATYLLAVAFLEIIRFSSNGGILNVGPASTASCSAFSCVFEYLKSPNLMPAVSQCLTAIIYRAFETALGWMDDRTSETGQIAETRESTLSAHACFLIKNLSQRDEHVRDISVNLLNRLKDRYPQILWNSSCLDLLLFSAQNDPPATLVSDPAWVATIRSLYQKTVREWIIVSLSYAPCTTQGLLQEKLCKGNTWQKTQPTKDVVSLLSEIKIGTGKNDCWTGTKTANIPAVMAAAAAASGGNLALTEAFNLEVLSTGMVSATVKSNYAGEIAGMRRIYEGIGGLDSNLLTIGNGHNLDPPTFGSAAMVRDSPPKTYSFCELLLMRFVRLLQKFVNIAETGVEVDKSSFRETCSQATALLLSDMGSDSKSIESFAQLLRLLCWCPAYILTPDAMETGIFIWTWLVSAAPHLGPLILAELVDAWLWTVDTKRGLFASETRYSGPAAKLRPHLAPGEPELPPEKDPVEQIMAQRLWIGFFIDRFEVVRHNSIEQLLLLGRLLQGTTKLTWNFSHHPAASGTFFTLMLLGLKFCSCKSQGSLQNFRSGLQLLEDRIYRASLGWFSHLPEWYDMGNNNFALTEAHSVSAFVHHLLNEPVDTQLDMKGRGPENGSSFNNVKDQYHPVWGQIENYSVGREKRKQLLLMLCQHEADRLDVWAQPIVSKESISRPKISSEKLVEYAKTAFSVDPRIALSLASRFPTNNALKGEVTQLVQSHILEIRSVPEALPYFVTPKAVEENSSLLQQLPHWAACSITMALEFLTPPFKGHPRVMAYVLRVLESYPPDRVTFFMPQLVQALRYDEERLVEGYLLRAAHRSDVFAHILIWHLQGETCAPEAGKDASVKNNSFQTLLPVVRQRIIDGFNPKALDVFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGNDLYLPTAPTKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDENDIKPQACIFKVGDDCRQDVLALQVISLLKDIFAAVGLNLYLFPYGVLPTGPERGIIECNLQHLYGHGRTAVVAGEAFRQWWLVAASNCGCGWWGVDVGVLKMRMIWQ >itb14g21710.t2 pep chromosome:ASM357664v1:14:23575956:23589538:-1 gene:itb14g21710 transcript:itb14g21710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIELCDLIADNPAQFQEKLTWLCRRCPPPEALLVGSPRVSRPQLNAVLAVARFLSKCSNCVDKWPKSLILAFYRSIFSSFNPSFWPQSLGIDSIASFFNDFLGYVSKASNLCPDFASDVSGFTGEIVIAAIGNVSGDVRISKVFLHAISLNFPPTIPSDADRLISTLLDRFEICIPGSPRELISNNSVATSAQSSPLSMGHERSSPGNDMSIASGSSSSLVTRIADDAGSSQSPKGIVMNGSSGPWRTNVDSLAANMGFNDGGGGSGAYRLAIAAFEEESLDSLEKREIAFKLIGHILDKTQVDLKLLEQVRSIAREQLLSMVAFLKIRKHDWSEQGQSLKVRINTKLSVYQAASKLQIKTVGTLDSDGKSSKRLLHGALALLIEAAEACLFSVWRKLRTCEDLFSSLLAGISQAAAARSGQLLRVLLIRFKPLVLATCAQADTWASSQGAMFESVLKTSSEIIEFGWKIDRSPVDTFIMGLATSIRERNDYREEQEKEKQAASAIQLNAIRLLADLNVKVNKAEVVDMILPLFIESLEEGDALVPGLLRLRLLDAVSHLASLGFEKSYREAIVLMTRSYLSKLSDIGSAESKTLVPEATTERIETLPAGFLLIASRLSNPKLRSDYRHRLLSLCSDVGLAAEAKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKNQGVSKPISTTLNSVGSMGTIALQAVSGPYMWNSQWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVSQRTALSAALGGRLELSAMSTISGVKATYLLAVAFLEIIRFSSNGGILNVGPASTASCSAFSCVFEYLKSPNLMPAVSQCLTAIIYRAFETALGWMDDRTSETGQIAETRESTLSAHACFLIKNLSQRDEHVRDISVNLLNRLKDRYPQILWNSSCLDLLLFSAQNDPPATLVSDPAWVATIRSLYQKTVREWIIVSLSYAPCTTQGLLQEKLCKGNTWQKTQPTKDVVSLLSEIKIGTGKNDCWTGTKTANIPAVMAAAAAASGGNLALTEAFNLEVLSTGMVSATVKSNYAGEIAGMRRIYEGIGGLDSNLLTIGNGHNLDPPTFGSAAMVRDSPPKTYSFCELLLMRFVRLLQKFVNIAETGVEVDKSSFRETCSQATALLLSDMVNGSDSKSIESFAQLLRLLCWCPAYILTPDAMETGIFIWTWLVSAAPHLGPLILAELVDAWLWTVDTKRGLFASETRYSGPAAKLRPHLAPGEPELPPEKDPVEQIMAQRLWIGFFIDRFEVVRHNSIEQLLLLGRLLQGTTKLTWNFSHHPAASGTFFTLMLLGLKFCSCKSQGSLQNFRSGLQLLEDRIYRASLGWFSHLPEWYDMGNNNFALTEAHSVSAFVHHLLNEPVDTQLDMKGRGPENGSSFNNVKDQYHPVWGQIENYSVGREKRKQLLLMLCQHEADRLDVWAQPIVSKESISRPKISSEKLVEYAKTAFSVDPRIALSLASRFPTNNALKGEVTQLVQSHILEIRSVPEALPYFVTPKAVEENSSLLQQLPHWAACSITMALEFLTPPFKGHPRVMAYVLRVLESYPPDRVTFFMPQLVQALRYDEERLVEGYLLRAAHRSDVFAHILIWHLQGETCAPEAGKDASVKNNSFQTLLPVVRQRIIDGFNPKALDVFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVQGNDLYLPTAPTKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDENDIKPQACIFKVGDDCRQDVLALQVISLLKDIFAAVGLNLYLFPYGVLPTGPERGIIECNLQHLYGHGRTAVVAGEAFRQWWLVAASNCGCGWWGVDVGVLKMRMIWQ >itb01g00440.t2 pep chromosome:ASM357664v1:1:201585:203279:-1 gene:itb01g00440 transcript:itb01g00440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHIQQEIGQIPFGEHGICLEKWKSLSSAMDEADNNPIEGFDCHICLDPVQDPVVTFCGHLYCWPCIYKWIHSQTVVSEDTEEHKHPQCPVCKAEVSEKTLIPLYGRGLAAKPSDCKAANLGIAIPQRPQSPRCDGPVLLPTTTTTPRHHSRHSDSYIGPPMLNLGGTTGEMVYTRMFGNSAETTLYSYPNSYNLSGSSNLRMRRQMMNADRSLSRICFFLCCCLVLCLLLF >itb01g00440.t3 pep chromosome:ASM357664v1:1:201556:203295:-1 gene:itb01g00440 transcript:itb01g00440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHIQQEIGQIPFGEHGICLEKWKSLSSAMDEADNNPIEGFDCHICLDPVQDPVVTFCGHLYCWPCIYKWIHSQTVVSEDTEEHKHPQCPVCKAEVSEKTLIPLYGRGLAAKPSDCKAANLGIAIPQRPQSPRCDGPVLLPTTTTTPRHHSRHSDSYIGPPMLNLGGTTGEMVYTRMFGNSAETTLYSYPNSYNLSGSSNLRMRRQMMNADRSLSRICFFLCCCLVLCLLLF >itb01g00440.t1 pep chromosome:ASM357664v1:1:201556:203350:-1 gene:itb01g00440 transcript:itb01g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHIQQEIGQIPFGEHGICLEKWKSLSSAMDEADNNPIEGFDCHICLDPVQDPVVTFCGHLYCWPCIYKWIHSQTVVSEDTEEHKHPQCPVCKAEVSEKTLIPLYGRGLAAKPSDCKAANLGIAIPQRPQSPRCDGPVLLPTTTTTPRHHSRHSDSYIGPPMLNLGGTTGEMVYTRMFGNSAETTLYSYPNSYNLSGSSNLRMRRQMMNADRSLSRICFFLCCCLVLCLLLF >itb14g09890.t1 pep chromosome:ASM357664v1:14:10886568:10887848:-1 gene:itb14g09890 transcript:itb14g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSRCSCVSKPLVGSDCGGENWLSINKREEEDDDELMKPGQDEVWKAIQAQKQQGDGDHTNNKAPPIRSTVSWSAILLSDDQKVVLQDSPPPYIHPLLKKSSSCCLSKHSLQICTESLGSETGSDCLSSRDSDHIQNEVQDNKQAHEEEEDIFNHVKINYSKKSPSPPSSFPPPLPSLANSSVQLRSHRQGKGEGEVEGSRLILEAVSIPQRNYFHAERSHGRLLLTALTSPSSSHEEEEEAEAGDDETEEFEIHNSSSDEEGEGEGSGHATMPSFNSNTNHLYSNSGSSRSISIAEYKQQQQELLRNCKEARRRSLLTWEPPNYCIATSS >itb08g05020.t1 pep chromosome:ASM357664v1:8:4096295:4099674:1 gene:itb08g05020 transcript:itb08g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp5 [Source:Projected from Arabidopsis thaliana (AT4G18790) UniProtKB/Swiss-Prot;Acc:Q9SN36] MRNAVAFASQRNIILSPVEMRDETMSMNSRSPDPITTLHYEDIVDGESLFLLPSDDDEADEEEEEKIRAMEMEAADEPPPPFSWKKLWEFMGPGFLMSVAFLDPGNLEGDIQAGAIAGYSLLWLLMWSTAMGLLIQLLSLRLGVATGRHLAEICREEYPYWATLLLWFMAELALIGADIQEVIGSAIAIKILSDDFIPLWVGVLITAFDCFIFLFLENYGVRKLEAFFAVLITTMALSFAWVFVETRPSGEEITLGLLVPRLSSQTIQQAVGVVGCVITPHNVFLYSALVQSRQIDPKKKGKVQEALNYYTIESSVAVLVSFSLNLAVTTIFAKGFYGTSEAESIGLVNAGQYLQDRYGGGLFPVLYIWGVGLLAAGQSSTITGTYAGQFIMGGFLNLRMRKWLRSLITRSCAIVPTMIVAVVFNRSQSSLDSLNEWLNVVQGMQIPFAIIPLLTLVSNERIMGVFKIGTTIERIVWCIAAMVIVINGYVMLTFFLSQVDGLLVGFAMCIVAAGYVGFIVYLISRHGTHSLPWSSNTNGFSHLEGLNEVEQMSRQSFQSTR >itb03g06760.t1 pep chromosome:ASM357664v1:3:4893605:4898717:1 gene:itb03g06760 transcript:itb03g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKGKRSSAAIVISSSDNDEEEDLLLKSNLRNSKLKSNSKSKSASVPRTNPNKRAKKAPLSSSLPRHSKNHSGFDEIKKFCEEFDMGFNDFKVSTGHGSSTDLWVDKYKPCSLEEVAVHKKKVEEVKAWFEERLITRMDSSFNNVLLIAGPAGVGKSATVHAIASHLGATICEWNTPTPTIWKEHLHHSNSGLRYMSKLEEFESFAERTRKYGLISSSVTGRPLAPVILLIDDLPVVNGKVAYERLNRCLTLLVQSVRIPTAILFTDYGKVDSADFNMRYPEELLQSLEYAGACKVTFNPITVISMKKVLAKICRMEQVEVSADSIEAIAKASGGDMRNAITSLQYFCLNPHPMPSLPSSSCYSVPSKGSSDDVSTSDDRISLTFGRDETLSLFHALGKFLHNKRESEDTGASDRGIFVLKEKFMRLPLKMEAPEVVLLQAHGQARPITDFLHENVLDFLSEEAIDDAWVVSSYLSDADILLASTNEARSFETQNLLQLAAASVATRGVLFGNSHPSPSRWHAIRRPKLWQIEQSLWHNKGQMLSQRGYYCYSQDNEYMSTQLVIATELKPVLKWLGHRAYGYFEAEQSFEDMIGNDSNSLSLDDRSEDISDDEIEDW >itb06g08030.t3 pep chromosome:ASM357664v1:6:11926696:11930728:-1 gene:itb06g08030 transcript:itb06g08030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAIIGSALIEPFKVVFGSIYAAMKNRFKIHSNYNHLERDITLVLALKSSLRNGLDDEQLQHLLPTTQVEDWLSKVEELESNFNSLRSTVTESGITSDAASCSLHCKLSNNIAKQIVKAKQLIEEGKPFENMIVGVPVARPVQYMEVTSIQGQPTASTNLAKMMDLLRSEKYKRIGVWGMGGVGKTTLVKNLNNQLTNDPIFKIVIWVVVSQNATVESVQSKIAERLHMMNKEECKESMASRLYNKLKGERFLLILDDIWKEINLDVVGIPRPNEHIGNKIILTTRDFNVCQQMLTDIDFQVGRLHPEEAWKLFRETVEEEVVNDDQIKPMAKAIVEECDGLPLALIIVGASMRKKREIGLWECALHALQKSEPSHIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEVYTYKLVQYWVPEGLIDEQQNFEQQHNEVLRIVDYLKDSCLLERCHRSNHVKMHDVVRDVGVWISKSLEEGCKAINKAGISGVQISQQLFNCSERVKRVSFMESDIEFLPNCNIQCAEASTLFLQGNRRLLEVPNTFLQGFPELRILELSETKIQFLPHSLLQLSKLQALCLQNCEGLLELPSLATLGMLQVLNCSGSAITKLPEDFEKLTNLRWLDLSSTSKLRKISSEKLSNLRSLELLNMTGSAIKWGMRKVNDKYVSFEKLLCLNQLISFQIDLEDISHATAEHVSWLRRINSFFVNVSHKRSYGQYRPNAKIVSFCGFVFSGDESIGWLLVHAFSCEIRACKGVDLMLDNMVRSSVTIGPFVNLKGLAIYSCCISVKRSSQGCVTECDLLPNLEGLAFCELTGLESISDFSNFLGLRLTKLRSIHVYKCYQLENVFTMDGTLHELAKLESIEIVRCEKLSEVFKNTSTNNFVPMLKSLYLDGLPSLEEICIADESWNSLEHLTVQECSMLLKLPLSVQSAENIQSISGEQNWWNKLQWDNENLKMHLHPLFKPWL >itb06g08030.t1 pep chromosome:ASM357664v1:6:11926365:11930728:-1 gene:itb06g08030 transcript:itb06g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAIIGSALIEPFKVVFGSIYAAMKNRFKIHSNYNHLERDITLVLALKSSLRNGLDDEQLQHLLPTTQVEDWLSKVEELESNFNSLRSTVTESGITSDAASCSLHCKLSNNIAKQIVKAKQLIEEGKPFENMIVGVPVARPVQYMEVTSIQGQPTASTNLAKMMDLLRSEKYKRIGVWGMGGVGKTTLVKNLNNQLTNDPIFKIVIWVVVSQNATVESVQSKIAERLHMMNKEECKESMASRLYNKLKGERFLLILDDIWKEINLDVVGIPRPNEHIGNKIILTTRDFNVCQQMLTDIDFQVGRLHPEEAWKLFRETVEEEVVNDDQIKPMAKAIVEECDGLPLALIIVGASMRKKREIGLWECALHALQKSEPSHIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEVYTYKLVQYWVPEGLIDEQQNFEQQHNEVLRIVDYLKDSCLLERCHRSNHVKMHDVVRDVGVWISKSLEEGCKAINKAGISGVQISQQLFNCSERVKRVSFMESDIEFLPNCNIQCAEASTLFLQGNRRLLEVPNTFLQGFPELRILELSETKIQFLPHSLLQLSKLQALCLQNCEGLLELPSLATLGMLQVLNCSGSAITKLPEDFEKLTNLRWLDLSSTSKLRKISSEKLSNLRSLELLNMTGSAIKWGMRKVNDKYVSFEKLLCLNQLISFQIDLEDISHATAEHVSWLRRINSFFVNVSHKRSYGQYRPNAKIVSFCGFVFSGDESIGWLLVHAFSCEIRACKGVDLMLDNMVRSSVTIGPFVNLKGLAIYSCCISVKRSSQGCVTECDLLPNLEGLAFCELTGLESISDFSNFLGLRLTKLRSIHVYKCYQLENVFTMDGTLHELAKLESIEIVRCEKLSEVFKNTSTNNFVPMLKSLYLDGLPSLEEICIADESWNSLEHLTVQECSMLLKLPLSVQSAENIQSISGEQNWWNKLQWDNENLKMHLHPLFKPWL >itb06g08030.t2 pep chromosome:ASM357664v1:6:11926365:11930728:-1 gene:itb06g08030 transcript:itb06g08030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAIIGSALIEPFKVVFGSIYAAMKNRFKIHSNYNHLERDITLVLALKSSLRNGLDDEQLQHLLPTTQVEDWLSKVEELESNFNSLRSTVTESGITSDAASCSLHCKLSNNIAKQIVKAKQLIEEGKPFENMIVGVPVARPVQYMEVTSIQGQPTASTNLAKMMDLLRSEKYKRIGVWGMGGVGKTTLVKNLNNQLTNDPIFKIVIWVVVSQNATVESVQSKIAERLHMMNKEECKESMASRLYNKLKGERFLLILDDIWKEINLDVVGIPRPNEHIGNKIILTTRDFNVCQQMLTDIDFQVGRLHPEEAWKLFRETVEEEVVNDDQIKPMAKAIVEECDGLPLALIIVGASMRKKREIGLWECALHALQKSEPSHIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEVYTYKLVQYWVPEGLIDEQQNFEQQHNEVLRIVDYLKDSCLLERCHRSNHVKMHDVVRDVGVWISKSLEEGCKAINKAGISGVQISQQLFNCSERVKRVSFMESDIEFLPNCNIQCAEASTLFLQGNRRLLEVPNTFLQGFPELRILELSETKIQFLPHSLLQLSKLQALCLQNCEGLLELPSLATLGMLQVLNCSGSAITKLPEDFEKLTNLRWLDLSSTSKLRKISSEKLSNLRSLELLNMTGSAIKWGMRKVNDKYVSFEKLLCLNQLISFQIDLEDISHATAEHVSWLRRINSFFVNVSHKRSYGQYRPNAKIVSFCGFVFSGDESIGWLLVHAFSCEIRACKGVDLMLDNMVRSSVTIGPFVNLKGLAIYSCCISVKRSSQGCVTECDLLPNLEGLAFCELTGLESISDFSNFLGLRLTKLRSIHVYKCYQLENVFTMDGTLHELAKLESIEIVRCEKLSEVFKNTSTNNFVPMLKSLYLDGLPSLEEICIADESWNSLEHLTVQECSMLLKLPLSVQSAENIQSISGEQNWWNKLQWDNENLKMHLHPLFKPWL >itb09g25630.t1 pep chromosome:ASM357664v1:9:25730007:25731309:-1 gene:itb09g25630 transcript:itb09g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENSLMELVCATHNPDDGINFNANVVLSAIEKILNFEKATDKEATKEMLQEFDLNYRELSLKIQHICFELTFKSLSIIDGHLTTICLLSTLSAYSWEAKMVLMLAAFSICNGKLNIFSQLHYTKGLAKQLAIVMQITNSTTSNAPNPIDDLIKCAMDLTKCIVEINQSSSYSLSQSVISALPMASYWIGRSIACIVAHCACFPLINIKFQSEMNIITVEIKDILSSCYPALGWLHI >itb09g25630.t2 pep chromosome:ASM357664v1:9:25730294:25731309:-1 gene:itb09g25630 transcript:itb09g25630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENSLMELVCATHNPDDGINFNANVVLSAIEKILNFEKATDKEATKEMLQEFDLNYRELSLKIQHICFELTFKSLSIIDGHLTTICLLSTLSAYSWEAKMVLMLAAFSICNGKLNIFSQLHYTKGLAKQLAIVMQITNSTTSNAPNPIDDLIKCAMDLTKCIVEINQSSSYSLSQSVISALPMASYWIGRSIACIVAHCACFPLINIK >itb04g09350.t1 pep chromosome:ASM357664v1:4:8661331:8673225:1 gene:itb04g09350 transcript:itb04g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGISGLVSAYVLAKGGAGVVVYEKEDYVGGHAKTVTLDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEISDMSFSVSLDNGNGCEWGSRNGFSGLFAQKKNLLNPYFWQMIREILKFKNDVLSYLEEFDNNPDMERNDTLGEFIKSRGYSELFQKAYIVPMCASIWSCSSEGVMAFSAYSILSFCRNHHLLQLFGRPQWLTVKQRSHRYVNKVREELEKRGCEIRCGSEVHSVSTDEQGGVVIISGKNMSQETYDGCIMAAHAPDTLKMLGKQATFDELRILGAFQYVYSDIYLHREQSFMPRNPAAWSAWNFLGTVNSRVCLTYWLNTLQNLGETGQPYLVTLNPPRTPEQCLLKWTTGHPVPSVAASKASSELEHIQGKRRIWFCGAYQGYGFHEDGLKAGMIAAHGLLKKRCSPLNNPNHMVPSWPETGARLLVTRFLRSFIVTGNIILLEEGGTIFTFEGTDKKNLLKVLVRVHSPQFYWKVATQADLGLADAYIHGDISFVDKKEGLLNLFKIFVANRDLNASVSRSNSKRGWWTPLLFTSAIASAKYFIRHVSRQNTLTQARRNISRHYDLSNELFSLFLDETMTYSCAVFKSEDEDLKTAQMRKISLLIDKAKISKEHHILEIGCGWGSLAIEAVKRTGCKYTGITLSEEQLKYAEAEVREAGLQDNIRFLLCDYRQLPDNSRYDRIISCEMLEAVGHEFMNEFFGRCESVLAENGILVLQFISIPDERYDEYRQSSDFIKEYIFPGGCLPSLSRVTSAMAASSRLCVEHLEDIGIHYYQTLRCWRTNFLERQSQILDLGFDNKFIRTWEYYFDYCAAGFQTCTLGNYQASVQQSLSHQLLDLAHRPLPNKDKLTTFL >itb04g09350.t2 pep chromosome:ASM357664v1:4:8661331:8673225:1 gene:itb04g09350 transcript:itb04g09350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGISGLVSAYVLAKGGAGVVVYEKEDYVGGHAKTVTLDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEISDMSFSVSLDNGNGCEWGSRNGFSGLFAQKKNLLNPYFWQMIREILKFKNDVLSYLEEFDNNPDMERNDTLGEFIKSRGYSELFQKAYIVPMCASIWSCSSEGVMAFSAYSILSFCRNHHLLQLFGRPQWLTVKQRSHRYVNKVREELEKRGCEIRCGSEVHSVSTDEQGGVVIISGKNMSQETYDGCIMAAHAPDTLKMLGKQATFDELRILGAFQYVYSDIYLHREQSFMPRNPAAWSAWNFLGTVNSRVCLTYWLNTLQNLGETGQPYLVTLNPPRTPEQCLLKWTTGHPVPSVAASKASSELEHIQGKRRIWFCGAYQGYGFHEDGLKAGMIAAHGLLKKRCSPLNNPNHMVPSWPETGARLLVTRFLRSFIVTGNIILLEEGGTIFTFEGTDKKNLLKVLVRVHSPQFYWKVATQADLGLADAYIHGDISFVDKKEGLLNLFKIFVANRDLNASVSRSNSKRGWWTPLLFTSAIASAKYFIRHVSRQNTLTQARRNISRHYDLSNELFSLFLDETMTYSCAVFKSEDEDLKTAQMRKISLLIDKAKISKEHHILEIGCGWGSLAIEAVKRTGCKYTGITLSEEQLKYAEAEVREAGLQDNIRFLLCDYRQLPDNSRYDRIISCEMLEAVGHEFMNEFFGRCESVLAENGILVLQFISIPDERYDEYRQSSDFIKEYIFPGGCLPSLSRVTSAMAASSRLCVEHLEDIGIHYYQTLRCWRTNFLERQSQILDLGFDNKFIRTWEYYFDYCAAGFQTCTLGNYQIVFSRPGNVTAFGDPYKRLASA >itb10g16780.t1 pep chromosome:ASM357664v1:10:23049197:23049558:-1 gene:itb10g16780 transcript:itb10g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVALVASLLAASTVTLTSSSSGYREVRRNPISAAEGHSYNSRFSWDESSQRRASVSNNEKFVPKFDGLRFIETLVTAHR >itb01g06980.t4 pep chromosome:ASM357664v1:1:5193958:5205041:1 gene:itb01g06980 transcript:itb01g06980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFITLIPIILSFFYPTFLEAQSGLLPEDELNALKEIADQLGKKDWDFSVNPCDKKFNQMKPIGNGMPEYVYNNSISCNCSFPAGICHVDAIVLKAQSLQGVLPPSLAKLPFIKTIDLSRNYLSGTIPLEWASTKLKYLAVIVNRLSGPIPKYLGNITTLEYLGLENNMFNGTVPPELGKLVKLKKLFLGANYLTGEWPKELNSLTKLKEFRLSSNNFTGKLPSFQGLGNLEELEVQASGFEGPIPENISLLTSLTELRISDLNGGGVSKFPILNSMKGLRKLLLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDDLQIDNAHYIYLTSNSLSGHIPQWFLNRDPRYYVDLSYNKFEDSYVPLNCRENVNLFKSHNGGDNSLIGKCLKQCTKDWYSFHINCGGGNVSIGDTTYDADDDSSGLAKFVSERENWVTSNTGFFWDKNKTLTDYTATNISLIKGKDSEIYRTARLSPLSLVYYRRCLANGNYTVKLHFAEIVLRDDNSFLSLGRRIFDVYIQGERKLKDFDIKAEAHGADKALVKQFQAVVRDKTLEVRLEYAGKGTTAVPIRGNYGSLISAISVESDFKPPKNRKTVIIVAAIASSLFLIFAILCFVGWMIYTRNKTSREKELQGLDLRTGRFTFKQIKAATNNFASANKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSKQGNREFLNEISMISCLEHPNLVKLHGCCVEGKQLLLVYEYLENNSLAHALFGPEDCQLKIDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDKTHLSTKVAGTLGYMAPEYAMWGYLTYKADVYSFGVVALEIVAGKNNMKYQPDEDYVCLLDWALVLQENENLMELIDPRLGSDFDKEQALRMAKVALLCTNPSPVLRPSMSAVVRMLEGLDDVHAYKSDQYEFNSQAMTDQYDDIPVGSSDSPYKVNISSDASKQMMKNYIFIKYSKLYL >itb01g06980.t3 pep chromosome:ASM357664v1:1:5195806:5205041:1 gene:itb01g06980 transcript:itb01g06980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFITLIPIILSFFYPTFLEAQSGLLPEDELNALKEIADQLGKKDWDFSVNPCDKKFNQMKPIGNGMPEYVYNNSISCNCSFPAGICHVDAIVLKAQSLQGVLPPSLAKLPFIKTIDLSRNYLSGTIPLEWASTKLKYLAVIVNRLSGPIPKYLGNITTLEYLGLENNMFNGTVPPELGKLVKLKKLFLGANYLTGEWPKELNSLTKLKEFRLSSNNFTGKLPSFQGLGNLEELEVQASGFEGPIPENISLLTSLTELRISDLNGGGVSKFPILNSMKGLRKLLLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDDLQIDNAHYIYLTSNSLSGHIPQWFLNRDPRYYVDLSYNKFEDSYVPLNCRENVNLFKSHNGGDNSLIGKCLKQCTKDWYSFHINCGGGNVSIGDTTYDADDDSSGLAKFVSERENWVTSNTGFFWDKNKTLTDYTATNISLIKGKDSEIYRTARLSPLSLVYYRRCLANGNYTVKLHFAEIVLRDDNSFLSLGRRIFDVYIQGERKLKDFDIKAEAHGADKALVKQFQAVVRDKTLEVRLEYAGKGTTAVPIRGNYGSLISAISVESDFKPPKNRKTVIIVAAIASSLFLIFAILCFVGWMIYTRNKTSREKELQGLDLRTGRFTFKQIKAATNNFASANKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSKQGNREFLNEISMISCLEHPNLVKLHGCCVEGKQLLLVYEYLENNSLAHALFGPEDCQLKIDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDKTHLSTKVAGTLGYMAPEYAMWGYLTYKADVYSFGVVALEIVAGKNNMKYQPDEDYVCLLDWALVLQENENLMELIDPRLGSDFDKEQALRMAKVALLCTNPSPVLRPSMSAVVRMLEGLDDVHAYKSDQYEFNSQAMTDQYDDIPVGSSDSPYKVNISSDASKQMMKNYIFIKYSKLYL >itb01g06980.t2 pep chromosome:ASM357664v1:1:5193958:5205041:1 gene:itb01g06980 transcript:itb01g06980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFITLIPIILSFFYPTFLEAQSGLLPEDELNALKEIADQLGKKDWDFSVNPCDKKFNQMKPIGNGMPEYVYNNSISCNCSFPAGICHVDAIVLKAQSLQGVLPPSLAKLPFIKTIDLSRNYLSGTIPLEWASTKLKYLAVIVNRLSGPIPKYLGNITTLEYLGLENNMFNGTVPPELGKLVKLKKLFLGANYLTGEWPKELNSLTKLKEFRLSSNNFTGKLPSFQGLGNLEELEVQASGFEGPIPENISLLTSLTELRISDLNGGGVSKFPILNSMKGLRKLLLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDDLQIDNAHYIYLTSNSLSGHIPQWFLNRDPRYYVDLSYNKFEDSYVPLNCRENVNLFKSHNGGDNSLIGKCLKQCTKDWYSFHINCGGGNVSIGDTTYDADDDSSGLAKFVSERENWVTSNTGFFWDKNKTLTDYTATNISLIKGKDSEIYRTARLSPLSLVYYRRCLANGNYTVKLHFAEIVLRDDNSFLSLGRRIFDVYIQGERKLKDFDIKAEAHGADKALVKQFQAVVRDKTLEVRLEYAGKGTTAVPIRGNYGSLISAISVESDFKPPKNRKTVIIVAAIASSLFLIFAILCFVGWMIYTRNKTSREKELQGLDLRTGRFTFKQIKAATNNFASANKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSKQGNREFLNEISMISCLEHPNLVKLHGCCVEGKQLLLVYEYLENNSLAHALFGPEDCQLKIDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDKTHLSTKVAGTLGYMAPEYAMWGYLTYKADVYSFGVVALEIVAGKNNMKYQPDEDYVCLLDWALVLQENENLMELIDPRLGSDFDKEQALRMAKVALLCTNPSPVLRPSMSAVVRMLEGLDDVHAYKSDQYEFNSQAMTDQYDDIPVGSSDSPYKVNISSDASKQMMKNYIFIKYSKLYL >itb01g06980.t1 pep chromosome:ASM357664v1:1:5193958:5205041:1 gene:itb01g06980 transcript:itb01g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFITLIPIILSFFYPTFLEAQSGLLPEDELNALKEIADQLGKKDWDFSVNPCDKKFNQMKPIGNGMPEYVYNNSISCNCSFPAGICHVDAIVLKAQSLQGVLPPSLAKLPFIKTIDLSRNYLSGTIPLEWASTKLKYLAVIVNRLSGPIPKYLGNITTLEYLGLENNMFNGTVPPELGKLVKLKKLFLGANYLTGEWPKELNSLTKLKEFRLSSNNFTGKLPSFQGLGNLEELEVQASGFEGPIPENISLLTSLTELRISDLNGGGVSKFPILNSMKGLRKLLLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDDLQIDNAHYIYLTSNSLSGHIPQWFLNRDPRYYVDLSYNKFEDSYVPLNCRENVNLFKSHNGGDNSLIGKCLKQCTKDWYSFHINCGGGNVSIGDTTYDADDDSSGLAKFVSERENWVTSNTGFFWDKNKTLTDYTATNISLIKGKDSEIYRTARLSPLSLVYYRRCLANGNYTVKLHFAEIVLRDDNSFLSLGRRIFDVYIQGERKLKDFDIKAEAHGADKALVKQFQAVVRDKTLEVRLEYAGKGTTAVPIRGNYGSLISAISVESDFKPPKNRKTVIIVAAIASSLFLIFAILCFVGWMIYTRNKTSREKELQGLDLRTGRFTFKQIKAATNNFASANKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSKQGNREFLNEISMISCLEHPNLVKLHGCCVEGKQLLLVYEYLENNSLAHALFGPEDCQLKIDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKATNVLLDKELNPKISDFGLAKLDDEDKTHLSTKVAGTLGYMAPEYAMWGYLTYKADVYSFGVVALEIVAGKNNMKYQPDEDYVCLLDWALVLQENENLMELIDPRLGSDFDKEQALRMAKVALLCTNPSPVLRPSMSAVVRMLEGLDDVHAYKSDQYEFNSQAMTDQYDDIPVGSSDSPYKVNISSDASKQMMKNYIFIKYSKLYL >itb14g12440.t1 pep chromosome:ASM357664v1:14:14078247:14084531:-1 gene:itb14g12440 transcript:itb14g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGAVVFSLIYGFVLSKECTNIPSHLSSHTLRYELLSSNNETWREEMFAHYHLIPTDDSAWSNLLPRKMLKEEDEFDWAMMYRKIKNSGHKGLGNFLNEISLHDVRLDPNSIYGIAQQTNLEYLLSLDVDRLVWSFRKTAGLESHGQPYGGWEAPHIELRGHFVGHYLSASAQTWASTHNESLREKMSAVVSALSACQQKIGSGYLSAFPSEFFDRFEAIKPVWAPYYTIHKILAGLLDQYTLAGDSQALKMTTWMVDYFYKRVQNVIAKYTIERHWSSLNDEVGGMNDVLYRLYSITAEPKHLVLAHLFDKPCFLGLLAVKADSLSGFHANTHIPVVVGSQMRYEITGDPIYKEIGKFFMDIVNSSHAYATGGTSVNELWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGTDIGVMIYMLPLRPGGSKAHSSDGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEQGNSPGIYIIQYIPSSLDWKLGQIKLSQIIKPVASWDNHLHVTIPVTSKQESSPPSTLNLRIPTWTSAEGAKATLNGKPLSLPPPGNFLSITKNWTSSDIITLDLPLSLRTEAIKDDRAEYASVHAILYGPYLLAGLSRGDWDINTTSAGSLSDWITPIPSDYNSHLISLSQKSGNGTFVLANSNQSIIKMEKCPEAGTDSAIKSTFRLISRPTSKVLSEPKELIGQSVMLEPLDYPGTLMKHWGKDESIVVETFSDESGSSEFRLVSGLDGKDDTVSLESEDHEGCFIYSGVDYEPGLGVKLGCSGEYPDDDDAFKQAASFKLETGITQYHPISFVAKGGSERNFLLVPLYSLRDESYTVYFNIHGIGLPPESEHRLWDH >itb14g12440.t2 pep chromosome:ASM357664v1:14:14078347:14084531:-1 gene:itb14g12440 transcript:itb14g12440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVSALSACQQKIGSGYLSAFPSEFFDRFEAIKPVWAPYYTIHKILAGLLDQYTLAGDSQALKMTTWMVDYFYKRVQNVIAKYTIERHWSSLNDEVGGMNDVLYRLYSITAEPKHLVLAHLFDKPCFLGLLAVKADSLSGFHANTHIPVVVGSQMRYEITGDPIYKEIGKFFMDIVNSSHAYATGGTSVNELWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGTDIGVMIYMLPLRPGGSKAHSSDGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEQGNSPGIYIIQYIPSSLDWKLGQIKLSQIIKPVASWDNHLHVTIPVTSKQESSPPSTLNLRIPTWTSAEGAKATLNGKPLSLPPPGNFLSITKNWTSSDIITLDLPLSLRTEAIKDDRAEYASVHAILYGPYLLAGLSRGDWDINTTSAGSLSDWITPIPSDYNSHLISLSQKSGNGTFVLANSNQSIIKMEKCPEAGTDSAIKSTFRLISRPTSKVLSEPKELIGQSVMLEPLDYPGTLMKHWGKDESIVVETFSDESGSSEFRLVSGLDGKDDTVSLESEDHEGCFIYSGVDYEPGLGVKLGCSGEYPDDDDAFKQAASFKLETGITQYHPISFVAKGGSERNFLLVPLYSLRDESYTVYFNIHGIGLPPESEHRLWDH >itb14g12440.t3 pep chromosome:ASM357664v1:14:14078349:14084484:-1 gene:itb14g12440 transcript:itb14g12440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGAVVFSLIYGFVLSKECTNIPSHLSSHTLRYELLSSNNETWREEMFAHYHLIPTDDSAWSNLLPRKMLKEEDEFDWAMMYRKIKNSGHKGLGNFLNEISLHDVRLDPNSIYGIAQQTNLEYLLSLDVDRLVWSFRKTAGLESHGQPYGGWEAPHIELRGHFVGHYLSASAQTWASTHNESLREKMSAVVSALSACQQKIGSGYLSAFPSEFFDRFEAIKPVWAPYYTIHKILAGLLDQYTLAGDSQALKMTTWMVDYFYKRVQNVIAKYTIERHWSSLNDEVGGMNDVLYRLYSITADSLSGFHANTHIPVVVGSQMRYEITGDPIYKEIGKFFMDIVNSSHAYATGGTSVNELWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGTDIGVMIYMLPLRPGGSKAHSSDGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEQGNSPGIYIIQYIPSSLDWKLGQIKLSQIIKPVASWDNHLHVTIPVTSKQESSPPSTLNLRIPTWTSAEGAKATLNGKPLSLPPPGNFLSITKNWTSSDIITLDLPLSLRTEAIKDDRAEYASVHAILYGPYLLAGLSRGDWDINTTSAGSLSDWITPIPSDYNSHLISLSQKSGNGTFVLANSNQSIIKMEKCPEAGTDSAIKSTFRLISRPTSKVLSEPKELIGQSVMLEPLDYPGTLMKHWGKDESIVVETFSDESGSSEFRLVSGLDGKDDTVSLESEDHEGCFIYSGVDYEPGLGVKLGCSGEYPDDDDAFKQAASFKLETGITQYHPISFVAKGGSERNFLLVPLYSLRDESYTVYFNIHGIGLPPESEHRLWDH >itb03g00210.t1 pep chromosome:ASM357664v1:3:105999:110473:1 gene:itb03g00210 transcript:itb03g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLNSVESVLAQIQGLSNSAEDISQLHAFLKQSEELLLSESISLAPLLAQLHPSEHSLGYLYILDAGMYAPTSKEQASELVSCAARFINECVVADQIRLAPEKFISVCKRFKEQVMLLEEPLRGVGPMLTAIQKLRLSSEQLTTLHPEFLLLCLMAKCYKIGFSILEDDIFEVDQPKDFYLYCYYGGMVCIGQKQFPKALELFLNVVTAPMSTLNAIAVEAYKKYILVSLIQNGQFSTSFPKYTSSVAQRNLKNYSQPYLELANSYNLGKISELETCVQTNKDKYEIDNNLGLVQQVVSSIYKRNIQRLTQTYLTLSLQDIANTVQLSSPKEAEMHVLQMIEDGEIYATINQKDGMVRFLEDPEQYKTCGMIEHIDSSIQRIMMLSKKLTCMDERMSCDPSYLAKVGRERQRFDFDDIEGVPQKFNL >itb04g07760.t1 pep chromosome:ASM357664v1:4:5357104:5361226:1 gene:itb04g07760 transcript:itb04g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPPEGYAGGDDFLEQILAIPSYAGLSATDVGGASSDTASTISQLSSAAGGLQQPLFPLGLSLDNGRDDVSDTGGFAVKPEREAVNLGNLYSGLEHLQPHTVRHTVPAVHQVQPFQGQPTPSTAVTVPHPPAIRPRVRARRGQATDPHSIAERLRRERISERIKALQELVPSCNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGASAVAQLVADIPLESVEGESGENRPNQHVWEKWSNAETEREVAKLMEEDVGAAMQYLQSKSLCIMPISLAALIYPTHQSDDSSAIKPEPSAPS >itb12g18380.t1 pep chromosome:ASM357664v1:12:20639104:20640033:-1 gene:itb12g18380 transcript:itb12g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLNHVEFDNVWNSLSAFHSLDHLERLELEETKIKDVALSPLSSICKLGYLSLRSGPITDACLYHLSQIRNLINLVVHDAVLTNAGLYTFNPPSGLEMLDLREMLDLRGCWLLTDDALLSFCQKHPSIEVKHELVSTSPFDKAGSHHSSP >itb12g07430.t2 pep chromosome:ASM357664v1:12:5568674:5571852:1 gene:itb12g07430 transcript:itb12g07430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHTTTNLPICLVKTEKLFGMGSTGFLCFYSLFFSLIFSFYIFSSSTPHKFTPIQDNADTPSNAYSQRLQTDNESCDLFDGEWVEEKEGPLYSNLSCPTIPLSKNCFYHGRKDRDFVYWRWKPEGCELPRFNPKAFLSIVKGKTMAFIGDSLARNHMESLLCLLYMEETPRNVYEDVEDKFRTWHFPHHNFTLMVLRSEFLIHATHRLINGSFTGGFDLHLDQLDSNWTQNLPIIDYAIFSDAHWFLRPNYLYEGGNLVGCIYCGDPTVKDVGPGFAIRRAFQAALNYVNENRNGVVVFLRTFSPAQFENGAWNKGGFCNRTRGFTKEEVSVGGDDWEFRKIQVEEIERARRYGGKRGNRFGIIDVTRAMLMRPDGHPGEFWGNKWMKGYSDCIHWCLPGPIDTWSELLLQMLHNTSALNQS >itb12g07430.t1 pep chromosome:ASM357664v1:12:5568673:5572088:1 gene:itb12g07430 transcript:itb12g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHTTTNLPICLVKTEKLFGMGSTGFLCFYSLFFSLIFSFYIFSSSTPHKFTPIQDNADTPSNAYSQRLQTVDNESCDLFDGEWVEEKEGPLYSNLSCPTIPLSKNCFYHGRKDRDFVYWRWKPEGCELPRFNPKAFLSIVKGKTMAFIGDSLARNHMESLLCLLYMEETPRNVYEDVEDKFRTWHFPHHNFTLMVLRSEFLIHATHRLINGSFTGGFDLHLDQLDSNWTQNLPIIDYAIFSDAHWFLRPNYLYEGGNLVGCIYCGDPTVKDVGPGFAIRRAFQAALNYVNENRNGVVVFLRTFSPAQFENGAWNKGGFCNRTRGFTKEEVSVGGDDWEFRKIQVEEIERARRYGGKRGNRFGIIDVTRAMLMRPDGHPGEFWGNKWMKGYSDCIHWCLPGPIDTWSELLLQMLHNTSALNQS >itb04g01470.t1 pep chromosome:ASM357664v1:4:822172:823367:-1 gene:itb04g01470 transcript:itb04g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase [Source:Projected from Arabidopsis thaliana (AT2G45790) UniProtKB/Swiss-Prot;Acc:O80840] MMQEFISFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFERYDKVHKIREKMVTVLREKFAHLDLTFSIGGQISFDVFPRGWDKTYCLQYLDGFSEIHFFGDKTYKGGNDFEIFVSEKTVGHTVTSPEDTAKQCTELFLC >itb05g13710.t1 pep chromosome:ASM357664v1:5:20787972:20792601:1 gene:itb05g13710 transcript:itb05g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTFLARKGPMGTVWCAAHLQHRLRKTDYTRTNILSTVECIMFPEVPIALRMSGHLLLGVVRIYSKQVDYFFQDCNYLDLRLKKALLSMTVNLPEGATYDIVTNGNLPEGATQATYNSITLPETFQLDALQSDYDLRAERFVDTHLKPQEEITLEDQVPIGGDQYVAIYVADEDEGRELSTENIASGLQATPMEIDPHPPVGEGTPGGPRHSPPSTPGGLNKSAGQEAVAQEFPETEVMRDASHDVGVPSTPMWQDRRDDVLEPDLVLAEQVTKDDQNVSPVAEEMLPSGGRFSPPQRPEHPSASAEVGQGNTSSPLSFGNGSPELAMCPSPPPPPPPPEPEQRCARQRKRKLVIDDALVLSNQVIRAGLNDTSRLKRERKEAPHTLGIWRSNKRLKKDAMFFEPLITGQCGDLSNMHEQDFVFAQAHPSSTDEAREEATGAQSPPSLGRDEMEIEHLRENVDPTEGGDILHEVLPMPSTLVSSPVTSMRSPSRQEDFTPAPTSLGEQSDQVERTIDSGVLPTPDPAASTGHLHSDIDTPLTIPKEDLRFEATPLSDIPEFDNSAGDLSFLEQDESTPTGSQGTPEVGLSGKQRTPEFDALSTRTRAVAQYLKGQSSTTPVSEGQLPASTMPGPGDLSLNAVLEGKSRKICARMFFETLVLKNCGLIDVKQEEPYGDITLKTTCKLWKEQL >itb01g24970.t1 pep chromosome:ASM357664v1:1:30495771:30499642:-1 gene:itb01g24970 transcript:itb01g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRNILLLAVASLLVVSAMAGGPRSVTYDRKSMIINGTRELLFSGSIHYPRSPPEMWPQIIRDAKKGGLNTIQTYVFWNIHEPVQGQFNFEGNNDLVKFIKLIGEAGMYVTLRVGPYLEAEWNFGGFPYWLREVPGIIFRSYNEPFMTHMKRYVKMVIDLMKKEKLFAPQGGPIILAQIENEYGNVQLAYRENGKKYIKWAADLGVGLYNEIPWIMCKQKEAPNTVISACNGRHCADTFQGPNGDDKPLLWTENWTAQYRVFGDPPSQRAAEDIAFSVARFFAIGGSLVNYYMYYGGTSFGRTTSSFVTTRYYDEAPLDEFGLRREPKWSHLSDVHRAIRLAKKPMLWGNQTVEKVGADIEIVVYEQPEMKICAAFLTNNHTKKPATVDFRGAKYYLPEKSVSILPDCKTVVYNTQTIVSQHNARNFHASKVATNLKWEMYQESIPTVEHLPIKNQVPRELYTLAKDTSDYAWYSTRIDFDRRDLPMRSDILPVIQIDSLGHALLAFVNGKYVGHKHGDFVEKKFLFKKPVELKPGRNDITILGTTVGLPNSGAYMEKRFAGPRSITIQGLMDGTLDITMNVWSHSVGLSGEKLELFTEEGAKKVTWTPLSKKTGPLTWLKTYFDEPEGKNPVALRMEGMAKGMIWVNGNNLGRYWVSYLSPIGKPTQMEYHIPRAFLKSKDNLLVILEETGGNPESVEIQTVDRDTICSMVPEYAPPHVKSWERTGNEFRPTVEDLKSGARLTCPDDKIIKKIEFASFGNPDGACGNLYAGNCTSPNSLKVVQTECLGKHRCWVSLERNAFDEEGKDPCPDIYKTLAIQASCGRD >itb01g24970.t2 pep chromosome:ASM357664v1:1:30496550:30499642:-1 gene:itb01g24970 transcript:itb01g24970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRNILLLAVASLLVVSAMAGGPRSVTYDRKSMIINGTRELLFSGSIHYPRSPPEMWPQIIRDAKKGGLNTIQTYVFWNIHEPVQGQFNFEGNNDLVKFIKLIGEAGMYVTLRVGPYLEAEWNFGGFPYWLREVPGIIFRSYNEPFMTHMKRYVKMVIDLMKKEKLFAPQGGPIILAQIENEYGNVQLAYRENGKKYIKWAADLGVGLYNEIPWIMCKQKEAPNTVISACNGRHCADTFQGPNGDDKPLLWTENWTAQYRVFGDPPSQRAAEDIAFSVARFFAIGGSLVNYYMYYGGTSFGRTTSSFVTTRYYDEAPLDEFGLRREPKWSHLSDVHRAIRLAKKPMLWGNQTVEKVGADIEIVVYEQPEMKICAAFLTNNHTKKPATVDFRGAKYYLPEKSVSILPDCKTVVYNTQTIVSQHNARNFHASKVATNLKWEMYQESIPTVEHLPIKNQVPRELYTLAKDTSDYAWYSTRIDFDRRDLPMRSDILPVIQIDSLGHALLAFVNGKYVGHKHGDFVEKKFLFKKPVELKPGRNDITILGTTVGLPNSGAYMEKRFAGPRSITIQGLMDGTLDITMNVWSHSVGLSGEKLELFTEEGAKKVTWTPLSKKTGPLTWLKVNFL >itb09g10770.t1 pep chromosome:ASM357664v1:9:6642807:6643544:-1 gene:itb09g10770 transcript:itb09g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNNRPLPPPSPIPTGKGSRSAVDSVLSEYIDQTQIVPELSLPQNAQSWVPAEIDYRSIKLRDSEAIEKISKSISDDGVFLVSDHGGFSTGELRSILIDNQWTFALLTPDHNDRGRGGYNGKYVWSISDKKLTLEKGKYAAHQQEILQILSTHFICYS >itb04g03850.t1 pep chromosome:ASM357664v1:4:2425305:2427646:1 gene:itb04g03850 transcript:itb04g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSIGSISRKPSKNAASVLQICVCGVTCSVNRELLAENCARVAAVLRENPQDNLSLLLGEIPGDPQTFEVVARFCHGLEIELSTENVVHVCCLAYYLGMTEDHRTNNLLKRALIYFQHNVVPSWNKSIRALKTTENVLQQAIEIGLVDACAESLVAQALDDPRLLGEPVKIDGCDGEENGLRASARRKLFDIEWKSEDLTVLAIRLYEPIISAMIHRQVPLEYVAASLCQYVKNWISDNSTMYKKNSEREVIEAIQRLLPDEKGLISCRSLFEMLQSAIALGASVECRDGLELRIGKQLDQATVQDLLKLCQLYTKDEKYDAECLKRILKNYYCNYTSSDISGLIAVAELIDEFLAEASRDTDMNASTFVSLAELSASVSTETKGSSDGIYRAVDIYLDKHRCLTESEREEVCSVLDCNKMSPEACEHAAQNQRLPLRLVVQVLFVGQLKLRDTIANGAQSSDRGLVKGEEEHEEAASRAGRVEEEVKEEMQKMSNKVLELERECSEMKEIQRGCCNKTVKEKGSMWREMKRKLGCMTTMHDCNCHVKKKKVQSKNVK >itb09g15270.t1 pep chromosome:ASM357664v1:9:10539603:10541280:1 gene:itb09g15270 transcript:itb09g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTRSTTILFWLIAFTIAFFSLTFSLYSNLLTTTTPTTLLPFLRSVVKQTGFDDFLSIIQQLKRSKHHHHHHHRRRHKANKCDDSKWDSPLVSTYNVSRVMTVDLNGCANFTSVQKAIDAVPDFSPVTTLIIIDSGTYREKVAVNKSKINLIIQGQGYLNTAISWNDTANSTGGTSSSYTFGAFAPKFIAYNISFQDTLNDHRGRHYFKQCFIQGSIDFIFGNGRSLYEECIINSIATEGGGGISGSITAQGRDSEEEKSGFSFVNCNIGGSGKVWLGRAWGSYATVVFSKTYMSQVVSPDGWNDWNNSTRDETVYFGEYDCTGPGSDDSNRSPYAKQLDESEAAPFLTTSYIDGDDWLIPKPNTA >itb14g00470.t1 pep chromosome:ASM357664v1:14:321799:322314:1 gene:itb14g00470 transcript:itb14g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVFLLFICKFEYCYSNNNGSCIEVERVALLRFKDSLIDRSNRLSSWTGLDCCVWEGVSCGSVTGHVWKLDLHNPVTYDDDDDKYNNNCLGGEISHSLINLTYLNYLDLSLNNFSEIQIPKFLGSFKNLRYLKLSTSGFVGNIPPHASWESFEARVSPPWIFFGRSLLC >itb05g18860.t1 pep chromosome:ASM357664v1:5:25551693:25554543:1 gene:itb05g18860 transcript:itb05g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPEIRTDFISGAAFRADNFFSGRQIFWAKVYSEGNCLKIKLPTEMDPRYAGETQKHLEKEYELLMEVYNSMSHELHTLQVEEEMLMRKFYELMTARGQTESKAADNDGMDNQQKGAE >itb03g21340.t1 pep chromosome:ASM357664v1:3:19201245:19203459:-1 gene:itb03g21340 transcript:itb03g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDIHKPIRGSIIHQILRFVYERHSTATKKNREWQVKLPIVVLRAEEIMYSKANSEAEYADPDTLWDRVNDAISTIIRRDESSETGQHLPPCVEAALNLGCVAEKPTRSQRNSNPRNYLSPRPQQPQHPVPPTHFSGSSNEHNSNLMPPQFVSQPTFGRPECGNINKPNASLAAFSQIVRAESNASLNLGSVFPLYYGTNFQPEVAQLGFQELQSNVIVGRPVYPSNAEPAQIDRFPSLFHSSLDQHAQDAAASDRRGRPRVECDLSLRLGMSSDHGHGLLCGKASSASGCHKVMPHDDSRARGKFTGKPTIQGREACFLRAEPATHLSVMHESWRNREGKVQKVESFLRKRKMPFHENGDDGQIFW >itb03g21340.t2 pep chromosome:ASM357664v1:3:19201245:19203459:-1 gene:itb03g21340 transcript:itb03g21340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFLILFCLFSCCFLFRCSMPTNCLIRFVYERHSTATKKNREWQVKLPIVVLRAEEIMYSKANSEAEYADPDTLWDRVNDAISTIIRRDESSETGQHLPPCVEAALNLGCVAEKPTRSQRNSNPRNYLSPRPQQPQHPVPPTHFSGSSNEHNSNLMPPQFVSQPTFGRPECGNINKPNASLAAFSQIVRAESNASLNLGSVFPLYYGTNFQPEVAQLGFQELQSNVIVGRPVYPSNAEPAQIDRFPSLFHSSLDQHAQDAAASDRRGRPRVECDLSLRLGMSSDHGHGLLCGKASSASGCHKVMPHDDSRARGKFTGKPTIQGREACFLRAEPATHLSVMHESWRNREGKVQKVESFLRKRKMPFHENGDDGQIFW >itb10g03150.t1 pep chromosome:ASM357664v1:10:2836339:2836590:-1 gene:itb10g03150 transcript:itb10g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLPHSSSSSLSKFSVIMVALLLMAAAPSPARARLMPVAAAGRRMATEELNGGVSYHHILAKGHVPASGPSRRGHRKQPFS >itb11g08060.t1 pep chromosome:ASM357664v1:11:5172255:5175457:1 gene:itb11g08060 transcript:itb11g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQLTASTISAKGFALFEGLRSSSTVKIASFAPPRQNISRSFPALVVKAATTITPKYTSLKPLGDRVLVKLRPAEEKSVGGILLPTTAQSKPQGGEVVAVGEGREIGKNKVEISVKVGTQIVYSKYAGTELEFNGAKHLILKEDDIVGILDTDDVRDLKPLNDRVLIKVAEVEEKTSGGLLLSAASKEKPSIGTVLAVGPGPLDEEGNRKSLSVSTGSTILFSKYAGNEFKGVDGSDYIVLRVSDVIAVLS >itb10g11210.t1 pep chromosome:ASM357664v1:10:16646403:16661656:-1 gene:itb10g11210 transcript:itb10g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNLSIVSSMKLLSVLGSLRPQSSERKRKWVETRRQLRQELNLAETVLNRLQTVGLRFCVAADQALPRLRGALPADKSTHKALDEMSELFNYLRVWKLEKRVYVDALMPPTESYHRNLFFQIYLRANNSPSMEGTLLAIGGRYDHLFHNIGDTEYKPNTPGAVGTSLALETILQHVSLDLRPCRNDLGTKVLVCSRGGGGLLVERMELVAELWEENIKAEFVPLRDPSLTEQYEYANEHDIKCLVIITDTGVAQQGSVKVRHLELRKEKEIEKENLVRFLSEAMAAHKLSDAYISQHSFNCTTPCLPCLLVLSFLLQIMMNLVLNPWKMAQGILPCHIWDCEKRRRFDSFETRQMLPILKLSRGLFEYSKDDVVWKSSKTLNLCAVMNWF >itb10g03430.t1 pep chromosome:ASM357664v1:10:3169649:3174153:-1 gene:itb10g03430 transcript:itb10g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMMRKWGVLVMCIIVGVMVKEGRGEPQVPCYFIFGDSLVDNGNNNNIGSLARANYLPYGIDFPGGPTGRFSNGKTTVDVIAELLGFDDYIPPYAAARGQEILRGVNFASAAAGIREETGQQLGDRISFSGQVRNYKNTVDQIVQIMGDENSAADYLSKCIYSIGVGSNDYLNNYFMPLYYTTSRQYNTEQYADILIQQYTEQLKTLYDYGARKFVLNGVGQIGCSPNALAQNSPDGRTCVERINVANRIFNDKLRALVDDLNTNTPDARFTFINAYAIFQDIVNNPSAFGFRVTNAGCCGVGRNNGQITCLPLQNPCPNRDEYLFWDAYHPGEAANTIVARRSYSAESSSDAYPYDISRLAQL >itb13g23390.t1 pep chromosome:ASM357664v1:13:29408379:29411789:1 gene:itb13g23390 transcript:itb13g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIADPGEVTQASAPPPPTSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQDLLRKSEALKAKLQTLDTQTKQSLEVLEKRETSIAASISIALKKVDESLVEFGNAAKEEGSEEAEVDNSAGLLLKLRSFCVQMDSRSFWSFVNVRKKELECLRSEIPKALGDCVDPPKFVMEAISEVFPVDKRELKSERSNDLGWACVLLLESLIPVMMDPVLGSERMLVTPAVRERANEIAETWKKSLDERGGIENVKTPDVHTFLQHLVTFGVVKEADVDLYRKLVVGSAWRKHMPKLALSLGLGDKMPELIEELISRGQQVDAVHFTYEVGLINKFPPVPLLKAFLKDAKKAATAILEDPNNSGRAAHLAAKKELSAIRAVLKCIEEYKLEAEFPPEHLKKRLEQLEKTKTEKKKPAAAAAPAAKRTRVSNGGPMPPAKAGRSTNAYVSSFPAAAPTFVRSPSHTQYPTGVPAYPASPIYAHGSMSPQYVYSPEAAPYPGSPYSPYGSYGNAMAPAYQQAYY >itb07g04610.t1 pep chromosome:ASM357664v1:7:3090361:3092012:-1 gene:itb07g04610 transcript:itb07g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKHQLKFLIYGKTGMIGGMLGKLCEEKGIPFEYGKARLEDLSQLLSDIIGVSLTHVFNTARATGTPNADWCEFNKVETIRSNVIGALNLADVCEEKDVLLLHFGSACIYDYDSEHPMGSGIGFTEQDQSNYDGSFYSKTKGMVEYLLGGYNNICILRVKMPLVSDLNHPRNFIKKIIGYEKVVNIPNSMSVLDELLPMAIEMAKRNCKGIWNFTNPGVISHNEMVEQGIRRRRQRLRLRLGGVADGESEIVAVKH >itb13g01430.t1 pep chromosome:ASM357664v1:13:1379442:1382807:1 gene:itb13g01430 transcript:itb13g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSFGQFLRKNHPNLRLILAYSRAISTESGGGSGGRRFNHEMKRGGMFLESVLRSLLPIVAASSSAGLCYYALSEKDSWVSYADSGNKASEQQSENKPMFLFKDTYRKRVFFKYEKRLRMRSPPEKVFEYFASFKVPGGEVYMTPGDLMRAVVPVFPPSEAASVRGGSLKGEKVPGELHCAPSDFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSIAFRMFDIDNDGGIDKEEFKRVMALMRNYNRQGARHRDGMRIGLNVSGSVENGGLLEYFFGKDGKGRLEHDKFVQFLRDLHIEMLRLEFAHYDYRSRGSISAKDFALSMVAAADMSHITKFLDRVEELNDEPRLRDVRISFDEFKSFAELRKKLQPFTLAIFSYGTMNGMLSKNDLKRAAKHVCGISLTENVVDMIFYLFDTNHDGILSSDEFLRVLQKRETDISHPMEKGFVGMISCWMDCAKGCPSKLLH >itb08g03730.t1 pep chromosome:ASM357664v1:8:3025092:3028543:-1 gene:itb08g03730 transcript:itb08g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVDPRQYETIDVSDSDVHNIVLSYLVHNCFKDTVESFIASTGMKQPDYCLEDMEKRKRIFNFTLEGNVLKAIEQTEQLAPDLLEKNKDLHFDLLSLHFVELVCSRKCTEALEFAQTKLAPFGKVQSYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQQVADSLNRAILAHANLPSYSAVERLIQQITVVRQSLSQEPGKEGNTPFSLKDFLKS >itb08g03730.t2 pep chromosome:ASM357664v1:8:3025105:3027910:-1 gene:itb08g03730 transcript:itb08g03730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDSDVHNIVLSYLVHNCFKDTVESFIASTGMKQPDYCLEDMEKRKRIFNFTLEGNVLKAIEQTEQLAPDLLEKNKDLHFDLLSLHFVELVCSRKCTEALEFAQTKLAPFGKVQSYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQQVADSLNRAILAHANLPSYSAVERLIQQITVVRQSLSQEPGKEGNTPFSLKDFLKS >itb11g03070.t2 pep chromosome:ASM357664v1:11:1572696:1573489:1 gene:itb11g03070 transcript:itb11g03070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSIASEVLQDLSSPLTAAMDDKRRSYWRFSKTDFFPEPTFENFSTYLHALGRTPGRLKDRVLARSDEATEMVELKKESENEMQKCLTWWDLMWLGFGSVVGSGIFTITGLEARYHAGPSIVLAYAISGLSALLSVFCYTEFSVEIPIAGGSFSFLRVELGDFVAFLAAGNLLLEAIVGAAGLGRSWVQFVGSNGCGDSRHCKWNSHDWNSPHFDFELAKLHNYCSGYSFHHCCWVY >itb11g03070.t1 pep chromosome:ASM357664v1:11:1572417:1574806:1 gene:itb11g03070 transcript:itb11g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSIASEVLQDLSSPLTAAMDDKRRSYWRFSKTDFFPEPTFENFSTYLHALGRTPGRLKDRVLARSDEATEMVELKKESENEMQKCLTWWDLMWLGFGSVVGSGIFTITGLEARYHAGPSIVLAYAISGLSALLSVFCYTEFSVEIPIAGGSFSFLRVELGDFVAFLAAGNLLLEAIVGAAGLGRSWSSYFSSLISNNADFWRIKIDSFAEGFNLLDPTAVVILAIANGIAMTGTRHTSILNWLSSIITALVIVFIIVVGFINAKTENLSPFVPFGAGGVFRAAAVVYWSYTGFDMVATMAEETKKPSRDIPLGLVGSMSIITVVYCLMSLALTMMVKYTMIDADAPFSVAFEGIGMKWAKYLVSVCALKGMTTSMLVGSMGQARYTTQIARAHMIPPWFSLVHPRTGTPIYATLLTTITSCIISFFTSLDVLSSVFSFSTLSIFMLMAVALLVRRYYVKEQTTRGDYIRFLVCLFVIIGSSIGVTVLWNRDSRGWVGYTVGGGLWGLGTLGMGLLPKKRVPKVWGVPLVPLLPSLSIGMNLFLIGSLGAAAFWRFFVCSAVMIVYYLLVGVHTTYDMAHQSHPDLRTEEGRVASNQVL >itb02g12610.t1 pep chromosome:ASM357664v1:2:8691387:8691993:-1 gene:itb02g12610 transcript:itb02g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDETLPVDSSPSDDASNSSHHSHLTVTNHPHLPQVLNNSPLPMTCHKLNCHNYLQWSQSLLMYVSSRGKDEYLTGEIVKPKEIDATYWQWKYENHMAMSWLINSMLHEIGENFLLYCIAKEIWDGAHDTYSSS >itb04g25630.t1 pep chromosome:ASM357664v1:4:30188404:30189009:1 gene:itb04g25630 transcript:itb04g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEELILNVDGSFREENKKAGGGAVLRTRDGKWIAGWSQRVNANNSWEAELMELEMGLEWVRSRGINRLEIQCDNANVVSAVCSGNGRGETGCRILDNCKRKIGGGEERRLVHVFREQNAVADWLAQRAVVGSEERIVHNKPPLGCIKLVQNDRIGGVVVRSVHXENHGYHSKEWGKIKMRRKQISKGNKEGDHTSKLKM >itb15g09400.t1 pep chromosome:ASM357664v1:15:6684525:6689923:1 gene:itb15g09400 transcript:itb15g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNAHSENFSLPESTPNLSRRNTSSNWSKASRESSIREVDMGDLGSRRVRHGSRGADSEGFSRSLKEISDEDARLIYINDPAKTNEEFEFSGNSIRTGKYSILTFLPRNLYEQFHRVAYIYFLVIAILNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDYRRHRSDKIENKRLALVFVNGQFQQKIWKDIRVGEIIKVFSSETIPCDMVLLSTSDTTGVAYVQTINLDGESNLKTRYAKQETQLKIPEKDTIDGLIRCEKPNRNIYGFQANMEIDGKRVSLGPSNIILRGCELKNTAWAIGVAVYAGRETKVMLNSSGAPSKRSRLETRMNREIIFLSFFLVALCTIVSVLAGFWLRHHKDELDIIPFFRRKDYSEPEVENYNYYGWGLEILFTFLSSVIVYQIMIPISLYISMELVRVGQAYFMVQDSQLFDEGSNSKFQCRALNINEDLGQIKFIFSDKTGTLTENKMEFQCASIWGVDYGSRKSSLQEDQVVYEVQVHGQVLRPKIKIKVDPELLKISKGRPCTVEGKHVHDFFLALAACNTIVPLAVDTSDPALKLVDYQGESPDEQALVYAAAAYGFMLVERTSGHIVISVQGEMQRFNVFGLHEFDSDRKRMSVILGCPDNTVKVFVKGADTSMFSVIDKSLSLEVVRATEMHLNSYSSMGLRTLVVGMRELSASDFGQWQSSYEAASTAVIGRAALLRKVANNIENNINILGASGIEDKLQQGVPEAIESLRIAGIKVWVLTGDKQETAISIGYSSKLLTSTMTHIVINSKSANSCRRSLEDGLNLCKKKLTTRNSEADFIADASSVALIIDGTSLVYILDDKELEEKLFQLASNCNVVLCCRVAPLQKAGIVALVKKRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYALFTAFTLTTAVTDWSSMLYSIIYTALPTIVVGVLDKDLSRRTLLKYPQLYGAGQRQEAYNGKLFWATIVDTVWQSAVAFFVPLLAYWKSDIGVSSIGDLWTLAVVLLVNIHLAMDVIRWSWITHAVIWGSIIATVICVIIIDALPFLPGYWAIFHIARDGAFWFCLLGIIVAALVPRFVVKVCVQHFTPRDIQIAREGEKFGNIGRSEYAVVEMNQIAHPPR >itb15g09400.t2 pep chromosome:ASM357664v1:15:6684525:6689091:1 gene:itb15g09400 transcript:itb15g09400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNAHSENFSLPESTPNLSRRNTSSNWSKASRESSIREVDMGDLGSRRVRHGSRGADSEGFSRSLKEISDEDARLIYINDPAKTNEEFEFSGNSIRTGKYSILTFLPRNLYEQFHRVAYIYFLVIAILNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDYRRHRSDKIENKRLALVFVNGQFQQKIWKDIRVGEIIKVFSSETIPCDMVLLSTSDTTGVAYVQTINLDGESNLKTRYAKQETQLKIPEKDTIDGLIRCEKPNRNIYGFQANMEIDGKRVSLGPSNIILRGCELKNTAWAIGVAVYAGRETKVMLNSSGAPSKRSRLETRMNREIIFLSFFLVALCTIVSVLAGFWLRHHKDELDIIPFFRRKDYSEPEVENYNYYGWGLEILFTFLSSVIVYQIMIPISLYISMELVRVGQAYFMVQDSQLFDEGSNSKFQCRALNINEDLGQIKFIFSDKTGTLTENKMEFQCASIWGVDYGSRKSSLQEDQVVYEVQVHGQVLRPKIKIKVDPELLKISKGRPCTVEGKHVHDFFLALAACNTIVPLAVDTSDPALKLVDYQGESPDEQALVYAAAAYGFMLVERTSGHIVISVQGEMQRFNVFGLHEFDSDRKRMSVILGCPDNTVKVFVKGADTSMFSVIDKSLSLEVVRATEMHLNSYSSMGLRTLVVGMRELSASDFGQWQSSYEAASTAVIGRAALLRKVANNIENNINILGASGIEDKLQQGVPEAIESLRIAGIKVWVLTGDKQETAISIGYSSKLLTSTMTHIVINSKSANSCRRSLEDGLNLCKKKLTTRNSEADFIADASSVALIIDGTSLVYILDDKELEEKLFQLASNCNVVLCCRVAPLQKAGIVALVKKRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYALFTAFTLTTAVTDWSSMLYSIIYTALPTIVVGVLDKDLSRRTLLKYPQLYGAGQRQEAYNGKLFWATIVDTVWQSAVAFFVPLLAYWKSDIGVSSIGDLWTLAVVLLVNIHLAMDVIRWSWITHAVIWGSIIATVICVIIIDALPFLPGYW >itb12g19390.t1 pep chromosome:ASM357664v1:12:21799562:21800314:1 gene:itb12g19390 transcript:itb12g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTDLFLPKLLVHVLTLLGFLRRFITAIFGFLGLGEFLEPEMSFPAREESAASELHSVSAALIRELLPVVKFSELVEAPESCAVCLYEFDGEDEIRRLTNCRHIFHRNCLDRWMDHDQKTCPLCRTPFIPEDMQESFNERLWLASGISDFYGDYPTVAAGL >itb10g06790.t1 pep chromosome:ASM357664v1:10:7692744:7695440:-1 gene:itb10g06790 transcript:itb10g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDIAKKIKELDSTLDRITKEKDQFKFNTCAASHSDQESKRVTTTFDVDVSEIQGRKSDASALISKIAWLEVARTPKGDRKSASSKLRYIDLSLSKVEELPDTIHSLFSLQTLDLEGCEQFSRLPDKIGDLSQLRYINLSESKVEKLPDTICSLENLRTLVLKKCERLSRLPEGIGNLVELRYINLNDCENVEELPKGIGNLINLRHLDIRGTKRLEMMPQGMAKLTQLCSLSEFKVGKESSKLGYMEKLNQLKGELSIFFLCDLNSPADVEEAKKAELRKKKHIKELHLHFSPGVDVGIDVIEALKPPPELQNLELNGYGGIHFPSWITLSLHNLQILKIWGCENCPSLPPLGKLPSLETLIIFYMKKLRYVGSEFLGVAEVGGVAFPKLKELEFTWCEELEEWEDFKEEATIIIMPCIRELELSYCRKLKTVPHHLLSRLESLKIKHCPSLKVEQIE >itb11g00570.t4 pep chromosome:ASM357664v1:11:251009:257127:-1 gene:itb11g00570 transcript:itb11g00570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYNCFIFFFFQVLIDNIKDFAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMISMIYNWPSDQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPKRVLPIMLDVGTNNQKLLEDPLYLGLRQHRLEGEEYLSIVDELMEAIHARWPKAVVQFEDFQAKWAFETLHRYRKRLCMFNDDVQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLNMAMQAVSRMSGPSANPHFFVLDKNGLITEERQDLNPAVLPFAKAAQHEIKSIGLHEGASLAEVVKKVKPHVLLGLSGVGGIFTEEVLRAMRESDSSKPAIFAMSNPTNNAECTAADAFKYAGGNIVFGSGSPFDNVDLGNGKVGHVNQANNMYLFPGIGLGALLSGARHISDKMLEAAAECLASYMSDDEVKQGILYPSINK >itb11g00570.t3 pep chromosome:ASM357664v1:11:251009:257127:-1 gene:itb11g00570 transcript:itb11g00570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYNCFIFFFFQVLIDNIKDFAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMISMIYNWPSDQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPKRVLPIMLDVGTNNQKLLEDPLYLGLRQHRLEGEEYLSIVDELMEAIHARWPKAVVQFEDFQAKWAFETLHRYRKRLCMFNDDVQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLNMAMQAVSRMSGPSANPHFFVLDKNGLITEERQDLNPAVLPFAKAAQHEIKSIGLHEGASLAEVVKKVKPHVLLGLSGVGGIFTEEVLRAMRESDSSKPAIFAMSNPTNNAECTAADAFKYAGGNIVFGSGSPFDNVDLGNGKVGHVNQANNMYLFPGIGLGALLSGARHISDKMLEAAAEWYRTY >itb11g00570.t2 pep chromosome:ASM357664v1:11:251009:257127:-1 gene:itb11g00570 transcript:itb11g00570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKALGYAASRLGRSRPFTTAITGPCIVHKRGADILHDPWFNKDTGFPITERDRLGLRGLLPPRVISFEHQYSRFMESFHSLEKNTLGQPEGTVLLTKWRILNRLHDRNETLYYRVLIDNIKDFAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMISMIYNWPSDQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPKRVLPIMLDVGTNNQKLLEDPLYLGLRQHRLEGEEYLSIVDELMEAIHARWPKAVVQFEDFQAKWAFETLHRYRKRLCMFNDDVQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLNMAMQAVSRMSGPSANPHFFVLDKNGLITEERQDLNPAVLPFAKAAQHEIKSIGLHEGASLAEVVKKVKPHVLLGLSGVGGIFTEEVLRAMRESDSSKPAIFAMSNPTNNAECTAADAFKYAGGNIVFGSGSPFDNVDLGNGKVGHVNQANNMYLFPGIGLGALLSGARHISDKMLEAAAECLASYMSDDEVKQGILYPSINNIRDITAEVGAAVLRAAVSEELAEGHGDVGRKDLEHMSEEESVEHVRRNMWYPVYSPLVHD >itb11g00570.t1 pep chromosome:ASM357664v1:11:251106:257127:-1 gene:itb11g00570 transcript:itb11g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYNCFIFFFFQVLIDNIKDFAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMISMIYNWPSDQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPKRVLPIMLDVGTNNQKLLEDPLYLGLRQHRLEGEEYLSIVDELMEAIHARWPKAVVQFEDFQAKWAFETLHRYRKRLCMFNDDVQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLNMAMQAVSRMSGPSANPHFFVLDKNGLITEERQDLNPAVLPFAKAAQHEIKSIGLHEGASLAEVVKKVKPHVLLGLSGVGGIFTEEVLRAMRESDSSKPAIFAMSNPTNNAECTAADAFKYAGGNIVFGSGSPFDNVDLGNGKVGHVNQANNMYLFPGIGLGALLSGARHISDKMLEAAAECLASYMSDDEVKQGILYPSINNIRDITAEVGAAVLRAAVSEELAEGHGDVGRKDLEHMSEEESVEHVRRNMWYPVYSPLVHD >itb05g16880.t1 pep chromosome:ASM357664v1:5:23969447:23972800:1 gene:itb05g16880 transcript:itb05g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MAIRHLLNLARRSRRTIASRCGKPYSSSSAAVAAAGNDTLAPSPPPPTAMIYDRLAESVKHKLKRLENPDSRFLQYNSPHPVLADHTAILTAPATRVTTLPNGLRVATESNLAAKTATVGVFIDAGSRFETEETNGTAHFLEHMIFKGTERRTARELEEEIENMGGHLNAYTSREQTTFYAKVLDKDIPRALDILADIIQNSKFDQKRIERERDVILREMEEVESQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKTHLKDYIMTHYTAPRMVVVASGPVKHEEFVDQVKKLFTNLSTDPTTASQLIAKGPSVFTGSEVRMIDDDIPLAQFAVAFEGASWTDPDSVALMVMQSMLGSWNKGAGGGKHMGSELAQRVSINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYSIMYETSKLCYRVSEADVTRARNQLKSSLLLHMDGTSPIAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIFDRDVAISAVGPIQNLPDYNWFRRRTYMLRY >itb15g03790.t1 pep chromosome:ASM357664v1:15:2383551:2387509:-1 gene:itb15g03790 transcript:itb15g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKSMAVIFIMAFAICCYVGNSLSDAASLESNDHVTGAAIDDGGYKNPNSLIRSRLLLEVHEKCPCWPTCCWDKQQAGQHGHFSCTSNSKRDLIKDFGSLILCPSAPTAVSDSSLLTSLSLCKKTSTGREFPSDAARGASATGAKAADDDWLPQLQSTSAPVKNRHTIAKGQLDFAMSTILFSGESVLLPEPTIPEACTVADQFNDGIKETILSCRGRRIGSNRQRNKLSTH >itb01g00810.t1 pep chromosome:ASM357664v1:1:392481:395796:-1 gene:itb01g00810 transcript:itb01g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKSTDPKPEESEEEAIELILFQVSECYVYLIPPRKSAASYRADEWNVNKWAWEGALKVLSKGEECIIRLEDKTTGELYARAFLRDGEPHPVEPVIDSSRYFVLRVEENIGGRRRHAFLGIGFRERPEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKTTSVDYSLKEGETLVLQIKNKAGSGSSIRSKFFEQGLNLNNLSLEDKANRKESPLLLKLPPPPLTPVATPENSPSGSPPEFSLDQSPKGKDSSPAIEQSGKSESTGNLRPQEVEDDDFGDFQAAG >itb04g15240.t1 pep chromosome:ASM357664v1:4:16460670:16463282:-1 gene:itb04g15240 transcript:itb04g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEIRKGSKYELDKASGLIKEHVLPGTFLRARAIRLMPMIDQGERDDKNIAVCANDPEFCHYSDELMVSIFIQFHLHHLLDLQDFQNQQKMLILDFCYVIAVLFYIIVYILLY >itb15g22550.t1 pep chromosome:ASM357664v1:15:25233459:25234279:1 gene:itb15g22550 transcript:itb15g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMVIDQMNPFLYKAAVKGNVEDYHEALRQMPEEEARRRQVTPKGNTVLHVAAIHGHKHLVEEILKEVEDDDAVMSLLFAKNNRNQSVLHCAAEKGYSRVVSRH >itb13g22330.t1 pep chromosome:ASM357664v1:13:28620937:28624124:-1 gene:itb13g22330 transcript:itb13g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRFAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYAIVFATRYLDLFTTFISIYNTTLKLIFLGSSFSIVWYIRHHKTVRRSYDKQHDTFRHLFLVIPCLLLALVINDKFTFKEVMWTFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRAFYILNWIYRYFTEPYYIHSWITWISGLVQTLLYADFFYYYFQSWKNNSKLELPA >itb13g26460.t1 pep chromosome:ASM357664v1:13:31712088:31712927:-1 gene:itb13g26460 transcript:itb13g26460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVFLLILWLSLLLLLFHEFSTLNYNNNIGVTISSPSLPRHRKALAAATHFDFTPFLNNSGRHRERRSPESHGHRPPGGNEIDPRYGVQKRLVPTGPNPLHH >itb05g12590.t2 pep chromosome:ASM357664v1:5:19202032:19220066:1 gene:itb05g12590 transcript:itb05g12590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDRLQFSSLFWPPPQDVQQRKDQITAYVEYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFLLHHPEHGHAVIHPIISCIIDGTLEYDKNSPPFASFISLVCPNSQNELSEQWALACGEILRILTHYNRPVYKVEKKDSEADRSNSGSHASTSKSADGGPSLLSLQHERKPLRLLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAIAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCDKKQREVPAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIMVATPLQPPILSWNLYIPLLKVLEYLPRRSPSETCLMKIFVATVEAILHRTFPPDSSREEIKKTRFVFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFIVLTVCVSHEAKHNGSKRPKGEDSLAVSEVSGDIPTTTAKRKEMGSGKPKKQGPVAAFDSYVLAAVCALSWELQLFPLISRGSFSFGPKNVDDTANLSNVSSIELKNGIHSAVCHTRRILAILEALFSLKPSSVGTSCSYSSNQIVAAAMVAAHVSDLFRRSKACMRALSILIRCKWDDEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLMHAPVPREIPTCFHGRKRNKCTSCNCLKPEQPSPHQCEGSSDPKTLIICDKASQSTEVARGTTGKAVASFPIDASDLANFLTMDRNVGFNFQARDLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVLASPAKAATAVVLQAEREFQPWIAKDDDFGQKMWRINQRIVKLIAELMRNHDTPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVQPVLEWGESGLAVADGLSNLLKCRIPATVRCLSHPSAHVRALSISVLRAILHSGSIKSRAKPVNMNGIHGPAYQCLNVGTIDWQADIERCLNCEAHSQLANGMSAEFLDTAAKELGCTISV >itb05g12590.t1 pep chromosome:ASM357664v1:5:19202032:19220066:1 gene:itb05g12590 transcript:itb05g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDRLQFSSLFWPPPQDVQQRKDQITAYVEYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFLLHHPEHGHAVIHPIISCIIDGTLEYDKNSPPFASFISLVCPNSQNELSEQWALACGEILRILTHYNRPVYKVEKKDSEADRSNSGSHASTSKSADGGPSLLSLQHERKPLRLLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAIAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCDKKQREVPAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIMVATPLQPPILSWNLYIPLLKVLEYLPRRSPSETCLMKIFVATVEAILHRTFPPDSSREEIKKTRFVFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFIVLTVCVSHEAKHNGSKRPKGEDSLAVSEVSGDIPTTTAKRKEMGSGKPKKQGPVAAFDSYVLAAVCALSWELQLFPLISRGSFSFGPKNVDDTANLSNVSSIELKNGIHSAVCHTRRILAILEALFSLKPSSVGTSCSYSSNQIVAAAMVAAHVSDLFRRSKACMRALSILIRCKWDDEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLMHAPVPREIPTCFHGRKRNKCTSCNCLKPEQPSPHQCEGSSDPKTLIICDKASQSTEVARGTTGKAVASFPIDASDLANFLTMDRNVGFNFQARDLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVLASPAKAATAVVLQAEREFQPWIAKDDDFGQKMWRINQRIVKLIAELMRNHDTPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVQPVLEWGESGLAVADGLSNLLKCRIPATVRCLSHPSAHVRALSISVLRAILHSGSIKSRAKPVNMNGIHGPAYQCLNVGTIDWQADIERCLNCEAHSQLANGMSAEFLDTAAKELGCTISV >itb05g12590.t6 pep chromosome:ASM357664v1:5:19202053:19220064:1 gene:itb05g12590 transcript:itb05g12590.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDRLQFSSLFWPPPQDVQQRKDQITAYVEYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFLLHHPEHGHAVIHPIISCIIDGTLEYDKNSPPFASFISLVCPNSQNELSEQWALACGEILRILTHYNRPVYKVEKKDSEADRSNSGSHASTSKSADGGPSLLSLQHERKPLRLLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAIAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCDKKQREVPAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIMVATPLQPPILSWNLYIPLLKVLEYLPRRSPSETCLMKIFVATVEAILHRTFPPDSSREEIKKTRFVFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFIVLTVCVSHEAKHNGSKRPKGEDSLAVSEVSGDIPTTTAKRKEMGSGKPKKQGPVAAFDSYVLAAVCALSWELQLFPLISRGSFSFGPKNVDDTANLSNVSSIELKNGIHSAVCHTRRILAILEALFSLKPSSVGTSCSYSSNQIVAAAMVAAHVSDLFRRSKACMRALSILIRCKWDDEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLMHAPVPREIPTCFHGRKRNKCTSCNCLKPEQPSPHQCEGSSDPKTLIICDKASQSTEVARGTTGKAVASFPIDASDLANFLTMDRNVGFNFQARDLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVLASPAKAATAVVLQAEREFQPWIAKDDDFGQKMWRINQRIVKLIAELMRNHDTPESLVIVASASDLLLRATDGMLVDGEACTLPQLEVIISGEMMYLPAYNSYLLWH >itb05g12590.t5 pep chromosome:ASM357664v1:5:19202053:19220064:1 gene:itb05g12590 transcript:itb05g12590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDRLQFSSLFWPPPQDVQQRKDQITAYVEYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFLLHHPEHGHAVIHPIISCIIDGTLEYDKNSPPFASFISLVCPNSQNELSEQWALACGEILRILTHYNRPVYKVEKKDSEADRSNSGSHASTSKSADGGPSLLSLQHERKPLRLLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAIAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCDKKQREVPAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIMVATPLQPPILSWNLYIPLLKVLEYLPRRSPSETCLMKIFVATVEAILHRTFPPDSSREEIKKTRFVFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFIVLTVCVSHEAKHNGSKRPKGEDSLAVSEVSGDIPTTTAKRKEMGSGKPKKQGPVAAFDSYVLAAVCALSWELQLFPLISRGSFSFGPKNVDDTANLSNVSSIELKNGIHSAVCHTRRILAILEALFSLKPSSVGTSCSYSSNQIVAAAMVAAHVSDLFRRSKACMRALSILIRCKWDDEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLMHAPVPREIPTCFHGRKRNKCTSCNCLKPEQPSPHQCEGSSDPKTLIICDKASQSTEVARGTTGKAVASFPIDASDLANFLTMDRNVGFNFQARDLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVLASPAKAATAVVLQAEREFQPWIAKDDDFGQKMWRINQRIVKLIAELMRNHDTPESLVIVASASDLLLRATDGMLVDGEACTLPQLEVIISGEMMYLPAYNSYLLWH >itb05g12590.t4 pep chromosome:ASM357664v1:5:19202032:19220066:1 gene:itb05g12590 transcript:itb05g12590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDRLQFSSLFWPPPQDVQQRKDQITAYVEYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFLLHHPEHGHAVIHPIISCIIDGTLEYDKNSPPFASFISLVCPNSQNELSEQWALACGEILRILTHYNRPVYKVEKKDSEADRSNSGSHASTSKSADGGPSLLSLQHERKPLRLLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAIAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCDKKQREVPAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIMVATPLQPPILSWNLYIPLLKVLEYLPRRSPSETCLMKIFVATVEAILHRTFPPDSSREEIKKTRFVFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFIVLTVCVSHEAKHNGSKRPKGEDSLAVSEVSGDIPTTTAKRKEMGSGKPKKQGPVAAFDSYVLAAVCALSWELQLFPLISRGSFSFGPKNVDDTANLSNVSSIELKNGIHSAVCHTRRILAILEALFSLKPSSVGTSCSYSSNQIVAAAMVAAHVSDLFRRSKACMRALSILIRCKWDDEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLMHAPVPREIPTCFHGRKRNKCTSCNCLKPEQPSPHQCEGSSDPKTLIICDKASQSTEVARGTTGKAVASFPIDASDLANFLTMDRNVGFNFQARDLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVLASPAKAATAVVLQVQISFYLDLLELLFLLTNVKYVFFF >itb05g12590.t3 pep chromosome:ASM357664v1:5:19202032:19220066:1 gene:itb05g12590 transcript:itb05g12590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCERWIDRLQFSSLFWPPPQDVQQRKDQITAYVEYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFLLHHPEHGHAVIHPIISCIIDGTLEYDKNSPPFASFISLVCPNSQNELSEQWALACGEILRILTHYNRPVYKVEKKDSEADRSNSGSHASTSKSADGGPSLLSLQHERKPLRLLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAIAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIASPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCDKKQREVPAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIMVATPLQPPILSWNLYIPLLKVLEYLPRRSPSETCLMKIFVATVEAILHRTFPPDSSREEIKKTRFVFGSASKNLAVAELRTMVHSLFVESCASVELASRLLFIVLTVCVSHEAKHNGSKRPKGEDSLAVSEVSGDIPTTTAKRKEMGSGKPKKQGPVAAFDSYVLAAVCALSWELQLFPLISRGSFSFGPKNVDDTANLSNVSSIELKNGIHSAVCHTRRILAILEALFSLKPSSVGTSCSYSSNQIVAAAMVAAHVSDLFRRSKACMRALSILIRCKWDDEIHSRASSLYNLIDIHSKVVASIVNKAEPLEAHLMHAPVPREIPTCFHGRKRNKCTSCNCLKPEQPSPHQCEGSSDPKTLIICDKASQSTEVARGTTGKAVASFPIDASDLANFLTMDRNVGFNFQARDLLKSVLAEKQELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVVDALCNVVLASPAKAATAVVLQVQISFYLDLLELLFLLTNVKYVFFF >itb10g11870.t1 pep chromosome:ASM357664v1:10:17523074:17523364:-1 gene:itb10g11870 transcript:itb10g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNKQMIFRRGDVVEVASLKQGFWGSYFEATVVMALPPVREYIVQYETLVKNDLSGPLPEVVFAAEVRPVPPKVRMRDSGEFRKGDKVDAYDNEE >itb06g18210.t1 pep chromosome:ASM357664v1:6:21923447:21924445:1 gene:itb06g18210 transcript:itb06g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTTADKTAASSLTTPFSPPSSSAASSPAAVSPPKTRSSSPVSSSVVVVSPCAACKILRRRCVDKCVLAPYFPPTDPLKFTIAHRVFGASNIIKMLQELGEDQRADAVNSIVYEAQARIRDPVYGCAGTICQLQKQISELQAQLAKAQAEIFNMQCQNASLANLICMETAAAATTTASSINIQEEQLPYFDNVGSFFLEDNCAALEPMLWTWK >itb04g08930.t1 pep chromosome:ASM357664v1:4:8185224:8189752:-1 gene:itb04g08930 transcript:itb04g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRPQAVGEGWRGNRGGESRPVVGCVGEAEKQSEGEREAVGEGRRRSRGGESRPVIVSSMIAGGRRLRRRWPRNRDAEKQNEGGGHSSCRRRPPQPQHLSRSATTICRRPQPPSVANVRKRHPLPQPRPFASHSRTRVWNRIKPRGFCKGMPV >itb07g15720.t1 pep chromosome:ASM357664v1:7:18759103:18761385:-1 gene:itb07g15720 transcript:itb07g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSSLVELDISNCNALTIPDKYLHEDDDLPIALRSLSSLRALDLMGRYYLQSLPLSLFHHSNLRNLYLDDWQNLRSLPQLPPNLVILSAKNCVSLEKIADLSNLKRLEWLDFQNCKSLVELSGLESLESLHGFGIANCNGVRIPSIEKWFKARSKGDSVEISLQVGVGSVFCNFPIPWGDVKFQIMHNVIDPSEIDGCNGIRLSVRSKSSGAWILKEPNYISINLKVIPEMSKVSIGEMPGEILVKDLQAPFPGMIYNMALKLSGANAVVLNSFQKLEPTVTDDLAAGHTKTTHLRRPLQLR >itb02g26010.t2 pep chromosome:ASM357664v1:2:26802513:26804570:-1 gene:itb02g26010 transcript:itb02g26010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSVVWDPWLIVAQIVCLQCLYYLILGIFMGVLVGTRVSRMSLVYFFDYASITAATVTGWCVIASFILSSIVGAGFLVYLIERAKKCLDFSATLYILHLFICIVYGGWPSSLAWWVVNVTGFAIMALLGEYLCIKRELREIPISRYRPSKGLFCTHAYNYTFCEIILCFHFN >itb02g26010.t1 pep chromosome:ASM357664v1:2:26801338:26804570:-1 gene:itb02g26010 transcript:itb02g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGSVVWDPWLIVAQIVCLQCLYYLILGIFMGVLVGTRVSRMSLVYFFDYASITAATVTGWCVIASFILSSIVGAGFLVYLIERAKKCLDFSATLYILHLFICIVYGGWPSSLAWWVVNVTGFAIMALLGEYLCIKRELREIPISRYRPNV >itb09g21230.t1 pep chromosome:ASM357664v1:9:18982470:18983006:-1 gene:itb09g21230 transcript:itb09g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYEQKESVDEYEKGERLDLEDNYPEYETEEYGGPNYDDRGIEYEEVQEEGEEIEEHEEEYIGDEREGDMVEEEVEDVNEDLESEEDDDHVGEEHGQMVDEAEEDEHDEVVKERRKRKELEIFIGGLDKDATEDNLRKVLSEVGDVTEVRFMMNPQTKKNKGFAFLRFTTVEQASL >itb10g18570.t1 pep chromosome:ASM357664v1:10:24504387:24504806:-1 gene:itb10g18570 transcript:itb10g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVPILLLLLGLCCLVRSQKASSIDGFESSECNGQEYPSNSNFETSLKYAIAKLLILTPGTQNFNKYESSPDGAFYGHAVCHYQSKHIDCINCLYGAALRLLELCPRRIGGEIFFGLATHCYIRYEAYPFTDPSEVLS >itb09g26350.t1 pep chromosome:ASM357664v1:9:26903911:26904204:1 gene:itb09g26350 transcript:itb09g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAMAAKANYLPLELCSLVSLRRYTKALSSMQRASLVQKSRQKPIARIQVVTDAVKNYCYDDDPLLATCGISTEKQLMQVEGRVLDYPHDFGIQN >itb05g14570.t1 pep chromosome:ASM357664v1:5:21685158:21687313:-1 gene:itb05g14570 transcript:itb05g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MTIQSTSLCVNSSCSLYLAKENPQKRHKHRQRDNLKSKFQKPLSTPLLVNSRNQPQSKFQALDKILGDIEASFNNGVEIDDPSIFASLLEACFHLQAFNHSARIHRLVPQKLLRKNIGISSKLLRLYAADGRVEDAHQLFDQMRHRYSSAFPWNSLILGYVNKGLFEDALALYFQMVEEGVEPDLHTFPRVLKACAGIGLIQVGEEVHRHVIRWGFGNDRFVLNTLVDMYAKCGDIIKARRIFDQIAEKDLVSWNSMLVGYVRHDLILEALDIFQSMLHDGFKPDSVSVSALLSRELPLKLGPQIHGWVLRQGIEWNLSIANSLLVLYSNSLNNLKQTRWLFENMPERDVVSWNSIIAAHSKGREALAYFQEMVSSGAMPDGITFVSLLSVCAHLGLVEDGERIFTLMREWYKIKPVMEHYACLVNLYARAGLITKAYDLIANNMEFEAGPTVWGALLYGCSLCGNADIGEIVANHLFELEPDNEHNFELLVKTYRKAGLIEDAERVIQMMIDRGFDLQI >itb06g16300.t1 pep chromosome:ASM357664v1:6:20463954:20464963:-1 gene:itb06g16300 transcript:itb06g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQQEPPPLYPPPPPINPQLPEQEHLKCPRCGSMNTKFCYYNNYNLSQPRYFCKSCKRYWTKGGVLRNIPVGGASRKTTKRSSSSSSSSSDEKRSSTTSSSPSSTAAKSSSSALPGADVNSHLALSIGGHSGGFLDGSGKHLGGFHDNPSRNSGSGSGSDCVDNGGRNGGQLA >itb03g10280.t1 pep chromosome:ASM357664v1:3:8112590:8114344:1 gene:itb03g10280 transcript:itb03g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDVYSSSSPVFADPFSEELMLALQPFMNYASSSPPSPPSSSFSSSYYSPEPNVFPGLIPIPFANRTFSDGFVSVGFGQSGPIGLNQLSQSQILEIQTQIELQHKQQEEEALAALLSSSSSPVFTLFQNQEKSLLGTKPVPMKQAQKPGKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAAMAHDKAAYKLRGENARLNFPHFRSILSHSVEGFKPLHSSVVAKLEAICQSLTDNNNNNIDNSKSNKQQRNSEEPCFAADNVKTESSSGVGAATSPESEITLLEWENIILDKYPSGEIDWAAL >itb06g03900.t1 pep chromosome:ASM357664v1:6:6372624:6375930:-1 gene:itb06g03900 transcript:itb06g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKFIKRGHRKVPKPDDGEFGDGALGRRGSDSVSVASVVVNNSSIGTNSVQSPAPVTIETLPRFRDVPASEQQNLFLRKLQICCFQFDFKDMLKMMREKDIKRQTLVELIELIQSGSGKICESNQEELIKMISINIFRCLPSALHETTGSENADAEEDEPYLEPSWPHLQLVYELLLRYVVSSETDAKVAKRFIDHTFLLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAIGNIFYRFIYESDRHSGIGEILEILGSIINGFALPMKEEHKLFLVRALVPLHKAKSVATYHQQLSYCIVQFVDKDYKLADVVIRGLLKYWPVTNCQKEVLFLGEIEEVLDATQASEFQRCMVPLFKQIASCLSSLHFQVAERALFLWNNEHIVSLISQNRNVILPIVFEALEKNIRDHWNTSVNGLTVNVRKMFLEMDADLFEECQRQYAERVARTAEVEGQRELRWQKLTTAAANGG >itb09g05210.t1 pep chromosome:ASM357664v1:9:2965733:2970528:1 gene:itb09g05210 transcript:itb09g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MESGGGSIASLCPMMMMMPSSTDQDNNNNNSNQNNEGSAGYFVDNNNNGGGGGGGEEGKCSSSSSSSSVKAKIMAHPHYHRLLSAYLNCQKIGAPPEVVARLEEACASMAAMGRAGGTCLGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMLFLSRIESQFKALTLPCSSESAGADAMDRNVSSEEEVDVTTGFIDPQVEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWTRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDTAHPHYYMDNVLGNPFSMDITPTLL >itb13g05460.t1 pep chromosome:ASM357664v1:13:6541271:6544543:-1 gene:itb13g05460 transcript:itb13g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVCSGRSKEGEMRALLCFKKELEDPMNRLSSWIDGFDCCSKWEGVECDNTTGHVIGLQLGNPNFEFEFVHPPKGTLSPCLLKLKQLRHLDLSGLYNYFSGSQIPNFIGSFANLQYLDLSNLGFQGIIPHQLGNLTSLHTLVLHNSYFDRNLIKVDSFEWLSNLSNLQLLDLSNANLRMVHNWPEMISMLPSLHELHLPDSDLPKSYHPFHCNNSSLQVLDLSFNEFNYVIPRWIFNLNNLVYLDLSYCGFLSPFPSGHWNFTFLRTLNIRGNEMNGLLPTQLFGLSCLVSLKLHGNHFQGPLPNSPWNLTSLRVLDISNNELNASLPSQLFGLSHLEALYIASNVFQDPLPSGLSNLTSLKILDVSSNHLMNSQIPNWIYDCINLESLNLRDNQLQGTISNSISNLTSLSTLDLSENMLMGEIPKQIGKLSKLRVLDLYENMITGEIPKQVGKLIKLEVLYLFRNMLTGEIPKEIGKLRKLRLLNLCRNMLTGDMASQIGKLNKLRGLRQLDISRNKFFGHLPESLGHSFPLMQSLYIFDNLLQGTVTERHFANLTKLRYFDAFGNRITMSVSPNWTPPFQLYELYLSGWNLGPQFPAWLQSQHQIYDVDISNAGIEGEVPTWFWNFSSQIHLVNLSHNQMRGQIRHISFPSPREMSTLLVYLGSNQFSGSLPRISVHITELDLSNNSFSGNVSDFFCQTQNVPFDLRILHLGRNDLSGEILNCWMHWPHLRVINMGKNQLIGSIPNSIGFLNQLKSLDLHKNMLSGHIPSSLQNCTHLLKIDLGENGFTGKIPRWLGTRLSYLTVLRLRSNKFYGELPPEFCLLTSLHILDLSNNNFCGAIPSCFKNLTAMIIEKEIQDADFQMWYSFYTWAAFGESALVTTKGHEYEFSTTILLLFAGMDLSSNKFTGKIPIELMSLVRLRSLNLSRNNLTGNIPMDMVNMKLLESLDLSRNQLSGKIPPSISSLSTLSVLDLSYNSLTGKIPLGTQLQGFNASCYIGNNLCGPPLSQKCSSDDDEGDISKHENNGDDDSSEVDVFYVSMGIGFAVGFWGMCGCLFLVRPWRIAYFKFLDNKLKSFFTWLHVLRA >itb12g01640.t1 pep chromosome:ASM357664v1:12:1104112:1105717:-1 gene:itb12g01640 transcript:itb12g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSAWTTLICSLRFSVAFSTPEILSFISFIFVSTDGSRSCKLVKMVYKEVLSFSLPNHLDFKSFISISLLPNKFDSCLVELPFRSIAALCSCVPLLGCALNALVGVLCDDQTLSEVSENAAAQQHFRQPQHIKGASMAS >itb03g28700.t1 pep chromosome:ASM357664v1:3:29392951:29395257:-1 gene:itb03g28700 transcript:itb03g28700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MPSLEEELFPSTPGKFKERHTMNRLVHRCCASTSTMFLWALFLIALTASYLSFQSFMDSGTRYFSSTWGGLHWEKQVRASAQIRRHNGMSVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNDYYDPSLKKSRRELIGAQGIFVVEGDVNDARLLAKLFDVVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAALVTLLEACKGAEPQPAVVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRADLARDFTYIDDIVKGCVASLDTAGRSTGSGGKKRGPAPYRIFNLGNTSPVTVPMMVGMLEKHLKVKAKKTVLEMPGNGDVPFTHANISSARRELGYKPTTDLQTGLKKFVKWYLSYYGYNQGRSGKGFR >itb08g01470.t1 pep chromosome:ASM357664v1:8:1087562:1090907:1 gene:itb08g01470 transcript:itb08g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLSLSHSPTLSSLHHQPSPFEPTIFKSSESSSYPFSLCSCSLPVILATKRITLRLIHHSYHSSWLRVHMKLFVLFSLPTLYLTTTYYWPFFISFLCIVSVFLLVVSLNLALQRVPSIRWLFGRTLCIKFSSPASGSKPLPRVVWSIGSKPKLIKKPDSGCWVQVYNNGDVYEGEFHKGKCSGSGVFYYHLSGRYEGEWIGGKYDGYGIETWAKGRRYRGQYRQGLRHGVGVYRSYTGDVYAGEWCNGQCHGCGVHTCQDGSTYVGEFKSGVKHGLGYYHFRNGDVYAGEYFADKMHGFGVYRFGNGHQYEGAWHEGRRQGFGLYTFRHGETQSGHWQNGVLNGSTSPKIRNGSSITDDHSKLLHAVQEAKRAAEKAINVATVDERVKRAIAAANKAATAARVTAVKATQNQMHPDNNHSGTHCLSLQA >itb11g13800.t1 pep chromosome:ASM357664v1:11:10839161:10840275:-1 gene:itb11g13800 transcript:itb11g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFCFSQAVAHVRARSPIQPYKKSVHLMAGDDKTTEFSSKNSTEMSFNGSDQRLEGEGRNNNRVMVVVDMSIEAKGALQWALSHTVHTQDTIILLLVTKPFKQGESSCNCELDQRAYELLCSMKNMCQTRKPGVQVEIVVQEGKEKGAVIVEAANQQKASLLVLGQRKRSVMWRMRTMWSGKRAQQSKVVSYCIQNAGCLAIAVRRKSRRHGGYLITTKRHKDFWLLA >itb04g24480.t1 pep chromosome:ASM357664v1:4:29251228:29255396:-1 gene:itb04g24480 transcript:itb04g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQISLNKTQKIRLERALELLESFSSKTNSNASVTVADVIPVDHEDGILKGHGTAEMDDRVVATLCGVVERVNKLVYVRSLRARYKPEVGDIIVGRVIEVAPKRWRLEINSSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKKIRLERALELLESFSSKTNSNASVTVADVIPVDHEDGILKGHGTAEMDDRVVATLCGVVERVNKLVYVRSLRARYKPEVGDIIVGRVIEVAPKRWRLEINSSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLKRGQLLIVSPYLVKKRKQHFHHLDQYQIDLILGCNGFIWIGEHVDVKDDATEDQLNKSLQPNPKSSTSMIPEEEENYTPQEIRLNICRIANAIRVLSTLGFMITVEVLLETIGLSQSLNHVHEMLGAEFYVMVAEKEAERRSQMAKKR >itb06g17410.t1 pep chromosome:ASM357664v1:6:21260412:21261382:1 gene:itb06g17410 transcript:itb06g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEMKLTKLKSVIKKMKSFKLGGRSNAAGNSSSSSSSSDDESSNYYAYNSSDDKNNLHPVYVGKSRRRYLVGPDVVDHPVFQELVERSSSGNSEDSITVGCEVVLFDHFLWMLENGDPQPPECLDELAGFYAN >itb12g07810.t1 pep chromosome:ASM357664v1:12:5966552:5969133:1 gene:itb12g07810 transcript:itb12g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAEKARPLPKFGEWDVNNPASADGFTVIFAKARDEKKGTGNSAARQPPAARPGDPRDDDLPTKRRFCCF >itb12g07810.t2 pep chromosome:ASM357664v1:12:5966552:5969133:1 gene:itb12g07810 transcript:itb12g07810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAEKARPLPKFGEWDVNNPASADGFTVIFAKARDEKKGTGNSAARQPPAARPGDPRDDDLPTKRRFCCF >itb11g15130.t2 pep chromosome:ASM357664v1:11:12506960:12512977:-1 gene:itb11g15130 transcript:itb11g15130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDVGIRGGVSHGVQRQSSGSGCDDLSTELWKSCAGPLVDVPKVGEKAYYFPQGHIEQLEVSTNQALTQQIPQFGLPSKILCRVVNLQLLAEAENDEVYAQITLLPVPDQTEPISPDECKPDPPKRVVHSFCKILTASDTSTHGGFSVLRKHANECLPPLDMSQATPTQDLIAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRADNGELRVGVRRLARQQSSMPPSVLSSQSMHLGVLATASHAIRTETLFVVYYKPRTSQFIIGLNKYLEAMRHGFSVGMRFRMRFEGEDSPERRFSGTIVGVGDISSQWKDSKWRSLKIQWDEPATIPRPDRVSPWEIEPFVASASADIVQPGTKTKRPRPLELPSNEDKTTSVASPFWYPGPKSAGETISNADQAFWQLKQKDLNGRACNESSVTASRAHPSGMWQPGMVPSGFETIEDSTNMPPRLSISEFSSPIPSIASNGALLDQVDRSKKSAIRIFGIEFSNADNYRREKETPCSNTMPSNANNASAVCDANGVGAVQKLEHLKSSREIKLVEASLKDTLSKPSVTTPRTCTKVQMEGSRFGRAVDLTTMKDYDDLLNELEEIFELKGELCPRSKWEVIYADDEGDMMLVGDDPWPEFCKMVRRIFICSSEKVKKMSSKCKLPLLSDEGEVTIVSLESELKSEG >itb11g15130.t3 pep chromosome:ASM357664v1:11:12507377:12511484:-1 gene:itb11g15130 transcript:itb11g15130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDVGIRGGVSHGVQRQSSGSGCDDLSTELWKSCAGPLVDVPKVGEKAYYFPQGHIEQLEVSTNQALTQQIPQFGLPSKILCRVVNLQLLAEAENDEVYAQITLLPVPDQTEPISPDECKPDPPKRVVHSFCKILTASDTSTHGGFSVLRKHANECLPPLDMSQATPTQDLIAKDLHGYEADNGELRVGVRRLARQQSSMPPSVLSSQSMHLGVLATASHAIRTETLFVVYYKPRTSQFIIGLNKYLEAMRHGFSVGMRFRMRFEGEDSPERRFSGTIVGVGDISSQWKDSKWRSLKIQWDEPATIPRPDRVSPWEIEPFVASASADIVQPGTKTKRPRPLELPSNEDKTTSVASPFWYPGPKSAGETISNADQAFWQLKQKDLNGRACNESSVTASRAHPSGMWQPGMVPSGFETIEDSTNMPPRLSISEFSSPIPSIASNGALLDQVDRSKKSAIRIFGIEFSNADNYRREKETPCSNTMPSNANNASAVCDANGVGAVQKLEHLKSSREIKLVEASLKDTLSKPSVQMEGSRFGRAVDLTTMKDYDDLLNELEEIFELKGELCPRSKWEVIYADDEGDMMLVGDDPWPEFCKMVRRIFICSSEKVKKMSSKCKLPLLSDEGEVTIVSLESELKSEG >itb11g15130.t1 pep chromosome:ASM357664v1:11:12506960:12513451:-1 gene:itb11g15130 transcript:itb11g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDVGIRGGVSHGVQRQSSGSGCDDLSTELWKSCAGPLVDVPKVGEKAYYFPQGHIEQLEVSTNQALTQQIPQFGLPSKILCRVVNLQLLAEAENDEVYAQITLLPVPDQTEPISPDECKPDPPKRVVHSFCKILTASDTSTHGGFSVLRKHANECLPPLDMSQATPTQDLIAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRADNGELRVGVRRLARQQSSMPPSVLSSQSMHLGVLATASHAIRTETLFVVYYKPRTSQFIIGLNKYLEAMRHGFSVGMRFRMRFEGEDSPERRFSGTIVGVGDISSQWKDSKWRSLKIQWDEPATIPRPDRVSPWEIEPFVASASADIVQPGTKTKRPRPLELPSNEDKTTSVASPFWYPGPKSAGETISNADQAFWQLKQKDLNGRACNESSVTASRAHPSGMWQPGMVPSGFETIEDSTNMPPRLSISEFSSPIPSIASNGALLDQVDRSKKSAIRIFGIEFSNADNYRREKETPCSNTMPSNANNASAVCDANGVGAVQKLEHLKSSREIKLVEASLKDTLSKPSVTTPRTCTKVQMEGSRFGRAVDLTTMKDYDDLLNELEEIFELKGELCPRSKWEVIYADDEGDMMLVGDDPWPEFCKMVRRIFICSSEKVKKMSSKCKLPLLSDEGEVTIVSLESELKSEG >itb06g13010.t2 pep chromosome:ASM357664v1:6:17624471:17627792:-1 gene:itb06g13010 transcript:itb06g13010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLKNFDVEKLLLWSNDLVEVLKDGEDVNSLKQLLEQSNSLQSQCNTDFKDTQRSIEDYEKKVDMCKRKTLEAKSEASTDAEIESLQKDLEEEFQRESLLRVELRVIAEEMNDLERRRFSIGEQWKSLKQLERDDSRAERKLSLFASVTNIIPSLDDQSKISGCILIEMENCASKHVFCSPILQISRKLEKISTLMFIYFSFLSCHVNVLIRIVHHLLQLDSPSLNTDIVQRDKNVVEDFDFDPKGMSKFETCNRIWKMINLENIIVF >itb06g13010.t1 pep chromosome:ASM357664v1:6:17624471:17627792:-1 gene:itb06g13010 transcript:itb06g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLKNFDVEKLLLWSNDLVEVLKDGEDVNSLKQLLEQSNSLQSQCNTDFKDTQRSIEDYEKKVDMCKRKTLEAKSEASTDAEIESLQKDLEEEFQRESLLRVELRVIAEEMNDLERRRFSIGEQWKSLKQLERDDSRAERKLSLFASVTNIIPSLDDQSKISGYIVQRDKNVVEDFDFDPKGMSKFETCNRIWKMINLENIIVF >itb10g20110.t1 pep chromosome:ASM357664v1:10:25773790:25780976:1 gene:itb10g20110 transcript:itb10g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLNLSDIDSESSNSEDQDYAEHLFGGNAYSILSSLKESIEKIDDFLSFERVFSQGDIVCLVTDPSGQTGRVVNVDLVVDLENIYGHKIREVSSKKLQKIRSMSVGDHVVYGPWLGKIEKVVDRITVLFDDGAKSEFTTMGPEKFTPVSPDLLEDPQYPFYPGQRVMVQTQPVPQSTKWLCGARYDKRDLGTICNVDAGVVYVDWLGCATNHDEKVLSAPAHFQDSKNLTLLSCFSDSKWEIGDWCLLPDYENAQQSSVSPPLCGFPGGDEQSSKLFQTRSQKVEELAVIVRTKAKVDVLWQDGNITAGLNSDSLFPVNIVDAHDFWPDQFVLEKGVYDDSSISSLDKWGVVKSVDAKERTVKVKWSTFHAKELNFDGEHVEETVSAYELMDHPDYPFCVGDAVFRSNLTHISSNIDENRCPCNDYSSSIGIIVGFEDGNIKVKWATGAISMVAPYEIFHIDKSKDASTTTIPYGENLEQSNVEVNLHGDQFSRDKGKDSLDFDGNGISCKEKPLASGSCSLSQAAIGLFSSIGSSLFSSLSTSLFGGYQNISQEKKPEILDEETVLHCTLDTDPPPPAVTGSKKSGEENSEQVMEEAKLKTEDAPSTSSKLPENFKRFDMVNDCSDHHFVDGTGKDVKSSQVKRGWTKRVQQEWNILEHDLPDTIYVRVYEERMDLLRAAVVGAPGTPYQDGLFFFDICFPPEYPHEPPMVYYHSGGLRVNPNLYESGKVCLSLLNTWTGSGNEVWNPKSSTILQVLLSLQALVLNEKPYFNEAGYDTQIGKADGEKNSVSYNENAYLVTCKSMLYLLRKPPKHFEALIEEHFSKRGEHILLACKAYMGGAPVGSTSGRLNSDQEPIKGSSKGFKIMLAKLFPQLVEAFSDKGIDDCSQFLNPSE >itb10g20110.t2 pep chromosome:ASM357664v1:10:25773790:25780976:1 gene:itb10g20110 transcript:itb10g20110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLNLSDIDSESSNSEDQDYAEHLFGGNAYSILSSLKESIEKIDDFLSFERVFSQGDIVCLVTDPSGQTGRVVNVDLVVDLENIYGHKIREVSSKKLQKIRSMSVGDHVVYGPWLGKIEKVVDRITVLFDDGAKSEFTTMGPEKFTPVSPDLLEDPQYPFYPGQRVMVQTQPVPQSTKWLCGARYDKRDLGTICNVDAGVVYVDWLGCATNHDEKVLSAPAHFQDSKNLTLLSCFSDSKWEIGDWCLLPDYENAQQSSVSPPLCGFPGGDEQSSKLFQTRSQKVEELAVIVRTKAKVDVLWQDGNITAGLNSDSLFPVNIVDAHDFWPDQFVLEKGVYDDSSISSLDKWGVVKSVDAKERTVKVKWSTFHAKELNFDGEHVEETVSAYELMDHPDYPFCVGDAVFRSNLTHISSNIDENRCPCNDYSSSIGIIVGFEDGNIKVKWATGAISMVAPYEIFHIDKSKDASTTTIPYGENLEQSNVEVNLHGDQFSRDKGKDSLDFDGNGISCKEKPLASGSCSLSQAAIGLFSSIGSSLFSSLSTSLFGGYQNISQEKKPEILDEETVLHCTLDTDPPPPAVTGSKKSGEENSEQVMEEAKLKTEDAPSTSSKLPENFKRFDMVNDCSDHHFVDGTGKDVKSSQVKRGWTKRVQQEWNILEHDLPDTIYVRVYEERMDLLRAAVVGAPGTPYQDGLFFFDICFPPEYPHEPPVSTLHIACKD >itb04g06830.t1 pep chromosome:ASM357664v1:4:4510749:4512709:1 gene:itb04g06830 transcript:itb04g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVGTEYAAPKDYHDPPPAPLIDPEELGKWSFYRAIIAEFIATLLFLYVTVLTVIGYKSQTDPNVKGTDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMVAQCLGAICGCGLVKAFQKAYYVRYGGGANGLQDGYSKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGKDKAWDDQWIFWVGPFVGAAIAALYHQYVLRAGAAKALGSQRSNS >itb04g06830.t2 pep chromosome:ASM357664v1:4:4510757:4511968:1 gene:itb04g06830 transcript:itb04g06830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVGTEYAAPKDYHDPPPAPLIDPEELGKWSFYRAIIAEFIATLLFLYVTVLTVIGYKSQTDPNVKGTDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMVAQCLGAICGCGLVKAFQKAYYVRYGGGANGLQDGYSKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVSLYIYTNFCDHFIY >itb06g07300.t1 pep chromosome:ASM357664v1:6:10738727:10739371:-1 gene:itb06g07300 transcript:itb06g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPPDCSSSSEVIFGSTRPVLDSGSADKEPKKMKRSRDSGSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPNPEMAARAHDVAALSIKGNSAVLNFPDLAAALPRPASLTPRDIQVAAAKAAAMEKFDPPSPSPSSSSSSEIDPATSEELSEIVELPRLGTSFDSPELGSEFVYVDSVDGWLYPPPSWLGSGADDDSSSFESLLWNY >itb12g18080.t1 pep chromosome:ASM357664v1:12:20334669:20335497:1 gene:itb12g18080 transcript:itb12g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQVNLKICLRSTESFAQEKKWEIVFTDDEGDTMLMGDHPWLEFCSVVRRILICSNTEVKKTSAGNTPPSSVSESL >itb07g16640.t1 pep chromosome:ASM357664v1:7:20483092:20484144:-1 gene:itb07g16640 transcript:itb07g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDQEGEEEHEREFWFKPCWSKEVPWKMSFLAWRVFKRKISSDDNLRRFGYQLASRCYCCPNPGLDNLQHIFYTRSTASQVWGYFARSLGFNIQIRGVKQLCYEWWKKKPRNRMIRFLTHKLPVVILWELWVHYNQCKYGKESPSRARIIFKVTRDMVDCIMRKWPSWDPFPPNWNYILRRADLFKCSKIVREASWCKPPKGWIKINTAVKKGSCSFMIRNSKGEFVMARVYSGDRDMEMIMLKECLSWCKKRGLGRVQIEGEQVRVDGDEQGLRVEWLKCDRRVNCIAQWLLDKCEGQNVVYRRVSALPKGFLYILSLEGFPHFSSLPRRDFIPVDNNQNRRWDPGGR >itb03g04280.t1 pep chromosome:ASM357664v1:3:2676191:2678456:-1 gene:itb03g04280 transcript:itb03g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYENEHPVKAFGWAARDTSGVLSPFKFSRRETGEKDVQFKIMYCGVCHSDLHQLKNEWGNTTYPIVPGHEIVGVVTEVGTKVEKFKVGDKVGVGCLVGSCGKCENCASDLENYCPGCVTTYNAYGTVTFGGYSDIMVADEHFVVRWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASKKEEAIERLGADSFLISRDPEQMQAAMNTLDGIIDTVSAVHSVVPLLGLLKTNGKLVMVGVPEKPVDLPVFPLIMGRKLVAGSGIGGLKETQEMLDFSSKHNITPDVEIIPMDYVNTALERLVKADVKYRFVIDVAKTMKSE >itb02g25540.t2 pep chromosome:ASM357664v1:2:26287477:26290845:1 gene:itb02g25540 transcript:itb02g25540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAAPLVCHGHSRPVVDLFYSPLTPDGFFLISASKDSTPMLRNGETGDWIGTFQGHKGAVWSCCLDKHALRAASASADFSAKLWDALTGDELHSFSHKHIVRACAFSDDTHHFLTGGFEKILRIFDLNRPDAPPRQIDGGPGSVRTVAWLHNDQTILSSCSDTSGVRLWDLRSCEVVRTLDTKSSVTSAEVSRDGHYITTADGSSVKFWDANQFRLVKSYDMPCNVESASLEPRFGNKFIAGGEDMWVRLFDFHTGEQIGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPNEAADASAGPPKPKVVKDVNDGIEKLNIAKGAKIDDDKENEEEEK >itb02g25540.t1 pep chromosome:ASM357664v1:2:26287461:26290881:1 gene:itb02g25540 transcript:itb02g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAAPLVCHGHSRPVVDLFYSPLTPDGFFLISASKDSTPMLRNGETGDWIGTFQGHKGAVWSCCLDKHALRAASASADFSAKLWDALTGDELHSFSHKHIVRACAFSDDTHHFLTGGFEKILRIFDLNRPDAPPRQIDGGPGSVRTVAWLHNDQTILSSCSDTSGVRLWDLRSCEVVRTLDTKSSVTSAEVSRDGHYITTADGSSVKFWDANQFRLVKSYDMPCNVESASLEPRFGNKFIAGGEDMWVRLFDFHTGEQIGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPNEAADASAGPPKPKVVKDVNDGIEKLNIAKGAKIDDDKENEEEEK >itb07g20430.t1 pep chromosome:ASM357664v1:7:24802923:24806909:1 gene:itb07g20430 transcript:itb07g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MLGSFPFKSLHTISVFNGFPRERKRSERERGRRRMTGMVMVTRGGGCGGGGGNGGVVSGRSSHGGSDEQNQQQLSLLDFLLAALRKSMVSCRVHRHEEVMSTVHAMEIGWPTNVQHITHVTFDRFHGFLGLPVEFEVEIPCRVPSASVSVFGVSAESMQCSYDTRGNSVPTILLLMQERLYTQDGLKAEGIFRINPENSQEEHVRDQLNRGIVPVDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEQFVELVKQLKPTETALLNWAIDLMADVVEQEEFNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTMITKTLRERVEASGGYSPMSSHSSDGGQTDQDCDTQQEVESSCGSAGPASDDDEQPHYGYSSEDRDEVESLSEIEDCFLRQLDENESAKNGFRKQLEGILCRDLVSPSNGPTLMICNSSFSFSDSKVGSSGLSTSDGEEDSRASSVALGPKVDVHRLPEVCRSSNEDNTIESTGAVQPECS >itb01g08790.t1 pep chromosome:ASM357664v1:1:7044738:7046511:-1 gene:itb01g08790 transcript:itb01g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTTAATDVPPNLPRLVSAITTLLQRLDPKNLSHSSPPDTSILNKFSPYLTHDLVIETINSQTNPYHSLFFFNWASSLSHNPNHYFHNHHCYIAITDKLLSRRLFSLATKLLESHQRLSDFMVSKLIKAHGDLGHLRCAIKLFHGVRKTEKECCLFSFNSLLGVFVKANRVDLAWKFFGNMIKKGDMQPDISTCTIMIRGFCKAGMLEHAQKVFDEMGVNKNVVTYNTMVNGFCKKGLMEKAQTIVNEMVDRGIVLPNVVTYCTLIDGYCRKGQIVEAMRCFEEMASRNCEPNMLTYSALIHGLCLNGNVDEARRMIARMRLSGFRDDIVMHTSLLKGYCIAGRSNEAIKHFKEMVSLGMILDEKTCDVIVKEYCKTKRPNDAIALLSEMRARGVNPCVSTLNYVLSCLVELAEADKAILLIKQMPQLGCHPNFLSYNILICSLVKSKGRMREIEMLMSDMVGNGHAPDATIYSCLVKGYCEDGNEEMAVRVLREMIDKRLVINLECFAVFAKEFCAKGKVFEVENLFLQMKSNCSMTDLNSYQKILNEHLSRSTNTC >itb11g13510.t1 pep chromosome:ASM357664v1:11:10498622:10499089:1 gene:itb11g13510 transcript:itb11g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGDGVGASRCSKGGGAEKKKRGPKQKNPYKGIRMRKWGKWVAEIRDTNTRLWLGSYYTLVATARAYGVALFYLRGPAAKLNFPDCVVGDGHHRQLVPKEIQNRATAVGYRIDAIQRGLHISSTQMSVNVVDHRPESDVYVTPDLNKYPHLD >itb07g20840.t1 pep chromosome:ASM357664v1:7:25256738:25259554:1 gene:itb07g20840 transcript:itb07g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNIMQGFEYRSTGAGCSGTDGEDRVMKEQDRLLPIANVGRIMKKILPPNAKISKEAKLTMQECVSEFISFVTGEASDKCQKEKRKTVNGDDVCWALGSLGFDDYAAPLKRYLHRYREMEGERANQSKSVAGEREEEKEVMHSESSCRIQXNVTFQTHATTTATAIEVLHHAAMPPAKLPSPLSSLSHSLMATATATAPHHWSSPAIIATTKAANSPPFAQHRSNDFHIQPPLRHCQSQI >itb03g10170.t1 pep chromosome:ASM357664v1:3:7928635:7929386:1 gene:itb03g10170 transcript:itb03g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKVAFVSNIAQPNHLNALLPALTTSATSNEERERGAGFLAATDQVSENSDSRQWRFSVQGLPRELVPQVRCDFSMKNRIAVGDENYKRGTNLITKDEFTPD >itb09g03450.t1 pep chromosome:ASM357664v1:9:1939448:1940083:1 gene:itb09g03450 transcript:itb09g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQETVPFPRLLPILVMLMASVAGGIGVAEARRSLLNHSPLNTPPPLPSVPPVNVPPPPPLPSVSQVVTFPPLNLPFVPPLPRLPPFNLPLVPPLPRLPPLNLPPLPLVPPVSVPPLPSLPPVTIPPLPSLPPLTIPPLPSLPPVTIPIPPVTLPLVPPVTLPPVPPVTLPPVPPVTLPLVPPVTLPPVPPVTLPPVTLPPLPLVPPALP >itb03g24430.t9 pep chromosome:ASM357664v1:3:23139131:23144567:1 gene:itb03g24430 transcript:itb03g24430.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t5 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t13 pep chromosome:ASM357664v1:3:23139420:23144562:1 gene:itb03g24430 transcript:itb03g24430.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t1 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t12 pep chromosome:ASM357664v1:3:23139420:23144562:1 gene:itb03g24430 transcript:itb03g24430.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t16 pep chromosome:ASM357664v1:3:23139131:23144635:1 gene:itb03g24430 transcript:itb03g24430.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb03g24430.t20 pep chromosome:ASM357664v1:3:23139113:23144635:1 gene:itb03g24430 transcript:itb03g24430.t20 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb03g24430.t4 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t15 pep chromosome:ASM357664v1:3:23139131:23144567:1 gene:itb03g24430 transcript:itb03g24430.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t2 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t8 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t17 pep chromosome:ASM357664v1:3:23139131:23144635:1 gene:itb03g24430 transcript:itb03g24430.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb03g24430.t18 pep chromosome:ASM357664v1:3:23139143:23144635:1 gene:itb03g24430 transcript:itb03g24430.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb03g24430.t10 pep chromosome:ASM357664v1:3:23139131:23144567:1 gene:itb03g24430 transcript:itb03g24430.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t6 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t7 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t3 pep chromosome:ASM357664v1:3:23139063:23144635:1 gene:itb03g24430 transcript:itb03g24430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t22 pep chromosome:ASM357664v1:3:23139420:23144635:1 gene:itb03g24430 transcript:itb03g24430.t22 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb03g24430.t11 pep chromosome:ASM357664v1:3:23139131:23144567:1 gene:itb03g24430 transcript:itb03g24430.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRIIGFPSSTRR >itb03g24430.t14 pep chromosome:ASM357664v1:3:23139131:23144567:1 gene:itb03g24430 transcript:itb03g24430.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKDKVRAFLKNSITGGAVKFPGNQIG >itb03g24430.t21 pep chromosome:ASM357664v1:3:23139420:23144635:1 gene:itb03g24430 transcript:itb03g24430.t21 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb03g24430.t19 pep chromosome:ASM357664v1:3:23139113:23144635:1 gene:itb03g24430 transcript:itb03g24430.t19 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVAAARGVSLPVSSAQASRKEWRAVSEQSLRNSTSEEMDRSKLGQSDERLIYEVQQGREPADVDFCSITIDGNLDNDLLQQRLHTVVKQREELQHMEIELRAQIITRSEIIEMRNAFDAEVKEQANANMKLQEQLRERDQKMYELERRLEEKERELHAIRLDNEAAWAKEDLLREQNKELQTYRRERDNSEAERAQHIKQIHELQEHIQEKERQFMELQEQNRIAKETILYKDEQMREAHAWMTRAQEIDALQQAELRERAEQYNQLWLGCQRQFGEMERLHLHMQQLQIELAEARERNGTSTDSSQVSKESSKDTSQYGQNNGNKRTENGNNDASSGNSGHIPNGNAENASSLTSIGNVSTQSDLHGVPLAPSSLLGMPTFIPPGQIAALHPFLMHQQGVPPSVASQIPQSHLGHFHSGPAIPSIQQWPNQQVASEGGSQMSSYSSHSQYPLQTESTLLRSESSYEYETSVNGKVAHSEIEQKDTVGASSEVGQQSVGENYLPSPQTQQALQHISTQFHDALKLDPLGHNEFQGKNLKPSVNHELESGEVSSAANKSSSEVPDQTSYSNDKVTDATKSAAMPERLVSVGQKNAYAVGKAAEPALLEEQALLACIVRTIPPGSSGRIRISTTLPNRLGKMLSPLHWHDYKRKYGKLDEFVASRPELFVIDGDYIQLREGAQEIIAATAAAAKVAAAAAATSSYPSRLPSVAVTPMAQPQRLKTSSLEPTSGKVEKVADNISQFRAMQNQHSNGASPFNVTGGISNVKILMKPKNPTELNASGAKAAPLVQFSLSNGINSDKNDLTSSPRKGSGGPGPSLVGKQQDRY >itb15g14090.t1 pep chromosome:ASM357664v1:15:12234958:12238057:1 gene:itb15g14090 transcript:itb15g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEQPQSRPPFSYHVFLSFTSQEESVNTFTDHLYTALKQAGFSSFRQEDGGTDGQKAIQESKVYIVVLSENYARSRSRLDELAIILERKREFGHYYAVLPVFYRVDPSDLRKLTGRIGEALNWIGENGGSQWKENVKKWKQALIEVADLGGMILHNQANGIWGEKLFIKNHPLSLGSAVDSGVPRIPLMCC >itb05g23370.t1 pep chromosome:ASM357664v1:5:28497816:28501750:1 gene:itb05g23370 transcript:itb05g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFTVSREERKAQSTPSQHLLAQIFIALCRLLITTPPSLHQRPPPPDVSSKLVGRAQGIYTSAAFDEIGLLMVLNFAFVEGKYNGSSLSMVGRNAVVSVVREMLVVGGSGLFRFARGYAQAKTHTYDPKTGDAAVEYNVYVFHY >itb11g00200.t1 pep chromosome:ASM357664v1:11:85546:91768:-1 gene:itb11g00200 transcript:itb11g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESGPPLKRDQHHQFLVRSSSASGASRLHRLRSRCTRVLLFKKIDYLQWICTVGVFFFFVVVFQMLLPGSLVEKSENFSRGSEVGSGDLELLKELGGLDFGEDVKFEPSKLLHKFKHENGADNGTAGSRIPSSFAYRKPKLGLVFANMLADPYQVMMLNVALALKEIGYEIEVLTLEDGSLHDVWRDAGLPVSTIETDERMKISIDWLNYDALLLNSLEVVGLFSCLMQEPFKSVPVIWTIQEHALAAQLRQYKSGGQNMLVENWRKVFSRANVVVFPNYVLPMAYSTCDTGNYFVIPGSTTEVWEADNFLASHKDSYRAKMGYGSEDFIIAIVGSQLLYKGLWLEQALVLQALLPIIPHLENDGNSNSHFRIIVVAGGSNSNYSMAVEAIAQNLKYPNGMVKHFAPDEDTESILSIADIVIYASFREEESFPSTLLKAMRFGKPIIAPDLSIIKKNIDDRVNGYLYPKQNVEVLTQIMAQAIANGKLSLLARNAASIGKQTARNVMVSESVEGYALLLENILRFSSEAANPQSVTKIPLKLKSEWQWHLFEAIDTEHTQNRTWRTYMSLNKLEKQWNHTEKESSVAMSDTFVYSIWEEEKYIQMANRMKRREDDELKGRTDQSRGTWEEVYKSAKRADRSKNDLHERDEGELERTGQPLCIYEPYYGEGTWPFLQHASLYRGLGLSTKGRRPGYDDIDAPSRLPLLNNPYYRDVLYEFGGFFAIANRIDRIHKNAWIGFQSWRVTARKGSLSKMAETALLDAIEARRYGDTLFFWVPMDMDPRNPQKQDFWSFCDAINAGNCKFAFSEAMKKMYGIKQNISTLPSMPADGGTWSVMHSWVLPTRSFLEFVMFSRMFVDALDSEYYDVHHQSGYCHLSLGKDKHCYSRMLELLINVWAYHSARRMVYVDPKNGLMEEQHKQKSRKGHMWVKWFHYNTLKSLDEELAEVFDSDRPKKRWVWPSTGEVFWQGILEKERNQRNKEKEKRRQQSRDKISRIRKRSRQKVIGKYVKPPPEPEGDTQNLNATQAAVQHLL >itb03g03520.t1 pep chromosome:ASM357664v1:3:2070019:2071315:1 gene:itb03g03520 transcript:itb03g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGDLRHTPAPTKPPGAAAVGGVADPTVPLQNLANVQARMDSLQKFLSTSVTGNILLGEEQMGMVSSEIAAAIHQIIVNGAALLASTQAADEVSPHKSTNKRLFSETETDFVDQNAVAAEDGGSGGCGGEDWEIIELDAVELLAEHIHFCEICGKGFKRDANLRMHMRAHGNQFKTVEALAKPGKCGGESGARGRNTRFSCPFIGCSRNKNHNKFRPLKSAICVKNHFRRSHCPKMYSCNRCHKKSFSVLADLKSHAKHCGETKWKCSCGTNFSRKDKLFGHMALFEGHMPAVDDEKAAPPMAEDDEEEDSTAKKESNSGGSDNGFLDRLLKDDCDSIDNYCFQEILGWSSPNAGNGLVDTFFGL >itb10g19500.t1 pep chromosome:ASM357664v1:10:25330553:25331821:1 gene:itb10g19500 transcript:itb10g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFDPKCIILAILLFLLWTCTIHATSRTLEEPLSLLQRHEKWMAHHARSYKNDVEKAQRFEIFKQNLEFIESFNSEGTRSYKLGLNRFADLTHEEFQTSLLNVDSLLYHLNLFPIGNSNGNGSSNDVPDSLDWREKGAVTQVKNQGNCLACWAFAVVAAVEGINEIKTGKLVSLSEQHLLDCDPNHFGCNGGVITDAFQSIEDMGGLSSESDYPYKASEGTCNTQNSQATAATITGFEQVEKTESALLQAVSGQPVAAGITVGGKEFQLYSSGVFDGDCGSGSYHAVAVVGYGARNDGQKYWVIKNSWGTGWGEKGYMKMARDTDEEGGLCGIANDAAYPTA >itb01g29810.t2 pep chromosome:ASM357664v1:1:33954298:33957354:-1 gene:itb01g29810 transcript:itb01g29810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRLKILYTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLQPGDHALPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMIEDGKTRFSKDGKPIYHFVGTSTFSEYTTLHAGCVAKINPEAPLDKVCVLSCGISTGFGATVNVAKPAKGSTVAIFGLGAVGLAAAEGARLSGASRIIGIDLNANRFEDAKKFGVTEFVNPKDHSKPVQEVIAEMTNGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRSDLPAVVEKYMKNVCMVNEYCVLI >itb01g29810.t1 pep chromosome:ASM357664v1:1:33954298:33957354:-1 gene:itb01g29810 transcript:itb01g29810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQKMEVRLKILYTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTHLQPGDHALPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMIEDGKTRFSKDGKPIYHFVGTSTFSEYTTLHAGCVAKINPEAPLDKVCVLSCGISTGFGATVNVAKPAKGSTVAIFGLGAVGLAAAEGARLSGASRIIGIDLNANRFEDAKKFGVTEFVNPKDHSKPVQEVIAEMTNGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRSDLPAVVEKYMKNELELEKFITHQVSFSEINKAFDLMLKGESLRCIIKMEH >itb07g19730.t1 pep chromosome:ASM357664v1:7:24189292:24193453:1 gene:itb07g19730 transcript:itb07g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKATHFLQLKNRQARHSFLTHSPERLTFSQKPPMAALTTATSAAGLSQTFTNLRQQGKVALIPYITAGDPNLETTAEALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRSLQRGTNFDKIIAMLKDVTPQLSCPIALFTYYNPILKRGVEKFMDTVKDAGVHGLVVPDVPLEETEILRKEASKKNIELVLLTTPTTPTARMKSIVESSEGFVYLVSSVGVTGARASVNDKVESLLKQIKEATSKPVAVGFGISKPEHVKQVAAWGADGVIVGSAMVRILGEAKSPEEGLKELQVFATSLKSALS >itb04g09470.t2 pep chromosome:ASM357664v1:4:8764257:8768238:-1 gene:itb04g09470 transcript:itb04g09470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIKERVSETLSRLFSDSPIQTADNQPQPQPQAGPETKDGKTLTSVLSFVLPSSRFDRLKSFNDQHDNTPRVHSPSFTWRSRSFKLEDKPLERFDDYDFDDDYKDIPDFHGEDEFSRSTFYNAQGEILEPNSARSIASGFEDFEDARDGVDYEVMPNLINESLFVTPDLYEFLQASLPNIVKGCQWMLLYSTDRDGISLRTLIHKSADTSGPCLLITGDKEGAVFGGLLEAPLTPTAKPKYQGTNQAFVFTTLYGEPRMFRPTGANRYFFLCMYDQLAFGGGENFALSVDGDLLTGSSGPCETFGNLCLANNQEFQLRNVELWGFTHASRYLV >itb04g09470.t3 pep chromosome:ASM357664v1:4:8764293:8768225:-1 gene:itb04g09470 transcript:itb04g09470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIKERVSETLSRLFSDSPIQTADNQPQPQPQAGPETKDGKTLTSVLSFVLPSSRFDRLKSFNDQHDNTPRVHSPSFTWRSRSFKLEDKPLERFDDYDFDDDYKDIPDFHGEDEFSRSTFYNAQGEILEPNSARSIASGFEDFEDARDGVDYEVMPNLINESLFVTPDLYEFLQASLPNIVKGCQWMLLYSTDRDGISLRTLIHKSADTSGPCLLITGDKEGAVFGGLLEAPLTPTAKPKYQGTNQAFVFTTLYGEPRMFRPTGANRYFFLCMYDQLAFGGGENFALSVDGDLLTGSSGPCETFGNLCLANNQEFQLRNVELWGFTHASRYLV >itb04g09470.t1 pep chromosome:ASM357664v1:4:8764257:8768238:-1 gene:itb04g09470 transcript:itb04g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIKERVSETLSRLFSDSPIQTADNQPQPQPQAGPETKDGKTLTSVLSFVLPSSRFDRLKSFNDQHDNTPRVHSPSFTWRSRSFKLEDKPLERFDDYDFDDDYKDIPDFHGEDEFSRSTFYNAQGEILEPNSARSIASGFEDFEDARDGVDYEVMPNLINESLFVTPDLYEFLQASLPNIVKGCQWMLLYSTDRDGISLRTLIHKSADTSGPCLLITGDKEGAVFGGLLEAPLTPTAKPKYQGTNQAFVFTTLYGEPRMFRPTGANRYFFLCMYDQLAFGGGENFALSVDGDLLTGSSGPCETFGNLCLANNQEFQLRNVELWGFTHASRYLV >itb04g09470.t4 pep chromosome:ASM357664v1:4:8764258:8768238:-1 gene:itb04g09470 transcript:itb04g09470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIKERVSETLSRLFSDSPIQTADNQPQPQPQAGPETKDGKTLTSVLSFVLPSSRFDRLKSFNDQHDNTPRVHSPSFTWRSRSFKLEDKPLERFDDYDFDDDYKDIPDFHGEDEFSRSTFYNAQGEILEPNSARSIASGFEDFEDARDGVDYEVMPNLINESLFVTPDLYEFLQASLPNIVKGCQWMLLYSTDRDGISLRTLIHKSADTSGPCLLITGDKEGAVFGGLLEAPLTPTAKPKYQGTNQAFVFTTLYGEPRMFRPTGANRYFFLCMYDQLAFGGGENFALSVDGDLLTGSSGPCETFGNLCLANNQEFQLRNVELWGFTHASRYLV >itb02g05970.t1 pep chromosome:ASM357664v1:2:3712539:3713985:-1 gene:itb02g05970 transcript:itb02g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDEKPMKKRRSSSDDDEHQPPPATNSSIQSLPQEIMADMISRLPITSLVQFSFACKSFKQLSRDPHLVNLHLSRTHAADPCLIFHTDYPLRNQLCFVQNLHDETQKARRINIPFAASMPEFSVVGSCNGLLCLSDSLLNQSLCVYNPFTGDYKVLPRFMEFEEQQVVIGFGFHPVTKDYKVIRIVMYTNTYQGQVAVSPYQRRIGLRRYDRSNVQVFSLASNLWRSIGKTPYLIAPKSSSVVLNGRWHCLSRLGRYHGVGRDRMILSFDLADEQFREVQKPQFAPYPARFCSYHLVVLRDCLGVAFTTPPSYGGTLEIWAMKVYDVKESWVKEYTIASLCPPLRLFSYQDLTPYAIWTNVRFGRAFTVLCVLKNGEILIEYKNGGLVSYDPQTGTFKNLTFQGLPKLCKAIVHVGSLNWPDR >itb07g18010.t1 pep chromosome:ASM357664v1:7:22402301:22410699:-1 gene:itb07g18010 transcript:itb07g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWAFHTTNLFGASHTIEPILTAVHITKQGFAVCAIDHQGHGYIDGGLKAHIPDINPAVDDCISFFDSFRDCYVPLELPSFLYTESLGGMIALLITLRRDDSTPKQLFDGVILNGVMCSISDQFKWSWPLDFTINDQLKSTPHHRRYRFLSSLASSIFLSSTKQICRRRLPLRSPLLAAELQPTPLAAAEQIQPPVATTRPRVGHPRATRLVAGRPRL >itb13g20610.t1 pep chromosome:ASM357664v1:13:27413236:27420933:1 gene:itb13g20610 transcript:itb13g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESGFNYDDLVREAKNRWLKPPEVLSILQNHENLKITHVPPEKPPSGSLFLFNKRVLRFFRKDGHRWRRKKDGRAGGEAHERLKVGNVEALNCYYAHGEQNPKFQRRSYWMLDPAYEHIVFVHYRDISQGRQKALSMPQLSPVSFTINQSPSPYSVQHPSYTAARGGYFEAYSSSGSTEISSFAVTKSNGTNHSSGTVEEDSSPYAHEISEALRRIEEELYMNDGIAPLYNTIENSAHADNIRDDHHNSINQIPEDSNCDLLQRRSVSLSGNAYAICFTCESQGRQKALSMPQLSPVSFTINQSPSPYSVQHPSYTAARGGYFEAYSSSGSTEISSFAVTKSNGTNHSSGTVEEDSSPYAHEISEALRRIEEELYMNDGIAPLYNTIENSAHADNIRDDHHNSINQIPEDSNCDLLQRRSGDLTEYRDQFLGHEVNLWNMDDGYGSSVDGKNILLARDAVETPESVSWLNFNGDFRYPTFWPEINTDGSNPDQSPTLFDQDQNGISIAQKQKFRIADLSPNWGYADEATKVIIIGSFLCDPSESEWMCMFGDIEVPVQIIQEGVLCCHAPPHLPDKVTLCITMGNQEPCSEGREFEYRVKSTGTAESCLPETQCTSKNTEELLLLVRFAKMLLSDGSRCSTDSPESGTKFLEKVKASEELWGEMIDTLLVGNSTSSLTVDWLLQELLKDKLQQWLSSKLQGQTNLSDSDCVLSRKEQGVIHMIAGLGFEWGLQPILDAGVTVNFRDINGWTALHWAARFGREKMVAALIASGASAGAVTDATKQDPIGQSPADIAAVCGHKGLAGYLSEVALTTHLSSLTLEKSELAKGAAEVEAERTIGGISETGATTNEEDQLSLNDTLAAARNATQAAARIQAAFRAHSFRKRQQREAEAAAAAVAAAAATGDEYSILSNDIQGLSAASKLAFRNSRDYNSAALAIQKKYRGWKGRKDFLAFRQKVVKIQAHVRGYQVRKEYRVCWATGILEKVVLRWRRRGVGLRGFHLEIDESDGEAILRVFRKQKVDAAIDEAVSRVLSMVESQEARNQYRRILEKYRQAKAKLQSAENDARTSHDSMSNMENDDLYSSFY >itb10g08640.t1 pep chromosome:ASM357664v1:10:11073301:11076550:1 gene:itb10g08640 transcript:itb10g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAWLVDPNRLATKILSASSDPKRTNWKSNPTKACPNCQYRIDNSDVSHEWPGLPRGVKFDPSDQEIVWHLIAKFGAEDLQTHPFIDEFIPTVEEDDGICYTHPQNLPGIKMDGSCSHFFHRAIKAYNTGTRKRRKIHGDNFGDVRWHKTGRTKPVLLDGVQKGCKKIMVLYVSPAKGGKPEKTNWVMHQYHLGTSEDEREGEYVISKVYYQQQQVKHNKKSECQSPNGHECLTVKEVNYIEDHMETPFQKSGNHDLITENQIDEMEAKNENEAGDDPKWYDLESQNIMDSQQLAEYISLCDEFIISQSPSREDQQNHKEKKRKTCLSDHYACLGAEDLKKDLEECQDYEQQNHKEKKCKTRLSDHYACLGAEDLKKDSECQDYELDPANIILDTPPDFRLSQLEFGSQDSFIAWGGHKIGSEEQGD >itb03g24230.t1 pep chromosome:ASM357664v1:3:22865930:22867461:1 gene:itb03g24230 transcript:itb03g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTPGSSSSALHHNFQRSISHSYTSIMVKESKVTTTTCTRKSRRLSFSSMPATLNIRCAATKPAKTPAEEDWSIKRAKLLEKRVRSVDAKEAFRLQKENNFVILDVRPEAEFNEAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFQGTEENPEFLQTVESKIDKNAKIIVACSSGGTMKPTQNLPEGQQSRSLIAAYVLVLNGYTNVYHLEGGLYTWYKEGLPTVSEE >itb03g09870.t1 pep chromosome:ASM357664v1:3:7660993:7665941:1 gene:itb03g09870 transcript:itb03g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKDDSATNSDSSGDDIPESNSSVYSEGERVLAYHGPRIYEAKVQKAELRKKERRYFVHYLKLTIEVYSSCNSWDEWVGTDRLMKHTEENVKKQQALDKKQGVEKIAKSGRSAQTKPKNSTDLKADKEDTKHNVAKGKKRKADSGTEKENASVEKLIKIQIPSTLKKQLVDDWEFVTQQNKLVHLPRSPNVDDILTKYLEYRAEKDGMMTDTVGEILNGIRCYFDKALPVLLLYKKERQQYHEAVSDNVSPSSVYGAEHLLRLFVKLPELLSYVKIEEETVIRLQQKLLDFLKFLQKNQGAFFVSTYETAKASDGAGKVKDS >itb06g25130.t1 pep chromosome:ASM357664v1:6:26343991:26345869:1 gene:itb06g25130 transcript:itb06g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDMSLRVASFSCYLNNPEESLVQRIAGGSSEDLQRPDAPFPVRARASPNKPSSNVKIPKGAAMDGSKDHKVKVSTASDHGAGESFSSAYVRTPENNNNNSGFAFKTAASGPVEDPTVSFVFPAAAKHLERRIVGKSRNDGEISIFEADKYFNMKLDQKLDLAYTTAPIRSGKVNKNKNDEIPAVESPCTKPKSKSSNVSVSSEPSSFGSKAGLLHKSKPRKAGIIRRSFFGRLGCQGRCLDKKAVVIDDHGSKQAAEDSFSSQKHSAGNTSKREEHHATTKQEEAVEEQRKSLEVFGAHKTRKGSGDVAVNLERKLSVLTWDAIPNSTTACAATDIHPIAHTTTTSNTIYDDMASDASSDLFEIENISDSARHGLMLASQTNTSGCASPITHYAPSEASITWSVVTAGVADHSSVISDYDENTVSIKTNKFKDVTGKPSTKPRFTGLLGCNNSHGTLNISETAHNTGGDRRKLGKSIHNCHSPDDFSSPCMT >itb06g05940.t1 pep chromosome:ASM357664v1:6:8641386:8644664:-1 gene:itb06g05940 transcript:itb06g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MAAASSIATLFSFFSPSKPPSPKAPPLQLSLSSDHSSSISKSHNDGWAPLVKTADNQKPYPSPLSGNSELMSVVCPSLAHANTLFFSSYNVELIVDGDEPEERLLNRFRREVMKTGLIQECKRRRYFENKQEEKKRKSREAARRNRRRRRGPFEDRRMASANNNADGKKEEDDDDNWELPDRELPF >itb06g05940.t2 pep chromosome:ASM357664v1:6:8642585:8644763:-1 gene:itb06g05940 transcript:itb06g05940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MAAASSIATLFSFFSPSKPPSPKAPPLQLSLSSDHSSSISKSHNDGWAPLVKTADNQKPYPSPLSGNSELMSVVCPSLAHANTLFFSSYNVELIVDGDEPEERLLNRFRREVMKTGLIQECKRRRYFENKQEEKKRKSREAARRNRRRRRGPFEDRRMASANNNADGKKEEDDDDNWELPDRELPF >itb05g21580.t1 pep chromosome:ASM357664v1:5:27263884:27267035:-1 gene:itb05g21580 transcript:itb05g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKARIVIFGGTGYLGKFMVRASVSSGHPTYAYVRPVSPDSGASKLDLLKEFEKMGVTIIQGELSEQGKLVSTMKEVDVVISALAVPQHLDQLKIIDAIKEAGNITRFVPSEYGNEVERVRGLPPFQLLLDNKKTIRRATQAAGIPYTFVSANSLTAYFVEYLLHPSENRQQVSIYGTGEAKAVLNYEEDVAAYTVKSAVDSRTLNHVLIVRPPKNVVSQLDLVSSWEHKTGRKMKRTHISEQDLINMSQSLPFPENIPPAILHNIFVAGAQVSFQLGENDLEASKLYPDYKYTTVDDYLNLCVIDPPKPKLAAFA >itb02g10520.t1 pep chromosome:ASM357664v1:2:6795244:6796799:1 gene:itb02g10520 transcript:itb02g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFISYQQRFDCGGGDANLPPGFRFHPTDEELITYYLLKKVVDSTFSARAIAEVDLNKCEPWELPEKARMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKTNWVMHEYRLDGKFAYHYFSRASKDEWVISRVFQKSGAAAAGGGKRKMSSINLYPEVSSPSSVSLPPLVESSSYNNTATSALAIENESCSYDGVHTATKEHVPCFSTAAPQTFNPNASLFDLPAPPLTAAPNFSPLNDPSSTRFARNNNVGVPAFPSLRSLQENLHLPFFFPAATPPPMHGGLSDQIGGYGVSSIPSWPPAATESQKVGSSELDCMWSY >itb09g00860.t1 pep chromosome:ASM357664v1:9:534144:537117:1 gene:itb09g00860 transcript:itb09g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >itb09g09470.t1 pep chromosome:ASM357664v1:9:5710468:5713200:-1 gene:itb09g09470 transcript:itb09g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDEEFGADRVIHIETDDEENEAETAHGEDEDNDDTESEDHGVHRRNPSDNDNFLDSVYPHWPQSYRQSMDMYTTVASPSVSILRGSSYLTLAYRRPQSPLLQSPLNKPLLADTSCLNDEEVPTSTLPAKISPTTSVSHVSVPELPPQQECSYTQALINSINVLCGIGILATPFAVKEGGWLSLFLFLLYGIITCYTGILLKRCLESSPGLQTYPDIGQAAFGMGGRVLVASSCIEFLIMMGDNLAALFPSIHMEFAGFYLNSYQTSAIISTLVILPTVMLRNLSLLSYISAGGVVAFILVIICLLWVAVTNDFVFNPSGTVLNFAKLPVTIGIYSFCFGGHSVFPSIYSSMKEPSRFPSLIMLSFLLAFVSFGGIAIFGFLMFGEATKSQFTLNLPEAHLASKVAKWTVFITPLTKYALTITPVALSIEEFLPSNQHRSHAVSILIRTILVISTLIISLLIPYFGSVTALIGSGLVMLVVSFP >itb15g06260.t1 pep chromosome:ASM357664v1:15:4107505:4110386:1 gene:itb15g06260 transcript:itb15g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFIIKNLKSPRQFYTLEPQLLGTSFRTSSSFISGYCLLTHHKAKYNWNTTHSFVLSNPLLSLLENQCKCMAHLKQIQAQMVTTGLFSDGFASSRLIAFCAISEMGSLDYCKALLYHMQSSNSFSWNVAIRGYTESQNPIEALFLYKELLVYIDKHNDDDTLRPDNYTFPLLFKVCSRLSLFYMGFEILVHVMKMGHYQDRFVHNALVHFLVCSGELEVAKKVFGENCVRDVVSWNSLINGYVKSGRPCEALRAFREMRIEGVEPDEITMIGMALACSQLGSLVLGMEFHRYVVEKEMNLSLPLCNSLMDMYVKCGDLEKAKTLFDRIEERTAVTWTTMIVGYARFGFLDDARKLFDEFQLKSNVVTWNAMIGAYAQAQCGKEALKLFQQMQAMNVRPDEVTMVSCLSACSQLGALDVGCWIHHYVEIHNLSLTVSLGTALVDMYAKCGNVEKALKVFHEMPVRNSLTWTAVIGALALHGDARNALSHFAMMVDAGVAPDDVTFLEVLLACCHGGLVEEGHKIFTEMSSRFNVPPKSKHYACMVDLLGRAGLLKEAEALILSMPTNADAAVWGALFFACRVHRNVELGEKAALKLLQMDPDDSGTYVLLANMYVGANMLHKAREVRKMMSKRGVEKTPGCSSIEVNGNVFEFTVRDRLHAQSDKIYECVIQLTRQMGQDEYVANTPLYSFEIGC >itb12g20010.t1 pep chromosome:ASM357664v1:12:22429612:22431497:1 gene:itb12g20010 transcript:itb12g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRILSLALLISTFAFYASVVNGLFSDTMFIYWGYQHSTMQGDDLQLVLDQTSGSGVQSKGTFLFGSIEMQIKFVPGNSAGTVTAYYLSSTGDKHDEIDFEFLGNVSGQPYIIHTNIFTQGVGNREQQFYPWFDPSADYHNYTIHWNPNAVVWYIDGVPIRVFRNYQSQGIPFPNQQGMGVYSSLWNADEWATRGGKDKIDWSNSPFIASYRNFSPRGCYWNGPGSIYQCGVANPQNWWTSSEYYQLSYAKKGQMDWVRNNFMIYDYCTDYKRFNGTMPVECFMPQY >itb05g10810.t1 pep chromosome:ASM357664v1:5:16698583:16702156:-1 gene:itb05g10810 transcript:itb05g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSCLSASCTECIGIKSSYSSGKGRNHDGHIRYGFSLVKGKAVHPMEDYHVAEFVQIDEHELGLFAIYDGHLGNGVPSFLQKHLLDNILKEDEFWVDPGRAISKAYEKTDEAILSNSSNLGRGGSTAVTAVLINGQRLWVANVGDSRAVLSQAGQALQMTTDHEPNTERGSIERKGGFVSNMPGDVARVNGQLAVSRAFGDKSLKSHLRSDPDIQNRHVDGSYDLLVLASDGLWKVMDNQEAVDIARRVKDPQKAAKQLTAEALKRDSKDDISCVVVRFRR >itb05g10810.t3 pep chromosome:ASM357664v1:5:16698583:16701810:-1 gene:itb05g10810 transcript:itb05g10810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSCLSASCTECIGIKSSYSSGKGRNHDGHIRYGFSLVKGKAVHPMEDYHVAEFVQIDEHELGLFAIYDGHLGNGVPSFLQKHLLDNILKEDEFWVDPGRAISKAYEKTDEAILSNSSNLGRGGSTAVTAVLINGQRLWVANVGDSRAVLSQAGQALQMTTDHEPNTERGSIERKGGFVSNMPGDVARVNGQLAVSRAFGDKSLKSHLRSDPDIQNRHVDGSYDLLVLASDGLWKVMDNQEAVDIARRVKDPQKAAKQLTAEALKRDSKDDISCVVVRFRR >itb05g10810.t2 pep chromosome:ASM357664v1:5:16698583:16701810:-1 gene:itb05g10810 transcript:itb05g10810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSCLSASCTECIGIKSSYSSGKGRNHDGHIRYGFSLVKGKAVHPMEDYHVAEFVQIDEHELGLFAIYDGHLGNGVPSFLQKHLLDNILKEDEFWVDPGRAISKAYEKTDEAILSNSSNLGRGGSTAVTAVLINGQRLWVANVGDSRAVLSQAGQALQMTTDHEPNTERGSIERKGGFVSNMPGDVARVNGQLAVSRAFGDKSLKSHLRSDPDIQNRHVDGSYDLLVLASDGLWKVMDNQEAVDIARRVKDPQKAAKQLTAEALKRDSKDDISCVVVRFRR >itb10g17520.t1 pep chromosome:ASM357664v1:10:23721381:23722538:-1 gene:itb10g17520 transcript:itb10g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNMHRELASMEGAVICLLFVIVLLFMPVCVISLRIGPHFGADPCGMPADSRALRADQLTVLINGYSEHRIPLLHSIAATYSAATASVSAVIILWSNPSTSSQTLAELSQNLTSISTGGASISVIRQRSTSLNLRFYPQESIATRAVLVCDDDVEPDIDSINFAFNVWKSNPDRLVGFFARSHDYDLSEKKWIYTMRNDKYSIILTKLMLFKSEYLYKYTCETPPEMLRLVDRMNNCEDILMNVVVSDLTNAGPVLVGAKRGVRDWGDPRNEGGVEEERGVGLSSRRGEHRKRRGDCITEFHRIAGRMPLRYSYGKVVDHVGEQGLCRKGDRLVFCDDQSPDFK >itb06g23650.t1 pep chromosome:ASM357664v1:6:25507946:25510788:-1 gene:itb06g23650 transcript:itb06g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSVKWAQRSDKLFITVELPDAKNVKFKLEPEGKFYFAAEAGADNTPFEVDFELFDKVDVNESKGSTTSRYICYLIKKAENKWWSRLLKQEGKPPVFLKVDWDKWVDEDEQDEKPGAGMDFGDDFDFSNLSMGGPGDFDPDAAGDADDDDSDTDEEGKEIETGPASSELQAHAPSSEPETKA >itb04g25480.t1 pep chromosome:ASM357664v1:4:30074228:30077163:1 gene:itb04g25480 transcript:itb04g25480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASSIVFPHLNRHAKASSFISKHINSHFSSLNSTPSHPNLKPCSKTQASLFSNGKPQMFNTLADQTPLQMSSRQRKIKERSELEEAFESAETTEEMLKALRDMEACFDETQLGMACLKMGLKLDQEGNDPEKALSFANRALNALDRDDKLSLPLAMTLQLMGSACYSLKRFNDSLGFLNRAKRVLGQLEKEGSCSVEDFGPVLHAVELELYNTKTAMGRREEALVNLRKSLELKEMSLEENSRELGKANRDVAEAYVAVLNFDEALPFCLKALEIHKGQLGNNSVEVAHDRRLLGIIYTGLEEHEKALEQNQLSQRVLKNWGRGSELLQAEVDAANMQIALGRYDEAIETLRGVVQRTDRESKDRAMVFVSMAKALCNQEKFPDSKRCLDVACGILGKKESSSPVEVSEAYMEISMQYETMDEFETAISLLKRALRMLDKIPQEQHSVGSVSARIGWLLLLTGKVEQGIPYLEDAAERLKESFGSKHYSIGYVYNNLGAAYMELDRPQSAAQVFAYAKDIMDVSLGPHHADSIEACQNLSRAYAAMGSYQLAINFQKKVIEAWEGHGPNAEDELKEAYRILEQLKMKACVSLPEQPSIKALPSSNASSLNSNCQSDVSITER >itb04g25480.t2 pep chromosome:ASM357664v1:4:30074268:30077163:1 gene:itb04g25480 transcript:itb04g25480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACYSLKRFNDSLGFLNRAKRVLGQLEKEGSCSVEDFGPVLHAVELELYNTKTAMGRREEALVNLRKSLELKEMSLEENSRELGKANRDVAEAYVAVLNFDEALPFCLKALEIHKGQLGNNSVEVAHDRRLLGIIYTGLEEHEKALEQNQLSQRVLKNWGRGSELLQAEVDAANMQIALGRYDEAIETLRGVVQRTDRESKDRAMVFVSMAKALCNQEKFPDSKRCLDVACGILGKKESSSPVEVSEAYMEISMQYETMDEFETAISLLKRALRMLDKIPQEQHSVGSVSARIGWLLLLTGKVEQGIPYLEDAAERLKESFGSKHYSIGYVYNNLGAAYMELDRPQSAAQVFAYAKDIMDVSLGPHHADSIEACQNLSRAYAAMGSYQLAINFQKKVIEAWEGHGPNAEDELKEAYRILEQLKMKACVSLPEQPSIKALPSSNASSLNSNCQSDVSITER >itb02g17110.t2 pep chromosome:ASM357664v1:2:13109846:13116132:1 gene:itb02g17110 transcript:itb02g17110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVFQNSHKLFVRFPKRIFSVRTSTYNVTRSSHFQDQVHCICGNEDDNVVSWTLMISNFVKRNQPQKAIELFKRMLTSNLKPNYVTVLSSIRASSCMDSDNLTMGIHCFAIKMGFELEMPIITALLGFYSVCDMEAAWKLFQQAPEKDFIMWSAMISAFVKIGEYILAIDLFKEMQFCGVLLNYVCILSILPACANLGNLRIGRELHGFSIKRSFTSHVNVQNSLMDMYTKCGSLKESIYVFRHIEQKDLVSWKNIILGCVKNHCHRKALRFFYEMQFCCFDIDETIITEVIGAFSKLNETKAGLGIHCFALKSGFLKCISVMTALLQMYANFGSIETARILFDSLSQKDIIAWSAMISAYAQSEQPSNALAIFKRMQLEHGKPNEFAFHSLLQACSSMAAQDVGETIHTQVMKLGYTSNAFLASTLIDMYCKFGRIHQGKTIFDENPDKDLICWSSMINGYGINGHGNEALECFLDMLSHGIQPNDVVFISVLSACSHCGLEYEGWNWFHAMEEKYDITPKLAHYACMVDMLSRQGNVEEALEFVNKMPIEPDKRIWGALLAGCRKTHGSSEVSELVAKQLISLDPTNASYYVILSNLYADQGRWKEVEKLRQLMDGKKRKKGMGYSVIEVNDLMHRMEL >itb02g17110.t1 pep chromosome:ASM357664v1:2:13109846:13116132:1 gene:itb02g17110 transcript:itb02g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVFQNSHKLFVRFPKRIFSVRTSTYNVTRSSHFQDQVHCICGNEDDNVVSWTLMISNFVKRNQPQKAIELFKRMLTSNLKPNYVTVLSSIRASSCMDSDNLTMGIHCFAIKMGFELEMPIITALLGFYSVCDMEAAWKLFQQAPEKDFIMWSAMISAFVKIGEYILAIDLFKEMQFCGVLLNYVCILSILPACANLGNLRIGRELHGFSIKRSFTSHVNVQNSLMDMYTKCGSLKESIYVFRHIEQKDLVSWKNIILGCVKNHCHRKALRFFYEMQFCCFDIDETIITEVIGAFSKLNETKAGLGIHCFALKSGFLKCISVMTALLQMYANFGSIETARILFDSLSQKDIIAWSAMISAYAQSEQPSNALAIFKRMQLEHGKPNEFAFHSLLQACSSMAAQDVGETIHTQVMKLGYTSNAFLASTLIDMYCKFGRIHQGKTIFDENPDKDLICWSSMINGYGINGHGNEALECFLDMLSHGIQPNDVVFISVLSACSHCGLEYEGWNWFHAMEEKYDITPKLAHYACMVDMLSRQGNVEEALEFVNKMPIEPDKRIWGALLAGCRKTHGSSEVSELVAKQLISLDPTNASYYVILSNLYADQGRWKEVEKLRQLMDGKKRKKGMGYSVIEVNDLMHRMEL >itb08g12540.t1 pep chromosome:ASM357664v1:8:12682208:12686159:1 gene:itb08g12540 transcript:itb08g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRDTINRTLLCFLVFGVFLVGTLIYNGTIDYRSRFVNFESPLRNATPCPSSAGQPLKVYMYDLDIKYNVGLMKGGNVSGKPVSLSTLPPWPDASGGLRRQHSVEYWMLASLLYEGDGVSALDFVRVSDPDTADAFFVPFFSSMSYNTYIKHGDYSGEKFDIQLQVEIVEFLKKSKYWKRSGGKDHVIPVHHPNAFKFHKAQVKKAIWIVADFGRADRNISNLRKDVVAPYGHVVESFLDDDPPDPFESRPTLLFFRGRTIRKDDGRDRLILQKLLAGEKDVVFQGSEGVSNSGIKNSTKGMRLSKFCLDPAGDTPSSCRLFDAIVSHCVPVIISDKVELPYEDEVDYSEFCIFISREDSKKEGYIVKQLRSISKSRWVEMWRLLKNISHHFEFQYPPKKGDAVNMVWRQVRHKLPFANLDVHRSRRLKVPDPWLR >itb08g14990.t2 pep chromosome:ASM357664v1:8:16921691:16925264:1 gene:itb08g14990 transcript:itb08g14990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDNNTSEMQNGSDKKCLGRRVLSAGDLVHQGKLNGSKGVYSGRKRPLWWLFRHARSIILTILLVGFLFLLDSLMFAISDSLHLTASSTQSEGGRIGFVNNKEERRPVRMYDRLLNMAASSLAEREFKQDASKLWQEPYPRAASKWKPCADRKSAEVKRNDTGYILVSANGGLNQQRVAVCNAVAVASLLNATLVIPKFLYSNVWQDPSQFEDIYEEEHFMETLKDEVNIVKDLPPHLKLLDLETAGSIVTDADLSKEATPDEYIKTVLPILLHNGVVHFLGFGNRLGFDPLPSHLQRLRCKCNFHALKFVPKIQETASLLVKRIRNHGGSRSMLDKQLLGNFISHNHNQLLPMDTSTRYLALHLRFEIDMVAYSMCEFGGGETERKELQAYREVHFPLVLERLNKSKTIISPEELRRSGRCPLTPEEAALVLASLGFKHETYIYLAGSGIYGGESRMQPLRTLYPNLVTKEDLLSPIELAPFRNYSSQVRYATLPAIC >itb08g14990.t1 pep chromosome:ASM357664v1:8:16921691:16926590:1 gene:itb08g14990 transcript:itb08g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDNNTSEMQNGSDKKCLGRRVLSAGDLVHQGKLNGSKGVYSGRKRPLWWLFRHARSIILTILLVGFLFLLDSLMFAISDSLHLTASSTQSEGGRIGFVNNKEERRPVRMYDRLLNMAASSLAEREFKQDASKLWQEPYPRAASKWKPCADRKSAEVKRNDTGYILVSANGGLNQQRVAVCNAVAVASLLNATLVIPKFLYSNVWQDPSQFEDIYEEEHFMETLKDEVNIVKDLPPHLKLLDLETAGSIVTDADLSKEATPDEYIKTVLPILLHNGVVHFLGFGNRLGFDPLPSHLQRLRCKCNFHALKFVPKIQETASLLVKRIRNHGGSRSMLDKQLLGNFISHNHNQLLPMDTSTRYLALHLRFEIDMVAYSMCEFGGGETERKELQAYREVHFPLVLERLNKSKTIISPEELRRSGRCPLTPEEAALVLASLGFKHETYIYLAGSGIYGGESRMQPLRTLYPNLVTKEDLLSPIELAPFRNYSSQLAALDFIACATADVFALTDSGSQLSSLVSGFRSYYGGGRAPTLRPSKKRLATILWENNTIGWSSFEERIRKMIDEGQKVRSRAFGRSIYRHPRCKHCMCKLQ >itb08g14990.t3 pep chromosome:ASM357664v1:8:16921691:16924950:1 gene:itb08g14990 transcript:itb08g14990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDNNTSEMQNGSDKKCLGRRVLSAGDLVHQGKLNGSKGVYSGRKRPLWWLFRHARSIILTILLVGFLFLLDSLMFAISDSLHLTASSTQSEGGRIGFVNNKEERRPVRMYDRLLNMAASSLAEREFKQDASKLWQEPYPRAASKWKPCADRKSAEVKRNDTGYILVSANGGLNQQRVAVCNAVAVASLLNATLVIPKFLYSNVWQDPSQFEDIYEEEHFMETLKDEVNIVKDLPPHLKLLDLETAGSIVTDADLSKEATPDEYIKTVLPILLHNGVVHFLGFGNRLGFDPLPSHLQRLRCKCNFHALKFVPKIQETASLLVKRIRNHGGSRSMLDKQLLGNFISHNHNQLLPMDTSTRYLALHLRFEIDMVAYSMCEFGGGETERKELQAYREVHFPLVLERLNKSKHVFYNPFCL >itb09g09620.t1 pep chromosome:ASM357664v1:9:5902387:5910202:1 gene:itb09g09620 transcript:itb09g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMDSRGGGSGRFMVGDYLVGKQVGTGSYSTVWHARHRDHGTEVAIKEIVTARLNSKLQESLMSEIVILRKINHPNIIHLHDMIQEPGKIYIVLEYCRGGDLSIYIQQRNGRIPEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSVNDDNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFIGNDQLQLLQNIVKSTGLQFPSNAKDLSDECRDLCRKLLRRNPVERLTFEEFFNHPFLATRQPDELSRIWRPQRKIDGFPLSSCTPASADESQEDCLPFRLDDDSSGTDNSQSVTGRLLQRPPYGYPINAFNVPKLPDPTFDGSGGDCKRESTAFSVGNCQLSEGNLKESRSSIEHRPAASNPEGASKLSNMPLVSGCSPQAPRNAYSRPSAPMPIMGPATHEVGCVGSLDSHTSAHGTSQGSVDIADTLEQPSTDCMRRIESLQCCASAITELVNEKSEAGKHLEAFSIQLVILAIWKQALHICHTQAASAVEGSPTQHATTLRETMKRGQGCLNVHNPVDASNTLGPQDVCSHIQRSFLSEVQNAEELSKFVEPGNTEVPDAMELIFQSALAMGRKGAVDEYMGRTENAVVFYSKAVQLLAFLQVEAPSLILNPPFSLTNTDRYRLQNYIDVLKNRKSVSRSQMMALLKCEDQHCSP >itb09g09620.t2 pep chromosome:ASM357664v1:9:5902410:5910201:1 gene:itb09g09620 transcript:itb09g09620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKIYIVLEYCRGGDLSIYIQQRNGRIPEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSVNDDNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFIGNDQLQLLQNIVKSTGLQFPSNAKDLSDECRDLCRKLLRRNPVERLTFEEFFNHPFLATRQPDELSRIWRPQRKIDGFPLSSCTPASADESQEDCLPFRLDDDSSGTDNSQSVTGRLLQRPPYGYPINAFNVPKLPDPTFDGSGGDCKRESTAFSVGNCQLSEGNLKESRSSIEHRPAASNPEVINSLELIDQGYVIVSAPPVDSSSSTGASKLSNMPLVSGCSPQAPRNAYSRPSAPMPIMGPATHEVGCVGSLDSHTSAHGTSQGSVDIADTLEQPSTDCMRRIESLQCCASAITELVNEKSEAGKHLEAFSIQLVILAIWKQALHICHTQAASAVEGSPTQHATTLRETMKRGQGCLNVHNPVDASNTLGPQDVCSHIQRSFLSEVQNAEELSKFVEPGNTEVPDAMELIFQSALAMGRKGAVDEYMGRTENAVVFYSKAVQLLAFLQVEAPSLILNPPFSLTNTDRYRLQNYIDVLKNRKSVSRSQMMALLKCEDQHCSP >itb09g09620.t4 pep chromosome:ASM357664v1:9:5902410:5910201:1 gene:itb09g09620 transcript:itb09g09620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKIYIVLEYCRGGDLSIYIQQRNGRIPEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSVNDDNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFIGNDQLQLLQNIVKSTGLQFPSNAKDLSDECRDLCRKLLRRNPVERLTFEEFFNHPFLATRQPDELSRIWRPQRKIDGFPLSSCTPASADESQEDCLPFRLDDDSSGTDNSQSVTGRLLQRPPYGYPINAFNVPKLPDPTFDGSGGDCKRESTAFSVGNCQLSEGNLKESRSSIEHRPAASNPEGASKLSNMPLVSGCSPQAPRNAYSRPSAPMPIMGPATHEVGCVGSLDSHTSAHGTSQGSVDIADTLEQPSTDCMRRIESLQCCASAITELVNEKSEAGKHLEAFSIQLVILAIWKQALHICHTQAASAVEGSPTQHATTLRETMKRGQGCLNVHNPVDASNTLGPQDVCSHIQRSFLSEVQNAEELSKFVEPGNTEVPDAMELIFQSALAMGRKGAVDEYMGRTENAVVFYSKAVQLLAFLQVEAPSLILNPPFSLTNTDRYRLQNYIDVLKNRKSVSRSQMMALLKCEDQHCSP >itb09g09620.t3 pep chromosome:ASM357664v1:9:5902410:5910201:1 gene:itb09g09620 transcript:itb09g09620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKIYIVLEYCRGGDLSIYIQQRNGRIPEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSVNDDNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFIGNDQLQLLQNIVKSTGLQFPSNAKDLSDECRDLCRKLLRRNPVERLTFEEFFNHPFLATRQPDELSRIWRPQRKIDGFPLSSCTPASADESQEDCLPFRLDDDSSGTDNSQSVTGRLLQRPPYGYPINAFNVPKLPDPTFDGSGGDCKRESTAFSVGNCQLSEGNLKESRSSIEHRPAASNPEVINSLELIDQGYVIVSAPPVDSSSSTGASKLSNMPLVSGCSPQAPRNAYSRPSAPMPIMGPATHEVGCVGSLDSHTSAHGTSQGSVDIADTLEQPSTDCMRRIESLQCCASAITELVNEKSEAGKHLEAFSIQLVILAIWKQALHICHTQAASAVEGSPTQHATTLRETMKRGQGCLNVHNPVDASNTLGPQDVCSHIQRSFLSEVQNAEELSKFVEPGNTEVPDAMELIFQSALAMGRKGAVDEYMGRTENAVVFYSKAVQLLAFLQVEAPSLILNPPFSLTNTDRYRLQNYIDVLKNRKSVSRSQMMALLKCEDQHCSP >itb09g09620.t5 pep chromosome:ASM357664v1:9:5902410:5910201:1 gene:itb09g09620 transcript:itb09g09620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMDSRGGGSGRFMVGDYLVGKQVGTGSYSTVWHARHRDHGTEVAIKEIVTARLNSKLQESLMSEIVILRKINHPNIIHLHDMIQEPGKIYIVLEYCRGGDLSIYIQQRNGRIPEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSVNDDNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFIGNDQLQLLQNIVKSTGLQFPSNAKDLSDECRDLCRKLLRRNPVERLTFEEFFNHPFLATRQPDELSRIWRPQRKIDGFPLSSCTPASADESQEDCLPFRLDDDSSGTDNSQSVTGRLLQRPPYGYPINAFNVPKLPDPTFDGSGGDCKRESTAFSVGNCQLSEGNLKESRSSIEHRPAASNPEVINSLELIDQGYVIVSAPPVDSSSSTGASKLSNMPLVSGCSPQAPRNAYSRPSAPMPIMGPATHEVGCVGSLDSHTSAHGTSQGSVDIADTLEQPSTDCMRRIESLQCCASAITELVNEKSEAGKHLEAFSIQLVILAIWKQALHICHTQAASAVEGSPTQHATTLRETMKRGQGCLNVHNPVDASNTLGPQDVCSHIQRSFLSEVQNAEELSKFVEPGNTEVPDAMELIFQSALAMGRKGAVDEYMGRTENAVVFYSKAVQLLAFLQVEAPSLILNPPFSLTNTDRYRLQNYIDVLKNRKSVSRSQMMALLKCEDQHCSP >itb12g07840.t1 pep chromosome:ASM357664v1:12:6005106:6007962:1 gene:itb12g07840 transcript:itb12g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFSSPLLLPLFLLLVSSTPDHHQDPDAIVQDVNRKINNASLARRGLGYLSCSTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKDALGGKNGRVYVVTDSGDDDPVNPKPGTLRHAVIQDEPLWITFQRDMVIQLKQELVMNSYKTIDGRGASVHIAGGPCITIHYATNIIIHGIHVHDCKPAGNGDIRDSPEHSGWWDGSDGDGISIFQGKHIWVDHCSLSNCHDGLVDAIHGSTAITISNNYFTHHDKVMLLGHSDAYTLDKNMQVTVAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWELYAIGGSASPTINSQGNRFLASDDRFRKEVTKHEDAAESEWKSWNWRSEGDLMLNGAYFRQSGAGASASTYARASSLSARPSSLVASITTAAGALNCKKGSHC >itb09g05500.t1 pep chromosome:ASM357664v1:9:3138638:3139012:1 gene:itb09g05500 transcript:itb09g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKRGSSSMVWAEDDRDEWEWVSPEKIIAEREGLLCDNKSSASFSSSTPSSSPFSSSSSPSSGELKIVISKKQLEQLLGKGETAAEQVLAGLLVDAGNRGGSEMLRQRSWRPRLQSIPEVN >itb03g20220.t1 pep chromosome:ASM357664v1:3:18138125:18140851:1 gene:itb03g20220 transcript:itb03g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNLSKNAKAAEQNPVAENMKKPPPPITSSVTPKKLKCGSEIDEIFAGKKRKKPEKPKKRAMKNKRKDSKDGKENGSISGLSFSLYIYGAVEMATNLLPLRPTVVMACAGSSHRKPDASGRKAGGNSNWWAPVFGFPAEPDYIGANSNRKVANKTDPDLDAKPAISRFAPGAFTEDKARQLRKLTTESSSFHDVMYHSAIASRLASDFSDRSGQ >itb04g01120.t2 pep chromosome:ASM357664v1:4:628356:635386:1 gene:itb04g01120 transcript:itb04g01120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMHRYSTNEEDEEMGMDVKEEDDEDEEEEEEEKSLSAPATAGIDGGVASNNSSHRFLHHQQFQEQPTPQGGSRRSRPLEEKERTKLRERHRRAITAKILAGLRKYGNYNLRARADINEVISALAREAGYVVLQDGTTFPSGSHPQGTRATGPSTPVVTSPSLYMQAQNSQPASLRTISSGCQDTVGYNSVQMKSVFMPTSSRYDAPLSGPSQISSMVADGGGTQNDPFLGGSLDSNKQVVDVPTRLQEDAFAGTPYVPVYVMLPLGIINIKSELVDPDSVVKQLRILKSINVDGIMVDCWWGIVEAHGPKEYNWDGYKSLFQIVRELKLKIQVVMSFHECGGNIGDDVCIPLPHWVAEIGRSNPDIYFTDRAGRRNPECLSWGVDKERIFKGRTALEVYYDYMRSFRSEFDEFFKDGVISMIEVGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDQYLFKSLHKAAEERGPLIWARGPDNAGSYNSRPHETGFFCDGGDYDGYHGRFFLKWYSQILVDHVDRVLYLAKLIFEGTCIAVKLSGIHWWYKTTSHAAELTAGYYNSNTRDGYAAILAKLKKHGAILKFTFTEMSTLNQHVEAMGDPEGLDWQMINAAWDACLPVCGGNSLPCHDRASYNYLLEKSKPMDHPDGLHFVSFTYLRLSPVLLDAQNFMEFEQFVKQMHGQAVLDIQA >itb04g01120.t1 pep chromosome:ASM357664v1:4:627557:635135:1 gene:itb04g01120 transcript:itb04g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMHRYSTNEEDEEMGMDVKEEDDEDEEEEEEEKSLSAPATAGIDGGVASNNSSHRFLHHQQFQEQPTPQGGSRRSRPLEEKERTKLRERHRRAITAKILAGLRKYGNYNLRARADINEVISALAREAGYVVLQDGTTFPSGSHPQGTRATGPSTPVVTSPSLYMQAQNSQPASLRTISSGCQDTVGYNSVQMKSVFMPTSSRYDAPLSGPSQISSMVADGGGTQNDPFLGGSLDSNKQVVDVPTRLQEDAFAGTPYVPVYVMLPLGIINIKSELVDPDSVVKQLRILKSINVDGIMVDCWWGIVEAHGPKEYNWDGYKSLFQIVRELKLKIQVVMSFHECGGNIGDDVCIPLPHWVAEIGRSNPDIYFTDRAGRRNPECLSWGVDKERIFKGRTALEVYYDYMRSFRSEFDEFFKDGVISMIEVGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDQYLFKSLHKAAEERGPLIWARGPDNAGSYNSRPHETGFFCDGGDYDGYHGRFFLKWYSQILVDHVDRVLYLAKLIFEGTCIAVKLSGIHWWYKTTSHAAELTAGYYNSNTRDGYAAILAKLKKHGAILKFTFTEMSTLNQHVEAMGDPEGLDWQMINAAWDACLPVCGGNSLPCHDRASYNYLLEKSKPMDHPDGLHFVSFTYLRLSPVLLDAQNFMEFEQFVKQMHGQAVLDIQA >itb04g01120.t3 pep chromosome:ASM357664v1:4:627557:634275:1 gene:itb04g01120 transcript:itb04g01120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMHRYSTNEEDEEMGMDVKEEDDEDEEEEEEEKSLSAPATAGIDGGVASNNSSHRFLHHQQFQEQPTPQGGSRRSRPLEEKERTKLRERHRRAITAKILAGLRKYGNYNLRARADINEVISALAREAGYVVLQDGTTFPSGSHPQGTRATGPSTPVVTSPSLYMQAQNSQPASLRTISSGCQDTVGYNSVQMKSVFMPTSSRYDAPLSGPSQISSMVADGGGTQNDPFLGGSLDSNKQVVDVPTRLQEDAFAGTPYVPVYVMLPLGIINIKSELVDPDSVVKQLRILKSINVDGIMVDCWWGIVEAHGPKEYNWDGYKSLFQIVRELKLKIQVVMSFHECGGNIGDDVCIPLPHWVAEIGRSNPDIYFTDRAGRRNPECLSWGVDKERIFKGRTALEVYYDYMRSFRSEFDEFFKDGVISMIEVGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDQYLFKSLHKAAEERGPLIWARGPDNAGSYNSRPHETGFFCDGGDYDGYHGRFFLKWYSQILVDHVDRVLYLAKLIFEGTCIAVKLSGIHWWYKTTSHAAELTAGYYNSNTRDGYAAILAKLKKHGAILKFTFTEMSTLNQHVEAMGDPEGLDWQMINAAWDACLPVCGGNSLPCHDRASYNYLLEKSKPMDHPDGLHFVSFTYLRLSPVLLDAQNFMEFEQFVKQMHGMTHLKSPLCYLLLLHLKSLA >itb04g01120.t4 pep chromosome:ASM357664v1:4:628356:634280:1 gene:itb04g01120 transcript:itb04g01120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMHRYSTNEEDEEMGMDVKEEDDEDEEEEEEEKSLSAPATAGIDGGVASNNSSHRFLHHQQFQEQPTPQGGSRRSRPLEEKERTKLRERHRRAITAKILAGLRKYGNYNLRARADINEVISALAREAGYVVLQDGTTFPSGSHPQGTRATGPSTPVVTSPSLYMQAQNSQPASLRTISSGCQDTVGYNSVQMKSVFMPTSSRYDAPLSGPSQISSMVADGGGTQNDPFLGGSLDSNKQVVDVPTRLQEDAFAGTPYVPVYVMLPLGIINIKSELVDPDSVVKQLRILKSINVDGIMVDCWWGIVEAHGPKEYNWDGYKSLFQIVRELKLKIQVVMSFHECGGNIGDDVCIPLPHWVAEIGRSNPDIYFTDRAGRRNPECLSWGVDKERIFKGRTALEVYYDYMRSFRSEFDEFFKDGVISMIEVGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDQYLFKSLHKAAEERGPLIWARGPDNAGSYNSRPHETGFFCDGGDYDGYHGRFFLKWYSQILVDHVDRVLYLAKLIFEGTCIAVKLSGIHWWYKTTSHAAELTAGYYNSNTRDGYAAILAKLKKHGAILKFTFTEMSTLNQHVEAMGDPEGLDWQMINAAWDACLPVCGGNSLPCHDRASYNYLLEKSKPMDHPDGLHFVSFTYLRLSPVLLDAQNFMEFEQFVKQMHGMTHLKSPLCYLLLLHLKSLA >itb01g31800.t1 pep chromosome:ASM357664v1:1:35423304:35425993:1 gene:itb01g31800 transcript:itb01g31800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQRGFNLGIPSATKHITFLFASFLLLLLLFHIHFSPFDLSSSPASHPWMFPDSSGVVESLTTKLKQSVTFMPLKDLRFAQTAMTGNTWFMSSLNDTHEKDEAQHLYFPSDASQGRLLCVKGRNIRDGTQNSYALAWRSSLPFSATLLEGLTFISDSYYSHENLWHGLCAVVPFVRWAMRNGCVKPQRWVLFHWGEIRYKMGSWVRQLMETEFGDVEVERFKEGDDDGPYCFERAVVMRHDMGEMGIENKLKAFDLLRCKARNYCGFMPESRREVDDRGFPIIRLTLLMRRGSRSFKNAAAVTDIFAEECAKVDGCVLNVAQSEDLSFCDQVRVMTNTDIVASPHGAQLTNMFFMDRGSSVMEFFPKGWREYSGIGRFAHHWMATQSGMNHRGAWWDPNGPDCPNPKDSSQCFSFHKNGKVGHNQTYFAEWASKVLNQVKLMKMEKASKTAVNTTLHASNACAC >itb01g31800.t2 pep chromosome:ASM357664v1:1:35423540:35424565:1 gene:itb01g31800 transcript:itb01g31800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METQRGFNLGIPSATKHITFLFASFLLLLLLFHIHFSPFDLSSSPASHPWMFPDSSGVVESLTTKLKQSVTFMPLKDLRFAQTAMTGNTWFMSSLNDTHEKDEAQHLYFPSDASQGRLLCVKGRNIRDGTQNSYALAWRSSLPFSATLLEGLTFISDSYYSHENLWHGLCAVVPFVRWAMRNGCVKPQRWVLFHWGEIRYKMGSWVRQLMETEFGDVEVERFKEGDDDGPYCFERAVVMRHDMGEMGIENKLKAFDLLRCKARNYCGFMPESRREVDDRGFPIIRLTLLMRRGSRSFKNAAAVTDIFAEECAKVDGCVLNVAQSEDLSFCDQVTQYQRVNT >itb07g22030.t1 pep chromosome:ASM357664v1:7:26501929:26505893:1 gene:itb07g22030 transcript:itb07g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHVGILELYRFMVYTMGDSEEARKSRDEMQNLSLDSTRDSRDLLQRFMEGGGSGAGKEGKEEDESEIELNLGLSLGGKFGVDRAFKNLVRSSSIAACLPTVRDDDAAAAAPPAYPTLVRTSSLPVETEEEWRKRKELQTLRRMQAKRRRSEKQRNSAAAACGGAGGGGGGGGSTLEDEKREIEKNFRGRLEREQYLTAAKRFGLSAPPQFGLPTWAAAASQAAFGGGIDSLKGKTSDFSNFSKVQGLGQPCSQGSVESQGGSSSSMSELESKSLQGSGDVSPGSFQSTQDTGSRDVGSSGVKTMDLASRMSGAEMEGTSHKPNSTSDQAREIGTNAMEDMPCVFTKGDGPNGKRIDGILYKYGKGQEVRIMCVCHGSFLSPAEFVKHAGGTDVAHPLKHIVIKPNSAPFL >itb10g01210.t1 pep chromosome:ASM357664v1:10:890889:897030:-1 gene:itb10g01210 transcript:itb10g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIISIVVDQLSIIIKDQIQDVRAALGVEKEIKNLSSKLNKIRAVLNDAERRSFNEEIVKLWVDEIKDFCYDVEDVVDEWNTRSRRQQIERSPEVAGRCSSFLPCCFHFKKIVMHGDIANKIKELDSRLDRITKEKDQFNFLAVASLHPDQELKRVSTPFDVDATEIQGRESDASGLISKLVEDNDNGPPVVISIVGAGGIGKTTLAQLVYGDEQIKAHFDERVWVCVSQPFDQIKIAKDIVHSISSENLSEQSLQVLLGKIQSTLSQKRFLLVLDDVWTEEDAMWAPFKNCLKVGLPGSRILVTSRSERVARMMASVYLHRVDLISDSDAWLLLSKIAFSGGRDDAYSKKLEEIGKQIAQKCKGLPLAVKVMGSLLRNKDTEEEWQTVLSQLDTKFSNVEGVETDLFPHLRLSYDDLTPQMKRCFSYCAVFPKDYRIDVDELIRIWMAQGYLTTTNGSDHNNQMEQKGREIFNNLAMRSLFQDFEKHRRDSNIIISCKMHDIVHDFAEFLTKTECYSVVWQEDKVKIENLRHLSWQKTGSPIDLASNYDVLGKLRTFFAKDLSPEQLTANMFNGLKSVRVLGLHSCMLPKLPKEIGNLIHLRYIDLSWSKIVELPDSICSLDNLQTLNLQECECLSRLPEGIGNLHKLRKIDLSWSKVDELPDSICCLDNLQTLNLRECNCLSRLPERIGKLRNLSEIDLRSEVEELPDSICSLDNLKILNLDGCECLSRLPERIGNLRNLSEIHLSKSKVEELPDSICSLDNLKILNLDGCEYLFRLPERIGNLRNLSEIHLSKSKVEELPDSICSLKSKVEELPDSICSLDNLKILNLDSICSLDNLKILNLEGCECLSRLPERIGNLRNLSEIDLSRTKVEELPDSLCSLDNLEYLGLDGCECLSRLPEDIGNLRHLSEIHLSWSKVEELPDSICSLDNLKILNLEECECLSRLPERIGNLRNLREIYLCRSKVEELPDSICSLDKLVTLFLEGCECLSRLPERIGNLRNLIEIDLSSCKVEELPDSICSLDNLKILDLGGCKCLSRLPGGIGNLHHLRDIDLSWSKVEELPDSIWSLNNLESLSLAGCECLSRLPEDIENLRHLSEIYLNWSKVEELPDSICSLDNLKYLDLDGCECLSRLPEDIGNLRHLSEIHLSRSKVEELPDSICSLDNLQTLNLRGCECLSRLPEEIGNLRNLSKIYLSMSKVEELPDSICSLDNLEILDLKGCECLSRLPDGVGNLHKLRLIDLRGCKVELPDSIYSLNNLKIRAGELGRDISESEYCSE >itb09g13000.t1 pep chromosome:ASM357664v1:9:8295778:8299312:-1 gene:itb09g13000 transcript:itb09g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRNISPFVLLIIVTVVLTLAPSCLEALHHQQHYSHHNNVAHNNLNHLHEESGHDSRAYPSYSLEGMRSTRFLASVTTVNVDDYGAKGDGTHDDTVAFQKAWKVACSSIATTAVYFQVSKKNYLLRPISFSGPCKSAITVQIYGSIEASDDRSDYSDDRSHWLKFSSVQNLMVEGDGTINGNGKIWWQNSCKVDTSRPCENAPTALTFHMCNYLIVKNLRIQNAQRMHISFDQCTNVRASNLVVTAPGNSPNTDGIHVTKTKNIQISDCTIGTGDDCISIVSGSQNIEATGITCGPGHGISIGSLGSGNSKAYVSNVVVNGAKLSGTANGVRIKTWQGGSGSASNIKFQNIEMHDVKNPIIIDQNYCDQKDPCKHQSSAVQVKNVVYENIAGTSATEIAVKFDCSKNHPCKGIVMKNVDLVGEGDEVAKAECNNVRFSNLGTVSPKCPPA >itb07g00230.t1 pep chromosome:ASM357664v1:7:199133:200860:-1 gene:itb07g00230 transcript:itb07g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLRTLFLFVFASLFLFVLLYQSHAPSSALVYHYQPPATTTTNFGASFMVVSNVITESLEVSWVFSPRLASQLSPSLTFPIPSVAVLLPDWEVLVLVSPDYTPLAWDVNDPYVCLFDKGITSPAFPAGVLPFPDRFMFKCELPRRVRRRIPYKQPVMVKSSSLNLRMDWTPPILLRWNFVVYDSLATEDDVVLFVKGVNNRQGINREPTEFQCAFFAGDDAIKTVVTSSMQEVFRCKRPDLTAFPLGEEKRIKVSLEILGPNNPVLVPTVAYYTAPRNLSPKNGKSLLCVATMVRNVAKFLNEWILYHSKIGVDKFILYDNGSDDDLQKIVESLVRQGFDISTYFWLWPKTQEAGFSHSAVYANTSCRWIMYVDVDEFVYSPAWAKVSKPSKALLHSLLPKLRNHSTTPAQVNIGCMEFGPSGQTVHPETGVTQGYNCRKEGRIRHKSIVLLEAIDHSLMNVIHHFILKPGYMSKKLSLNTLVVNHYKFQAWPEFKAKFRRRVSAYVFDWTQPLNPKSNDRTPGLGYTPVEPADWPTKFCEVYDDGLKNLTRRWFGVESPQDYKMAWHGKDDG >itb01g14550.t1 pep chromosome:ASM357664v1:1:16405085:16406424:1 gene:itb01g14550 transcript:itb01g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNEVQSRKGVQSQVQPTEKPLEQTPSPRDKSVVKRPLTPAFEKSEVCSKKMRAEEVQDLFAQLKPEGMEPFKEAMAAFCEIVNDFAQMGRKNAELNRDVEAAKKEVAAAKVVAESALKKAKEWENRAEMAEKTVEHWKKAFKNLWKNAEDSRKRAEKAEKALNAVRVALINHSTSTIANAAARGTK >itb14g13670.t1 pep chromosome:ASM357664v1:14:15837147:15840707:1 gene:itb14g13670 transcript:itb14g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRKNAGGRAVSPFLLVLISLGFFFATYNLVTLLIHQRGAAGAAAGASDLSFSGWRLGDNPKFHVALTATDAPYSKWQCRIMYYWYKKMKESPGSDMGGFTRVLHSGRPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAVIEEEYILMAEPDHIFVNPLPNLAVGGQPAAFPFFYIKPAENEKIIRKYYPLEKGPITNVDPIGNSPAIIKKSTLEKIAPTWMNISLRMKDDTETDKAFGWVLEMYGYAVASALHGVRHTLRKDFMLQPPWDLEVGKKFIIHYTYGCDYNMKGKLTYGKIGEWRFDKRSYLQGPIPRNHPLPPPGVPESVVRLVKMVNEATSNIPGWDSD >itb14g13670.t2 pep chromosome:ASM357664v1:14:15837104:15840662:1 gene:itb14g13670 transcript:itb14g13670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRKNAGGRAVSPFLLVLISLGFFFATYNLVTLLIHQRGAAGAAAGASDLSFSGWRLGDNPKFHVALTATDAPYSKWQCRIMYYWYKKMKESPGSDMGGFTRVLHSGRPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAVIEEEYILMAEPDHIFVNPLPNLAVGGQPAAFPFFYIKPAENEKIIRKYYPLEKGPITNVDPIGNSPAIIKKSTLEKIAPTWMNISLRMKDDTETDKAFGWVLEMYGYAVASALHGVRHTLRKDFMLQPPWDLEVGKKFIIHYTYGCDYNMKVTEF >itb14g13670.t5 pep chromosome:ASM357664v1:14:15837104:15839275:1 gene:itb14g13670 transcript:itb14g13670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRKNAGGRAVSPFLLVLISLGFFFATYNLVTLLIHQRGAAGAAAGASDLSFSGWRLGDNPKFHVALTATDAPYSKWQCRIMYYWYKKMKESPGSDMGGFTRVLHSGRPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAVIEEEYILMAEPDHIFVNPLPNLAVGGQPAAFPFFYIKPAENEKIIRKYYPLEKGPITNVDPIGNSPAIIKKVRLLIKRAWNNM >itb14g13670.t4 pep chromosome:ASM357664v1:14:15837104:15839275:1 gene:itb14g13670 transcript:itb14g13670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRKNAGGRAVSPFLLVLISLGFFFATYNLVTLLIHQRGAAGAAAGASDLSFSGWRLGDNPKFHVALTATDAPYSKWQCRIMYYWYKKMKESPGSDMGGFTRVLHSGRPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAVIEEEYILMAEPDHIFVNPLPNLAVGGQPAAFPFFYIKPAENEKIIRKYYPLEKGPITNVDPIGNSPAIIKKSTLEKIAPTWMNISLRMKDDTETDKAFGWVLEMCAIFILGFFPLLNANLSWQLFYLPVIYLFFRYGYAVASALHGVRHTLRKDFMLQVFEE >itb14g13670.t3 pep chromosome:ASM357664v1:14:15837104:15840639:1 gene:itb14g13670 transcript:itb14g13670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRKNAGGRAVSPFLLVLISLGFFFATYNLVTLLIHQRGAAGAAAGASDLSFSGWRLGDNPKFHVALTATDAPYSKWQCRIMYYWYKKMKESPGSDMGGFTRVLHSGRPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKAVIEEEYILMAEPDHIFVNPLPNLAVGGQPAAFPFFYIKPAENEKIIRKYYPLEKGPITNVDPIGNSPAIIKKSTLEKIAPTWMNISLRMKDDTETDKAFGWVLEMYGYAVASALHGVRHTLRKDFMLQPPWDLEVGKKFIIHYTYGCDYNMKGKLTYGKIGEWRFDKRSYLQGPIPRNHPLPPPGVPESVVCKPFFQFTPRICLENHGT >itb04g01680.t3 pep chromosome:ASM357664v1:4:962898:966069:1 gene:itb04g01680 transcript:itb04g01680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEAEQYMIWILKNSKIAGFAFIYMEDERDAEDAIRKLDRIEFGRKGRRLRVEWTKQERGARKPDTSRRSSANSKPSKTLFVINFDPVHTRTRDLERHFEPYGKILNVRIRRNFAFIQYDSEDEASRALEATNMSKLMDRVISVEFAIKDDDDRRNGYSPERRGRDYSPDRRGGYDRRRSPSPYRRDRGSPDYGHGSVPNSRPPPARGSPEYGEVQSPSNDRYHSRSPPPRERSRS >itb04g01680.t1 pep chromosome:ASM357664v1:4:961923:966069:1 gene:itb04g01680 transcript:itb04g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFCGNLDFDARMSDVERLFKKYGRVERVDMKAGFAFIYMEDERDAEDAIRKLDRIEFGRKGRRLRVEWTKQERGARKPDTSRRSSANSKPSKTLFVINFDPVHTRTRDLERHFEPYGKILNVRIRRNFAFIQYDSEDEASRALEATNMSKLMDRVISVEFAIKDDDDRRNGYSPERRGRDYSPDRRGGYDRRRSPSPYRRDRGSPDYGHGSVPNSRPPPARGSPEYGEVQSPSNDRYHSRSPPPRERSRS >itb04g01680.t2 pep chromosome:ASM357664v1:4:962898:966024:1 gene:itb04g01680 transcript:itb04g01680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGGFAFIYMEDERDAEDAIRKLDRIEFGRKGRRLRVEWTKQERGARKPDTSRRSSANSKPSKTLFVINFDPVHTRTRDLERHFEPYGKILNVRIRRNFAFIQYDSEDEASRALEATNMSKLMDRVISVEFAIKDDDDRRNGYSPERRGRDYSPDRRGGYDRRRSPSPYRRDRGSPDYGHGSVPNSRPPPARGSPEYGEVQSPSNDRYHSRSPPPRERSRS >itb11g07000.t1 pep chromosome:ASM357664v1:11:4239970:4241527:-1 gene:itb11g07000 transcript:itb11g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVTATSAASSTVTSSAPSSSLGPSPSHHILEIRLISAQDLASVSKSQRSYAIIWVNPSKKRSTSIDSKGQTNPTWNHKFTFRVDEDFLGSDESAVNVEIYSVSWFRDVLIGTVRINVKHLLAPPTRSITQEGNKNERFMALQIRRPSADPQGMLNMGVALFNSASRSKPIYSEVSGASSDYRDLLEKKMNTFSAGEFSVCNGSAVHGGSEVCSDIGPSASVVAAELMKSNPPPPPAAAKTAEPAPKGGACGESLILEELTAEEAAAKGMTKQPGVKKKGRLVSCFGNADGFEVTIVCGKRKKQKND >itb05g01730.t1 pep chromosome:ASM357664v1:5:1380718:1382763:-1 gene:itb05g01730 transcript:itb05g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEEKQILEEKMIGKDETHFVLVHGIGGGAWCWYKLRCLLENSGGCKVTCLDLKASGVDPADANSVLSFDDYNKPLIDFLASLPHNQQVILVGHSAGGLSVTDACHKFPQKISLAVYVSATMLKTGFNSEQDIKDGVPDLSDFGEAFEVYDAVFGLGLNETPTSLLVKKEFQRKIIYQISPFEDSTLATMLLRPGPIKALLNARFQEGEDDVERVPRVYIRATHDNVVKPAQQDAMIKRWPPAAVYTLECDHSPFFSAPFMLSGLLLKAATSLGTLKY >itb09g02570.t1 pep chromosome:ASM357664v1:9:1471271:1474054:1 gene:itb09g02570 transcript:itb09g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFLSALKEEVIRGFSPARSRSRSRATSPARSGSTRSGLLWRRRSINSSSRFVSNPEVLVARSGSLRQPGETLTPLMEGPDPDGDVTGEPKRVGSGIGQWVKGQLTRTPSVALNSYSRRSDLRLLLGVMGAPLAPVHVSTSDPLPLLSIKDIPIETSSAQYILQQYIAASGGQKLQNSVKNAYAMGKLKMLSYEIETPTKIVKSRNGARAPESGGFVIWQMNPDMWYMELAIGGSKIHAGCNGKIVWRHTPWLGAHPAKGPVRPLRRALQGLDPKTTATMFANARCIGEKNINGEDCFILKLSADPQTLKARSEGSAEIIRHVLFGYFSQKSGLLIHIEDSHLTRIQSNGEDAVYWETTISSFLDNYHPVEGIMIAHSGRSTVTLFRFGDMAMSHTKTTMEEAWTIEEVAFNIPGLSLDCFIPPADLRSRSLNESFELPQNESDKNSIAIAAHCAKVAAVEETCDGSVDNMMLKVKL >itb09g08030.t1 pep chromosome:ASM357664v1:9:4838354:4841163:-1 gene:itb09g08030 transcript:itb09g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQLKREESPADDSSLGLQSSGEDETVAGGEKQVAAAAESRGGEKDGDEDEEEAWKKNLEEVEEIVGYKFNDPNLLRQAFTHSSYRSECSYERLEYMGDSVLNQLITKEHYFLYPELPPGKLTPLRAFNVDTEKLARVAIKYNLHKYLRHRKPLLEGQVNTFKKAASEYPFHSAGLIDPPKALADIVESLVGAVYIDSGCSTDTTWPIVKSLLQPMITPTNLEQHPVSKFYEICQKRGWKVETVDAWEETGEIHVYVNGEFAGKSKFKGKKYTALNRAAHNAYYNYVMDKLSVENDNKE >itb02g17730.t1 pep chromosome:ASM357664v1:2:13907460:13908320:-1 gene:itb02g17730 transcript:itb02g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRRWWPADCDGGREMGDEGKSRLFLPDEKEVVDYIREMVPFVCLLVLTDCIQYSGVVRGNGKQHSRAYINLGSFYLAGLPVASILLALITWLTNSQKQPPPPPPPPLPPPRLQPQTRKHQNQHRNTHNHIMAVGGEVETKEGRNQQNPSRGHQQPRSKTDPSLNWRSISSRQWSSHYSSPRMTCVDSGVDDSGDSHPHFLLGQRLQPPVDISPQQRKKHT >itb14g04260.t1 pep chromosome:ASM357664v1:14:3832528:3842457:1 gene:itb14g04260 transcript:itb14g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDELYMSPPKRPINSSRAELPVQQQMMSGNGMQKLTTGDALAYLKNVKDIFQDKRDKYDEFLEVMKDFKAQRVDTSGVIARVKDLFKGHQNLILGFNTFLPKGYEITLQSDDESQPPPIKKPVEFEEAISFVNKIKTRFQGDDSVYKCFLGILNMYRKENKSISEVYQEVSSLFHEHPDLLVEFANFLPDTSATTQVNRTHILRRDDKIAPIIMGKPAEKRANALHADHGHGLDRSDPEQCRPERRERGFDEKELDKKSAFREDSIAGHFNQGVVDQDLMFFDNIKERLQNSDDYQQLLKCLHTYSGETITKEQLLSLVSALLGGHADLMGGFNEFITRCDNIDGYLTATLNKRSLWNDGKVPRLVKSEDRDRDRDCELEERNQDRDTRERDRLKELHGQKMFSSKDKYIAKPIHELDLSNCERCTPSYRLLPKNYPNPIASQRTEIGKEVLNDRWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTRRVEELLDKINDNTINSDSPVRVEDYLTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWARCRYDFNKVWAEIYVKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEIGETKCKEDDVLLSMATGNRLPVIPHLEFAFPDPDIHEDLYQLIKFSCEEFCTAEQVDKVMKVWNTFLEPMFGVPSRPQGAEDGECIEKECNQVVESIAGAVGDTEGSPASASGTAINSRQSNASKNRDEGPPPENSSSSRVCLADTGDLVKDNGSRGVDISAGRIDTLCNPSHLGKSPSRPSGANMVDATSAEQLAHLSATAGKETAGRIGRENTSALQNLPSLTRLCATGVPPRASDLAVDSGHEIRTWKEPKEVSFTKAVQDGNEAKKSHEHSVEKLKIEREEGELSPNDDFEDNFGASNESEVETAHTTKDTTTNKQYQTGDREETCGRVAGGENDADADDEGDESAQRTSEDTGNASEHGDVSGSESADGEGSHDEPDEDGDHDENENKAESEGEIEGMDDVHDTEGDGVPLPLSVRGIQTAKPLTMLVPSSLHDKDGLRIFYGNDSFYVLFRLHQTLYERMHKAKLHSSSVENRWRVSNDANPTDIYARFMTALRNLLDGSSDNAKFEDDCRAIIGAQSYLLFTLDKLIYKLVKQLQTIATDEMENKLLQLYMYEKSRNSSVSFDVVYHENVRVFLHDENIYRIQCSSSPAQLSIQLMDYGYDKPEATGVVMDPNFAAYLSNDLLLCAPEQKGIPGVFLKRNRQKYGSRDETSATLEAIAGLKVLNGLECKIACKSLKVSYVLDTEDSMFRMKKRRRTLHQSVPFNSHPNSLNGSH >itb14g04260.t2 pep chromosome:ASM357664v1:14:3832528:3842457:1 gene:itb14g04260 transcript:itb14g04260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDELYMSPPKRPINSSRAELPVQQQMMSGNGMQKLTTGDALAYLKNVKDIFQDKRDKYDEFLEVMKDFKAQRVDTSGVIARVKDLFKGHQNLILGFNTFLPKGYEITLQSDDESQPPPIKKPVEFEEAISFVNKIKTRFQGDDSVYKCFLGILNMYRKENKSISEVYQEVSSLFHEHPDLLVEFANFLPDTSATTQVNRTHILRRDDKIAPIIMGKPAEKRANALHADHGHGLDRSDPEQCRPERRERGFDEKELDKKSAFREDSIAGHFNQGVVDQDLMFFDNIKERLQNSDDYQQLLKCLHTYSGETITKEQLLSLVSALLGGHADLMGGFNEFITRCDNIDGYLTATLNKRSLWNDGKVPRLVKSEDRDRDRDCELEERNQDRDTRERDRLKELHGQKMFSSKDKYIAKPIHELDLSNCERCTPSYRLLPKNYPNPIASQRTEIGKEVLNDRWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTRRVEELLDKINDNTINSDSPVRVEDYLTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWARCRYDFNKVWAEIYVKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEIGETKCKEDDVLLSMATGNRLPVIPHLEFAFPDPDIHEDLYQLIKFSCEEFCTAEQVDKVMKVWNTFLEPMFGVPSRPQGAEDGECIEKECNQVVESIAGAVGDTEGSPASASGTAINSRQSNASKNRDEGPPPENSSSSRVCLADTGDLVKDNGSRGVDISAGRIDTLCNPSHLGKSPSRPSGANMVDATSAEQLAHLSATAGKETAGRIGLCATGVPPRASDLAVDSGHEIRTWKEPKEVSFTKAVQDGNEAKKSHEHSVEKLKIEREEGELSPNDDFEDNFGASNESEVETAHTTKDTTTNKQYQTGDREETCGRVAGGENDADADDEGDESAQRTSEDTGNASEHGDVSGSESADGEGSHDEPDEDGDHDENENKAESEGEIEGMDDVHDTEGDGVPLPLSVRGIQTAKPLTMLVPSSLHDKDGLRIFYGNDSFYVLFRLHQTLYERMHKAKLHSSSVENRWRVSNDANPTDIYARFMTALRNLLDGSSDNAKFEDDCRAIIGAQSYLLFTLDKLIYKLVKQLQTIATDEMENKLLQLYMYEKSRNSSVSFDVVYHENVRVFLHDENIYRIQCSSSPAQLSIQLMDYGYDKPEATGVVMDPNFAAYLSNDLLLCAPEQKGIPGVFLKRNRQKYGSRDETSATLEAIAGLKVLNGLECKIACKSLKVSYVLDTEDSMFRMKKRRRTLHQSVPFNSHPNSLNGSH >itb03g07170.t1 pep chromosome:ASM357664v1:3:5247702:5248118:-1 gene:itb03g07170 transcript:itb03g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSKEVEFFTEYGDANRYKILEIIGEGSYGVVCAALDTHTGEKLLRILRHPDIVEIKRIMIPPSRRDFKDIYVVFELMESDLHQVIKANDDLRTSTTGFSSTRCYGL >itb05g21820.t1 pep chromosome:ASM357664v1:5:27463200:27467010:-1 gene:itb05g21820 transcript:itb05g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MTRTVERMSSVNRCVSFKQRGSSLGSPRFSRKNWVSKLFRVLIVIGSVVTFLLAISGGYLYVLPSFTQAFHEFSDTETAFNGSDSECDVYDGNWVVDNSYPLYNASECPFVEQGFNCLANGRTDGDYITWKWRPRSCGIPRYHVHSTLEMLRNKRVVFVGDSMSRTQWESLICLLMTGVQDKSSVFEVNGNKITKQIRFLGVRFSSFNFSIEFYRSVYLVQHNWSAKYAPRRVRSTLKLDKMDAISDEWTNADILIFNSGQWWVPGKLFGTGCYFQVGNTLRLGMSIPMAFRTALQTWASWVDTNINPNRTRVFFRTYEPSHWRNLTLRQCYVPNQPLSETGGQENSSFSDAILNVVKSMIVPVTVLHITPMAAFRSDAHVGTWSDNPSLSDCSHWCLPGVPDVWNEIVLSYLHATYRSTLRERMQSNTTIAR >itb05g21820.t2 pep chromosome:ASM357664v1:5:27463200:27467010:-1 gene:itb05g21820 transcript:itb05g21820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MTRTVERMSSVNRCVSFKQRGSSLGSPRFSRKNWVSKLFRVLIVIGSVVTFLLAISGGYLYVLPSFTQAFHEFSDTETAFNGSDSECDVYDGNWVVDNSYPLYNASECPFVEQGFNCLANGRTDGDYITWKWRPRSCGIPRYHVHSTLEMLRNKRVVFVGDSMSRTQWESLICLLMTGVQDKSSVFEVNGNKITKQIRFLGVRFSSFNFSIEFYRSVYLVQHNWSAKYAPRRVRSTLKLDKMDAISDEWTNADILIFNSGQWWVPGKLFGTGCYFQVGNTLRLGMSIPMAFRTALQTWASWVDTNINPNRTRVFFRTYEPSHWRNLTLRQCYVPNQPLSETGGQENSSFSDAILNVVKSMIVPVTVLHITPMAAFRSDAHVGTWSDNPSLSDCSHWCLPGVPDVWNEIVLSYLHATYRSTLRERMQSNTTIAR >itb07g15400.t1 pep chromosome:ASM357664v1:7:18505017:18506182:-1 gene:itb07g15400 transcript:itb07g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRRRGPRRNRISENPADTERGRISEHPADTGRDRISELSADIQRDRISELPPDILDKILVLLTTLEAARLAVLSTHWRNIWLNLTELDFGYDFFCHIVEKYYNDEKEDIDIWTSMGFYVINKVLMQHNGLIRKFFFNFPFSPAKEFSYRSFGFDQWLPFVTQNGVEEIDISVMPDGEYKLPNYIFSCLTLRSLHLDGIFVEPLNAHCTLPNLTSLCFKNIDFEGGDLLLDVPMLDNLSFVLCETESEINITAQKLSSLAITYCNLHQLSVKMGLESVRTLELDCTSLEDFVNAFTRRGIQQQPPALNVEHLILSKSYGDGDADISSAFNHLLRICPKLRQLDMDLSVIFFP >itb12g26800.t1 pep chromosome:ASM357664v1:12:27536803:27547362:1 gene:itb12g26800 transcript:itb12g26800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQKREVLFETRRHTSRPYTSNYPPTITHQQQQLNGGAGGSFLSRLLPSRGIAQLKEKWSNYKHPRRLRKSASLFVSPGGDLVAVASRNQITILRKEDDYQEPCGIFTCENNATFMFGVWAETHDVLGVVDDADMLYLIRANGEEITRIPKRYLKVSSPIIGLIVQDDINVKASCLCTFSVFTSDGSVHDVEISQDPSASVFSGVASTSESMKKQQFPQHVVCLDYHPKLSLLAVVNYAGSTQSASNGLYSVSLWQKNRNLDLKLVASAQFEGSNFIAKGNVDEVALPKVRISVQGKSVAILDVEGSLVAFKFDNEHHSLSFTPGEGRDPDIIKSELKKHLNEIVDFAWWSDDILTIAARSGIITMFDMYAGVKLLETDTKYLLPLLERSQHLTGNLFLLESKSSSGSYDSSEESARSVHLIEWDAVDMNNQFDWTKLGWTLVSFSERSILEMYDILIAQQEYQAALQFAERHGLNKDEALKSQWLHSSHGISEIRTLLANIKDKVFVLSECVDQFGPTEDAVRALLDHGFRLTDSYRFSQSEGIEQDEIWDFRLTRLRLLHLKDRLETFLGINTGRFSVQEYKKFCNLSIKEAAIGLGESGKIGALNLLFKRHPYSLTSCMLDVLSAIPETIPVQTYGQLLPGSSPPPNITLREEDWVECFEMVAFISKLPENHESNIQIRTEPIIKQSMEYQWPSITELSSWYKNRARDIDNFSGQLDNCISLIDFACRKGIHQLKPFLEDISYLNELIYSDEDDNTMNLSMSLATWENLSDYERFKLMLVGVNEENVIRRLHNKAIPFMKKRLHSMTVVSRDEEQPSTLVNSSESFLVRWLKDIASQNKLEMCLIVIEEGCKEIHNNCYFIDDAELVDCALQCIYLCSVTDRWSTMASILSKLPNTGEFDDARLKERLRLAEGHVEAGRLFAYYQVPKPISFFLGADSDGKGIKQILRLILSKFIRRQPVPMDSDWANMWRDLQYLQEKAFPFIDLEYVLIEFCRGLLKAGKFSLARSYLRGAGSVSLATDKAENLVIQAAREYFFSASSLSCSEIWKAKECLNIFPNNRSVIAEADIIDAVTVKLPSLGVNLLPMQFKQIKDPMEIIKLAITSHSGAYLNVDEIIEIAKLLGLSSQDDISAVQEAIAREAAVVGDLQLAFDLCLILAKKGHGSAWDLCAALARGPALDNMDISSRKRLLGFALSHCDGESIGELLHGWKDLDIQGQCESLIIMTGKEPQPISAQDSSYPLHGANRVEDISFFDHDAQLNHIKGFLFQVAKDLHLEGDVGLESILRDNGKILSFAAVQLPWLLELSQVAGSGKKFLSGSVSGKQYISVRTQAIVAILSWLARNGFAPKDTLVASLAKSIMEPPVTEEEDIIGCSYLLNLVDALNGVGIIEENLRTRENYSERTSIMNVGMIYGLLNNSGAKSEEPAQRRELILRNFQQKNKPSTSDERDRIDKAQSTFWKAWKLKLEDQKRLADHSRVLEQIIPGVETTRFLSGDTGYKESAILSLIESVKLEKKHIFKDVSNLAHTYGLDRCKLILHYLKSIFLSEAWSVHDIIAEVSEFKGDLCGCAVETVKCFSWSIYPSLNGYDKDRLALMYSILSDCYSRLTELKELPPIMEQDFVCRTSTWFARFYKVAEQECNRVSSIMGLNFKNIAGMQGLNLDCFNTEVCAHINENNVDLLAKMVQNLLDVCEDPVPDGFLSWQDVYGHHILGLLTALETKGKSETDLESSENIHDFLTELEQTYDICRKYLKFIPTPSFIDITRRFLTVIVPAVKCLKPESCNPGWQVCLVMFVDVWLRILNDMLEIAFLETSSEKFVSECLVICLKTFRSLVVQEKISPSQGWVTVVCLLSYGLVSDVAEEIYNFCRAMILSGCGFEAISDVFAEATTQNLCERTLITNAHKGFTGTQDLQHLYLIVLNTMLQELASQSLEYQCLHHFLSSLSRLEGDLVTLKSIRQAVWDRMAEFSDNIELPSHARVYILELMQFVAASTRHMKGFSSEFQASVLPWEGWENMQSANASSKKPVDDGIPNRADASSNLTNTLVALRSTQLVSAISPSIEVTPEDLPTVEAAVSCFVKICSSAVSISHVNTLLDILREWEGLFSGGKVEADSGDGSDGGNSWGNDDWDEGWESFQEDPVQPEPKKDDASFSIHPLHVCWMEIFKKLLMLSQFQDMLKLIDQSNAKSNEVLLDEDDARSLSQIALEIDYLLSLKLMLLFPYKAVQLQCLDAVEHKLKHEGISDKISRDHQFLVLVLSSGVISTIITNSSYGTVFSCICYMVGNLSRQCQESQSSKIGSGGSVEGDNIKDMVLFTKLVFPCFTSELVSAEQQILAGLLVTKFMHTNASVSLLNIAGASLRKYLETQIQILPGIESSLDNTHSSELLVNTLSSLKGKLGSLMQSSLSLLPVDG >itb05g16480.t1 pep chromosome:ASM357664v1:5:23679532:23681386:1 gene:itb05g16480 transcript:itb05g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSGSAFSGVEEEMELQMPPGFRFHPTDEELISHYLCPKVADSSFCAAAMGEVDLNKVEPWDLPWRAKMGEKEWYFFCVRDRKYPTGQRTNRATEAGYWKATGKDKEIFRAKTLVGMKKTLVFYKGRAPRGQKTNWVMHEYRLEGNYSIPNHKNEWVICRVFKKTAGGHKIHISGLTRGENLPALMEVSQRTATSGEAMPPHVTCFSDSKEDKKPIIAPPHFSSPSPSSKNSDFSGVFPGLEFQHYSEPDENSVLRLWLENNGLQAKQDNDDDEFLMSSAAGPVDLDCLWNY >itb10g23740.t1 pep chromosome:ASM357664v1:10:27931513:27934555:-1 gene:itb10g23740 transcript:itb10g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSLAVEEGGSDDSKYDEDGHIKRTGNVFTASAHIITSIIGSGVLSLAWAIAQLGSVAGPVAMVAFAVITLYTSLLLADCYRSPDGKRNYTYMDAVRTHLGGMKFKLCGLAQYATLIGLSIGYAITTALSLQAIARTNCYHFHGRGTANCIPSKRNFILMFGAVQVLLSQIPNFHQLSFLSIVAAIMSFGYSSIGLGLSAAQIASGVEVKLSATGVPVGANHSIKDKMFSTFSALGNIALAFAFSFVLIEIQDTLRSSPRERRAMKQATSVGILVASVFYLLCGILGYLAFGNHAPGNLLTDDHGFYEPFWVVDLANVCIIVHLVGAYQVFSQPFFALVESWSRTKWMGSQFITQEHTVHIPFAGDWRFSPFRLAWRTAYVVFTTVVALIFPFFNAILGLLGSIAFWPLTIYFPIEMYISQAKIPRLSFTWIWLQILSLSCFVVSVIAAVGSVRDLIDSVMHFKPFE >itb10g23740.t4 pep chromosome:ASM357664v1:10:27931513:27934358:-1 gene:itb10g23740 transcript:itb10g23740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAVITLYTSLLLADCYRSPDGKRNYTYMDAVRTHLGGMKFKLCGLAQYATLIGLSIGYAITTALSLQAIARTNCYHFHGRGTANCIPSKRNFILMFGAVQVLLSQIPNFHQLSFLSIVAAIMSFGYSSIGLGLSAAQIASGVEVKLSATGVPVGANHSIKDKMFSTFSALGNIALAFAFSFVLIEIQDTLRSSPRERRAMKQATSVGILVASVFYLLCGILGYLAFGNHAPGNLLTDDHGFYEPFWVVDLANVCIIVHLVGAYQVFSQPFFALVESWSRTKWMGSQFITQEHTVHIPFAGDWRFSPFRLAWRTAYVVFTTVVALIFPFFNAILGLLGSIAFWPLTIYFPIEMYISQAKIPRLSFTWIWLQILSLSCFVVSVIAAVGSVRDLIDSVMHFKPFE >itb10g23740.t2 pep chromosome:ASM357664v1:10:27931510:27934622:-1 gene:itb10g23740 transcript:itb10g23740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSLAVEEGGSDDSKYDEDGHIKRTGNVFTASAHIITSIIGSGVLSLAWAIAQLGSVAGPVAMVAFAVITLYTSLLLADCYRSPDGKRNYTYMDAVRTHLGGMKFKLCGLAQYATLIGLSIGYAITTALSLQAIARTNCYHFHGRGTANCIPSKRNFILMFGAVQVLLSQIPNFHQLSFLSIVAAIMSFGYSSIGLGLSAAQIASGVEVKLSATGVPVGANHSIKDKMFSTFSALGNIALAFAFSFVLIEIQDTLRSSPRERRAMKQATSVGILVASVFYLLCGILGYLAFGNHAPGNLLTDDHGFYEPFWVVDLANVCIIVHLVGAYQVFSQPFFALVESWSRTKWMGSQFITQEHTVHIPFAGDWRFSPFRLAWRTAYVVFTTVVALIFPFFNAILGLLGSIAFWPLTIYFPIEMYISQAKIPRLSFTWIWLQILSLSCFVVSVIAAVGSVRDLIDSVMHFKPFE >itb10g23740.t3 pep chromosome:ASM357664v1:10:27931513:27934358:-1 gene:itb10g23740 transcript:itb10g23740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAVITLYTSLLLADCYRSPDGKRNYTYMDAVRTHLGGMKFKLCGLAQYATLIGLSIGYAITTALSLQAIARTNCYHFHGRGTANCIPSKRNFILMFGAVQVLLSQIPNFHQLSFLSIVAAIMSFGYSSIGLGLSAAQIASGVEVKLSATGVPVGANHSIKDKMFSTFSALGNIALAFAFSFVLIEIQDTLRSSPRERRAMKQATSVGILVASVFYLLCGILGYLAFGNHAPGNLLTDDHGFYEPFWVVDLANVCIIVHLVGAYQVFSQPFFALVESWSRTKWMGSQFITQEHTVHIPFAGDWRFSPFRLAWRTAYVVFTTVVALIFPFFNAILGLLGSIAFWPLTIYFPIEMYISQAKIPRLSFTWIWLQILSLSCFVVSVIAAVGSVRDLIDSVMHFKPFE >itb01g27020.t1 pep chromosome:ASM357664v1:1:31996758:32012832:1 gene:itb01g27020 transcript:itb01g27020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSSAKRKAWPKDDFTDIVFSWTLEHILNEDMFREQVEKIPDTFTSAEHYLGSFFYPLLEETRAELAASMEVMDKAPYAEVIAIDGVKKHGEPLFNVNVNSWKNRVRDDREPYKTLPGDIVVISHKRPMAVSDLNRAGWNWTLASVVVITDDEEDDANFTNFKVKMPIDLTADLEEYEGFHIVFLENVTTHKRIWNALHMRKNMKIIDMVLYRNGEAEEKCCLCSPHSDNIGSTGSIGTSMFSKLNESQANAVLTCLERVKCDQISHVDLIWGPPGTGKTSTISILLFMLLKKKCRTLVCAPTNVAITQVASRVVKLVYESFKDEPLKENLLCPVGDVLLFGNKDRLKLGVGTEEIFLDYRADKLNECLGLKGWRHCILSTIHFLEDCVSDYEIYVDNELIKMRELRDKGKTLEESAHPKTFAEFIKSCSEGTVSPLRRCMLILCTHLPRHFIQEKNFQAIISLICLLDSLNGMLFQEDMGSEELNSVFSQPVISDVSPESFADTSSLVCLRSQCLSLLKTLLHSLGELDLPYASNKYLIRDLCLKTSTLVFCTTSSSYKIHSIEMEPFNVLVIDEAAQLRECESVIPLNLPGLKHAILVGDECQLPATVHSKVSDEAGFGRSLFERLSSLGHSKLMLNVQYRMHPAISCFPNVSFYHGQVQDAENVRGKTYERKYLQGRIEEMERVSSSWPKGDFTDIVFSWTLEQIFNDNLYKDQVEKIPETFTSAEHYLGSFLYPLLEETRAELAASMEVMDKAPYAEVIALDGAKRHGEPLYNVNVDVWKNRVRDEREPYRTLPGDIVVIADNRPVSASDLNRAGWNWTLASVVSIVDDEDDDANISTNFEVKMPTDLTANLEKYEGFHIVFLENITTHKRIWNALQKRKNMKIIDTVLDAYGEAEEKCCLCSPHADNIGSTESIGTSMFSKLNESQANAVLTCLERVKCDQISHVDLIWGPPGTGKTSTISILLFMLLKKNYRTLVCAPTNVAITQVASRVVKLVYESFKDEPLKENLLCPVGDVLLFGNKDRLKLGVGTEEIFLDYRVDKLYECLGLKGWRNCILSTIRFLEDCVSDYEIYVENELIKMRELRDKGKTLEESAHPKSFAEFIKSCSEGTVSPLKRCMLILCSHLPRHFIQERNFQAIISLICLLDSLNGMLFQEVMGSEELNSAFSQPVISDVSPESFADKSSLVCLRSQCLSLLKTLLHSLGELDLPYASNKYLIRDLCLKTSTLVFCTTSSSYKIHSIEMEPFNVLVIDEAAQLRECESVIPLNLPGLKHAILVGDECQLPATVHSKVSDEAGFGRSLFERLSSLGHSKLMLNVQYRMHPAISCFPNVSFYHGQVQDAENVRGKTYERKYLQGRMYGPYSFISIPCGKEELDDIGHSRRNMVEVALVNKIVKDLYKFWLSTGKKLSIGVISPYTAQVVTLKDTIGRKYDNLNGFAIKVKSIDGFQGGEEDIIIISTVRFNSSGSIGFMKSLQRTNVALTRARHCLWILGNERTLFDSNSVWKGLVLDAKDRQCLFSADEDSGLSKTILDVMKELDQLDDLLNADSIVFKSQRWKVLLSDNFKRSFKNLVTSRMKMAVLNLLIKLAGGWRPKRKGVDLVCETSSQIVKQFKVEGYYIVCTIDIQKEVKYTQVLRAWDLLSLDEVGKLLRRLDGIFAMYTDDFINLCKQKCLDGDLEVPKAWPASYDLVRFKNLGERLADSSNDCVVDGRSYIENSRVNESLLLMKFYCLSSGVVHHLLSDNQGEEIDIPFEVTDEEKEVIQFGRSSFILGRSGTGKTTVLTMKLFQREQQHQLALGGVMKVEANEISEYAGESSFSRRGSTWKSQSEVDIKRTTLRQLFVTVSPKLCYAVKQHVSHLKRFAQGGEISAGNSVMDLDDLDGFSHFNDIPNSFVDIPDSKYPLVITFHKFLMMLDGTLGSSYFDRFLDTSKLSLDSMPRAATVESFIRGKGVSFDHFSCVYWPHFNSQLTKNLDPSRVFTEIISHIKGGLQVCETDGSKLTREGYISMSENRTSTLNEKKREVIYDIFLGYEKMKMERGEFDLADLVNDLHLRLKSENLNGDKMDFVYIDEVQDLTMRQISLFKYICQNVDEGFVFSGDTAQTIARGIDFRFEDVRTLFYEEFMMKLKGDGPPARKDKGHLAGVSCLLQNFRTHAGVLRLAQSVIDILCHYFPQSIDALAPETSLIYGEAPVLLKPGSDENAIVTIFGNSGSISGKMVGFGAEQVILVRDESAKKEVSVLVGKQALILTIVECKGLEFQDVLLYNFFGSSPLSNQWRVVYEFMKQRDMLDSRFHQCFPSFCEARHTILCSELKQLYVAITRTRQRLWICESIEEFSKPMFDYWMKMCLVEVREVDDSLAQAMRLASTPEQWRSRGINLFWEKNYEMAIMCFERAGERNWEKRAKATSLRDAADRMRDSNPNVSCTYLREAAEIFESIGRFESAAECFCDLKEYERAGTIYLKKCGKGEHKKAAVCFTRAERYESAADIYAKHNCFSECLSVCRKGHLYDMGLKYVEYWKQHAQERGIEIDGIEQEFLESCASDFFEHNDRKSMMKFVKAFKSLDHKRRFLKPLDCLDELLLLEEESGNFAEAAELAKLKGDLLREADLLGKAGNFSKASSRILWYVLANSLWVRGCCAAWPLKKFESKDELLKKAILFASNESDAFYESVCTEAKVLSHDPSNLCELRRASSALQKCGSLKGEILCLRKIIDVHTQINATKYSWEDKLPFDLKYPDDTMFCDQLSVGTLCHFWNLWKRYILDVLESLNCLGVQDFGKYKGYGEFCLDYFGVRRQYSDMKVSYLLLNPDAEWVKKVNQSFLRQSKNMVSIDVRHFIIAARNYWQNEVLSVGLKVLETLESLYSFSMRSPSLFSQSMCLVNIYAIASDLHCKNYDAKLRKFFELSMQYLEKVFPFDYQKPLEENIISLRGTQVSRQLLEECIVNDLSRKGELTLGQIGRLMMIWLGSAEPADELCCKIFERTREDSNWKAFINILRSVREPKNETASAGSQEASPVDPCKAGFLLVSRFHEALNETYQIHWQNIYDYISPHCFLYLVERFLILAFCSSGFFYTTKSSFLEWLIFQKPGVNVIAGFPTRLPSSEMFYKSITSMVHWLLFHKIETARWIEKSKIQFRNYHKLLVLRLVVILCSLCMNTSSVEPRDALTHALKTPYISSELPREFNAVFRRGGKHTAFVDRVKIAEALRVLGNPALLVNLKENTPTSVCPNTFYLGIHPNSCREDIIEMLFPRKSVTSAVQKSMKNSCCVLPLIADKTSLLPSPDKASKQNQAQKDEEVKSTLQMKWHILEEELVSSRTEETENEGKARIWTTTTCFKSSEDLNECIQFTTAVVNYMSGKETQSGEDADMLKEAESMLQELKELSDKLNTSCVDEEEKRADVVKLQKSLQSRKPRLEAFLNNIVVGKDGGEVTFVDSERDGAANQGSAEVVDEDAGNVVSESGGVKNEGERNKAKAKNKSKKRKGKGGRGGR >itb14g21330.t1 pep chromosome:ASM357664v1:14:23389871:23396355:-1 gene:itb14g21330 transcript:itb14g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVASMVEDVLQQHGNRSRALDLDARRAEEAAMRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRNGIILCNALNKIQPGAVAKVVERPCDAALIPDGAALSAYQYFENVRNFLVAVNELGIPSFEASDMGQGGASSRIVNCVLGLKSYGEWKQTGGTGVWKFGGNVKPTTSSKQIVRKNSEPFMNSLSRAISMNEKSLTAASSEAESNRMSSSSFNMLVRGLLKDKKPDEVPNLVESILKKLVDEFEHCMASQSEAQNRVTVNDSAVSLGNKPTSRHNFRSTKVEETNMSMVKKEDFHKNNHILEEELKKRFTNQQNIVDQQQRDIKDLKQTLCTTKAGIQFMQNKFHEEIQNIGQHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSHLSIIDGIEEGMITIRTSSKHGKGSRSFNFNKVFGSSATQGEVFADTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEQTQGVNYRALGDLFLLAEHRKDTFHYDVSVQMMEIYNEQVRDLLVSDGLNKRLEIRSCSQGLAVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTTGVILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDALGETISTLKFAERVATVELGAARVNKDSADVKELKEQIASLKAALAKKELEPHSIHQKTPDTPCNRQLPSFQSNIQGKDMLMGSKNQRKPMEEVGNIEANNSALRQKIQSFDLDEVYGNSPLWPPVSSPGENYILDDKDVSSGEWVDKVMVNKQEPVGGVENPFGCWEVENSNMPDILYQKYLSDSTKLFPATNQFDITAADELDELDAATSDSSDPDLLWQLNHSKLSSLTNGVGSKIPKLNTKPAKSPERRTLAQKTGPSQSRKTNGTGHYPQRSGRQAIPVEIKRKTGNRK >itb14g21330.t2 pep chromosome:ASM357664v1:14:23389871:23396355:-1 gene:itb14g21330 transcript:itb14g21330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVASMVEDVLQQHGNRSRALDLDARRAEEAAMRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRNGIILCNALNKIQPGAVAKVVERPCDAALIPDGAALSAYQYFENVRNFLVAVNELGIPSFEASDMGQGGASSRIVNCVLGLKSYGEWKQTGGTGVWKFGGNVKPTTSSKQIVRKNSEPFMNSLSRAISMNEKSLTAASSEAESNRMSSSSFNMLVRGLLKDKKPDEVPNLVESILKKLVDEFEHCMASQSEAQNRVTVNDSAVSLGNKPTSRHNFRSTKVEETNMSMVKKEDFHKNNHILEEELKKRFTNQQNIVDQQQRDIKDLKQTLCTTKAGIQFMQNKFHEEIQNIGQHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSHLSIIDGIEEGMITIRTSSKHGKGSRSFNFNKVFGSSATQGEVFADTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEQTQGVNYRALGDLFLLAEHRKDTFHYDVSVQMMEIYNEQVRDLLVSDGLNKRLEIRSCSQGLAVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTTGVILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGNDI >itb14g21330.t5 pep chromosome:ASM357664v1:14:23390890:23396209:-1 gene:itb14g21330 transcript:itb14g21330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVASMVEDVLQQHGNRSRALDLDARRAEEAAMRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRNGIILCNALNKIQPGAVAKVVERPCDAALIPDGAALSAYQYFENGGASSRIVNCVLGLKSYGEWKQTGGTGVWKFGGNVKPTTSSKQIVRKNSEPFMNSLSRAISMNEKSLTAASSEAESNRMSSSSFNMLVRGLLKDKKPDEVPNLVESILKKLVDEFEHCMASQSEAQNRVTVNDSAVSLGNKPTSRHNFRSTKVEETNMSMVKKEDFHKNNHILEEELKKRFTNQQNIVDQQQRDIKDLKQTLCTTKAGIQFMQNKFHEEIQNIGQHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSHLSIIDGIEEGMITIRTSSKHGKGSRSFNFNKVFGSSATQGEVFADTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEQTQGVNYRALGDLFLLAEHRKDTFHYDVSVQMMEIYNEQVRDLLVSDGLNKRLEIRSCSQGLAVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTTGVILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDALGETISTLKFAERVATVELGAARVNKDSADVKELKEQIASLKAALAKKELEPHSIHQKTPDTPCNRQLPSFQSNIQGKDMLMGSKNQRKPMEEVGNIEVKYQLLAFHQLDKCG >itb14g21330.t4 pep chromosome:ASM357664v1:14:23390108:23395222:-1 gene:itb14g21330 transcript:itb14g21330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSRAISMNEKSLTAASSEAESNRMSSSSFNMLVRGLLKDKKPDEVPNLVESILKKLVDEFEHCMASQSEAQNRVTVNDSAVSLGNKPTSRHNFRSTKVEETNMSMVKKEDFHKNNHILEEELKKRFTNQQNIVDQQQRDIKDLKQTLCTTKAGIQFMQNKFHEEIQNIGQHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSHLSIIDGIEEGMITIRTSSKHGKGSRSFNFNKVFGSSATQGEVFADTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEQTQGVNYRALGDLFLLAEHRKDTFHYDVSVQMMEIYNEQVRDLLVSDGLNKRLEIRSCSQGLAVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTTGVILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDALGETISTLKFAERVATVELGAARVNKDSADVKELKEQIASLKAALAKKELEPHSIHQKTPDTPCNRQLPSFQSNIQGKDMLMGSKNQRKPMEEVGNIEANNSALRQKIQSFDLDEVYGNSPLWPPVSSPGENYILDDKDVSSGEWVDKVMVNKQEPVGGVENPFGCWEVENSNMPDILYQKYLSDSTKLFPATNQFDITAADELDELDAATSDSSDPDLLWQLNHSKLSSLTNGVGSKIPKLNTKPAKSPERRTLAQKTGPSQSRKTNGTGHYPQRSGRQAIPVEIKRKTGNRK >itb14g21330.t3 pep chromosome:ASM357664v1:14:23389955:23396209:-1 gene:itb14g21330 transcript:itb14g21330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVASMVEDVLQQHGNRSRALDLDARRAEEAAMRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRNGIILCNALNKIQPGAVAKVVERPCDAALIPDGAALSAYQYFENGGASSRIVNCVLGLKSYGEWKQTGGTGVWKFGGNVKPTTSSKQIVRKNSEPFMNSLSRAISMNEKSLTAASSEAESNRMSSSSFNMLVRGLLKDKKPDEVPNLVESILKKLVDEFEHCMASQSEAQNRVTVNDSAVSLGNKPTSRHNFRSTKVEETNMSMVKKEDFHKNNHILEEELKKRFTNQQNIVDQQQRDIKDLKQTLCTTKAGIQFMQNKFHEEIQNIGQHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSHLSIIDGIEEGMITIRTSSKHGKGSRSFNFNKVFGSSATQGEVFADTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEQTQGVNYRALGDLFLLAEHRKDTFHYDVSVQMMEIYNEQVRDLLVSDGLNKRLEIRSCSQGLAVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGKDLTTGVILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDALGETISTLKFAERVATVELGAARVNKDSADVKELKEQIASLKAALAKKELEPHSIHQKTPDTPCNRQLPSFQSNIQGKDMLMGSKNQRKPMEEVGNIEANNSALRQKIQSFDLDEVYGNSPLWPPVSSPGENYILDDKDVSSGEWVDKVMVNKQEPVGGVENPFGCWEVENSNMPDILYQKYLSDSTKLFPATNQFDITAADELDELDAATSDSSDPDLLWQLNHSKLSSLTNGVGSKIPKLNTKPAKSPERRTLAQKTGPSQSRKTNGTGHYPQRSGRQAIPVEIKRKTGNRK >itb08g06430.t1 pep chromosome:ASM357664v1:8:5468740:5469891:1 gene:itb08g06430 transcript:itb08g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTNSKGDSGKPPSIKPPNTHLECCMCGDHGLSLELFRCKLCQFRSQHRYCSNLYPKAESYGVCNWCLTVEKGEEAQNSSNSSSSCRNSGEENDGKIRKKFGGNNIRMGGSCLRLKNAPPKLQVKNRPVLSKQKSVSPESSPAAVKGVAAAAGMEERLRRTKSDSGIVRHVFRNKVRRYKLLDEVSSQ >itb06g14780.t1 pep chromosome:ASM357664v1:6:19251860:19253362:1 gene:itb06g14780 transcript:itb06g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRILWTHRLIPPLYIPLPLPLFPYTNSFIEAFAFFVLSSLVSDRSDTFLHQASYMVDIEAHLPTAFDPFAEAKESGAPGAKEYVHIRIQQRNGKKSLTTVQGLRKEYSYDKILKDLKKEFCCNGNVVQDKELGKVIQLQGDQRKNVSQFLVSAGLVKKDQIKIHGF >itb04g26350.t1 pep chromosome:ASM357664v1:4:30659038:30662072:-1 gene:itb04g26350 transcript:itb04g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTGFTGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVETVGRQIPIAFLERIKDDFTNKYGGGKAATAVANSLNKEFGPKLKEQMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIEILVDKTENLRSQAQDFRTQGTKMRRKMWLKNMKVKLIVLAIIIALILIIVLSICGSSKCH >itb08g03970.t1 pep chromosome:ASM357664v1:8:3185727:3189946:-1 gene:itb08g03970 transcript:itb08g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNALCATIKANVNSMPISKGVDHVDNAFLGEKIRIKTVRTGGRGSKVKPAPAFSMLKQEINKTFLTFEEPLDDMHPKADPSTVASIVLGGGAGTRLFPLTRNRAKPAVPIGGCYRLIDVPMSNCINSGVRKIFILTQFNSCSLNRHLSRSYNFGNGMNFGDGFVEVLAATQTSGESGKKWFQGTADAVRQFIWVFEDAKLKNVEHVIILSGDHLYRMDYMDFVQRHIDTDADITVSCIPIDDSRAPDYGLMKINDNGRIVHFAEKPKGSALKEMKVDTSLLGLSEHEAAKQPYIASMGIYVFRTEVLVRLLKWEYPSCNDFGSELIPSALKKYNVQTYLFKGYWEDIGTMKSFFDANLALTEQPPKFDFQNPKTPFYTSPRFLPPTKVDKCRIVDSIISYGCFLRECSVQHSIIGVRSRLENGVELKDTLMMGADYYQTEAEVASLLSEGKVPIGVGPNTKIRNCIIDKNARIGREVIIANKEGIDEAERSEDGFYIRSGITVILKNGTIKDKMVI >itb01g00100.t1 pep chromosome:ASM357664v1:1:67512:68742:-1 gene:itb01g00100 transcript:itb01g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSTTKDHTVMEKHNSANVISSTGELRQFSIPVKVSQVLFSETTTTTSAVDHASSAAPSFFMCNSDRLYFDDYIPPLDPEDELEAGQIYFLLPTNKLQYRLSASDMAALAVKASLALQTINRRRRSSRSKKIGTSKSRISPVIFHQSDHGQLQQYDSHSGETISNINKGASSGLGVPMSSRPARKLKRYSSQRAKMAVRSFRLRLMTIHEGSVLGPFY >itb07g07580.t1 pep chromosome:ASM357664v1:7:5768308:5770861:1 gene:itb07g07580 transcript:itb07g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSVPCLFFLFPLMALYFAHGEDELPSNCTKEFSCGNIGYLEFPFAKHTQAGCGLVAVNCDTTPPKIQLEKGEDWYHLQKVIFKGWDGYTIIVEDSKLRRLFERRNCKIINYSIQFRSSPSITLRNSEPYDFSTFLKCNHSEADDDICGYERYNHCTEGYSLYYKRPLIKEDPACIPDSCIPFPTPIFIDQTNDTLIAGLGLKLEVSNACYMCYFGGGQCWADSNNEFQCKKGKSKQKLILITVLSGGLALILVILAIFLVWQRKKGRKGYSRNTSSDPASDLERGRSKLFGILVFSYSELEEATNNFHPSKELGDGGFGTVYYGILGDGREVAVKRLHEFNCKRMEQFANEISILTRLKHRNLVTLYGCSTRHNQLLLVYEYVPNGTVANHLHGKRAADGLLTWPTRMKIAVETAAALVYLHASGIIHRDVKTSNILLDNNFCVKVADFGLSRPFPANATHTTTTPQGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELVSSMPAVDIRRHTHEINLAKLATNKILTGAFDELIDSSLGYDMDTEIKRMTTSVAELAFQCLQLDKDMRPTMEHVLESLKEIQGNESNNGDKRANGEETNVSKEEEVQQKAMRWTWVGPSLAANRNLKHAAATKNSC >itb03g08360.t1 pep chromosome:ASM357664v1:3:6243646:6244562:-1 gene:itb03g08360 transcript:itb03g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCAVAGSPTRSPSASPVIHLRRRKTLRMLLSRGGGSGSGRRLPPRDNCSPDQLVERRDSPEKGASHKLKLRDLFVSSPPSFEERPSTNAGGQGLPPAANFASGRAGGGSVIRRFGDRSPRPLSATIRQRLLRRAWRPVLVAIPE >itb11g08520.t1 pep chromosome:ASM357664v1:11:5609882:5611085:-1 gene:itb11g08520 transcript:itb11g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPPPVAVEDEEEDQGGVHPAMKAAVFACMAGAMIWTIAVANTAEELPNVDVPSEIALHLLITVLLLVCVAFLLAMVFVNCIRALGRFRKWRKTTTLLRAGEKQQIGVYGAISVENEAACVRDSIILGDERLSRRIKGASGSFGSES >itb04g23910.t1 pep chromosome:ASM357664v1:4:28826903:28829921:-1 gene:itb04g23910 transcript:itb04g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTHSSSFLLPTPPADDNGPALTYALVVLNQSLPRFTPLLWKAAQLHVCADGGANRVYDELPHVFPHEDASDVRKRYKPDVIKGDMDSIRSEVRDFYTGLGSKVVDESHDQDTTDLHKCVAYIHDLSHMKTTNLCILVVGALGGRFDHEMGNINVLYRFATTRIVFLSDDCLIQLLPNTHHHKIHIQSVEGPHCGLVPIGMPSGRTTTTGLKWNLANTEMRFGSLISTSNIVQEDVVTVQSESNLLWTVSIKMP >itb04g23910.t2 pep chromosome:ASM357664v1:4:28826903:28829921:-1 gene:itb04g23910 transcript:itb04g23910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTHSSSFLLPTPPADDNGPALTYALVVLNQSLPRFTPLLWKAAQLHVCADGGANRVYDELPHVFPHEDASDVRKRYKPDVIKGDMDSIRSEVRDFYTGLGSKVVDESHDQDTTDLHKCVAYIHDLSHMKTTNLCILVVGALGGRFDHEMGNINVLYRFATTRIVFLSDDCLIQLLPNTHHHKIHIQSVEGPHCGLVPIGMPSGRTTTTGLKWNLGEYA >itb07g01630.t1 pep chromosome:ASM357664v1:7:989772:997108:-1 gene:itb07g01630 transcript:itb07g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDAGRTGGPVERDIEQAIIALKKGACLLKYGRRGKPKFCPFRLANDESALIWFSGKEEKYLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKEEAEVWFSGLKALISRGHQRKWRTESRSDGISSGANSPRTYTRRSSPLHSPFGSGDSLQKDVGDQLRLHSPYGSPPKNGLDKAFSDVMLLPPKGVFPPDSASGSLHSISSGGSDGIHGHIKTMGIDAFRVSLSSAVSSSSQGSGHDDSDALGDVFIWGEGTGDGVLGGGLQKVGSHFGIKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNTSIELVACGEHHTCAVTLSGDLYTWGDGHFGLLGHGNEVSHWVPKRVNGPLEGIHVSCIACGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSKPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDRESRLVPTCVAALVEPNFCQVACGHSLTVALTTLGQVYTMGSPVYGQLGNPQADGKLPCRVEGKLAKSFVEEITCGAYHVAVLTSRTEVFTWGKGANGRLGHGDMDDRNSPTSVEALKDKQVKSIACGSNFTAVICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVYCHSCSGKKSLRASMAPNPNKPYRVCDSCFYKLKKAAETDASSHSSVSRRGTKDQGLSDGIDKDEKLETRSRPQLNRFSSMESFKQVETRSSKQKKKLEFNSSRVSPIPNGSSQWGALNLSKPFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVLDDAKKTNDSLSQEVTRLRSQVENLTRKAQAQEIELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKEMAERLPVGAARNIKSPTLASISSNALSSDTSNVSIDHVNGEVICQELESNGPNSQSLSNGSSTACTIRNAGYIRQGNSEASARNGSRGKESESRNENEWVEQDEPGVYITLTSLPGGLKDLKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMADKSSIAAGSEE >itb07g01630.t2 pep chromosome:ASM357664v1:7:989772:997108:-1 gene:itb07g01630 transcript:itb07g01630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDAGRTGGPVERDIEQAIIALKKGACLLKYGRRGKPKFCPFRLANDESALIWFSGKEEKYLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKEEAEVWFSGLKALISRGHQRKWRTESRSDGISSGANSPRTYTRRSSPLHSPFGSGDSLQKDVGDQLRLHSPYGSPPKNGLDKAFSDVMLLPPKGVFPPDSASGSLHSISSGGSDGIHGHIKTMGIDAFRVSLSSAVSSSSQGSGHDDSDALGDVFIWGEGTGDGVLGGGLQKVGSHFGIKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNTSIELVACGEHHTCAVTLSGDLYTWGDGHFGLLGHGNEVSHWVPKRVNGPLEGIHVSCIACGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSKPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDRESRLVPTCVAALVEPNFCQVACGHSLTVALTTLGQVYTMGSPVYGQLGNPQADGKLPCRVEGKLAKSFVEEITCGAYHVAVLTSRTEVFTWGKGANGRLGHGDMDDRNSPTSVEALKDKQVKSIACGSNFTAVICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVYCHSCSGKKSLRASMAPNPNKPYRVCDSCFYKLKKAAETDASSHSSVSRRGTKDQGLSDGIDKDEKLETRSRPQLNRFSSMESFKQVETRSSKQKKKLEFNSSRVSPIPNGSSQWGALNLSKPFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVLDDAKKTNDSLSQEVTRLRSQVENLTRKAQAQEIELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKEMAERLPVGAARNIKSPTLASISSNALSSDTSNVSIDHVNGEVICQELESNGPNSQSLSNGSSTACTIRNAGYIRQGNSEASARNGSRGKESESRNENEWVEQDEPGVYITLTSLPGGLKDLKRVRFRYLNLALVSIYWI >itb08g03920.t1 pep chromosome:ASM357664v1:8:3149364:3156057:1 gene:itb08g03920 transcript:itb08g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRLDGNTTQLEIDNGAFGHQRSCIWSSPGGCKIDIGKQIFCNRSLNMNNIVAVGFDMDYTLAQYKPETFESLAYEGTVKKLVYDLGYPEELLQWSFDWSYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRQMSKGDKIATYGNTLIQDSFDEPDYALIDTLFSLAAAYLFAQLVDFKDNNPGRIPEESDYACMHKDIHAAVDLCHRDGTLKQTVAQDPKRYINDDTSIVPMLKMLRESGRATFLVTNSLWDYTNVVMNFLCGPKSTDCCSTLTFEWLQYFDVVITGSAKPGFFNEENRANLFGVDPKTGMLMNTDNGTPMAQVGSTSIRLPIESLTEGCRVFQGGSVGHLHKLLNIESSSQILYVGDHIYGDILRSKKALGWRTMLVVPELEREVELLWELKDTRKHLQSLRSERDHIEDDIDHLKWRLKSQGADDAEKERLSSKLVELQSHREQVRVVHKQTQRECHKKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSL >itb08g03920.t3 pep chromosome:ASM357664v1:8:3149405:3155979:1 gene:itb08g03920 transcript:itb08g03920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRLDGNTTQLEIDNGAFGHQRSCIWSSPGGCKIDIGKQIFCNRSLNMNNIVAVGFDMDYTLAQYKPETFESLAYEGTVKKLVYDLGYPEELLQWSFDWSYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRQMSKGDKIATYAYLFAQLVDFKDNNPGRIPEESDYACMHKDIHAAVDLCHRDGTLKQTVAQDPKRYINDDTSIVPMLKMLRESGRATFLVTNSLWDYTNVVMNFLCGPKSTDCCSTLTFEWLQYFDVVITGSAKPGFFNEENRANLFGVDPKTGMLMNTDNGTPMAQVGSTSIRLPIESLTEGCRVFQGGSVGHLHKLLNIESSSQILYVGDHIYGDILRSKKALGWRTMLVVPELEREVELLWELKDTRKHLQSLRSERDHIEDDIDHLKWRLKSQGADDAEKERLSSKLVELQSHREQVRVVHKQTQRECHKKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSL >itb08g03920.t2 pep chromosome:ASM357664v1:8:3149364:3156057:1 gene:itb08g03920 transcript:itb08g03920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGERSVSFCLSGIPSLPPPNSLSYISRNLRFRVGPFRSRGTRIRYKGRNQLHTRSAMDGIRLDGNTTQLEIDNGAFGHQRSCIWSSPGGCKIDIGKQIFCNRSLNMNNIVAVGFDMDYTLAQYKPETFESLAYEGTVKKLVYDLGYPEELLQWSFDWSYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRQMSKGDKIATYGNTLIQDSFDEPDYALIDTLFSLAAAYLFAQLVDFKDNNPGRIPEESDYACMHKDIHAAVDLCHRDGTLKQTVAQDPKRYINDDTSIVPMLKMLRESGRATFLVTNSLWDYTNVVMNFLCGPKSTDCCSTLTFEWLQYFDVVITGSAKPGFFNEENRANLFGVDPKTGMLMNTDNGTPMAQVGSTSIRLPIESLTEGCRVFQGGSVGHLHKLLNIESSSQILYVGDHIYGDILRSKKALGWRTMLVVPELEREVELLWELKDTRKHLQSLRSERDHIEDDIDHLKWRLKSQGADDAEKERLSSKLVELQSHREQVRVVHKQTQRECHKKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSL >itb08g03920.t4 pep chromosome:ASM357664v1:8:3149371:3156049:1 gene:itb08g03920 transcript:itb08g03920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVINVPVFGHLQEYKPETFESLAYEGTVKKLVYDLGYPEELLQWSFDWSYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRQMSKGDKIATYGNTLIQDSFDEPDYALIDTLFSLAAAYLFAQLVDFKDNNPGRIPEESDYACMHKDIHAAVDLCHRDGTLKQTVAQDPKRYINDDTSIVPMLKMLRESGRATFLVTNSLWDYTNVVMNFLCGPKSTDCCSTLTFEWLQYFDVVITGSAKPGFFNEENRANLFGVDPKTGMLMNTDNGTPMAQVGSTSIRLPIESLTEGCRVFQGGSVGHLHKLLNIESSSQILYVGDHIYGDILRSKKALGWRTMLVVPELEREVELLWELKDTRKHLQSLRSERDHIEDDIDHLKWRLKSQGADDAEKERLSSKLVELQSHREQVRVVHKQTQRECHKKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILSL >itb11g20850.t1 pep chromosome:ASM357664v1:11:22222286:22223888:1 gene:itb11g20850 transcript:itb11g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRMERFALLPFSMGCVSESSVAVSRPLHLTNTPPNNPLHTPKKFQEWREEREEVEEEREEGDEKTRHLKLRRLLKNFKSLSQLFLYKEDGDEVGEEEESRMMEIGHPTDVKHVTHIGLDGASTSILSQRWTPSCRMMMDMHPHHHHHHDIFLSTTTSPSPTTAATTPATNPPSPASIVNAVHHQPADV >itb01g28660.t1 pep chromosome:ASM357664v1:1:33057949:33065292:-1 gene:itb01g28660 transcript:itb01g28660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRAKSSSSESLSDGSDDERPNDQVNEEEDEEELEAVARTAEDYDEEEEDDNDEATAAGDEEVEEENAAANEIGKREKARLKEMQKLKKQKIQDILDAQNAAIDADMNNKGKGRLKYLLQQTEIFSHFASGDQSTSQKTKGRGRHASRVTEEEEDEEYLKEEEDGLGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPVLRAVKFLGNPDERRYIREELLVAGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGDNDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNSGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERGSRVLIFSQMTRLLDILEDYLMYRGHLYCRIDGNTGGEDRDASIEAFNKPGSEKFAFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTADLYDFDDEKDENKVDFKKIVSENWIEPPKRERKRNYSESDYFKQTMRQSGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDTIEMEESEDVGEPLTAEEQEEKERLLEEGFSTWSRRDFNTFIRACEKYGRNDIKGIAIEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLIERENQEFDERERQARKEKKLAKNSTPSKRALARQAAESPPQKKKKQLTMDDYAGSGKKRK >itb01g28660.t2 pep chromosome:ASM357664v1:1:33057949:33065292:-1 gene:itb01g28660 transcript:itb01g28660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRAKSSSSESLSDGSDDERPNDQVNEEEDEEELEAVARTAEDYDEEEEDDNDEATAAGDEEVEEENAAANEIGKREKARLKEMQKLKKQKIQDILDAQNAAIDADMNNKGKGRLKYLLQQTEIFSHFASGDQSTSQKTKGRGRHASRVTEEEEDEEYLKEEEDGLGNTRLVAQPSCKRFLFYFKKLHLFPFLHFFFIYVSTGIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPVLRAVKFLGNPDERRYIREELLVAGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGDNDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNSGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERGSRVLIFSQMTRLLDILEDYLMYRGHLYCRIDGNTGGEDRDASIEAFNKPGSEKFAFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTADLYDFDDEKDENKVDFKKIVSENWIEPPKRERKRNYSESDYFKQTMRQSGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDTIEMEESEDVGEPLTAEEQEEKERLLEEGFSTWSRRDFNTFIRACEKYGRNDIKGIAIEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLIERENQEFDERERQARKEKKLAKNSTPSKRALARQAAESPPQKKKKQLTMDDYAGSGKKRK >itb10g23690.t1 pep chromosome:ASM357664v1:10:27915134:27915892:-1 gene:itb10g23690 transcript:itb10g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKFPLALLSFTIIAMFHLSGAQNPADYLNPHNAARDEVGVPHMTWDDKLASTAQSYAEKLSGDCELIHSGGPYGENLAKAYPDLDAAGAVKLWVDEKANYDHNSNSCVGGPCGHYTQVVWRSSVRLGCGRAQCNNGWWLICCNYDPAGNVSGQSPY >itb05g19430.t1 pep chromosome:ASM357664v1:5:25932907:25933161:1 gene:itb05g19430 transcript:itb05g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHEDAKLMKFSDPSDFSIFNNVGEALLVEILARLPSSRAAIQLKLVCKSWCSLISSHYFITVFNHRRHDPIHRSSSSLYSNL >itb07g19930.t1 pep chromosome:ASM357664v1:7:24391489:24398282:1 gene:itb07g19930 transcript:itb07g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISSILRREIHRKPHVLSRRSLFSTAAPATKDHNATQKIEKILIANRGEIACRIIRTAKRLGIRTVAVYSDADRYSLHVKSADEAVRIGPPPARLSYLNAPTIVEAAARTGAQAIHPGYGFLSESADFAQLCEDEGLIFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGEEQDVDLMRSEAEKIGYPILIKPTHGGGGKGMRIVQSPSEFVDSFLGAQREAAASFGINTILLEKYITKPRHIEVQIFGDKIGNIIHLHERDCSVQRRHQKIIEEAPAPNIYSEFRTHLGQSAVSAAKAVNYHSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQVRVASGEPLPLSQSQVPLSGHAFEARIYAENVSKGFLPATGVLHHYRPVPVSSSVRVETGVEEGDTVSMHYDPMIAKLVVWGENRPAALIKMKDCLSKFQVAGLPTNIDFLSKLAHHFAFENGEVETHFIEQYKDDLFISAGIAQEACNAMRCGASIAAACICKMELAASRDSAPGGLFMWYADPPFRVHRLASRTMELEGDDEYNYNGSKNLNVSITYLDDGKFLVETGESTSPCLEVKVEQLSNENYMVEVDGVKLNVSLAVYSKDHFKHIHIWHGQYHHHFKQSIGLELFDDDELQHKPSNESASHPPGTVVAPMAGLVVKVLVKDGEKVQQGQPVLVLEAMKMEHVVKAPNTGYVSGLEVEVGQQIYDGVPLFSIKNS >itb12g24100.t2 pep chromosome:ASM357664v1:12:25746150:25748716:-1 gene:itb12g24100 transcript:itb12g24100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSRYSSSGVVRQPGGNFSIICQSLSKGDPEGMWIDYQNSLQYTTPLFMAQLCIVSLAYLVIKYALKPLRQASIISQVLSGVLLGPSILGQNDFFRETFFPQRGAMLFETVAGFGLLIFLFGVGVEMETGRMFRPSRTAVIAGIFMVVFSSLLTVPMAVLLTRVLTMNESLKNLLPIFAVSQCTSAFPSVCPFLKDRKIINTDPGRIAISISLFSDLIGMSLAIVNYSLQPILKDSIGQPVINTIGGLISALSFVSILAFIIRPLILKLLVRLPQEKPVSESYIMAFFILFLTCSLVTEVIGQHFAFGALAAGIVIPPGPPLGSTIVRRLEYPIATFSFPTFLTNSGLKTNIFFIQSHHLLVVCIIVLYAAVIKVVIMLVLGKFLAISRTESVVIGLMLNAKGPTELILFNLWSDVQANSERRGILPGGYSGRDWNHADPDAIDSVVDEISGEKDAV >itb12g24100.t1 pep chromosome:ASM357664v1:12:25746150:25747509:-1 gene:itb12g24100 transcript:itb12g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFETVAGFGLLIFLFGVGVEMETGRMFRPSRTAVIAGIFMVVFSSLLTVPMAVLLTRVLTMNESLKNLLPIFAVSQCTSAFPSVCPFLKDRKIINTDPGRIAISISLFSDLIGMSLAIVNYSLQPILKDSIGQPVINTIGGLISALSFVSILAFIIRPLILKLLVRLPQEKPVSESYIMAFFILFLTCSLVTEVIGQHFAFGALAAGIVIPPGPPLGSTIVRRLEYPIATFSFPTFLTNSGLKTNIFFIQSHHLLVVCIIVLYAAVIKVVIMLVLGKFLAISRTESVVIGLMLNAKGPTELILFNLWSDVQANSERRGILPGGYSGRDWNHADPDAIDSVVDEISGEKDAV >itb11g06590.t2 pep chromosome:ASM357664v1:11:3969529:3976862:1 gene:itb11g06590 transcript:itb11g06590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHFLKTFRRASLSLSTTHQMEQEARYSFNPSLRWNPEVEDYFTKAYGAEHFARISTALTRPSCYSCIRVNTLKSTSDNVIQKLLKILRERRQENGSVEKRLELSGSTECTWPIESISKCPFPGLDYVVFIKGSGPHDIDYGCKEGKPPKEVIVSRKCAEAVLRGAQVFVPGVLACSAHVEKGDVVAVSVAVEQPGPEGGWGIGMTRGTVLQGSPTDPLYFERNGLYIGQGTTMLSRAGIFRVPQGVAVDMHNRVFELPSFNELLEGEIFLQNLPSIIAAHALDPQPGERILDMCAAPGGKTTAIAILMKDKGEIVAADRSHNKVLDIKKLAAEMDLNCITTYKLDALKACRRTCEDSDLPILDVSDEVQSTPSDPLGSGMDKTQDTSTNGLDAELISQTKSENVQTRSSGYTSKAELRKSIRKMRNGPGRNHCSGGRVEKSKGFAPNSFDRVLLDAPCSALGLRPRLFAGEETVESLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAEQVNMTSLSCLSM >itb11g06590.t1 pep chromosome:ASM357664v1:11:3969529:3976862:1 gene:itb11g06590 transcript:itb11g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHFLKTFRRASLSLSTTHQMEQEARYSFNPSLRWNPEVEDYFTKAYGAEHFARISTALTRPSCYSCIRVNTLKSTSDNVIQKLLKILRERRQENGSVEKRLELSGSTECTWPIESISKCPFPGLDYVVFIKGSGPHDIDYGCKEGKPPKEVIVSRKCAEAVLRGAQVFVPGVLACSAHVEKGDVVAVSVAVEQPGPEGGWGIGMTRGTVLQGSPTDPLYFERNGLYIGQGTTMLSRAGIFRVPQGVAVDMHNRVFELPSFNELLEGEIFLQNLPSIIAAHALDPQPGERILDMCAAPGGKTTAIAILMKDKGEIVAADRSHNKVLDIKKLAAEMDLNCITTYKLDALKACRRTCEDSDLPILDVSDEVQSTPSDPLGSGMDKTQDTSTNGLDAELISQTKSENVQTRSSGYTSKAELRKSIRKMRNGPGRNHCSGGRVEKSKGFAPNSFDRVLLDAPCSALGLRPRLFAGEETVESLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAEQHPRVGGPGLTGCCQFPDGYTEEWLKPGEEDFVQRFDPSSPLDTIGFFIAKFNVGSESDSG >itb11g06590.t4 pep chromosome:ASM357664v1:11:3969529:3976280:1 gene:itb11g06590 transcript:itb11g06590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHFLKTFRRASLSLSTTHQMEQEARYSFNPSLRWNPEVEDYFTKAYGAEHFARISTALTRPSCYSCIRVNTLKSTSDNVIQKLLKILRERRQENGSVEKRLELSGSTECTWPIESISKCPFPGLDYVVFIKGSGPHDIDYGCKEGKPPKEVIVSRKCAEAVLRGAQVFVPGVLACSAHVEKGDVVAVSVAVEQPGPEGGWGIGMTRGTVLQGSPTDPLYFERNGLYIGQGTTMLSRAGIFRVPQGVAVDMHNRVFELPSFNELLEGEIFLQNLPSIIAAHALDPQPGERILDMCAAPGGKTTAIAILMKDKGEIVAADRSHNKVLDIKKLAAEMDLNCITTYKLDALKACRRTCEDSDLPILDVSDEVQSTPSDPLGSGMDKTQDTSTNGLDAELISQTKSENVQTRSSGYTSKAELRKSIRKMRNGPGRNHCSGGRVEKSKGFAPNSFDRVLLDAPCSALGLRPRLFAGEETVESLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAEQHPRVGGPGLTGCCQFPDGYTE >itb11g06590.t5 pep chromosome:ASM357664v1:11:3969529:3974958:1 gene:itb11g06590 transcript:itb11g06590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHFLKTFRRASLSLSTTHQMEQEARYSFNPSLRWNPEVEDYFTKAYGAEHFARISTALTRPSCYSCIRVNTLKSTSDNVIQKLLKILRERRQENGSVEKRLELSGSTECTWPIESISKCPFPGLDYVVFIKGSGPHDIDYGCKEGKPPKEVIVSRKCAEAVLRGAQVFVPGVLACSAHVEKGDVVAVSVAVEQPGPEGGWGIGMTRGTVLQGSPTDPLYFERNGLYIGQGTTMLSRAGIFRVPQGVAVDMHNRVFELPSFNELLEGEIFLQNLPSIIAAHALDPQPGERILDMCAAPGGKTTAIAILMKDKGEIVAADRSHNKVLDIKKLAAEMDLNCITTYKLDALKACRRTCEDSDLPILDVSDEVQSTPSDPLGSGMDKTQDTSTNGLDAELISQTKSENVQTRSSGYTSKAELRKSIRKMRNGPGRNHCSGGRVEKSKGFAPNSFDRVLLDAPCSALGLRPRLFAGEVSYFCDLSCYKRTSAHLNNLFWLLVETYIDKKNLQHHSLQNNLLLPLALT >itb11g06590.t3 pep chromosome:ASM357664v1:11:3969529:3976862:1 gene:itb11g06590 transcript:itb11g06590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRHFLKTFRRASLSLSTTHQMEQEARYSFNPSLRWNPEVEDYFTKAYGAEHFARISTALTRPSCYSCIRVNTLKSTSDNVIQKLLKILRERRQENGSVEKRLELSGSTECTWPIESISKCPFPGLDYVVFIKGSGPHDIDYGCKEGKPPKEVIVSRKCAEAVLRGAQVFVPGVLACSAHVEKGDVVAVSVAVEQPGPEGGWGIGMTRGTVLQGSPTDPLYFERNGLYIGQGTTMLSRAGIFRVPQGVAVDMHNRVFELPSFNELLEGEIFLQNLPSIIAAHALDPQPGERILDMCAAPGGKTTAIAILMKDKGEIVAADRSHNKVLDIKKLAAEMDLNCITTYKLDALKACRRTCEDSDLPILDVSDEVQSTPSDPLGSGMDKTQDTSTNGLDAELISQTKSENVQTRSSGYTSKAELRKSIRKMRNGPGRNHCSGGRVEKSKGFAPNSFDRVLLDAPCSALGLRPRLFAGEETVESLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAEQVNMTSLSCLSM >itb09g07840.t1 pep chromosome:ASM357664v1:9:4684130:4688412:1 gene:itb09g07840 transcript:itb09g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGFSLGTAITYYIVLVVFALVGDVAGCYKSIVGFGDSLSDTGNLFHNSKSLSNSFVKSSVLPYGETFFHHPTGRYSDGRLIIDFIAESMGLPLVQPYFGGESNGDRSFAEGVNFAVAGATAMDISFLTERGIINSCTNVSLGNELDWFKQICQTPSRCKEYLESSIVLMGEIGGNDYNYAFSQGHSKEEVLSFVPKVVTTIASAVNELIKYGVQTLIVPGDLPIGCSPYHLSYFITSNASEYDPQTGCMTWLNDFVEYHNELLQEELDRLRELHPHTTIIYADYYNAAMKLYTSPSNYGFNNTLEACCGGGGPYNYDPDVNCGSPLSTVCDSPSSYISWDGSHLTEAAYRWISDGLLNGPYTSPQINGECILSRPKIKISDS >itb15g21700.t1 pep chromosome:ASM357664v1:15:24377573:24382313:1 gene:itb15g21700 transcript:itb15g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVISRVQVAPAGNATEMSLPLTLFDMPWLFLYRIMRRLLFYRLPISRDTFIETICPKLVSSLSLTLTYFLPLAGNLVSPSDSCTKPELRYMPGDSVSITFAESGDDFNSLIGNHPRDVNDFYPFVPRLTEEKHIGGAQIVVPVLAVQVTLFPGFGLCIGTTHHHVAGDGNTMMSFARAWAMISKLGGDLEFIAERSAQFYDRSIVNDPYGVRDLMFEEMKKVAKVELSEAEISPPRINKVRATYIMRWRDIEKLKNLVAARQRAREVHISAFTVTCAYVWTSLVKARVAIGEQSEDNKMEYFSCVADCRSRLNPPLPASYFGNCLVRCFVKSKHGILVGSEGFLTAAELIGETIQERVTDQEWILNREVWLSELKISDPQRVVAVAGYPRLDIYAADFGWGKPEKVEFVTIDGGNLMSICKGRDSEGDVEIGLAMPRTKMDAFSTIFADGQAVAIRVTADRCAFYNCRFLGWQDTLYLHHGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSPQETTGYVFLRCVITGNGGTSFTHLGRPWGPFGRVVFAYTYMDACIKQDGWNNWGNTENEKTACFFEYRCYGPGSCPEKRVTWTRELLSQEAEQFLMHGFIDPDPQRPWLAQRMALRIPYSA >itb10g05300.t1 pep chromosome:ASM357664v1:10:5411687:5412530:-1 gene:itb10g05300 transcript:itb10g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLVAIGVMALASSFANASDPDPLQDFCVAIDDPKDVLFVNGKFCKNPDDVNADDFLFRGLNKPGDTSNPLGSKVSTVNVANITGLNTLGISLARIDFAPYGLNPPHTHPRATEVLAVLEGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGKTQAVAFAGLSSQNPGVITIANAVFGSDPPINPDVLTKAFQVDDKVIQYLQSQFWYDNN >itb04g06610.t1 pep chromosome:ASM357664v1:4:4308776:4310845:-1 gene:itb04g06610 transcript:itb04g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKSHRIKQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >itb06g23740.t1 pep chromosome:ASM357664v1:6:25543471:25543758:1 gene:itb06g23740 transcript:itb06g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPDLPEEPLMSDANLVCRVGIRLPDGRRLQRSFLRSDPVQLLWSFCHLHVGTKPFRLVPAGVPGAPRVVLEYTTKMSFEESGISNSMIIVTWD >itb14g02180.t1 pep chromosome:ASM357664v1:14:1758638:1763158:-1 gene:itb14g02180 transcript:itb14g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKASVIPPVSLPNSSDMYCNSAFYLRGDEGGRNGGRFLDIGELDNSAPFHQEDAVDLSRSSIYNDMRLSNASIVSPNSLHFADINTSIGSTEMGSSETGVDAGRFMMQRGGATALMGGRVLGGGGGLGNGSFENWGESGTAEHSQQTDTSTDVDTEEKIQSLGVQHGSVLVVDSMEQSKGRPGEQKALRRLAQNREAARKSRLRKKAYVQQLETSRLRLTQLEQELKQARQQGVFVANGFVGDKSHSTTIGNGALAFDMEYARWLDEHQRLINDLRSAVNCLVGDNELRLLVDGVMSHYDEIFRITSIGAKSDVFHMLSGMWLTPAERCFMWLGGFRSSELLKILGNHLEPLTEQQLVGICNLQQSSQQAEDALSQGMEALQQLLVETLSSTSLGPNGSGNVTDYMGQMAIAMGKLATIENFLHQADLLRQQTLHQLHRILTTRQAARALLAINDYMSRLRALSSLWLARPRDC >itb05g28140.t1 pep chromosome:ASM357664v1:5:31241467:31246142:1 gene:itb05g28140 transcript:itb05g28140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGRHRLMLRSLSLASSPPAPNTRLQEIASNQPGGGVAKVVLKKGKTQLFSRGGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTQKPIGWGFYNSVSMFCVRLMQLEDEASRDPSCVLNVEKLLETRIFAAAQLRNTLGLPSPKTNAYRLINSEGDRLSGLIVDVYGDLAVIASSAAWVEKYQQQIKSCICRINGIKRISWRPSVEILKEEGLDFSDSTNLDLVTSPKRVRVKVMENGISYMISMDGQKTGFYADQRENRQFISTISEGCRVLDICCYTGGFALNAACSGALEVIGVDTSSPALEIAKENIALNGLDSRRISFLRQDATEFMKDAISKHEKWDIVILDPPKLAPRKKVLKSASGMYRNLNSLAMQLTEKGGLLMTCSCSGAMTQSGQLLDVLLGAASMAGKKITIVREAGAACDHPIDPSYPEGEYLSNILLRVT >itb09g13080.t1 pep chromosome:ASM357664v1:9:8405269:8411684:-1 gene:itb09g13080 transcript:itb09g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQKRCSWSLVASGASFVILVSVVHLFLYPVVPSLDYFSTNSCLPINGSSAVDDRASQDNKTIEDRMYVTLVNGTSKGSPPPMLDLNAEFPEDLHNAVVYRGAPWKAEIGRWLAGCDARNASVKIVEQIGSKSCNNSCSSQGVCNHDLGQCRCFHGFSGEGCSERLELKCNYPESKEQPYGRWVVSICPAHCDTTRAMCFCGEGTKYPNRPLAEACGFKINPPSKPGDHPMTDWTKADPDVFTTNTSKRGWCNVDPDEVSASKVLFKEECDCKYDGLWGRFCEVPVSSTCINQCSGHGLCRGGFCQCHTGWFGADCSAPSVLSSIGDWPKWLRPAKINIPDNANATGNPVNIEAVVEKRRPLIYVYDLPPDYNSLLLEGRHFKLECVNRIYDSNNATIWTDMLYGAQMALYESILASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEEHNGLRSSLTLELYKKAYDHIQSEYPYWNRSSGKDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNRSTTAYWADNWNSISSDRRGNHSCFDPNKDLVLPAWKLPDGGSLKAKLWARPREARKTLFYFNGNLGPAYDNGRPEATYSMGIRQKVAEEFGSSPDKKGKLGKQHAKDVIVTSSRAENYHEELASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENVLNYESFAVRIREDEIPNLMNILRSINETEVEFKLANVKKLWQRFFYRDSISLEAERQKDASGRIDDWAEQLSQLSEDDVFATFIQVLHYKLHNDPWRRLVPQRKKEFGLPGECLMRTLENA >itb09g13080.t2 pep chromosome:ASM357664v1:9:8405406:8411176:-1 gene:itb09g13080 transcript:itb09g13080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQKRCSWSLVASGASFVILVSVVHLFLYPVVPSLDYFSTNSCLPINGSSAVDDRASQDNKTIEDRMYVTLVNGTSKGSPPPMLDLNAEFPEDLHNAVVYRGAPWKAEIGRWLAGCDARNASVKIVEQIGSKSCNNSCSSQGVCNHDLGQCRCFHGFSGEGCSERLELKCNYPESKEQPYGRWVVSICPAHCDTTRAMCFCGEGTKYPNRPLAEACGFKINPPSKPGDHPMTDWTKADPDVFTTNTSKRGWCNVDPDEVSASKVLFKEECDCKYDGLWGRFCEVPVSSTCINQCSGHGLCRGGFCQCHTGWFGADCSAPSVLSSIGDWPKWLRPAKINIPDNANATGNPVNIEAVVEKRRPLIYVYDLPPDYNSLLLEGRHFKLECVNRIYDSNNATIWTDMLYGAQMALYESILASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEEHNGLRSSLTLELYKKAYDHIQSEYPYWNRSSGKDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNRSTTAYWADNWNSISSDRRGNHSCFDPNKDLVLPAWKLPDGGSLKAKLWARPREARKTLFYFNGNLGPAYDNGRPEATYSMGIRQKVAEEFGSSPDKKGKLGKQHAKDVIVTSSRAENYHEELASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENVLNYESFAVRIREDEIPNLMNILRSINETEVEFKLANVKKLWQRFFYRDSISLEAERQKDASGRIDDWAEQLSQLSEDDVFATFIQVLHYKLHNDPWRRLVPQRKKEFGLPGECLMRTLENA >itb09g13080.t3 pep chromosome:ASM357664v1:9:8405358:8410811:-1 gene:itb09g13080 transcript:itb09g13080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQKRCSWSLVASGASFVILVSVVHLFLYPVVPSLDYFSTNSCLPINGSSAVDDRASQDNKTIEDRMYVTLVNGTSKGSPPPMLDLNAEFPEDLHNAVVYRGAPWKAEIGRWLAGCDARNASVKIVEQIGSKSCNNSCSSQGVCNHDLGQCRCFHGFSGEGCSERLELKCNYPESKEQPYGRWVVSICPAHCDTTRAMCFCGEGTKYPNRPLAEACGFKINPPSKPGDHPMTDWTKADPDVFTTNTSKRGWCNVDPDEVSASKVLFKEECDCKYDGLWGRFCEVPVSSTCINQCSGHGLCRGGFCQCHTGWFGADCSAPSVLSSIGDWPKWLRPAKINIPDNANATGNPVNIEAVVEKRRPLIYVYDLPPDYNSLLLEGRHFKLECVNRIYDSNNATIWTDMLYGAQMALYESILASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEEHNGLRSSLTLELYKKAYDHIQSEYPYWNRSSGKDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNRSTTAYWADNWNSISSDRRGNHSCFDPNKDLVLPAWKLPDGGSLKAKLWARPREARKTLFYFNGNLGPAYDNGRPEATYSMGIRQKVAEEFGSSPDKKGKLGKQHAKDVIVTSSRAENYHEELASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENVLNYESFAVRIREDEIPNLMNILRSINETEVEFKLANVKKLWQRFFYRDSISLEAERQKDASGRIDDWAEQLSQLSEDDVFATFIQVLHYKLHNDPWRRLVPQRKKEFGLPGECLMRTLENA >itb09g13080.t4 pep chromosome:ASM357664v1:9:8405358:8410811:-1 gene:itb09g13080 transcript:itb09g13080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLYQFVQHIVTQQEQCVFVGKAQNTQIVLLQRHVALRSNVFTTNTSKRGWCNVDPDEVSASKVLFKEECDCKYDGLWGRFCEVPVSSTCINQCSGHGLCRGGFCQCHTGWFGADCSAPSVLSSIGDWPKWLRPAKINIPDNANATGNPVNIEAVVEKRRPLIYVYDLPPDYNSLLLEGRHFKLECVNRIYDSNNATIWTDMLYGAQMALYESILASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEEHNGLRSSLTLELYKKAYDHIQSEYPYWNRSSGKDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNRSTTAYWADNWNSISSDRRGNHSCFDPNKDLVLPAWKLPDGGSLKAKLWARPREARKTLFYFNGNLGPAYDNGRPEATYSMGIRQKVAEEFGSSPDKKGKLGKQHAKDVIVTSSRAENYHEELASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENVLNYESFAVRIREDEIPNLMNILRSINETEVEFKLANVKKLWQRFFYRDSISLEAERQKDASGRIDDWAEQLSQLSEDDVFATFIQVLHYKLHNDPWRRLVPQRKKEFGLPGECLMRTLENA >itb06g10910.t1 pep chromosome:ASM357664v1:6:15383817:15386986:-1 gene:itb06g10910 transcript:itb06g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVILPLQRGGVRCSVKPASRGALRSKGREASAFAETPQPESPLGTSRPVDSIPSPAFKRHTSDGFPPTAHSVAHRPPPSAGNKPLPTAHSPHPSRKRPNAPVRASLDSLAFRLYWKRRPCL >itb10g25530.t1 pep chromosome:ASM357664v1:10:28811938:28813617:-1 gene:itb10g25530 transcript:itb10g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYTRHGFGDEESHPHQNGGSNNNRVEDVSSTPPISNGNGTHQWTGEKIPSSHLTLAQKLGLMDFLSLDVWRASMGELFGTAVLVFMLDAIVISTYESEVKMPNLIMSILIAIVITILLLAVFPVSGGHINPTVSFSAALVGIISMSRAVIYMVAQCLGAVLGALALKAVVSDTIENNFSLGGCTVTVVAPGPNGPVAVGLDTVQAFWLEVFCSFVFLFASIWMAYDYRQAKVLGHVKVFTVVGIVLGLLVFISTTLTTKKGYAGAGMNPARCVGAALVRGGHLWDGHWIFWVGPFIACVAFYLYTKIIPKQHFHASGYPHDFFSTVKAGLGIRPSI >itb05g12800.t1 pep chromosome:ASM357664v1:5:19496046:19499020:1 gene:itb05g12800 transcript:itb05g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSLKLSCFLVLFMAAFSLLPSSLMAKISVGPNKVQMFMTGQLCKSHSDCRTNTAYPSNFCINKISGSEIGHCVGYQSTLAVPSETKDEERKPKVDSCGKCKTDADCRDCKPTAGCDFALLHGYCA >itb14g19720.t1 pep chromosome:ASM357664v1:14:22310637:22311774:1 gene:itb14g19720 transcript:itb14g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEATLPPGFRFYPSDEELVCHYLYKKVVANNQRLSKYTLVEIDLHTCEPWQLPDVAKLNSKEWYFFSFRDRKYATGYRSNRATSCGYWKGTGKDRRILDPATGTVLGMRKTLVFYHHRAPHGVKTDWIMHEFRLENPHIPPKEDWVLCRVFQKSKATGICDDSIVGVGAAASTSSPNAVNNNGFLPAGYSPMSGVPAGNVGMAAQSKGEEDDEYGFFFNVNCEEANFQAPGEGVPLWIDEDFRFDNGGNGLLFI >itb01g23800.t1 pep chromosome:ASM357664v1:1:29687673:29691928:1 gene:itb01g23800 transcript:itb01g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVENVPSDNAGTSGGSGVSGRQNYVPPHLRNNAPAAKTPAPSHSAPIFTNDRSGYSGPGSGSRWGGPRQGYGGGGRGGSGWGSRGGGRGREQEVNPFGNDDIDGAAETANPQETTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMKGNALQRPRGIRTVFPLALILSPTRELSMQIHEEAKKFSYQTGVRVVVVYGGAPITQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRRIVEEMDMPPPGERQTMLFSATFPREIQRLAADFLSNYIFLAVGRVGSSTDLIVQRVELVQDNDKRSHLMDLLHAQKANGIHGKQALTLVFVETKRGADALEHWLCSNGFPATAIHGDRTQQEREQALRAFKRGDAPILVATDVASRGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGNTGLATAFFNENNVSLAKALAELMQEANQEVPDWLTRYASRSYGGGRSKRSGGGRFGGRDFRRDSSFGRGRSGGDYYGGGGYGGGYGNSSGGVNYGGGGGYGNSSGGGYGGGYGNSSGGGYGSGSAWD >itb01g23800.t2 pep chromosome:ASM357664v1:1:29687795:29691928:1 gene:itb01g23800 transcript:itb01g23800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVENVPSDNAGTSGGSGVSGRQNYVPPHLRNNAPAAKTPAPSHSAPIFTNDRSGYSGPGSGSRWGGPRQGYGGGGRGGSGWGSRGGGRGREQEVNPFGNDDIDGAAETANPQETTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMKGNALQRPRGIRTVFPLALILSPTRELSMQIHEEAKKFSYQTGVRVVVVYGGAPITQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRRIVEEMDMPPPGERQTMLFSATFPREIQRLAADFLSNYIFLAVGRVGSSTDLIVQRVELVQDNDKRSHLMDLLHAQKANGIHGKQALTLVFVETKRGADALEHWLCSNGFPATAIHGDRTQQEREQALRAFKRGDAPILVATDVASRGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGNTGLATAFFNENNVSLAKALAELMQEANQEVPDWLTRYASRSYGGGRSKRSGGGRFGGRDFRRDSSFGRGRSGGDYYGGGGYGGGYGNSSGGVNYGGGGGYGNSSGGGYGGGYGNSSGGGYGSGSAWD >itb03g01270.t1 pep chromosome:ASM357664v1:3:696754:699374:-1 gene:itb03g01270 transcript:itb03g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 72 [Source:Projected from Arabidopsis thaliana (AT5G15130) UniProtKB/Swiss-Prot;Acc:Q9LXG8] MADSLDISGDGAAKQKAGDSLAGRQEGFMTTAVLKKDSGRAAATVKAEMKEVKEENARLKTLLAKIEKDYSSLQMRFFDVFSHQPPEIEKKSCKISSPLSSHHHDEETQISLRLGRSPSPDRRQSRVSDDINAAAAAKSTDEDDDEHNQTLKLGLDYGGDNKSTEPNLELSSGRQSPDNSASETKEEDAAAAGETWPPSKALKATRSGDDELSQPSVKRARVSVRARCDTPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPSCPVRKQVQRCADDMSVLITTYEGTHNHPLPVAATAMASTTSAAASMLLSGSTTSQTAGLRSPPSPATNFFPGLNFSLPADTSRTTRPLYFPNSSSPPFPTITLDLTTSSNNVSSMFSSNVMKSTHRFPSTNLNFSSSESNISPAIWSTGGYTNYSTIYNRNNNNILGTSQPGKTSQEQQFYGQAAAAASQQALTETLTKAITSDPSLRSVIAAAITSMVGNNAPMQHKRVKVNDEVMGTHQAIS >itb04g04170.t1 pep chromosome:ASM357664v1:4:2574021:2577024:-1 gene:itb04g04170 transcript:itb04g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQNVDDKDAKVVGEHRSALLQVISIVPSLDDDPWKSRGFYLRVSDSEHSAYVSVSDEDAELILSDSVQLGQFIHVTRLDSGSPVPVLRGLKPVAKRRPCVGEPKDLISSDFLTAKSRSEPKKVKKKNGEVRKMEGKAKESVKSEDLKGRRRHSIDAGKVEGMEMKRVSLDSMRRGWDPSPSGKNGSNSISKNNPLKSFSPDSVCSQKKVSLEKDLTPRRISMGASPLQNKNIIVSPKLVSKPSKKDVQTSQDDALPGHLMKVDVSLKNLSDSRVFWSSLPSPIHCLGKDVMAHRNAAFCSAMQALEEASAAEGVIHCMSMFAELCELSDRSSAGQVVERFLHLQERMQSAVAVIYALMNRRALETKSKDGFSLQYSLPEMCKNGVNKNAALWVQAAVDTNLSRFCLFSNQQKGGISYGEKHYCAIIENSPKKTESENLSPKDRKSPRNHGADVPNCNTKGQPSRPRRSISAAQNTDVGTQVWSKGDGLKDAATLAEKLLSHSRTWFLNYLETNLDVAFRMQKGEGNPEIARLLGQLKRVNQWLDNSRPKGDKPDESIEGLRKKLYGFLLDHVVV >itb05g07450.t1 pep chromosome:ASM357664v1:5:9650790:9656134:-1 gene:itb05g07450 transcript:itb05g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 75 [Source:Projected from Arabidopsis thaliana (AT4G29230) UniProtKB/Swiss-Prot;Acc:Q9M0F8] MRCESNFPEIILFDEEKCAVAAAAGNRRKEAMNKSGSDLIDAKLEEHQLCGSKHCPECGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVLARDQSKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSAERSTGAAASEPTSCSSSKEINVASSRRDELSAAVVGAPMSSYSAMDIQQLKAEHFGFLPFRKNFDEAGIVGGEGSRAMEGAAAAVPEQGGACEERDISDQHQSHAYHVTHDPPQHQHHHHHHQIAATQFHIISPPPPLHHASVNILDHNIDPFQVPRMLLPNDNFQCFWQQAQQQQQQEEHHKLGGGRSTSGLEELIMGCTSSDMKEETSIPNPQEAEWLKYSSFWPDPDNPDHHG >itb05g07450.t2 pep chromosome:ASM357664v1:5:9650790:9656134:-1 gene:itb05g07450 transcript:itb05g07450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 75 [Source:Projected from Arabidopsis thaliana (AT4G29230) UniProtKB/Swiss-Prot;Acc:Q9M0F8] MRCESNFPEIILFDEEKCAVAAAAGNRRKEAMNKSGSDLIDAKLEEHQLCGSKHCPECGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVLARDQSKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSAERSTGAAASEPTSCSSSKEINVASSRRDELSAAVVGAPMSSYSAMDIQQLKAEHFGFLPFRKNFDEAGIVGGEGSRAMEGAAAAVPEQGGACEERDISDQHQSHAYHVTHDPPQHQHHHHHHQIAATQFHIISPPPPLHHASVNILDHNIDPFQVPRMLLPNDNFQCFWQQAQQQQQQEEHHKLGGGRSTSGLEELIMGCTSSDMKEETSIPNPQEAEWLKYSSFWPDPDNPDHHG >itb05g07450.t3 pep chromosome:ASM357664v1:5:9650790:9656134:-1 gene:itb05g07450 transcript:itb05g07450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 75 [Source:Projected from Arabidopsis thaliana (AT4G29230) UniProtKB/Swiss-Prot;Acc:Q9M0F8] MRCESNFPEIILFDEEKCAVAAAAGNRRKEAMNKSGSDLIDAKLEEHQLCGSKHCPECGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVLARDQSKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSAERSTGAAASEPTSCSSSKEINVASSRRDELSAAVVGAPMSSYSAMDIQQLKAEHFGFLPFRKNFDEAGIVGGEGSRAMEGAAAAVPEQGGACEERDISDQHQSHAYHVTHDPPQHQHHHHHHQIAATQFHIISPPPPLHHASVNILDHNIDPFQVPRMLLPNDNFQCFWQQAQQQQQQEEHHKLGGGRSTSGLEELIMGCTSSDMKEETSIPNPQEAEWLKYSSFWPDPDNPDHHG >itb05g07450.t4 pep chromosome:ASM357664v1:5:9650790:9656204:-1 gene:itb05g07450 transcript:itb05g07450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 75 [Source:Projected from Arabidopsis thaliana (AT4G29230) UniProtKB/Swiss-Prot;Acc:Q9M0F8] MRCESNFPEIILFDEEKCAVAAAAGNRRKEAMNKSGSDLIDAKLEEHQLCGSKHCPECGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVLARDQSKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSAERSTGAAASEPTSCSSSKEINVASSRRDELSAAVVGAPMSSYSAMDIQQLKAEHFGFLPFRKNFDEAGIVGGEGSRAMEGAAAAVPEQGGACEERDISDQHQSHAYHVTHDPPQHQHHHHHHQIAATQFHIISPPPPLHHASVNILDHNIDPFQVPRMLLPNDNFQCFWQQAQQQQQQEEHHKLGGGRSTSGLEELIMGCTSSDMKEETSIPNPQEAEWLKYSSFWPDPDNPDHHG >itb09g25850.t1 pep chromosome:ASM357664v1:9:25955010:25955666:1 gene:itb09g25850 transcript:itb09g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPRLRRVRQCGHSFGLHQVHFHGNNLLVTVTKRAAEVDEWVNEILHNYCHALHNLVVGLDIEWHPCSEGEHNPAATLQLCVGERCLIFLLLHKDFIPRSLLAFLAHPRFTFVGVGVQDDADKLLQDHGLAVTNVADLRRLAEMVYRSEEYRRMGLKKMAWRILGRVMEKPREVTLSNWDSKNLTFPQIEYGCIDAFVSFELGFNLFAMAYTHHIQ >itb05g24000.t1 pep chromosome:ASM357664v1:5:28986085:28988224:-1 gene:itb05g24000 transcript:itb05g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHITLVIFFLLNLGAIQAEIVELRPGFYSETCPEAEDIVRGVIKRNMEREPRSAASVMRLQFHDCFVNGCDASLLLDDTPEMLGEKLCLSNINSLRSYEVVDEAKEAVEMACPGVVSCADIIIMAARDAVVLSGGPNWEVKLGRIDSLTASQEDADNIMPSPRADATTLIDLFSKFNLSVKDLVALSGSHSIGQGRCFSIVFRLYNQSGTGRPDPTIEPKFREKLDKLCPLGGDGNVTGDLDATPQVFDNQYFKDLVNGRGFLNSDETLFTNPETRGYVVQYRRNESAFFEAFVEGMIKMGDLQSGRPGEIRRNCRVVNSWEPAKLFASY >itb03g04660.t1 pep chromosome:ASM357664v1:3:2979623:2988328:1 gene:itb03g04660 transcript:itb03g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSGVGQAPENNGIEEYAADELRDNGDESSSRGSRFTLIQSAIGLASTRRTSSRQTLIDGLRDLSRGLVIHPDNRFHRAWEKFILVWAMYSSFFTPMEFGFFRGLPRKLLFLDICGQIAFLVDIILHFFVAYRDSQTYRMVFKRTPIALRYLKSYFIIDLLSCMPWDIIYKASGRKEELRYLLWIRLSRVRIVDGFFKKLEKDIRINYLFTRIIKLIAVEIYCTHTAACIFYYLATTLPEDREAYTWIGSLKLGDFSYSNFREIDIWKRYTTSMYFAIVTMATVGYGDIHAVNLREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTVRYRDKMTDLIKFVNRNKIGRDIRSQIKSHLRLQYESSYTDAAVLQDIPISICAKISQSLYKSYIENIPLLKGCSSELINQIAIKVHEEFLLPGEVIMEQGNIIDQLYFVCHGVVEEVGIGKDGSEETVARLEPNSSFGEVSILCNIPQPYTVRVCELCRLLRIDKQTFSNILDIFFHDGRRILDNLLEGKESNTRIKQLESDVSFHIGKQEAELALKVNNAAFHGDLHQLKSLIRAGADPNKKDYDGRSPLMVFASSILLHLEGMKLLLFTLTNQVLILMHQITLGIHLYLKPSRMDMTVLLHCWLKKVRV >itb03g04660.t2 pep chromosome:ASM357664v1:3:2979623:2988328:1 gene:itb03g04660 transcript:itb03g04660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSGVGQAPENNGIEEYAADELRDNGDESSSRGSRFTLIQSAIGLASTRRTSSRQTLIDGLRDLSRGLVIHPDNRFHRAWEKFILVWAMYSSFFTPMEFGFFRGLPRKLLFLDICGQIAFLVDIILHFFVAYRDSQTYRMVFKRTPIALRYLKSYFIIDLLSCMPWDIIYKASGRKEELRYLLWIRLSRVRIVDGFFKKLEKDIRINYLFTRIIKLIAVEIYCTHTAACIFYYLATTLPEDREAYTWIGSLKLGDFSYSNFREIDIWKRYTTSMYFAIVTMATVGYGDIHAVNLREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTVRYRDKMTDLIKFVNRNKIGRDIRSQIKSHLRLQYESSYTDAAVLQDIPISICAKISQSLYKSYIENIPLLKGCSSELINQIAIKVHEEFLLPGEVIMEQGNIIDQLYFVCHGVVEEVGIGKDGSEETVARLEPNSSFGEVSILCNIPQPYTVRVCELCRLLRIDKQTFSNILDIFFHDGRRILDNLLEGKESNTRIKQLESDVSFHIGKQEAELALKVNNAAFHGDLHQLKSLIRAGADPNKKDYDGRSPLHLAASRGYEAIALYLNQSGVDLNAPDNFGNTPLLEAIKNGHDRVASLLVKEGASLKIENAGSFLCEIVVRGDSDILRRLLSYGVDPNSKDYDHRTPLHIAASQGLYSMAKLLLGAGASVFSKDRWGNTPVDGARASGNKQLIQLLEEAKYAKLSEFPGYYEEDSPEKTHRRKCTVFPFHPWEPKDKHARKHGVVLWVPQSIKELVEIASRQLELTSGSCILTEDGGKIIDTDMISDGQKLYLIISETQ >itb03g04660.t3 pep chromosome:ASM357664v1:3:2979623:2987284:1 gene:itb03g04660 transcript:itb03g04660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSGVGQAPENNGIEEYAADELRDNGDESSSRGSRFTLIQSAIGLASTRRTSSRQTLIDGLRDLSRGLVIHPDNRFHRAWEKFILVWAMYSSFFTPMEFGFFRGLPRKLLFLDICGQIAFLVDIILHFFVAYRDSQTYRMVFKRTPIALRYLKSYFIIDLLSCMPWDIIYKASGRKEELRYLLWIRLSRVRIVDGFFKKLEKDIRINYLFTRIIKLIAVEIYCTHTAACIFYYLATTLPEDREAYTWIGSLKLGDFSYSNFREIDIWKRYTTSMYFAIVTMATVGYGDIHAVNLREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTVRYRDKMTDLIKFVNRNKIGRDIRSQIKSHLRLQYESSYTDAAVLQDIPISICAKISQSLYKSYIENIPLLKGCSSELINQIAIKVHEEFLLPGEVIMEQGNIIDQLYFVCHGVVEEVGIGKDGSEETVARLEPNSSFGEVSILCNIPQPYTVRVCELCRLLRIDKQTFSNILDIFFHDGRRILDNLLEGKESNTRIKQLESDVSFHIGKQEAELALKVNNAAFHGDLHQLKSLIRAGADPNKKDYDGRSPLHLAASRGYEAIALYLNQSGVDLNAPDNFGNTPLLEAIKNGHDRVASLLVKEGASLKIENAGSFLCEIVVRGDSDILRRLLSYGVDPNSKDYDHRTPLHIAASQGLYSMAKLLLGAGASVFSKDRWGNTPVDGARASGNKQLIQLLEEAKYAKLSEFPGYYEEDSPGIS >itb13g11840.t1 pep chromosome:ASM357664v1:13:17050096:17051075:1 gene:itb13g11840 transcript:itb13g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCCSFSLVCCFLFLIPPATIEATPFIDLINSTCTQCAQNSAVFNYDFCVTSLQAVPITHAANLEGIAVVAVELALQNATATVSTIEEMLACAAASDPFAVRCLRDCLELYADAIAMLVESFWEFFSRRFSTANILLSAVMETASTCQEGFTEKEGEMAPLTEENDNLFQLSDIALCITKLVSREQISGEPSHLERIMEKK >itb13g16650.t1 pep chromosome:ASM357664v1:13:23612809:23614620:1 gene:itb13g16650 transcript:itb13g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCNVLLVMYPAQGQINPCLQFAKRLVRLGVKVTFLTSISVVNRMPEPPSIHGVDFATFSDGCDAGFKGSDEEYLEFNASLRAKGSKAISDLLTAKLQEGSPIVQVIYTTFMPWVGLLAQSLGVPSTVLWIQPAAIFDIFYYYFTGYEERFRGDDIGVVELPGLMPLTAREIPSFLLPTASSATDFMIAQSMKDHIELIKKERPKVLVNTFDALEFEALRVIEKVGLVGIGPLVPSAYLDGEDPCDTSFGGDMRKKSNGYVEWLDSKAKGSVIYVAFGSYSELPDEMMEEIARGLVETRRPFLWVIREGGNDQKPEEKLSCKADLEKQGKIVRWGNQVEVLQHSSVGCFVSHCGWNSTLEALTSGVPMVGCPLWTDQWCNSKFIQDVWKTGIRVDVNEKGIIEANGLKKAIECIMGEEETGQGLRNNATKFKALAKEAMRENGSSYVNLKAYVDEVLLGHGQE >itb15g19510.t1 pep chromosome:ASM357664v1:15:21866458:21870557:-1 gene:itb15g19510 transcript:itb15g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVESNYSSLFSVDRAIESLIVDDLSFRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGMDAEKYKLSHPSDFHYLNQSKTYNLDGVSNAEEYVKTRRAMDVVGISNEEQDAIFRTLAAILHLGNIEFSPGKEHDSSAIKDQKSSFHLGMAANLLMCDLNLLVTSLCTRSIQTHEGIIIKALDCAAAAAGRDALAKTIYAQLFDWLVEKINRSVGQDPDSRIQIGVLDIYGFECFKQNSFEQFCINFANEKLQQHFNQHVFKMEQEEYQREEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHQTFSNKLFQNFRGHTRLERAKFSETDFTVSHYAGKVTYKTETF >itb14g10470.t1 pep chromosome:ASM357664v1:14:11784404:11791006:-1 gene:itb14g10470 transcript:itb14g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MASSDPSKKTRPGPWPPAPAAPAMPPTTWAKRTGFRPKFSGETNASNSGQIAPRPQDQPQAQPPARTRGVDSSLDLEAGRARPEKANGEQANGKPQQPEKDHPVRKRRDSDGGGAPKSTVANGQAVTATEPATTAAGGQQPSRRALRNEEVVDMLPQSIDDDSFARHSHMKYELRDVPGLVPIGFYGLQHYLSILGSLVLIPLVIVPAMGGTYEDTSNVVSTVLFVSGVSTLLHTFFGSRLPLIQGPSFVYLAPALAIINSPEFLRLNGNNFKHIMKELQGAIIIASAFQAILGYSGLMMIFLKLINPVVVAPTIAAVGLSFYSYGFPRVGACLEIGVVQILLVIIFSLYLRKIAILGHRVFLIYAVPLGLAITWAIAYLLTEVGAYSYKGCDVNVPTSNIISDHCRNHISRMKSCRVDTSHALRSSPWFRFPYPLQWGTPVFHWKMALVMCVVSIISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLTSLLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVSLGAVLLIVLSLVGKVGGFIASIPQVIVAALLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFQPYIVASHGPIRTKYGGLNYILNTLFSLHMVIAFLVAVILDNTVPGSTQERGVYMWSERDAARREPAVTKDYELPFRVGRVFRWASIPSWNEFGICSAIFTGCRFSGV >itb05g13810.t1 pep chromosome:ASM357664v1:5:20911980:20916180:-1 gene:itb05g13810 transcript:itb05g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSETWRWLVRKTRDSKSFFFAFATVCGVIPGVIGYTVMQLTNTSNPDLEAKLRRDARPESLMMGKVNKERLAEYLGELQRKENTNDRYVAALKGETLTRNPYVRIQPVPTATTEDKVNKGK >itb05g13810.t2 pep chromosome:ASM357664v1:5:20914420:20916180:-1 gene:itb05g13810 transcript:itb05g13810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSETWRWLVRKTRDSKSFFFAFATVCGVIPGVIGYTVMQLTNTSNPDLEAKLRRDARPESLMMGKVNKERLAEYLGELQRKENTNDRYVAALKGETLTRNPYVRIQPVPTATTEDKVNKGK >itb13g23900.t1 pep chromosome:ASM357664v1:13:29685922:29689177:-1 gene:itb13g23900 transcript:itb13g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKPEEQLQIVEREEIDDEEDLFEAIDKLTSHGINAGDVKKLQDAGIKGLSEAKVDKICEAAEKIVVRISFPDCQNCQIPHHAFIFHFLHVLNSLFCYSFQNFGYITGSDALLKRKSVVHVTTGSQALDELLGGGIETSAITEAFGEFRSGKTQLAHTLCVSTQLPTSMKGGNGKVAYIDTEGTFRPDRILPIAERFGMDAGAVLDNIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPENEAISFHC >itb07g21440.t2 pep chromosome:ASM357664v1:7:25828696:25833283:-1 gene:itb07g21440 transcript:itb07g21440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRHGWQRPLHPLQIVGMSVFIFLVAAFYCFLGLFLGNRVAEITITSVFSFVAFSAAFLFIRCAAINPTDRTRFRKKIKGKSTAFSKLNYGALLAQIVMRFFRKVERRILRTCIRRKYLNPWKSNLQMEPLFPFPLVMDDSVAPEVREDDISFCLLCDFEVRKHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFILLMVFVLIMLTLEGGSAVAIFVRCFANRKGIEEELERRLHVKFPRGVLAAISVLLFLMTAYSAAALGQLFFFHLVLIRKGMRTYDYILAMKEENQSMDLESLEDSDSSSDESSDFGSPEKPSFSSRLKCAEGRIKSQNPHRLSIRIDGESESSTLMKKQGFRASIDPWKLIKMSKEKAMAAADKARERLLNQKQMGHNDNEDLNLKPLPQETKSGPLMKKNKDEPTTAIISKPPFPSPRRRFSYSPTLSGTVPSPKQRYRSNFDLKLTQVSTELETYISRQVLCSVLTKDEAAATTPR >itb07g21440.t3 pep chromosome:ASM357664v1:7:25828696:25833270:-1 gene:itb07g21440 transcript:itb07g21440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFIFLVAAFYCFLGLFLGNRVAEITITSVFSFVAFSAAFLFIRCAAINPTDRTRFRKKIKGKSTAFSKLNYGALLAQIVMRFFRKVERRILRTCIRRKYLNPWKSNLQMEPLFPFPLVMDDSVAPEVREDDISFCLLCDFEVRKHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFILLMVFVLIMLTLEGGSAVAIFVRCFANRKGIEEELERRLHVKFPRGVLAAISVLLFLMTAYSAAALGQLFFFHLVLIRKGMRTYDYILAMKEENQSMDLESLEDSDSSSDESSDFGSPEKPSFSSRLKCAEGRIKSQNPHRLSIRIDGESESSTLMKKQGFRASIDPWKLIKMSKEKAMAAADKARERLLNQKQMGHNDNEDLNLKPLPQETKSGPLMKKNKDEPTTAIISKPPFPSPRRRFSYSPTLSGTVPSPKQRYRSNFDLKLTQVSTELETYISRQVLCSVLTKDEAAATTPR >itb07g21440.t4 pep chromosome:ASM357664v1:7:25828696:25833283:-1 gene:itb07g21440 transcript:itb07g21440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRHGWQRPLHPLQIVGMSVFIFLVAAFYCFLGLFLGNRVAEITITSVFSFVAFSAAFLFIRCAAINPTDRTRFRKKIKGKSTAFSKLNYGALLAQIVMRFFRKVERRILRTCIRRKYLNPWKSNLQMEPLFPFPLVMDDSVAPEVREDDISFCLLCDFEVRKHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFILLMVFVLIMLTLEGGSAVAIFVRCFANRKGIEEELERRLHVKFPRGVLAAISVLLFLMTAYSAAALGQLFFFHLVLIRKGMRTYDYILAMKEENQSMDLESLEDSDSSSDESSDFGSPEKPSFSSRLKCAEGRIKSQVLFICICRTHTDYP >itb07g21440.t1 pep chromosome:ASM357664v1:7:25828696:25833283:-1 gene:itb07g21440 transcript:itb07g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRHGWQRPLHPLQIVGMSVFIFLVAAFYCFLGLFLGNRVAEITITSVFSFVAFSAAFLFIRCAAINPTDRTRFRKKIKGKSTAFSKLNYGALLAQIVMRFFRKVERRILRTCIRRKYLNPWKSNLQMEPLFPFPLVMDDSVAPEVREDDISFCLLCDFEVRKHSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTTFILLMVFVLIMLTLEGGSAVAIFVRCFANRKGIEEELERRLHVKFPRGVLAAISVLLFLMTAYSAAALGQLFFFHLVLIRKGMRTYDYILAMKEENQSMDLESLEDSDSSSDESSDFGSPEKPSFSSRLKCAEGRIKSQNPHRLSIRIDGESESSTLMKKQGFRASIDPWKLIKMSKEKAMAAADKARERLLNQKQMGHNDNEDLNLKPLPQETKSGPLMKKNKDEPTTAIISKPPFPSPRRRFSYSPTLSGTVPSPKQRYRSNFDLKLTQVSTELETYISRQVLCSVLTKDEAAATTPR >itb06g11390.t1 pep chromosome:ASM357664v1:6:15960524:15963346:1 gene:itb06g11390 transcript:itb06g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGYGAVSLLVLLLWSMDGRLRTSSSRRADLEPQSSFLHSISSTKFLRRLWLLIGSLLKEWGLYTTSLLHYLVLLVPLPWLFSTYIGTS >itb01g30540.t1 pep chromosome:ASM357664v1:1:34524870:34526902:-1 gene:itb01g30540 transcript:itb01g30540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEETSRADNANESAPLTPSRVSSPHKKNLSVRTKVPEVEVRLYRRGNGPIDVFISSLGGWDQNQLEVNGILDKYGFKSLYAFNPDTGRGRQIRYARNGRSVSTYTDGSVVYVDGEPKDSSIQYITKLLVGVAVIAVLILLTMKDTPEWAKKLNLSGGRIPPWVLACVVIVFTRLRRRSWDFLDKRR >itb10g25780.t4 pep chromosome:ASM357664v1:10:28968072:28969580:1 gene:itb10g25780 transcript:itb10g25780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELEFSNQEMLSGSNFCEFPDSNSYMDSFLNEILKDTHACTHAHTCNPPGPDNSHTHTCYHVHTKIVPAPSEDKAPSDDTAESVDKKEKKRPLGNREAVRKYREKKKARAALLEDEVVRLRALNQQLLKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYEKQQVKNRDAYQDLVNPPALPGGGGGGAYVMNPCNMQCNDPLYCLQPGSEGGGVSSEGTTLNNQGLFNNCDFESLQCLGNQTSSGFKELPGCGVGNSKPANVSGGSKKKSKKTDDN >itb10g25780.t5 pep chromosome:ASM357664v1:10:28967904:28970062:1 gene:itb10g25780 transcript:itb10g25780.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELEFSNQEMLSGSNFCEFPDSNSYMDSFLNEILKDTHACTHAHTCNPPGPDNSHTHTCYHVHTKIVPAPSEDKAPSDDTAESVDKKEKKRPLGNREAVRKYREKKKARAALLEDEVVRLRALNQQLLKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYEKQQVKNRDAYQDLVNPPALPGGGGGGAYVMNPCNMQCNDPLYCLQPGSEGGGVSSEGTTLNNQGLFNNCDFESLQCLGNQTSSGFKELPGCGVGNSKPANVSGGSKKKRAARAATAS >itb10g25780.t1 pep chromosome:ASM357664v1:10:28967903:28970062:1 gene:itb10g25780 transcript:itb10g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELEFSNQEMLSGSNFCEFPDSNSYMDSFLNEILKDTHACTHAHTCNPPGPDNSHTHTCYHVHTKIVPAPSEDKAPSDDTAESVDKKEKKRPLGNREAVRKYREKKKARAALLEDEVVRLRALNQQLLKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYEKQQVKNRDAYQDLVNPPALPGGGGGGAYVMNPCNMQCNDPLYCLQPGSEGGGVSSEGTTLNNQGLFNNCDFESLQCLGNQTSSGFKELPGCGVGNSKPANVSGGSKKKTRAATAS >itb10g25780.t2 pep chromosome:ASM357664v1:10:28967904:28970085:1 gene:itb10g25780 transcript:itb10g25780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELEFSNQEMLSGSNFCEFPDSNSYMDSFLNEILKDTHACTHAHTCNPPGPDNSHTHTCYHVHTKIVPAPSEDKAPSDDTAESVDKKEKKRPLGNREAVRKYREKKKARAALLEDEVVRLRALNQQLLKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYEKQQVKNRDAYQDLVNPPALPGGGGGGAYVMNPCNMQCNDPLYCLQPGSEGGGVSSEGTTLNNQGLFNNCDFESLQCLGNQTSSGFKELPGCGVGNSKPANVSGGSKKKRAARAATAS >itb10g25780.t3 pep chromosome:ASM357664v1:10:28968146:28970062:1 gene:itb10g25780 transcript:itb10g25780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGELEFSNQEMLSGSNFCEFPDSNSYMDSFLNEILKDTHACTHAHTCNPPGPDNSHTHTCYHVHTKIVPAPSEDKAPSDDTAESVDKKEKKRPLGNREAVRKYREKKKARAALLEDEVVRLRALNQQLLKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYEKQQVKNRDAYQDLVNPPALPGGGGGGAYVMNPCNMQCNDPLYCLQPGSEGGGVSSEGTTLNNQGLFNNCDFESLQCLGNQTSSGFKELPGCGVGNSKPANVSGGSKKKTRAATAS >itb09g09440.t1 pep chromosome:ASM357664v1:9:5693306:5696440:-1 gene:itb09g09440 transcript:itb09g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARGCRFSLYVTVVVSAIAFIYFSTVFVFIDRWFGLASSPGMLNAAVFTALAILCVSNYRLAIYTDPGRVPASFLPDIEDPDNTIHEIKRKGGDLRYCQKCSLYKPPRAHHCRVCNRCVLRMDHHCVWMNNCVGHANYKVFFVFVVYAVIASLYSLVLLVGSLATESDEDEQQSGDSYRTVYIISGLLLVPLSLALTFFLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGYLYSHPYDLGAYENIISVLGPNVLCWLFPTTGHIGSGLRYRSAFDKIAKATASSQ >itb13g11510.t1 pep chromosome:ASM357664v1:13:16223124:16224061:1 gene:itb13g11510 transcript:itb13g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDAITKLPPGGLLASVYHLTRIEYGVDQPEEVCIKVFAPRRNPRIPSVFWVWKSADFQERESYDMFGISYDNHPRLKRILMPESWIGWPLRKDYIVPNFYEIQDAY >itb04g01250.t1 pep chromosome:ASM357664v1:4:681919:683824:1 gene:itb04g01250 transcript:itb04g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWSKEAWDAVKACFPDDGNGSRVLLTTRLAEVANHTCSKDDFSHQMQLLEQSESWQLFNEKACESRHVEFETIGRPVVEKCKGLPLAIIVVAGLFSKLNTLDEWKNTANALSSSATTLDDEECSRILSLSYNHLPHYLKACFLYLGVFPEDHEINANELAKLWLAEGFVKAFENESFDAVANRYMQELMDRNLIILSELCSRGRKIKRFRMHDLLHGFCVREAQKDNLLHVVMSENRFDFPQKGFRWISIQSVNFDMSTIQHYTLKSYRSFFSFVCRGSSSDFRKGNLLRVFFSDDQFFELNNNLDTVHLRFLKVRNDIELSQAQGFLKSGCTKSLELSRCWNLQTLYCLERVSYGLDGEGKFSEFPQLHYIHCNRSFCGNPPNFVHKLYGIRAYDCLDEYITNIPCLKKVRIQGEEIKINARIVNLAYFEQLEGLWISSLPAAHALINTDIVLLKNLRKLSLHYTKFEWEQINFLSKLPRLEVLKLLWKSCLGKEWEIQEEVIFCELIALVICCCDLKHWKASSHNFPKLEHLHIRYCDKLTEIPIDFAEISSLKSIEFWKCLPSAVKSAKKIQDEQHDSGNYDMVVIEIETLDVLESEERRA >itb01g20130.t1 pep chromosome:ASM357664v1:1:26426470:26430941:1 gene:itb01g20130 transcript:itb01g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIFSPSNSRSRASEMTMAISTVRRVLLPVITREGDFFVINISFTEACRRSDHGRAKESNWIELLYISS >itb04g19950.t1 pep chromosome:ASM357664v1:4:24394290:24395076:1 gene:itb04g19950 transcript:itb04g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQTIKSINWAVLLNQSSRKWALPDSATQCHLSLSSKPSKTRNGKTSTSLKITDSVKGKLILGAKLLRAGGAQKVFKKNFGVREGEKLLKASQCCLSTTAGPLAGLLFVSTEKVAFLSERSIRVRSTSGKSMRVHYKVLMLIAKIKTANESKNLKNPSEKYVHLVTEDHFEFWFMWFQQHQRTLKYLQDAISQSVQYP >itb13g14910.t1 pep chromosome:ASM357664v1:13:21572552:21576632:-1 gene:itb13g14910 transcript:itb13g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLEEFIKDYSDTARVHQTSVMPRKVTDSPVYTVIFLIHVLAHDTSFPPIESQDEEVYTQFFRPLILTLKALVDVNCLDRDMDSITHDVSYLRNLFHAIKKAEDAIDDHMTHKLHILADIGISFLNSLGNSSFSSSHTPGLILLPSSLYKISSGYKCQGGGNSLKHCQLDKSFTNKFLQHIISASWTARNIGKSYPKSQSDSLHIHATKRLKSESAFCKKGYIPLTTTREQCHNPHSDQNEPNETLRHLTGRGKENEPMLSISAPVERGEQRNSGEVIRNELLPSNNHVMVGSMFSQKGEHLFSFKEIGTVTRCNSTAEQTKSSKRTTSNDHVSQDIQNQGETLIGHHMELYSVDRRSCSGLVEGFDSCNSADKVS >itb13g14910.t2 pep chromosome:ASM357664v1:13:21570702:21576328:-1 gene:itb13g14910 transcript:itb13g14910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVTDSPVYTVIFLIHVLAHDTSFPPIESQDEEVYTQFFRPLILTLKALVDVNCLDRDMDSITHDVSYLRNLFHAIKKAEDAIDDHMTHKLHILADIGISFLNSLGNSSFSSSHTPGLILLPSSLYKISSGYKCQGGGNSLKHCQLDKSFTNKFLQHIISASWTARNIGKSYPKSQSDSLHIHATKRLKSESAFCKKGYIPLTTTREQCHNPHSDQNEPNETLRHLTGRGKENEPMLSISAPVERGEQRNSGEVIRNELLPSNNHVMVGSMFSQKGEHLFSFKEIGTVTRCNSTAEQTKSSKRTTSNDHVSQDIQNQGETLIGHHMELYSVDRRSCSGLVEGFDSCNSADKDSDARQSRFCDKREGALNASVDKSKFQGRKIPLTASLKKREQLLVDSSSSEVIGGNKDAMKRRTRRRKV >itb11g17600.t1 pep chromosome:ASM357664v1:11:17546014:17548296:-1 gene:itb11g17600 transcript:itb11g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGVVFDEGAPDDFDPENPYKDPVAMLEMREHLVREKWIDIEKAKILREKVRWCYRIEGVNHLQKCRHLVHQYLDATRGVGWGKDHRPPSLHGPKVEAVESE >itb15g11280.t1 pep chromosome:ASM357664v1:15:9100304:9102975:-1 gene:itb15g11280 transcript:itb15g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFNFISFVFFLSFIILLHTQWRKKAKTRRKLPPGPWKLPIIGNLHQLSGSSQQPTHRVLGELVKKYGSQGMMKLQIGEILAVVVSSPAVAKELLRTHDLTFATRWQSLASKTLFYNGLGVVFSPYGDYWRQLRKVYAIELLSAKNVRSFSSIRHDEIRCLLADLHSSSGQLVNFTDKIFLLMSSIICKSAFGKVFTGREELLEQIKEISELLGEFDFADVFPSWKVLHGLCSNKKRIMKTHRKVDVIIENIIKERKVESGDCLIDVLIAQMDSGNLQLPITPDNIKGVIVETFAAGSETSASTSVWAMSEMMKNPRVLAKAQAEVREAFRGKEKLEEEDMEELAYLKPVVKETLRFHILRFPC >itb11g13140.t1 pep chromosome:ASM357664v1:11:10077006:10077551:1 gene:itb11g13140 transcript:itb11g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPASYPPRTTIVASVVMATTVAQPTKGVAGGGSGRQFRPLRYIGFTVPRRSGKRVVSAFLDLSALGSAGDPDETGLVFTAGDRPSHRASDRSVENILRWADCRSEVPGLPGICCAAGEFPANRGGRQRKRWWRWSLCGRGYPFV >itb10g13770.t1 pep chromosome:ASM357664v1:10:19974093:19975825:-1 gene:itb10g13770 transcript:itb10g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-cysteine desulfhydrase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26600) UniProtKB/Swiss-Prot;Acc:Q3E6S9] MATFSFTLSNHLNGPEKQSTFISDEDLRSEFSHHDSAVARINNGSFGSCPASILAEQERWRLRFLEQPDNFCLNVLKPSILESRSLIKTLVNADDVSEISIVDNATTAVAIVLQHVTWSFFSSRFSRGDAAVILHYAYGAVKKSVEAYVARAGGKVIEVPLPFPVKSNDEIISLFSESLEKGKMNGGKIRLAVIDHITSMPSVVIPVKQLVQMCRDEGVDMILVDGAHAIGNVEVDMKDIGADFYTSNLHKWFFCPPSVAFLYCKNSDRLSDLHHPVVSLEYGNGLPIESTWIGTRDYSAQLVVPQVMALLSKVEGGIEGIRRRNHEKVVEMAEMLANSWGTNLGAPPEMCSSMAMVGMPACLGISSDSDALRLRSHLRDCFRVEVPIYYRPPLEGDGDVNPITGYARISHQVYNKVEDYYHFRDVVNKLVNDGFSCSLLPN >itb10g13770.t2 pep chromosome:ASM357664v1:10:19974093:19975825:-1 gene:itb10g13770 transcript:itb10g13770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-cysteine desulfhydrase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26600) UniProtKB/Swiss-Prot;Acc:Q3E6S9] MATFSFTLSNHLNGPEKQSTFISDEDLRSEFSHHDSAVARINNGSFGSCPASILAEQERWRLRFLEQPDNFCLNVLKPSILESRSLIKTLVNADDVSEISIVDNATTAVAIVLQHVTWSFFSSRFSRGDAAVILHYAYGAVKKSVEAYVARAGGKVIEVPLPFPVKSNDEIISLFSESLEKGKMNGGKIRLAVIDHITSMPSVVIPVKQLVQMCRDEGVDMILVDGAHAIGNVEVDMKDIGADFYTSNLHKWFFCPPSVAFLYCKNSDRLSDLHHPVVSLEYGNGLPIESTWIGTRDYSAQLVVPQVMALLSKVEGGIEGIRRRNHEKVVEMAEMLANSWGTNLGAPPEMCSSMAMVGMPACLGISSDSDALRLRSHLRDCFRVEVPIYYRPPLEGDGDVNPITGYARISHQVYNKVEDYYHFRDVVNKLVNDGFSCSLLPN >itb05g18700.t1 pep chromosome:ASM357664v1:5:25408733:25409977:-1 gene:itb05g18700 transcript:itb05g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGENGCEEEEWMLSNLVENEKVTENEEEEEEALSLCDLPNKEENQPKKESPGACGGESQEDDDFDFFWRGGSSSFKESEMCAADEVFFQGQILPLRHSISSDGASFKNNGGRCHSFRYTSSRSSSVRSLRSSSSGSSSTSTATTTTIHSKYYNKNSKKQQPNIIRNQSTPQVRFSKVVSNNNNCSSRKSITLWSLFRAGLVSTPEIDFQDLKIRQHKPFGSRNSSNNNTDAKVSVKRNLKQRFSDKNMILFGGACSCSVNDVRTVPPRTATTVGRNSSAKEAHNEDKNSETTKVMRKQSMSRRLRTFEWLKQLSLEGPATTTTL >itb03g05200.t1 pep chromosome:ASM357664v1:3:3549151:3553973:-1 gene:itb03g05200 transcript:itb03g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGLPSSQGSIDLNIAAKDVGLILSQEIQFGSLVPALSRTHESRDSLSDNGENGESEGNEVVDKRNMKVTKNLFSNQNNSRPSFSIREPEGDDCLLEMLESDSDIIDLGEDEVFNIEEEKFFCLLGRFAGRFPGAFAIYQLINYWKVNCKLEKVANGHVIFWFKNEADRDKVAGEGPYFVYGKRLFLDFLPDGFVLKNEDFCVLPIWIRLPGLPKKCWHVKALSKIATRIGKPIFMDRHTKEMKKSDFARILVDVDCSFKPCDSITFRMPDGSLWTQKVFYEYLPLFCSRCGGEKHVNASCPLSRVERNLLHREKDKALHSPVKDAQNLEDDVIPYEIQNSLNIPSGTDALEMRPEKSDSIPLTFEKGETSHANLKGVFGDKESLSNEGEHCLVGNSGEDHVNQQGLCDKDSNICNEVADPFGVLCDSDHLNLPPDGPNYKSSKYSKDLATGGNVKQKRKKKEKDQLNSLKDFFADLEDTPVPPRDNLVAGGGGDFNTVLSSLERRGSVEPSRYDIGDFEYCCMNLGLRDAFSTGNHFTWSNGSKEAKLDRCLINEYWLLNNLACHAEFKNMVSTSDHSQIIIKVFGQHKSGNRPFKFMNMWLKHPNFKKVVEDTWSNPVEGTKQFILASYLKALKAPLKSLNKMEYGYISERVKRANEEFTIAHESLDVISATEFEREQVRILREKALFLMEAERMYFSQKLNTKHLLESDRSSRYFHNLVNKRNSAKVIPSILDNNGVPTTSLEQVGELLVKYYSNMIGKAKERVQTVDSYFSQGPGGLSSSEVFEIIRPRAHKSFVFKTIWRGFIPPKFAFTSWLCLRNRLPTKDNLKFLDIEDKCTLCGKEPENVNHLFFSCDFSKQVWEEVRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb04g27250.t1 pep chromosome:ASM357664v1:4:31294505:31300851:1 gene:itb04g27250 transcript:itb04g27250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVPPPAAAAPPADSKPAAPEKVDYMNLPCPIPYEEIHREAFMSLKPELFEGMRFDFTKALNQRFSLSHSVFMGPTEIPSQSTETIKIPTSNYEFGANFIDPKLMLLGRLMTDGRLNARVKCDLSENLSLKANAQLSNEPHMSQGMVNFDYKGKDYRSQFQLGNGGLLGANYIQSVTQHLSLGGEVFWAGQHRKSGIGYAARYNTDKMVATGQVASTGLVALNYVQKVSEKVSLATDFMYNYISGDVTASVGYDYILRQCRLRGKIDSTGCVAAFLEERLNMGVNFILSAEVDHKKKDYKFGFGLTVGE >itb04g27250.t2 pep chromosome:ASM357664v1:4:31294526:31300644:1 gene:itb04g27250 transcript:itb04g27250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVPPPAAAAPPADSKPAAPEKVDYMNLPCPIPYEEIHREAFMSLKPELFEGMRFDFTKALNQRFSLSHSVFMGPTEIPSQSTETIKIPTSNYEFGANFIDPKLMLLGRLMTDGRLNARVKCDLSENLSLKANAQLSNEPHMSQGMVNFDYKGKDYRSQFQLGNGGLLGANYIQSVTQHLSLGGEVFWAGQHRKSGIGYAARYNTDKMVATGQVASTGLVALNYVQKVSEKVSLATDFMYNYISGDVTASVGYDYILRQCRLRGKIDSTGCVAAFLEERLNMGVNFILSAEVDHKKKDYKFGFGLTVGE >itb11g06210.t1 pep chromosome:ASM357664v1:11:3694227:3697720:1 gene:itb11g06210 transcript:itb11g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLSPSFSGSISSRSPLNPSPINPRPKSGDHLSLSSSALHFRPLSASQATSNLLPVTQTSHHSRSLVSAAFPSFPSSNDPLFDPYCNVGIIVGEGEPGERVLGRLRREVSRAGIIRECRRRRFFETTQEKKKRKSRDAARRNRMRRPPPRVQQQGIAESRKDEEGYKSDEDNWDISDVEAPYT >itb03g04240.t1 pep chromosome:ASM357664v1:3:2653211:2656150:-1 gene:itb03g04240 transcript:itb03g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLAHFLHGPLLFHTNNITFPTCLPLKSHHSALTTLKSLPVATEMAAKSYEEEFPVKAFGWAATDTSGLLSPFKFSRRETGEKDVQFKVLYCGICHSDLHQIKNDWGITTYPIVPGHEINGVVTEVGSKVEKFKVGDKVGVGYIVGSCCKCQNCENNLENYCPKNVTTANGKINGTVTYGGYSDIMVADERFVARWPENLPMEAAPLLCAGITTYSPLKYYGLDKPGMSIGVVGLGGLGHLAVKFGKAFGMKVTVISTSASKKQEAIEHLGADSFLISRDPHQMEAAMGTLDGILDTVSASHPVLPLLSLLKTNGKHVMVGVPDKPLELPVAPLITGRKMLGGSSVGGLKETQEMLDFSAKHNITPDVEVVPMDYVNTAIDRLKKNDVKYRFVIDIGQTLNAA >itb12g27830.t1 pep chromosome:ASM357664v1:12:28020702:28027682:-1 gene:itb12g27830 transcript:itb12g27830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPNAQPLSIIYAHKSLSSFLPRKHPSFITLSICPSLQIYSTLWLSLTALRYREMAGERSSNYISEAASSTPAAIRRVLLISAGASHSVALLAGNVVFAWGRGEDGQLGHGDADDRFLPTQVRALDGQEVVSVICGADHTTAYSESLMQVYSWGWGDFGRLGHGNSSDLFIPQPIKALHGVQIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTIEDSLVPQKIETFQGVPVKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLVPEKVSAIQGEKMVMVACGWRHTISVSSSGSLYTYGWSKYGQLGHGDFEDHLVPCKLETLHGDFISQISGGWRHTMALTTDGKLYGWGWNKFGQVGVGDNVDHCSPIQVKFPHDQKVVAVSCGWRHTLAVTERQNVYSWGRGTNGQLGHGESADRNAPKIIESLSMDGSRNLQIEAPKSNPSTAEKNWVSPTQRYAVVPDENVTDNGNDVNVPENDVKRMRV >itb06g23450.t1 pep chromosome:ASM357664v1:6:25423112:25423819:1 gene:itb06g23450 transcript:itb06g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSEDHYTGIVRGSESVRASLREVSVQGDGEEAGKEVCLPTEEFVFEAGGGAGVCDMFVVVCGGGGGEGAGEVPPRVPCRLCGEMAVAWGRAWELSALPESGGGAGGGRRRVLERREGRTAVLRGRLGLSPPLRIA >itb01g02250.t1 pep chromosome:ASM357664v1:1:1454234:1458355:1 gene:itb01g02250 transcript:itb01g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMEDIQPLVCDNGTGMVKAGFAGDDAPRAVFSSIIGRPKHQGVMVGMGQKDAYVGDEAQSKRGMLYLKYPIDHGIVTNWDDMEKIWYHTFYNELRVSPDEHPVLLTEAPMNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGVVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDSLVNILKERGYNFVSAAEKEIVRDVKEKLGYVALDFEQEIETSRSSSELEKTYELPDGQVITVGSERFRCAEVLFQPALVGLEAAGIHETTYNSIMKSDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKAEYDETGPAIVHRKCF >itb10g24980.t1 pep chromosome:ASM357664v1:10:28503076:28504590:1 gene:itb10g24980 transcript:itb10g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEYRCFVGGLAWATNENSLHEAFSSFGEVLESKIINDRETGRSRGFGFVTFKDEQAMKDAIEGMNGQSLDGRNITVNEAQSRGSGGGGGGGGFRGGRREGGGGGGYGGRRDGGGYGGGGGGYGGGRRDGGYGGGGYGGGDRYSRGGDDGSWRS >itb04g00790.t1 pep chromosome:ASM357664v1:4:432192:435084:1 gene:itb04g00790 transcript:itb04g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGEELPMVVYQRSNFKGGCLEIRLFYVRVAPCAVNAVPDYLTLRHLRREMGVSLAINGSRIPASDASSITLRRDRVDRESSEVTYVSTDSVLVSGPVEFEVLEKEEGLILCGSLERVDLPWSNGNVPHSDSRTGWSMDCYTAASGASAFFQQNLRFCSPSIEVYVAGCFSGVPVILTKTIQVSPKKKKSRQALLDAIPEEEEVCKVQKKVNESVRQRKTQTQITEEADIEPYKFDEKTGHGLYSEETIADEDGQLSWFNAGVRVGVGIGLGMCLGVGVGVGLFMHSYQTMTRNLRRRFF >itb04g00790.t2 pep chromosome:ASM357664v1:4:432243:434928:1 gene:itb04g00790 transcript:itb04g00790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGEELPMVVYQRSNFKGGCLEIRLFYVRVAPCAVNAVPDYLTLRHLRREMGVSLAINGSRIPASDASSITLRRDRVDRESSEVTYVSTDSVLVSGPVEFEVLEKEEGLILCGSLERVDLPWSNGNVPHSDSRTGWSMDCYTAASGASAFFQQNLRFCSPSIEVYVAGCFSGVPVILTKTIQVSPKKKKSRQALLDAIPEEEEVCKVQKKVNESVRQRKTQTQITEEADIEPYKFDEKTGHGLYSEETIADEDGQLSWFNAGVRVGVGIGLGMCLGVGVGVGLFMHSYQTMTRNLRRRFF >itb14g20090.t1 pep chromosome:ASM357664v1:14:22547723:22555162:-1 gene:itb14g20090 transcript:itb14g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGEESKLELSLGLPGGGGGAKEAAADQHGGATAAATAGKGKRGFEETRHHVDLKLKLSSKDSQIHASDKANNKDNDNDAVIKPPAKAQVVGWPPVRSYRKNILAANNHQKSGGEDGGDKAAVLVKVSMDGAPYLRKVDLGMYKSYQELSDALAKMFGSFTIGNCEAQGMKDFMNESKLMNVLNSSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTEAIGLAPRAMEKCKNRS >itb02g03670.t1 pep chromosome:ASM357664v1:2:2154825:2160081:1 gene:itb02g03670 transcript:itb02g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSIVVSLLVFLFVLSLSSCLGDDLFPSNLRFESAQAERMIRELNLFPKESVNIVPRGSLSTAERGPKLVEKLFKFPNLADCNASVEDLGHHAGYFKIQNSYAARMFYFFFESRRGKKDDPVVIWLTGGPGCSSELALFYENGPFQIANNLSLVWNKYGWDKVSNLLYVDQPIGTGFSYSSDKRDIRHNEEGVSNDLYDFLQAFFTKHPEYAKNDFFITGESYAGHYIPAFAARIHKGNKANEGIHVNLKGFAIGNGLTDPAIQYRAYPDYAFDMGIISESDRQRINKVVPLCEAAIKLCGTNGTISCVAAYVVCNALFNSIMARAGNINYYDIRKKCEGRLCYDFSGMEHMLNENSVKKALGVGDIDFVSCSTTVYKAMLVDWMRNLEVGIPALLEDGIRLLVYAGEYDLICNWLGNSRWVHAMKWSGQKEFVASSEEPFEVDGSEAGSLKSHGPLSFLKVQDAGHMVPMDQPKAALNMLEKWTAGSLSGRMAEPQNLISSM >itb03g14710.t1 pep chromosome:ASM357664v1:3:14323197:14324255:-1 gene:itb03g14710 transcript:itb03g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAIKISPRSIFPVIQPASNQSNQSLFFPKKTLSCRVSPSLMISSPPPPPVAAAAVERRESDGGSGGAFTEERLEEWMKGSVFEIVKKIKKAPLLVHVYGGGGGDGEEITAEHAVEDEWEGIKMEWEKGVRRLPDGVIFVEELCGPDGEVEDDDEGETKIWGIVVQGRGAGAGGDGSGGPICYLLKTTVVSGGAGLGLYCSYFTLIRVTSFRESALSQFNNHWLLR >itb14g19610.t1 pep chromosome:ASM357664v1:14:22203952:22204491:1 gene:itb14g19610 transcript:itb14g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNWTGIVCNGGGATTVSQINLPNAGLSGTLHLLNFTSFPSLTRFNITDNYFNGSIPPAIGDLSNLVFLDLSYNWFFDGSIPPQIGKLRELQYLSLFYNNFSGVVPHQIGNLQKVCFLDLGFNSYLEAPDWSTVQSFPVLRHLIFAWNGFGPRFPDFILGCRKPNLSSFGWKRFEWINP >itb09g01620.t2 pep chromosome:ASM357664v1:9:995210:996763:1 gene:itb09g01620 transcript:itb09g01620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYMSKKSGETSGDLQSRADLISSYEAACKVDADLQTFDSTLQARTSHVISTLAVGVEVRALSFDSLKEVTGCLLDMNKEVVKVILECKKDIWRNQELFELVEEYFENSLKSLDFCAALEKCLKRAHESQVLIRLALKEFEEESVVDGVKKYERTLEELKGFRAAGNPFTEEFFDIFQSVYVQQALMLEKLQTRKKKLDKKLMYIHAWRKVSSMMFAAALAAVLICSVVTAAISSPTVAGALAAATAIPIGSMGKWIDSLLKNYEDAIKGQKEIISSMQVGTYVTIKDLDTIKVLADRLELEIESLLNTTGFAINEAAVKVAIEEIRKKLDFFMKNVEDLGVQADNCSRDIRRARTVILQRIIKHPNH >itb09g01620.t1 pep chromosome:ASM357664v1:9:995210:996763:1 gene:itb09g01620 transcript:itb09g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYMSKKSGETSGDLQSRADLISSYEAACKVDADLQTFDSTLQARTSHVISTLAVGVEVRALSFDSLKEVTGCLLDMNKEVVKVILECKKDIWRNQELFELVEEYFENSLKSLDFCAALEKCLKRAHESQVLIRLALKEFEEESVVDGVKKYERTLEELKGFRAAGNPFTEEFFDIFQSVYVQQALMLEKLQTRKKKLDKKLMYIHAWRKVSSMMFAAALAAVLICSVVTAAISSPTVAGALAAATAIPIGSMGKWIDSLLKNYEDAIKGQKEIISSMQVGTYVTIKDLDTIKVLADRLELEIESLLNTTGFAINEAAVKVAIEEIRKKLDFFMKNVEDLGVQADNCSRDIRRARTVILQRIIKHPNH >itb05g19440.t1 pep chromosome:ASM357664v1:5:25933179:25934057:1 gene:itb05g19440 transcript:itb05g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWGYHDLPGDFHRPDFSFLPCPQSSIRLKASCADLILCSTSSSPMSLPIFFYVCNLLTKQWAALPSAPLFQLEPMRYVAISTGFLWVSPPCSLCSTQFVLGHNNNNNNFMVVRICVIPIALIHPQFEFKAQLFSSEEGEWKSVVISSPRAVRFRVRSTKTLVSYKGMLHWLIFGFVLVYDPYNCPKRFCTVIDTPADIDIPAEENCPANMIAGIEEELEQSSRTIGLFQDRLRITHVWRSLYYIWDLEDYDMGKWSFVHKISCTASPRLGFLPNHHHNLDPNFRDAGFPT >itb01g32900.t1 pep chromosome:ASM357664v1:1:36245242:36246926:-1 gene:itb01g32900 transcript:itb01g32900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPEKPDLSEKPLAAKASSMFGGTREKCVGCKNTVYPTEKVSVNGSAYHKSCFKCTHGGCVISPSNYIAHEGRLYCKHHHLQLIKEKGNLSQLEGDHEKNSAPVVTEVAAES >itb11g03090.t1 pep chromosome:ASM357664v1:11:1585791:1587897:-1 gene:itb11g03090 transcript:itb11g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTTSPCTSATPKTPKSLSSSATISSPLPKPSQIHIATAKRTHHFKVSCNAPNGDSQPKLDRRDVLLGLGGLAGAASLVNNPLAFAEPIHAPEISKCVVPPKDLPPDAIVDNCCPPLATNVIPYKVPKTSPSAMKIRPAIHRMDKEYIAKFEKAIRLMKELPADDPRNFYQQALVHCAYCNGGYVQTDYPDKEIQVHNSWLFFPFHRWYLYFYERILGKLIGDPTFGLPFWNWDTPAGMLIPQYFRNQNSPLYDENRNQSHLPLVMDLGYAGTDTEVTDQERISNNLALMYKSMVTNAGTAELFLGKPYKAGDDPVNKGGGSIENIPHTPVHRWVGDVKPRTQNGEDMGNFYSAGRDILFYCHHSNVDRMWTIWQQLGGKGRRRDFTDSDWLDATFIFYDENKQAVRVRVGDALDNQKLGYKYEFANLPWLNSKPLPTKKKTGLAARSKAPFVTDVFPLTLDKVVQVKVPRPKKSRSKEEKEAEEEILQIEGIEVAIDQYAKFDVYLNDEDEPEAGKEKAEYAGSFAHLPHKHTGSKKIRTSLSLGLNEPLEDLGAEDDDAVLVTLAPKVGGGVVTVENIKIVYGS >itb05g15200.t1 pep chromosome:ASM357664v1:5:22587962:22591344:-1 gene:itb05g15200 transcript:itb05g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKSQMLQLKCAACGFISDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGQAADEEQKKLKKETKKKGPAKDASTKPSSKKKSSSSDEDRSSPPRTFINKKDEEDDDDDDDIQWQTDTSMEAAQQRIKEQLNAVTADMVMLSTDDTEKKSKTANKTQVSSPKALSPARETNSKVENGNSSHVRLVEEMKDNLQKGITASQFRTVLGSLSGTQQEVMTALYEALLDGVDKGFAKVVIKKKSYVAAAISLHEGSQLHLLRAIEEFCKKSNPAAVKEVALVLKALYDADLLDEESIMKWYSEGLNGVNKGAPIWKNTKPFVEWLQSAESETEEE >itb02g07330.t1 pep chromosome:ASM357664v1:2:4539070:4542486:-1 gene:itb02g07330 transcript:itb02g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEKLVPEGKVWSLCKLPFWHSTNSAPSSSSSSSSSSFRKPHDNVLAGDHHPSILHSTATSKATSMSIKSFLPTRRRLSLDPSNKLYFPYEPGKQVRSAIRIKNVTKAHVAFKFQTTAPKSCYMRPPGGILAPNESIIATVFKFVEPPENNEKPVGMKSRVKFKIMSLKVKGDMDYVAELFDEQKDEVAVEQILRVIFLDAEHPCPALEKLNRQLAEAEAELEARKKPPEDNGPKIVGEGLVIDEWKERRERYLARQQVDGMNSV >itb12g00180.t1 pep chromosome:ASM357664v1:12:192038:193800:-1 gene:itb12g00180 transcript:itb12g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIIQSVFSHSALLTSHCCRRKQDFSGAPFRHSNTNMSLALLQQSFLTNPLASSFKPTRNFSCGFVNTIKISCSCNPDGENQPLRKNENKMAKLALVALAAGVLTLGSVDPASAAKTGGRVGGQAFRSSAPRASGPRINNSRTNVYINPRVAPPLVGGYGYGVGVPYYGGWGWTPFSFFAPGPGVAVGVGGGFDTLVLFMLFGAAAAVVRRFLGSRDEEDDDYS >itb12g01900.t1 pep chromosome:ASM357664v1:12:1287883:1290172:-1 gene:itb12g01900 transcript:itb12g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MEEEEEQVNLRSFLRWAAEQGITDCPSSSTQSGSCMGHSLLVSHFPEAGGRGLMAARDLRKGDLILRVPAAALMTTESLAEEDESLSRALRNHTSLSSSQKLGVALLNEVNKGKSSWWYTYLKQLPSSYDTLADFGHFEIQALQVDDAIWAAEKAVQKAKMELKEASVLMDEIKLRPQLMTLKAWLWASATIFSRTMHIDWDSTGCLCPVGDFFNYAAPGGENSEDQLSSGNGSRLIDAGFEEDLTAYCFYARRNYRKGEQVLLSYGTYTNLELLEHYGFLLPKNPNDKVFIPMEPDMYQLSSWSNDLIYIHENGKPSFALLSTLRLWATPQNKRRSIRQFVYSGKQLSADNEVNVMEWVSRKCRALLDNLPTSLEQDKQLLSILDEIPDCHRSMEIKEVPPELCAFCKSKNMCGQETSVVVARKKSFARWKLAIEWRLNFKRILCDCIAYCTKTADEILADNNT >itb15g06700.t1 pep chromosome:ASM357664v1:15:4499348:4501352:1 gene:itb15g06700 transcript:itb15g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFSVGLYMINLWPNSLVLAAVYGAVESASTALLGPVVGKWVDTFTYVKVLKLWLLSQNLSFIIAGGAVVALLVWEDLMSINFSAFMLLVILINVSGAVAMLSTLAGTILIEREWVVVISEGHPPALLTNMNSIIRRIDLICKLFAPVVSGFIISFVSLTASAMALALWNIVSVCLEYWLLTSVFFGIPALRESSERRVLRSLPNNTEERPSVHHEQESSVNLDRNASAETSNSSWWIKISDHVSRIPYISAWKVYLQQDLVIPGLALALLYFTILSFGTLMTATLEWEGIPAYIIGIARGISAAIGIAATFLYPVLQSQISTLRTGLWSIWSQWSCLLVCVASIWMHDKLVSAYMLMAGVAASRLGLWMFDLSVIQQMQDHVPENDRCVVGGVQNSLQSIFDLMTYLMGIVISDPQDFWELILLSFVLVTLAAILYSIHIYRVRKHLFHFEKLPIIGRHFYYSNRVN >itb09g28580.t1 pep chromosome:ASM357664v1:9:29152613:29155396:1 gene:itb09g28580 transcript:itb09g28580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVKFVLDQLSKLIREEYSLLGGIGDDAQDVMNAFDRLTAVLRVADEREEIDPQVKAWVKIVRELAYDAEDVLDEFLFRVGGGQRSGGFYAKINNIYTSVKNLRARRRLAHKLRRIKARVNHISQEQPSLLTTSTHPVHSYNKWWYDCRGDALLLEESDLVGIENPKHFLVSLLLDVDDDLRIHSVVGMGGLGKTTLVKKVYDDVKVINHFQYRVWVTISETFKIEVLLNDVITQLVEQTKQKPTQDFEAMDSNKLKEFIKNILSGQRYIIVLDDIWNINAWMAIKYAFPRQSFGSRIVITTRNSKIGSYTSNETHSGVVYPLEPLSQKDSWTLFCRKAFLGGFCPQHLVDIAKNILKRCDGLPLAIVVIAGVLATKSESIEEWKRFQSCLNIQMESNDGMMNMKNLLSLSYYDLPYYLKYCFLYLSIFPEDVIIEKMRVIRLWIAEGFVMENNQQQENEDVAEDYLNELHHRNLIQIVKNSYDGRIKGFRVHDILREVILSKSIEQNFAIIAIGQNIESSNNFRRLAIHRLNDHILKCTSSKMHLRSLHFFEPLSSSAASSSLSKMFTAKYIPLMVLDLRGAELEEIPDEVFNLFQLKYLSLRRTKLRSVSKSIGRLLNLETLDLKHTYVIELPVELLKLCKLRHLLVYRYTDEWSNPWASMQSFNAPFKIGELLSLQKLCFIQANDTHGIKIVSEIGKLTQLRRLGVTKLRHEDGKEFCLSLEKLTTLCALSLKSASEDDILDIQYPLRRVPHSLQRLYLKGRLERVPQWLSSLVGLTTLRLQWNRLVEDPLPFLQDLPMLAYLDLSKSYEGEGLCFKAKKFSKLKHLLIMDFEALKWIRIEEGALHQLEHIYLDKCKLLEQVPLGIQHLSNLKRIGIRDMDDQLMATLKPNGENYAKISHIPKILISQIIDGERKFFLINR >itb10g13350.t1 pep chromosome:ASM357664v1:10:19536333:19539599:1 gene:itb10g13350 transcript:itb10g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPGRCGRHHHHYIKRDFKFQKLLNGQPSRGGGPTPLSTGANFNPFQNPYLQHHNPNVQFQAQLSRIDKAVLKAHREILATGEVVSAWKASQAALLILQADTWDSLGFQMQQVPSLHRLMLTEGKINAFIHCFVGARRITTLHDLEIAICNTEGVDRFEDLELGPLVKHPLIIHYFSLCADATEVCRITSEEIVPLLSEFMDINKQRYVEIEELLDFIAKKKSVTAKEKLGVRIQSLG >itb06g04430.t1 pep chromosome:ASM357664v1:6:7057955:7059994:-1 gene:itb06g04430 transcript:itb06g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHRHHPPRPPPPLSSAINHHTTTQLLKHTTSIFISHLLLFLFLASLIFIFRSNVDNGAHFLTSFIDRDPSLKSLLSRIDLSSSSSAAAHHHHHLRHHRRRRPFLHLTRVGTLDDDFFSGDPDLDRSLFHPSSKPTPNASFLILSNFDPRLGFSDPVVDHGIAISQAVRPGFVSFKPSSEALDIDNNATIVNDGRNDNTVVDLQFLVKGLELGRRDVTALLFLVGLFSAAYAYAVFAFLVTYTWVHGIVFVQVLDNLLGNYKSYFRTAWDGANLGLRRLSGFILMRWAVRDALAQMWHHGLQGMRRKVCALYCHGSWLSCWWDLCLLWTLGL >itb14g12140.t1 pep chromosome:ASM357664v1:14:13746914:13755209:1 gene:itb14g12140 transcript:itb14g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKAIEDQFLKLHPCLPVDTRIGIVGAGPSGLSAAYALCMLGYNNVTVLEKHHSVAGMCESVDIEGKIYDLGGQVLAANSAPTIFHLAREVGSEMVEMDGHKLALIDSCTGKYQDNKVADDYVSVISLTLELQEKAKESGRIGVHAMSDLAADSTTTFLEGRGFGGLPKSVAYGYTASGYGFPQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQNISNSLPAKVLCKTEVLSISRNQLGIRVNVRNSNEDYEAVEFDKIIISGSFPFRNGRTYRSPSINSPVDENEAMDLSELEKELFGKVQTIDYYTTVLKIKGLEHLPVGFYYFREFMEDPGTIGNPVAMQRFYSDTDIFLFWSYGNSADIQGPAVRQLAIDAVKRMGGEVQKMVLQRRFKYFPHVESQDMKDGFYEKIESKLQGQQNTYYVGGLMAFELTERNSSYSMALVCKHFANNDSLPRFPYVKKLFPLLSDSLDKNPKDLKESQKAVFPDLSSLDGYLKHWGTHKSIQKKMLYTWINEGGDTASQRTYEELNKNASCIAQGLLTSRKPVIKPGDRVLLVHLPGLDFVDAFFGCLRAGVLPVPALPPDPMQRGGQALLKIENIAKSCNAVAILSTVSYHAAVRAGSVKNLLSLSRTKGRCSARWPNLPWIHTDTWIRKSKDMPPQEDMNHNFEPQADDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRQTYRSTSNTVLVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIRNPLLWLEVMSKYRATHSAGPNFAFELMVRKLETSKDKAWKYDLSSLIFLMIAAEPVRQKTLKRFLELTRPFGLSQRVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVNSNDADVDIKIVDPESGEVHTEPGKEGEIWISSPSAGIGYWGREELSQRTFSNKLQNYPGKVYTRTGDLGRTIDGNLFITGRIKDLIIVAGRNIYPADIEKTVESSSEQLRPGCCAVIGVPEEVLSTKGVPVPDHSDQVGLVVIAEVRDGKPVSKDIIEEIQARVAQEHGVTLASVKLIKPRTISKTTSGKIKRFECLQQFTDGTLTLVPDPILSKRKLLRSFTTGTCKEGNTPRSQLKMNIPSPTPRMTNKDIEEFLKQLVSEQTGIAVNKISATENLVSYGIDSIGVVRAAQKLSDFLGVPVGAIDIFTATCIEELASFSEDLVKKSQPHQMTTTSIVPESETITDVFMTEVSTTGQFGFLLTQTLALIYVAFMLALPAYFSISVSKNIISTSHELTGTIPWAGYLISLALAPFAWILCIFTTCLSIAFFGSSFLQPNYALTPEISIHSMDFIKWWALYKAQEVSSKVMAVHLRGTVFLNYWFQMFGTRIGSSVLLDTIDITDPALVSIGEGVVLAEGALVQSHEVKHGILSFQAIRIGKNCAVGPYSVIQKGSVLVEGTEVPALQKNKGKPNSKSSKSNRTQVTEQKKLTDGSQMLYHFIGIYVVGLLSTLSGACLYAIYIWITQSAPSVEYFSLLCISGALHWFPFTIAAYAIIISSTSLSLIQFALSISLSYIAHGLILSFFTFSLTRILSRNEEKRQDSLRAWLCHRINIACHLRFAKLLSGTEAFCAYLRLLGAKVGKHCSIRAINPISDPKLVSIGAGVHLGDFSRIVTGFYSSSGFTSGKVEVQDNSIVGSQGLVLPGSIIQNDVILGALSVAPVSSVLQSGGVYVGSQTPVMIKNTLHALDERIEEMDTKYKRIVGNLAANLAATTLKVRTRYFHRVGVSGKGVLKIYDNIKGFPEHKIFQGGKTYPVIVRHSNSLSADDDARLDARGAALRILSDELDNKTPLLDLTLKTGKAFYARTISDFATWLVCGLAAREAQVERMPHVRDAVWTSLRNANSYTELHYYSNICRLFRFADGKEMYVKFKLRPADEGITEDSGKVQPIGILPPETGAIPRSEHDTRPLQFLADDFQKRVISPGGVRYIFQLQFRSVPDDEATQDIALDCTRPWDETEFPYIDVGEITLCENLTREQSEELEFNPFLLCHEIDVIRATSASQSASIDHGRSLVYEICQHLRNEEPMPEAWRNFIEQSDVKVDLSGCPIAPQLVKKDTYEVTLARTWYQTSWSTFAQPLLQTFFPYFLLGYTTYAPLKAILILNKTTKYPLYGLLPLFWVSSGLLSALACAVAKWALTTKKKDGGTLPIWSTSLFMETLWQAFRTLVSDYFMDMASGSMLFVLWMKLMGSEVEAGQGVYVDSMGALLNPEMVEIERGGCVGRDALLFGHIYEGGGMVKFGKVSIGEDGFMGSRAVAMPGVVIEEGCSLGALSLAMKEEIVKSR >itb14g12140.t2 pep chromosome:ASM357664v1:14:13747711:13755209:1 gene:itb14g12140 transcript:itb14g12140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAADSTTTFLEGRGFGGLPKSVAYGYTASGYGFPQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQNISNSLPAKVLCKTEVLSISRNQLGIRVNVRNSNEDYEAVEFDKIIISGSFPFRNGRTYRSPSINSPVDENEAMDLSELEKELFGKVQTIDYYTTVLKIKGLEHLPVGFYYFREFMEDPGTIGNPVAMQRFYSDTDIFLFWSYGNSADIQGPAVRQLAIDAVKRMGGEVQKMVLQRRFKYFPHVESQDMKDGFYEKIESKLQGQQNTYYVGGLMAFELTERNSSYSMALVCKHFANNDSLPRFPYVKKLFPLLSDSLDKNPKDLKESQKAVFPDLSSLDGYLKHWGTHKSIQKKMLYTWINEGGDTASQRTYEELNKNASCIAQGLLTSRKPVIKPGDRVLLVHLPGLDFVDAFFGCLRAGVLPVPALPPDPMQRGGQALLKIENIAKSCNAVAILSTVSYHAAVRAGSVKNLLSLSRTKGRCSARWPNLPWIHTDTWIRKSKDMPPQEDMNHNFEPQADDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRQTYRSTSNTVLVSWLPQYHDMGLIGGLFTALVSGGTAILFSPMTFIRNPLLWLEVMSKYRATHSAGPNFAFELMVRKLETSKDKAWKYDLSSLIFLMIAAEPVRQKTLKRFLELTRPFGLSQRVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVNSNDADVDIKIVDPESGEVHTEPGKEGEIWISSPSAGIGYWGREELSQRTFSNKLQNYPGKVYTRTGDLGRTIDGNLFITGRIKDLIIVAGRNIYPADIEKTVESSSEQLRPGCCAVIGVPEEVLSTKGVPVPDHSDQVGLVVIAEVRDGKPVSKDIIEEIQARVAQEHGVTLASVKLIKPRTISKTTSGKIKRFECLQQFTDGTLTLVPDPILSKRKLLRSFTTGTCKEGNTPRSQLKMNIPSPTPRMTNKDIEEFLKQLVSEQTGIAVNKISATENLVSYGIDSIGVVRAAQKLSDFLGVPVGAIDIFTATCIEELASFSEDLVKKSQPHQMTTTSIVPESETITDVFMTEVSTTGQFGFLLTQTLALIYVAFMLALPAYFSISVSKNIISTSHELTGTIPWAGYLISLALAPFAWILCIFTTCLSIAFFGSSFLQPNYALTPEISIHSMDFIKWWALYKAQEVSSKVMAVHLRGTVFLNYWFQMFGTRIGSSVLLDTIDITDPALVSIGEGVVLAEGALVQSHEVKHGILSFQAIRIGKNCAVGPYSVIQKGSVLVEGTEVPALQKNKGKPNSKSSKSNRTQVTEQKKLTDGSQMLYHFIGIYVVGLLSTLSGACLYAIYIWITQSAPSVEYFSLLCISGALHWFPFTIAAYAIIISSTSLSLIQFALSISLSYIAHGLILSFFTFSLTRILSRNEEKRQDSLRAWLCHRINIACHLRFAKLLSGTEAFCAYLRLLGAKVGKHCSIRAINPISDPKLVSIGAGVHLGDFSRIVTGFYSSSGFTSGKVEVQDNSIVGSQGLVLPGSIIQNDVILGALSVAPVSSVLQSGGVYVGSQTPVMIKNTLHALDERIEEMDTKYKRIVGNLAANLAATTLKVRTRYFHRVGVSGKGVLKIYDNIKGFPEHKIFQGGKTYPVIVRHSNSLSADDDARLDARGAALRILSDELDNKTPLLDLTLKTGKAFYARTISDFATWLVCGLAAREAQVERMPHVRDAVWTSLRNANSYTELHYYSNICRLFRFADGKEMYVKFKLRPADEGITEDSGKVQPIGILPPETGAIPRSEHDTRPLQFLADDFQKRVISPGGVRYIFQLQFRSVPDDEATQDIALDCTRPWDETEFPYIDVGEITLCENLTREQSEELEFNPFLLCHEIDVIRATSASQSASIDHGRSLVYEICQHLRNEEPMPEAWRNFIEQSDVKVDLSGCPIAPQLVKKDTYEVTLARTWYQTSWSTFAQPLLQTFFPYFLLGYTTYAPLKAILILNKTTKYPLYGLLPLFWVSSGLLSALACAVAKWALTTKKKDGGTLPIWSTSLFMETLWQAFRTLVSDYFMDMASGSMLFVLWMKLMGSEVEAGQGVYVDSMGALLNPEMVEIERGGCVGRDALLFGHIYEGGGMVKFGKVSIGEDGFMGSRAVAMPGVVIEEGCSLGALSLAMKEEIVKSR >itb08g11730.t1 pep chromosome:ASM357664v1:8:11705718:11716191:1 gene:itb08g11730 transcript:itb08g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALLALGPSLSLFVAVISKKPFLVLTFLSSTLVWLISLIGLSAIWRAFLPLKTTAWWPYAILILSSVSFQEGLRVLFWKVYKRLEDILDGFADRVSKPRLFITDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGPATYYVQKCSQLPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQCFVPVVHLVAGMLTMTNLAAGGCVIGIPLLYCAAIVTLVHCGKMVFRRLTENQSRLGNL >itb08g11730.t2 pep chromosome:ASM357664v1:8:11705718:11716191:1 gene:itb08g11730 transcript:itb08g11730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALLALGPSLSLFVAVISKKPFLVLTFLSSTLVWLISLIGLSAIWRAFLPLKTTAWWPYAILILSSVSFQEGLRVLFWKVYKRLEDILDGFADRVSKPRLFITDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGPATYYVQKCSQLPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQCFVPVVHLVAGMLTMTNLAAGGCVIGIPLLYCAAIVTLVHCGKMVFRRLTENQSRLGNL >itb01g03720.t2 pep chromosome:ASM357664v1:1:2417447:2420473:-1 gene:itb01g03720 transcript:itb01g03720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLKEVGDALGVLTICLVALLVLLGLFCILYLVYFHARIRGQGYIQLGYFSGPWIIRITFILFAIWWGIGEVLRLSLLRRDGRLLNALNWKWQETVCKCYIVSNLGFAEPCLFLIVVFLLRASLQKSVTLNRKWNGKTAAYVLLFCLPVFVLQLIVISIGPKFNKESDAHKLPHYFTRAASPKTKDDGDIALCTYPLLSTICLGLFATILTCYLFWLGRRILHLVINKGLQKRVYTLILSVSGFLPLRVVLLGLSVLCTPGGLVYEILAFLGFLSLLCCAGVGICTLVYFPVADSLALRKLQRDIEARQRVSDDQHNDTISLIANQSLPGGSIATSPGRNSAASTKRGSISFRTMDKDDTSGAFVELSLFSPSQHSSPPDSPQLLGWPMLPPAKKVPVS >itb01g03720.t1 pep chromosome:ASM357664v1:1:2417447:2420473:-1 gene:itb01g03720 transcript:itb01g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLKEVGDALGVLTICLVALLVLLGLFCILYLVYFHARIRGQGYIQLGYFSGPWIIRITFILFAIWWGIGEVLRLSLLRRDGRLLNALNWKWQETVCKCYIVSNLGFAEPCLFLIVVFLLRASLQKSVTLNRKWNGKTAAYVLLFCLPVFVLQLIVISIGPKFNKESDAHKLPHYFTRAASPKTKDDGDIALCTYPLLSTICLGLFATILTCYLFWLGRRILHLVINKGLQKRVYTLILSVSGFLPLRVVLLGLSVLCTPGGLVYEILAFLGFLSLLCCAGVGICTLVYFPVADSLALRKLQRDIEARQRVSDDQHNDTISLIANQSLPGGSIATSPGRNSAASTKRGSISFRTMDKDDTSGAFVELSLFSPSQHSSPPDSPQLLGWPMLPPAKKVPVS >itb09g13320.t1 pep chromosome:ASM357664v1:9:8671136:8671618:1 gene:itb09g13320 transcript:itb09g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKKQPSCVPNTPPSSLGLNKDSQTISKLKPPKIRIIHIFAPEIIKTDVENFRELVQRLTGKPPEKKRARKVAESRGGVIPAAAAKKMELRAGFQLTAGLREKIKGEDEIWSGANSGGGFLGGLPEFEGFMEELNHIPFPILPLDVPVYNPADNIAT >itb08g02310.t1 pep chromosome:ASM357664v1:8:1846567:1850053:1 gene:itb08g02310 transcript:itb08g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSTSVAGEGYGYGFRPPFTAVQWQELEHQAMIYKYLVAGVPVPPDLVVPIRRSFEALSARFFQHQSLNYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSTSQSLSTAISHVNTGSSYGNSATKLGMEPASYGTENKEFRYPLGMTLNAGERDFSQETSAGVRSLGIGSSTDSTWCLLPTQVSSNPMFKTKNEPQFLGGVSELTIDSTMPKQRQQHCFFGSEIDSPGALKQEQHSIRPFLSEWPTTTKESWSNLDNDGSNQNAFSTTQLSISIPVPPSSFSSRSDCSQNDA >itb04g30970.t1 pep chromosome:ASM357664v1:4:33942363:33942842:-1 gene:itb04g30970 transcript:itb04g30970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYCLYGVGIPTERSYLYKLSPSSDRCNTNIPFQIDSSVAGSDHNDKGCLRGGVHFVDGDGSVPLLSAGFVCAKPWQGTTRFNPSGIPTYIREYQHKPPSSLLEGRGTQSGAHVDIMGNVAFIEDVLRVAAGSSGAQLGGNRVHSDIMKMSERINIRL >itb06g13310.t1 pep chromosome:ASM357664v1:6:18020171:18022395:-1 gene:itb06g13310 transcript:itb06g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFISGVVFRSNSCDDFGKVLTVSVENYEPPLIHKAGLSKIPAFELLEVKQSAEGTQEVPGLIEARVYGTDEVWELLQSSSPEVELVGENLINGQRTRSHLWLVDWAGSERTRSHLWLVDWAGSERTRSHLWLIEYLVTLRRDSLDVKEEDYYTSLYNESQAQFNTYVREGTVSNNYAHIFYLLTRLRQVCG >itb01g08360.t1 pep chromosome:ASM357664v1:1:6751531:6755076:-1 gene:itb01g08360 transcript:itb01g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEHVVLAGLIATSFVAMASALSGTATYYTPPYVPSSCYGFDDEGTMIAAASDPIFNNRAACGKRYRVRCSGPTNAGVPQPCRGQEVVVKIVDLCPSPGCQATIDLSQEAFSIIADPNAGKINIEYEEVV >itb09g13450.t1 pep chromosome:ASM357664v1:9:8758993:8762958:1 gene:itb09g13450 transcript:itb09g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEERLRTGNLYHDPTIKPGLGSARCPRCLSLLNPTSGNAEWRITPVLHDFTTVTGCGIGGLLSSVHGLNTGIPFVQKRVKGPKWLPFVIGLPPLLMFSAASAAFGGFALPKFAQLTVTSYYAASSASHHGISLLTRHIEEAHTAGAHPEKLS >itb03g20360.t1 pep chromosome:ASM357664v1:3:18275301:18277315:-1 gene:itb03g20360 transcript:itb03g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKANALVLFRSHSSTSLQFIPFLPKSPIFNLNLLFTTLASPSPCIPSPEAKTPDISQLKDSSAVLRQWGCSEADISNILQLIPSIRSMKLATLQSKLRVLGDFSVNSSDLVKIVTCRPRFLNSRIDRFLDERLQYLRDLFQSEETLRKAIVRNPSLLIYDFHKQIKPVVSFYEQMGVGKHDLIAMLISRPTLIPRSSLDDVKLDFIRRTGVEKGCKLYKYVVTIFAISRVETVLEKVANFEKFGFTEDEIFGLFGRAPLLLTLSIDKVQRNMTFVLGIMKLNASVVLRYPFLIFSNLEGVIKPRFLLGGKIDDLGLEPQIKGPSLLRALRMTEKRFFKVFVDCHPKDVAKELTAFYKTTKYIRRLAESSKKNARKGFPY >itb02g03290.t2 pep chromosome:ASM357664v1:2:1891453:1897188:1 gene:itb02g03290 transcript:itb02g03290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGTSKHSCSLLAVVCGRAAENIYKQDVADDRPGYPDSEIASSGRLEVQLLKNPRADEFRKALDTVKPNIVFLQGEQLPNDEFSSLVWEGFNLSSEEAVSGLSSARSLTTVYLEIPEGEELAEALQSKGIPYVIYWKKRFSHYAACHFRHAMFSVLKSSSCHTWDAFQLAHASYMLYCALPDNSQTVSGKVVPHILGDPPKIDVPLPELVGEDEEEGSSEALPAIKIYDDDVTMRFLVCGMPRSLDTCLLESLEDGLNAILSIEMRGSKLHNRVSALPPPLEASTFSRGVVTMRCDLSTTSSAHISLLVSGSAQTCFDDQLLENHIKSEIIEKTQLVQAVPNGDERRMHLSEPRRSVSIACGAAAFEVCVKVPTWASQVLRQLAPDFSYRSLVALGVASIQGIAVATFEKEDAERILFFCSEPGKDLHSSSFNAITPPIWLRPPAPSRKRPRMCQETSRNPQRDHGERGSGVANGLVTPMAPARQKLKAAAMRPIPHIRHRKMLPFSGMSEAEAHDGHPVKSNLPVVPSTKASNIGVTPVTHRKSVSTSHQAKQIISLNPLPLKKHECGRSPIHVCSEEEFLKDVMQFLILRGHSRLIPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRPGLGAFKDYAKTDGLEYICPQCSISNFKKKIQKTTNGYS >itb02g03290.t3 pep chromosome:ASM357664v1:2:1891453:1897188:1 gene:itb02g03290 transcript:itb02g03290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGTSKHSCSLLAVVCGRAAENIYKQDVADDRPGYPDSEIASSGRLEVQLLKNPRADEFRKALDTVKPNIVFLQGEQLPNDEFSSLVWEGFNLSSEEAVSGLSSARSLTTVYLEIPEGEELAEALQSKGIPYVIYWKKRFSHYAACHFRHAMFSVLKSSSCHTWDAFQLAHASYMLYCALPDNSQTVSGKVVPHILGDPPKIDVPLPELVGEDEEEGSSEALPAIKIYDDDVTMRFLVCGMPRSLDTCLLESLEDGLNAILSIEMRGSKLHNRVSALPPPLEASTFSRGVVTMRCDLSTTSSAHISLLVSGSAQTCFDDQLLENHIKSEIIEKTQLVQAVPNGDERRMHLSEPRRSVSIACGAAAFEVCVKVPTWASQVLRQLAPDFSYRSLVALGVASIQGIAVATFEKEDAERILFFCSEPGKDLHSSSFNAITPPIWLRPPAPSRKRPRMCQETSRNPQRDHGERGSGVANGLVTPMAPARQKLKAAAMRPIPHIRHRKMLPFSGMSEAEAHDGHPVKSNLPVVPSTKASNIGVTPVTHRKSVSTSHQAKQIISLNPLPLKKHECGRSPIHVCSEEEFLKDVMQFLILRGHSRLIPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRPGLGAFKVFLTTYTLLLPRHSS >itb02g03290.t1 pep chromosome:ASM357664v1:2:1890497:1897339:1 gene:itb02g03290 transcript:itb02g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGTSKHSCSLLAVVCGRAAENIYKQDVADDRPGYPDSEIASSGRLEVQLLKNPRADEFRKALDTVKPNIVFLQGEQLPNDEFSSLVWEGFNLSSEEAVSGLSSARSLTTVYLEIPEGEELAEALQSKGIPYVIYWKKRFSHYAACHFRHAMFSVLKSSSCHTWDAFQLAHASYMLYCALPDNSQTVSGKVVPHILGDPPKIDVPLPELVGEDEEEGSSEALPAIKIYDDDVTMRFLVCGMPRSLDTCLLESLEDGLNAILSIEMRGSKLHNRVSALPPPLEASTFSRGVVTMRCDLSTTSSAHISLLVSGSAQTCFDDQLLENHIKSEIIEKTQLVQAVPNGDERRMHLSEPRRSVSIACGAAAFEVCVKVPTWASQVLRQLAPDFSYRSLVALGVASIQGIAVATFEKEDAERILFFCSEPGKDLHSSSFNAITPPIWLRPPAPSRKRPRMCQETSRNPQRDHGERGSGVANGLVTPMAPARQKLKAAAMRPIPHIRHRKMLPFSGMSEAEAHDGHPVKSNLPVVPSTKASNIGVTPVTHRKSVSTSHQAKQIISLNPLPLKKHECGRSPIHVCSEEEFLKDVMQFLILRGHSRLIPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRPGLGAFKDYAKTDGLEYICPQCSISNFKKKIQKTTNGYS >itb02g03290.t4 pep chromosome:ASM357664v1:2:1891210:1897188:1 gene:itb02g03290 transcript:itb02g03290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQGTSKHSCSLLAVVCGRAAENIYKQDVADDRPGYPDSEIASSGRLEVQLLKNPRADEFRKALDTVKPNIVFLQGEQLPNDEFSSLVWEGFNLSSEEAVSGLSSARSLTTVYLEIPEGEELAEALQSKGIPYVIYWKKRFSHYAACHFRHAMFSVLKSSSCHTWDAFQLAHASYMLYCALPDNSQTVSGKVVPHILGDPPKIDVPLPELVGEDEEEGSSEALPAIKIYDDDVTMRFLVCGMPRSLDTCLLESLEDGLNAILSIEMRGSKLHNRVSALPPPLEASTFSRGVVTMRCDLSTTSSAHISLLVSGSAQTCFDDQLLENHIKSEIIEKTQLVQAVPNGDERRMHLSEPRRSVSIACGAAAFEVCVKVPTWASQVLRQLAPDFSYRSLVALGVASIQGIAVATFEKEDAERILFFCSEPGKDLHSSSFNAITPPIWLRPPAPSRKRPRMCQETSRNPQRDHGERGSGVANGLVTPMAPARQKLKAAAMRPIPHIRHRKMLPFSGMSEAEAHDGHPVKSNLPVVPSTKASNIGVTPVTHRKSVSTSHQAKQIISLNPLPLKKHECGRSPIHVCSEEEFLKDVMQFLILRGHSRLIPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRPGLGAFKVFLTTYTLLLPRHSS >itb13g00600.t1 pep chromosome:ASM357664v1:13:538350:538875:1 gene:itb13g00600 transcript:itb13g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTQFNKVTCVALLFCFILIASYETHMVEARHGGGGGGGGGCVSHHSWNGNGGCSGHCGGWCKGMGGYKWNCQHQTCSCYHKCS >itb01g21970.t1 pep chromosome:ASM357664v1:1:27947167:27950172:1 gene:itb01g21970 transcript:itb01g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSREGLELSDESDYDEEEEEESGSDEQYGDAAGGPPPARTPPQVSRKTLDEVDAKLEALKLKYSSAERSSTSKNAVKLYLHVGGNTPKAKWVVSEKLTSYEFVKSSKIGCNEEEEEEEEEGFWVLKVGKKISTRVSTSMQLKMFGEQRRVDFVDNGVWALKFLADEEYRNFITKFQDCLFENVYGMRATDENKIKIYGKEFIGWLKPEEADDMMWEDADEGIWETPGKTPVRDNQDLLEEFEEASAGGGIQSLALGALDNSFLVDESGVQVVKNFSHGIHGKGVYVKFEDRGRRDSVTPKKALLMRGETNMMLMSPLNQGKPHSTGLHQLDIETGKIVSEWKFEKDGTEITMRDITNDTKGSQLDPSESTFLGLDDCRLCQWDMRDKKGMVQTLANTSSPVLHWTQGHQFSRGTNFQCFASTGDGSIVVGSIDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPVDAHMAGQDNKFHGGHFSWVTESGKQERHLVATVGKFSVVWNFQQVKDSTHDCYKNQQGLKSCYCYKIVLKEESIVESRFMHDKFAISNSPDAPLVVATPMKVTSFSMPGKK >itb01g04180.t1 pep chromosome:ASM357664v1:1:2804930:2805217:1 gene:itb01g04180 transcript:itb01g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFCMLITLFMGAVSQYNYRYFCPNTSTNTPTNSTYRTNVKSLLSALSSNGNGENGFYNSTAGRDRDTVYGMFMCRGDVSTGDCAKLPQIYCE >itb09g10930.t1 pep chromosome:ASM357664v1:9:6830003:6834336:-1 gene:itb09g10930 transcript:itb09g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYNPNFSPARSVSPQIRSTLDVDSNQYMSELISEHQKLGPFTQVLPICTRLLNQEILRVSGMVPNQGFGELDRLRHRSPSPMASTNLMSNVAGAGLGGWNGLPQERLSGPPGMSMDWQGAPASPSSYTVKKILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVIDIRLRQAQEIIEELLKPVDESQDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRPKTGHYKC >itb09g10930.t2 pep chromosome:ASM357664v1:9:6830003:6834048:-1 gene:itb09g10930 transcript:itb09g10930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELISEHQKLGPFTQVLPICTRLLNQEILRVSGMVPNQGFGELDRLRHRSPSPMASTNLMSNVAGAGLGGWNGLPQERLSGPPGMSMDWQGAPASPSSYTVKKILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVIDIRLRQAQEIIEELLKPVDESQDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRPKTGHYKC >itb02g17710.t1 pep chromosome:ASM357664v1:2:13901032:13902201:1 gene:itb02g17710 transcript:itb02g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVRAKLRAGFCMSRRTVAHVCARSPIQSYKKSGSLIRADEKAAEFSSKNSEEMSFNSDGAKPESDQCGGNNRVMVVVDKSLEAKGALQWALSHTVQSQDTVILVNVTKPSKQGGSPNNELDQRRYELLSSMKNMCQTRRPGVHVEILVQEGKEKGPVIVEAAKQQKVSLLVLGQRKRSMMWRLHTMWSGKRVHPKVVNYCIQNANCMTIAVRRKSKKHGGYLITTKRHKDFWLLA >itb09g07390.t4 pep chromosome:ASM357664v1:9:4276261:4279728:1 gene:itb09g07390 transcript:itb09g07390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQENHSAILVSSPDNRSANSSSSTVSGNGPAILSPPAAAAVQQPPQAAPVLPVTRSEPNNLYPTTFVQADTSSFKQVVQMLTGLSETAKQAALTKPEPARNPIPPIKTGPRKEKSASKLYERRNSLKNFKISPLGAGLANKPGFGGGFSGSPRFGTPEILSPSILDFPSLVLSPVTPLIPDPFNRSPHSTVSADNLNMEAEEKAIAKKGFYLHPSPANTPRDAEPRLLPLFPVTSPRVSGGVGMPNE >itb09g07390.t1 pep chromosome:ASM357664v1:9:4276261:4279725:1 gene:itb09g07390 transcript:itb09g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQENHSAILVSSPDNRSANSSSSTVSGNGPAILSPPAAAAVQQPPQAAPVLPVTRSEPNNLYPTTFVQADTSSFKQVVQMLTGLSETAKQAALTKPEPARNPIPPIKTGPRKEKSASKLYERRNSLKNFKISPLGAGLANKPGFGGGFSGSPRFGTPEILSPSILDFPSLVLSPVTPLIPDPFNRSPHSTVSADNLNMEAEEKAIAKKGFYLHPSPANTPRDAEPRLLPLFPVTSPRVSGGVGMPNE >itb09g07390.t2 pep chromosome:ASM357664v1:9:4276376:4277406:1 gene:itb09g07390 transcript:itb09g07390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQENHSAILVSSPDNRSANSSSSTVSGNGPAILSPPAAAAVQQPPQAAPVLPVTRSEPNNLYPTTFVQADTSSFKQVVQMLTGLSETAKQAALTKPEPARNPIPPIKTGPRKEKSASKLYERRNSLKNFKISPLGAGLANKPGFGGGFSGSPRFGTPEILSPSILDFPSLVLSPVTPLIPDPFNRSPHSTVSADNLNMEAEEKAIAKKGFYLHPSPANTPRDAEPRLLPLFPVTSPRVSGSANSIS >itb09g07390.t3 pep chromosome:ASM357664v1:9:4276261:4277488:1 gene:itb09g07390 transcript:itb09g07390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQENHSAILVSSPDNRSANSSSSTVSGNGPAILSPPAAAAVQQPPQAAPVLPVTRSEPNNLYPTTFVQADTSSFKQVVQMLTGLSETAKQAALTKPEPARNPIPPIKTGPRKEKSASKLYERRNSLKNFKISPLGAGLANKPGFGGGFSGSPRFGTPEILSPSILDFPSLVLSPVTPLIPDPFNRSPHSTVSADNLNMEAEEKAIAKKGFYLHPSPANTPRDAEPRLLPLFPVTSPRVSGSANSIS >itb09g29210.t2 pep chromosome:ASM357664v1:9:29928867:29937234:-1 gene:itb09g29210 transcript:itb09g29210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGCLECLLKLLNFLLTLVGLGMIGYGVYLFVEYKNHSSSGNIEPPGFEVIQLGRPMLMAVSFANNIFDNLPNAWFIYLFIGIGVVLFVISCFGCIGGATRNGCCLSCYSVLVMLLILVEIGVAAFIFFDKSWKKEIPTDETGNFDTIYEFLEDHWDIARWVALGAVVLEALVFLLALVVRAANRPEDYDSDDEYIGGPRQQIRQPLVNNWQTSSAQSAPVAATVDSRAPSRTDAWSARMREKYGLDTSEFTYNPSEPNRHQQTAAQPQEESSRCTIM >itb09g29210.t1 pep chromosome:ASM357664v1:9:29928867:29937234:-1 gene:itb09g29210 transcript:itb09g29210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGCLECLLKLLNFLLTLVGLGMIGYGVYLFVEYKNHSSSGNIEPPGFEVIQLGRPMLMAVSFANNIFDNLPNAWFIYLFIGIGVVLFVISCFGCIGGATRNGCCLSCYSVLVMLLILVEIGVAAFIFFDKSWKKEIPTDETGNFDTIYEFLEDHWDIARWVALGAVVLEALVFLLALVVRAANRPEDYDSDDEYIGGPRQQIRQPLVNNWQTSSAQSAPVAATVDSRAPSRTDAWSARMREKYGLDTSEFTYNPSEPNRHQQTAAQPQEESSRCTIM >itb09g29210.t3 pep chromosome:ASM357664v1:9:29928867:29937234:-1 gene:itb09g29210 transcript:itb09g29210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGCLECLLKLLNFLLTLVGLGMIGYGVYLFVEYKNHSSSGNIEPPGFEVIQLGRPMLMAVSFANNIFDNLPNAWFIYLFIGIGVVLFVISCFGCIGGATRNGCCLSCYSVLVMLLILVEIGVAAFIFFDKSWKKEIPTDETGNFDTIYEFLEDHWDIARWVALGAVVLEALVFLLALVVRAANRPEDYDSDDEYIGGPRQQIRQPLVNNWQTSSAQSAPVAATVDSRAPSRTDAWSARMREKYGLDTSEFTYNPSEPNRHQQTAAQPQEESSRCTIM >itb12g25350.t1 pep chromosome:ASM357664v1:12:26599427:26600888:-1 gene:itb12g25350 transcript:itb12g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNDGAMDCTPKEEASRTWSQWQLLDSILPTGGFAHSFGLEAAIQARFVSGPEDLRTFVIHILENTGSLLLPFVYTATKSPDMETWHKLDRTLDATLTNEVSRKASISQGSALMRVAAAVFLEIPILKTMRDASLSTGGVNFHHAPVFGMVCGLLGLDPEASQKGYMFITMRDVISAATRLNLVGPLGAAVLQHQIAVICDDLSRKWMDRPVEDACQTCPLIDTVQGCHGYLFSRLFCS >itb01g33540.t1 pep chromosome:ASM357664v1:1:36627946:36632383:-1 gene:itb01g33540 transcript:itb01g33540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGQHQTVPLSVLLKRELASEKVEKPEIFHGQASQSKKGEDFTLLKTECQRILGDGVTTYSVFGLFDGHNGSAAAIYSKEQLLNNILSAIPPDLNRDEWVSALPRALVAGFVKTDKDFQERVQTSGTTVTFVIIEGWVVTVASVGDSRCVLESAEGEIYYLSADHRLECNVEERKRITASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSSAGGRLIIASDGVWDAVTAETAFECCRGMQPDAAASQIVKEAIQPKGLRDDTTCIVIDIQPPEKPNPPRPQHKKTGKGVFKAMFRKKISESSSNAEKDYCESDGVEELFEEGSASLAERLDTRYPICNMFKLFVCAVCQVEIKPGEDNPKSHVSFQVFVCGFVGIEAWGHKHGIETNASQSYSKNPWWEIPSLFCFLPLLSYSTDTCFSQDKTNLVESDQV >itb13g02060.t1 pep chromosome:ASM357664v1:13:1884950:1887661:-1 gene:itb13g02060 transcript:itb13g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFRKAIGVVKDQTSISLAKVAGNVAPELEVLVVKATSHDNEPADEKYVREILNLTSYTRGYVTACVSLISKRLSKTHDWIVALKSLMLVHRLSNDGDPAFGQEIIYASRRGMRVLNMSDFRDEAHSNSWDHSGFVKSYALYLDQKFEILVYERKLNGADERRMVESGYGEFRDGPGYGTGGRSWSNGDVDERDGKGEKRVVTPVREMKPERVLERLNQLLQILNQFLACRPTGAAKNSRLVLVALYCIVKDSFKLYTDICEALGILLDQFKELEYTECVKAFDAYVNAAKLIDELASFYNWCNDIGIARSSEFPEVQKITDKLLGTLEGFLRERANKPRSSEVSRAASSVQFKEEEAPNMNEIRALPPPENYTPAPPPRAEPQVMPPTRKVAEDLVNLKDDGITADSQGNKMALALFSGPVVTKTNGSWEEFPTDGDTEVTSAWQTPAAEVGKANWELALAESSSNLSKQKADLAGGFDPLLLNGMYDQGAVRQHVSNTQLSGGSASSVALPGTGKTSTPLLALPAPDGTVQPVGPQDPFAASLSVPPPSYVQMADLEKKQHLLTQEQQLWQQYASNGMQGQIGLTKLAGNAGYYGAGMHPTMPYGMPQYTGLEQPAYYFTPL >itb13g02060.t2 pep chromosome:ASM357664v1:13:1884950:1887118:-1 gene:itb13g02060 transcript:itb13g02060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFRKAIGVVKDQTSISLAKVAGNVAPELEVLVVKATSHDNEPADEKYVREILNLTSYTRGYVTACVSLISKRLSKTHDWIVALKSLMLVHRLSNDGDPAFGQEIIYASRRGMRVLNMSDFRDEAHSNSWDHSGFVKSYALYLDQKFEILVYERKLNGADERRMVESGYGEFRDGPGYGTGGRSWSNGDVDERDGKGEKRVVTPVREMKPERVLERLNQLLQILNQFLACRPTGAAKNSRLVLVALYCIVKDSFKLYTDICEALGILLDQFKELEYTECVKAFDAYVNAAKLIDELASFYNWCNDIGIARSSEFPEVQKITDKLLGTLEGFLRERANKPRSSEVSRAASSVQFKEEEAPNMNEIRALPPPENYTPAPPPRAEPQVMPPTRKVAEDLVNLKDDGITADSQGNKMALALFSGPVVTKTNGSWEEFPTDGDTEVTSAWQTPAAEVGKANWELALAESSSNLSKQKADLAGGFDPLLLNGMYDQGAVRQHVSNTQLSGGSASSVALPGTGKTSTPLLALPAPDGTVQPVGPQDPFAASLSVPPPSYVQMADLEKKQHLLTQEQQLWQQYASNGMQGQIGLTKLAGNAGYYGAGMHPTMPYGMPQYTGLEQPAYYFTPL >itb12g02280.t1 pep chromosome:ASM357664v1:12:1504706:1507726:1 gene:itb12g02280 transcript:itb12g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTALLSPPSLSSSSNSLFFSTPVARASGLRTQPLSSRVKRLSVSASASSGRALDALIFDCDGVILESEHLHRQAYNDAFSHFNVRCPSSPSSETLNWGIEFYDDLQNRIGGGKPKMRWYFKEHGWPTSTISDKPPEDDADRSNLIDILQDWKTERYKQIIKSGTVKPRPGVLRLMDETKAAGKKLAVCSAATKSSVILCLENLIGLERFHGLDCFLAGDDVKEKKPDPSIYITAAKKLGVSERNCLVVEDSVIGLQAATSAGMSCVISYTSSTANQDFKEAIAKYPDLSDVRLKDLELLLQDVVSMK >itb01g33600.t1 pep chromosome:ASM357664v1:1:36648244:36650242:-1 gene:itb01g33600 transcript:itb01g33600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGASWSLQPPSYGRLITVLSIDGGGIRGIIPATILEYLESQLQEMDGGDARLADYFDVIAGTSTGGLVTVMLTAPEQATKRPLFAAKDITTFYLEHGPKIFPQKGGLFGPIRKTIKSLVGPKYDGVYLHKIIEETLGETRLHETLTNIVVPTFDITRLQPTIFSTFEAKTNPLSDAKLSDICIATSAAPTYLPPYYFKNQDQDGNLREFNLIDGGVAANNPTLVATSEVSKQIIHGHPDFFPIKPADYDRFLVISVGTGSHKVEQKYSAKMAAKWGVLGWLLNGGSTPIIDVFNQASGDMVDLHISVLFQALHSQNNYLRIQDDTLTGTVSSVDVATKENMNKLAETGKILLKKPLSRVNLQTGLFEPCEGGGTNEDALKRFAEMLSEEKKFRESKSALRK >itb02g03070.t1 pep chromosome:ASM357664v1:2:1761000:1764244:1 gene:itb02g03070 transcript:itb02g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDLNKVWEVKPLKKIREDEAREILEKVAKQVQPIMRKRKWKVKVLSEFCPPNPSLLGLNIGGGAEVKLRLRRPNNELDFFPFNQILHTMLHELCHNEYGPHNTDFYNLLDQIRKECEELMAKGITGTGQGFDLPGRRLGGFSRQPPLPLLRQKALAAAENRSRLGEMLPSGPKRLGGDNSIKAALSPIQAAAMAAERRLLDDVWCGSESPEGKLSSYSETPSGSGIQKDGPQTSVLRPLANQETTDAKPTWQCSACTLLNPALAVTCEACGKREGAEKSTKVWSCKFCTLDNSVKSERCLACGEWRYSYGSPVSSHGSYLGT >itb02g03070.t3 pep chromosome:ASM357664v1:2:1761000:1763405:1 gene:itb02g03070 transcript:itb02g03070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDLNKVWEVKPLKKIREDEAREILEKVAKQVQPIMRKRKWKVKVLSEFCPPNPSLLGLNIGGGAEVKLRLRRPNNELDFFPFNQILHTMLHELCHNEYGPHNTDFYNLLDQIRKECEELMAKGITGTGQGFDLPGRRLGGFSRQPPLPLLRQKALAAAENRSRLGEMLPSGPKRLGGDNSIKAALSPIQAAAMAAERRLLDDVWCGSESPEGKLSSYSETPSGSGIQKDGPQTSVLRPLANQETTDAKPTWQCSACTLLNPVSRVGSIRTFSYIP >itb02g03070.t4 pep chromosome:ASM357664v1:2:1761000:1764244:1 gene:itb02g03070 transcript:itb02g03070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELCHNEYGPHNTDFYNLLDQIRKECEELMAKGITGTGQGFDLPGRRLGGFSRQPPLPLLRQKALAAAENRSRLGEMLPSGPKRLGGDNSIKAALSPIQAAAMAAERRLLDDVWCGSESPEGKLSSYSETPSGSGIQKDGPQTSVLRPLANQETTDAKPTWQCSACTLLNPALAVTCEACGKREGAEKSTKVWSCKFCTLDNSVKSERCLACGEWRYSYGSPVSSHGSYLGT >itb02g03070.t2 pep chromosome:ASM357664v1:2:1761000:1764244:1 gene:itb02g03070 transcript:itb02g03070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGITGTGQGFDLPGRRLGGFSRQPPLPLLRQKALAAAENRSRLGEMLPSGPKRLGGDNSIKAALSPIQAAAMAAERRLLDDVWCGSESPEGKLSSYSETPSGSGIQKDGPQTSVLRPLANQETTDAKPTWQCSACTLLNPALAVTCEACGKREGAEKSTKVWSCKFCTLDNSVKSERCLACGEWRYSYGSPVSSHGSYLGT >itb11g23170.t1 pep chromosome:ASM357664v1:11:25096752:25099966:1 gene:itb11g23170 transcript:itb11g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVDLDLDDGEFWLPPQFLADDDLKKGGFPSSPVESVNETESDEDEFISGLTRKMAHSTLEDDSAFEYNFGKAWRLSGSPQSTLCGVLGGGLGGNQISSRGSTNCSSRASSPPLPAEQPGKADGGAWDLLFAAAGDVARMKMMEESARLYSNQNGIWAPPRNVSPVGVPTPKTSQPQNLGLMNSNQLPQHSNYQELHMASQFQRMKQHEMGMQRVGLIPSLNSNHPQPNMSAWPTLQQSLQQPCRGSGMRAVFIGNPGGAKRECAGTGVFLPRTVGTPAEPRKKPVCSTVLLPEKVVHALNLNFEAQGHQPQLLQPRYTGRYTHHHDGAGLKNQRNNGRVQAQQRRNLRPSPACSVSQELRLPQEWTY >itb04g10680.t1 pep chromosome:ASM357664v1:4:10214874:10217232:-1 gene:itb04g10680 transcript:itb04g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRAAGRIGSSGMETSSSQLKKPIERPPPPPPEIISAQNVGTGAESTPKVNLKSVLEERDPQFDVMLSQMVGRIHAKPGGKLEMGEGSVVEKYDRPLPKLRNTTTESSRYEGRPAPPGTLNVAQLREIIMLYQGKSNEHEGPMDVNQIANKFRIDVSQVQSIVQFVSLPPEDTKEQKKF >itb12g10710.t1 pep chromosome:ASM357664v1:12:8830503:8831582:1 gene:itb12g10710 transcript:itb12g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMILGKITVETPKYQVIHAAAEYEIRQYPPAVIAEVTYHPTQFKGNKDGGFTLLANYIGALGNPQNAKPEKIAMTAPVITREEIAMTAPVVTKGGGGEEKAVTMQFILPSKYARAEDAPKPVDERVKIKEEGERKYGVVKFSGTATDKVVEEKVEMLKKCLERDGYKIIGEFELGRYNPPWTLPPLKTNEVMIPVE >itb13g08330.t1 pep chromosome:ASM357664v1:13:10532506:10532796:1 gene:itb13g08330 transcript:itb13g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKLRNLFCTKCEVPWHAGIVCFEFLKLHENEREEEDILLLNIANQKQWMRCPNCRVYVERVSGCAFMMCRCKCTFCYKCRARAKDHHCFNCGT >itb07g08170.t1 pep chromosome:ASM357664v1:7:6372738:6375070:-1 gene:itb07g08170 transcript:itb07g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGQVQCRDILVPYNSFGRKFLALRIQYDSLRICTNFPAVQSVTSGLEDAEVSTVQYEEFSVSTSVTDSSNELKITVDVSGSKTQEIFDDVFSKMVAEAPPIPGFRRVKGGNISLSIYTSEFFAFFRGNSINIFLILTLCVIFVSAMMLFTLFFCK >itb07g08170.t2 pep chromosome:ASM357664v1:7:6373468:6375070:-1 gene:itb07g08170 transcript:itb07g08170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITGQVQCRDILVPYNSFGRKFLALRIQYDSLRICTNFPAVQSVTSGLEDAEVSTVQYEEFSVSTSVTDSSNELKITVDVSGSKTQEIFDDVFSKMVAEAPPIPGFRRVKGGNISLSIYTSEFFAFFRGNSINIFLILTLCVIFVSAMMLFTLFFCK >itb06g23010.t1 pep chromosome:ASM357664v1:6:25168908:25170005:1 gene:itb06g23010 transcript:itb06g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDFNSWFQHRCLDVQSPVLNSSGARVDTQLQNNFSAFMIPHYNGISTNGKFPLSSYTAPLQFKANQPNEPRNWFYGLPNFHQGSNPLLKSVTKEKDPAGSVQNLGRIGNPDAVAGCAQRKFLVFDQSGDQTRLLYSSANDTPAQGVLPWLQKPPSMAYNSTKDQLGSRINKASPLGRFLGDECIEDNPRGVEESEMREDTEELNALLYSDDDDDDDGEYSEDEEEISTGHSPSTMTAHDKHEWLDERIEEVGSSDEPAKRHKLIDGSYGVPCPLDNATPAKPFGCSELEDDAESSCGNTDYQFSGVSGRKRSRKEKIRETLSLLQKIIPGGKKGKDAMDVIDGTIRYLKSLKVEAKSLRLDAL >itb06g19270.t1 pep chromosome:ASM357664v1:6:22641663:22642956:1 gene:itb06g19270 transcript:itb06g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31790 [Source:Projected from Arabidopsis thaliana (AT1G31790) UniProtKB/Swiss-Prot;Acc:Q9C6R9] MEITSAFPKPPLHAYINTFASRTCIGSIKCLLQQHTSTGQNSARLSNPPSRIQLPLQRPNRHPPKKTEITSPSSSDILRLMDSLGVPLTADVYVSLIKECTAAREASRAVELYAHIARSGASLSLGVVNRVLLMLVSCGCLDDARQVFDKMRVRNSRSWAAMIAGCVESGEFEQSLDLFVEMKREDSDGGEWDDIVANGMVICALKACVGTMNLELGKQIHGWSLKLGHGENVALNSFLIKFYGEFGCFDDADNVFYQMPSRNTVVWTAKLVNSSNEGKYEEAIDLFKEMGRVGVKKNSFTFSSVLKASGKMRDGGGCGRQLHANGIKLGLDTDDHVLCSLIDMYGKYGLVRDGRTVFDMVSDKRNVALWNAMLNGCIKHGFSAEGIKIIKEMKRAGLRPHESFVNEVLLLCGSSKRLADEIGM >itb06g00730.t1 pep chromosome:ASM357664v1:6:1703516:1706464:-1 gene:itb06g00730 transcript:itb06g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSFQKISCARDTITSTQFLKDGETIVSSGGIFELGFFSPANSLNRYVGIWYKQIPVFTVVWVANRDTPITNTSSSVVFHSGSLALVDGHNSILWHTNTSILVQNPVAKLLDSGNLVITDANNDNFLWQSFHHPTDTLMPDMMFGKNFITGVEIGMSSWKTEINPGVGDHKFFLEPTGYPQVVIKSGAKEVLRSGPWNGVDWNGTPGMDMLGSIAETSVIANAAEFFVYYKVFNRSNLVRAMISSSGNLQFFLWADGSEEWNTLYTAPTDICDRYGYCGAYGICYYDNYPSCSCLDKFLPKNAGGCVRRTPLSCQNGSSDGFLKYNGLKFPDTKLSWFNSSMNLQECEQVCLKNCNCTAYSSLNISNGEHGCLLWFGDLIDIRVVPEKGQNIFIRMAASDIGNNLQLNFQRDNGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEKMLIYEYMPNKSLDSFIFDHERTLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLAKSIGGNETGSNTGRVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSMLEIVSGKRNRGFSHQDYYENLPSHAWKLYRDGKSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLYNDADLPIAKEPGFFTGRTIGAQISTAEITDSINEVTISLLNPR >itb10g20690.t1 pep chromosome:ASM357664v1:10:26154706:26156210:-1 gene:itb10g20690 transcript:itb10g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVTRLSLALSFIALALAGFSIYQNTHTAMKGQLKLTPTWLDNTLESSVADVLSLRLGISSGKLSDEDCIFSAVKEVVDAAIDAETRMGASLIRLFFHDCFVDGCDAGLLLNDTPTFTGEQTAGGNNNSVRGFEVIQQAKENVKAKCPYIQVSCADILSIAARDSFQRFTGETYTVTLGRLDARTANLTGANTQLVGPNEELASQVEKFAAKGFTETELVALLGVHTVGFSRCPLLCVPIFINPARASTLQCNCPVSPDDTGLVGLDPTPLTWDQSFYSDVANGQGLLFSDNELMNSNTTSAAVRRYRDEMDAFLADFAAAMVKMSLLPPSPGVELEIRDVCSEVNAYTVASM >itb08g09610.t1 pep chromosome:ASM357664v1:8:8837013:8841741:1 gene:itb08g09610 transcript:itb08g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGVGLMSSGGGGGGLGLGDISSSMAAACGEQQRRLKAEMATHPLYEQLLAAHVACLRVATPIDQLPLIDAQLSHSHHLLRSYASNHSPSLSPHERQELDNFLAQYLLVLCSFKEQLQQHVRVHAVEAVMACREIEQNLQALTGASLGEGTGATMSDDEDELALQMDFSLDQSAGGDGGHDLMGFGPLLPTESERSLMERVRQELKIELKQGFRSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >itb12g04120.t1 pep chromosome:ASM357664v1:12:2691384:2692829:1 gene:itb12g04120 transcript:itb12g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 21 [Source:Projected from Arabidopsis thaliana (AT5G17450) UniProtKB/Swiss-Prot;Acc:Q9LF57] MGALDYLSNFCTITTRRKKRKPMQTVEIKVKMDCDGCERRVKSAVKNMKGVKSVEVNRKQSRVTVSGFIDPNKVLRKVKSTGKRAEMWPYVPYNLVYYPYASQAYDKRAPSGYVKNVPQALPPPNAAEERITYMFSDDNPNACSIM >itb01g25640.t1 pep chromosome:ASM357664v1:1:31023205:31027582:-1 gene:itb01g25640 transcript:itb01g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTYLCRPVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTGLLSYKSNCCSAPIARNFASQAPIKGLERVFSSHLHNPSNFRRTQTPTSSKIMKGSSFPRYVKANPVFYLNNVYIDSQSCSFRYFGNHTTSCIMGSHSKF >itb01g08410.t3 pep chromosome:ASM357664v1:1:6771439:6774168:1 gene:itb01g08410 transcript:itb01g08410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METNSSSKFKKICVFCGSNPGHRKVFSDAALELGNELVLRKMDLVYGGGSVGLMGLIAQRVYDGGCHVFGVIPKALVPIEISGESVGDVKIVPDMHERKAEMARQADAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLTLFDNGVEEGFIKPGARHIVVSAPTARELLNKMEQYTPSHDHVAPYESWEMEQLTNYSREQSP >itb01g08410.t2 pep chromosome:ASM357664v1:1:6771438:6774232:1 gene:itb01g08410 transcript:itb01g08410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METNSSSKFKKICVFCGSNPGHRKVFSDAALELGNELVLRKMDLVYGGGSVGLMGLIAQRVYDGGCHVFGVIPKALVPIEISGESVGDVKIVPDMHERKAEMARQADAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLTLFDNGVEEGFIKPGARHIVVSAPTARELLNKMEQYTPSHDHVAPYESWEMEQLTNYSREQSP >itb01g08410.t1 pep chromosome:ASM357664v1:1:6771438:6774232:1 gene:itb01g08410 transcript:itb01g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSSSKFKKICVFCGSNPGHRKVFSDAALELGNELVLRKMDLVYGGGSVGLMGLIAQRVYDGGCHVFGVIPKALVPIEISGESVGDVKIVPDMHERKAEMARQADAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLTLFDNGVEEGFIKPGARHIVVSAPTARELLNKMEQYTPSHDHVAPYESWEMEQLTNYSREQSP >itb01g08410.t4 pep chromosome:ASM357664v1:1:6771492:6774232:1 gene:itb01g08410 transcript:itb01g08410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METNSSSKFKKICVFCGSNPGHRKVFSDAALELGNELVLRKMDLVYGGGSVGLMGLIAQRVYDGGCHVFGVIPKALVPIEISGESVGDVKIVPDMHERKAEMARQADAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLTLFDNGVEEGFIKPGARHIVVSAPTARELLNKMEQYTPSHDHVAPYESWEMEQLTNYSREQSP >itb05g22380.t1 pep chromosome:ASM357664v1:5:27818327:27821104:1 gene:itb05g22380 transcript:itb05g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDSVGVAAMVIKQTLVTCAVEALASALVTTQLLSLMQDYINLFMDKPKIRGDYSSSCPCEPTDADGDDENDDDDDEDGDDDDDDDAYDDERNGANNPNNKGTSKNGPGGGTGGAQENDDDEDDEGEENPDDQDEEEDPEDDDDDDDDEEGGGEEEDPEEDEDDEEEEEEDEEEEEVQPPKKRKK >itb11g03310.t2 pep chromosome:ASM357664v1:11:1718302:1722196:1 gene:itb11g03310 transcript:itb11g03310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDMITARIRDSFRSSRRPDHVISVLENNEMVTVGWVETHVFVQIPHERVLITLFHPVNGGYPLSSEEDEPMVENVDDLVYAPLYEGTPPTRDQPSTSESHAFVVHFGHMEKVFDMLKEHEHVNFRIVGPEAYNPADLHVLARITYRNMFDIHRRDQIYMHVQDQVDVPQSIWHGIHYHQNLLFHITCESLRSLFHSFRLLSEGKRTLLGCSFLSVALSCVLGSSLAGLCI >itb11g03310.t1 pep chromosome:ASM357664v1:11:1718302:1722196:1 gene:itb11g03310 transcript:itb11g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDMITARIRDSFRSSRRPDHVISVLENNEMVTVGWVETHVFVQIPHERVLITLFHPVNGGYPLSSEEDEPMVENVDDLVYAPLYEGTPPTRDQPSTSESHAFVVHFGHMEKVFDMLKEHEHVNFRIVGPEAYNPADLHVLARITYRNMFDIHRRDQIYMHVQDQVDVPQSIWHGIHYHQNLLFHITCESLRSLFHSFRLLSEGKRTLLGCSFLSVALSCVLGSSLAGLCI >itb03g24320.t1 pep chromosome:ASM357664v1:3:23010670:23012642:-1 gene:itb03g24320 transcript:itb03g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEHQALENHESVGCQKGSKKKKSKNSKRFSNEQVKSLETIFKLETKLETKKKLQVARDLGLQPRQVAIWFQNKRARWKSKQIEEEYRVLKAKFDNLNVQFDCLKKEKESLLMQSEKLRSELEKGCAGQKKRQETKDMEMPSGSNNKDTNYESKESVNGERSIVRVEEDVEKDKALLAEKLKQKEEAEFLNLGELGGSPLASPEQWCSGSLNIPFDQSCGTSKWWDF >itb15g13040.t1 pep chromosome:ASM357664v1:15:10951338:10957918:-1 gene:itb15g13040 transcript:itb15g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGYASDHSETGSVRSSRVSFSGPLVSTAKNSRLDQESYVEITLDVRDDGVLVHNIKGGDQEAALLASKMEKRRNNLGSQLSMHLRQVSNELRRITSSRKLENLDRTKSGAARALRGLRFIHNNVLGNEGWSDVETRFAQLSVAGMLPKSLFGKCIGMNESGEFADELFDALARRRCITTPSISLAELRDFWQQITDTSFDARLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSKIQDNAEEYAALIMEELDPDNMGHIELHNLETLLLQAPNHSTNLATNSRVLSQLLSQKLKPTKEPNPLIRCYKKLKYFIEDNWKRIWVMALWLSICAGLFTWKFIQYKHRAVFDIMGYCVSTAKGGAETTKLNMALILLPVCRNTITWLRTRTKLGAIIPFNDNINFHKVIALGVVVGVGLHAISHLTCDFPRLLHATDAEYEPMKPFFGDDRPNNYWWFVKGTEGWTGVVMVVLMAIAYILAQPWFRRNRLNLPTTIKRLTGFNAFWYSHHLFVIVYVLFIIHGYFLYLQKKWYKKTTWMYLAVPMILYACERLIRAFRSGYKTVKILKVAVYPGNVMALHMSKPPGFKYTSGQYINVNCSAVSPFQWHPFSITSAPGDDYLSIHIRTVGDWTSQLKTLFSKVCQPPSSDQSGLLRADIPQEENMPRVPRLLIDGPYGAPAQDYKEYDVVLLVGLGIGATPLISIVKDVLNNIKQQKEEEEGTVESGVKGTKKKPFATRRAYFYWVTREQGSFEWFRGVMNEVSENDEEGLIELHNYCTSVYEEGDARSALITMLQSIQHAKNGLDIVSGTRAKTHFARPNWRQVFKHVAVNHPDQRIGVFYCGPPGLVGELRQLSQHFTHKTTTKFDFHKENF >itb06g16110.t3 pep chromosome:ASM357664v1:6:20320803:20326483:-1 gene:itb06g16110 transcript:itb06g16110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDSSSDSPPLPPIPKQYGVIKPLSLSGPTDADIRRTKELEKFLEDSGLYESAEETAKREHVLSRLKQILKDWVKGLTRLRGYSDQVVEDANAVLYTFGSYRLGVHGPGADIDALCVGPSYVNREEDFFFILHKIFAGMKEVTELRSVPDAHVPVMKLKFDGVSIDLLYASISLLVVPDNLDISNVSVLYNVDEPTARSLNGCRVADQILKLVPNVENFRTTLRCVKFWAKKRGIYSNMIGFLGGINWALLVARVCQLYPNASPSMLVSRFYRVYTLWRWSNPLMLCEIEDFELGFSVWDPRKNHWDRIHQMAIITPVYPCMNSSYNVSASTLRILTEQIQIGKRICEEIELNKAHWGDLFEDYLFFENYKSFLQIDVIAANMDDFLVWKGRIESRLRQLTLMVERDTNGKLQCHPHPQEYRDSFKQCAHGSFFIGLQRKQGEVTQETEQFDMRGTVDEFRHQVSMYSYWRPGMEVYIYHVRRKQIPPYVFSEGYKQTRQQKLSQLQNEKSSCRGDEVCRSALGVECSKKRREREGSEEEHKCRNKRQLVSIQRADSVAPEILGSQRSGSLMKECNIVSPTNGKTGGGNGFCQAGAILASRAISSMLAWNKLMS >itb06g16110.t1 pep chromosome:ASM357664v1:6:20320803:20326483:-1 gene:itb06g16110 transcript:itb06g16110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDSSSDSPPLPPIPKQYGVIKPLSLSGPTDADIRRTKELEKFLEDSGLYESAEETAKREHVLSRLKQILKDWVKGLTRLRGYSDQVVEDANAVLYTFGSYRLGVHGPGADIDALCVGPSYVNREEDFFFILHKIFAGMKEVTELRSVPDAHVPVMKLKFDGVSIDLLYASISLLVVPDNLDISNVSVLYNVDEPTARSLNGCRVADQILKLVPNVENFRTTLRCVKFWAKKRGIYSNMIGFLGGINWALLVARVCQLYPNASPSMLVSRFYRVYTLWRWSNPLMLCEIEDFELGFSVWDPRKNHWDRIHQMAIITPVYPCMNSSYNVSASTLRILTEQIQIGKRICEEIELNKAHWGDLFEDYLFFENYKSFLQIDVIAANMDDFLVWKGRIESRLRQLTLMVERDTNGKLQCHPHPQEYRDSFKQCAHGSFFIGLQRKQGEVTQETEQFDMRGTVDEFRHQVSMYSYWRPGMEVYIYHVRRKQIPPYVFSEGYKQTRQQKLSQLQNEKSSCRGDEVCRSALGVECSKKRREREGSEEEHKCRNKRQLVSIQRADSVAPEILGSQRSGSLMKECNIVSPTNGKTGGGNGFCQAGAILASRAISSMLAWNKLMS >itb06g16110.t4 pep chromosome:ASM357664v1:6:20320803:20326483:-1 gene:itb06g16110 transcript:itb06g16110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDSSSDSPPLPPIPKQYGVIKPLSLSGPTDADIRRTKELEKFLEDSGLYESAEETAKREHVLSRLKQILKDWVKGLTRLRGYSDQVVEDANAVLYTFGSYRLGVHGPGADIDALCVGPSYVNREEDFFFILHKIFAGMKEVTELRSVPDAHVPVMKLKFDGVSIDLLYASISLLVVPDNLDISNVSVLYNVDEPTARSLNGCRVADQILKLVPNVENFRTTLRCVKFWAKKRGIYSNMIGFLGGINWALLVARVCQLYPNASPSMLVSRFYRVYTLWRWSNPLMLCEIEDFELGFSVWDPRKNHWDRIHQMAIITPVYPCMNSSYNVSASTLRILTEQIQIGKRICEEIELNKAHWGDLFEDYLFFENYKSFLQIDVIAANMDDFLVWKGRIESRLRQLTLMVERDTNGKLQCHPHPQEYRDSFKQCAHGSFFIGLQRKQGEVTQETEQFDMRGTVDEFRHQVSMYSYWRPGMEVYIYHVRRKQIPPYVFSEGYKQTRQQKLSQLQNEKSSCRGDEVCRSALGVECSKKRREREGSEEEHKCRNKRQLVSIQRADSVAPEILGSQRSGSLMKECNIVSPTNGKTGGGNGFCQAGAILASRAISSMLAWNKLMS >itb06g16110.t2 pep chromosome:ASM357664v1:6:20320803:20326483:-1 gene:itb06g16110 transcript:itb06g16110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDSSSDSPPLPPIPKQYGVIKPLSLSGPTDADIRRTKELEKFLEDSGLYESAEETAKREHVLSRLKQILKDWVKGLTRLRGYSDQVVEDANAVLYTFGSYRLGVHGPGADIDALCVGPSYVNREEDFFFILHKIFAGMKEVTELRSVPDAHVPVMKLKFDGVSIDLLYASISLLVVPDNLDISNVSVLYNVDEPTARSLNGCRVADQILKLVPNVENFRTTLRCVKFWAKKRGIYSNMIGFLGGINWALLVARVCQLYPNASPSMLVSRFYRVYTLWRWSNPLMLCEIEDFELGFSVWDPRKNHWDRIHQMAIITPVYPCMNSSYNVSASTLRILTEQIQIGKRICEEIELNKAHWGDLFEDYLFFENYKSFLQIDVIAANMDDFLVWKGRIESRLRQLTLMVERDTNGKLQCHPHPQEYRDSFKQCAHGSFFIGLQRKQGEVTQETEQFDMRGTVDEFRHQVSMYSYWRPGMEVYIYHVRRKQIPPYVFSEGYKQTRQQKLSQLQNEKSSCRGDEVCRSALGVECSKKRREREGSEEEHKCRNKRQLVSIQRADSVAPEILGSQRSGSLMKECNIVSPTNGKTGGGNGFCQAGAILASRAISSMLAWNKLMS >itb06g16110.t5 pep chromosome:ASM357664v1:6:20320803:20326483:-1 gene:itb06g16110 transcript:itb06g16110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDSSSDSPPLPPIPKQYGVIKPLSLSGPTDADIRRTKELEKFLEDSGLYESAEETAKREHVLSRLKQILKDWVKGLTRLRGYSDQVVEDANAVLYTFGSYRLGVHGPGADIDALCVGPSYVNREEDFFFILHKIFAGMKEVTELRSVPDAHVPVMKLKFDGVSIDLLYASISLLVVPDNLDISNVSVLYNVDEPTARSLNGCRVADQILKLVPNVENFRTTLRCVKFWAKKRGIYSNMIGFLGGINWALLVARVCQLYPNASPSMLVSRFYRVYTLWRWSNPLMLCEIEDFELGFSVWDPRKNHWDRIHQMAIITPVYPCMNSSYNVSASTLRILTEQIQIGKRICEEIELNKAHWGDLFEDYLFFENYKSFLQIDVIAANMDDFLVWKGRIESRLRQLTLMVERDTNGKLQCHPHPQEYRDSFKQCAHGSFFIGLQRKQGEVTQETEQFDMRGTVDEFRHQVSMYSYWRPGMEVYIYHVRRKQIPPYVFSEGYKQTRQQKLSQLQNEKSSCRGDEVCRSALGVECSKKRREREGSEEEHKCRNKRQLVSIQRADSVAPEILGSQRSGSLMKECNIVSPTNGKTGGGNGFCQAGAILASRAISSMLAWNKLMS >itb05g27380.t1 pep chromosome:ASM357664v1:5:30780331:30782541:-1 gene:itb05g27380 transcript:itb05g27380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDSLFGRDDRRQWHSFKRKDSDAGEAGRALEELRSSLHNELRTSDGAKRQQQRFCGPVVAMTFNFMVSVGIILGNKLVMGRVGFNFPILLTLIHYACAWILLAIFRTLSLLPVAPPSKSTPFSSLFSLGAVMAFASGLANTSLKHNSVGFYQMAKIAVTPTIVIVEFILYTRKISFQKVLALLVVSVGVAVATVTDLEFNIFGACIAIAWIIPSATNKILWSNLQQQKNWTALALMWKTTPVTIFFLLALMPWLDPPGALLFKWNAQNATFIFISAFLGFLLQWSGALALGATSATSHVVLGQFKTCVIVLGGYLLFDSDPGIVSICGAIAALGGMSVYTVLNMKEPREKATIEQPPKLPSVKLKNAGEGNKEDSKPEYDEYPPTPV >itb09g18050.t1 pep chromosome:ASM357664v1:9:13474482:13475210:1 gene:itb09g18050 transcript:itb09g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYVKAWRKLWSLGVAAKIRNLLWRSVKGILPVRTTLRKRRVDVQLECPVCGVEEETVSHIFVNCAGVSGVWAAAGLPGTSSTPEFSVFLEASLENVDTATMCKIAVIVWSIWCARNELVWNNKPFCADMVWRHAQGWLRSWQEVQTTKARTSANTSIDQSQHGHELRCFVDAALFEDQGLAVVINNADQYVAACAGMGCAAWTLPGGGLGN >itb15g22460.t1 pep chromosome:ASM357664v1:15:25167822:25169885:1 gene:itb15g22460 transcript:itb15g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATVIDQMNPVLYRSNTHTGEIRAAKVIDQMNRDLYEAVVKGNVEDYHKALGQMPEEARRRQVTPKGNTVLHVAAIHGHEDLVKRILEDAAMSSLLFAKNKRNESALHCAAEKGYDGIVSVIISATKHHGDVESPGGRVKEMIEMKDDVKDTALHKAVRMGHLEVARLLIQKDPEFEYLANDDGETPIYIASELQFHDCLVEMLSECKNPTYGGPLGRNALHGAILSGFGYSKISNLIQTVAFPYTNGEFATECTHTLLELELEKKIGLCEKTDMFGWAPLHYAIKIENDKAVRMILEKKASAAYICAGDSDEWTTTFHIAARHDKVEMMKEISKWCPDCWEMVNSKGQNVLHEAILSNKLNVIRHIEENSDQFENLVIHKDEDGNTPLHLLAFAHHNILQQFITERPMLNYFAFNKKHQTLFDMTFLERNKLLDIWYKALEGLLIAVSTLSFK >itb12g26980.t1 pep chromosome:ASM357664v1:12:27621587:27622476:1 gene:itb12g26980 transcript:itb12g26980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MASSALACSASPFLSNASVSKTPRGTSGPCSVFLPSSCGVRRPLKLRAQAAGDHNKDTSVDVHHVTTNQSATAVERRPHRMAALDVPTFGLLDPLSPMRTMRQMLDTMDRLFEDAMTFPGRSRASTGEVRAPWDIRDEEQEIKMRFDMPGLSKEEVKVSVEDDVLVIKGEHKKEEGKDDDDSWSRSYSVYDTRLRLPENCDKDKVMAELKNGVLFISIPKRKVEKKVVDVEIK >itb13g26720.t1 pep chromosome:ASM357664v1:13:31872904:31874386:1 gene:itb13g26720 transcript:itb13g26720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTQTLFHIYYIVSTSLASILVSFLPFLSYIYSHLMRRRKMPSITVDPSLGLQISKQHRIEEEIPGLIKVYRDGHVERPQIVPNVSCSLPPEFGVTCTDVKIDKSTNIWARCYVPRCDYNKVPLLVYFHGGGFCVGSASWSCYHEFLAKLSRKANCVVFSVNYRLAPENPLPAAYDDGVKAVMWLRQHAAAAAKEYNYCWSNKCDFSSVFLGGDSAGANIAHNVAIRLCQEFKPLNPLVIKGTILIQPFVGGESRTYSEIYTAQPSHSALTLAASDTYWRLALPVGANRDHPWCNPIITTNMKEVMRVPPSLVVISELDILKDRSLELCGALSRAGKKVELFMCKGVGHAFHILSKSQLAQTRTDELIAQIKTFTAT >itb15g01380.t1 pep chromosome:ASM357664v1:15:828026:833615:1 gene:itb15g01380 transcript:itb15g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLNRPAVLAFATAVILIGGFHFAVAYERPPAKGSIFVPLSEDQDSSSPQQVHISMVGNDKMRISWITEDSGTPATVDYGTSPGNYQFSADGTTTQYTYLLYKSDEIHDVVIGPLNSNTVYYYRCGGSGGSAPEYSFKTPPSGFPIKFAIVGDLGQTDWTKTTLEHIGKSNYDMLLLPGDLSYADRYQPLWDSYGRLVEPEARRRPWMVTQGNHEVESIPLLHSNRFTAYNARWQMPYEESGSDSNLFYSFDVAGVHVVMLGSYADFEAGTDQFRWLMADLGKVERAKTPWVIVLVHAPWYNSNTAHQGEYEGDGMKAAMEELIFEAKTDIVFAGHVHAYERFTRVYKEEANDSGPLYITIGDGGNREGLATKYIDPQPKISFFREASFGHGELEVVNGSHARWTWHRNDDNVGVVADSIWIRSFSSQH >itb03g27110.t2 pep chromosome:ASM357664v1:3:26738821:26754070:1 gene:itb03g27110 transcript:itb03g27110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRVGGKVVDTVDLLRKRHRLWRLDVWPFVSLYVLWLLAVIPSLDFVDACIVLGGIAVSHILVFLFTVWSVDFKCFIKYSKVDDIHLTDACKITPAKFCGSKEVVPLYLRKLASSSTENVDEIYFDFRKQRFIYSKEKGTFCKLPYPCKETFGYYLKNTGHGTEAKVVAATEKWGRNVFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRIKTLSELRRVRVDSETLMVYRCGKWVKLSGTDLLPGDVVSIGRSVGQSGEDKNVPADMLLLAGSAIVNEAILTGESTPQWKVSIMGRGAGEKLSARRDKAHVLFGGTKILQHTPDKTHQMKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFALIAAGYVLKKGLEDPTRSKYKLVLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLTDSEDLERDMSKVPRRALEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKKGGGDAVQIVHRHHFASHLKRMAVVDICCFDKTGTLTSDDMEFSGVGGLTDSEDLERDMSKVPRRALEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKKNVCGFLSTIRTIWQEVDICCFDKTGTLTSDDMEFSGVGGLTDSEDLERDMSKVPRRALEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKKGGGDAVQIVHRHHFASHLKRMAVVVRMQEQFFAFVKGAPETIQDRLVDVPHFYVPTYKKYTRQGSRVLALAFKPLPEMTVGEARSLERDIVESGLTFAGFAVFNCPIRVDSATVLSELKKSSHDLVMITGDQALTACHVASQVHIISKPAMILSRAKNSEKYYWVSPDETDIVSYSENEVEALSETYDLCIGGECVEMLQQTSATLKVIPYVKVFARVAPEQKELIMTTFKSVGRIALMCGDGTNDVGALKQAHVGVALLNAIPPSKGEKSASSKNEPPKNPKSKKLKPAIENGDGSVNSRGTSRSESTSSQAANRHLTPAEMQREKLKKLMDELNEGGDGQAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQNLSAERPHPNIFCAYVFLSLLGQFAVHLFFLISSVNEANKYMPDECIEPDSDFHPNLVNTVSYMVSMMLQVATFAVNYMGRPFNQSISENKPFLYALLGAVGFFTVITSDLFRNLNDWLKLVPLPTGLRDKLLVWAVLMFLSCYSWERLLRWAFPGKMPAWKHRQRRAAASLEKKKL >itb03g27110.t1 pep chromosome:ASM357664v1:3:26738592:26754411:1 gene:itb03g27110 transcript:itb03g27110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRVGGKVVDTVDLLRKRHRLWRLDVWPFVSLYVLWLLAVIPSLDFVDACIVLGGIAVSHILVFLFTVWSVDFKCFIKYSKVDDIHLTDACKITPAKFCGSKEVVPLYLRKLASSSTENVDEIYFDFRKQRFIYSKEKGTFCKLPYPCKETFGYYLKNTGHGTEAKVVAATEKWGRNVFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRIKTLSELRRVRVDSETLMVYRCGKWVKLSGTDLLPGDVVSIGRSVGQSGEDKNVPADMLLLAGSAIVNEAILTGESTPQWKVSIMGRGAGEKLSARRDKAHVLFGGTKILQHTPDKTHQMKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFALIAAGYVLKKGLEDPTRSKYKLVLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLTDSEDLERDMSKVPRRALEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKKGGGDAVQIVHRHHFASHLKRMAVVVRMQEQFFAFVKGAPETIQDRLVDVPHFYVPTYKKYTRQGSRVLALAFKPLPEMTVGEARSLERDIVESGLTFAGFAVFNCPIRVDSATVLSELKKSSHDLVMITGDQALTACHVASQVHIISKPAMILSRAKNSEKYYWVSPDETDIVSYSENEVEALSETYDLCIGGECVEMLQQTSATLKVIPYVKVFARVAPEQKELIMTTFKSVGRIALMCGDGTNDVGALKQAHVGVALLNAIPPSKGEKSASSKNEPPKNPKSKKLKPAIENGDGSVNSRGTSRSESTSSQAANRHLTPAEMQREKLKKLMDELNEGGDGQAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQNLSAERPHPNIFCAYVFLSLLGQFAVHLFFLISSVNEANKYMPDECIEPDSDFHPNLVNTVSYMVSMMLQVATFAVNYMGRPFNQSISENKPFLYALLGAVGFFTVITSDLFRNLNDWLKLVPLPTGLRDKLLVWAVLMFLSCYSWERLLRWAFPGKMPAWKHRQRRAAASLEKKKL >itb05g22880.t1 pep chromosome:ASM357664v1:5:28170594:28172438:-1 gene:itb05g22880 transcript:itb05g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNVTFLQDPFGFDFKDCYAMAMGGLLDCYGGFEEDKLSCEALSSVEQTASNGCWDYSPPEVLPAEYSPAVAPAETAAALGRPRKRRRTAKRSKNKEDIENQRMTHIAVERNRRRQVNDYLAVLRSFLPPSYAQRGDQASIVGGTINFVKELEQLLQFLEVHKQLKNNQISSSNYPNNNSHYPFSTFFTFPQYSTPKTHSPTAAAASTAPQKLSTVADVEVAMVESHASVKVLSTKQPKQLVKMVKWFHAMSLTVLHLNVTTIHPMVLYSFSLKVEDNCQLMSVNEIATAVHEMVAMIQEDMMREDTI >itb03g13890.t1 pep chromosome:ASM357664v1:3:13846570:13848987:-1 gene:itb03g13890 transcript:itb03g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVKVAAAFLGLISIVTAAEVTGSRRGHDYGDALSKSILFFEGQRSGKLPTNQRLTWRRDSALKDGSDHGVDLTGGYYDAGDNVKYSFPMAFSATMLAWSVVEYGGAMGEERRHALEAVRWGTDFLLKATEKTNVVYALVGVPVEDHHCWERPEDMDTPRTSFAVTDKSPGSEVSAEIAAAMAAASLAFKASDPRYSKLLLQRASQVFQFADKFRGSYNTGLGQWICPFYCDFGGYQDELVWAAAWLHKATNDAKYWNYVKQNIQGMSMATSAFGWDSKHAGINVLVSQFILNNDTQDFNPFILNADALVCNVLPESPSKSEKFTPGGLMFVDCMCNLQRSAAVSFLFVTYGTYLQKAKRTINCGNVVVTPARLIEFAKFQVDYILGNNPMNMSYMVGYGHKYPERAHHRGASLPSIGDHPEPMGCSGWSYLNSSNPNLNLLVGAVVGGPDGNDQFADNRRDAKYSEPTTYVNAPLVGILAYFKAH >itb04g07910.t1 pep chromosome:ASM357664v1:4:5473408:5474212:1 gene:itb04g07910 transcript:itb04g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRITDGKTSWPELVGVDAFLAKSTIEKENSDLYVVFLFQGCPETREYIFDRVRLIVDCSNVVIRTPSIG >itb02g12130.t1 pep chromosome:ASM357664v1:2:8232311:8248860:1 gene:itb02g12130 transcript:itb02g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPLFPMHILQQPTMLKSNYNAKLKHRKPQQKMLEAVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGISDSVGTPSRVVQYEAPDSCSDNVYGVWRIDDKDHSIYTQPFRIRYARQDILLLLMVSFNLSLAKYEGPSSSAVILKFELLYAPVLENRSDLQGSLDICPTAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLRSGLHTSSSNRPSGSCKNNVAVEDYDKSKQAMLVKGFLNARDILVKELQKISKAINRAIDVNDFASKHEVKELLSFPTDADSAIDANAVSGQAPSSRQNVSKKPNNISEEVLHSLSTEELLSLFICFGNQVVYLWGVFQKFHRAHKTSILDFLREQWAIDRRAEWSIWMIYSNVEMPHQYISSNVDKSFYLHTRGRARVLKKLTEDPAHAAAMRADLHRRSIAQMRINHSSIQDMQIFGDPSRIPIVTIERVINAPLRTTSGFSYFIHREEKDTDIADSGFGSKAINKLTDGSPGKNARVLKIVVFVHGFQGHHLDLRLIRNQWLLIDPKTEFLMSEVNEEKTSGDFREMGFRLAQEVTSFIRKKMDKATKSGNLKSIKLSFVGHSIGNIILRTALTENVIEPYLRYLYTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKNTQCIHQLTFTDDPDLKNTFLYKLSKQKTLEKFRNVVLLSSPQDGYVPYHSARIEMCQASSGDKSKKGTIFLEMLNNCMDQIRAPSFDHRVFMRCDVCFDRTLQGKSLNTMIGRAAHIEFLESDAFAKFILWSFPELFN >itb02g12130.t5 pep chromosome:ASM357664v1:2:8232345:8245333:1 gene:itb02g12130 transcript:itb02g12130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPLFPMHILQQPTMLKSNYNAKLKHRKPQQKMLEAVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGISDSVGTPSRVVQYEAPDSCSDNVYGVWRIDDKDHSIYTQPFRIRYARQDILLLLMVSFNLSLAKYEQGPSSSAVILKFELLYAPVLENRSDLQGSLDICPTAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLRSGLHTSSSNRPSGSCKNNVAVEDYDKSKQAMLVKGFLNARDILVKELQKISKAINRAIDVNDFASKHEVKELLSFPTDADSAIDANAVSGQAPSSRQNVSKKPNNISEEVLHSLSTEELLSLFICFGNQVVYLWGVFQKFHRAHKTSILDFLREQWAIDRRAEWSIWMIYSNVEMPHQYISSNVDKSFYLHTRGRARVLKKLTEDPAHAAAMRADLHRRSIAQMRINHSSIQDMQIFGDPSRIPIVTIERVINAPLRTTSGFSYFIHREEKDTDIADSGFGSKAINKLTDGSPGKNARVLKIVVFVHGFQAMDIILLCITFLEDII >itb02g12130.t2 pep chromosome:ASM357664v1:2:8232344:8248860:1 gene:itb02g12130 transcript:itb02g12130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPLFPMHILQQPTMLKSNYNAKLKHRKPQQKMLEAVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGISDSVGTPSRVVQYEAPDSCSDNVYGVWRIDDKDHSIYTQPFRIRYARQDILLLLMVSFNLSLAKYEQGPSSSAVILKFELLYAPVLENRSDLQGSLDICPTAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLRSGLHTSSSNRPSGSCKNNVAVEDYDKSKQAMLVKGFLNARDILVKELQKISKAINRAIDVNDFASKHEVKELLSFPTDADSAIDANAVSGQAPSSRQNVSKKPNNISEEVLHSLSTEELLSLFICFGNQVVYLWGVFQKFHRAHKTSILDFLREQWAIDRRAEWSIWMIYSNVEMPHQYISSNVDKSFYLHTRGRARVLKKLTEDPAHAAAMRADLHRRSIAQMRINHSSIQDMQIFGDPSRIPIVTIERVINAPLRTTSGFSYFIHREEKDTDIADSGFGSKAINKLTDGSPGKNARVLKIVVFVHGFQGHHLDLRLIRNQWLLIDPKTEFLMSEVNEEKTSGDFREMGFRLAQEVTSFIRKKMDKATKSGNLKSIKLSFVGHSIGNIILRTALTENVIEPYLRYLYTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKNTQCIHQLTFTDDPDLKNTFLYKLSKQKTLEKFRNVVLLSSPQDGYVPYHSARIEMCQASSGDKSKKGTIFLEMLNNCMDQIRAPSFDHRVFMRCDVCFDRTLQGKSLNTMIGRAAHIEFLESDAFAKFILWSFPELFN >itb02g12130.t4 pep chromosome:ASM357664v1:2:8232311:8248860:1 gene:itb02g12130 transcript:itb02g12130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPLFPMHILQQPTMLKSNYNAKLKHRKPQQKMLEAVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGISDSVGTPSRVVQYEAPDSCSDNVYGVWRIDDKDHSIYTQPFRIRYARQDILLLLMVSFNLSLAKYEGPSSSAVILKFELLYAPVLENRSDLQGSLDICPTAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLRSGLHTSSSNRPSGSCKNNVAVEDYDKSKQAMLVKGFLNARDILVKELQKISKAINRAIDVNDFASKHEVKELLSFPTDADSAIDANAVSGQAPSSRQNVSKKPNNISEEVLHSLSTEELLSLFICFGNQVVYLWGVFQKFHRAHKTSILDFLREQWAIDRRAEWSIWMIYSNVEMPHQYISSNVDKSFYLHTRGRARVLKKLTEDPAHAAAMRADLHRRSIAQMRINHSSIQDMQIFGDPSRIPIVTIERVINAPLRTTSGFSYFIHREEKDTDIADSGFGSKAINKLTDGSPGKNARVLKIVVFVHGFQGHHLDLRLIRNQWLLIDPKTEFLMSEVNEEKTSGDFREMGFRLAQEVTSFIRKKMDKATKSGNLKSIKLSFVGHSIGNIILRTALTENVIEPYLRYLYTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKNTQCIHQLTFTDDPDLKNTFLYKLSKQKTLEKFRNVVLLSSPQDGYVPYHSARIEMCQASSGDKSKKGTIFLEMLNNCMDQIRAPSFDHRVFMRCDVCFDRTLQGKSLNTMIGRAAHIEFLESDAFAKFILWSFPELFN >itb02g12130.t3 pep chromosome:ASM357664v1:2:8232311:8248860:1 gene:itb02g12130 transcript:itb02g12130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPLFPMHILQQPTMLKSNYNAKLKHRKPQQKMLEAVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGISDSVGTPSRVVQYEAPDSCSDNVYGVWRIDDKDHSIYTQPFRIRYARQDILLLLMVSFNLSLAKYEGPSSSAVILKFELLYAPVLENRSDLQGSLDICPTAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLRSGLHTSSSNRPSGSCKNNVAVEDYDKSKQAMLVKGFLNARDILVKELQKISKAINRAIDVNDFASKHEVKELLSFPTDADSAIDANAVSGQAPSSRQNVSKKPNNISEEVLHSLSTEELLSLFICFGNQVVYLWGVFQKFHRAHKTSILDFLREQWAIDRRAEWSIWMIYSNVEMPHQYISSNVDKSFYLHTRGRARVLKKLTEDPAHAAAMRADLHRRSIAQMRINHSSIQDMQIFGDPSRIPIVTIERVINAPLRTTSGFSYFIHREEKDTDIADSGFGSKAINKLTDGSPGKNARVLKIVVFVHGFQGHHLDLRLIRNQWLLIDPKTEFLMSEVNEEKTSGDFREMGFRLAQEVTSFIRKKMDKATKSGNLKSIKLSFVGHSIGNIILRTALTENVIEPYLRYLYTYVSVSGPHLGYLYSSNSLFNSGLWLLKKLKNTQCIHQLTFTDDPDLKNTFLYKLSKQKTLEKFRNVVLLSSPQDGYVPYHSARIEMCQASSGDKSKKGTIFLEMLNNCMDQIRAPSFDHRVFMRCDVCFDRTLQGKSLNTMIGRAAHIEFLESDAFAKFILWSFPELFN >itb02g12130.t6 pep chromosome:ASM357664v1:2:8232311:8244586:1 gene:itb02g12130 transcript:itb02g12130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPLFPMHILQQPTMLKSNYNAKLKHRKPQQKMLEAVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGISDSVGTPSRVVQYEAPDSCSDNVYGVWRIDDKDHSIYTQPFRIRYARQDILLLLMVSFNLSLAKYEGPSSSAVILKFELLYAPVLENRSDLQGSLDICPTAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLRSGLHTSSSNRPSGSCKNNVAVEDYDKSKQAMLVKGFLNARDILVKELQKISKAINRAIDVNDFASKHEVKELLSFPTDADSAIDANAVSGQAPSSRQNVSKKPNNISEEVLHSLSTEELLSLFICFGNQVVYLWGVFQKFHRAHKTSILDFLREQWAIDRRAEWSIWMIYSNVEMPHQYISSNVDKSFYLHTRGRARVLKKLTEDPAHAAAMRADLHRRSIAQMRINHSSIQDMQIFGDPSRIPIVTIERVINAPLRTTSGFSYFIHREEKDTDIADSGFGSKAINKLTDGSPGKNARVLKIVVFVHGFQAMDIILLCITFLEFVFFNLFSAIYHNSLSLKTSQLKSLE >itb06g04210.t1 pep chromosome:ASM357664v1:6:6787837:6789515:-1 gene:itb06g04210 transcript:itb06g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEFTTVIDVAGFLSDGQEKRLAQEIADIEKDTGFKLRVLAQNYPETPGLAIRDFWKVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSCLAGKYGNIFYWKEKGEDASIESAVMAISACLREPVGANNCSEVK >itb08g10050.t1 pep chromosome:ASM357664v1:8:9477219:9478580:-1 gene:itb08g10050 transcript:itb08g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSCGALVHGLVIKHGKHESMYVANALLDVYSTLSASMKDACMVFSEISEKNDVSWTTMIAGYTHQGDGYCGLQAFKQMLLEGVEPNAFSFSIAIKACALISPFSNGRHLHAAVIKHGFDFNIPVMNSILDIHRVCNQLLHNQLNGDVDKELQPDKVKGILPTLDGKLLVVSAFFYSLALKLPILSLNSLSCKLQVNLRITPFPQ >itb01g23210.t2 pep chromosome:ASM357664v1:1:29261860:29266206:1 gene:itb01g23210 transcript:itb01g23210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVERPSKPNMEKEKMELESSEEKQTRLGSIKKKAIHASHKFKHSFAKKGRRNSRVISVVLEDEHDAQEVKAVDAFRQALILDELLPAKHDDYHMMLRFLRARKFDIEKTKQMWTDMLKWRKDFGADTIEEDFDFKEKDEVLQYYPQGHHGVDKDGRPVYIERLGQVDAAKILQVTTMERYLKYHIMEFERTNNDKMPACSIAAKRHIDQSTTILDVQGVGLKNLTKSARELIQAIQKIDGDNYPETLCRMFIINAGSGFRLLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPDFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVRNGEHMCSHKVLVQADEKTISEDECTHPKKSVSFQLDNPIKLSTVREEQMSQPDKAQGKALDADWPKAEMLAKDCNFAGAEGCYPAHDPSKVQERTSTNFFSGVLALVMSIVTMVRMTRNLPRQLMTDAAMSMYSSPKSQLPEPAISASEYMAMMKRLSDLEEKVVVLSKKMPALPPEKEEMLNNALSRVDCLEQELSATRKALEDALDHQKELMAYVEKKKKKKRKFFGCSRL >itb01g23210.t3 pep chromosome:ASM357664v1:1:29261818:29266158:1 gene:itb01g23210 transcript:itb01g23210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVERPSKPNMEKEKMELESSEEKQTRLGSIKKKAIHASHKFKHSFAKKGRRNSRVISVVLEDEHDAQEVKAVDAFRQALILDELLPAKHDDYHMMLRFLRARKFDIEKTKQMWTDMLKWRKDFGADTIEEDFDFKEKDEVLQYYPQGHHGVDKDGRPVYIERLGQVDAAKILQVTTMERYLKYHIMEFERTNNDKMPACSIAAKRHIDQSTTILDVQGVGLKNLTKSARELIQAIQKIDGDNYPETLCRMFIINAGSGFRLLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPDFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVRNGEHMCSHKVLVQADEKTISEDECTHPKKSVSFQLDNPIKLSTVREEMSQPDKAQGKALDADWPKAEMLAKDCNFAGAEGCYPAHDPSKVQERTSTNFFSGVLALVMSIVTMVRMTRNLPRQLMTDAAMSMYSSPKSQLPEPAISASEYMAMMKRLSDLEEKVVVLSKKMPALPPEKEEMLNNALSRVDCLEQELSATRKALEDALDHQKELMAYVEKKKKKKRKFFGCSRL >itb01g23210.t1 pep chromosome:ASM357664v1:1:29261860:29266206:1 gene:itb01g23210 transcript:itb01g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVERPSKPNMEKEKMELESSEEKQTRLGSIKKKAIHASHKFKHSFAKKGRRNSRVISVVLEDEHDAQEVKAVDAFRQALILDELLPAKHDDYHMMLRFLRARKFDIEKTKQMWTDMLKWRKDFGADTIEEDFDFKEKDEVLQYYPQGHHGVDKDGRPVYIERLGQVDAAKILQVTTMERYLKYHIMEFERTNNDKMPACSIAAKRHIDQSTTILDVQGVGLKNLTKSARELIQAIQKIDGDNYPETLCRMFIINAGSGFRLLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPDFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVRNGEHMCSHKVLVQADEKTISEDECTHPKKSVSFQLDNPIKLSTVREEMSQPDKAQGKALDADWPKAEMLAKDCNFAGAEGCYPAHDPSKVQERTSTNFFSGVLALVMSIVTMVRMTRNLPRQLMTDAAMSMYSSPKSQLPEPAISASEYMAMMKRLSDLEEKVVVLSKKMPALPPEKEEMLNNALSRVDCLEQELSATRKALEDALDHQKELMAYVEKKKKKKRKFFGCSRL >itb08g03960.t1 pep chromosome:ASM357664v1:8:3175209:3183289:1 gene:itb08g03960 transcript:itb08g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSRPSQRRKLPSATNGVSFSAKNAYDDVFSGPANKRGAAPSRAVWDYAEIFGASRGSSIPVLDLSVLEDRAGGSGEEFRSSKLDYSMIFGGFDEEDIALPYEDVVSKRGKQRSSSKARTRSQGSDHSTSSEMNKVFSSDPSDQSFDGKKHFNMSYHKTGQGTDDGSNGMTHIAELHAVPGFTYFIDEATQLKTAGSGPPPYVKSEAYRKRSLSGEMNKRSARERDLRFSGEINKRPERQTDLRFSGEINKRPGRETDLRFSGEINKRPGRETDRHVLPKQSSGDAVRDGEPKQSSEDAVRDRDRSSWDKFLFSGNISNAHEPIPESDLSKGTKPSSSRANDIGLPTQSKSSNVASKADGFQRAAADSSLHSSDEELDVNSDAAVSAAALKKAIQNAQESIRLAKELMEKQKEGIPCRQKRSSKGSSKVGEKEHRKGHSSDSTKPKNTISNKHAFTRVEEGAALRNGAIASHFKQSGEISTDGDFKSECRKEHIVAPESDAAGKWNFKMLNGVKHETATVTSLRVEEKNNPVAPSVVMPQRVEEKNNPVAPSLRQASEIEDVKRIDEIGDLGEGTTKGIGLAGKSQNNPVAPSLVMSQPVEEKNNPVATSPRQASEIEEVTRIDEVIDLGEGTTKGIRSAEKTPHLVVSEAKINDLGRAEKLEETVSNLDSACIVPEPGKSENLSVINKFEISKKTGNNFDDLGNLEKIHKPHQKENFEFQELENDTLCNESDKPLKNEKEKQEFFECDELPKVVEDVHHSKQINKRVRKTWDKKEIELDHRRNVLWVENEDKSQVGFIEESCESSHQVSQEGVENDRLNGVCKTEIHECRETERLEEEHVGLLKRTEEGYKYETSEGIHKPETHELEGSEKKLDEEHVRVQESAQEVCSNEVINCKVRETDERTENQHIEEKQGDEGIENVERASDTDEGYQKINVTRYREEETGNMQSEVDKSEKYQGIDVALEAANEQGAEEIQSKERATDEDEEYQERNVPLSRAEETVCTQIGAHKSEKYQGIDVTLETADEQKPYPTSSACNGYSSYLFNEIREDCTSQVKESSVETNEQAASYNENEGLQGRADTFCKLEEYNMDDLKENKDSSDLREKKASDTTGDLQSALERDIHLNGRQGTDEDHFVDASKANLGFSCKKNGQEIQNERQDSETDGLTHNAMQIEREDIEKDSATNISNENVCGCKTDDVPETQTSEEIAKSAFSDVNLGEKIIAENGKESELTSNAVNGENLRVEKSAQDKETIKEEGVFFDNETDKDDVQTVHKESSTTENKKEQEPSQVPLNKSEESRVGDKEIKTEESKKTSNSKKVFVAEENEIKKSGQKAVGDKDQCRRVEVGKKERERDRDRIAVERVIREARERAFAEARERAERAAVERATAEVRQRVMAEAREKAEKSSVGNKTSVDKASAEAKLRAERAAVERATAEARERALEKALSQKTTAEVKVLAGKVGNDKYSGTSRENVLRHSFSSSDLERFDETTESAQRRKARLERHQRIMERAAKALAEKNMRDLLAQKEQAERNRLAEVLDADIKRWASGKEGNLRALLSTLQYILGPDSGWHPISLTEIITTAAVKKAYRKATLYVHPDKLQQRGASIQQKYICEKVFDLLKAAWNKFNSEER >itb14g16080.t1 pep chromosome:ASM357664v1:14:19355766:19358935:-1 gene:itb14g16080 transcript:itb14g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADDALSSLTSSIRGFIQDPNPNNNTNNPNPNPNPAKRKRNLPGNPDPDAEVIALSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKQEVVKKKVYICPEKSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFTSLPAPNLNFRHELLNAGLSTSALQHPQFGSHVFRPPPHHDLMGGGFDPASQLTLDGQKPRLPLWLDAANSDHHLSTANGEFLVPPPPASTAAALPQELLMWSGGGGSASSSTAVLKEEEHKGNIISEAMNSLYYNSPEAAAPPPAAHMSATALLQKAAQMGSTRSGNSGIFGNGFGLTGSTLSTLDSYNNNARNKVHHHHQNILGNGNGNSTGLMSVNDQMNNNNNNNGLLLMMQNKGKQVSLGGNEGVDGSLTRDFLGVGGSHDQNRPFLQQNELANFASASTVGLNQYGNH >itb09g26880.t1 pep chromosome:ASM357664v1:9:27467493:27468190:1 gene:itb09g26880 transcript:itb09g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKKWQKFAAIRRKRISFSRQSDDTDSCSTSSPANKGHFAIYTADQKQFVVPLSYLENEIIRQLLNMSEEEFGLPSDGPITLPCDAVFMDYIISLLSRGLSRELENALLVSITSHWCSSAPLHQEGWRNQELLVC >itb07g05670.t2 pep chromosome:ASM357664v1:7:3884588:3889053:1 gene:itb07g05670 transcript:itb07g05670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MDKIDCYRITEADFAKWEKQCKALKTEAAGEGSHGPLIGPSNDIHNKMNSDYVNSFGKDNIHAVNANISNSVVPLQNYTNERPQIYDSELPVTQGGCPHLHHSYAGLSCHPPVNGFALPDERTAKGETTSLGLPNFTKISSSVQEDILGNVHSGAPPPWLNAIEQNQLESLSNSGENDLYSLSQKRKSSKLNPKRVGAAWAERRKREMELEKKGELVMNKADANWLPNFGRVWQSGSRKESRKEFQIENKISGKIGSQSTTVVQLQPYISKRMRKDSVDGAVEQLSSSE >itb07g05670.t1 pep chromosome:ASM357664v1:7:3884574:3888588:1 gene:itb07g05670 transcript:itb07g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MKKKKKNSNEGFEFCEVCKLNHNQGRRHNFFPNHKKSLEAALSRFRSKLADLRSSLKNPTPLRPEHASLNRLWCRFCQFDIPELHSFFTSENAIAHLASEDHLKQVKGFLWKYGGGMDKIDCYRITEADFAKWEKQCKALKTEAAGEGSHGPLIGPSNDIHNKMNSDYVNSFGKDNIHAVNANISNSVVPLQNYTNERPQIYDSELPVTQGGCPHLHHSYAGLSCHPPVNGFALPDERTAKGETTSLGLPNFTKISSSVQEDILGNVHSGAPPPWLNAIEQNQLESLSNSGENDLYSLSQKRKSSKLNPKRVGAAWAERRKREMELEKKGELVMNKADANWLPNFGRVWQSGSRKESRKEFQIENKISGKIGSQSTTVVQLQPYISKRMRKDSVDGAVEQLSSSE >itb07g05670.t3 pep chromosome:ASM357664v1:7:3884588:3888588:1 gene:itb07g05670 transcript:itb07g05670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MDKIDCYRITEADFAKWEKQCKALKTEAAGEGSHGPLIGPSNDIHNKMNSDYVNSFGKDNIHAVNANISNSVVPLQNYTNERPQIYDSELPVTQGGCPHLHHSYAGLSCHPPVNGFALPDERTAKGETTSLGLPNFTKISSSVQEDILGNVHSGAPPPWLNAIEQNQLESLSNSGENDLYSLSQKRKSSKLNPKRVGAAWAERRKREMELEKKGELVMNKADANWLPNFGRVWQSGSRKESRKEFQIENKISGKIGSQSTTVVQLQPYISKRMRKDSVDGAVEQLSSSE >itb06g17630.t1 pep chromosome:ASM357664v1:6:21428348:21434288:1 gene:itb06g17630 transcript:itb06g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLHYPITSRIRFHASRLQSFKGSTPRAPLLPPSVNTTLGVVTATDHRLRVVAQAIYGSKSDIGKEDTAMEEKSDIGKEDTEMEDKSETYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTTEDVDKLRKIGVKTIFCLQQNSDLEYFGVDIGAIIEYATKCGDIEHVRAEIRDFDAFDLRIRLPAVVSKLHKAINKNGGIAYIHCTAGLGRAPAVALAYMFWVQGYRLSEAYNLLMSKRSCFPKLDAIKSATADILTGLKKSPITLTWNGEDCSNVEVSGLDIGWGQRVPLGFDEEQGSWTLHRKLPEGSYEYKYIVDGEWVCNSFEPITSPNKDGHVNNYVKVVDINTDSTSAAIRERLSGDDPDLTPMERATIRQFLDALPVEE >itb10g12220.t1 pep chromosome:ASM357664v1:10:18165829:18168857:-1 gene:itb10g12220 transcript:itb10g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIWAQEMGDHAIFSIIMAFLLVSFFSAHLSNVDASLSNAHSAYHLPSLSPPPSPLIHPHSPPPSHNHNPSIPESPEAKKKKKKKGEGANRRVVSAVLISAGITCSLCAIGVLWGCQKFRTKRKNLVRALLVHCIEGETRGESSQKSVEKVGLVTLQLSETNFLKQDPETANAISNQTTHDYTLSEKSSPSSSSSEVGTGEIICVPHENIEAVNSDHHSSDDQSFHSICNSFSSDHTIRFSNASASSLCEIISQDVSKQPQTSVPSTIINSNSESTKLPPPPPPPLPRRITNSAKGGSPPPPPQPSQIPAPLGKDGTPLPKLKPLHWDKVRAAPECSTVWDKLKASSFEFDEEMIESLFGYSLQNPLKNEEVKCKSSSPSPSKHILEPKRIQNIAILSKALNATSEQVCEALLNGSGLCLQELEALVKMAPAKEEEAKLLNYKGDTNELASAERFVKAMLKVPFAFLRVEAMLFKETFEDEVFLLRRSFSTAEEACKELRSSQLFLKLLEAVLKTGNRMNNGTVRGGAKAFKLDALLKLSDIKGSDGKTTLLHFVLQEMTPPQLGSTNAHHHHHLVSAALSTELCNVKKAAALDLDSLISCVSNLSGGIANTQNLLVLLKDDDEDKFVDAMRAFLKHAERNLKELREDEHRALLGVRQITEYFHGDDVSKEESNNPLRIFVIVRDFLGMLDRV >itb15g01420.t1 pep chromosome:ASM357664v1:15:854922:855972:-1 gene:itb15g01420 transcript:itb15g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTYNTTNKRVCNGHEFFPSAVNSRPRVAINGADLRTFFTLVMTDPDVPGPSDPYLREHLHWLVTDIPGTTDATFGREVVTYETPKPNIGIHRFVFVLFRQTRRLSVTPPSTAASSRDHFNTRLFAAENGLGEPVACVFFNAQRETAARRR >itb05g04840.t1 pep chromosome:ASM357664v1:5:4507988:4508455:-1 gene:itb05g04840 transcript:itb05g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPELLSDDYTYNSREEGYGGLSTGLMVLCIIIIYLSCIYFCKICRSCGSHPTAEAVVPTVAVAVGLDEAILRSFPKVVYSKAKGSGKYSIASTGCSICLDDYKEKDVVSVLPHCGHFFHLKCINPWLRLHPSCPVCRKLPSSSPPSPPPPAV >itb12g25060.t1 pep chromosome:ASM357664v1:12:26367359:26368935:-1 gene:itb12g25060 transcript:itb12g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFVFVVLVGMFIFSAGVDGWRPWPAAQKPNSTDLIFGGSKKYEGSSEFVHLRYHMGPVLTANITVYPIWYGRWDKSQKRIIRAFISAISAGSVKRPSVAGWWKTVRLYTDQTGANISRNVILGAEKNDRFYSHGKSLTRLTVQSVIKSAVTAPSRPLPTNPKSGVYLLLTSDDVYVQDFCNNVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGICAYPFAVPDYIPGLKPLKSPNGNPGVDGMISVIAHEIAELSTNPLVNAWYAGGDPSFPVEIADLCEGIYGTGGGGSYTGQMLNGADGATYNMNGVRRRFLVQWVWNPILNYCTGPNALD >itb12g07770.t1 pep chromosome:ASM357664v1:12:5937276:5937982:-1 gene:itb12g07770 transcript:itb12g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGCQYGIVPLNSRLGPCIFANKLYDSPPTAAGLLIRVPGKPTIHIRSKCFRPPYSVGKAKDKGTHKLKSRDVVVSSSRLTTWRVTEGGPGFKFSGLSATGILDHLAVANYMDDCDYDYNDVHE >itb07g01140.t1 pep chromosome:ASM357664v1:7:708269:710779:-1 gene:itb07g01140 transcript:itb07g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MAFECQKSTETGLLRSVVNCLEDSVIGKGESNLTGSLSNKGCILDSDIRNPKTKLNGEHYVLIPNGNEKHPAEEILPNCRSINDLPPALISEILNCLDPKELGIVSCVNSSLYQLASEHQVWKDFYCERWGNPAIPAPLGPGNSDVKTWKDLFVEREFRSKTFLGRYSVDTLYGHTEAVRTVFVLASKKLVFTSGYDQIVRMWDMEEGLSIASSRPLGCTVRAVAADSKFLLAGGTDGFIHGWRAEDGNPHLFDLRAPQNPSKEFRLWEHQGPITCLAVGFNKIYSGSWDMTVRVWDCSSLKCLNVLMHNDWVWSLVPHDTTVASTSGSDVYLWDTNSGMKLAVVNNAHVGNAYSLARSHTGKLLFTGGEDGAIHMFEVVKNARCHVKKVATWVPHSGPVYSLAFEFPWLVSASSDGKLALIDVRKLLKTSRYSATGSRPCKVDNLDHTSVEPPQRMLHGFGSNLFAVDIGLDRIVCGGEEGAVRIWNFSQALEIEQRVRALRGLRLENRMRRRKLQSNMNSKGSRSDQCSVAAKKNQINGDRNSWQNKRRVSGKLKA >itb10g13980.t1 pep chromosome:ASM357664v1:10:20258511:20263862:-1 gene:itb10g13980 transcript:itb10g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAHWISSKLKAAENILQQIDQQAAESLKKGEKARSDGLDLEISATPSESKPLKDQLKKKTPENKDFTGKLRDDRNLNAVSSENSKNYGVSSYKKEKEVVTPASLSPKPPGTNTSTLTDADWTELLSVPNKSPTLGTARSARISNRAGGVRGLKKDSRIQGSLGSGSSLLPLDGKKSQKSHNNVPKSSREPDGQLGNKGNDSARDGRLTDGVNVSAGSSSAELKSDGDSSERDHSDGRDEASDLLHGNNDEKSIAMDQHVDVIKDGDVGWEKGAPIGMESATINGQLDLKMQTNDNQRFKNGPTVGSQSSLKIRSSSPSDRESDSETDSTSSSGSGSEREREERRKRRQQILAEKAAAKAIEAIREREDMVAKLEGEKQSLEKILEVRAKQQAQEASELQTKMMETMEAVELEKQKHNSTRMETLARLAKLETANAELAKSLATAQWNLEVEVNHVTELRQQIELKEAAQEELRRKISQTKESGDKLVASKGVEFEREILEAEYSFLTDKVGILQEKAKTLETSIDTTKRELESPTEVEVELKRRLGQLTDHLIQKQAQVESLSSQKATLLFKIEAVSRTLDENKSMLDLADIPSTSSTSDLESGDWSLRNSKLKSSFEERMHSGQQHFWSLVWQLDSIFSAGAVFLRRNSQARIWSLVYLACLHLWVIYILRSNSSVSEVATGAAVSLENINNTARI >itb11g02710.t1 pep chromosome:ASM357664v1:11:1384933:1389450:-1 gene:itb11g02710 transcript:itb11g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLKQNVQPKPDPKKLDAKKKKEEEKAKEKELNDLFKVAVSQPKVPVGVDPKSILCEFFKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVQSKSEEYNKNKPTDIVCKHFLDAVEKKQYGWFWVCPNGGKECHYRHALPPGYVLKSQMKALLEEEADKVSVEEDIENQRSKLTKSTPMTPDLFMEWKQKKREERDAQLALQMAERAKNDRMSGRELFMSDSSWFVDDIGAYDKYEREEESNDTEQKVDKDSSKGEPSTSTSAPGTSDSKDVSDVDDDDDDDDLDVDELNELEASLSKTTLQIKEAGDQA >itb12g18290.t1 pep chromosome:ASM357664v1:12:20533688:20534448:1 gene:itb12g18290 transcript:itb12g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLNPFLKKTNLSKYEKPRKAEVVVQPTYVYRDELNAAKKPPQNKIKKKVRFNLEEQKSEEEAVKKPEVTGAGAGGVKVKILMTKEEAARLLSRCTDGRVLAFNDVANELVDIPSSRVAVVSCGGALH >itb07g07660.t1 pep chromosome:ASM357664v1:7:5830003:5832631:1 gene:itb07g07660 transcript:itb07g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFNPSCFFFFLFSSMAFYFAEGEDYYEFQSNSCPNGFSCGSLGYLEFPFAQHTHPHCGLIGVDCDVKPLPKLQLGMGEEWYQFLDIKNSVANTTILVLEDPKLQSLLDTHNYSNLNYTLQYPYSPSLTFTNFVTNTFKAIHKCNNSPADDDDMLNYERYYCFQGFGLVYKKALVPQENPKCVAAKCTLYPTKIFVNQTNALLIARYRLGFQVSNACNECYYGGGQCTQDINNQFHCAKGKLHNGSLVAVKVLKELRASGEEFINEVASISRTSHVNIVTLIGFCIEGRKRALVYEFMLNGSLEKFIYDNKSMLCNKRESIVSIFGTRGTIGYIAPEVVCKNIGGVSHKSDVYSYGMVLLEMVGGRRNSDEGVSRNSKIYFPHWIYTRLVLDDDLGLNGVMNE >itb07g17480.t1 pep chromosome:ASM357664v1:7:21616456:21624996:1 gene:itb07g17480 transcript:itb07g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARALLLSYYNLPPKRHGSPDAIFPKRSFSSRSHAHTHTLFEFGPPFLPGRGVRRGLIRRTSWRTWADVKSKTYGISDSVPDSLKFEDALNDAVLTEDEDDGETISGFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMASEYHWSPMTVGLIQSSFFWGYLLTQIAGGIWADTVGGKLVLGFGVVWWSIATALTPIAAKLGLPFLFVVRAFMGIGEGVAMPAMNNLLSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPMLIHKFGWPSVFYSFGSLGTVWFTVWLSKAHSSPLDDPELLPEEKKLILSNSVSKEPVKSIPWKQILSKPPVWALIVSHFCHNWGTFILLTWMPTYYHQVLKFNLTESGMIAVLPWLTMAFSAYAGGWIADSLVRKGVSVTVVRKIMQTIGFLGPAFFLTQLSHVDSPAMAVLCMTCSQGSDAFSQSGLYSNHQDIAPRYSGVLMGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >itb05g10960.t2 pep chromosome:ASM357664v1:5:16887388:16893999:-1 gene:itb05g10960 transcript:itb05g10960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRDRTEDFKDTVHRSAVSLGYDESKTAAILASFILHKPRQRSSFTKAALKTVTIFIKSCKEQIDVLKNSINDDEEAKSRGWIGFKGDNSNADTVAHKHGVVLILSEKLHSVSSQFDHLRALRFQDAINRVAPRRKPRRTGKSSTSEISTSSNLDQRQQSDSEARNPNELQSEPIRVQEQFLDDETRALQVELTGLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVELGNKELTKAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >itb05g10960.t1 pep chromosome:ASM357664v1:5:16887341:16894165:-1 gene:itb05g10960 transcript:itb05g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRDRTEDFKDTVHRSAVSLGYDESKTAAILASFILHKPRQRSSFTKAALKTLESIGVLEEFLLKHKKDYVDLYRTTEQERDSIEHEVTIFIKSCKEQIDVLKNSINDDEEAKSRGWIGFKGDNSNADTVAHKHGVVLILSEKLHSVSSQFDHLRALRFQDAINRVAPRRKPRRTGKSSTSEISTSSNLDQRQQSDSEARNPNELQSEPIRVQEQFLDDETRALQVELTGLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVELGNKELTKAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >itb01g35060.t1 pep chromosome:ASM357664v1:1:37474174:37477920:-1 gene:itb01g35060 transcript:itb01g35060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MSVTIRLHVITAHLYSSNNKPKTPNYASKFNPKPIFDSNPLSPLRFSRPRKMSSLPVVKSSLIEPDGGSLVDLVVPETQRVSRISAAESLPKVRLTKIDVEWVHVISEGWASPLRGFMREDEYLQSLHFNSLRLKNGSVANMSLPIVLAIDDEAKERVGGSSDVALVGPGEDLIAILRSVEIYKHNKEERIARTWGTTAPGLPYVEEVITPAGNWLIGGDLEVLKPIKYNDGLDHYRLSPQQLRNEFDRRKADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKEMAFFDPSRAKDFLFISGTKMRTYARTGENPPNGFMCPQGWKVLVKYYESLQAEESAQKSAVLSA >itb11g10610.t1 pep chromosome:ASM357664v1:11:7595765:7596439:-1 gene:itb11g10610 transcript:itb11g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGGVCEIHGGWGDPWLHIKLRYNALAVSDEDEILFEIIRIKIPLTSNSFKPEAELVFSNPSQPLPLEMGLFSHNHIVNMVGGYHGRRAVGVDSPKVVEADGLQYFDRVYMFDPTKFDEIPLENIKSLQNLNLNCERMVYPNVIRAEDRIYLLSLRDFFVIILT >itb11g10610.t2 pep chromosome:ASM357664v1:11:7595765:7596055:-1 gene:itb11g10610 transcript:itb11g10610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSHNHIVNMVGGYHGRRAVGVDSPKVVEADGLQYFDRVYMFDPTKFDEIPLENIKSLQNLNLNCERMVYPNVIRAEDRIYLLSLRDFFVIILT >itb01g27190.t1 pep chromosome:ASM357664v1:1:32107170:32109592:-1 gene:itb01g27190 transcript:itb01g27190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSVCTEVRRNQDSRGMGVGNIAGSYGVFYISQITIPNPYLAVSSPSSPKCLIGYFPSDLQSSISDASLQPPQATLVCDLHQRRPSATSTSGANLQGCDQRRRPARLRPVSLINRRVGDGENRVFDQRPRRTSTESLLQPQPLECVPACLYYSESLLRPATSTSSA >itb01g27190.t2 pep chromosome:ASM357664v1:1:32107170:32109592:-1 gene:itb01g27190 transcript:itb01g27190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSVCTEVRRNQDSRGMGVGNIAGSYGVFYISQITIPNPYLAVSSPSSPKCLIGYFPSDLQSSISDASLQPPQATLVCDLHQRRPSATSTSGANLQGCDQRRRPARLRPVSLINRRVGDGENRVFDQRPRRTSTESLLQPQPLECVPACLYYSESLLRPATSTSSA >itb01g27190.t3 pep chromosome:ASM357664v1:1:32107170:32109592:-1 gene:itb01g27190 transcript:itb01g27190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSVCTEVRRNQDSRGMGVGNIAGSYGVFYISQITIPNPYLAVSSPSSPKCLIGYFPSDLQSSISDASLQPPQATLVCDLHQRRPSATSTSGANLQGCDQRRRPARLRPVSLINRRVGDGENRVFDQRPRRTSTESLLQPQPLECVPACLYYSESLLRPATSTSSA >itb02g07080.t1 pep chromosome:ASM357664v1:2:4412618:4418828:1 gene:itb02g07080 transcript:itb02g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGPIVGEVYKSLQEQVKTQAQYALNFKDQFKEMQTQLELMQAFLNDCKDDKSKSQTVKTASKHLRELTYVADDIVTGCQIREDYKRAIETAFCLPSCKEVLYRWDTGRKLTEINQQLQNMHKMRAIIEPVSQKSKPPSPSSRKTTCLVPQKIVGLEKETEKITNWMLNDNVSFIGIAGMGGLGKTTIAQKVFNHKLVKDQFKKRIWVCVSQTYDEDELERSILDMLGVEYKEFNESDLQTKISEFLMKNNTLIVLDDVWTLKGGWWTLISTAFQERAKHNGCIIVTSRNKYVIKGMAEHGGFNKNVEIHEPNLLGKVESEKLFCKFVFGSTKTKFFNDSELDKVGKEIVRKCNGLPLAIKIVGGVMSGVKPRTPAEWRKKCEKFREVIIEKIEGTVSVIDSLQLSYDELPDHLKQCLLCFAIYPDDHEIEVEQLKRWWIGEGFVRGTESPNEEAYEYLLELINRCLVEVTKRRDFDGRVYKCKMHDMVREMVIRNAKDDGFCDFSDKGKHIATPESLHLGVTKDTSFQSLIGNEKLRALILTTCDSIGFNKRIALAKVKTLRVLDLSRLKLDGINVDDLWHWITSQKLLAYLNLHDVADLKEIPPSIQKLWGLQILVLEGCKSLRTLPSPMACFPRLRVLDIGNCSSLLWIPQGLSTLSTLQELFGFKIPKRNQQEACHLSDLKKLKQLEVLQVDIMEGSLIQDEDLTALESLENLRVLSINANGITDDELLQKLKDLCVPKGLKHLYLRRFCLETTPAWISPSSLPQLQFLCIEDSKELIEFSENFHEDGWMVEGLSLKFLPKLNEEWEWLKEKAMPQLRYLEVSHCNSLKSYPYHTDDLYIWEKPRDEMEDDKEVERYDYEEDNEEECNACED >itb02g07080.t2 pep chromosome:ASM357664v1:2:4412610:4418824:1 gene:itb02g07080 transcript:itb02g07080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGPIVGEVYKSLQEQVKTQAQYALNFKDQFKEMQTQLELMQAFLNDCKDDKSKSQTVKTASKHLRELTYVADDIVTGCQIREDYKRAIETAFCLPSCKEVLYRWDTGRKLTEINQQLQNMHKMRAIIEPVSQKSKPPSPSSRKTTCLVPQKIVGLEKETEKITNWMLNDNVSFIGIAGMGGLGKTTIAQKVFNHKLVKDQFKKRIWVCVSQTYDEDELERSILDMLGVEYKEFNESDLQTKISEFLMKNNTLIVLDDVWTLKGGWWTLISTAFQERAKHNGCIIVTSRNKYVIKGMAEHGGFNKNVEIHEPNLLGKVESEKLFCKFVFGSTKTKFFNDSELDKVGKEIVRKCNGLPLAIKIVGGVMSGVKPRTPAEWRKKCEKFREVIIEKIEGTVSVIDSLQLSYDELPDHLKQCLLCFAIYPDDHEIEVEQLKRWWIGEGFVRGTESPNEEAYEYLLELINRCLVEVTKRRDFDGRVYKCKMHDMVREMVIRNAKDDGFCDFSDKGKHIATPESLHLGVTKDTSFQSLIGNEKLRALILTTCDSIGFNKRIALAKVKTLRVLDLSRLKLDGINVDDLWHWITSQKLLAYLNLHDVADLKEIPPSIQKLWGLQILVLEGCKSLRTLPSPMACFPRLRVLDIGNCSSLLWIPQGLSTLSTLQELFGFKIPKRNQQEACHLSDLKKLKQLEVLQVDIMEGSLIQDEDLTALESLENLRVLSINANGITDDELLQKLKDLCVPKGLKHLYLRRFCLETTPAWISPSSLPQLQFLCIEDSKELIEFSENFHEDGWMVEGLSLKFLPKLNEEWEWLKEKAMPQLRYLEVSHCNSLKSYPYHTDDLYIWEKPRDEMEDDKEVERYDYEEDNEEECNACED >itb12g23030.t1 pep chromosome:ASM357664v1:12:24903963:24906540:1 gene:itb12g23030 transcript:itb12g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MSDTVDLTGDGGVLKKIVRRAKSDAIAPSEGLPLVDVHYEGTLAETGEVFDTTREDNTVFTFEVGKGSVIKAWDVALRTMKVGEVAVITCKPEYAYGSAGSPPDIPPEATLVFEVELVACRPRKGASLSSVSDEKARLEELKKQRELAAANKEEEKKKREEAKAAAAARIQAKLEAKKGKGKGKAK >itb04g27430.t1 pep chromosome:ASM357664v1:4:31452302:31456528:1 gene:itb04g27430 transcript:itb04g27430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTICMNELCRGATSSSEWKKGWSLKSGGFAKLCYNCGSAYENVAFCEMFHLDESGWRKCRICGKHIHCGCIASKYLYEYMDYGGIGCVSCVSRLGNHALRSVQPLGVENRVDASNSAKGKLLKFSNAVETCESVQHLGTWVDDNIKQEKRKLSGSQVETCLPNLNACESFDQPSNFSLNTPVNCSSSFNGGREQNMVSPLHQGQSVHHMLPKQPKASQNNDSKSKGGAKTQTNIVRPPAEGRGGRARLLPRYQSKITDQELQQISGDLKSTVVPLFDKVLTASDAGRIGRLVLPKACAEAYFPPIDQSEGLPIKIQDITGKEWTFLFRFWLNNNSRMYVLEGVTPCIRSMQLQAGDTVTFSRIDPGGKLVMSFRKGTNNGDTQGSQHSNLPGSGCVSDNHTKKTQNIGSKRKRFLMQVEDAIELRITMEEAQDLFCPPPSLKPTILTIDDIEFEAYHEPPVFGKATISVPDEINQTEPDTSLRVTKGSKRQKVITEKTNDREPSGLDALNTDTVAEDNTGGLGKHSVGATTKHPRHHPGCACIVCIQPPSGTGKHQPNCDCNICSTLRRRLNTFNQRKKKRQSDHETELAVGKDKLPPHKDAPERDTANEPGAIHMNHSENNSDLNRQRYPPMDVRSSQGQLDLNCHPSCEDDLLVEVDHIEVE >itb08g07620.t1 pep chromosome:ASM357664v1:8:6566126:6570412:1 gene:itb08g07620 transcript:itb08g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSWGKLPRCCAISARQSFISSAFSTSSGGGGWGRGRGGGGFGSDNSPIFGITPNNDAKDDKSAAAPQPPSGIGHGRGSGKPFPSSSDPFVADNASAAAPAGRGRGVPGPISSDSQTKASADSSLPSSILSVLSGAGRGKPTVTPPVSEKPKEENRHLRARKTPQAESSKPPSPRLSREEAVKKAVGVLSRGDDDGGSGRGAMAPRGGRGFSARGRGGRRGGRGGGGRGGRGRMGRGEGIQQDTGDAYGDVFEVGDDAAAEKLAQKVGPEIADQLAQGIEEMGPRVFPSLIEDAYLDALDTNLKIECEEEYMMGEFDMNPDIDEKPPIPLRDALEKMKPFLMVYEGIESQEEWEEVMKETMEVRLPIIKEIVDHYSGPDRVTAKQQHEELNRVAATLPQSAPTSVKLFTERAVQSLQSNPSWGFHKKCQFMDKLVYEVSKQYK >itb09g02360.t1 pep chromosome:ASM357664v1:9:1372346:1373026:-1 gene:itb09g02360 transcript:itb09g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKAFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVFLKDREVILVEALKLDDIKLGIYNVHCLPLRMLGAEGSPIRCILIK >itb01g04670.t1 pep chromosome:ASM357664v1:1:3173728:3176785:-1 gene:itb01g04670 transcript:itb01g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNWKLFAFSVLCALILLTEYCTADDTLTRSRQLSVNQSLVSAGEVFELGFFSPGRSRKLYIGLWHKNITVRRILWVANRENPLLANDSAASLKIGSDGNIRLVDGKQKTVWSTNVSIQSNSSTIAVLTDKGELILRDTAMGVLLWNSFNYPCDTIISGMRLGYDIRAGVKQELSSWKSEDDPSPRNFRVGLSQEKPPQVFTWGNNSKPYWRSGPWNGWRFIGIPGQASGYAKGMNLVQDNNQGTAYLSFNMFNASYVVIVSIKPSGSLQMDEWNDKRKEWVVDFEAPDHICDVYGTCGPFSVCDKKKSPFCECLRGFIPKSREEWSEGNWTRGCVRRAELLCEPDRSSMASKNSKNDTFFKLTEMKLPDHFIYLFDQDMEGCRQWCLENCSCVAYAFPQGIRCMVWTSSLIDVLQFSLAGEDLYLRLSSSEFGEDKRRIALIAGLTSAVVLGILIYMTCIWRANRKVKRLSAHSGQGVEEFKNEILLISKLQHRNLVRLLGCSIHGEEKLLVYEHMKNKSLDSFLFDQTKKVQLDWAKRITIVQGVARGLLYLHRDSCLRIIHRDLKASNILLDMDMNPKISDFGLARPIRVTEELFTQRIMGTFGYMSPEYVIGGQFSEKSDVYSFGVLLLEIVSGRRNCGFYNHDEKHFSLLSYAWQLWSESREVELIDESITSSCSFTEALRCIRIGLLCVQDHVSDRPTMSNVVLMLCSETDIPQPKQPTFTIQSFLDSDSKSHRSNAHSKNETTPADMNSAN >itb15g00120.t1 pep chromosome:ASM357664v1:15:83045:86733:1 gene:itb15g00120 transcript:itb15g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAKANNGTNNGGEVAKTATADDHQENPINNLPTNNTSAIPAPVAEESREGEFGASTNVNEGAQGGRQPSRRTPFTDLSQIDADLALARTLQEQERAYMMLTMNGDGSDYVSEDEYGYDYDDDDNFDDPSEEDGSHVDEEDEDAFDVHAHDEASEDENLGIELDPSAFPSDEAYARALQEAEEREMAARLLALAGINEGQALNEEDHGQNSQDAWEEVDPDELSYEELLALGEVVGTESRGLSADTIASLPSTNYKSQNTQDGANDSCVICRLDYEDGETLTVLSCKHSYHSECINNWLRINKVCPVCSAEVSTSGNS >itb05g21270.t1 pep chromosome:ASM357664v1:5:27117021:27122034:-1 gene:itb05g21270 transcript:itb05g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTKGGRQASGSSTYGSGSAQDDGWEVYGKKSKNKAGTSGVKQYAPQNSAAVSTGNAWGPSGHKAWNNGGSGRGSVSSTDSRRPSSGGRDNARFPPAIAPPLQHGWNWSSRVASNPSSSEDSLRKIENNQTHPATVDIVTVKNEEEEDDEDEDLLDDSDEELLSDGFDSDESEKSHETAKKNSWFKEFFDSIDSLSNEELNDPERQWHCSACKGGPGAIEWFRGLQPLLTHAKTRRSKRVKLHRKLSKLLEEELRRRGTTVVQAGEMLGKWNGVKEKDFEIVWPPMVIIMNTRDDKDDNDKWTGMGNQELLDYFSEYAAVRARHSYGPQGHRGMSVLIFEASAVGYLEAELLSKHFTDNGRDREAWQRNNMIFYQGGKRQLFGYMATKKDLDVFNQHSQGKSKLKYEIRSHLEMVVNSMKQMNEDNQQLIWYKNKAQKHFKHAKAVEESFVLMGEKYRQSTEETKIVRSKTKDHHVQKEEEIEYLEHLVQERFQSILEARDHSAFDDHQRFRAEVGKYFESLDLEKVDPVERKQLLVKAHDEWVSKMKQRHRAEEAAVEEEFNAALTRLEI >itb09g30710.t1 pep chromosome:ASM357664v1:9:31322197:31325743:1 gene:itb09g30710 transcript:itb09g30710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACDVLRLVLVTCGFFFVGCDVFPSDEVKALTKFKESINGDPFLVLSNWNASDSDPCHWKGISCSKPGDHVIKINISGASLKGVLAPDLYLISYLEELILHGNLLVGEIPKEIGLLKGLKVLDLGANQLTGPIPPEIGNLSSVKKIILQSNGLTGSLPPELGDLKYLEELRVDRNKLEGIVPASNDSDFTASLHGMHTPGGNTIGLCCSSQLKVADFSFNFLSGIIPKCLSYLPSSSFQGNCLQVNEIKQRPAARCASAPQVKNSPGVNTTLNPVEDLAKHRSGSKPTWLLVLEVVTGILAGTLFFAALLTAFQKCKKKPSIIIPWKKSDSQKDHLTIYVDTEMLKDVARYSRQELEIACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCANEEHWSAHLELYFQKEVAERARINHENTAKLLGYCTESSPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMNIIIGIAKGLKYLHTELNPPFTICELNSNSVYLTEDFSPKLVDFESWKTILSRSEKSSGSISSEGAVCVLSSSLERRHLDVQGNIYAFGVLLLEIVSGRPPYCKDNGSIVDWAKEFLEVPEVMSYVVDPELKHFRYEDLKIICDVVNLCIHPSCSSKTSMSNLCNMLLGNIDTSVSVELRASSLAWAELALS >itb06g15400.t1 pep chromosome:ASM357664v1:6:19765560:19769494:1 gene:itb06g15400 transcript:itb06g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSPPFFFFLLLFISSLFLKISGETDTITSTQSIRDGDTIVSSDGTFELGFFTPANSQNRYVGIWYKVTDKTPVWVANREAPLKNKSGVLRFKPAGVLSLLDDSNQTIWSTNSSGQSPKNPVAQLLKTGNLVVREADDETPENFIWQSFDYPSNTLLAGMKLGKNLVTGLEMFLSSWKTPDDPSPGEYTYHCDITGYPQDLLRKGSKVVYRAGPWNGLHWSGAPNMVNNTMTTFGLVMSKDEVYYIYNLVNKSVISTLVVNPDGDTGRKTWVDKIHAWTNYHSTKADDCDTYGLCGPYGTCNILDAPLCQCFGKFVPKHQGDWDSADWSAGCVREVGLNCSSDGFLRYSGVKLPDTNISWYNRTMSLEECRDVCKRNCSCMAYTSLDIRNGGSGCLIWIGDLVDTRQLSEDGQDIYVRMAYSALDSEDGSSGKRRREILTVGLPLIIAAVFVVLGLGTVLYFRKRKEEDLPEHTNNVIPAHNNKRDSSGPTNTDDLELPQFDLFRITQATDSFSLNNKIGEGGFGPVYKGVLEGGQEIAVKRLSETSKQGLDEFKNEVICIAKLQHRNLVKLLGCCIQGQDAMLVYEYMPNKSLDLYIFDQERSGLLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDIDMNPKISDFGMARSFGGDETGANTRRVVGTYGYMSPEYAVDGIFSIKSDVFSFGVLVLEILSGKKNRKFVHPDHHLNLLGHAWILHKENRSLELVDPMLVDSCHLGEMTRSIHVGLLCVQQSPEDRPNMSSVVWMLSNECVLPEPKQPGFFTERSVAIADQSSWSTNTPSTVNDMTITLLDAR >itb14g04510.t1 pep chromosome:ASM357664v1:14:4039459:4039743:-1 gene:itb14g04510 transcript:itb14g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENWIDYFQYWRDTASEARDALLVVAALIVQVTFEAGINPPSYIVQNAQQVSASSLNVFLVANTLSLSSAMTMIEYLTRNMPFQRELRISLA >itb12g18540.t1 pep chromosome:ASM357664v1:12:20849448:20850281:-1 gene:itb12g18540 transcript:itb12g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQSQNPAQQSDNVQPSAVSTQKANKTHQSCREKVKGMANKMFHHDQAQQGHQSANQACQTACAERKKKETNCMPKIRARMKIIKKKKNNEGKTGDTSSSSSDEGDQGQGARKKMQN >itb10g20050.t1 pep chromosome:ASM357664v1:10:25724504:25725143:-1 gene:itb10g20050 transcript:itb10g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIDIGAVPFNADGWGPPESTTGGSISVPNQPSYVPFAPFSRSEKLGRIADWTRSLSNQNRPGSNTKQNAVDSAFDFTGDDSFATLAADEDSSFRLVDTAAKSHNHGQNRPKFNPRWRFNPHHQRSQLPQRRDEEVEARKREAEKERARRDRLYNLNRSVSPSSRSPFPSLKICSSAAA >itb10g20050.t2 pep chromosome:ASM357664v1:10:25722869:25725143:-1 gene:itb10g20050 transcript:itb10g20050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIDIGAVPFNADGWGPPESTTGGSISVPNQPSYVPFAPFSRSEKLGRIADWTRSLSNQNRPGSNTKQNAVDSAFDFTGDDSFATLAADEDSSFRLVDTAAKSHNHGQNRPKFNPRWRFNPHHQRSQLPQRRDEEVEARKREAEKERARRDRLYNLNRSGTNAASRRESAVFKSSVDIQPEWNMLDQIPFSSFSKLSFSVPEPEDLLICGGLEFYDRSFDRITPRNDRRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVIQKVGNKLFFDKREGSQLDLLSVHETSQEPLPDVKDDINSAYSLSVEAAYINQNFSQQALIRDGSKVTFEEPNPFASEGEEVASVAYRYRRWKLDDDMYLVARCEVQSVLEANNQRSFLTLNALNEFDPKFSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTSNMWGIVKSIVDLFMKLNDGKYVLVKDPTKPQVRIYEVPADAFENDYVEEPLAEEDQVQPPAEDTEGVEANSAANDVEDKGPSTEAS >itb13g26940.t1 pep chromosome:ASM357664v1:13:32016800:32020069:1 gene:itb13g26940 transcript:itb13g26940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAKFVRQHHISFFGLLETKLPPHKVDLLFQTHFSNWHCFVDFNIIQRGRIILAWNPSKVDCSVLDVSPQVIHCSLRCKITNKNFLCSAVYGLYSVVERRLLWEKLISLGPLQSVPWMIGGDFNVVKDPEEKRGGQIPTSYMTRDFVECCAHLNLTDAPSSGNLFTWTNGRVKVKLDRILINIPWLNSGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGSIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEEFQAFIQNFEVESARDEDRERLKSLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHRDGAINFVLDHNGIRDNRLDFSGMPKGQLPVKYLGIPLDGQRLKVAQFSPLITAITRLIEKWKGCTLSYAGRLELIISVIQGTISFWIQNFPLPANVIEHVAFLCGKFLWGRRVSLITWDKICFPKEEGGLGIHDFKVWNTSFFSKVLWDIHSKRDSLWIRWVNSVYLNGNDVWEFCPNKRDSALFKKIFEARDKISLAKGGVQNAKEFLHNSVNNNKFQVSQIYDLLREKSQPAFAWRFVWRSYIPRKFSFITWLAVHQRIPTKDRLAFLDINTDCSMCVGDKETAQHLFFKCPFSLQVWNQVRMHFGFHKCTNAIKSSIKWINRLHGGARLRSKAITIALICTIYHLWRNRNRVHHDEDRLPIDGLVKNIAKDVYRVIFYLYPIT >itb12g28040.t1 pep chromosome:ASM357664v1:12:28135638:28136500:-1 gene:itb12g28040 transcript:itb12g28040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDYYYQHCCCLLAIIVVLLLIIPVHGDSSDDLIQKTCKNTKYYDVCVSSLKSDSTSSNASDTKGLAQIMVRVGVANATATKSYLSSLIAGGGGGGANDTASTATAAAMKKVLRDCADKYSYAGEALLASIQDLDAEMYDYAYMHVMAAADYPNACHNGFRRYPGLAYPRQLAIREQGFKRICDVVMEMLHSLLLS >itb10g05750.t3 pep chromosome:ASM357664v1:10:6043090:6052424:1 gene:itb10g05750 transcript:itb10g05750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIKLNFQPDSKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSATDDAYDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTSRELKDEWHAPANFSINVATANATQVLLATGGGHLVYLEIGDGRLIESKHAKLEYDISCLDINPIGDNPNYSHLAAVGMWTDISVRMYSLPELNLIRTEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLMSFILNMRSGELADRKKVSLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQTRTFAICSLKYNQANADDTEMHFIRLLDDQTFEFISTYPLDQFEYGCSILNCSFSDDSNVYYCVGTAYVMPEENEPSKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLDVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTIIFGTVNGVIGVIASLPNDQYIFLEKLQTNLRKVIKGVGGLSHEQWRSFYNEKKTVEAKNFLDGDLIESFLDLSRVRMEEISKAMAVPVEELMKRVEELTRLH >itb10g05750.t2 pep chromosome:ASM357664v1:10:6038661:6052424:1 gene:itb10g05750 transcript:itb10g05750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIHTEIKSLKTDLQPSVVHIDCLCKKKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDAEISEVITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTVVVLYQDNKDARHVKTYEVSLKDKDFIEGPWSQNNLDNGADLLIPVPSPLCGVLIIGEETIVYCSATAFKAIPIKPSITRAYGRVDADGSRYLLGDQNGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVYIGSSFGDSQLIKLNFQPDSKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSATDDAYDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTSRELKDEWHAPANFSINVATANATQVLLATGGGHLVYLEIGDGRLIESKHAKLEYDISCLDINPIGDNPNYSHLAAVGMWTDISVRMYSLPELNLIRTEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLMSFILNMRSGELADRKKVSLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQTRTFAICSLKYNQANADDTEMHFIRLLDDQTFEFISTYPLDQFEYGCSILNCSFSDDSNVYYCVGTAYVMPEENEPSKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLDVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTIIFGTVNGVIGVIASLPNDQYIFLEKLQTNLRKVIKGVGGLSHEQWRSFYNEKKTVEAKNFLDGDLIESFLDLSRVRMEEISKAMAVPVEELMKRVEELTRLH >itb10g05750.t1 pep chromosome:ASM357664v1:10:6037718:6052424:1 gene:itb10g05750 transcript:itb10g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTNVTHSCVGNFTGPQELNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDAEISEVITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTVVVLYQDNKDARHVKTYEVSLKDKDFIEGPWSQNNLDNGADLLIPVPSPLCGVLIIGEETIVYCSATAFKAIPIKPSITRAYGRVDADGSRYLLGDQNGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVYIGSSFGDSQLIKLNFQPDSKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSATDDAYDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTSRELKDEWHAPANFSINVATANATQVLLATGGGHLVYLEIGDGRLIESKHAKLEYDISCLDINPIGDNPNYSHLAAVGMWTDISVRMYSLPELNLIRTEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLMSFILNMRSGELADRKKVSLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQTRTFAICSLKYNQANADDTEMHFIRLLDDQTFEFISTYPLDQFEYGCSILNCSFSDDSNVYYCVGTAYVMPEENEPSKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLDVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTIIFGTVNGVIGVIASLPNDQYIFLEKLQTNLRKVIKGVGGLSHEQWRSFYNEKKTVEAKNFLDGDLIESFLDLSRVRMEEISKAMAVPVEELMKRVEELTRLH >itb13g23950.t1 pep chromosome:ASM357664v1:13:29743490:29747764:-1 gene:itb13g23950 transcript:itb13g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVINLPLKTELRLSISKKRWHLAVSMIKCLNAFRHYSKQNPSAKLFEAPSYKYLKNPRKVSPEQSDRLSINVDDEEEEEIPRCFQNLDQSILAKIVKEKSLEHLTNLGGIGGLSSSLDTNLHDGIYGDEKDISRRNEAFGSNTYTKPPAKNLFHFVWEAFRDPTIIILLACAVLSLAFGIKEDGIKEGWYDGGSIFLAVFLVIAVSALSNFRQSRQFDKLSKISKNIPVEVVRAGRRRQVSIFEIVVGDIVCLKIGDQVPADGLVVEGHSLMVDESSMTGESDHVEINYDRNPFLISGTKVADGYGRFLVTSVGMNTAWGAMMSEITSDSGSEETPLQTRLNKLTSSIGKVGLAVAFLVLVVLLVRYFTGHTKDENGNKEFNGSKTKADDVINSVVGIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMSDQAMVRKLSACETMGSATTICTDKTGTLTQNKMKVTKFWLGKECMEGKNRGEIERGVLELFHQGVGLNTTGSVFRSDDSGLGFEFSGSPTEKAILSWAVEELGMDMEEVKRYFTVLDVEAFNSEKKRSGVLMKKAADDNTVYVHWKGAAEMILGMCSNYYDLEGNKKSLDGNERAKFEEMIQGMAASSLRCIAFAHKQVSETHVSIADNSHGLTLLGLVGLKDPCRDGVKKAVESCQFAGVNVKMITGDNVFTAKAIATECGILQPNQASEEGLSVIEGFEFRNLTEEQRMARVENIRVMARSSPFDKLLMVQCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLMWGRCVYNNIQKFIQFQLTVNVAALMINFVAAVSAGEVPLSAVQLLWVNLIMDTLGALALATEKPTKELMDRPPVGRTEPLITNIMWRNLLAQALYQISILLILQFRGESIFGVSLGVNDTLIFNTFVLCQVFNEFNARKLEKRNVFQGIHKNKLFVGIICITVVLQVVMVEFLKKFADTERLNWGQWGLCLGLAAASWPIGWVVKCLPVPERPIFSYLKLKKFM >itb12g08810.t2 pep chromosome:ASM357664v1:12:6886564:6889862:-1 gene:itb12g08810 transcript:itb12g08810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLSKFGSQAQGWMLIIFGSISFLLFVYAAAFSKFLPGSGNPIIAAIQNDRYYCFLVPLTLPLLLVAVYFHWLSMNLFKHA >itb12g08810.t1 pep chromosome:ASM357664v1:12:6886564:6893896:-1 gene:itb12g08810 transcript:itb12g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLSKFGSQAQGWMLIIFGSISFLLFVYAAAFSKFLPGSGNPIIAAIQNDRYYCFLVPLTLPLLLVAVYFHWLSMNLFKHA >itb11g21640.t1 pep chromosome:ASM357664v1:11:23331037:23336625:1 gene:itb11g21640 transcript:itb11g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIVEIGEDSSESRGTHHHQDVYVAVGKNDQHLVQWAIDHFASPRIFLLHIFPPLSYVSTSAGKLSRTHLSKEQMQVFVNEESNRRKSLLEKYIRQCNDAKIPVDTMLVESNAPSKAIIDLIAVVNITNLIIGMRKHSSHKRPVWKGQGIGEYIEKNAPDFCEVTIVCEGKKMMNGKVQSENFPSSLRPQRRPEITSRNSERKFFECVCFSGKFT >itb11g21640.t2 pep chromosome:ASM357664v1:11:23333508:23336467:1 gene:itb11g21640 transcript:itb11g21640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIVEIGEDSSESRGTHHHQDVYVAVGKNDQHLVQWAIDHFASPRIFLLHIFPPLSYVSTSAGKLSRTHLSKEQMQVFVNEESNRRKSLLEKYIRQCNDAKIPVDTMLVESNAPSKAIIDLIAVVNITNLIIGMRKHSSHKRPVWKGQGIGEYIEKNAPDFCEVTIVCEGKKMMNGKVQSENFPSSLRPQRRPEITSRNSERKFFECVCFSGKFT >itb10g26020.t3 pep chromosome:ASM357664v1:10:29253678:29262714:-1 gene:itb10g26020 transcript:itb10g26020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHQNWKWGQASVSTEEGVNQPNGCQNWKQGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHQGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEIDFSSLVEVRILEHKTRVPGSSSECVFGDSLTVLTGTNDMQTTASIPENRDLIHGNEQRHSSSKENGVNLWEQFSETLNAKKEQLSKESNWGNGGSSGKSWSYKALRGSALGPTMAFLRSKNQL >itb10g26020.t2 pep chromosome:ASM357664v1:10:29253668:29262694:-1 gene:itb10g26020 transcript:itb10g26020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCQNWKQGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEIDFSSLVEVRILEHKTRVPGSSSECVFGDSLTVLTGTNDMQTTASIPENRDLIHGNEQRHSSSKENGVNLWEQFSETLNAKKEQLSKESNWGNGGSSGKSWSYKALRGSALGPTMAFLRSKNQL >itb10g26020.t10 pep chromosome:ASM357664v1:10:29254970:29262714:-1 gene:itb10g26020 transcript:itb10g26020.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHQNWKWGQASVSTEEGVNQPNGCGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEIDFSSLVEVRILEHKTRVPGSSSECVFGDSLTVLTGTNDMQTTASIPENRDLIHGNEQRHSSSKENGVNLWEQFSETLNAKKEQLSKESNWGNGGSSGKSWSYKALRGSALGPTMAFLRSKNQL >itb10g26020.t4 pep chromosome:ASM357664v1:10:29255935:29262694:-1 gene:itb10g26020 transcript:itb10g26020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHQGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEVN >itb10g26020.t6 pep chromosome:ASM357664v1:10:29253668:29262694:-1 gene:itb10g26020 transcript:itb10g26020.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHQGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEIDFSSLVEVRILEHKTRVPGSSSECVFGDSLTVLTGTNDMQTTASIPENRDLIHGNEQRHSSSKENGVNLWEQFSETLNAKKEQLSKESNWGNGGSSGKSWSYKALRGSALGPTMAFLRSKNQL >itb10g26020.t5 pep chromosome:ASM357664v1:10:29253668:29262713:-1 gene:itb10g26020 transcript:itb10g26020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEIDFSSLVEVRILEHKTRVPGSSSECVFGDSLTVLTGTNDMQTTASIPENRDLIHGNEQRHSSSKENGVNLWEQFSETLNAKKEQLSKESNWGNGGSSGKSWSYKALRGSALGPTMAFLRSKNQL >itb10g26020.t8 pep chromosome:ASM357664v1:10:29255935:29262694:-1 gene:itb10g26020 transcript:itb10g26020.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCQNWKQGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEVN >itb10g26020.t1 pep chromosome:ASM357664v1:10:29255935:29262694:-1 gene:itb10g26020 transcript:itb10g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEVN >itb10g26020.t7 pep chromosome:ASM357664v1:10:29255935:29262694:-1 gene:itb10g26020 transcript:itb10g26020.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHQNWKWGQASVSTEEGVNQPNGCGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEVN >itb10g26020.t9 pep chromosome:ASM357664v1:10:29253668:29262713:-1 gene:itb10g26020 transcript:itb10g26020.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCLRIKLIFHGGILSDSQKSEGFKKTWLLLKPQHHRTISDLCSHILQLFHLHESCPDGLLLYMDEFVLPPFESTSILKNKDVISVKRKPVTSTIECYDVPVNAGPLLLANEEFNKETGGYESEEPEDDGKIQVHDTSPLKDKLGGDGVSKKRKASEKLHSSKKKKKCSEVEEPDIDVQVEHAKKLQLVVTGKKSKHKKQKISDSKEKDNVKMSEDNVTTPVTNKNDKLQETEKDNVDATPKLEETPKRRSRSARRKSAKRQWLREMAKIQKTSTDSQSEALRNWKEMQSKSGREEAAGQPNGHMTNADSRSEALRNWKEMRSKPGREVAAGQSNGHKTNADSRSEAIRNWKEMQSKAGREETVCQSNRHNWKWGQASVSTEEGVNQPNGCQGQARVKSGHGVSPQKGHKTWKQGQARAKSGEGVSLGHQGQSTSESRETSDQPRGLLNWNELLANDMVKDAEKHTQSDTNNNSCDNPNQNGDSEDETEVVPVEIRPGHIRFEPLGKERTVEQNQLNMENFRWNGITSKKKGQKWGTEKSSFSPRSELNGSNREQSGMPNDEKKTHSNEPFDFTKTPFLSSYPKEGDVIAYRLLELSSTWTPELSCYQVGEVSSYDSQSGRVSLMPVPEFPISSKKSDGDESPVQPNDSLYKEDGSLEIDFSSLVEVRILEHKTRVPGSSSECVFGDSLTVLTGTNDMQTTASIPENRDLIHGNEQRHSSSKENGVNLWEQFSETLNAKKEQLSKESNWGNGGSSGKSWSYKALRGSALGPTMAFLRSKNQL >itb12g22530.t1 pep chromosome:ASM357664v1:12:24605659:24606099:-1 gene:itb12g22530 transcript:itb12g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSTVSLKLLIDKKTRKVVFAEADKAFVDFLFHLMSLPLGTVIKLVNHNSMAGSLGSLYGSIQNISDAYLQPNVDKDALLNPKVAVRSPTVPLLMAPGLASETKKFYVCSGCNAYANRQRYISEYPDCVCPTCNYKICTERCC >itb11g16850.t1 pep chromosome:ASM357664v1:11:15437423:15440059:-1 gene:itb11g16850 transcript:itb11g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb11g16850.t2 pep chromosome:ASM357664v1:11:15437377:15440059:-1 gene:itb11g16850 transcript:itb11g16850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb03g00660.t2 pep chromosome:ASM357664v1:3:342950:350025:1 gene:itb03g00660 transcript:itb03g00660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGATMAKEGVEDWRRRRQDIEANNRKVLVYTNNGKFEETIWKRLHVGDLVKVKKDEYFPADLLLLSSSYEDGICYVETANLDGETNLKVKHALDGIAFKEGDSFLKNFKAEIRCEDPNEDLYSFVGTLHYNNQQRLPLSVNQMLLRGSKLRNTEYIYGAVVFTGHDTKVMQNARDPPSKRSAIEKRMDKIIYVLFGTLIMISSIGSIFFGLETKNDLSNGRYKRWYLRPDEATVFYDPKRASVAAFFHFLTALMLYGYLIPISLYVSIEVVKVLQSIFINQDQDMYYKETNKPARARTSNLNEELGQVETILSDKTGTLTCNSMEFVKCSIAGVAYGRAVTEVERAIEKRKGNGQPEHSSTSNLDSGESIKGFNFQDERIMNGQWVKEPHSEVIDKFFHVLAICHTVIPDVNTPGHISYEAESPDEAAFVVAAREFGLEFVGRTQTSILLHERHREGAEKTERLYKLLHLLEFNAARKRMSVIVRNPEDKLLLLCKGADSVLFPRLSEDGRKFEDKTKEHIKQYAEAGLRTLVIAYRELGEEEFKSWEKQLLKAQDSETDRDALVDAAADKIERDLILLGATAIEDKLQKGVPECIDKLADAGIKIWVLTGDKMETAINIGYACSLLRPRMELIKITLDSLHASSMETQDQKDKEASTSVRAQVTKGIDQIKSGSSGSFGLIIDGNALSFALRDDLKKSFLDLALQCASVICCRSTPKQKALVTALVKGTGRRTLAIGDGANDVSMLQEADVGVGISGVEGMQAVGASDYAIAQFCYLERLLLVHGHWCYRRISMMICYFFYKNLAFGFTLFWFEAFASFSGRAAYNDWYMSLYNVFFTSLPVIALGVFDQDLSDQLCLKHPEVYIEGSRNMLFKWYRILGWMLNGIVSAMIIFYFTTLSVLHQAFRRDGHPVDYEVLGVIMYSCVVWTVNCQMALSINYFTLIQHVFIWGSIAVWYLFLVVYGALPSIISTTAYKVFVEACAPSPFYWLVTLLVVVSSLLPYLTYRIFQSTFRPLPHVRVQMMERTRRKSKPDADDNM >itb03g00660.t1 pep chromosome:ASM357664v1:3:342861:350067:1 gene:itb03g00660 transcript:itb03g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSRKFILKYTFSCLLPLFTEKHEEIGRRGYTRVVHINDPNSPVNGQYRENDVRTTKYTAVNFIPKSLFEQFRRVANVYFLIVACVSFSPLAPYTASSVLFPLMVVIGATMAKEGVEDWRRRRQDIEANNRKVLVYTNNGKFEETIWKRLHVGDLVKVKKDEYFPADLLLLSSSYEDGICYVETANLDGETNLKVKHALDGIAFKEGDSFLKNFKAEIRCEDPNEDLYSFVGTLHYNNQQRLPLSVNQMLLRGSKLRNTEYIYGAVVFTGHDTKVMQNARDPPSKRSAIEKRMDKIIYVLFGTLIMISSIGSIFFGLETKNDLSNGRYKRWYLRPDEATVFYDPKRASVAAFFHFLTALMLYGYLIPISLYVSIEVVKVLQSIFINQDQDMYYKETNKPARARTSNLNEELGQVETILSDKTGTLTCNSMEFVKCSIAGVAYGRAVTEVERAIEKRKGNGQPEHSSTSNLDSGESIKGFNFQDERIMNGQWVKEPHSEVIDKFFHVLAICHTVIPDVNTPGHISYEAESPDEAAFVVAAREFGLEFVGRTQTSILLHERHREGAEKTERLYKLLHLLEFNAARKRMSVIVRNPEDKLLLLCKGADSVLFPRLSEDGRKFEDKTKEHIKQYAEAGLRTLVIAYRELGEEEFKSWEKQLLKAQDSETDRDALVDAAADKIERDLILLGATAIEDKLQKGVPECIDKLADAGIKIWVLTGDKMETAINIGYACSLLRPRMELIKITLDSLHASSMETQDQKDKEASTSVRAQVTKGIDQIKSGSSGSFGLIIDGNALSFALRDDLKKSFLDLALQCASVICCRSTPKQKALVTALVKGTGRRTLAIGDGANDVSMLQEADVGVGISGVEGMQAVGASDYAIAQFCYLERLLLVHGHWCYRRISMMICYFFYKNLAFGFTLFWFEAFASFSGRAAYNDWYMSLYNVFFTSLPVIALGVFDQDLSDQLCLKHPEVYIEGSRNMLFKWYRILGWMLNGIVSAMIIFYFTTLSVLHQAFRRDGHPVDYEVLGVIMYSCVVWTVNCQMALSINYFTLIQHVFIWGSIAVWYLFLVVYGALPSIISTTAYKVFVEACAPSPFYWLVTLLVVVSSLLPYLTYRIFQSTFRPLPHVRVQMMERTRRKSKPDADDNM >itb09g04600.t4 pep chromosome:ASM357664v1:9:2579356:2582738:-1 gene:itb09g04600 transcript:itb09g04600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRLNPLTTHFKTHQRFLLHKPISSTVSLITDPSKPRIFTVKSVNDSSHHPPVTPEKPRWESFLSNAASLYPVYVTVGGIVACLRPSTFSWFVKCGPTSYTLTLGFIMLVMGLTLELKDLVNLFLQRPISILFGCAAQYTIMPGFGFIISKALGLSPSISVGLILLSCCPGGTASNVVTLIAQGDVPLSVVMTVCTTLSAVILTPLLTKILAGTCVPVDAIGLSISTLQVVVAPILVGSYVKSNFPKSVRGVTPFAPLLAVLASALLACRFFEIQNLLYLDCYVAFVQHCICTSILSLALNLSRFFYFVSVFSENVVRMQSSVLDVSLSSDLSPLHLTKAVLSSDFGVVMLSVLLLHFAGFFVGYLTAALGGFGEPQRRAISIEVGMQNSSLGVVLATAHFASPLVALPPAMSAVIMNIMGSSLGFFWRFINPANSTNTSKVAEE >itb09g04600.t3 pep chromosome:ASM357664v1:9:2579775:2582738:-1 gene:itb09g04600 transcript:itb09g04600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRLNPLTTHFKTHQRFLLHKPISSTVSLITDPSKPRIFTVKSVNDSSHHPPVTPEKPRWESFLSNAASLYPVYVTVGGIVACLRPSTFSWFVKCGPTSYTLTLGFIMLVMGLTLELKDLVNLFLQRPISILFGCAAQYTIMPGFGFIISKALGLSPSISVGLILLSCCPGGTASNVVTLIAQGDVPLSVVMTVCTTLSAVILTPLLTKILAGTCVPVDAIGLSISTLQVVVAPILVGSYVKSNFPKSVRGVTPFAPLLAVLASALLACSVFSENVVRMQSSVLDVSLSSDLSPLHLTKAVLSSDFGVVMLSVLLLHFAGFFVG >itb09g04600.t1 pep chromosome:ASM357664v1:9:2579356:2582738:-1 gene:itb09g04600 transcript:itb09g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRLNPLTTHFKTHQRFLLHKPISSTVSLITDPSKPRIFTVKSVNDSSHHPPVTPEKPRWESFLSNAASLYPVYVTVGGIVACLRPSTFSWFVKCGPTSYTLTLGFIMLVMGLTLELKDLVNLFLQRPISILFGCAAQYTIMPGFGFIISKALGLSPSISVGLILLSCCPGGTASNVVTLIAQGDVPLSVVMTVCTTLSAVILTPLLTKILAGTCVPVDAIGLSISTLQVVVAPILVGSYVKSNFPKSVRGVTPFAPLLAVLASALLACSVFSENVVRMQSSVLDVSLSSDLSPLHLTKAVLSSDFGVVMLSVLLLHFAGFFVGYLTAALGGFGEPQRRAISIEVGMQNSSLGVVLATAHFASPLVALPPAMSAVIMNIMGSSLGFFWRFINPANSTNTSKVAEE >itb09g04600.t2 pep chromosome:ASM357664v1:9:2579356:2582698:-1 gene:itb09g04600 transcript:itb09g04600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRLNPLTTHFKTHQRFLLHKPISSTVSLITDPSKPRIFTVKSVNDSSHHPPVTPEKPRWESFLSNAASLYPVYVTVGGIVACLRPSTFSWFVKCGPTSYTLTLGFIMLVMGLTLELKDLVNLFLQRPISILFGCAAQYTIMPGFGFIISKALGLSPSISVGLILLSCCPGGTASNVVTLIAQGDVPLSVVMTVCTTLSAVILTPLLTKILAGTCVPVDAIGLSISTLQVVVAPILVGSYVKSNFPKSVRGVTPFAPLLAVLASALLACSVFSENVVRMQSSVLDVSLSSDLSPLHLTKAVLSSDFGVVMLSVLLLHFAGFFVGLECKILRWE >itb11g19860.t1 pep chromosome:ASM357664v1:11:20681829:20686543:1 gene:itb11g19860 transcript:itb11g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) UniProtKB/Swiss-Prot;Acc:Q9ZST4] MASSSIVNSSATLNTFPSRFCESPNSRITAVGFFSLLPNISPSAHGVTLKGGPFSASRFPIVRAQSSPEYLPDANFYKVEAILRPWRVQKVSSALLEMGIRGVTISDVRGFGSQGGLSERQAGSEFSEDKFLAKVKMEIVVSKDQVEAVIEKIISEARTGEIGDGKIFLIPVADVIRVRTGERAEKAERMMGGRADMISVSSA >itb08g05480.t1 pep chromosome:ASM357664v1:8:4529079:4529786:1 gene:itb08g05480 transcript:itb08g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNNSPNIGFLHRRGTARLSFRARRSSEINPSFVAIEISLKFKHIFLGTGGEDGYSYQRSLGESTVSFPLSHGSSGLHLDAYLGNQFHRWMPALSPRVCGILSQRIVHSARRIGFANGGGTVVTASIEILDRERPPPTENNVVDFFPHRDVSSSKPRGLSAEEINRLKEERFENGDDKSLVCPVCLEDFLAGAKTSPLPCSHVFHHGCISSWLEKSASCPICRFDVANHLATT >itb13g20030.t1 pep chromosome:ASM357664v1:13:26891540:26894787:-1 gene:itb13g20030 transcript:itb13g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFRWVAGLPVFSFLLLLILQHPETKICVSAAVRTERIAGSAGDVLEDNPVGRLKVFVYELPSKYNKKLLQKDPRCLSHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYPTCDLTPNGLPLPFKSPRVMRSAVQLISAKWPYWNRTEGADHFFMVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLSERSITIPPYAPPQKMQTHLITPDTPRSIFVYFRGLFYDVNNDPEGGYYARGARASIWENFKNNPLFDISTDHPTTYYEDMQRSIFCLCPLGWAPWSPRLVESVVFGCIPVIIADDIVLPFADAIPWEDIGVFVDEKDVPYLDTILTSIPPEVILKKQRFLANPSMKKAMLFPQPAEAGDAFHQILNGLARKLPHEKSVYLKPGEKVLNWTAGPAGDLKPW >itb09g01080.t1 pep chromosome:ASM357664v1:9:668116:672472:-1 gene:itb09g01080 transcript:itb09g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNARSKQRRLSENDVAKSTIPVFAAEKSASPPCSPPSSPLSIIGYSSSGCFSPFTFHFWVSADANTRPTTAQVLLKVRTLSGYSGLYNGLGWLAVGRVLGVGTRFGIYELLTSFYKDGRDDNFVYVAEALMAGIVAGAAESFVTSPFELIKLRAQVSSAYRIRGTALDIKRDAASPLXGNAMKEYPWMMSGRVRSGIIRDSVFGGIFFSIWQLLHETMLDWKAVKMTPIPRLDEDVGPLSPLSVSLAAGFSGSVAAAASHVFDTAKSRDQCNVLPKHVYMEEKFFKLGLPGKELDRWTGIHPADQKILYRGILFWMARSGVASFVIVGSYFLTIDQLFS >itb04g27100.t1 pep chromosome:ASM357664v1:4:31203137:31209379:-1 gene:itb04g27100 transcript:itb04g27100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRSSILSSSAPLLRPLAPTSISKRICSTIRLSARTMCNDASASIPTPPVAKKVKHEMELFGDVRVDNYYWLRDDHRSDPEVLSYLKEENDYTDCVMSGTKQLEDEIYAEIRARIKEDDISAPIRRGPYYYYQRTLQGKEYAQHCRRLAPNHEASLSVHDTMPTGPDAPPEHVILDENVKAQGEEFYSISAFKVSPNGKLVAYAEDTIGNEIYTVHIIDIESRAPVGEPLVRMTSELEWAGDEALVYVTMDEILRLDKVWLHRLGTDQAHDTCLYHEKDDIFSLDLRASESKKYLFVASESKTTRFVFYLDISKLDDGLLALTHRVNGIETYVSHRGNHFFIQRKSDECFNSELIACPVENISATSVLLPHRPSIKIQEMQLFSDHLAVYERENGLPKITVYRLPAAGEPLEELQGGRVVDFVDPIYSVDPSESQFSSSILRFFYSSLKTPPSVYDYDMDTGVSVLKKIDPVLGGFDSSNYVTERQQATASDGTEIPISITYKKNLVKLDGSDPLLLYGYGSYEVCIDPYFKASRLSLLDRGFIYAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIACAEHLIEKKYCNKEKLCINGRSAGGLLIGAVLTMRPDLFKAAVAEVPFVDILTTMLDPTIPLTTSEWEEWGDPRKEEYYFYMKSYSPVDNVKPQCYPAILLTTGLYDPRVLYSEPAKFVAKLRDMKTDDNMLLFKCECSAGHFSKSGRFEKLKEVAFTYAFILKALSMIPSPGSKTN >itb15g14200.t1 pep chromosome:ASM357664v1:15:12462770:12463459:1 gene:itb15g14200 transcript:itb15g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSHLSKMGIYDVKIGGVDMKARVINDGKLLSAALGELECDGAHPIVGLDVKECSNFLGRRRCALFILSTRSKCLIIQLNVMRHKNRSIPEALTEFLRDQSVCFVCPNGFVKRVRGLSFGYEGSSIRLGFRCFAGRFSVYPNYFKCEEIGGVEVGQYAAGVLKNPELLKCKSLEKLGREAQVDLTSSGGNSRRPKWDSEVFSPEEVRCVMHDAVACYRIVDELLGVI >itb06g13330.t1 pep chromosome:ASM357664v1:6:18048340:18069136:1 gene:itb06g13330 transcript:itb06g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLLWSSGVGDLMKYIIISIAVVTISYPQHLPTALAQTTNATLDPSEARILNSIFQQWGIRANNLWNLSGELCSGAAVDSTVMQDTAFNPAVKCDCSSTPCHITALKVYALDVIGVIPDELWKLNFINDLDLGQNYLTDILSPAIANMSGMQYLSLGINALSGELPKELGLLTDLRSLSIGTNNFYGRLPSELGNLKKLTQLYIDSSGISGVIPPTFANLLNLEILWASDIELTGRIPDFIGNWSKLTTLRFEGNSFQGPIPSALSNLTSMVDLRISDLLNGSSSLDFIRNMKNLSKLILRNNNISGSIPSNIGEYQSLSLLDLSFNNLIGRVPDELFYLRSLENLFLGNNKLTGSLPVGKSENLQNIDLSYNEISGILPYWTDEQGLQLNLVSNYITIDSSSSSALPSGLNCLQKNFSCRQGNPIYSSFAIKCGGQEITSSNQTVYERDNETLGPATHYMTSTGRWAVSNVGLPSDINSPKYTTFSSSQFTNTLDSELFQTARISAGSLRYYGLGLKNGNYIVTLHFAESVILNPRYPTWKVFGRRVFNIYIQGNLEEKDFDIQKAAGGSLRAVSKQYKVQVSENHMEIHLFWAGKGTCCVPSQGTYGPLISAISATPDFVPTVSNKPPSEQKKNRIVGIVVGVGVVSFLSAFAVYCLVQRRKRQDTYNDDEEFLGMDVKPYTFSYAELRGGTNDFSPSNKLGEGGFGAVYKGTLEDGRIAAVKQLSVASRHGKSQFVAEVATIFAVQHRNLVKLYGCCYERDKRLIVYEFLENKSLDQALFGGSNLYLDWPRRFEICLGIARGLAYLHEESRLRIVHRDIKASNILLNSDLNPKISDFGLAKLYDDKKTHISTRIAGTLGYLAPEYAMLGHLTEKADVFSFGIVALEIVSGRPNYDSSLEENKMYLLEWAWNLLENKREIDLVDENLSDFNKDEVKRVIGVSLLCTQTSPVFRPPMSRVVAMLSGGTEIAAATTRPSYLADWRFNDLTSFMTHIHDSQDDPSVPTTTRDVNSSPLNTSRPLLHEIIGEGQVRSI >itb12g13570.t1 pep chromosome:ASM357664v1:12:12546602:12552852:-1 gene:itb12g13570 transcript:itb12g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFSDASASSLVRLNIGGKKFCTTVDTLTQREPDSMLAAMFSGRHTLCQDSEKGYIFVDRDGKHFRHILNWLRDGVVPTLKDFEYSELLREAEYYQLLGLADGIIAALSKRKEEEELVSELTRTDIIKCIQSERVRFRGVNLSGLDLSKLDLSFVDFSYACLKNVFFSRANLQCAKFKDVDAEASIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLIDCSFCGADLRSAHLQTADLTNANLEGANLEGANLKGAKLTNANLKGANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIR >itb09g12540.t1 pep chromosome:ASM357664v1:9:7928603:7933214:1 gene:itb09g12540 transcript:itb09g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRKHPPTIPLESNTAQKPNPKRDSKTQALLTSSREVFILCLVARSINSLMVQTYFNPDEHWQALEVAHHITFGYGHLTWEWKKGIRSYLHPSIFALLYKILTFLKLDSPWFMIRAPRLLQSVFSAVGDLYMYKLSRVLFDDHVAKLALFAQLTNWFMFFSFTRTLSNSLETVLTLVSLYYWPCIRKSSKTTSLGSRKWALAVAALACAIRPTSAITWLYVGLLELYLTDEKIVFILLEVIPIGTLVLGVTLVLDRWMYGTWVLVPLNFLKFNFLSSGGDYYGTHVWHWYLSQGFTVMLFTFLPFSLAGIAMSKQWKLSGLIAWVLGIYSLLGHKEFRFVLPILPIALMFSGYSLARIGVPSRFSRTSYSCLSQKHLAIVFLLITNLPMALYMSTVHQRGTEDVMNYLSVEAVDGNVRNILFLTPCHATPYYSTLHRNLPMRFLDCTLSEEKGMLDESDRFMLDPVGFATEFAKNWSLPSHIVVFDAQEKLLKDFMVSHNFMEVKRFFHAHFKVDRELQSSIAVYASKG >itb05g09360.t1 pep chromosome:ASM357664v1:5:13663608:13668994:-1 gene:itb05g09360 transcript:itb05g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESRSACKLQQKDANSDVFKRLGKDLVFGLSLNSPVEIAQSCTAISDFIEDLKYIKFGDAVSRENLPTRMGYWLVQNYNPKNSTLYLADDVDQIKQLNWCDYVLRSLMDSQLTWAKSSKTRFTGPLLFLIVFYFDPVVVFTLDIPRQIPSFVGWTSQLIKEREVAEIQAGGFGLGRVEDRIRLAAENIQGEDDSATGLYLSLPVMISHQFNHRGLLEVFIANYMEKLDLLGKTFVEVIEMA >itb10g00880.t1 pep chromosome:ASM357664v1:10:640460:642938:1 gene:itb10g00880 transcript:itb10g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHGHGVTYKGITIHQPKRWHTVTGKGLCAVMWFWILYRAKQDGPVVLGWRHPWEGHGDHGHDH >itb05g01960.t1 pep chromosome:ASM357664v1:5:1537875:1540009:1 gene:itb05g01960 transcript:itb05g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTPFSIPFLLLFLPTFCVHLAFGDYGGWQTAHATFYGGSDASGTMGGACGYGNLYRTGYGTNIAALSTALFNNGLACGSCYELMCTGPYCLPGSVTITATDFCPPNPGLPNDNGGWCNPPRPHFDMAEPAYLQIGVYRAGIVPVNYRRVPCRKKGGIRFTINGHIFFNLVLVTNVGGFGDVHAVAIKGSRTGWQQMSRNWGQNWQSNSVLNGQSLSFQVTTGDGRTVTSFNAAPSDWQFGQTYEGAQF >itb03g15250.t1 pep chromosome:ASM357664v1:3:14622846:14626437:-1 gene:itb03g15250 transcript:itb03g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQNLFDSLPYNLIIDILVRVAAHSFKDFINVKLCCREWNELAEDGYMLKHLSLHEFKEWKLKVPESEEYKRKSSWLVNKCADAGNAEALYRKGLVGYLGGNVPEKGLQCLKNAANSGHAGAEYAAAMISILRGDEEGWESGTLRLKAMMQCKESRIKAIDAPRQLMYTHIKATDYRLGRLPVKPSDRRCCTASGHKFRYNKIFSAAYEEEGVGCLCCLCDAHISLVSYLGGSEPEKGLQWLNNAANAGHGGAEYAAAMISILGGDKEGWKSGTLRLKGMMQCKELRKKAINGLVQLMSTHIKQAADYRLRTSPVKR >itb09g26010.t1 pep chromosome:ASM357664v1:9:26138263:26138631:-1 gene:itb09g26010 transcript:itb09g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYYADTQLHNFVSKNKRLKELSQPMVLNLDTGLWRYSRHPNYFGEQLWWWGLAIFVWCLGHVWSFVGPLINSLWLAYVTVLVEKRMLKQVYRIESYKLYQKTTSAWIPWFKSSTGKDKNT >itb01g07110.t1 pep chromosome:ASM357664v1:1:5320760:5323230:1 gene:itb01g07110 transcript:itb01g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLHIWLAFTIVMLIMFSSLAEGEPQVPCYFIFGDSLVDNGNNNNLSTKAKANYPPYGIDFPDGPTGRFTNGRNIADFLVELLGFTNSRPPFAAAATTSEEEIMKGVNYASGAGGVLERTGKYLGDRHSFERQLRFHNATVSRIGLHVQNLSLARTHLRKCLYSVGLGSNDYLHEFLGAAKFPAIRQPLYTPQRFASILIKQYAKHLRVGIV >itb02g13230.t1 pep chromosome:ASM357664v1:2:9249225:9249653:-1 gene:itb02g13230 transcript:itb02g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRDFVVWHKEAELLRPAKPTPQEIKELSDIDDQKGLRLHFCMVMFYRANPLMKAKDSVKVIRDAFAEALVWYYPLAGRLIHHGPKDKFMVDCSAQGISFIEADCNFSMEDLGDAVNRHVFTPKSSCIKCLALMRCLVAH >itb06g10410.t1 pep chromosome:ASM357664v1:6:14864877:14866575:-1 gene:itb06g10410 transcript:itb06g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEVFEGERSLTKDNSLLGKFDLTAIAIVHCALPSEEHLNLKLHLRFEDKEVQKDMKFVTYKIVNKDGKPYIQVKIKDGETNKGEDFDQRIMEYFIKLIKKKRLFGKDMSKDNRALGKLRRGSERGKRALSSQHQVGTCRD >itb14g16320.t1 pep chromosome:ASM357664v1:14:19642741:19643732:1 gene:itb14g16320 transcript:itb14g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPFQNQAKKKKITSLPPKRGQVKAQIFKSIAEGISSSMASVFRKQREGSTTSTSAASTAAPPSPHTSDGGGGGNC >itb05g04420.t1 pep chromosome:ASM357664v1:5:3961940:3967888:-1 gene:itb05g04420 transcript:itb05g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSKNKEYFGCLRDEANATSACSNSESSGNKPQVANLKFYLGSIASTDNSVKAVFSQSSLEVVESIPVNVKMQTSSKQMEGDCKFQLSLSSGNPEFSLGPKELCLLWGCRMVKEGLGNVFHDVNSIAALWNTSTGDDLQSRLSFSSMNDESCLKFRSWPMPFCASGPRRMGAIQHGPWQEKCTRREARRVSKGCATDAREGPGNDFQHVNSIDALQNTNTGDDIQSRSSILSMHHACSKEQVFGALWQEEDAYEDDEVQDATIRYSHASTGESSDCIKECSGIVSDRKPDQFVGKGWLVPNLIFGKKCWQHSLMMLLSGQTIVNTKWKGEIMILRRKFMCGNREEMYDDGSGIYVDRLQGSYGSLRINFMCGRGQMYPYENSCKMVGLGPVEKLMRRAIPRMETKSPNRSQRHYPGPQASVFFSEKSYERARLILSEMTKCRAESFIDASSTCRLIFPSHLLTLFFDSSHLRRSPSLFPPISSAKLSTSSHLFCPHFCRL >itb04g16820.t5 pep chromosome:ASM357664v1:4:18783849:18788025:1 gene:itb04g16820 transcript:itb04g16820.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNESGGPGPNTTFDLPEEVLAVLPSDPFEQLDVARKITSIALSTRVSSLEVELATLRREVADRDAAISDLQSQLDSIDASFSDASDKLAQTEREKESLVKENAALSATVRKLNRDVAKLEAFRKTLMRSLQEEEDNPAGAAEGIAARGPGQIEGDGTLPPTKPPLRSQSSDSGNNNTEDSDTEALRPRIAQGLLLASQTSTPRLTPPGSPPSLSASVSPTRSPKPISPPRRHSISFATTRGMFDDRSSLFSSMPSQHSSMSSLDAGSQSGEFPSL >itb04g16820.t4 pep chromosome:ASM357664v1:4:18783916:18787827:1 gene:itb04g16820 transcript:itb04g16820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNESGGPGPNTTFDLPEEVLAVLPSDPFEQLDVARKITSIALSTRVSSLEVELATLRREVADRDAAISDLQSQLDSIDASFSDASDKLAQTEREKESLVKENAALSATVRKLNRDVAKLEAFRKTLMRSLQEEEDNPAGAAEGIAARGPGQIEGDGTLPPTKPPLRSQSSDSGNNNTEDSDTEALRPRIAQGLLLASQTSTPRLTPPGSPPSLSASVSPTRSPKPISPPRRHSISFATTRGMFDDRSSLFSSMPSQHSSMSSLDAGSQSARTRVDGKEFFRQVRSRLSYEQFGAFLSNVKELNSHKQTKEVRRSFTALYFIASSH >itb04g16820.t1 pep chromosome:ASM357664v1:4:18783849:18788065:1 gene:itb04g16820 transcript:itb04g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNESGGPGPNTTFDLPEEVLAVLPSDPFEQLDVARKITSIALSTRVSSLEVELATLRREVADRDAAISDLQSQLDSIDASFSDASDKLAQTEREKESLVKENAALSATVRKLNRDVAKLEAFRKTLMRSLQEEEDNPAGAAEGIAARGPGQIEGDGTLPPTKPPLRSQSSDSGNNNTEDSDTEALRPRIAQGLLLASQTSTPRLTPPGSPPSLSASVSPTRSPKPISPPRRHSISFATTRGMFDDRSSLFSSMPSQHSSMSSLDAGSQSARTRVDGKEFFRQVRSRLSYEQFGAFLSNVKELNSHKQTKEDCLRKADEIFGPDNKDLYTIFEGLITRNVH >itb04g16820.t2 pep chromosome:ASM357664v1:4:18783863:18788065:1 gene:itb04g16820 transcript:itb04g16820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNESGGPGPNTTFDLPEEVLAVLPSDPFEQLDVARKITSIALSTRVSSLEVELATLRREVADRDAAISDLQSQLDSIDASFSDASDKLAQTEREKESLVKENAALSATVRKLNRDVAKLEAFRKTLMRSLQEEEDNPAGAAEGIAARGPGQIGDGTLPPTKPPLRSQSSDSGNNNTEDSDTEALRPRIAQGLLLASQTSTPRLTPPGSPPSLSASVSPTRSPKPISPPRRHSISFATTRGMFDDRSSLFSSMPSQHSSMSSLDAGSQSARTRVDGKEFFRQVRSRLSYEQFGAFLSNVKELNSHKQTKEDCLRKADEIFGPDNKDLYTIFEGLITRNVH >itb04g16820.t3 pep chromosome:ASM357664v1:4:18783849:18788025:1 gene:itb04g16820 transcript:itb04g16820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNESGGPGPNTTFDLPEEVLAVLPSDPFEQLDVARKITSIALSTRVSSLEVELATLRREVADRDAAISDLQSQLDSIDASFSDASDKLAQTEREKESLVKENAALSATVRKLNRDVAKLEAFRKTLMRSLQEEEDNPAGAAEGIAARGPGQIEGDGTLPPTKPPLRSQSSDSGNNNTEDSDTEALRPRIAQGLLLASQTSTPRLTPPGSPPSLSASVSPTRSPKPISPPRRHSISFATTRGMFDDRSSLFSSMPSQHSSMSSLDAGSQSARTRVDGKEFFRQEPVVV >itb15g00930.t1 pep chromosome:ASM357664v1:15:529126:532967:1 gene:itb15g00930 transcript:itb15g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFPLLGDDNATPTATTPNANPNFHHHHHHQAFSSHRFKASQIHAPPIHGGSPKDISGEDDVVSPEGEGYSDGGAFCSQPDPKDSNSSSYHVVGMNPYENDSNPYETEPDPSRSRGSAGNGKRAEQDDISENGTPYSYKKSKLNSSVASSGGGGSGEYRKDREEWSDTAIACLLEAYTEKFMQLNRGNLRGRDWEEVAAMVSDRCEKQTKSVEQCKNKVDNLKKRYKLERHRMSNGGISASHWPWYKQMEQIVGNSLLAKAVVEEEKSGNLARPAKRFGTPIAGPGGQINNMKLKSSGGPRWRRVVFKISGAALAGTTQNNIDPKVAMLIAREISIACRVGVEVAVVVGGRNFFCGDSWVTSTGLDRCTAYQIGMMATLMNSMLLQSALEKLGVQTRLQSAFSMPEVAEPYNRQRAIRHLEKGRVVIFGGIGAGTGISLFTTDTAAALRASEIHADAMLKGTNVEGVYVCDSRSNNLAAEHISYRDLASKGAAPIDMMAVNCCEENGIPVVIFNLHDHGNISRALTGEPVGTLIDQTGRVS >itb06g10340.t1 pep chromosome:ASM357664v1:6:14711649:14722227:-1 gene:itb06g10340 transcript:itb06g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCCFSFSIFFMQFLLVCMTINARTNITTDKHALLSLKSSISFDPYNTLTHNWSISNVSPCNWVGVTCSSRHNRVTALDLSYMDLVGELTPHLGNLSFLISLNLNQNSFHNSLPQELSQLRRLKTLECSVNNFSGKIPSWLGLLPNIKFLFLGNNSFSGFLPPSLFNLSKLEILHLGVNSLEGTIPRDIGNLPRLKWLNISFNHFSGNFPLGILNLSMMETLSLSSNSLSGHLPLDLGNHLPRLQTFIMVRNKFDGEIPSSLSRCSLLQTIGLSYNKFSGNIPKEYGNLTQLEWLELNNNHLTGAIPKELCVSSSLSYLFLYSNILSGAIPKEIGNLTAIELLSIEFNNFGGEIPQEIGKLYTLKWVGLGSANLSGTIPKEIFNISSLIFIELSDNNLVGTLPTSIGYALPDLETLYLGINFISGAIPESISNCSKLAILSLEGNRFSDTLPTFLGNLRLLEELHLQGNLLTNNPASQELSIINSLVNCNYIRHVLLSSNPLYAILPSSIGNLSSSLEFLAVEDCGLKGIIPNQIGNLSGLVTLNLESNNLRGFIPPVLGRVHELQRLYLGRNKFNGPIPHSLCEAKYLGELYLHNNQLSGGVPKCFGNSTSLRHIDFGSNRLSSRIPSSLCSLKDLLTLDLSSNFLDGFLPIEVEGFKELYILNISHNEISGIIPVTIGQLQSLESLSLAHNRLEGPIPKQISQIVSLEFLDLSLNRLSGSIPVSLEGLTYLKYFNVSFNELSGEIPSDGCFKNLTSASFLFNEGLCGIPKFHVPPCHSAHHSKIKTGFLIVVAALGVALIISFLIIAIILTRQPKKLDVPSVMNIHTPLDEIRISYFELARATSGFSQSNLIGSGSFGSVYKGILNNGMPIAVKVFNQSERALRSVDVECEVLKNLRHRNLTKVITCCFSDNYKVLVLEYMSNGSLDQWLHSQSNYLDIIQRLNIMIDVACALEYLHHGYTIPIVHCDLKPANVLLDEDMVAHVSDFSVTKLLTKEENVLQTETLATLGYMAPEYGSTGMVSTQCDTYSFGVVLMETFSKRRPTDEYFSEDLSLKSWMRNSIPHHILEVVDSSLFIRVEDKDFTAKLQCISSILELALQCVADSPEMRLNMKDVVARLNRIKLQFLRDCDVTSNRARRA >itb06g10340.t3 pep chromosome:ASM357664v1:6:14711649:14722227:-1 gene:itb06g10340 transcript:itb06g10340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCCFSFSIFFMQFLLVCMTINARTNITTDKHALLSLKSSISFDPYNTLTHNWSISNVSPCNWVGVTCSSRHNRVTALDLSYMDLVGELTPHLGNLSFLISLNLNQNSFHNSLPQELSQLRRLKTLECSVNNFSGKIPSWLGLLPNIKFLFLGNNSFSGFLPPSLFNLSKLEILHLGVNSLEGTIPRDIGNLPRLKWLNISFNHFSGNFPLGILNLSMMETLSLSSNSLSGHLPLDLGNHLPRLQTFIMVRNKFDGEIPSSLSRCSLLQTIGLSYNKFSGNIPKEYGNLTQLEWLELNNNHLTGAIPKELCVSSSLSYLFLYSNILSGAIPKEIGNLTAIELLSIEFNNFGGEIPQEIGKLYTLKWVGLGSANLSGTIPKEIFNISSLIFIELSDNNLVGTLPTSIGYALPDLETLYLGINFISGAIPESISNCSKLAILSLEGNRFSDTLPTFLGNLRLLEELHLQGNLLTNNPASQELSIINSLVNCNYIRHVLLSSNPLYAILPSSIGNLSSSLEFLAVEDCGLKGIIPNQIGNLSGLVTLNLESNNLRGFIPPVLGRVHELQRLYLGRNKFNGPIPHSLCEAKYLGELYLHNNQLSGGVPKCFGNSTSLRHIDFGSNRLSSRIPSSLCSLKDLLTLDLSSNFLDGFLPIEVEGFKELYILNISHNEISGIIPVTIGQLQSLESLSLAHNRLEGPIPKQISQIVSLEFLDLSLNRLSGSIPVSLEGLTYLKYFNVSFNELSGEIPSDGCFKNLTSASFLFNEGLCGIPKFHVPPCHSAHHSKIKTGFLIVVAALGVALIISFLIIAIILTRQPKKLDVPSVMNIHTPLDEIRISYFELARATSGFSQSNLIGSGSFGSVYKGILNNGMPIAVKVFNQSERALRSVDVECEVLKNLRHRNLTKVITCCFSDNYKVLVLEYMSNGSLDQWLHSQSNYLDIIQRLNIMIDVACALEYLHHGYTIPIVHCDLKPANVLLDEDMVAHVSDFSVTKLLTKEENVLQTETLATLGYMAPEYGSTGMVSTQCDTYSFGVVLMETFSKRRPTDEYFSEDLSLKSWMRNSIPHHILEVVDSSLFIRVEDKDFTAKLQCISSILELALQCVADSPEMRLNMKDVVARLNRIKLQFLRDCDVTSNRGIVCYLKLFSVKGKIFISQFLKLFIY >itb06g10340.t2 pep chromosome:ASM357664v1:6:14711649:14722227:-1 gene:itb06g10340 transcript:itb06g10340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCCFSFSIFFMQFLLVCMTINARTNITTDKHALLSLKSSISFDPYNTLTHNWSISNVSPCNWVGVTCSSRHNRVTALDLSYMDLVGELTPHLGNLSFLISLNLNQNSFHNSLPQELSQLRRLKTLECSVNNFSGKIPSWLGLLPNIKFLFLGNNSFSGFLPPSLFNLSKLEILHLGVNSLEGTIPRDIGNLPRLKWLNISFNHFSGNFPLGILNLSMMETLSLSSNSLSGHLPLDLGNHLPRLQTFIMVRNKFDGEIPSSLSRCSLLQTIGLSYNKFSGNIPKEYGNLTQLEWLELNNNHLTGAIPKELCVSSSLSYLFLYSNILSGAIPKEIGNLTAIELLSIEFNNFGGEIPQEIGKLYTLKWVGLGSANLSGTIPKEIFNISSLIFIELSDNNLVGTLPTSIGYALPDLETLYLGINFISGAIPESISNCSKLAILSLEGNRFSDTLPTFLGNLRLLEELHLQGNLLTNNPASQELSIINSLVNCNYIRHVLLSSNPLYAILPSSIGNLSSSLEFLAVEDCGLKGIIPNQIGNLSGLVTLNLESNNLRGFIPPVLGRVHELQRLYLGRNKFNGPIPHSLCEAKYLGELYLHNNQLSGGVPKCFGNSTSLRHIDFGSNRLSSRIPSSLCSLKDLLTLDLSSNFLDGFLPIEVEGFKELYILNISHNEISGIIPVTIGQLQSLESLSLAHNRLEGPIPKQISQIVSLEFLDLSLNRLSGSIPVSLEGLTYLKYFNVSFNELSGEIPSDGCFKNLTSASFLFNEGLCGIPKFHVPPCHSAHHSKIKTGFLIVVAALGVALIISFLIIAIILTRQPKKLDVPSVMNIHTPLDEIRISYFELARATSGFSQSNLIGSGSFGSVYKGILNNGMPIAVKVFNQSERALRSVDVECEVLKNLRHRNLTKVITCCFSDNYKVLVLEYMSNGSLDQWLHSQSNYLDIIQRLNIMIDVACALEYLHHGYTIPIVHCDLKPANVLLDEDMVAHVSDFSVTKLLTKEENVLQTETLATLGYMAPEYGSTGMVSTQCDTYSFGVVLMETFSKRRPTDEYFSEDLSLKSWMRNSIPHHILEVVDSSLFIRVEDKDFTAKLQCISSILELALQCVADSPEMRLNMKDVVARLNRIKLQFLRDCDVTSNRARRA >itb06g10340.t4 pep chromosome:ASM357664v1:6:14711649:14722227:-1 gene:itb06g10340 transcript:itb06g10340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCCFSFSIFFMQFLLVCMTINARTNITTDKHALLSLKSSISFDPYNTLTHNWSISNVSPCNWVGVTCSSRHNRVTALDLSYMDLVGELTPHLGNLSFLISLNLNQNSFHNSLPQELSQLRRLKTLECSVNNFSGKIPSWLGLLPNIKFLFLGNNSFSGFLPPSLFNLSKLEILHLGVNSLEGTIPRDIGNLPRLKWLNISFNHFSGNFPLGILNLSMMETLSLSSNSLSGHLPLDLGNHLPRLQTFIMVRNKFDGEIPSSLSRCSLLQTIGLSYNKFSGNIPKEYGNLTQLEWLELNNNHLTGAIPKELCVSSSLSYLFLYSNILSGAIPKEIGNLTAIELLSIEFNNFGGEIPQEIGKLYTLKWVGLGSANLSGTIPKEIFNISSLIFIELSDNNLVGTLPTSIGYALPDLETLYLGINFISGAIPESISNCSKLAILSLEGNRFSDTLPTFLGNLRLLEELHLQGNLLTNNPASQELSIINSLVNCNYIRHVLLSSNPLYAILPSSIGNLSSSLEFLAVEDCGLKGIIPNQIGNLSGLVTLNLESNNLRGFIPPVLGRVHELQRLYLGRNKFNGPIPHSLCEAKYLGELYLHNNQLSGGVPKCFGNSTSLRHIDFGSNRLSSRIPSSLCSLKDLLTLDLSSNFLDGFLPIEVEGFKELYILNISHNEISGIIPVTIGQLQSLESLSLAHNRLEGPIPKQISQIVSLEFLDLSLNRLSGSIPVSLEGLTYLKYFNVSFNELSGEIPSDGCFKNLTSASFLFNEGLCGIPKFHVPPCHSAHHSKIKTGFLIVVAALGVALIISFLIIAIILTRQPKKLDVPSVMNIHTPLDEIRISYFELARATSGFSQSNLIGSGSFGSVYKGILNNGMPIAVKVFNQSERALRSVDVECEVLKNLRHRNLTKVITCCFSDNYKVLVLEYMSNGSLDQWLHSQSNYLDIIQRLNIMIDVACALEYLHHGYTIPIVHCDLKPANVLLDEDMVAHVSDFSVTKLLTKEENVLQTETLATLGYMAPEYGSTGMVSTQCDTYSFGVVLMETFSKRRPTDEYFSEDLSLKSWMRNSIPHHILEVVDSSLFIRVEDKDFTAKLQCISSILELALQCVADSPEMRLNMKDVVARLNRIKLQFLRDCDVTSNRARRA >itb06g10340.t5 pep chromosome:ASM357664v1:6:14711649:14722227:-1 gene:itb06g10340 transcript:itb06g10340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSCCFSFSIFFMQFLLVCMTINARTNITTDKHALLSLKSSISFDPYNTLTHNWSISNVSPCNWVGVTCSSRHNRVTALDLSYMDLVGELTPHLGNLSFLISLNLNQNSFHNSLPQELSQLRRLKTLECSVNNFSGKIPSWLGLLPNIKFLFLGNNSFSGFLPPSLFNLSKLEILHLGVNSLEGTIPRDIGNLPRLKWLNISFNHFSGNFPLGILNLSMMETLSLSSNSLSGHLPLDLGNHLPRLQTFIMVRNKFDGEIPSSLSRCSLLQTIGLSYNKFSGNIPKEYGNLTQLEWLELNNNHLTGAIPKELCVSSSLSYLFLYSNILSGAIPKEIGNLTAIELLSIEFNNFGGEIPQEIGKLYTLKWVGLGSANLSGTIPKEIFNISSLIFIELSDNNLVGTLPTSIGYALPDLETLYLGINFISGAIPESISNCSKLAILSLEGNRFSDTLPTFLGNLRLLEELHLQGNLLTNNPASQELSIINSLVNCNYIRHVLLSSNPLYAILPSSIGNLSSSLEFLAVEDCGLKGIIPNQIGNLSGLVTLNLESNNLRGFIPPVLGRVHELQRLYLGRNKFNGPIPHSLCEAKYLGELYLHNNQLSGGVPKCFGNSTSLRHIDFGSNRLSSRIPSSLCSLKDLLTLDLSSNFLDGFLPIEVEGFKELYILNISHNEISGIIPVTIGQLQSLESLSLAHNRLEGPIPKQISQIVSLEFLDLSLNRLSGSIPVSLEGLTYLKYFNVSFNELSGEIPSDGCFKNLTSASFLFNEGLCGIPKFHVPPCHSAHHSKIKTGFLIVVAALGVALIISFLIIAIILTRQPKKLDVPSVMNIHTPLDEIRISYFELARATSGFSQSNLIGSGSFGSVYKGILNNGMPIAVKVFNQSERALRSVDVECEVLKNLRHRNLTKVITCCFSDNYKVLVLEYMSNGSLDQWLHSQSNYLDIIQRLNIMIDVACALEYLHHGYTIPIVHCDLKPANVLLDEDMVAHVSDFSVTKLLTKEENVLQTETLATLGYMAPEYGSTGMVSTQCDTYSFGVVLMETFSKRRPTDEYFSEDLSLKSWMRNSIPHHILEVVDSSLFIRVEDKDFTAKLQCISSILELALQCVADSPEMRLNMKDVVARLNRIKLQFLRDCDVTSNRGIVCYLKLFSVKGKIFISQFLKLFIY >itb10g13260.t2 pep chromosome:ASM357664v1:10:19461760:19475156:-1 gene:itb10g13260 transcript:itb10g13260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLTSNSRFSAVSSAFGSPVRIGTGSNAPLLRFLNSLRSSRQNGPYLYRRFFCSDSTDGSDPAAESEAKRAEPEGEEAVESKTSSAMVPTVFRPEDCLTVIALPLPHRPLFPGFYMPIYVKDPKLLAALVESRKRQAPYAGAFLLKDEPGTDPSIVSGSDTDKNIYELKGKDLFDRLHEVGTLAQISSIQGDQVVLIGHRRIRITEIVNEEPLTVNVDHLKEKPYNKDDDVIKATSFEVISTLRSVLKTSSLWRDHVQTYTTHIGEFTYARLADFGAAISGANKLQCQEVLEELDVHKRLQLTLELVKKEMEISRIQESIAKAIEEKVSGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNKDKIPAHVMQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGTYSNENFDVLGAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKVHIARDYLEKATREACGIKPEQVELTDGALLALIENYCREAGVRNLQKQIEKIYRKIALHLVRQGAYIEPPNAAEEAESVEGGSNERDSGNTVDAEVLEAPNDQTKNPIDQGTKLEETEKTKESDEAAKTIQKVVIDTSNIADYVGKPVFHAERIYDRTPIGVVMGLAWTSMGGSTLYIETTKVEQGDGKGALNLTGQLGDVMKESAQIANTVARTILLEKEPDNPFFANTKLHLHVPAGATPKDGPSAGCTMITSLLSLAMNKHVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSNVKTIIFPSANRRDFDELAPNVKDGLDVHFVDDYAQIYDLAFGDNQSTEN >itb10g13260.t1 pep chromosome:ASM357664v1:10:19461760:19475156:-1 gene:itb10g13260 transcript:itb10g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLTSNSRFSAVSSAFGSPVRIGTGSNAPLLRFLNSLRSSRQNGPYLYRRFFCSDSTDGSDPAAESEAKRAEPEGEEAVESKTSSAMVPTVFRPEDCLTVIALPLPHRPLFPGFYMPIYVKDPKLLAALVESRKRQAPYAGAFLLKDEPGTDPSIVSGSDTDKNIYELKGKDLFDRLHEVGTLAQISSIQGDQVVLIGHRRIRITEIVNEEPLTVNVDHLKEKPYNKDDDVIKATSFEVISTLRSVLKTSSLWRDHVQTYTTHIGEFTYARLADFGAAISGANKLQCQEVLEELDVHKRLQLTLELVKKEMEISRIQESIAKAIEEKVSGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNKDKIPAHVMQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGTYSNENFDVLGAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKVHIARDYLEKATREACGIKPEQVELTDGALLALIENYCREAGVRNLQKQIEKIYRKIALHLVRQGAYIEPPNAAEEAEVIETVEVKTDSVSVKDESAIEEVTLIGSQSVEGGSNERDSGNTVDAEVLEAPNDQTKNPIDQGTKLEETEKTKESDEAAKTIQKVVIDTSNIADYVGKPVFHAERIYDRTPIGVVMGLAWTSMGGSTLYIETTKVEQGDGKGALNLTGQLGDVMKESAQIANTVARTILLEKEPDNPFFANTKLHLHVPAGATPKDGPSAGCTMITSLLSLAMNKHVKKDLAMTGEVTLTGKILPIGGVKEKTIAARRSNVKTIIFPSANRRDFDELAPNVKDGLDVHFVDDYAQIYDLAFGDNQSTEN >itb11g18530.t1 pep chromosome:ASM357664v1:11:18921490:18922182:1 gene:itb11g18530 transcript:itb11g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFGSVLVLVASSPDAARAIMKDHDLAFSDRPASSIASRLFYGYKDVASAPYGEYWRQMRSICVLHLLSNTRVQSFRNVREEETGLMVEKIRQSCGSVINLSNIFFELTNDIVCRVALGRKYSKKGGNGEKDFKLLLAEFLELLGTFSVGDYIPWLSWVNRINGLDRRVGKNAKELDEFIDGVVEEHIGLKKEEGDGLDFVDILLDIQREKIRLAIPFTEILSKLSSW >itb08g03650.t1 pep chromosome:ASM357664v1:8:2956687:2962367:1 gene:itb08g03650 transcript:itb08g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKQHRCLFTLLGHLDYIRTVQFHHEYPWIVSSSDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDIGALRKKTVSPADDILRLSQMNADFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRYWILASHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLFYVKDRFLRVYEYSTQKDTQLIPIRRPGSNSLNQSPRTLSYSPSENAVLICSDVDGGSYELYIVPKDSYGRGDTVQDAKRGVGGSAIFVARNRFAVLEKSTNQVLVKNLKNEIVKKSILPMATDAIFYAGTGNLLCRAEDRVMIFDLQQRIVLGDLQTPFIRYIVWSQDMESVALLSKHSIVIADKKLVQRCTLHETIRVKSGTWDDNGVFIYATLTHIKYCLPNGDNGIIKTLDVPVYITKIYGNTIFCLDRDGKNRPIIIDSTEYIFKLSLLRKRYDQVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIEIALESAKKIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNLEKLSKMMKIAEVKNEVMGQFHNALYLGDIRERIKILENAGHLPLAYITASVHGLSDIAERLASELGDNVPSLPKQKTASLLLPASPISGGGDWPLLMVSKGIFEGALNIRDRDAREDDEEAVDGDWGETLDVGEEDNLQNGDVSMVLEDEEAQEEGDEGGWDLEDLELPPESDTPKNVPNARSVFVTPTPGMPVSQIWVQKSSLAAEHVAAGNFDTAMRLLNRQLGIRNFTPLRQLFIDLHVGSHTYLLALSTTPVISVALERGWSESASPNVRRPPSLVFSFSQLEEKLKAGYKLTTQGKFAEALRTFQGILHTIPLIVVESRREVDEVKELVIIVKEYVLGLQMEIKRKEMKDNPTRQQELAAYFTHCNLQTPHLRLALQNAMTVCYKAGNMITAANFARRLLETNPTVESQAKLARQVLQAAEKNMRDTAQLDYDFRNPFVTCGATHVPIYRGQKDVTCPYCCTHFVLSQEGQLCTVCDLAVVGADASGLLCSPAQTR >itb14g00780.t1 pep chromosome:ASM357664v1:14:540242:545647:1 gene:itb14g00780 transcript:itb14g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPSHFPASFRRLSLAFSLLCLLPVPHCSAGAHNYHDALRKSLLFFEGQRSGKLPPDQRLRWRKDSALHDGATAGVDLTGGYYDAGDNVKFGFPMAFTTTLLSWSIIDFGRNMGGEVGNAVKAVRWATDYLLKATAKDGVIYVQVGDAFSDHRCWERPEDMDTPRTVYKIDRNNPGSDVAGETAAALAAASIVFRSRDPAYSRVLLDRAVKVFEFADRHRGAYSSSLYHAVCPFYCDFNGYQDELLWAAAWLHKASRRRLYREYIVRNEVVLRAGETINEFGWDNKHAGINVLISKEVLMGKENDLKSFQIMADGFICSILPGISHPQVQYSPGGLIIKPGSCNMQHVTSLSFLLLAYSNYLSHANRVVPCGQTSATPALLKRLAKRQVDYILGDNPLGMSYMVGYGARYPRRIHHRGSSIPSVNNHPGHIGCRDGSQYYFTQSPNPNTLVGAVVGGPNISDYFPDARPFYQESEPTTYTNAPLVGLLAYFSVHS >itb11g19650.t1 pep chromosome:ASM357664v1:11:20395825:20398008:-1 gene:itb11g19650 transcript:itb11g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWVGGTGNVQDIRSLVELEILFANVRQPPAACGKRLLICRSRWSISPTVEAFLYLSKSVVRFADCRSVFKSVDTVFRKKFSRSVSQIEAAPVARFSKLLCPPSDLPASSACCFLPPSSSATATFITLICCVDV >itb07g17920.t2 pep chromosome:ASM357664v1:7:22254963:22258887:-1 gene:itb07g17920 transcript:itb07g17920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANTAAGNGNNGSNGQEAANTANGSTANGLSVSDNSVVGPTNAALRHNPGLSVEWASEEQSLLEELLKEYASESNLVRYAKIAMQLNDKTVRDVALRCRWMTKKENGKRRKEDQNSSKKSKDKKEKVTDSMPKSTHVSNRTNGHPYGQSVMSMDNDDGISYKDIGGTTGQLLEQNAQSLDQISANAAAFKGFSQLCAMNS >itb07g17920.t3 pep chromosome:ASM357664v1:7:22254780:22258887:-1 gene:itb07g17920 transcript:itb07g17920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANTAAGNGNNGSNGQEAANTANGSTANGLSVSDNSVVGPTNAALRHNPGLSVEWASEEQSLLEELLKEYASESNLVRYAKIAMQLNDKTVRDVALRCRWMTKKENGKRRKEDQNSSKKSKDKKEKVTDSMPKSTHVSNRTNGHPYGQSVMSMDNDDGISYKDIGGTTGQLLEQNAQSLDQISANAAAFKVSLKSLPHTPCFSRGFLIANCVNNKSWKPYMTAWRLDFYIG >itb07g17920.t1 pep chromosome:ASM357664v1:7:22250860:22258887:-1 gene:itb07g17920 transcript:itb07g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANTAAGNGNNGSNGQEAANTANGSTANGLSVSDNSVVGPTNAALRHNPGLSVEWASEEQSLLEELLKEYASESNLVRYAKIAMQLNDKTVRDVALRCRWMTKKENGKRRKEDQNSSKKSKDKKEKVTDSMPKSTHVSNRTNGHPYGQSVMSMDNDDGISYKDIGGTTGQLLEQNAQSLDQISANAAAFKIHENIGLFCQVRNNILTILHDSLNDSPEIMKQMPPLPVKLNEELANSILPLPSMPKPS >itb04g03120.t1 pep chromosome:ASM357664v1:4:1929128:1929475:-1 gene:itb04g03120 transcript:itb04g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNTGSEYPSTTAKSRRHPSLKGSLGSVKTYLGRPWKKYSRIIFMMKSFLNSLIDPAGWTPWNGTIALNTLYYGEFSNTGGASTAGQPGEMGPPLASNFSIMHVVLSDLLLTYF >itb15g02040.t1 pep chromosome:ASM357664v1:15:1218040:1220979:1 gene:itb15g02040 transcript:itb15g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHSVISKENEGMVQNPIAISPTTVPWWGGLTVQSASCVETLGNLMALSVEQPASGDQGIGGKQVERGVEQHLPKGNTGQFAIVPGDCKSSANLQKFSQVQGAASAQPASVEYRGPFEFGFGQPLICTKYPYGEQCYRLYTAYGPQVAGRIMLPLNLTSNEGPIFVNPKQYHGIIRRRKSRAKAEMANVVPKSRKPYLHLSRHLHAMRRPRGCGGRFLNTGNAKGSCKDSSGNTKTAAEGQISPISEVLQQQSDSRNLSSSPGSHNFGSEVTSLFSREDQDLDPFPTTRLRSPLLALPDLMNAGHSILTAPGKWMVAAAAADNCCNLKI >itb15g02040.t4 pep chromosome:ASM357664v1:15:1218044:1220979:1 gene:itb15g02040 transcript:itb15g02040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHSVISKENEGMVQNPIAISPTTVPWWGGLTVQSASCVETLGNLMALSVEQPASGDQGIGGKQVERGVEQHLPKGNTGQFAIVPANLQKFSQVQGAASAQPASVEYRGPFEFGFGQPLICTKYPYGEQCYRLYTAYGPQVAGRIMLPLNLTSNEGPIFVNPKQYHGIIRRRKSRAKAEMANVVPKSRKPYLHLSRHLHAMRRPRGCGGRFLNTGNAKGSCKDSSGNTKTAAEGQISPISEVLQQQSDSRNLSSSPGSHNFGSEVTSLFSREDQDLDPFPTTRLRSPLLALPDLMNAGHSILTAPGKWMVAAAAADNCCNLKI >itb15g02040.t2 pep chromosome:ASM357664v1:15:1218539:1220979:1 gene:itb15g02040 transcript:itb15g02040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHSVISKENEGMVQNPIAISPTTVPWWGGLTVQSASCVETLGNLMALSVEQPASGDQGIGGKQVERGVEQHLPKGNTGQFAIVPANLQKFSQVQGAASAQPASVEYRGPFEFGFGQPLICTKYPYGEQCYRLYTAYGPQVAGRIMLPLNLTSNEGPIFVNPKQYHGIIRRRKSRAKAEMANVVPKSRKPYLHLSRHLHAMRRPRGCGGRFLNTGNAKGSCKDSSGNTKTAAEGQISPISEVLQQQSDSRNLSSSPGSHNFGSEVTSLFSREDQDLDPFPTTRLRSPLLALPDLMNAGHSILTAPGKWMVAAAAADNCCNLKI >itb15g02040.t3 pep chromosome:ASM357664v1:15:1218539:1220979:1 gene:itb15g02040 transcript:itb15g02040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHSVISKENEGMVQNPIAISPTTVPWWGGLTVQSASCVETLGNLMALSVEQPASGDQGIGGKQVERGVEQHLPKGNTGQFAIVPGDCKSSANLQKFSQVQGAASAQPASVEYRGPFEFGFGQPLICTKYPYGEQCYRLYTAYGPQVAGRIMLPLNLTSNEGPIFVNPKQYHGIIRRRKSRAKAEMANVVPKSRKPYLHLSRHLHAMRRPRGCGGRFLNTGNAKGSCKDSSGNTKTAAEGQISPISEVLQQQSDSRNLSSSPGSHNFGSEVTSLFSREDQDLDPFPTTRLRSPLLALPDLMNAGHSILTAPGKWMVAAAAADNCCNLKI >itb05g18160.t1 pep chromosome:ASM357664v1:5:24979715:24982422:1 gene:itb05g18160 transcript:itb05g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWWRTVAAAGTGLRSATEAPSRRSYFTIQAIPREVTGNRVSARDRAQGRIPAVVFSQSYVQTKPSDPTSIAATASVSRKRLLTTERKQIKTILNSVDSPFFCSTTFPFQIRAGSGSSTLLESGKVLPIKIHRDKETGKILNLVFVWAEDGSKLKVDIPIVFKGEDVCPGVNKGGRLNKARTSLRFLCPAEKIPQKIEVDVSNLDIGDKVLMHDLDIDQGLKLLSKYPEMPVCNIRATHFDKSETA >itb05g25190.t2 pep chromosome:ASM357664v1:5:29721695:29723990:1 gene:itb05g25190 transcript:itb05g25190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFEKAKTVRLKSHHDKYLLADRKEELVFQDRHRDSQAARWTVEFVEGAHFVIRLKSCYGKYLTAADEQHLLGVTGRRVLQTMPRKLDSKIEWEPVMEGDLVKLKTRYGNFLRANAGIPPWRNSITHDIPHRHKDWIFWEVQVLECRQDPPEKVPRSDDADADLTTFNLTSSTVSARSVSNLLKLLVPHFYNIFIYSIRLLFTLLLGTQL >itb05g25190.t1 pep chromosome:ASM357664v1:5:29721695:29723990:1 gene:itb05g25190 transcript:itb05g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFEKAKTVRLKSHHDKYLLADRKEELVFQDRHRDSQAARWTVEFVEGAHFVIRLKSCYGKYLTAADEQHLLGVTGRRVLQTMPRKLDSKIEWEPVMEGDLVKLKTRYGNFLRANAGIPPWRNSITHDIPHRHKDWIFWEVQVLECRQDPPEKVPRSDDADADLTTFNLTSSTVSARSSGESVEGRLIYYAVADEKGKVDDSVEWPSFHFKGNSLEELSEKLEEETGLEDIIVCTRNPLNHSISPLRLALPPNNSTMHLVLLPSTSQAASEFLPESSFTAAD >itb11g05500.t1 pep chromosome:ASM357664v1:11:3255374:3256449:-1 gene:itb11g05500 transcript:itb11g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIQIFLNKISFVCFTIATLILLLLYLRTPDTCVYITDGRLKPDQRFPRSTCDFHSRAYTSVDKRNRRIWATKAWTQIVRSYTDLFQLLQNKHLFSVNSRALVVSAGGGHAVMALKDLGLSDVTGIEVVDSPPLVSKADPHNLPFFDDAFDFGFSPYLDRALFPARYVEEMERVVRGGGACVVAVEECGDAEMEAVVKLFRKSKFLGAMNVSLGEERKTRIVLRVKNG >itb13g12640.t1 pep chromosome:ASM357664v1:13:18837641:18839081:-1 gene:itb13g12640 transcript:itb13g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVSLLFFFVLPSSGSTGGSKLPTGDLNLLEFPLNLEYLEAEFFLWGAFGYGLDKLAPNLSSNGPSPVGAKAAKLSPLVKDIIAQFGYQEVGHLRAIKKTVPGFPRPLLNLSAEAFATVVNDAFGKALNPAFDPYANDINYLIASYLVPYVGLTGYVGANAKLRSETAKRLVAGLLGVESGQDAVLRTLLYEHAKEEVAPYGITVAEFTNRFSDLRNKLGNKGFVKDEGLIVKEREGAEGKISGNILAGDEYSVAYDRSPEEILRIVYGSGEERKPGGFFPKGAHGKIAESYL >itb03g12510.t1 pep chromosome:ASM357664v1:3:11956899:11957594:-1 gene:itb03g12510 transcript:itb03g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAQAKILQCMEGIASAFTYLHRGSRFMVRNGRNTRFWEDPWIHDQPLKMVGRDRLVPRDTNIKVRDLWDTSRGWKWETLTFISNDMKELLETITLDEDNDADELFWTKEASGKFSINSAYLLIKGIENNLQGSVWSKLWKIKTPNKIKTFMWTALHNRILGKAELKRRCITNDDTCDICPGEPETAEHILRKCRNAKEVWRVVAGRQKCSDWNALSYKDWLDKNLTKQE >itb09g04490.t1 pep chromosome:ASM357664v1:9:2510949:2512483:-1 gene:itb09g04490 transcript:itb09g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTEYYRYDYEELREASENFSESRLIGKGSHGCVYKAFLKNGVTVAIKKPSLAFQKLAESSNLENEARVLSSLPPCPYVVKLLGTSRDELGNRVVLVMEHLPNGTLHDALHAASATPLAWARRARIAIRVAKALRFLHRRSPPVVHRDVKSSNILFDARWDAKVADFGLAINNNDSVSRLGESLSRPAGTIGYLDPSYTVPCNLSTKNDVFSFGVVLLEIISCRKVIDVARSPASIVEWASQLIRVGRPLDICDKRVPVPWYMEHTIRQILGVAARCVSPELENRPSMEEIITELENCIVEPARFPLWMNILRRVTLLTRQRKMNSSTRKRGVHDISRGEKVLVREILADTTLE >itb06g18300.t2 pep chromosome:ASM357664v1:6:22005793:22007561:-1 gene:itb06g18300 transcript:itb06g18300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGFRVAVFAALFLAMENVVIGVQGRAFFVFGDSLVDNGNNNFLATSARADSPPYGIDYPTHRPTGRFSNGLNIPDIISEKLGSEPLMPYLNPALSGRKLLVGANFASAGVGVLNDTGVQFVNIIRIPQQLDYFAQYQQRVSAIQGAKRTKKLVNDALVLITLGGNDFVNNYYLVPFSARSRQYPLPQYVTYVISEYKKVLRRLYNLGARRVIVTGTGPLGCVPAELAQHSRAGECATELQHAASLYNPQLVEMIKEINSEIGSDIFIGANTNQMHLDFINSPAAFGTLIQFLPVKLHRYQFMCLTYIN >itb06g18300.t1 pep chromosome:ASM357664v1:6:22005233:22007561:-1 gene:itb06g18300 transcript:itb06g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLGFRVAVFAALFLAMENVVIGVQGRAFFVFGDSLVDNGNNNFLATSARADSPPYGIDYPTHRPTGRFSNGLNIPDIISEKLGSEPLMPYLNPALSGRKLLVGANFASAGVGVLNDTGVQFVNIIRIPQQLDYFAQYQQRVSAIQGAKRTKKLVNDALVLITLGGNDFVNNYYLVPFSARSRQYPLPQYVTYVISEYKKVLRRLYNLGARRVIVTGTGPLGCVPAELAQHSRAGECATELQHAASLYNPQLVEMIKEINSEIGSDIFIGANTNQMHLDFINSPAAFGFVSSQVACCGQGPYNGVGLCTPLSNLCSNRDEYAFWDPFHPSERANRLIVDQIMNGSPDYMHPMNLSTILALDSNNL >itb13g15390.t1 pep chromosome:ASM357664v1:13:22204044:22207166:-1 gene:itb13g15390 transcript:itb13g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEFEEYAEKAKQLPENTSNDDKLILYGLFKQATVGNVNTSRPGMFNMRDRAKWDAWKAIEGKTQEEAMNDYITKVKQLQEAASS >itb14g19240.t1 pep chromosome:ASM357664v1:14:21957349:21960665:1 gene:itb14g19240 transcript:itb14g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPCCHSLLAFVLKFLNFLQAFVGISIIVYSGYMLEQWQHHLAAPSPSFSPSAQFPEAQFANFESVRVSDGIDSINSAISGVGDAININYHSLPAPWFIYAFMGLGALLCCISFIGHIGAEAINGCCLCCYSLLMTVFVLLEASLVAFIALDHHWEADLPPDPTGELDSLRNFIEANMGVCKWIGIVVIIIQASTLLLAIILRSLVNQQVDNDIEGDNGARERTWEPLLDPCVSQTPGLANGNDSAWHSEVWSSRMRVKYGLNREQSTSNQYTGVA >itb13g26640.t1 pep chromosome:ASM357664v1:13:31836535:31839461:-1 gene:itb13g26640 transcript:itb13g26640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITFQGLAKLKASQVIFEDAFVASDPGTLEQLKELSSRRRSIEFINKNSCVTEAIAREMSGGLTSRSEQNIKNLEQYLPLLENLIGCVDLIKGHPRVVGWVSDLKITWSSPLTSSSFFNNRSPKLHQINDLRFELGMALFLYGALLQDLAREVLCTDLVQSTSLFRKAAGVYHHIAHEVLPNLQHNLRPEGPPEALTTVSDVFSLICLAEAQAVAATKAEQKGTTGGLLAKLHCGVSEFLEKALCNMQVAIKNCKDISSHFMDYISSCKTLHELESYKYLAESLKSDGQTGAAIGVLHFALKSKQKHNKPKEESWRSVYNQIIDSMTALLQKYERENEVVWHEKIPAPDQLPSPEGVKIVSCIPYQPQKWERSLVFKI >itb13g26640.t2 pep chromosome:ASM357664v1:13:31836535:31839461:-1 gene:itb13g26640 transcript:itb13g26640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLTSRSEQNIKNLEQYLPLLENLIGCVDLIKGHPRVVGWVSDLKITWSSPLTSSSFFNNRSPKLHQINDLRFELGMALFLYGALLQDLAREVLCTDLVQSTSLFRKAAGVYHHIAHEVLPNLQHNLRPEGPPEALTTVSDVFSLICLAEAQAVAATKAEQKGTTGGLLAKLHCGVSEFLEKALCNMQVAIKNCKDISSHFMDYISSCKTLHELESYKYLAESLKSDGQTGAAIGVLHFALKSKQKHNKPKEESWRSVYNQIIDSMTALLQKYERENEVVWHEKIPAPDQLPSPEGVKIVSCIPYQPQKWERSLVFKI >itb12g24060.t1 pep chromosome:ASM357664v1:12:25725057:25729046:1 gene:itb12g24060 transcript:itb12g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYENQGFEEAHLYASKEEMESLVLDDDPDGSSNGRKPFSDPLSASSLPFAEIATDDDPLHPRPSQSQNPNSFNSILEPPSYADAIFRSFDADHTLPEVNGHDQSGASPSSSSSTPMSEYLHITVSDPQKEQELTNSLVPGGSTYVTYLITTRTNLPHFSGTEFSIRRRFRDVVTLSDRLSEAYRGFFIPVRPDKSVVESQVMQKHEFVEQRRAALEKYLQRLAAHPVIRTSEELRLFLEVQGKLPLVRTTDAASRMLGGAVNLPRQLLGETGGVGAVDANEVAQPAKGGRDLLRIFKELKQSVTNEWVGVKPAVVEEDKEFLEKKDRLQDFEQQLTNVSQEAETLVKAQQDIGETMGQLGLAFVKLTKFETEEAIFDSQRKRATDMKNVATASVKASRLYRELNAQTVKHLDKLHEYLGMMLAVNNAFSDRSSALLTVQTLLSEVSALNSKIEKLEAASSKIFGGDRSRIRKIEELRETVRVTEDAKNCAVREYERIKENNKNEVERLDKQRHDDFLSMLRGFIVNQAGYAEKIANVWETVADETSRYAKHDS >itb03g10190.t1 pep chromosome:ASM357664v1:3:7944178:7946960:-1 gene:itb03g10190 transcript:itb03g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQNVVVPKSNLNIAITVAVSNSAAIFSTATHKPPSVPVGGGGFMNLAISTKNLLHNLDPNGAAKINSWVDSMRASSPTPAQKCSLSEDQSSWKLQHPSALDMFEQIIKASKGKKIVMFLDYDGTLSPIVDDPDRAFMSDAMRATVRKLARYFPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPSKGSKYMKGAQSVHFQPASEFLPMINEVYTTLLDKIKSIPGATVEHNKFCVSVHFRCVEQNKWGELAQEVRSVLKQYPKLRLSQGRKVLEIRPTIKWDKGKALEFLLESLGYANCTDVFPVYIGDDRTDEDAFKVLRERGQGFGILVSKSPKDTHASYSLQEPSEVMVFLRQLVEWRKMTLRRQCRLRRELEEMKTSTRK >itb15g20050.t1 pep chromosome:ASM357664v1:15:22532741:22533337:-1 gene:itb15g20050 transcript:itb15g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTATRCGGNRADQFPAGNLFVAVSEGLWDNGAACGRRYRLSCLSGNNRPCKGDTIDVRVVDFCPKRPCPSTIVLSTDAFAQISNSPNAKINIEYIQI >itb03g19840.t1 pep chromosome:ASM357664v1:3:17816042:17817049:1 gene:itb03g19840 transcript:itb03g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATSFLVANYYKCVDQRGVRYCCFCDGGGGASHGFEPALVVIFEPSQNTNVSEYKLICAFEATDFDYAIGFEIYSSKNNSWDVSEEIFFGAKKATLGFGVHVKGVVYWTVKRGGIVSFDLTKDKSELLDTVDSKRANCVLSDIIRDKALSFLLAKSLFRFMVVCHHWKRLILTPSFHLDQSLRFTDITGHPSHRLVRTSTS >itb06g09810.t1 pep chromosome:ASM357664v1:6:14029013:14032671:1 gene:itb06g09810 transcript:itb06g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGELLHRVGDSAREKPNNGCAVNDHRVIQLYLDFQASAERPRTCAAPSEISSRAIDQVRDAANFGAKFRFSIFSSPQSSFSSPVCGGCGCVKATSRAREAEATDSNWRRRLASAGVLAFQFPVCLKTIFNPTRMAACLFSCFEAKSSPPQQSTSALTRMRLRKSRGGVDLWLWIGALIIASAGAALPPRSAADLIIYLRSVSERDYYLRKRNRRISNKRKEDATMMMMMMISTI >itb06g09810.t3 pep chromosome:ASM357664v1:6:14031618:14032535:1 gene:itb06g09810 transcript:itb06g09810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLKDFAHITLPAGSCRFDYLLAVCERERLLLEKEEQKDKQQKKGGCYNDDDDDDQYYLGGVDLNQSLITPSLPDKEEWKKKLMGSFYGCLSWHNDVVVKPVVQSKALSNTPESVISHSSGDDKASLKYDEAVAEKVNNSKKRKRGSSRVPNNGPEPPPGLPVEFRNFILQLAGNRAVRVEKLVIQKGLTNTDVNSTQNRLSIPARLVREEFVTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKVSLRRWEMKKEKGGSSVSYVIANTWNAIRRRNKFESDMIVQLWAIRVDLDLWMTLVRLS >itb06g09810.t2 pep chromosome:ASM357664v1:6:14031155:14032535:1 gene:itb06g09810 transcript:itb06g09810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLFSCFEAKSSPPQQSTSALTRMRLRKSRGGVDLWLWIGALIIASAGAALPPRSAADFAHITLPAGSCRFDYLLAVCERERLLLEKEEQKDKQQKKGGCYNDDDDDDQYYLGGVDLNQSLITPSLPDKEEWKKKLMGSFYGCLSWHNDVVVKPVVQSKALSNTPESVISHSSGDDKASLKYDEAVAEKVNNSKKRKRGSSRVPNNGPEPPPGLPVEFRNFILQLAGNRAVRVEKLVIQKGLTNTDVNSTQNRLSIPARLVREEFVTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKVSLRRWEMKKEKGGSSVSYVIANTWNAIRRRNKFESDMIVQLWAIRVDLDLWMTLVRLS >itb09g31040.t2 pep chromosome:ASM357664v1:9:31550256:31551636:-1 gene:itb09g31040 transcript:itb09g31040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSYPVTDLHSVYSFPHPVEVSLIQVVGITKFDSYIEAASGSLELAWMHRMVSDFERLANQSSRHFLGGGNLSFFADAAEKRKSIKTYLGGGASVQAGIILEKXG >itb09g31040.t1 pep chromosome:ASM357664v1:9:31547177:31551636:-1 gene:itb09g31040 transcript:itb09g31040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSYPVTDLHSVYSFPHPVEVSLIQVVGITKFDSYIEAASGSLELAWMHRMVSDFERLANQPAFLGRGQLELLRRRSRKKKKYKDILGWGGECAGGDNPRKXGIILEKVAGPYSTGNLELRSKDPSENPKVTFNYFKDPRDLQRCVVGIEIIAKVIESRPFSKFRYPPRTGLGIYAANDEIPRGLYYDMMLRCAPLHFQLGWMLSYAILYRFDIC >itb09g14230.t1 pep chromosome:ASM357664v1:9:9424819:9429250:1 gene:itb09g14230 transcript:itb09g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGQGLQSRFAPLDGMEEYVMDQHQEDQLTPSGFQPPYDILPRIRTNVRGGPRLQNQRRPEPQLEAELQSDRPRQIPQNRGGFRGRGGRGGGSNRAAAESEHIVVRGSNKGKDITSMVVYHDRGHSPSPSLAEFGNTYKHVPPDLEWRALNDGEPPDIAMQEAERGVDPNGPPPTAGLPLIARRSPPDARRRRLPPAAHRLTLAIDAHRPPLTARRKIPDRCLAPTGCSCSSRQHWRIALSTLQSLVASGQRTTVVVGLNFLATQRGRGKWQKELKRTCQGMQRCTRSMLARLIDSDDLKLAVQSKVNFSFAPPPPREVLLELARSRNKIPLPKSISGPGIPLPPEQDTLISPNYQLAIPKKRTAQHVEETEEEEEGSNPNPASNQNQNLSQDRTDLPQEAPQRVSFPLGAKRPR >itb13g17890.t1 pep chromosome:ASM357664v1:13:24839475:24842291:-1 gene:itb13g17890 transcript:itb13g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMPIMLEPSLVDQVNDLGWQLKRLQEFKRLLELEIPNEDNKVAYWRRKIEEFCRKLRYTEDNASQFLWRCNRRKGVFGLIKSFFTFLLCRFQLPNLRLSISEVQKEMEKLETEMEEWSEKWVPLPMSRREQHVENGTLNEVVVGREEDIRSLLKFLEEDNVDYPFFAVCGKGGLGKTTLVRKVYNTPDVRHNFMGCAWITAPHQVQAKTLWQDIISQIEPGRKDEMKKLSDSALAQRLHSSLQSGRYLIVLDDVRSTEASLIALPTVGIRSRVVITTRETYQAEKIVERNQRIGHILKMSPLTEAQSWELFLQKQLPSHRSEFEKNPELKEICGDVVEKCNGVPLEIMVLGDLMAEKHSIDEWKLLHKKFTNSAKDTLELSYNLLPWNLKSCLLYLAVFPKDTDTEAEKLYNLWIAEGLITTTVHQEDGNSSTMLSTAETYLKQLAAVGLVQVQEEETPMLTKIKSCRLHDLVRELCCQKAEKESLFAAVDLRRAGNSSQDTRLTTDVRTLAFYFDKRVGGYDFPNPNKFRKLRSFLFLNAPHPHHHLVPDDEHLLLPKSLVLKNCRLLRVLDFNSLDFHGTKLPKDIHNLATLRYLSFRGCNLPELSPSIGRLPNLKILDLRVNPTVDMSISNVLYKITRLKNLYFPQKFHAVGGKLQLDGLSELENLNNFASTRCQCKDLPRLKNLKLLAATIEGNLDDLGETIKCIKGERFKSSSIVLKNFDCYSHKRLSALSNLLGCEHLNALRVEGHIGNLSCPISEKLTELFLLGSELTEDPMPVFGKIPQLQKLVVCNGAYLGDAMDCKAHTFLQLRYLKLSNLHILKDWTLEEGSMGKLSTLTVEKCQSLENLPEGLKFLIHLREVNIVNMPKMSTTLKREGQTEIQRFSGQYSDKIKHVLSINIL >itb11g14560.t2 pep chromosome:ASM357664v1:11:11745731:11748491:-1 gene:itb11g14560 transcript:itb11g14560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDSGFSAQKRAGALPTTANDAGAAAMNGDSRSRASPPVPRGRQIQRTFNNVKITILCGFVTILVLRGTIGVGNLASSDADLENTYLIDETNRILDEIRSDKDPDDPEGQSDRFLSPNETFSLGPKITGWDEQRKVWFERHPGFPNSVNGKPRVLLVTGSPPTPCENPVGDHYLLKGVKNKIDYCRIHGIEIVYNSANMDREMDGHWAKLPLIRRLMLSHPEIEWIWWMDSDAFFTDMAFEIPLSKYDSHNLVIHGYQDLLFDQKSWTALSTGSFLIRNSQWALDLLDVWAPMGPKGPIREESGKTLTANLKGRPEFEADDQSALIYLLMSEKDQWMDKVFLENSYYLHGYWAGLVDRYEEVMEKYHPGFGDERWPFVTHFVGCKACGSFGDFPVEKCVKSMERAFNFADNQVLNLYGFKHRGLLSPNIKRIRNETTAPLRNVDQYDFRHAKHES >itb11g14560.t1 pep chromosome:ASM357664v1:11:11744989:11748491:-1 gene:itb11g14560 transcript:itb11g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDSGFSAQKRAGALPTTANDAGAAAMNGDSRSRASPPVPRGRQIQRTFNNVKITILCGFVTILVLRGTIGVGNLASSDADLENTYLIDETNRILDEIRSDKDPDDPEGQSDRFLSPNETFSLGPKITGWDEQRKVWFERHPGFPNSVNGKPRVLLVTGSPPTPCENPVGDHYLLKGVKNKIDYCRIHGIEIVYNSANMDREMDGHWAKLPLIRRLMLSHPEIEWIWWMDSDAFFTDMAFEIPLSKYDSHNLVIHGYQDLLFDQKSWTALSTGSFLIRNSQWALDLLDVWAPMGPKGPIREESGKTLTANLKGRPEFEADDQSALIYLLMSEKDQWMDKVFLENSYYLHGYWAGLVDRYEEVMEKYHPGFGDERWPFVTHFVGCKACGSFGDFPVEKCVKSMERAFNFADNQVLNLYGFKHRGLLSPNIKRIRNETTAPLRNVDQYDFRHAKHES >itb02g05030.t1 pep chromosome:ASM357664v1:2:2999946:3004531:1 gene:itb02g05030 transcript:itb02g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALQCPMAGTRKNAPPAFGKSPPPSAAETLRVFRPKTKRFTKVVANASGSRGADNAASVSLAETASVSSPSPPFSRVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDRALFTIVVSGTEKVLQQVVEQLNKLVNVLKVDDLSREPQVERELMLIKLNAEPDTNAEIMWLVDIFRAKIVDKSDHSVTIEVTGDPGKMAAVLRNLKKFGIKELARTGKISLRREKLGETAPFWRFSAASYPDLEQTVSGADTLKDISQTMNGKLNNDSRGDVYPVEAYDDFSVNKVLDAHWGVLHDEDSSGLQSHTLTMLVNDSPGVLNLITGVISRRGYNVQSLAVGPAEKEGLSRITTVVPGTDETIRKLVRQFDKLVDIHEVQDITHLPFAERELMLIKIAANAAARRDVLDIAKIFRAKPVDVSHHTITLELTGDFNKLLALQRLLEPFGICEVARTGRVALVRESGVDSTSLRGYSLPS >itb02g13520.t1 pep chromosome:ASM357664v1:2:9670368:9680482:-1 gene:itb02g13520 transcript:itb02g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRQVFSVDLLERYATKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGVSDDIDLSAGRPGEQSIHKVFVDPGGSHCIATVVGNGSADTYYMHAKWAKPRLLSKLKGLLVNAVAWNRQQITEASTKEVILGTQSGQLYEIYVDAKDKMEKHIKLLFELKELPETFMGVQMETASVNNGTRYYVMAVTPTRLYSFTGIGSLESVFASYVDRTVHFMELPGDIPNSELHFFIKQRRAEHFAWLSGAGIYHGDLIFGGHHSSPSGGENFVENKALLDYSKLSEGAEVVKPSSMAVSEFHFLLLIGNKVKIVNRISKQIVEELVFDQTPDAVSRGISGLCSDASAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDLKEYAAALASCHDHLQRDQVYFEQAESAFSSKDFQRAAYFYAKITYALSFEEITLKFISIGEQDALRTFLLRKLDSLAKDDKCQITMICTWATELYLDKINRLLLEDDGASETHSSEYQDIIMEFRAFLSDSKDVLDEATTMKLLER >itb11g08490.t2 pep chromosome:ASM357664v1:11:5582369:5586318:-1 gene:itb11g08490 transcript:itb11g08490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSLSSSMDAISSSNFVPRFPETHSPFQSPRTLAFCNSGNLKSSLSVSCSIANSSASRIPALARQGLSAAGGSGSDDDKEGEEKNHQLESESLDSEGALSFPQYFSGNVKWLLQLCCDGLSRILKGNPDGKQTSELNLNEMPKVVLPPQVSLGNTAGGGTRAGLFRAPISGGVQSATSAHGLHKPALAVRNLMEQARFAHLCTVMSKMHHRREGYPFGSLVDFATDPMGHPIFLLSPLAIHTRNLIGEPRCTLVVQVPGWTGLSNARVTIFGDIYPLPEDQQEWAHKQYTAKHQQGSSQQWANFYYFRMESISDIYFIGGFGTVAWVDVKEYEALEPDKIAVHGGEQYLKELNAVFSKPLKELLSREAEVDDVALISIDSKGTDIRVRQGAQFNIQRMSFEADHSVETLEEAKEALWKLMDKGKFIICGNENLQGSL >itb11g08490.t1 pep chromosome:ASM357664v1:11:5582369:5586318:-1 gene:itb11g08490 transcript:itb11g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSLSSSMDAISSSNFVPRFPETHSPFQSPRTLAFCNSGNLKSSLSVSCSIANSSASRIPALARQGLSAAGGSGSDDDKEGEEKNHQLESESLDSEGALSFPQGNPDGKQTSELNLNEMPKVVLPPQVSLGNTAGGGTRAGLFRAPISGGVQSATSAHGLHKPALAVRNLMEQARFAHLCTVMSKMHHRREGYPFGSLVDFATDPMGHPIFLLSPLAIHTRNLIGEPRCTLVVQVPGWTGLSNARVTIFGDIYPLPEDQQEWAHKQYTAKHQQGSSQQWANFYYFRMESISDIYFIGGFGTVAWVDVKEYEALEPDKIAVHGGEQYLKELNAVFSKPLKELLSREAEVDDVALISIDSKGTDIRVRQGAQFNIQRMSFEADHSVETLEEAKEALWKLMDKGKFIICGNENLQGSL >itb10g21540.t1 pep chromosome:ASM357664v1:10:26723648:26727246:-1 gene:itb10g21540 transcript:itb10g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRTASHQLKNGLYVSGRPEQAKERQPTMASRAMPYTGGDVKKSGELGKMYGIDSTGSGSGPYVHKPASRAAPPSSQSGGAVRSRPNSGQQGHKSNNSGSIPKKSSSFSGPTPIQPTGLITSNSGPLDSSGAAAARAVQPEPSASFNKKLYSSAVTRLVKELKPRGSLGFLKKYPDAELRGAVDGQYVKVTGVVTCGSIPLETSFQRTPRCVYVSTELYEYRGWGGKPANSKHRFFSWGRRHSEKFVADFYISDFQSGLRALVKAGYGAKVSPFVKPSTVVDVTQSNRDLSPNFLQWLSDRNLSSDDRIMRLKEGYIKEGSTVSVMGVVRRHANVLMIVPPGEPVSTGCQWASCLPPTNIEALVLACDETQNSDVIPV >itb07g22110.t1 pep chromosome:ASM357664v1:7:26578884:26580900:1 gene:itb07g22110 transcript:itb07g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGGMEEDHQELMKFVCKQCYKRYPCGKSLGGHMRSHVVANSAEMVEGGGGGGDPTAMKRDHQQRFEHGGGYSTYGLRENPKKTWRAVDSTSPLPQEKACKQCGKVFQSLKALCGHMACHSDKERGGGVKDDQSWSSESKKLEEEDEEEEEVDDDDDSDDSDDDDDSDSEAEEDQIPTTTTRDRSQSTHHPKRYKKLVVKSYSLSLTNNNSIGSSSVSEIDEQEQEEVAKCLMMLSKDSGNWYGSVVEFSDNNSVVLETKSSSIDMKTSIRSNKGNGNGNGTVYKVCNNRDNETQNPPAKKMGDRKVMKSSIVHTEMAESQSSDSGYFLGENKVESDVSVNGFLAKCKVLNRVKGYVRGGVEKEYENYDEFGVASNSGKQKRATNDTSYDPEMETGSYNKKMKAASASSPAKKKYECLNCKKSFNSYQALGGHRPCHKKTNPDMESGYGTGENSLEADETYNHGNGGRIRDCFGSKKAGGKDTTEKKARPKKGKGHECPFCHRMFKSGQALGGHKRSHFIGGAQVINGTQTPAAAAKEEAADLLDLNLPAPVEDEDDEQLMAW >itb10g16100.t1 pep chromosome:ASM357664v1:10:22377888:22379784:1 gene:itb10g16100 transcript:itb10g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRRLLPTCLTASSSASEVVEFFRMSAWLMDLTVDQKALTDRENALKTEMENCKKAKESVIEFGNWKMRVNGEIQELKETRKAEVEERLEVSERRSVLLDKRVALMEENSSYAAVRLAADENVGKSTVSDLNLKPQNDGSGAWVDQNEMRLTLINDPQLCMSALCALYRDLISAPLSNDQFGVELAKYLINGHPENKLNRPMSEIPEVVAEESIWLALKYSEQLFRIYSSGEDPLFCHNSISSKH >itb11g04820.t1 pep chromosome:ASM357664v1:11:2584331:2585207:-1 gene:itb11g04820 transcript:itb11g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II repair protein PSB27-H1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03600) UniProtKB/Swiss-Prot;Acc:Q9LR64] MASPTLITPTTSTPKPLLPPIRSKLISATTSTATLPTRREFLSLAAGVLSPAILVAVPAARAASDEEYVKDTTEVIGKVRSTMAKNKGDPDIADAVAELREASNSWVAKYRREKALLGRVSFRDMYSALNAVSGHYISFGPTAPIPAKRKQRILEEMDTAEKALQRGR >itb12g04880.t2 pep chromosome:ASM357664v1:12:3227549:3234441:1 gene:itb12g04880 transcript:itb12g04880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAIFSGFNLSLYRIRTRMRSRLDPALHLSPVHYPTSSILTPPLPHFRRYITSCSAHNHRHDHHDHGHHKHQHSEHRHDHNHHHHHHHHHHHGAGECNAQLTKPQEVLLNFADMIRWSQLANFLRENLELCCCSAALFVAAAACPYVLPKPAVKPLQRAFAAIAFPLVGISASLDALTDIAGGTINIHVLMALAAFASVFMGNPLEGGLLLAMFNLSHIAEEYFTSRSKVDVNELKENHPEFALLLDVNNGSLPSFSNLTYHEVPVSDLKVGSSILVKAGESVPVDCEVLKGSSTITVEHLTGEVKPLEKNVGDSIPGGARNLDGMLIVKVKKTWKESTLSRIVQLTEEAQLSKPKLQRWLDKFGEQYSKVVVLLSISVAVIGPFLFRWPFFSTSACRGSIYRALGLMVAASPCALAVAPLAYVTAISACARKGILLKGGQVLDALASCHSIAFDKTGTLTTGDFMCKAIEPIHGHMSSQERQFACCCKPTCETEALAVAAAMEKGTTHPIGRAVIDHSAGKDLPSLAVESFENLPGRGVFATLSSMEAGTGGSKPFKASLGSVEYIASLYQSEDESTKIKEAVRTSTYGGDFVRAALSVNNNKVTLFHFEDKPRPGVSDVIHTLREQGKLHVMMLTGDHEASAWRVAKAVGIKEVHCSLKPEDKLYHVTSISRDKGGLIMVGDGINDAPALAAATVGIVLAERASASAIAVADVLLLQDNISGVPFCVAKSRQTTSLIKQNVALALSSIAFASLTSVLGFLPLWLTVRLFSIIFSVLSYLFLLLGFNCL >itb12g04880.t1 pep chromosome:ASM357664v1:12:3227549:3234441:1 gene:itb12g04880 transcript:itb12g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAIFSGFNLSLYRIRTRMRSRLDPALHLSPVHYPTSSILTPPLPHFRRYITSCSAHNHRHDHHDHGHHKHQHSEHRHDHNHHHHHHHHHHHGAGECNAQLTKPQEVLLNFADMIRWSQLANFLRENLELCCCSAALFVAAAACPYVLPKPAVKPLQRAFAAIAFPLVGISASLDALTDIAGGTINIHVLMALAAFASVFMGNPLEGGLLLAMFNLSHIAEEYFTSRSKVDVNELKENHPEFALLLDVNNGSLPSFSNLTYHEVPVSDLKVGSSILVKAGESVPVDCEVLKGSSTITVEHLTGEVKPLEKNVGDSIPGGARNLDGMLIVKVKKTWKESTLSRIVQLTEEAQLSKPKLQRWLDKFGEQYSKVVVLLSISVAVIGPFLFRWPFFSTSACRGSIYRALGLMVAASPCALAVAPLAYVTAISACARKGILLKGGQVLDALASCHSIAFDKTGTLTTGDFMCKAIEPIHGHMSSQERQFACCCKPTCETEALAVAAAMEKGTTHPIGRAVIDHSAGKDLPSLAVESFENLPGRGVFATLSSMEAGTGGSKPFKASLGSVEYIASLYQSEDESTKIKEAVRTSTYGGDFVRAALSVNNNKVTLFHFEDKPRPGVSDVIHTLREQGKLHVMMLTGDHEASAWRVAKAVGIKEVHCSLKPEDKLYHVTSISRDKGGLIMVGDGINDAPALAAATVGIVLAERASASAIAVADVLLLQDNISGVPFCVAKSRQTTSLIKQNVALALSSIAFASLTSVLGFLPLWLTVLLHEGGTLVVCLNSIRALNDPMWSWKDDISQLINRLRHVIMLFRRRHEIIQGTIQTTP >itb03g06210.t1 pep chromosome:ASM357664v1:3:4593197:4595068:1 gene:itb03g06210 transcript:itb03g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLVLALFSIVILASEAQEQCGRQAGGALCPGGLCCSQYGFCGNDSEYCSPSSGCQSQCSSSGAGAGDVSSIISQAVFDDMLKYRDDSRCHAPGFYTYDAFIAAAKSFGEFATTGDLDTRKREVAAFLGQTSHETTGGWDDAPDGRYAWGYCFLQEGGDDPYCNVTQEWSCAPGKKYFGRGPIQISYNYNYGQAGKALGFDLISNPDLVATDPTVSFKTAFWFWMTPQGAKPSCHDVITGKWSPSPSDTAAGRVPGYGLVTNVINGGVECGPQGEHDKEADRIGFYKRYCDIFGVGYGDNLDCSTQTPYSP >itb03g07740.t1 pep chromosome:ASM357664v1:3:5756587:5760988:-1 gene:itb03g07740 transcript:itb03g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MSDLEFTLFLLPVIFAAILFINFCKRKHYYNLNLPPGKMGWPFLGETIGYLKPYSATSIGEFMEDHISRFGKIFKSNLFGEPAIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGEMHRDMRSISLNFLSNARLRTQLLKEVEKHTLLVLGSWQENSVICAQDEAKKFTFNFMAEHIMSLEPGNVETEKLKKEYITFMKGVVSAPLNFPGTAYRKALQSRSTILKFIERKMEERGQGIMKEQDNDLLGWVLNNSSLSKEQILDLVLSLLFAGHETSSVAIALAIFFLQGCPAAIQQLREEHSKISKPNEKSGVKELTWEDYKKMEFTHCVVNETLRLGNVVRFLHRKAIKDVRYKGYDIPCGWKVLPVIAAVHLDPSLFDHPQHFNPWRWQNKDGSAAAAPTSNNNFMPFGGGPRLCTGSELAKLEMAVFIRHLVLNYDWELADSDEAFAFPFVDFPKGLPITVSRRNSFTQQECIDHSCTSKDI >itb03g07740.t3 pep chromosome:ASM357664v1:3:5758718:5760966:-1 gene:itb03g07740 transcript:itb03g07740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MSDLEFTLFLLPVIFAAILFINFCKRKHYYNLNLPPGKMGWPFLGETIGYLKPYSATSIGEFMEDHISRFGKIFKSNLFGEPAIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGEMHRDMRSISLNFLSNARLRTQLLKEVEKHTLLVLGSWQENSVICAQDEAKKFTFNFMAEHIMSLEPGNVETEKLKKEYITFMKGVVSAPLNFPGTAYRKALQSRSTILKFIERKMEERGQGIMKEQDNDLLGWVLNNSSLSKEQILDLVLSLLFAGHETSSVAIALAIFFLQGCPAAIQQLREEHSKISKPNEKSGVKELTWEDYKKMEFTHCVVNETLRLGNVVRFLHRKAIKDVRYKGYDIPCGWKVLPVIAAVHLDPSLFDHPQHFNPWRWQVCQFC >itb03g07740.t2 pep chromosome:ASM357664v1:3:5757598:5760966:-1 gene:itb03g07740 transcript:itb03g07740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 90B1 [Source:Projected from Arabidopsis thaliana (AT3G50660) UniProtKB/Swiss-Prot;Acc:O64989] MSDLEFTLFLLPVIFAAILFINFCKRKHYYNLNLPPGKMGWPFLGETIGYLKPYSATSIGEFMEDHISRFGKIFKSNLFGEPAIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGEMHRDMRSISLNFLSNARLRTQLLKEVEKHTLLVLGSWQENSVICAQDEAKKFTFNFMAEHIMSLEPGNVETEKLKKEYITFMKGVVSAPLNFPGTAYRKALQSRSTILKFIERKMEERGQGIMKEQDNDLLGWVLNNSSLSKEQILDLVLSLLFAGHETSSVAIALAIFFLQGCPAAIQQLREEHSKISKPNEKSGVKELTWEDYKKMEFTHCVVNETLRLGNVVRFLHRKAIKDVRYKGYDIPCGWKVLPVIAAVHLDPSLFDHPQHFNPWRWQE >itb10g15880.t1 pep chromosome:ASM357664v1:10:22111657:22116689:-1 gene:itb10g15880 transcript:itb10g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGTVKCSPMKATSNGSFQGDSPLDYALPLLILQICLVVVLTRVLAYLLRPLRQPRVIAEIIGGVLLGPSALGRNKHFLNTVFPPRSLTVLDTLANLGLLFFLFLVGIELDPKALRRTGKQALSIALAGISLPFALGVGTSFVLRGTISQGVKQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSPMVSLWVLLCGAGFVTLCIFIAPPIFNWMARRCSEGEPVNELYVCATLAAVLAAGFVTDTIGIHALFGAFVLGVLVPKEGPLSGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVIVTACFGKIVGTIVASLLCKMPINEALSLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMALFTTFITTPSVIAVYKPAKMAVTEYKRRSVESKDSSGKLRILACFHSTRNIPSLINLIEASRGKEKRELRVYAMHLMELSERPSAIQMCHKARKNGLPFWNKGAITDSNQIVVAFETFEQLSKVSIRPTTAISALSSMHEDITQCATQKRVAMVILPFHKHQRLDGHFETTRTEFRHVNRRVLEYAPCSVGILVDRGLGGTSHVSASNVDYSITVLYFGGHDDREALAYGERMAEHPGISLQVARFILDPEILGERVALNVPPTPSAQETILSEDDETFLADFKQRTMKNKSVKYEETIVKNGAEASEAIKGLSRCNLLVVGRVPEGEIVAALEKKGECPELGAVGSLLTYPDFPTTASVLVVQQYRRELSLNHLNSLKDGDSSDGENYDSA >itb10g15880.t2 pep chromosome:ASM357664v1:10:22111657:22116689:-1 gene:itb10g15880 transcript:itb10g15880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGTVKCSPMKATSNGSFQGDSPLDYALPLLILQICLVVVLTRVLAYLLRPLRQPRVIAEIIGGVLLGPSALGRNKHFLNTVFPPRSLTVLDTLANLGLLFFLFLVGIELDPKALRRTGKQALSIALAGISLPFALGVGTSFVLRGTISQGVKQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSPMVSLWVLLCGAGFVTLCIFIAPPIFNWMARRCSEGEPVNELYVCATLAAVLAAGFVTDTIGIHALFGAFVLGVLVPKEGPLSGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVIVTACFGKIVGTIVASLLCKMPINEALSLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMALFTTFITTPSVIAVYKPAKMAVTEYKRRSVESKDSSGKLRILACFHSTRNIPSLINLIEASRGKEKRELRVYAMHLMELSERPSAIQMCHKARKNGLPFWNKGAITDSNQIVVAFETFEQLSKVSIRPTTAISALSSMHEDITQCATQKRVAMVILPFHKHQRLDGHFETTRTEFRHVNRRVLEYAPCSVGILVDRGLGGTSHVSASNVDYSITVLYFGGHDDREALAYGERMAEHPGISLQVARFILDPEILGERVALNVPPTPSAQETILSEDDETFLADFKQRTMKNKSVKYEETIVKNGAEASEAIKGLSRCNLLVVGRVPEGEIVAALEKKGECPELGAVGSLLTYPDFPTTASVLVVQQYRRELSLNHLNSLKDGDSSDGENYDSA >itb01g28870.t1 pep chromosome:ASM357664v1:1:33191407:33196080:-1 gene:itb01g28870 transcript:itb01g28870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIDKIRELSKENDGNKVVFSFEFFPPKTEEGVENLFERMDRMVAHNPTFCDITWGAGGSTADLTLDIANRMQNMVCVETMMHLTCTNMPVEKIDHALDTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFSCALDLVKHMREKYGDYFGITVAGYPEAHPDVIPASGVATAETYNNDLAYLKRKVDAGADLIVTQLFYDTDNFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEIMAALEPIKDNEEAVKAYGIHLGTEMCKKIMASGIKTLHLYTLNMEKSALAILMNLGLIEESKVSRSLPWRRPTNIFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSRNPSYGALSDHQFMRPRARDKKLQEEWAVPLNGIEDICEIFSKFCLGKLRSNPWSELDGLQPETKTINEQLGAINKKGFLTINSQPAVNGEKSDSPSVGWGGAGGYVYQKAYLEFFCSKQKLDALVDKCNTFPSLTYIAVNKEGTLVSNVKTTDVNAVTWGVFPAKEIIQPTVVDPASFMIWKDEAFETWSKGWGQLYPESDLSRKLLEEVQRTYFLVSLVDNDYVHGDLFAIFKDL >itb13g24060.t1 pep chromosome:ASM357664v1:13:29801462:29803986:1 gene:itb13g24060 transcript:itb13g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMKVQPIDSLSSSIRGDSARSVSKSRLKRFFDRPFTSVLRSSSASEKATVTVGAGVEVPYGKDGGVSSTAAEFEPSSVCLDKMVQNFIEESNEKQPPSKIGRNRCNCFNGNGSDSSDDEFDFTSSGFSDFVANSSSFGDSSDTIKSLIPCESVAERNLLADTSKIIEKNKICKRKDDLRKIVADGLSTLGYNASICKSKWEKASSMPAGEYEYIDVIVEGERVLIDVDFRSEFEIARSTGSYNAVLQLLPLIFVGNGNRLLQIVSIMSEAARQSLKKKGMHIPPWRKAEYMKAKWLSPYSRSYSPPPPPPENPEPESEPKPEPEAVEDEAEAEAEAGAEAVSECGELDLIFGDNTTPFADENNPSSPASPSPPAIIPGEEAKPSPSPKPAAMLMWQPPAIKPKSCERGNRVIVTGLASLLKEKP >itb09g21790.t1 pep chromosome:ASM357664v1:9:19897505:19901204:-1 gene:itb09g21790 transcript:itb09g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPSLFQTVGSALEDWIVDQMYTVRPVVETGYENLLLVRLLLEMRLSSIRKSSVAEGLTVDEILENWMKIKPVIVEEWNENRDALIDLFGKVRDEWMDNDLSTWIGANRFYPGVADALKFASSKVYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKTKVLKQLQEMPEHQGLTLHFVEDRLATLKNVIKEPELNGWNLYLGDWGYNTPEEREEAANIARIRILELSDFSKKLK >itb15g17130.t1 pep chromosome:ASM357664v1:15:17469256:17469819:-1 gene:itb15g17130 transcript:itb15g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCVPTILQLVGFASNTTALALSLVTSGLNAVGTIISMLLVDRYGRRRLMIISMFGIISCLTLLSGLLYLASQHSPPVSLSQSQHFGLNSTCSTSLFAAGSNNQPSSWSCYSCVHQHATSHHCSFCSNKAGKYNPGACLSVTDEMKHSGHSVG >itb01g28920.t1 pep chromosome:ASM357664v1:1:33233485:33237758:1 gene:itb01g28920 transcript:itb01g28920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEEDGGKTRIYVGGLGEGVTAEDLKKTFSSPQLGAVQSVDLIRTKGRSFAYLDFVPSSDNSLLKLFSKYNGCMWKGGRLRLEKAKQHFLVHLKREWEEDAKVASDSACPPSSPTTGSSDKPQKNPNLEKMQLHIYFPKLRKIRLVPLKGTGKHKYSFQRVEVPRFPTHFCSCEEHSGAAYTPKEKPLIDKEIEIGGVDQEELNIMASVMNKIFERENRPETAFKYVEGSDGVQSLTNVADHSMVDEAMDDDDDDGIIINVVQRKNEGISTSYDIGKKPVTHNQKSPSEVKHGDQKTAARKREFPQDTGGGAIDNLSATNDGKHNFRALAGDAVDACHIEAKSCSLQSNCKASSQQSALGDPVDIKTDVTLNTADVGVDSTPEKKRQRHQSGTDLQFKPDGVDMSSFTDEKNQEKSEQHEGPAKIGEASSSKVNASTKKSAKGASWLQTSSWTQLVGGMNSSSFSISQIVPSFNFERQDLSISSGSGKQENGTDNSKGNMSKMGGGTNVNPPPAPGISIDGASEEKSNNVQLDQGVSKPDNHQQRDGVTNEEKKDQLTSKQTSLPDVSIGETCSFTKSATSMKQWMKAKTALSGSLKKKDK >itb06g07120.t1 pep chromosome:ASM357664v1:6:10362611:10363231:1 gene:itb06g07120 transcript:itb06g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb06g02290.t1 pep chromosome:ASM357664v1:6:4034123:4036710:-1 gene:itb06g02290 transcript:itb06g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHFSPLPLSVPIMLLLVMFTSIPLSHSIPFVILHGLGMQCNDEGSIFYQSSLSMLTGVKGTCLEIGNGAYDSWIMPMEQQVHIACEKLRSMPELQQGYNLVGLSQGNVIARGVIELCDNAPPVRNFISIGGPNAGVSSVPDCITGPWCAAVGLYDGMGVYSDYVQARLGPSGYTRLPNDIPGYLRGCRFLPTINNEIPSANNAIHKQRFTSLQNLVLIMFESDGVVNPPLSSWFGFY >itb09g13670.t1 pep chromosome:ASM357664v1:9:8931268:8934126:1 gene:itb09g13670 transcript:itb09g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRGGCQGHRAELYAAGVAKCLASLEGRDKANVDDLKKVVSCVRKAEKFYFAAINDKNHFKSDSLVQGPVKRLAVDATLRAAALYQKLRREKGIQKSRKVFVEKTDIESQKNGTQSGSLGRSHYSLSAVCTTCLSFNTVIFVVDASGSMADQVCIIPFCGDAAEVLLPPSRSISMARKRQRLERLSCGVGVLRLLMD >itb01g05440.t4 pep chromosome:ASM357664v1:1:3727463:3730224:1 gene:itb01g05440 transcript:itb01g05440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRALVTCPYFPRTSTPNSKKSSKVHAGNSGFTCRVLCSTSTPELPTTTTEKVGNDIPLTGSAYDFYRATKSLTQKALSSPKKVTLVRHGFSTWNEEGRVQGSSNLSVLTEQGVMQAHRCRKAIEEIHFDQCFASPISRAKSTAEIIWQGREQPLVFLDSLKEAHLYFLEGMKNGRGCQADIPEGVHNVA >itb01g05440.t2 pep chromosome:ASM357664v1:1:3727463:3730224:1 gene:itb01g05440 transcript:itb01g05440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRALVTCPYFPRTSTPNSKKSSKVHAGNSGFTCRVLCSTSTPELPTTTTEKVGNDIPLTGSAYDFYRATKSLTQKALSSPKKVTLVRHGFSTWNEEGRVQGSSNLSVLTEQGVMQAHRCRKAIEEIHFDQCFASPISRAKSTAEIIWQGREQPLVFLDSLKEAHLYFLEGMKNEDAKQIYPKEYTTWREDPANFCVDGVYPLEKLWQRAREAWKEILLSPGDHFLVVTHKSILRALICTALGLGPERFRSVDVNNGGLSVFSINKQGEAMLKSLNMTAHMYTDHIYHY >itb01g05440.t3 pep chromosome:ASM357664v1:1:3727471:3730242:1 gene:itb01g05440 transcript:itb01g05440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRALVTCPYFPRTSTPNSKKSSKVHAGNSGFTCRVLCSTSTPELPTTTTEKVGNDIPLTGSAYDFYRATKSLTQKALSSPKKVTLVRHGFSTWNEEGRVQGSSNLSVLTEQGVMQAHRCRKAIEEIHFDQCFASPISRAKSTAEIIWQGREQPLVFLDSLKEAHLYFLEGMKNAFGGRGCQADIPEGVHNVA >itb01g05440.t5 pep chromosome:ASM357664v1:1:3727463:3730242:1 gene:itb01g05440 transcript:itb01g05440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRALVTCPYFPRTSTPNSKKSSKVHAGNSGFTCRVLCSTSTPELPTTTTEKVGNDIPLTGSAYDFYRATKSLTQKALSSPKKVTLVRHGFSTWNEEGRVQGSSNLSVLTEQGVMQAHRCRKAIEEIHFDQCFASPISRAKSTAEIIWQGREQPLVFLDSLKEAHLYFLEGMKNGLCVWRQRMPSRYTRRSTQRGVRIPLISAWMASTLSRNYGKGHARLGRKYYSLL >itb01g05440.t1 pep chromosome:ASM357664v1:1:3727463:3730224:1 gene:itb01g05440 transcript:itb01g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCRALVTCPYFPRTSTPNSKKSSKVHAGNSGFTCRVLCSTSTPELPTTTTEKVGNDIPLTGSAYDFYRATKSLTQKALSSPKKVTLVRHGFSTWNEEGRVQGSSNLSVLTEQGVMQAHRCRKAIEEIHFDQCFASPISRAKSTAEIIWQGREQPLVFLDSLKEAHLYFLEGMKNGLCVWRQRMPSRYTRRSTQRGVRIPLISAWMASTLSRNYGKGHARLGRKYYSLLATTSSS >itb03g08170.t1 pep chromosome:ASM357664v1:3:6146620:6149501:-1 gene:itb03g08170 transcript:itb03g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSHVEQWKEKNQSLRNDNRTLLAGFTEHLLGLEILPLLENHGADVLGGSESGTVPYVCSMPLMKVALNSTFTFYRNFNIFSAAFSLRSLSLFPQDGEYQSQGWIYCCCRRRLTVGALDCHPAYLRQTTMICVRPRRSGRPRSNIINSKSQDALIPRRPPPIPHLAFSSLPASTSLPPLQQQPPRRRLISALTSLLRLSQTTLDSLSSILPANSAPSPTTLIPCPFNPTHRLPPPSLFHHTLHCLPSSSSASRFSNSLFQFHGKLCYRSEGVSCWPYSYRILQAILRLEMSSLCSLPAWLIANSPKYGVVIDEAMSNHIVLLCKLCLKAIVSESVGLANAPGKQEGDKESVLSDRRLECPILVRPNFL >itb02g09570.t1 pep chromosome:ASM357664v1:2:6146830:6149506:1 gene:itb02g09570 transcript:itb02g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQPLRSSSQLRASSSDSEPLRSRPLTDKSPKLVGDRRSPRGAQSDPITQKKLGSRIADLESQLGLAQQELKCLKHQLASNESTKKTAQEKPEKKTRKPTVPKREEIIPKDLPPSDNHESNEKENRPAASEALDDSQQETDVFEVPVEKVAVEPNVEASEDTDEDEVKSRVLNPSVESSPVTPEPQKPSFEEELALKNDEITSLKAKLEEKEKELLNFGQENESLKQQLNEKTMEASSAKAKGEEAALQLNQVKEELETTRDNAARSNEQLEASEKAKEALETEMKKLRIQTEQWRKAADAAATVLSGGMEMNGRRMSERCGSMEKYSSSVFEPGVGGYGSYMGYPSFLDDSEDVSDSGKKKGSGIKMLGDLWKKKNQK >itb07g01890.t1 pep chromosome:ASM357664v1:7:1133620:1134842:1 gene:itb07g01890 transcript:itb07g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRADSGRFMEGKIGVTSSKWCRDIKGEFGRVAGIHTHRSEKAWHHRKAYIESWWRPNATVGFLLLDKPPGAELLPWPPSSPPYRVSDNVSRVVEETNHVNPRVARMVHGIMETVRDAPQRVTRTLRWVVMGDDDSIFLVDNLVDLLAQYDHTNYYYLGGHSEFVPANYYFSFKQAFGGAGMVLSFPLALAMADGIMDCLKRYSHLNSADKTTGYCIADLGVYLSPHQGFHQVYTCRKNI >itb06g03530.t1 pep chromosome:ASM357664v1:6:5907214:5907546:-1 gene:itb06g03530 transcript:itb06g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISSAKFVSAFVLEKVSVSRSYTAAAATTQGSVSGVVRRSNAMLKKGGDESGKSATSLKKGGDESGKSATSWISNPVTGYYRPESHVNEVDTAELRQMLLKNTTRRH >itb04g07260.t2 pep chromosome:ASM357664v1:4:4869273:4876156:1 gene:itb04g07260 transcript:itb04g07260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNMGFHQQQAWSFQSGVSSEMIPIGNFYGHLGVNVNVNNSNSASGLSGGGGGVLFSGNPNIITNSNNNPGISQAGTSSPSFLIDTVPGLKHDTGLAVEWSVEEQYKLEEGLIKFSSEPSIMKYIKIAATLREKTVRDVALRCRWMTRKRRKQEDYNNMGKKVKDRKDKAAESSLKNSTTSASPLNLAAYSLAVNHRDRSNCMNSGALHGTRNLLEENNQALDQISTNLSTYKLQDNVDLFFRTRNNITAILNEMSNMPGIMSQMPPLPVFLDEELASSLLPNSTQSMMFGSSSGIQLKQEPGC >itb04g07260.t1 pep chromosome:ASM357664v1:4:4869273:4876156:1 gene:itb04g07260 transcript:itb04g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNMGFHQQQAWSFQSGVSSEMIPIGNFYGHLGVNVNVNNSNSASGLSGGGGGVLFSGNPNIITNSNNNPGISQAGTSSPSFLIDTVPGLKHDTGLAVEWSVEEQYKLEEGLIKFSSEPSIMKYIKIAATLREKTVRDVALRCRWMTRKRRKQEDYNNMGKKVKDRKDKAAESSLKNSTTSASPLNLAAYSLAVNHRDRSNCMNSGALHGTRNLLEENNQALDQISTNLSTYKLQDNVDLFFRTRNNITAILNEMSNMPGIMSQMPPLPVFLDEELASSLLPNSTQSMMFGSSSGIQLKQEPGC >itb04g07260.t3 pep chromosome:ASM357664v1:4:4869291:4876029:1 gene:itb04g07260 transcript:itb04g07260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNMGFHQQQAWSFQSGVSSEMIPIGNFYGHLGVNVNVNNSNSASGLSGGGGGVLFSGNPNIITNSNNNPGISQAGTSSPSFLIDTVPGLKHDTGLAVEWSVEEQYKLEEGLIKFSSEPSIMKYIKIAATLREKTVRDVALRCRWMTRKRRKQEDYNNMGKKVKDRKDKAAESSLKNSTTSASPLNLAAYSLAVNHRDRSNCMNSGALHGTRNLLEENNQALDQISTNLSTYKLQDNVDLFFRTRNNITAILNEMSNMPGIMSQMPPLPVFLDEELASSLLPNSTQSMMFGSSSGIQLKQEPGC >itb15g20470.t1 pep chromosome:ASM357664v1:15:23140620:23142371:-1 gene:itb15g20470 transcript:itb15g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKSKKWRPWKGLSRNHGGSSLKRGCKGPHSSSLNNEALVAAAMATVVRAPPKDFKAARRALRALRGLVRLQAIVRGWRVRKQAAETLKCMQALVQAQARVRAQRSVHLSMEGRAVQKMLEEHISEDEKHTKQAEARRSILFLVRWCDSKGTYEEVKAKMQMRQEGAFKRERALAYSLVHKEISNRSSDTRRTISVPSAKTQNCDKNSSGWGWLERWMEARPWETRLIEQTTSNSSEPCTTKVRKNNVTKRISAKPSVVVEATHSSSSRTSELQCDVSSASCASSLCTSTERNKQPNYMAVTESTKAKLRNQRIMRQSMGEFNGDSKSSAASDCSWLKMDKSSKTREPRELLL >itb02g13540.t1 pep chromosome:ASM357664v1:2:9707205:9712170:1 gene:itb02g13540 transcript:itb02g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESLHSYDGGKTELDFDTDPEDIRVCGNAITEKGNRVSESDKHLANSLRFSLRAYLSILYLQVPEKFFIYLRGHMIEYHHIATDLKYPEFILYRPQSSGCKEGSVVTTIGFLKEAPLVNHHGFNIYHKNRLILPFWQVVNYTRSRGRGVVGFLEANFIQPTHNKQDFERTALFHKLEIRLKEMTLEYCSTYQPGLLVKNRSSITGSKVSKQGSLAPTFQDNARQGAPPKRKVHDSPIELENVTVSSAAVISSTSPTAEPVTSTTSYLEAQESEAQESTKNNLIRENKKLHARCLEYGKTLEELDLKVVFLRKELKNAKSEYTGLLAESRLVEKVGHGNNVLNTQSVTNFMSMLSKYL >itb12g25930.t1 pep chromosome:ASM357664v1:12:27024699:27024997:1 gene:itb12g25930 transcript:itb12g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVKCFNNAFIDTDMSLNFFVLFGGQIVFLISAVGYCHSRGVYHRDLKPENLLLDEKWDLKVTDFGLGAVKD >itb01g31510.t1 pep chromosome:ASM357664v1:1:35222736:35224024:-1 gene:itb01g31510 transcript:itb01g31510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLAHFVVAFLLVISLFNVCFASRKLNALYQEQPNQLLQYHKGPLLSGKISVNLIWYGKFKPSQRAIVSDFITSLSGSQKSQPSVATWWKSTEKYYSLANSKKTLSLYLNKQVLDESYSLGKSLTQKQLVSLASKGEVKDAINVVLTAADVAVAGFCVNRCGTHGSYGSKTAHVKGKNNKFAYIWVGNSETQCPGYCAWPFHQPVYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGFYQGEASAPLEAASACPGVYGKGAYPGYAGDLLTDPTTGASYNAHGTNGRKYLLPALFDPSTSSCSTLV >itb09g13130.t2 pep chromosome:ASM357664v1:9:8501927:8506353:-1 gene:itb09g13130 transcript:itb09g13130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNSGVDAMSKMEDYEVIEQIGTGALGTAFLVLHKTENKKYVLKKIPLAKQTEKLKRTVHQEMNLITKLNHPYVVEYKDAWLDKGSCICLITRYCEGRDMAEFLRKSRGALFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKLSNIFITNDDDVCLGDFGLAKLLDPEGLTSLVVGTPNYMCPELLADMPYGYKSDIWSLGCCMFEIAGHQLPFRAPNMTGLINKINRCTLSPLPIIYSSTLKQIIKSMLRKSPEHRPTAAELLRHQHLQQFLLQCRNPSSVFLPVRSPRSTKEKTGKPSPSKSSSGKDNRGQLIGRGPVFSLDRNVGTQPRNLPNTSKQIEAKLETKRVDRTSYSAKISEDSEESLVGDASETAGNEEEHSELFLQKESLKVPTPSDFITPKSNPEEQEETSLHVQHFEEGDEESDKTKDLEVLNCTNGNGEVEMEAVDCIAEKTSRMTLSNAKSFDQESASSTTQPLNSEPEGNAEPRSLYQTENVDLCREVALDCASVKKNGTATGEEQAGIERDAFKNSENEEDSIQITDEQAQSRISLLRKLTALTSESKDEWGNPTQQRADALESLLELCAQLLKQDKIDELYGVLKPFGEDAVSSRETAIWLTKTLMKAQK >itb09g13130.t1 pep chromosome:ASM357664v1:9:8501927:8506353:-1 gene:itb09g13130 transcript:itb09g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNSGVDAMSKMEDYEVIEQIGTGALGTAFLVLHKTENKKYVLKKIPLAKQTEKLKRTVHQEMNLITKLNHPYVVEYKDAWLDKGSCICLITRYCEGRDMAEFLRKSRGALFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKLSNIFITNDDDVCLGDFGLAKLLDPEGLTSLVVGTPNYMCPELLADMPYGYKSDIWSLGCCMFEIAGHQLPFRAPNMTGLINKINRCTLSPLPIIYSSTLKQIIKSMLRKSPEHRPTAAELLRHQHLQQFLLQCRNPSSVFLPVRSPRSTKEKTGKPSPSKSSSGKDNRGQLIGRGPVFSLDRNVGTQPRNLPNTSKQIEAKLETKRVDRTSYSAKISEDSEESLVGDASETAGNEEEHSELFLQKESLKVPTPSDFITPKSNPEEQEETSLHVQHFEEGDEESDKTKDLEVLNCTNGNGEVEMEAVDCIAEKTSRMTLSNAKSFDQESASSTTQPLNSEPEGNAEPRSLYQTENVDLCREVALDCASVKKNGTATGEEQAGIERDAFKNSENEEDSIQITDEQAQSRISLLRKLTALTSESKDEWGNPTQQRADALESLLELCAQLLKQDKIDELYGVLKPFGEDAVSSRETAIWLTKTLMKAQK >itb04g19260.t1 pep chromosome:ASM357664v1:4:23501546:23504681:1 gene:itb04g19260 transcript:itb04g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGKSNMKSGSMNNFDFDFGLNTGRSRSLNDQKHQTSSYSSSAQSKPYGTASWTNQPNTNKASWTHQPVGSLSGPTSMVGDIFGKTWGSAAPSNTGSASTVGIVTNKDPNLFGDLVNSALGQNKGGSNMPLKNSTPVTNKSSFSMGGMADSLPKTGSSVKSSGTLGGSNQGFGNFTSGGYNTNVSSGNANMSAANNRSSGLGGTPMSSMAGGVGGMGSKKDPFSTLVDFGSKPAANMNSGSKGRSSATSHVDDAFGDFQNASKSGSSFSSNAFPTSNNASATSRPNTSHPKVDDVFGDFQNASKSGSSFSSNDFPTSNNASAPLNTNTSHPKVDDFGFSNNQPHAQSSGGAGDFDSLFQSSTTSFGGSAVESQQFSGGDDWGFESEFGGGHDNGGTTELEGLPPPPAGLSASTAKSKGMDNYKQGQYADAIKWLSWAVVLLEKTSDITGTAEVLSSRASCYKEVGEYKKAVADCTKVLDHDEKNVSVLVQRALLYENMEKYKLGAEDLRIVMKLDPTNRVARSTIHRLTKMAG >itb09g10150.t1 pep chromosome:ASM357664v1:9:6302602:6305593:-1 gene:itb09g10150 transcript:itb09g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSQWPEPIVRVQCLSESGIKTIPQRYVKRVPDKPSFPAADDGVNIPLIDFKDLDSSDVSVRDGVVERISEACREWGFFQVVGHGVDEGLMAGMQAAWREFFRLPLEEKQEYANSPATYEGYGSRLGVEKGAKLDWNDYFFLHFLPNSLMDPCKWPHLPVSCRELIAKYCEEVANLGEKLTRILSVNLGLKEDRIHEAFGGYEERGACLRVNFYPKCPQPDLALGLSPHSDPGGLTFLLADADVAGLQVFHDHKWITVKPLPNAFIVNLGDQIQVMTNGIYKSVEHRVMVNSEKERLSMALFYNPGGDVVVKPLEEVVSKDKPAMYPAMTFNQYRAFIRMKGPQGKSQVESLIKFKS >itb09g10150.t2 pep chromosome:ASM357664v1:9:6303867:6305593:-1 gene:itb09g10150 transcript:itb09g10150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSQWPEPIVRVQCLSESGIKTIPQRYVKRVPDKPSFPAADDGVNIPLIDFKDLDSSDVSVRDGVVERISEACREWGFFQVVGHGVDEGLMAGMQAAWREFFRLPLEEKQEYANSPATYEGYGSRLGVEKGAKLDWNDYFFLHFLPNSLMDPCKWPHLPVSCRELIAKYCEEVANLGEKLTRILSVNLGLKEDRIHEAFGGYEERGACLRVNFYPKCPQPDLALGLSPHSDPGGLTFLLADADVAGLQVFHDHKWITVKPLPNAFIVNLGDQIQVYIF >itb01g32660.t1 pep chromosome:ASM357664v1:1:36082774:36086595:1 gene:itb01g32660 transcript:itb01g32660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLLLLSYLLLLAPNPQISHATDFINSSQIFSYGDAISSSGGRFELGFFSLGSSVKRYYVGIWYKQISVQTIVWVANRNAPILNTSQVVLKLIPPGRLLLVHGTDTNVNNSTILWSTNTSRPVRNPIAQLLDSGNLVVRDAADENPENYLWQSFDYPTHTYLPDVKFGKNFVTGKEVYFTAWKNDDDPSSGDVTMHCDPAGYPQTIIRNSTAVTYRTGPWNGLRWSGTPALSRNPIYTYNLEMDKEKVWVAYHLLRNSVYIHLTLSPAGVLQSETWVEQSKTWANYITVPLDVCDSYGICGPNGLCSIDISPVCACFDKFLPKYEGPWDRTHWSEGCFRRTPLNCSDGSDGFLLYSGMKLPDTRNSWFNKTMTLQECQKVCLNNCSCTAYTHLNISTNPGTGCLLWFDDLIDTRTLSQNGQDIYIRMAASELPVSNGGSKGNRRRDILIGSLLSAFGLTLLGLGLILYIRKKKRKEKGEMSTQQDFELPLFDLSTISRATDNFSLNNKIGEGGFGPVYKGVLEDGMEIAVKRLSKSSTQGLDEFKNEVSYIAKLQHRNLVKLLGCCIQGEEMMLVYEYMTNKSLDAFIFDSTKGKLLDWSIRFNIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDNDMNPKISDFGLARSVGGNEVGANTSHVVGTHGYMSPEYAVDGLFSVKSDVFSFGVLVLEIISGKRNRGFSHQDHYHNLLGHAWMLYKKERSMELPDDHLAVSCDASQVERSVHIGLLCVQQCADDRPNMSSVVMMLANENLLLPEAKEPGFFTERRIATSEYSSSTQGGSSVNEVSISLLDPR >itb04g17720.t1 pep chromosome:ASM357664v1:4:20850640:20856051:1 gene:itb04g17720 transcript:itb04g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDFGVGALLSKTKLLTTLNHGPVVSINIFVAVICACIVIGHLLEENRWMNESITALLMGICTGVVILLTSGGKNSHILVFSEDLFFIYLLPPIIFNAGFKVKKKQFFRNFTTIMLFGALGTLISFITISLGAIGIFKTMNIRTLEIGDYLAIGAIFSATDSVCTLQVLNQDETPFLYSVVFGEGIVNDATSIVLFNAFRKLKLSDINSAVVLQLFGNFLYLFISSTVLGVVAGLLSAYIIKKLCFGRHSTDREVAIMILMAYLSYMLAEFYDLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISEIFIFLYVGMDALDIEKWRLVRESPGTSIQVSSIFLGLLMIGRAAFVFPLSFLSNLTKMSPDEKIDINRQVTIWWAGLMRGAVSMALAYNRFTRAGYSQCRESAIMITSTITVVLFSTVVFGLMTKPLIRTLLHSPKHMNVKVSPEPLTPKSFTVSLLEQDSETDLESRLASHPNSLRMLLRVPSHTVHHYWRKFDNAFMRPVFGGRGFMPIVPASPPEQDEQQ >itb13g22360.t1 pep chromosome:ASM357664v1:13:28640140:28643954:1 gene:itb13g22360 transcript:itb13g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQREKDRERAQARTGKGKVKGKDDGLTPEQRRERDAKALQEKAAKKAGQAAGGSSTGNKDTKK >itb03g09310.t1 pep chromosome:ASM357664v1:3:7148534:7151921:1 gene:itb03g09310 transcript:itb03g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGGYFSPLFLLLLISGTMMPHNCMAVLSPDRAPVPLTRVPLSHVQLHSGRGYNITDKNYWDGRVFSGIHGYVIAGIWLLIGLGFGSYMIIKNSRPSSASVVDYPDSSYILFFSLILLFSILAMVASSFVLVADNGSLHKTKKLMGTIIDAGSDAQRTMQSTKGILLSIQTVIDTYDSHASHMLNVTTHMLRRDSLSIENFIDKTRHSGNEAILTLYVANLTVVIANLVLLVAALVSFIFRWPLGLIIIIFCCWILTTLNWVLTGINFFFHNFAEDICIALDDFQQNPQSSSLQSVLPCANSTNSNNLLVKIGYTIHTCMFKINVKLTDLPELQSVGNEDDNFGVWKVCNPFSGAPNYTFTPNQCEKDAIPIGSLPHVLSRVTCYGSSKNCRDEGRFIPEAIYYKCAAFSQSMQELIDIFPDLVRLIQCSKVKQAFSDIVQRQCKPFRKTALELWSSMLSLAISMVFLTLLWAAKAYQDKGKSFSRCSIVPERV >itb09g00410.t1 pep chromosome:ASM357664v1:9:340336:346910:1 gene:itb09g00410 transcript:itb09g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGIQDASNVDVPAMTTKGNCESQQGDSISNGRNCDPNMRYICKPDDVLRNLKNVSYIFRQDVVKSKTNGKIGIVTEAPGDSDSDSGISDDEDEDEEEDDDAKDEEEDDSNEEGNLENNDKADGCTGENMESNHNNDHLLADQVRVLWMDETESIQSINDVTVIDRGFLPGDYIAAVADPTGQVGLVVDINISVNLLTHDGSFVKDISTRELKRVRDFTVGDYVVLGPWLGRIDDVLDNVTVLFDDGTLCKVMKADPLRLKPVGRNSLEDGSFPYYPGQRVKAISSSVFKNSRWLSGFWKASRLEGTVTKVTVGSVFIYWIASAGYGPDSSTPPAQEQSPKNLKLISCFAHANWQLGDWCLLPSPPPSSSNLLEQGLTKLDLSDSTKEEASESAQTGADCDSEESHCNSESIDNDADSSMDWSCDNLENKVPLESSSSVSTLHVSKEPIHESWPIHRRKIRRAVVRRDKKARKKEENFERALLIVNTKSRVDVAWQDGTIERGLESTSLIPIDNPGDHEFVAEQYVVEKAADDAEGASETRRVGVLKSVNAKERTACVRWLKPVTRAEDLKEFDKEEMVSVYELEGHPDYDYCYGDVVVRLSSVPIPAQVNSSESPIAKCKQQGGPNEVKEDQKMHLGCKNDGSTLSDESCTQFSDLSWVGNIIGLKNGDIEVMWADGMISTVGPQAIYVVGRDDDESMAASGSDISDDAASWETVEDDELNNTENPKEDLGAQSATDISIETDGSLMDMENSGVNGALATAFGFVSRLASGIFSRERKKTDSPSSDSRSEDEIQIQGWDRRMDTGDDKCCQRPSDMECIGTLSIEKREDNVAVEAIDPSDVAETLSNMKAEPTNASVQHKDGAYAFKNFDITTDPHDHYFVGATAQNNAGRKWLRKVQQDWIFLQNNLPDGIFVRVYEDRMDLMRAVIVGAYGTPYQDGLFFFDFHLPLEYPDIAPSAYYHSGGWRVNPNLYEEGKVCLSLLNTWTGRGNEIWDPSSSSIFQVLVSLQGLVLNARPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPLKDFEELVKEHFRRRGYYILKACDAYMKGYLIGSLTPDASVSSNSNADANSVGFKLMLAKIAPKLFLALSEIGADCEEFKHLLHSAP >itb09g00410.t2 pep chromosome:ASM357664v1:9:340336:346910:1 gene:itb09g00410 transcript:itb09g00410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGIQDASNVDVPAMTTKGNCESQQGDSISNGRNCDPNMRYICKPDDVLRNLKNVSYIFRQDVVKSKTNGKIGIVTEAPGDSDSDSGISDDEDEDEEEDDDAKDEEEDDSNEEGNLENNDKADGCTGENMESNHNNDHLLADQVRVLWMDETESIQSINDVTVIDRGFLPGDYIAAVADPTGQVGLVVDINISVNLLTHDGSFVKDISTRELKRVRDFTVGDYVVLGPWLGRIDDVLDNVTVLFDDGTLCKVMKADPLRLKPVGRNSLEDGSFPYYPGQRVKAISSSVFKNSRWLSGFWKASRLEGTVTKVTVGSVFIYWIASAGYGPDSSTPPAQEQSPKNLKLISCFAHANWQLGDWCLLPSPPPSSSNLLEQGLTKLDLSDSTKEEASESAQTGADCDSEESHCNSESIDNDADSSMDWSCDNLENKVPLESSSSVSTLHVSKEPIHESWPIHRRKIRRAVVRRDKKARKKEENFERALLIVNTKSRVDVAWQDGTIERGLESTSLIPIDNPGDHEFVAEQYVVEKAADDAEGASETRRVGVLKSVNAKERTACVRWLKPVTRAEDLKEFDKEEMVSVYELEGHPDYDYCYGDVVVRLSSVPIPAQVNSSESPIAKCKQQGGPNEVKEDQKMHLGCKNDGSTLSDESCTQFSDLSWVGNIIGLKNGDIEVMWADGMISTVGPQAIYVVGRDDDESMAASGSDISDDAASWETVEDDELNNTENPKEDLGAQSATDISIETDGSLMDMENSGVNGALATAFGFVSRLASGIFSRERKKTDSPSSDSRSEDEIQIQGWDRRMDTGDDKCCQRPSDMECIGTLSIEKREDNVAVEAIDPSDVAETLSNMKAEPTNASVQHKDGAYAFKNFDITTDPHDHYFVGATAQNNAGRKWLRKVQQDWIFLQNNLPDGIFVRVYEDRMDLMRAVIVGAYGTPYQDGLFFFDFHLPLEYPDIAPSAYYHSGGWRVNPNLYEEGKVCLSLLNTWTGRGNEIWDPSSSSIFQVLVSLQGLVLNARPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPLKDFEELVKEHFRRRGYYILKACDAYMKGYLIGSLTPDASVSSNSNADANSVGFKLMLAKIAPKLFLALSEIGADCEEFKHLLHSAP >itb09g00410.t3 pep chromosome:ASM357664v1:9:340411:345568:1 gene:itb09g00410 transcript:itb09g00410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGIQDASNVDVPAMTTKGNCESQQGDSISNGRNCDPNMRYICKPDDVLRNLKNVSYIFRQDVVKSKTNGKIGIVTEAPGDSDSDSGISDDEDEDEEEDDDAKDEEEDDSNEEGNLENNDKADGCTGENMESNHNNDHLLADQVRVLWMDETESIQSINDVTVIDRGFLPGDYIAAVADPTGQVGLVVDINISVNLLTHDGSFVKDISTRELKRVRDFTVGDYVVLGPWLGRIDDVLDNVTVLFDDGTLCKVMKADPLRLKPVGRNSLEDGSFPYYPGQRVKAISSSVFKNSRWLSGFWKASRLEGTVTKVTVGSVFIYWIASAGYGPDSSTPPAQEQSPKNLKLISCFAHANWQLGDWCLLPSPPPSSSNLLEQGLTKLDLSDSTKEEASESAQTGADCDSEESHCNSESIDNDADSSMDWSCDNLENKVPLESSSSVSTLHVSKEPIHESWPIHRRKIRRAVVRRDKKARKKEENFERALLIVNTKSRVDVAWQDGTIERGLESTSLIPIDNPGDHEFVAEQYVVEKAADDAEGASETRRVGVLKSVNAKERTACVRWLKPVTRAEDLKEFDKEEMVSVYELEGHPDYDYCYGDVVVRLSSVPIPAQVNSSESPIAKCKQQGGPNEVKEDQKMHLGCKNDGSTLSDESCTQFSDLSWVGNIIGLKNGDIEVMWADGMISTVGPQAIYVVGRDDDESMAASGSDISDDAASWETVEDDELNNTENPKEDLGAQSATDISIETDGSLMDMENSGVNGALATAFGFVSRLASGIFSRERKKTDSPSSDSRSEDEIQIQGWDRRMDTGDDKCCQRPSDMECIGTLSIEKREDNVAVEAIDPSDVAETLSNMKAEPTNASVQHKDGAYAFKNFDITTDPHDHYFVGATAQNNAGRKWLRKVQQDWIFLQNNLPDGIFVRVYEDRMDLMRAVIVGAYGTPYQDGLFFFDFHLPLEYPDIAPVRVFDEHFLLAHKNLISCFFFPNPMVLERLCYTHP >itb15g03400.t1 pep chromosome:ASM357664v1:15:2170537:2173018:-1 gene:itb15g03400 transcript:itb15g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKETGFQAPEGPILCINNCGFFGSAATMNMCSKCHKDMILKQEQAKLAASSIENIVNGGSSSNAKESVGVGVLPNAIDTQAGNSKPKAVSLQAAAPTDPSTGPTPEAKTKQGPSRCTACNKRVGLTGFSCKCGNLFCATHRYSDKHNCPFDYQNAARDAIAKANPVVVAEKLNKI >itb15g03400.t2 pep chromosome:ASM357664v1:15:2170487:2173018:-1 gene:itb15g03400 transcript:itb15g03400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKETGFQAPEGPILCINNCGFFGSAATMNMCSKCHKDMILKQEQAKLAASSIENIVNGGSSSNAKESVGVGVLPNAIDTQAGNSKPKAVSLQAAAPTDPSTGPTPEAKTKQGPSRCTACNKRVGLTGFSCKCGNLFCATHRYSDKHNCPFDYQNAARDAIAKANPVVVAEKLNKI >itb01g10650.t1 pep chromosome:ASM357664v1:1:9278999:9279310:1 gene:itb01g10650 transcript:itb01g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKIKLAFIANDSSRKATFKKRKKGLMKKVSELSTLCGVEACAIIYSSYDPKPEVWPSTAGAQQVVAQFKRMPEMEQSKKMVNQESFIRQRIAKASEQLKR >itb04g21350.t1 pep chromosome:ASM357664v1:4:26485519:26494469:1 gene:itb04g21350 transcript:itb04g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSLETFVADINGGRWDAVLPQVSQLKLPRKILEDLYEQIVLEMIELREIDTARSILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPHEAYQDSTKEKRRAQISQALATEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEDMYPTTLGHTIKFGKKSHPECARFSPDGQFLVSCSVDGFIEVWDHISGKLKKDLQYQADETFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQILSTSFDSTARIHGLKSGKLLKEFRGHSSYVNDAIFTNDGSRVITASSDCTVKVWDLKTTDCLQTFKPPPPLRGGDASVNSVHLYPKNTDHIVVCNKTSSIYLMTLQGQVVKSFSSGKREGGDFVAACVSPKGEWIYCVGEDRNLYCFSYQSGKLEHLMKVHEKDVIGLTHHPHINLVATYGEDCTMKLWKP >itb14g12520.t1 pep chromosome:ASM357664v1:14:14167620:14170284:1 gene:itb14g12520 transcript:itb14g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCQPHPEGPILCVNNCGFFGSAANMNMCSKCYKDMILKQEQAKLAASSIENLVNGSSSNEKGPVAIGSMDVHPSSVEVKDVSMPSSQASSSVNGAEPKAKKGPNRCSTCNKRVGLTGFKCRCGDLFCGSHRYSDKHDCPFDYRTAAQEAIAKANPVVKAEKLDKI >itb13g18090.t1 pep chromosome:ASM357664v1:13:25127390:25128349:-1 gene:itb13g18090 transcript:itb13g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGELACTYAALILHDDGIPVTAEKIAALVKSANVTVESYWPSLFAKLCEKRNIEDLIMNVGAGGGGGAVAVAAPAAGGAAPAAAAPAAEEKKEEPKEESDDDMGFSLFD >itb03g14140.t1 pep chromosome:ASM357664v1:3:13990826:13996466:-1 gene:itb03g14140 transcript:itb03g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MVLSQKLHEAFKGTVERITNPRTVSAFKEKGVLSVSEFIIAGDNLVSKCATWSWESGEPSKRKSYLPADKQYLITRNVPCLRRASSVEEEYEAAGGEVLIDNDDNDGWLATHGKPRENKREEDDDLPSIDTLEISKKNAIQSIPSYFGGDEEEDIPDMGDFEDADNLIESDPGTLQNTYIVANEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASIHPCRHGAVMKKIIDVLMSRGVEPEVHKYLFLFLKFMASVIPTIEYDYTMDFDLGSSSS >itb01g13010.t2 pep chromosome:ASM357664v1:1:12795885:12800734:1 gene:itb01g13010 transcript:itb01g13010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor 3 [Source:Projected from Arabidopsis thaliana (AT2G36010) UniProtKB/TrEMBL;Acc:F4ILT1] MSGTPTRAAAPPPAPSNAPPANGVSGAQIPRPTRRHLAFASMKPPFVPPEDYHRFSTPGADGGLAAVNNHQPEAIIVKSPPMKRKGRAASCEAESSEWSASPGYTDMVNSPLQTPVSVKGGKAYGRSKTTKNNRSGPPTPVSNAGCPSPLTPVGCRYDSSLGLLTKKFINLIKHAEDGMIDLNNAADALEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGVDASRPGDVDTDATILRAEVENLSMEEQRLDGRIREMQEKLRGLSEDENDKRWLFVTEEDIKTLPCFQNETLIAIKAPHGTTLEVPEPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEEMTGVEQSMSVPLASSSGSNDNPSTDRSTVTNNLPGNGSLAHDSQMSCDLGASLEFNGGMMKIVPSDADNDTDYWLQSDPIPGLSLTEMWKTDGILV >itb01g13010.t3 pep chromosome:ASM357664v1:1:12795885:12799919:1 gene:itb01g13010 transcript:itb01g13010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor 3 [Source:Projected from Arabidopsis thaliana (AT2G36010) UniProtKB/TrEMBL;Acc:F4ILT1] MSGTPTRAAAPPPAPSNAPPANGVSGAQIPRPTRRHLAFASMKPPFVPPEDYHRFSTPGADGGLAAVNNHQPEAIIVKSPPMKRKGRAASCEAESSEWSASPGYTDMVNSPLQTPVSVKGGKAYGRSKTTKNNRSGPPTPVSNAGCPSPLTPVGCRYDSSLGLLTKKFINLIKHAEDGMIDLNNAADALEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGVDASRPGDVDTDATILRAEVENLSMEEQRLDGRIREMQEKLRGLSEDENDKRWLFVTEEDIKTLPCFQNETLIAIKAPHGTTLEVPEPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEEMTGVEQSMSVPLASSSGSNDNPSTDRSTVTNNLPGNGSLAHDSQMSCDLGASLEFNGGMMKIVPSDADVSLVVINLICWTDKNAILRFLQESK >itb01g13010.t1 pep chromosome:ASM357664v1:1:12795885:12800734:1 gene:itb01g13010 transcript:itb01g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor 3 [Source:Projected from Arabidopsis thaliana (AT2G36010) UniProtKB/TrEMBL;Acc:F4ILT1] MSGTPTRAAAPPPAPSNAPPANGVSGAQIPRPTRRHLAFASMKPPFVPPEDYHRFSTPGADGGLAAVNNHQPEAIIVKSPPMKRKGRAASCEAESSEWSASPGYTDMVNSPLQTPVSVKGGKAYGRSKTTKNNRSGPPTPVSNAGCPSPLTPVGCRYDSSLGLLTKKFINLIKHAEDGMIDLNNAADALEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGVDASRPGDVDTDATILRAEVENLSMEEQRLDGRIREMQEKLRGLSEDENDKRWLFVTEEDIKTLPCFQNETLIAIKAPHGTTLEVPEPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEEMTGVEQSMSVPLASSSGSNDNPSTDRSTVTNNLPGNGSLAHDSQMSCDLGASLEFNGGMMKIVPSDADNDTDYWLQSDPIPGLSLTEMWKTDGDIDWCMENMLNEFGISEISTPRPQTPSAVADVPPAVNMPPR >itb08g03900.t1 pep chromosome:ASM357664v1:8:3140558:3144851:-1 gene:itb08g03900 transcript:itb08g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARFGRQVKRSYQSFGFCVKLTAVVILGLCFVVTWSVFSPSSYSVASQRETFDDIAEPISGNGKKFNGAEFGVHPKKEEPVKREKKKEGEERLKAESGENEEKKELKLDDGGGKGKGEEKGEGLEAPKEVGEEKKKDDDHGPEGEDSKKEEDEENGGDLDQGEGFEEKGNEEVDMVSDDGLDQEPQEKVDDNGGSKKSKKLGPLFDPKPHYTWQLCNTRSKHNYIPCIDIESASRKVQSYRHHERSCPKAHQLCLVPLPPDGYETPVSWPESMSKILYKNLAHPKLAEFVKTQNWVVESGEYLTFPQSQSALKGGIRHYLDSIEEMVPDIMWGKNIRVVLDIGCKDSSFVASLVEKDVLALTLGLKDDLVDLAQVALERGFPAVVSPFATRRLPFPSGVFDAIHCGECHISWHSNGGKLLLEMNRVLRPGGYFILSATHNSVEAEEGMSMLTASICWNILADKTDEESDIGIKVYQKPEANDIYELRRKKVPPLCNVKENPDFAWNAPIKVCLHQIPSAIEERGTEWPEEWPKRLETFPDWMNNREKLIADDAHWKAIVDKSYLVGLGIDWSKIRNAMDMKAINGGFAAALAQQKVWVMNVVPVHAPNTLPIIFERGLVGIYHDWCESFGTYPRSYDLLHADHLFSRLKNRCKQPVVIVVEMDRILRPGGWVIIRDKVEILDPLERILRSLNWEIRLTFAQNKEGILCAQKTSWRP >itb13g21660.t2 pep chromosome:ASM357664v1:13:28213631:28214475:1 gene:itb13g21660 transcript:itb13g21660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTASPIPSFLLILIVAFTVATNAVAHRLPPSDKNLLLKKCTEVLTSPNNSNLVTFCSRDLLGHRAPFLATCDRRKTVAVVLKEAHNKVKAFEALENKINSDKFLSAKEVQDLKRCWGFINKVVGSTKDMFSNALVKETSTDSLVELKGMEGVDGRAAIKEHCHFPAVESSMWLELKVKAVESLRADLVAMAFVDHLYSITH >itb13g21660.t3 pep chromosome:ASM357664v1:13:28216230:28217063:1 gene:itb13g21660 transcript:itb13g21660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATTLPSILLILMVAFTVATSAVVHRLPPSNKNLLLKKCTEVLSNPNNSNLVTFCARDLLGHRAPFLPTCDRRKTVAVVLKEAHNKVKAFEALENKINSDKSLSAKEVQDLKRCWGFINKVVGSTKNIFSNALVKETSTDSLVELKGMEGMDGRAAIKEHCHFPAVESSLWLELKVKAVESLRADLVAMAFVDHLYSITH >itb13g21660.t1 pep chromosome:ASM357664v1:13:28213623:28217063:1 gene:itb13g21660 transcript:itb13g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTASPIPSFLLILIVAFTVATNAVAHRLPPSDKNLLLKKCTEVLTSPNNSNLVTFCARDLLGHRAPFLPTCDRRKTVAVVLKEAHNKVKAFEALENKINSDKSLSAKEVQDLKRCWGFINKVVGSTKNIFSNALVKETSTDSLVELKGMEGMDGRAAIKEHCHFPAVESSLWLELKVKAVESLRADLVAMAFVDHLYSITH >itb07g03970.t6 pep chromosome:ASM357664v1:7:2678255:2681282:1 gene:itb07g03970 transcript:itb07g03970.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLGIPGDGRCLFRSVVHGARLREGKPSPGESYERELADELREKVVDEFIKRRADAEWFVEGDFDAYVTQMRQPHIWGGEPELLMSSHVLQAPIAVYMWDKKTNCLKVIAEYGQEYGKDKPICVLYHGYGHYDALQNPSGGQQSKM >itb07g03970.t4 pep chromosome:ASM357664v1:7:2677837:2681671:1 gene:itb07g03970 transcript:itb07g03970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPQVSAGIPGDGRCLFRSVVHGARLREGKPSPGESYERELADELREKVVDEFIKRRADAEWFVEGDFDAYVTQMRQPHIWGGEPELLMSSHVLQAPIAVYMWDKKTNCLKVIAEYGQEYGKDKPICVLYHGYGHYDALQNPSGGQQSKMNKAKRFFQ >itb07g03970.t1 pep chromosome:ASM357664v1:7:2677837:2681683:1 gene:itb07g03970 transcript:itb07g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPQVSAGIPGDGRCLFRSVVHGARLREGKPSPGESYERELADELREKVVDEFIKRRADAEWFVEGDFDAYVTQMRQPHIWGGEPELLMSSHVLQAPIAVYMWDKKTNCLKVIAEYGQEYGKDKPICVLYHGYGHYDALQNPSGGQQSKMNKAKRFFQ >itb07g03970.t2 pep chromosome:ASM357664v1:7:2677837:2681683:1 gene:itb07g03970 transcript:itb07g03970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPQVSAGNDLRTIWIPGDGRCLFRSVVHGARLREGKPSPGESYERELADELREKVVDEFIKRRADAEWFVEGDFDAYVTQMRQPHIWGGEPELLMSSHVLQAPIAVYMWDKKTNCLKVIAEYGQEYGKDKPICVLYHGYGHYDALQNPSGGQQSKMNKAKRFFQ >itb07g03970.t3 pep chromosome:ASM357664v1:7:2678255:2681683:1 gene:itb07g03970 transcript:itb07g03970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLGIPGDGRCLFRSVVHGARLREGKPSPGESYERELADELREKVVDEFIKRRADAEWFVEGDFDAYVTQMRQPHIWGGEPELLMSSHVLQAPIAVYMWDKKTNCLKVIAEYGQEYGKDKPICVLYHGYGHYDALQNPSGGQQSKMFQCFKIWCMISEYVRSSSLISLLLCLLLLFFFFFCRNKAKRFFQ >itb07g03970.t5 pep chromosome:ASM357664v1:7:2677837:2681671:1 gene:itb07g03970 transcript:itb07g03970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPQVSAGNDLRTIWIPGDGRCLFRSVVHGARLREGKPSPGESYERELADELREKVVDEFIKRRADAEWFVEGDFDAYVTQMRQPHIWGGEPELLMSSHVLQAPIAVYMWDKKTNCLKVIAEYGQEYGKDKPICVLYHGYGHYDALQNPSGGQQSKMNKAKRFFQ >itb10g02290.t1 pep chromosome:ASM357664v1:10:1928579:1931881:-1 gene:itb10g02290 transcript:itb10g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFHSRLVVLAALFLLGAWESLSTARTLEETSLFLSHKRWMSRHGRSYKNESERSKRFTIFKENVKFIEKFNKVRGGSYKLGTNKFTDLTKEEFRATLLNEEKSTPYPKTSKPTSFVNESLAEVPESLDWRDQGAVTNIRDQGKCGAWAFAVVAAVEGITKIKTGQLISLSEQQLLDCDFNNGGCGDGNTTEAFQFIKDIGGIMAESDYPYKGVEASCNTQTLSNPAATITGYQEVEPTESALLAAVTNQPVSVGIDLGEPGLFQYYAGGIFSGECGSGYRHDMTIIGYGRSDEYGMDYWLVKNSWGNSWGEGGYVKMARGINAQGVCNLNTRASYPTA >itb07g05150.t1 pep chromosome:ASM357664v1:7:3490738:3495448:1 gene:itb07g05150 transcript:itb07g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTDLNTDEQDVEQSMEGVLSCSATETCANRKEKRKAAKKDKRKQKRRELAEKLRIEEDACLNDPEEQRRIQLEEEAENQRLERERKEFEERERLFLEELARRKAAEEEEERIRAIEEEERLKQNQAACENEENDDEEWEYVEDGPPEIIWQGNEIIVKKNRVRVKKKDVEHLAVKEDPPRPTSNPLPPQSEAFDENNNASTLSAQGLLENVAQQTPNFGTEQDKAHCPFHIKTGACRFGSHCSRIHFYPDKSCTLLVKNMYNGPGLAWEQDEGLECTDEEVEHSYEEFYEDVHTEFLKFGEIVNFKVCRNSSSHLRGNVYVHYKSLDSAVLAYQSINGRYFAGKQITCEFVSVTRWKVAICGEFMKSRLKACSRGTSCNFIHCFRNPGGDYEWADWDKPPPNYWIKKMAALFGYSDESVYDRQFEREDSEHIRHHSGRFQSRESRRSRSREDRSLKIHKERDVRRREQRKQPKGVDEKRCEEERNSRTNQRHKNSSYDDSESDRYWSAKNRDGLDHCDSDRKRSRHSMSERPESQDRRDDWKSRSSSTDSDSDSDLFGRKREGDAELGHTTKNTRLVKKAPEGLDDLAYSKSRAHSTNRNRESHHNARGRSSSKYQNTVSVSSDDPWDNKSKSPRRNANGDQIAGVKDSQRANSRKSSRCLNEVSLDNDDVQEYKRFDEDHSGYVGSISQSPRYHTATGEDINERGRWEPGKEITKDRDVESRKRKASDYSHKERKKKHKSHHSRSDKGDS >itb13g18610.t1 pep chromosome:ASM357664v1:13:25560527:25562245:-1 gene:itb13g18610 transcript:itb13g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRPQPPPAAVRIRRSTSGDGGGSGNIILNKYQLGRLLGRGSFAKVYHARCLEGGADVAVKVIDKNATVDASMEPRIIREIAAMRRLNNHPSVLKLHEVMATKSKIYLVMELAHGGELFSKLTQRGRFSLSTARYYFHQLVFALHFCHQNGVTHRDIKPQNLLLDKDGKLKISDFGLSALPEQIRNGLLHTACGTPAYTAPEVMIRKGYDGAKADAWSCGVILFAFLAGYLPFDDSNISNMYRAIRSRAFQFPDWITKPTRSIIFRLLDPNPVTRMSIEELMKLPWFKKSSSVVDLRDEHQFGSQELEKDCKHLTKMNAFDIISMSPGLDLSGLFQAGLNKKEMRFTTNAEVGEVEEKVKKIGNEAGYRVEERGKGGGIRLVKARVTLVVEILQVAPELWLVEMKVVNGEMEFQDTQWEELRVGLKEISCFMLV >itb10g14810.t1 pep chromosome:ASM357664v1:10:21102776:21103530:1 gene:itb10g14810 transcript:itb10g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPSISNLLFLFLFLLIAAASTTVSATDHIVGANRGWNPGINYTLWANNHTFLVGDLICAVGNWSSGKDFILLDKPKRYYFICGTGGCFNGMKVSVVVHALPPPPKSAVSADHSNTKSAASASTPPPALWVLIAGLLMGFLGFSL >itb01g28500.t1 pep chromosome:ASM357664v1:1:32913794:32914974:-1 gene:itb01g28500 transcript:itb01g28500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRTLEIKIMSAKDLKKVTVFSRMSVYIVVSISGGDEKSKQVAKTPVDRDGQANPAWNFPVKFTVDEAAARQGRLMLVFKLRCERALGDRDVGEVIVPVKELLESPAAGATKQFVSYQVKKPSGKPKGQLTFCYQFGEKTNGSPPFAAVAANKADEPVTAYPAFTPAFPGSSSVYSPGPTPPPRNGPAAYPPAAGYPPAGYGYPPPPPAAYGSGYPHPPPPAYGYPPQPGYGYPPVQPPKKNRFGLGLGAGLLGGALGGMLIGDAISDAGAYDAGYDDGFDAGFDF >itb12g02310.t1 pep chromosome:ASM357664v1:12:1517979:1520766:1 gene:itb12g02310 transcript:itb12g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMEENILESLEKLDISMAAPMIFILVSIIQFINRYIEVNKKRATMSADDVRLKAEIKRLLREAAALSQPSTFAQAAKLRRIAAAKEKELAKSLETHGKEISMSFDMYTKGLLFLKVCTYLLLVLCFWRVPLGFISQQLVLPFGIVLSWLSGGDAPNYTTIGIIPWIFMSSKASRFLLKRVIK >itb05g01780.t1 pep chromosome:ASM357664v1:5:1410544:1412281:-1 gene:itb05g01780 transcript:itb05g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDIPPEDGYTWRKYGQKEILGSRFPRAYYRCTHQKLYSCPAKKQVQRLDDNPFMFQVTYRSNHICHMSATAPSSAPPPPQPPLTTSSGGAVTGSWLTMDIKPAAAGDAAGTSSGGPFGGGHAVSVSSTSNLPVAAGSGTAGPSTARYDRDVVGGDYGGPVVDLVDVMFNYSGSSSNNSMDLIFPSTDQKGDISGEKN >itb06g22620.t5 pep chromosome:ASM357664v1:6:24864923:24867774:1 gene:itb06g22620 transcript:itb06g22620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGNLLRGVRYSKNVFSWRKSLYRCLIFFFLGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t3 pep chromosome:ASM357664v1:6:24864923:24867774:1 gene:itb06g22620 transcript:itb06g22620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGNLLRGVRYSKNVFSWRKSLYRCLIFFFLGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t6 pep chromosome:ASM357664v1:6:24864923:24867774:1 gene:itb06g22620 transcript:itb06g22620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGNLLRGVRYSKNVFSWRKSLYRCLIFFFLGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t2 pep chromosome:ASM357664v1:6:24864923:24867774:1 gene:itb06g22620 transcript:itb06g22620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t11 pep chromosome:ASM357664v1:6:24864999:24867165:1 gene:itb06g22620 transcript:itb06g22620.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t8 pep chromosome:ASM357664v1:6:24864999:24867390:1 gene:itb06g22620 transcript:itb06g22620.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t7 pep chromosome:ASM357664v1:6:24864972:24867729:1 gene:itb06g22620 transcript:itb06g22620.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t4 pep chromosome:ASM357664v1:6:24864923:24867774:1 gene:itb06g22620 transcript:itb06g22620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGNLLRGVRYSKNVFSWRKSLYRCLIFFFLGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t10 pep chromosome:ASM357664v1:6:24864972:24867296:1 gene:itb06g22620 transcript:itb06g22620.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t9 pep chromosome:ASM357664v1:6:24864972:24867729:1 gene:itb06g22620 transcript:itb06g22620.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGNLLRGVRYSKNVFSWRKSLYRCLIFFFLGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb06g22620.t1 pep chromosome:ASM357664v1:6:24864923:24867774:1 gene:itb06g22620 transcript:itb06g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPFPERHYPNGGNSLPLDVHSPSHKLLSSGKSSSPLSAFGFVVGNLLRGVRYSKNVFSWRKSLYRCLIFFFLGFLLGMTPFGDLEDVSSSNSSIEVKSPPTNGERELKDIVIMPRPTSVVDTSLVNVQKKIEVHARFDVIPQKQLIVVTPTYPRAMQRYDLSRLGHVLRLVQPPLLWIVVEMNAASSETAEILRETGVVYRHVVCSKKMADVKDRGVHPRNTALEHIERHRLDGIVYFADDDNIYSLELFKNIRNISRFGTWPVAMMAQSKNKAILEGPVCNGSRVIGWHTNEKSKQLRRFHVDMSGFAFNSTMLWDPKRWHRPTASPIWQLDTIKEGFQETTFIEQLVEDESQMEGIPSGCSRALNWHLHLEGRELVYPKGWLILRNLGVTLPAM >itb13g16440.t1 pep chromosome:ASM357664v1:13:23418508:23420509:1 gene:itb13g16440 transcript:itb13g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFWTTLASVMGVWAFCQSLLHAVFPPELRFATVKFFHRLCSWFSAYCYYDITEIDGVNTNELYNAVQLYLSSSAGVSAGNRLSLTRGLNSSTITFGLSNNDSLADSYNGVRVVWEHVVTPRQSTAFTWRPLPEEKRGFLLRAKKKDKATVLGAYLDFVMERANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFETLAMDPTRKEEIMADLKDFANGEAFYQRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHTNSELRKLLMKTSSKSIIVIEDIDCSINLANRKKSGNNYGKPGRDAAPRFCSAAAAAAGGGGGADDGATNTITLSGLLNFTDGLWSCCGSERIFVFTTNHVEKLDPALLRSGRMDMHIHMSYCTFPALKILLKNYLGYQDAALSGDLEDVIAAAEMTPADISEVLIKNRRNKKAAVGELAQVLRARAEVNNRAKSGESRDEEEQEKRALESPKNNKCGVELEEDGEMEEEGEEEENDGKELH >itb12g06200.t1 pep chromosome:ASM357664v1:12:4604596:4608339:-1 gene:itb12g06200 transcript:itb12g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIALLMVAFGVCILSGVLLRWNEMRYRKKGLPPGTMGWPLFGETTEFLKQGPSFMKNQRARYGSFFKSHILGCPTIVSMDAEVNRYILANESKGLVPGYPQSMLDILGKCNIAAVHGSAHKYMRGALLSLISPTMIKHHLLPKIDEFMNSHVTTWDHNIIDIQQKTNKMAFLSSLKQIAGVESSSIAQEFMPEFFNLVLGTLSLPINLPNTNYRRGLQARVKIVSLLRKLIEARRASQEKHHDILGFLMNEEENRYKLNDDEMIDLIITILYSGYETVSTTSMMAVKYLHDHPKVIEELRKEHMGIRERKGPNDPIDYSDYKSMRFTRAVIFETSRLATIVNGVLRKTTRDIELNGFLIPKGWRIYVYTREVNYDPRLYPDPYAFNPWRWLNMENQNHSMIFGGGSRQCPGKELGMAEISTFLHYFVTRYRWEEVGGDRLMKFPRVEAPNGLHFRVSPYQPSLN >itb06g24170.t1 pep chromosome:ASM357664v1:6:25841218:25853438:-1 gene:itb06g24170 transcript:itb06g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNDIDEDELLQIALREQAQRDLNYRRPGDKQPSKPVRNYVQPPPQPAAAANQRAAAGGRAPNETMQHKQRKPVEEDDDSEVEMLSISSGDEDSSKDRGFGGERNRAAAGGGRGGKNDEKAWDGGEPGCWKHVDEAELRRRVRDMRESKAVPVVTQPKVIKHDPTKKGLNTLQSFPRGMECIDPLGLGIIDNKTLKLITERSSSSPTAADKEHLNPDFRERLNFFSETFDPKLFLSRIHQNTSAADLESGALSLKSDLKGRTQQKKQLVKENFDCFISCKTTIDDIESKLRRIEEDPEGSGTSHLFNCIQGVGSIANRAFESLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRESISSGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVEKVMLEFKGMLYKSMEDPNIDLTNLENIVRLLLELEPETDPVWRYLNIQNHRIRGLLEKCTLDHEARMENLLNQMREKALSDAKWRQIQQDLNQSGDVDYSVSIGNTHLLGEMQMYGLKAEDVDALRGKYIYRLTAVIIHHVPVFWKVTVSVFSGKFAKSSQVAPDSNVSTNANKTEEKVGEAKYSSHSLDEVAGMLHNTLLLYESKVHSTFRELEESNVLRPYMSDAIKEISKACQAFEAKECAPPSAVLALRTLQCKITKVYILRLCSWMRATTEEISKDESWVPVSLLERNKSPYTISSLPLAFRAVMATVMDQINLLIQSLKNEARRSEDIFHQLQEIQESVRLAFLNCLLGFAVYLENMGSELTQSRSSRDGPHFENGYYEPQEKSSDPLPGSVIDPHRQLLMVLSNIGYCKDELAREMYNKYKHMWLQTRGGKDDGDADVQDMIRSFSSLEEKVLEQYTCAKTNLIRAVGVNYLLDAGIQWGAAPAVKGVRDAAVELLHTLVAVHAEVFAGCKPLLDKTLGILVEGLIDTFISLFRENQSKDLSILDANGFCQIMLELEYFETILNPYFTPEARESLKSLQGLLLEKAAESVMENADTPSHSRRPTRGIDDVMDERQQGMSVSPDDLIALAQQYSSELLQAELERTRINTACFVESIPLDSVPESAKAAYASFRDSTSRSFKGSQHSGPPSFPRQRRR >itb06g24170.t2 pep chromosome:ASM357664v1:6:25842944:25853438:-1 gene:itb06g24170 transcript:itb06g24170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNDIDEDELLQIALREQAQRDLNYRRPGDKQPSKPVRNYVQPPPQPAAAANQRAAAGGRAPNETMQHKQRKPVEEDDDSEVEMLSISSGDEDSSKDRGFGGERNRAAAGGGRGGKNDEKAWDGGEPGCWKHVDEAELRRRVRDMRESKAVPVVTQPKVIKHDPTKKGLNTLQSFPRGMECIDPLGLGIIDNKTLKLITERSSSSPTAADKEHLNPDFRERLNFFSETFDPKLFLSRIHQNTSAADLESGALSLKSDLKGRTQQKKQLVKENFDCFISCKTTIDDIESKLRRIEEDPEGSGTSHLFNCIQGVGSIANRAFESLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRESISSGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVEKVMLEFKGMLYKSMEDPNIDLTNLENIVRLLLELEPETDPVWRYLNIQNHRIRGLLEKCTLDHEARMENLLNQMREKALSDAKWRQIQQDLNQSGDVDYSVSIGNTHLLGEMQMYGLKAEDVDALRGKYIYRLTAVIIHHVPVFWKVTVSVFSGKFAKSSQVAPDSNVSTNANKTEEKVGEAKYSSHSLDEVAGMLHNTLLLYESKVHSTFRELEESNVLRPYMSDAIKEISKACQAFEAKECAPPSAVLALRTLQCKITKVYILRLCSWMRATTEEISKDESWVPVSLLERNKSPYTISSLPLAFRAVMATVMDQINLLIQSLKNEARRSEDIFHQLQEIQESVRLAFLNCLLGFAVYLENMGSELTQSRSSRDGPHFENGYYEPQEKSSDPLPGSVIDPHRQLLMVLSNIGYCKDELAREMYNKYKHMWLQTRGGKDDGDADVQDMIRSFSSLEEKVLEQYTCAKTNLIRAVGVNYLLDAGIQWGAAPAVKGVRDAAVELLHTLVAVHAEVYSSCPSRHVAFLTYYSGRPPCNCLVKHTTVLVKTSRHPPKSKG >itb15g02980.t2 pep chromosome:ASM357664v1:15:1893090:1895993:1 gene:itb15g02980 transcript:itb15g02980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLQSVNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDQHDSSSSQGSSWFQRDFRSYAPNSSRSRNKGHRKWRRFDFTEDLDFEVESFFHTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWRFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAYRSCALKWHPDRHHGPSKVVAEEKFKVCSAAYQLLCDKMALN >itb15g02980.t1 pep chromosome:ASM357664v1:15:1893090:1895993:1 gene:itb15g02980 transcript:itb15g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLQSVNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDQHDSSSSQGSSWFQRDFRSYAPNSSRSRNKGHRKWRRFDFTEDLDFEVESFFHTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWRFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAYRSCALKWHPDRHHGPSKKRNSRSAVLLTNCCVIKWLLTNHLLDSGAHEYWRLSAWHFEALHDAAAIVFSIAGILALEEKLKA >itb15g02980.t3 pep chromosome:ASM357664v1:15:1893090:1895127:1 gene:itb15g02980 transcript:itb15g02980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLQSVNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDQHDSSSSQGSSWFQRDFRSYAPNSSRSRNKGHRKWRRFDFTEDLDFEVESFFHTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWRFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAYRSCALKWHPDRHHGPSKVFSGFYDLLSVFPFHVNTFSCESINKNKTSPCLSTISESRLILVM >itb04g30760.t1 pep chromosome:ASM357664v1:4:33801837:33810378:-1 gene:itb04g30760 transcript:itb04g30760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRSCSCYFANTFIFFTRFHSHPTPNSIPFLLFAKPFSPKPLIISANPNLRSMSTRRSAFDVLMANSKKKSKTQTPPAQESSPKKRKSAEKDTPEQKSSSVSAIPENDNSNAKAIENAGSIDGPRNGGDSEEVAAKKPKLISPDESVAELKKKAANFDPKKAAYWGDGERVPFMFVAKAFGAIEEESGRIAITDIVTNMLRTVIHTTPDDLLPAVYLSANKIAPAHEGLELGIGDASIIKALAEACGAKEAHIKKQYKDLGDLGLVAKASRSSQSLMRKPEPLTVAKVFSTFRLIAKESGKDSQEKKKNYIKSLLVAATDCEPQYLIRLLQTKLRIGLAEQTLLVALSHATVYSEKDSSPPASVNSSIEEAEKIVKQVYSVIPVYDKIVPALLSGGVCNLQKTCSFSPGVPVGPMLAKATKGVSEIVDKFQDKEFTCEYKYDGERAQIHYLENGSVEIYSRNAERNTGKFPDVVAAISRLKKPSTSSFVLDCELVAYDREKQKILPFQILSTRARKNVVVSDIKVNVCIYAFDILYLNGQPLIQEQLSVRREHLYSSFEEEPGYFQFATSTTSNDLEEITQFLEAAVNGSCEGLIIKTLSGDSTYEPSKRSHNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIGTGFSETMLEERSASHRSKVIPQPKSYYRYAETLNPDVWFEPTEVWEVKAADLTISPVHRAAIGIVDPEKGISLRFPRLIRIREDKTPEQASTAEMVADMYSAQKHTQQNNQDVDEE >itb09g06650.t1 pep chromosome:ASM357664v1:9:3818170:3825426:1 gene:itb09g06650 transcript:itb09g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] METHESERVVPFQLQFDKPIASQIKIAEWNPEKDLLAMVTEESKLLLHRFNWQRLWTISPGKITSLCWRPDGKAIAIGLEDGTISLHDVENGKLLRSIKSHTVAVICLNWEEDEEKDENGNIPSYEDRTSRFFPPPPRVPRMPGLVPGDSGFMDEAEDSFRELSNSSHQRYNILCSGDKDGNICFSIFGIFPIGIINLHSLIVDSLVQRGHSSNQLLNASICNVSLSKDLCHLVVMCSGDLSIASLEVGAKQMSNHVLTGFHCLVLDTSIFSKRKNELYQVAQQASNIEDLGEVIRASLSVMSKQWSDAMHTFNEKFNALSSLIIDHGIDSSPQEEFLSLLGGARTSPAVHQFLVNSLGEAGLKRVGKVVCGAGKELQLVVLDHLQPAAEIIGFRIGELQALSKWRARYQGIGLDEKLMGNATENAGMFLIQVERFTRVLCTVVQQFSNFFSWLLKSVKILMSEQSDQLQPFSSELVILFLKFLYDQDPIKQLLELSEGDHSIEVDLETMQRIKELAHFGGFLDTAFLKRTLAEEFQQMESCFQEAFQMPFATISKRILCKDLLPLFPMAASTVKSSIIPASISYYKEDLPESSDCETSQQRLTDYISFRVPDNSISNITNCIGVVRGVIHDMGNSEKGYTSLEASLLCIPDGYNCVDLSLYKDSQLVLLLDEITASSEGSGNAYMMILQAGGLPFVSISRSSSLNSWKVLQLKDCLMQLTLESEKVRGIPHSVIPPLAVSASRGVACVFAARKRALVYILDEDEDEISDAE >itb05g01150.t1 pep chromosome:ASM357664v1:5:968272:969205:1 gene:itb05g01150 transcript:itb05g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKLGLVTLLLCGIIVVVGSQMKHANAQEKERCLKDCDVQAKYMICPATSVISEVNCTNCCVANNDGCILYNHNGTALCPKEPCTIAPN >itb05g16900.t1 pep chromosome:ASM357664v1:5:23975804:23978180:-1 gene:itb05g16900 transcript:itb05g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEEILKAVFPFLEGTDLATCMLVCKQWCEIAQDDYFWKCLCAKKWPSLCKRPSPPTVTYYKLFQTFYKHKNRRIILPPRLSFNELEFYIDIWADDKIIFSEVVPGPVLQKGTWIPPPGICDVLRFHLEGPEYKMTLPVEPRFTVPLSQTVSVSVLVGRKDKNKVACIINKSIFDYVDRTAYRALAYDYLEFSSPVCLFVSGIRAWISLLFMDHGTEGASDVVIDVFGIEMDFCDAANSEEEVLWLLDMLDWK >itb02g08220.t1 pep chromosome:ASM357664v1:2:5153522:5156433:-1 gene:itb02g08220 transcript:itb02g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCMGDEDIELLNSQTHIWNNIFSYIKSSSLKCAVELGIPDIIHKHGRPMTLLELVDAIPINKAKAGHLARLMRTLIHYGFFLHTKIQGSEEGKDGYYALAPPSTLLLKDNPFSLRPYLLCMLDPILTQSWNHAREWFQNDDPTPFDTAHGMTLWDYAAHQPEFNHMFNEAMASDARVVMNVVIKYCKGVFEGLNSLVDVAGGTGTVARTIADAFPDLMCTVFDLPHVIRGLEGTKNLDYVGGDMFVSIPRATALFLKWILHDWNDEECVKILKKCKESIPIKENGGKVIIIDMVIDNVRKDKKLFETQICFDTMMLSALTGRERAMKDWEKLFSDAGFSDYKIISIPGLWSIIEVYP >itb01g20220.t1 pep chromosome:ASM357664v1:1:26485431:26488265:-1 gene:itb01g20220 transcript:itb01g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Persulfide dioxygenase ETHE1 homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53580) UniProtKB/Swiss-Prot;Acc:Q9C8L4] MLLLRFPFLRSPSHLSFRLPLRSSISASTKFPSQMASYTTSSPAPKLLFRQLFEKDSSTFTYLLADALHPEKPALLIDPVDKTVDRDLSLVKELGLKLIYALNTHVHADHVTGTGLIKSKVPGVKSIISKASNASADVFIEPGEKIYFGDLFLEVRATPGHTSGCVTYVTGDGSNQPYRRMAFTGDALLIRGCGRTDFQGGSSYQLYESVHSQIFSLPKDTLVYPAHDYKGFTVSTVGEEIQYNPRLTKDKETFKEIMENLKLSYPKMMDVAVPANMVCGFQETKV >itb08g00600.t1 pep chromosome:ASM357664v1:8:464203:466957:1 gene:itb08g00600 transcript:itb08g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MNTRPSSPDSLKTHFVDIHEVLHEEKEEEEEEEERSRKIMADNDDRTAANKRFNRQMKLREARRNSRGFSRQVSLETGFSVLNGESSKHRNDRKVLSRSGQSFSGFGVGGAEARKGDFSMFKTKSNLVRQNSKLLLKKESGIDHQKSNLNAEGDDDGVNDSVPAGRYFDALRGPELDQVKDTENILLPKDEKWPFLLRFPIGCFGICLGLSSQAILWRSLATSPATKFLHISPFINFALWVLALCILVAVFFTYTSKCILYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGTPPAISPADTLHPAIWCVFMAPIFFLNLKIYGQWLSGGKRRLCKVANPSSHLSVIGNFVGAILAAKVGWNEAGKFLWAIGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIATPAAASIAWGAIYGEFDGLARTCYFIALFLYLSLVVRINFFRGFRFSVAWWSYTFPMTTASIATIKYAEQAPSVISKGLAVTLSFMSSTMVFLLFISTLLHAFVWCTLFPNDYAIAITKKRLAKENKKPAKKSYDIRRWTKQTPLAFVSTMRKHNSADKVSDGDK >itb11g08290.t1 pep chromosome:ASM357664v1:11:5423033:5428557:-1 gene:itb11g08290 transcript:itb11g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVLTRVHSLRERLDATLAAHRNEILLFMSRIESHGKGILKHHELLAEFEAICKSDQDKLNDHAFQEVLKCTQEAIVLPPWVALAIRLRPGVWEYVRVNVNALAVEELTVPQYLQFKEELVNGNDNGNFVLELDFEPFTASFPKPTLTKSIGNGVEFLNRHLSAKMFHDKESMAPLLDFLRVHQYKGKTMMLNDRIQNLNTLQNVLRKAEEYLTTLPADTPYSEFEHRFQEIGLERGWGDTAERVLEMICMLLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMIKRIKEQGLDIKPRILIITRLLPDAVGTTCGQRLEKVYGAEYSHILRVPFRTEKGMVRKWISRFEVWPYMETFTEDVAKEITAELQAKPDLIIGNYSEGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYLKKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHQAFTMPGLYRVVHGIDVFDPKFNIVSPGADMNLYFPYSEKEKRLTALHPEIEDLLYSDVENEEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYAKNPRLRELVNLVVVGGDRRKESKDLEEQAEMKKMHELIKTHNLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPAFYEAFGLTVVEAMTCGLPTFATNQGGPAEIIVHGKSGFHIDPYHGEQAAVLLVDFFEKSKKDPSHWETISAGGLKRIQEKYTWQIYSDRLLTLAAVYGFWKHVSKLDRLEIRRYLEMFYALKYRKLAEAVPLAEE >itb11g19100.t1 pep chromosome:ASM357664v1:11:19690444:19693614:-1 gene:itb11g19100 transcript:itb11g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRSIPFIHWNKIPPFSSSRNTSPPKTIAAAVVDQLSLSSQRRCRPSRQQPLLNPLQSINPAVAPRRNRTRPRRRRPAAAFVLASPLKFSIPPPLTPVLPSPLCRSALCLLQSASASTVRFRHRLRLYRRGLARRPSPNLQPSRVLSRPLVLSPGSKISRLFIASQNIVRTVNCGQSWDICSSNSRSIFIGIANL >itb01g01700.t1 pep chromosome:ASM357664v1:1:1011177:1015196:1 gene:itb01g01700 transcript:itb01g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDLGHMLLPIVHVSNNATCIPLCPKIEQFCLMSLFWASDQLVTGFIDATSSELFSSIIMASQLRTKTFAVVGDQRPVVNYSAAAGGETAALFDWWRKIAESPIWQETIFYLLCASYAFMATVALVQLIRIQLRVPEYGWTAQKVFHLMNFVVNALRQPSDSNINPTSFFIVRAIVFGFYRGVFHLRQHALKVLLLDLPGLLFFSTYTLLILFWAEVYYQARSFSIDNLRPTYYKINGVVYIIQVCIWIFIKLSGSPAGVDVAKLFFSVISFCAAMGFLIYGGRLFVMLRHFPIESRGRQKKLHEVGISAFNEDADVDVLVHPILNLIYYMMVEILPSAMVLFILRKLPAKRVSDQYHPIQ >itb11g19570.t1 pep chromosome:ASM357664v1:11:20330843:20331361:-1 gene:itb11g19570 transcript:itb11g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDAYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYIIAGGEKGNPGSQTLNNWFKIVKTTNAYKLMSCPDVCYYCSYYCRDVGISVEAGQRRLVLSDTPLEINFRKA >itb02g25040.t1 pep chromosome:ASM357664v1:2:25796095:25800216:-1 gene:itb02g25040 transcript:itb02g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDIKPATSVASFDYELYEGDPDHLRTVVATPALPGPYIDPVSLKLKHRIGRGYFGDVWLATHHQTGADFDEYHEVAVKMLYPISEDHVKHFTSKFEEVWRNLKSKQVQGVCWLHGMSVISGKLCIAMKFYEGSIGDQIARLKGGKLPLSDVLRYGIELAKGIQEMHSMDILVLNLKPTNFLLNERDQAFLGDFGIPYLLLGFKLPDSDLAFRLGTPNYMAPEQWQPEVRGPISYETDAWGFGCSIVEMLTGVQPWFGKSVEEIFQSVVINQEKPQLPSGLPPALESVLSGCFEYDLRNRPLMLDILQAFESSKNAVYSEGEWIGPASTLLVEKPNSSGYTTWFLSKDHLQVGDTVRSRKGCNSCKPQNMAVMEGSIVGLEKDTDRDGFVLVRLPTLGSPLRVSVSTLERVTDGLAAGDWVRLNKENKKHSAVGILHSIQRDGIATVGFLGLETLWKGRASELQMAEPLSVGQFVRLRESVLAPRFDWPQKGGGAWATGKISQILPNGCLLVGFPGRFVLGNKPNIFLADPDEVEVVSFDTCPGLLEKYQHVEDFHWAVRPLAITFSLFMTVKLGIFVGKNISAKLKKSTKKKQIPSDGRPRDAEGSGNSPWLPPPVANILFKDGTVAARNFKNKGKAVEHESDKNEEEEDGFSGIAAAPVTCMTIKKRQTYKRCEEFSGEQWRKEKMNRAERLEKQLKTRWAVEEVIEEQLNRFHAQYNQAMIPTKLKDIAHLLTPKWAPPQELAALSWYGDWRPSTIPVLLHSLINSSSSFSTSLAADSDWVKTVMKQLINELRVEEAVIDEEMAEIQANCIFHLPFGITKKKKKNKKDGDALACVYTEFRKVHQVLTKAQNLRLKAMDMAVKKVLSPTDAAEFLVAFAKIQDLIHQHAMNMKLTNGPISVPTK >itb04g19120.t1 pep chromosome:ASM357664v1:4:23249277:23250948:-1 gene:itb04g19120 transcript:itb04g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDLIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKSKIREGHPVVDSSSPNIHSSEILDRSHVQLIVAAFDSDTDKQQQHVADAATGNAEEPQPLLPSSSPADH >itb04g04730.t1 pep chromosome:ASM357664v1:4:2913643:2915069:-1 gene:itb04g04730 transcript:itb04g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKTEKEETEFKVVPETITPCVNNCGVTGSPATNGMCQKCFNANTAASTSSSVAASTVPIPHKFAEKSPRSTFRRTSPGRTVPDLKKLEDTTDKSDEKTGESSPAPAKREVNRCSGCRRKVGLTGFRCRCGELFCGEHRYSDRHDCSYDYKAAGREAIARENPVVRAAKIFKL >itb10g19730.t1 pep chromosome:ASM357664v1:10:25472257:25473096:-1 gene:itb10g19730 transcript:itb10g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGNRPACAACKHQRKKCRGDTCVLWRHFPATMKEDFQAVNRVFGIANISKIIKSLDPVQQDIAVKSLLWEAKLWKEDPVHGPLGLFNRLQRELLFLREKINILQPRPLIPAPPPPRPETYQSLRGGVGNFSFHPNYTGQYRMSNGYGLQQIQPNFGRTGFNHQNRPVQQYLPPPYHNSFGHNKENALVPYANNAGISGQDRWSVIHVGDRTSNVVRPSRLPAGPAMSSNRSSVTVKQGDEDETGNDEARELVAALYYDHQLLNSTNQNNVQGKLT >itb02g11620.t1 pep chromosome:ASM357664v1:2:7758207:7759361:1 gene:itb02g11620 transcript:itb02g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDLQIPSTFDPFAEANAENSGAGSKDYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >itb09g12530.t1 pep chromosome:ASM357664v1:9:7926391:7926690:-1 gene:itb09g12530 transcript:itb09g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDIAAPERASNLVGDSQARQHPPAQRHPHHPRHPHRYAPRHRHARSSTATAAPRSACDPPYPLYNYTIPVIQRRSIPRSTLNWRFLPQIRLLRCVG >itb04g32580.t1 pep chromosome:ASM357664v1:4:35058174:35058740:-1 gene:itb04g32580 transcript:itb04g32580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNPTLNHDIQQHFSGAGLHRDGVSTQKRRPNDVVFVGLIRRREGSGERDFLVPVHGVDVEAVVVNAAATVRDLGGEGDGDIGGEKFSGAGGEGEIVECGVSEEEAGLGEGDLDRHGEAKGDAEEGREEDEQSAVIETLLRKILHLAGACGGGSEEVLVAELKIEDYGLEFGNIGITKRFSNIYRWY >itb14g18880.t1 pep chromosome:ASM357664v1:14:21732108:21733285:1 gene:itb14g18880 transcript:itb14g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVNPSVGGCTCFAHGYKIPSSAKSFCVYDAQSLSYNQSENENMLKHWMTNGVCHEESFIWCHAQKAYYLPIVARPINFVVFVVNAVSVLESMEGRDETEKRYTRVVSKAFNSPLLSFKDDRPVVVVTHGDLLSVSERVRVRVHSGDLLGIHPKNQIFDIPA >itb12g24720.t1 pep chromosome:ASM357664v1:12:26161658:26162752:1 gene:itb12g24720 transcript:itb12g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYICPIHFLLVCNSQDFNTHLLLLLKTAGMEDRNTCMMTTTDELMTLDLISQYLLGDFASTEAFIGNLGFCFPDDFNDYRRFSGSDKPESSTSVSDSVSPVSDLKRPDSPSRYFNLDLDFPEFESKPDIVRSTPDSSSKLQNSAVQKESGNGGKGRRYRGVRRRPWGKFAAEIRDPTRKGSRIWLGTYDTDIDAARAYDCAAFKMRGRKAILNFPLEAGKSGPPVNHGRNRRREMKSRDVSRVKEEIF >itb12g18810.t1 pep chromosome:ASM357664v1:12:21064771:21067956:-1 gene:itb12g18810 transcript:itb12g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSVLFVAVLLVAARGGFSLVESDKQALLDFVNNLRHLRSLNWNEEVSVCMNWTGVGCSEDGSRVVALRLPGVGFHGPIPANTIGRLSALQILSLRSNGISGNFPLDFVNLKNLSYLYLQFNNFSGPLPLDFSVWKNLTSVNLSNNRFNGSIPFSVSGLSKLTSLNLANNSISGQIPDLNLPSLQFLNLSYNNLTGTVPNSLQRFPGSVFVGNNVSYVVSSSPVSLPQHPIPKSKNPGKLSEKVLLGIVVGVSVVGALGFGFLLLVCCLRRQHEEAFLGKLEKGDMSPEKAVSRSQDANNRLVFFEGSNYVFDLEDLLRASAEVLGKGTFGMTYKAILEDAITVVVKRLKEVGVGKKEFEQQMELVGNIKHENVVELRAYYYSKDEKLIVSDYFTQGSVAEMLHGKTGENRAPLDWETRLRIAIGAARGIARVHGETGGKLVHGNIKSSNIFLNSKQYGCISDVGLSAIMSSLAPPVARAAGYRAPEVVDTRKAAHPSDVYSFGVLLLELLTGKSPVHTTSGDEIIHLVRWVHSVVREEWTAEVFDVKLLKIPDIEEEMVEMLQIALSCVVRMPDQRPKMPEVVKMIEGVRRNDHLENRKSSENGASRLSPSLIEAECS >itb08g00440.t1 pep chromosome:ASM357664v1:8:359568:360931:1 gene:itb08g00440 transcript:itb08g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYESACSSEQNGQLPPATSSVSAGSCSSSNCMAAQYSDEDVLVLAATRKPKRPAGRKKFKETRHPVYRGVRRRGNGKWVCELREPIKQKRIWVGSYPTPEMAARAHDVAALALRGELATLNFADSVWRLPVPVSKDAKDIRRAAVMAAEGFRETKVEEEVESKLRVDVSNIDDEAWMASPVAGEEMGVDGIIEKMAEAVLYSPACMQLGNVFSTWDEVDQVGIGSDDVVEVSLWSYSI >itb09g26690.t1 pep chromosome:ASM357664v1:9:27359451:27360068:-1 gene:itb09g26690 transcript:itb09g26690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRAFAEIRLGGFGLGALLPGDTDATQPHNEEKGLSRKESLREIRQATKKLVGVMGANIRNEGNAPESKGPTDSQHEDEFWANPDNMRVVEDVERTAHLRAQWAEMPSFSLRFTQAENNEM >itb14g02590.t1 pep chromosome:ASM357664v1:14:2226479:2230429:-1 gene:itb14g02590 transcript:itb14g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRSEAVSVVVRGEKLQSLQGGGGGGGQVIQRTRLQVWFIRVCSSILIWTCLVQLVAVAELWHPRLLTGVAHVAKDLPPPPPPPPPPLLPARNYTSNGFLKVSCNGGLNQMRAAICDMVAVARFLNLTFVVPELDKTSFWADTSNFEDIFDVTHFIDSLRDEVRIIRRLPKRFGRRHRYQPLEMPPISWSNEKYYSEQILPLYSKHKVIHFNRTDTRLANNDIPLELQRLRCRVNFQSLKFTPKIEALGQKLVHLLQEKGPYVALHLRYEMDMLAFSGCTHGCTEEEAEELKRLRYAFPWWREKEIDSKERRSQGLCPLTPEEAALVLQALDIEKDTQIYIASGEIYGSERRLAALRSAFPRIIKKETLLDPEDLQQFQNHSSQMAALDFVVSVASNVFIPTYDGNMAKVVEGHRRSCAGI >itb14g02590.t2 pep chromosome:ASM357664v1:14:2226479:2230429:-1 gene:itb14g02590 transcript:itb14g02590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRSEAVSVVVRGEKLQSLQGGGGGGGQVIQRTRLQVWFIRVCSSILIWTCLVQLVAVAELWHPRLLTGVAHVAKDLPPPPPPPPPPLLPARNYTSNGFLKVSCNGGLNQMRAAICDMVAVARFLNLTFVVPELDKTSFWADTSNFEDIFDVTHFIDSLRDEVRIIRRLPKRFGRRHRYQPLEMPPISWSNEKYYSEQILPLYSKHKVIHFNRTDTRLANNDIPLELQRLRCRVNFQSLKFTPKIEALGQKLVHLLQEKGPYVALHLRYEMDMLAFSGCTHGCTEEEAEELKRLRYAFPWWREKEIDSKERRSQGLCPLTPEEAALVLQALDIEKDTQIYIASGEIYGSERRLAALRSAFPRIIKKETLLDPEDLQQFQNHSSQMAALDFVVSVASNVFIPTYDGNMAKVVEGHRRYLGFKKTIQLDRRSLVQLLDLHQNGTLSWDKFSAAVQQTHKWRMGQPALRKVIVDKPKEEDYFYANPHECVCQSPTCDDLLGPDNSTSIQ >itb05g16640.t1 pep chromosome:ASM357664v1:5:23811964:23813458:1 gene:itb05g16640 transcript:itb05g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKGSEGLEEGNVAAWEDAAALGGGRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSSSSSSAPALPSKITNLLLTRLKARNNSLAGNGAPSSAPACFQQVNQVQEDEAAEFSESHFTDYLNDPDDCTADSSMIIPEISLESCNLTETVNSSGATEQGFNYESQNSAVQIHDEPEEEEEIEEENTDDLRVVDFQFVDEIGSCYFSPFQMAEEISESMEHQQAMISEGEELSMISETMKRMNYERKFSASLYAFNGITECLKLKLKSGRERSLSSFFGLSTVSP >itb12g28370.t1 pep chromosome:ASM357664v1:12:28314844:28316347:-1 gene:itb12g28370 transcript:itb12g28370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGLYKEGGWDLEGIGTEGRRLFTGLSLREGVPDTAQWRPEANGKFTFAAAKKYEKRQSGSRMMFKHWSRRVWAKHVPWKISFLAWRVFKRKVPMDDVLVRFGYSLVSKCNCCIAPALCTLQHVFCAGEVATEVWGYFAATLGIKIQIRSLAQVCYSWWSKKKQNRLERFIVERLPMLIIWGLWVGFTQSKYGGKKINAARVKYHVAKEVADCIARRWPGWDPFPPSWAAILRRVERFGVQSLVRGSRWSKPQGGWIKVNLAEGTNGKSCGFVIRNANGVFCLAGAYSVEDMGVLNELREVMIQDIWAWCRRKKLEKVVFESDEPCFKAVIQGGSIMWKRCSSQVNCLAAFLANKFEKESLVFWKKGGLPHGFFQLLSLEGIPHFSIFPGHDIVGMDRLALLLLSFAVTGLDEGLDGHTGCDLC >itb01g33080.t1 pep chromosome:ASM357664v1:1:36346744:36349968:1 gene:itb01g33080 transcript:itb01g33080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFIHLFFLLLFFSCKNSYYSIAQSTPTTPTSVVTDKEALISFKANINTETHNTVLSTWDINLSPCNWTGIVCNPKQTRVVGLQLSGLALTGSISPSLGNLSFLRSIQLQNNQLTGNLPDQIGNLFRLRVLNLSSNSIQGVIPPNISHCKELRVLDLMHNQISGSIPGEIGNLRQLQIISLGGNHLSGAVPPSISNVSSLTVLRLSTNNLVGEIPSDFAKLRLLKVLDLTINKISGPVPSAIYNMSSLQTLALASNDLWGDLPGDVGVTLPNLLVFNFCFNKFTGRIPASLHNLTNIKVIRMANNSLHGTVPPGLGNLPFLEMYNIGHNKIVSSGENGLSFLESLTNSSRLNFLAFDYNLLEGVIPDSIGNLSRVLQKLYMGGNRFVGTIPPSLGQLSGLTLFDAHQNSISGQIPPEIGELENLQELKLARNRLSGGIPSSLGNLQKLNRIDLSENELVGSIPASFENFRSVLSIDLSKNKLNGSLPKQIFTLPSLAAFLNLSQNCLTGSLPEEIGVLENVVTIDISGNLLSGNIPKSIGKCKSLESLLLANNMLSGQIPDTIADLRGLETLDISSNHLSGQIPTDMQKLQALQVLNLSHNNLEGQVPCNGVFADPSKFHLEGNQNLASDLTYCRINSPRHKRRLTFIYIAIPSIAVLAIFFTAGVVFYIKRSRTTTKNPIPSFGQKQHQMITYEELQLSLRDWVKMGFPDKINELLDTDLLQLENSHCQDGKCASSEIQGNCLISIVEIGLSCVLDSPAGRITIRDALHKLKSVKDKLLKASP >itb12g10910.t1 pep chromosome:ASM357664v1:12:9016011:9017875:-1 gene:itb12g10910 transcript:itb12g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFENGDFEVVGGRKWREQELCSSLGQSCRPSWRSRVLGLGAPPLWSRKVKVLAAGFDALLPISRGMRGSLVLGRTPLPIGSGHLAFVSLVEPWGPEAPLPHRDPLKFCPYNGTSCCNSAHPEAVSLLFVRNVINFQLSFTELVLFLDMFL >itb15g04510.t1 pep chromosome:ASM357664v1:15:2850346:2852492:-1 gene:itb15g04510 transcript:itb15g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYDIMVYYRFPSLFLPLILLVILRITRQWLTNIRKKSLPIPPGPKPWPLVGSLPEIFRSGKPAFRWIHNLMDEMNTEIACIRLGGTDVIPVTSPELAREFLKKQDSIFSSRPLCMSAGLISNGFLTSVFLPYGQQWTKMRRILTSHVLSPARQHWLHDNRVEEANHLLRYIYNQCSKGAAVNVRTATQHYCGNVTKKMLFNKRYFGSGTEDGGPGAVDEELLEAVFTILRYLYGIGVSDYIPWLSIFDIDGHKSIIMKALAVMRKHLDTQVDERVQMWKDGTKTVEEDILDVLLMLKDNAGRPMLNDTEIKTQLLEIMIATIDNPSNAVEWALAEMINQPKLLKKAVEEVDKVVGKERLVEESDLPKLNYIKACVKEGFRLHPIAPFTPPHMSMADTVVGGYFIPKGSQVLISRIGLGRNKRAWEDPLKFKPERHLKEDGGEVVLTDSELKMLSFSAGRRGCPGVRLGSLMSAMLMARLVQGFTWSVPADLPCIDLTESKHDLFLENSLFALAKPRLSENLYK >itb04g22760.t1 pep chromosome:ASM357664v1:4:28026310:28028829:1 gene:itb04g22760 transcript:itb04g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQNATQLREVVLDGIPIHSSIPPNVSSSIATLSLSYTKLQGSLPTNIFHLPNLQKLLLQGNENLSIHFPSTRWNSSLSLSYLDLGGTGCPPNIIESLRNLSRVKYLGLANCGLSGKVSEAILDLEQLTALDLSGNSLEGGVADLLIKLWRLKRLFLYSNSFTAQFPSSITNITQLEALDLSYNSLSGTIPSWLFNHSTLYFLDLSSNQLQGSIPTSSLSKQKNLIILNLSSNNFSGTVELNMFSNLKRIMVLRLSNNRLSLVSNPKINSTSWLENLISLSLSSCNLTNLNFLRDSKYLRNLDLSNNKIQGRIPELGWSNSTSWLENLNSLRIVEEEGYKIMRKGNRKRSKTPRSGRRRT >itb01g24800.t2 pep chromosome:ASM357664v1:1:30335581:30340860:-1 gene:itb01g24800 transcript:itb01g24800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLLEIGVQMRKVLIFSIRLCYRTVSNHPFLVGMVCLLALLYRSFPFLFSALVSMSPVIVCTAILLGTLLSFGQQNAPEIEREDKTVNDILVPLKSGVSCDDASVVEKDESISVERYIDKRWDAAEQPFYEVGRDNRFGDGTLLIEKESRELEPVNSVFDEAARFCYDSGSEQKNENEERRGDEDVLEYQYSPIPNTDDNNLEFDVDNDKSVDSYDSKMVNIGSHPGSPWKGEEEEEEDREEDESLDSGSDRAESLPDASMANIIPMLDELHPLLDEGNPQRVNLSDADFDAEFKHSKLSDSEDEFNDGILNRELETADDENEDDEDEEDIQGDKEEGDRFGITWTEDDQKIIMDLGSSELERNQRLESLIAKRIAQKNLKALAESGGELETADDESEDDEDEEDIQGDKEEGDRFGITWTEDDQKIIMDLGSSELERNQRLESLIAKRKAQKNMKALAERSGELETADDESEDDEDEEDIQGDKEEGDRFGITWTEDDHKLIMDLGSSELERNQRLESLIAKRKAQKNMKAVAERNLLDLGSVDLPFNVAPISTARKNPFDIPDDQNDLRLPPIPGSAPSILLPRRNPFDLPYDLGEEKPDSAEDGFQKGFMTLQPKEPFFRRHESFNVQPSLFSPNKQVQDDIRLRPYFVPERMEIDGPSYTPFQRQLSDLSDSKVSSIPETESSGSVSDLEDKDLMEENIVGKNLDEKDLVDENIIDQHISQELDVMSSIEHVGHVSSFSEEELLELGRNDNKEDLFGVKIEFKQNHEEIHHDENPSLIQEGNVANMLDFSSIETNSRSPSFKQKSNWHSSSSSPSEASEKIFDERGTQWLSGLEERSGHAEEKVISREPSSEKSDVNITTISTDENPHRVPIYDSSPAPLQKHISLSSISSDVRTDSLSSISSDVRADAEMGFSPPAVRAALAFADRESMESDLEINKYTPINEEILATSTTSVPVHKVELLSEDPMDINMQEVLKLNSSEINEAYFDAFTPAVAESVVSKVLLDSKASVGTSEDEKIIELLQDESLFSVFNPNVKASNGVDLMMESVSQSKEPFSTAEEFYLVQQSYSLPPRDHKEVQEHPLIVVETIGEAGLTPTSASVLHKVDEATGEVSQSDIKKSGFDEVEVANHIQGSENHELLLETVKCRGDTQHLIEDTDCIKDVSEELLSELDAVGDFSIKEPGSRLNEFGSESGSSKRVILHKDSSLIENEIEFEGSKVEAGKLHYEVDRSENTHLATSSEPAHHEAPVHNSSSIIDVKDKKKEDSLESDSSSSSSSDSSSSDSDKE >itb01g24800.t1 pep chromosome:ASM357664v1:1:30335581:30340860:-1 gene:itb01g24800 transcript:itb01g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLLEIGVQMRKVLIFSIRLCYRTVSNHPFLVGMVCLLALLYRSFPFLFSALVSMSPVIVCTAILLGTLLSFGQQNAPEIEREDKTVNDILVPLKSGVSCDDASVVEKDESISVERYIDKRWDAAEQPFYEVGRDNRFGDGTLLIEKESRELEPVNSVFDEAARFCYDSGSEQKNENEERRGDEDVLEYQYSPIPNTDDNNLEFDVDNDKSVDSYDSKMVNIGSHPGSPWKGEEEEEEDREEDESLDSGSDRAESLPDASMANIIPMLDELHPLLDEGNPQRVNLSDADFDAEFKHSKLSDSEDEFNDGILNRELETADDENEDDEDEEDIQGDKEEGDRFGITWTEDDQKIIMDLGSSELERNQRLESLIAKRIAQKNLKALAESGGELETADDESEDDEDEEDIQGDKEEGDRFGITWTEDDQKIIMDLGSSELERNQRLESLIAKRKAQKNMKALAERSGELETADDESEDDEDEEDIQGDKEEGDRFGITWTEDDHKLIMDLGSSELERNQRLESLIAKRKAQKNMKAVAERNLLDLGSVDLPFNVAPISTARKNPFDIPDDQNDLRLPPIPGSAPSILLPRRNPFDLPYDLGEEKPDSAEDGFQKGFMTLQPKEPFFRRHESFNVQPSLFSPNKQVQDDIRLRPYFVPERMEIDGPSYTPFQRQLSDLSDSKVSSIPETESSGSVSDLEDKDLMEENIVGKNLDEKDLVDENIIDQHISQELDVMSSIEHVGHVSSFSEEELLELGRNDNKEDLFGVKIEFKQNHEEIHHDENPSLIQEGNVANMLDFSSIETNSRSPSFKQKSNWHSSSSSPSEASEKIFDERGTQWLSGLEERSGHAEEKVISREPSSEKSDVNITTISTDENPHRVPIYDSSPAPLQKHISLSSISSDVRTDSLSSISSDVRADAEMGFSPPAVRAALAFADRESMESDLEINKYTPINEEILATSTTSVPVHKVELLSEDPMDINMQEVLKLNSSEINEAYFDAFTPAVAESVVSKVLLDSKASVGTSEDEKIIELLQDESLFSVFNPNVKASNGVDLMMESVSQSKEPFSTAEEFYLVQQSYSLPPRDHKEVQEHPLIVVETIGEAGLTPTSASVLHKVDEATGEVSQSDIKKSGFDEVEVANHIQGSENHELLLETVKCRGDTQHLIEDTDCIKDVSEELLSELDAVGDFSIKEPGSRLNEFGSESGSSKRVILHKDSSLIENEIEFEGSKVEAGKLHYEVDRSENTHLATSSEPAHHEAPVHNSSSIIDVKDKKKEDSLESDSSSSSSSDSSSSDSDKE >itb01g24800.t3 pep chromosome:ASM357664v1:1:30336872:30340860:-1 gene:itb01g24800 transcript:itb01g24800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLLEIGVQMRKVLIFSIRLCYRTVSNHPFLVGMVCLLALLYRSFPFLFSALVSMSPVIVCTAILLGTLLSFGQQNAPEIEREDKTVNDILVPLKSGVSCDDASVVEKDESISVERYIDKRWDAAEQPFYEVGRDNRFGDGTLLIEKESRELEPVNSVFDEAARFCYDSGSEQKNENEERRGDEDVLEYQYSPIPNTDDNNLEFDVDNDKSVDSYDSKMVNIGSHPGSPWKGEEEEEEDREEDESLDSGSDRAESLPDASMANIIPMLDELHPLLDEGNPQRVNLSDADFDAEFKHSKLSDSEDEFNDGILNRELETADDENEDDEDEEDIQGDKEEGDRFGITWTEDDQKIIMDLGSSELERNQRLESLIAKRIAQKNLKALAESGGELETADDESEDDEDEEDIQGDKEEGDRFGITWTEDDQKIIMDLGSSELERNQRLESLIAKRKAQKNMKALAERSGELETADDESEDDEDEEDIQGDKEEGDRFGITWTEDDHKLIMDLGSSELERNQRLESLIAKRKAQKNMKAVAERNLLDLGSVDLPFNVAPISTARKNPFDIPDDQNDLRLPPIPGSAPSILLPRRNPFDLPYDLGEEKPDSAEDGFQKGFMTLQPKEPFFRRHESFNVQPSLFSPNKQVQDDIRLRPYFVPERMEIDGPSYTPFQRQLSDLSDSKVSSIPETESSGSVSDLEDKDLMEENIVGKNLDEKDLVDENIIDQHISQELDVMSSIEHVGHVSSFSEEELLELGRNDNKEDLFGVKIEFKQNHEEIHHDENPSLIQEGNVANMLDFSSIETNSRSPSFKQKSNWHSSSSSPSEASEKIFDERGTQWLSGLEERSGHAEEKVISREPSSEKSDVNITTISTDENPHRVPIYDSSPAPLQKHISLSSISSDVRTDSLSSISSDVRADAEMGFSPPAVRAALAFADRESMESDLEINKYTPINEEILATSTTSVPVHKVELLSEDPMDINMQEVLKLNSSEINEAYFDAFTPAVAESVVSKVLLDSKASVGTSEDEKIIELLQDESLFSVFNPNVKASNGVDLMMESVSQSKEPFSTAEEFYLVQQSYSLPPRDHKEVQVSRITSILLFLHLFFSKLFPLFIYSFQYPHFS >itb02g10990.t2 pep chromosome:ASM357664v1:2:7190433:7193827:1 gene:itb02g10990 transcript:itb02g10990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGNLVAATSNSSAPFPIYQSARIFTSTTSYLFDIEQEGRHWVRLYFYPLPGHNLTSASITVVTEDFVLLNNFSFKRYNGSYLFKEYAINVTSDSLILTFIPSNGSVAFINAIEVVSIPDELIPDKVASVSPSSSISGLSGLTLETVYRVNMGGPLITAQNDTLGRTWENDLKYLHVNSSAMNVSVSPSSIKYPASVTPEVAPDLVYATAETMGDANVANGNFNVTWVFSVDPNFVYFVRVHFCDIVSESMNSLVFHLYINDDIALADLDVTNQAGNLDVPYYRDFLSNSSTDDVGILTVSVGPDPSADFINAIMNGLEIMKLSNGARSLDGDSPVETLHALPPRKSKKGVIIISVVGASAALLMIGLCYHCISTRRSKAIQQGLGHHWLPLGNSLTLSKFSTISQKSGTASCISLASPNLGRFFTFQEIMDATNKFDEGLLLGVGGFGRVYKGSLEDGNKVAVKRGNPRSEQGIAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGADLPPISWKQRLEICIGAAKGLHYLHTGATQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLVEVLCSRPALNPVLPREQVNISEWALSWQKKGMLGEIMDSSLKGKVNPASLKKFGETVEKCLAEHGVDRPSMGDVLWNLEYALQLEETTSALTEPDDNSTNHIPDISLPTPEPFDNSVSMMDGVQSGTDDDVEDGVTSVVFSQLMNPLGR >itb02g10990.t1 pep chromosome:ASM357664v1:2:7190433:7193827:1 gene:itb02g10990 transcript:itb02g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRIKTWILLVLSVSIVLRFLGHVSDAAFTPVDNYLIACGFSENVTFLGQTYVPDSVRSSVSMESEGNLVAATSNSSAPFPIYQSARIFTSTTSYLFDIEQEGRHWVRLYFYPLPGHNLTSASITVVTEDFVLLNNFSFKRYNGSYLFKEYAINVTSDSLILTFIPSNGSVAFINAIEVVSIPDELIPDKVASVSPSSSISGLSGLTLETVYRVNMGGPLITAQNDTLGRTWENDLKYLHVNSSAMNVSVSPSSIKYPASVTPEVAPDLVYATAETMGDANVANGNFNVTWVFSVDPNFVYFVRVHFCDIVSESMNSLVFHLYINDDIALADLDVTNQAGNLDVPYYRDFLSNSSTDDVGILTVSVGPDPSADFINAIMNGLEIMKLSNGARSLDGDSPVETLHALPPRKSKKGVIIISVVGASAALLMIGLCYHCISTRRSKAIQQGLGHHWLPLGNSLTLSKFSTISQKSGTASCISLASPNLGRFFTFQEIMDATNKFDEGLLLGVGGFGRVYKGSLEDGNKVAVKRGNPRSEQGIAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGADLPPISWKQRLEICIGAAKGLHYLHTGATQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLVEVLCSRPALNPVLPREQVNISEWALSWQKKGMLGEIMDSSLKGKVNPASLKKFGETVEKCLAEHGVDRPSMGDVLWNLEYALQLEETTSALTEPDDNSTNHIPDISLPTPEPFDNSVSMMDGVQSGTDDDVEDGVTSVVFSQLMNPLGR >itb01g13580.t1 pep chromosome:ASM357664v1:1:14346310:14346942:-1 gene:itb01g13580 transcript:itb01g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYRIERVIGEKDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTWLIKCSDNKS >itb09g29590.t1 pep chromosome:ASM357664v1:9:30330581:30333886:-1 gene:itb09g29590 transcript:itb09g29590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSSGGLSHQNQKRPLENGFHSSSLDHMPKYKARKVSAVRDFPPGCGENALQTDVKSGESVVAATGDNVATDLEVTGVKDSDDVSELQSFEVVNCSVKLAVDESLDRVVAEVVATTTNGTLCGVEEVMSYVEPLGSDISKEDNKVEPVKEGNQIQNHDLVKELDEAVTLPIVESALSDVAVHASIGPLGSDSPKEGNKVEQMEEGNEIENHDLMEELDETVSLPIDETAQSDEMVPASLGRTCSQQQLYSVKEHTSSLIKKKYRSRRVSAIRDFPPFCGSNAPKPIEENCMDIVKAITDEVGTSIEESEGVRDAEAVVGKEIVVYSQEEIDKCILPDDAIGLGEGGTSICDVDENYLRCSGDDFDSGNEVVKPLVQALMAEPFCPWRQGRRDLTSDGVIREGEVKENTASYRKRSNAVAKKSVVRKASLFSIEARSGGECALVTSEGVLGAENLGTVVANSEVTPQGSTGGQRSPEFDVTLQPLGSDDSRHNDAHGKARQKRTAVTKKVGHKQEVSRKTLLKKRSVSEVSDGGKGAKVPSNTSGSFTEVPSEVSPSGNRLPKFNVTLPPFGPNGSSHGDARSKVRETLRLFQVLCRKLLQGEESKSRPEEEAQSKQKTKRIDLEASKIIKAKGKEVNTGNVILGEVPGVEVGDEFQYRVELALVGIHRLYQAGIDSMKHAETGLTIAVSIVASGGYADDMDDPDVLRYSGQGGNLISKAKTPEDQKLERGNLALRNSISVKNPVRVIRGYKDLKPSESVDAKPKVATIYVYDGIYTVQNYWTEKEGKHGKMVFMFELRRVPGQADVFWKEVKSSKKSIMRHGVCVDDITGGEELLPICAINRIDSEKPPSFNYIHKMKYPEWFQPAPLKGCDCTGKCSDSKKCACAVRNGGELPYNRNGAIVEVKPLVYECGPHCKCPPSCYNRVSQNGIKIQLEIFKTESRGWGVRSLTSIPSGTFICEYAGELLEDKEAERRIGNDEYLFDIGHNFSDCSINPSGQKCTSSEQVEEVGHTIDAAEYGNVGRFINHSCSPNLYAQNVLYDYDDKRVPHIMLFAADNIPPLQELTYHYNYTVDQVRDSNGNIKVKQCFCGSAECTGRLY >itb02g03180.t1 pep chromosome:ASM357664v1:2:1828139:1829782:-1 gene:itb02g03180 transcript:itb02g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFNRLRKLAAFLLTVALLLQFWASQTDAARVLLKKAHSSSPGTTASSSSSSSSSSETLKGGEEALKNVDSSLRKIPPSRSNPTQNKRGGITSILNREANYDTSSIQDAVIKAVVLIFCIKNQRTDNK >itb12g21200.t1 pep chromosome:ASM357664v1:12:23598000:23599825:1 gene:itb12g21200 transcript:itb12g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKASGRTIKIMPIVSKLHCSVSEEVLVVRHRPHVVNGGGFVVTDSAQNPVFSADGCGVLGNKDEIILRDSYGSPLLLIRRKGGIVEVLSMTRKWKGYTTEFEGSQKLVFTLKEPNSSCFLKNIPVKISIESSHYGSDHRSFAVAGYFPDRVCSIVDSLGNAIAQVGGCKEIEMESKDIYNVTVKPGIDQAFVIGVIAILDYIYGGSTRC >itb05g00880.t1 pep chromosome:ASM357664v1:5:732957:735801:1 gene:itb05g00880 transcript:itb05g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVKELKEALDADIQDRIMKEREMQCYIEEREREVAEREAAWKAQLSRREAEIARQEARLKMERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFAKAKK >itb12g11650.t1 pep chromosome:ASM357664v1:12:10030380:10035463:1 gene:itb12g11650 transcript:itb12g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGDTKSLSRWRPREAEAGKLEIPRAESQRNAEGGHPEKPRAESRRSRWRKAREVEGGKAEKEGNGERDNRQKKYFREKLRYNELGFVSTCAAAVFLSLPCCSYREAYNITAILAKYKEFSTFNHYLSLTHLAAHINNCNTIIVCAVDDAAMADLLAKHHSIYFHVLLDYYGANKLHQLTNDTAVTATMFQATGFAPGAAGFVNIADLKGGKVRFSPHDNGGGLPATFVKAVEEIPYNISIIHINSVLPSAVAEAPALSQARQTSLS >itb02g09250.t1 pep chromosome:ASM357664v1:2:5886757:5890617:1 gene:itb02g09250 transcript:itb02g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAEVNPGMNTEAINQPNRKQHLSRDVMLGSELWTDGLICAFEFVRGPSKKKGAVRSFMKNESRCQNGCRVVPTNSVSKCVTTYISLKDIDNKDCVERCSVNDSEATNIDSVDCQNYCDEHHAGCFHPLERSSGNYWIQIGWTRISELLQTVQIDADWASQPFDFTDEEDDVTVADVAAPYWERPVGPTWWCHVAADHPFINAWLSNAQWLHPAISIALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPYVQEEDIPVVVRSWQSQNFLLTALHIKGTASNINVLGITEVQELLAAGCFNAPKTIHEVIALIACRLARWDDRLFRKYIFGEADEVELKFMNRRTHEDMHLFSIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLKGNAARSMLEGLRKSTREMIQEQEAVRGRLFTIQDVMQSTVRAWLQDQSLRVQHNLGVFGGCGLILSIITGLFGINVDGIPGSEGCPYAFAFFSLALVLLGVVLIVIGLIYLGLKSPAIEKQVEVRKLELQELVKMFQQEAESHAQVRRTSVLPPIQPGPKGIISDGENYILIG >itb02g09250.t2 pep chromosome:ASM357664v1:2:5886784:5890617:1 gene:itb02g09250 transcript:itb02g09250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAEVNPGMNTEAINQPNRKQHLSRDVMLGSELWTDGLICAFEFVRGPSKKKGAVRSFMKNESRCQNGCRVVPTNSVSKCVTTYISLKDIDNKDCVERCSVNDSEATNIDSVDCQNYCDEHHAGCFHPLERSSGNYWIQIGWTRISELLQTVQIDADWASQPFDFTDEEDDVTVADVAAPYWERPVGPTWWCHVAADHPFINAWLSNAQWLHPAISIALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPYVQEEDIPVVVRSWQSQNFLLTALHIKGTASNINVLGITEVQELLAAGCFNAPKTIHEVIALIACRLARWDDRLFRKYIFGEADEVELKFMNRRTHEDMHLFSIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLKGNAARSMLEGLRKSTREMIQEQEAVRGRLFTIQDVMQSTVRAWLQDQSLRVQHNLGVFGGCGLILSIITGLFGINVDGIPGSEGCPYAFAFFSLALVLLGVVLIVIGLIYLGLKSPAIEKQVEVRKLELQELVKMFQQEAESHAQVRRTSVLPPIQPGPKGIISDGENYILIG >itb05g20060.t2 pep chromosome:ASM357664v1:5:26282946:26293900:-1 gene:itb05g20060 transcript:itb05g20060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGRGLECQKTMDGKESNASGPDKAVLPSCCLKARACDPEPDAKSHSTVCSGWFSKPRSPSGEDDRVLYFNNPMWPGEAHSIKVEEILFKERSEYQEVLVFKSSSYGKVLVLDGIVQLTDKDECAYQEMIAHLPLCSIKSPKNVLVVGGGDGGVLREISRHNSVELIDICEIDKMVIDVSKKFFPELAIGFEDPRVKLHVGDAVEFLRHAPEGKYDAIIVDSSDPVGPAQELVEKPFFETLARALRPGGVLCNMAESMWLHTHLIQDIIAICRETFKGSVHYAWTSVPTYPSGVIGFVLCSTEGPPVDFLNPINPIEKLDGALQYRRELRFYNSEVHRAAFALPSFVKREVSCLRDVLPTNLVV >itb05g20060.t1 pep chromosome:ASM357664v1:5:26282946:26293900:-1 gene:itb05g20060 transcript:itb05g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGRGLECQKTMDGKESNASGPDKAVLPSCCLKARACDPEPDAKSHSTVCSGWFSKPRSPSGEDDRVLYFNNPMWPGEAHSIKVEEILFKERSEYQEVLVFKSSSYGKVLVLDGIVQLTDKDECAYQEMIAHLPLCSIKSPKNVLVVGGGDGGVLREISRHNSVELIDICEIDKMVIDVSKKFFPELAIGFEDPRVKLHVGDAVEFLRHAPEGKYDAIIVDSSDPVGPAQELVEKPFFETLARALRPGGVLCNMAESMWLHTHLIQDIIAICRETFKGSVHYAWTSVPTYPSGVIGFVLCSTEGPPVDFLNPINPIEKLDGALQYRRELRFYNSEVHRAAFALPSFVKREVSCLRDVLPTNLVV >itb02g25770.t2 pep chromosome:ASM357664v1:2:26549576:26552962:1 gene:itb02g25770 transcript:itb02g25770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MAVPNVIVSYPANPWQLTSPSASLSFHCYNHRYFNNNNSFIINNNNNAKQQHYFNVVSSLPLPKVGTAAKPKLSCFTSRMPFCSSDSPGSWMQDHSSHHDSPASNGRKHSSSVHSVSPTKSVEVASVNDLYDFICSGPLVNKTGLTPEAVAESIDKWIEYGSILCRLFQLNQLTLNLPQKVRIYHYYIPVFLWCEKEIADHCSKFKGADEVPPLVIGFSAPQGCGKTTLVFALDYLFQVTGRKSAMLSIDDFYLTAEDQAKLRESNPGNLLLELRGNAGSHDLPFAVETLTALTKLTKEGTKMKLPRYDKSAYSGRGDRADPSTWPEIEGPLTVVLFEGWMLGFKPLPSEVVKAVDPQVSFQLILVHRSLLV >itb02g25770.t1 pep chromosome:ASM357664v1:2:26549576:26552962:1 gene:itb02g25770 transcript:itb02g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MAVPNVIVSYPANPWQLTSPSASLSFHCYNHRYFNNNNSFIINNNNNAKQQHYFNVVSSLPLPKVGTAAKPKLSCFTSRMPFCSSDSPGSWMQDHSSHHDSPASNGRKHSSSVHSVSPTKSVEVASVNDLYDFICSGPLVNKTGLTPEAVAESIDKWIEYGSILCRLFQLNQLTLNLPQKVRIYHYYIPVFLWCEKEIADHCSKFKGADEVPPLVIGFSAPQGCGKTTLVFALDYLFQVTGRKSAMLSIDDFYLTAEDQAKLRESNPGNLLLELRGNAGSHDLPFAVETLTALTKLTKEGTKMKLPRYDKSAYSGRGDRADPSTWPEIEGPLTVVLFEGWMLGFKPLPSEVVKAVDPQLETVNKNLEAYYDAWDKFVKSWIVIKIQDPTCVYNWRLQAEIAMRADGKPGMSDEEVKDFVSRYLPAYHAYLPTLYSEGPSGADPDHFLLIEIDEGRNPILGC >itb15g14630.t1 pep chromosome:ASM357664v1:15:12932066:12934744:1 gene:itb15g14630 transcript:itb15g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHNIMLVLIFLVLIYKFEYCYSNNAARCVEGERIALLQFKESLIDTSNRLSSWSGLDCCEWEGISCSTTTGHVLKLDLHNPATPPYVIDNYSYGLPSNYSNNCLGGEINHSLINITYLNYLDLSLNNFSKIRIPEFFGSFKNLRYLNISSSGFVGNIPTHLGNLSSLEYLHLGEALVGVPSYNDLTTDNLDWLASLSSLKSLDMSWISIRHSEDWLRTINKLVSLSSLNLTGCQLNTTSPLSHVNSTSLISLDLRENSLDSAIFLSNLTRLEHLNLGFNSLNSSMLEIFEPLAPLKVLDLFRNAFTGTLVPLCKLHNLVFMDLNSNNFQGSIPNCLGNLTSLTSLDLRYNWFTSSIPNSLGNLTSLISLSLSANSFTGSIPNSLGNLTSLTSLSLSGNSFTGSIPNSLGNLTSLTSLDLSENIFTGSIPNTIGSLCRLQVLDFSMNKLTDSIAVLPDCLLDSLKELFLDSNNFSGQLPNQLYKYKNLEILSVSSNSFAGPIIESLGNLSMLRNLYIDSNKFSSSIPSSFGELSNLRAVDISYNSFVGVLSEFHFSKLSNLKDLYISGNLFVFNISSNWVPPFQLDEIAMESVKIGPHFPHWLRTQRNVETLFMSNASISSAIPDWFGKFFWNCDALDLHENHLSGELPLEPHVEGYMKAKIRYLSLSTNYLSGGIPKWLCSLKYLEILALSTNKLYGEIPSCLGKLQSLKVLDLGKNNLSGLIPNSLGSLQELYSLHLQNNKLEGKLPSSMQNLTSLEILDLSENEFMDVIPPWIGKNLLSLKYLVFYTNKFYGDIPLQLCQLHDLRLLNLANNNISGYIPWCIGNLTGMVSNGNPAYYGNRSAYGDNYDDEIDQVIKGLTLQYTKTLQFLRSIDLLGITLSERSQLR >itb08g17880.t1 pep chromosome:ASM357664v1:8:20774567:20778167:-1 gene:itb08g17880 transcript:itb08g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVTLSLTFAGVKIHVQTYLSDVMDFDLDLKWLRRSYRKTHFPRLTSVDLLHLSADATSSAAPRPAVEDAVDAAIPSRPSADPASLHHRYCRWLHLGEDIISIGHSFDYFSRSDVLV >itb05g26560.t1 pep chromosome:ASM357664v1:5:30372247:30374958:-1 gene:itb05g26560 transcript:itb05g26560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIFLYSLLLILPVSILLVRRMRSGRRLPPGTLGLPIIGQSLQLLRAMRANTAEKWLEERAKKHGPISKLSLFGKPTVFIYGRAANKFVFSSDSNVLTNHQTQSVRRILGDRCLLELCGEDHKRVRDALVSFLKPESLKLYVGKMDEQVNRHLQMHWKDKDMVKVLPLMKTLTFNIICSLLFGLETGARRDQFICHFQQMIAGMWSIPINLPFTRFNGSLKASAKVRKMLKELIQEKRFELEQKGASSHQDLITCLLSVRGEEDNEELISEDEIIHNAMLIMVAGHDTSSVLITFIVRLLANNPSIYEAVLKEQEEVALSMSSEGLLTWEDLSKMKFTWRVAMETMRMFPPIFGGFRQTAKDIEYGGYLIPKGWQIFWVMAKTHMDSSTFQEPEKFDPSRFENQATLPPYCFIPFGGGPRICPGYEFAKIETLVTIHRLVTHFTWKLCCKDDSFSRDPMPAPTQGLPIQLIPKKPL >itb03g25790.t4 pep chromosome:ASM357664v1:3:25022017:25032089:-1 gene:itb03g25790 transcript:itb03g25790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t9 pep chromosome:ASM357664v1:3:25021991:25032090:-1 gene:itb03g25790 transcript:itb03g25790.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t3 pep chromosome:ASM357664v1:3:25022017:25031873:-1 gene:itb03g25790 transcript:itb03g25790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t6 pep chromosome:ASM357664v1:3:25022017:25031873:-1 gene:itb03g25790 transcript:itb03g25790.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t5 pep chromosome:ASM357664v1:3:25022017:25032089:-1 gene:itb03g25790 transcript:itb03g25790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t1 pep chromosome:ASM357664v1:3:25021991:25032093:-1 gene:itb03g25790 transcript:itb03g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t8 pep chromosome:ASM357664v1:3:25021991:25031873:-1 gene:itb03g25790 transcript:itb03g25790.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t7 pep chromosome:ASM357664v1:3:25022011:25032093:-1 gene:itb03g25790 transcript:itb03g25790.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb03g25790.t2 pep chromosome:ASM357664v1:3:25021991:25032093:-1 gene:itb03g25790 transcript:itb03g25790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVDESSGEICGNNAMNFSANDVSPSSKNGKGEAWGNCETNNLISINSSYDSSSANSEHKVCARTSDVSATSDGTAPTLEAPKALEEYDDSMPCVTRGGEAIKISKLNEEESINLPCTVNLAEESIKHPKDHLNLVVKDEVAPGNGGNPLDDACITGKSEGLDKVMHSSVLPDTHANSSETHANNGSDDSDIEEHDVKVCDICGDAGREDLLAICCRCTDGAEHTYCMREMLEKVPEGDWLCEECKSEKEIENQKQYKTGRAGGNENGYSSGQTAITNSEHHETETKASDFVGGTAVKECSQGKVSSTRNTYDSEAISAAKKQVLEPLSGSPKAESPNRVPMLSRESSFKNLEKGKVKPVHQFGVVNDSSASGPRMQTSRGTFSKSNSFSSLIAKPKVKLVDEVFPAKQKLSRETAFIESKDIAVRSMGKSMSFKPTTASRSNCTESKVKMFSPKFSHDQDVKWLRHKKDRSSFDRKNSLRSDRLAGGAISSPKSDNKPTPRGEPSSLSSLNTNRDYRALISENKPVTISNSTSGVAREVLKQASVDGVSSANRISSSEENPSQAIPKEDSSSSSCVAERPSWSSNEVLPDGLSQSKESKAFGDKTRESSASRSKQNSTASGKIVSCQKCKGNGHLAQVCTADGPESSALGSPFKSSREATNGPSDLKAAIEAARLRKPGICRKNRVADQSEDLSASNMKSEIASQDQMLSSTVRRNVNGAEEAQKQETANNAKQLGIISEASARTRDAGHVAFSDVKHSVIDMERQTLVSMPVILKTAIPEYQYIWQGGFEVQKSGKAFNLYDGIQAHLSSCASPKVLDAVNKFPRKVILNEVPRLSSWPIQFKECGVSEDNIALFFFAKDIGSYEKSYKVLLGNMVKHDLALQGNIGDIELLIFPSNHLPEKFQRWNLMFFLWGVFRGKRANSLQNFPGAEKPLIQDILTAATSLPENMFTPVPKLNSVLGSAATNIEMSALKEPESASSHKIVNGNCSIQSSPQVSRDKCSRINTEQNDKLDSSSIQNSEPKLGPDRRYFGVPLVEAVHSSVQTAERVSSPNTSRPMSVHWAAQLDGEKLSMLSDETPTMQEAASVGSTTKNLCGKDDVKIGHICLDNVSTEEGTPLARHTSMEQTLNVISSFGVNPKKRSHSAETVLQSASSSGTSQAFSSYSNDDILVEECCHKRVKPNFDRSYGCNDQTSCSKDGFLSEMGGGGTASQLSRQKNERDEALSKTAILETPGNAEMFFFPVDPHPVDNNSSMPWKVHPLEEDQLCDKAPNLELALGAKTKPLMSGIPSFLSGKVEKKISEENTSDNAAASANKEDVSASLSLSLSFPFPEKEQGTSASKPEQEDPRRRQANTSLLLFGRPEG >itb06g03260.t1 pep chromosome:ASM357664v1:6:5554342:5554941:1 gene:itb06g03260 transcript:itb06g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIFHLSSSPDSSHHSSAPSCEDLHAQQLEEELQSIAFNEYEYDLRSIFKPRGILLFKHYRRGRH >itb06g22420.t1 pep chromosome:ASM357664v1:6:24701419:24704382:-1 gene:itb06g22420 transcript:itb06g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFHLLFVLCLIFSTLKISSARDTISLDDPLHENETIISSGGTFEMGFFRPSSTSLNRYVGIWYKKIIPKKTAVWVANRKAPLSTNASSVALKILSQSQQLLALLTDTNDVVLSVNTLSSSPISLRNPVMQLLDSGNLVVRDGGEENPESFLWQSFDYPTDTYLPGMKMGINFETGHEVYLSAWKSAEDPAPSEYTSNLLVTGYPQGIIRRGKAMIYRSGPWNGVKWSGIPSPDINPIYNYDLFINKTEIYTNFSLVNESVISVLYINYTGVVHRLMWVEGMRNWITIGKLPTDDCDRYGNCGGNGLCNIGNFPVCGCLDHFLPRNQAEWGMANFAGGCGRKRSLDCQSGKDSGGFLNYSGIKLPDTQNSWYNETMTLQECQQECWKNCSCTAFSSLDVRNGGSGCLIWFGDLVDIRQMAGRGQDIFIRLAASELVSGSGFRREHRKILEIVAVTLSSVIGLFLISGLSLMLYVRRKKKTKVLRDEDFELPVFHLRTIARATNNFSVDNKLGEGGFGPVYKGVLENGQEIAVKRLSKASVQGIHEFKNEVVFIAKLQHRNLVSILGCCIQGEEQMLIYEYMPNRSLDLFIFDPTKRKLLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDVDMNPKISDFGLAKSVAGNETGANTSRVVGTHGYMSPEYAVLGIFSIKSDVFSFGVSVLEIVSGMRNKGFSPEDQYHTLLGHAWKLYREGRSDDLVDYHLVVESGDLSQVLRSIHVGLLCVQQHPDDRPTMSSVVQMLSNDAVLPEPKEPGFFTQMGFTSAECSSSTPAASSLNEVSISLVDLS >itb15g22240.t18 pep chromosome:ASM357664v1:15:24967667:24973073:-1 gene:itb15g22240 transcript:itb15g22240.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t26 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t26 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t25 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t25 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t12 pep chromosome:ASM357664v1:15:24967658:24975774:-1 gene:itb15g22240 transcript:itb15g22240.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t23 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t23 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGSHNSHILSISYMKCHLIINFTFPLSFFFFWDLPGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t2 pep chromosome:ASM357664v1:15:24967658:24975793:-1 gene:itb15g22240 transcript:itb15g22240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGSHNSHILSISYMKCHLIINFTFPLSFFFFWDLPGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t13 pep chromosome:ASM357664v1:15:24967658:24975774:-1 gene:itb15g22240 transcript:itb15g22240.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t9 pep chromosome:ASM357664v1:15:24967658:24975774:-1 gene:itb15g22240 transcript:itb15g22240.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t14 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t11 pep chromosome:ASM357664v1:15:24967667:24973484:-1 gene:itb15g22240 transcript:itb15g22240.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t7 pep chromosome:ASM357664v1:15:24967667:24973484:-1 gene:itb15g22240 transcript:itb15g22240.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t24 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t24 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t15 pep chromosome:ASM357664v1:15:24967667:24973356:-1 gene:itb15g22240 transcript:itb15g22240.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t8 pep chromosome:ASM357664v1:15:24967667:24973484:-1 gene:itb15g22240 transcript:itb15g22240.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t3 pep chromosome:ASM357664v1:15:24967658:24975793:-1 gene:itb15g22240 transcript:itb15g22240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t1 pep chromosome:ASM357664v1:15:24967658:24975793:-1 gene:itb15g22240 transcript:itb15g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t22 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t22 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t19 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t19 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t6 pep chromosome:ASM357664v1:15:24967667:24973484:-1 gene:itb15g22240 transcript:itb15g22240.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t20 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t20 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t17 pep chromosome:ASM357664v1:15:24967658:24975774:-1 gene:itb15g22240 transcript:itb15g22240.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t4 pep chromosome:ASM357664v1:15:24967658:24975793:-1 gene:itb15g22240 transcript:itb15g22240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGSHNSHILSISYMKCHLIINFTFPLSFFFFWDLPGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t21 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t21 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGSHNSHILSISYMKCHLIINFTFPLSFFFFWDLPGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t27 pep chromosome:ASM357664v1:15:24967658:24973364:-1 gene:itb15g22240 transcript:itb15g22240.t27 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTDAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t10 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb15g22240.t5 pep chromosome:ASM357664v1:15:24967667:24975793:-1 gene:itb15g22240 transcript:itb15g22240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMGGNGKLVTMEIGSDGIALITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETYKLYSTLQYASVLCQWDSSLLHP >itb15g22240.t16 pep chromosome:ASM357664v1:15:24967658:24974078:-1 gene:itb15g22240 transcript:itb15g22240.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHNTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCNVRIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSEEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHSKFPHYIACLDVIEEGVISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNVNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVFEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTSSQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEERIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSKLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb14g00380.t1 pep chromosome:ASM357664v1:14:271758:273787:-1 gene:itb14g00380 transcript:itb14g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETPVEALADENTGKRDGENCEHSFILTDDTGLVCRICGLIQKSIETIIDYPFAKIEEDFPLYDFYSVRADNRSQQLGVLRQWAEKRSIMFLGYKQFSSIVCDLCSNKASAACQEILLKCPSILILDEGHTSRNQDTDIMSALAKVKTPRKVVLSGTLYQNHVNEVFNILNLVRPQFLKLERSRAIMRRILSTASIPAGRRNNKQQSSDSFFLDIVEKTLLNDSDFKRKASLVCDLREMTKDVLQYYKGDSLDDLLGLMDFTVFLKLHPKQKRSVDKLKMEGSKFKISSEGSAICVHHGLKDLSRVDDQRIDNTLADLEIREGVKAKFYLNLLNLCEAMGEKLLVFSQYLLPMKFLERLTINSKGYSTGKEIFSITGDSDSATRESSMELFNTSPQARVFFGSIKACGEGISLVGASRIVILDVHLNPSVTRQAIGRAFRPGQVKMVYVYRLVASGSPEEEDHSTCFKKESISKMWFEWNEYNGDPDFNMQPVDPQNCGDMLLETKRLNEDIVALYRR >itb11g02590.t1 pep chromosome:ASM357664v1:11:1321194:1324260:-1 gene:itb11g02590 transcript:itb11g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFECCLFALDSEVMEPSAEEYSAFEEKVKRTVYVDNLSPLVNESILRSAFGQFGNVVSVQFIPNYLEPRITSQAALIEVDNPKQAKGIISDITNSPFMVSGMPRPVRALPAEPEMFEDRPRKPGRKIECRWVEPGDPDFEVAQELVQLTRKHAAEVTFLLEREEEEEKKLSNQQAEALKSNYKKFELIDNAVADKTAQRLGARYNMRVSDA >itb11g02590.t2 pep chromosome:ASM357664v1:11:1321464:1324177:-1 gene:itb11g02590 transcript:itb11g02590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAEEYSAFEEKVKRTVYVDNLSPLVNESILRSAFGQFGNVVSVQFIPNYLEPRITSQAALIEVDNPKQAKGIISDITNSPFMVSGMPRPVRALPAEPEMFEDRPRKPGRKIECRWVEPGDPDFEVAQELVQLTRKHAAEVTFLLEREEEEEKKLSNQQAEALKSNYKKFELIDNAVADKTAQRLGARYNMRVSDA >itb11g02590.t3 pep chromosome:ASM357664v1:11:1321414:1324177:-1 gene:itb11g02590 transcript:itb11g02590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAEEYSAFEEKVKRTVYVDNLSPLVNESILRSAFGQFGNVVSVQFIPNYLEPRITSQAALIEVDNPKQAKGIISDITNSPFMVSGMPRPVRALPAEPEMFEDRPRKPGRKIECRWVEPGDPDFEVAQELVQLTRKHAAEVTFLLEREEEEEKKLSNQQAEALKSNYKKFELIDNAVADKTAQRLGARYNMRVSDA >itb10g25750.t1 pep chromosome:ASM357664v1:10:28940827:28946151:-1 gene:itb10g25750 transcript:itb10g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTIMGFCGFGVGVTTGLVIGYYSFIYFQPSDVKDPVIRPLVEQDTKALQKLLSEIPLWVKNPDYDRVDWINKFLEYMWPYLDKAICRTVKTIATPIIAEQIPKYKIDSVEFETLTLGSLPPTLQGMKVYVTDEKELIMEPSFKWAGNPNVTVAVKAFGLKATAQVIDLQIFAAPRITLKPLVPSFPCFATIYVSLMDKPHVDFGLKILGADLMSIPGLYRFVQEIIKDQVANMYLWPKTLEVQILDPSKAMKRPVGILHVKILRATQLRKKDLLGASDPYVKIKLTESKLPSKKTSVKHKNLNPEWNEEFSMVVKDPESQVLEIYVYDWEQVGKHEKMGMNVIPLKDLTPDDPKTMTLDLLKNMDPNDIQNNKSRGQIMMELTYKPFKEEDKPNNVESGTIEKAPEGTPPGGGVLAVLIHEAEDVEGKHHTNPYVRIIFKGEEKKTKQVKKSRDPRWDEEFTFMLEKPPVDDKLHIEVVSTSMRIGILHPKEILGYVDINLSDVVSNKRINEKYHLIDSKNGKIQIELTWNSAS >itb11g11510.t1 pep chromosome:ASM357664v1:11:8431098:8442562:-1 gene:itb11g11510 transcript:itb11g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSATPPSEKDLEEEVIDYGVRLFTPPSSNDELLSILDKVESLLVSVGQAPSETMRNALHPIMKALIGSELLGHSDEAVKVSVVLCLSEIMRITAPRQPYDDAVMKEIFQHIVGTFEKLSNVKSHCYSKAAQILDNVSKVRACVMLLDLECDTMVVDIIKLFLRIISSSHANDVFTNMEDIIIWIIKECDEVSGELLMPLVDSIKNENQTVSPVSWQLGQNVLEKCSSIIRPYVVEAVKSKSLDINDYAGILSSICHEVPEGEDKMENENGPLAACPVEVVSSAQVPPVLQQDDAPTSIDISNKNQESENSSKTLQRCHQIEHLKDTGPEHHSLEDRQAGSNTSGLPRKRGRKPLRKHDEASKESPLLPEDEKQTATAMLSPESAGTVHPVSTSSKGNKDGSTRKRGRPKKNQLDLSSKKGSEVTTTKAKRRRGSGKNEPSAQYNSEKSPDSMVVIKKEDEILSADKSSSPQNENLSKKTAKANCLSKENAGNREDTEKIAVIKASHGEELVGAKIRVWWPMDQKFYEGEISSFDPVKKKHKVVYADGDIEKLNLAKERWEMIKEIPSNEDHEADTPVSSAMDGRKKRKGDSSRKQKPAISSTKRSRRKVQGGSGSASAKSPKPDDFWVADKVNDNTATQGEQAGNNENTPPPKEETEAPVEPLETVNPGESPTPVA >itb10g17850.t1 pep chromosome:ASM357664v1:10:23950413:23952864:-1 gene:itb10g17850 transcript:itb10g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPLTTEIEKRNVMEEWEKESIKTASEEISTEVKTLIDSHDLDSLKQLQLLILGRLQDSNAVLSHFNDYSEHCFAEVSADFSRNTRLLKTMKSDLDYIFQKLRSMKAKILATYPDAFPDDSTAQALDSRPDLELPQ >itb01g07840.t1 pep chromosome:ASM357664v1:1:6207142:6213521:1 gene:itb01g07840 transcript:itb01g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASEIRSPLLVESSRDRWWKRAMDLDLEEAKHQILFALPMILTTASFYFIPLVSVMFAGHLGQLPLAASNLANSWAVVTGLSFMVGLSGALETLCGQGYGAKLYRMMGIYLQTSSIITFFFCVIVAIIWCFSDSILILLQQEPQIAHLAGLYLKFLIPGLFAYGFLQNLLRFLQTQTVVIPLVVCSMVPLVIHIGITYVLVRLTSLGYKGAPLAASISLWISFLMLGTYVMFSEKFKYTWEGFSSESFRHIWTTLKLALPSAAMVCMEYWAFELLVLLAGIMPNSEITTSVVAMCVNTEAIAYMIAYGLSAAASTRVSNELGAGNPNQAKHAMFVTLKLCILFAIATASALIFGHDVWAGLFSDSSLVISNFGSMTYLLVTSFIFDFVQGILSGVARGCGWQHLAMCINLATFYFIGMPIAALFAFKLKLYAKGLWIGLICGLVCQSAGLLMLSIFTKWNRVDLSTNNEKPSLA >itb04g25240.t1 pep chromosome:ASM357664v1:4:29865954:29870451:1 gene:itb04g25240 transcript:itb04g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTNSVAIPVENCVALKMMKSSVSRSWILFDKRGQSAILDLDKQGIMNRVPIHTRDLRILDPLLSYPSIILGREKVIVLNLEHIKAIITTEEVLLRDPLEDNVIPIVEELQRRLPLATGLGEGEDDEQPQPGIRPDNEQTEFPFEFRALEVALEGICSSLDTQTRDLETAAYPALDELTAKISSRNLDRVRKLKCGMTRLTSRVQKVRDELEQLLDDDDDMADLYLSRKLAAAVSSPVSCNVVHDWFLDSPTISSKLSRASRKSTTTSHGGIDVEELEMLLEAYFVQIESTMNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLTVYSMVAAIFGMNIPYQWNDNHGYLFKWVVIFTSMICVSLFISIIAYARHRGLVGS >itb04g25240.t3 pep chromosome:ASM357664v1:4:29866000:29868829:1 gene:itb04g25240 transcript:itb04g25240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTNSVAIPVENCVALKMMKSSVSRSWILFDKRGQSAILDLDKQGIMNRVPIHTRDLRILDPLLSYPSIILGREKVIVLNLEHIKAIITTEEVLLRDPLEDNVIPIVEELQRRLPLATGLGEGEDDEQPQPGIRPDNEQTEFPFEFRALEVALEGICSSLDTQTRDLETAAYPALDELTAKISSRNLDRVRKLKCGMTRLTSRVQKVRDELEQLLDDDDDMADLYLSRKLAAAVSSPVSCNVVHDWFLDSPTISSKLSRASRKSTTTSHGGIDVEELEMLLEVSA >itb04g25240.t2 pep chromosome:ASM357664v1:4:29865956:29870451:1 gene:itb04g25240 transcript:itb04g25240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTNSVAIPVENCVALKMMKSSVSRSWILFDKRGQSAILDLDKQGIMNRVPIHTRDLRILDPLLSYPSIILGREKVIVLNLEHIKAIITTEEVLLRDPLEDNVIPIVEELQRRLPLATGLGEGEDDEQPQPGIRPDNEQTEFPFEFRALEVALEGICSSLDTQTRDLETAAYPALDELTAKISSRNLDRVRKLKCGMTRLTSRVQKVRDELEQLLDDDDDMADLYLSRKLAAAVSSPVSCNVVHDWFLDSPTISSKLSRASRKSTTTSHGGIDVEELEMLLEAYFVQIESTMNKLTTLREYIDDTEDYINIQLDNHRNQLIQVPFLTQ >itb11g00520.t1 pep chromosome:ASM357664v1:11:228061:229530:1 gene:itb11g00520 transcript:itb11g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTGTLDKCKACDKTVYFVDLLTADGCIFHKSCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQTSKPERENSMTRGPTKVSAMFSGTQDKCAACTKTVYPLEKVTMEGEAFHRSCFKCAHGGCPLTHATYAALDGVLYCRHHFAQLFMEKGNYQHVLKAVHRRSASAASAETKTVMALDLAADVEDDTAEIEKQQPDSA >itb05g18750.t1 pep chromosome:ASM357664v1:5:25443624:25446822:-1 gene:itb05g18750 transcript:itb05g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIDADVWEPNPALYIFLFASSLLSILCFPVNSNPRASNVLDHSLSPSLSRFRRNFIFLFSISSVMEGLWAVFGEYELTHYGVSKDQMVLSLCIGCVAALFVGSFLGILSDLIGQKKVCLLFFMLHLFVAIWKRVNANPSVWIASICLSLASSIFFFNFETWMVVEHEQQGLRQDVLNEMFWLMSLVESASFIGSQVLGNWLIAGNAESITHSLPVAAAILAIVALIFASRGWKEFPQTAEYKNYQIKFHTLFADRRIWLLSWVQACVHFSSSVFWILWAPTMVADGREILLGLLYPCFLGARMLGSTAFPWFNGPLSLRTEEYLVYIFILMGSVLSIVAFDYQEIEALLILFCIFHACVGLVLPSLARLRTMFVPNELRAGMMSLSLFPSHAAFLFFLVQRSYCHNLENSTIIAAGALGLFTAAGCMHMLKRLGKQLHQDWHKS >itb13g17560.t1 pep chromosome:ASM357664v1:13:24548697:24550313:1 gene:itb13g17560 transcript:itb13g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVEVFHMKGGNGDTSYANNSLSQKSIILCTKPTREEAITDVYKSLCPETISIADLGCSSGPNTFLVVFDTIRAVEKLRKMTGNPSPEYVVHFSDLPSNDFNSIFRSLPRCVEDFKKEMGDGFGHCFFAGVGGSFYGRLFPSKSLHFVHSSNSLHWLSQIPKGSEENKDNICITASTPPNVIKAYCEQFESDFSIFLKSRSEELVTGGRMVLYFMGRKSESPKPTHGALKFMVLLAKVLKDLVTKGLVEEEKLNSFNFPIYAPSLKEVKMIVEKEGLFSINVLEGFTHDYIEHLKDAKAITNKMRAGVESLVVSHFGGGIIDQVFNKYEEMAGECMAEFEEEDFFIIVSLTKI >itb05g12220.t1 pep chromosome:ASM357664v1:5:18731287:18741507:1 gene:itb05g12220 transcript:itb05g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGNCKFSRNLEDGVESHPGDKSMCQIHSSHSLIQDGNQSVMNRINGDHVGSPLDVSGKSDDEQHDVSVPDAFENRMRRLSSVVGTSSNVQSDASSPESPYRRATSLPYSSGADLSWSAPPRARRGLGHSITAPASAHRNLILEGQEVVFSRSMTDKKITPRHELRLDRLSEREKQKLIVELVKIQRDGTVEVDLTESSPVASELLELHTLESRLPSIDKIVTDSNKFVPKLKIAVLVVGTRGDVQPFLAMAKRLQEFGHSVRLATHANFRDFVKSAGVDFYPLGGDPRVLAGYMARNKGFIPSTPGEITVQRKQLKAIIESLLPACTEPDLETGQPFRAQAIIANPPAYGHVHVAEALGVPLHIFFTMPWTPTYEFPHAFARVPQSAGYWLSYIVVDLLIWWGIRSYINDFRKKKLNLPPIAYFSMYYGSISHLPTGYLWSPHVVPKPQDWGPQVDVVGYCFLNLGSNYQPPEAFTQWIKRGSKPIYIGFGSMPLEDSKKTTDIIVEALKVTGQRGIIDRGWGGLGTLPEIPETVYKLSDCPHDWLFLQCSAVVHHGGAGTTAAGLRAGCPTTIVPFFGDQFFWGDRLHQRGLGPPPIPISQLTVEGLSEAIRFMLQPEVKSRVMELARLIDSDDGVAGAVDAFHRHLPTELPLPTPPSVEETDSPDPLQWLFIKIGSLCSLACGSKS >itb13g16820.t1 pep chromosome:ASM357664v1:13:23746107:23754938:1 gene:itb13g16820 transcript:itb13g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLISGSRRHLLHGVTGETCFTASPASRCHDLTASPENTRGARPREATEEPRLRLCESRSEEINQGIQNGILQQVLQLAPQLLADYFTKFLRLPKERGCTKGDWVKVVQPVKFVQGYNDIVLLSQTVGLKNSDAFFEKDGAGFKGQVKLTGWRNGDIDITNSTWTYQVGLKGEFLEAYAAKDNKYFRWKEFPHNSTPHIFSWYKTYFDAPVGTDPVAIDLSGMGKGQVWVNGQHIGRYWSLKAPEDGCNTCDYRGAFDADKCVTNCGQLTQSWYHIPRSWLQPSNNLLVIFEETNRAPLRISIEPRFTSTICSKVPQDHYPPLNAWSDGQLSSNSVAPELHLQCDDGHTISSIEFASYGTPQGHCQQFSQGNCHAASSSSLISQACLGKNSCSIQVSDAIFGDPCGNVIKTLAVQAKCSPPVETGFSDIGMSE >itb03g23530.t1 pep chromosome:ASM357664v1:3:21731730:21735492:1 gene:itb03g23530 transcript:itb03g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLMIVMSFVVVWCAINCRSSQININNDNCTCACGTIQNIKYPFRLAGDPTSCGLPDYQLSCVNNRTILLLNSNKSYLVLSINYTRLTIRLLDPGLENLNNHNNFNSCWPAFPRYTLMEADVPKQYSSSMNAYAIFLGCRNPAESPLYVKMDACSNNTTTTTLSHSYVLDGGSIGDIENSCSIAALAWYSSSRATPYRSTDEEEIGEALAWGFELFWFRFNCTTQYCISDNCFIRTDHSGAFINCHYYRTSCGLLSWPPHLEFNHKLYITLGRFSLGLLFLISIVVYKIRRRHLSAYDTIEDYLSGPNNLMPIRYSYFEIKRMTNNFKHKLGEGGYGTVFKGTLRSGPFVAVKMLGKSKATGQEFISEVATIGRIHHTNVVRLIGFCVEGSKRALLYEFMPNGSLDKYIFPKEENFSLSHEKMFEISLGVARGIDYLHRGCDMRILHFDIKSHNILLDENFSPKVSDFGLAKLYPVEDSLVSLTAARGTMGYMAPELCYKNIGGISHKADVYSFGMLLMEMVGRRKNLNPFVEQLSQIYFPSWVYEQLKDGKDIDMDDATEEEKKVSKKMIIVALWCIQMNPSERPSMKKVMEMLEEEDVELLEMAPKPFLSPDELPTDENSIADAQNVESPLLSDNPVSSSNLEVCEN >itb09g11650.t1 pep chromosome:ASM357664v1:9:7270834:7275005:-1 gene:itb09g11650 transcript:itb09g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQTEYAAKAVDNSGTVVAIKCKDGIVMGVEKLIASRMILPGSNRRIHSVHRHSGMAIAGLAADGRQIVARAKSEANSYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGVSYRYFGAAIGKGRQAAKTEVEKLKLSEMTCRQGVIEVAKIIYGIHDEAKDKAFELEMSWVCDESNRQHQKVPDQLLEEAKAAAAAALEEMDAD >itb12g26410.t1 pep chromosome:ASM357664v1:12:27336803:27344222:-1 gene:itb12g26410 transcript:itb12g26410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSTVQIVLFLAFTRFLVTGACFDSTCDRTGPLIRFPFWLQNLQPEQCGYPGFRLSCDSSNMTVLELPNSAPLTVQAIDYATQELWLNDPSDCLPSRLLTLNLSGSPFAGVYYQDFTLFNCSFDYRVYRLNPIACLSGQNYTVFATSSAKALRFLASSSCSLIATIAVPVQWSYFEQVLSSDLSDNIRLTWGAPSCGKCESQGGRCRLKSNSSNKIDCQISPSHRLPKGARYAIIIGAGIPAFLFFNALVCFLLRRIRVCWRRGRPEVEFTLSVVAPPRPVMTTGLDEPTIASYPRTVLGESRRLPNPEHNVCPICLSEYQPKDTLRTIPDCQHCFHADCIDEWLRLNAACPVCRNFPKSSAPVHALFRENAIKQLSLDGSLIPVMLKMARHCISTILCGQVSPFLLPHHFILQLLSLPVREAHSLKVEKILFKEQSEYQEVMVFESASYGKVLVLDGIVQLTEKDECAYQEMIVHLPLCSIKSPRKVLVCGGGDGGVLREISRHSSVEVIDICEIDKMVIDVSKKFFPELAIGFEDPRVRLHIGDAVEFLRNAPRGKYDAIIVDSSDPIARTISWSKQLTQSAIS >itb09g27710.t2 pep chromosome:ASM357664v1:9:27966084:27968416:-1 gene:itb09g27710 transcript:itb09g27710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTHFSAKPNLNSLPPLNNHRPVLARPLSSLSFTTPPPQHVPIKASSSFSPEFSVPKPANQETLKSPPGILQTLTQSHFSCAVVTTVAAAAVFLGRFCLRVDPAIAAPVSAPSTADSGAVSDAETEKALQERLAANPNDVAALQSLMESKIQNRNIGDAISIVNRLMELEPSETEWPLLRNHLYVLTGEFDAARRGFNEILAKEPLLVEAYHGLITAVSEDDQVEELKGIEERIVKAMKLCKSEDKKGELRDFKLLLAQIRAIEYDYEEALKVYQELVKEEPTDFRPYLYQAIMYTMLGKDKEAQKHFQMYRELLPEGHPYSSFLDNTMIASKLFAAAK >itb09g27710.t3 pep chromosome:ASM357664v1:9:27966485:27968416:-1 gene:itb09g27710 transcript:itb09g27710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTHFSAKPNLNSLPPLNNHRPVLARPLSSLSFTTPPPQHVPIKASSSFSPEFSVPKPANQETLKSPPGILQTLTQSHFSCAVVTTVAAAAVFLGRFCLRVDPAIAAPVSAPSTADSGAVSDAETEKALQERLAANPNDVAALQSLMESKIQNRNIGDAISIVNRLMELEPSETEWPLLRNHLYVLTGEFDAARRGFNEILAKEPLLVEAYHGLITAVSEDDQVEELKGIEERIVKAMKLCKSEDKKGELRDFKLLLAQIRAIEYDYEEALKVYQELVKEEPTDFRPYLYQAIMYTMLGKDKEAQKHFQMYRELLPEGHPYSSFLDNTMIASKLFAAAK >itb09g27710.t1 pep chromosome:ASM357664v1:9:27966084:27968416:-1 gene:itb09g27710 transcript:itb09g27710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFTHFSAKPNLNSLPPLNNHRPVLARPLSSLSFTTPPPQHVPIKASSSFSPEFSVPKPANQETLKSPPGILQTLTQSHFSCAVVTTVAAAAVFLGRFCLRVDPAIAAPVSAPSTADSGAVSDAETEKALQERLAANPNDVAALQSLMESKIQNRNIGDAISIVNRLMELEPSETEWPLLRNHLYVLTGEFDAARRGFNEILAKEPLLVEAYHGLITAVSEDDQVEELKGIEERIVKAMKLCKSEDKKGELRDFKLLLAQIRAIEYDYEEALKVYQELVKEEPTDFRPYLYQAIMYTMLGKDKEAQKHFQMYRELLPEGHPYSSFLDNTMIASKLFAAAK >itb10g04530.t2 pep chromosome:ASM357664v1:10:4289569:4291011:-1 gene:itb10g04530 transcript:itb10g04530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIIPLVEFAKRLITQHRFTATLILPAFQDDGPVSTAQIAFLQSHLPAGMDYLLLPPVPLHHLPPNARPVTRMCRVMDLSLPALREALKALMAAMMNIRRRLVALAVDLFSTCAFDVADELGIMRCVFYPSAAFTLSFTLYLPELDSSLQPGDEFRDLARPVQIPGFSVPVHGKDLIDPVQDRQDETYTWVLHHAKRLSLAQAIMVNSFNEAEESAVKALQKSEPGNPPVHHPVYLVGPLVRMDSTSSNNNNNNLVGGGSECLQWLDEQPERSVLFVSFGSGGTLSHQQLIELALGLEMSGQRFVLVVRSPSENSMAFFSTQHPGDPLSFLPQEFTQRTKNFGIVVPNWAPQHQILSHRSTGGFLTHCGWNSILESIAYGMPLVAWPLYAEQKMNALLLTEDLKVALRPKASENGLVGREEIARVVKGLMDSEEGKKMREKMGYLKDAGLKAIGDEDGSSTKALDQLVSIWKSKLAKTI >itb10g04530.t1 pep chromosome:ASM357664v1:10:4289569:4290114:-1 gene:itb10g04530 transcript:itb10g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRFVLVVRSPSENSMAFFSTQHPGDPLSFLPQEFTQRTKNFGIVVPNWAPQHQILSHRSTGGFLTHCGWNSILESIAYGMPLVAWPLYAEQKMNALLLTEDLKVALRPKASENGLVGREEIARVVKGLMDSEEGKKMREKMGYLKDAGLKAIGDEDGSSTKALDQLVSIWKSKLAKTI >itb09g13250.t1 pep chromosome:ASM357664v1:9:8597075:8598062:1 gene:itb09g13250 transcript:itb09g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPSNKQQISSSSSSPSSSSSSPTLSSMKLKTLLQTFIFSHLYRIARAVAKAKSILLHLLKDIHVVHLLHLPLMMKKSHKNKNTKLFLGSFRLHYNWCSSHVAPAPMPEVIAGAGAGAGAGGRVYYDPTWNSFLSAAFDREDPKELSGYLHWLEEKGRGGEENNGGRGGAGGDDIDRLADMFIANSHERFRLEKVESYRRFQEMLARSV >itb13g19040.t1 pep chromosome:ASM357664v1:13:26065126:26070057:1 gene:itb13g19040 transcript:itb13g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIQSSSISPPLQHLSSASNATLLVPRLTPNHKLQTISLTIHKHNEFRLSAPPSVSCRFPRTSAKRSSFRVFARKMEIFPLASKDLEESLPDLLTVEDITSVNRPFLFLSAFSYGSILWLTSAQVSHASEGVHVNMVYEIGELFELGIQLTYLLLLLALLGAGSFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAATKFLLQAIEKWDGDDQDLAQVYNALGVSYVREDKIDKGIAQFETAVKLQPGYVTAWNNLGDAYEKNKDMKNALKAFEEVLLFDPNNKVARPRRDALKDQVTMYKGVSVKSKKK >itb13g19040.t2 pep chromosome:ASM357664v1:13:26065126:26070057:1 gene:itb13g19040 transcript:itb13g19040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIQSSSISPPLQHLSSASNATLLVPRLTPNHKLQTISLTIHKHNEFRLSAPPSVSCRFPRTSAKRSSFRVFARKMEIFPLASKDLEESLPDLLTVEDITSVNRPFLFLSAFSYGSILWLTSAQVSHASEGVHVNMVYEIGELFELGIQLTYLLLLLALLGAGSFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAATKFLLQAIEKWDGDDQDLAQVYNALGVSYVREDKIDKGIAQFETAVKLQPGYVTAWNNLGDAYEKNKDMKNALKAFEEVLLFDPNNKVARPRRDALKDQVTMYKGVSVKSKKK >itb07g18590.t1 pep chromosome:ASM357664v1:7:23050981:23052792:1 gene:itb07g18590 transcript:itb07g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDEYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKADGS >itb14g19560.t1 pep chromosome:ASM357664v1:14:22150628:22155666:-1 gene:itb14g19560 transcript:itb14g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGRKIGSGSFGELYLGSNLQSREEVAIKLEPVNTRHPQLHYESKIYTLLQGGTGIPNLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHARGLLHRDIKPDNFLMGLSRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDFVFDWTILKNPQIGASSRGRNPSGNAGLNAGPSAERPGRMSCTVEALSRRNGPGRHGENSRQKSEDVPSSKDVQPDSERGRTSRNAISSKRAAISSSRPHAAEGIDGRPSRLVSSTGRSSTAQRLHPGTETKPRSGATKGTRDDPLRSFEFLNIRK >itb14g19560.t2 pep chromosome:ASM357664v1:14:22150628:22155666:-1 gene:itb14g19560 transcript:itb14g19560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGRKIGSGSFGELYLGSNLQSREEVAIKLEPVNTRHPQLHYESKIYTLLQGGTGIPNLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHARGLLHRDIKPDNFLMGLSRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDFVFDWTILKNPQIGASSRGRNPSGNAGLNAGPSAERPGRMSCTFLQTKDLMSTIYWTMTFMIFDYSIIRHR >itb15g05720.t1 pep chromosome:ASM357664v1:15:3692192:3693700:-1 gene:itb15g05720 transcript:itb15g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MEKRCRTGRPAPEEEDYSEEGQEHFFRCARLIFPYLQPSDLASVSSTCKAFRRVSDAITSLRIADASRSFENSPVPFVNAVDSQPYAYFLYTPNQTLPIATGEAQSWGGPDDGAGRVKPDPFLFRVEGARGCECRRSCGDGEGLGCPCWDSGEFPGQECGPSCGCGPECGNRLTQKGVSLGLKIVKDKRKGWSLCAAEMIPKGKFVCEYAGEVVTTEEARARLKLYDEASSTGHFSHALLVVKEHLQSGNICIRMNIDATNIGNIARFINHSCDGGNLCTVIVRSSGALLPRVCFFASRDVQEDEELSFSYGDVRLNPKGSQCFCGTSSCLGFLPSEHT >itb04g09940.t1 pep chromosome:ASM357664v1:4:9179166:9179714:-1 gene:itb04g09940 transcript:itb04g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLYVMFVLAVVVAHATARNIPDASAAVKPGGTQDLTVGPKGLEPATAPGAGVDDKKNLIVGGVGGWAGVGYVLPAIGGVAGGVGGASGLGGAGGLGGAGGGIGGASGLGGGIGGASGLGGLGGASGLGGLGGASGLGGLGGASGLGGLGGASGLGGLGGFGGASGIGGGIGGGIGKIIP >itb02g12590.t1 pep chromosome:ASM357664v1:2:8679120:8681102:-1 gene:itb02g12590 transcript:itb02g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENPQIMASQPFHTTPEEDPIVIFSTSNSSSPAKDSTASQSSSFVSSPPRQPPAAAPAYELRVRSLSHSIDPNESLIFRRFKKQKPIVKILKSVSFVARSSEILAVTGPSGTGKSTLLRIISGRVRDKDFDPKTIFLNNWAVSRPAQLRNICGFVAQEDDLLPLLTVRESLIFSSKFQLKNSTPKEREERVERLMQELGLVHVGNNFVGDEEQRGISGGERKRVSIGIELIHDPSILLLDEPTSGLDSTSALQVIEMLSSMATSKHRTIILSIHQPSYRILHYISNILILSHGSVVHSGSLELLEETINQMGFQIPLQLNALEFSMEIISDLEAHSTAQNINSAAYLRWEEAINHDQDKEIIGIGDYKHGSSNESWFTSLFEIAVLCSRFWKIIYRTKQLLLARTMQAIVGGLGLGSVYIKVKNDEGGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVIMKEASRASYKISSYMLANTLIFLPFLFAVAILFAIPVYWMVGLNPSLLAFAFFTLVVWLIVLMASSLVLFLSAISPDFISGNSLICTVLGAFFLFSGYFIPKECIPKYWIFMYYVSLYRYPLDALLTNEYWSLRDKCFSWSGEDESKCLLTGNDVLKSKGLDKDTRWENVGVMLAFFVFYRIICWIILARKAAKTTI >itb05g22700.t1 pep chromosome:ASM357664v1:5:28023744:28025543:1 gene:itb05g22700 transcript:itb05g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEETNPPACTTFSDDSSDLAIQRKHAAMLERLSNLHQSRLARKPDSDTNSAPDSTKSFLSRFSESKQSIDLELSRIHKTLDPQSDPNIKSELDKVSTSIADLEKQVAESSYFLPSYEVRSCLKTISDLRQTLEQVSSTVIPKKKFSFRNKATKKVTTSNDVIREAPSEINGIENVESQRLGFRAVDSPGFRDRENEVLVMEFGKAGAEEIGEFMLSDLRGCEVRLLGCVRALFVHRLTNCKVYVGPVTGSVLIEEVKGCVFVLASHQIRIHHATECDFYLRVRSRPIIEDCTGVRFAPYCLMYDGIERDLEKANLGEESGNWENVDDFRWLRAVHSPNWSVLPEKDRIRMVDISKLE >itb01g30730.t1 pep chromosome:ASM357664v1:1:34642437:34644925:1 gene:itb01g30730 transcript:itb01g30730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVNHDLLTCAAHSRPCLLGSFFAVNATPLYLLQDLMRSTREAKGKGDHSMNGQPKAVDAEGDDDDENGGGGFADGEGEFSDGEAQVNNANNSNENGKSSPGGEGGKSGENGEEEDGDDGEGEGHNNNDDDDNEEDEDNDDEDEGEDGEEEAAEQEHEDEEENEDEDDEDEEETLQPPKKRKK >itb04g11310.t1 pep chromosome:ASM357664v1:4:10877140:10880187:-1 gene:itb04g11310 transcript:itb04g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDRSSEQNAALLVKKMATLAAARSSANDLFKASKFAEAASMNTQGLGHDPCNSVLLYNRAACRFKLGLFEKALEDCTAALNLRPSSRQPTLVPSFHPISRDADWRLAARRASDADGERREQLRR >itb15g03410.t1 pep chromosome:ASM357664v1:15:2173626:2176744:1 gene:itb15g03410 transcript:itb15g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKKNPRVSDEDLEEEGEEASPNRESAHASSSSAANEKSLYEILGVERTASQQEIKRAYYKLALRLHPDKNPGDEEANEKFQQLQKVMSVLGDEEKRAVYDQTGCVDDADLAGDVVQNLKEFFQSMYKKVTEADIEEFEANYRGSDSEKKDLLDLYTKYKGNMSRLFCSMLCSDPKLDSHRFKDILDAGIAAGDLKSTKAYERWAKQISAIKPPTSPLRRKKKSKKDSEDLYAIIAQRQSERRGKMNSLFSSLVSKYGGDQSAPEPNEEEFEAARQKLERKKKKKSKGK >itb15g24040.t1 pep chromosome:ASM357664v1:15:26926240:26928610:1 gene:itb15g24040 transcript:itb15g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDEHHLLSTEIVNRGIEASGPDAGALTFSVRVRRRLPDFAQSVKLKYVKLGYYYVIKNWLCLAATVPLLTVVFGVEVGSEKVWGKIWENSGRPDDFGVVISLLGLLGFTLFIYFMAKPMPIYLLDFACCKPSDDLKVTKEQFIDIARKSGTFEEKSLEYLKRMLESSGIGDETYIPKSIGCPEKIKKLNNIRAEASQLIFEAIDKVLAKTKIRARDIGILVVNCNTFNPTPSLSAMIINHYKMRRNILSTNLGGMGCSAGIIALDLACDILRANPNRYALVVSTEIVSATWYSGNHRSMLIPNCFLRTGCSAVLLSNRRRDYRRAKYRLEHIVRTHQGAKHRAFRSIYQEEDDERIKGLKISKDLVEVGGEALKANITTLGPLVLPLTEQFYFFQTLIRRKLFGAKTKPYIPDYTIAFEHFCINAGSKMLLDELKRNLRLSEQNMEASRATLHRFGNTSSSSIWYELAYLEAKKRVKPGNRIWQLALGSGFKCNSAVWVTLQEAGNLSRFNPWLDCLDRYPQQAM >itb03g09350.t1 pep chromosome:ASM357664v1:3:7172596:7173943:1 gene:itb03g09350 transcript:itb03g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRTSSSSSGEEDGDADWRAAIDSLAATTAFSSSAAVNGGLHSGASTAHPTREDETDTPKPQLKHYQIKAQKLLEDIIEKTIEVVSDTGNVMLEEPIRSSEGGIRLFKHAPAGIVFDHTNELNQSRKKPRILPVPEIDERSKEFRHQIKSVAVDGTDIMVAAKDSSQKSLAKLEAKDAAAKAAKQREEERVAALKRIRGERWLPSIARDMQLNRKRS >itb03g09350.t2 pep chromosome:ASM357664v1:3:7172596:7173943:1 gene:itb03g09350 transcript:itb03g09350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRTSSSSSGEEDGDADWRAAIDSLAATTAFSSSAAVNGGLHSGASTAHPTREDETDTPKPQLKHYQIKAQKLLEDIIEKTIEVVSDTGNVMLEEPIRSSEGGIRLFKHAPAGIVFDHTNELNQSRKKPRILPVPEIDERSKEVCICSQNLIYAAFMTLSEPQFFCIAAIVVSSLDTRSNLLQLMGQT >itb10g09220.t1 pep chromosome:ASM357664v1:10:12292812:12293900:1 gene:itb10g09220 transcript:itb10g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPISISQLQITPQSNLLRKGGMAAKFVFTLSILYFLFRLSAAETYVSDNNLKSKLFPNVEITLANDYDRTIYFMCKNTDKDNTLHPLDAGQTYRFNFTQVAFPMKWCFLYINSHSFGFLWVYNMRSRCTKCFWSITNYPNLYRTDRVRWERQKLFMPPTFTIDNFLLPENNTPWG >itb12g16460.t1 pep chromosome:ASM357664v1:12:17559821:17565887:-1 gene:itb12g16460 transcript:itb12g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLILQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGKDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSTKKLAEDVKDKISRFCHVPVENVITLYDVSNIWHVPLLLRDQKAHEAILRVLNLKGVVKEPVFGEWIRRAELCDKLHDPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCVDWVPAGDLEDITAEENPDNYERAWKLLKGADAVLVPGGFGDRGVEGKILAAKYARRNRIPYLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTPNPCVIFMPEGSKTHMGGTMRLGSRRTYFQDLDCISAKLYGNKKSIDERHRHRYEVNPEMVEQLEDAGLRFTGKDESGSRMEIIELPTHPYFVGAQFHPEFKSRPGKPSALFLGLIAAACGQLDVLLKKEVPKVHSINSSNGKTLIKHKFTNGPILANASFEVYCNGNGIHV >itb05g20210.t1 pep chromosome:ASM357664v1:5:26392129:26397105:-1 gene:itb05g20210 transcript:itb05g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQSVDSTVRKHAEETLKQFQEQNLPGFLLSLSGELASEEKPVDSRKLAGLILKNALDAKEQHRKYELMQRWLSLDVAVKTQIKACLLQTLSSPVLDARSTASQVIAKVAGIELPHKQWPELISALLTSIHQVPAHLKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMNATEGNDIRLVATRALYNALGFAQANFNNDMERDFIMRVVCEATLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVIPFIEENITKPDWRQREGATYAFGSILEGPSPDKLTPIVNVALNFMLAALTKDPNSHVKDTTAWTLGRIFEFLHGSMVETPIITPANCQQIITVLLQSMKDSPNVAEKACGALYFLAQGYEDVGSSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHQTLEAQKLSSDEREKQGELQGLLCGCLQVIIQKLGASDPTKYVFMQYADQIMNLFLRVFACRSATVHEEAMLAIGALAYAAGPDFAKYMSEFYKYLEMGLQNFEEYQVCSVTVGVVGDICRALEDKILPFCDGIMTLLLKDLSSNQLHRSVKPPIFSCFGDIALAIGEQFEKYLMYAMPMLQSAAELSAHTSGGDDEMIEYTNLLRNGILEAYSGILQGFKNSPKTHLLIPYAPHILQFLDSIYMEKDMDDVVTKTAIGVLGDLADTLGSNAGSLIQQSASSKDFLNECLSSEDHLIKESAEWAKLAITRAISV >itb12g27730.t1 pep chromosome:ASM357664v1:12:27966771:27971221:-1 gene:itb12g27730 transcript:itb12g27730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNSATSDKLTETDWMKNIKICELVAHDHRQAKDVIKAIKKRLGSKSPTTQLFAVTLLEMLMNNIGDPVHKQVIDTGILPVLVKIVKKKSDVPVREKIFLLLDAAQTSVGGASGRFPQYYSAYYELVSAGVKFPERPNAVAKEHRPSSNDNRVNPCESKPSQLEKNVPEAEPQKVSDSSILHKANAALEVLRDVLDAVDIQHPEGARDEFTLDLVEQCSFQKQRVMHLAMTSRDEMIVSRAVELNEQLDTVLKRHDALLAAKPTGVSTHANYEQSEEEEPEQLFRRMRKGKALLQPEDEDRPSGLLGASIPGNMLLHRPLIRPVSSEQQKLERDLGRAAAAIPPPPAKHAEREKFFQENKSDGSALSGHMRGLSLHSRNASSSGSMDFSD >itb12g27730.t3 pep chromosome:ASM357664v1:12:27966771:27971219:-1 gene:itb12g27730 transcript:itb12g27730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNSATSDKLTETDWMKNIKICELVAHDHRQAKDVIKAIKKRLGSKSPTTQLFAVTLLEMLMNNIGDPVHKQVIDTGILPVLVKIVKKKSDVPVREKIFLLLDAAQTSVGGASGRFPQYYSAYYELVSAGVKFPERPNAVAKEHRPSSNDNRVNPCESKPSQLEKNVPEAEPQKVSDSSILHKANAALEVLRDVLDAVDIQHPEGARDEFTLDLVEQCSFQKQRVMHLAMTSRDEMIVSRAVELNEQLDTVLKRHDALLAAKPTGVSTHANYEQSEEEEPEQLFRRYVICCRGTHRQSHVANMLCCL >itb12g27730.t5 pep chromosome:ASM357664v1:12:27966771:27971219:-1 gene:itb12g27730 transcript:itb12g27730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNSATSDKLTETDWMKNIKICELVAHDHRQAKDVIKAIKKRLGSKSPTTQLFAVTLLEMLMNNIGDPVHKQVIDTGILPVLVKIVKKKSDVPVREKIFLLLDAAQTSVGGASGRFPQYYSAYYELVSAGVKFPERPNAVAKEHRPSSNDNRVNPCESKPSQLEKNVPEAEPQKVSDSSILHKANAALEVLRDVLDAVDIQHPEGARDEFTLDLVEQCSFQKQRVMHLAMTSRDEMIVSRAVELNEQLDTVLKRHDALLAAKPTGVSTHANYEQSEEEEPEQLFRRYVICCRGTHRQSHVANMLCCL >itb12g27730.t2 pep chromosome:ASM357664v1:12:27966771:27971221:-1 gene:itb12g27730 transcript:itb12g27730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNSATSDKLTETDWMKNIKICELVAHDHRQAKDVIKAIKKRLGSKSPTTQLFAVTLLEMLMNNIGDPVHKQVIDTGILPVLVKIVKKKSDVPVREKIFLLLDAAQTSVGGASGRFPQYYSAYYELVSAGVKFPERPNAVAKEHRPSSNDNRVNPCESKPSQLEKNVPEAEPQKVSDSSILHKANAALEVLRDVLDAVDIQHPEGARDEFTLDLVEQCSFQKQRVMHLAMTSRDEMIVSRAVELNEQLDTVLKRHDALLAAKPTGVSTHANYEQSEEEEPEQLFRRMRKGKALLQPEDEDRPSGLLGASIPGNMLLHRPLIRPVSSEQQKLERDLGRAAAAIPPPPAKHAEREKFFQENKSDGSALSGHMRGLSLHSRNASSSGSMDFSD >itb12g27730.t4 pep chromosome:ASM357664v1:12:27966771:27970873:-1 gene:itb12g27730 transcript:itb12g27730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNSATSDKLTETDWMKNIKICELVAHDHRQAKDVIKAIKKRLGSKSPTTQLFAVTLLEMLMNNIGDPVHKQVIDTGILPVLVKIVKKKSDVPVREKIFLLLDAAQTSVGGASGRFPQYYSAYYELVSAGVKFPERPNAVAKEHRPSSNDNRVNPCESKPSQLEKNVPEAEPQKVSDSSILHKANAALEVLRDVLDAVDIQHPEGARDEFTLDLVEQCSFQKQRVMHLAMTSRDEMIVSRAVELNEQLDTVLKRHDALLAAKPTGVSTHANYEQSEEEEPEQLFRRMRKGKALLQPEDEDRPSGLLGASIPGNMLLHRPLIRPVSSEQQKLERDLGRAAAAIPPPPAKHAEREKFFQENKSDGSALSGHMRGLSLHSRNASSSGSMDFSD >itb14g07250.t1 pep chromosome:ASM357664v1:14:6653159:6664354:-1 gene:itb14g07250 transcript:itb14g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLVVLLLLCVSSIGRSDASSISGEVVGISPQDEEYYGALSSGVAIKCKDGSGKFTKSQLNDDFCDCLDGSDEPGTSACPNGKFYCKNAGHSPLFIYSSRVNDGICDCCDGSDEYAGKTKCSNTCWEAGKEARAKLKKKVATYREGVVIRKREVEQAKVAIAKEEAELSKLKNEEKTLKELVEQLKVHKEQIEKAEEKERLRKEKEEKERKEVEESKLEETKTQEKSENHEEALKDDIDEKIGLLDNSPAIENGVEDHDITVDKVDNNDNSIIDKSSGDEASQVPEKYPESITEEESSAADHKAGPSRTSEEKDAIENMESLSKEELGRLVGSRWTGKKSEEKTEETEAAKDDHHDDHDEAINDPDEEYEAYNSEDDGEHKYEDDDAEDQEDFGGEDHHDSSSSYKSESDDDLDLSDLTSTSNPSWLEKIKQNVWRLFEAVNFFRTPVNISDATRVRKDYDESSAKLSKIQSRISSLTQKLKHDFGPDKEFYSFYGQCFEIKQNKYTYKICPFKEATQVEGYSTTRLGNWDKFEDSYRTMQFSDGDKCWNGPNRSLKVKLRCGLKNEAVDVDEPSRCEYLAFLSTPALCHEEKLQELQNKLEMMSKEQPQGHDEL >itb14g07250.t2 pep chromosome:ASM357664v1:14:6653159:6664354:-1 gene:itb14g07250 transcript:itb14g07250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLVVLLLLCVSSIGRSDASSISGEVVGISPQDEEYYGALSSGVAIKCKDGSGKFTKSQLNDDFCDCLDGSDEPGTSACPNGKFYCKNAGHSPLFIYSSRVNDGICDCCDGSDEYAGKTKCSNTCWEAGKEARAKLKKKVATYREGVVIRKREVEQAKVAIAKEEAELSKLKNEEKTLKELVEQLKVHKEQIEKAEEKERLRKEKEEKERKEVEESKLEETKTQEKSENHEEALKDDIDEKIGLLDNSPAIENGVEDHDITVDKVDNNDNSIIDKSSGDEASQVPEKYPEQSITEEESSAADHKAGPSRTSEEKDAIENMESLSKEELGRLVGSRWTGKKSEEKTEETEAAKDDHHDDHDEAINDPDEEYEAYNSEDDGEHKYEDDDAEDQEDFGGEDHHDSSSSYKSESDDDLDLSDLTSTSNPSWLEKIKQNVWRLFEAVNFFRTPVNISDATRVRKDYDESSAKLSKIQSRISSLTQKLKHDFGPDKEFYSFYGQCFEIKQNKYTYKICPFKEATQVEGYSTTRLGNWDKFEDSYRTMQFSDGDKCWNGPNRSLKVKLRCGLKNEAVDVDEPSRCEYLAFLSTPALCHEEKLQELQNKLEMMSKEQPQGHDEL >itb01g12070.t1 pep chromosome:ASM357664v1:1:11494364:11497782:1 gene:itb01g12070 transcript:itb01g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPFSFINVSKGKEEFNGKSSSKNIAEIFAVAEIVVMLYRESLASKQRIRVGCISPYKAQVYAIEEKLDKKYSTDENSCSVVYSCGSLFDSPVPNGKAAMKPIIHFQTWRIGILFFALGNCLNFISFGFAAQFPFLNQLSSPGSRVSQVVDIGSSRGGSAVVPSTRQIRKVSPVTSVGFVDSSDVLDRLF >itb10g21330.t1 pep chromosome:ASM357664v1:10:26605004:26605474:-1 gene:itb10g21330 transcript:itb10g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKALLLLAILMSIAITTLSSTQHKDEEEEEAAGDGGLLNAAEPPQFLRGTGRFLAQKGSKEKKVEKARNLTCNKNPRICRAKGSPGPDCCKKKCVDVMNDRQNCGLCGKKCRYNEMCCRGKCVSTLFDKNNCGGCRKKCKKGTTCVYGMCSYA >itb10g25220.t4 pep chromosome:ASM357664v1:10:28618196:28620323:-1 gene:itb10g25220 transcript:itb10g25220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWWIGFSCLWQHNTKYYYEVGMGYTTRTFWFVTPPQVGPDVSHTFGIIGDLGQSFDSNRTLTHYELNPIKGDAVLYVGDLCYADNYPNHDNGRWDTWARFVERCVAYQPWIWTTGNHEIDFAPEIGETKPFKPFTHRYQVPYKASNSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQTQWLEEELPKVNRNETPWLIVLVHSPLYNSYQYHFMEGETMRVMYEPWFVKYKVDIVFSGHVHAYERSERVSNVAYNIVNGRCSPVRDLSAPMYITIGDGGNIEGLAYEMTEPQPQYSAFREASFGHATLEINNRTHAYYSWHRNEDGYAVQADSMWVSNRVWHPVDDSTTAKQ >itb10g25220.t3 pep chromosome:ASM357664v1:10:28618706:28621043:-1 gene:itb10g25220 transcript:itb10g25220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDVALMVAVILGLILNAAVICSAGITSIFLRKIEKTVDMPLDSDVFQAPPGYNAPQQVHITQGDHVGKAMIVSWVTMDEPGSSVVSYWSENSNHKLEATGKVTTYKFYTYTSGYIHHCTIRHLEHNTKYYYEVGMGYTTRTFWFVTPPQVGPDVSHTFGIIGDLGQSFDSNRTLTHYELNPIKGDAVLYVGDLCYADNYPNHDNGRWDTWARFVERCVAYQPWIWTTGNHEIDFAPEIGETKPFKPFTHRYQVPYKASNSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQTQWLEEELPKVNRNETPWLIVLVHSPLYNSYQYHFMEGETMRVMYEPWFVKYKVDIVFSGHVHAYERSERVSNVAYNIVNGRCSPVRDLSAPMYITIGDGGNIEGLAYE >itb10g25220.t2 pep chromosome:ASM357664v1:10:28618167:28621043:-1 gene:itb10g25220 transcript:itb10g25220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDVALMVAVILGLILNAAVICSAGITSIFLRKIEKTVDMPLDSDVFQAPPGYNAPQQVHITQGDHVGKAMIVSWVTMDEPGSSVVSYWSENSNHKLEATGKVTTYKFYTYTSGYIHHCTIRHLEHNTKYYYEVGMGYTTRTFWFVTPPQVGPDVSHTFGIIGDLGQSFDSNRTLTHYELNPIKGDAVLYVGDLCYADNYPNHDNGRWDTWARFVERCVAYQPWIWTTGNHEIDFAPEIGETKPFKPFTHRYQVPYKASNSTAPFWYSIKRASAYIIVLSSYSAYGKNSAL >itb10g25220.t1 pep chromosome:ASM357664v1:10:28618167:28621043:-1 gene:itb10g25220 transcript:itb10g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDVALMVAVILGLILNAAVICSAGITSIFLRKIEKTVDMPLDSDVFQAPPGYNAPQQVHITQGDHVGKAMIVSWVTMDEPGSSVVSYWSENSNHKLEATGKVTTYKFYTYTSGYIHHCTIRHLEHNTKYYYEVGMGYTTRTFWFVTPPQVGPDVSHTFGIIGDLGQSFDSNRTLTHYELNPIKGDAVLYVGDLCYADNYPNHDNGRWDTWARFVERCVAYQPWIWTTGNHEIDFAPEIGETKPFKPFTHRYQVPYKASNSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQTQWLEEELPKVNRNETPWLIVLVHSPLYNSYQYHFMEGETMRVMYEPWFVKYKVDIVFSGHVHAYERSERVSNVAYNIVNGRCSPVRDLSAPMYITIGDGGNIEGLAYEMTEPQPQYSAFREASFGHATLEINNRTHAYYSWHRNEDGYAVQADSMWVSNRVWHPVDDSTTAKQ >itb04g05460.t1 pep chromosome:ASM357664v1:4:3544695:3552090:1 gene:itb04g05460 transcript:itb04g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MSDSKMLLFGSFTEDETKSWFTQSSGNTETKTFDKKVSQDDSSKSAPELSFGSFSTASIMKPDSSKGQESRNTHEERARGVKPVADISSVVLQQNGGIPNAITNGNEGSPVVKNVNITSLCISENQNKASSQFHKATCSDLSSNGENSNGMINDSARMPHSEDLKSSDGPLYIGDLLPRGLINSGNLCFLNATVQALLSCTPFVEILQRLRVRNISKVEYPTIAAFSGFIAHFTIPSSSIATKKDVNDIEIGMPFSPTMFEMVLKNFTPDVPATFSGRPRQEDAQEFLCFIMHQMHDELLKLEGQSNLDGGKSSLVSLEEDDGWETVGPKNKSAVTRMQNFVPSQLSTIFGGQLRSVVKVRGNKASATVQPFLLLHLDISHEAVHTIQDALHLFSAPETLEEYRTSPMGKAEIGTARKSISIQTLPRIMILHLKRFGYGSHGSTKLHKPVQFPLELVISRDLLVASTNESRKYELVSTITHHGREASRGHYTADCRYPGGKWLRFDDATVTAVSTIKVLHDQAYILFYRQV >itb06g17540.t1 pep chromosome:ASM357664v1:6:21364745:21367564:1 gene:itb06g17540 transcript:itb06g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAARRLFPALRRCNAQLNISSNRALGETQSPRFFNSVVSENIPNQSSTHSHYESSSPSTASNASSARNDDETARGYEKDGKSGSRTKSSRPKAHYQDEQARVLTASLPHVIRLGWTEAAMIAGARDVGVSPSIVGSFASKEAALVEFFMDDCLERLIDIIDAREDLKTMIPSERVATLIRLRLEMQAPYLSKWAQALSIQAQPLNIPTSFRQRAMLIDEICHAAGDEADDIDWYVKRTVLGGMYSTTELYMLTDHSPDFRDTWKFLDARIRDAFDLRKTANEAKNLAEAVGAGMGGSLQGLMKSIFRG >itb06g17540.t2 pep chromosome:ASM357664v1:6:21364746:21367440:1 gene:itb06g17540 transcript:itb06g17540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAARRLFPALRRCNAQLNISSNRALGETQSPRFFNSVVSENIPNQSSTHSHYESSSPSTASNASSARNDDETARGYEKDGKSGSRTKSSRPKAHYQDEQARVLTASLPHVIRLGWTEAAMIAGARDVGVSPSIVGSFASKEAALVEFFMDDCLERLIDIIDAREDLKTMIPSERVATLIRLRLEMQAPYLSKWAQALSIQAQPLNIPTSFRQRAMLIDEICHAAGDEADDIDWYVKRTVLGGMYSTTELYMLTDHSPGSLTFLPMHFVPECFLHIIHSSHAISALRSVNACFIDHGMLNLQNKT >itb02g05610.t2 pep chromosome:ASM357664v1:2:3436464:3441308:-1 gene:itb02g05610 transcript:itb02g05610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESNLNLLRSESISAQSPAAGPSWLLIELTLQDGKLSQQFVGLDTTKGSAGINLVAVKNTPGISETFQILRNSDDRNRVRIKASNGFFLQAKTEQLVTADSNGNEGWGDNDPSVFIMKNTGGLHGEFQVTNGYGPFVAPIVMKNHWDTFIVEEDFKFISSNGLNTVRIPVGWWIAYDPNPPKPFVGGSLRALDNAFLWATKYGLKVIIDLHAAPGSQNGWEHSASRDGSQEWGNSQDYIRQTVAVIDFLTARYAQNPSLYAVELLNEPRAPGVSLEAVTEYYKAGYAAVRKHSSTAYVVMSNRLGASNTELLAMAGALKGTVVDVHYYNLYIDLFKDMTAQQNIDYVYNDRRAELSVVTTSNGPLSFVGEWVAEWDVKNPTKEDYQRYAKAQLEVFGRATFGWAYWTLKNVNNHWSLEWMIKNGYITL >itb02g05610.t1 pep chromosome:ASM357664v1:2:3436464:3441308:-1 gene:itb02g05610 transcript:itb02g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNYVFLLVCCILSVSQAKVAAQAPSNGFRVRAVNLGGWLVTEEWIKPSLFNAIPNKDLLDGTGIQFKSVTVGKYLCAESGGGTILVANRTDPSGWETFSLWRINENTFNLRVFNRQFVGLDTTKGSAGINLVAVKNTPGISETFQILRNSDDRNRVRIKASNGFFLQAKTEQLVTADSNGNEGWGDNDPSVFIMKNTGGLHGEFQVTNGYGPFVAPIVMKNHWDTFIVEEDFKFISSNGLNTVRIPVGWWIAYDPNPPKPFVGGSLRALDNAFLWATKYGLKVIIDLHAAPGSQNGWEHSASRDGSQEWGNSQDYIRQTVAVIDFLTARYAQNPSLYAVELLNEPRAPGVSLEAVTEYYKAGYAAVRKHSSTAYVVMSNRLGASNTELLAMAGALKGTVVDVHYYNLYIDLFKDMTAQQNIDYVYNDRRAELSVVTTSNGPLSFVGEWVAEWDVKNPTKEDYQRYAKAQLEVFGRATFGWAYWTLKNVNNHWSLEWMIKNGYITL >itb05g14610.t1 pep chromosome:ASM357664v1:5:21770100:21772411:-1 gene:itb05g14610 transcript:itb05g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQARRDAKSSPVSSASVHARKGGQRRSLVGPTHSNVGFGGLEKIREESEEGEDEHSSPSRKISENNSKAAVKKGQPVKKAAFSIKFGRLTEAEHLAAGWPGWLSAVAGEAVEGWLPLRSDQFQRLEKIGQGTYSSVYKARDLDNGKMVALKKVRFDNFQPESVRFMAREITVLRRLDHPNIMKLEGIITSRSSCSIYLVFEYMEHDLSGLLSCPDVKFSDSQIKCFMKQLLSGLEHCHSRGVMHRDIKVSNILVNNEGILKIGDFGLANFVSARSKQPMTSRVVTLWYRPPELLLGSTRYGETVDLWSAGCVFAELFFGRPVLKGRTEVEQLHKIFKLCGSPSDDYWEQSKLPLAAIFKPQFAYESTLRERCKELPKSAVNLIETLLSIEPYKRGTASSSLNSEYFHTKPYACDPSSMPKFPPNREIDAKFRDEARR >itb03g09340.t2 pep chromosome:ASM357664v1:3:7168653:7171952:-1 gene:itb03g09340 transcript:itb03g09340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMSNLVAQAIKELNIKTKNWKELLTDEPFTKEDIITIQNPNALDTRVLVDFDHVKKSLKVDDEELKKMQSEPTYNINVTGDIKQMLKDLGTEKAKEIALHGGGGSKAQNERAAALTAILDARSRIKDKSDENGEGQPKQTFSIVDAASASVHGRSAAAAKSASGDKAAARIALHMAGERTPVNAKLVKSRFTSGAASRSFTSTSYDPVTKNEYEYVKVEKNPKKKGYVRLQTTHGDLNIELHCDMTPRTCENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHSNGSQFFILYKSANHLNYKHSVFGMVVGGLTTLSAMEKVPVDDNDRPLEEIKIIDVEVYVNPYAESDDEEDGEKPEDKIEDEDNDKVGSWYSNPGTGTDTLAVGGGVGKYLKARNVQADSNSLPPVPSSKKRKVGTSTEFKNFSGW >itb03g09340.t1 pep chromosome:ASM357664v1:3:7168519:7172535:-1 gene:itb03g09340 transcript:itb03g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGAKSKEIRTPFKRLPFYCCALTFTPFEDPVCSEDGSVFDLMNIMPYIRKYGKHPVTGAPLKLEQLIPLTFHKNTEGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFTKEDIITIQNPNALDTRVLVDFDHVKKSLKVDDEELKKMQSEPTYNINVTGDIKQMLKDLGTEKAKEIALHGGGGSKAQNERAAALTAILDARSRIKDKSDENGEGQPKQTFSIVDAASASVHGRSAAAAKSASGDKAAARIALHMAGERTPVNAKLVKSRFTSGAASRSFTSTSYDPVTKNEYEYVKVEKNPKKKGYVRLQTTHGDLNIELHCDMTPRTCENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHSNGSQFFILYKSANHLNYKHSVFGMVVGGLTTLSAMEKVPVDDNDRPLEEIKIIDVEVYVNPYAESDDEEDGEKPEDKIEDEDNDKVGSWYSNPGTGTDTLAVGGGVGKYLKARNVQADSNSLPPVPSSKKRKVGTSTEFKNFSGW >itb13g04700.t1 pep chromosome:ASM357664v1:13:5593150:5594525:1 gene:itb13g04700 transcript:itb13g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRQITIKGPAIQLYNFTEAISISRRPPEKLFKILDLHDALSDLLHNIEIVFESKSSESIRVQETEILSRLGGTVRGILSEFENAVLRKPSRVHVSGGTIHPLTRLWLNCRSSICSAAAWRTHTTSQMLCRRSDGWVSGYPSTTAGVIKGELVEVGENIFRTNRPGRAEQDEPSCTRPPMDILEMNPEQIDPVEQSKTSPVGPRVPGWTVEHCA >itb15g11410.t1 pep chromosome:ASM357664v1:15:9232035:9233991:-1 gene:itb15g11410 transcript:itb15g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQHHTVYNDEDLEADDDEESLDRPPTVLDEEDDDEEDVEDDSTSSSNLAAPDPLRPAPPPPAAVMPSSADVTIAVASVRADPIQLNSKRHLDNITVAAATTTVAVVEDKKPSAAFDDSRKLFQRLWTDEDEIELLQGFLEYTAQRGATNSSHHHDTTAFYDQIKSKLQLEFNKNQLVEKLRRLKKKYRNVVSKIGGGKEYVFKSAHDQATFEISSKIWSNCGSAIRGGPVGPTAVVDDGGYEDDYANPNPNQIPNFIDHSPNLNSNSVDMKTPRSRKRSRAGAPVKVEEKQGLIQQHYQPPPSANVATPIANTTAATPQTVMATPIPSLIEETVKSCLSPVFKELLNNVSHLNGGSKGFGLGLALSPIPLGFGGGPLGAEIMADEKWRKQQILELEVYSKRLALVQDQIKAQLEELRSMGS >itb01g26720.t1 pep chromosome:ASM357664v1:1:31810723:31818351:1 gene:itb01g26720 transcript:itb01g26720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCLPGLISADRGCHASVISDRDGHLPFPAVEIVPSKTAHPYTYAGENVDLQGINIVKGRISVADIIGFTGTEMISSKPDGYLKCWDGSLDLVNVLKHEIRDGQLSFRGKRVIELSCGYGLPGIFACLKGASTVHFQDRNAEGIRCTTIPNVLVNLEEARERQCRQPESPLTPSRQTLAPTVNFYAGEWGELPTVLSVVRNDASEIPRGMSLSFSEEDLMDGCSSQDGSILVHESSSRRSRKLSGSRAWERASDADQGESGYDVILMTEIPYSVASLKKLYSLIKKCLRPPYGVMYLATKRNYVGFNSAARHLRSLVDEEGVFGAHLVTELTDREIWKFFFK >itb12g20920.t1 pep chromosome:ASM357664v1:12:23331952:23333535:-1 gene:itb12g20920 transcript:itb12g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNARGTSISDLPSSFGSLRYLNYVALGQKYPFAEWNFAMEHNECLTSSNANLFPFYGLGAPYQCLQHMLQLHKSHGSYLASLLTHLHLNHSNFDTIPFGLCNIPQLYDLRLNNCQNLRVIQNLPPSLLYLSAKDCPLLEKVQDLSGLSRLQHLVLPNCSNLVELRGVDNLVYNEEFDIRNCSALTSNSWTSVNLFKARFQNPNPQWFIVRASKDMVQEYLCSSVVAGSSGLPVFLTKKRIFVLVMISCYFHCPCFLYYKITDKQSKFEDEAFCLENNADPGCEFRLLYDHFTEPNKIEELEVVVEIDSLEEGVEDNLSIETCCIVHEEEGDEVFFLPINPKKVIKFNSESPYSMETVVVTITHTVSSPQP >itb05g12490.t1 pep chromosome:ASM357664v1:5:19053312:19060840:1 gene:itb05g12490 transcript:itb05g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKELQIDDQHVTLQIWDTAGQERFQSLGVAFYRGADCCVMVYDVNVMRSFDSLDNWHDEFLKQANPADPTGFPFILLGNKIDVDGGNSRVVSEKKAKEWCSSKGTIPYFETSAKEDINVDAAFLCIAKTALANTHEQDIYLQGIPEAIPESETRGGCAC >itb13g06340.t1 pep chromosome:ASM357664v1:13:7607655:7609672:-1 gene:itb13g06340 transcript:itb13g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSKLPLSPLFTPIEEGNEEDEYSQGRSSSRAESTPSEYKHRPTPLHRCSEKRGSKKRSESVGGDEDSRGGVVCNKCRPSNRERISVVPLDNNNGHGLNRNSLASPNGIFKSIFSGLVKKSPSTRLSEDGSSREEQWKIAVAELSHKLIQATRKRDEAVLEASRLKYSMAELERKLNKLEVYCHNLKSGLEVCTNNNNNNNNNSQHVNFQCIKVGDQERVIQHFLVAVSDARSSIRLLSRSLTLQLRQIGAGKIHDRISQLLQPYDIKISPSRNSRGGLLYLEALMSRAFFEDFETVGFQKSSCNPVLNPLDRCAANFAAFDRLQGLKWEEVLSKGTRFFSEDFSKFCDRKMSEIVAMLSWNRAWPEPLLQAFFNASKAVWLVHLLANSVHPGLPIFRVDNKSRFDPVYMEDKGGDRARKLVPTMVRIMVTPGFYIYDNVVKCKVLCRYNNSSSGCNLDAGAAANDNNNIVLTPSPT >itb07g13930.t1 pep chromosome:ASM357664v1:7:16260634:16269164:1 gene:itb07g13930 transcript:itb07g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQASTILQMWRELEGERVVSNSVARYVDRDGQLRSEGSNPDSVISFELDGQGSINGNDSVEDANETENEYGAFEQIVLENEHHDGTSVVSDQSTDFTEIERERVRQIFKEWKNNGVKSHSMNNTIHINNQSRAEWLGENECERVRVVREWVQMNIQQRGTNTSHRDERCAEIGSQIEQVRDGMPVNRSETGERRGLRRLCGRQALLDLLLKAQRQRKKELQWLMERRPVSDFAYRNRIQSLLRGRFLRNERSVLDEKPASVAASELGVLRQRQTVSDLREGFLSKLDDGSNCQVNSSQSNGCPNADHDDFETIESQSNNGQDVIDECYYQDKLAADKGGEINGSHTVGNSEVEIVAELNQLEALVQTVETSEQSLEYEGHVQETSNFQFNSTVHDQEQDSIQNSGEDDLNEGSQGIVAEEDSEGQGLHGHEISDQYDAPNEINDVHEVHGVDVLERNSINDFGWQGIATPAEESQELVTEHEETNLQQLSTEEFREWSEVAQEETSGGWEESVADQWYQESQENDVEEQSHVQESHNEWHDNDGLQEEIDDWLDEHSTHNAAPVRSVDAFYIPDDDNVYSMELRELLSRRRVSNLLQSGFRESLDQLIQSYVERQGHASFDWEINGTSSSPGDIEQDHQQDNGNEDEDELNGIERDRLDMTSPDSLSLPIWEQGLPDSNLFHHNPHQHPGMEWEIINELRINMVRLHQRMDNMQRMLEACMEMQVELQRSVRQEVSAALNRFAPKIVWCHQPDVDVCDDVHLNDVSKWDKVRKGICCLCCNSNIDSLLYRCGHMCTCSKCAEKLVQGQGKCPMCRAPVIEVIRAYCIQ >itb07g13930.t5 pep chromosome:ASM357664v1:7:16260972:16268758:1 gene:itb07g13930 transcript:itb07g13930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQASTILQMWRELEGERVVSNSVARYVDRDGQLRSEGSNPDSVISFELDGQGSINGNDSVEDANETENEYGAFEQIVLENEHHDGTSVVSDQSTDFTEIERERVRQIFKEWKNNGVKSHSMNNTIHINNQSRAEWLGENECERVRVVREWVQMNIQQRGTNTSHRDERCAEIGSQIEQVRDGMPVNRSETGERRGLRRLCGRQALLDLLLKAQRQRKKELQWLMERRPVSDFAYRNRIQSLLRGRFLRNERSVLDEKPASVAASELGVLRQRQTVSDLREGFLSKLDDGSNCQVNSSQSNGCPNADHDDFETIESQSNNGQDVIDECYYQDKLAADKGGEINGSHTVGNSEVEIVAELNQLEALVQTVETSEQSLEYEGHVQETSNFQFNSTVHDQEQDSIQNSGEDDLNEGSQGIVAEEDSEGQGLHGHEISDQYDAPNEINDVHEVHGVDVLERNSINDFGWQGIATPAEESQELVTEHEETNLQQLSTEEFREWSEVAQEETSGGWEESVADQWYQESQENDVEEQSHVQESHNEWHDNDGLQEEIDDWLDEHSTHNAAPVRSVDAFYIPDDDNVYSMELRELLSRRRVSNLLQSGFRESLDQLIQSYVERQGHASFDWEINGTSSSPGDIEQDHQQDNGNEDEDELNGIERDRLDMTSPDSLSLPIWEQGLPDSNLFHHNPHQHPGMEWEIINELRINMVRLHQRMDNMQRMLEACMEMQVELQRSVRQEVSAALNRFAPKIDVDVCDDVHLNDVSKWDKVRKGICCLCCNSNIDSLLYRCGHMCTCSKCAEKLVQGQGKCPMCRAPVIEVIRAYCIQ >itb07g13930.t3 pep chromosome:ASM357664v1:7:16261451:16269081:1 gene:itb07g13930 transcript:itb07g13930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQASTILQMWRELEGERVVSNSVARYVDRDGQLRSEGSNPDSVISFELDGQGSINGNDSVEDANETENEYGAFEQIVLENEHHDGTSVVSDQSTDFTEIERERVRQIFKEWKNNGVKSHSMNNTIHINNQSRAEWLGENECERVRVVREWVQMNIQQRGTNTSHRDERCAEIGSQIEQVRDGMPVNRSETGERRGLRRLCGRQALLDLLLKAQRQRKKELQWLMERRPVSDFAYRNRIQSLLRGRFLRNERSVLDEKPASVAASELGVLRQRQTVSDLREGFLSKLDDGSNCQVNSSQSNGCPNADHDDFETIESQSNNGQDVIDECYYQDKLAADKGGEINGSHTVGNSEVEIVAELNQLEALVQTVETSEQSLEYEGHVQETSNFQFNSTVHDQEQDSIQNSGEDDLNEGSQGIVAEEDSEGQGLHGHEISDQYDAPNEINDVHEVHGVDVLERNSINDFGWQGIATPAEESQELVTEHEETNLQQLSTEEFREWSEVAQEETSGGWEESVADQWYQESQENDVEEQSHVQESHNEWHDNDGLQEEIDDWLDEHSTHNAAPVRSVDAFYIPDDDNVYSMELRELLSRRRVSNLLQSGFRESLDQLIQSYVERQGHASFDWEINGTSSSPGDIEQDHQQDNGNEDEDELNGIERDRLDMTSPDSLSLPIWEQGLPDSNLFHHNPHQHPGMEWEIINELRINMVRLHQRMDNMQRMLEACMEMQVELQRSVRQEVSAALNRFAPKIVWCHQPDVDVCDDVHLNDVSKWDKVRKGICCLCCNSNIDSLLYRCGHMCTCSKCAEKLVQGQGKCPMCRAPVIEVIRAYCIQ >itb07g13930.t2 pep chromosome:ASM357664v1:7:16260634:16269164:1 gene:itb07g13930 transcript:itb07g13930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQASTILQMWRELEGERVVSNSVARYVDRDGQLRSEGSNPDSVISFELDGQGSINGNDSVEDANETENEYGAFEQIVLENEHHDGTSVVSDQSTDFTEIERERVRQIFKEWKNNGVKSHSMNNTIHINNQSRAEWLGENECERVRVVREWVQMNIQQRGTNTSHRDERCAEIGSQIEQVRDGMPVNRSETGERRGLRRLCGRQALLDLLLKAQRQRKKELQWLMERRPVSDFAYRNRIQSLLRGRFLRNERSVLDEKPASVAASELGVLRQRQTVSDLREGFLSKLDDGSNCQVNSSQSNGCPNADHDDFETIESQSNNGQDVIDECYYQDKLAADKGGEINGSHTVGNSEVEIVAELNQLEALVQTVETSEQSLEYEGHVQETSNFQFNSTVHDQEQDSIQNSGEDDLNEGSQGIVAEEDSEGQGLHGHEISDQYDAPNEINDVHEVHGVDVLERNSINDFGWQGIATPAEESQELVTEHEETNLQQLSTEEFREWSEVAQEETSGGWEESVADQWYQESQENDVEEQSHVQESHNEWHDNDGLQEEIDDWLDEHSTHNAAPVRSVDAFYIPDDDNVYSMELRELLSRRRVSNLLQSGFRESLDQLIQSYVERQGHASFDWEINGTSSSPGDIEQDHQQDNGNEDEDELNGIERDRLDMTSPDSLSLPIWEQGLPDSNLFHHNPHQHPGMEWEIINELRINMVRLHQRMDNMQRMLEACMEMQVELQRSVRQEVSAALNRFAPKIDVDVCDDVHLNDVSKWDKVRKGICCLCCNSNIDSLLYRCGHMCTCSKCAEKLVQGQGKCPMCRAPVIEVIRAYCIQ >itb07g13930.t4 pep chromosome:ASM357664v1:7:16261451:16269081:1 gene:itb07g13930 transcript:itb07g13930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTQASTILQMWRELEGERVVSNSVARYVDRDGQLRSEGSNPDSVISFELDGQGSINGNDSVEDANETENEYGAFEQIVLENEHHDGTSVVSDQSTDFTEIERERVRQIFKEWKNNGVKSHSMNNTIHINNQSRAEWLGENECERVRVVREWVQMNIQQRGTNTSHRDERCAEIGSQIEQVRDGMPVNRSETGERRGLRRLCGRQALLDLLLKAQRQRKKELQWLMERRPVSDFAYRNRIQSLLRGRFLRNERSVLDEKPASVAASELGVLRQRQTVSDLREGFLSKLDDGSNCQVNSSQSNGCPNADHDDFETIESQSNNGQDVIDECYYQDKLAADKGGEINGSHTVGNSEVEIVAELNQLEALVQTVETSEQSLEYEGHVQETSNFQFNSTVHDQEQDSIQNSGEDDLNEGSQGIVAEEDSEGQGLHGHEISDQYDAPNEINDVHEVHGVDVLERNSINDFGWQGIATPAEESQELVTEHEETNLQQLSTEEFREWSEVAQEETSGGWEESVADQWYQESQENDVEEQSHVQESHNEWHDNDGLQEEIDDWLDEHSTHNAAPVRSVDAFYIPDDDNVYSMELRELLSRRRVSNLLQSGFRESLDQLIQSYVERQGHASFDWEINGTSSSPGDIEQDHQQDNGNEDEDELNGIERDRLDMTSPDSLSLPIWEQGLPDSNLFHHNPHQHPGMEWEIINELRINMVRLHQRMDNMQRMLEACMEMQVELQRSVRQEVSAALNRFAPKIDVDVCDDVHLNDVSKWDKVRKGICCLCCNSNIDSLLYRCGHMCTCSKCAEKLVQGQGKCPMCRAPVIEVIRAYCIQ >itb02g20550.t1 pep chromosome:ASM357664v1:2:18562719:18562994:-1 gene:itb02g20550 transcript:itb02g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNLMIVAVLMLLLAEAHFSSAACDVQQLTPCLSALTSNTNPSQLCCKRLNQQKPCFCQYLKNPSLKMYLNSPAAKKAAKTCKVSIPKC >itb13g15190.t1 pep chromosome:ASM357664v1:13:21906609:21917187:1 gene:itb13g15190 transcript:itb13g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGFGQSKEFLDLIKSIGEARSKAEEDRIVCNEIETLKRRIIEPDIPKRKMKEFIMRLVYVEMLGHDASFGYIHAVKMTHDDNLLFKKTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCSALNAVCKLINEDTIPAVLPQVVELLGHTKEAVRKKAVMALHRFYQKSPSSIHHLISNFRKRLHDNDPGVMAAALCPLFDLIKSDINSYKDLVVSLVNILKQVAERRLPKSYDYHNMPAPFIQIKLLKILALLGSGDKKASENMFTIVGDVMRKCDLTTNIGSAILYECICCVSSIHPSPKLLESAADAISKILKTDNHNLKYLGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIEYMININDYHYRTEIASRCVELAEQFAPSNQWFILTMNKVFENAGDLVNMKTVHNLMRLIAEGFGEDDNTADTQLRSSAVESYLQIMGEPKLPSAFLQVICWVLGEYGTAGGKYSAAYITGKICDVAEAHSTDDIVKAYAVSALTKIYSFEIAAGRKVGMLPECQSFVEELLASNSADLQQRAYELQGLISLDAHAAESIMPVDANCEDIEVDRKLSFLNGYVQESLEKGAQPYIPESERSGRMSLGNFRNQERDGNPSCSLKFKAYDLPKPLLPSRVSQVSQAFSTEFVPVHEPSHHPEAHEAVASKASVSDSGSSEIKLRLDGVQRKWGMPTYSAVTPSTSDSEAIKTQNGATHSDGMSSMNSKARESSYDSRRKDIEIDPEKKKFAASLFGSTSTSERSRSSSHQSLKANNRAAEKFHMDNNATSDTGADKTAPEPPPDLLDLGNLPSNSAPLVDPFKQLEGLVDLNQGPSAPVSGGVVNNLSSGPGNANLMSDGNGHRASTIPQPTPFCKGPNQRDALEKDALVRQMGVMPSTENPILFKDLLG >itb14g16900.t1 pep chromosome:ASM357664v1:14:20272180:20273967:-1 gene:itb14g16900 transcript:itb14g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCTSGCKCGSGCGGCGMFPDVENVKTVTLIQGVAPVNNNTFEGAEMGAEGGDGCNCGSGSCSCGPACNCDPCKC >itb07g06380.t4 pep chromosome:ASM357664v1:7:4537094:4540856:-1 gene:itb07g06380 transcript:itb07g06380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQEELALTQMRKSVEKLGSSTESYGDPTLMRFLIARAMDPEKAGKMFVQWRQWRAAFVPLGYIPDSEVPDELEARKICLQGLSKNGFPVMIVRGCNHFPAKDQLQFKKFVVHLLDKTLASSLKDGEIGKEKLIGILDLQHISYKNIEARALITGFQFLQAYYPERLAKFYLLHMPLFFVAVWKLVSRFLEKATLEKVCSYMCMSSSSVFHCFLSYRYLYGRTEYSILSRPICSYT >itb07g06380.t1 pep chromosome:ASM357664v1:7:4532136:4540856:-1 gene:itb07g06380 transcript:itb07g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQEELALTQMRKSVEKLGSSTESYGDPTLMRFLIARAMDPEKAGKMFVQWRQWRAAFVPLGYIPDSEVPDELEARKICLQGLSKNGFPVMIVRGCNHFPAKDQLQFKKFVVHLLDKTLASSLKDGEIGKEKLIGILDLQHISYKNIEARALITGFQFLQAYYPERLAKFYLLHMPLFFVAVWKLVSRFLEKATLEKIVIVSNEEERLQFMREVGEDVLPEEYGGNSKFVAMQDVVVNPLAVEG >itb07g06380.t2 pep chromosome:ASM357664v1:7:4536624:4540884:-1 gene:itb07g06380 transcript:itb07g06380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQEELALTQMRKSVEKLGSSTESYGDPTLMRFLIARAMDPEKAGKMFVQWRQWRAAFVPLGYIPDSEVPDELEARKICLQGLSKNGFPVMIVRGCNHFPAKDQLQFKKFVVHLLDKTLASSLKDGEIGKEKLIGILDLQHISYKNIEARALITGFQFLQAYYPERLAKFYLLHMPLFFVAVWKLVSRFLEKATLEKIVIVSNEEERLQFMREVGEDVLPEEYGGNSKFVAMQDVVVNPLAVEG >itb07g06380.t3 pep chromosome:ASM357664v1:7:4536624:4540595:-1 gene:itb07g06380 transcript:itb07g06380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIARAMDPEKAGKMFVQWRQWRAAFVPLGYIPDSEVPDELEARKICLQGLSKNGFPVMIVRGCNHFPAKDQLQFKKFVVHLLDKTLASSLKDGEIGKEKLIGILDLQHISYKNIEARALITGFQFLQAYYPERLAKFYLLHMPLFFVAVWKLVSRFLEKATLEKIVIVSNEEERLQFMREVGEDVLPEEYGGNSKFVAMQDVVVNPLAVEG >itb09g23110.t1 pep chromosome:ASM357664v1:9:22277044:22280897:1 gene:itb09g23110 transcript:itb09g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHLFFWLSIVLLAHPSPCLMDPDTDISVLMAIKSALDPQNLVLTSWSPNATHPCNFEGIACNELGQVVNISLQGKGLYGKIPPEIAQINTLSGLYLHFNHLHGVVPREIANLTHLLDLYLNVNHLSGSIPSEIANITTLQVLQLCYNKLSGNIPNQLGSLKNLTVLALQSNHLTGAIPATLGDLTLLTRLDLSFNHLFGSIPAKLANAQKLQVLDIRNNTLSGNVPLALKRLNEGFQYTNNPGLCGIGFSSLKLCTNSALNPSKPEPFGPGSNHLPTKDIPESANVQPHALNKPRKLQTSVVVVGVIGLIVAFFATGLLTFSWYRRRKQKIGSTIDTSDSRLSTDQAKEVSRRSASPLINLEYSNGWDPLAKARNGNAAFSQEVLESFTFNLDEVESATHYFSETNLLGKSGFSAVYKGILRDGSSVAIKCISKSSCKSDEAGFRKGLKLLTSLKHENLLRLRGFCCSKDRGECFLIYNFVSNGSLLQYLDVKDNKDRVLDWSTRVSIIKGIARGIEYLHGNKGNKPALVHRNISAEKVLIDHRYNPLLSDSGLHKLLADDIVFSTLKGSAAMGYLAPEYTTTGRFTEKSDIYAFGVTIFQILSGKIMVTHLNHQGAELGRYEEFIDAKLQRNFVESEAAKLGKMALLCIHESPSQRPSIETLMQEINDIRD >itb12g06110.t1 pep chromosome:ASM357664v1:12:4555105:4559109:1 gene:itb12g06110 transcript:itb12g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATCCCLRDDCDDFANPNSSIYRNCICMRYLLQSLLHVYTSLFHREPRSITSSTQATASLSSAVSLDNSLSDMYRSPPRPLPYDADPRYFRLQRDGLVSRREKGSSHSHEETEPLRRGDIDDETDSLSTGNKWDASCEEGSKDYNSKSSLKLSTAKTTTGFAHIYYSSSSSEDEDACPTCLEEYTEENPKIILKCSHHFHLGCIYEWMERSDNCPVCGKEMAFDETTDLA >itb03g19030.t1 pep chromosome:ASM357664v1:3:17161756:17163395:-1 gene:itb03g19030 transcript:itb03g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGERDHSYLEAQVTTWYSGDQTANIQFSSSPRDNAYAGEEFSPSIQNDAALRAFQRYLPSNSSDGEFDGGKDGEFPADAYSCDQFRMYEFKVRKCARGRSHDWTECPFAHPGEKARRRDPRACHYSGTACPDFRKGNCRKGDACEFAHGVFECWLHPARYRTQPCKDGMNCKRRVCFFAHSPEQLRVLSPRYESYDGSPHRFGFDAAKTIHFVSSPESGSPPSESPPLSPMTAANSVNSLSRSLGSNYVSDMVASLRQLQLNKVKSMPSSWTVQIGSPVHGSPVLPVTRPGFRSLPSTPTQCIPRSHFDLWEESLREEEDEIPMERVESGRGLRARMFQKLSEENPLDGYPNPSDAPNPDVGWVSDLIN >itb06g20390.t2 pep chromosome:ASM357664v1:6:23379934:23383045:1 gene:itb06g20390 transcript:itb06g20390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQRLFVISSRKNTSNTSPLSFGPFNSATQIRWKKPADTAQTRLENRIRDLKLDCITAQHCQLNLVLNLHRLVSAKKRGHFISVQSLSKWAPHAGIKTLTAGALLRKYPHVFEVFTHPAKHNRCCRFTSKFVGLIREEEDIIAQLEEEAVIRIKKLLLMSVNGTLHLHALRMIRNELGLPENFRESIIRKFETEFKMVDLEIVELVDRDTRSPCLAAEVEKWREKEYTDRWLSEFEVKYAFPINFPTGFMKAPGFREKLRNWQRLSYVKPYERMEVVKLRTCGGVERYEKRAVGIIHELLSLTIEKMVPVERLVHFRKDLGIEVNIRELLLKHPGIFYISTKGNTQLVYLREAYSKGSLIEPNPVYDVRRKLLELMLMGSRNTRELKLQREVKDDIDNVKATENRSSSADDDFVIPILESFDDQ >itb06g20390.t5 pep chromosome:ASM357664v1:6:23380005:23383045:1 gene:itb06g20390 transcript:itb06g20390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQRLFVISSRKNTSNTSPLSFGPFNSATQIRWKKPADTAQTRLENRIRDLKLDCITAQHCQLNLVLNLHRLVSAKKRGHFISVQSLSKWAPHAGIKTLTAGALLRKYPHVFEVFTHPAKHNRCCRFTSKFVGLIREEEDIIAQLEEEAVIRIKKLLLMSVNGTLHLHALRMIRNELGLPENFRESIIRKFETEFKMVDLEIVELVDRDTRSPCLAAEVEKWREKEYTDRWLSEFEVKYAFPINFPTGFMKAPGFREKLRNWQRLSYVKPYERMEVVKLRTCGGVERYEKRAVGIIHELLSLTIEKMVPVERLVHFRKDLGIEVNIRELLLKHPGIFYISTKGNTQLVYLREAYSKGSLIEPNPVYDVRRKLLELMLMGSRNTRELKLQREVKDDIDNVKATENRSSSADDDFVIPILESFDDQ >itb06g20390.t3 pep chromosome:ASM357664v1:6:23379934:23383045:1 gene:itb06g20390 transcript:itb06g20390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQRLFVISSRKNTSNTSPLSFGPFNSATQIRWKKPADTAQTRLENRIRDLKLDCITAQHCQLNLVLNLHRLVSAKKRGHFISVQSLSKWAPHAGIKTLTAGALLRKYPHVFEVFTHPAKHNRCCRFTSKFVGLIREEEDIIAQLEEEAVIRIKKLLLMSVNGTLHLHALRMIRNELGLPENFRESIIRKFETEFKMVDLEIVELVDRDTRSPCLAAEVEKWREKEYTDRWLSEFEVKYAFPINFPTGFMKAPGFREKLRNWQRLSYVKPYERMEVVKLRTCGGVERYEKRAVGIIHELLSLTIEKMVPVERLVHFRKDLGIEVNIRELLLKHPGIFYISTKGNTQLVYLREAYSKGSLIEPNPVYDVRRKLLELMLMGSRNTRELKLQREVKDDIDNVKATENRSSSADDDFVIPILESFDDQ >itb06g20390.t1 pep chromosome:ASM357664v1:6:23379934:23383045:1 gene:itb06g20390 transcript:itb06g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQRLFVISSRKNTSNTSPLSFGPFNSATQIRWKKPADTAQTRLENRIRDLKLDCITAQHCQLNLVLNLHRLVSAKKRGHFISVQSLSKWAPHAGIKTLTAGALLRKYPHVFEVFTHPAKHNRCCRFTSKFVGLIREEEDIIAQLEEEAVIRIKKLLLMSVNGTLHLHALRMIRNELGLPENFRESIIRKFETEFKMVDLEIVELVDRDTRSPCLAAEVEKWREKEYTDRWLSEFEVKYAFPINFPTGFMKAPGFREKLRNWQRLSYVKPYERMEVVKLRTCGGVERYEKRAVGIIHELLSLTIEKMVPVERLVHFRKDLGIEVNIRELLLKHPGIFYISTKGNTQLVYLREAYSKGSLIEPNPVYDVRRKLLELMLMGSRNTRELKLQREVKDDIDNVKATENRSSSADDDFVIPILESFDDQ >itb06g20390.t4 pep chromosome:ASM357664v1:6:23380500:23383045:1 gene:itb06g20390 transcript:itb06g20390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQRLFVISSRKNTSNTSPLSFGPFNSATQIRWKKPADTAQTRLENRIRDLKLDCITAQHCQLNLVLNLHRLVSAKKRGHFISVQSLSKWAPHAGIKTLTAGALLRKYPHVFEVFTHPAKHNRCCRFTSKFVGLIREEEDIIAQLEEEAVIRIKKLLLMSVNGTLHLHALRMIRNELGLPENFRESIIRKFETEFKMVDLEIVELVDRDTRSPCLAAEVEKWREKEYTDRWLSEFEVKYAFPINFPTGFMKAPGFREKLRNWQRLSYVKPYERMEVVKLRTCGGVERYEKRAVGIIHELLSLTIEKMVPVERLVHFRKDLGIEVNIRELLLKHPGIFYISTKGNTQLVYLREAYSKGSLIEPNPVYDVRRKLLELMLMGSRNTRELKLQREVKDDIDNVKATENRSSSADDDFVIPILESFDDQ >itb13g19280.t1 pep chromosome:ASM357664v1:13:26270253:26280772:-1 gene:itb13g19280 transcript:itb13g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIKHQNQKLSQKLEAQKIEIAALESKFIEQKEKQQPYDSTLSLVQNSWEELVDELKARANHLKDFSICLKDLIKHKDRFTQEDGSSPAGGDAFMSELLQTDEKDSSSSVDTTSIVVEDKKIDDDKTMDLVSNAVAAVDDLWYIKDKLYTAVLKSLSDDGLIPAESSHEVKMEVKNLRQTLNELHVKHITLTGELQSHRDADAKNKAEIKRLRGELEKTIADLEESNRKLAILKAEKDVTKGAFFPVLNVGNKHVAGDKVRDKQKDMQDMESTLKELLEQSSFRLLELKHLHQERIEILKNLSNLQNMLKNVKYICSSHSYVLIKEQLEKTKADLAQYQSMYKKIQVEKDNLSWREKEMNLKNDLLDVLHRSSTVADSRINDLEMEIQKHKRERNLIEMRLEEASREPGRKEIISEFKTLVSSFPEEMGSMQNQLRKYKETAANVHSLRADVQSLSNILNQKVEQLEKLSAISVAQDTEMLKLQAIVQDLKENAVELNLFLDMFRRESPYNRDVLEARDSEFRAWASVQSLKTSLDEQNLETRVKTSIEAEARSQQKLAATEAEIAELRQKLEVSKREQSRLSEALKSKHEETEAYLSEIETIGQAYDDMQNQNQQLLQQITERDDYNIKLVMEGIRARQQQDALLKENQTLEKAIQENKATCNFYELKAARIDDQLRTCSDQVQRLAEDRVQNRATLESSQKRLIDVKKSSQQQREALEELQSKVDKSRVDLADQQIDLEKERFQRKRAEEDVEALRRKTTLLRSQIEGLSVIEKHQQKLREYKEILNCSVCHDRRKEVVITKCYHLFCNPCILKVIEARHRKCPVCAASFGANDVKPVYI >itb13g19280.t3 pep chromosome:ASM357664v1:13:26270261:26278559:-1 gene:itb13g19280 transcript:itb13g19280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNLRQTLNELHVKHITLTGELQSHRDADAKNKAEIKRLRGELEKTIADLEESNRKLAILKAEKDVTKGAFFPVLNVGNKHVAGDKVRDKQKDMQDMESTLKELLEQSSFRLLELKHLHQERIEILKNLSNLQNMLKNVKYICSSHSYVLIKEQLEKTKADLAQYQSMYKKIQVEKDNLSWREKEMNLKNDLLDVLHRSSTVADSRINDLEMEIQKHKRERNLIEMRLEEASREPGRKEIISEFKTLVSSFPEEMGSMQNQLRKYKETAANVHSLRADVQSLSNILNQKVEQLEKLSAISVAQDTEMLKLQAIVQDLKENAVELNLFLDMFRRESPYNRDVLEARDSEFRAWASVQSLKTSLDEQNLETRVKTSIEAEARSQQKLAATEAEIAELRQKLEVSKREQSRLSEALKSKHEETEAYLSEIETIGQAYDDMQNQNQQLLQQITERDDYNIKLVMEGIRARQQQDALLKENQTLEKAIQENKATCNFYELKAARIDDQLRTCSDQVQRLAEDRVQNRATLESSQKRLIDVKKSSQQQREALEELQSKVDKSRVDLADQQIDLEKERFQRKRAEEDVEALRRKTTLLRSQIEGLSVIEKHQQKLREYKEILNCSVCHDRRKEVVITKCYHLFCNPCILKVIEARHRKCPVCAASFGANDVKPVYI >itb13g19280.t2 pep chromosome:ASM357664v1:13:26270253:26280771:-1 gene:itb13g19280 transcript:itb13g19280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIKLDTAVLQHQNQKLSQKLEAQKIEIAALESKFIEQKEKQQPYDSTLSLVQNSWEELVDELKARANHLKDFSICLKDLIKHKDRFTQEDGSSPAGGDAFMSELLQTDEKDSSSSVDTTSIVVEDKKIDDDKTMDLVSNAVAAVDDLWYIKDKLYTAVLKSLSDDGLIPAESSHEVKMEVKNLRQTLNELHVKHITLTGELQSHRDADAKNKAEIKRLRGELEKTIADLEESNRKLAILKAEKDVTKGAFFPVLNVGNKHVAGDKVRDKQKDMQDMESTLKELLEQSSFRLLELKHLHQERIEILKNLSNLQNMLKNVKYICSSHSYVLIKEQLEKTKADLAQYQSMYKKIQVEKDNLSWREKEMNLKNDLLDVLHRSSTVADSRINDLEMEIQKHKRERNLIEMRLEEASREPGRKEIISEFKTLVSSFPEEMGSMQNQLRKYKETAANVHSLRADVQSLSNILNQKVEQLEKLSAISVAQDTEMLKLQAIVQDLKENAVELNLFLDMFRRESPYNRDVLEARDSEFRAWASVQSLKTSLDEQNLETRVKTSIEAEARSQQKLAATEAEIAELRQKLEVSKREQSRLSEALKSKHEETEAYLSEIETIGQAYDDMQNQNQQLLQQITERDDYNIKLVMEGIRARQQQDALLKENQTLEKAIQENKATCNFYELKAARIDDQLRTCSDQVQRLAEDRVQNRATLESSQKRLIDVKKSSQQQREALEELQSKVDKSRVDLADQQIDLEKERFQRKRAEEDVEALRRKTTLLRSQIEGLSVIEKHQQKLREYKEILNCSVCHDRRKEVVITKCYHLFCNPCILKVIEARHRKCPVCAASFGANDVKPVYI >itb06g23570.t2 pep chromosome:ASM357664v1:6:25463858:25467160:1 gene:itb06g23570 transcript:itb06g23570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRITSRVSRTVLTQCRNSLIFHARQHQQQSPILSNRFHSLCYPQNKIIPGHVSLLRDSTLNHSAFQRFGMSSSASTQSDEKETAQARNGQGNAVESDAAASANGNAEVPDETNKSGSDMGPNTNTSENIKRRRRSTKQTLFSDSDSDLDVEDLSRDDLVKLIVEKEEKLNAKQKELEEMKDKALRTYAEMENTMDRNRRESENTKKFAVQNFAKSLLDVADNLGRASSVVKESFSKIDTANDNTGAVPLLKTLLEGVEMTEKQLAEVFKKFGVTKYDPINEEFDPNKHNAVFQVPDPSKPPGTVAIVLKSGYMLHDRIIRPAEVGVTQAVEN >itb06g23570.t1 pep chromosome:ASM357664v1:6:25463858:25467160:1 gene:itb06g23570 transcript:itb06g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRITSRVSRTVLTQCRNSLIFHARQHQQQSPILSNRFHSLCYPQNKIIPGHVSLLRDSTLNHSAFQRFGMSSSASTQSDEKETAQARNGQGNAVESDAAASANGNAEVPDETNKSDVEDLSRDDLVKLIVEKEEKLNAKQKELEEMKDKALRTYAEMENTMDRNRRESENTKKFAVQNFAKSLLDVADNLGRASSVVKESFSKIDTANDNTGAVPLLKTLLEGVEMTEKQLAEVFKKFGVTKYDPINEEFDPNKHNAVFQVPDPSKPPGTVAIVLKSGYMLHDRIIRPAEVGVTQAVEN >itb07g05360.t1 pep chromosome:ASM357664v1:7:3670606:3684328:1 gene:itb07g05360 transcript:itb07g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFIHSSAAKFSSSRFSLPTRFSSVHGERPTAEYAKRRKESLESEFGHALAYKSKSLSLLYHFGPFLALYRAAIISVHVFKMSIWQFFVHDIKKRAIKFRQTLIRLGPFYVKLGQALSTRPDILPTVYCQELAKLQDQIPPFATRVAIKSIETQLGLPITKIFADISGEPIAAASLGQVYKAHLHTGELVAVKVQRPGMSHLLTLDAMLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFEEIDYILEAQNADRFASLYASNSIENTSRGSAAGGNTANMNVNGIKVPKIYWNLTRKEVLTMEWIDGIKLTDESRLNKANLNRKKLIDLGLYCSLMQMLEVGFFHADPHPGNLVATDDGALAYFDFGMMGDIPRHYRVGLIRVLVHFVNRDSISLANDFLSLGFIPEGVDLQSVADALQTSFGDGRQSQDFQGIMNQLYDVMYEFNFSLPPDYALVIRALGSLEGTAKTLDPEFKVVESAYPFVIGRLLADPSPDMRRILRELIIRNDGSLRWNRLERLIAAISQQGAAKDGETQQIYSNPLEQSFDMPVVVAATEDLFTFILSEKGSRVRVFLVRDIIAAADVFLQDEVLNDLFSEKPQPRNRLKSEGHAMLMRVVKGFQSMGHAVKLAPDIWMALLVRLAVKSEFQVFTMDIISALTDHSSKKIPDAFWILISKLIHKSTAKH >itb03g09360.t1 pep chromosome:ASM357664v1:3:7173821:7177027:-1 gene:itb03g09360 transcript:itb03g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPLLNFSRLPEFYFVSSKPGKVGFLKLLGCNSGVRKGFSSSITGGEVKSRFIGPIRALEASRSSQTIGKNENSHPSGCGSQQDVLVNDCDGLSGKERLQASTVGNSTNIFWHKCSVERSDREELLRQKGCVVWITGLSGSGKSTVACALSRGLHAHRKLTYILDGDNVRHGLSSDLSFGAEDRTENIRRVGEVAKLFADAGVICIVSLISPYRKERDACRALLPEGDFIEVFLDVPLHVCEARDPKGLYKLARAGKLKGFTGIDDPYEPPENSEIVLRLNRGFNDSPYDMAEAVISYLEEKGYLKT >itb09g07780.t1 pep chromosome:ASM357664v1:9:4616959:4619813:1 gene:itb09g07780 transcript:itb09g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPDFQTLLLLLPLTLLLSSSCYSQFAHGCYTSIFAFGDSLTDTGNYLALSLKELQSDDRYPYIGLPPYGETFFHHPTGRCSDGRLVLDFIAEHYGLPHLQPYLGDRKVNFETGVNFAVAGVTALDVEYYQERGIELHNNISMRTQLQWFRDLLPSLCKNSSCTEMFKRSLFVFGPFGGDDYGNTIFQKSVEDAQSLQPLIIDAVASAIEELIELGVVNMMVPGMMADGCLAATLSFFYGSNDEDYNPKTGCLNWLNKQSENHNILLQNALARIRDRHPDVFLVYADYYNAGLQLYNSPTKFGFERRPLDACCGGGGPYNYNSSARCGYPSSHPCVDPSNHINWDGAHFTEAAYHWISKGLLDGLFTTPHINSLCLANYNGGNTY >itb09g27030.t1 pep chromosome:ASM357664v1:9:27540188:27540466:1 gene:itb09g27030 transcript:itb09g27030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILRAKQGLRRSSSRTNRESEVPKGHFAVYVGESEKKRFVLPISFLNNPSFQGMLNQAEEEFGFDHPMGGLTIPCLEDTFLDIISSLSRY >itb10g10300.t1 pep chromosome:ASM357664v1:10:14821083:14824661:-1 gene:itb10g10300 transcript:itb10g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQYATLLLVQLLVLFVVLVAIGDGAVDIKLICNTTSYPDSCYNSLATIAAMSRGHHEVYMLSVQVASEEVSRASDGFLQLQEEFKNISDAMSLRAIQVCHDLFSIAALCLIDSWRPANIHDAFADLNIWLTAAGTHQQSCVSAMDDAKDATLTRKVLKNLEKSTKLTSNSLAILRAFEESMVDRSKVNTEDHHIVSTSAAKRESRKLGSGGMPRWLDSKERKWLRTPTGNLQIDAVVAKDGSGEFSKICDALKAVPDNCVKRFVVYVKRGVYNENVRVEATKSNVMMIGEGMEATVVSAALNFVDGTPTFDTATFCVFGAGFIARDMGFQNTAGAAKRQAIALMADGDRGVFYRCKFDGFQDTLLAHAYRQFFRQCHIYGTVDFIFGDAAAVFQDCNILPRRPLPGQQNIITAQGKTDSRGASGFSIQDCKLYPAENLAGATTFLGRPWKTYSTTVFIRTYMGSFIHPKGWVPFRGTMAPDSIYYAEFENQGPGAVTANRVQWKGLHLDISSAEANNFTANSLIGGGSWLPSTGVPFKSGL >itb12g01970.t2 pep chromosome:ASM357664v1:12:1319189:1325093:1 gene:itb12g01970 transcript:itb12g01970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MIPDVSTCEEHARSEEAYHTNHSEHHQEPEKLLPVENIVISEKESVTTVILINSSICTMQRIAVLENGRLVELLLEPVKNNVQCDSVYLGVVTKLAPQMNGAFVNIGCRQTAFMNIISKKKPFVFPQDCDDSKGSETNGFESDKFAEKSDLPETEANLNEAIEDDEIDDDSVEYIDNDFEENENHDRIDASEVLEGNGTCNVGNGVETHLLKSLNKFEKNGHQEENKRPKGESKWAQVRKGTKIIVQVVKEGLGTKGPALTAYPKLRSRFWVLSASSDIIGISKKISGVERTRLRVIARTLQPPGFGLTVRTVAAGHSLEELKKDLDSLLLTWKSITEHAQFAALAAEEGVDGAVPVMLHQAKGQTLCIVQDYFNDKVKSMVVDSPRTYHEVKNYLQEIAPDLCDRVELYNNKTPLFDEYNIEGEIDSILSKRVPLSNGGYLVIEQTEALFSIDVNGGQCMLGQGTSQEKAILDVNLAAARQIARELRLRDIGGIIVVDFIDMMDDSNKRLVYEEVKKAVERDRSTVHVSEVSRNGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALETAFSKIEREVSRFLSRMDQKSDPRDPNSWPRFILMVDQYMSDYLTKGKKSKLAVLSSSLKVWIVLKVARNFTRGAFDLKPLTIDEYNNQDRPPIPVLWPAEAGTGSHPHKKVTLFPIKKSKTGGK >itb12g01970.t1 pep chromosome:ASM357664v1:12:1317767:1325157:1 gene:itb12g01970 transcript:itb12g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MIMDAGMFRCESALKGDMFTSSDALRKAGPEFSIMGHFPFKQGKSFALSGSHARRPFGGVFRSWMVERCAPLHLFIPRPVRDEHKAVKCFKNDSSVLNHILNDLLVEDKFFPEETDIGSDKFLSEEGDVNSDHSKSLPKRDHIVEEPWLLQPSLLSHHFEESDASGVVFDDNEYFKCLDNDDLDTRFLNHESADGESEIEYLAEVATTDKNSGFSGTCYGSSFPVEEPWLFESTINYSSCNDKMIPDVSTCEEHARSEEAYHTNHSEHHQEPEKLLPVENIVISEKESVTTVILINSSICTMQRIAVLENGRLVELLLEPVKNNVQCDSVYLGVVTKLAPQMNGAFVNIGCRQTAFMNIISKKKPFVFPQDCDDSKGSETNGFESDKFAEKSDLPETEANLNEAIEDDEIDDDSVEYIDNDFEENENHDRIDASEVLEGNGTCNVGNGVETHLLKSLNKFEKNGHQEENKRPKGESKWAQVRKGTKIIVQVVKEGLGTKGPALTAYPKLRSRFWVLSASSDIIGISKKISGVERTRLRVIARTLQPPGFGLTVRTVAAGHSLEELKKDLDSLLLTWKSITEHAQFAALAAEEGVDGAVPVMLHQAKGQTLCIVQDYFNDKVKSMVVDSPRTYHEVKNYLQEIAPDLCDRVELYNNKTPLFDEYNIEGEIDSILSKRVPLSNGGYLVIEQTEALFSIDVNGGQCMLGQGTSQEKAILDVNLAAARQIARELRLRDIGGIIVVDFIDMMDDSNKRLVYEEVKKAVERDRSTVHVSEVSRNGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALETAFSKIEREVSRFLSRMDQKSDPRDPNSWPRFILMVDQYMSDYLTKGKKSKLAVLSSSLKVWIVLKVARNFTRGAFDLKPLTIDEYNNQDRPPIPVLWPAEAGTGSHPHKKVTLFPIKKSKTGGK >itb06g05960.t1 pep chromosome:ASM357664v1:6:8657636:8660586:-1 gene:itb06g05960 transcript:itb06g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNSYWQFGDELRGQSTKVSEDHKWLMVASKLAEQTRSKGERRNNLDLSKGPVEVRPRDNFGFQEDNKFETLNFNMLNLESKVTDNFSKSSIRNDFYNLNAIYHKPNVNTLGNISGSKFNPNSMNKDLNNTNESLNANGAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIHIRKLCKPLEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGVNSGVAE >itb06g05960.t2 pep chromosome:ASM357664v1:6:8657619:8660594:-1 gene:itb06g05960 transcript:itb06g05960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNSYWQFGDELRGQSTKVSEDHKWLMVASKLAEQTRSKGERRNNLDLSKGPVEVRPRDNFGFQEDNKFETLNFNMLNLESKVTDNFSKSSIRNDFYNLNAIYHKPNVNTLGNISGSKFNPNSMNKDLNNTNESLNANGAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIHIRKLCKPLEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGVNSGVAE >itb12g21010.t1 pep chromosome:ASM357664v1:12:23422919:23423494:-1 gene:itb12g21010 transcript:itb12g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTNSTTSHPPPPTTPPSSSHSTSNLPMLYYGLVVIGTAAIVLALYNLLIIRWCAESRRRTRLRMGDGASSFVSFRSSDSRRSSSVNISLGVSSFRYKKEGVEVNECAVCLSAFEDGEEVRRLPKCNHSFHAPCIDMWLYSHMDCPLCRSPVEAPVLSRHKNTAAEQAQEGNTSQEGLLVPGNASSIV >itb07g10470.t1 pep chromosome:ASM357664v1:7:11502649:11504120:-1 gene:itb07g10470 transcript:itb07g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVFSRRGIYQHQTVALCRCRRRNTKAVISQALQTGVGPNVSDAYTINGLPGPLYNCSANETFKLKVKPGKTYLIRLINDELFFRIANHTVTVVDADAIYVKPFETDILVISPGQTMNVLLKTKANPSAKTTFAMAAKPYIFHRSRNIRRLHSYVVGILEYAKNISTKTIIALPPINSTSAVTNFIGELRSLGSSQFLASQGPSDGGQELLLHRGPGKQSLPEKPDVSGA >itb10g23750.t1 pep chromosome:ASM357664v1:10:27937329:27937994:-1 gene:itb10g23750 transcript:itb10g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLQDTLKSSPRERRAMKQASSIGILSATFFYLLCGILGYLAFGNAAPGNLLTDDHGFYEPYWLVDLANVCIIVHLVGAYQVVTQPVFAFVETWSRARWGGAKFITGEHTIRLPFLGNFSISLFRLVWRTAFVVFTTIVAMIFPFFNAILGLLGSIAFWPLTIYFPVEMYISRAKIPRLSFTWIWLQILSFFCFVVSVLAAVGSIHDLAKSVMHFKPFA >itb02g15340.t1 pep chromosome:ASM357664v1:2:11154026:11158655:1 gene:itb02g15340 transcript:itb02g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDLGKVFIGGISWDTDEECLKEYFRSYGEVVEAVIMRDRNTGRARGFGFVIFADPAVAERVVKEKHMIDGRTVEAKKAVPRDDQNMINRNNGGIQGSPGPGRTKKIFVGGLASTVTENDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVERALYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLTRPNNFLNNYAQKYNLSSIGGYGVRVDGRFSPVSSGRTGFSQFANPAYGMGMNLDPALSPSFAGGSTFGSSLGYGRVLSPYFSTNSSRYSTPIGYNMGSNRGDSLLSSPTRNMWGNGGLNTSPTANSSGSFLTSGSFGVFGNNGANWVSSISAPVGGNSSGYSGGNVGFRGEESNYGLGSGGLGRNNIAGVATASFAASNGAYEGSYGDFDRSASMYGDPTWQAVSSDLDASASFGYGLRSSGDASATSEGYVGGYSIANRGIAA >itb02g15340.t2 pep chromosome:ASM357664v1:2:11154026:11158655:1 gene:itb02g15340 transcript:itb02g15340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDLGKVFIGGISWDTDEECLKEYFRSYGEVVEAVIMRDRNTGRARGFGFVIFADPAVAERVVKEKHMIDGRTVEAKKAVPRDDQNMINRNNGGIQGSPGPGRTKKIFVGGLASTVTENDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVERALYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLTRPNNFLNNYAQKYNLSSIGGYGVRVDGRFSPVSSGRTGFSQFANPAYGMGMNLDPALSPSFAGGSTFGSSLGYGRVLSPYFSTNSSRYSTPIGYNMGSNRGDSLLSSPTRNMWGNGGLNTSPTANSSGSFLTSGSFGVFGNNGANWVSSISAPVGGNSSGYSGGNVGFRGEESNYGLGSGGLGRNNIAGVATASFAASNGAYEGSYGDFDRSASMYGDPTWQAVSSDLDASASFGYGLRSSGDASATSEGYVGGYSIANRGIAA >itb04g26780.t1 pep chromosome:ASM357664v1:4:30981896:30987923:1 gene:itb04g26780 transcript:itb04g26780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGWADVANIARDINEGHTSHGCASDRHAQSVDHVHVSGRVVDESHIVHNVGVDVPMERHAQSVDPVNVSGRVVNVNAEAQHFXVNVNAEAQHFVTLVEVVHRDTPSPDCGAPLKAVRPVRPVLDSIRPVAVGSDHVHTSHTLLDDAHFAKWVLQAGSDTLQEFLFSYNGRTVCRDDLRSLGIGMEVTISVINAWSCILNHRERTKSPATPARVFTSPLTTINTVVGLKIHDIDAHKLSLFRDALALDFAIGPYKQWSFVNLLFFPILEKEHYYLLCADFETGRLEIIDNSPSTESTANKYGDTPDNVKLLLHEYFKREGEPFKAGQCMNLATKRMQMKWRDHKNKIDCGVYLMRHMESYCGEGVNSWVVHRDTPSPDCGAPLKAVRPVRPVLDSIRPVAVGSDHVHTSHTLLDDAHFAKWVLQAGSDTLQEFLFSYNGRTVCRDDLRSLGIGMEVTISVINAWSCILNHRERTKSPATPARVFTSPLTTINTVVGLKIHDIDAHKLSLFRDALALDFAIGPYKQWSFVNLLFFPILEKEHYYLLCADFETGRLEIIDNSPSTESTANKYGDTPDNVKLLLHEYFKREGEPFKAGQCMNLATKRMQMKWRDHKNKIDCGVYLMRHMESYCGEGVNSWVVHRDTPSPDCGAPLKAVRPVRPVLDSIRPVAVGSDHVHTSHTLLDDAHFAKWVLQAGSDTLQEFLFSYNGRTVCRDDLRSLGIGMEVTISVINAWSCILNHRERTKSPATPARVFTSPLTTINTVVGLKIHDIDAHKLSLFRDALALDFAIGPYKQWSFVNLLFFPILEKEHYYLLCADFETGRLEIIDNSPSTESTANKYGDTPDNVKLLLHEYFKREGEPFKAGQCMNLATKRMQMKWRDHKNKIDCGVYLMRHMESYCGEGVNSWVCGLKKGDRSELNRLRLHYIKEICTSDVNTHRTSNVARALAFHSRSSAGT >itb06g20600.t1 pep chromosome:ASM357664v1:6:23531151:23534517:-1 gene:itb06g20600 transcript:itb06g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELQCNNTNQIAGESGGAAPVVLGLQPAALADHVARVDSSLLSQIPGERGGSFPVSAKELKHILDEISAHILASPENPSPLKTIAGGSVANTIRGLAAGFGIHCGIIGAYGNDDQGKLFINNMSFYNVDVSRLRLKNGSTAQCVCLVDEFGNRTMRPCLSSAVKVQADELNREDFKGSKWLVMRYAILNLDVIDAAIRIAKQEGLNVSLDLASFEMVRKFRQPLVQLLESGKIDLCFANEDEAKELLSGEGDTDPEAALEFMAKYCKWAVVTLGQKGCIAKHGKEVVRVPAIGESKAIDATGAGDLFASGFLYGLIKGLPLEACCQVGSCSGGSVIRALGGEVTPENWQWMYKQMQTKGLNIPDPIIKCSDETGDL >itb07g04670.t2 pep chromosome:ASM357664v1:7:3156735:3161093:-1 gene:itb07g04670 transcript:itb07g04670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSMDYENLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLVFPADAIARAKQYLSMTSGGLGAYSDSRGIPGVRKEVAEFIGRRDGYPSDPELIFLTDGASKGVMQILNSIIRGPSDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDINDLRRSVADARSKGLTIRAMVIINPGNPTGQCLSEANLKEILQFCYRENLVLLGDEVYQQNIYQDERPFLSSRKVLLDMGPPISKELQLVSFHTVSKGYWGECGQRGGYFEMTNISPRSVEEIYKVASISLSPNVPGQIFLGLMMNPPKPGDISYDQFARESKGILESLRKRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIETAQGLGKAPDVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMDQYEDHRGYSRM >itb07g04670.t1 pep chromosome:ASM357664v1:7:3156735:3161093:-1 gene:itb07g04670 transcript:itb07g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSMDYENLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLVFPADAIARAKQYLSMTSGGLGAYSDSRGIPGVRKEVAEFIGRRDGYPSDPELIFLTDGASKGVMQILNSIIRGPSDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDINDLRRSVADARSKGLTIRAMVIINPGNPTGQCLSEANLKEILQFCYRENLVLLGDEVYQQNIYQDERPFLSSRKVLLDMGPPISKELQLVSFHTVSKGYWGECGQRGGYFEMTNISPRSVEEIYKVASISLSPNVPGQIFLGLMMNPPKPGDISYDQFARESKGILESLRKRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIETAQGLGKAPDVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMDQYEDHRGYSRM >itb07g04670.t3 pep chromosome:ASM357664v1:7:3156735:3160687:-1 gene:itb07g04670 transcript:itb07g04670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSMDYENLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLVFPADAIARAKQYLSMTSGGLGAYSDSRGIPGVRKEVAEFIGRRDGYPSDPELIFLTDGASKGVMQILNSIIRGPSDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDINDLRRSVADARSKGLTIRAMVIINPGNPTGQCLSEANLKEILQFCYRENLVLLGDEVYQQNIYQDERPFLSSRKVLLDMGPPISKELQLVSFHTVSKGYWGECGQRGGYFEMTNISPRSVEEIYKVASISLSPNVPGQIFLGLMMNPPKPGDISYDQFARESKGILESLRKRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIETAQGLGKAPDVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMDQYEDHRGYSRM >itb07g04670.t4 pep chromosome:ASM357664v1:7:3156735:3160798:-1 gene:itb07g04670 transcript:itb07g04670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSMDYENLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLVFPADAIARAKQYLSMTSGGLGAYSDSRGIPGVRKEVAEFIGRRDGYPSDPELIFLTDGASKGVMQILNSIIRGPSDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDINDLRRSVADARSKGLTIRAMVIINPGNPTGQCLSEANLKEILQFCYRENLVLLGDEVYQQNIYQDERPFLSSRKVLLDMGPPISKELQLVSFHTVSKGYWGECGQRGGYFEMTNISPRSVEEIYKVASISLSPNVPGQIFLGLMMNPPKPGDISYDQFARESKGILESLRKRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIETAQGLGKAPDVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMDQYEDHRGYSRM >itb15g08230.t1 pep chromosome:ASM357664v1:15:5757748:5759047:1 gene:itb15g08230 transcript:itb15g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLKVFVGFLVIVCVIGAVSVEAAGPCGDSSPDDEAIKLLPCAAAAQDAKAAVSSSCCFQVKKFSQNPGCLCAVLFSDMAKSSGVKPEAGITIPKRCNFANRPIGYKCGPYTLP >itb06g06250.t1 pep chromosome:ASM357664v1:6:8924698:8926877:1 gene:itb06g06250 transcript:itb06g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSEVGNLKIEEEPASSSSVKRENSSLLSSSSSCSTLQQQHQLDKVPVPAPSDYEDHSSVVVNGISFPPAVKFEDIGIDDQSYWESFFADQLEGDFMISSPVRSNNMAASPQVSSFMNDVQMMITSPVRNCYHHHQNGMMMMSPLGPGHNNKGKGLSPLHRVFNSPNAQHLMQMQTHDFSHLPALDNLLDFDDNHDFSLKHLPASSSVLSESLFHSSSSCSASVSSVQAPPLGSCSHQEADDDIIYRTTTGSLHIAPLSHQLREERNQEQQHHHNNNNITRSGQHLHSAPLVLPLSAPPADLQEEEEEEQDSGLQLLHLLLVCAEAVSKENYMLARRYLHHLNRVVTPLGDSMQRVASCFTEALSARLAATLASASAKSITSNRPFNPPYPPNSLEILKIYQILYQACPYVKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGPSPEAVRETGRCLTELAHSLHVPFEFHPVGEELQDLKPHMFNRRVGEALAVNAVNRLHRVPPNCMGNLLGMIRDQAPNIVTIVEQEANHNGPYFLGRFLEALHYYSAIFDSLDATFPADSSQRAKVEQYIFAQEIWNIVACEGAERVYRHERLERWRRVMEGKGFKGVPLSANAVTQSNILLGLYSCDGYRLTEDKGCLLLGWQDRPILAASAWRC >itb07g18110.t2 pep chromosome:ASM357664v1:7:22535790:22540093:-1 gene:itb07g18110 transcript:itb07g18110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSSPSKAIPIVSTFASPFESSPDANDSSRKHLSLWPGMYHSPVTNALWETRSKIFERLLDPPLDAPPQSELLTKTPSQSRTTILYNFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDEDSTTRPLYLVTASVDKMVLKKPISVDIDLKMVGAVIWVGRSSIEIQLDVIQPSNGSTDPSEPALTANFIFVARDYKTKKAAPVNRLSPETEEEKHLYEAAEARNKLRKSKRGGDKREIENGGVNRLEELLAEGRIFCDMPALADRNSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGMMPSFMEVDHVDFLRPVDVGDFLRFKSCVLYTEYEHTDQPLINIEVVAHVTRPELRSSEVSNKFYFTFTVRPEAKAQNNQFMIRKVVPATEEEARTIIERMDADLLQTNK >itb07g18110.t1 pep chromosome:ASM357664v1:7:22535954:22540118:-1 gene:itb07g18110 transcript:itb07g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSSPSKAIPIVSTFASPFESSPDANDSSRKHLSLWPGMYHSPVTNALWETRSKIFERLLDPPLDAPPQSELLTKTPSQSRTTILYNFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDEDSTTRPLYLVTASVDKMVLKKPISVDIDLKMVGAVIWVGRSSIEIQLDVIQPSNGSTDPSEPALTANFIFVARDYKTKKAAPVNRLSPETEEEKHLYEAAEARNKLRKSKRGGDKREIENGGVNRLEELLAEGRIFCDMPALADRNSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGMMPSFMEVDHVDFLRPMLETSCVSNHVFCTLNTSTQISH >itb12g23020.t1 pep chromosome:ASM357664v1:12:24901711:24904797:1 gene:itb12g23020 transcript:itb12g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKMSWKCKTLENMKGKDITVNPKRSLWPTISTSTTDVSSFTLPFLLITFTCLLSLRPLIDDCPLHSGC >itb07g11800.t1 pep chromosome:ASM357664v1:7:13299747:13300319:-1 gene:itb07g11800 transcript:itb07g11800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGECRKEKYDDPLEAEGGGYCSGGKSPLDLGKIEKQAAVDPGRSGVDSDIEEDYMIRIYGREHNTYQELGDIGDLEEGELEKMDKANLDPGEGALSESDKKDGDYEVSEELSEAEEEASERKAKESEVKAKVTRENKALNLSPRQTRNQKKVEEMAKRGEGTTKRGGGSGVKGSTAQKRGGRPPRRGL >itb09g09820.t1 pep chromosome:ASM357664v1:9:6025083:6026551:-1 gene:itb09g09820 transcript:itb09g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIKHQTYMASSLNLSFILFFTCSLLIHVTLGEIICEELGVNVCAFSISSSGKRCLLENSATGDGKVEYQCKTSEVFVRKMAEHIESDECVNACGADRNSVGISSDCLLDSMFIAKLCSPACYQNCPNIVDLYFNMAAGEGVYLPDLCQRQRRNPHRAMIELLSSGAAASGDSGSEKLIADSPAPAPAPAPAPSSF >itb04g22510.t1 pep chromosome:ASM357664v1:4:27707972:27709943:1 gene:itb04g22510 transcript:itb04g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSPWVAVLVLLCLSVAAMAEDPYVFFEWKVTYGTISPFGVPQQAILINGQLPGPKINCTSNNNIVINVFNELDEPLLLTWNGIQHRKNSWQDGMPGTMCPIMPGTNLTYHFQVKDQIGSYFYFPSTGMLKAAGGYGALTIHSRPLIPVPFDWPAEEYHIFLSDWYSKGHKGLKKLLSEGRSIARPSGVLINAKHGNVGDKLEPIATMEAGKTYRFRVCNVGLRTSINFRFQGHSMKLVELEGAHTVQNDYDSMDLHVGHCLAVLVTADQEPKDYYLVASSRFFKEQYTSVALISYANGKGVPASSELPKPPPEGTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQIEITRTIKLVSSKSHEGDKVRYALNGISHVDGDTPLKLAEYYQVPEKVFKYNIMSDTPPADLTKVTVAPNVVNATFRNFVEIIFENHEKTIQSYHIDGYAFFAVAIEPGKWSPEKRKNYNLVDAVSRQNIQVYPGSWAAIMTTLDNAGMWNVRSQMWERFFLGQQFYFSVLSPGRSLRDEYNLPENQALCGIVKTMAKPAPYTL >itb12g17890.t1 pep chromosome:ASM357664v1:12:20145343:20147563:1 gene:itb12g17890 transcript:itb12g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRDTARTVVGIIGNVISFILFLSPVPTFVKIWKKKSVEQFSAVPYLATFVNCALWVLYGLPMVHPHSQLVVTTNGIGLAIEIVYLLLFLIYSDAKKRLRILFIIVVEIVFVAALAVLVLTLAHTWKLRSAIVGSICMVGNIMMYASPLAVMKLVISTKSVEYMPFFLSLFSFANAVSWTAYAFIRIDPYILAPNGMGGLLGLAQLILYALYYNSTKRIIAEREAAKGEVGLAHKGDTKVPNNEP >itb02g15410.t1 pep chromosome:ASM357664v1:2:11214052:11218094:-1 gene:itb02g15410 transcript:itb02g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSTQLAGLGKHSAAAAAAAAVGDNDKGVKRSSGKLKKAFKKAMLGNVVIRAFFKNRSRRPRNPPELVAQTTSLLNGLNSFDDHAAGKRFHKIAEIDTHIRDIKSILYGSSESEPAAEACAQLTQEFFKGNTMRLLILCLPKLNLEARKDATQVVANLQRQPVNSRLIASDYLEANKDLVDHLVSGYEDSSLALHYGAMLRECIRHQVVARHILMSEHVKKFFDYMQLPEFDVAADAATTFKELLTRHKSTVSEFLSENYNWFFTEFNFKLLGSPNYITRRQAVKLLGDILLDRSNSGVMIRYVNSLDNLIILMNLLRETSKPIQLDTFHVFKLFVANTNRPPGISSVLANNKDKLLRLFVGLRTDKEDEVFEADKAQILKEIGELEPPRQCGDVYKLPRTPLCSGELQHDVCPLPLPEMNDMVA >itb02g15410.t2 pep chromosome:ASM357664v1:2:11214661:11218094:-1 gene:itb02g15410 transcript:itb02g15410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSTQLAGLGKHSAAAAAAAAVGDNDKGVKRSSGKLKKAFKKAMLGNVVIRAFFKNRSRRPRNPPELVAQTTSLLNGLNSFDDHAAGKRFHKIAEIDTHIRDIKSILYGSSESEPAAEACAQLTQEFFKGNTMRLLILCLPKLNLEARKDATQVVANLQRQPVNSRLIASDYLEANKDLVDHLVSGYEDSSLALHYGAMLRECIRHQVVARHILMSEHVKKFFDYMQLPEFDVAADAATTFKELLTRHKSTVSEFLSENYNWFFTEFNFKLLGSPNYITRRQAVKLLGDILLDRSNSGVMIRYVNSLDNLIILMNLLRETSKPIQLDTFHVFKV >itb02g23680.t1 pep chromosome:ASM357664v1:2:23851531:23852493:1 gene:itb02g23680 transcript:itb02g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAFLFLCLSLLLVQSFGRENFVRVSSENHGRAEHPEWLVFWHQHPPLPSWLPKTKWPFPHPPLPSWIPKTKFPHPPLPSWIPKTKFPHPPLPSWIPKTKWPFPHPPLPSWIPRTKFPHPPLPSWLPKTKFPHPPLPSWLPKTKFPHPPLPSWIPKTKFPHPPLPYWLPKTKFPHPPLPSWLPKTKWPFPHPPLPSWIPKTKFPHPPLPSWLPKTKWPAFPHPPLPSWLPKNKWHFPTLPSGGSKWPWLPHHSTKTKCASTPAVIESCMKEGFSSSHINGGYKFSTECCKSVAEIKDECSDELYVPAIKYQCHFTHA >itb12g19160.t1 pep chromosome:ASM357664v1:12:21513867:21516242:1 gene:itb12g19160 transcript:itb12g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTQILSRIATNDGHGENSAYFDGWKAYDNDPFHPKHNPEGVIQMGLAENQLCFDLIKKWVLNNPRASICTPQGAEEFKDIAIYQDYHGLPEFRSAVARFMEKVRGDTVKFEPDRIVMSGGATGAHETLAFCLANSSEAFLVPTPYYPGFDRDLRWRTGVELYPVVCESSNDFKVTRTALESAYKKAQQENIRVKGLLINNPSNPLGTVLDRDTLHDIVRFVNDKNIHLVCDEIYAATVFSQPEFISIAQIIQEVPSNPDLIHIVYSLSKDMGFPGFRVGIIYSYNDAVVSCARKMSSFGLVSTQTQHLIAAMLSDQEFVGKFIKQSSERLRKRHESFTRGLAQVGIETLKSNGGLFVWMNLRNLLKEPTFAAELELWKMIINEMKLNVSPGCSFHCHEAGWFRVCFANMDDETMRVALTRIRTSVLQWKGAAASAKKQCRRNLKISLSFRRLEDCMMPSPHSPMHSPLVQATT >itb12g19790.t1 pep chromosome:ASM357664v1:12:22200174:22200680:-1 gene:itb12g19790 transcript:itb12g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTTNPVDIPDDPPSDGGDGVEELPPPPPLLGAGGDGESLVPGGEGVDRDGGAGVEGDVPEGGDGELEGGEGVDGGVPDGAGVDGVAGGGGEVDGAGVEGGGGEGVDGGGACAGVEGGGAPAAGGGVVLLLVGGAGGDVGAGADPGVGEDDIFRLPYKDVREKIDE >itb09g05460.t1 pep chromosome:ASM357664v1:9:3123502:3126813:-1 gene:itb09g05460 transcript:itb09g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGGSSELEKVLSDTELPYLKRLKSASWIELRLLFRLAAPAIMVYLINNSMSLSTRIFSGQLGNLQLAAASLGNQGIQLFAYGLMLGMGSAVETLCGQAFGAQRYDMLGVYLQRATVVLTITGIPLTVVYLFSRQLLLALGEPKTVAYYAALFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSALISLGTLFLHLLLSWVFVYEVGLGLIGASLVLSFSWWVIVGGQFVYILKSDKCKVTWTGFRPEAFAGLWEFVKLSAASAVMLCLETWYFQVLVLLAGLLKNPELALDSLSVCMGVSALLFMVSIGFNAAASVRVGNEVGAGNPKSAAFSVLVVTVISAAIAVVEAIIVLCLRHVISYAFTTGDTVAEAVSELCPYLAVTLILNGIQPVLSGVAVGCGWQSFVAYVNVGCYYIVGIPLGCLLGFKFDLGAKGIWSGMIGGTAMQTFILLWVTFRTDWNKEVEKARARLDKWESTKEPLLKN >itb05g26000.t1 pep chromosome:ASM357664v1:5:30104482:30108520:-1 gene:itb05g26000 transcript:itb05g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMSWKSQACFFLLYLLLQFPTDSLGISKGNAQIHQKNLKRSQFPDEFLFGASTSAYQIEGAYIEDGKGINNWDVFCTIKGKILNGENGDVADDHYHRYLEDIDLMHDIGLDAYRFSISWSRILPKGRFGGVNQAGIEFYNKVIDNLLSKGIKPFVTIHHHDYPQELEDRYGGWMSSEMQEDFVYFAEICFESFGDRVKYWITINEPNLFAEMAYERGWYPPAHCSPPFGNCSVGNSDVEPLIAMHNMLLAHGKATKAYREQFQAKQGGLIGITAHMFMYEAFSNDVHDQEAANRALAFNAAWTYDPLVFGDYPPEMRFYHGSELPSFTSEESALIKDSVDFIGINHYGTLYAKDCLYSRCNCTGSSCSRGWDRAIQGFLYTTGERDGVSIGELTGHLRFYVVPRGIEKIVDYIKDRYHNKPMFILENGYSSPNKTASALYIENDAKRVEYHKAYLPFLARAISNGADVRGYFIWSFMDVFEWTDGYETKFGLYYVQPLTLHRFPKLSATWYKDFISNNIQTTNSTALESV >itb12g20230.t1 pep chromosome:ASM357664v1:12:22719364:22722737:1 gene:itb12g20230 transcript:itb12g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSSDSTSLKEYLKKYESGYEEEKKKKKKKKKAKTDISGVIIVDEDPVWQKPVTIDEEEDDSADEEKPLVDEDIDVKRMKRLEELRAKRPFGAISEDGSGWVSVSDAPKNLNSDVQNLDLSPLRKGIARNDSPSPEPKLNPSSTVDVDISPPRKRRARYDTPSPEPQDNDLSPPRKRSARSDTLSGKVDDGSARSDTLSGKVDDDMSPPDTLSGKVDDDMSPPRKRRARYDTPSPEPQDNDLSPPRKRSARSDTLSGKVDDGSARSDTLSGKVDDDMSPPDTLSGKVDDDMSPPRRRQKHRNSPPHEVDLQASPNAHDLDLSPPRKSRHRSPVIEQRQKTGLVTGKDVKDEIAKTKKEEWMRFKELDAAVSGRGAEPVYRDKVTGERLSKEEYLQSQKKKEKEKPKEIKLEWGKGLAQKREMEARLQELESEKEKPFARSRDDPELDNMLKDRLRWGDPMAHLVKKKRAEQVLPDLGADDKMKESGFIIPQEIPPHSWIRRGLDAAPNRYGIKPGRHWDGVDRSNGYEKQMFKRTNEKQATEREAYLWSVADM >itb11g05440.t1 pep chromosome:ASM357664v1:11:3216609:3220575:1 gene:itb11g05440 transcript:itb11g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYICKLLCALLMCFMLPCHGRDAITTGSSLVTEGDTLVSAGKRFELGFFVDEQHSNWYVGIWYYKLNPRTIVWVANRDSPIQDPEGAVAIKEDGNLCVVDNTGATYFSTQLDPTSSKSHRIARTARLLDSGNLVLIDDLSGETLWQSFDNPADTFLPGMKMDESFTLTSWASSGYNPTPGNYTFRQDTEDKNLYTIKKRPLTTHWKSLSGIPLIVSSLLSNFSGKNSSRLLMNSSGEIQFYSWDSKKVGWSLQWSEPHDRCSVYNVCGNFGSCSINNNGSHYCKCLQGFEPTNPRDWGANEFSGGCSRKPAISCDQTVKRDTFLNLTSVVFGNPASTILNSKSEEECRQECLGYCECQGYSYSARNPALRPRRTGNLTLQCKIWTSDFIDLHEDSINGLNLSIRIATPDVELTGRSCPTCGDNIIPYPLSSQPGCGDPLYYSFSCNQSIGDLSFQTLNGSYPVTDFSLESRSFVIDVPVENSDSCNSRNPADKVILLNSSLPFNVKNWCYNDTGHLLSQGKNSIEISWNPPSEPTCSASTDCEDWPNSICNDTKQGQGRCLCKSGFKWDALSLNCSSVMTVAGSSSERTKSSVKFRSVIISISVILAAVILCSIGCIIYRRNLVRKRKDKRIVGNQLNNLANIGDQEDELLTEDDKKAIDIPFFSLETILAATENFSDANKLGQGGFGPVYKGIFPGGQEIAVKRLLSQGGQGVNEFKNEIVLIAKLQHRNLVKLVGYCVTTNEKILLYEYMPNRSLDAIIFDSTLADILDWKMRFDIILGIARGLLYLHQDSRLRIIHRDLKASNILLDQEMSPKISDFGLARIVEGKSIEANTNKVVGTLGYMPPEYALEGLFSIKSDVFSFGVVILEIISGRKNTSFFQSQETLNLLGHAWKFWMEEKAGDMMDPILVSSCNQSEVLRCINIGLLCVQEDPNDRPTMSNVVIMLATESMSLPPPKQPAFVARRRISEMTSSSSSKITAESQNDFTISLVGR >itb14g16620.t2 pep chromosome:ASM357664v1:14:19947649:19952408:-1 gene:itb14g16620 transcript:itb14g16620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSPLVKENQLIKGIFAVVGIMSTLVVYGLLQEKIMRVPYGPDKEYFRYSLFLVFCNRIATSAVSAAVLLGSKKALDPVAPIHKYCIVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMQKKYQGQDYFLAFLVTVGCALFILYPAAGDFSPYSKGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMEIHTQIFYTTLCSCFLSFSGLILQGNLLMAIDFVSRHHDCFFDILLLSTVATASQFFISFTIRNFGALTFATIMTTRQLVSILLSCMWFGHPLSWEQCVGAVIVFGSLYSKSFLRKKPKPLPIKDSENGASIPSTGNS >itb14g16620.t1 pep chromosome:ASM357664v1:14:19947571:19952498:-1 gene:itb14g16620 transcript:itb14g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSPLVKENQLIKGIFAVVGIMSTLVVYGLLQEKIMRVPYGPDKEYFRYSLFLVFCNRIATSAVSAAVLLGSKKALDPVAPIHKYCIVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMQKKYQGQDYFLAFLVTVGCALFILYPAAGDFSPYSKGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMEIHTQIFYTTLCSCFLSFSGLILQGNLLMAIDFVSRHHDCFFDILLLSTVATASQFFISFTIRNFGALTFATIMTTRQLVSILLSCMWFGHPLSWEQCVGAVIVFGSLYSKSFLRKKPKPLPIKDSENGASIPSTGNS >itb05g24520.t3 pep chromosome:ASM357664v1:5:29284548:29286724:-1 gene:itb05g24520 transcript:itb05g24520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPTRSPIYPQVVDPDFENPFHSSSSAASRAPMYPVVDMKDVENLFPENYETVHGYYQHSPSAPPEAVEETLLIVPGAILHLIDKQYSVELATGDLALVSIRQGDNTVAVFARVADEIQWPLTKDLASVKLDNSHYFFSFKFPNVDDDSDSSDEEKSKGKKEGKREEKQKDMGNDVLNYGLTIASKGQDNLIKDLDTILDNCSSFSVHKVEETASVVMGGPVAKEVSPADLKSEKKKEILEGRCAAYWTTLAPNVEDYSSSAARLMASGSGKLIKGILWCGDVTVDNLKSGDEVLRKRMTSGSKAEVSPETMKRIRRFGLSAFPDF >itb05g24520.t2 pep chromosome:ASM357664v1:5:29284511:29286724:-1 gene:itb05g24520 transcript:itb05g24520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPTRSPIYPQVVDPDFENPFHSSSSAASRAPMYPVVDMKDVENLFPENYETVHGYYQHSPSAPPEAVEETLLIVPGAILHLIDKQYSVELATGDLALVSIRQGDNTVAVFARVADEIQWPLTKDLASVKLDNSHYFFSFKFPNVDDDSDSSDEEKSKGKKEGKREEKQKDMGNDVLNYGLTIASKGQDNLIKDLDTILDNCSSFSVHKVEETASVVMGGPVAKEVSPADLKSEKKKEILEGRCAAYWTTLAPNVEDYSSSAARLMASGSGKLIKGILWCGDVTVDNLKSGDEVLRKRMTSGSKAEG >itb05g24520.t1 pep chromosome:ASM357664v1:5:29282302:29286724:-1 gene:itb05g24520 transcript:itb05g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPTRSPIYPQVVDPDFENPFHSSSSAASRAPMYPVVDMKDVENLFPENYETVHGYYQHSPSAPPEAVEETLLIVPGAILHLIDKQYSVELATGDLALVSIRQGDNTVAVFARVADEIQWPLTKDLASVKLDNSHYFFSFKFPNVDDDSDSSDEEKSKGKKEGKREEKQKDMGNDVLNYGLTIASKGQDNLIKDLDTILDNCSSFSVHKVEETASVVMGGPVAKEVSPADLKSEKKKEILEGRCAAYWTTLAPNVEDYSSSAARLMASGSGKLIKGILWCGDVTVDNLKSGDEVLRKRMTSGSKAEVSPETMKRIRRVKRVTRMTEKVATGVLSGVVKVSGFFTSSVANSSVGKKFLGLLPGEMVLATLDGFSRICDAVEVAGKNVMSTSSTVTTGLVSHKYGDEAAKATSEGLEAAGHAMATAWTVFKLRKALNPKSALKPTSLAKAAAEIKAKNSPKKS >itb01g33130.t1 pep chromosome:ASM357664v1:1:36369590:36370100:1 gene:itb01g33130 transcript:itb01g33130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLRLLFVIVLVASCLLVGNIEARPLGGVVAEKASAGGDIVRKAGNTIGRGRLVHKINSKNAKILAEINSSTPSPGNGN >itb01g07570.t1 pep chromosome:ASM357664v1:1:5965912:5968462:-1 gene:itb01g07570 transcript:itb01g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIQHCSFSIFPLLHPHKPSFAPSNLSFYKSVKTLRPVRAQKLRPAPPEPWLAQVEDEPTTATADSLPPEEGPIELPSSAPAIFGSSDDPTPIQTATSVLLTGAISVFLFRALRRRAKRAKELRFRSSGEKKTLKEEAIESLKTITPTPIDAKAPPSPVQALLGGLAAGVIAIILYKFTTTIEATLNRQAISDNFSVRQITITIRTIVNGLCYLATFIFGLNSLGLVLYSGQLALNSFSEDSTSQETKNERETPPGSDPVDSSEGNNSKDDITSDSTK >itb01g19840.t1 pep chromosome:ASM357664v1:1:26105086:26110775:-1 gene:itb01g19840 transcript:itb01g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNLEKMASIDAQLRLLVPGKVSEDDKLVEYDALLLDRFLDILQDLHGENLRETVQECYELSAEYEGRHDPKKLEELGNVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRQKLKKGDFVDENSAITESDIEETLKRLVVDMKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLAQLYDKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPRFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLAKMMATNLYYSQIEDLMFEMSMWRCNDELRARADELHRSSRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYQTRERTRQLLAHGHSDIPEEATFSNVEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAVTTHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTLHVIAELPPDCFGAYIISMATAPSDVLAVELLQRECRVKQPLRVVPLFEKLADLEAAPAAVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVHGNLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEITVVATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGVGAAFKCAIQKDIKNLKMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSKDLWSFGERLRSNFEDTKSLLLQIAGHKDLLEGDPYLKQQLKLRDSYITTLNVCQAYTLKRIRDPDYHVTLRPHISKDYVDSKPAAELVKLNPMSEYAPGLEDTLILTMKGIAAGMQNTG >itb08g08010.t1 pep chromosome:ASM357664v1:8:6886737:6889093:-1 gene:itb08g08010 transcript:itb08g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGLSPEPFSDHLLGALSLVPSVKIRKPKAKIPSGYRFRPTDIELLGFLALFVLGSLPFSWYPIMERNLYSGDEPWQLFGNSEERVRYFITALKKKNPRNSRYRRSIGDGKGTWKAQDKGKAISRSGKIIGYKRSLRYENKGSEHDGQWLMKEYYFPDKVRENLNVSLRDTVLCRIKRKQNWDNTNHNESTIAEGIRDIIGDFTPKLEPSESDTTRTTLTLALSTDD >itb13g24130.t1 pep chromosome:ASM357664v1:13:29871929:29873332:1 gene:itb13g24130 transcript:itb13g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MATPSTLSSALPRRFLTNNAAPTRRRIASLEDQPCSSSACYSGGFRKKQLAITAGKWGWMGRQHLQQRKMADVRCSVGIADFIGGDLVWLDLGKWLSDVEEHKALAIYPPHEGGYEGRYFTRLRYQGYHFLDLSARGLGDPETTLTKFHPVCPAHVGKQPIARWYFPPEVDYRLSLLPPDAKGLVVWIIEAKVLSKAELQFLALLPTLRPKVRVIAECGNWRKFMWKPLKEIAEQS >itb06g08870.t1 pep chromosome:ASM357664v1:6:13034269:13036396:1 gene:itb06g08870 transcript:itb06g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKEKKTSSSSATSPCAHLREAYHNCFNRWYSEKFLKGQWDKEECASEWQKYRDCLSQHLDDKYLSRFLEAEDTINLGNQTDCNGPAGAPRQ >itb09g29610.t1 pep chromosome:ASM357664v1:9:30354360:30355066:1 gene:itb09g29610 transcript:itb09g29610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALNVSENKGLSMNEFSEIPFLNTLITPSSGAAVTCAHHAILAGKEKRAVYAAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTFFSIICGIRHRVVNMFPGLTVRVVNIEHHLDMSFNNLINSISRMRIEVCDSLSFLDLSENRFTDSVLSWWRLGTVLVSPR >itb05g05800.t1 pep chromosome:ASM357664v1:5:5682532:5683474:1 gene:itb05g05800 transcript:itb05g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSKVSEMRKGRDPKEAYSKKPRFGFYIAIVIDGEMVLLVGDSQKEAYSKTRVKFPATGSQDMVLRREHIYDGKLYIMRATVDDRDQNIYIDCRLAGDDLRLYFYVDNKRVLQVKHLKWEET >itb06g06260.t1 pep chromosome:ASM357664v1:6:8927575:8930462:-1 gene:itb06g06260 transcript:itb06g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGSDIGPFRYSPASTVAMLKERIVAEWPKDKKIAPKAANDVKLISAGKILENSKTVGQCKMPFGELPKGVITMHAVVQPSVAKAKSEKKIEEAPKKNMCSCSIL >itb12g03510.t1 pep chromosome:ASM357664v1:12:2303982:2307619:-1 gene:itb12g03510 transcript:itb12g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKTSRTTSITSAMASIDYFEIFVAFFCLAIWVFMRDGYRRPLKVPLFGMLPSLFLHVHKIHDRCAAVLSLHGGTFLLKGPWFTNMDILATVDPQNVHYIMSGNFENFPKGKEFKKIFDVLGDGIFNSDLDLWKNQRKLARALIIHQRFHRYLVRTSWNKVEKGLIPVLELAAERGGVVDLQDVFQRLTFDTTCTLVTGYDPGCLSIDFPDVPFSKAMDDAEEVIFIRHLLPESLWKLQQWLGIGPEKKLSRACEILDQVIGKYITMKRQELNLPHKKQTLSLQEPTQSQNSSSQGPAQSEEKQNSSSQGPTQFEEKQNFSFQGPIKKQNSWSQGLTQSDEKQNSSSHGPTQSDEEGHDLLTSYINNNEGETTMGLKFDDKFLRDTILNLMIAGRDTTSSALTWFIWLVSTHPEVEKNIRDELFNAVKADPQKFRLFKSEELKNLVYLHAALCESLRLYPPVPFQHKSPLHTDILPSGHKVSPNTRLMFSLYAMARMQFIWGKDAKEFKPERWISERGTVKHEPSYKFLAFNAGPRTCLGKEVAFTQMKAVAAAIIHNYHVRAVENHDASPNVSIILYMKHGLKVRVQRRWTY >itb01g35780.t1 pep chromosome:ASM357664v1:1:37799634:37801094:1 gene:itb01g35780 transcript:itb01g35780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQTRKNRDNQPLEDDSVVAESKREQPSESSLQILQRYWSDLMHGGGKNLNKIRIDKPSNSSAAVQMVLSPEEIMQIARSKLEQCAFQSCCVHSIVFEHSYCIKGGDVELALLLQASAEMVANQQFDRARKLLGLCNQSASANGSTVERIVYYFARALKERMDLERDTETEESEKVPLNVEEAVMSMEAAIIACVQDLPFSQVTNFTGVHAILDNITSARKVHLVDFEIGSGSHWTIIMQDLANRSEPPIESLKITAVGSSKRRIERTGKWLSSFAETMKLPFSFKAIVCDMKDLRKELFEMEADEVVAVYAEYRLSTLLVCPNQLHNLIAVIQTFNPSVMVIAETEADTNNPSFLARFYNLLSYCTATLDSVATCMDRDHQYRKITEQVIHWELIRNVITTEGPDRIYRHAKIDFWRQFFARFGIEEEALSHSALYQASFLIRKYPSWSHCSLDMNGKSMIIKWKGTPVKSLSIWKFCPVKNN >itb09g07990.t1 pep chromosome:ASM357664v1:9:4794902:4799939:-1 gene:itb09g07990 transcript:itb09g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDLPTDLIRQVQILTRKESGLPEYDPDDRTLSPLPSVSAAVATFDASPPDLRCKHCRGRLLRGLQSVICVYCGQKLHQSDDASVPDPISFNSTVSYRWLLQSLLLDGSEKVGTPSEKGEKTEANRRHSTPKDNICLSELLDLKIRLFSVQQSEINGASKETQQGNSSLNYTGIDLDNFFCRPKCDNTSCKQLATSYPVENEIAKTVATPDYSLFQNVQSFQPAVTTSQNNKTDDFSGWEADFRSADSGTQSVSKTSDPVFGSTVDSESQVGNSNSSNAFVSSAVDLSAEMDSIFGSSKVLNESKTRDESAAFNEVSNGSSDDLGNNATTEAFEQKGTFDRKVEVNDSQQQNSVNTPIIDDWFQDNQFPTNVVSAPNPNATNIDEDSFDDWNDFTSSSTVKDPLGKATTQNDVSTDIDSIFGSGKDLNESKKGDESVAFHEVSKWSSDDLWSNATTEAFEQKGSFDPMVGVNDSQQQNNLNTPITDWFQDSQWPTIVASAPKPDATNIDEDSFDDWNDFTSSSTVKDPLGKGITQNDNQVDFPLDTLVSDNATAPNYDAMNVGGGIFYDWNDFTASTAIKESQAKAGTQNDNHVVDALENTSELNLFCPSKFDDMDFSSFSHSDHFSSSHHTESISEAGAKTIWQDHPLDSIADAKSNLGDGAGKDVVDEDIFNTSVMGGDTLNTSIQSNTDIESLFSQMHDLSFMLESNLCIPPKQD >itb09g07990.t2 pep chromosome:ASM357664v1:9:4794902:4799939:-1 gene:itb09g07990 transcript:itb09g07990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDLPTDLIRQVQILTRKESGLPEYDPDDRTLSPLPSVSAAVATFDASPPDLRCKHCRGRLLRGLQSVICVYCGQKLHQSDDASVPDPISFNSTVSYRWLLQSLLLDGSEKVGTPSEKGEKTEANRRHSTPKDNICLSELLDLKIRLFSVQQSEINGASKETQQGNSSLNYTGIDLDNFFCRPKCDNTSCKQLATSYPVENEIAKTVATPDYSLFQNVQSFQPAVTTSQNNKTDDFSGWEADFRSADSGTQSVSKTSDPVFGSTVDSESQVGNSNSSNAFVSSAVDLSAEMDSIFGSSKVLNESKTRDESAAFNEVSNGSSDDLGNNATTEAFEQKGTFDRKVEVNDSQQQNSVNTPIIDDWFQDNQFPTNVVSAPNPNATNIDEDSFDDWNDFTSSSTVKDPLGKATTQNDVSTDIDSIFGSGKDLNESKKGDESVAFHEVSKWSSDDLWSNATTEAFEQKGSFDPMVGVNDSQQQNNLNTPITDWFQDSQWPTIVASAPKPDATNIDEDSFDDWNDFTSSSTVKDPLGKGITQNDNQVDFPLDTLVSDNATAPNYDAMNVGGGIFYDWNDFTASTAIKESQAKAGTQNDNHVVDALENTSELNLFCPSKFDDMDFSSFSHSDHFSSSHHTESISEAGAKTIWQDHPLDRYYHMSMLHQWV >itb05g14090.t1 pep chromosome:ASM357664v1:5:21152325:21154795:1 gene:itb05g14090 transcript:itb05g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWKKKQSDVMRFLLRVRCWEYRQLPSIVRVTRSTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYYEVILVDPAHAAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >itb04g10590.t1 pep chromosome:ASM357664v1:4:10155058:10161699:-1 gene:itb04g10590 transcript:itb04g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISSFTEKAGSVNQSPIKPEIHKCHRPKRLTNPLDTRNSIDKEHILAFSVWDTKPSIAKMAKHSRSKSSSSEPLSDSSEDERVNDQVNDEVDEEELEAVGRAGEDSEAEDQEGEEDNDGAAADEDEEDEEENEEDDDAANETGKREKARLKEMQKLKKNKIQEILDAQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKGDQPSQKKAKGRGRHASKVTEEEEDEEYLKDEEDGLSGNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPVLRAVKFLGNPDERRYIREELLVAGKFDVCVTSFEMVIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNSGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERGSRVLIFSQMTRLLDILEDYLMYRGHLYCRIDGNTGGEDRDASIEAFNKPGSEKFAFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDPADIYDFDDEKDENKVDFKKIASENWIEPPRRERKRNYSESEYFKQTMRQNGPARPKEPRIPRMPQLHDFQFFNTQRLSELFEKEVRYLMQTHQKNQLKDTIDMEEAEDVGEPLTAEEQEEKEHLLEQGFSSWSRRDFNTFIRACEKYGRNDIKSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMVCMVHKIGYGNWDDLKAAFRTSTLFRFDWFVKSRTAQELARRCDTLIRLIEKENQEFDERERQARKEKKLAKMNTPSKRASARQATESPPTSTKKRKQSSMDDYVNSGRKRK >itb06g10740.t2 pep chromosome:ASM357664v1:6:15250803:15253171:1 gene:itb06g10740 transcript:itb06g10740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVILPLQRGGGVRCSVKPAWRGALRSNSREASAFAETPQPDSPLGTGRPVDSTPSPALANKASTYRVIRKKYSSSGSNVGIVRDFHGEQRTLMYLCLCLV >itb06g10740.t1 pep chromosome:ASM357664v1:6:15250803:15252734:1 gene:itb06g10740 transcript:itb06g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVILPLQRGGGVRCSVKPAWRGALRSNSREASAFAETPQPDSPLGTGRPVDSTPSPALANKASTYRVIRKKYSSSGSNVGIVRDFHGEQRTLMYLCLCLRHSLEASLH >itb09g29110.t1 pep chromosome:ASM357664v1:9:29847669:29848247:1 gene:itb09g29110 transcript:itb09g29110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVFALLSFLLYTTVLFSAAADERIQVIQTACAETQAPDLCVSVVESDPRSVDGDVKVFSTILLEKALSEIDGLKERCPEAYRNLVGDGEGGEDALEKLSTKHHAPSVKYRMNDVVPCSNAEDFVLTLLSISVDVLNVTD >itb04g05990.t1 pep chromosome:ASM357664v1:4:3890811:3895983:-1 gene:itb04g05990 transcript:itb04g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFFPCTLLVTFLISSTTSCMALTLPPKPYIVYMGGPANNSGYNAEMFSEYSHLQILFSVIPREESERVSIRQSYYHAFRGFAAMLTHEEASALSRHSEVISVFPDTTLQLHTTRSWNFLGMESLESSHYYKNSSSDIIVGVIDTGIWPESPSFNDEGIGEIPARWKGVCMEGFAFTKSSCNRKLIGARFYNNLEEINNEKPSGNGKSRASPRDSVGHGTHTASIAAGAAVGNANYFGLANGTARGGLTSARIATYKACADGGCSGATILKAIDDAVKDGVDVISISIGMTSGFQTDFLADPIAIGAFHAAQRGVAVVCSAGNDGPDPYTVTNTAPWIFTVAASTIDRKFESTVVLGNGMSFKGAAISFSLSGHPNSFPLVFAENVARDPTLASDARNCAPGTLDAEKVAGKIIVCMNNVLGVSRSIKKLVVEDAGAKGLILIDDKEKINPYDSGPYPSAEVGNDFGVQILKYINSTKHPIATIFPAKEVQNIKQAPVVADFSSRGPAGLTEDILKPDIMAPGVAILAATIPESPDNTLTSTRPSFFSITSGTSMACPHVAGAMAFIKSVHPEWSSSMIKSAIMTTASISSNTGNPLTNSSNFQANPHEMGAGEINPIQALDPGLVFETRNSDYLYFLCYYGYKEKIIRAISKTTFECPTNVTRKHISNINFPSISIGKLEGVTTVKRVATNVGPANAAYVSSIVAPKGLKVKVSPERIAFAEGGKKASFAVSFDGENASKGYNFGAILWSDGSHIVRLAFAVNIE >itb04g24450.t1 pep chromosome:ASM357664v1:4:29212476:29214386:1 gene:itb04g24450 transcript:itb04g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVEQKMKPMSATAVSKTSHRKTRRTVKTLNPNTFQHSPSSPYQETLGAPPLSAAMTDVELSRSEKKKKKSKSKEETEALPDNAGLTQADGDYLIKPQSFTPSIDTSQWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVLNLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSDVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWISCEAGTYVRTMCVHLGLLLGVGGHMQELRRVRSGISGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIADGKLDKHGKPNEKTPAEWLRNVVLPTGGDSMVAGLAAAADPATPAAEITGSVAEVEKKKKKHKEGEEDGHKRKLDEIDGSPASHAAKKPKVLEGEGKDGEAAEEVIEVKSEKKEKKKKKDKVKDEAASEEVEKSEKKKKKKKDKDVDNGEAAAAAVGSDEEANKSEKKKEKKKKKNKDAKEEL >itb00g00210.t1 pep chromosome:ASM357664v1:16:2083112:2086531:-1 gene:itb00g00210 transcript:itb00g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYMSYLAYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLLYEDVWLRSSDGVRLHAWFIKLMPDCRGPTILFFQENAGNIAHRLEMVRILLQRLNCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLVQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGSGSKGPKLLNFVVRSPWNTIDVVGEIKQPILFLSGLQDEMVPPSHMEMLYAKAAARNKQCLFVEFPNGMHMDTWLAGGDRYWRTIQQFLQQTAAEKKDSETKKEEPSVEGDVTFEFEAR >itb03g22590.t1 pep chromosome:ASM357664v1:3:20647341:20649155:1 gene:itb03g22590 transcript:itb03g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPKLLLSIFLAFFLLSSPEFSVSSASIPTLSPAPAPEPEPLPFDGIKAAYWPSWLAGTVAPAAIPTEYFTHVFYAFAVPDPTSFQLLISPADGQLMQDFTSSLHSQNPPARAFLSIAGAATLYVLANSKDTRASFIKSTIDVARKFEFDGLDLDWEFPNTTEAMADLSLLFQEWRLAIDQESFSSGKPRLQLSAAVYFAPTLLFPASLSYPGDAMRTNLDFLNPMCYDYRGAWNTSVTGAQALLYDRTSNISTSYGIWSWKQTGVDSRNIVMGMPLYGRTWELKDPKEHGIGDAAVGVGPGNNGDGILSYSNIVGFNLGNNATVVYDNATVSTYSYAGTNWIGYDDVTSITTKILFAKAQGLGGYFFWALGYDNLWTLSKAGKFNSLST >itb02g12540.t1 pep chromosome:ASM357664v1:2:8611412:8620064:1 gene:itb02g12540 transcript:itb02g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPEVLDAVLKETVDLENIPIEEVFENLRCTKDGLTSQAAQERLDIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEDAAILVPGDIISVKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQHREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDPDTVVLMAARASRTENQDAIDGAIVNMLADPKEARAGIQELHFLPFNPTDKRTALTYLDGQGKMHRVSKGAPEQILNLVHNKSEIERRVHAVIDKFAERGLRSLGVAYQEVPDGRKESAGGPWQMIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWNFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTDFFPRHFGVSTLEKRAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGVFLMVAFVIAQLVATLIAVYASWSFAAIEGIGWGWAGVIWLYNLVCYIPLDFIKFFTRYALSGKAWDLVLEQRIAFTRKKDFGKEQRELQWAHAQRTLHGLQVPDTKLFSEATNFNELNQLAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQAYTV >itb07g17420.t1 pep chromosome:ASM357664v1:7:21558374:21558900:-1 gene:itb07g17420 transcript:itb07g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRSIQAWISGSTESASLEMWSFRDTASQEEVNRPAARSEWSCRSPGLGGVNLVTEAAEEVNVGALNNGVELVEGDEALKVNEGTPPGCRNDSMTEVVVDEAANNVRRDEGEIRDLRSERWAVRVFRCGSAARSEEGE >itb01g02360.t1 pep chromosome:ASM357664v1:1:1509047:1512368:1 gene:itb01g02360 transcript:itb01g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGWPPTRSEILEAYMYWKLYIPNLYDFIYSNNLKSPSLTVEWLPGQEIPEGELYRFQKLILGTRAAENEQNRLLLFQVKLPNYDDEGEPRSSVGNGKIKRVQQINHDGVVNRARYMPQNPSIIATKTGSTEVFIFDCAKHPSNPLGKGVCKPDLRLTGHKDKGHALSWSPFEQGHLLSGSEDGKVCLWDVNATPKDKKLNSMRIFDIDQHGSVEDVAWHTTEKNLFGSVGEDKRVCIWDIRTPMVGLYNGGAHTAKVNSLAFNPISGWVIATGSSDRKVILFDLRMLSSAHRLHTLKWQAQEEVAHVRWNHKHENILASSCTGRKLVVWDLNRIGRAQTPEDAEEGPPELLFLHGGHLDSITDFSWNPSCEWAVASVAVDKNLQIWEMKERIYED >itb05g26470.t2 pep chromosome:ASM357664v1:5:30334391:30337576:1 gene:itb05g26470 transcript:itb05g26470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISWRILALACCIAAISYTAKSATPAADDYDYDTEKPAAPPPEQQHCAGIFLTYSFQGREKIYPLVKNTSAQAWSFKATLSILNAGKVELKAWKVFVGFHYNELLVSADGAVVVDADDFPILVGKNGTVFAGYPMTDLKTAIDTAGDFTQMQAQIEIKGTQFGLKEKATPMPKSIKLKNDGFKCPAAKKKPTYMSVCCKKDPKFKPKKEKKTKFMPKRYGDLSFTYDVLAAYDNKYQAQVTIDNNSPLGRLDQWNLTWQWMKNEFIYSMKGAFPHVKDPSQCIYGPQGQYYQQFDFTNVLNCQTRPVISDLPPTFINDNKIGKLPFCCRNGTLLPKTMNETKSRAIFQMEVFKLPPDLNRTAITPPQNWKITGQLNPTYKCGPPMRIDPSEFPDPMGTAMTTTAVASWQISCNISTPKHKEAKCCVSFSAYFTDSVVPCNTCACGCDADDEEQTPKRCNQNAAALPLPADALLVPFANRTAKALAWASMKHHKVPRRLPCPDNCGVSLNWHLDSDYRSGWTARITLFNWRDDPFANWFTAIQLKRAGKGFENVYSFNGTMLKGDGLDHTIFMQGLPGLNYLVGEVNGTHPGTDPRVPGKQQSVISFLKKGLPHLKIASGDGFPSKVIFNGEECALPKRIPKKDTGAAHTLRTGFLPPLFIAFLTFLLLSDRFN >itb05g26470.t1 pep chromosome:ASM357664v1:5:30334391:30338152:1 gene:itb05g26470 transcript:itb05g26470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISWRILALACCIAAISYTAKSATPAADDYDYDTEKPAAPPPEQQHCAGIFLTYSFQGREKIYPLVKNTSAQAWSFKATLSILNAGKVELKAWKVFVGFHYNELLVSADGAVVVDADDFPILVGKNGTVFAGYPMTDLKTAIDTAGDFTQMQAQIEIKGTQFGLKEKATPMPKSIKLKNDGFKCPAAKKKPTYMSVCCKKDPKFKPKKEKKTKFMPKRYGDLSFTYDVLAAYDNKYQAQVTIDNNSPLGRLDQWNLTWQWMKNEFIYSMKGAFPHVKDPSQCIYGPQGQYYQQFDFTNVLNCQTRPVISDLPPTFINDNKIGKLPFCCRNGTLLPKTMNETKSRAIFQMEVFKLPPDLNRTAITPPQNWKITGQLNPTYKCGPPMRIDPSEFPDPMGTAMTTTAVASWQISCNISTPKHKEAKCCVSFSAYFTDSVVPCNTCACGCDADDEEQTPKRCNQNAAALPLPADALLVPFANRTAKALAWASMKHHKVPRRLPCPDNCGVSLNWHLDSDYRSGWTARITLFNWRDDPFANWFTAIQLKRAGKGFENVYSFNGTMLKGDGLDHTIFMQGLPGLNYLVGEVNGTHPGTDPRVPGKQQSVISFLKKGLPHLKIASGDGFPSKVIFNGEECALPKRIPKKDTGAAHTLRTGFLPPLFIAFLTFLLLSDRFN >itb09g10830.t1 pep chromosome:ASM357664v1:9:6692314:6696459:-1 gene:itb09g10830 transcript:itb09g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLNILTVFLFLIPVLVLSLDPAFNDDVLGLIVFKAGIIDPESKLQSWNEDAESPCAWDGIKCDPQSNRVSEVVLDNFSLSGHIGRGLLRLQFLRVLSLSRNNFSGNINPVLAQIPSLSLIDLSENSLSGSVPDEFFRQCGSLRVVSFARNNLSGLIPVSLTTCSTLETVNLSSNQLSGQLPFGVWSLTSLRSFDVSDNFLEGEIPKGFENLYALRSISLRKNNFSGQLPENIGNCVFLKSVDLSENALSGGLPDSMQKLGLCTALNLRGNMFTGKLPDWIGNMKSLEILDLSANDLSGRVPDSIGYLQSLKEVNLSNNWFVGSLPDSLMNCVNLVALDASKNRFNGNLPQWIFKLGLVSVFLSGNRFTGSIEYPPAVSSYQGLRVLDLSTNALTGEISSAIGNFSGLEILNVSNNSLFGGIPATLGELNQTRVLDLSHNSLNGSIPSEIGRAVLLEELRLQGNFLTGLVPADIENCSSLTSLVLSQNNLTGTIPAAIAKLANLQIVDLSLNNFSGRLPKELTNLSHLIAFNVSHNHLEGELPVGGFFNAIPLSAVTGNPSLCGAVLNHSCPHQKPIVLDPNSSYAAHNSTSSLGHKRNMLSVSSLVAIGAAVFIALGVVTISILNLHVSSSVRQSAALMTFSGGDEFSHSYGTEANYGKLVMFSGDADFGSGTEALLNKDSELGRGGFGAVYRTELRNGRSVAIKKLNVASLIKSQEDFERQVQTLGKVRHENLVVIEGYYWTPSLQLLISEYVCGGSLYKKLHEEHPDEGGCFLSWQQRFKIILGVAKGLAHLHGMNIIHYDMKSTNILIDGSGDPKVSDFGLARLLPVLDRYVLSSKIQSALGYMAPEFACQTVKITEKCDVYGFGVLTLEVVTGKRPVEYMEDDVIVLCDTVRRALEEGKVEECIDERLRGNFPIDEAIPVIKLGLICASQVPSNRPDMEEVIRILELIQYSTESQDDLE >itb12g05900.t3 pep chromosome:ASM357664v1:12:4417758:4422382:-1 gene:itb12g05900 transcript:itb12g05900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPNSSPSANPPASPSNSSSSSPPPSSSAPPPAVTAAPPPLPPQAPPPLVPILPPPPLPSSPPPSPPALPPPSPLNAPPPTVNSTPPISSPPPPSAPLPTSPPPASPVATPPSPPAVSPPPPANVGAPPPADSPPPSIVKPPPALTPPPAGSPPSPPSSNASHASPPSIPSSPLPPDTSTPPPPNSSSAAGAPPPLTRSPVGTEKPTARSTTPSPTVPSDTTSGGSGGSKGGGVVAVGAILGVFVVALVVVGVWYKRRQRKREAASNPWYLAPSPFHSSQNSDASFLRSRSQHSVNLAGSGSQSNFVYSPDPAGIGNSRAWFTYEELAEATNGFSSQSLLGEGGFGCVYKGLLADGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYVPNDTLHYHLHGEGRPVMDWETRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEARVADFGLARLAADTNTHVTTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDSSQPLGDESLVEWAGEKLRSN >itb12g05900.t4 pep chromosome:ASM357664v1:12:4417661:4422382:-1 gene:itb12g05900 transcript:itb12g05900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPNSSPSANPPASPSNSSSSSPPPSSSAPPPAVTAAPPPLPPQAPPPLVPILPPPPLPSSPPPSPPALPPPSPLNAPPPTVNSTPPISSPPPPSAPLPTSPPPASPVATPPSPPAVSPPPPANVGAPPPADSPPPSIVKPPPALTPPPAGSPPSPPSSNASHASPPSIPSSPLPPDTSTPPPPNSSSAAGAPPPLTRSPVGTEKPTARSTTPSPTVPSDTTSGGSGGSKGGGVVAVGAILGVFVVALVVVGVWYKRRQRKREAASNPWYLAPSPFHSSQNSDASFLRSRSQHSVNLAGSGSQSNFVYSPDPAGIGNSRAWFTYEELAEATNGFSSQSLLGEGGFGCVYKGLLADGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYVPNDTLHYHLHGEGRPVMDWETRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEARVADFGLARLAADTNTHVTTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDSSQPLGDESLVEWVCSTNFYVDIRVIILYASKP >itb12g05900.t2 pep chromosome:ASM357664v1:12:4417661:4422359:-1 gene:itb12g05900 transcript:itb12g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPNSSPSANPPASPSNSSSSSPPPSSSAPPPAVTAAPPPLPPQAPPPLVPILPPPPLPSSPPPSPPALPPPSPLNAPPPTVNSTPPISSPPPPSAPLPTSPPPASPVATPPSPPAVSPPPPANVGAPPPADSPPPSIVKPPPALTPPPAGSPPSPPSSNASHASPPSIPSSPLPPDTSTPPPPNSSSAAGAPPPLTRSPVGTEKPTARSTTPSPTVPSDTTSGGSGGSKGGGVVAVGAILGVFVVALVVVGVWYKRRQRKREAASNPWYLAPSPFHSSQNSDASFLRSRSQHSVNLAGSGSQSNFVYSPDPAGIGNSRAWFTYEELAEATNGFSSQSLLGEGGFGCVYKGLLADGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYVPNDTLHYHLHGEGRPVMDWETRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEARVADFGLARLAADTNTHVTTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDSSQPLGDESLVEWARPFLVQALENENFDDLVDPRLEKNFVAIEMFRMIEAAAACVRHLAAKRPRMSLVVRALDSMDDLTDLSNGMKPGQSEVFNSREHSAQIRLFQRMAFGSQDLSSDFFNFSQASSRS >itb12g05900.t1 pep chromosome:ASM357664v1:12:4417640:4422382:-1 gene:itb12g05900 transcript:itb12g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPNSSPSANPPASPSNSSSSSPPPSSSAPPPAVTAAPPPLPPQAPPPLVPILPPPPLPSSPPPSPPALPPPSPLNAPPPTVNSTPPISSPPPPSAPLPTSPPPASPVATPPSPPAVSPPPPANVGAPPPADSPPPSIVKPPPALTPPPAGSPPSPPSSNASHASPPSIPSSPLPPDTSTPPPPNSSSAAGAPPPLTRSPVGTEKPTARSTTPSPTVPSDTTSGGSGGSKGGGVVAVGAILGVFVVALVVVGVWYKRRQRKREAASNPWYLAPSPFHSSQNSDASFLRSRSQHSVNLAGSGSQSNFVYSPDPAGIGNSRAWFTYEELAEATNGFSSQSLLGEGGFGCVYKGLLADGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYVPNDTLHYHLHGEGRPVMDWETRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEARVADFGLARLAADTNTHVTTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDSSQPLGDESLVEWARPFLVQALENENFDDLVDPRLEKNFVAIEMFRMIEAAAACVRHLAAKRPRMSLVVRALDSMDDLTDLSNGMKPGQSEVFNSREHSAQIRLFQRMAFGSQDLSSDFFNFSQASSRS >itb09g12390.t1 pep chromosome:ASM357664v1:9:7850526:7851041:-1 gene:itb09g12390 transcript:itb09g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVPVLVLFAVLFTGQAAGDLISAICKNTDYPAFCDSTLRKFTGSPYADKKRLAQMMLMSGALDEATATLNAIIDLLKDPNLGEQRKEILGSCRLGFGLAVDADANTVKDFDSGSYAKAASEVGSGADAGSACDALFKNAGLDGCPVPDGGLRHRQLAVVAQQLIKQLP >itb01g31530.t1 pep chromosome:ASM357664v1:1:35234020:35235831:1 gene:itb01g31530 transcript:itb01g31530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISEVVKPHAVLVPYPAQGHVKPMLKLAKILHQKGFHITFVNTEFNHRRLLRSSGHDSLRGLPSFRFEAIPDGLPPSDADATQDVPALCESTTTTCLGPFKDLLTKLNNTAVSNVPPVSCIVSDGVMSFTLAAAEEFGLPEILFWTTSACGFLGYMHYSQLIQKGYSPLKDASYLTNGYLETVVDWIKGMPGIRLRDLPSFINTPNPDDYMVKFVVQETERANKASAVILNTFDALEHQAISALQSMLPSVYTIGPLQFMEKQLVQDDDVKSFRSNLWKEDETCLDWLNTKDPNSVVYVNFGSITVMTPDQLVEFAWGLANSKKTFLWIIRPDAVSGEEAVVPPEFLEETKERGMLASWCPQEKVLGHPAVGGFLTHSGWNSTMESICAGVPMICWPFFAEQQTNCWYCGTHWGIGMEIDNNVKRDEVESLVRELMDGEKGKEMKRKAMEWKKLGQDSATAPSGSSYVNLEQIINKILLSPKC >itb06g13080.t1 pep chromosome:ASM357664v1:6:17677798:17680539:1 gene:itb06g13080 transcript:itb06g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSIFPLSNRRLIRRLLPLLKQHTTAIHTTTIITRRRLRFESQLTRSELLDRICRLLILRRYDAVDSINIDFSDALVDAVLRKLKLNPEASLHFFELASKQQYYRPHMKSYCIIVHVLSKARMFDEARFYLDELVELSRQKESTSLVWNGLIAVYTEFKFSPMVFDMVLKIYAKKGLIKNALYVFDNMPKCGCRPSLLSCNSLLSCLVKNREYHTAFCVYDQITRIGISPDIYTCTIMVNAHCKDGRVDKAEDFIEKMEAMGLEANRATYHSLINGYAENGDMTGAERVLRLMIERGISKNVVTYTLLIKGYCRQGNMEEAEKVFRGMEVAENDTVVVDEQVFGVLIDGFCQIGKLDEALRIRDEMLKLGLKMNLFICNSFINGYCKLGQVRKAEWVIRTMMGWNVRPDSYSYNTLLDGYCRGGWTSEAFNLCDEMIQVGIDPTVVTYNTLMKGLCQAGAIGDALDLWHLMLKRGFASDEVGYGTLFAALFKANDFEKATMLWKHIMARGYTKSLILFNTMIKGLCKMGKMIEAEQLLDKMKELGCSPDGVTYRTLINGYCKDGQIEMALRIKGVMEQQGILASIEMYNSFVSGFFMARKLNKVEDLLNEMHTKGITPNVVTYGALITGWVKEGELERAFNAYFVMINQGLNPNGIIFSTIIGGLYRHRRTADANMLLQKVMDFDTSLNLNCPHGFSNPHVRSLDVQKIANSLDEGVKNSIVPNKILYNLAVVGLCKIGRINDAREMISALFEKGFIPDEFTYCALIHGISLAGNVDEGFKLRDEMLKRDLVPNIAIYNALINGLCKTGNVDRALRLFHKLHSKGLTPNVITYNTLIDGYCKTGNTSEALELKERMIKAGITPSMVTFSTLTNALRRQGNVEEVSKLLDEMMKASWELNLVTKRKINEGNDI >itb10g08940.t1 pep chromosome:ASM357664v1:10:11540580:11542171:-1 gene:itb10g08940 transcript:itb10g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) UniProtKB/Swiss-Prot;Acc:Q0V7R1] MASHHHHLLTTVLLFLLAAAASTEQPKFREAPAFRNGKDCPAADPSTTIHIAMTLDYSSPYLRGSIAAVLSVLQHAGCPENMFFHFLAVHRHFRDLNATIVSTFPYLNFRLYRFNPALVRHLISSSIRRALDQPLNYARIYLADLLPATVTRVIYFDSDLIVVDDVEKLWGIDLDSHVLGAPEYSHANFTPYFTPHFWSHPLFSSIFDNRNPCYFNTGVMVIDLTRWRAGGYTQKLEHWMRVQKRFRIYNLGSLPPFLLVFAGNVKQVEHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRLDSKKPCPLDGLWAPYDLFRHGSLFSDS >itb02g18490.t2 pep chromosome:ASM357664v1:2:14856988:14859084:-1 gene:itb02g18490 transcript:itb02g18490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSRRLLRTLIASPRSSSHFTRFPSTSYSICSKSFSTEGDDLSALESDRSGSESESEVKSDPLSSTPEPDSNQRRNTGERPLENGMDNGIYKVGQAAIQKKLKSGNTVTLLSIGTGGIRNNRRPFDNEEPREYANRCAVQWHRVSIYPQRLGDLAAKNAIPGSILYIEGNLETKVFSDPITGLVRRIREVAVRQNGRLVFLGKLNDAEQPSKDEIKSVGYY >itb02g18490.t1 pep chromosome:ASM357664v1:2:14856728:14859159:-1 gene:itb02g18490 transcript:itb02g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSRRLLRTLIASPRSSSHFTRFPSTSYSICSKSFSTEGDDLSALESDRSGSESESEVKSDPLSSTPEPDSNQRRNTGERPLENGMDNGIYKAILVGQVGQAAIQKKLKSGNTVTLLSIGTGGIRNNRRPFDNEEPREYANRCAVQWHRVSIYPQRLGDLAAKNAIPGSILYIEGNLETKVFSDPITGLVRRIREVAVRQNGRLVFLGKLNDAEQPSKDEIKSVGYY >itb06g18240.t1 pep chromosome:ASM357664v1:6:21951329:21955334:1 gene:itb06g18240 transcript:itb06g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLSSSYPYLCNYYLLLSLWFGFIFAQTNNYSLVFTRCPNQTISAASPSPLLSSLFQELVDKSSETTFFHTYVGDDTTAISGRFQCRRDSSNRDCHACVNQLPGFSAAFCGQSVPARVQLSGCYIHYEAEELGADPSAPFQLLHSACSEKRGDERSRRFEELRYQVLAELERCVMNGEDEIENSEERGFCKLRYESMHGVAQCAGNLGHCECGECVNMAAQMAQDECGYSASGEIYLDQCFVSYKYDHGSGSSNGRLVAIAVGGIVASVIVGAIFYSLVSRGKKYDAITNLCETSQYLPLPPLQQFHDAIGLAVPVDHLIISAADGEEIMDHNSDATAANSVITVSDVSCLSRPPVGYHILEITLISAQDLPPVSKSLRTYALVWTNPNRKRTTCIDQNGNTNPTWNDKFSFKVDDEFLASEKSAVTVEIYTVSWFRDVLVGTVSVNVSNLISPSEKGQKHVALQIRRPSGSPQGMLNIGATLTESTSKSMPLSDLLSSSSGYKKDLELEKRNILEYHNAEDEERERLHAKILQWRRSMTPDMMSDLNGEEFPGKPGSVCNDGSMVNGGSLVNYGSEVCSDVGPSASVVAAEIAKSWQASAAPRKEIMERGVGDSMVLPANLTPEEEKRRKEAAVDDENEEMEMIPKNGGRKKPDNGMYSCFVYGFQFTIVCGANNSNPNKTKKKKSDKSSD >itb12g14270.t1 pep chromosome:ASM357664v1:12:13688314:13689840:-1 gene:itb12g14270 transcript:itb12g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTVSTPLNPTSNKLPDYKKSVKLKYVKLGYHYLISHGLYIFPLIVAVIAAQILTTSLQDLYNIWDNLQYDFISVILCSTLLVSLFTIYFITRPYPVYLIDFSCYKPEEARRCTMKIFMERSRLNGSFSEENLEFQKKILQRSGLGDNTYLPEAVLKVPPNPSMSEARKEAELVMFGAIDELLAKTKVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIASYNLGGMGCSAGLIAIDLAKKLLQLHPSSYALVVSMENITLNWYVGNDRSKLVSNCLFRMGGATILLSNKFTERRRSKYRLVHTVRTHKGADDKCFACVTQEADANGSIGVTLSKELMAVAGEALKTNITTLGPLVLPVSEQLLFFATLIGRKLLKMKLKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSESKGRVRRGDRVWQIAFGSGFKCNSAVWKAMKSIKPDKEKNPWIPEIHQFPLEVPKVANI >itb08g06680.t1 pep chromosome:ASM357664v1:8:5710093:5712240:1 gene:itb08g06680 transcript:itb08g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFPTPSPSLPPFTFTRSPHSHLPLITTFPAIFSRHRKIAPPLSTITASYSSQSPLLSARTVSISYTELKDKNADLSSKIEQGFGANGLGLLSISDVPEYSLLRKNLLHLSTRLANVSEDVKRELEDPDSRYSFGWSHGKEKQDGKLDKLKASFFANPILDLPTTEPSNIQRYPSYCRANIWPRTALPELEIAFKALGNLILNVGLLLAHHCDEYGESS >itb04g09250.t3 pep chromosome:ASM357664v1:4:8561653:8566771:1 gene:itb04g09250 transcript:itb04g09250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKYWIYKWKVSSRLRLQKMMKCICSGEQFRLDEIIPSSESLATRDYSASASASGFSSRAAGEADTKADASNIEEAESSLRESGFLNYEEARALLGRLEYQKGNLEAALHVFEGIDIAAVIPKIKISLARRSDPPRRNSQSDATLPMSMHAVSLLFEAMLLKTKSLQALGRFTEAAQTCKIILDTVESTLPDSLPENVATDCKLLDTLNKAVELLPELWKHAFAPQEVILAYRRALLYNWNLDVEIRSKIEMEFAEFLLYSGTDAIAPNLRSQSEGSFIPMNNIEEAVLLLLVLLKRSILKPTGHEPSILDHLYFALSIAGELRELACHVEELPPGIVGGKQKFTTLSLCYYAEGDNAVSLNLLRNLLNNRENENCILELLFAAKICKEDTNCLAEGIQYARKALPKLEGKCDQMASVASCLLGLSLSAQSRIVASDSQRTSRQSEALEALETAQNLTRGRDPNVLFYLSLENAEQRKLDAALYYAKELLRLEAGSSIKGWILLARILSAQKSYVDAENIINAALDETGKWDQGKLLRTKAKLQIARGNLKGAIETYTHILAVLQVKRKSFRAQKKLTQKMSNTDRSLEVETWHDLANVYTNLSQWRDAELCLSKSEAIAPHSASRLHCTGLLYQSRGLNKEALRLFGNALDIEPDYVPSLVSTAIVLKQLGCQSMPVVKSFLTEALRLDRTNTSAWYNLGLFYKDENGASALEAAECFEAATLLQESAPVEPFR >itb04g09250.t4 pep chromosome:ASM357664v1:4:8561653:8566771:1 gene:itb04g09250 transcript:itb04g09250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKYWIYKWKVSSRLRLQKMMKCICSGEQFRLDEIIPSSESLATRDYSASASASGFSSRAAGEADTKADASNIEEAESSLRESGFLNYEEARALLGRLEYQKGNLEAALHVFEGIDIAAVIPKIKISLARRSDPPRRNSQSDATLPMSMHAVSLLFEAMLLKTKSLQALGRFTEAAQTCKIILDTVESTLPDSLPENVATDCKLLDTLNKAVELLPELWKHAFAPQEVILAYRRALLYNWNLDVEIRSKIEMEFAEFLLYSGTDAIAPNLRSQSEGSFIPMNNIEEAVLLLLVLLKRSILKPTGHEPSILDHLYFALSIAGELRELACHVEELPPGIVGGKQKFTTLSLCYYAEGDNAVSLNLLRNLLNNRENENCILELLFAAKICKEDTNCLAEGIQYARKALPKLEGKCDQMASVASCLLGLSLSAQSRIVASDSQRTSRQSEALEALETAQNLTRGRDPNVLFYLSLENAEQRKLDAALYYAKELLRLEAGSSIKGWILLARILSAQKSYVDAENIINAALDETGKWDQGKLLRTKAKLQIARGNLKGAIETYTHILAVLQVKRKSFRAQKKLTQKMSNTDRSLEVETWHDLANVYTNLSQWRDAELCLSKSEAIAPHSASRLHCTGLLYQSRGLNKEALRLFGNALDIEPDYVPSLVSTAIVLKQLGCQSMPVVKSFLTEALRLDRTNTSAWYNLGLFYKDENGASALEAAECFEAATLLQESAPVEPFR >itb04g09250.t2 pep chromosome:ASM357664v1:4:8561067:8566788:1 gene:itb04g09250 transcript:itb04g09250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKYWIYKWKVSSRLRLQKMMKCICSGEQFRLDEIIPSSESLATRDYSASASASGFSSRAAGEADTKADASNIEEAESSLRESGFLNYEEARALLGRLEYQKGNLEAALHVFEGIDIAAVIPKIKISLARRSDPPRRNSQSDATLPMSMHAVSLLFEAMLLKTKSLQALGRFTEAAQTCKIILDTVESTLPDSLPENVATDCKLLDTLNKAVELLPELWKHAFAPQEVILAYRRALLYNWNLDVEIRSKIEMEFAEFLLYSGTDAIAPNLRSQSEGSFIPMNNIEEAVLLLLVLLKRSILKPTGHEPSILDHLYFALSIAGELRELACHVEELPPGIVGGKQKFTTLSLCYYAEGDNAVSLNLLRNLLNNRENENCILELLFAAKICKEDTNCLAEGIQYARKALPKLEGKCDQMASVASCLLGLSLSAQSRIVASDSQRTSRQSEALEALETAQNLTRGRDPNVLFYLSLENAEQRKLDAALYYAKELLRLEAGSSIKGWILLARILSAQKSYVDAENIINAALDETGKWDQGKLLRTKAKLQIARGNLKGAIETYTHILAVLQVKRKSFRAQKKLTQKMSNTDRSLEVETWHDLANVYTNLSQWRDAELCLSKSEAIAPHSASRLHCTGLLYQSRGLNKEALRLFGNALDIEPDYVPSLVSTAIVLKQLGCQSMPVVKSFLTEALRLDRTNTSAWYNLGLFYKDENGASALEAAECFEAATLLQESAPVEPFR >itb04g09250.t1 pep chromosome:ASM357664v1:4:8561067:8566788:1 gene:itb04g09250 transcript:itb04g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGRKWLVEVLTFCGLSQCLLPFKRMSIKYWIYKWKVSSRLRLQKMMKCICSGEQFRLDEIIPSSESLATRDYSASASASGFSSRAAGEADTKADASNIEEAESSLRESGFLNYEEARALLGRLEYQKGNLEAALHVFEGIDIAAVIPKIKISLARRSDPPRRNSQSDATLPMSMHAVSLLFEAMLLKTKSLQALGRFTEAAQTCKIILDTVESTLPDSLPENVATDCKLLDTLNKAVELLPELWKHAFAPQEVILAYRRALLYNWNLDVEIRSKIEMEFAEFLLYSGTDAIAPNLRSQSEGSFIPMNNIEEAVLLLLVLLKRSILKPTGHEPSILDHLYFALSIAGELRELACHVEELPPGIVGGKQKFTTLSLCYYAEGDNAVSLNLLRNLLNNRENENCILELLFAAKICKEDTNCLAEGIQYARKALPKLEGKCDQMASVASCLLGLSLSAQSRIVASDSQRTSRQSEALEALETAQNLTRGRDPNVLFYLSLENAEQRKLDAALYYAKELLRLEAGSSIKGWILLARILSAQKSYVDAENIINAALDETGKWDQGKLLRTKAKLQIARGNLKGAIETYTHILAVLQVKRKSFRAQKKLTQKMSNTDRSLEVETWHDLANVYTNLSQWRDAELCLSKSEAIAPHSASRLHCTGLLYQSRGLNKEALRLFGNALDIEPDYVPSLVSTAIVLKQLGCQSMPVVKSFLTEALRLDRTNTSAWYNLGLFYKDENGASALEAAECFEAATLLQESAPVEPFR >itb12g12360.t1 pep chromosome:ASM357664v1:12:11068080:11068739:1 gene:itb12g12360 transcript:itb12g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYYTQTQSSLHILAALLVLLLILCSQSSLICGQPLSEYNIDNSSSIISWTNISSYVPDYSDGVGSSRAILFRQITSSTTFACGLICDDLGTTCLFGVLYSYYNWRYNQYLIWSAIQKRTVTVNASMELRRDGGLFLMDSDGSLVWSTHTNSNGSRRVSGLKLRENGNLVIFGQNNETIWQSFDYPVDVIPSWKGQVKRRSMELIRGSISTTNYGEG >itb15g04350.t1 pep chromosome:ASM357664v1:15:2746260:2748284:1 gene:itb15g04350 transcript:itb15g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQICSILNACKNLRTLKQVHASLTVSYGHSLSAVIVSKLTPLYVKFDDIHNSVSLLSSLQKPCTYHWNWLLKACVDLGLAEPAFYVYNQMREKGVLHDSYTFPIINRAVALSFGNYWYGKLIHCLAFKMGYDLDVYFCNTLIESYTKSGGLVDAFQVFEEMPQRDLVSWTSMISGFVSEGDGVEAFRLFREMQKEIHPNSVTMIVLLKSCLSFVEFIQVHCCVIKNGLLVDLSIKNSILKNYSSFFSVNEAEALFGEIESPDVVAWNIMISLYSSRGEIVRMIDCFHKMWAEVEPSVETLTTMISGLANGGNLSQGCEIHCFSLKSGLMDGILKSSLLNFYAKCCELERSIKLFNEITCKNCIVWSAMMTGFIENGHFEEAVELFLKILVAGDKPVYENLENLVIAYTSMGALQLGKGVHGYLVRNLFYTFDGGNSLMTSILNMYIKCGNISTARTCFDRMVSRDVVMWTSMIEGYGTHGLGSEALLLFHDMVEEGTNPNSITFLSLLSACSHSGLLTEGCEILHSMKTRFNVEPDLNHYTCVVDLLGRSGKVKEALTMILKLVVLPDSKIWGALLSASQVHNYQKVAEYACCRLMEMDPDNAGYYTLYSNVQASAERWDEVEDIRSAMKEKFLVKKPGWSCIEAGGLLHGFVSGDRSHPQMEEIHEVLMSFK >itb02g04080.t1 pep chromosome:ASM357664v1:2:2428928:2430655:1 gene:itb02g04080 transcript:itb02g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIRLYPHVVCISWCHFHLFFIPSPIFSFAGHSPRSNKKIMASKLMSCGVAAVCSSVLSSSKSKFATAVPLPVSANVTASGRITMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGIIIPEALGLGNWVKAQEWAAIPGGQATYLGQPVPWGTLPTILAIEFLAIAFVEHQRSMEKDTEKKKYPGGAFDPLGYSKQDPAKLHELKVKEIKNGRLALLAFVGICVQQSAYPGTGPLENLASHLADPWHNNIGDILIPRNVSP >itb11g03840.t1 pep chromosome:ASM357664v1:11:2068122:2071072:-1 gene:itb11g03840 transcript:itb11g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGTQSGSNAPAPFLTKTYELVDDPSTNHIVSWHQNGRSFVVWNPPEFACELLPKYFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEEFIRGQTHLLKNIHRRRPIHSHSGQGNSVAPLNDSERQGFEEEIERLKHENSFLQSEVERREQENQEYKLEFQSFEHRLLKVDQRQRRLVALLGQLSQKPTFASALMQQLDTHNKKRRLSISNSLYDEDNIGDNQFLSFQKGPGSGSTTHLPQLSYELVAKLDSSINFCENVLNGISKTPGEDVYEFSALPRPSPIIETEMQARSGDSEVNVRPCSLHSQPSSTSRDMCSSPELAGSSSHTGTPEILSIFPNLESRHNPSGIDVNTSPASTLEPTILKDKVEGSSSTSMPNGGNDVFWQQFLTETPTPGCSDRQEVESERRETVGRKYDSQLAESHRPWWNTINIEHLTEGMGHLSPMTGS >itb03g20060.t1 pep chromosome:ASM357664v1:3:17961384:17963116:-1 gene:itb03g20060 transcript:itb03g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTLLSLCLPIATGATLNQKRIVFRRQPFGLRQVASRSYSTKANTALQPPDVSRLAETARISLTMAEVEEFALKIRQVIDWFGQLQAVDLQSIEPVIRADAEGDNLRDDFPEIFENREAMIAALPIYEEPYIKVPKVLNKE >itb14g00320.t1 pep chromosome:ASM357664v1:14:211693:215092:-1 gene:itb14g00320 transcript:itb14g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVEFLLENLKQLLLYHVDLLSGVKDQVESLHRELSLMKAFLKDSREKRSEYEYVRELVSQITIVAYEAEDIIDTFVVNAAAQKARSTMKRVIYAFDHSSKLRNVAKEIQTIKVKVKEIYDKKLFGIESLHGREPFRRTTPQKKAPIVEEENVVGFNEEAKVVMDRLTKGSKELEIVSIVGMGGLGKTTLAKKVYTDPSIEYHFYNRAWIYVSQQYNRKEVFLGILDSLGLLSEKMYRMNDDRLAEELCGQLKSNRYLIVIDDVWTKEAWDDLKMAFPKTSYGSRILLTTRNTEVALHADPESPHHLQFLTDEKSWELLCKKVFRKGSCPSELEHLGWEIARRCYGLPLAIVVVSGLLVKREKTRDWWKKVADAVSSYVARDPKECMDILALSYKHLPDHLKVCFIYFGVFPEDFEIPVWKLLKLWVAEGLIQPLGQECLEYTAEEYLEDLVDRNLVLIAKKRANGRIKTCRIHDMLRDLCVKMGAEERFLEVIKSSAENHPLSLIPSYHRRLCVHSQFLDFVSSKPYRPHVRSFMCFALEEVDLPREHTSFIQEAFRLVRVVDLRCISFSRFPNEIVQLVHLRYIAISGNFKVLPASISNLWNLQTLIVGTKSRELDIKVDIWKMSQFRHLYTSSLSCLCGPPAQTRKDNEDPFVRRNIQTISTVSPSCCTKNILARAPGLRKLGIRGKLVTLVTANGGSVMFDNLAKLDHLETLKLLNDTFPLHPSQCQIPSLPQWYKFPPNLKKLTLSDTFLDWQQISTLGMLPNLEVLKLKDYAFKGTHWEPLDGGFRLLRVLHIGRTDLVYWNASSHHFPRLQRVLLRHCEKLVGIPPSLGEVSSLQNVELYWPLPSAAASARVIQTQKLNNQLVQGIVNNSFKLFIYPPDL >itb14g00320.t2 pep chromosome:ASM357664v1:14:211693:215078:-1 gene:itb14g00320 transcript:itb14g00320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVEFLLENLKQLLLYHVDLLSGVKDQVESLHRELSLMKAFLKDSREKRSEYEYVRELVSQITIVAYEAEDIIDTFVVNAAAQKARSTMKRVIYAFDHSSKLRNVAKEIQTIKVKVKEIYDKKLFGIESLHGREPFRRTTPQKKAPIVEEENVVGFNEEAKVVMDRLTKGSKELEIVSIVGMGGLGKTTLAKKVYTDPSIEYHFYNRAWIYVSQQYNRKEVFLGILDSLGLLSEKMYRMNDDRLAEELCGQLKSNRYLIVIDDVWTKEAWDDLKMAFPKTSYGSRILLTTRNTEVALHADPESPHHLQFLTDEKSWELLCKKVFRKGSCPSELEHLGWEIARRCYGLPLAIVVVSGLLVKREKTRDWWKKVADAVSSYVARDPKECMDILALSYKHLPDHLKVCFIYFGVFPEDFEIPVWKLLKLWVAEGLIQPLGQECLEYTAEEYLEDLVDRNLVLIAKKRANGRIKTCRIHDMLRDLCVKMGAEERFLEVIKSSAENHPLSLIPSYHRRLCVHSQFLDFVSSKPYRPHVRSFMCFALEEVDLPREHTSFIQEAFRLVRVVDLRCISFSRFPNEIVQLVHLRYIAISGNFKVLPASISNLWNLQTLIVGTKSRELDIKVDIWKMSQFRHLYTSSLSCLCGPPAQTRKDNEDPFVRRNIQTISTVSPSCCTKNILARAPGLRKLGIRGKLVTLVTANGGSVMFDNLAKLDHLETLKLLNDTFPLHPSQCQIPSLPQWYKFPPNLKKLTLSDTFLDWQQISTLGMLPNLEVLKLKDYAFKGTHWEPLDGGFRLLRVLHIGRTDLVYWNASSHHFPRLQRVLLRHCEKLVGIPPSLGEVSSLQNVELYWPLPSAAASARVIQTQKLNNQLVQGIVNNSFKLFIYPPDL >itb03g16400.t1 pep chromosome:ASM357664v1:3:15378827:15379762:1 gene:itb03g16400 transcript:itb03g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKDTKKKSKKHKNPKTTDQTSKTASDFAFKPSSEVKGLRFGGQFIVKSFTVRRARPPEILRLLSLPPSPSDTAAPPPFASTTAFLPTNFTILAHQAWHTLTLGLGTKKTKVVIFVFESESMKAAVDRRWPPEIPLGEVHKKLIRGLSGSEMARFKFRKGCITFYIYAVRRAGSLGFARADDLRTVLQSTVELKDFLDHTAMLAVPNQRSINCAAPPPPPVAMAH >itb11g00950.t2 pep chromosome:ASM357664v1:11:404677:410110:1 gene:itb11g00950 transcript:itb11g00950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGDNPTTPSALTSKPDHTTNPNSNASPKDQRPSPLPSESICSRSRSRGSKLNENNDHGSQPQGNPQNPEEFILLVASNFASQPLQYSDPDVWGVLTAISDKARKRNQGINILLTAGEHRIGRLVDDARFQISSPAVSASHCKIYRKRIANEDTEHNTLVFLKDSSTNGTYLNWEKLNKNSPEARLKHGDIISIAFAPQHEHAFAFVFREVLRPSSSAEEVAVKRKAEEFGGDSKRLKGIGIGTPEGPISLDDFRSMQRSNTELRKQLEDQVAKIDTLRNEYRATVEKHETEMKDLRDSVSKSYLDQLKELNQLLEAKEKEIVESNRISAELKHALEDLNERLSASEQSCLEANEVINSQKASLLELKALLDEEREQRKEEREKAALDLKASIQRVQAEAQEEIKRHSDAALRREKEQQEMIYKLQESEKERCSLVETMRLKLEETRQKLVNSDNKARQLEAQMHEEQLASVSNRKRIEELEHEKKRLRKELEREKQSAREEAWAKVSVLELEINSAVRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLRSMQRTLEDEENYENTSVEVELEPNNWNVNRSLVGERELGRCINGGRISKAGLSDSAHKQVRDAVGTSSDASVTEKHECNVRSQEDGQDTQEVEFTSTEPCQGAFGSDINGVETVPVMEGDTVGTEQIHESGANIDLVGTETVLETESPAINGDRNIDLNKCCSTIAAEDTMQLDVNTNEKEAQRHDSQPGNTLEVQNPPDETTEAVGGSIRTTDLLASEAAGSWACSTAPSVHGENNSSQRSKDDSNGGGPESSSCAPVAESQSAPSSSKRDHDRKALSEMIGIIAPDLKEQFSHAVGDKEEGGFASNSETESCTDDDDDTVVAPNTEVGSDAETIGSDAMDEDDD >itb11g00950.t1 pep chromosome:ASM357664v1:11:404677:410299:1 gene:itb11g00950 transcript:itb11g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEGDNPTTPSALTSKPDHTTNPNSNASPKDQRPSPLPSESICSRSRSRGSKLNENNDHGSQPQGNPQNPEEFILLVASNFASQPLQYSDPDVWGVLTAISDKARKRNQGINILLTAGEHRIGRLVDDARFQISSPAVSASHCKIYRKRIANEDTEHNTLVFLKDSSTNGTYLNWEKLNKNSPEARLKHGDIISIAFAPQHEHAFAFVFREVLRPSSSAEEVAVKRKAEEFGGDSKRLKGIGIGTPEGPISLDDFRSMQRSNTELRKQLEDQVAKIDTLRNEYRATVEKHETEMKDLRDSVSKSYLDQLKELNQLLEAKEKEIVESNRISAELKHALEDLNERLSASEQSCLEANEVINSQKASLLELKALLDEEREQRKEEREKAALDLKASIQRVQAEAQEEIKRHSDAALRREKEQQEMIYKLQESEKERCSLVETMRLKLEETRQKLVNSDNKARQLEAQMHEEQLASVSNRKRIEELEHEKKRLRKELEREKSAREEAWAKVSVLELEINSAVRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLRSMQRTLEDEENYENTSVEVELEPNNWNVNRSLVGERELGRCINGGRISKAGLSDSAHKQVRDAVGTSSDASVTEKHECNVRSQEDGQDTQEVEFTSTEPCQGAFGSDINGVETVPVMEGDTVGTEQIHESGANIDLVGTETVLETESPAINGDRNIDLNKCCSTIAAEDTMQLDVNTNEKEAQRHDSQPGNTLEVQNPPDETTEAVGGSIRTTDLLASEAAGSWACSTAPSVHGENNSSQRSKDDSNGGGPESSSCAPVAESQSAPSSSKRDHDRKALSEMIGIIAPDLKEQFSHAVGDKEEGGFASNSETESCTDDDDDTVVAPNTEVGSDAETIGSDAMDEDDD >itb11g21440.t1 pep chromosome:ASM357664v1:11:23160027:23166445:1 gene:itb11g21440 transcript:itb11g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MDAAALAMKKASCSSSSFLSKSIPTFTPTSSPFSVKWTQTRNPSKMDLKYSPSSPKFVLRSPRNPGVCCAALTSETETCPTHLAPAKLRLLASEFQSLSEPIDRVKRLLHYSSLLPPMEGSLKTMENRVPGCTAQVWLHVRLDGENKVRFFADSDSEITKGFCACLVWILDGAAPEEVLAMKTEDLGALNVMGLNGKGVSSSRVNTWHNVLMSMQKRTKALVAEMEGRMRSEPFPSLVITAEVISAKGSYAEAQARFLFPDDSKVQEIANLLQEKKIGIVAHFYMDPEVQGVLTAAQKLWPHIHISDSLVMADSAVKMAKAGCQYITVLGVDFMSENVRAILDQAGFPEVGVYRMSDERIGCSLADAAASPAYMDYLAMASLSSPSLHVVYINTALETKAYSHEIVPTITCTSSNVVQTILQAFAEVPNLNVWYGPDSYMGANIVELFQQMTMMTDQEIAEIHPQHNRNSLKSLLPRLHYFQDGTCIVHHLFGHEVVEKINEMYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFISQRVQEAMDRNIDEHLQFVLGTESGMITSIVAAVRKLLGSVNSTDRAAKVSVEIVFPVSSESVTKTPKVSSQDLPLGDMGDFLKVPIIPGVASGEGCSLHGGCASCPYMKMNSLSSLLKVSHGLPSGKDNLSAYEARRFSVLTPNGRLIADVGCEPILHMRHFQAKKQLPETLISQILQRAEVKI >itb14g06710.t1 pep chromosome:ASM357664v1:14:5941126:5953114:-1 gene:itb14g06710 transcript:itb14g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDELSELSDSMRQAAALLADEDVDDVSSSKRPATFLNVVALGNTGSGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDSSLSSKSIVLQIDSKPQQVSASALRHSLQDRLSKISSKSHDEMYLKLRTSTAPSLKLIDLPGVDKGNLDDSLSKYAEHNDAILLVVIPAAQAPEVASSKAIRIAKEFDSECTRTVGVISKIDQAASEPKILAAVQALLLNQGPRSTSDIPWVGLIGQSVAIASAQSGSVGSDNSLETAWRAESESLKSILKGAPQSKLGRLALVETLAHQIRNRMKIRLPNLLSGLQGKSQVVQDELFRLGEQMVSSAEGTRALALELCREFEDKFLLHITGGEGDGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLIDLVSAAANATPGLGRYPPFKREVVEIASNALEGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNRSSKKAVEAEQSILNRATSPQTGGQQSGGNLKSMKEKSGQQDKDAEESSTLKTAGPEGEITAGFLLKKSAKNNKWSRRWFVLNGKTGKLGYTEKQEERHFRGVITLEECNLEEVSDEEEAPAKSSKDKKANGPEAGKTPSLVFKLTSRVPYKTVLKAHSAVILKAESMADKSEWLNKLRSVINSKGGEVKGESAPTMRQSLSDSSLETMTRRPADPEEELRWMAQEVRGYVEAVMNSLAANVPKAVVLCQVEKAKEDMLTKLYSSISAQSSTRIEELLQEDQNVKRRRERFQKQSSVLSKLTRQLSIHDNRAAAAASYSNGSEEESPRSSEPPSPGSDWRSAFDAAANGSTSFSRSGSNGPSRRHGEPTQNGDINSGANPGGRRTPNRLPPAPPQSGSGYRF >itb03g22660.t1 pep chromosome:ASM357664v1:3:20697519:20700066:-1 gene:itb03g22660 transcript:itb03g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGLISLIRTVELEFLEPRPRLVVDYNDEIQCLRQRLSVLVALLEESEKKLNVSEAERDVIARVKEISLRAEDEIESEVMEILSNGASHETLHQILQRLAQDTEGLIQQRNTTQMLSSYNPQSNQEHIIPGGASKLDGAMVGHAQELERTKKMLLQSSIHERQVISIVGMGGIGKTTMAKKIYDDPSIRSRFDLLGWATVSQHYDLRRILHDLCRSVIQMTDEEITEDGMIYKEVADYTGSSGKYVCNLPFLDSNESWKLFSQKLGSIKLPPEVEEIGKRIVNKCRGLPLAITVAAGLLSKTKKSVENWQKIASAMNLPMTSDLHDQCSTILTLSYNNLPYHLKACFLYFGVFPRVRREISIKNLLNLWIAEGFVREDSGRSLEEGAMDYLQDLIDRNLVLISKLSFFDNIKTCRMHDLLFDLCLREVEKEKLLSTFRQRSENVVKLGNTEPLFEYANRWLTFQTMYNPRPKFSDNYNFHKSRTLFFFFGASGVFGAAESWRQNMSFKMIRVLDLREIQFEEAPNFDISDLILLRYLALSTIKYVRVLKHHHSLQTLIVKIESDEEIDSKWLQGLWKSQNLRFIEYPYSFPIDTDEIPAQDILHTLYWVPYLRCTKEFVLKIPNVKVLGIRCLEICSIQTETWWDNLHYLTKLEKLIVEDFESIPFPLQSINSFPQSLKKLKIVGTQLSLKFITVISMLPNLESLKFFQAYDMGEEEWETGNGGFLKLKFLFISAAKLKNWETMSDHFPVLQRLALHHCHDLEKIPEDFANITTLQLIELKDCCLSLVESAKDIQEEQSTYGNDQLVVRDYETRSW >itb12g18650.t1 pep chromosome:ASM357664v1:12:20911208:20915980:-1 gene:itb12g18650 transcript:itb12g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MGRGFSPGTGLLPSLPPSPAFLRLLRAAHEDSGSRRQGLTSRILGRCFLAVSNCVLAVSFWLPYARLCPGDSPGRVAMDMDQWAPNVDSQSIASQLFAFSLFPYLGFLYFLTKSKTAPKLTLSGFYFLLAFVVPAGIYAKVKSGTSLSNAYILRKREGEGMDKALVTLEKGTTCSAWNYSGQRLASGLTDGTVAIYNSNDPDSSVFTCSSKFRVHETGLIKIVWVPPEYGDGIACVCDDGTLSLWEEVSEDLETVQWKLCKSFDRNSSRVLDIQFGASPTSLKLVVAYSDGQAKIFELLDPLNLNNWQLQAEFQNVIDSISKFGKCLCLSASIAWNPSKGETQQSSFVLGFNSDIPQLNSSKVWEFDQDHQRWLPVAELALPADKGDQVFAIAWAPNIGRPYEVIALSTYKGIAIWHVGSEPEPDGRLTVEKVALLSPHDNEVWQLEWDMSGMTLASTGSDGLVRLWQSNIDGIWREQAIFEPTN >itb10g11320.t1 pep chromosome:ASM357664v1:10:16809185:16814167:-1 gene:itb10g11320 transcript:itb10g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVSSWNSYLSGTFQLTTDIKSPTQPFRIHCSNRFSFREHSSVQLTPKKITSVKIEHTSFASHDIKCYSYVQRNHQHLRDDDDNKPFFGFLMEPFRVTKTLLSFLAEQPSQLKYIEWPSIQSTLKTASLALVLVVLFIIALSSVDSALCFLLALLSRRAA >itb10g11320.t2 pep chromosome:ASM357664v1:10:16809197:16814080:-1 gene:itb10g11320 transcript:itb10g11320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVSSWNSYLSGTFQLTTDIKSPTQPFRIHCSNRFSFREHSSVQLTPKKITSVKIEHTSFASHDIKCYSYVQRNHQHLRDDDDNKPFFGFLMEPFRVTKTLLSFLAEQPSQLKYIEWPSIQSTLKTASLALVLVVLFIIALSSVDSALCFLLALLSRRAA >itb10g15820.t1 pep chromosome:ASM357664v1:10:22053923:22057680:-1 gene:itb10g15820 transcript:itb10g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFHGLGYAANLSSNAFKNLGNPIQFGGAEAGGVGGYCADTTLRLDSFSSSALSIPSSKGIKRKWSFIDGSINQQAGSSLCLHLGHSSSSSDSKGSSATGCTSNSSAKETDEESSMDLELDFTLHLGNEKSLSLKKSPTSEKGLGFLPKVDLELSLSSAHAESEITIVNPSSSLQTAMNVPQTTCEAFQFDEGSVSSWRGGSLQSSLNTPHNAGASFSLNQDARQARPTPISPNQSFSVITNSKSSVTCTSGITKQQQQRSSSTKQCQFHGCLKGARGASGFCIAHGGGRRCQKHGCHKGAEGRTAFCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHGGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQYPQCTKGAQGSTTFCKAHGGGKRCTFEGCTKGAEGSTPFCKGHGGGKRCSFQGGGICTKSVHGGTLFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCQFEGCGKSAQGSTDFCKAHGGGKRCYWGQPSSEFGKGEIPCNSFARGKTGLCASHGALVQDKRVHGGATLGVVVQEITPKKTENMKEIDTAEDMDADSMTVERSAVTSANGSDWKYISFKQATPSVPVTIPEGRVHGGSLLSMLASNSDSSLSSSRGMENPSEPRKAYITHQSWM >itb11g12500.t2 pep chromosome:ASM357664v1:11:9360492:9365128:-1 gene:itb11g12500 transcript:itb11g12500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECDDSSAGIQDSYALCRVFKKNGICSEIEEQAQPSCNIQILDYSSAQTVANDQYETPSPEVPFTSSSCVEEEEKEDKDDSWMQFITEDAWCSFTSPYATEEVSQTTFTN >itb11g12500.t1 pep chromosome:ASM357664v1:11:9360122:9365243:-1 gene:itb11g12500 transcript:itb11g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVSSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECDDSSAGIQVDSYALCRVFKKNGICSEIEEQAQPSCNIQILDYSSAQTVANDQYETPSPEVPFTSSSCVEEEEKEDKDDSWMQFITEDAWCSFTSPYATEEVSQTTFTN >itb15g09380.t1 pep chromosome:ASM357664v1:15:6658376:6660522:-1 gene:itb15g09380 transcript:itb15g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSAQRPNGYGDDDSDEWKRSLPPGHGFYPTDQELITEYLKHKAQNLKIHAGIINDLDIYNYHPEELEAANALNNWNGRRYFFTAFKRKTKDGTRGDRAVGGGKGYWKASQAREPLKDGGGVVIGTKQPLVFHDAEGKKTSWLMSEFRYPANVDPFPLYNQTEHELALCVIYYHGERKIVGDNQESKSNNTNSMENLQSPHLIPTPCANPNFASTSTMQNPNHTPYPTLNPNFNATSTLQCPNHPPSPAQNSNFITISFPYPTQNPNFNATSTLQSNNHPPLPCQNSNNFIATSSLYPTQNLNFNSTSALQSPNHPPSPTQISNFITTSSLYSTQNPSLNATFALQNPNHSPPLTQNSNFIPTSSMQNLNHSLSFAQNPNFIETSSLRNSNHPLSSSQNPNFIATSSQQNPTFPQYSLAQNSFLQGAWNWNNELPAPLFIDEEIHQYFNDDGNGDTFQLRVLSYDSDDTDESSSRKKRIKKKKVLNKTK >itb01g04160.t1 pep chromosome:ASM357664v1:1:2772943:2773904:1 gene:itb01g04160 transcript:itb01g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASQYDYRYFCPNTSTNTTTYTTNVKSLLRALSSKGNVENGFYNFTAGHDRDTVYGMFMCRGDISTIDCAACINQACSDILRVCSEQKTAVIWFDHCMLRFSNEYMFQKLDKWVRLNMSALEKNSEPGFMQRVVKTSEEMTRRVAGNLMKFGTYEANFSKSERVYSLGQCTPDISEWDCQTCLKSAVQLLPTCCDSALGARVLSPSCNVRYEIYPFYSNKTTASALAPTSGNNGNSSTKLIIAIVVPVAGVILFVFYFLRIRGVMKGNTTIPTTGKVSD >itb01g29880.t1 pep chromosome:ASM357664v1:1:33992160:33993404:1 gene:itb01g29880 transcript:itb01g29880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-interacting partner 15 [Source:Projected from Arabidopsis thaliana (AT1G76920) UniProtKB/Swiss-Prot;Acc:O49279] MDSSPLNRLPQDTFHQIFTHLPLRDIFISRCVCKAFNASLSSPPFLNLIAAHQPPLSLLALRPSHRHSHAGGSSSPSLHVFDTSLNHWFRFPLSFLPFRSHYPITSSHGLLYLWAENPTHPPHNHNTKTLVVCNPLLRDYKVLPQLGSAWCRHGSVLVGSPNQVLVLTELAAIYFSSASAPNNWQKFSSNLPSKPRSPVLISDTILALCDVGSPWRSQWKLFKSTIKDLQLTQQWTRLEKHEWGDIFDILKRPRLLVGEKNKVLMIGGLKISYSLHSSCSTILILRLDLESLEWEEAGRMPPDMFKHFQDSTKFKVFGGGSRVCFSGKRVGRLALWEERENGEADWRWITGVPGNGDGLYRGFMFQARLTALP >itb11g18950.t1 pep chromosome:ASM357664v1:11:19420044:19420870:-1 gene:itb11g18950 transcript:itb11g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKNKQPMKDLLIKDDNDTASLFSENSELYHHSAGSKLRSTTLVGRHEEVNLIKELLLQESRRERRVVPIVGMRYLIVVDYMWSTGSWDEIQRCFPEDSNGSRILATTRLQEVAIYTGGSHNYWLNLPFLNPYESWELFSGRILNYGSLSSPPVKLEGIWRHIVEYCKGLPLAIVVVAGLVLATNQSLCQYKYCRRPQTFDT >itb12g06550.t2 pep chromosome:ASM357664v1:12:4885289:4888719:1 gene:itb12g06550 transcript:itb12g06550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLVRTSLSSLECRARVFAFPLKFPSFSPHLCRLLSPSRRSLILYRNPGSRIEPHSVIVRRYGCSEAAISLETDNNTEESSAQKTNLQVQEDLCRDTVEDLLTKRNDVSRFMKMERRQEVEGDVQLDRWFPYLDKFNVGSMCLSSSEVLEAMDPYIMEARKERFRKAVKNRTYSVCLVVEGLSDFGNVSAAFRSADALGFQSVHVISCNSSKRYRDNRHVSMGAEKWLDIELWDSPYECFKVLKSRGYRIATTHLGMDAVSVYDMDWSCPTAVVVGNENRCLKSCCNLVNKIVIAGE >itb12g06550.t1 pep chromosome:ASM357664v1:12:4885289:4888740:1 gene:itb12g06550 transcript:itb12g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLVRTSLSSLECRARVFAFPLKFPSFSPHLCRLLSPSRRSLILYRNPGSRIEPHSVIVRRYGCSEAAISLETDNNTEESSAQKTNLQVQEDLCRDTVEDLLTKRNDVSRFMKMERRQEVEGDVQLDRWFPYLDKFNVGSMCLSSSEVLEAMDPYIMEARKERFRKAVKNRTYSVCLVVEGLSDFGNVSAAFRSADALGFQSVHVISCNSSKRYRDNRHVSMGAEKWLDIELWDSPYECFKVLKSRGYRIATTHLGMDAVSVYDMDWSCPTAVVVGNENRGISNEALELSDMHCSIPMKGMVDSFNVSVAAGILMHHAVCDRTSRMGCHGDLTLEESQILLAEFSLRHSNTAISIANEYAKRKIDKLTPKL >itb12g06550.t5 pep chromosome:ASM357664v1:12:4885289:4887183:1 gene:itb12g06550 transcript:itb12g06550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLVRTSLSSLECRARVFAFPLKFPSFSPHLCRLLSPSRRSLILYRNPGSRIEPHSVIVRRYGCSEAAISLETDNNTEESSAQKTNLQVQEDLCRDTVEDLLTKRNDVSRFMKMERRQEVEGDVQLDRWFPYLDKFNVGSMCLSSSEVLEAMDPYIMEARKERFRKAVKNRTYSVCLVVEGLSDFGNVSAAFRSADALGFQSVHVISCNSSKRCKAT >itb12g06550.t4 pep chromosome:ASM357664v1:12:4885289:4887183:1 gene:itb12g06550 transcript:itb12g06550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLVRTSLSSLECRARVFAFPLKFPSFSPHLCRLLSPSRRSLILYRNPGSRIEPHSVIVRRYGCSEAAISLETDNNTEESSAQKTNLQVQEDLCRDTVEDLLTKRNDVSRFMKMERRQEVEGDVQLDRWFPYLDKFNVGSMCLSSSEVLEAMDPYIMEARKERFRKAVKNRTYSVCLVVEGLSDFGNVSAAFRSADALGFQSVHVISCNSSKRYRDNRHVSMGAEKWLDIELWDSPYECFKVLKSRGYRIATTHLGMDAVSVYDMDWSCPTAVVVGNENR >itb12g06550.t3 pep chromosome:ASM357664v1:12:4885332:4888740:1 gene:itb12g06550 transcript:itb12g06550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLVRTSLSSLECRARVFAFPLKFPSFSPHLCRLLSPSRRSLILYRNPGSRIEPHSVIVRRYGCSEAAISLETDNNTEESSAQKTNLQVQEDLCRDTVEDLLTKRNDVSRFMKMERRQEVEGDVQLDRWFPYLDKFNVGSMCLSSSEVLEAMDPYIMEARKERFRKAVKNRTYSVCLVVEGLSDFGNVSAAFRSADALGFQSVHVISCNSSKRYRDNRHVSMGAEKWLDIELWDSPYECFKVLKSRGYRIATTHLGMDAVSVYDMDWSCPTAVVVGNENRGISNEALELSDMHCSIPMKGMVDSFNVSVAAGILMHHAVCDRTSRMVGILLRAILLFHLFDKHKKIVFILLNDTRFIV >itb08g01880.t1 pep chromosome:ASM357664v1:8:1506552:1507289:-1 gene:itb08g01880 transcript:itb08g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLTCHRSNSLRGGIEAAIIVGGSKARNGRSLRRHHDRSWSGNLVPSWPAPGNNNGAATSNPGRQKAEGGRVSQRRHSGPVECETPRLVRSSGMRRDWSFEDLRQNVKA >itb03g23520.t1 pep chromosome:ASM357664v1:3:21720028:21722678:-1 gene:itb03g23520 transcript:itb03g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGYFLHLPILVLFLTLGYGYGYDPNECLPRKCGNNGPQISFPFRLKHIQPPECGYPGFDLLCTHKGETALEIPFPLLNATLQLPFPVKFFIEDIDYEYQEIVISRVDGCLPQLLPILNLSASPFSFEDLYDFSILSCSTHRNITASIACLTKPGHFIYAVDSSEYLYSASDLISCTKMFDISVPYYFIAQDNNEFRLSWTGPRCRLNNNSTADDVDDCYGKSPSEVLGPFLVVVIVLVIYRIYSSNKMKKEDQVKIERFLEDYKALKPARYSYVDIKKITDRFSEKLGEGSYGTVYKGKLSDDVFVAVKVLNNSKGDGDGEEFVNEMSTIGSIHHINVVRLVGYCADGFHRALVYEYLVNNSLEKFVFSPNDTNFLGWEKLQQIALGIAKGVEYLHQGCDQRILHFDIKPRNILLDQNFNPKISDFGQAKLCSKEKSIVSMTAARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEVVGGRKKSDISESPETSQAQDYFPDWIYNILNRGEEVEIQIEKEEDSKIAKKLTIVGLWCIQWYPVDRPSIKVVIQMLEAEEPPSMPPNPFTSTDPSKAKANKPGKLFTSGLEIISELE >itb12g01230.t1 pep chromosome:ASM357664v1:12:895028:898072:1 gene:itb12g01230 transcript:itb12g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRDQKGKHRSEAEAETETETPRLPSQEMMNFRMLCHVDTAGGVIGNNGTEVRHLQNQTGCRILVEKPIRNCLERVINVTGDAAVERDIVLNDGTGEPEAVHVSAAQEGLLRVLERILELEGNGGREDGAVGCRLLGNSFQITALMELEGNGENVDAIRRIHGAKIQVLNNKDHLPACIAEDDELIQIMGGRLSVKRALVSVSQCLQDCATGRIQADMSLGVPLHEDLADLFSQAASLMPAVMDSAVDTFPVGHSVSKYVDRVLTIDKENSQHKIAFKLLCSNNSAAGVIGRGGSVIHALENETGACISFCPPVHGSKDRVAIIFSFEKRDPVYPSAQIAVVRVFEKSMEVALECGLISGLHKGTIVTAKILVTSHQLRCLIDEKGQVCTDIGSTSGVKIKLSPRDLLQDLVAENEEVIQIVGEYFNVKVALFQVTGKLRGNFFPSFVSEGVAYEQYPDSSMPESSHDEVQLNLDPEMDHVSDLSSPEKVDPSRFVPNLGGPHKLLSNKQRMNESMDVKEVTNKTVEIEVPEQKMCLVYGLEGSSLTILKESSGAQITVQDPHPGENSGKVIISGTPEQVQVAKCLLQAFIYVKP >itb05g13840.t1 pep chromosome:ASM357664v1:5:20945238:20947220:-1 gene:itb05g13840 transcript:itb05g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGEGNPTLNKKTGLPRKRFYRARAHSNPLSDSHFPVPISPAQVDYASHYPKLSDGSKKIEFADIGCGFGGLLIALSSLFPDTIMVGMELRDKVTEYVKERIVALRANNPGQYENISVVRTNSMKYIPNYFEKAQLKKMFFLFPDPHFKEKNHRRRVISPHLLDEYAYALAVGGIIYTITDVEELGEWMKSCLEDHPLFEALSNEELEADPAVKLLTTATEEGQKVARNDGQTFQAVYRRIAMR >itb01g19660.t1 pep chromosome:ASM357664v1:1:25887893:25889858:1 gene:itb01g19660 transcript:itb01g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRRKELGISCMLNTEVGAVLAVLRRSSDGGHYFAAEECYDTPISQSLKSLRALIFNPQQEWRNLDPSLYLLPFLDVIQNDEAPAAATGLALSSVFKILKLEIFDHKTPGVREAINSSVAAITSCRLEKTDPVSEDAVMMKILQVLTAIMAHSASIWLTDQAVCMVVNSCFQVVQQSASRGDLLQRSARFTMHELVHIVYSRLPEVEVTDWENSESDTEDSALDDSGYGIRAAVDIFHFLCSLLNVVEVVESDGVTYQTADESIQLFSLVLINSAIELSGDSIGMHPKLLRMIQDDLFHHLIHYGSCSRPLVLSMICSTVLNIYHFLRR >itb09g31070.t2 pep chromosome:ASM357664v1:9:31566963:31572297:-1 gene:itb09g31070 transcript:itb09g31070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMVVHNPTFCDITWGAGGSTADLTLDIANRMQNMVCVETMMHLTCTNMPVHKIDHALDTIKSNGIQNVLALRGDPPHGQDKFVQVDGGFSCALDLVKHIRDKYGDYFGITVAGYPEAHPDVISPTGLATPEAYQNDLAYLKRKVDAGADLIITQLFYDTDIFLKFVNDCRQIGIKCPIVPGIMPINNYKGFLRMTGFCKTKIPPEIMAALEPIKDNEEAVKAYGIHLGTEMCKKILASGIKTIHLYTLNMEKSALAILMNLGVIEETKVSRSLPWRRPTNVFRVKEDVRPIFWANRPKSYITRTIGWDEYPHGRWGDSRNASYGAPSNHQFMRPRTRDKKLHEEWVVPLNNIDDICEIFSKYALGKLRSNPWSELDGLQPETQIINEQLGTVNLRGFLTINSQPAVNGQKSDSPIVGWGGPGGYVYQKAYLEFFCSGQKLNALVEKCKAFPSLTYLAVNKEGTLISNVSQTDVNAVTWGVFPAKEIIQPTVVDPASFVIWKDEAFEFWTKGWAQLYPETDPSRKVLEQVRNTHFLVSLVDNDYINGDLFAIFKDI >itb09g31070.t1 pep chromosome:ASM357664v1:9:31566963:31574198:-1 gene:itb09g31070 transcript:itb09g31070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIDKIRGCVSVSAEEGSCNGTTAPHGNVVFSFEFFPPKTEDGVDNLFERMDRMVVHNPTFCDITWGAGGSTADLTLDIANRMQNMVCVETMMHLTCTNMPVHKIDHALDTIKSNGIQNVLALRGDPPHGQDKFVQVDGGFSCALDLVKHIRDKYGDYFGITVAGYPEAHPDVISPTGLATPEAYQNDLAYLKRKVDAGADLIITQLFYDTDIFLKFVNDCRQIGIKCPIVPGIMPINNYKGFLRMTGFCKTKIPPEIMAALEPIKDNEEAVKAYGIHLGTEMCKKILASGIKTIHLYTLNMEKSALAILMNLGVIEETKVSRSLPWRRPTNVFRVKEDVRPIFWANRPKSYITRTIGWDEYPHGRWGDSRNASYGAPSNHQFMRPRTRDKKLHEEWVVPLNNIDDICEIFSKYALGKLRSNPWSELDGLQPETQIINEQLGTVNLRGFLTINSQPAVNGQKSDSPIVGWGGPGGYVYQKAYLEFFCSGQKLNALVEKCKAFPSLTYLAVNKEGTLISNVSQTDVNAVTWGVFPAKEIIQPTVVDPASFVIWKDEAFEFWTKGWAQLYPETDPSRKVLEQVRNTHFLVSLVDNDYINGDLFAIFKDI >itb02g08710.t1 pep chromosome:ASM357664v1:2:5525073:5528846:-1 gene:itb02g08710 transcript:itb02g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIKSRLSTKLLNITVACLCKAKQLEKAETVIIDGIRVGVPADVVTYNTLITAYCCFVGIDAGYSILHRMKEVGIKPDVITYNSLIASATRHGMLSRCLDLLEEMLEVGILPDTWSYNTLMHCLFRLGKPDEAYRFFQDIFLGDIPPCPVTFNILLSGLCMNGYTEKALMLFRDLKHSGFVPQLVTYNILIHGLCNSGRWAPARRLLNELVDIGYNPNAITYTTIMKCCFRSRRFEEGLQILEEMRRKGYIFDSFAYCTVISFLIKAGRMKDAYDCVGNMIMNGIELDLVAYNTLINLYCKEGKLDNAYMLVDEAERKGFDCDKYTHTIIIDGLCKAGNMKEALRHLNCTKLMGFDSNLVAFNCCIDGLCKVGWEVSCSVRAIAFMYYRWHEDSKIR >itb05g08610.t2 pep chromosome:ASM357664v1:5:12161640:12169374:1 gene:itb05g08610 transcript:itb05g08610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPCNANGAPSFRFNPRPSSSPCYLKVESKPHAPPFQQHLHGSTSVSWKLLLKTSKREREGALRVSAGMENCSELDRTKPSQNAFHFPPPHRRRTLMSETYHTLIHILSHCPTSSGPQPSHQKQEETGCSESGKEITAHGALVDQHMEAEIKLVNDIDHEKNKTHAILHEMGDISEGQIKDCIDLLDHNDDAMEVNGEVPQKNGANNGISSSLVDNSQASTLVLQSYNEQEDKSNTPELQLGESDLVKLQNMDKEISVHETCATMESCFMDEDNALTQLVQSGEEKIHIAEKKVLEDMEHGLQLNEMELQNLVDNNQASTLDHTDDAMDVNGEVHQKNGTNNGSGSLVDNNQASTLVLQLCDEQEDKSNTPELQVGESDIVRLQHMDKEISIHEACATIESCFMDEENELSQVVQGGQEEGHAAENKLLEDMEHELQLREMELQNLVDNNQASILDPNDDAMEVNWEVPQKNGANGISTPLVDNNQAGTLVLQLYEQEDKSNDPESCFKDKKVSIHEACATIESCFMDEDNELILLVQSSEEKSHVAEKNLLKGMEHGLQLKEMELQNLISASGAANSSVPETVVEEIEEGEISGDAGVSDESDGNMFEDTVSLEQGHTHVSITAEKEFILDVLDTKLQEKHVLTSFSNDSADGCSNISKELLGKKSEVEESDFEMVVCQKDVEKKKIDGFDNVIDSEIVNGQVDGVGKVDSSAACLALKTGSVTDAELEVANEGKRKKKRGPITKENRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCHEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFSHKVPAKTESSTPPIISKAELSSPLLPSSLSPKMQVNAHGSSQLFPGKNTGHTVGDTVQKLAVRAPKGVSFLTHGKLPVGDTNHKEFGSSLKEGDSGKFNQEEIHSKSDNTQMPNNVIKGPTSRIPHGINFLSLGRAPLIDSSSKTIPSFLNRGYRIEKLQLDEFSKGKDTASSPRIGDSCTNEMTTRTPPKSMPRGISLLAFGKVSLDVTSSTEQAVICNKEYKTASPVVQGIQGSLSRLGGSREMHFKFESSSFPSYQLLGQSAVEHSEGIANSSKTTFLPNIPSSAQKALQSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08610.t1 pep chromosome:ASM357664v1:5:12161640:12169374:1 gene:itb05g08610 transcript:itb05g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPCNANGAPSFRFNPRPSSSPCYLKVESKPHAPPFQQHLHGSTSVSWKLLLKTSKREREGALRVSAGMENCSELDRTKPSQNAFHFPPPHRRRTLMSETYHTLIHILSHCPTSSGPQPSHQKQETGCSESGKEITAHGALVDQHMEAEIKLVNDIDHEKNKTHAILHEMGDISEGQIKDCIDLLDHNDDAMEVNGEVPQKNGANNGISSSLVDNSQASTLVLQSYNEQEDKSNTPELQLGESDLVKLQNMDKEISVHETCATMESCFMDEDNALTQLVQSGEEKIHIAEKKVLEDMEHGLQLNEMELQNLVDNNQASTLDHTDDAMDVNGEVHQKNGTNNGSGSLVDNNQASTLVLQLCDEQEDKSNTPELQVGESDIVRLQHMDKEISIHEACATIESCFMDEENELSQVVQGGQEEGHAAENKLLEDMEHELQLREMELQNLVDNNQASILDPNDDAMEVNWEVPQKNGANGISTPLVDNNQAGTLVLQLYEQEDKSNDPESCFKDKKVSIHEACATIESCFMDEDNELILLVQSSEEKSHVAEKNLLKGMEHGLQLKEMELQNLISASGAANSSVPETVVEEIEEGEISGDAGVSDESDGNMFEDTVSLEQGHTHVSITAEKEFILDVLDTKLQEKHVLTSFSNDSADGCSNISKELLGKKSEVEESDFEMVVCQKDVEKKKIDGFDNVIDSEIVNGQVDGVGKVDSSAACLALKTGSVTDAELEVANEGKRKKKRGPITKENRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCHEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFSHKVPAKTESSTPPIISKAELSSPLLPSSLSPKMQVNAHGSSQLFPGKNTGHTVGDTVQKLAVRAPKGVSFLTHGKLPVGDTNHKEFGSSLKEGDSGKFNQEEIHSKSDNTQMPNNVIKGPTSRIPHGINFLSLGRAPLIDSSSKTIPSFLNRGYRIEKLQLDEFSKGKDTASSPRIGDSCTNEMTTRTPPKSMPRGISLLAFGKVSLDVTSSTEQAVICNKEYKTASPVVQGIQGSLSRLGGSREMHFKFESSSFPSYQLLGQSAVEHSEGIANSSKTTFLPNIPSSAQKALQSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb07g11440.t1 pep chromosome:ASM357664v1:7:12884083:12884979:-1 gene:itb07g11440 transcript:itb07g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCSQSEGQDSDNAVSGSEWEDEWEESENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTNEEVASLNAGSPGSEVVLVLELKSIADVGLVGMPNVGKSTLLGALSKAKPTVGHYAFTTLRPNLGNLNYDDFSVTVADIPGLIRGAHENRGLGHAFLRHIEWTKVLAYMVDLAAALGDNKGIPPWEQLKDLVLELEHYREGFSDRPSLVVTNKIDEDGTEEVCKELKQRVRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIESCRLKLDNIVLDL >itb09g23240.t1 pep chromosome:ASM357664v1:9:22537558:22537899:1 gene:itb09g23240 transcript:itb09g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLRHHSAARQWKNQQRRRTESLASREGRENSFVTGKKSGSAATCNHRRNRQRHLPPPSARRRVVNSRANVAAAVSLCIAVSPTYHRKSSNSAIENAKAAGEQITEWGKTIA >itb12g05320.t1 pep chromosome:ASM357664v1:12:3564441:3565782:-1 gene:itb12g05320 transcript:itb12g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGETIGQGSFGKVSLAVPARESGAAVLPAMVVKSCVAARADSLLKEGMVLEELQGCPEIIRCFGGGYSVEKGERLYNVVLEFASQGSLADRVKNSGDRRLSEFEIRRYAKALVRGINYVHKSGFVHCDIKLENILLGDDGGVRIADFGLAKRDGDGEGVRNLGCEIRGTPMYMSPEMVAGGEQGTAADIWALGCAVAEMVTGAPAWKCSDAAGLLMRIGVGEEVPEIPGKLSEEGKDFLGKCFLKDPRTRWTAEMLLSHPFVADHDDDDEISSPSISPRCPFDFQDWVSEPELLESCLTILPSPEPEFSRSKSPAAERLRGLVSDDHLPIWSENGDGWISVR >itb01g10420.t1 pep chromosome:ASM357664v1:1:9020425:9027955:1 gene:itb01g10420 transcript:itb01g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRGGTSGGGRGRQARQSRGGRVARLVQGTTRDVPVDEVPPSGIPLPQVTPQAAPQTTTPVDIAGTFQVQFRVFMMENEANGKEGNLVLIKQGAEARVFESTFVGRRSIVKERFSKKYRHPTLDSKLTLKRLNAEARCMTKARRLGVATPVLYAVDPILHSLTFEYVEGPLVKDILLGFGSSGIDEERIADIAFQIGNAIGKIHDGGLVHGDLTTSNMLMRSATNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAAYKKSSKQWSSTLNKLAQVRQRGRKRTMVG >itb14g00260.t1 pep chromosome:ASM357664v1:14:177562:185561:1 gene:itb14g00260 transcript:itb14g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MALRSSGRKLSFNILTASADDDGDDLDWLSTAGPALFRSKSDPPRPPPVDDALNPPCSHTKTRKKRKKNQKKAFEYSPISENSTVDEPIRGGSPVEPLNFDSMRYNGSFLQSSVVVCEEVAATGSPREVPDSNCTVSSILGPQYSELRQRSTVLSGACEEGVASFPVSKESESNGDGGSAVEKVDPMTRVEMMNGDGGVGRNLEKEVSLDWKRLMAEDQNHEFHVEKSPMKFLAEEMSAGNSLKNTICLGNEKERERVYDTIFHLPWRCELLINVGFFVCLDSFLSLLTIMPTRIITTFWKILKSRQLNRPSTAELCDFGCFVALIIGVTLLQQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLFQSFGGDVMQTLFTTAEDLATCSPENMQLWLWRFISDEIIAVTTSIIHSFILLAQAITMSTCIVAHNNALFALLVSNNFTEIKSNVFKRYSKDNVHNLVYSDAVERFHISAFLLFVLAQNILEAEGPWLQSFLYNALVVYICEMMIDIIKHSFIAKFNNIKPMAFSEFLEDLCKQTWNQPENAKNNLVFIPLAPACVVIRVLRPVYAAHLPHNPLPWRLFWILLLSALTFIMLLSLKILVGMGLKKHARWYIKRCQRRKKLHSD >itb14g00260.t4 pep chromosome:ASM357664v1:14:177562:182109:1 gene:itb14g00260 transcript:itb14g00260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MALRSSGRKLSFNILTASADDDGDDLDWLSTAGPALFRSKSDPPRPPPVDDALNPPCSHTKTRKKRKKNQKKAFEYSPISENSTVDEPIRGGSPVEPLNFDSMRYNGSFLQSSVVVCEEVAATGSPREVPDSNCTVSSILGPQYSELRQRSTVLSGACEEGVASFPVSKESESNGDGGSAVEKVDPMTRVEMMNGDGGVGRNLEKEVSLDWKRLMAEDQNHEFHVEKSPMKFLAEEMSAGNSLKNTICLGNEKERERVYDTIFHLPWRCELLINVGFFVCLDSFLSLLTIMPTRIITTFWKILKSRQLNRPSTAELCDFGCFVALIIGVTLLQQTDISLIYHMIRGQGTIKLYVVYNVLEDQWIIKLHHQYCL >itb14g00260.t2 pep chromosome:ASM357664v1:14:177562:183185:1 gene:itb14g00260 transcript:itb14g00260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MALRSSGRKLSFNILTASADDDGDDLDWLSTAGPALFRSKSDPPRPPPVDDALNPPCSHTKTRKKRKKNQKKAFEYSPISENSTVDEPIRGGSPVEPLNFDSMRYNGSFLQSSVVVCEEVAATGSPREVPDSNCTVSSILGPQYSELRQRSTVLSGACEEGVASFPVSKESESNGDGGSAVEKVDPMTRVEMMNGDGGVGRNLEKEVSLDWKRLMAEDQNHEFHVEKSPMKFLAEEMSAGNSLKNTICLGNEKERERVYDTIFHLPWRCELLINVGFFVCLDSFLSLLTIMPTRIITTFWKILKSRQLNRPSTAELCDFGCFVALIIGVTLLQQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLFQSFGGDVMQTLFTTAEDLATCSPENMQLWLWRFISDEIIAVTTSIIHSFILLAQAITMSTCIVAHNNALFALLVSNNFTEIKSNVFKRYSKDNVHNLVYSGKSSPKSLNISEVFLCF >itb14g00260.t3 pep chromosome:ASM357664v1:14:177562:182823:1 gene:itb14g00260 transcript:itb14g00260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MALRSSGRKLSFNILTASADDDGDDLDWLSTAGPALFRSKSDPPRPPPVDDALNPPCSHTKTRKKRKKNQKKAFEYSPISENSTVDEPIRGGSPVEPLNFDSMRYNGSFLQSSVVVCEEVAATGSPREVPDSNCTVSSILGPQYSELRQRSTVLSGACEEGVASFPVSKESESNGDGGSAVEKVDPMTRVEMMNGDGGVGRNLEKEVSLDWKRLMAEDQNHEFHVEKSPMKFLAEEMSAGNSLKNTICLGNEKERERVYDTIFHLPWRCELLINVGFFVCLDSFLSLLTIMPTRIITTFWKILKSRQLNRPSTAELCDFGCFVALIIGVTLLQQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLFQSFGGDVMQTLFTTAEDLATCSPENMQLWLWRFISDEIIAVTTSNILCFSKINK >itb01g24960.t1 pep chromosome:ASM357664v1:1:30486292:30487769:-1 gene:itb01g24960 transcript:itb01g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] MGRSPCCEKAHTNKGAWTKEEDERLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTHEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPTTHRPINGGAEPPKETTISFGAVKPEDAENNNSIPGKDSEPKKEENKEETLLFKSEEPQVAEACPDLNLELRISPPSSEETRPPPLPLEAAKSGGGGRVNGLCFACILGIPNSIDCTCNNNEDYSSGSSN >itb07g13750.t1 pep chromosome:ASM357664v1:7:16093307:16095481:-1 gene:itb07g13750 transcript:itb07g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNLGWTPLHYAVKLRDDETVRMILEKKSSAAYIRAGKENDWTTIFHIAARHGNIKTMKCISNSLPDCWAMINCKLQNVLHEAIMSRRMDMIKYIMKDPQIDNLVDQKDNDGNTPLHLFAIIYSWNIYYYNYLSARLKRKHLVFNKRHQTPLDVRHMASQRKVYSTFKHVSGGIPQRSGRRSGPRADLLQNQETSTKHTDDNNIDKEINCSLRISKTMTIVATLILTISFAAGFTVPGGYDSEQGYPILLRNAAFGFFVTADTAAFISSVSAIFIYMIMAS >itb03g18300.t2 pep chromosome:ASM357664v1:3:16617569:16621659:1 gene:itb03g18300 transcript:itb03g18300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYMSYLAYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLLYEDVWLRSSDGVRLHAWFIKLMPDCRGPTILFFQENAGNIAHRLEMVRILLQRLNCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLVQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGSGSKGPKLLNFVVRSPWNTIDVVGEIKQPILFLSGLQDEMVPPSHMEMLYAKAAARNKQCLFVEFPNGMHMDTWLAGGDRYWRTIQQFLQQTAAEKKDSETKKEEPSVEGDGK >itb03g18300.t1 pep chromosome:ASM357664v1:3:16617569:16621659:1 gene:itb03g18300 transcript:itb03g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYMSYLAYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLLYEDVWLRSSDGVRLHAWFIKLMPDCRGPTILFFQENAGNIAHRLEMVRILLQRLNCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLVQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGSGSKGPKLLNFVVRSPWNTIDVVGEIKQPILFLSGLQDEMVPPSHMEMLYAKAAARNKQCLFVEFPNGMHMDTWLAGGDRYWRTIQQFLQQTAAEKKDSETKKEEPSVEGDVTFEFEAR >itb03g18300.t3 pep chromosome:ASM357664v1:3:16617569:16621659:1 gene:itb03g18300 transcript:itb03g18300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILLQRLNCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLVQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGSGSKGPKLLNFVVRSPWNTIDVVGEIKQPILFLSGLQDEMVPPSHMEMLYAKAAARNKQCLFVEFPNGMHMDTWLAGGDRYWRTIQQFLQQTAAEKKDSETKKEEPSVEGDVTFEFEAR >itb03g18300.t4 pep chromosome:ASM357664v1:3:16618755:16621169:1 gene:itb03g18300 transcript:itb03g18300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILLQRLNCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLVQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGSGSKGPKLLNFVVRSPWNTIDVVGEIKQPILFLSGLQDEMVPPSHMEMLYAKAAARNKQCLFVEFPNGMHMDTWLAGGDRYWRTIQQFLQQTAAEKKDSETKKEEPSVEGDGK >itb08g04810.t1 pep chromosome:ASM357664v1:8:3980779:3983175:-1 gene:itb08g04810 transcript:itb08g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQILIGLIILLASLHSSSSQTSTLNNGASLSAPQDFLTSTPNGNFTAGFYQVGENAYSFAIWFSEPLIDGNQTVVWMANRDRPVNGVKSKLSLLKSGNLVLNDAGQTDVWSTQTTSYSPVHLKLLDNGNLVLQDPTIPIPLWQSFDSPTDILLPQQRITGTSNLVSGRSLTNHSSGFYKLFFDADNVLRLLYDGFGLTSVFWPSPWLVSWEAGRTTYNSSNTAFLNPFGHFYSSDDFKFNTADHRESIPRILKLDSDGNLRVYSLKNKIWKVTWQAISQTCTIHGICGLNSLCNYINHSGRRKCSCLPGHRAKNKTDWAYGCEPEFNLSCDGNSNSTNFGFVELNHVEFYGYDFKYIPNITLEDCRQKCLEKCRCRGFEYNLDDKDINKCFLKALLFNGYRSPDFKNPTYLKVPQKAQQKAAFLNDVSSDLQCEGMSEDVVVLGRNYREKGQKTWVKSFLWFTLAVGVFEILCLITYWVKTRKSPEEAMIGYRKIADRFQKFSYAEMKKATGSFSEEIGRGSSGVVYKGKLPDNRITAIKRLHEANYQGEEEFLAEMSTIGKLNHMNLIEIWGYCAEGKHRVLVYEYMENGSLAKNLDGNKLDWETRYEIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSDFNPKVIDFGLSKLLRRDANGGSQFSRIRGTRGYMAPEWIYNLPITCKVDVYSYGIVLLEMITGKSPFRFCGDEENNMVEQRRLVSWVREKRNGGFENGEWVEEIVDVGLDGKYDLKRMEVLVDVALKCSEEDRDARPTMSQVVHMLLSENED >itb12g16770.t1 pep chromosome:ASM357664v1:12:18225155:18227643:-1 gene:itb12g16770 transcript:itb12g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEKGGGGPGERKMFVGVVWNCAPELKLLLTALLLLFSIVTVLQFIPWRFTISAPTNLGSCLPAGPSPIATPIEPSSASPVELENGVVKRGFSGFGSAAYNFVIMSAYRGGYNTFAVMGLASKPLHVFGKPSYVCEWVPADVSRDSVSVAGDKILPDWGYGRVYTVVVVNCTFPVPVGDADTGGKLLIHAATNGAGDTHFNVTDTFTAMTETPQDFATFTSVFSSKPTYDYLYCGSSLYGNLSPQRVREWVAYHVRLMGKKSHFVIYDAGGVHPAVMEVLRPWMEKGYVTLQDVKEQERFDGYYHNQFLILNDCLHRYRFQTKWMFFFDVDEFIFVPKKKTLKSVLDSLSAYTQFTIEQMPMSNKLCLREDSGKTLRKWGFEKLVYKDVKRGIRRDRKYAVQPRNVVATGVHMSQNTVGKTTHKTEGRIMYFHYHGTIAERREPCRHLVNATALTVDNVPYVMDTTMRGIAGSVKRFELKTIGSVLQNTRQ >itb04g10490.t1 pep chromosome:ASM357664v1:4:10041188:10043338:-1 gene:itb04g10490 transcript:itb04g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAFHRLHLQLRVFSTTLLDGIFLAKPKLTYFHTQTDLYSKPITFSLSSSEHNSVIQRVCSLVYESYSNQENTHFKSTLPKLELPLDSELLTPEQCITVVASLADEAGSIAALSFFHWAIRYPKFRHFMRLYIVSSMCLMRNGNFDRAHEVIHCMVKNFGEIGMLKEAVDMVFEMRNQGLVFSARTLNCIVSVAAETGCIEIAENVFDEMCERGLYPDSFCFESMVVAYCKLGRAVDADRWVSAMLERGFIVDNATCTLIMSVLCQKGFVNRAFWIFNRLIELGLTPNLINFTCLINGLSKRGSVKQAFELLEEMVGKGWKPNVFTHTALIDGLCKKGWTEKAFRLFLKLVRSDSYKPNVHTYTVMITGYCKEEKLNRAEMLLSRMLEQGLVPNAQTYTSLIDGYCKVGNFTRAYELMEVMKKDDLLLSISTYNSVIDGLCKKGEAREAYKLLKKGLETGLSADLVTYTILISDCCKHADNRLAFALWCKMVKFGINPDIHTYTTLIAAQCRQKRMKESEKLFDDAIKLGLVPTKETFTSMISGYCRDKNISSAMKFFQSMGEYGCAPDCVTYGALVSGLCKESMLDEARKHYDTMMDKGISPCEVTRLTIAYEFCKKEEPSTAIALLDRLDKRLWTRTVDTLIRKLCSEKKVDMAALFFHKLLDKCQIVDKITLTAFMTACYDSQNYALVSNVNKRITKDNLCSSVLHFHSSD >itb15g03070.t1 pep chromosome:ASM357664v1:15:1933692:1937725:-1 gene:itb15g03070 transcript:itb15g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMAVPAEGKMSKHDIQRVPYAKPPFTLGEIKKAIPPHCFQRSVLRSFSYVVYDLIIASILYYVATNYFRLLPHPLSYLAWPLYWICQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPFFSWKYSHRRHHSNTGSLERDEVFVPKQKSDLGWYAKYLNNPPGRAFTLLIQLTLGWPLYLMFNASGRPYPRFACHYDPYGPIYSDRERIQIFISDTGIFAATYVLYKLAAAKGLAWVVCVYGVPLLIVNGFLVLITYLQHTHPALPHYNSSEWDWLRGALSTVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPIFAAMFREAKECIYVEPDEGDKNKGVFWYKNKL >itb08g06730.t1 pep chromosome:ASM357664v1:8:5777802:5780046:1 gene:itb08g06730 transcript:itb08g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRLSLSLFICAAIMIGLVDAKISNSMFVYWGAANHSSMLADDLQLVLDQTSGSGVQSKREFLFGSFEMQIKLVPGNSAGTVTSYYLSSTGNKHDEIDFEFLGNVSGQPYIIHTNIFTQGAAGREVQFHPWFDPTADYHNYTIHWNPNAVVWYIDGVPIRVYRNYTSLGIPYPNQQPMRIYSSLWDADDWATRGGLDKIDWTKAPFIAKYRNFRPTGCYWNGTASIAQCAIPSRKNWWSSAAFSRLSYAKAGQMNWVRQNFMVYDYCKDTKRFKGLMPGECYVPQS >itb09g04730.t1 pep chromosome:ASM357664v1:9:2640341:2641667:1 gene:itb09g04730 transcript:itb09g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFHIWVWFICWRQAVSNKVLSLSLFALSLSLTPFSRLPFPLVSLCASSLSLGFSALLRPASRFCVRAPRIDLLRLVARANIWRTNVIGCLQYYEDT >itb01g33250.t1 pep chromosome:ASM357664v1:1:36434761:36436744:1 gene:itb01g33250 transcript:itb01g33250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLSSYRNSGFAAKMEENAVQEAAAAGFQSVEKLIRLLSQSQPQVSGFSPPMPPATAAGEGSADYQAVADVAVSKFKKFISLLDRTRTGHARFRRGPICNPPPAQKKMDQESELGASGQTRVVENSEKAHTGSSKMYSPPPIQRLPPLPHNHHHLLKNGPAPPAPDRKESSTTINFSASQATSSPGSFISSLTGDTESLQPSLSSGFQITNLSQVSSAGRPPLSTSSFKRKCNSMDDSSLKCSSAGGSASGRCHCPKKRKSRVKRVVRIPAISMKMADIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPTMLIVTYEGEHNHSQSIGDTPASLILESS >itb01g33250.t3 pep chromosome:ASM357664v1:1:36434761:36436744:1 gene:itb01g33250 transcript:itb01g33250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLSSYRNSGFAAKMEENAVQEAAAAGFQSVEKLIRLLSQSQPQVSGFSPPMPPATAAGEGSADYQAVADVAVSKFKKFISLLDRTRTGHARFRRGPICNPPPAQKKMDQESELGASGQTRVVENSEKAHTGSSKMYSPPPIQRLPPLPHNHHHLLKNGPAPPAPDRKESSTTINFSASQATSSPGSFISSLTGDTESLQPSLSSGFQITNLSQVSSAGRPPLSTSSFKRKCNSMDDSSLKCSSAGGSASGRCHCPKKR >itb01g33250.t2 pep chromosome:ASM357664v1:1:36434761:36436744:1 gene:itb01g33250 transcript:itb01g33250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLSSYRNSGFAAKMEENAVQEAAAAGFQSVEKLIRLLSQSQPQVSGFSPPMPPATAAGEGSADYQAVADVAVSKFKKFISLLDRTRTGHARFRRGPICNPPPAQKKMDQESELGASGQTRVVENSEKAHTGSSKMYSPPPIQRLPPLPHNHHHLLKNGPAPPAPDRKESSTTINFSASQATSSPGSFISSLTGDTESLQPSLSSGFQITNLSQVSSAGRPPLSTSSFKRKCNSMDDSSLKCSSAGGSASGRCHCPKKRKSRVKRVVRIPAISMKMADIPPDDFSWRKYGQKPIKGSPHPR >itb05g15320.t1 pep chromosome:ASM357664v1:5:22714136:22717174:-1 gene:itb05g15320 transcript:itb05g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVRGGLNAGIQLKPEIKNLLARDLSSRETHSASIKTSSRNRACILKSTKVSCAHNEQQEKRHLVKMCGITSARDAALAAEAGADFIGMIIWPNSKRSISLTVAKEISKVAREYGAKPVGVFVDDDTDTILKASDAADLELVQLHGTGSRDAFPILVKEKRVIYVLHANEDGELLNTISDEECSMVDWVLVDSAKGGSGKGFNWARFKLPPIRSKYGWLLAGGIYPGNVCEALSTLKPHGIDVSSGICAPDGIQKDKLQILSFMNAVNSLCC >itb01g25860.t1 pep chromosome:ASM357664v1:1:31166156:31170970:-1 gene:itb01g25860 transcript:itb01g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKDIIVFSPPSLKALVFVVAVVGLEICGAQDINRASFPKGFVFGTASSAYQFEGAVKEDGRGATIWDTFSHTFGKIADSSNGDIAVDQYHRYAEDVQLMKDMGMDAYRFSIAWSRIFPNGAGEVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYNGWLDRQIIKDFAAYAEICFQKFGDRVKNWITINEPHTVTIQGYDVGLQAPGRCSIILHTFCQAGNSATEPYIVAHNLLLSHATAVDIYKRKYQPKQRGRIAITIDSFWYEPMSKSRADIDAKHRALDFYLGWFLEPVITGDYPRSMRSRVGDRLPKFSAAESALVKGSYDFVGINHYTTWYVTDNKTNIIGIFLNDTTADSGAVTLPFRGLIPIANRANSVWLYIVPRGIRSLMNYIKDKYGNPLVMITENGMDDAKQDGLHDVKRMKYHNDYLTNLLAAIKEDGCNVKGYFAWSLLDNWEWIAGFSSRYGLYYVDYNDKLKRIPKDSVKWFKNFLTSATNP >itb03g06610.t1 pep chromosome:ASM357664v1:3:4815940:4818861:1 gene:itb03g06610 transcript:itb03g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MLRYMAIPKLISSPSVYAPQSSPGMDSTLSPPPSGGDGDFDFGTAWYGNIQYLLNISAIGALTCLLIFVLIKLRSDHRRMPGPTAIVSKLLAAWHATGGEIARHCGADAAQFLIIEGGSSGILLFLAILAVAVMLPLNMYAGKAPIADQFSKTTINHIEKGSPLLWIHFIFVVVVVLFVHHGINQLQLRLKVTRFRDVYGNPSQPSANSSAIFTIMVQGIPKTLGFDKTPLVDYFQHKYPGKVYRVIVPMDLCALDDLVTELVKVREDISKLVAQIESRGMFGEAEDDDIGGGESGLLERLCDIWRRCKELWYQVANQLGFSYEERLRKLQELRADLEMEMASYKDGRARGAGVAFVVFKDVYTANKAVQDLRNEKRRRYGRFFSVTELQLQRNQWKVERAPLATDIYWNHLGSTKFSLKLRRVFVNTCLLLMLLFCSSPLAIISAIESAWRIINAEAMDNAQMWLAWVQSSSWFAAIVFQFLPNVLIFVSMYIIIPSVLSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESALLKMGQCYLDGQDCKRIEEYMSSSFLTKTCLSSLAFLITSTFLGISFDLLAPIPWIKKRLQKFRKNDMVQLVPERSEDYPLENQDVDTLSRPLISERTSYVVSGEVGNGILRDSSQHDIDFPAQNLSEYPPVSRTSPVPKQTFDFAQYYAFNLTIFALTLLYSAFAPLVVPVGGIYFGYRYVVDKYNFLFVYRVRGFPAGNDGSLMDRVLCIMRFCVVLFLLSMLLFFSVRGDSTKLQAIFTLLLLVAYKLLPSDNDGFEPALLQGIQTVDNIVDGPIDYEVFSQPAFEWDSYAQ >itb01g09970.t3 pep chromosome:ASM357664v1:1:8260608:8266753:-1 gene:itb01g09970 transcript:itb01g09970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARNIPPMDPNGFSDPYVKLQVGRQRHKTKVVKKCLNPSWCEEFAFRVDDFKEELLISVLDEDKYFNDDFVGQIKIPISQVFEAPDKSLGTSWYPLLPKSKKAKNKDCGQILLTICFLQNNLLGDLQSSGDSTPLPKKYADMASESPLRSSNATLRSPSPSPMRLEEPVSSKEEKSHAQTLAGRFYQIFNRNGDGAPTTYAKSTDTSELSDSVSTEDNEDAPEEQSSLVSFEEMVRNLEMREQDSEVPSNLPGVVLDQLYAVAPRELNSVLFSPDSNFLKSFIDFQGSTELKVGPWKLENDGVNLKRVVTFIKAATRLVRALKTTEEQTYLKADGKTYAVLVTVSTPDAPYGNTFRTEMLYCITAGPALPSGEESSRLVVSWRMNFLQSTMMKGMIENGARQGIKESLEQYAGLLSQTVKPVDEKEFASEKEQVLASLQAQPQSDCKLAVKYFANFTVISTFVIGLYVALHILLAMPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELISRFMQARGQKGGDHGVKAQGDGWLLTVALLEGNNLAAVDPSGFSDPYVVFSCNGKTRSSSIKFQSPCPKWNEIFEFDAMNEPPSVMDVEVFDFDGPFDEATSLGHAEINFLKTNISDLSDIWVPLQGKLAQACQSKLHLRIFLNNTKGNNIVKDYLSKMEKEVGKKIRLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRRMPLQGRLFLSPRIVGFHADLFGHKTKFFFLWEDIEDIQVISPTLSSMGSPILVVTLKPGKGFDARHGAKTQDEDGRLKFHFQSFVSFNVANRTIMALWKARALSPEQKVQIVEEESETKRLEIAEDDSIPKNSQAVDEETEGRTLQSEESGSFLGDGDVNMSTVYSSVLSIPTDFFMELFSGNELDRRIMERVGCLSYLPSSWESEKTDVYQRQLYYKFDKRISSYGGEVTSTQQKSHLPEKNGWLLEEVMTLHGVPLGDYFTVWILSLLGCLRFSLICVSMYRVFLLLTLFFCIFSAPFEISGRGCTLEIIDMQC >itb01g09970.t2 pep chromosome:ASM357664v1:1:8260592:8266753:-1 gene:itb01g09970 transcript:itb01g09970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARNIPPMDPNGFSDPYVKLQVGRQRHKTKVVKKCLNPSWCEEFAFRVDDFKEELLISVLDEDKYFNDDFVGQIKIPISQVFEAPDKSLGTSWYPLLPKSKKAKNKDCGQILLTICFLQNNLLGDLQSSGDSTPLPKKYADMASESPLRSSNATLRSPSPSPMRLEEPVSSKEEKSHAQTLAGRFYQIFNRNGDGAPTTYAKSTDTSELSDSVSTEDNEDAPEEQSSLVSFEEMVRNLEMREQDSEVPSNLPGVVLDQLYAVAPRELNSVLFSPDSNFLKSFIDFQGSTELKVGPWKLENDGVNLKRVVTFIKAATRLVRALKTTEEQTYLKADGKTYAVLVTVSTPDAPYGNTFRTEMLYCITAGPALPSGEESSRLVVSWRMNFLQSTMMKGMIENGARQGIKESLEQYAGLLSQTVKPVDEKEFASEKEQVLASLQAQPQSDCKLAVKYFANFTVISTFVIGLYVALHILLAMPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELISRFMQARGQKGGDHGVKAQGDGWLLTVALLEGNNLAAVDPSGFSDPYVVFSCNGKTRSSSIKFQSPCPKWNEIFEFDAMNEPPSVMDVEVFDFDGPFDEATSLGHAEINFLKTNISDLSDIWVPLQGKLAQACQSKLHLRIFLNNTKGNNIVKDYLSKMEKEVGKKIRLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRRMPLQGRLFLSPRIVGFHADLFGHKTKFFFLWEDIEDIQVISPTLSSMGSPILVVTLKPGKGFDARHGAKTQDEDGRLKFHFQSFVSFNVANRTIMALWKARALSPEQKVQIVEEESETKRLEIAEDDSIPKNSQAVDEETEGRTLQSEESGSFLGDGDVNMSTVYSSVLSIPTDFFMELFSGNELDRRIMERVGCLSYLPSSWESEKTDVYQRQLYYKFDKRISSYGGEVTSTQQKSHLPEKNGWLLEEVMTLHGVPLGDYFTLHLKYQVEDVPLRSSICSVNVQFGVSWLKYTKHQKRITKNIVSSLQERLSVMFSELEKEYSSRK >itb01g09970.t5 pep chromosome:ASM357664v1:1:8260608:8266753:-1 gene:itb01g09970 transcript:itb01g09970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARNIPPMDPNGFSDPYVKLQVGRQRHKTKVVKKCLNPSWCEEFAFRVDDFKEELLISVLDEDKYFNDDFVGQIKIPISQVFEAPDKSLGTSWYPLLPKSKKAKNKDCGQILLTICFLQNNLLGDLQSSGDSTPLPKKYADMASESPLRSSNATLRSPSPSPMRLEEPVSSKEEKSHAQTLAGRFYQIFNRNGDGAPTTYAKSTDTSELSDSVSTEDNEDAPEEQSSLVSFEEMVRNLEMREQDSEVPSNLPGVVLDQLYAVAPRELNSVLFSPDSNFLKSFIDFQGSTELKVGPWKLENDGVNLKRVVTFIKAATRLVRALKTTEEQTYLKADGKTYAVLVTVSTPDAPYGNTFRTEMLYCITAGPALPSGEESSRLVVSWRMNFLQSTMMKGMIENGARQGIKESLEQYAGLLSQTVKPVDEKEFASEKEQVLASLQAQPQSDCKLAVKYFANFTVISTFVIGLYVALHILLAMPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELISRFMQARGQKGGDHGVKAQGDGWLLTVALLEGNNLAAVDPSGFSDPYVVFSCNGKTRSSSIKFQSPCPKWNEIFEFDAMNEPPSVMDVEVFDFDGPFDEATSLGHAEINFLKTNISDLSDIWVPLQGKLAQACQSKLHLRIFLNNTKGNNIVKDYLSKMEKEVGKKVCTQGKFSSQMLFFLCIHSVSLLLIFRFHIKCFVDKIKVSSNKFSVPKALWAST >itb01g09970.t4 pep chromosome:ASM357664v1:1:8260608:8266753:-1 gene:itb01g09970 transcript:itb01g09970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARNIPPMDPNGFSDPYVKLQVGRQRHKTKVVKKCLNPSWCEEFAFRVDDFKEELLISVLDEDKYFNDDFVGQIKIPISQVFEAPDKSLGTSWYPLLPKSKKAKNKDCGQILLTICFLQNNLLGDLQSSGDSTPLPKKYADMASESPLRSSNATLRSPSPSPMRLEEPVSSKEEKSHAQTLAGRFYQIFNRNGDGAPTTYAKSTDTSELSDSVSTEDNEDAPEEQSSLVSFEEMVRNLEMREQDSEVPSNLPGVVLDQLYAVAPRELNSVLFSPDSNFLKSFIDFQGSTELKVGPWKLENDGVNLKRVVTFIKAATRLVRALKTTEEQTYLKADGKTYAVLVTVSTPDAPYGNTFRTEMLYCITAGPALPSGEESSRLVVSWRMNFLQSTMMKGMIENGARQGIKESLEQYAGLLSQTVKPVDEKEFASEKEQVLASLQAQPQSDCKLAVKYFANFTVISTFVIGLYVALHILLAMPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELISRFMQARGQKGGDHGVKAQGDGWLLTVALLEGNNLAAVDPSGFSDPYVVFSCNGKTRSSSIKFQSPCPKWNEIFEFDAMNEPPSVMDVEVFDFDGPFDEATSLGHAEINFLKTNISDLSDIWVPLQGKLAQACQSKLHLRIFLNNTKGNNIVKDYLSKMEKEVGKKIRLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRRMPLQVVIVVNLVYSQ >itb01g09970.t1 pep chromosome:ASM357664v1:1:8260608:8267108:-1 gene:itb01g09970 transcript:itb01g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARNIPPMDPNGFSDPYVKLQVGRQRHKTKVVKKCLNPSWCEEFAFRVDDFKEELLISVLDEDKYFNDDFVGQIKIPISQVFEAPDKSLGTSWYPLLPKSKKAKNKDCGQILLTICFLQNNLLGDLQSSGDSTPLPKKYADMASESPLRSSNATLRSPSPSPMRLEEPVSSKEEKSHAQTLAGRFYQIFNRNGDGAPTTYAKSTDTSELSDSVSTEDNEDAPEEQSSLVSFEEMVRNLEMREQDSEVPSNLPGVVLDQLYAVAPRELNSVLFSPDSNFLKSFIDFQGSTELKVGPWKLENDGVNLKRVVTFIKAATRLVRALKTTEEQTYLKADGKTYAVLVTVSTPDAPYGNTFRTEMLYCITAGPALPSGEESSRLVVSWRMNFLQSTMMKGMIENGARQGIKESLEQYAGLLSQTVKPVDEKEFASEKEQVLASLQAQPQSDCKLAVKYFANFTVISTFVIGLYVALHILLAMPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELISRFMQARGQKGGDHGVKAQGDGWLLTVALLEGNNLAAVDPSGFSDPYVVFSCNGKTRSSSIKFQSPCPKWNEIFEFDAMNEPPSVMDVEVFDFDGPFDEATSLGHAEINFLKTNISDLSDIWVPLQGKLAQACQSKLHLRIFLNNTKGNNIVKDYLSKMEKEVGKKIRLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRRMPLQGRLFLSPRIVGFHADLFGHKTKFFFLWEDIEDIQVISPTLSSMGSPILVVTLKPGKGFDARHGAKTQDEDGRLKFHFQSFVSFNVANRTIMALWKARALSPEQKVQIVEEESETKRLEIAEDDSIPKNSQAVDEETEGRTLQSEESGSFLGDGDVNMSTVYSSVLSIPTDFFMELFSGNELDRRIMERVGCLSYLPSSWESEKTDVYQRQLYYKFDKRISSYGGEVTSTQQKSHLPEKNGWLLEEVMTLHGVPLGDYFTLHLKYQVEDVPLRSSICSVNVQFGVSWLKYTKHQKRITKNIVSSLQERLSVMFSELEKEYSSRK >itb06g18610.t1 pep chromosome:ASM357664v1:6:22228494:22237854:-1 gene:itb06g18610 transcript:itb06g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MDSRIEDDDGDFFAPFTTPTGATNTPAAGENRDDFNPFSQDFIFAPPSPPPPPPPLLSHGSDNGSEDERSNNFNFGLDETQRTPKIFDRFYESSSDDEDEEFNRQNDATQKRLDYMIQFLDRKLSSPAGSTSFSNRNNTGTNQNQPLPEFAGRGGGRGIFKLPVRAAVHPDRPPSIELRPHPLRETQIGRFLRNIVCTDDGSQMWAGSECGVRVWNLTDIYGVAPDEELDNDTAPFCESVQTPPTLCLVGDAGNRVVWSGHKDGRIRCWKMDDAGGNQGKFPELLSWRAHRGPVLSMVMTSYGDLWSGSEGGAIKIWTWEAIEKSFSLTAEERHMAPLLIERSYVDTRNQLTINGNSIFSADVRYMVSDHSGAKVWTAGYLSFALWDARTWELLKVFNIDGQIENISAVQDPIVEEELRMKIVSKKEKSQTSISFFQRSRNAILGAADAVRRVAVKGGFGDDYRRTEALVKTIDGMIWTGCANGLLVQWDGNGNRVHEVQYHTSSVQCFCMFGSRIWVGYASGTVQVLDLNGKSLGEWLAHGSPIIGLGIADGYVFTLANHGGIRGWSILSPGPIDGLLHSELSEKDFLYTRIEDMKILAGTWNVGQERASHDSLISWLGSAAAEAGIVVIGLQEVEMGAGFLAMSAAKETMQVGLDVSSAGQWWLDMIGKTLDEGSTFVRVGSRQLAGLLIAVWVRSNVSRHVGDVDVAAVPCGFGRAIGNKGAVGLRMRVYGRVICFVNCHFAAHLEAVGRRNADFDHVYRTMAFSRPSNFPNAAASVSSAVQMPRGANGAANSLEALPELSEADMVVFLGDFNYRLDGISYDEARDFISQRCFDWLKERDQLHTEMEAGNVFQGMREAVIRFPPTYKFEKNQPGLAGYDSGEKKRIPAWCDRILYRDSRPSSVTTCSLDCPVVSSILQYEACMDVTDSDHKPVRCIFGVQIARVDESVRRQEFGEIIRSNERIKSILKELCKVPEVIVSTNNIILQNMDASILRITNKCGTGKALFEIICEGETTVRDDGQAYDHRPRGSFGFPRWLEVSPVAGIIEPNHIIEVMVHHKDFQTVEEFVDGIPQNCWCEDARDKEIILVVRVRGSCTTEMKCHRIRVRHCFLGKTVHQSKKPSDSNHLPAAANLLHRSDIQHLSSSTDVFDHLKSIHSP >itb06g18610.t2 pep chromosome:ASM357664v1:6:22228641:22237837:-1 gene:itb06g18610 transcript:itb06g18610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MDSRIEDDDGDFFAPFTTPTGATNTPAAGENRDDFNPFSQDFIFAPPSPPPPPPPLLSHGSDNGSEDERSNNFNFGLDETQRTPKIFDRFYESSSDDEDEEFNRQNDATQKRLDYMIQFLDRKLSSPAGSTSFSNRNNTGTNQNQPLPEFAGRGGGRGIFKLPVRAAVHPDRPPSIELRPHPLRETQIGRFLRNIVCTDDGSQMWAGSECGVRVWNLTDIYGVAPDEELDNDTAPFCESVQTPPTLCLVGDAGNRVVWSGHKDGRIRCWKMDDAGGNQGKFPELLSWRAHRGPVLSMVMTSYGDLWSGSEGGAIKIWTWEAIEKSFSLTAEERHMAPLLIERSYVDTRNQLTINGNSIFSADVRYMVSDHSGAKVWTAGYLSFALWDARTWELLKVFNIDGQIENISAVQDPIVEEELRMKIVSKKEKSQTSISFFQRSRNAILGAADAVRRVAVKGGFGDDYRRTEALVKTIDGMIWTGCANGLLVQWDGNGNRVHEVQYHTSSVQCFCMFGSRIWVGYASGTVQVLDLNGKSLGEWLAHGSPIIGLGIADGYVFTLANHGGIRGWSILSPGPIDGLLHSELSEKDFLYTRIEDMKILAGTWNVGQERASHDSLISWLGSAAAEAGIVVIGLQEVEMGAGFLAMSAAKETMQVGLDVSSAGQWWLDMIGKTLDEGSTFVRVGSRQLAGLLIAVWVRSNVSRHVGDVDVAAVPCGFGRAIGNKGAVGLRMRVYGRVICFVNCHFAAHLEAVGRRNADFDHVYRTMAFSRPSNFPNAAGIVLYLFSSSLLALSMFLTWHVYGCRLLLVLSIAASVSSAVQMPRGANGAANSLEALPELSEADMVVFLGDFNYRLDGISYDEARDFISQRCFDWLKERDQLHTEMEAGNVFQGMREAVIRFPPTYKFEKNQPGLAGYDSGEKKRIPAWCDRILYRDSRPSSVTTCSLDCPVVSSILQYEACMDVTDSDHKPVRCIFGVQIARVDESVRRQEFGEIIRSNERIKSILKELCKVPEVIVSTNNIILQNMDASILRITNKCGTGKALFEIICEGETTVRDDGQAYDHRPRGSFGFPRWLEVSPVAGIIEPNHIIEVMVHHKDFQTVEEFVDGIPQNCWCEDARDKEIILVVRVRGSCTTEMKCHRIRVRHCFLGKTVHQSKKPSDSNHLPAAANLLHRSDIQHLSSSTDVFDHLKSIHSP >itb10g13630.t3 pep chromosome:ASM357664v1:10:19860259:19864865:1 gene:itb10g13630 transcript:itb10g13630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPCSSVKDRIAYSMIKDAEDKGVITPGKSVLVEVTSGNTGIGLASIAAAWGYKLIVVMPHTYSLERRIVLKAFGAELHITDAAKGLDGVLEKAQQIMDRTPNSYFLRQFENPANPEIHYETTGPEIWKSTEGNVHALIAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPADSAILNGGKPGKHKIQGIGAGMVPPVLDVSILDEVVTVTNEEAFEMTKLLCLKEGLFVGISSGAATVAAIKIARRPENAGKLLVVIFPSFGERYLSTELFDSVREEVENMRFE >itb10g13630.t1 pep chromosome:ASM357664v1:10:19860259:19864865:1 gene:itb10g13630 transcript:itb10g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDKIAKDITELIGNTPLVYLNNVVEGCVARIAAKLEMMEPCSSVKDRIAYSMIKDAEDKGVITPGKSVLVEVTSGNTGIGLASIAAAWGYKLIVVMPHTYSLERRIVLKAFGAELHITDAAKGLDGVLEKAQQIMDRTPNSYFLRQFENPANPEIHYETTGPEIWKSTEGNVHALIAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPADSAILNGGKPGKHKIQGIGAGMVPPVLDVSILDEVVTVTNEEAFEMTKLLCLKEGLFVGISSGAATVAAIKIARRPENAGKLLVVIFPSFGERYLSTELFDSVREEVENMRFE >itb10g13630.t2 pep chromosome:ASM357664v1:10:19860259:19864865:1 gene:itb10g13630 transcript:itb10g13630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDKIAKDITELIGNTPLVYLNNVVEGCVARIAAKLEMMEPCSSVKDRIAYSMIKDAEDKGVITPGKSVLVEVTSGNTGIGLASIAAAWGYKLIVVMPHTYSLERRIVLKAFGAELHITDAAKGLDGVLEKAQQIMDRTPNSYFLRQFENPANPEIHYETTGPEIWKSTEGNVHALIAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPADSAILNGGKPGKHKIQGIGAGMVPPVLDVSILDEVVTVTNEEAFEMTKLLCLKEGLFVCVLWWAFHQGQQLLLQSKLREGQRMRENFLLSFSQALGSVISPLSCSIQYGKKWRI >itb10g13630.t4 pep chromosome:ASM357664v1:10:19860273:19862426:1 gene:itb10g13630 transcript:itb10g13630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDKIAKDITELIGNTPLVYLNNVVEGCVARIAAKLEMMEPCSSVKDRIAYSMIKDAEDKGVITPGKSVLVEVTSGNTGIGLASIAAAWGYKLIVVMPHTYSLERRIVLKAFGAELHITDAAKGLDGVLEKAQQIMDRTPNSYFLRQFENPANPEIHYETTGPEIWKSTEGNVHALIAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPADSAILNGGKPGLE >itb09g25130.t1 pep chromosome:ASM357664v1:9:25076613:25080334:-1 gene:itb09g25130 transcript:itb09g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHIISLLNTIQFHFLQPLPCLIFDDTEMVESLCEKIRNLQGFLEESHKNNIISNQARRVLESKIRGVAHDAEGWIESELHRLYMRFNNEFRENPGLILTSDNFPGPSSGTSQGERKWIRTVTRTEEYPVNRTEEDPMNLPKREKNIKERPVKSSQSLYQALQQVKRRLESIERRFQIERNSNHSAEPQRRNTIENIKGASSFSEPSSDLKNVALVGRDDEFLAIMQKLISDSEKREVISISGMGGIGKTTLVKKIYEDATIISHFDIRAWVTVSQEHNLKEILIGLHGSIVANGVGNSDLEDELRRKLIIRRYLIVIDDIWSNEAWDDIHRWFPKEEFNGSRILLTTRLKQVANYASSDTEICVKALIRLWVAEGFLKLDLNNSMEEVGETYLQDLVDRGLVQIDKLSSNGKMKSCRLHDRLHSFCLREVEREKLLCVINEKNVHIGMLQRIMRSMSTGSDPKACRWISSQIIRDLDVSITRASKEIRSILYFTNEFKWTYLSPKPFTCLKLLRVLDIRLCLMDGIPSEIVNLVHLRYLALRNDSVLNLQWLKLQSLQTVIINTFDDSESYWGSMLHVLDMPRLRHVYLPKSCFLNLPKLIQCNLQTIFWLSLPQRLRTKLGFKTIPNVKELGIYIKDKDPYKLLPGSLADLYRLENLKIAVKEDIFFRGEFRLPIAFPSSLKKLKLIYTYLPWEDMAIIGTLPNLEVLKLMGYAFCGPDWRTENGFYKLKHLQIVESDLKHWTVIADHFPVLECLILSCCENLEEFPSAFADITTLQLIKLTRCCSSIVTSAKQIQEERLDFGDNKFVVRDFRTVFGSEHVRQFSRREDAGYISSKKKLIYVKKKN >itb09g25130.t2 pep chromosome:ASM357664v1:9:25076613:25080334:-1 gene:itb09g25130 transcript:itb09g25130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHIISLLNTIQFHFLQPLPCLIFDDTEMVESLCEKIRNLQGFLEESHKNNIISNQARRVLESKIRGVAHDAEGWIESELHRLYMRFNNEFRENPGLILTSDNFPGPSSGTSQGERKWIRTVTRTEEYPVNRTEEDPMNLPKREKNIKERPVKSSQSLYQALQQVKRRLESIERRFQIERNSNHSAEPQRRNTIENIKGASSFSEPSSDLKNVALVGRDDEFLAIMQKLISDSEKREVISISGMGGIGKTTLVKKIYEDATIISHFDIRAWVTVSQEHNLKEILIGLHGSIVANGVGNSDLEDELRRKLIIRRYLIVIDDIWSNEAWDDIHRWFPKEEFNGSRILLTTRLKQVANYASSGNNNSLDMRFLNFNESWNLFYKKVFEEKRFSCEFESVGRGIVTKCQGLPLTIIVVAGLLTASNKTSLRQWGNVAENLNSLLNTDPEEKCRKTLLLSYENLPIHLKVCFLYFGVFPEDTEICVKALIRLWVAEGFLKLDLNNSMEEVGETYLQDLVDRGLVQIDKLSSNGKMKSCRLHDRLHSFCLREVEREKLLCVINEKNVHIGMLQRIMRSMSTGSDPKACRWISSQIIRDLDVSITRASKEIRSILYFTNEFKWTYLSPKPFTCLKLLRVLDIRLCLMDGIPSEIVNLVHLRYLALRNDSVLNLQWLKLQSLQTVIINTFDDSESYWGSMLHVLDMPRLRHVYLPKSCFLNLPKLIQCNLQTIFWLSLPQRLRTKLGFKTIPNVKELGIYIKDKDPYKLLPGSLADLYRLENLKIAVKEDIFFRGEFRLPIAFPSSLKKLKLIYTYLPWEDMAIIGTLPNLEVLKLMGYAFCGPDWRTENGFYKLKHLQIVESDLKHWTVIADHFPVLECLILSCCENLEEFPSAFADITTLQLIKLTRCCSSIVTSAKQIQEERLDFGDNKFVVRDFRTVFGSEHVRQFSRREDAGYISSKKKLIYVKKKN >itb12g20250.t1 pep chromosome:ASM357664v1:12:22732726:22734410:1 gene:itb12g20250 transcript:itb12g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFMQCFAICLVLIAGATAARQNPTIKLRSALDDDNLLCESWKQAVETNNAGPWGLPIQPCFDFAISYMTGLRYFADSIAVANYAVEFAKSVNVTDKDAWVFDVDDTLLSHVPHFCSPNQESRKLQERVKSEGWEDSLLGDEVDLPALPASLELYRNIHKLGFKIFLLSGREHSQLNQTLRNLLEVGYINWDRLILRDTCEEHKTALMYKSERRMELVEEGYTLHGNCGDQWSDLLGYAMAVRSFKLPNLMYYIK >itb11g06180.t1 pep chromosome:ASM357664v1:11:3681301:3681951:1 gene:itb11g06180 transcript:itb11g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAARPTWAPAKGGSEQGGTQIFGTSQKYSSRDIASHTTLKPRREGQDTQDELQKRNLRKELEQRESRHFSSKNKGYSDDRDNRKSSQPLLEGSRREIEDRIVPRSADADDVDVDAKSDDESEDDMEDLMAELDQIRKERAEEKIRNKRQEQEEEELKAKEAELFKGNPLLNQPITSFNVKRRWDDDVVFKNQARREAKAPKRFINDTIRNDLCH >itb07g02200.t2 pep chromosome:ASM357664v1:7:1351822:1357710:1 gene:itb07g02200 transcript:itb07g02200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t6 pep chromosome:ASM357664v1:7:1351574:1357678:1 gene:itb07g02200 transcript:itb07g02200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t4 pep chromosome:ASM357664v1:7:1351574:1357678:1 gene:itb07g02200 transcript:itb07g02200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t3 pep chromosome:ASM357664v1:7:1352310:1357710:1 gene:itb07g02200 transcript:itb07g02200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t7 pep chromosome:ASM357664v1:7:1351574:1357678:1 gene:itb07g02200 transcript:itb07g02200.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t1 pep chromosome:ASM357664v1:7:1351574:1357678:1 gene:itb07g02200 transcript:itb07g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t5 pep chromosome:ASM357664v1:7:1351574:1357678:1 gene:itb07g02200 transcript:itb07g02200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb07g02200.t8 pep chromosome:ASM357664v1:7:1351574:1357678:1 gene:itb07g02200 transcript:itb07g02200.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MDADGKEGLKTPLLQEPNGVAITIPQTNADGGKKVRTLTFKVGGITCASCATSIETAVGRLDGIQSIMVSPLQGQAVVKFVPELISALKIKETVEDAGFEVNEFPEQDIAVCRIRIKGMACTSCSESVERALLMADGVKKAVVGLALEEAKVHYDPNLTNTSSIVEAIEDAGFGADLISSGCDSSKIHFKLEGINSMNQWNEVKLSLESLEGVNQVEMDFEGHIVAISYEADTIGPRTLIHCIEEAAHGTNAYHASLYTPPRGRETEREHEIQMYKNLFLYSCLFSVPIFVFSMVLPMLPPYGNWLDYKVLNMLTVGVLLRWILCTPVQFIIGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSIYIMIKALTSYSFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTDLAPETAYLLTLDGAGNIISEIEMSTQLIQKDDILKIVPGAKVPVDGIVINGQSHVNESMITGEARPVAKKIGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISRFFVPTVVVAAFVTWLAWFIPGALGLYSKRWIPEGMDEFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGSALEKAHKIKMVVFDKTGTLTVGKPSVVSAVLFSEFSMEEFCDLTIATEVNSEHPIAKAVVEHAKSLRKKFGTENGHFPDVEDFEVHLGAGVSGKVGDRRVLVGNKRLMSASNVPVGNEVDKYISENEQLARTCVLVAIDGRVAGAFAVTDPVKPEAARVISFLHSMKIASVMVTGDNWATANAIAKEVGIETVFAETDPLGKADKIRELQLRGTSVAMVGDGINDSPALVAADVGLAIGAGTDVAIEAADIVLIKNNLEDVITAIDLSRKTISRIRLNYVWALGYNILGMPVAAGVLYPFTGIRLPPWLAGACMAASSISVVSSSLLLQSYKKPLRVQG >itb03g08740.t1 pep chromosome:ASM357664v1:3:6576605:6577285:-1 gene:itb03g08740 transcript:itb03g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGADPMRRRQYHGFYSCMSPSCVPVHEEYSRINACHVDAGGRYRRPSSSWGKIIKKLVNDGKIMCRSKPLTTSFQYDAVSYSQNFDEGRRDDEFPRRHQLCRDLRLNVHN >itb01g35870.t1 pep chromosome:ASM357664v1:1:37842475:37842768:-1 gene:itb01g35870 transcript:itb01g35870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKYSTTMSVALLGLGSLLLTATTIMAQQCGSQAGGRLCPSGFCCSQWGYCGTTSANSGPGCQSQCRPTTTTTPATHTTTTANQSTAKSDLAGGAN >itb13g19900.t1 pep chromosome:ASM357664v1:13:26796085:26797893:-1 gene:itb13g19900 transcript:itb13g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYFRSGFVDDVLELFKDMIAVGSLRPNEYVLSTVLSACSSNGLCDEGRQCHGYVVKSGLLSHQYVKNGLVSIYSISSDVEGAMRVLDSGSDNVTYNSFINGLLDGEYVSEALGILKRMVDDGLKWDNVTYVNAFGICARLKNLNFGLQVHGKLLKSSVEFDFFIGSAIMDMYGKCGEIFSARKIFDSLQTRNVVSWTTLMAAYLQSECFEEALKMFPQMDTQGVLPNEYTFAVLLNACASLAALGYGNSLHARVEKTGYKDHGIVGNALINMYSKCGLIEAARTLFLNMVYRDTVTWNLMISGLSHHGFGEGAINMFKSMLASKEQPNYVTFIGVLSACGHSGQVDEGFYYLNHLMREMNIKPGLEHYTCVVGLLGKAGRLNEAENFMRSTSITWDVVAWRTLLNACHVHRNYDLGTRVAEFMLNMYPYDVGTYVLLSNMHARVKRWDGVARMRKLMRERNVKKEPGISWTEIKNNAHIFVSGDNMNPEIVQVHEKVRELLSMIKPLGYVPNIASDLHDVEEEQKEDYLSYHSEKLAIAYALMKTPPHAPVRVIKNLRTCDDCHSAAKLIAMVTRRVIIIRDANRFHRFHDGLCSCADYW >itb04g01390.t1 pep chromosome:ASM357664v1:4:786896:789335:1 gene:itb04g01390 transcript:itb04g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPVVAEKEAAPPPVAAVLAPEEPPLASAAAPQIMGPPKDVSPEKAVVPHKNPDPPLKTAGSKGSLDRDIALAKIEDEKRISFIKAWEEGEKSKVENRAQKKLADVLSWENTKKANIEAKLKKIEEQLEKKKAEYAEKMSNKTALVHKEAEEKRSVIVSQKNEEILKTEEMAAKYRATGQVPKKAFGCFG >itb10g06450.t1 pep chromosome:ASM357664v1:10:7251535:7253854:-1 gene:itb10g06450 transcript:itb10g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPWKKVKSTTRISQMVKDQFHHRKNAASPLVVETGFPTSLVDLIVNHRDRFKKPSPSPRFKKKKPPPSPPPPFDPPQSPLPPPAPSPTPLAPTSPPRPPIATTDAHEIDGAGTVSEEGQEGAYSNKVFLALLKIFLLVVLALGAKKFAVGITLSAFFLFFVDYLARNVFRYEHLVPCPELREKLRLIISRVFRLEEEALQKEESLELPPESSQFEEIQIVQPRCYLEPPYRKEETDDPSYCGKSFRPEGIKSISELIEEDEDLCEVPESKSGKSRRAKIKSKMKKLFPKRIGKTGKDSKLEINPTGEGVFPRVEENDSPECPKRGLEPATMAMNITPDVKDAISNSLELPEGVVEKSDFVEREKNYGYLFLILVTLVGLVIGGRIYALLLTLMWCFLSKSGGAIRRSVMVS >itb01g34470.t1 pep chromosome:ASM357664v1:1:37155921:37158192:-1 gene:itb01g34470 transcript:itb01g34470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHQGKSFMFYLIITCDLLIICWVCAASTSEAPILIEKERTIGDGLDGLNRHQKQVEKLEELVKNLSELVGRLESRIAESLDYKKPSIGKPPEFDLEGELERKKTKSDELSGKLRKEGGLGKKGGAVSVTKYTAFWSERFQLMSAVRLESDATCINVLPFRDFDGLSKYVAVGDAEGWVFVFSRNGDVVIKFNTLTGAPITALTSWLSHYRNECVVVTGHENGALMRHRVWEVVQNGDEWGSLSMENVGELGLAETGEGAEGITSLEVHHMGRNRFVLSTDVSGRLRVYLENGTVYGLAVPRSRPLAFLKQRLLFLTETGAGSLDLRTMRIRESECEGLNRSLVKSYVFDATERSKAYGFTSDGDLIHVLLLGDIMNFKCRLRSRKKLDTDEPLAFQAIRGYLLIANRQKVWLYNVSSHHYVRSAGPRLVFSAGLDEITAPFLNHKSTDSNDDKERTMVPLIASDREKLVILGLGSGYIGMYRPNLPVFKNEFNTMLWTSPVLLFILFLLGAWQFFAYKKEAFTSWSPDDSFLSTSIAGEASLGASQGDEAFSVPDSSRNTGFIDPRNSDLRGPAGRYVSPATPYTSSTSETSARPSLIDPNFITASQLKYRGSNLETPAFPNRRDSLFINSQVVDDSK >itb15g00040.t1 pep chromosome:ASM357664v1:15:45757:46017:-1 gene:itb15g00040 transcript:itb15g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDPKEQERVETRWDITVLTSSNIGASQEWGLGSTHHDARITTRNKTRSQAHSPELRDEIRILASSKSSITHKEKEIDMTSQIK >itb15g04440.t1 pep chromosome:ASM357664v1:15:2816761:2818322:1 gene:itb15g04440 transcript:itb15g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLEQGKQYSEGRPTYPQQLFDFIASKTPCHDLVWDVGTGSGQAAMSLAEKYKNVVGTDTSPNQLQFAPNLPNVRYVCTPPNMSLTDLETNIGAESSADLVTIAQAMHWFDLPTFYQQVKWILKKPGGVIAAWCYYLPEVSTSVDSVFLRYYTASGPFWDPARKLVDDKYRTIDFPFDPVEGCEHNGPFEFKAERLMDLESYFTYLRSSSAYQTAKHKGVELLGSDVIEDFTRAWNEDGKSQKIVAFPIFLRIGKVGNLD >itb11g19500.t1 pep chromosome:ASM357664v1:11:20295194:20295805:-1 gene:itb11g19500 transcript:itb11g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQFFLLSLFLFSILLCEVIEAQDTNAVLDIRGKILRAGVKYYVVPLLQDQGGGLDLASTGRQSCPQSVVQDDVYWWGNTIQFYPVNSQKGVIREWIDLNIEFPDAYTGCPESKVWTISGDPSSYDITHYITDGGRKGNPGQQTLSNWFKIVKTTNAYKFMFCPSVCDDCSYVCQDVGISKKRGQRRLVLSNTPLEINFRKA >itb03g04190.t1 pep chromosome:ASM357664v1:3:2609989:2614846:1 gene:itb03g04190 transcript:itb03g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSNLRASFKRGGDPPVAPQAGPGQVNFRLLCHISTAGGVIGNNGSIVKSLENQTGCKIRFEEPLPNCHERVINITGDAVVDNTISIDHDAEEVEVQVSKAQEGLVRVYEKILQVEGNGGEGVGAISCRLLACSNQIGALMGKGGKIVDAIRKSSGAKIKVSNKEQNPACAGSEEELIQIMGGILAVKKALVPVSRRLQDCTTERTPQCLHSRVGFHENFADFSSHPSPVVPPFQGNAIDHSYNDHPLSAEADRVLSLDEDNARQKVVFRLLCSNGSAGGVIGRGAIIVKALEKETGASIKFSSPVPGSKDRVATISSLENKDPLYSSAQIAIVRVFERCMEVGVDQGLIPGLGMGEIVTAKILVASDELNYLVDEEGNAGSGILVSTSGVEIQLLQMDLHPSCATENDRVVQIVGEYLNVKTALYQVTGKLRENFFFSLASKGVAHRHYPFSSMPENSLGEIWRNSSAVQTDLVPKPGGPHIWLHKKQVKDRVNDTTSKDGSGGWNNSCGALEHGRLDANGVADTTVEIMVPGQKFGSIYGEDGTNLNRLKEISGTKVTLEDPHPGENNGKVIITGTPERIQIARSLLQAFIRV >itb12g06160.t1 pep chromosome:ASM357664v1:12:4573727:4575365:-1 gene:itb12g06160 transcript:itb12g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDVLALSVLCSVLCWVFVWKRRSSRSLGPTNWPVIGMLPAVLKNAHRIHDFVTELVKLGDGTFEFKGPSHVFANLDMLLTADPANINHILCKNFTNYPKGPRFRKIFAPLGDGIINTDSELWELHRKTTLPLMNHPNFRALLERNVAEKIENGLFPVLDHCAEQGFHADLQQIFERSTFDISCLQFLEVDPGTLSLDSPGDHPFCKAIRDGVNAILYRHLLPERCWKLQKWFAGIDREKKLSEAEEAVDQFIYPILLERGGDTTGSALTWFFWLLAKNPLVESKILEEILQLKEHNNKSRDDPPMLQPCLELESPVIDHGLPLRSSNHQFAQANFRETQLHRGVPMRMMLKILCI >itb07g20680.t1 pep chromosome:ASM357664v1:7:25048957:25052518:1 gene:itb07g20680 transcript:itb07g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFLDEVLEHVFSFLSSDKDRNAVSLVCKSWYDIERICRRRVFVGNCYAVSPEIVIRRFPEVRAVELKGKPHFADFNLVPEGWGGFFHPWVEAMAPVYPWLEEIRLKRMVVTDETLELISRSFKNFKVLSLSICEGFTTDGLAAIAANCRNLKELNLRESDVEDLSGHWLSHFPDNCTSLVSLDITCLGSEVSFSALERLVARCPNLRTLRLNRAVPLEKLPNLLRCTPQLVELGTGGYTAEVRPDVLSNLADAFAGCKQLKGLSGFWDVVPAYLPAMYSVCPGITSLNLSYAIIQSPDLIKLVSQCCNLQRLWVMDYIEDTGLEALAASCKDLQELRVFPSDPYAVEGNVDLTEQGLVAVSKGCPKLQSVLYFCRRMTNAALATIAQNSPNFIRFRLCIIEPRAPDYLTCEALDTGFGAIVKHCKELRRLSLSGLLTDRVFEYIGTYAKKLEMLSIAFAGDTDLGLNHVLSGCESLRKLEIRDCPFGDDALLANAAKLETMRSLWMSSCSVSFGACKMLAKKMPGLNVEVIDESDPPGSRLESIPVERLYIYRTVSGPRSDKPAFVWMMDNDATPRCS >itb13g22270.t1 pep chromosome:ASM357664v1:13:28590019:28593216:-1 gene:itb13g22270 transcript:itb13g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGTSLSLLLPSTPISRKDLSPKSSLSALNQRKPTKRKNYLREKILKTLTKPHPKFPLPVPIETPPTIDPLAPSEPPLERIPAYPSVEVSGEEPKESEVQEVQVAEVSEPRLVNPGKSSALKLFSWIVAAVIVPTLYVRVFGSAGVDSKNGKFGRKNEKDEILEIGGVGNSKSKVKLFLNGSENGWIGLVDEAEMERKIEEVKMMAREARAMERIKAAKSSASDSGYDDDEDDDSSVRNAIGKLPASLMKNGYLRRNGERKAQTGGFDEKEMNAALLFKKKHKYRNFSGKPSEKPTGFTAPVKPNGEEQGQRTERRHVQTSEQGKRNVSHGVGKTSLEGREKNSEGERQEVAKSVHGTIGVVQDGSNQSMLVEAPNNNEPSIPQTTVVSNKRNRSNRNLSSKGKKGTEKQSAKKSGSTNFEKETNFWWLSLPYILVVRMHTSHDGKVQQRLYSLKSSSGDLSHIVAFEDRSDAANFCYILQSYFEDLEDFSTEIVLLSFNEHDESVKSSMENAIVVRKGQLKLYAGQPLVDVETALRSLAAQS >itb13g22270.t2 pep chromosome:ASM357664v1:13:28590019:28593216:-1 gene:itb13g22270 transcript:itb13g22270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGTSLSLLLPSTPISRKDLSPKSSLSALNQRKPTKRKNYLREKILKTLTKPHPKFPLPVPIETPPTIDPLAPSEPPLERIPAYPSVEVSGEEPKESEVQEVQVAEVSEPRLVNPGKSSALKLFSWIVAAVIVPTLYVRVFGSAGVDSKNGKFGRKNEKDEILEIGGVGNSKSKVKLFLNGSENGWIGLVDEAEMERKIEEVKMMAREARAMERIKAAKSSASDSGYDDDEDDDSSVRNAIGKLPASLMKNGYLRRNGERKAQTGGFDEKEMNAALLFKKKHKYRNFSGKPSEKPTGFTAPVKPNGEEQGQRTERRHVQTSEQGKRNVSHGVGKTSLEGREKNSEGERQEVAKSVHGTSVVQDGSNQSMLVEAPNNNEPSIPQTTVVSNKRNRSNRNLSSKGKKGTEKQSAKKSGSTNFEKETNFWWLSLPYILVVRMHTSHDGKVQQRLYSLKSSSGDLSHIVAFEDRSDAANFCYILQSYFEDLEDFSTEIVLLSFNEHDESVKSSMENAIVVRKGQLKLYAGQPLVDVETALRSLAAQS >itb01g04070.t1 pep chromosome:ASM357664v1:1:2700156:2702479:1 gene:itb01g04070 transcript:itb01g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLGKVIVPDKWKEGAHNTTEGGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >itb10g22730.t1 pep chromosome:ASM357664v1:10:27483903:27488784:1 gene:itb10g22730 transcript:itb10g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSASALLFCLISPFLQLPLISGNAEGDALNALKTNLDDPNNVLQSWDSTLVNPCTWFHVTCSPDNSVTRVDLGNANLTGQLVPQLGLLPNLQYLELYSNNISGIIPNELGNLTNLVSLDLYMNHLTGPIPNSLGNLQKLRFLRLNNNTLNGGIPMSLTTVFTLQVLDLSSNRLQGQIPVNGSFSLFTPISFQSNPGLRAPPVSPPPPFSPTPSSSSGRNSETGAIAGGVAAGAALLFAGPAILLAWWRRRKPDVYFFDVPAEEDPEVHLGQLKKFSLRELQIATDNFSNGNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGEMQFQTEVEMISMAVHRNLLRLLGFCMTPTERLLVYPYMANGSVASRLRERRDTDTPLDWAKRKYISLGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLEIITGQRAFDLARLANDDDVMLLDWVKGLLKDKKYETLVDIDLQGDFVEEEVEQLIQVALLCTQNSPLERPKMSEVVRMLDGDGLAEKWEEWQKEETFRADLIHTHHHNPNTEWIIADSTSNIRPDELSGPR >itb01g06730.t1 pep chromosome:ASM357664v1:1:4946331:4954268:1 gene:itb01g06730 transcript:itb01g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFTILIPIILFFYPILLEAQSGHLPPDELNALKEIANQVGKKDWDFSLNPCGNNSNWLAPQSIDTPLYTNNLTCDCNFPARICHVLRINLKGQDLQGVLPPALVKLPFLKTIDLTRNYLSGTIPLEWASMKLELISVTVNRLSGPIPKYLGNITTLTYLSLENNMFSGSVPPELGKLVNLQHFILSGNYLTGELPKELNALTKMMEFRISSNNFSGKLPSFSSFKNLQILELQASGFEGPIPQNISVSTSLIQLRISDLNGGGVSRFPTFTNMTAIEKLMLRRCNIFGKIPDLANMKNLRQLDLSFNNLRGGIDGLQNADKVQYMHLMNNSLSGTLPQWVLNRGSSYYTDLSYNNFEESSVSPTCNRETLNLFKSYNEGENGKIGKCLKNCTKDWYSFHINCGGGDVVIGGTTYDTDKDSTGYARFVSNKENWVTSNSGYFWDRTLNLSDYTATNTSVIKEKDSEIYQTARLSPLSLTYYGRCLANGNYNVKLHFAEIVLRDNRSFRSLGRRIFDVYIQGERKLKDFDIETEAQGADKALVKQFQAVVRDKTLEVRFEYAGKGTTAAPIKGSYGPLISAISVESDFMSPKKRKTLIVLVAVASSLFLIFGILCFAGWKIYIRNNISQEKELQGLDLRTRLFTKRQIKVATNNFDVANKIGAGGFGPVYKGTLSDGTVIAVKQLSAKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVEGKPLLLVYEYMENNSLAHALFGAEDRQLKIDWATRQRICVGIAKGLAFLHEESTIKVVHRDIKTANVLLDKELNPKISDFGLAKLDDDEKTHISTRVAGTIGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRPDENYVCLLDWALVLQEKGNLMELIDSRLGSDFDKEQALRMLEVALLCTNHSPALRPSMSTVVSMLEGNGDILEYKSDIRELNFQAM >itb01g06730.t2 pep chromosome:ASM357664v1:1:4950707:4954268:1 gene:itb01g06730 transcript:itb01g06730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLMNNSLSGTLPQWVLNRGSSYYTDLSYNNFEESSVSPTCNRETLNLFKSYNEGENGKIGKCLKNCTKDWYSFHINCGGGDVVIGGTTYDTDKDSTGYARFVSNKENWVTSNSGYFWDRTLNLSDYTATNTSVIKEKDSEIYQTARLSPLSLTYYGRCLANGNYNVKLHFAEIVLRDNRSFRSLGRRIFDVYIQGERKLKDFDIETEAQGADKALVKQFQAVVRDKTLEVRFEYAGKGTTAAPIKGSYGPLISAISVESDFMSPKKRKTLIVLVAVASSLFLIFGILCFAGWKIYIRNNISQEKELQGLDLRTRLFTKRQIKVATNNFDVANKIGAGGFGPVYKGTLSDGTVIAVKQLSAKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVEGKPLLLVYEYMENNSLAHALFGAEDRQLKIDWATRQRICVGIAKGLAFLHEESTIKVVHRDIKTANVLLDKELNPKISDFGLAKLDDDEKTHISTRVAGTIGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRPDENYVCLLDWALVLQEKGNLMELIDSRLGSDFDKEQALRMLEVALLCTNHSPALRPSMSTVVSMLEGNGDILEYKSDIRELNFQAM >itb04g07250.t1 pep chromosome:ASM357664v1:4:4859682:4866908:1 gene:itb04g07250 transcript:itb04g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSGCSSKGGCDFSRNFLVFSPLCPCRGKVALVPGRIWNPNRGKKWVFLRACVNSGGDHHNFSLELSGSARNGARNIVIKRFADEFDASSVTTSGGSSNFASFQEDPIVDKLRTQLGVIHPMPSPPINRNILGLFAFFFFVGVVFDKVWTSRKKNSNGKLDKTVAWPQVPTNLSSFLEKDLQRKESVEWVNMVLGKLWKVYRAGIENWIIGLLQPVIDDLKKPDYVEKVEIKQFSLGEEPLSVRSVERRTSRRANDLQYQIGLRYTGGARMLLMLSLKFGIIPVVVPVGVRNFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKLDLSPFRLFNLMAIPVLSMFLRKLLTEDLPRLFVRPKKIVLDFQKVKAVGPVTNDSNEPNESKSAEVQEGNRDFVGELSLTIVDAQKLSYIIYGKTDPYVILRLGDQVIRSKRNSQTTVIGPPGEPIWNQDFHMLVADPSRQKLYVEVKDSLGFADVTVGRGEVNLGSLKDTVPMDVVVGLGGWGLFSPRPAGEILLRLTYKAYVDDEDDEKTVVRSLDGDVSDDELSDSEQLDTNVSEQIRAKSYSELDKESFMDVLAALIVSEEFLGIVSSETANGKYVDDVKSAVPASPLRSPPARPPPQSPESGTESFRGSALVWLAIVTSISVLISLNIGGSGFFNP >itb11g03740.t1 pep chromosome:ASM357664v1:11:2022766:2026349:-1 gene:itb11g03740 transcript:itb11g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVCIAGNGLSTHRTRTNFSKEIYGRHLLFASRLSSRSKTSKVVVAKASLDQNSREGRRGFLKLLLGNAGLAVPALLGSGKAYAEEQGVSNSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLNQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIKGRTEILKVHASNKKFDSDVSLEVISMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTTMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSGAQSADVIMRMMARNSMSERLAEDIDAAVKRISDSAYEIALTHIRNNREAIDKIVEVLLEKETMSGDEFRAILSEFVEIPAENRVPPAVPAPATV >itb09g29030.t1 pep chromosome:ASM357664v1:9:29645353:29647019:1 gene:itb09g29030 transcript:itb09g29030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMVLEVALKGDVASLKKLLEEDPLILERCMVSVYSNDTPLHVAAMLGYEDFANEILRRKPELAKELNSNQSSPLHLAAAMGHAGVVRALLLVDRGMLKGRDRDGLTPFHLAAVKGRVEVLKEMMSDDDGEICSSELSEVMAMDGEKLGESILQMCVKYGQLEALKLLVEMIADRDGGEGVKFLVQRSGIQVNNGKEIGGGRENSEKYKKKKESWINEMREGLMVAASLLATMAFQAIVSPPGGLLSETRVIEDAVAAACDWFSCLFGIAFLIYDNDNNDAAAPAPAPTYSDKKGYIGESVMSYYQPIAYQVFVVANTLSFLASLSVIMLLISGLPLHRKFFMYVMMITMWVAITAAGFSYVTCLQMITASSPSTWQITYMLTLTWGSVFAVLAVLIGAGYVIKVTVAIIKWLPTLKNKKKKKKNSSSSMLLHQV >itb05g18590.t1 pep chromosome:ASM357664v1:5:25331223:25333942:1 gene:itb05g18590 transcript:itb05g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEATVLTGILIISLSILLKILRSPRRRRLPPFPGIPIPIIGHLHLLKPPLHRTLYRLSEIHGPIISLRLGSHLVVVVSSPSLAEECFTTHDAVFANRPHTLAGKYIGYNDTTLVGLPYSDQWRHLRRLSAQEIFSAARLNSFLSIRQDEVKHLLQGLYRDSKTSFAKVELKPKLGQVAFNVITRMIAGKRYFGENDSQDTKNVPELINEVLETAEASNPEDFFPLLRWLDCRGLKKKLASLGHRMDAFQQSLIDEHRREKRTSTMIGHLLSLQESEPLFYTDLTIKGLINNMIVAGTDTSAVTMEWAMSALLNHPEVMKKARAELDRVVGCGRVVDEPDLSELPYLQCIISETFRLFPAGPMLLPHYSSQPCQVGGYEIPSDTMLLVNAWAIHRDPGIWDDPMRFVPERFEGREGESSQLLMPFGMGRRSCPGAGLARRMIGLVLASLIQCFEWERVTKDQVDMTEGKGLTMPKAKPLEAMCKSRKEMHKFLALS >itb13g20160.t1 pep chromosome:ASM357664v1:13:26973879:26975721:-1 gene:itb13g20160 transcript:itb13g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDLTSVNHFNGYRPSLVSHAYKREVGERGARTRNLRRPALTGKLRAAGRIDEEFLREKETAIACRGEGIIGRKLRLCNIFIEYLWLTCNAGKTEERERHRSFGGSCTAQKPRSLLQSPVLPLPYAVIYSNFLSLDFLSLTPLPFYKICFAVVSLSLSIFLFEIPLLVWFFLFSASLVFLCPRRWYSNSKKIALYVLWWNPKTQFSHLLSTVF >itb04g22490.t1 pep chromosome:ASM357664v1:4:27697519:27700893:1 gene:itb04g22490 transcript:itb04g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVANMDNAGAEKRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLNNLRQAMENTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAEDVKPQSVILCADGTISFTVLSCDKEKGLVCCRCENTAVLGERKNVNLPGVIVDLPTLTDKDKDDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGHHAKRILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMARICMEAESTIDYGDVFKRIMLNAPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSDESPARHSLIFRGLVPVLCAGSARASDEESTEEALEFSLQHAKAKGLCKAGDAAVVLHRIGTASVIKIVTVK >itb10g24160.t1 pep chromosome:ASM357664v1:10:28135539:28138067:-1 gene:itb10g24160 transcript:itb10g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKCARHDSHVGNIHYYCRDPRYGRTRAVKSLPHDERTRTRTRRVQTITLRLAFCSPPVSALVSRLSYLTASRPTPSLLKSSDGQTSEQPCRSLFSMGKARPREDASTKADRKFEKKVQFYTKVRDTVASLSAQKAITKKTKVQRRKKKLQAYNLSSLSEFLPELEAHQKPKAELKLNRKTKQKLVLKEGDQLRAVIGHPAFQSNPLQAIFQHLQGTQPLDNKKPKRDRKKGKGKKKKSKPQLMET >itb12g10180.t1 pep chromosome:ASM357664v1:12:8239005:8240219:1 gene:itb12g10180 transcript:itb12g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWSLHGMTALVTGGTRGLGHAIVEELASLGAIVYTCSRTEKELDECLQNWKGKGYNVFGSACDILQPSERENLIQLVNKQFEGKLTILVNNVARLVPKETLKSDGQDFSNTIGTNLEASLNLCQLAHPLLKATGNGSIVFISSCSSFVYAPFHTIYASTKGAINSLVKNLACEWANDNIRVNAVAPWLMRTSLTESSRGEFGAVIEALIRRTLQHRLVEPKEASAAVAFLCFPAASFVTGQIISVDGGGSVYGL >itb15g09160.t1 pep chromosome:ASM357664v1:15:6541099:6545808:1 gene:itb15g09160 transcript:itb15g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLSLPPPPPIPPNVTPKVVSMGKRAVMERRGFGTKGQKIQLVTNHFRVSLANTDGCFFQYSVSLMYEDRSPAEAKGIGRRIMDQVYETYRSELAGRYFAYDGEKTLFTLGALPSNTLEFTVVLDEVTSNRNAGDGSPDGSPDKGDRKRSKRQPRSKTYLVVISYATKIPMQAIADVLHGRESENFQEAVRVLDVILRQHAAKQGCLLVRQSFFHNEPKNFIDLRGGVFGCRGFHSSFRATQGGLSLNMDVSTTMIVRPGPVIEFLVANQNVRDHREIDWIRAKKVLKGLRIVASPSNRESKITGLSDMACAEQTFLLKQRNGKDESSVEMTVYDYFVNHRRIPLKISGSLPCINIGKPKRPTFIPVELCSLVSLQRYTKSLSNLQRASLVEQSRQKPPERMRVLTDALSRSAYNTDPLLRSSGVAIGDQFTRVEGRVLQAPKLTAGNGEDFFPRNGKWNFNQKKLAEPVKIERWAAVNFSARCDVRKLCKDLHTCGQNKGIVINPPFDVFEENQQFRRAPAPVRVEKMIEMLKSKLPSPPQFLLCILPERKNSDLYGPWKKRNLTELGVITQCIAPTRVNDQYLTNVLLKINAKLGGMNSLLAVEYTPSIPMVSKVPTLIIGMDVSHGSPGRADVPSIAAVVSSREWPRISRYRAVVRTQSPKLEMIDSLHKKVSDTKDDGIFREALIDFYSSSGQRKPDQIIIFRDGVSESQFNQVLNIELEQIIEACKFLDENWSPKFTMIVAQKNHHTKFFQSNSPENVPPGTVIDNGICHPKNNDFYLCAHAGMIGTTRPTHYQVLHDEVGFSPDALQELVHSLSYVYQRSTSAISLVAPVCYAHLAAAQVGQFMKFDDFSESSSSHGGAPVPELPVLHDRVKSSMFFC >itb12g11530.t1 pep chromosome:ASM357664v1:12:9824107:9826125:1 gene:itb12g11530 transcript:itb12g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHQEPFGNPNAVISPDFCAPHPFQLSMKKKHYFFSSHEYEVKDDTYGRFLFKVKRINSFFSSKVVIYDAVQNPIVTLRPKTNSRRSRWQVFRGESKANTDIIFSARLSSFFQFNRNLDVFLRNNIAEKICDFKMKASNSANKCDIYGSSSLLIAQMKRKGILRNHFMMSVNPNVDYAFIVALIVILDEIVISD >itb03g02310.t1 pep chromosome:ASM357664v1:3:1263055:1263966:-1 gene:itb03g02310 transcript:itb03g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIGRGNNGGNIEGGGEGGDGKSGGNIEGGGEGGDGRIGGNIEGGGEGKSGGKMDGGGEGMTGGIMDGGGKNGLGGSKDGGGSNGFGGKMPNGGKILGSTTCCSFTFRGAAGGINGIIPGPIGGITGMGVTGRFDTGGTNGIPGPSGGTGSCGTPGTPGIGGRIDAGGSRICGNFSVFWLQSSSGCLRGLKVKTPAEKTRLPSFISLKRIDDVAAAADATAHDGSPLLITSTEHPLIFFTPLATENGRFTLNSPRPSVFTSERKLCRPSPPHSTATTAPENNNNGDKKGLSNLRRETSITT >itb08g12270.t1 pep chromosome:ASM357664v1:8:12400750:12401217:-1 gene:itb08g12270 transcript:itb08g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKTSIRELADNVFEKMLDRNIVTWNACISNVVIDGRHNDVVKKFIELLRVGEEPPNSKTFCAFLNACSDGFYLKRGMQLHGNVIRCGYDLDISVLNGLIDVYGKCHEVKSSELVLDNMDERNGVSWCSLLAVYEQNDLGEKTLKIFLKAREDW >itb04g02410.t1 pep chromosome:ASM357664v1:4:1428897:1432120:1 gene:itb04g02410 transcript:itb04g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFERVGVRQLLNFGLNLKFTVKNSIRQVHMESSRSSRISRGALIVFEGLDRCGKTSQSSRLVKYLDNLGHSIQSWRFPDRNTGIGQMISSYLANKSHLDDHAIHLLFSANRWEKRSLMEETLKSGTTIIVDRYSYSGVAFSSAKGLDIQWCKAPDIGLLAPDLVLYLDISPERAAERGGYGGERYEQLEFQKKVALSYQALEDSSWKIIDATLPMEDIEKKLREIVVECMIKCQDGKPLSLLWSS >itb12g19990.t1 pep chromosome:ASM357664v1:12:22421624:22424005:1 gene:itb12g19990 transcript:itb12g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLKAHLLLLQLFFVSTWANLSSVQHFGFLFGDVFHGHHRDSDAEVPIEQQAYHRQNPVPIEQQAHHRQNPVPIEQQAHHRQNPDFEMDPSASSWEIVTENVGVSAMHMQLMINNTVVWYDTINLGPSALRNNPRWCRKAANGRTDCWAHGIIYDPNTGKVVKTLKMNLNPWCSSGGLSSTGKLISTGGTDDGLRGIRILDPCNDCDFQESVGLSANRWYASQHMLENGDFIVVGGRGAHNYELIPPDQLKFPIQQFGLPLLMETTDGHLENNLYPFVYLLPDGNVFLFANDRSIIFNPRTGETIRELPKLPGGSRNYPASGQSALLPLKLTPNTGEHDFVKAEVLVCGGNTHEAYKVTERAPRQFPPALKDCGRIVVNEEGAEWEIDEMPSGRVMGDLLILPNGDLLLINGAKAGTSAWDAAEDPNFTPVLYSPDKPKGQRFTNLKPTQIARMYHSSSAILPDGKILVAGSNTHANYNMKAKYPTDMRVEKFSPPYLSLALQKSRPEILEDSSDKHLIYGRNFKIQIKLDADVDMSDIKVTMYPPPFTTHGFSQGQRMLILGLNDASNKAISAVAPPSGKLAPPGYYLIFVVHRGVPSKGMWVHIN >itb10g03710.t1 pep chromosome:ASM357664v1:10:3467494:3468709:1 gene:itb10g03710 transcript:itb10g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYSCNRCGHCKRLAPEYEKAASLLSSHDPPITLAKIDLSDKVNSEIATKFKIQILRSGGNAIQEYKGPREADGLVAYLKKQAGPSSAEIKSREDSANLIDEKRLFVAGVFPVFSGQSFQNFSIVAEKLRADYDFGHTLDAKLLPHGGPVDKPTLRLLKPFDELFADFQEFQVDQLEKFIEEASIPTVTIFGKNEENRRFVEKFFYSPNTLRALPAYVMLNCSKALWSSLMIGHH >itb01g29860.t2 pep chromosome:ASM357664v1:1:33977945:33985322:-1 gene:itb01g29860 transcript:itb01g29860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGSADRDIEQALVALKKGAQLLKYGRKGKPKFYPFRLSSDESSLIWISSSGDRSLKLASVSRIIPGQRTAVFHRYLCPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWITGLRALISAGQGGRSKIDGWSDGGLYFDENRDLTSNSPSSSSVSATREISSPEASIGSKPNASPNHFGRDSGSSHAALDLTNMQVKPSNSDVYRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEVICDNIVKVGPEKDTSTVTTRADVLLPRPLESHVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVRKDVTQPSLVESLSFCSVDFIACGEFHTCAVTLAGELYTWGDGTHNAGLLGHGSDVSHWIPKRISGPLEGLQVAMIACGPWHTALITSSGQLFTFGDGTFGVLGHGDRESVLLPREVASLSGLRTTAVACGVWHTAAVVEVIVTQPSTNVSSGKLFSWGDGDKNRLGHGDREPRLQPTCVSALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPDSDGKLPCLIEDKLAEECVVQIACGSYHVAVLTSNNEVHTWGKGANGRLGHGDIEDRKTPTLVETLKDKHVKYIACGSNYTAAICHHKWVSSAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHACSSRKAPRAALAPIPSKPYRIENLKNRCELQELELQKSTKKTQDAMVLAAEESAKCKAAKEVIKSLTAQLKDMAERLPPGAYDAECTRLPYLPNGMDLNVMKYPDMNGERHSRSDSIASTYLSSQVSIDASINCMESPTKGSGCNETNQFNQAREIMTSNGMDDPPDVSVVQACSNRSSLAVDKEESGPPQDTENGVKSRNSVVASNANQTEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVRGSDKSSVSGQAARRTERSVSPTSQISNK >itb01g29860.t1 pep chromosome:ASM357664v1:1:33977892:33985322:-1 gene:itb01g29860 transcript:itb01g29860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGSADRDIEQALVALKKGAQLLKYGRKGKPKFYPFRLSSDESSLIWISSSGDRSLKLASVSRIIPGQRTAVFHRYLCPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWITGLRALISAGQGGRSKIDGWSDGGLYFDENRDLTSNSPSSSSVSATREISSPEASIGSKPNASPNHFGRDSGSSHAALDLTNMQVKPSNSDVYRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEVICDNIVKVGPEKDTSTVTTRADVLLPRPLESHVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVRKDVTQPSLVESLSFCSVDFIACGEFHTCAVTLAGELYTWGDGTHNAGLLGHGSDVSHWIPKRISGPLEGLQVAMIACGPWHTALITSSGQLFTFGDGTFGVLGHGDRESVLLPREVASLSGLRTTAVACGVWHTAAVVEVIVTQPSTNVSSGKLFSWGDGDKNRLGHGDREPRLQPTCVSALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPDSDGKLPCLIEDKLAEECVVQIACGSYHVAVLTSNNEVHTWGKGANGRLGHGDIEDRKTPTLVETLKDKHVKYIACGSNYTAAICHHKWVSSAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHACSSRKAPRAALAPIPSKPYRVCDSCFNKLSKVAEAGANNRRNSGPRLSGENKDRLDKVELRLAKSGLPSNLDLIKQLDSKAAKQGKKADSFSLGRSSQAPSLLQLKDVLATVGDLRQPVVRPVLTQSGFSSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSIADSLKKSNELLNQEVQKLRAQIENLKNRCELQELELQKSTKKTQDAMVLAAEESAKCKAAKEVIKSLTAQLKDMAERLPPGAYDAECTRLPYLPNGMDLNVMKYPDMNGERHSRSDSIASTYLSSQVSIDASINCMESPTKGSGCNETNQFNQAREIMTSNGMDDPPDVSVVQACSNRSSLAVDKEESGPPQDTENGVKSRNSVVASNANQTEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVRGSDKSSVSGQAARRTERSVSPTSQISNK >itb01g29860.t3 pep chromosome:ASM357664v1:1:33977892:33985273:-1 gene:itb01g29860 transcript:itb01g29860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGSADRDIEQALVALKKGAQLLKYGRKGKPKFYPFRLSSDESSLIWISSSGDRSLKLASVSRIIPGQRTICKDKVEAEVWITGLRALISAGQGGRSKIDGWSDGGLYFDENRDLTSNSPSSSSVSATREISSPEASIGSKPNASPNHFGRDSGSSHAALDLTNMQVKPSNSDVYRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEVICDNIVKVGPEKDTSTVTTRADVLLPRPLESHVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVRKDVTQPSLVESLSFCSVDFIACGEFHTCAVTLAGELYTWGDGTHNAGLLGHGSDVSHWIPKRISGPLEGLQVAMIACGPWHTALITSSGQLFTFGDGTFGVLGHGDRESVLLPREVASLSGLRTTAVACGVWHTAAVVEVIVTQPSTNVSSGKLFSWGDGDKNRLGHGDREPRLQPTCVSALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPDSDGKLPCLIEDKLAEECVVQIACGSYHVAVLTSNNEVHTWGKGANGRLGHGDIEDRKTPTLVETLKDKHVKYIACGSNYTAAICHHKWVSSAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHACSSRKAPRAALAPIPSKPYRVCDSCFNKLSKVAEAGANNRRNSGPRLSGENKDRLDKVELRLAKSGLPSNLDLIKQLDSKAAKQGKKADSFSLGRSSQAPSLLQLKDVLATVGDLRQPVVRPVLTQSGFSSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSIADSLKKSNELLNQEVQKLRAQIENLKNRCELQELELQKSTKKTQDAMVLAAEESAKCKAAKEVIKSLTAQLKDMAERLPPGAYDAECTRLPYLPNGMDLNVMKYPDMNGERHSRSDSIASTYLSSQVSIDASINCMESPTKGSGCNETNQFNQAREIMTSNGMDDPPDVSVVQACSNRSSLAVDKEESGPPQDTENGVKSRNSVVASNANQTEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVRGSDKSSVSGQAARRTERSVSPTSQISNK >itb13g11210.t1 pep chromosome:ASM357664v1:13:16051264:16053271:1 gene:itb13g11210 transcript:itb13g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPRAAELTSLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQLNSRASSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYAPLPIEKQILVIYAAVNGFCDRMPLDRISQYEKAILNSVKPELLQSFLEKGGLKNENKIEPDTFLKESARAFI >itb13g07160.t1 pep chromosome:ASM357664v1:13:8855340:8856132:-1 gene:itb13g07160 transcript:itb13g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIAAALLSIFLSLNLLFFTWVSSASTSPFPSSSQGECPKGALELGVCADLLKDLLHLVVGSPATIPCCSLINGLVDLEAAVCLCTVVKSNIIGINYNIAVSLNLLINNCGKSVPIGYQCK >itb02g15190.t1 pep chromosome:ASM357664v1:2:11053301:11056705:1 gene:itb02g15190 transcript:itb02g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLIYVVICGFLFLYSLAKLLKPQNSSGTQKTLPPGPTKLPAIGNMHQLLGSQTHRILTNLAAKHGPLMHLQLGLVSTIVVSSPQVAEEVMKTKDTIFAQRPYLLASRILSYDSTNICFSPCGDYWRQLRRISTVELLSPKRVLSFRSIREEEMFNLVAQISLGSTFNLSRGLFSMTYTVTARAAFGKPSKQQEELIKLTEKVVEAFGGFSFADMYPAVKLVETITGLRPKTLKLHRSMDAILQLILSEHRDRRMKAEIREEEEDLVDVLLRIQENGDLEIQLTDNNIKAVILDMYIAGSETSATSMEWTMSELLKNPKVLKRAQAEVRKAFSKTRTVDESRLHELKYLQAVIKETFRLHPPLPLLLPRECRESCEINGYEIPEKSKVIVNVWAIGRDPEYWTEAEKFIPERFLDSTVDYKGTDFEFIPFGAGRRICPGVSFALTNIEFPLAKLLYHFDWEFPWGQKPEELDMSEAFALTVRRKNDLNLIPIPYEES >itb02g15190.t2 pep chromosome:ASM357664v1:2:11053301:11056705:1 gene:itb02g15190 transcript:itb02g15190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKDTIFAQRPYLLASRILSYDSTNICFSPCGDYWRQLRRISTVELLSPKRVLSFRSIREEEMFNLVAQISLGSTFNLSRGLFSMTYTVTARAAFGKPSKQQEELIKLTEKVVEAFGGFSFADMYPAVKLVETITGLRPKTLKLHRSMDAILQLILSEHRDRRMKAEIREEEEDLVDVLLRIQENGDLEIQLTDNNIKAVILDMYIAGSETSATSMEWTMSELLKNPKVLKRAQAEVRKAFSKTRTVDESRLHELKYLQAVIKETFRLHPPLPLLLPRECRESCEINGYEIPEKSKVIVNVWAIGRDPEYWTEAEKFIPERFLDSTVDYKGTDFEFIPFGAGRRICPGVSFALTNIEFPLAKLLYHFDWEFPWGQKPEELDMSEAFALTVRRKNDLNLIPIPYEES >itb06g00890.t1 pep chromosome:ASM357664v1:6:2072838:2077699:1 gene:itb06g00890 transcript:itb06g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKALVILGLVILATSVEEANGEVHGKTRKLINMANKKGPYIGLVIPNLFEMNPLLQHPSFVPSNLTIDYAGRRFRFGTIEKKPVILVMTGLAMLNAGITTQLLLSLFNVNGVVHYGIAGNANPSLNIGDVAIPQYWSHTALWNWQRFGDGPENELSLEVNGDYTRKIGYLKFSEYSTKVSSCKKHDNLLNNVWYQPEEVFPVTGNPEEREHIFWVPVDQHYYQIAKHLEGLKLEGCVNSTTCLAHTPKVARVARGTSASIYLDNAAYRSFIYNKFKVSPVEMESAAVALICYQQKVPFIVIRALSDLAGGGSADSNEAATFTSLAADNSVKVVIEFIKYL >itb04g04720.t1 pep chromosome:ASM357664v1:4:2905273:2907266:1 gene:itb04g04720 transcript:itb04g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLNSSWFLRVLTILITASSSTSFAFSDSSFQESFYQCVCVNSDFTVPFGAAFFAPNNASFTPILQSTAQNLRCLVPSVPKPKLIFTPLVESHVQAAVICAKQLGVQLRVRSGGHDYECLSYISEMESPFVIVDLAKLRRVEVNIEENTAWAQAGATVGEVYYRISQQSRTHGFPAGLCTSLGIGGHITGGAYGSMMRKYGLGADNVEDARIVDVNGKILDRQAMGEELFWAIRGGGGASFGIILSWKLRLVPVPSTVTVFTVPKTLEDGATKLLYKWQTIAADKLDEDLFIRVVISAVNAAKKGQRTIQTAYNALFLGQSDRLLQIMTKNFPELGLTKKDCLEMTWIQSILYIAGYPRNTPPETLLQGKSLFKNFFKAKSDFIRAPIPESGLEGLWKRLLQEDSPLLIWNPYGGMMAKIPETETPFPHRKGVIFKIQYLTLWNDASPESESKHYDWMRKLYNYMAPFASMFPREAYVNYRDLDLGMSKGGNESFIQAMAWGNKYFKMENFDRLVRVKSRVDPDNFFRHEQSIPTLPLSMEERGLGKTMIH >itb11g21710.t1 pep chromosome:ASM357664v1:11:23403950:23404636:1 gene:itb11g21710 transcript:itb11g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAISWRSVKQSLITTSTMEAEFVSCFEATSQGVWLKNFISGLRIMDSISKPLKIYCDNSAAVFLTKNDKSGSRSKHIDIKFLAIRERVKREIVVIEHISTELMIADPLTKGMPPFKFKDLVEKMGLSPNL >itb15g05170.t1 pep chromosome:ASM357664v1:15:3315970:3317717:-1 gene:itb15g05170 transcript:itb15g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGLISFTATTIAIPHSSHSPSSNSLSPHHFSRLFKASNVLPLKSYTNYWICAPYVFKERGALIANAGYDADGMDTDNPEPPSEGEEESLPFENDTLEPKLQLKLEQKMRMRVAKKIRLRRKKLDRKRRLRKKGRWPPSKLKKNKNV >itb15g05170.t2 pep chromosome:ASM357664v1:15:3315970:3317707:-1 gene:itb15g05170 transcript:itb15g05170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGLISFTATTIAIPHSSHSPSSNSLSPHHCYDADGMDTDNPEPPSEGEEESLPFENDTLEPKLQLKLEQKMRMRVAKKIRLRRKKLDRKRRLRKKGRWPPSKLKKNKNV >itb03g01470.t2 pep chromosome:ASM357664v1:3:833120:838217:1 gene:itb03g01470 transcript:itb03g01470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSICRSTVPLLACRPRKSVQSGGSADSLIGFRRILGDDIGRLSLRRGRIRQHICRIERVKATGKSSAGGDAGNGEDEEEEEEEDALQATIKKSQKVLAMQKDLLRQIAERKELVSKIRSGILDSEGSDEDGNGSFPKVELAPTSDDAKEDSNGVLSTMSVQSTADMKDFGESAQIGIKDLPLREGSLDVDSDKKVKNGTVNTVLPNEKPTVLSRSSVVPTQQTSLAQKSYKPENTTSEVAKSARVEPLSMHSKKDLKDSYTGKSLSNVPTFLSKSSASSTPVEEKHEDLRESSAKEIHNGTEDSVNEDLKPPPLAGTNVMNIVLVAAECAPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGNYAEPQDTGVRKKYAVDGWDVEVGYFQAYIDGVDFVFIDSPMFHHIENNIYGGNRVDILKRMVLFCKAAVEAPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDRGLMEYARSVLVIHNIAHQGRGPMDDFSYVDLPPEYADLFRLYDPVGGDHFNIFAAGLKMADRVLTVSHGYSWELKTSEGGWGLHGIINENEWKLRGIVNGIDTEEWNPLLDVHLKSDGYVNYSLETLQTGKPKCKAALQKELGLPVRPDVPLIGFIGRLDHQKGVDLIAEAIPWMMGEDVQLVMLGTGRPDLEQMLRQFECQHNDKVRGWVGFSVATAHRITAGADILLMPSRFEPCGLNQLYAMSYGTIPVVHAVGGLRDTVQPFDPFNETGLGWTFNTAEAGQLIHALGNCLLTYRQYKTSWEGLQKRGMMQDLSWDHAAQNYEEVLVAAKYQ >itb03g01470.t1 pep chromosome:ASM357664v1:3:833120:838217:1 gene:itb03g01470 transcript:itb03g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSICRSTVPLLACRPRKSVQSGGSADSLIGFRRILGDDIGRLSLRRGRIRQHICRIERVKATGKSSAGGDAGNGEDEEEEEEEDALQATIKKSQKVLAMQKDLLRQIAERKELVSKIRSGILDSEGSDEDGNGSFPKVELAPTSDDAKEDSNGVLSTMSVQSTADMKDFGESAQIGIKDLPLREGSLDVDSDKKVKNGTVNTVLPNEKPTVLSRSSVVPTQQTSLAQKSYKPENTTSEVAKSARVEPLSMHSKKDLKDSYTGKSLSNVPTFLSKSSASSTPVEEKHEDLRESSAKEIHNGTEDSVNEDLKPPPLAGTNVMNIVLVAAECAPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGNYAEPQDTGVRKKYAVDGWDVEVGYFQAYIDGVDFVFIDSPMFHHIENNIYGGNRVDILKRMVLFCKAAVEAPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDRGLMEYARSVLVIHNIAHQGRGPMDDFSYVDLPPEYADLFRLYDPVGGDHFNIFAAGLKMADRVLTVSHGYSWELKTSEGGWGLHGIINENEWKLRGIVNGIDTEEWNPLLDVHLKSDGYVNYSLETLQTGKPKCKAALQKELGLPVRPDVPLIGFIGRLDHQKGVDLIAEAIPWMMGEDVQLVMLGTGRPDLEQMLRQFECQHNDKVRGWVGFSVATAHRITAGADILLMPSRFEPCGLNQLYAMSYGTIPVVHAVGGLRDTVQPFDPFNETGLGWTFNTAEAGQLIHALGNCLLTYRQYKTSWEGLQKRGMMQDLSWDHAAQNYEEVLVAAKYQW >itb02g00730.t1 pep chromosome:ASM357664v1:2:425601:426801:1 gene:itb02g00730 transcript:itb02g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEEGLLDKIRPPRLEDAGLEDCALPPESIKEAFLKAASAVRSIISTSDDEAEDSCVDDPWPRTGDSPDSLVGITEGVDGGPGSCVTEKPAGAGGVPEVAGDEVVVAGAGGNEDKTDALVAPDLPCGGGACVDGLEGLKIGEKAKNSSKKSVNADEDDDDDEKTEKPIIVEGGYA >itb06g15810.t1 pep chromosome:ASM357664v1:6:20057706:20058101:1 gene:itb06g15810 transcript:itb06g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKGLIEDTDMPMKMQIQAMSCAYQALDLYDVLDCKSIAAHIKKEFDKRYGNGWQCVVGTNFGCFFTHTKGSFIYFTLETLNFLIFKGASSSPPTIP >itb11g08150.t2 pep chromosome:ASM357664v1:11:5249753:5253946:-1 gene:itb11g08150 transcript:itb11g08150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQLTASTISAKGFALFEGLRSSSTVKVASCCYDVKDLKPLNDRVLIKVAEVEENTSGGLLLSAASKEKPSIGTVLAVGPGLLDEEGNRKSLSVSTGSIILFSKYAGNEFKGVDGSDYIVLRVSDVIAVLS >itb11g08150.t3 pep chromosome:ASM357664v1:11:5249586:5253946:-1 gene:itb11g08150 transcript:itb11g08150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFFVEFPFSSSGYSANWLIFSGCYRFRVKTNENDNDSAYCINYLGKGFCSIRRAQVFQYRQNDVKDLKPLNDRVLIKVAEVEENTSGGLLLSAASKEKPSIGTVLAVGPGLLDEEGNRKSLSVSTGSIILFSKYAGNEFKGVDGSDYIVLRVSDVIAVLS >itb11g08150.t1 pep chromosome:ASM357664v1:11:5249586:5253946:-1 gene:itb11g08150 transcript:itb11g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQLTASTISAKGFALFEGLRSSNDVKDLKPLNDRVLIKVAEVEENTSGGLLLSAASKEKPSIGTVLAVGPGLLDEEGNRKSLSVSTGSIILFSKYAGNEFKGVDGSDYIVLRVSDVIAVLS >itb03g28980.t1 pep chromosome:ASM357664v1:3:29694166:29698456:-1 gene:itb03g28980 transcript:itb03g28980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLARKDVRKILKRKDSDAGERGRALEEVRASLFSKLRVFGGAKRQQPALWAPIVALSFNFVVSVGIILMNKLVLVKVGFNYPICLSFIHYLFSWSVMAILKCFSILSLSPPPKSTKYSSLLSLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPAIVIAEFIFFQKRISSPKVIALTIVSIGVAVATVTDLQFHFFGACIAVAWIVPSATNKILWSNLQQQKNWTALALMWKTTPITLFFLVLLMPSLDPPGVLSFNWNLYNSTIIFASAVLGFLLQWSGALALGETSATTHVVLGQFKTCVILLAGFLLFGSNPGVTSIFGAITALVGMSIYTYMNLKLKQQAARTPTRQSPLSSQKLKHDGENGSTQNGSLGDESV >itb03g28980.t2 pep chromosome:ASM357664v1:3:29695687:29698456:-1 gene:itb03g28980 transcript:itb03g28980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLARKDVRKILKRKDSDAGERGRALEEVRASLFSKLRVFGGAKRQQPALWAPIVALSFNFVVSVGIILMNKLVLVKVGFNYPICLSFIHYLFSWSVMAILKCFSILSLSPPPKSTKYSSLLSLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPAIVIAEFIFFQKRISSPKVIALTIVSIGVAVATVTDLQFHFFGACIAVAWIVPSATNKILWSNLQQQKNWTALALMWKTTPITLFFLVLLMPSLDPPGVLSFNWNLYNSTIIFASAVLGFLLQWSGALALG >itb08g17330.t2 pep chromosome:ASM357664v1:8:19780037:19786306:-1 gene:itb08g17330 transcript:itb08g17330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEKATLQSRNDSVVAAADGDVLQEWTSSVDRQIGQREAVSAAAASPLAAVIDSIEQPPASSAKVSGLPNTMRAQSRHPLDPLTAAEISVAVATVRAAGATPEVRDSMRFAEVSLVEPHKNVVALADAYFFPPFQPSLLPRTKKGPVIPSKLPPRQARLVVYNKKSNETSFWIVELSEVHAVTRGGHHRGKVISSKVVPDVQPSMDAAEYAECEAVVKDFPPFREAMKKRGIVDMDLVMVDAWCVGYHSDADAPNRRLAKPLIFCRTESDCPMENGYARPVEGLYILVDMQNMVVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHIIQPEGPSFRVDGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFAGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAHNQVAEVNVKVEDPGENNVHNNAFYAEERLLKTELEAMRDCNPLSARHWIIKNTRTVNRTGQLTGYKLVPGSNCLPLAGTEAKFLRRAAFLKHNLWVTPYARDEMFPGGEFPNQNPRVGEGLASWVKQNRSLEETDIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSADPDPKENGVITKPCHPGLVAKL >itb08g17330.t1 pep chromosome:ASM357664v1:8:19780037:19786306:-1 gene:itb08g17330 transcript:itb08g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEKATLQSRNDSVVAAADGDVLQEWTSSVDRQIGQREAVSAAAASPLAAVIDSIEQPPASSAKGLPNTMRAQSRHPLDPLTAAEISVAVATVRAAGATPEVRDSMRFAEVSLVEPHKNVVALADAYFFPPFQPSLLPRTKKGPVIPSKLPPRQARLVVYNKKSNETSFWIVELSEVHAVTRGGHHRGKVISSKVVPDVQPSMDAAEYAECEAVVKDFPPFREAMKKRGIVDMDLVMVDAWCVGYHSDADAPNRRLAKPLIFCRTESDCPMENGYARPVEGLYILVDMQNMVVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHIIQPEGPSFRVDGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFAGGVETIENCVCMHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAHNQVAEVNVKVEDPGENNVHNNAFYAEERLLKTELEAMRDCNPLSARHWIIKNTRTVNRTGQLTGYKLVPGSNCLPLAGTEAKFLRRAAFLKHNLWVTPYARDEMFPGGEFPNQNPRVGEGLASWVKQNRSLEETDIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSADPDPKENGVITKPCHPGLVAKL >itb11g17360.t3 pep chromosome:ASM357664v1:11:17113581:17115436:1 gene:itb11g17360 transcript:itb11g17360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGAILCQISQLKDMLDKVNEEIEANIQITREIESEIVKCTETEVALLARQSELMKTAYTLQFEISGLMAATADSATSCKSLEEELHCLERKRDEILTRMNNKREGFLRSCLDFQNNIGKKGNDEVAALLVEKELLENEVHSLNNRNTVLQNSTAAFVEEVLEDLHNSIYGTLLLPKSSYYFSFHLWNNMY >itb11g17360.t2 pep chromosome:ASM357664v1:11:17113581:17121100:1 gene:itb11g17360 transcript:itb11g17360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGAILCQISQLKDMLDKVNEEIEANIQITREIESEIVKCTETEVALLARQSELMKTAYTLQFEISGLMAATADSATSCKSLEEELHCLERKRDEILTRMNNKREGFLRSCLDFQNNIGKKGNDEVAALLVEKELLENEVHSLNNRNTVLQNSTAAFVEEVLEDLHNSIYALDIDIRIRNIENEKLMKDIDELKKTLLSTMSIG >itb11g17360.t1 pep chromosome:ASM357664v1:11:17113581:17121100:1 gene:itb11g17360 transcript:itb11g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGAILCQISQLKDMLDKVNEEIEANIQITREIESEIVKCTETEVALLARQSELMKTAYTLQFEISGLMAATADSATSCKSLEEELHCLERKRDEILTRMNNKREGFLRSCLDFQNNIGKKGNDEVAALLVEKELLENEVHSLNNRNTVLQNSTAAFVEEVLEDLHNSIYALDIDIRIRNIENEKLMKDIDELKKTLLSTMSIG >itb05g22220.t1 pep chromosome:ASM357664v1:5:27697452:27701791:1 gene:itb05g22220 transcript:itb05g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANSSSSRSVIIISLFCKAFLLFFSLHPLFVLPLFIFFLPPTSRVAAASSNELFTQSQQLLSFKAGLSTKDSLHNWVSSTDPCSFTGVTCKDSRISSIDLSNTILSVDFSSVSSHFLSLPNLESLVLKNSNLSGSLASASKSQCGVSLTHIDLAENTISGPISDIYSLGGCSSLRSLNLSKNSMDPPPEKEHRGAASAIKLQILDLSYNNLSGRNVFPWLLSKDFAELELLSLKGNKISGNIPELGFKNLSYLDLSANNFSTPFPSFQDCSNLEHLDLSSNKFNGEIGASLSSCEKLSFLNLTNNKFAGAIPKLSSGSMRYLYLRGNGFQGAFPAHIGELCKTLVELDLAMNDFSGVVPESIEACSVLERIDISNNNFSGELPVETILKLTSLKTLVFSMNNFVGELPEALSSLVSLETLDLSSNNLSGLIPPGICKDPRNSLKVLYLQNNFFTGSIPEGLSNCSQLVSLDLSFNYLKGRIPSSLGSLSKLKDLIIWLNQLQGEIPQELMYLQALENLILDFNDLTGSIPSSISNCTNLNWISLSNNFLSGEIPSSLGHLSNLAILKLGNNSITGNIPAELGDCGSLLWLDLNTNLLNGSIPPSLSKQSGYIAVSLLTGKRFNYIKNVGSKQCHGAGNLLEFGGIRQDRMDRISARSPCHFSRLYEGITQPNFNHNGSMIFLDLSYNKLEGSIPKELGSMYYLFILNLGHNDLSGPIPPDLGGLKTLAILDMSHNHLSGTIPQSLTSLTVGEIDLSNNNLSGMIPQSALFDTFSDYMFANNSGLCGYPLPQCGSPSSLSTNQHRNSHHLKQPSFIGTVAIGLLLSLFCISGLIIVTVETRKRRKKNEASLETHTGDPSYSITANTGWKLTSMREALSINLATFEKPLKKLTFADLLEATNGFHNDSLVGSGGCGDVYKAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYAYMKYGSLEDVLHDRKRIGIALNWAARRKIAIGAARGLTFLHHNCIPHIIHRDMKSSNVLLDENLEAKVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSVDFGDNNLVGWVKLQNKMKIRDVFDPELIKEDPSLENELVLHLKVAFDCLDDRPWKRPTMAQVMAKFKEIQTRIGGIDDSSSTIATKDGGLSADEGVEMSIRESIMEGNEHSGL >itb09g06100.t1 pep chromosome:ASM357664v1:9:3498512:3506737:1 gene:itb09g06100 transcript:itb09g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHVLPNIIKPAQNPLALSPQSTAPNYPLNAPNLVKYQQKRLEMSHLLEQVQEDSLKGLVVIDSMQRFGMDHYFEDQITQTLTKQYKHSQTLLYSSDDDLYSVSLRFRLLRQQGFHLPAGKKHGKTVITKYPDARGRGSEPPKTECKLTEAEAERRSLSSAPRSAEAGEGHAGPSLTRNPEHAIEGKDTQFIESSLLKTRRNEQSRNKHATQFIENKAKQAKSKQARLGLRATKFQP >itb15g21030.t1 pep chromosome:ASM357664v1:15:23719608:23720543:-1 gene:itb15g21030 transcript:itb15g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKCDVQFLLFAAILCAAAVAGAAAEAAAAAAAPANLVAICTQAVKDDELIPFCSQVFLKDKAGQLGDVNDWEKNSRVVITHGASNAASIEKFVEQLANNQSLSMYERATLNLCVQSLKRGAEQIAEAAAAFNKMDKVDVHGLKDVQILMDDGKSNQGKCEDMLEPQKSLANVWKEVHDAFTATRIVTRFLTHVKSE >itb02g01690.t1 pep chromosome:ASM357664v1:2:939773:942066:1 gene:itb02g01690 transcript:itb02g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCSGCSIAAIRLINGLFRASLSFPVRQYCCESDHYYSKKSLKYWNDFYKRHQNKFFKDRHYLEKDWGRHFSNEDGDGDGKGKVVLEVGCGAGNSIFPLVAAFPRLIVHACDFSPQAVALVKSHENFDAERIHVFVCDAAKDDLSSEITSSTVDVVTLIFMLSAVSPNKMPSILQNCKKVLKPNGHILFRDYALGDSAQVKLHERNQMISQNFYFRGDGTCSFYFSKEFLSTLFVQAGFDVVDINTYCMEIENRYRNITMPRRWLRAVFRKS >itb14g15020.t1 pep chromosome:ASM357664v1:14:18132064:18133161:1 gene:itb14g15020 transcript:itb14g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGKNWIGILLICLVAISSVRWFLQSPTLPFLNNTLFGLCGVDLRRIASFLGCPFSEEEEEGGISRLCSFESLSNMEVNKTGKSSHRPNNVYFRKGKVGDWRNHLTDEMASRLDQIVEEKFKGIGLKL >itb15g23490.t1 pep chromosome:ASM357664v1:15:26327971:26331536:-1 gene:itb15g23490 transcript:itb15g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSNVISNTGCLDNGISSDAKPPNPLAGGYRHSLSSARAAAAAALAPCTNKSLIRHPSLMKTKPSDVSIEPKSAIDGPVVDFIPVLRSGAWADVGSRSSMEDVYVCADNFLQDYRFGNSTEGPNAFYGVFDGHGGKHAADFACSHLPRFIADDEDFPREIERAVSSAFLQTDTALAEACTLDDDLASGTTALAALVVGSSLVVANAGDCRAVLCRRGKAIEMSRDHKPVCLRERKRIEASGGYVYDGYLNGQLNLSRALGDWHMEGMKSQDGGPLTAEPEFISTKLTEEDEFLIIGCDGIWDVFMSQNAVDFARRRLQEHNNPEMCSKDLVHEALKRKSSDNLSVVVVCFQSHPPPNLVAPRGRVHRSISAEGLKELQSFLDNLKV >itb07g05520.t1 pep chromosome:ASM357664v1:7:3813539:3815257:-1 gene:itb07g05520 transcript:itb07g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADCGDVHSAYHLFDELPQPNVFAWTALLSFFSRNGLFYECVSTYCEMKVEGILPDKYVFPRVLRACSLFSCLEVGVQVHKDVVVCGVEQNVHVGNSLIDMYSRCGDLRSSRLVFDLMIERDLLSWNSMISGYVCNNFLALAMEMLGLMRMAGFQPDIVTFNILMDAHCRVGQCDEALEICKQIKDPTIVSWTTLMSGYSRIGKHDISLQIFGNVMNRGEIYADLDCLSSALSSCQHMKALRSGQEIHAYGIKVEQLHEFYQSAGPALLSMYSKCGKIEYARCVFDLVDKCDVVAWNAMILGFAEQGAGNSAIECFRKMQNMRIKNDQTTITTILPVCDLKYGKQIHAYVCKATFGDAIPVWNALVYMYAKCGCIQAAYSVFSRMGNKDLVSWNTMIGGFGMHGLGKASLQLLQEMNLSGIRPNSLTFTSALSACSHSGLVDEGLEIFQRMTQHYCLSPRTEHFTCIVDLLTRAGRLEEAVDFITRMPVEPGKHIWGSLLAAALAHQNLDIGVLASESLVKLEPENPGHYVTLSNMYTRAGRTDDAVALRKKMEGTGLVKQFGRSWVATGN >itb10g25970.t1 pep chromosome:ASM357664v1:10:29175508:29179988:-1 gene:itb10g25970 transcript:itb10g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRGAYGVAGSIAEQAISSIRTVYSYVAEIQTVKRFSSALEESKNLGIKQGFVKGNLIGSMGMVYVAWAFESWIGSVFVADKGESGGRVFISAVCINLGGLSCMGVLPNFSFITEARVAAAKIFELINRVPEIDSEDGKGKALSYVRGEIEFRDVSFRYPSRPDITVLENFSLRVKAGKTMGVVGGSGSGKSTLISLLERFYDPTEGDILLDGYKIKRLKLKWLRSQIGLVNQEPVLFATSIKENILFGNEKASMEDVIDAAKAANAHSFIEKLPLGYETHVGQFGFQLSGGQKQRIAIARALLKDPKILLLDEATSALDAQSERVVQEALDQASEGRTTIVIAHRLSTIRKADKIVVLQSGRIVESGSHHQLMQKNEEGGGVYFKMVQLQQSAEETETEAPSHSYHHPNDSRNSRRGIHMNTPNSPYSARSSGLNTPASPFVSPSVISPSIVPSVHLYSYCDSDDDTEDISEKLYQKSPSMWRLLKLNGPEWKRALLGCLGAFAFGAVQPAHAYCLGTVVSVYVSNSSNIKSETKDYSIAFLCFGVITYFTSIIQHYNFAFMGEMLTKRVREKILQSLLTFEVGWYDEDENTSAAISARLASEANMVRALVGDRISLLLQISTSASLAFLLGLIVTWKVAIVLIAIQPLAVMSFYSRSVLMKSMSRRAKKAQSEGSQLASEAVINHRTITAFSSQQRIMKLFMETQRGPRKESLRQSWVSGAGLFCSQFITTANIAITFWYGGRLMNKGEVSAKHLFQAFFILLSTGKNIADAGSMTSDLARGSSAVASVLGILDRKSEIEPDDNLQGLEVKNPLRGKIELKSVFFSYPSRPDQMVFQGMNLKIEPGRTMALVGQSGSGKSTIIGLIERFYDPTRGSVLIDDRDIKAYNLRSLRSHIALVSQEPTLFAGTIQENITYGKENATQSEIKKAAILANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDSVSENLVQEALEKMMNGRTCVIVAHRLSTIQKSDTISVIKNGKVVEQGSHSDLLRLGTHGSYYSLIKLQYGQSPPIRP >itb12g21780.t1 pep chromosome:ASM357664v1:12:24014913:24015416:1 gene:itb12g21780 transcript:itb12g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNTTMVAADSGDDSGEQENPGGFGYSIGFSIGILLILVVVTYFSYVCVKTRPGNASRRRAVTAAEDDDEERMSRRRLGLDEATLESYPKLVYSQAKQHEGDSAVSSSGCSICLADYKDADILRLLPDCGHLFHSKCVDPWLRLRPTCPICRNSPLPSPLPSPLVR >itb04g02220.t1 pep chromosome:ASM357664v1:4:1327937:1331308:-1 gene:itb04g02220 transcript:itb04g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSNIPNEIIPHILLQLPMKAVIRFQCVCKQWRSWIDDSDFKLSYRGHRRVIILSRESLKDYTVFVGSTSHDLRLQRHKWQFGGAFPLTCGGEMDRVRVLCSCNGVVLLVVNRDILLWNPSTRCSTKVFEWPSRPKWPYRPIRNMHNLAGLCYDSCTRDYKAVLLFRYLGNHFGDPFVTSASLNHKEWRQVQFPFHYNSALGSVEFRNTFHWWVSDIKYWDLDWNRDMNYLSGGDRNMILYFDPVRDEFRILPIPKLRENDSSIVGLGVIDDCLSMACIVHKEEKSEIKTMQVLIMKEYGRQESWITAFVIQMPLPMKAVIRFQCVCKQWRSWIDDSDFKLSYRGHRRVIILSRESLNDSTVFVGSTSHDLRLQRHKWPFGGFSIDLWRGNAPC >itb07g23910.t2 pep chromosome:ASM357664v1:7:28240853:28247300:-1 gene:itb07g23910 transcript:itb07g23910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFANFLEPPPPPSSPAALPLISRSIIDAGDPNSKPPSKLGSRDSDPQLKSECPNPKPDEQCNELDTELNYKSDSTIEHQDSDLSSEPELRVVHREDEVKCQSNGEIRVRGCQRDIKRFATANKSLDEFVKDWVTRSVKAGVPEHRCFLPFLSQAPKSVECPVCQNLIFPGEDLSCSVRGCQVVVHQLCAIERLGFSSTKQFKCPQHVCFACNKMNHIWRCIKCLIASHDKCAAFPEYVVHLTSHPGRVICWKHHPDWRLEKEHEDPIKSIEEIFTHLPLPYMEEEFKINLNWKDANDGKLEPPSYEPIKRNVYLIKKKRDNVGADIGCTNCSSTKCSDSCICRVQSISCSKACRCSGKCSNRPFREEKKIKLVKTELCGWGVVAAESIKKGDFIIEYIGEVIDDALCEKRLWQMKYSGEKNFYMCEIRKDFTIDATFKGNYSRFLNHSCDPNCKLEKWQVECETRVGVFADRDIEVGEPLTYDYRFVQFGPEVKCHCGAPNCQGFLGIKKKISTKLDIYWGSKRKRTSTTCLAMVKVNP >itb07g23910.t1 pep chromosome:ASM357664v1:7:28240822:28247306:-1 gene:itb07g23910 transcript:itb07g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFANFLEPPPPPSSPAALPLISRSIIDAGDPNSKPPSKLGSRDSDPQLKSECPNPKPDEQCNELDTELNYKSDSTIEHQDSDLSSEPELRVVHREDEVKCQSNGEIRVRGCQRDIKRFATANKSLDEFVKDWVTRSVKAGVPEHRCFLPFLSQAPKSVECPVCQNLIFPGEDLSCSVRGCQVVVHQLCAIERLGFSSTKQFKCPQHVCFACNKMNHIWRCIKCLIASHDKCAAFPEYVVHLTSHPGRVICWKHHPDWRLEKEHEDPIKSIEEIFTHLPLPYMEEEFKINLNWKDANDGKLEPPSYEPIKRNVYLIKKKRDNVGADIGCTNCSSTKCSDSCICRVQSISCSKACRCSGKCSNRPFREEKKIKLVKTELCGWGVVAAESIKKGDFIIEYIGEVIDDALCEKRLWQMKYSGEKNFYMCEIRKDFTIDATFKGNYSRFLNHSCDPNCKLEKWLNVRHVLVFLLIETLKWESH >itb03g06420.t1 pep chromosome:ASM357664v1:3:4687053:4690407:1 gene:itb03g06420 transcript:itb03g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTANARWKKAMKIGLSLFRRGFNSSKCKTMAKMTVARIKLLRNKREVVVRQMRRDIAMLLETGQDATARIRVEHVIREQNVLAANEFIELFCELIVARLPIIAKQRECPADLKEGISSLIFAGPRCSEIPELLEIRDVFEKKYGKDFVSAATDLRPNAGVNRMLIEKLSVKTPSGEVKLKIMKEIAKEYQVEWDTTESEVELLKAPEERIEGPNTFVSATSLPVKPVSRQSIDPNNPSTTITNYGETGNKNFEDPASAAKAAAEAAQQAIAAAEAAAYLANKNVRASSGSYGHVNDEQYDIQRMNRRNSGDSQHSAGRVMPIDDIHAQKILRRHSYNANSDVKYDESDCDEEIEMESPTNAGRYPPSQPTDHDSKQIYRRHSYNTAPSQHSGVNFEESYYAPPPERPAPQVPRVHPKLPDYDELTSRFEALKYQK >itb07g23140.t1 pep chromosome:ASM357664v1:7:27623288:27626320:1 gene:itb07g23140 transcript:itb07g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQPHWKHINKNLCYWALSHSRRKLGFSSFSHEEDSPSPTKQSQTTGYDPSEELFGLASDLHPREVVSGASKPRSWFGPNGQYIRELPCPSCRGRGYTPCTECGIERSRLDCSLCTGKGIITCHQCLGDCVIWEESIDERPWEKAQSISPFKMKEDDEVDNLDIKLDAKRKTKRVYQSPRPEVNLKISRSLKSLNAKTGLFSKRMKIIHGDPTLHAQRVAAIKKAKGTAAARKRASDAMKNYFRDPENRRKRSLSMKGARFFCRNCGKEGHRINYCPDIKNLDRRFRCRVCGEKGHNRRTCPKSKLSIPKRKVKKNLHCTTCGERGHNRRTCPEKTDLDSTGSATSISSAASTKKPYTCSLCVTKGHNSRTCPERSKYMETGHNNRRRTPPRPKLVVPRRTMIKNLHCRICGEEGHNRRTCPQNSTSAGN >itb02g01140.t1 pep chromosome:ASM357664v1:2:634995:635618:-1 gene:itb02g01140 transcript:itb02g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNFSLRFILVAVFVSVIFIWIMAANPSEAARELLKANSTAGMYCPDDCVGCCEPPPPGSCCLKCGC >itb11g12820.t1 pep chromosome:ASM357664v1:11:9788478:9793116:-1 gene:itb11g12820 transcript:itb11g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSFKHPQSCSFLSWFLFLLSIIGNSVGSSICIEKERRALLSFMHNISDPNNLLSSWTDGDKDCCKWEGVGCDNRTGHVVAVDLGPDAMCINEASCTPDLDCDINPALQVGPLEWVSHLDSLEYLGLDSVDLFSASNWLESISKLSLLRTLHLTYFYFPHPSSLLHLNSSRFLQDLSLGGGNLTSPILNLWLNESSDMIQLSLPSTKLYGVVPNVLNKMHSLEYLDLSFNYLEGEKTEDLQFLSNLSNLKTLDLSYNSFSFNFSELIIGSEKMIEELKLWRNKIVGSLNDIKKYCSLRTLHLADNELSGSLPDMSTMLSMKLLFISNNRLVGNLMGSNIGHLSHLIGLDVSSNFLEETIDETQFSNFSKLRFLSLSDTFKLAGYLDLSNNHFSGNIPECLGQYAGNFLSSFVWRVALVFEKLHFIEILDLGENGLSGGIPEWIGESLIELKVLYLHSNELNGSIPLSICQLQSIRILDLSLNNLSGSIPTCFSNYSAAMSKISDQWFLIEAKFGYITFNGIYALFNIYFDYELIMWKGKEAEYEKNLRFLKVIDLSSNKLVGKFPVDLTNLYGLNSLNLSRNYLFGSIPNEIGQMRLLENLDLSNNQLSGAIPVSMAGLSFLAYLNLSNNNFSGCIPLGTQLQSFNEANYQGNPKLSGPPLTTKCQRDEHGNAPQSEGIEETKEDENWIIRDFGFFLRPSECTQCYMTLTWLLVVGSSSMLVFSLADRNENPSCIEKERQQALLRFKHGIIDTQNTLSSWNSEDGNCCRWVESAVTMKLDMLSHLIWDVHYFAITFLQVS >itb01g31010.t3 pep chromosome:ASM357664v1:1:34845792:34848171:1 gene:itb01g31010 transcript:itb01g31010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVSDPKSGVAVIKVAVPEPELFPHAVLPAGAAGSFISIPRPCRINALFESMRDSSPTRRSSESDDANKSWILSHPSAISMFDDIVNSSKGKKIAMFLDYDGTLSPIVEDPDKAFMAPEMRDVVRNVSKYFPTAIVSGRCRAKVYNFVKLSQLYYAGSHGMDIKGPTKGNLKGNQAVLCQPAREFLPMIEEVYKVLLEKTKSVPGAKVENNKFCLSVHYRCVEEKRWDELGEMVKSVIKEYPELRLSQGRKVLEIRPTIKWDKGKALEFLLEALGFANSNDVLPVYIGDDRTDEDAFKVLRERGQGFGILVSKAPKETDASYSLKDPSEVMYFLKRLVEWKARSSCK >itb01g31010.t1 pep chromosome:ASM357664v1:1:34845659:34848334:1 gene:itb01g31010 transcript:itb01g31010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVSDPKSGVAVIKVAVPEPELFPHAVLPAGAAGSFISIPRPCRINALFESMRDSSPTRRSSESDDANKSWILSHPSAISMFDDIVNSSKGKKIAMFLDYDGTLSPIVEDPDKAFMAPEMRDVVRNVSKYFPTAIVSGRCRAKVYNFVKLSQLYYAGSHGMDIKGPTKGNLKGNQAVLCQPAREFLPMIEEVYKVLLEKTKSVPGAKVENNKFCLSVHYRCVEEKRWDELGEMVKSVIKEYPELRLSQGRKVLEIRPTIKWDKGKALEFLLEALGFANSNDVLPVYIGDDRTDEDAFKVLRERGQGFGILVSKAPKETDASYSLKDPSEVMYFLKRLVEWKARSSCK >itb01g31010.t2 pep chromosome:ASM357664v1:1:34845661:34848171:1 gene:itb01g31010 transcript:itb01g31010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVSDPKSGVAVIKVAVPEPELFPHAVLPAGAAGSFISIPRPCRINALFESMRDSSPTRRSSESDDANKSWILSHPSAISMFDDIVNSSKGKKIAMFLDYDGTLSPIVEDPDKAFMAPEMRDVVRNVSKYFPTAIVSGRCRAKVYNFVKLSQLYYAGSHGMDIKGPTKGNLKGNQAVLCQPAREFLPMIEEVYKVLLEKTKSVPGAKVENNKFCLSVHYRCVEEKRWDELGEMVKSVIKEYPELRLSQGRKVLEIRPTIKWDKGKALEFLLEALGFANSNDVLPVYIGDDRTDEDAFKVLRERGQGFGILVSKAPKETDASYSLKDPSEVMYFLKRLVEWKARSSCK >itb15g07930.t1 pep chromosome:ASM357664v1:15:5561040:5562633:-1 gene:itb15g07930 transcript:itb15g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQESRLSLKLLIDEKSNRVVAAEAHKEFVEILFSFLTLPMGTIIRVTSSGDTKNPVTTIGCMNNLYRGVEKLSNENWETENCKTMVLRPRNPLGDYCQKLKLNIDGSWSNTYYRCSCCRSRFSWYPNVSCSWRMKTTQEITAADLRSGGVNNKRAFLQKGRTMFIISDDLQIRPACPIVLAQLLSSLGLSEIDGIREMLVEVSKDQVICLLARSLVSESPLSDLFLPNNPTKHETKLPKPETERTFQKSETTEETEAPTLSLQVTLNKSTNKLLFAKATNEFFDFLCTFLTIPIGSIIHVFKTNSGGLQGCIYNLYTSVEELEDTWIWSIDKSAILNPGIAQYHNCKKQPLKLDELIESKYLLDPRPYENFAVLSSLFIVLDNLDVKPLSSASSSLILRELKVPFSDIEEQVITVGMNEALSLLKAALTSPSSALTNGLASFLQKNKQEA >itb12g04700.t1 pep chromosome:ASM357664v1:12:3109801:3111284:1 gene:itb12g04700 transcript:itb12g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREEKRRKFHEGLLKMLYPSPSSPSHQRESSEEAENTHSEGLDLDLVPDEYENERSSSSSSSASDHEGGCEPQKLTRAQRKRIRRKKMKEAASRRQKIIGPLLPNASDVGAEIADEHPPGVRQNAQTPEAAWSTKQNKVKQRRMAKKLGGESSTLSGMASTDAGSGPFNNASSKES >itb14g05660.t1 pep chromosome:ASM357664v1:14:4929028:4929331:1 gene:itb14g05660 transcript:itb14g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLESMSSPPCTSLNPVVDDCVAFFDSFRDRHVPLELPSFLYAEFLGGAIALLITLHRGDFTTKQLFDDVF >itb08g02950.t1 pep chromosome:ASM357664v1:8:2501291:2503769:1 gene:itb08g02950 transcript:itb08g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAVHKIWDKWASNHVGCSGQSLKAALLINYDPNGPSRLLSTIAEQEGIKADPMELSQLVNFVKRNKFQSETFFIGQNQYLVTSIHETWFSARCLNTSKPAGEGVIIMQTSAFLLVGLYDGSIGPASSAMVALDQFAWQLNRRNL >itb02g13380.t1 pep chromosome:ASM357664v1:2:9414869:9415460:-1 gene:itb02g13380 transcript:itb02g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPLMPLRCSLYYNNNLVEIAARVVEEFRAGDTISSEFSDGDDAFCGMENDKSREDEVFCNGQIRPIFPVHKRNLSTGDAEILCQNSNSSSMQAVKARLPLRKLLIEGRETESDSETGAEETYCVWEPKLGDDAGDGGECTKNKSSKWWKHLLSTPKRRCRFT >itb05g25310.t1 pep chromosome:ASM357664v1:5:29773648:29775593:1 gene:itb05g25310 transcript:itb05g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSMGGFREPRWLSEQNSWVQYHKDGVLTYSPPVSGVSGSFQRQPSSPAPPSGNKISPAVLFIIVILAVLFFISGLLHLLVRFLVKNPSSSAEFDGNPEASTSDALQRQLQQLFHLHDSGLDQAFIDALPVFMYKEIVGPKEPFDCAVCLGEFLENDKLRLLPTCGHAFHINCIDTWLLSNSTCPLCRGALFNGGFSIDNPMFDYDGLGEEDGCPQNGENGNSISQKTSDLKEIVVEKGSFPVRLGKFRKLNNLTVEWEGETSSSNLDARRCYSMGSYQYVVGDTNLRVALNSRRNAGDVKRVKSRDQDAEGDGRRIGIGAKTDSYSMSKIWLGKFASSSDSNVEDPPRFDMVSQWMSRAEGK >itb03g16830.t1 pep chromosome:ASM357664v1:3:15635114:15636030:1 gene:itb03g16830 transcript:itb03g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMVQHLIEKCLIFHMNKEECMEALSKHANIEPVITATVWNELEKENPEFFAAYAQSQTKDNRMSEAETSAMIQKMISDHDANKDSDNDPSKGLGK >itb01g30290.t2 pep chromosome:ASM357664v1:1:34339281:34342461:-1 gene:itb01g30290 transcript:itb01g30290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSKGLALLLLGQKNEEKPMKVSPWNQYQLVDQETESDLQLASCKNRLVCGCASLVCFGGAADELDNPSPLKVGSTQHQQVSQSICPPSGEGKDQINPDSLVKDGNASVEGALKSSLKKAANSIAVSGESTDEYEAICEKSNDVSGHTERKKVQWTDTSGGELFEVREFEPRQNQGHVYFLQ >itb01g30290.t1 pep chromosome:ASM357664v1:1:34339281:34342461:-1 gene:itb01g30290 transcript:itb01g30290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSKGLALLLLGQKNEEKPMKVSPWNQYQLVDQETESDLQLASCKNRLVCGCASLVCFGGAADELDNPSPLKVGSTQHQQVSQSICPPSGEGKDQINPDSLVKDGNASVEGALKSSLKKAANSIAVSGESTDEYEAICEKSNDVSGHTERKKVQWTDTSGGELFEVREFEPSDEGESDDEFDSRSQRTCLCKIM >itb06g23330.t1 pep chromosome:ASM357664v1:6:25333085:25333978:1 gene:itb06g23330 transcript:itb06g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKLKLLGGGAHGIVFLAEYYNPPTFNVRMKLAVKSSKVEFSDSISGEGQILRKLQRCPYIIQCFGDDTSGEHGNTVYNLLLEYAPGGTLKKLINSREGFFPEDEASLYAYQLLKGIREVHRRGIVHCDLKPNNILVFPCGCGSNQLKIGDFRLSKVAGVGGHRGTLLYTSPESLFCGMHEAPKDIWAIGCIVAEMMAGKSPWKLCNDDDKLAQYMAFNQPQIPTNISDHVKDFLTRCFERNPNARWTADKLLNHPFVIDNPLLCLKWEDYQPLGNRPLGTGEWISSTGLFLTPI >itb11g21520.t1 pep chromosome:ASM357664v1:11:23244394:23247195:1 gene:itb11g21520 transcript:itb11g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPS20 [Source:Projected from Arabidopsis thaliana (AT3G15190) UniProtKB/TrEMBL;Acc:A0A178VMN1] MAAACMPSSSCWNLTAKFQSLSLNTAHHRTTAFFRPLSFSANVSLNLFSHGTVSLSPMQKRPLRRSIVCEAAPPKKADSAAKRARQAEKRRIFNKAKKSEVRTRMRKVLEALDGLRKKRDAQQDEVLEVEKLIAEAYSAIDKAVKAGTVHRNTGARRKSRLARRKKAVEIHHGWYVPAPAPDATPIPLVTV >itb07g00240.t1 pep chromosome:ASM357664v1:7:201682:206084:1 gene:itb07g00240 transcript:itb07g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFSIGEYNKKTGLVTWCVLPTNSIQLEDRGRLPPPSTAPPPPPTPLGTLIPTTPEMAKASLISLAILLVSVVPFVYSIQDKCGACTAVAEELEHGLMTEKPRNHLDMRHRLDSKGQREGKLIDYRVSDLRVVELLDELCEKMQDYTLEKVDSGTKVWTKVNDWDKLKTNKQEARAHSKAISSFCGRLLEDTEDELSELIKKGSVKVGGVSKILCEDLSKVCHGKSKEDEDDRDGEL >itb07g00240.t2 pep chromosome:ASM357664v1:7:201682:203870:1 gene:itb07g00240 transcript:itb07g00240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFSIGEYNKKTGLVTWCVLPTNSIQLEDRGRLPPPSTAPPPPPTPLGTLIPTTPEMAKASLISLAILLVSVVPFVYSIQDKCGACTAVAEELEHGLMTEKPRNHLDMRHRLDSKGQREGKLIDYRVSDLRVVELLDELCEKMQDYTLEKVDSGTKVWTKVNDWDKLKTSKNHYFICHHLRIKFSYIWYLYFLLTADFIFTF >itb03g28910.t1 pep chromosome:ASM357664v1:3:29616626:29617684:-1 gene:itb03g28910 transcript:itb03g28910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNSDKETSSDLKTLDGFLHHFTSLHPRLIDFKLLISVVPHSDAYHRHLLHPIFFVHDFTPSSSSSSYHHLLITYNIIKLHHLRRPRIAGKHYRSPENESEFAATTDIAGGGERSSKMLLVQSFSVVFLYWFYVFFINKLSTHLIINVVLRFMGVMNEMKWKRMQSNCESARWSRMTMVQVAELRNDNAQILNNITQHYLNVERREMEA >itb13g13220.t1 pep chromosome:ASM357664v1:13:19748038:19748566:1 gene:itb13g13220 transcript:itb13g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCLALRVRFCNELQNLGRLGHRNLVQLRGWCTEEAHDPAIRYLRARNGMTKFLAKNNQGHHVILDKNKSVRGIFDYMSPEYMESGEATTMADVYNFKVVLLEVVSGQMTIDFAGLKSS >itb14g03020.t1 pep chromosome:ASM357664v1:14:2731491:2733035:1 gene:itb14g03020 transcript:itb14g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRGKAVEIGGNNFTDFTEMPCKKHPSCSSVGICPQCLKDRLVKLVCSECGEQRLSSCSCSDISSSRISFLIENEKGADQGPPPPPPRRRREKTAAAEEVIVLRRSSSSCVEIKKSSNGFWKIKRLFARGTSKREKSYSDEVEEEEDDDDHDHAHQVFDEMRVSRSRSLCSFRDEGSDQYRFSSAKISDVTGGVLFDGEEPRKSGFRGGGGGEKANFPFPRSVFPVKESDFSAMDESAFIDIKLDLSSSLRLSNASDHDSSSAISLSTMKPSGNGMLFGSCRITGNGNGNEFDKGMKRSGKGNRVWKWISRQSSGRRSASKKDDKYY >itb12g19680.t3 pep chromosome:ASM357664v1:12:22078242:22086773:1 gene:itb12g19680 transcript:itb12g19680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSLLCPSTSTPPSLSKFPHTSFPAQCSQYLPPYRRITRRAFAAMASCSSQVPSHTVRTVTISYTELKDKNADLSAKIEEGFGPNSLGILSISDVPEYTLLRRNLLLLSPRLANLPESVKQELEDPHSRYNFGWSHGKEKLESGKPDTLKGSFYANPVFDVPTTEKSLIERYSSYCGRNIWPHSALPELEMAFKALGKMILDVGLLLAHHCDRYVSSRIKTNETDGLEQILHRSRCHKGRLLYYFPAQKSSSYQADESMSSWCGWHTDHGSLTGLTCGIFMRDGVEIHCPDIAAGLYIKTRTGQIVKVEYGEDEIAYQIGETTEILSRGQLCATPHCVRAPKGEDASGVDRSTFALFMQPDWDEKLNFPDVVHIHKELIASSDGSLTFGEYTEKLLDKYYHLKQ >itb12g19680.t2 pep chromosome:ASM357664v1:12:22076608:22083130:1 gene:itb12g19680 transcript:itb12g19680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSLLCPSTSTPPSLSKFPHTSFPAQCSQYLPPYRRITRRAFAAMASCSSQVPSHTVRTVTISYTELKDKNADLSAKIEEGFGPNSLGILSISDVPEYTLLRRNLLLLSPRLANLPESVKQELEDPHSRYNFGWSHGKEKLESGKPDTLKGSFYANPVFDVPTTEKSLIERYSSYCGRNIWPHSALPELEMAFKALGKMILDVGLLLAHHCDRYVSSRIKTNETDGLEQILHRSRCHKGRLLYYFPAQKSSSYQADESMSSWCGWHTDHGSLTGNLTSRIPQLHSLNL >itb12g19680.t1 pep chromosome:ASM357664v1:12:22078242:22083606:1 gene:itb12g19680 transcript:itb12g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSLLCPSTSTPPSLSKFPHTSFPAQCSQYLPPYRRITRRAFAAMASCSSQVPSHTVRTVTISYTELKDKNADLSAKIEEGFGPNSLGILSISDVPEYTLLRRNLLLLSPRLANLPESVKQELEDPHSRYNFGWSHGKEKLESGKPDTLKGSFYANPVFDVPTTEKSLIERYSSYCGRNIWPHSALPELEMAFKALGKMILDVGLLLAHHCDRYVSSRIKTNETDGLEQILHRSRCHKGRLLYYFPAQKSSSYQADESMSSWCGWHTDHGSLTGNLTSRIPQLHSLNL >itb12g19680.t4 pep chromosome:ASM357664v1:12:22076607:22085852:1 gene:itb12g19680 transcript:itb12g19680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSLLCPSTSTPPSLSKFPHTSFPAQCSQYLPPYRRITRRAFAAMASCSSQVPSHTVRTVTISYTELKDKNADLSAKIEEGFGPNSLGILSISDVPEYTLLRRNLLLLSPRLANLPESVKQELEDPHSRYNFGWSHGKEKLESGKPDTLKGSFYANPVFDVPTTEKSLIERYSSYCGRNIWPHSALPELEMAFKALGKMILDVGLLLAHHCDRYVSSRIKTNETDGLEQILHRSRCHKGRLLYYFPAQKSSSYQADESMSSWCGWHTDHGSLTGLTCGIFMRDGVEIHCPDIAAGLYIKTRTGQIVKVEYGEDEIAYQIGETTEILSRGQLCATPHCVRAPKGEDASGVDRSTFALFMQPDWDEKLNFPDVVHIHKELIASSDGSLTFGEYTEKLLDKYYHLKQ >itb01g00540.t1 pep chromosome:ASM357664v1:1:244788:246194:-1 gene:itb01g00540 transcript:itb01g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTDARSGKYIIDWGEKHAIHIERWEDRHNLVVAMIPNQQSRITARYKVWFHRYDRRVIGNPVHDPQYWYVKSASSGTSAHEMVHTLRDIFFTSREALEDGSIDPAVTLTHVFNVARAQLSRSGYEYVLDMPNEAYNGGASASGGVGDIVQAHRKGRRREREHLGVDRPGVQRGMKETNAAIQVGANAEAEFGGDGHIQD >itb08g03420.t1 pep chromosome:ASM357664v1:8:2818672:2820296:-1 gene:itb08g03420 transcript:itb08g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSLKIGDGTARFKRGVYCSSAVNILMLISVITTNIFALYAFTYSPKNHQSHLLLHHKNISHISEQVSLILREIESSQKKLTRMEKELLGYESIDLSRPNVAAELRMFLIQHQLPLGKDSRTGITKMVASVGHSCEKSLDLLSQFMSYKVSGPCPDDWSLGQKLILKGCEPLPRRRCFAKTIPKVGLNLNPFPLSLWSNNSEKIYSWSGLSCKNLACVNGKKLSRDCSVGCFDLARGYETERYVKARQKNDFLIDDVLAMGVGGFRVGFDIGGGSGTFAARMAERSLTVVTATLNVDAPFNEFIAARGLFPLYLSLDHRFPFYDNVFDLVHVGNGLDVNGQPEKLEFLMFDMDRVLRAGGLFWLDNFYCSSDDKKKGLTRLIERFGYKKLKWVVGEKNNGSGKPEVYLSAVLQKPVRA >itb13g17100.t1 pep chromosome:ASM357664v1:13:24033331:24034872:-1 gene:itb13g17100 transcript:itb13g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSLLYNNIIIPTLVCTLLFFMCKLFVAPKNHKRLVPEVPWAWPIIGHLHLLAGRKTPTHLILASMADKYGPIFRMRLGSQPVVVVSDSRIAKECFTAKDKELATRPKFMAAEILGYNHSMLAMAPYGEYWREIRKIVLLEMLSNRRIEMLRKVRESHVRKAIKRTFDHWSHNKDPNSGAVVVEMRQWFSRLIVNLSIAMLFGEEEVADESQLLNSISRLFELFGEMLVSDFIPWLRWMDLGGYEKAMRKTAEEMDCAADRWLKEHRTKRNLKSKEEEDFMDAMLSLFDAPSNQSHDHPLGLNNDAIIKSTCLNLLVAGTDTTSITLTWALSLVLNNYDVLRRIQDEVDTKIGKHSRIEEFDTNQLIYLQAVVKETFRLYPAVPLSGPHEAIEDCTINRYYIQKGTRVIPNLAKIHRDPKWSKNMSRYNSEFTDFAFDTC >itb05g19320.t1 pep chromosome:ASM357664v1:5:25891927:25896374:1 gene:itb05g19320 transcript:itb05g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAIGVISIAIILFFHLSFHSPKAAIVEERDCLLSFKASLHNSDEILSSWTPVTPHCRWDGVFCRKGEVFSLVLASKFLRGPLGSSLFCLSGLVVLDLSSNFLYGEVSPEIVGLRELRVLDVGENQLSGELPGEIGELTRLEVVKLGPNFFSGEIPPELGNLGKLRSLDLSGNGFSGSIPIQLGNLTHLRVMALGSNFLSGSLSPSLFTKLHSLTSLDVSNNSLSGQIPPEIGTLTALTDLYIGINHFSGQLPEEMGQLSSLQNFYSPSCSLTGPFPESLSKLKSLTKLDLSYNPFNCSIPDSIGQLQNLTILNLVSSQLTGYIPPKLGNCRNLKTLVLSFNSLSGTLPEELADLQLLLTFSVEENQLSGPLPSWLGKWTQIDSLLLSSNRFSGRIPPEIGNCSMLTLISLNNNLLSGSVPMELCNAVGLTYVDLGSNFLSGTIDKAFGKCGNLTQLALVNNQIYGVIPGYLSELPLMVLELDSNNFTGSVPVSLWNSTSLMELSAANNRLEGTLPVEIGNARLLQRLVLSNNRIRGRIPEAIGSLTSLSVLNLNSNLLEGAIPAELGNCIALSTLDLGNNILNGSIAEELADLPQLQCLVLSHNELTGAIPFKNSKYFRQATISDSSYVQHRGVYDLSYNKLSGTIPEELGSCVVIVSLLLSNNMLSGQIPSSLAQLPNLTTLDLTGNLLKGEIPKEFDHSLKLQGFYLGNNQLTGAIPENLGSLGGLVKLNLTGNKLSGSIPSSFGNLQGLTHLDVSSNTLEGELPRSLSSMVNLVGLYVQHNRLSGNLNELFTTSTAWRVEILNLSCNVFSGGLPPSLGNMSYVTYLDLHENNFFGEIPCELGNLTQLEYLDVSRNQLSGQIPESLCSLTNLISLNFAENELEGPIPSSRTCQNLSKLSVAGNKDLCGGIVDLKCPMRRLHSLNISGLLSVVAGTVLVTLSVAIVLRIFNKSSRRRCDPEKSVELDSSVDDPHLYFLTSSKSKEPLSINIAMFEQSLLKLMLVDILEATNNFCRTNIIGDGGFGTVYRATLSDKKIVAVKKLNQAKTQGHREFLAEMETLGKVKHPNLVPLLGYCSYGDEKLLVYEYMVNGSLDLWLRNRCGTLDVLEWSKRFKIAVGSACGLAFLHHGFTPHIIHRDIKASNILLNEDFEPKVADFGLARLISACETHVSTDIAGTFGYIPPEYGQTGRSTTRGDVYSFGVILLELVTGKEPTGPDFKDFEGGNLVGWVSQKTKKGQAADVLDPEILNADSKPMMLQTLQIAALCLADNPANRPTMLQVVRLLKGIRDE >itb03g00890.t3 pep chromosome:ASM357664v1:3:481986:484268:1 gene:itb03g00890 transcript:itb03g00890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVVGGGEGRYSTTLYKEVLPFVALVAMECINVGLNTLFKAATNHGMNNHVFIVYAYAVAAVCLLPAPFFSNRSTVLPPLNASIMLRIFLLGVIGCTSQIMGYTGINYSSPTLASAISNLVPAFTFILALIFRMETVVFRSATSRAKVVGTIVSVTGAFVVTLYQGPTIAFTTTSPSNAIQLLTSTQPNWMLGGLFLTTEYLLVPMWYIVQTQIMKVYPAEMTVVFFYNLTVSILAAIVGVFMVPDLNQWRIRLDISLVSILCSGIFGSFVNNTIHTWALRVKGPVYVAMFKPLSIAIAVAMGVILLGDTLYLGRYIIHFSSTLFTIHTPTNSVFSFSLALLEQQS >itb03g00890.t4 pep chromosome:ASM357664v1:3:481986:484268:1 gene:itb03g00890 transcript:itb03g00890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVVGGGEGRYSTTLYKEVLPFVALVAMECINVGLNTLFKAATNHGMNNHVFIVYAYAVAAVCLLPAPFFSNRSTVLPPLNASIMLRIFLLGVIGCTSQIMGYTGINYSSPTLASAISNLVPAFTFILALIFRMETVVFRSATSRAKVVGTIVSVTGAFVVTLYQGPTIAFTTTSPSNAIQLLTSTQPNWMLGGLFLTTEYLLVPMWYIVQTQIMKVYPAEMTVVFFYNLTVSILAAIVGVFMVPDLNQWRIRLDISLVSILCSVSD >itb03g00890.t2 pep chromosome:ASM357664v1:3:481986:484298:1 gene:itb03g00890 transcript:itb03g00890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVVGGGEGRYSTTLYKEVLPFVALVAMECINVGLNTLFKAATNHGMNNHVFIVYAYAVAAVCLLPAPFFSNRSTVLPPLNASIMLRIFLLGVIGCTSQIMGYTGINYSSPTLASAISNLVPAFTFILALIFRMETVVFRSATSRAKVVGTIVSVTGAFVVTLYQGPTIAFTTTSPSNAIQLLTSTQPNWMLGGLFLTTEYLLVPMWYIVQVRMDIPSCHTLTLLV >itb03g00890.t5 pep chromosome:ASM357664v1:3:481975:484298:1 gene:itb03g00890 transcript:itb03g00890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVVGGGEGRYSTTLYKEVLPFVALVAMECINVGLNTLFKAATNHGMNNHVFIVYAYAVAAVCLLPAPFFSNRSTVLPPLNASIMLRIFLLGVIGCTSQIMGYTGINYSSPTLASAISNLVPAFTFILALIFRFLFLSTVLLCPLYLSSCSQSKSPQFSAFSTNTTFF >itb03g00890.t1 pep chromosome:ASM357664v1:3:481975:484340:1 gene:itb03g00890 transcript:itb03g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVVGGGEGRYSTTLYKEVLPFVALVAMECINVGLNTLFKAATNHGMNNHVFIVYAYAVAAVCLLPAPFFSNRSTVLPPLNASIMLRIFLLGVIGCTSQIMGYTGINYSSPTLASAISNLVPAFTFILALIFRMETVVFRSATSRAKVVGTIVSVTGAFVVTLYQGPTIAFTTTSPSNAIQLLTSTQPNWMLGGLFLTTEYLLVPMWYIVQTQIMKVYPAEMTVVFFYNLTVSILAAIVGVFMVPDLNQWRIRLDISLVSILCSGIFGSFVNNTIHTWALRVKGPVYVAMFKPLSIAIAVAMGVILLGDTLYLGSIIGATIIAMGFYTVLWGKAQEQNDYYKESDDLESPTAEKLPLLQSYKHQDVSNR >itb14g17340.t1 pep chromosome:ASM357664v1:14:20604278:20604631:-1 gene:itb14g17340 transcript:itb14g17340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRMQVEKNDESRDPIQFPSRPDSEAIPQATRARGNDPCKRIGPPVGLSGLRMWPCGVCNTSQPRPSKVLAASFDALLLISRGMRASLVLGCTPLSIGSGHLAFVSPVEPWSPGV >itb09g01190.t1 pep chromosome:ASM357664v1:9:709812:713739:-1 gene:itb09g01190 transcript:itb09g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEVRICSSFDATQLFFNHSCKEFRELRNRYNTKLTPLRCIQSSSRLCGANMDFSQGSDDLVVTQIEEIYSKKKLGEYWVAGRIVDVEGVVDWYYVSCKDNYCKRKLIEKGGMMVCGGYKSSWHEGVVRYKVIVRVADDTGDAPMLIWDRECSDLVGVSASDLLAKYPEGNKGIPPELGCLRGLSMLYRILMKKDQDESYYSAFTVLSICRDENALAQHCSNLLGSNERDGISGDGHCVSGDFFSSDEEDCVAVEEVSQCSGLEKVTGLEDFEEGFGVDGADVTLKRSLLKDFDRCGSSKKSKDGEVRICSSFDATKLFFNHSCKEFRELTNSKRKKPPAMEEEEKPGVTLVAGGKEKTRRTATPVATTTTVPLPLLQTEMRQA >itb02g14560.t1 pep chromosome:ASM357664v1:2:10606896:10615571:-1 gene:itb02g14560 transcript:itb02g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFMACSPSPSGPHSPHIGALRSASSAIVEQEKYLAELLGERNKLCPFMPVLPNCYRLLNQEILRVTTLLGNASVLDQSGFEHGSPLASGGLFSNGGANKDRWSSPFQSEMSGLVQSSSAQSWLGSQSSSSGLIVKRTIRIDIPVEQYPNYNFVGRLLGPRGNSLKRVEVSTDCRVLIRGRGSIKDPTKEEMMRGKPGYEHLNEPLHVVVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKHQLRELAMLNGTLREEGSQMSGSVSPFNNNLGMKRAKTRG >itb02g14560.t2 pep chromosome:ASM357664v1:2:10606896:10612234:-1 gene:itb02g14560 transcript:itb02g14560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGASYFLKIDLFEAEILRVTTLLGNASVLDQSGFEHGSPLASGGLFSNGGANKDRWSSPFQSEMSGLVQSSSAQSWLGSQSSSSGLIVKRTIRIDIPVEQYPNYNFVGRLLGPRGNSLKRVEVSTDCRVLIRGRGSIKDPTKEEMMRGKPGYEHLNEPLHVVVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKHQLRELAMLNGTLREEGSQMSGSVSPFNNNLGMKRAKTRG >itb07g11120.t1 pep chromosome:ASM357664v1:7:12525147:12527423:1 gene:itb07g11120 transcript:itb07g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSASRLLSPAATTITSHNHRYLSAIPHPPFSPHASKSPRPITKLHVSSKPSSPSAAAAVPSAKSSQETIFFDGGAHYGDLVANLLLGFTLLWLPLTLAAVFRAFSLRYRFTNLRVTVISGQDRSDFSYKVIKDVQVVPRFIGEWGDIVITLKDGTKIDHYGHIIQIEIHHNQFVDAYRWYSAGRYQGDDTLLRYYQCAGVGCIAKKFVDYDENGRLLHVCYYR >itb12g01010.t6 pep chromosome:ASM357664v1:12:711818:716778:1 gene:itb12g01010 transcript:itb12g01010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFVSHSMIFEFL >itb12g01010.t2 pep chromosome:ASM357664v1:12:711818:716778:1 gene:itb12g01010 transcript:itb12g01010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITIL >itb12g01010.t7 pep chromosome:ASM357664v1:12:712198:716778:1 gene:itb12g01010 transcript:itb12g01010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFCKSKIKAWVGVFMVKSGGLVIELSMKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFAFPKDSPLAVDMSTAILKLSENGELQRIHDKWLLRSACTSQNTKLEVDRLELKSFAGLFSICAVACFLALLVYFILLTRQFTRYYSDPEQPSSSAGGGSSRSARLQTFLSFVDEKEETAKSRSIKRKQMEGDSARSIDDDDSYVNGSSRSIGHSQVFSNRA >itb12g01010.t9 pep chromosome:ASM357664v1:12:712198:716778:1 gene:itb12g01010 transcript:itb12g01010.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFVSHSMIFEFL >itb12g01010.t3 pep chromosome:ASM357664v1:12:711818:716778:1 gene:itb12g01010 transcript:itb12g01010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKACFQTCKSSWVSGDFGGMGFFCKSKIKAWVGVFMVKSGGLVIELSMKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFVSHSMIFEFL >itb12g01010.t1 pep chromosome:ASM357664v1:12:711818:716778:1 gene:itb12g01010 transcript:itb12g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACFQTCKSSWVSGDFGGMGFFCKSKIKAWVGVFMVKSGGLVIELSMKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFAFPKDSPLAVDMSTAILKLSENGELQRIHDKWLLRSACTSQNTKLEVDRLELKSFAGLFSICAVACFLALLVYFILLTRQFTRYYSDPEQPSSSAGGGSSRSARLQTFLSFVDEKEETAKSRSIKRKQMEGDSARSIDDDDSYVNGSSRSIGHSQVFSNRA >itb12g01010.t8 pep chromosome:ASM357664v1:12:712198:716778:1 gene:itb12g01010 transcript:itb12g01010.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFAFPKDSPLAVDMSTAILKLSENGELQRIHDKWLLRSACTSQNTKLEVDRLELKSFAGLFSICAVACFLALLVYFILLTRQFTRYYSDPEQPSSSAGGGSSRSARLQTFLSFVDEKEETAKSRSIKRKQMEGDSARSIDDDDSYVNGSSRSIGHSQVFSNRA >itb12g01010.t5 pep chromosome:ASM357664v1:12:711818:716778:1 gene:itb12g01010 transcript:itb12g01010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITIL >itb12g01010.t4 pep chromosome:ASM357664v1:12:711818:716778:1 gene:itb12g01010 transcript:itb12g01010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFWVVVFLTFYSGYVCEGVNSSSTLSARPDVVNIGCILTFISPIGKVAKAAVETAVDDVNSNPDVLGGTKLNITMLDSNSSGFLGIVEAMRFMETDTVAIIGPQASVIAHVISHLANELQVPLLSFAATDPTLSSLQYPFFVRTSPNDLFQMAAVASIVDYYEWREVVAIFVDDDYGRNGVAALGDQLAMRRCQISYKAGFKLDATDDDLKDLLVQVALLESRILVVHTYPDKGLRIFSVAKSLRMTESGYVWIATHWLSSILDTVGPLPAETMDNLQGAITLRIHTPDSQLKRNFTSRWSNITRRLGANVHLGLCTYGLYAYDTVWLLARALDAFFKQGGNVSFSKDPRLEGKEGGSLHLGSMSIFNGGNLLRDIIFDTEIIGVTGAFKFNSERDLYSPAFEVINMIGNGYRRVGYWSNYSGLSVVPPESLYSKPPNTSSSNQQLHGVIWPGQQTDKPRGWVFPNNGRQLKVGVPNRASFREFVEQVSGNDMFRGYCIEVFTSAVSLLPYAVPYKFVSFGDGITNPDDTEIVRLITAGVFDAAVGDIAITTNRTKMVDFTQPYIESGLVVVAPVKELSSNAWAFLRPFTPRMWAITGFFFLVVGAVVWILEHRMNEDFRGPPRKQVITILWFSLSTLFFAHKENTVSTLGRIVLIVWLFVVLIINSSYTASLTSILTVQQLSSPVKGIDSLLTSNDPIGYQLGSFARNYLVEELGIHPSRLVALNLPEDYVKALKNGPKNGGVMAVVDERAYMELFLSTRCEFSIVGQEFQKNGWGFAFPKDSPLAVDMSTAILKLSENGELQRIHDKWLLRSACTSQNTKLEVDRLELKSFAGLFSICAVACFLALLVYFILLTRQFTRYYSDPEQPSSSAGGGSSRSARLQTFLSFVDEKEETAKSRSIKRKQMEGDSARSIDDDDSYVNGSSRSIGHSQVFSNRA >itb05g16160.t1 pep chromosome:ASM357664v1:5:23433990:23438043:-1 gene:itb05g16160 transcript:itb05g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHSYSQHPLPCAAAAMSSPDDASPAAEDGLRKPLLHHGSWYRMGSTQFGSMTSSARESISIYLCVFIVGLGPIQFGFTCGYSNPTQADIIKDLGLTISEFSLFGSLANVGAMVGAISSGQISEYIGRKGTLMIASVPNVLGWFAISFASDASSLYLGRLLAGFGVGIISYVVPVYIAEISPQNSRGVLVSINQAQRGLADDFETSLQVLRGFSTDITSEVNEIKRSVGATSKKTAIQFYEFKRRQYYYPLMVGIGLLVLQQLSGINGILFYSTNIFKSAGEFAYPVLLTISASTMTVSSFVVAAAFFLKDSTPEHLHFQFGIMSVTGLLIFVIGFAIGLGGVPWIIMSEVLPVNIKSLGGSVATLCNWLTAWGVTMTANLLMSWSEGGSLFSLSVSCASFCLYFMRYYIVIIFSTGTFTIYALVSAFTVVFVKLWVPETKGKTLEEIQTSFR >itb08g14280.t1 pep chromosome:ASM357664v1:8:16021443:16022188:-1 gene:itb08g14280 transcript:itb08g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIRTQLPQCAESIILKAPLLPLFCPPLSSKQTLHSFPSFQTNKKTKTPTLLVSQEMSQQQQQQQFQPQVYPYNNVPTQPNTLFPPPLAADTTSHSNGSFGTVFWVIGVIIVVSVLACIANRLCTKRTKSSKPSKKDKKGHGHGHGHGQAKLPKEWAGKQSRADFHHDDGGDIEFGFGGKRFPSAKVAAAAAATGEHHPRSAPPMPGFKHHGGGGKGVVRFADDHIDFKPGP >itb15g16660.t1 pep chromosome:ASM357664v1:15:16535406:16535784:-1 gene:itb15g16660 transcript:itb15g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMFKLSRDERNSHLPSITGVGGYGRNEELEATTKMEVEEMGGGVKLEQRIGDGGDRKLERRRRKRMIGDVTVTSILKQI >itb06g16940.t1 pep chromosome:ASM357664v1:6:20924453:20927926:1 gene:itb06g16940 transcript:itb06g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESSSDEEEDHRTLIDQNERRGNGKSPVRSTFQINGFESRPFSSRRFNLSSVKRYGYLIAIVLPLIFLILYFATDIKDVFQTGVANIRTDASFNRMRESELRALYLLQRQQLGLFKLWNHTFVNNSSSSEVNNTVNSTYSSNDVSSNSDFSSSVPRSSVSSELLEDFQADLLSQISLNKQIQQVLLSPHKSGNLLDASGNFTDPDVNAFGRCRKVDQRLSKGKTVEWKPRSNKYLFAICVSGQMSNHLICLEKHMLFAALLGRILVIPSSKVDFEFHRVLDIDHINKCCGRKVVVTYEEFAGIRKNHVHIDKFMCYFSQPQPCFMDGERVKKLKSLGVSMNKLETAWDEDVKKPTQRTSQDILAKFSSDDDVIAIGDVFFADVEKDLVMQPGGPISHECKTLIEPSRLIMLTAQRFVQTFLGGNFIAIHFRRHGFLKFCNAKEPSCFYPVPQAADCINRVVERAKSPVIYLSTDAAESETGLLQSLVTFNGKTVPLVKRPARNSAEKWDALLYRHGLEENSQVEAMLDKTICSLSTVFIGSSGSTFTEDILRLRKDWGSASLCDEYLCQGEAPNFIADTE >itb02g07340.t1 pep chromosome:ASM357664v1:2:4549680:4552983:1 gene:itb02g07340 transcript:itb02g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THF1 [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/TrEMBL;Acc:A0A178VVV5] MAAVTSLSFSAIGQSPDRKAFNASSSSARCLSSTSDAFRFRTNFAFDSLNFRTSSSGSGSRMVIHCMSTDVPTVAETKTNFLRAYKRPIPSVYSTVIQELIVQQHLMRYKKSYQYDPVFALGFVTVYDRLMEGYPTEEDKEAIFKAYIKALNEEPEQYRADAQKLEAWARAQDANSLVDFSSREGEVESILKDISKRAGSKNSFSYSRFFAIGLFRLLELSNATDPTILEKLCAALNVNKQSVDRDLDVYRNLLSKLVQAKELLKEYVAREKKKRGERESQKSNEAVTKFLGQYEIAAR >itb02g07340.t2 pep chromosome:ASM357664v1:2:4549680:4552983:1 gene:itb02g07340 transcript:itb02g07340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:THF1 [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/TrEMBL;Acc:A0A178VVV5] MAAVTSLSFSAIGQSPDRKAFNASSSSARCLSSTSDAFRFRTNFAFDSLNFRTSSSGSGSRMVIHCMSTDVPTVAETKTNFLRAYKRPIPSVYSTVIQELIVQQHLMRYKKSYQYDPVFALGFVTVYDRLMEGYPTEEDKEAIFKAYIKALNEEPEQYRADAQKLEAWARAQDANSLVDFSSREGEVESILKDISKRAGSKNSFSYSRFFAIGLFRLLELSNATDPTILEKVRLIGFILLPNAPITAIIHADN >itb15g24100.t1 pep chromosome:ASM357664v1:15:26957014:26959889:-1 gene:itb15g24100 transcript:itb15g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPNPCESTKPQVVERVEVHKNLTAKTPLQMRSDGVTKRKRIGGGSATEDQTESLSDIDDSEVAGYLNSKEERHYKKIIWEKINQQFAMAQAKRKKETESKKDKSGKGTTKTTKIDENKIRSSKVNYEALKQLTDELNQDIGDAEIVEGGLRSLSCVNSGGSNAKEVKVYESNSDELEEEEENPCTEDDYALRDSNYELYDEHEYGCYDIDYGND >itb15g24100.t2 pep chromosome:ASM357664v1:15:26956475:26959822:-1 gene:itb15g24100 transcript:itb15g24100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPNPCESTKPQVVERVEVHKNLTAKTPLQMRSDGVTKRKRIGGGSATEDQTESLSDIDDSEVAGYLNSKEERHYKKIIWEKINQQFAMSNISAELSWERTNFCFPKEVHFDASGYLRSCQPPSTKAFVWLKMRAICVFLAQAKRKKETESKKDKSGKGTTKTTKIDENKIRSSKVNYEALKQLTDELNQDIGDAEIVEGGLRSLSCVNSGGSNAKEVKVYESNSDELEEEEENPCTEDDYALRDSNYELYDEHESGAADRRRVAAKATAPSRTSSLRVLAYEASYKLRRPFTSEVSLNLPSRSTKLLKISA >itb04g20640.t1 pep chromosome:ASM357664v1:4:25494498:25506206:1 gene:itb04g20640 transcript:itb04g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRQRLGKHGRATCRETCTCGSGRGPRYTVLICVGSRNSSEIVMAQKQIWSGIPLFPVSVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSSLLAEANVPGSRGLIVSQPFLPAPSPIATPSPTTNLFQSQPASVVPPSPLAHVSSPSICSPVLSPPRTSLPPQKPSPNPTLSPPPASSHPLVSSPELVSSPPQVSQPFLPAPSPIATPSPTTNLFQSQPASVVPPSPLAHVSSPSICSPVLSPPRTSLPPQKPSPNPTLSPPPASSHPLVSSPELVSSPPQVSFPPPASSPPPPASLPPLL >itb02g05020.t1 pep chromosome:ASM357664v1:2:2995446:2998165:-1 gene:itb02g05020 transcript:itb02g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRRLTSLSTATASNIGNLLVVASIAKALAKPGGSRNLDGNGSDSAAVPLSEDVVLQVLRRNSLDVSKRLDFFRWCSLRPGFRHSAATYSQIFKTICGCRGFHGEIFGLLDSMSRDGADLDSATFKLLLDSFIRAGKFDTALEVLDFVGSKFSDDIVRNCLSNDVYNSVLVALVHKNQVNMALSIFLKLLESSNNSNNDNTNGEFIEDALACNELLVGLKRAEMRAEFKQVFDKLRERKNRFPLDRWGYNICIHTFGLWGNLATSLMLFKEMKERGNAFTPDLCTYNSLIQVLCVLGKVKDALVVWEEMKGSSGLEPDYFTYRILIQGCSRSYRVNDAMKIFSEMQYNGLCPDTVAYNSLLNGLLKARKLTDACNLFEKMIEDDGVRASCWTYNILIDGLFKNGRGVAAYTLFCDLKKKSNSFVDGISYSIVVLHLCREGGLDKAMELVEEMEARGFAVDLVTITSLLIAIYREGRWDWMERLMKHIRDKNLVPIVLRWRKSMEAMLEVRQSREKDFMPMFPYSGDFTDILRVGDSVETETEAYTSLGDDETDPWSSSPYMDLLASQASSGNFSPHMFTLSRGKRVDGKDADSFDMDMVNTFLSIFLAKGKLSLACKLFEIFTNTGVDPASYTYNSMMSSFVKKGYFNEAWGVLRQMGDKVCPADIATYNVIIQGLGKMGRADLASAVLDRLMKQGGYLDIVMYNTLINTLGKAGRIEKANKLFQQMKSTGINPDVVTYNTLIEVHSKAGRLKEAYKFLKIMLNAGCVPNNVTDTILDFLEKEIEKVRYQKASIKGPNLDASL >itb08g04330.t1 pep chromosome:ASM357664v1:8:3454949:3456881:-1 gene:itb08g04330 transcript:itb08g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGNAVHKSHYDILGVEEDANYEDIRAVYRSAILSFHPDKLHSTSDSSPSERETENRFLEIQKAWEILSDQRSRTIYDNELRSLRQDAATSEDIGVDDLKIEAAGDVLELSYPCRCGDFYVIDSLDLADIGCPLVRDGRKMFIETSKDLPASVVLPCGSCSLKVRLLISPNTRLELDDTDF >itb05g19960.t4 pep chromosome:ASM357664v1:5:26218337:26221463:1 gene:itb05g19960 transcript:itb05g19960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLAFLSILFRGSVFWLAVAEPTEDKHALLDFINNIHHSRRVNWEYQTSACTGWVGVTCNHHNSRVTALRLPGFGFAGSIPSNTLSRLSELQVLDLRTNNISGLFPSDFSKLHNLTALDLQYNDFRGPLPFDFSAWKNLSSLNLSNNKFNGSIPSSLSNLTQLTTLSLANNSLSGSIPDLNPSLRLLDLSNNNLTGIVPQSLRKFHGSAFSGNHLSPVPIVISPSASSPKHKSKKLSQSAMLGIVIGGCALGFLAIAVPFILCYSKKEDKSLASPNSLRKSGSLGKAAFGSTDGGGNMVFFEGCSLAFDLEDLLRASAEILGKGASGVVYKAALEDATTVVVKRLKEVSVGRKEFEQHLEVVGNIRHENVAPLRAYFYSKDEKLMVYDYYSLGSVSAMLHDIRRERRSPLDWETRVQIAVGAARGIAYIHRQSGGKLVHGNIKSSNIFLNSQHYGCVSDLGLATLIAPMSAPLAQTAGYCPPEVTDTRKATQASDVYSFGVLLLELLTGKSPMHATGGDEFVHIVRWVHSVVREEWTAEVFDIVLLRSPNIEEEMVEMLQIGMNCVARLADQRPRMGEVVKMVEGIRKMSSGNQTESSTPTLHTPPIVEIGSSYPYPH >itb05g19960.t1 pep chromosome:ASM357664v1:5:26218337:26221425:1 gene:itb05g19960 transcript:itb05g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLAFLSILFRGSVFWLAVAEPTEDKHALLDFINNIHHSRRVNWEYQTSACTGWVGVTCNHHNSRVTALRLPGFGFAGSIPSNTLSRLSELQYNDFRGPLPFDFSAWKNLSSLNLSNNKFNGSIPSSLSNLTQLTTLSLANNSLSGSIPDLNPSLRLLDLSNNNLTGIVPQSLRKFHGSAFSGNHLSPVPIVISPSASSPKHKSKKLSQSAMLGIVIGGCALGFLAIAVPFILCYSKKEDKSLASPNSLRKSGSLGKAAFGSTDGGGNMVFFEGCSLAFDLEDLLRASAEILGKGASGVVYKAALEDATTVVVKRLKEVSVGRKEFEQHLEVVGNIRHENVAPLRAYFYSKDEKLMVYDYYSLGSVSAMLHDIRRERRSPLDWETRVQIAVGAARGIAYIHRQSGGKLVHGNIKSSNIFLNSQHYGCVSDLGLATLIAPMSAPLAQTAGYCPPEVTDTRKATQASDVYSFGVLLLELLTGKSPMHATGGDEFVHIVRWVHSVVREEWTAEVFDIVLLRSPNIEEEMVEMLQIGMNCVARLADQRPRMGEVVKMVEGIRKMSSGNQTESSTPTLHTPPIVEIGSSYPYPH >itb05g19960.t2 pep chromosome:ASM357664v1:5:26218337:26221425:1 gene:itb05g19960 transcript:itb05g19960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLAFLSILFRGSVFWLAVAEPTEDKHALLDFINNIHHSRRVNWEYQTSACTGWVGVTCNHHNSRVTALRLPGFGFAGSIPSNTLSRLSELQYNDFRGPLPFDFSAWKNLSSLNLSNNKFNGSIPSSLSNLTQLTTLSLANNSLSGSIPDLNPSLRLLDLSNNNLTGIVPQSLRKFHGSAFSGNHLSPVPIVISPSASSPKHKSKKLSQSAMLGIVIGGCALGFLAIAVPFILCYSKKEDKSLASPNSLRKSGSLGKAAFGSTDGGGNMVFFEGCSLAFDLEDLLRASAEILGKGASGVVYKAALEDATTVVVKRLKEVSVGRKEFEQHLEVVGNIRHENVAPLRAYFYSKDEKLMVYDYYSLGSVSAMLHDIRRERRSPLDWETRVQIAVGAARGIAYIHRQSGGKLVHGNIKSSNIFLNSQHYGCVSDLGLATLIAPMSAPLAQTAGYCPPEVTDTRKATQASDVYSFGVLLLELLTGKSPMHATGGDEFVHIVRWVHSVVREEWTAEVFDIVLLRSPNIEEEMVEMLQIGMNCVARLADQRPRMGEVVKMVEGIRKMSSGNQTESSTPTLHTPPIVEIGSSYPYPH >itb05g19960.t5 pep chromosome:ASM357664v1:5:26219125:26221491:1 gene:itb05g19960 transcript:itb05g19960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLAFLSILFRGSVFWLAVAEPTEDKHALLDFINNIHHSRRVNWEYQTSACTGWVGVTCNHHNSRVTALRLPGFGFAGSIPSNTLSRLSELQVLDLRTNNISGLFPSDFSKLHNLTALDLQYNDFRGPLPFDFSAWKNLSSLNLSNNKFNGSIPSSLSNLTQLTTLSLANNSLSGSIPDLNPSLRLLDLSNNNLTGIVPQSLRKFHGSAFSGNHLSPVPIVISPSASSPKHKSKKLSQSAMLGIVIGGCALGFLAIAVPFILCYSKKEDKSLASPNSLRKSGSLGKAAFGSTDGGGNMVFFEGCSLAFDLEDLLRASAEILGKGASGVVYKAALEDATTVVVKRLKEVSVGRKEFEQHLEVVGNIRHENVAPLRAYFYSKDEKLMVYDYYSLGSVSAMLHDIRRERRSPLDWETRVQIAVGAARGIAYIHRQSGGKLVHGNIKSSNIFLNSQHYGCVSDLGLATLIAPMSAPLAQTAGYCPPEVTDTRKATQASDVYSFGVLLLELLTGKSPMHATGGDEFVHIVRWVHSVVREEWTAEVFDIVLLRSPNIEEEMVEMLQIGMNCVARLADQRPRMGEVVKMVEGIRKMSSGNQTESSTPTLHTPPIVEIGSSYPYPH >itb05g19960.t3 pep chromosome:ASM357664v1:5:26218337:26221425:1 gene:itb05g19960 transcript:itb05g19960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLAFLSILFRGSVFWLAVAEPTEDKHALLDFINNIHHSRRVNWEYQTSACTGWVGVTCNHHNSRVTALRLPGFGFAGSIPSNTLSRLSELQVLDLRTNNISGLFPSDFSKLHNLTALDLQYNDFRGPLPFDFSAWKNLSSLNLSNNKFNGSIPSSLSNLTQLTTLSLANNSLSGSIPDLNPSLRLLDLSNNNLTGIVPQSLRKFHGSAFSGNHLSPVPIVISPSASSPKHKSKKLSQSAMLGIVIGGCALGFLAIAVPFILCYSKKEDKSLASPNSLRKSGSLGKAAFGSTDGGGNMVFFEGCSLAFDLEDLLRASAEILGKGASGVVYKAALEDATTVVVKRLKEVSVGRKEFEQHLEVVGNIRHENVAPLRAYFYSKDEKLMVYDYYSLGSVSAMLHDIRRERRSPLDWETRVQIAVGAARGIAYIHRQSGGKLVHGNIKSSNIFLNSQHYGCVSDLGLATLIAPMSAPLAQTAGYCPPEVTDTRKATQASDVYSFGVLLLELLTGKSPMHATGGDEFVHIVRWVHSVVREEWTAEVFDIVLLRSPNIEEEMVEMLQIGMNCVARLADQRPRMGEVVKMVEGIRKMSSGNQTESSTPTLHTPPIVEIGSSYPYPH >itb09g00550.t1 pep chromosome:ASM357664v1:9:425468:429778:1 gene:itb09g00550 transcript:itb09g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVSSTSPAGKWMGFVTAVWVQAISGNNYTFSNYSDALKSLMALTQLQLNNLSVAKDVGKAFGLLAGLASDRLSTPVILLIGSVEGFIGYGVQWLVVSRRIHPLPYWAMCIFLCMGGNSTTWMNTAVLVTCIRNFRRSRGPVSGILKGYVGLSTAIFTDLCSALFADDPAKFLLMLAVIPFVVCLVAIVFLREVPPTVEIAGEAKYFWVINVVAVVIAVYLLAFDLTGRHGRVFSQVFVAILLILLASPLSIPVYLMIVNRIPANPTTSSDFESNPTEPLLTQETTEEKDTAATTAEEKKRPVIGEDHDILEAMASGDFWILFVSFLCGVGTGLTVMNNMGQVGLALGYADVSIFVSLTSIWGFFGRILSGSLSEYFIKRGGMPRPVWNAASQIVMAVGYFLMAMAMPGSLYIGSIAVGICYGIRLAVTVPTASELFGLKHYGLIYNILILNLPLGSFLFSGLLAGFLYDSQAMKTAGGGNTCIGAHCYRLVFIVMAVSCFVGANQCLRIHSMMVEFSGALLHSVMKPEEMQLLQQPLYCWVKIEGAADSAVITVRLTTDNTTWKCAGNVIAKRGCWSFLKGGFELDSPLSYTLLYFQNSDGRDMNISVSSASLQPFTRDQWRTNQQYIISTERKRAVMIHVSDSKGKKLQGASIVLEQISRDFPFGSAIAKTILGNLPYQQWFIKRFNTGVFEDELKWYSTEPQPGKINYTIPEKMMDFVRANQISARGHNIFWENPIFVPSWVLNLTGPQLSAAVHSRIQTLMDNFKNEFIHWDVDNEMLHYHFYEERLGPNASLEFFQTVQGADPLAKLFVNDYNVVESCDDMNSSVDAYIVKLSELQQGGVTMAGIGLEGHFTVPNPPLIRAALDKLATLNLPIWLTEVDISKTLDEQTQAKYLEVVLREGFSHPAVNGIMLWTALHPYGCYQMCLTDQNFRNLPAGDIVDELLKEWQTKNMEGQTDTHGSFSFYGFLGEYNLTVSYGNRTANSTFSLCRGDETRHLYISLQN >itb12g18850.t1 pep chromosome:ASM357664v1:12:21142622:21145863:1 gene:itb12g18850 transcript:itb12g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNSSRIKVLQAQDDLVNSMKDAASKELLHVSHDHHSYKKLLHDLIVQSLLKLKEPSVLLRCRKDDVHLVEHVLDSAKEEYAAKERVHPPEIIIDHIHLPPAPSHHNAHGLFCSGGVVLASRDGKIVCENTLDARLEVLFRKKLPEIRKLLFGQVVA >itb12g26690.t1 pep chromosome:ASM357664v1:12:27472816:27477356:-1 gene:itb12g26690 transcript:itb12g26690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSSLISILCSLFSHFSLSELLTATTQMASLLSPWTSTSLNHCCRTNEACSSTQTMLSFYSFRFRQRCNVKLCFQLSRAFSGSGVLNPAAPERSRNMGNCLKSDMSYINDLEEQLHELFNEVSTMIKTGKRNDAVDLLQANYEAVKEQIDAGFTGIEEAAVLDVIALGHMALGDLNKVGSMLDLLSKIVEGLKNDEPLLDSILMHMGSVYEKMEEFEISFSSYNRALAIMEREYGDASSYLIIPLLGMAKALGCLGRATKSIETYHRAISILESSKGEENEELVVPLFALGNLLLKERRAADAETAFGRIINIYMKLYGENDVKVGLALCSLADVKCSKGNIDEAIVLYRKALQILKDSECAADDKVMEKMRVDLAELLHLVGRDGEGRALLEECLLITEKFNGKEHPSSVTHLVNLATSYSRSKNYAEAEKLLRISLRIMLKTLPPDDQSISFPMLHLAVALYNLNRTDEAEKYALEVLRIREKAFGKDSLPVGEALDCLVSIQSRLGKDDDELLDHLNRVLKIQEKAFGGDSEEVMETLKKIVHYMTRIGLKHEKLPLQRRLPKHRIARAIQRPAPLVSAIRNGASDHVATFMVGASGTATKAYYNLDCISARWQLGSFKHSNTTNIKAPSSSINRENHIGESNLVPRFAEWEQMVLLLLDTLLPELS >itb05g04210.t3 pep chromosome:ASM357664v1:5:3669802:3674480:1 gene:itb05g04210 transcript:itb05g04210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMVQHLIEKCLIFNMTKEECMEALSKHANIKPVITSTVWNELEKENKEFFEAYSQSQMKKNRMTEAEAIALIHNPFSDHEDTNKDSDK >itb05g04210.t1 pep chromosome:ASM357664v1:5:3669802:3674480:1 gene:itb05g04210 transcript:itb05g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEECMEALSKHANIKPVITSTVWNELEKENKEFFEAYSQSQMKKNRMTEAEAIALIHNPFSDHEDTNKDSDK >itb05g04210.t2 pep chromosome:ASM357664v1:5:3669802:3674480:1 gene:itb05g04210 transcript:itb05g04210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMVQHLIEKCLIFNMTKEECMEALSKHANIKPVITSTVWNELEKENKEFFEAYSQSQMKKNRMTEAEAIALIHNPFSDHEDTNKDSDK >itb06g13780.t1 pep chromosome:ASM357664v1:6:18446136:18450022:1 gene:itb06g13780 transcript:itb06g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVCKSCGTRGKVVTDHDTGNSVCTSCGVVQDFDNFQAHIGGINGPVGTYVRVGTVGNGSLYSYKETKIYEAQKVIEDLMYKLGFSATKSSEVKHMIEKITEGEYGQGRWFSVLIGACSYIAMRKDLKALPMEEVANLVGCDVFEMGRMIKRVVDFLDLRLPEFDIVNSFEQAIRSCPSFREVSEDMISRMLKQGVFLVQCLVNWFVTTGRRPMPVVAAVLVFVAELNQISIKMGDVASELHVALKTCKLRYKELLERLVKVAQALPWGKDVNTKNIMRNAPSVIQYMELKSMSNRDDKGNGSECAVYDMNYLVGDCLSKENLYGYDVYGTENDSQYFKGENSQALYTEAPERLQLSHESLSMIYSELKNEVSIAKETTENGYTRTGKGREYDILTFTDWWKGESELSRKLLLKQILEKDTGLNATPPSFDRGCLAYERRREKIYAAKLRIQRTMHPSAAAAADDDKKDLSVSDIGKHGKKRKRKMHFDIDWEDFIIETLLLHQVREEEIEKGHYIALLGLHVFNGGS >itb06g13780.t2 pep chromosome:ASM357664v1:6:18446136:18449639:1 gene:itb06g13780 transcript:itb06g13780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVCKSCGTRGKVVTDHDTGNSVCTSCGVVQDFDNFQAHIGGINGPVGTYVRVGTVGNGSLYSYKETKIYEAQKVIEDLMYKLGFSATKSSEVKHMIEKITEGEYGQGRWFSVLIGACSYIAMRKDLKALPMEEVANLVGCDVFEMGRMIKRVVDFLDLRLPEFDIVNSFEQAIRSCPSFREVSEDMISRMLKQGVFLVQCLVNWFVTTGRRPMPVVAAVLVFVAELNQISIKMGDVASELHVALKTCKLRYKELLERLVKVAQALPWGKDVNTKNIMRNAPSVIQYMELKSMSNRDDKGNGSECAVYDMNYLVGDCLSKENLYGYDVYGTENDSQYFKGENSQALYTEAPERLQLSHESLSMIYSELKNEVSIAKETTENGYTRTGKGREYDILTFTDWWKGESELSRKLLLKQILEKDTGLNATPPSFDRGCLAYERRREKIYAAKLRIQRTMHPSAAAAADDDKKDLSVSDIGKHGKKRKRKMHFDIDWEDFIIETLLLHQVREEEIEKGHYIALLGLHVFNGGS >itb06g17040.t1 pep chromosome:ASM357664v1:6:20990050:20993737:1 gene:itb06g17040 transcript:itb06g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGVLTVTMFVMLGNMIKRDHFDHVQLSIHDTTKTSELSLPGGDGPWKGDGMSLKPCWSKPVLEEEDQSQGYLTFSLTNGPEYHISQIADAVVVARYLRATLVLPDVRGSNPGDKRNFGDIYNVENFVKSLDGVVKVATTPPPRLSAKNLAVVKVPSRVSEEDIADTIEPVFREKGNLRLATYFPSVNMKKSSEKKNIDSIACLGMFGTLELQPEVSEVVDLMVERLRTLSRKSSGQFIAVDLRVDILGKKSCQGNGSPRSKSCYSPQEIAQFLRKLGFNSGTTIYLTQTRWDSSLDSLKELFPKTYTKESIMPIDKKAKFLDADASELEKAIDFYICSESDVFVPAISGLFYANVAGKRIASGKTQILVPAEITASSASMADHISHYVSKKNHFAYSCFC >itb04g13100.t2 pep chromosome:ASM357664v1:4:13127324:13131303:-1 gene:itb04g13100 transcript:itb04g13100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCELRDKRLFPLLSDAKEKFELALEADNSNTQARYWLSKVHLKYYTPGACKAIGVALLVEAAEMGDPDAQYELGRRLRVENDYVQSDQQAFYYIEKAADQLHSGALYLLGAVFLTGDCVKKDVDSAIWCFHRASQKGHPGAAIAYGSLLLQGAKVPEAVTKFHTKRPSKRVLRKYVEEDELNPVELAREQFEMAVGAGCDLGLTWLKRLEEEEKRLLSS >itb04g13100.t1 pep chromosome:ASM357664v1:4:13127169:13131453:-1 gene:itb04g13100 transcript:itb04g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKSYLVKAAFRNHGYNLFQFIPQRGVHNRNKEAAEFIAKGWNALKEVDRVMDYCELRDKRLFPLLSDAKEKFELALEADNSNTQARYWLSKVHLKYYTPGACKAIGVALLVEAAEMGDPDAQYELGRRLRVENDYVQSDQQAFYYIEKAADQLHSGALYLLGAVFLTGDCVKKDVDSAIWCFHRASQKGHPGAAIAYGSLLLQGAKVPEAVTKFHTKRPSKRVLRKYVEEDELNPVELAREQFEMAVGAGCDLGLTWLKRLEEEEKRLLSS >itb05g00260.t1 pep chromosome:ASM357664v1:5:201462:202532:1 gene:itb05g00260 transcript:itb05g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIINLAKHAAGAVGLPLLNPYLDKNASFSNGVNFAVAGSTALDIGFLAQKGVVVPSILVPLSGQINWFKTYLSTSSAPSDRVKTSLFFVGEIGRNDITYALLQGKTIQDVAAALVPDITTAIVNAVREVIQLGGRRIVVPGTFPLGCFPNLLSQLGTEDPEDYDELGCLRSINNLTVFRNNHLQQALASLRKEFSDAVILYADYYAAFQSFLGRAPLLGFDRKRLQTTCCGSGGGKYNYDRNRGCGSPGVISCPNPPQYVHWDGVHLTQAAYRAISRIAIPNILSRIQCF >itb02g05790.t1 pep chromosome:ASM357664v1:2:3593415:3596395:1 gene:itb02g05790 transcript:itb02g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGKNDMEILEATDDAYGGVIVDVRKKMDPPTFVAVLRASISRWRQQGKRGVWLKLPIELSSVVDSAVKEGFWYHHAEATYLMMVYWIPETTPHTFPPNASHRVGVGAFVINQKGQILVVQENGGMFAGTGIWKMPTGVVEQGEDICAAAVREVQEETGIDTKFVELLTFRQSHKSFFGKSDLFFVCMLRPLSFTIKKQDCEIKEAQWMGMEEYASQPFVQEREIFNSVAKICLARKENAYSGFSALLNTSGFSNKKCYLYCSSTYTPS >itb14g20160.t1 pep chromosome:ASM357664v1:14:22629368:22641099:-1 gene:itb14g20160 transcript:itb14g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDVMPPGEAAAKSPLDKPLHQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKALLEPSPDSDAGTSKKLYIPRSNTTNNNNAARVPKGTVADAEISESAAEEATGNRRKDPEKPNLSGDPPPPPAAAAVNNSAPSRLADSADILVGQMTIFYCGQVNVYDDVPTDKVQAIMHLAGSPFHVPPDCPARHSVSHLQTANVEVGQDSAVVFLPPMQTVKKSDNFRVHVEDNNHNSPFYEDNPVEGSGSRKASVQRYLEKRKDRFKNKRKVVMTSPPGLDIYLKHQMQNHSTNEQSDNRERIMEGDLVGVEVVLAVFLVEILVIFGGSLTFLGFIAKALIFGQNSSNSRAYLLNLWLDRHHLVAVEIWELLHLLCPMRTFFPSESCRESQVKAINPQSWLQVERGKLAKFASRSPSSMDSLIKVPEPPILPYFKPVDYVEVLSQIHEELESCSPDKRSNLYLLQFQVFKGLGEVKLMRRSLRSAFLKAETVYDKLVFGAWLKYEKQGEEFISDLLESCGKCAKEFGVIDVASEIPPVEFFKSPPASSVAFEDSVSSTVTFQIEHDKIVCDRHKIASLSAPFRAMLNGCFKESFCDEIDLSENNISPLAMRLISNFSLTGTLDEVSSNLLLEMLVFANKFCCESLKDACDRRLASLVSSRQDAVELMECALQENSPVLAASCLQVILRELPDSLNDSQVVELFSKANRQQRSIMIGPTAFSLYCLLSEVAMSLDPRSDTAALFLEQLVDSAENSQQKMVAYHQLGCVRFLRKEHDEAEVHFEAALHSGHTYSVVGLARISHIKGHKMWSYEKLSSIISSLNPLGWMHQERSLYCEGDMKWEDLERATELDPTLTYPYMYRAASFMRKQNAQAALAEINRVLGFKLALECLELRFCFYLTIEDYQSAICDVQAILTLSPEYRMFEGRVAALQLRTLVREHVENWTEADCWLQLYERWSSVDDIGSLSVIYQMLESDSPKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGHCEEGLRKAEESINIKRSFEAFFLKAYALADSSLDPSCSSTVISLLEEALRCPSDRLRKGQALNNLGSVYVDCENLDAAADCYINALKIRHTRAHQGLARVHFLRNEKMAAYNEMTKLIEKAKNNASAYEKRSEYCDRDLTKADLEMVTRLDPLRVYPYRYRAAVLMDNRKEKEAIAELTKAIAFKADLHLLHLRAAFHEHIGDVMGALRDCRAALSVDPNHQEMLELHSRVHTQEP >itb15g07350.t1 pep chromosome:ASM357664v1:15:5005167:5009309:-1 gene:itb15g07350 transcript:itb15g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLVILFMQSYHLYLTHALPSSFFFNSLISYFHSSTGNKIQFTMEAHPSLKAAQEEMKKEAKSSYFDLPALDVSVAFPQATPAANFPPCTSDYYQFDDLLTPQERSIRMKVRIFMEKEVAPIITKYWEKAEFPFEIIPKVGALHVVGGSLKGEYGCPGLSITESAIVFAEVARVDASLSVFILVHDYLAMHTIGICGSEIQRQKYLPSMAKLQSVGCWGLTEPDYGSDASALRTTAKKVEGGWILEGQKRWVGNSTFADILIIFARNTTTKKINAFIVKKDAPGLQATKIENKIGMRVVQNGDILLNKVFVPDEDRLPGVNSFQDTKKVLAASRLMVAWQPIGISMGVYDVCHRYLKERKQFGAPLAAYQLAQQKLVKMLGNIQGMFLVGWRLCKLYETGKMTHGQASLGKAWITLKARETVALGRELLGGNGNLADFHVGKAFCDMESVYTFEGTYDINTLVTGREVTGISSFKPALLSTGSRL >itb09g09130.t1 pep chromosome:ASM357664v1:9:5456727:5457881:1 gene:itb09g09130 transcript:itb09g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSLGSPGSKHLLVQAGRDDVTSATVTAADSAVSLPVNYAEDEERKSVKYLSKSENYVHLIPVLTILCFLILYLSSHNPSEKDLAQFSGSKAISKPIGNSDNFQKDVDVKRGDILAIRSLRNLREAKKKYRFNRKTAEF >itb04g32030.t1 pep chromosome:ASM357664v1:4:34702999:34718811:1 gene:itb04g32030 transcript:itb04g32030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMTSESDQDPKNNGPSSEQLSDEPSAGESPEQSSPALQQQQSPPSTQPQQQHSISPVVGPRCAPTYSVVHPIMEKKEDGPGPRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSAATGTPSSAGSAGIRLAGATADIHCYDVLTNKWSRIAPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGKFSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAANVPQGRMQGRYGFVDERMRQAVSETVNDGAVVLGNPVAPPVNGDMYTDISTENAMLQGNRRLSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGADATPSGKQASSLVKLEPSLASNSAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDTAERIFASEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIENKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSAETSPERQIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >itb05g01740.t3 pep chromosome:ASM357664v1:5:1392879:1396112:1 gene:itb05g01740 transcript:itb05g01740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETNTKVRLVRCPKCRQILQEYAEIPVYKCGGCGTVLQAKKKKNENACPELSIRETTHASIERGENSLEKDIISSLHQKPTLSSPVDTLQDENKLRTRDEYGDCNNEQRGEKKSYNENNDKFSSIEEPIGQHGDESHLNGSSGGCENQLAACCKELTGERNFSDELQLRSHETLVPFALPRAREHVEEVDKEKLPLDQNDRGACEIERPESSSPPARSSQFTEHSHHDSEFSPGTGVNGGEGDDQYLSQQSKRRDQNGSEGLNAVNSSRGNFSTELACDNVKTPSLGRAESISSESVVPIHHEPHENSDKEIALSFDRISSTDSLQNLLLDDNGSQPTVTHRDMSKSPATRSYYAYDGSASSCDGDDQVPNRFSQQHGQLSGNTGLISPDEFESDYAWTVNSMLRRKSKAQHQDMNFAPVLPQMIQQVAGGSNWLESRRRVHATENRKGSRAMNEIGSPSGYRQNGFHHRSDFNMSSTITDQEPDRTELLRMVYELEEQLHKTRLGNGNPFHAGGTRDDMNAPFSYNQFAPRANINYSRCPSRCGQTSRVPFSGEAAHYRHQPNCLCLHCSPQVWHCSAQLPRGCVYCNNGQTVACFDHNYCNAHHSSSSSPQHYTSSEYSLSVPETKSSDQRHKDQEMKKLHLREKYAKRRLVLPVAGGAPIIACHYCSELLQMPSDFGLFNRRWHQVRCSACMKVLKFSVQNRIHVMPYLTETLTPPPSEIDDISNTVKGRNQAPGSHYCPHPESVSYSDDLKPSFCQSNSSEAEASSNSSPIQPPGRSTLNRKVVSPASSSEPMGDRKMKSVMNEEESEPSGPSLKESRWVKSTPETDEAPPRTAPPLHRLMGYPSLSQVLNQGCFE >itb05g01740.t2 pep chromosome:ASM357664v1:5:1391253:1396070:1 gene:itb05g01740 transcript:itb05g01740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETNTKVRLVRCPKCRQILQEYAEIPVYKCGGCGTVLQAKKKKNENACPELSIRETTHASIERGENSLEKDIISSLHQKPTLSSPVDTLQDENKLRTRDEYGDCNNEQRGEKKSYNENNDKFSSIEEPIGQHGDESHLNGSSGGCENQLAACCKELTGERNFSDELQLRSHETLVPFALPRAREHVEEVDKEKLPLDQNDRGACEIERPESSSPPARSSQFTEHSHHDSEFSPGTGVNGGEGDDQYLSQQSKRRDQNGSEGLNAVNSSRGNFSTELACDNVKTPSLGRAESISSESVVPIHHEPHENSDKEIALSFDRISSTDSLQNLLLDDNGSQPTVTHRDMSKSPATRSYYAYDGSASSCDGDDQVPNRFSQQHGQLSGNTGLISPDEFESDYAWTVNSMLRRKSKAQHQDMNFAPVLPQMIQQVAGGSNWLESRRRVHATENRKGSRAMNEIGSPSGYRQNGFHHRSDFNMSSTITDQEPDRTELLRMVYELEEQLHKTRLGNGNPFHAGGTRDDMNAPFSYNQFAPRANINYSRCPSRCGQTSRVPFSGEAAHYRHQPNCLCLHCSPQVWHCSAQLPRGCVYCNNGQTVACFDHNYCNAHHSSSSSPQHYTSSEYSLSVPETKSSDQRHKDQEMKKLHLREKYAKRRLVLPVAGGAPIIACHYCSELLQMPSDFGLFNRRWHQVRCSACMKVLKFSVQNRIHVMPYLTETLTPPPSEIDDISNTVKGRNQAPGSHYCPHPESVSYSDDLKPSFCQSNSSEAEASSNSSPIQPPGRSTLNRKVVSPASSSEPMGDRKMKSVMNEEESEPSGPSLKESRWVKSTPETDEAPPRTAPPLHRLMGYPSLSQVLNQGCFE >itb05g01740.t1 pep chromosome:ASM357664v1:5:1391253:1396220:1 gene:itb05g01740 transcript:itb05g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETNTKVRLVRCPKCRQILQEYAEIPVYKCGGCGTVLQAKKKKNENACPELSIRETTHASIERGENSLEKDIISSLHQKPTLSSPVDTLQDENKLRTRDEYGDCNNEQRGEKKSYNENNDKFSSIEEPIGQHGDESHLNGSSGGCENQLAACCKELTGERNFSDELQLRSHETLVPFALPRAREHVEEVDKEKLPLDQNDRGACEIERPESSSPPARSSQFTEHSHHDSEFSPGTGVNGGEGDDQYLSQQSKRRDQNGSEGLNAVNSSRGNFSTELACDNVKTPSLGRAESISSESVVPIHHEPHENSDKEIALSFDRISSTDSLQNLLLDDNGSQPTVTHRDMSKSPATRSYYAYDGSASSCDGDDQVPNRFSQQHGQLSGNTGLISPDEFESDYAWTVNSMLRRKSKAQHQDMNFAPVLPQMIQQVAGGSNWLESRRRVHATENRKGSRAMNEIGSPSGYRQNGFHHRSDFNMSSTITDQEPDRTELLRMVYELEEQLHKTRLGNGNPFHAGGTRDDMNAPFSYNQFAPRANINYSRCPSRCGQTSRVPFSGEAAHYRHQPNCLCLHCSPQVWHCSAQLPRGCVYCNNGQTVACFDHNYCNAHHSSSSSPQHYTSSEYSLSVPETKSSDQRHKDQEMKKLHLREKYAKRRLVLPVAGGAPIIACHYCSELLQMPSDFGLFNRRWHQVRCSACMKVLKFSVQNRIHVMPYLTETLTPPPSEIDDISNTVKGRNQAPGSHYCPHPESVSYSDDLKPSFCQSNSSEAEASSNSSPIQPPGRSTLNRKVVSPASSSEPMGDRKMKSVMNEEESEPSGPSLKESRWVKSTPETDEAPPRTAPPLHRLMGYPSLSQVLNQGCFE >itb03g04930.t1 pep chromosome:ASM357664v1:3:3265787:3267981:1 gene:itb03g04930 transcript:itb03g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRWCWWLAVVMLVVSVSEPAFSDPQTNQIGKLGCSNYHLSDVPDYSRELNLSFADLRNQLSSANKSFATSTQKTVYAMVQCRKYLSTADCVACFDAAVLGTRDCPIAASSAIVIFDGCFVRYRNSYFYDQITDEVIGGTYQVCGKRTASKQHIFNATAAQQLLNELLLATPKINGFYAAVKLEEPDGGGATTNGVAQCAETVSQSRCQDCLSVAYNNIKCCLPNSADGRAVDAGCFLRYSDTPFFADNQTTDIAPLLGRGSSTGKKKPIIVAGVVGTVGIILVLAALFLCYLQSRKQNAWIRGHPTKRGCSGDVVAVKKLTTIFSRAKANFETEISLITNANHPNLIRLLRYSGNGKVLMLVYEYMANASLDRYIYGEKRGMLNWKQRVDIILGTSKGIEYLHEQCNVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENKSHLTTKFAGTLGYTAPEYAIHGHLSEKVDVYSFGIVILEIISGRRSSDLKIEPVTEYLLVK >itb14g01130.t1 pep chromosome:ASM357664v1:14:842357:843471:1 gene:itb14g01130 transcript:itb14g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISSFTQIDTTHWLLDMNTFVGEAYDSIREVCIFLLNNFTLPPDKALAVYIQSPGSPFLFCGAVTLSRPSAVLSLPWPEPGGELQLTADATPLSAKIGVSVEELATLPSLDVAAERKIERLAMKVGENLFNFMQSFCGVDGSKLIVPMDILDRWFKKFQERAKRDPEYLKGFAL >itb11g13370.t1 pep chromosome:ASM357664v1:11:10334955:10339531:1 gene:itb11g13370 transcript:itb11g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEGKWSLQGMTALVTGGTRGIGYAIIEELAGFGAEVYTCSRNQNDVDECVKRWREKGLKVAGSACDISSRHQRVELMEKVANHFNGKLNILVNNAGTIVPKYAIELTAEDYTIVMGTNFEASFHLCQLAYPYLKASQMGSIVFNSSAAGILPAIKSTLYSASKGATNQVTKNLACEWAKDNIRVNSVAPGVIQTKLLDDGTSEAEVLEQIGRMIRRAPISRPGEVGEVSSLVAFLCLPAASYITGQTICVDGGYTITGFP >itb07g08640.t1 pep chromosome:ASM357664v1:7:6926497:6933055:-1 gene:itb07g08640 transcript:itb07g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKCLLLRNLLFILFVVSAKAESGGSYSEVMKIGVVVDLKSAMGAMLNSCVAMAFSEFYSSHSNHRKTLDLRIKDVDSELDELSAVMQLLENEEVHGIIRLLKFTESSIVTALANQSHVPTISFSGRTQSSSNSIQIMPDNWHRSRALAAIFNEFEWQQVVVLHEDNNDGNDFISQLHKAFQDIGIKITYMLSFLPSIDDSTLERELKKLMAIQTRIILVHVGTSLGCRIFPLAKKIGAMRKGYAWVITDMLSNELNSMDSEVIESMEGVIGIKPYVPKSKSLEAFERRWIRHTISTLLDDKTTKLNVYGVLAYDAIWALAMAAEKIEGENSDIKNGAKFWVSNFGPNLLREFSEMKFEGLGGDFEIGNGQLKLVAFEIFNMIGNGEKKIGKWEPSKGITQKLGSVMWPGDSLTKPLDWGLDKLRILIPVREGFPEFVSVPYSSSSQHKPTGLSMDIFYAVLELLPFKVEYEISFYPIKHGNCTRSYDDLLHNISEGKYQVAIGDITIMDDRAPKLEYTHPYMISEIVMVVKNVKSKDMWILFKHQSNGLLFWFPIAVLALPESNMVVNRWTAFVLVISFAMGAIIVQCYVGKYSAMVLSDQEQEFSVSKDSMVGYQEGSFVKDFLIKNLNFKESRLRAFSSIEEFHDGMTRGGKKGGIDVIIDESPYAKLMLRKYGSAYKIVGPAYKTGGFGFVFREGPLSLLAHYFSRAIPKVTQSDRMAGIEQRNLGFGYSNAKVDETGNNDGLDPYNFGGPILVIVSANILALFLSETSVGRRLSSTTKTLGQKGYSTIACALVELFDKATYCCGECVHYLGRMRSRLGLLIHPNRRASSAELNVVTTEIVHRTDDVVQG >itb07g18400.t4 pep chromosome:ASM357664v1:7:22864170:22867079:1 gene:itb07g18400 transcript:itb07g18400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDYFLNGATPPPPFPFSVPSWSSSDCIMNPNWDDSLDHYTQFESALSSMVSSPAASAVSEGLAIRELIGKLGNICSSPPSFIASSAMAASYSGNSDANINVHSANTSCYSTPLSSPPKLRLPSLGNHHPPPLPALPADPGFAERAAKFSCFGSRSFNGRTSQLGLNTNSESPYRSAAPLLGNARLPRVSSSPALKQAGSPVQNRNSGLSRAELKPANPLPPVPGSDANSNEASSVSEQVPSSGSKSPNDLTSRKRKAPSRGKAKEDKGVEADNNNSPSLKRSKATEGNGSENGGNKTTGDDSEKQSQKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNPRLDFNIPPKDICQPMCPLDSSLPTFHVMHQHLQIPQQQHTNGGVNQCQVDPLGMQLPPLDGFGETLSQVI >itb07g18400.t1 pep chromosome:ASM357664v1:7:22864170:22867079:1 gene:itb07g18400 transcript:itb07g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDYFLNGATPPPPFPFSVPSWSSSDCIMNPNWDDSLDHYTQFESALSSMVSSPAASAVSEGLAIRELIGKLGNICSSPPSFIASSAMAASYSGNSDANINVHSANTSCYSTPLSSPPKLRLPSLGNHHPPPLPALPADPGFAERAAKFSCFGSRSFNGRTSQLGLNTNSESPYRSAAPLLGNARLPRVSSSPALKQAGSPVQNRNSGLSRAELKPANPLPPVPGSDANSNEASSVSEQVPSSGSKSPNDLTSRKRKAPSRGKAKEDKGVEADNNNSPSLKRSKATEGNGSENGGNKTTGDDSEKQSQKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNPRLDFNIPPKDICQPMCPLDSSLPTFHVMHQHLQIPQQQHTNGGVNQCQVDPLGMQLPPLDGFGETLSQFPLCDDDLQSVVQMGLNQNPGKDMALHPQNYPAVSSPHMKMEL >itb07g18400.t2 pep chromosome:ASM357664v1:7:22864170:22867079:1 gene:itb07g18400 transcript:itb07g18400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDYFLNGATPPPPFPFSVPSWSSSDCIMNPNWDDSLDHYTQFESALSSMVSSPAASAVSEGLAIRELIGKLGNICSSPPSFIASSAMAASYSGNSDANINVHSANTSCYSTPLSSPPKLRLPSLGNHHPPPLPALPADPGFAERAAKFSCFGSRSFNGRTSQLGLNTNSESPYRSAAPLLGNARLPRVSSSPALKQAGSPVQNRNSGLSRAELKPANPLPPVPGSDANSNEASSVSEQVPSSGSKSPNDLTSRKRKAPSRGKAKEDKGVEADNNNSPSLKRSKATEGNGSENGGNKTTGDDSEKQSQKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNPRLDFNIPPKDICQPMCPLDSSLPTFHVMHQHLQIPQQQHTNGGVNQCQVDPLGMQLPPLDGFGETLSQFPLCDDDLQSVVQMGLNQNPGKDMALHPQNYPVSSPHMKMEL >itb07g18400.t3 pep chromosome:ASM357664v1:7:22864170:22867079:1 gene:itb07g18400 transcript:itb07g18400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDYFLNGATPPPPFPFSVPSWSSSDCIMNPNWDDSLDHYTQFESALSSMVSSPAASAVSEGLAIRELIGKLGNICSSPPSFIASSAMAASYSGNSDANINVHSANTSCYSTPLSSPPKLRLPSLGNHHPPPLPALPADPGFAERAAKFSCFGSRSFNGRTSQLGLNTNSESPYRSAAPLLGNARLPRVSSSPALKQAGSPVQNRNSGLSRAELKPANPLPPVPGSDANSNEASSVSEQVPSSGSKSPNDLTSRKRKAPSRGKAKEDKGVEADNNNSPSLKRSKATEGNGSENGGNKTTGDDSEKQSQKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNPRLDFNIPPKDICQPMCPLDSSLPTFHVMHQHLQIPQQQHTNGGVNQCQVDPLGMQLPPLDGFGETLSQFPLCDDDLQSVVQMGLNQNPGKDMALHPQNYPGNFRIWQ >itb02g01650.t1 pep chromosome:ASM357664v1:2:924891:927741:1 gene:itb02g01650 transcript:itb02g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKLWLFPMSFLLLVYGGFETQASHIVIQNLEALQPPFPNQTYRPSFHFQPPRNWMNGPMVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQADVVVKFKIMEIEKAEKMEEGWTDPQQLCSQKGASVRGGIGPFGLKVLASENMQEYTAVFFRVFKGNNNNPVVLMCSDQTRSSLNKKTDKTSYGAFVDVNPMQKELSLRILIDHSIVESFGAKGKVCITSRVYPTEAVGEKAHLYAFNYGVHSIKLSNLTAWSMKTPIIN >itb02g01650.t7 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQADVVVKFKIMEIEKAEKMEEGWTDPQQLCSQKGASVRGGIGPFGLKVLASENMQEYTAVFFRVFKGNNNNPVVLMCSDQTRSLSIYISILSCSCLCMCAHYCFWHAFAGLH >itb02g01650.t8 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQVILIIL >itb02g01650.t5 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQIMEIEKAEKMEEGWTDPQQLCSQKGASVRGGIGPFGLKVLASENMQEYTAVFFRVFKGNNNNPVVLMCSDQTRSSLNKKTDKTSYGAFVDVNPMQKELSLRILVILSLSLQYSERNINQLNYYLDNHKVSKFNSEV >itb02g01650.t9 pep chromosome:ASM357664v1:2:925258:927045:1 gene:itb02g01650 transcript:itb02g01650.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQVILIIL >itb02g01650.t6 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQADVVVKFKIMEIEKAEKMEEGWTDPQQLCSQKGASVRGGIGPFGLKVLASENMQEYTAVFFRVFKGNNNNPVVLMCSDQTRSSLNKKTDKTSYGAFVDVNPMQKELSLRILVILSLSLQYSERNINQLNYYLDNHKVSKFNSEV >itb02g01650.t4 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQVILIIL >itb02g01650.t3 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQIMEIEKAEKMEEGWTDPQQLCSQKGASVRGGIGPFGLKVLASENMQEYTAVFFRVFKGNNNNPVVLMCSDQTRSSLNKKTDKTSYGAFVDVNPMQKELSLRILIDHSIVESFGAKGKVCITSRVYPTEAVGEKAHLYAFNYGVHSIKLSNLTAWSMKTPIIN >itb02g01650.t2 pep chromosome:ASM357664v1:2:925258:927774:1 gene:itb02g01650 transcript:itb02g01650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGLYHLFYQYNPNGAAFGNISWGHSTSKDLVNWRAHSLALSPSQPYDINGAWSGSATILRNGEPALLYTGNDSRNRQVQNLAVPKNPSDPYLKEWVKSTRNPLISPNSYNMINATSFRDPTTAWLGQDGDWRIVIGSKVERRGLAILYRSKDFVDWVKAEQPLHSAEGTGMWECPDFYPVSAGNPTGLDTSLSGSGIKHVLKVSLDKSKHDYYTIGTYDRVEDKYIPDTGSVDSGSGLRYDYGKFYASKTFYDSLKKRRILWGWINESLPQTEYVKQGWSGVQGIPRIVWLDEPGKQLLQWPIKEIKKLRSERVEIPSTMLETGSVAEVSGISAAQADVVVKFKIMEIEKAEKMEEGWTDPQQLCSQKGASVRGGIGPFGLKVLASENMQEYTAVFFRVFKGNNNNPVVLMCSDQTRSSLNKKTDKTSYGAFVDVNPMQKELSLRILIDHSIVESFGAKGKVCITSRVYPTEAVGEKAHLYAFNYGVHSIKLSNLTAWSMKTPIIN >itb14g03200.t1 pep chromosome:ASM357664v1:14:2850383:2852943:1 gene:itb14g03200 transcript:itb14g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKSNNKDPRLVLSRDAKPRLKWTPELHQRFVDAIAQLGGADKATPKSLMRAMNIHGLTLYHLKSHLQKFRLGKSQQLQPYQYNEQGDHRECLSHLPAADRCDEAERQMDENMQIARALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVMKKAQETLAGYGSCTGVELAAKTELSKLVSMVEMGGCLQSSSMSVLTEKEGSVTKETENKQLRTGTGCSLESSSSPSSSESSGRMEHSRAKQRAEDDSHTIELSLMEMHSTHHNASNDEARSGRKRSQSSTSISDNTTCVEKPSDKIFKGSSTYDEKKLKKYGFLENLDLNAKCLSDFDTGPKVIDLNSNGVEQFNGYS >itb09g00440.t3 pep chromosome:ASM357664v1:9:352790:356096:-1 gene:itb09g00440 transcript:itb09g00440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQPLLKDLEAGKMSDAKKSIAAATTKRSSAARVASLDVFRGLCVFLMMLVDYGGSVFPIIAHCPWNGLHLADFVMPFFLFVAGVSLAIVYKNVSNRLEASRKVVLKGLKLFVLGIFLQGGYLHGITSLTYGVSIERIRWLGILQRIAVGFVVAALCEIWLPCRKKTKGGFFLNYIWHWCTVLALSAIYMGLLYGLYVPNWQFSVPQTTSLHSSNESHVYKVNCSVRGDIGPACNSAAMIDRYILGIDHLYGKPVYRNLEECKVSNPPSWCYAPFEPEGILSSLMAAVACIFGLQFGHILIQLKDHKERLYNWAMLSFPVLVVGLFLALIGIPLNKSLYSISYMMVTSATAGITLCALYLLVDVCGQRRLTLALEWMGKHSLTIFIFLTSNIAFIAAQGFYWRTPENNIVHWVVSQVVHRP >itb09g00440.t1 pep chromosome:ASM357664v1:9:352715:356295:-1 gene:itb09g00440 transcript:itb09g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQPLLKDLEAGKMSDAKKSIAAATTKRSSAARVASLDVFRGLCVFLMMLVDYGGSVFPIIAHCPWNGLHLADFVMPFFLFVAGVSLAIVYKNVSNRLEASRKVVLKGLKLFVLGIFLQGGYLHGITSLTYGVSIERIRWLGILQRIAVGFVVAALCEIWLPCRKKTKGGFFLNYIWHWCTVLALSAIYMGLLYGLYVPNWQFSVPQTTSLHSSNESHVYKVNCSVRGDIGPACNSAAMIDRYILGIDHLYGKPVYRNLEECKVSNPPSWCYAPFEPEGILSSLMAAVACIFGLQFGHILIQLKDHKERLYNWAMLSFPVLVVGLFLALIGIPLNKSLYSISYMMVTSATAGITLCALYLLVDVCGQRRLTLALEWMGKHSLTIFIFLTSNIAFIAAQGFYWRTPENNIVHWVVSQVVHRP >itb09g00440.t2 pep chromosome:ASM357664v1:9:352715:356295:-1 gene:itb09g00440 transcript:itb09g00440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQPLLKDLEAGKMSDAKKSIAAATTKRSSAARVASLDVFRGLCVFLMMLVDYGGSVFPIIAHCPWNGLHLADFVMPFFLFVAGVSLAIVYKNVSNRLEASRKVVLKGLKLFVLGIFLQGGYLHGITSLTYGVSIERIRWLGILQRIAVGFVVAALCEIWLPCRKKTKGGFFLNYIWHWCTVLALSAIYMGLLYGLYVPNWQFSVPQTTSLHSSNESHVYKVNCSVRGDIGPACNSAAMIDRYILGIDHLYGKPVYRNLEECKVSNPPSWCYAPFEPEGILSSLMAAVACIFGLQFGHILIQLKDHKERLYNWAMLSFPVLVVGLFLALIGIPLNKSLYSISYMMVTSATAGITLCALYLLVSVEIILQLAHIHIVVTNIIVVVRYC >itb06g11220.t1 pep chromosome:ASM357664v1:6:15783979:15786521:-1 gene:itb06g11220 transcript:itb06g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPSRMSQQDESSNNNNHRRHEEDDEETDDHEHDVVMPGFRFHPTEEELVEFYLRRKVEGKPFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSENFRSIGLKKTLVFYTGKAPKGIRTSWIMNEYRLPHHDTERLQKAEISLCRVYKRAGVEDHPSLPRSLPTARATPSACRPPPHAAKKPPHHSLPPFEFPRTTANMEAAATSLVLSQPTNSMNSYTPAPPAPPLAAAVASEEEKLLLTSPVVFPGGSSSPAAPFAAVDDLHRLVNLHQVAAPQHFLTPQFSPLQLQPPLTFPDRLWDWSSLAPPPEQNKDYGASNPFK >itb06g18820.t1 pep chromosome:ASM357664v1:6:22381877:22384620:1 gene:itb06g18820 transcript:itb06g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAVLLSSSRSRPVLIYDTPVCNSLLIFVRIAILGLLLEWRLSHPNNDAIWLWFMSIVCEIWFSFSWLLDQLPKFCPVNRATDLAVLKEKFEALTPANPSGKSDLPAIDIFVSTADPEKEPPLVTANTILSILGADYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFSLKKDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDACNAREEIKALKLQREVAGDEVLQPIKVTKATWMADGTHWPGTWNVAAPEHSRGEHAGIIQVMLKPPSDEPLYGNIAEGNPTDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQAIREGMCFMMDRGGDCISYVQFPQRFEGIDPSDRYANHNTVFFDANMRALDGLQGPVYVGTGCLFRRTALYGFDPPRQDDYHPGSCSCCFARRKNHAGAAASAPEENRVLKMAKFTNEETYLASFRKRFGNSRLLIDSIPVAEFQGRPLADHPDVKHGRPHGALTIPRELLGASTVAEAISVISCWYEDKTEWGNQVGWIYGSVTEDVLTGYRMHNRGWKSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMNFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLLSGEFIIQTLDITFLTYLLAITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDNDDEFADLYILKWSSLMIPPATIIMTNLIAVAIGVSRTVYSPEPQWSHLIGGLFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLMAIIISLLCVTIQPPAGSDQTGGLFQLP >itb15g11980.t1 pep chromosome:ASM357664v1:15:9838062:9841755:-1 gene:itb15g11980 transcript:itb15g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSIQELELSSPPCLHFIAAFLAMEPPDIIISFARDCGGGKILERVQRFIWEHCINRTDTKPFAPYLRSFLKKLIVEIESSGEVVIDELYEKYVYYMSLKDNESVKGNARFSKTISFLFPRECWDLSSCPKSRKLEVLLHCSVNMLEGDTGCTIWPSSLFLSEFILSFPEVFTNKSCFEVGSGVGLVGICLAHIKASQVILSDGDLSTLANMRLNLESNKLTTRNDFLEHAVDSNMVQCLHLPWESAAENELLQIKPDIVLGADVIYDPLCLPHLVRVLAVLLNREGLYADGRNDRSDVCPRNQKCAKSNTCCVFDGSLGKSTRNIDHNDVYMHNGLQRWPVAYIASVIRNIDTFNCFLALAEEANLSVLDITEKMKPFNLLPYAKSYQQSSIRMLCISYLSK >itb13g20960.t1 pep chromosome:ASM357664v1:13:27652442:27654749:1 gene:itb13g20960 transcript:itb13g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASIARRSRNLYYNSSKYYAFSLSRGFASGSDEENDVVVIGGGPGGYVAAIKAAHFGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATRSFAKHGVKCSSVELDLPAMMAQKDEAVSDLTKGIEGLFKKNKVNYVKGYGKFLSPSEIKVDTIDGGETVVKGKNIIIATGSDVKGLPGITIDEERIVSSTGALALKEVPKKLVVIGAGYIGLEIGCVWGRLGSEVTVVEFAPHIVPSMDGEVRRRFQRTLEKQKMKFMLKTKVVSVDSSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTAGLLLDKIGVETDKIGRILVNERFATSVPGVYAIGDVIPGPMLANKAMEEGAACVEFIAGKVGQVDYDMVPGVVFTHPEVASVGKTEEQVKALGVSYNVGKFPFMANSRAKAVDDAEGLVKILAEKETDKILGVHIMAPNAGEYIHEAVLALQYGGSSEDIARTWHVHPTMSEAVKDAAIATYDKAIHI >itb03g02340.t2 pep chromosome:ASM357664v1:3:1300562:1307468:-1 gene:itb03g02340 transcript:itb03g02340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDKLPLSSSEALQDELIACLWEKSIRMVHKADLVIIGVSVGVAVGILLASLAFFCVCWYKKRAHLRRCANERSLATLPIRTNGLGASIDLSASISAKGPENVAKSSQQSWWSHPSKDRFASASGILRYAYKDIQKATQNFTTVLGKGSFGPVYKAAMPAGGVVAVKVLATDSKQGEREFQTEVTLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLANLLYSTERTLSWEGRLQIALDISHGIEYLHDGAVPPVIHRDLKSANVLLDHAMRAKVADFGLSREEVKDGSNSGLKGTFGYIDPAYISTNKFTTKSDIYSFGVILFELITAIHPHQNLMEYVNLAAMSPDGVDEILDKKLVGTCNVEEVRNLAAIAHKCLHKTPRKRPPIGDVSHAILKIKQRHTVKKDTSSFAQDDFSRMSKIWLCSSSSGSLESAFLKRAVYQGVAQFQLGWKTGLGGKNPQHSQSPDFAFRRSLFLTAASSYRPSLCPNSGLEMVRTVKSHHEGDEDDDMEFSSRALDVKVEGRHSDQKANTLRSKHSETEQRRRSKINERFQTLRDIIPENDQKRDKASFLLEVIEYIQFLKDKIQIYEGPYQGWSPEPSKLMPWRRASGPVEIFTEQSQLIRNGSAHEDNIVVNSMLLANAHDSVESDLNGAAVYKTMDESHTVINEAIPFNMPLQPSLFDGVSVQPSHGSSSYTDQLASQAQLFDWADKQEETQSDVPIFDQNDQEELKTECGEDSLSIEYSQRVLNTLNQTLVSMGVDMSQASVSVELDVGKRTSSETSISALGGCETYDHAHKKLRREQR >itb03g02340.t1 pep chromosome:ASM357664v1:3:1300562:1308191:-1 gene:itb03g02340 transcript:itb03g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDKLPLSSSEALQDELIACLWEKSIRMVHKADLVIIGVSVGVAVGILLASLAFFCVCWYKKRAHLRRCANERSLATLPIRTNGLGASIDLSASISAKGPENVAKSSQQSWWSHPSKDRFASASGILRYAYKDIQKATQNFTTVLGKGSFGPVYKAAMPAGGVVAVKVLATDSKQGEREFQTEVTLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLANLLYSTERTLSWEGRLQIALDISHGIEYLHDGAVPPVIHRDLKSANVLLDHAMRAKVADFGLSREEVKDGSNSGLKGTFGYIDPAYISTNKFTTKSDIYSFGVILFELITAIHPHQNLMEYVNLAAMSPDGVDEILDKKLVGTCNVEEVRNLAAIAHKCLHKTPRKRPPIGDVSHAILKIKQRHTVKKDTSSFAQDDFSRMSKIWLCSSSSGSLESAFLKRAVYQGVAQFQLGWKTGLGGKNPQHSQSPDFAFRRSLFLTAASSYRPSLCPNSGLEMVRTVKSHHEGDEDDDMEFSSRALDGSLKVEGRHSDQKANTLRSKHSETEQRRRSKINERFQTLRDIIPENDQKRDKASFLLEVIEYIQFLKDKIQIYEGPYQGWSPEPSKLMPWRRASGPVEIFTEQSQLIRNGSAHEDNIVVNSMLLANAHDSVESDLNGAAVYKTMDESHTVINEAIPFNMPLQPSLFDGVSVQPSHGSSSYTDQLASQAQLFDWADKQEETQSDVPIFDQNDQEELKTECGEDSLSIEYSQRVLNTLNQTLVSMGVDMSQASVSVELDVGKRTSSETSISALGGCETYDHAHKKLRREQR >itb01g12140.t1 pep chromosome:ASM357664v1:1:11568161:11570741:-1 gene:itb01g12140 transcript:itb01g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPLETQVISSGILWAIADVVAQSISISTAKKRLLISGSNKELTMDRKRVGITCMYGIGFFGPLGHFWYKGLDQFIKLRFRLQPNSLQFLATKVAVDILVFGPLNLLLFFTYMGFSMGKSASQVKETIKRDFLPALALEGSVWPLAQAVNFRYVPVQYQLLYVNVFSLLDSAFLSWLEQQQKDPPGNQCYALVPISNDPKDEFK >itb04g07380.t1 pep chromosome:ASM357664v1:4:4983372:4984584:-1 gene:itb04g07380 transcript:itb04g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRPCMAFAVLLVYSLILLLSSSKCQGQLSPTFYNKTCPNALNIIRTAVRQAVSRERRMAASLVRLHFHDCFVQGCDASILLDESPTIESEKTALPNLGSARGYDVIEAAKRELEKSCPGIVSCADVLSVAARDASAAVGGPSWTVKLGRRDSTTASHTLAETDLPGPFDNLDKLISSFARKGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNGTDIDAGFASTRRRQCPKDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYARSPRAFQADFASAMIKMSEIQPLTSQNGIIRKVCGALN >itb01g21950.t1 pep chromosome:ASM357664v1:1:27937854:27939025:1 gene:itb01g21950 transcript:itb01g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSTQILPPEPFSTAANRPSPPPPLTGAHQEFSIMVSALQNVMNGGDAASDVNFDSRISTACAGFAELPALEQRCQLCKYEGCLGCNFFAAPDNIARQMEEKKKKKEKNSAVTTKRKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFKTAEEAARAYDRAAIEFRGPRAKLNFSFADYTNNTSTTTMAVTTSSTRQQHVTNPSSSSTISQQPRQEVAVVQENSENGTNWSAPAKMEVGNGDEEFQEWMKMLMDFNDVSSDYILQLP >itb15g07660.t1 pep chromosome:ASM357664v1:15:5235677:5240623:1 gene:itb15g07660 transcript:itb15g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKHVVDLCAAPGSWSQVLSRKLYLPAKLSPDSTDNDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKSGGKFIAKIFRGKDTSLLYCQLKLFFTEVTFAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLYRLLEKVGSPSGVEDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKSADGTYQSLDPVQPPIAPPYKRALEMKKAQSQGVRDLEKLSLGP >itb09g00210.t1 pep chromosome:ASM357664v1:9:250271:252460:1 gene:itb09g00210 transcript:itb09g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSDEVWSAPITPRVPTSVAPTPPIVSCPPSQYHSPSMSRSPLLTMGDHPRGAASAPFRTPKSRTPRFITPLITPLGSPLRKALKMTKLDPQDAWLPITQSRNGNAYYAAFHTLCSGIGIQALVLPVAFTVLGWAWGIICLTVAFIWQLYTLYVMVQLHENVESEVRYCRYMQLANATFGERLAKLFAAFPIMYLSAGTCTTLIIIGGSTSKMLYQTLCGATCTSKPLTNVEWYLVFTCAAVLLSQLPNLNSIAGVSLLGSLTAIAYCTLIWAVSVAEGRLPNVSYDPLRKGKQIERIFDVLNALGIIAFAFRGHNLVLEIQATMPSDEKHPSRVPMWRGVKFAYVLVAACLFPLAIGGYWAYGQLIPANGGMLTALYAFHSQDVARGVLGLISCCVIINAVSSFQIYGMPMFDDIESIYTTKCKKECPWWLRAFIRTFFAFVCFFIAVAIPFLASFAGLIGGIALPVTFAYPCFMWLKVKKPKTYSPMWWMNWALGLVGMLLSVLLIAAGLYVVIDTGVNISFFKP >itb05g17790.t2 pep chromosome:ASM357664v1:5:24693659:24697350:-1 gene:itb05g17790 transcript:itb05g17790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTKVEQGQTKVKNVPIAVTPEGFWCCPSPVVFQKSVKSQNPLNKPKSSPPTTQTSSQKKQTSVAEKRPASNAPPRSNVVADELKGLTSEAPSVSTSVATERGPHPKIENVARKVSIEFGEPGTSDLRVILLGKQGFAVKLNVHRGILVENSSFFANKISQQQPVFPCIEVDDCEDVEIYVETVGLMYCKDLRQRLIKQSVARVLRILKVAEHLGFKQCMQSCLEYLEAVPWVGEEEEEKVVSSILRLQGEGIGVNPVLKRVSSDISRPPKDTFSQILELVLKSNEERGRREMKSIVLKLLRENNSLPSSAGSVDICNEILYTSCRSCLDSLLNLFERAAEPELSNSSVGCREPGAKQIALQADNLTWMLEMLSDRQAADGFATLWASQQELASLHPRLPTIARHHVSCITARLFVGIGRGEILPSKDTRHLLLQTWLQPLINDYSWLQHSSRSFDRKVVEEGIGRTILTLPLEEQQSILLSWLDTFLKAGDNCPNLQRAFEVWWRRTFIRPYTEAESVGQTGNSAAPQVLHSVSENQETSEEL >itb05g17790.t1 pep chromosome:ASM357664v1:5:24693659:24697350:-1 gene:itb05g17790 transcript:itb05g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTKVEQGQTKVKNVPIAVTPEGFWCCPSPVVFQKSVKSQNPLNKPKSSPPTTQTSSQKKQTSVAEKRPASNAPPRSNVVADELKGLTSEAPSVSTSVATERGPHPKIENVARKVSIEFGEPGTSDLRVILLGKQGFAVKLNVHRGILVENSSFFANKISQQQPVFPCIEVDDCEDVEIYVETVGLMYCKDLRQRLIKQSVARVLRILKVAEHLGFKQCMQSCLEYLEAVPWVGEEEEEKVVSSILRLQGEGIGVNPVLKRVSSDISRPPKDTFSQILELVLKSNEERGRREMKSIVLKLLRENNSLPSSAGSVDICNEILYTSCRSCLDSLLNLFERAAEPELSNSSVGCREPGAKQIALQADNLTWMLEMLSDRQAADGFATLWASQQELASLHPRLPTIARHHVSCITARLFVGIGRGEILPSKDTRHLLLQTWLQPLINDYSWLQHSSRSFDRKVVEEGIGRTILTLPLEEQQSILLSWLDTFLKAGDNCPNLQRAFEVWWRRTFIRPYTEAESVGQTGNSAAPQVLHSVSENQETSEEL >itb01g22760.t1 pep chromosome:ASM357664v1:1:28848192:28849676:1 gene:itb01g22760 transcript:itb01g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLKTPNELEFLHPHHGFTSLKPQKQEIRIGSWKSCRNVGRVKASSSALLELVPVTKKENLDFELPMFEPSKGIVVDLAVVGGGPAGLAVAQQVSQAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAMVYIDDRTTKDLDRPYGRVNRKKLKSKMMQKCIANGVKFHQAKVIKVIHEESKSMLICSDGVTIQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPYDLNKMVFMDWRDSHLNSNLELKERNKRIPTFLYAMPFSSQRIFLEETSLVARPGLDMKDIQERMVARLRHLGINVKSIEEDERCVIPMGGPLPVIPQRVVGIGGTAGMVHPSTGYMVARTLAAAPVVANAIIQYLGSERSLLGNELSASVWKDLWPIERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPRYWHGFLSSRLFLRELIFFGLSLFSHASNTSRLEIMTKGTLPLVNMINNLLQDID >itb06g13070.t1 pep chromosome:ASM357664v1:6:17671793:17674851:1 gene:itb06g13070 transcript:itb06g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGKKQMMASLGAYWRSPVGPKTTHFWGPMANWGLVIAGIMDVKKPPDTISGNMTGVLCVFSMLCMRFAWMVRPRNHLLLACHAANESVQLYQLSRWATHNWYVTQTEEEDNQK >itb06g13070.t2 pep chromosome:ASM357664v1:6:17671788:17674925:1 gene:itb06g13070 transcript:itb06g13070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGKKQMMASLGAYWRSPVGPKTTHFWGPMANWGLVIAGIMDVKKPPDTISGNMTGVLCVFSMLCMRFAWMVRPRNHLLLACHAANESVQLYQLSRWATHNWYVTQTEEEDNQK >itb09g00080.t4 pep chromosome:ASM357664v1:9:176348:186046:-1 gene:itb09g00080 transcript:itb09g00080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNSNSNTFKWSCDFRYLLLVAALAFIYIQMRLFATQSEYADRLAAAIEAENHCTSQTRSFIDQISLQQGRITALEEEMKRQGHECQHLRALVQDLESKGLQKLISSTQVPVAAVVVMACNRADYLKRTIQSILKHQTSVASKFPLFISQDGSHPDVKTLGLSYDQLTYMQHLDYEPVHTERPGEIIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIATDFFDYFEAGATLLDRDKSIMAISSWNDNGQKQFVKDPYALFRSDFFPGLGWMLSKSTWNELSPKWPKAYWDDWLRLKENHKGRQFVRPEVCRTYNFGEHGSSLGQFFNRFLAPIKLNDVQVDWKSMDLNYLMEDNYLKHFAEIVNKAKPIYGADTVLKANNINGDVRIQYRDQRHFEEIARQFGIFEEWKDGVPRAAYKGVVVFWYQTSRRVFIIGPNSLQQLGIEHD >itb09g00080.t2 pep chromosome:ASM357664v1:9:176348:186014:-1 gene:itb09g00080 transcript:itb09g00080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNSNSNTFKWSCDFRYLLLVAALAFIYIQMRLFATQSEYADRLAAAIEAENHCTSQTRSFIDQISLQQGRITALEEEMKRQGHECQHLRALVQDLESKGLQKLISSTQVPVAAVVVMACNRADYLKRTIQSILKHQTSVASKFPLFISQDGSHPDVKTLGLSYDQLTYMQHLDYEPVHTERPGEIIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIATDFFDYFEAGATLLDRDKSIMAISSWNDNGQKQFVKDPYALFRSDFFPGLGWMLSKSTWNELSPKWPKAYWDDWLRLKENHKGRQFVRPEVCRTYNFGEHGSSLGQFFNRFLAPIKLNDVQVDWKSMDLNYLMEDNYLKHFAEIVNKAKPIYGADTVLKANNINGDVRIQYRDQRHFEEIARQFGIFEEWKDGVPRAAYKGVVVFWYQTSRRVFIIGPNSLQQLGIEHD >itb09g00080.t1 pep chromosome:ASM357664v1:9:176348:186046:-1 gene:itb09g00080 transcript:itb09g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNSNSNTFKWSCDFRYLLLVAALAFIYIQMRLFATQSEYADRLAAAIEAENHCTSQTRSFIDQISLQQGRITALEEEMKRQGHECQHLRALVQDLESKGLQKLISSTQVPVAAVVVMACNRADYLKRTIQSILKHQTSVASKFPLFISQDGSHPDVKTLGLSYDQLTYMQHLDYEPVHTERPGEIIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIATDFFDYFEAGATLLDRDKSIMAISSWNDNGQKQFVKDPYALFRSDFFPGLGWMLSKSTWNELSPKWPKAYWDDWLRLKENHKGRQFVRPEVCRTYNFGEHGSSLGQFFNRFLAPIKLNDVQVDWKSMDLNYLMEDNYLKHFAEIVNKAKPIYGADTVLKANNINGDVRIQYRDQRHFEEIARQFGIFEEWKDGVPRAAYKGVVVFWYQTSRRVFIIGPNSLQQLGIEHD >itb09g00080.t5 pep chromosome:ASM357664v1:9:176348:186046:-1 gene:itb09g00080 transcript:itb09g00080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNSNSNTFKWSCDFRYLLLVAALAFIYIQMRLFATQSEYADRLAAAIEAENHCTSQTRSFIDQISLQQGRITALEEEMKRQGHECQHLRALVQDLESKGLQKLISSTQVPVAAVVVMACNRADYLKRTIQSILKHQTSVASKFPLFISQDGSHPDVKTLGLSYDQLTYMQHLDYEPVHTERPGEIIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIATDFFDYFEAGATLLDRDKSIMAISSWNDNGQKQFVKDPYALFRSDFFPGLGWMLSKSTWNELSPKWPKAYWDDWLRLKENHKGRQFVRPEVCRTYNFGEHGSSLGQFFNRFLAPIKLNDVQVDWKSMDLNYLMEDNYLKHFAEIVNKAKPIYGADTVLKANNINGDVRIQYRDQRHFEEIARQFGIFEEWKDGVPRAAYKGVVVFWYQTSRRVFIIGPNSLQQLGIEHD >itb09g00080.t3 pep chromosome:ASM357664v1:9:176348:186046:-1 gene:itb09g00080 transcript:itb09g00080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNSNSNTFKWSCDFRYLLLVAALAFIYIQMRLFATQSEYADRLAAAIEAENHCTSQTRSFIDQISLQQGRITALEEEMKRQGHECQHLRALVQDLESKGLQKLISSTQVPVAAVVVMACNRADYLKRTIQSILKYVDIRILQVVLTKMRMLLTDICIEFFLKTRHQTSVASKFPLFISQDGSHPDVKTLGLSYDQLTYMQHLDYEPVHTERPGEIIAYYKIARHYKWALDQLFYKHNFSRVIILEDDMEIATDFFDYFEAGATLLDRDKSIMAISSWNDNGQKQFVKDPYALFRSDFFPGLGWMLSKSTWNELSPKWPKAYWDDWLRLKENHKGRQFVRPEVCRTYNFGEHGSSLGQFFNRFLAPIKLNDVQVDWKSMDLNYLMEDNYLKHFAEIVNKAKPIYGADTVLKANNINGDVRIQYRDQRHFEEIARQFGIFEEWKDGVPRAAYKGVVVFWYQTSRRVFIIGPNSLQQLGIEHD >itb04g03190.t2 pep chromosome:ASM357664v1:4:1975090:1981380:-1 gene:itb04g03190 transcript:itb04g03190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKTSGGPGTKRGGRVTRAASKVQPQPPDEALKVEQVKEEAEEVKAPVAEQKSSPARVKEEPDSRRVESGSLAYKKEYEQKESVDEYEKGERLDLEDNDPEYETEEYGGPDYDDRGIEHEDVQEEGEEIEEHEEEYIGDEGEGDMVEEEVEDANEDLEGEDDDEQVGEGHGQMVDEAEEDEHHEVVKERRKRKEFEIFIGGLDKDATEDDLRKVFSQVGDVTEVRLMMNPQTKKNKGFAFLRFATVEQAKLACTELKNPVVNGKQCGVTPSQDSDTLFLGNICKTWTEEALKEKLKHYGVDNIYDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLSAYWDEARINELLKKYGKIEKIELARNMPSAKRKDFGFVTFDSHDAAVSCAKCINNAMLGDGDIKVKVRARLSRPLSRGRGKYGSRGDVRPGRGPVRVPRAPWGRSVSHNLSVRGTRVGTRVPPLVDRNFKRPAGYRDRRPVIAAPPRARPVAPVPSRSYERRPPAPAYSRNSSKRDYGSRAAIDYAPRVPSDRRTSYRDEYSSHGSGYPDFPGGTSRTAVRRAYVDDGYEQRFERPPPVYRESRAREYDSISGSKRAYTALDDVPQYVEAGVRRSRARLDYDFGGRSASQYGDAYGDRPGRPNLGYSSSSRSSMASQDSHGLYSSRQGMGYDRGSYGGSDVGGVYTSGYSGDYISHGSDMGGSSYSSIYSNRDLGGGGYMRSGGSRSYY >itb04g03190.t1 pep chromosome:ASM357664v1:4:1974753:1981380:-1 gene:itb04g03190 transcript:itb04g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKTSGGPGTKRGGRVTRAASKVQPQPPDEALKVEQVKEEAEEVKAPVAEQKSSPARVKEEPDSRRVESGSLAYKKEYEQKESVDEYEKGERLDLEDNDPEYETEEYGGPDYDDRGIEHEDVQEEGEEIEEHEEEYIGDEGEGDMVEEEVEDANEDLEGEDDDEQVGEGHGQMVDEAEEDEHHEVVKERRKRKEFEIFIGGLDKDATEDDLRKVFSQVGDVTEVRLMMNPQTKKNKGFAFLRFATVEQAKLACTELKNPVVNGKQCGVTPSQDSDTLFLGNICKTWTEEALKEKLKHYGVDNIYDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLSAYWDEARINELLKKYGKIEKIELARNMPSAKRKDFGFVTFDSHDAAVSCAKCINNAMLGDGDIKVKVRARLSRPLSRGRGKYGSRGDVRPGRGPVRVPRAPWGRSVSHNLSVRGTRVGTRVPPLVDRNFKRPAGYRDRRPVIAAPPRARPVAPVPSRSYERRPPAPAYSRNSSKRDYGSRAAIDYAPRVPSDRRTSYRDEYSSHGSGYPDFPGGTSRTAVRRAYVDDGYEQRFERPPPVYRESRAREYDSISGSKRAYTALDDVPQYVEAGVRRSRARLDYDFGGRSASQYGDAYGDRPGRPNLGYSSSSRSSMASQDSHGLYSSRQGMGYDRGSYGGSDVGGVYTSGYSGDYISHGSDMGGSSYSSIYSNRDLGGGGYMRSGGSRSYY >itb04g03190.t3 pep chromosome:ASM357664v1:4:1974753:1981272:-1 gene:itb04g03190 transcript:itb04g03190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKTSGGPGTKRGGRVTRAASKVQPQPPDEALKVEQVKEEAEEVKAPVAEQKSSPARVKEEPDSRRVESGSLAYKKEYEQKESVDEYEKGERLDLEDNDPEYETEEYGGPDYDDRGIEHEDVQEEGEEIEEHEEEYIGDEGEGDMVEEEVEDANEDLEGEDDDEQVGEGHGQMVDEAEEDEHHEVVKERRKRKEFEIFIGGLDKDATEDDLRKVFSQVGDVTEVRLMMNPQTKKNKGFAFLRFATVEQAKLACTELKNPVVNGKQCGVTPSQDSDTLFLGNICKTWTEEALKEKLKHYGVDNIYDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLSAYWDEARINELLKKYGKIEKIELARNMPSAKRKDFGFVTFDSHDAAVSCAKCINNAMLGDGDIKVKVRARLSRPLSRGRGKYGSRGDVRPGRGPVRVPRAPWGRSVSHNLSVRGTRVGTRVPPLVDRNFKRPAGYRDRRPVIAAPPRARPVAPVPSRSYERRPPAPAYSRNSSKRDYGSRAAIDYAPRVPSDRRTSYRDEYSSHGSGYPDFPGGTSRTAVRRAYVDDGYEQRFERPPPVYRESRAREYDSISGSKRAYTALDDVPQYVEAGVRRSRARLDYDFGGRSASQYGDAYGDSRPGRPNLGYSSSSRSSMASQDSHGLYSSRQGMGYDRGSYGGSDVGGVYTSGYSGDYISHGSDMGGSSYSSIYSNRDLGGGGYMRSGGSRSYY >itb04g03190.t4 pep chromosome:ASM357664v1:4:1974753:1981159:-1 gene:itb04g03190 transcript:itb04g03190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKTSGGPGTKRGGRVTRAASKVQPQPPDEALKVEQVKEEAEEVKAPVAEQKSSPARVKEEPDSRRVESGSLAYKKEYEQKESVDEYEKGERLDLEDNDPEYETEEYGGPDYDDRGIEHEDVQEEGEEIEEHEEEYIGDEGEGDMVEEEVEDANEDLEGEDDDEQVGEGHGQMVDEAEEDEHHEVVKERRKRKEFEIFIGGLDKDATEDDLRKVFSQVGDVTEVRLMMNPQTKKNKGFAFLRFATVEQAKLACTELKNPVVNGKQCGVTPSQDSDTLFLGNICKTWTEEALKEKLKHYGVDNIYDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDVVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLSAYWDEARINELLKKYGKIEKIELARNMPSAKRKDFGFVTFDSHDAAVSCAKCINNAMLGDGDIKVKVRARLSRPLSRGRGKYGSRGDVRPGRGPVRVPRAPWGRSVSHNLSVRGTRVGTRVPPLVDRNFKRPAGYRDRRPVIAAPPRARPVAPVPSRSYERRPPAPAYSRNSSKRDYGSRAAIDYAPRVPSDRRTSYRDEYSSHGSGYPDFPGGTSRTAVRRAYVDDGYEQRFERPPPVYRESRAREYDSISGSKRAYTALDDVPQYVEAGVRRSRARLDYDFGGRSASQYGDAYGDSRPGRPNLGYSSSSRSSMASQDSHGLYSSRQGMGYDRGSYGGSDVGGVYTSGYSGDYISHGSDMGGSSYSSIYSNRDLGGGGYMRSGGSRSYY >itb08g16610.t1 pep chromosome:ASM357664v1:8:18646030:18659432:-1 gene:itb08g16610 transcript:itb08g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTERKTAKAEEKKSRRASKKLSPEDDIDAILLSIQKEEAKKKEVHVEENVPAPSPRSNCSLNVNPLKETELILYGGEFYNGSKTFVYGNLYRYDVEKQEWKLVSSPNSPPPRSAHQTVAWKNYLYIFGGEFTSPNQERFHHYKDFWVLDLKTNQWEQLNYKGCPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYYNDLHVFDLDQFKWQEIKGTPGCMWPSARSGFQFFVHQDEIYLYGGYSKEISPDKSVSEKGVVHSDMWSLDPRTWQWNKVKKSGMPPGPRAGFSMCIHKKRAILFGGVVDMDIEGDVMMSLFLNELYGFQVDSHRWYPLELRKEKSSKDKLKRTVNEKPENMELDSKPTTMNVENLVFNDEYVDFADDEKAGMDGNLNNISSNMKRNLSIDECKVETRSQEKALASVSKSAVQSSVSPEVVKPCGRINSCVAVARDTLYVYGGMMEVRDQEVTLDDLYTLNLSKLDEWKCIIPASEPEWIEASDDEDDEEEDEDGSDNEGENGDDSDDDSSEDDSAEGGNTGSTSVKIGDAVAIMRGEGKTLRRKEKRARIEQIRAHLGLSDSHRTPAPGESLRDFYRRTNTYWQMAAYEHTQHTGKELRKDGFDLAESRYKELKPILDELAILEAEQKAEEEEGPETISKKRGGNKKSKNLAS >itb10g10590.t1 pep chromosome:ASM357664v1:10:15278360:15279112:-1 gene:itb10g10590 transcript:itb10g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKVRGRRHGFLDWSAAFSIICWQLWKWRNSMVFRNETLHKNIKVNKIRSYCMEIHKALDRNPIFTSQPGEQRSSILHWNKPESSWIAVNVDGSSRPSKKKAGCGGILRNHLGDWILGFQLNLGDCSVDIAEAWAVLMGLKIAWQRACTNIIIQSDSKNVVKWINDPLFDATGGGTLNNIIFECKSWMKRSWNVKCCHVLREQNQVADWLARNQGADTNLVLLNECPNAILDEFVRRDRIGSPVARGD >itb09g09380.t1 pep chromosome:ASM357664v1:9:5659455:5661485:-1 gene:itb09g09380 transcript:itb09g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFITDLALVRCEANPYSPLHSPNTPLSLSASISTLPSSRFPFASQTHIPILSSKTLLSLSFQYFCDCGKMEALRLLCIALLLCSLTAVHARIPGVYSGGAWQSAHATFYGGADASGTMGGACGYGNLYSQGYGVNNAALSTALFNNGLSCGACFEIKCTNDRSCSPGNPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAAYRAGIVPVNFRRIPCRKQGGIRFTVNGFRYFNLVLVTNVAGAGDIQKVLVKGSRTPWITLSRNWGQNWQTSSFLVGQSLSFRVRASDHRQVTSWNVAPANWQFGQTFQGKNFRA >itb13g01090.t3 pep chromosome:ASM357664v1:13:1047533:1049083:-1 gene:itb13g01090 transcript:itb13g01090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDVVSWSALAAGYAKNGDVVNAGKVFSQAGRLGIERNSVSWNGVIAGFNQSGSFLEAVSVFQEMHCRGFVADGTSISSVLPAIGDLGDLSMGIQVHGCVIKLGFKSDICIISSLIDMYGKCSCALEMSKVFEEIDQKDVGTCNAMVAGLSRNGLVDDAFKVFKQCRDEGMELNVVSWTSMIASCSQHGKDVEALELFREMQRVGMEPNCVTIPCLLPACGNIAALMHGKAAHCFSLKRGFADDVYVASALVDMYANCGRVDLSRLTFDRMPTRNLVSWNALIGGYAMHGKATEAIEILHLMQSSGHKPDAISFTSILSACSQVGLSDEGQHYFDIMSKDHRIEARLEHYACMVSLLGRAGKLEEAYSLVKKMPFEPDACVWGALLSSCKIHHNLSLGEVAAKKLFQLEPTNPGNYILLSNIYASNRRWNEVDRIRDTMKQMGLRKNPGCSWIELKNKVHMLLAGEKSHPQMGQIMIKLGKLSLEMKKSGVLPNTDFVLQDVEEEEGTHFVWTQ >itb13g01090.t2 pep chromosome:ASM357664v1:13:1047533:1049269:-1 gene:itb13g01090 transcript:itb13g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSPVSSGPCAGLSARNAGQQVHGYVLTSGLALDSFVHSSLVHMYVKCNNLKDAHKVFDTMPSPDVVSWSALAAGYAKNGDVVNAGKVFSQAGRLGIERNSVSWNGVIAGFNQSGSFLEAVSVFQEMHCRGFVADGTSISSVLPAIGDLGDLSMGIQVHGCVIKLGFKSDICIISSLIDMYGKCSCALEMSKVFEEIDQKDVGTCNAMVAGLSRNGLVDDAFKVFKQCRDEGMELNVVSWTSMIASCSQHGKDVEALELFREMQRVGMEPNCVTIPCLLPACGNIAALMHGKAAHCFSLKRGFADDVYVASALVDMYANCGRVDLSRLTFDRMPTRNLVSWNALIGGYAMHGKATEAIEILHLMQSSGHKPDAISFTSILSACSQVGLSDEGQHYFDIMSKDHRIEARLEHYACMVSLLGRAGKLEEAYSLVKKMPFEPDACVWGALLSSCKIHHNLSLGEVAAKKLFQLEPTNPGNYILLSNIYASNRRWNEVDRIRDTMKQMGLRKNPGCSWIELKNKVHMLLAGEKSHPQMGQIMIKLGKLSLEMKKSGVLPNTDFVLQDVEEEEGTHFVWTQ >itb13g01090.t1 pep chromosome:ASM357664v1:13:1047717:1049083:-1 gene:itb13g01090 transcript:itb13g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDVVSWSALAAGYAKNGDVVNAGKVFSQAGRLGIERNSVSWNGVIAGFNQSGSFLEAVSVFQEMHCRGFVADGTSISSVLPAIGDLGDLSMGIQVHGCVIKLGFKSDICIISSLIDMYGKCSCALEMSKVFEEIDQKDVGTCNAMVAGLSRNGLVDDAFKVFKQCRDEGMELNVVSWTSMIASCSQHGKDVEALELFREMQRVGMEPNCVTIPCLLPACGNIAALMHGKAAHCFSLKRGFADDVYVASALVDMYANCGRVDLSRLTFDRMPTRNLVSWNALIGGYAMHGKATEAIEILHLMQSSGHKPDAISFTSILSACSQVGLSDEGQHYFDIMSKDHRIEARLEHYACMVSLLGRAGKLEEAYSLVKKMPFEPDACVWGALLSSCKIHHNLSLGENQGYDETDGFEEKSWMQLD >itb09g03130.t2 pep chromosome:ASM357664v1:9:1766189:1768719:-1 gene:itb09g03130 transcript:itb09g03130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHILQLSPFSYSRPFIRNSTAVSRFLSSNFIPISALPKRTQFDISSSRSIRLTISGFGGLIHQRGLRASRLVAMASPSSVQKSEEEWRAILSPEQFRILRMKGTEYPGTGEYDKFFEAGVYECAGCGTPLYRSTTKFNSGCGWPAFFEGLPGAINQTVSFFHTKYVFPFYKTMEELTFICFDRTIFSLIQTGEE >itb09g03130.t1 pep chromosome:ASM357664v1:9:1766189:1768719:-1 gene:itb09g03130 transcript:itb09g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHILQLSPFSYSRPFIRNSTAVSRFLSSNFIPISALPKRTQFDISSSRSIRLTISGFGGLIHQRGLRASRLVAMASPSSVQKSEEEWRAILSPEQFRILRMKGTEYPGTGEYDKFFEAGVYECAGCGTPLYRSTTKFNSGCGWPAFFEGLPGAINQTPDPDGRRIEITCAACGGHLGHVFKGEGFPTPTNERHCVNSISLKFVPANSDASQ >itb01g34890.t1 pep chromosome:ASM357664v1:1:37360755:37365780:-1 gene:itb01g34890 transcript:itb01g34890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHSRQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSSDSLFYVKDRFLRVYEYSTQKDTQLIPIRRPGSNSLNQSPRSLSYSPTENAVLICSDVDGGSYELYIVPKDSFGRGDTVQDAKRGTGGSAVFIARNRFAVLEKSTNNVLVKNLKNEIVKKSALPIATDAIFYAGTGNLLCRTEDRVVIFDLQQRIVLGDLQTSFIRYVVWSHDMESVALLSKHSIVIADKKLVHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDIPVYITKIYGNTIFCLDRDGKNRPIIIDSTEYIFKLSLLRKRYDQVMNMIRNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFGLALESGNIEIALESAKKIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNLEKLSKMMKIAEVKNEVMGQFHNALYLGDIRERVKILENAGHLPLAYITASVHGLSDIAERLAEELGDNLPSLPTEKKASLLLPPSPISGGGDWPLLMVTKGIFEGTLNIPNGGAQEEYEEAADADWGEELDIGEVENLQNGDISMVLEDDEGQEEIEGGWDLEDLDLPVEAETPKTVTNARSSVFIAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLNRQLGIRNFSPLKQLFIDLHLGSHSYLLAFSSAPVISVAVERGWSESASPNVRGPPALVFNFSHLEEKLKAGYRLTTQGKFSEALRTFLNVLHTIPLVVVESRREVDEVKELVIIVKEYVLGLQMELKRKEMKDNPTRQQELAAYFTHCNLQLPHLRLALQNAMTVCFKATNMITAANFARRLLETNPTVENQAKVARQVLQAAEKNMRDSTQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCYTHFVPSQEGQLCTVCDLAVIGADASGILCSPAQAR >itb06g09050.t1 pep chromosome:ASM357664v1:6:13168557:13170306:-1 gene:itb06g09050 transcript:itb06g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALRDLGFDAPMIAAIHDAFDFGDESEHTRHHRAYIQDSKAMKATAADVMEYPNAYYFVVDMPGLKRDQIKVHLEDGNVLVISGERRREEKEKQQQPQQAEGGVKFLRMERRLGKLLKKFVLPENANTDAISAALEDGVLTVSVEKRPPPEPKKPKVIEVSIGQHGGDAAGGGRNGSERGQIRDGKHK >itb04g09220.t1 pep chromosome:ASM357664v1:4:8528364:8530040:-1 gene:itb04g09220 transcript:itb04g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCERNPNPTAQQEEEEITISVRIIKTVSAKINKSETVARLKTLLREEEGVQECLQQFFMQGGTRLEDDKRLVDYGIHGHCTLNAFVENSVPFMLSVRIPSPNKKAVIQAKENIPPNQHTLFYNGKQLEEDKTLASLGIRGHSTLHMIFNPKETLKVHVKTLTGEAVETQVRILYTILDVKTVVESKVGDGSILEILPPATQIFIKWGGKSTGVEVFLWETVKNLKEAIFKKLGVPVHVQKLVFEGKTMIDSQELASYGVRKDSNIQLSAKFFMVI >itb05g12620.t1 pep chromosome:ASM357664v1:5:19258332:19260936:-1 gene:itb05g12620 transcript:itb05g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQFAKPRSGPFEEKDGVKLPIYKGDVINGDSFDEKSRTAADPQRMIRAYMQSAATLNLLRSFATGGYAAMQRVSGWNLDFVEHSKQGDRYEELAHRVDEALGFMSAAGLTPDHPVMASTDFWTSHECLLLPYEQTLTRLDSTSGQYYDCSAHMVWVGERTRQLDGAHVEFLRGVANPLGIKVSQKMDPNELVNLIEILNPNNRPGRNRRITVIARMGAENMRVKLPLLINATRRAGQIVTWVCDPMHGNTIKAPCGLKTRPFDAILAEVRAFFDVHDQEGSHAGGIHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHWDPRLNASQSLELSFIVAERLRKKRMLSQGL >itb04g23440.t3 pep chromosome:ASM357664v1:4:28490880:28492697:1 gene:itb04g23440 transcript:itb04g23440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMKFSWFVSAAMVMVVLFPSLQSFPPAEAIRSSNLDSYLRFQGQIASSGALDRFSFRKAPPFRNAGGCGSVLGESHVCDPSLVHVAITLDMEYLRGSIAAVHSILQHSRCPESVFFHFLVSETSLESLVRSTFPEMKFKVYYFDPERVRSLISTSVRQALEEPLNYARNYLADMLEPCVRRVIYLDSDLVVVDDISKLWTTNLGDKTIGAPEYCHANFTKYFTSNFWSDRRLYATFAARKPCYFNTGVMVIDLAKWRRLGYTKHIERWMEIQKTNRIYELGSLPPFLLVFAGEVAPIEHRWNQHGLGGDNVRGSCRNLHPGPVSLLHWSGSGKPWLRLDSRKPCPLDSLWAPYDLHGHST >itb04g23440.t2 pep chromosome:ASM357664v1:4:28490874:28492865:1 gene:itb04g23440 transcript:itb04g23440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMKFSWFVSAAMVMVVLFPSLQSFPPAEAIRSSNLDSYLRFQGQIASSGALDRFSFRKAPPFRNAGGCGSVLGESHVCDPSLVHVAITLDMEYLRGSIAAVHSILQHSRCPESVFFHFLVSETSLESLVRSTFPEMKFKVYYFDPERVRSLISTSVRQALEEPLNYARNYLADMLEPCVRRVIYLDSDLVVVDDISKLWTTNLGDKTIGAPEYCHANFTKYFTSNFWSDRRLYATFAARKPCYFNTGVMVIDLAKWRRLGYTKHIERWMEIQKTNRIYELGSLPPFLLVFAGEVAPIEHRWNQHGLGGDNVRGSCRNLHPGPVSLLHWSGSGKPWLRLDSRKPCPLDSLWAPYDLHGHST >itb04g23440.t1 pep chromosome:ASM357664v1:4:28490874:28492865:1 gene:itb04g23440 transcript:itb04g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMKFSWFVSAAMVMVVLFPSLQSFPPAEAIRSSNLDSYLRFQGQIASSGALDRFSFRKAPPFRNAGGCGSVLGESHVCDPSLVHVAITLDMEYLRGSIAAVHSILQHSRCPESVFFHFLVSETSLESLVRSTFPEMKFKVYYFDPERVRSLISTSVRQALEEPLNYARNYLADMLEPCVRRVIYLDSDLVVVDDISKLWTTNLGDKTIGAPEYCHANFTKYFTSNFWSDRRLYATFAARKPCYFNTGVMVIDLAKWRRLGYTKHIERWMEIQKTNRIYELGSLPPFLLVFAGEVAPIEHRWNQHGLGGDNVRGSCRNLHPGPVSLLHWSGSGKPWLRLDSRKPCPLDSLWAPYDLHGHST >itb04g23440.t4 pep chromosome:ASM357664v1:4:28490880:28492697:1 gene:itb04g23440 transcript:itb04g23440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMKFSWFVSAAMVMVVLFPSLQSFPPAEAIRSSNLDSYLRFQGQIASSGALDRFSFRKAPPFRNAGGCGSVLGESHVCDPSLVHVAITLDMEYLRGSIAAVHSILQHSRCPESVFFHFLVSETSLESLVRSTFPEMKFKVYYFDPERVRSLISTSVRQALEEPLNYARNYLADMLEPCVRRVIYLDSDLVVVDDISKLWTTNLGDKTIGAPEYCHANFTKYFTSNFWSDRRLYATFAARKPCYFNTGVMVIDLAKWRRLGYTKHIERWMEIQKTNRIYELGSLPPFLLVFAGEVAPIEHRWNQHGLGGDNVRGSCRNLHPGPVSLLHWSGSGKPWLRLDSRKPCPLDSLWAPYDLHGHST >itb02g25140.t1 pep chromosome:ASM357664v1:2:25855844:25859463:-1 gene:itb02g25140 transcript:itb02g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATTASILSGTRSASTSAETPSASNRYTTSFRGTSLRRYDSIRRRLIKSNGRINAAATVATASVEQVKEYALPTWAQFDLGRAPVYWKTMNGLLPSSGERLRIFYNPAANKLTPNEEFGIAFNGGFNQPIMCGGEPRVMLQKTRGQADPPLYTIQICIPKHAHSLIFSFTNGTEWDGPYRLQFQVPKPWRNKPIEFFTEGLAEELSKDGACDRAIFPDANTVITTCAVVGNLTIEGGDRCNLNLVPGCTDPSSPLYDPLANVDDGSCPPYSDSED >itb06g11200.t1 pep chromosome:ASM357664v1:6:15763570:15764145:1 gene:itb06g11200 transcript:itb06g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTIILFFLLLSICALPLTISAQSPFIRLPTEPGSPVIDSLGNPVVGGAKYYARVISFGVEGGISAANYSNPASCPTDVFLNLSKIVFPPQPPATLRPIIFHPPNDPTGVVYESDPIYVQFDPVDFCPTMWKLDDQKNIVTLTYPQPTTFQIRVKDSPKSYIFVGIGIVDELNRLGITDTLIYGFQFVRV >itb09g16970.t1 pep chromosome:ASM357664v1:9:12134561:12144364:-1 gene:itb09g16970 transcript:itb09g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKVVNRSASASFAPEGPYLAAGTMAGAVDLSFSSSANLDIFKLDFGSDDQQLILAGSVTSSERFNRLSWGKALPNSEQFSLGLIAGGLVDGHIGLWNPRPLISSGANQTGTEATENAFVGHLSKHSGPVHGLEFNAFTPNLLASGADEGEICIWDISRPAEPTHFPPLKGSGSSTQVEISYLSWNSKVQHVLASTSLNGTTVVWDLRKQKPVISFSDSVRRRCSVLQWHPDFATQLIVASDEDSSPALRMWDMRNVMSPVKEFVGHTKGVIAMSWCPIDSSYLLTCAKDNRTICWDVVSGEIVSELPEGTNWNFDVHWYSKCPGVILASSFDGKMGIYNIEGCGRCRAEESASGAASLRAPKWYKRKSGVSFGFGGKLVSFHSTNANDKPSEVCMQNVDTEHGLASRSSDFEAAIQNGQRSSLRILCEKKSQESESGDERETWGFLKVMFEDDGTARTKLLSHLGFSVPVEKEDTTQNEISEQASALGIGESLAGGKEGDNVKKDTMMQLIDNGEDFFNNLPSPKTDTPVSTSASNFATNEAAMGWEEAPLETNGADESDASFDDAVQRVLVVGNYKAAVELCISANKMADALVIAHVGGTSLWESTRDQYLKTSRSSYLKVVFAMVNNDLKSLVNTRPLKSWKETLALFCTFAQQDEWTLLCDTLASRLFAAGETLPATLCYICAGNIDKTIEIWSRTLAEKHDGKSYIDLLQDLMEKTIVFALATGQKRFSATLCKLVEKYAEILASQGLLTTAMEYLKLLGTEELSHELMILRERIALSIEPDKGTVKSMEFDRSQMQTWPAHSPEQTSYGMLDASQQYYPEPQPQLTTSGPSSQYADSYQPSLGPSYKGYVPPAPYQQAPPQTIQQPNIFVPTPAPHIPQGSVAPPPIPAQSTVKPFIPTNPPALKHVEQYQQPTLGSQLYPGSAHPSYPPGPPGPGTYGFNPSPVQTLGQKMPQVVAPSPSARGFTPVTGPAGVQRPSMSPVQPASPTQATVIQPPVAAAAPPPTVQTVDTSNVPGEQKAVVTTLTRLFNETSEALGGQRANPAKKREIEDNSKKLGALFAKLNSGDISKNAAEKLVQLCRALDNGDFGTALQIQVLLTTSDWDECNFWLATLKRMIKMRQSFR >itb02g08500.t1 pep chromosome:ASM357664v1:2:5337060:5341854:-1 gene:itb02g08500 transcript:itb02g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL40 [Source:Projected from Arabidopsis thaliana (AT2G31110) UniProtKB/TrEMBL;Acc:A0A178VPV0] MGLLLRPLFFSLFLLFSSLQTNTAEDSNSTISARKITAGGGGCNIFRGKWVYDASYPLYDFSTCPFLDDEFNCQKYRRPDTQYLKYRWQPFSCNLPRFNGLAFLEKFRGKKIMFVGDSLSLNMWESLGCLIHSWVPKARTSMIKEDGLGEIVFLDYDVRLLLYRTQYLVDMVKENGAIVLKLDSIQNGNAWRGMDVLVFNSWHWWTHTKSSQPWDYMQEGGKSFKDMNRLIAYYKGMTTWARWVNLNVDPSKTKVYFQGISPVHYEGREWGEPSKSCKGESQPFFGVRYPGGTPAAAVVMNKVLSRMKKPVSLLDITTLSQYRKDGHPTYYSDHNTMDCSHWCLPGLPDTWNLLLYTSLFN >itb03g08820.t1 pep chromosome:ASM357664v1:3:6637820:6645057:-1 gene:itb03g08820 transcript:itb03g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYSAPPHVDASRPSLGFPLGTALLLIVIFTLSGVFSCCYHWDKLRSLRRSFADASPADGDDGPRKPVLAQPDLKQNQSLTLPVVMPGEHVPRFLALPCPCQPPRAERIVVEVQKPPPPPPKPLRGAEAVPFY >itb03g08820.t2 pep chromosome:ASM357664v1:3:6637820:6645057:-1 gene:itb03g08820 transcript:itb03g08820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYSAPPHVDASRPSLGFPLGTALLLIVIFTLSGVFSCCYHWDKLRSLRRSFADASPADGDDGPRKPVLAQPDLKQNQSLTLPVVMPGEHVPRFLALPCPCQPPRAERIVVEVQKPPPPPPKPLRGAEAVPFY >itb06g11020.t2 pep chromosome:ASM357664v1:6:15484833:15489250:-1 gene:itb06g11020 transcript:itb06g11020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSEKFSATLRIGDLNDYIAPSQGCVVSLKANSNRLEKSEGFGKVGRAAKPSVQNEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINKGKAVVISVSPQSRASLAVHFDISPLQVFRKLTTFFKSLGVKAIFDTSCSRDLTLIESCNEFIARYKQSQSTDGEGSKLQLPMISSACPGWICYAEKTLGSYILPFISSVKSPQQTIGAVIKNYICEKLHIRPEEIYHVTVMPCYDKKLEAARDDFVFNVDGDGEKITEVDSVLTTGEVLELIQSKAIDFKALEEFPLDKLLTNIDEEGHLYGVPGSSGGYAETVFRHAAKILFGKEVENPLNFKIIRNSDFQEVSLEVDGKTVLKFAQCYGFRNLQNVVRKVKMGKCDYHFLEIMACPSGLFIFLDNSCAFEHLYTGVILRYRIIMSQLVNRLLKWGWSNKTKTWTIC >itb06g11020.t1 pep chromosome:ASM357664v1:6:15484833:15489250:-1 gene:itb06g11020 transcript:itb06g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSEKFSATLRIGDLNDYIAPSQGCVVSLKANSNRLEKSEGFGKVGRAAKPSVQNEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINKGKAVVISVSPQSRASLAVHFDISPLQVFRKLTTFFKSLGVKAIFDTSCSRDLTLIESCNEFIARYKQSQSTDGEGSKLQLPMISSACPGWICYAEKTLGSYILPFISSVKSPQQTIGAVIKNYICEKLHIRPEEIYHVTVMPCYDKKLEAARDDFVFNVDGDGEKITEVDSVLTTGEVLELIQSKAIDFKALEEFPLDKLLTNIDEEGHLYGVPGSSGGYAETVFRHAAKILFGKEVENPLNFKIIRNSDFQEVSLEVDGKTVLKFAQCYGFRNLQNVVRKVKMGKCDYHFLEIMACPSGCLNGGGQIKPRPGQSAKDLIQLLEKSYTENVLVANPLENPIIKGLYDEWLEHPGSEKAKRHIHTEYHPVVKSITSQLQNW >itb13g23210.t1 pep chromosome:ASM357664v1:13:29295187:29299512:-1 gene:itb13g23210 transcript:itb13g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAVQSRSRDLDKLLLRPGHLVGPAFEPGEELRDDMKEVARLLVVGAGGLGCELLKDLALSGFQNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIIPHFCRIEDKPLSFYSDFHIIVLGLDSVEARSYINAVACGFLEYDSDDNPIEETIKPMVDGGTEGFKGHARIIKPGVTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEAHSGKSFDADNPEHMQWVYSEAIKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKLVSGCSKTLSNYLTYNGVEGLHTKVTEFEKDTECLVCGPGVLIELEPSVTLKKFIDMLEDHPKLLLTRASVTYRGKNLYMQAPQVLEEMTRSNLDLPLLDLMDKTPRDIIHVNGMAGKGDKKQSCLRKLRVVFKGIDGVTDMDMAGGA >itb04g14030.t1 pep chromosome:ASM357664v1:4:14269612:14272776:-1 gene:itb04g14030 transcript:itb04g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNLTNVTVLDNPGPFLNPFQFEISYECLTSLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGKYRFLLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPQKVLIDRVQRNILADKPRVTKFPINFQPENTENGEQSLLPEHATEIDAHAGETVSSPDHRSDVRSP >itb12g04110.t2 pep chromosome:ASM357664v1:12:2685845:2690509:1 gene:itb12g04110 transcript:itb12g04110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSVMIRRFFCFSAASSSYSTTTCAVKSISKKNRVVFLGSPQVSIPVLDALFDASAVPDSSFEVTAIVTQPPSGRDRGRKIMPSPVAQHALERGFASDLIFTPVKAGEEPFLSNLKSLEPELCVTAAYGNILPTKFLNIPSKGTVNVHPSLLPLYRGAAPVQRALQDGVKETGVSLAYTVRQLDAGPVIACEKVEIDDKIKAPELLELLFALGSKLLIQELPSIFDGSARVKAHAQDDSMATLAPKISPDESWLSFDEEAIVLHNKVRAFAGWPGTRARLAVIDSKSNQNSIVELKIITTRVYNSRIAQDGEADDLFFSKGSLIVPCGGGTALEVLEVQLPGKKAVNATAFWNGLRGQRLKKVQLQSSSCT >itb12g04110.t1 pep chromosome:ASM357664v1:12:2685845:2690509:1 gene:itb12g04110 transcript:itb12g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSVMIRRFFCFSAASSSYSTTTCAVKSISKKNRVVFLGSPQVSIPVLDALFDASAVPDSSFEVTAIVTQPPSGRDRGRKIMPSPVAQHALERGFASDLIFTPVKAGEEPFLSNLKSLEPELCVTAAYGNILPTKFLNIPSKGTVNVHPSLLPLYRGAAPVQRALQDGVKETGVSLAYTVRQLDAGPVIACEKVEIDDKIKAPELLELLFALGSKLLIQELPSIFDGSARVKAHAQDDSMATLAPKISPDESWLSFDEEAIVLHNKGGPELEPDLRSLIRKVIRIAL >itb12g04110.t3 pep chromosome:ASM357664v1:12:2685845:2690509:1 gene:itb12g04110 transcript:itb12g04110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSVMIRRFFCFSAASSSYSTTTCAVKSISKKNRVVFLGSPQVSIPVLDALFDASAVPDSSFEVTAIVTQPPSGRDRGRKIMPSPVAQHALERGFASDLIFTPVKAGEEPFLSNLKSLEPELCVTAAYGNILPTKFLNIPSKGTVNVHPSLLPLYRGAAPVQRALQDGVKETGVSLAYTVRQLDAGPVIACEKVEIDDKIKAPELLELLFALGSKLLIQELPSIFDGSARVKAHAQDDSMATLAPKISPDESWLSFDEEAIVLHNKVCS >itb12g27040.t1 pep chromosome:ASM357664v1:12:27646256:27649405:-1 gene:itb12g27040 transcript:itb12g27040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALILKPFSLFFFIFHCFFLSFTLHSAIIQNKMGSTGNDSPMTNRVESDEDASLFAMQLASASVLPMVLKSAIELDLLELIAKAGPGAAVSPSELAAQLPTTNPDAPVMLDRILRLLCTYSVLNCSLRTLPDGRVDRLYSSTPVCKYLTKNADGVSMAPLLLMNQDKVLMESWYHLKDAVLEGGIPFNKAYGMTAFEYHGTNPRFNKVFNRGMSDHSTITMKKILEDYKGFEGLKSLVDVGGGTGATLNMILSKYPNIKGINFDLPHVIEDAPKYPGIEHVGGDMFVSVPKGDAIFMKWICHDWSDAHCLKFLKNCYDALPENGKVIVAECNLPEAPDTSLATKNVVHIDVIMLAHNPGGKERTQKEFEALAMGSGFRHFRKACCAVNTWIMEFCK >itb08g00980.t1 pep chromosome:ASM357664v1:8:708476:709346:1 gene:itb08g00980 transcript:itb08g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MESKLPPPSPSPSPPRLLRRLIDFDTQLSLRLYTLTHPILPHSILKTLEISGDGRLFFPVIISILLATSAAAAFLVDLLLGAVLDLAVIGILKHLIKRSRPVYNKNMFLSFAVDHWSFPSGHSSRVFFIATMFYLSFDLVQGFLLDLRYDIFADHFVYIIGAWAMVTSVSRILLGRHFVLDVVAGACLGVLEGLFSFRFFNYEKLSSFFK >itb09g23800.t1 pep chromosome:ASM357664v1:9:23343579:23344501:-1 gene:itb09g23800 transcript:itb09g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKNLSGVGWEDSLKMITCDSATYMELISATPKYETILNRKIEYYDEMSIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKTSVSTATSSKKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb05g11530.t2 pep chromosome:ASM357664v1:5:17595076:17598011:1 gene:itb05g11530 transcript:itb05g11530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIVYTSPCLCWKMCASERRRFRARASACSREGTNSDEESENKCGMVNRRFAMISGASMVLGFSGEGLAVVKQGLLAGRVPGLSEPDEQGWRTYRRPDDKSGGHGVGWSPIIPYSFAVPQEWDEVPVSIADLGGTEIDLRFASPKEGRLFVIVAPVKRFSDTLGEDITIEEIGPPEKVINAFGPEVIGENVEGKVLSSEVTENSGRKYYHFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRHYSDLNRIARSFRVV >itb05g11530.t1 pep chromosome:ASM357664v1:5:17594279:17598011:1 gene:itb05g11530 transcript:itb05g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIVYTSPCLCWKMCASERRRFRARASACSREGTNSDEESENKCGMVNRRFAMISGASMVLGFSGEGLAVVKQGLLAGRVPGLSEPDEQGWRTYRRPDDKSGGHGVGWSPIIPYSFAVPQEWDEVPVSIADLGGTEIDLRFASPKEGRLFVIVAPVKRFSDTLGEDITIEEIGPPEKVINAFGPEVIGENVEGKVLSSEVTENSGRKYYHFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRHYSDLNRIARSFRVV >itb06g23230.t2 pep chromosome:ASM357664v1:6:25281566:25286107:-1 gene:itb06g23230 transcript:itb06g23230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MEVGSTRSWDELFPDALGLIFKNLPLQELLTVVPTVCKSWGKAVMGPYCWQEIDIEEWSNRSEPGTVERMLRMLITRSSGSIHKLCVSGLQNELVFSLIAENARSLRILRLPRSNMSDSIVEKIAGSLSMITFLDLSYCYKIGARSLEAIGEHCKQLEGFRRNMHPLITEDKLSQDDEAHAIATTMPNLKHLEAAYLHINTIGALEIIRSCPNLKYLDLRGCWQVKLDEEYLKDKFPNLTVLGPYVVDQYERNNDDYEDWSDFSDSLYDYESSDDDDNRFGELEFRFYQQSDEDATYGWPQSP >itb06g23230.t4 pep chromosome:ASM357664v1:6:25281566:25283804:-1 gene:itb06g23230 transcript:itb06g23230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MEVGSTRSWDELFPDALGLIFKNLPLQELLTVVPTVCKSWGKAVMGPYCWQEIDIEEWSNRSEPGTVERMLRMLITRSSGSIHKLCVSGLQNELVFSLIAENARSLRILRLPRSNMSDSIVEKIAGSLSMITFLDLSYCYKIGARSLEAIGEHCKQLEGFRRNMHPLITEDKLSQDDEAHAIATTMPNLKHLEAAYLHINTIGALEIIRSCPNLKYLDLRGCWQVKLDEEYLKDKFPNLTVLGPYVVDQYERNNDDYEDWSDFSDSLYDYESSDDDDNRFGELEFRFYQQSDEDATYGWPQSP >itb06g23230.t3 pep chromosome:ASM357664v1:6:25281566:25285445:-1 gene:itb06g23230 transcript:itb06g23230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MEVGSTRSWDELFPDALGLIFKNLPLQELLTVVPTVCKSWGKAVMGPYCWQEIDIEEWSNRSEPGTVERMLRMLITRSSGSIHKLCVSGLQNELVFSLIAENARSLRILRLPRSNMSDSIVEKIAGSLSMITFLDLSYCYKIGARSLEAIGEHCKQLEGFRRNMHPLITEDKLSQDDEAHAIATTMPNLKHLEAAYLHINTIGALEIIRSCPNLKYLDLRGCWQVKLDEEYLKDKFPNLTVLGPYVVDQYERNNDDYEDWSDFSDSLYDYESSDDDDNRFGELEFRFYQQSDEDATYGWPQSP >itb06g23230.t1 pep chromosome:ASM357664v1:6:25281566:25286107:-1 gene:itb06g23230 transcript:itb06g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MEVGSTRSWDELFPDALGLIFKNLPLQELLTVVPTVCKSWGKAVMGPYCWQEIDIEEWSNRSEPGTVERMLRMLITRSSGSIHKLCVSGLQNELVFSLIAENARSLRILRLPRSNMSDSIVEKIAGSLSMITFLDLSYCYKIGARSLEAIGEHCKQLEGFRRNMHPLITEDKLSQDDEAHAIATTMPNLKHLEAAYLHINTIGALEIIRSCPNLKYLDLRGCWQVKLDEEYLKDKFPNLTVLGPYVVDQYERNNDDYEDWSDFSDSLYDYESSDDDDNRFGELEFRFYQQSDEDATYGWPQSP >itb02g11600.t1 pep chromosome:ASM357664v1:2:7741409:7747264:1 gene:itb02g11600 transcript:itb02g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLKNSPSRRDGIDEATETTLQIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFNVKRVAASCVWLASKLEECPRKARQVLIVFHRMECRRENLPIEHLDTSSKKYVDLKADLIRTERHLLKEMGFICHVEHPHKFISNYIATLGMPDELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFHIPLPENPPWWKAFDADKAGIDEVCRVLAQLYNLPKAQYMSVCKESGSFSTSNRLWDSPSQPIPKEVASNGPSVNDDTGTPKGASATMSQESGKDAVTRAPLEKAKESKSDEESKSVPSEGEAKEEPGSKSITVHKAEAGGEKGKDQDKDRDRDKDRGRERKKERSKSWDRDRGRESDREREREDIERERDRAKGRGYHSRDKGHLERSKRHSSRDRDYHSSSYSSREKDRRRHH >itb05g03590.t2 pep chromosome:ASM357664v1:5:3069653:3074596:-1 gene:itb05g03590 transcript:itb05g03590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHSDTVHDVSMDYYGKRVATASSDASIKIIGVSNNSTSQHLATLAGHHGPVWQVSWAHPKFGSLLASCSFDGKVIVWKEGNQNEWTQAQVFTDHKSSVNSISWAPHELGLCLACGSSDGNISVYTARSDGGWDTTRIDQAHPIGVTAVSWAPSMAPGALVGSGLHDPVQKLASAGCDNTVKVWKLYNGVWKMDCFPALQMHTNWVRDVAWAPNLGLPKSTIASASEDGKVVIWTVAKEGDQWEGKVLHDFKTPVWRVSWSLTGNLLAVASGDNNVTLWNEAVDGEWQQVTTVDQ >itb05g03590.t1 pep chromosome:ASM357664v1:5:3069653:3074596:-1 gene:itb05g03590 transcript:itb05g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHSDTVHDVSMDYYGKRVATASSDASIKIIGVSNNSTSQHLATLAGHHGPVWQVSWAHPKFGSLLASCSFDGKVIVWKEGNQNEWTQAQVFTDHKSSVNSISWAPHELGLCLACGSSDGNISVYTARSDGGWDTTRIDQAHPIGVTAVSWAPSMAPGALVGSGLHDPVQKLASAGCDNTVKVWKLYNGVWKMDCFPALQMHTNWVRDVAWAPNLGLPKSTIASASEDGKVVIWTVAKEGDQWEGKVLHDFKTPVWRVSWSLTGNLLAVASGDNNVTLWNEAVDGEWQQVTTVDQ >itb01g32810.t1 pep chromosome:ASM357664v1:1:36191878:36194499:-1 gene:itb01g32810 transcript:itb01g32810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYEPSMGRREVGGQVLDLDTAVKDGILGGGVVSGVGITEKKISLNKMIEELDSIEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWLSLGRLICPTTMQELWDDSIIPNSTLHHLIYSWFSQKYLALKKRSGDVQGRVLEILETLKKVKGQAKVQALKELRHVVTAHDSARRTVVSNGGVTLISSLLGGFTTHAVASEVVGILVHLDLSPDAKANLTQPTKISLVVDTLNEGSVDTKINCTKLLDMLMEEKGSESQMVASLSLLVGLLRLVKDKRHPVVVLAGLRLLMIMSSYESVRGSIVSVGGIPQLVDALPNLNAECLNLALHILEVLSTLEEGALALKDCPRTIPNVVKLLMKVSENCTQLALSILWAVCKLAPEKCASLAVEAGLAAKLLLVIQSDCNPELKQRSAELLKLCSLNYTATIFISKCKLTRTIE >itb15g08680.t1 pep chromosome:ASM357664v1:15:6103631:6106841:-1 gene:itb15g08680 transcript:itb15g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSNQIKAVATLFVGSGVNSRNVSGTGTECSTSNRRLSSASAPPSNRSVGEILQSPNLKNFSLSELKAATRNFRPDSVVGEGGFGLVFKGWVDEHTLAAAKPGSGIVIAVKKLNQEGWQGHKEWLTEINYLGLLQHPNLVKLIGFCLEDEHRLLVYEFMSKGSTENHLFRRGSFYQPLSWGLRMKVALGAARGLAFLHNAEPQVIYRDFKTSNILLDSTYTAKLSDFGFAREGPTDDKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPTGEHSLVEWARPYLSSKRRIFRVLDARIEGQYSLGKAMKVANLALQCLSGEPKLRPDMDEVVKALEQLQDAKDPATAEENKAELTQHGHLKGQSKSCRGSTQAPAPITEYPRPSSSPLYT >itb12g04170.t1 pep chromosome:ASM357664v1:12:2718788:2719658:1 gene:itb12g04170 transcript:itb12g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKRGNKKTCFVVMLFLVIFPLLAMAASADNAAAPQPPDLSVKCGECPCSNLCSQQLPPPPPPPPPPKCATTPPRPPATPPPPRFVYFSGLSPPPPPPPVRGWNYYYPTDNPFDLKIYSAALRRRSNVFVSGVMFLVVIGCGVLLF >itb13g17800.t1 pep chromosome:ASM357664v1:13:24730656:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRVILVAGFLLFALAVLYVVSKRIGLLKLQRKVMEAVKSGMAGQVEIVPRAGGDGGANIVQIEQNAVPTLNVPLEQHMHDEL >itb13g17800.t7 pep chromosome:ASM357664v1:13:24730599:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRHK >itb13g17800.t6 pep chromosome:ASM357664v1:13:24730592:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFGIYLSLIHRISFFR >itb13g17800.t2 pep chromosome:ASM357664v1:13:24730592:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRVILVAGFLLFALAVLYVVSKRIGLLKLQRKVMEAVKSGMAGQVEIVPRAGGDGGANIVQIEQNAVPTLNVPLEQHMHDEL >itb13g17800.t4 pep chromosome:ASM357664v1:13:24730592:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFGIYLSLIHRISFFR >itb13g17800.t3 pep chromosome:ASM357664v1:13:24730592:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRVILVAGFLLFALAVLYVVSKRIGLLKLQRKVMEAVKSGMAGQVEIVPRAGGDGGANIVQIEQNAVPTLNVPLEQHMHDEL >itb13g17800.t5 pep chromosome:ASM357664v1:13:24730592:24737882:-1 gene:itb13g17800 transcript:itb13g17800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHATKHMLGENDNVTCVLTIAVLCLSHSSHPQDLTRHCFSRLTTLVPAYRGPPTGDRRPPYRSPATPLSATADIASCLLSLLRKWLNLTQIPMDEVAEEVEKVKKEFEETSKKADDHINSIQEYGKTGVGLSSATAEEKSSLPRLNGVAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLSLRNANLQAKANMRKAAQEERELLLGGGGESTIRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSASTLMTFGIYLSLIHRISFFR >itb08g00170.t1 pep chromosome:ASM357664v1:8:165714:171096:-1 gene:itb08g00170 transcript:itb08g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRFAQIDTSDEDDELVLSRLSRSSHNAEEKRKRKRMKLGEEDEEEEEKQNQDKRKETEDSEDDVEKEKKSSRDKKVKSKAVEESEPEEEVEEEAQEDAKPIGDVIRVSGKGRGRRNHYKSFEYDGLSYDLEDPVLLVPEVQNQKPYVAIIKDISQTSKGNMMVTGQWFYRPEEAEKRTGGNWQSRDTRELFYSFHRDEVPAESVMHKCVVHFIPLNKQIPNRKQHPGFIVQKVYDTEQRKLFKLTDKDYEDSKQHEIDLLVQKTMSRIGDLPDIEPEDLSTVHDDQLLKSKRLLRKKSMHLLDVSREEEAPTRSGQSLKAETPGSCASNGSEYFTILKNFDVLTGADHRNKCLEKLLQAIQFMCNPWDGGQGDAKEKCISDGSDPDKTNSSKHVNGSQDNCSNGEANFHWPGSAVPAVVSLERAVHDALSSDFQKYNQKMRQLLFNLKNNARLARRLLNGELEASQISNMTPNELKEGLTSDELASRQPEEQEPVQMTDARCRRCSERQVRLIDIIQAGHGDRYQLECGACGNTWYASRDEAATLTIGPSTTMSVGTEPLATAKFEDIEKQLVSPRSNDRGASDVLKKSTEVYMPILDKQRSFNKTKSEEGAAANSAE >itb12g03180.t1 pep chromosome:ASM357664v1:12:2108727:2109650:-1 gene:itb12g03180 transcript:itb12g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKTVLKVDISCEKCKKKLLKAVSGVEGIDKIEIDEAKGSLSVTGSCDPYEMIVKVKKTGKFKEVVSIGPPPAPPKQDAQKKPEEKKADGKKAEEKKVEKIHPFPDYIYFPPPPHYYPPLAHVTPPQDSTPLCNIL >itb09g07110.t1 pep chromosome:ASM357664v1:9:4126754:4130952:1 gene:itb09g07110 transcript:itb09g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEHKFIEVNGLKIHVAEIGSGSGPVVLFLHGFPEIWYSWRFQMLAVAKAGYRAISLDYRGFGLSDQPPQPEKTTVLDLVNDIAAILDTLSIPKVFLVGKDFGSAVLTLLYLLHEERVAGCVTLDVPFIIARAATFTEKLPEGFYISRWREPGRAEADFGRLDAKTVLKNIYILFSRSEIPIANEKQEIMDIVEPSTPLPPWFTEEDLAAYGALYEKSGFQTALEVTYRSHGDDRIKLPADPRIKVPALFIGGEKDYVLKFPGMEEYISSGMLKAFVPNVEITYLPEGSHFLQEQLPEEMVAVAKAGYRAISVDYRGYGLSDQPPQPEKTSFLDLVNDIAALLDTLSIPKVFLVGKDFGSAVLTLLCLLHEERVAGCVTLDAPFMIARASTHTEKLPEGFYVSRWREPGRAEADFGRLDAKTVVKNIYILFSRSEIPIANEKQEIMDMVELSTPLPPWFTEEDLAAYGALYEKSGFRTALKVPYRSLGDEHTELPADPRIKVPALFIGGKKDYVLKFPGMEEYISSGMLKAFVPNVEITYLAEGSHFLQEQLPEEVNKLILKFLDAHRC >itb01g09270.t1 pep chromosome:ASM357664v1:1:7485491:7490257:-1 gene:itb01g09270 transcript:itb01g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNQIRISENGLGYENGLDKQLHAVGEDVDQSDGMLNGSSTIEEVEGNFQNAINLNDDMAIDSSVQEVIHESTVPLESNSCAGSKESEVKTSGESKSGKLQKAPGKPKSVKLSNPKHAMVAGSKKGKDGKDISSSSVISNGTSASRAKQPSAPIEKSKSFNERKTGECNSKREPSVVKVNHDKEHSGRPDTTSSSVAQREVHKEKPLLKPLKKGPPKKSEATGQSSLSPTAADTKSHREGTLPSYGFSFKCDERAEKRKEFYTKLEEKIHAKEMEETNLQAQTKESQEAEIKMLRKSLKFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKTSSPNRDGKNDNALQTSRLSLDEKPSQDNLARRRSLINVKKPQRKSLPKLPSEKTYISTETKKASPIKTSISEDDSQPNNVAKVTGEAAFQQNNTYEQTNEAEDVQAAVAFVKSSETEPKENDKFVIEAQADITVEH >itb01g09270.t2 pep chromosome:ASM357664v1:1:7485491:7490257:-1 gene:itb01g09270 transcript:itb01g09270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNQIRISENGLGYENGLDKQLHAVGEDVDQSDGMLNGSSTIEEVEGNFQNAINLNDDMAIDSSVQEVIHESTVPLESNSCAGSKESEVKTSGESKSGKLQKAPGKPKSVKLSNPKHAMVAGSKKGKDGKDISSSSVISNGTSASRAKQPSAPIEKSKSFNERKTGECNSKREPSVVKVNHDKEHSGRPDTTSSSVAQREVHKEKPLLKPLKKGPPKKSEATGQSSLSPTAADTKSHREGTLPSYGFSFKCDERAEKRKEFYTKLEEKIHAKEMEETNLQAQTKESQEAEIKMLRKSLKFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKTSSPNRDGKNDNALQTSRLSLDEKPSQDNLARRRSLINVKKPQRKSLPKLPSEKTYISTETKKASPIKTSISEDDSQPNNVAKVTGEAAFQQNNTYEQTNEAEDVQAAVAFVKSSETEPKENDKFVIEAQADITVEH >itb01g09270.t3 pep chromosome:ASM357664v1:1:7485491:7490257:-1 gene:itb01g09270 transcript:itb01g09270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNQIRISENGLGYENGLDKQLHAVGEDVDQSDGMLNGSSTIEEVEGNFQNAINLNDDMAIDSSVQEVIHESTVPLESNSCAGSKESEVKTSGESKSGKLQKAPGKPKSVKLSNPKHAMVAGSKKGKDGKDISSSSVISNGTSASRAKQPSAPIEKSKSFNERKTGECNSKREPSVVKVNHDKEHSGRPDTTSSSVAQREVHKEKPLLKPLKKGPPKKSEATGQSSLSPTAADTKSHREGTLPSYGFSFKCDERAEKRKEFYTKLEEKIHAKEMEETNLQAQTKESQEAEIKMLRKSLKFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKTSSPNRDGKNDNALQTSRLSLDEKPSQDNLARRRSLINVKKPQRKSLPKLPSEKTYISTETKKASPIKTSISEDDSQPNNVAKVTGEAAFQQNNTYEQTNEAEDVQAAVAFVKSSETEPKENDKFVIEAQADITVEH >itb01g09270.t4 pep chromosome:ASM357664v1:1:7485491:7490257:-1 gene:itb01g09270 transcript:itb01g09270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNQIRISENGLGYENGLDKQLHAVGEDVDQSDGMLNGSSTIEEVEGNFQNAINLNDDMAIDSSVQEVIHESTVPLESNSCAGSKESEVKTSGESKSGKLQKAPGKPKSVKLSNPKHAMVAGSKKGKDGKDISSSSVISNGTSASRAKQPSAPIEKSKSFNERKTGECNSKREPSVVKVNHDKEHSGRPDTTSSSVAQREVHKEKPLLKPLKKGPPKKSEATGQSSLSPTAADTKSHREGTLPSYGFSFKCDERAEKRKEFYTKLEEKIHAKEMEETNLQAQTKESQEAEIKMLRKSLKFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKTSSPNRDGKNDNALQTSRLSLDEKPSQDNLARRRSLINVKKPQRKSLPKLPSEKTYISTETKKASPIKTSISEDDSQPNNVAKVTGEAAFQQNNTYEQTNEAEDVQAAVAFVKSSETEPKENDKFVIEAQADITVEH >itb12g07600.t1 pep chromosome:ASM357664v1:12:5813008:5817827:-1 gene:itb12g07600 transcript:itb12g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASINGCLSSLSSATAKASSSSFRYPPARLPKLIRNHPVYAAPLTLREDMTKGNYGEAIEELRKVVSEKREYGGIAAAAINEITAELQSTSDAPSQQIKNGFVHFKTEKYEKNPALYGELAKGQSPKFMVFACADSRVCPSHVLDFQPGEAFVVRNIANMVPVYDKTKYSGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSFPDNGPTSTDFIEDWVKICLPAKHKVISEHGDAPFGDQCVYCEKEAVNVSLGNLLTYPFVREGLAKKTLALKAGYYDFIKGSFELWALDFGLSSPLAVKDVATILHWKLY >itb09g20410.t1 pep chromosome:ASM357664v1:9:17258543:17261923:-1 gene:itb09g20410 transcript:itb09g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEDVGGLQWRLSRVQKAYGDKPMSTPLDHSTHEAHANIISANLMPGTHTEVQRGTFPKSFFQLIFQQVFLMINKSNIILSALSMLLNNHRVLFLVKCLNILLNNHLSNNRILLLVKYLNIFLNNHLSNHLNSLLSGSLPDRNILQHI >itb03g19660.t1 pep chromosome:ASM357664v1:3:17672556:17673723:-1 gene:itb03g19660 transcript:itb03g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPKYESDIGSTATTPKSLSYYVQSPSRDSNDYADKSSSAQNTPTFDSPLESPSYSPSYGDSASSRVSGNLRWARGNRRRSEKGWRECKVIDEELDPDNPYGNREGYSIIEKLLIGIAGFSLIFAVLCFIIWGASRPYKTLVSLKALNVHSFYYGQGSDHTGVPTRLLTVNCSLSMATHNPATFFGIHVSSTAVKLFYSQLTVATGEVDEYYQPSKSYKELTVRLHGHKVPLYGTGTSLVDLDNKDGVPLRLDFEMRSHGCLVGKLVKTKHTRHVSCSLIIKSGMTKLVVLHKKSCTWN >itb02g25410.t1 pep chromosome:ASM357664v1:2:26159516:26160292:1 gene:itb02g25410 transcript:itb02g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHEQTYRAGETKGRAEEKTGQTIESMKDKAQTAKDKASGMAQTAKERAQQTAGSAGGKTQSAKDKASDTAQTAKEKASGTAQSAKDKASDTTQSAKEKASGAAQTAKEKAMGAAQATREKAADMTEAAKETAQAGKEKTGGFLQQTGEQVKSMAQGATDAVKHAFGMAETDEDNPNNTNTNTREI >itb06g20010.t1 pep chromosome:ASM357664v1:6:23133208:23135797:-1 gene:itb06g20010 transcript:itb06g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:Projected from Arabidopsis thaliana (AT1G47830) UniProtKB/TrEMBL;Acc:A0A178W398] MIRFILLQNRQGKTRLAKYYIPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTYKIIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKQE >itb10g05080.t1 pep chromosome:ASM357664v1:10:5077723:5078860:1 gene:itb10g05080 transcript:itb10g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNCGLELRLVPPSLSFPAKDCHHFQQHPKWEMNKMKNGSTTKEEQQQLTIFYNGKVVSCDVTELQAKAIILVASQQKLNTAAMMSSFSVPSPPSPVLQSQQVCSAYGLSMKRSLKLFLQKRKNRVHAASPYQSYRH >itb07g17530.t1 pep chromosome:ASM357664v1:7:21734305:21736575:-1 gene:itb07g17530 transcript:itb07g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPAASSYLSNSYSNSNSNSGWLFEETMSFTKWTPAENKAFENALALYDKDTPDRWKKVAEMVPGKTVSDVMRQYKELEDDISSIEAGLMDLIPFPANTTRSASASAFTLEWGCSHPFDAQKQCLVAGGKRPPSNRLPEQERKKGIPWTEEEHKLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKFFIRQHSGGKDKRRASIHDITTVNLNENQTPSPDNKNPPGSTDQQNSAMHHKMPFHWDHLGLNSAQANLFMSPPYGIDSSYGNGMNKMQSLGMQRAAAMHHESSYFGSQIMPFQIQSAAPQYYHA >itb11g20020.t1 pep chromosome:ASM357664v1:11:20964991:20970946:1 gene:itb11g20020 transcript:itb11g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDIIHKFLNLVAPPITFFSLLLFWPPFQLFKFFLSILGSVFAEDVAGKVVIITGASSGIGEHLAYEYAKRGACLALAARREASLEEVAKVAVELGAPDAIAIQADVSNVDDCKRIVDQTITRFGRLDHLVNNAGMMSVSFLEEAEDVTNFRSVMDINFWGSVYMTRFAAPYLRKNEGRIIAISSFAEWLPVPRMSVYNASKAAVFQFFETLRIEFGADIKITLVTPGFIESELTQGKHLDKDGQVDVNTDVWDAQMSIMPVEKVEGCAKAILDGACRGERYLTHPAWFKVTEWWKVFFPEVVEGAQRLLDISWPGSPAHETLGKKILEFTCAQKLIYPDTIQPETVAKAGPKAE >itb02g23480.t1 pep chromosome:ASM357664v1:2:23512209:23515486:1 gene:itb02g23480 transcript:itb02g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNHMAFKGLTYLFLLLLHMAMAFGDALSTYHHSLLTDKAALLAFRRAILVDPHSRLESWNEGNDVCNFTGVSCGRFHHRVMLINLNASDLVGLLSPFISNLTQLRVLQLADNHLFGIIPPEISFLQHLRDLRLDNNSLYGSIPASLSFLSKLLLISLRGNKFSGTIPHSVFTNCTLLYNVDFSDNLLTGNIPSEIGNCPELWTLNLYDNQLTGEIPYSLTNASDMINLDVENNHLSGELPSVIVSKLHKLWFLHLSNNAMVSHDLNTDLEPFFTALSNCSRLAELELSSMGLGGRLSSSLARVGATLETLQLQDNQIIGSIPHDFGRFSNLTLLNLTANLLNGTITTEISKMASLEQLYLSHNMLTGDIPEALGQLSHLGVLDLSNNRLSGSIPQSLGNSINSLFLNNNLLSGHIPKSLGKCKGLYRVDLSFNRLTGSIPPEISEMRELRIFLNLSHNQLNGSLPIELSKLENVQEIDLSSNRLSGSIFYQISNCIALTKLNLSANNLEGHLPESLGEMRNLEVFDVSGNNLSGTIPTSLNKVHTLTFLNLSSNDLDGVIPSGGIFDSATNLSFLGNQHLCGHIPGIPACQTKGGYRVFIISASVFLSTICCLIGCRLLKRMLSSSQQPEAEKKLPLESICNIPRIPYKELLSLHEYVKSEHYRQMEIVDSSLMRALKDQSPEVMKMWEVAIRELFEVGMLCTQDSPSTRPTMLDAADDLNRLKRYLTGDTTATFASSLGISSSTLSID >itb12g23490.t1 pep chromosome:ASM357664v1:12:25183569:25184303:1 gene:itb12g23490 transcript:itb12g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKWQGSVGGIVEAPMEEVWKMVSKSSKLCEWMPMVERCTDLAGEDGVPGHTRLVSGFMFPQENGERSWIKERLLFMDAESHSYSYRMEASNVGLDGSVNLVKVLEYDEGSTLVEWRFEMDPLEGSSEDTIMDFLGFLYKSCIHRIKGAIEVSQGKSKVVSQEIQDFD >itb10g02170.t1 pep chromosome:ASM357664v1:10:1791771:1792953:-1 gene:itb10g02170 transcript:itb10g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKNMDAAEESGWTDYLQDFSVGNQRDTNGGDYYDDDSFNGGASLLSDAASHPAWKHINKNPSSSPCPYSSLPGSQALNRLNFKKAVVRPNTKLSDPDLEDTASSPVNSPKVSSLKQMENNYRRQEINSGNYVKCREREEET >itb02g24570.t2 pep chromosome:ASM357664v1:2:25190439:25197904:1 gene:itb02g24570 transcript:itb02g24570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVNNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRFKAVEILIKDLKVFASFNEDLFKEITHLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSVPKPGGFPPLGAHGPFQPAPTPVPTPLAGWMSNPPTVSHPAVSGGPIGLSVSSIPAALKHPRTPPTNPSVDYPSGDSDHVSKRPRSLGISDEVNLPVNVLPVTFPGHGHSQAHSTLDDLPKNVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGEIALWEVGSRERLVTRNFKVWDLSACSMPLQTALVKDPGVSVNCITWSPDGSLFGVAYSRHIVQIYSYHGNDDVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATNGAKQYTFEGHEAPVYSVCPHHKENVQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQMLTSIDADGGLPASPRIRFNKDGTLLAVSANENGIKVLANTDGIRLLRTFENLAYDAAARASESASKPSVNPISVASASNAGFAERVASVVGTSVMNGDARNVGDVKPRIAEETNDKSKVWKLTEITEPSHCRALKLPENLRVTKISRLIYTNSGGALLALASNAIHLLWKWQRGERNSSGKATANMPPQLWQPSSGILMTNDVADKNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLFVWSSDGWEKQKSKFLQLPAGRASVQSDTRVQFHQDQIHFLVVHETQLAIYEATKLECVKQWVQREAAAPISHATFSCDSQLVYASFLDATVCVFTAANLCLRCRIAPSAYLSPSLSSGVHPLVVAAHPQDPNQFALGLSDGGVLVFEPLESEGKWGVPPPVENGSGSNLPLTTPVSDQPPR >itb02g24570.t3 pep chromosome:ASM357664v1:2:25189979:25197660:1 gene:itb02g24570 transcript:itb02g24570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVNNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRFKAVEILIKDLKVFASFNEDLFKEITHLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSVPKPGGFPPLGAHGPFQPAPTPVPTPLAGWMSNPPTVSHPAVSGGPIGLSVSSIPAALKHPRTPPTNPSVDYPSGDSDHVSKRPRSLGISDEVNLPVNVLPVTFPGHGHSQAHSTLDDLPKNVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGEIALWEVGSRERLVTRNFKVWDLSACSMPLQTALVKDPGVSVNCITWSPDGSLFGVAYSRHIVQIYSYHGNDDVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATNGAKQYTFEGHEAPVYSVCPHHKENVQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQMLTSIDADGGLPASPRIRFNKDGTLLAVSANENGIKVLANTDGIRLLRTFENLAYDAAARASESASKPSVNPISVASASNAGFAERVASVVGTSVMNGDARNVGDVKPRIAEETNDKSKVWKLTEITEPSHCRALKLPENLRVTKISRLIYTNSGGALLALASNAIHLLWKWQRGERNSSGKATANMPPQLWQPSSGILMTNDVADKNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLFVWSSDGWEKQKSKFLQLPAGRASVQSDTRVQFHQDQIHFLVVHETQLAIYEATKLECVKQWVQREAAAPISHATFSCDSQLVYASFLDATVCVFTAANLCLRCRIAPSAYLSPSLSSSGVHPLVVAAHPQDPNQFALGLSDGGVLVFEPLESEGKWGVPPPVENGSGSNLPLTTPVSDQPPR >itb02g24570.t1 pep chromosome:ASM357664v1:2:25189979:25197904:1 gene:itb02g24570 transcript:itb02g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVNNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRFKAVEILIKDLKVFASFNEDLFKEITHLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPSLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSVPKPGGFPPLGAHGPFQPAPTPVPTPLAGWMSNPPTVSHPAVSGGPIGLSVSSIPAALKHPRTPPTNPSVDYPSGDSDHVSKRPRSLGISDEVNLPVNVLPVTFPGHGHSQAHSTLDDLPKNVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGEIALWEVGSRERLVTRNFKVWDLSACSMPLQTALVKDPGVSVNCITWSPDGSLFGVAYSRHIVQIYSYHGNDDVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATNGAKQYTFEGHEAPVYSVCPHHKENVQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQMLTSIDADGGLPASPRIRFNKDGTLLAVSANENGIKVLANTDGIRLLRTFENLAYDAAARASESASKPSVNPISVASASNAGFAERVASVVGTSVMNGDARNVGDVKPRIAEETNDKSKVWKLTEITEPSHCRALKLPENLRVTKISRLIYTNSGGALLALASNAIHLLWKWQRGERNSSGKATANMPPQLWQPSSGILMTNDVADKNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLFVWSSDGWEKQKSKFLQLPAGRASVQSDTRVQFHQDQIHFLVVHETQLAIYEATKLECVKQWVQREAAAPISHATFSCDSQLVYASFLDATVCVFTAANLCLRCRIAPSAYLSPSLSSGVHPLVVAAHPQDPNQFALGLSDGGVLVFEPLESEGKWGVPPPVENGSGSNLPLTTPVSDQPPR >itb06g16360.t1 pep chromosome:ASM357664v1:6:20516621:20520842:-1 gene:itb06g16360 transcript:itb06g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MAGRNRVHREFDRHRGHLVEGPLVRGPIPRPMPNHHALLEEELEMRHVDIRRLLGENRRLVEDRITLQQELGAAKEELHRMNLAVADIQAEHELHSRELIEKGLKLEADLRAIEPLKNEANQLRTEVQRLHGIKKDLHGQVQTLTKDISKLRAENQQIPLLRVEIDGLHQELFHARSAVEYEKKAKIELMDQRQGMEKNLASMAHEVDKLRSELANSEGRSWVNGGPYSINFGTPDSNFPAPYGEGYGGHLVAANKGPLYGAGSASWGGPEKPRMSRR >itb04g24620.t1 pep chromosome:ASM357664v1:4:29408159:29412481:-1 gene:itb04g24620 transcript:itb04g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGFRAPLLPTTVVQPPAAVVPEAVAKDAIIAWFRGEFAAANAIIDALCSHLAQMEGGGGGCEYESLFAAVHRRRFNWIPILQMQKYFSIADVTLELHKVAARRAKEREAASAAVAVDADEASAEKVTVPAASAEEYGEISCENGGDDVADATDPMKEGSHDAPESEIIDTGSQEVHPLPECVGGFCSSPEDCEAHRAQIKMRKGFSAREPVKGHMVNVVRGLKLYEDMFSSTELSELNEFVNELRVAGQNGELSGETFVLYNQQLKGNKREMIQLGAPIFGHVEDNPTCNIEAIPAPLEGVINRLIECHLISDNRRPNGCIINFFDEGEYSQPFLKPPHLDQPVSTLLLSESTMAFGRALVNNSDGNYRGSLMLPLKEGSLLVMRGNSADTARHAMCSSPNKRVSVTFFKVRAAQIENSLSAVPPFTRAMTLWKPGAPSAPNGNTGYETMPKWGVLRAPLVMLAPVRPIVLRPRKVPRAGTGVFFPWKVGSKKPIKQLPPRAQKARLLAGAGLASPGETHTPDEDLDGN >itb10g25210.t1 pep chromosome:ASM357664v1:10:28612111:28616978:-1 gene:itb10g25210 transcript:itb10g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETEKSLPENAVPEENVKKKFVVPDPEFFSCMLQSSHSDSDPNYIGIRRLLLYRKAESGVLRRKDWRCNGKGYVAYRNFINRPRNWETLQIPSHSSTPGNSGRWLTSPNRLSHLFDLESWSFNRDRASIAQSQTLSRTTSFSSNASDSDRSRPRKKSEPAYSFVGMHCIFDKCQAMVTVIKFGHMSSDLLAYGASDGSLTVCTVSMPPSILKQLTGHTKDVTDFDFSANDQYIASSSMDKTVRVWDISQCLCIRVIYGVSPQLCIRFHPVNNNFLSAGNSKKEINVINFSTGRTISKTVFDGEVTAMDHDHTGQLIFCGDGQGCLYAVSMDSRTGALSRSHRHRSSGKCRAAVTTIQYRTFSLLARGPVLLSFNRDGNLSFYSVSLEVKGYLTLRCSLKLSPRMHSIRASFCPLLSLEKGEYIVAGSDDTNVYFYDLTRPNRTCVNKLQGHGYPVIGIAWNHGENLLASSDFGGTVIVWKRARTT >itb10g25210.t2 pep chromosome:ASM357664v1:10:28612111:28616978:-1 gene:itb10g25210 transcript:itb10g25210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETEKSLPENAVPEENVKKKFVVPDPEFFSCMLQSSHSDSDPNYIGIRRLLLYRKAESGVLRRKHFIVLKDWRCNGKGYVAYRNFINRPRNWETLQIPSHSSTPGNSGRWLTSPNRLSHLFDLESWSFNRDRASIAQSQTLSRTTSFSSNASDSDRSRPRKKSEPAYSFVGMHCIFDKCQAMVTVIKFGHMSSDLLAYGASDGSLTVCTVSMPPSILKQLTGHTKDVTDFDFSANDQYIASSSMDKTVRVWDISQCLCIRVIYGVSPQLCIRFHPVNNNFLSAGNSKKEINVINFSTGRTISKTVFDGEVTAMDHDHTGQLIFCGDGQGCLYAVSMDSRTGALSRSHRHRSSGKCRAAVTTIQYRTFSLLARGPVLLSFNRDGNLSFYSVSLEVKGYLTLRCSLKLSPRMHSIRASFCPLLSLEKGEYIVAGSDDTNVYFYDLTRPNRTCVNKLQGHGYPVIGIAWNHGENLLASSDFGGTVIVWKRARTT >itb05g28200.t1 pep chromosome:ASM357664v1:5:31299628:31299942:-1 gene:itb05g28200 transcript:itb05g28200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEWRPYPGRDFNMISAKKFLIKRRNEGEEEGRRWWYKKCWAKHVPWKMEFLVWRVFKRKLPTNDRLLRFGFNIVSKCYCCSTPAFCSLQHLFSEGEVAMQV >itb11g16140.t1 pep chromosome:ASM357664v1:11:14085234:14089430:-1 gene:itb11g16140 transcript:itb11g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINAFFRPCTSKTADASSISGDLFHREGTKKKYPDITVTYKRRNSQPCGDSKDGSNGEAPKKIDSRSSIVKFGLPTSGKVLNKKRKYAQFHLEFGQSDFFLHTCNVCNFQYATGQEGDEKVHQAFHKNYTVGIPFKGWQNERIIPIPSFEAGRIILVLNDDPPPWRNKVQEVVKMMEVELGEGWIYNQQCKVYLFISSRRIGGCLVAEPIKEAYRIVSSSQGKKFSVAAEREVSQRSTVLQFGGVSFQREKVRRDPPKERLDGLDDDLNGVILCEKEAVPALCGVRAIWVTPSNRRKHIASYLLDAARKSFCNGQVLLRSELAFSQPTSVGKVFISSYTSSSSFFLYTSSGSK >itb07g01660.t1 pep chromosome:ASM357664v1:7:1004721:1009347:1 gene:itb07g01660 transcript:itb07g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRDNVCGIGLALLSSAFIGASFIIKKKGLRRAAAVSGVRAGVGGYSYLLEPLWWFGMVTMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAILAHIVLKEKLHPLGILGCVMCIAGSVIIVIHAPQERPISSVKEVWYMATQTAFLAYVGSVLILVFILVFYLAPEYAHTNVLIYTGICSLMGSLSVMSVKALGTSLKLTFEGKNQLIYPETWFFMFVVAVCVVTQMNYLNKALDTFNTAVVSPIYYVMFTSLTIVASVIMFKDWDGQNVGNIASAICGFVVVLSGTILLHVTKDMERSSPSRGSYTSLSPSLLAQLCSPNGEPSKHEDEGSEA >itb07g01660.t2 pep chromosome:ASM357664v1:7:1004721:1009347:1 gene:itb07g01660 transcript:itb07g01660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRDNVCGIGLALLSSAFIGASFIIKKKGLRRAAAVSGVRAGVGGYSYLLEPLWWFGMVTMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAILAHIVLKEKLHPLGILGCVMCIAGSVIIVIHAPQERPISSVKEVWYMATQTAFLAYVGSVLILVFILVFYLAPEYAHTNVLIYTGICSLMGSLSVMSVKALGTSLKLTFEGKNQLIYPETWFFMFVVAVCVVTQMNYLNKALDTFNTAVVSPIYYVMFTSLTIVASVIMFKDWDGQNVGNIASAICGFVVVLSGTILLHVTKDMERSSPSRGELCIHSRYPLDPFGDVEQR >itb01g32760.t2 pep chromosome:ASM357664v1:1:36169516:36174902:-1 gene:itb01g32760 transcript:itb01g32760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSVAVRVRPARSEDGNNGTFWKVEDNRISLHKSLGTPISGVSYAFDHVFDQDCTNARIYELITKDIIHAALKGFNGTVFAYGQTSSGKTFTMNGSENDAGIINRSVNDIFTKIHMTTDREFLIRVSYLEIYNEEINDLFAVENQKLPIHESLERGVFVAGLREEIVNTAEQVLELIQLGEANRHFGETNMNVRSSRSHTIFRMVIESKGKDSSDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSEGAKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETRGTLHFASRAKRITNCVQVNEILTDAALLKRQKLEIEELRQKLQGSHSEVLEQQILKLRNDLLKYELEHEKLAMELEEERRSQKEREQCIMEQQRKIDELYNLPTLSDSNGLAVQDFAKQSLKEESKVCSSTGQDDNFSTPCFKAAPNAFVAKRSNYSRQPEYSPLPDAFSDFADEDAWAKMNKGFVADLDALHMTPAMKSSVSANCVPTDPSISDYKQEVENLKSQLKQVLKERDELKTNYVKQTSLNEELMREISELQQEASLVREIPERLSESVENCKDIFKDVMSILKNYVDNEKSATAKLLSATSEIGVCLFSTLESHFSMSMDGDVSSNRKNFSFEEQRKKLYERVNSTVSSLVLPDESIPSQLSENESYSSKHKGATLGEEIAHWKRKLEEEAVTIKDKCCSLEKELESSNQLLAASRERYQNLDKQFCALKEERDMLIQKASDSSQKVARLTDQREKFLQNYSAEEQRRKDLEEDIKDFSLVFARRQKALVSLNCDFRSMIDNLKAQNPIPVSRTPGL >itb01g32760.t1 pep chromosome:ASM357664v1:1:36169516:36174902:-1 gene:itb01g32760 transcript:itb01g32760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSVAVRVRPARSEDGNNGTFWKVEDNRISLHKSLGTPISGVSYAFDHVFDQDCTNARIYELITKDIIHAALKGFNGTVFAYGQTSSGKTFTMNGSENDAGIINRSVNDIFTKIHMTTDREFLIRVSYLEIYNEEINDLFAVENQKLPIHESLERGVFVAGLREEIVNTAEQVLELIQLGEANRHFGETNMNVRSSRSHTIFRMVIESKGKDSSDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSEGAKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETRGTLHFASRAKRITNCVQVNEILTDAALLKRQKLEIEELRQKLQGSHSEVLEQQILKLRNDLLKYELEHEKLAMELEEERRSQKEREQCIMEQQRKIDELYNLPTLSDSNGLAVQDFAKQSLKEESKVCSSTGQDDNFSTPCFKAAPNAFVAKRSNYSRQPEYSPLPDAFSDFADEDAWAKMNKGFVADLDALHMTPAMKSSVSANCVPTDPSISDYKQEVENLKSQLKQVLKERDELKTNYVKQTSLNEELMREISELQQEASLVREIPERLSESVENCKDIFKDVMSILKNYVDNEKSATAKLLSATSEIGVCLFSTLESHFSMSMDGDVSSNRKNFSFEEQRKKLYERVNSTVSSLVLPDESIPSQLSENESYSSKHKVAAWSLQITRCHTFIFILFSILTMGYYLSSLRLMIF >itb01g32760.t3 pep chromosome:ASM357664v1:1:36169625:36174902:-1 gene:itb01g32760 transcript:itb01g32760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSVAVRVRPARSEDGNNGTFWKVEDNRISLHKSLGTPISGVSYAFDHVFDQDCTNARIYELITKDIIHAALKGFNGTVFAYGQTSSGKTFTMNGSENDAGIINRSVNDIFTKIHMTTDREFLIRVSYLEIYNEEINDLFAVENQKLPIHESLERGVFVAGLREEIVNTAEQVLELIQLGEANRHFGETNMNVRSSRSHTIFRMVIESKGKDSSDDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSEGAKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETRGTLHFASRAKRITNCVQVNEILTDAALLKRQKLEIEELRQKLQGSHSEVLEQQILKLRNDLLKYELEHEKLAMELEEERRSQKEREQCIMEQQRKIDELYNLPTLSDSNGLAVQDFAKQSLKEESKVCSSTGQDDNFSTPCFKAAPNAFVAKRSNYSRQPEYSPLPDAFSDFADEDAWAKMNKGFVADLDALHMTPAMKSSVSANCVPTDPSISDYKQEVENLKSQLKQVLKERDELKTNYVKQTSLNEELMREISELQQEASLVREIPERLSESVENCKDIFKDVMSILKNYVDNEKSATAKLLSATSEIGVCLFSTLESHFSMSMDGDVSSNRKNFSFEEQRKKLYERVNSTVSSLVLPDESIPSQLSENESYSSKHKVAAWSLQITRCHTFIFILFSILTMGYYLSSLRLMIF >itb14g04740.t1 pep chromosome:ASM357664v1:14:4147789:4148184:-1 gene:itb14g04740 transcript:itb14g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTHKPTHQEENNNNKKENQNKSAASAAYKFSSFPSHEETTHSTIKDILQRETETERGAKMADKGSQGMGKAGKNYGGESSKGTFPGPKPGSVMPKDKKHVSTMIGEKIGKKVTSILKNDKSKIKPQGQ >itb08g00630.t1 pep chromosome:ASM357664v1:8:474855:478652:1 gene:itb08g00630 transcript:itb08g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGKLLQPQLHQGFKIHHPSLPKPLPCINLEFHYPFLSFPRKSFNSSVRSSRLPVSRCVSENLSDSIRPESLSNYAAESSEIFKPKENSFLTVLRGANAILPHVVLGSTILALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPGAILAGYIGQFGVKPLLGYLFGTIAIVAFGLPTSLAAGIMLTSCVSGAQLSNYATFLTDPLMAPLSIVMTSLSTATAALVTPFLSLLLIGKRLPVDVIGMISNIVQIVVVPVAAGLLLNRFFPQVCNAIRPFLPPLSVFVTSLCVGAPLAINIQSVVSPSGLSVLLLVIAFHMSAFISGYTLAGAAFRDAPDVKPLQRTLSYETGMQSSLLALALANKFFQDPLVGVPPAISVVIMSLMGFSLVMFWTKNKSTFDNNSRDDNFI >itb08g00630.t4 pep chromosome:ASM357664v1:8:474855:478555:1 gene:itb08g00630 transcript:itb08g00630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGKLLQPQLHQGFKIHHPSLPKPLPCINLEFHYPFLSFPRKSFNSSVRSSRLPVSRCVSENLSDSIRPESLSNYAAESSEIFKPKENSFLTVLRGANAILPHVVLGSTILALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPGAILAGYIGQFGVKPLLGYLFGTIAIVAFGLPTSLAAGIMLTSCVSGAQLSNYATFLTDPLMAPLSIVMTSLSTATAALVTPFLSLLLIGKRLPVDVIGMISNIVQIVVVPVAAGLLLNR >itb08g00630.t3 pep chromosome:ASM357664v1:8:475436:478555:1 gene:itb08g00630 transcript:itb08g00630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTLIIVLCKYAIFAPLWMISVRSSRLPVSRCVSENLSDSIRPESLSNYAAESSEIFKPKENSFLTVLRGANAILPHVVLGSTILALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPGAILAGYIGQFGVKPLLGYLFGTIAIVAFGLPTSLAAGIMLTSCVSGAQLSNYATFLTDPLMAPLSIVMTSLSTATAALVTPFLSLLLIGKRLPVDVIGMISNIVQIVVVPVAAGLLLNRFFPQVCNAIRPFLPPLSVFVTSLCVGAPLAINIQSVVSPSGLSVLLLVIAFHMSAFISGYTLAGAAFRDAPDVKPLQRTLSYETGMQSSLLALALANKFFQDPLVGVPPAISVVIMSLMGFSLVMFWTKNKSTFDNNSRDDNFI >itb08g00630.t2 pep chromosome:ASM357664v1:8:474855:478652:1 gene:itb08g00630 transcript:itb08g00630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGKLLQPQLHQGFKIHHPSLPKPLPCINLEFHYPFLSFPRKSFNSSVRSSRLPVSRCVSENLSDSIRPESLSNYAAESSEIFKPKENSFLTVLRGANAILPHVVLGSTILALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFLEAFNRPGAILAGYIGQFGVKPLLGYLFGTIAIVAFGLPTSLAAGIMLTSCVSGAQLSNYATFLTDPLMAPLSIVMTSLSTATAALVTPFLSLLLIGKRLPVDVIGMISNIVQIVVVPVAAGLLLNRFFPQVCNAIRPFLPPLSVFVTSLCVGAPLAINIQSVVSPSGLSVLLLVIAFHMSAFISGYTLAGAAFRDAPDVKPLQRTLSYETGHLITR >itb03g07960.t1 pep chromosome:ASM357664v1:3:5963822:5964623:-1 gene:itb03g07960 transcript:itb03g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHHFGVLDMHGVWDKKVLKLKEQLSDAEKKIQRMSLELSDGASAASPSSSSFSMVSSPPFLGDQFITGSFDNVFYVPEWDNLYI >itb09g06840.t1 pep chromosome:ASM357664v1:9:3933401:3937959:-1 gene:itb09g06840 transcript:itb09g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKCVVFLYLSTLIVLSALAQSEDHPYVGCGLSGNYTENSIYLRNLNTVLSSLPSKLNKYGFCYVSTGGVNAVAVCRGDVVEADICRSCIQDAARLTLQSCPNQIEAFGGYDKCKIRYSNVSTLGYYWSFSSLPPVFLWNRFNVSSQEEFNKDLRKLLEGLRDRAANGTPFLKFAAGNISGPDLETIYAAVQCSPDLAAQGCSDCLVHIFEQLPQCPCYGKRGSIVLSSACNFRFETYKFFNSTLVETPPPPPPAATKAPSPKPVIVSPPQKSEKNDYTLRTIIIIAALVVAIVILLSLCVCIVLKKRQKRMPENKDMDVVEESTTVDEISIVESLQYNFVTIRTATNNFSDSNKLGQGGFGPVYKGEFPNGQEVAVKRLSGNSKQGDQEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEFVPNASLDHLLFDPIKREILDWEMRYKIIGGISKGLLYLHEDSRFRIIHRDLKASNVLLDAEMNPKISDFGMARLFELDESQGSTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEILSGQKNTCFKNWESVQDLLSYAWRQWREGTALNLVDPFLRGNSGSAPEMMRCIHMALLCVQENVADRPTMSTVVLVLSSSSLSLPMPSAPAFFMHSAISPEAPLLRNETAYSSQNEASITELHPR >itb09g06840.t2 pep chromosome:ASM357664v1:9:3933401:3937959:-1 gene:itb09g06840 transcript:itb09g06840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKCVVFLYLSTLIVLSALAQSEDHPYVGCGLSGNYTENSIYLRNLNTVLSSLPSKLNKYGFCYVSTGGVNAVAVCRGDVVEADICRSCIQDAARLTLQSCPNQIEAFGGYDKCKIRYSNVSTLGYYWSFSSLPPVFLWNRFNVSSQEEFNKDLRKLLEGLRDRAANGTPFLKFAAGNISGPDLETIYAAVQCSPDLAAQGCSDCLVHIFEQLPQCPCYGKRGSIVLSSACNFRFETYKFFNSTLVETPPPPPPAATKAPSPKPVIVSPPQKSEKNDYTLRTIIIIAALVVAIVILLSLCVCIVLKKRQKRMPENKDMDVVEESTTVDEISIVESLQYNFVTIRTATNNFSDSNKLGQGGFGPVYKGEFPNGQEVAVKRLSGNSKQGDQEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEFVPNASLDHLLFGIFSFIYVIFLIKFFFNSIYHSNLMF >itb07g15520.t1 pep chromosome:ASM357664v1:7:18601165:18601770:1 gene:itb07g15520 transcript:itb07g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMSLEVVIGGEDTAAPKTTLSGGGVWSKVSRKVMNVMTFIRGLKSGRNRKEIPGSGGEEEKSPIVEMMEKQELGKAVFMLAVPMSTGLVFFKSDALSPTAVHFTLVANVAGCTAIWNGLLLRKTCSRIATVVEQAGVGSVFLGFNVLVGNYLPPELRFVPFICWGFSVLPFVLAAVPAAAGGGGTDLQGGKEEDICPL >itb04g28880.t1 pep chromosome:ASM357664v1:4:32451295:32453001:-1 gene:itb04g28880 transcript:itb04g28880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFDSNYNHQFTSTSSSSSFSSGDLSIESSSCGGDDSTPLERDYFDGVLKYINQMLMEEEDLENRPCMLQDSLALQAAEKSFYEALTDCNFSDERNRKRDSYGDGDGDVEGRANKLVAESTAEESEQTEVYDKTLLCSANNPGFYSDPPWCHLDYSMEQTPRFTAAVTVQSKRGRPRAGKKRVGSGKPVDLRSLLLESAEAAANYNGRTASDRLKLIRQHSSPYGDAAERTAHYFANSLEARLAGTGTELYTAFSRRRMSAAEMLKAYQVYVTACPFKKMSNIFANKTIGKLTRKATAIHIIDFGILYGFQWPCFIQGISLRPSGPPRLRITGIDFPQPGFRPAERVEDTGRRLANYCKRFNVPFEYTAIAAKRWDTISLDELKTDREELLIVNCLYRLKNTPDDENSPRDAVLNLIKQTNPDFFIHGVINGKYNSSFFPSRFKEALFHFSSLFDMLDTAIPREDQERLVYEREIWGRDIMNIVACEGSERVERPETYKQWQLRNQRAGFKQVALNQDVVKEVRAKVRLCYHKDFLVDEHSNWMLQGWKGRVLCALSCWTPVEKDLF >itb01g00470.t1 pep chromosome:ASM357664v1:1:217632:219625:1 gene:itb01g00470 transcript:itb01g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGKFYGKSLPRPRIYTDVKFNEERVDPPVPVFDPLMSWAEEAHWSMGGLSFKRHRLQGRIEGNISKLRAEHEKQVKKLKKNSPSKSSSPATGFYTPSPPPAPIAVKRKRRLIDEEEEEGSDEARRGWPARKLGDDFDRVAYESGLIDSDAEETIAARTRRQKAEKAAVEAEVVIKVKSKGLKRLKKGVKKSVNRSSPRLAKPTTSGGLIMFYLNEISVLGHGAETARKLLGSTPHDQLLIKTSDSFVGLLLCVIGFLLFMVSFMKDRDFQSFFAKGCILLHILMAVWRLYFERKLEALAHDWPRQLIGDFVLALSWVLFLVCTWTEKYD >itb07g00300.t1 pep chromosome:ASM357664v1:7:231837:232115:1 gene:itb07g00300 transcript:itb07g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIRREEIAERQKLQQGGAKNEVSISIMPMESSPYLKYCYLEDYNINYGRAYVTAAPRQPQPPPSTTHPPTNASRLASSNSAAARHLRCP >itb03g03960.t1 pep chromosome:ASM357664v1:3:2403732:2405623:-1 gene:itb03g03960 transcript:itb03g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESAPAVQNLRPALAFHTTAAHPGHIHIPPPPRVGPIAVGVRIVQQEGMAALFSGVSATVLRQTLYSTTRMGLYDMMKQKWSDPKTNNMPLATKIGAGLIAGGIGAAVGNPADVAMVRMQADGRLPAAHRRNYKSVVDAITQMTKNEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKETILEKGIMKDGLGTHVTASFAAGFVAAVVTNPVDVLKTRMMNMKVEPGMAPPYAGALDCAMKTIKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >itb13g26770.t1 pep chromosome:ASM357664v1:13:31894959:31899404:-1 gene:itb13g26770 transcript:itb13g26770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKAPVYVSWDEVCLSADKGRKEVHYYLKRRDGLSDLAVVGTEKGMRHISYHYAIKPMSLLSVSNSSSLSKLRTRREVVDWLNSIVSVADLRHHRDIRDDGQPCEGTDAVLNDMNALKDVHLWRQGQRVTEFTWLGSSWTCRKKRCHYTSFCRNGVRISVHDFVYVLAEEDKRLVAYLDDMYEDTRGNRMVVVRWFHKIDEVGILLPHNYNDREILFSLCLQNLSIECVDGQATVLCPQHYEKFLNDVRHTQSEPYVCQRLFDNDDLKPFDITSVKGYWNQELLKRMSFSSPLRAQPSPLGELKVEDRVTGFVESRPNKRLRVSKESNISSQSVPQKGPAKACQEYCNGSSIATMEISTSKEGCFDGSISGKVVVTEKTQQNFDIGSEVEILSQDSGIRGCWFRALVIKKHNNKVKVQYKDVKDAEDETKNLEEWVLATKLAASDKLGIRIHGRTVLRPSPSSYKGRVSWAVNVGAIVDAWWNEGWWEGIVVRKESEDRLHIYFPGEKETHIFGHSDLRHSQEWLADGWKHLKERHELVSVLLGDSTVKQTVKESSDVILERHTTCSNGVHHLSTEKPRDEVASAGNTDADAGERLKVLEGVHDLSKDNRLAQLRWKTSGKRRRCRSPVNKVRLSFERNQNVTKESGMQIKETLFIPSSLKVDLDNCKYITDSLFNSSVVSPLSSLVMSR >itb13g26770.t3 pep chromosome:ASM357664v1:13:31894972:31899356:-1 gene:itb13g26770 transcript:itb13g26770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKAPVYVSWDEVCLSADKGRKEVHYYLKRRDGLSDLAVVGTEKGMRHISYHYAIKPMSLLSVSNSSSLSKLRTRREVVDWLNSIVSDLRHHRDIRDDGQPCEGTDAVLNDMNALKDVHLWRQGQRVTEFTWLGSSWTCRKKRCHYTSFCRNGVRISVHDFVYVLAEEDKRLVAYLDDMYEDTRGNRMVVVRWFHKIDEVGILLPHNYNDREILFSLCLQNLSIECVDGQATVLCPQHYEKFLNDVRHTQSEPYVCQRLFDNDDLKPFDITSVKGYWNQELLKRMSFSSPLRAQPSPLGELKVEDRVTGFVESRPNKRLRVSKESNISSQSVPQKGPAKACQEYCNGSSIATMEISTSKEGCFDGSISGKVVVTEKTQQNFDIGSEVEILSQDSGIRGCWFRALVIKKHNNKVKVQYKDVKDAEDETKNLEEWVLATKLAASDKLGIRIHGRTVLRPSPSSYKGRVSWAVNVGAIVDAWWNEGWWEGIVVRKESEDRLHIYFPGEKETHIFGHSDLRHSQEWLADGWKHLKERHELVSVLLGDSTVKQTVKESSDVILERHTTCSNGVHHLSTEKPRDEVASAGNTDADAGERLKVLEGVHDLSKDNRLAQLRWKTSGKRRRCRSPVNKVRLSFERNQNVTKESGMQIKETLFIPSSLKVDLDNCKYITDSLFNSSVVSPLSSLVMSR >itb13g26770.t2 pep chromosome:ASM357664v1:13:31894972:31899356:-1 gene:itb13g26770 transcript:itb13g26770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALKDVHLWRQGQRVTEFTWLGSSWTCRKKRCHYTSFCRNGVRISVHDFVYVLAEEDKRLVAYLDDMYEDTRGNRMVVVRWFHKIDEVGILLPHNYNDREILFSLCLQNLSIECVDGQATVLCPQHYEKFLNDVRHTQSEPYVCQRLFDNDDLKPFDITSVKGYWNQELLKRMSFSSPLRAQPSPLGELKVEDRVTGFVESRPNKRLRVSKESNISSQSVPQKGPAKACQEYCNGSSIATMEISTSKEGCFDGSISGKVVVTEKTQQNFDIGSEVEILSQDSGIRGCWFRALVIKKHNNKVKVQYKDVKDAEDETKNLEEWVLATKLAASDKLGIRIHGRTVLRPSPSSYKGRVSWAVNVGAIVDAWWNEGWWEGIVVRKESEDRLHIYFPGEKETHIFGHSDLRHSQEWLADGWKHLKERHELVSVLLGDSTVKQTVKESSDVILERHTTCSNGVHHLSTEKPRDEVASAGNTDADAGERLKVLEGVHDLSKDNRLAQLRWKTSGKRRRCRSPVNKVRLSFERNQNVTKESGMQIKETLFIPSSLKVDLDNCKYITDSLFNSSVVSPLSSLVMSR >itb14g12000.t1 pep chromosome:ASM357664v1:14:13603702:13611442:-1 gene:itb14g12000 transcript:itb14g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNISNGSSLDQMLLDAKLHRQVNALIVAHLRDNNLNQAARVVASATMIPLTVETPPIRLLELVAKGLAAEKDELLRRVSSAAAIDPTLAAGYGSIPAPLAISVDFSTAHDTKGSSKNFPKQETRHISEHKSINDLDFHPKNAVVISGAKDHTIKFFDFSKPVAERAFRVFEVRYSRNGGMFVTASKDGAIRLWDGVTANCVRSIDGAHGTEEATSANFTKDQRYILSCGKDSSVKLWEVGTGKLVKQYLGAVHTKLQFQAVFNDTEEFVLSIDESSNEIVVWDALTTKNVGRLPSNHIDVPRWIEHSPTEAAFVSCGIDQSVQFWREKVV >itb02g04870.t1 pep chromosome:ASM357664v1:2:2874543:2878263:1 gene:itb02g04870 transcript:itb02g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMASVQICRRFSPLVARPAIYSANSSFLSSLSFASSSLSIMPIRLRCRASVHSVRAASTMGDTITDANMDAVQRRLMFDDECILVDENDRVVGHDTKYNCHLMEKIESENLLHRAFSVFLFNSNYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGIPAEDVPVDEFTTLGRILYKAPSDGRWGEHELDYLLFIVRDVGMRPNPDEVADVKYVNREQLKEILRKANAGEDGIKLSPWFRLVVENFLFKWWDHVEKGTLMEAADMKTIHKLA >itb02g06730.t2 pep chromosome:ASM357664v1:2:4223625:4224960:1 gene:itb02g06730 transcript:itb02g06730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKATSVAKKKLDIDSTKKRKADVDATKKGRAKKKDSGAPKRPLTAFLLFMSDFRKNYKENFPDNRSMAIVGKAGGEKWKAMSDSEKAPYVAKASQLKSEYGNAMQEFKKNVSKSSSSETTVSEESEQTSEVKDNSEQEASC >itb02g06730.t1 pep chromosome:ASM357664v1:2:4223625:4225808:1 gene:itb02g06730 transcript:itb02g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKATSVAKKKLDIDSTKKRKADVDATKKGRAKKKDSGAPKRPLTAFLLFMSDFRKNYKENFPDNRSMAIVGKAGGEKWKAMSDSEKAPYVAKASQLKSEYGNAMQEFKKNVSKSSSSETTVSEESEQTSEVKDNSEQEASC >itb07g11060.t2 pep chromosome:ASM357664v1:7:12483777:12484744:-1 gene:itb07g11060 transcript:itb07g11060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRDRISQLPADILDHILGFLPIQDAAKTAVLSSIWRDVWLRLTQLNFDHGFFHYINNKHCHASKYVKKSAASLYVINKVLLLHKGTIQKFVLCFYNVGILAIRSRSYDFDQWLLLVTHKGVEEIYIRFGEEA >itb07g11060.t1 pep chromosome:ASM357664v1:7:12483605:12484744:-1 gene:itb07g11060 transcript:itb07g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRDRISQLPADILDHILGFLPIQDAAKTAVLSSIWRDVWLRLTQLNFDHGFFHYINNKHCHASKYVKKSAASLYVINKVLLLHKGTIQKFVLCFYNVGILAIRSRSYDFDQWLLLVTHKGVEEIYIRFGEEA >itb03g16540.t2 pep chromosome:ASM357664v1:3:15466656:15470321:1 gene:itb03g16540 transcript:itb03g16540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGPSRPQSPLQRRSRSRRHGRSRSRSGRRHGRSRSRQGRSRSPPPQRHRPRHRRAEGAWSPLPLTYHESFEERVDIEERVDIEEEHSTMLCVYNLGDQVTSDDIRQFFAHVGPMATCTLKCNRLGQSTGIAELVYSYPGDAIRAIRMYRGITLDVIHQLLNRATSSSGDMNVATDILAFFSLTTARCSMLLYIPLLQA >itb03g16540.t4 pep chromosome:ASM357664v1:3:15466941:15468780:1 gene:itb03g16540 transcript:itb03g16540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVYNLGDQVTSDDIRQFFAHVGPMATCTLKCNRLGQSTGIAELVYSYPGDAIRAIRMYRGITLDGRPMRFELFNI >itb03g16540.t3 pep chromosome:ASM357664v1:3:15466656:15468685:1 gene:itb03g16540 transcript:itb03g16540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGPSRPQSPLQRRSRSRRHGRSRSRSGRRHGRSRSRQGRSRSPPPQRHRPRHRRAEGAWSPLPLTYHESFEERVDIEERVDIEEEHSTMLCVYNLGDQVTSDDIRQFFAHVGPMATCTLKCNRLGQSTGIAELVYSYPGDAIRAIRMYRGITLDGRPMRFELFNI >itb03g16540.t1 pep chromosome:ASM357664v1:3:15466656:15470321:1 gene:itb03g16540 transcript:itb03g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGPSRPQSPLQRRSRSRRHGRSRSRSGRRHGRSRSRQGRSRSPPPQRHRPRHRRAEGAWSPLPLTYHESFEERVDIEERVDIEEEHSTMLCVYNLGDQVTSDDIRQFFAHVGPMATCTLKCNRLGQSTGIAELVYSYPGDAIRAIRMYRGITLDGRSQSSTNSSTGPLHPLEI >itb06g21550.t1 pep chromosome:ASM357664v1:6:24154684:24159499:-1 gene:itb06g21550 transcript:itb06g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATMAEPGEILPERKPEKSPYEVLRQSKASVEEIVSKMLSLKKEGKQKSELRELATQIFLNFVTLRQANRSILLEEDRIKAETERAKAPVDFTTLQLHNLMYEKSHYLKAIKACKDFKSKYPDIELVPEEEFFRDAPEEIKSSVMSNDSTHNLMLQRLNFELHQRKELCELREKLEQQKKTLQGIIANRKKFLLSLPSHLKSLKKASLPVQNQLGVQHTKKLKQLHSAELLPPPLYVIYSQLLAQKEAFEENIEMEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQAHPLKVILHVHDDETPSTPTKLVTLKFEYLMKLNVVCVGVDGSQEDMGNDILCNLFPDDTGLELPHQSAKMIGNSVEFDGTRTLRPYKWAQHLAGIDFLPEVSPLLGGSESSNEETAKQSTVISGLSLYRQQNRLQTVVQRLRARKKARLALAEQFDSLMKLEWPVITCKSVPWTSHNPRCSLHGWSSLGSACNEASSVPAVDAEKVQGPDVSMEVRSDTSKEEVENTREDGELPSLTLATAGVHDATPTPTRGTNSDHSRKLGFISKCVISPLNKGKSPSFKKHEEDLDLIMESDSELDEPAQVEQDTDSIPGSTVPYVDDKSWADCRVEDYILVLTRKTHDGEKTMRLEAQVKISMEYPLRPPLLRLRLYASHHEESYCEVDYSEWYNELRAMEAEVNVHIMKTITPDQENNILAHQVHCLGMLFDFYVDDRATCDRATCSEKRMSTSVIDVGLCKPVGGQLVARSFRGRDRRKMISWKDNTCTPGYPY >itb15g02540.t1 pep chromosome:ASM357664v1:15:1538972:1548824:1 gene:itb15g02540 transcript:itb15g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEESSSSAPASSSRSRSAAMNGGCYLAKTVLRGSVVLQVVYGHIRFPSSNDVVFGKETSIELVIIDDDGVVQSICEQPVFGTIKDLAILPWNDRFHSRSSQIHGKDILVVISDSGKLSFLAFCNEMHRFFPLTHVQLSSLGNSRNQIGRMLAIDSNGCFIAASAYENKLALFSVSTSCGNDIIDKKICCPPDNHEDIKTVNGVTEICGTIWSMCFISKDLRQPSKEHNPVLAILLNRERTYRNELMLLEWKPKENLLHVIYQYVEAGPFAHHIVEVPHSYGLVILFRAGDAVLMDCKDAHNPYSLYRISLNFTPSVEEQNFVEPTIRIPDIIDEEGMYNVAASALLELSDINKSDPMNIDDDSSTKPGTNYVCSWTWDSANKNNPRMIFSADSGQLFMVEIFSDSDGIKMSLSDCLYKGLPAKELLWVESDFIAAIVEMGDGMVLKLDDGRLVYRSPIQNIAPILDMSVVDYYNEKHDQMFACCGMAPEGSLRIIRSGISVEKLLKTAPIYQGITGTWTVKMKVTDRYHSFLVLSFVEETRVLSVGVSFSDVTDSVGFQPDVSTLACGLMADGLLVQIHKDAVRLCVPIASAHPEGVPLSSPVGTSWSPNDTRISLGAVGYNVIVVATSSPCFLYVLGLKSLSAYQYELYQLQHVRLQDELSCISIPQKQLEQKPLVSPMSSTNDAPLAAFPAELDLSSTFIIGTHKPSVEVLSFSLDKGLQVIAIGSISLTNTLGTTITGCVPQDVKLVLVDRPYILSGLRNGMLLRFEWPSTSNISLLHSSNLQHFTSSNLANSTTPFTSVNYKAMPTSSSTLFDKTRDSFPIHLQLIAVRRIGITPAFLVPLSDSLDADVIALSDRPWLLQTARHSLSYTSISFQPSTHATPVCSTECPKGILFVADNSLNLVEMVSSKRLNVQKFNLDGTPRKVLYHSESKLLVVLRTDLNDDSSSDVCCIDPLSGSVVSSFKLDPGETGKCMELVKSGNDHVLVVGTSLSSGPVIMPSGEAESTRGRLLVLCLEHIPNSDSGSIAFFSRAGSSSQRTSPFCESGGYATEQLSGSSLCSSPDDNSCDGIRLEESEPWQLRLTYSNIWPGVVLAICPYLDRNFLASAGNAFYVCGFSSDNSQRVRRLAGGKTRFMIMTLTAHLTRIAVGDCRDGIMFYSYQEDARKLEQLYCDPVQRLVADCILMDVDTAAVSDRKGSVAILSCLNHSEENSSPECNLAVVSSFYMGEIAMRIRKGSFSYKLPADDVLRGCRDTNGVSDVSQSSIMASTLLGSIITFVPLTRVEYELLEAVQARLVIHPLTAPILGNDHNEFRSRESSAGALRVLDGDMLAQFLELTSMQQEAVLALPLGSHNTIMLNSKPLPAPITVSQVVRLLERVHYALN >itb15g02540.t2 pep chromosome:ASM357664v1:15:1538972:1548824:1 gene:itb15g02540 transcript:itb15g02540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDSNGCFIAASAYENKLALFSVSTSCGNDIIDKKICCPPDNHEDIKTVNGVTEICGTIWSMCFISKDLRQPSKEHNPVLAILLNRERTYRNELMLLEWKPKENLLHVIYQYVEAGPFAHHIVEVPHSYGLVILFRAGDAVLMDCKDAHNPYSLYRISLNFTPSVEEQNFVEPTIRIPDIIDEEGMYNVAASALLELSDINKSDPMNIDDDSSTKPGTNYVCSWTWDSANKNNPRMIFSADSGQLFMVEIFSDSDGIKMSLSDCLYKGLPAKELLWVESDFIAAIVEMGDGMVLKLDDGRLVYRSPIQNIAPILDMSVVDYYNEKHDQMFACCGMAPEGSLRIIRSGISVEKLLKTAPIYQGITGTWTVKMKVTDRYHSFLVLSFVEETRVLSVGVSFSDVTDSVGFQPDVSTLACGLMADGLLVQIHKDAVRLCVPIASAHPEGVPLSSPVGTSWSPNDTRISLGAVGYNVIVVATSSPCFLYVLGLKSLSAYQYELYQLQHVRLQDELSCISIPQKQLEQKPLVSPMSSTNDAPLAAFPAELDLSSTFIIGTHKPSVEVLSFSLDKGLQVIAIGSISLTNTLGTTITGCVPQDVKLVLVDRPYILSGLRNGMLLRFEWPSTSNISLLHSSNLQHFTSSNLANSTTPFTSVNYKAMPTSSSTLFDKTRDSFPIHLQLIAVRRIGITPAFLVPLSDSLDADVIALSDRPWLLQTARHSLSYTSISFQPSTHATPVCSTECPKGILFVADNSLNLVEMVSSKRLNVQKFNLDGTPRKVLYHSESKLLVVLRTDLNDDSSSDVCCIDPLSGSVVSSFKLDPGETGKCMELVKSGNDHVLVVGTSLSSGPVIMPSGEAESTRGRLLVLCLEHIPNSDSGSIAFFSRAGSSSQRTSPFCESGGYATEQLSGSSLCSSPDDNSCDGIRLEESEPWQLRLTYSNIWPGVVLAICPYLDRNFLASAGNAFYVCGFSSDNSQRVRRLAGGKTRFMIMTLTAHLTRIAVGDCRDGIMFYSYQEDARKLEQLYCDPVQRLVADCILMDVDTAAVSDRKGSVAILSCLNHSEENSSPECNLAVVSSFYMGEIAMRIRKGSFSYKLPADDVLRGCRDTNGVSDVSQSSIMASTLLGSIITFVPLTRVEYELLEAVQARLVIHPLTAPILGNDHNEFRSRESSAGALRVLDGDMLAQFLELTSMQQEAVLALPLGSHNTIMLNSKPLPAPITVSQVVRLLERVHYALN >itb15g20770.t1 pep chromosome:ASM357664v1:15:23424253:23425651:-1 gene:itb15g20770 transcript:itb15g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPSAKQNGTAANPAAATATNGTAAAAATNPPKPQLYNPNRIPYRPTPTAYHRHDRRRCNCRRCFCLGCFWAVMIICILLLLAAIAGAIFYVLYRPQRPAFSVSSLKISQFNLTTTAADDTTRLTAKLNLTLSAKNPNKKLIYTYAPISLTAFASQVTLANGSFPGFLSDPNNITIIHSTLSLSSQVLDADSVSALNSDLKRRRGLPIRIVMDTMVVLKLEKLKSKKFGIRVTCEGIHSQTPKGKTPATATTSDASCKVDLRIKIWKWTF >itb14g13780.t1 pep chromosome:ASM357664v1:14:16051519:16054072:-1 gene:itb14g13780 transcript:itb14g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEFRRFLELFPVVRSRDFHLESETRQSASQSVRNLEGRKLLNEGNGADGGSSKTREIDYHDAFWNKLKAVAEQKVGTVEAEKFCKAFQQVYMKLVYEELSSDAAHSFLNS >itb14g13780.t2 pep chromosome:ASM357664v1:14:16051519:16054072:-1 gene:itb14g13780 transcript:itb14g13780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEFRRFLELFPVVRSRDFHLESETRQSASQSVRNLEGRKLLNEGNGADGDAFWNKLKAVAEQKVGTVEAEKFCKAFQQVYMKLVYEELSSDAAHSFLNS >itb09g29260.t1 pep chromosome:ASM357664v1:9:29966585:29968983:-1 gene:itb09g29260 transcript:itb09g29260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKILISQPKFTPCYGAFPFCLSYKSSLLQKPRLPLAAQLSVSKQELEKTPFLGKSLGFGGKIESLGGLRKTHLPISPIRAAVKRRKELPLDNVIQREKKLKLVLKIRKLLMSQPNRVMALRELGKYRKALGLQGNRRFIALLKKFPAVFEIMEEGAYSLKFKLTPEAERLYLEELQARNEMEDLLVIKLRKLLMMSADKRILLEKIAHLKSDLGLPFEFRDTICRRYPQYFKVVETGRGPALELTHWDPELAVSAAELAEEENRQRELEERNLIIDRVPRFNRVKLPKGLNLSKGEMRRICQFRDIPYISPYSDFSEIRSGSPEKEKHGCGVVHELLSLTVEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDMFYVSLKGTRDSVFLREAYHDSQLKEKDRLLLIKEKMRSLVSVQRFAWRGVGKRDADKLDGTKPKDEDSGEEGEEGSDIDNLLSDGFDEDGEDYDENDEDFEGDWSDEDDDLPPDFTDDDEITNLENVKSSRKVDDPMKKDEKILVPVFPDGRPRERW >itb09g01720.t2 pep chromosome:ASM357664v1:9:1032024:1050710:-1 gene:itb09g01720 transcript:itb09g01720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLHHQQQQLAALLAAALPKDDTSKSTPLPPLPQTPSSSTPSSSAATTSEEDESSRIAALTSLHRTILYPPNSLLVTHSASFLAQGFSQLLSDKCYSVRHPAATAYGALCAVLCSISMGPNGRQNHVILGSLVDRFIGWALPLLGNVGDGSLDVALEGLREFLSIGDVGAVERFALPILKACQELLEDERTSFNLLRRLLDVLTLISLKFFRCFQPHFVDIVDLLLGWVLVPDLADTDRRVIMDSFLQFQKYWVNNMQFSLGLLSKFLGDMDVLLQDGSPGTLQQFQRLLALLSCFSTVLQSMASGLLEINMLEKINEPLCKMVPILLGCISLIGRKFGWSKWIEDSWKCLTLLAEILREHFSPFYSIAVDILFQSLDMEGKEQFMGSKKLTSFQVHGILKTNLQLLSLQKLGLSPSSVHKILQFTAPISKLRLHPNHLVTGSSAATYIFLLQHGNNEVIEMAVSTVLEELEPLKQALGETLSSGDMICNTAVPKSYSKSELVALIKFDLRVLSSCVSLPGCSSFIGQGEIDTLYVSRSEKLISCIIDRLDPFNSTIQNDVELQVTVLKTLERLAEVEFLCKCSLGKERTVEASLDSASQNSQKGDDWRHEPPVIILQYLKKFSVLLAKAIHHSSPLALKIEGLQWMHKFCGNVISIYDNSKALFSPCEAFGYVDIFQDLLFSILDAASDREHKVRSLVASVLEMLLQAKLIHPIHFIVTAETVLEKLGDPDTDIKNVFVRVLSNMLPLTVYLCGLNDNGLTTTYIPGDCRISNRTNLHWKQLFALKPLPQQLHSQQLVSILSYIAQRWKVPLSSWIQRLICSCQRSNNISLTQLEEAADVGPKGLLWDIKVDEDTLERACSANILAGAWWAIHEAARYCITTRLRTNLGGPTQTFAALERMLLDVANVLELDADQSDGSLNIISSSYAHLLPMRLLLDFVEALKKNVYNAYEGSLVLPCPSRQSSLFFRANKKVCEEWFSRICEPMMNAGLALHCHDATIHYCALRLQELRNLVALSMRDKSRAQVNESLHSIRVRFAGDIMRVLRHIALALSKNHEPEVLIGIKKWATTVFSPLFIDENQTVNDRGTLGYFSWISGLVYQAKGQHEKAAAHFIHLLQTEDSLTFMGSDGVQFAITRIIESYVAVSDWKSLESWLLELQTLRAKHAGKSYSGALTTAGNEINSIQALARFDEGELQAAWACLDLTPKSSSELTLDPKLALQRSEQMLLQAMLHQIDGKVDKVSHELQKAKSMLEEPLSVLPLDGLSRAAPYVNQLYCLLAFEECFKLKGAQNQHFPSLLNSYLQTMHSPINQIHQDCNIWLKVLRVYRAAHPTLPSTLKLCMNVMSLARKQGNLMLANRLEKYLIDNISSCPEGSIRDHIISSLNYEQILLMFAENKIEDAFTSLWSFLRPCMVSPSVVSSDCVDNALKAKACLKLSHWLRQDYSDARLEDIVLKMKGDFYAHETYCGKEGSSFNNDQLTSKENMNLIYEEIVGTATKLSSRLCPTMGKSWISYASWCFTQARSSLLVPVETALQSCTFSPILTSELLPGRFGLTKEEFLKVKDIIFQLLWNRSLVKELNENAGDFDVSFISSEHIENEGLARPLLQQVVDIIESEAGASGAEDFCGECLSATVTSKLQKCFATVKVPMEEASVISLIGDLVDIWWSLRRRRVSLFGHAAQAFMNFLSHASSRSFDGQLTGFDKVSKYKSTNYTLKATLYVLHILVNFGPELKDTLGIMFSAVPLLPWQEITPQLFARLCSHPEQVVRKQVESLLVMLAKLSPWSVVYPTLVDANSCEKKPPEELQRILACLNELYPRLVQDVQMMIKELENVTVLWEELWLSTLQDLHADVMRRINLLKEEAARIAENATLSHGEKSKINAAKYSAMMAPIVVVLERRLASTSRKPETPHEMWFHDVYKEQIKSAILNFKIPPASSAALGDVWQPFNNIAASLASYQRKSSISLGEVAPQLALLSSSDAPMPGLEKQITISESEEGNTAPKGIVRIASFSDQITILSTKTKPKKLLIVGSDGEKYIYLLKGREDLRLDARIMQLLQAINGFLHSSSVTYGQSVGIRFYSVTPISGRAGLIQWVDNVVSIYSVFKSWQNRVQVAELSAMGANAKHMVPPPIPRPMDMFYGKIIPALKEKGIRKVISRRDWPHEVKRKVLLDLMKETPNQLLYNELWCASDGFRAFHSKQKRYSGTLAAMSIVGHILGLGDRHLDNILIDFCTGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQTIEAALGLTGVEGTFRANCEAVLGVLKKNKDIILMLLEVFVWDPLVDWTRGDFHDDAAIFGEERKGMELAVSLSLFASRMQEIRVPLQEHHDLLLASLPAVESAIERFTSILNQYEIVSALFYHADQERSNLVLQETSVKSIVAEATSNSDKIQASLQIQAREFAQAQAMVVEKAQEATTWIEQHVRVLDAIRSSSIPEINAHTTLTDAEQALSLTSAVLVAGVPLTIVPEPTLAQCQDIDREVSQLVAELDHGLSSAISALQTYSLALQRILPLNYHTTNPVHGWSQILQLAVNNLSSDILSLSRRQASELIGKVHVDKSDTLKIRYDELCFKVGQYAAEIGRLEEECAELVNSIGQETEIKAKDCLFSAFLKYMQSTGLERKEDSNKLGSLNLKGPQDAGSQEKFEVNKEKLLTVLSIAVSSLYSDVKHKLVKSITSFTGTEIPPQSNLGAFFCEFEEQIEKCMLIAGFLNEVQQYIGTGFDSATSSHEVNWASVFKTSLLSCKSLVREMVEYVLPEVIRTVILFNSEILDVFGSLSQIRGSIDTALEQLIEVELERASLVELEQNYFVKVGLITEQQLALEEASIKGRDHLSWEEAEELASQEEACRAQLDKLHRTWNQKDLRTSSLMKKETNIRSALVSSEHHLQSLITTEEDREPHALRSRALLAALLQPFSELESVDRTLSSLGAPIASRSSGISHLANSINSGSLISGYIWNFPGIISSSHAFLIWKISLVDSFLDSCVHDAALPVAQTLGFDQLVDVVKKKLEPQLQKNIGEYLRERVAPVLLERLEKEIESLKHMTESRKDFTFDQIKNNFGAIKEVQIMLEEYCNAHETVRAATSAVSVMKRQVNELKDNLLKTSLEIVQMEWMHDMTVNPLQNNRLMSHKFLASSDKLVSILLNISRPKLLESLQSSIAKIAKSLEGIQACERTFITAEGQLERAMGWACGGASTSAMGNTSARNSGIPPEFHEHLIRRRQLLSEAREKASDVMKLCISILEFEVSRDGFFRTSEELCTSRTNVDGRLWEQAYLNAITKLDVTFHSFTRTEQEWKLAQSNMEIASSSLFTATNEVCIASVKAKSASGDLQNTLLAMRDCACEASVALSAFGNITRGHTALTSECGSMLEEVLAVTEGVHDVHSIAKEAVALHSSMMEDLSKACAILLPLETVLSKDVTAMTEALAKERETKTEISPVHGQAMFQSYHSRIKDTYQAFKPLVPSVTSSVEGLLSMLTNLARSASLHAGNLHKALEGLGESQEVRSQDLNPSKSDIFNLDNMYNSKNEIPESGETGENFFDVSRLSLHDKGWISPPESITSCSSDSCVTSSETSLADTLNGPDIMDPIHHSVDGREGREHSLLSPSVGIPLPEMSPSEQSRSQNIQETSGLKLLPNIETSLSTQERVEDNSEAPVTNTEASCRTRGKNPYAVSVLRRMEMKLDGRDIVDDRELSVGEQVDYLLKQATSIDNLCNMYEGWTPWI >itb09g01720.t3 pep chromosome:ASM357664v1:9:1032023:1050710:-1 gene:itb09g01720 transcript:itb09g01720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLHHQQQQLAALLAAALPKDDTSKSTPLPPLPQTPSSSTPSSSAATTSEEDESSRIAALTSLHRTILYPPNSLLVTHSASFLAQGFSQLLSDKCYSVRHPAATAYGALCAVLCSISMGPNGRQNHVILGSLVDRFIGWALPLLGNVGDGSLDVALEGLREFLSIGDVGAVERFALPILKACQELLEDERTSFNLLRRLLDVLTLISLKFFRCFQPHFVDIVDLLLGWVLVPDLADTDRRVIMDSFLQFQKYWVNNMQFSLGLLSKFLGDMDVLLQDGSPGTLQQFQRLLALLSCFSTVLQSMASGLLEINMLEKINEPLCKMVPILLGCISLIGRKFGWSKWIEDSWKCLTLLAEILREHFSPFYSIAVDILFQSLDMEGKEQFMGSKKLTSFQVHGILKTNLQLLSLQKLGLSPSSVHKILQFTAPISKLRLHPNHLVTGSSAATYIFLLQHGNNEVIEMAVSTVLEELEPLKQALGETLSSGDMICNTAVPKSYSKSELVALIKFDLRVLSSCVSLPGCSSFIGQGEIDTLYVSRSEKLISCIIDRLDPFNSTIQNDVELQVTVLKTLERLAEVEFLCKCSLGKERTVEASLDSASQNSQKGDDWRHEPPVIILQYLKKFSVLLAKAIHHSSPLALKIEGLQWMHKFCGNVISIYDNSKALFSPCEAFGYVDIFQDLLFSILDAASDREHKVRSLVASVLEMLLQAKLIHPIHFIVTAETVLEKLGDPDTDIKNVFVRVLSNMLPLTVYLCGLNDNGLTTTYIPGDCRISNRTNLHWKQLFALKPLPQQLHSQQLVSILSYIAQRWKVPLSSWIQRLICSCQRSNNISLTQLEEAADVGPKGLLWDIKVDEDTLERACSANILAGAWWAIHEAARYCITTRLRTNLGGPTQTFAALERMLLDVANVLELDADQSDGSLNIISSSYAHLLPMRLLLDFVEALKKNVYNAYEGSLVLPCPSRQSSLFFRANKKVCEEWFSRICEPMMNAGLALHCHDATIHYCALRLQELRNLVALSMRDKSRAQVNESLHSIRVRFAGDIMRVLRHIALALSKNHEPEVLIGIKKWATTVFSPLFIDENQTVNDRGTLGYFSWISGLVYQAKGQHEKAAAHFIHLLQTEDSLTFMGSDGVQFAITRIIESYVAVSDWKSLESWLLELQTLRAKHAGKSYSGALTTAGNEINSIQALARFDEGELQAAWACLDLTPKSSSELTLDPKLALQRSEQMLLQAMLHQIDGKVDKVSHELQKAKSMLEEPLSVLPLDGLSRAAPYVNQLYCLLAFEECFKLKGAQNQHFPSLLNSYLQTMHSPINQIHQDCNIWLKVLRVYRAAHPTLPSTLKLCMNVMSLARKQGNLMLANRLEKYLIDNISSCPEGSIRDHIISSLNYEQILLMFAENKIEDAFTSLWSFLRPCMVSPSVVSSDCVDNALKAKACLKLSHWLRQDYSDARLEDIVLKMKGDFYAHETYCGKEGSSFNNDQLTSKENMNLIYEEIVGTATKLSSRLCPTMGKSWISYASWCFTQARSSLLVPVETALQSCTFSPILTSELLPGRFGLTKEEFLKVKDIIFQLLWNRSLVKELNENAGDFDVSFISSEHIENEGLARPLLQQVVDIIESEAGASGAEDFCGECLSATVTSKLQKCFATVKVPMEEASVISLIGDLVDIWWSLRRRRVSLFGHAAQAFMNFLSHASSRSFDGQLTGFDKVSKYKSTNYTLKATLYVLHILVNFGPELKDTLGIMFSAVPLLPWQEITPQLFARLCSHPEQVVRKQVESLLVMLAKLSPWSVVYPTLVDANSCEKKPPEELQRILACLNELYPRLVQDVQMMIKELENVTVLWEELWLSTLQDLHADVMRRINLLKEEAARIAENATLSHGEKSKINAAKYSAMMAPIVVVLERRLASTSRKPETPHEMWFHDVYKEQIKSAILNFKIPPASSAALGDVWQPFNNIAASLASYQRKSSISLGEVAPQLALLSSSDAPMPGLEKQITISESEEGNTAPKGIVRIASFSDQITILSTKTKPKKLLIVGSDGEKYIYLLKGREDLRLDARIMQLLQAINGFLHSSSVTYGQSVGIRFYSVTPISGRAGLIQWVDNVVSIYSVFKSWQNRVQVAELSAMGANAKHMVPPPIPRPMDMFYGKIIPALKEKGIRKVISRRDWPHEVKRKVLLDLMKETPNQLLYNELWCASDGFRAFHSKQKRYSGTLAAMSIVGHILGLGDRHLDNILIDFCTGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQTIEAALGLTGVEGTFRANCEAVLGVLKKNKDIILMLLEVFVWDPLVDWTRGDFHDDAAIFGEERKGMELAVSLSLFASRMQEIRVPLQEHHDLLLASLPAVESAIERFTSILNQYEIVSALFYHADQERSNLVLQETSVKSIVAEATSNSDKIQASLQIQAREFAQAQAMVVEKAQEATTWIEQHVRVLDAIRSSSIPEINAHTTLTDAEQALSLTSAVLVAGVPLTIVPEPTLAQCQDIDREVSQLVAELDHGLSSAISALQTYSLALQRILPLNYHTTNPVHGWSQILQLAVNNLSSDILSLSRRQASELIGKVHVDKSDTLKIRYDELCFKVGQYAAEIGRLEEECAELVNSIGQETEIKAKDCLFSAFLKYMQSTGLERKEDSNKLGSLNLKGPQDAGSQEKFEVNKEKLLTVLSIAVSSLYSDVKHKLVKSITSFTGTEIPPQSNLGAFFCEFEEQIEKCMLIAGFLNEVQQYIGTGFDSATSSHEVNWASVFKTSLLSCKSLVREMVEYVLPEVIRTVILFNSEILDVFGSLSQIRGSIDTALEQLIEVELERASLVELEQNYFVKVGLITEQQLALEEASIKGRDHLSWEEAEELASQEEACRAQLDKLHRTWNQKDLRTSSLMKKETNIRSALVSSEHHLQSLITTEEDREPHALRSRALLAALLQPFSELESVDRTLSSLGAPIASRSSGISHLANSINSGSLISGYIWNFPGIISSSHAFLIWKISLVDSFLDSCVHDAALPVAQTLGFDQLVDVVKKKLEPQLQKNIGEYLRERVAPVLLERLEKEIESLKHMTESRKDFTFDQIKNNFGAIKEVQIMLEEYCNAHETVRAATSAVSVMKRQVNELKDNLLKTSLEIVQMEWMHDMTVNPLQNNRLMSHKFLASSDKLVSILLNISRPKLLESLQSSIAKIAKSLEGIQACERTFITAEGQLERAMGWACGGASTSAMGNTSARNSGIPPEFHEHLIRRRQLLSEAREKASDVMKLCISILEFEVSRDGFFRTSEELCTSRTNVDGRLWEQAYLNAITKLDVTFHSFTRTEQEWKLAQSNMEIASSSLFTATNEVCIASVKAKSASGDLQNTLLAMRDCACEASVALSAFGNITRGHTALTSECGSMLEEVLAVTEGVHDVHSIAKEAVALHSSMMEDLSKACAILLPLETVLSKDVTAMTEALAKERETKTEISPVHGQAMFQSYHSRIKDTYQAFKPLVPSVTSSVEGLLSMLTNLARSASLHAGNLHKALEGLGESQEVRSQDLNPSKSDIFNLDNMYNSKNEIPESGETGENFFDVSRLSLHDKGWISPPESITSCSSDSCVTSSETSLADTLNGPDIMDPIHHSVDGREGREHSLLSPSVGIPLPEMSPSEQSRSQNIQETSGLKLLPNIETSLSTQERVEDNSEAPVTNTEASCRTRGKNPYAVSVLRRMEMKLDGRDIVDDRELSVGEQVDYLLKQATSIDNLCNMYEGWTPWI >itb09g01720.t1 pep chromosome:ASM357664v1:9:1032024:1050710:-1 gene:itb09g01720 transcript:itb09g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLHHQQQQLAALLAAALPKDDTSKSTPLPPLPQTPSSSTPSSSAATTSEEDESSRIAALTSLHRTILYPPNSLLVTHSASFLAQGFSQLLSDKCYSVRHPAATAYGALCAVLCSISMGPNGRQNHVILGSLVDRFIGWALPLLGNVGDGSLDVALEGLREFLSIGDVGAVERFALPILKACQELLEDERTSFNLLRRLLDVLTLISLKFFRCFQPHFVDIVDLLLGWVLVPDLADTDRRVIMDSFLQFQKYWVNNMQFSLGLLSKFLGDMDVLLQDGSPGTLQQFQRLLALLSCFSTVLQSMASGLLEINMLEKINEPLCKMVPILLGCISLIGRKFGWSKWIEDSWKCLTLLAEILREHFSPFYSIAVDILFQSLDMEGKEQFMGSKKLTSFQVHGILKTNLQLLSLQKLGLSPSSVHKILQFTAPISKLRLHPNHLVTGSSAATYIFLLQHGNNEVIEMAVSTVLEELEPLKQALGETLSSGDMICNTAVPKSYSKSELVALIKFDLRVLSSCVSLPGCSSFIGQGEIDTLYVSRSEKLISCIIDRLDPFNSTIQNDVELQVTVLKTLERLAEVEFLCKCSLGKERTVEASLDSASQNSQKGDDWRHEPPVIILQYLKKFSVLLAKAIHHSSPLALKIEGLQWMHKFCGNVISIYDNSKALFSPCEAFGYVDIFQDLLFSILDAASDREHKVRSLVASVLEMLLQAKLIHPIHFIVTAETVLEKLGDPDTDIKNVFVRVLSNMLPLTVYLCGLNDNGLTTTYIPGDCRISNRTNLHWKQLFALKPLPQQLHSQQLVSILSYIAQRWKVPLSSWIQRLICSCQRSNNISLTQLEEAADVGPKGLLWDIKVDEDTLERACSANILAGAWWAIHEAARYCITTRLRTNLGGPTQTFAALERMLLDVANVLELDADQSDGSLNIISSSYAHLLPMRLLLDFVEALKKNVYNAYEGSLVLPCPSRQSSLFFRANKKVCEEWFSRICEPMMNAGLALHCHDATIHYCALRLQELRNLVALSMRDKSRAQVNESLHSIRVRFAGDIMRVLRHIALALSKNHEPEVLIGIKKWATTVFSPLFIDENQTVNDRGTLGYFSWISGLVYQAKGQHEKAAAHFIHLLQTEDSLTFMGSDGVQFAITRIIESYVAVSDWKSLESWLLELQTLRAKHAGKSYSGALTTAGNEINSIQALARFDEGELQAAWACLDLTPKSSSELTLDPKLALQRSEQMLLQAMLHQIDGKVDKVSHELQKAKSMLEEPLSVLPLDGLSRAAPYVNQLYCLLAFEECFKLKGAQNQHFPSLLNSYLQTMHSPINQIHQDCNIWLKVLRVYRAAHPTLPSTLKLCMNVMSLARKQGNLMLANRLEKYLIDNISSCPEGSIRDHIISSLNYEQILLMFAENKIEDAFTSLWSFLRPCMVSPSVVSSDCVDNALKAKACLKLSHWLRQDYSDARLEDIVLKMKGDFYAHETYCGKEGSSFNNDQLTSKENMNLIYEEIVGTATKLSSRLCPTMGKSWISYASWCFTQARSSLLVPVETALQSCTFSPILTSELLPGRFGLTKEEFLKVKDIIFQLLWNRSLVKELNENAGDFDVSFISSEHIENEGLARPLLQQVVDIIESEAGASGAEDFCGECLSATVTSKLQKCFATVKVPMEEASVISLIGDLVDIWWSLRRRRVSLFGHAAQAFMNFLSHASSRSFDGQLTGFDKVSKYKSTNYTLKATLYVLHILVNFGPELKDTLGIMFSAVPLLPWQEITPQLFARLCSHPEQVVRKQVESLLVMLAKLSPWSVVYPTLVDANSCEKKPPEELQRILACLNELYPRLVQDVQMMIKELENVTVLWEELWLSTLQDLHADVMRRINLLKEEAARIAENATLSHGEKSKINAAKYSAMMAPIVVVLERRLASTSRKPETPHEMWFHDVYKEQIKSAILNFKIPPASSAALGDVWQPFNNIAASLASYQRKSSISLGEVAPQLALLSSSDAPMPGLEKQITISESEEGNTAPKGIVRIASFSDQITILSTKTKPKKLLIVGSDGEKYIYLLKGREDLRLDARIMQLLQAINGFLHSSSVTYGQSVGIRFYSVTPISGRAGLIQWVDNVVSIYSVFKSWQNRVQVAELSAMGANAKHMVPPPIPRPMDMFYGKIIPALKEKGIRKVISRRDWPHEVKRKVLLDLMKETPNQLLYNELWCASDGFRAFHSKQKRYSGTLAAMSIVGHILGLGDRHLDNILIDFCTGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQTIEAALGLTGVEGTFRANCEAVLGVLKKNKDIILMLLEVFVWDPLVDWTRGDFHDDAAIFGEERKGMELAVSLSLFASRMQEIRVPLQEHHDLLLASLPAVESAIERFTSILNQYEIVSALFYHADQERSNLVLQETSVKSIVAEATSNSDKIQASLQIQAREFAQAQAMVVEKAQEATTWIEQHVRVLDAIRSSSIPEINAHTTLTDAEQALSLTSAVLVAGVPLTIVPEPTLAQCQDIDREVSQLVAELDHGLSSAISALQTYSLALQRILPLNYHTTNPVHGWSQILQLAVNNLSSDILSLSRRQASELIGKVHVDKSDTLKIRYDELCFKVGQYAAEIGRLEEECAELVNSIGQETEIKAKDCLFSAFLKYMQSTGLERKEDSNKLGSLNLKGPQDAGSQEKFEVNKEKLLTVLSIAVSSLYSDVKHKLVKSITSFTGTEIPPQSNLGAFFCEFEEQIEKCMLIAGFLNEVQQYIGTGFDSATSSHEVNWASVFKTSLLSCKSLVREMVEYVLPEVIRTVILFNSEILDVFGSLSQIRGSIDTALEQLIEVELERASLVELEQNYFVKVGLITEQQLALEEASIKGRDHLSWEEAEELASQEEACRAQLDKLHRTWNQKDLRTSSLMKKETNIRSALVSSEHHLQSLITTEEDREPHALRSRALLAALLQPFSELESVDRTLSSLGAPIASRSSGISHLANSINSGSLISGYIWNFPGIISSSHAFLIWKISLVDSFLDSCVHDAALPVAQTLGFDQLVDVVKKKLEPQLQKNIGEYLRERVAPVLLERLEKEIESLKHMTESRKDFTFDQIKNNFGAIKEVQIMLEEYCNAHETVRAATSAVSVMKRQVNELKDNLLKTSLEIVQMEWMHDMTVNPLQNNRLMSHKFLASSDKLVSILLNISRPKLLESLQSSIAKIAKSLEGIQACERTFITAEGQLERAMGWACGGASTSAMGNTSARNSGIPPEFHEHLIRRRQLLSEAREKASDVMKLCISILEFEVSRDGFFRTSEELCTSRTNVDGRLWEQAYLNAITKLDVTFHSFTRTEQEWKLAQSNMEIASSSLFTATNEVCIASVKAKSASGDLQNTLLAMRDCACEASVALSAFGNITRGHTALTSECGSMLEEVLAVTEGVHDVHSIAKEAVALHSSMMEDLSKACAILLPLETVLSKDVTAMTEALAKERETKTEISPVHGQAMFQSYHSRIKDTYQAFKPLVPSVTSSVEGLLSMLTNLARSASLHAGNLHKALEGLGESQEVRSQDLNPSKSDIFNLDNMYNSKNEIPESGETGENFFDVSRLSLHDKDTLNGPDIMDPIHHSVDGREGREHSLLSPSVGIPLPEMSPSEQSRSQNIQETSGLKLLPNIETSLSTQERVEDNSEAPVTNTEASCRTRGKNPYAVSVLRRMEMKLDGRDIVDDRELSVGEQVDYLLKQATSIDNLCNMYEGWTPWI >itb09g04990.t1 pep chromosome:ASM357664v1:9:2848449:2849338:1 gene:itb09g04990 transcript:itb09g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANFCCFSRSRRSERAAGEVTSTRCYRIIPHRDTSACDNKAKYTTLNEYFLASPALNHAPPSGKVFAYKSSPEASAFHTPRVSLSSPDQHAATAAGFFSPRLSFSTAAERLVKIEETEEKKEVSPSRSAMMSRSRSSSGRFKKKVTFKLPENADVVVFCTPHESFEDP >itb05g22870.t1 pep chromosome:ASM357664v1:5:28161120:28165718:-1 gene:itb05g22870 transcript:itb05g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMITSCSDSSHNSLNIHSHKLHHSSSSSRPCFLPVLGKPNKITHHSLHFFRSNLKQQHGHFIVKAASDPSSVPQPPSKSPFPGWQWLMGILLSVVIPILRTKGGPLALLKNNLDKALETVEEVVEVVEEIALEVDKVAEEIEKKLPGDSKLKKSLDSIENLAEQAVSYAKQAEGVINKVEEIEKQVEEEFLKAKSTSEGQDTTSME >itb04g05070.t1 pep chromosome:ASM357664v1:4:3149112:3149537:1 gene:itb04g05070 transcript:itb04g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGTCNQEKASQISAKIITGYQFVPRNNEDEIVKAVANQPISVAIDALGKPFRFYKNGVFNDEGCSTRTNHGVTVVGYGELAKTTGQKYWLIKNSWGAKWGDGGYIRMVRNNGKPGGICGIATMASYPTANQIIQFNQNY >itb02g02660.t1 pep chromosome:ASM357664v1:2:1555153:1557982:1 gene:itb02g02660 transcript:itb02g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGVQQATGPAVVNPGNRWLRVAAPLIMSSIFPSSSSSSRPLLFIFIGMLLLLSHVCAAAPSSLGTNRDSPVDSSAEALISFKNSLVAGPNGITAARALASWDPSTSPCSGNNENWRGVLCYNGDIWGLQLENLNLSGDIDVDSLTPLRFLRTLSFMNNAFEGLMPDWRKLGALKSLFLSNNHFSGEIPDNAFSSMTSLKKVYLSNNNFIGNIPLSLAITPRLLELRLENNHFTGTIPDFHPGLRLFNVSNNQLEGRVPPSLSLMDPSIFSGNKDLCGKPLDTPCNPKSTPPAPTPHPTYPGGSNGGSSNNPAESYFENNTLLLIVICCLAFVVFLLVIIILLYNFRSQGRGGVDPGYSPYNDHPDNFTAVTTSPEMVLPVVPSAYTTNPTIAPPKAGGGATPGVGKLTFVKGDRLMFDLQDLLKASAEVLGSGNLGSSYKALMMDGQAVVVKRFKHMNHVGREDFHEHMRRLGRLEHPNLLPLVAYYYRKEEKLLVVDHIPNGSLASHLYGNDSRLDWRTRLKIIKGVAKGLAYLQTELPSLVTYHGHLKSSNVLLDKSFNPIMMDYTLGPVVNLDQLPNVLIAYKCPEYALQGRTTRKTDVWTLGILILETLTGRFPANYLMQCPGYGSELASWIECIAAGLEVSGHAGGQSDTIFDKEMGDTQASRGEMQKMLKIGLACCQEDLGKRWELKEVLEELKKIKEQDDD >itb07g20500.t1 pep chromosome:ASM357664v1:7:24887115:24888953:1 gene:itb07g20500 transcript:itb07g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRLRLAYIVNESKRKTSYRKRKNGMLKKLNELTILCGVDVAIVMYNSFESGPVIWPSAGEFLQRITRLLNLPYAEQTRRMMSHESFVEQRLHKLSIKLLKVKKDNREGEMNALMHKILSGERTIDSLSSIDLNDLGWVLNSNLAKIGNKAEEIMRHSSTLASSTLAPAQTLTAFLPGAHSFTTASPFVVSLGTSNVVAPADVFSRVEVEHQFSLCDLHCFAPATLPGCSRGP >itb06g01560.t1 pep chromosome:ASM357664v1:6:2752491:2758617:1 gene:itb06g01560 transcript:itb06g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHAELTTEQVLTRDIPWETYMTTKLITGTGLQLLRRYDKKAESYKTQLLDDDGPAYIRVFVSILRDILKEETLEYVLALIDEMLTVNPKRARLFHDKSLADEDTYEPFLRLLWKGNWFVQEKSCKILSLIVSVRPKVQEDGAGNGEASNSKKKVTTIDDVLKGLVEWLCVQLKRPSHPSRGIPTAINSLATLLKEPLVRSSFVQVDGVKLLVPLISPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRALPRLIDVVKGSTKEKVVRVVVLTLRNLLYKGTFGALMVDLGVLHIVQNLKAQAWSDEDLLEALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPAFWRDNITNFEENDFQILRVLITILDTSTDPRTLAVACFDLSQFIQCHPAGRVIVTDLKAKERAMKLLNHENVEVTKNALLCIQRLFLGAKYASFLQV >itb12g21300.t1 pep chromosome:ASM357664v1:12:23671715:23673958:-1 gene:itb12g21300 transcript:itb12g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETWMKKHAPLYTAATRHPFILSIRDGSIDVSAFKRWLGQDYLFVRAFVPFVASVLLKACKESDEGSDMEVILGGVASLNDEISWFKAEASKWNVPLTTLLPQKANLDYCSFLESLISPEVEYTVAVTAFWAIEAVYQESFAHCLQEGSKTREDLKETCERWGNDGFGQYCKSLQSIANRCLSKAPDDVVSRAERVVLRVLELEVEFWNMSLEEI >itb05g20080.t1 pep chromosome:ASM357664v1:5:26302393:26305405:-1 gene:itb05g20080 transcript:itb05g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNLFFATIFLLSLFCPKTASRTLTNPPCAPSSCGDLHNISFPFRLNTDPENCGDSRFVLECRNNQATLTLTEKKYNVLAIDYSDYTIRVVDPGLQDQINHQNCTVFPKYFETSDYFDSGLMYTPAGDNIPVVYVTCSSSPQKYTPTNFCAKPRNNNSYVAIGDSMSIQDVAEGCSVEMVGWGSVQGLSPADNTSLSSIHGALGYGVELSWKRPYLCRDCEQSGGQCYFDDPQNPYAVTCSHRCYDDTGFDLPLPCSIHYYGVLVVMYGVMAIVAILGFRLLFGIIFLIALVTYRWRRRHLSADNTIEDFLNSQNKLLPINYSYGEIKKMTNDFKEKLGEGANGTVYKGKLRSGPFVAVKMMEKSLATGKEFISEVATIGRIHHVNVVHLIGFCVEGSNRALVYEFMPNGSLDKYIFSEEGIIPLSYKQMFEISLGVARGIDYLHRGCDKQILHFDIKPHNILLDEHFAPKISDFGLAKLYPTDNSIVTLTAARGTVGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASKRRNMNPFTDDNNQIYFPTWIYDQIIEGKDVIMSGNGSEEEKKVVKKMIVVALWCIQMKPVDRPAMNKIVEMLEGDGDGDELLVQMPPRPFVAPREMNAGSIELSIMPDGSSLR >itb03g05980.t1 pep chromosome:ASM357664v1:3:4231928:4234284:1 gene:itb03g05980 transcript:itb03g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNGKSSSGGYSQFTSVRTGDSDICVKGEIFPVSNLKIYSFGDMKAATNKFRQDTILGSGGFGTVYKGWVNGKTLAPSKYANRMCVAIKKLSPDGSQGFEQWQSEVNFLGKLSHPNVVKLIGYCQEDEELLLVYEFMQKGSLDNHLFRRGDAIQWDLRLKIAIGAARGLAFLHTSERQVIYRDMKTSNILLDGNYNAKMSDFGLARLGPSGENSHVTTRVMGTMGHAAPEYVTTGHLSVKSDVYGFGVILLEILTGLRTIVPSRPSNQHFLAKWVKSMDLSQKGKLKSIMDPKMEGQYCTKAALQVAQLALRCLENEPCKRPSMNEVVQILEEIEAMGNQHT >itb09g18110.t1 pep chromosome:ASM357664v1:9:13549210:13552725:-1 gene:itb09g18110 transcript:itb09g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSFVYALALLDSLATNWAHSLAPQMADQTIYEGEGGGYYTWSTSGAPFLSQAKLGAGKLVLQPNGFAIPHYTDAKKIGYVLQGRCVVGIISPNSTTEKVVKIEKGDVIPVKLGAVSWWYNEDDSDVVIVFLGDTTNSIIPGQISFYFSTIQLGGFSTEFLAKGFGLTASESKALFENQNAPTIITKLKAKLNISDPPNNNRKDLVFNLENASPFANIKNGGSLVSATAGNFPLLNHVGLSVFLVKLEPKSMLTPGYTADASYKLCYVSRGSARIQIVGLNGQLVLDDKVEAGQLFVVPKFFSASLIADKGLELVATLTSSEPILGNLAGYGSVWKALSPSILKASLNLSMEDEDIFKAKIAEATIIIPPNIN >itb07g03820.t1 pep chromosome:ASM357664v1:7:2573302:2576672:-1 gene:itb07g03820 transcript:itb07g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSSDGNKNAFKALIVAEYCGVKVELTKNFQMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVAKLKPDNPLFGSSLIEYGHIEQWTDFSATEIDANIAKWLYPRLGFVTYLPPAEEAAIATLKRSLTALNTHLASNTYLVGHSVTLADIVMICNLSAGFKWIMTKSFTKEFPHVERYFWTLVNQPKFVKIMGEVKQAESVPPVASKKPAQPKESAKPKKEEPKKEAKKEAKKEETKPKDEADEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGKEIPKFVMDECYDMELYEWKQVDINDEAQKERVSQMIEDAEPFEGEALLDAKCFK >itb11g10890.t1 pep chromosome:ASM357664v1:11:7756318:7757955:1 gene:itb11g10890 transcript:itb11g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSPLILCTLLLLTVSSSNVFVVHAFVGTYGVNYGRIADNIPSPESVVTLLRANKIKNIRIYDADHSVLRAFKGSGVEIIVGLPNEYLKGISASQDSAVDWVKQNVEAFLPGTKIVGIAVGNEILGGGDAQLWEVLVPAVKNVYSALETLHLSKKIEVSSPHSEAVFESTYPPSAGAFKQSLLPYLKPLLQFFHHTGSPFYINAYPFLAYKFDPSHINLQYALFESNAGIYDDTTKLHYDNMFDAMLDASFFALEKLGFAKMEVIVSETGWASKGDGDEAGANVKNALTYNNNLRKRLMKKKGTPYRPKAVVRAYIFALFNENLKPGPTSERHFGLFKHNGRLAYKNGFKGLDSASAAASSFLSCKVSLICAAMVILLLGS >itb10g20530.t1 pep chromosome:ASM357664v1:10:26074219:26078916:-1 gene:itb10g20530 transcript:itb10g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMERSSTMAREKRALDPGSGSEEGQPDRKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAQINARVSPKRLEGPDGRNLQLHFRSKLSLPLFTGGKVEGEQGSAVHIVLIDGNTGHVVTSGPESSVKLDVVVLEGDFNNEDENGWTQEEFESHIVKEREGKRPLLAGESQVILKDGVGTLGELTFTDNSSWIRSRKFRLGLKVASGCCEGIHIREAKTDAFTVKDHRGELYKKHYPPALSDEVWRLEKIGKDGSFHKRLNKSGIYTVEDFLRLVVKDPQRLRNILGSGMSNKMWEALVEHAKTCVLSGKLYVYYPDEYLKNVGVVFNNIYELCGLIAGGEYHSVDSLSDDQKGYVDSLVKKAYDNWMHVIEYDGKSLMNLNENKNSDSPQNDLLTASQNHSNSFNHQLNMPSLSASISSEQPDLDPGLNVRGYNDNSGSMFPNVSASVQLSDASFQNHSVGASQQALPSGNYNMLPLGPQQSSMPSFLAPGTSNLALYSGADDFFTEEIRLRSHEILGNQDMQQLLHVFNSGGSGRPPANVITEDSYPYTSQQAPDMPSAFGFNEDRSRSGKAVVGWLKLKAALRWGIFIRKKAAERRAQIVELDDL >itb09g15900.t1 pep chromosome:ASM357664v1:9:11217952:11218581:-1 gene:itb09g15900 transcript:itb09g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAKKLCYERRAACIMKKAMELQILCSLKVGVVILSPDGKLESWPPELNDVKALLDACKEMGPRVKSEKRKDQAVETSSHSCREILVSKLQLLKQREELFKGKEIATASRGGETLVRSKKRKDFGEQGCLEILNSKLQLLKKREMVFLNRSGNSEASAEGKEIFSMEEGIESLCDGILLTQNLYDDLHLGGKGKGIATMDSGILQRF >itb13g09100.t1 pep chromosome:ASM357664v1:13:12156679:12157029:1 gene:itb13g09100 transcript:itb13g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGCRRWSAVQLMGGSGADGSWLRPTPSTSLRLFSSLPRSFFFLLAATIREWWRWVSDIVANSGASLSGTRYFSFFSCLLHSFLEMKGCFVWEMKQVSSCENGQVLHFYDPSIPW >itb13g01110.t1 pep chromosome:ASM357664v1:13:1050762:1053439:1 gene:itb13g01110 transcript:itb13g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQRSILARALHHRLRYFSQSAAAVAVPPDSPAPEPPSLTYLDGFPRPDPKYAETIHAIPRAISGKNISSKERKAGRVPSIVFEQEDGQHGGNKRLISVQTNQIRKLVTHLGRTYFLSRLFDLELRPNFDSEEIVEKVRVLPRQIHLHAGTDALLNVTFIRAPSSALLKVDIPVVFRGDDVSPGLKKGSYLNIIKKTVKFLCPADIIPPYIDVDLSELDVGQKILMGDLKVHPALKLIQPKDHPVCKIMGARVSDQRKSK >itb09g27880.t1 pep chromosome:ASM357664v1:9:28481272:28481940:1 gene:itb09g27880 transcript:itb09g27880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLPPRRRRRRVFGLHQVEFHGVNLSVTVTKRAAEVDQWVSEVVHNNRRVLHNLIVGLDIEWHPCLVGEHNPAATLQLCVGKTCLIFQLLHKDYTPLSLLAFLANPQFTFVGVGVGDDAQKLLRDHGLVVENFADLRWVGAGVYGSERFMRMGLKRMAWEVVGMVMEKPLEVTLSDWDSNTLTFRQIEYASIDAFVSSEIGIKLFISLHRVTGTGIPFSC >itb08g06180.t1 pep chromosome:ASM357664v1:8:5285947:5290610:1 gene:itb08g06180 transcript:itb08g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLVSSTCSTFSPILSPHKHALTAKPFFARAARLPFLAHASQHVNAPPPPMAKEKEAKLWGGRFEESVTDAVEKFTESISFDKALYKHDIMGSRAHASMLAVQGLISENDRDTILQGLDEIERQIEAGKFLWRTDREDVHMNIEAALTDLVGESAKKLHTARSRNDQVATDFRLWCRDAIDRIISRIKNLQVALIKLAIKNEGLIVPGYTHLQRAQPVLLQHLLLAYVEQLERDAGRLADCRERLNYCPLGACALAGTGLPIDRFMTSDALGFTAPMRNSIDAVSDRDFVMELLSANSITAIHLSRLGEEWILWASEEFGFVTPSDAVSTGSSIMPQKKNPDPMELVRGKSARVVGDLVSLLVLCKGLPQAYNRDLQEDKEPVFDSVKTIAGMLEVSTEFAQNITFNQERIQKALPAGHLDATTLADYLVKKGIPFRTSHDIVGRAVALCVSRNSQLLDLSLDELNSISPVFDNEVYEFLGVENSIKKFSSYGSTGSECVASQLDYWIAKLKIQ >itb03g02550.t1 pep chromosome:ASM357664v1:3:1451296:1451791:-1 gene:itb03g02550 transcript:itb03g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAPHTSPPTWESNVVIPITHPPTYLNSLTTLQSPYKKHHSRLASFFFFLTNHLPGSNIKIEMVMMGLERLVVSLKTKLRRSVKTKNNSSYDKIEKSDSMRVEIRSRKAQKLIQETLKIADSPHTKSFPF >itb04g16340.t2 pep chromosome:ASM357664v1:4:17881861:17890936:-1 gene:itb04g16340 transcript:itb04g16340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSMPINEKVMDYLDSVLHFSQIFGRRAEEHGTLVIDIIFSTVFQLLDASLDDEGLLQLTPEKKSRWLNKPEDMDLDGFNSYDAEKFEHKERLKSMNTVMCVELIGQFLRNKVTSRILYLARQNMPAHWGDFIQRIELLIANSSALQNSEVLTPDGLRQLTSGVHNLLSRDCRVNSLRDSQALMASKPLATCAGLFLGTSCSSFWLPLDLVLEDAIDGSQVSATSAIEIITGLVKSLQAINCTSWHETFLGLWMAVLRFVQRERDPIEGPVPRLDTRLCMLFSITTLVIADLIEEEESADPNGIEHSMDGRFEKQAYGQRRKDLISSLQSLAGYQSLLIPPESVISAANQAAAKAMMLVSGINIGSAYFECINLKDMPANCSGNLYHLIIEACIARKLLDTSVYFWPGYVNGRINQLPHSLPTQVPGWSSFLKGSMLTPVMVNALVSSPASSLAELEKIFEMAVKGSDDEKIAAATILCGASLIRGWNIQEHTVYFITRLLSPPVPAGYCGKDSHLICYAPMLNVLLVGIAPVDCVQIFSLHGMVPQLAGSLMTICEVFGSCVPDVSWKLPGGEEISAHAVFSNAFALLLKLWRFNHPPIEYGVGDVPPVGCQLTPEYLLLVRNSHLVSSGNILKDPHRRRLAAVVNSSSPNPIFVDSFPKLKVWYRQHLACIASTLSGLVNGTPVHQTVDVLLNMMFRKINRGSQSLTSVTSGSSSSSGPSEDTYPRPKLPAWDILEAVPFVADAALTACAHGKLSPRELCTGLKDLADFLPASLATIVSYFSAEVTRAIWKPAFMNGTDWPSPAANLSNVEEQIKKILAATGVDVPSLVAGGSSPATLPLPLAAFLSLTITYKLDKASQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWSDFLVFSASRTVFLHNNDAVVQLLKSCFSATIGTNASSSSITSSNGGIGALLGHGFGSHFQGGISPVAPGILYLRVYRSLRDIMFLREEIVSVLLQSVRDIAFSGLPRERLEKLKKAKNGMKYGNVSLVTGITKVKVAASLGASLMWLSGGFGLVQSLIKEILPSWFLSVHTSEQGGGDSAGIVPMLQGYALAYFTILCVVFAWGIDSSTSASKRRPKILCRHMEFLASALDGEISLGCDPGTWRAYVSGFLSLMVGCTPSWVCEVNVELLKRLSKGLRQWNEEELAFALLGTGGVGTMGLAAELIIETAS >itb04g16340.t1 pep chromosome:ASM357664v1:4:17881861:17891473:-1 gene:itb04g16340 transcript:itb04g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVQYSSIWDDVLELTKVAQEKGCDPLVWAIQVSSHLSSAGAPLPSIELAHLLVSHICWENNVPSAWKLLEKALALKIVPSLIVFPLLSNRVIPNRHSRPAAFRLYLELLKRHIFTLKMHVSMPINEKVMDYLDSVLHFSQIFGRRAEEHGTLVIDIIFSTVFQLLDASLDDEGLLQLTPEKKSRWLNKPEDMDLDGFNSYDAEKFEHKERLKSMNTVMCVELIGQFLRNKVTSRILYLARQNMPAHWGDFIQRIELLIANSSALQNSEVLTPDGLRQLTSGVHNLLSRDCRVNSLRDSQALMASKPLATCAGLFLGTSCSSFWLPLDLVLEDAIDGSQVSATSAIEIITGLVKSLQAINCTSWHETFLGLWMAVLRFVQRERDPIEGPVPRLDTRLCMLFSITTLVIADLIEEEESADPNGIEHSMDGRFEKQAYGQRRKDLISSLQSLAGYQSLLIPPESVISAANQAAAKAMMLVSGINIGSAYFECINLKDMPANCSGNLYHLIIEACIARKLLDTSVYFWPGYVNGRINQLPHSLPTQVPGWSSFLKGSMLTPVMVNALVSSPASSLAELEKIFEMAVKGSDDEKIAAATILCGASLIRGWNIQEHTVYFITRLLSPPVPAGYCGKDSHLICYAPMLNVLLVGIAPVDCVQIFSLHGMVPQLAGSLMTICEVFGSCVPDVSWKLPGGEEISAHAVFSNAFALLLKLWRFNHPPIEYGVGDVPPVGCQLTPEYLLLVRNSHLVSSGNILKDPHRRRLAAVVNSSSPNPIFVDSFPKLKVWYRQHLACIASTLSGLVNGTPVHQTVDVLLNMMFRKINRGSQSLTSVTSGSSSSSGPSEDTYPRPKLPAWDILEAVPFVADAALTACAHGKLSPRELCTGLKDLADFLPASLATIVSYFSAEVTRAIWKPAFMNGTDWPSPAANLSNVEEQIKKILAATGVDVPSLVAGGSSPATLPLPLAAFLSLTITYKLDKASQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWSDFLVFSASRTVFLHNNDAVVQLLKSCFSATIGTNASSSSITSSNGGIGALLGHGFGSHFQGGISPVAPGILYLRVYRSLRDIMFLREEIVSVLLQSVRDIAFSGLPRERLEKLKKAKNGMKYGNVSLVTGITKVKVAASLGASLMWLSGGFGLVQSLIKEILPSWFLSVHTSEQGGGDSAGIVPMLQGYALAYFTILCVVFAWGIDSSTSASKRRPKILCRHMEFLASALDGEISLGCDPGTWRAYVSGFLSLMVGCTPSWVCEVNVELLKRLSKGLRQWNEEELAFALLGTGGVGTMGLAAELIIETAS >itb01g20870.t1 pep chromosome:ASM357664v1:1:27077811:27078809:1 gene:itb01g20870 transcript:itb01g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKVVIGISMKDQRARTKAFKIAVSLSGVDSACIQAEKGQLEVVGDVDAVALANQLRKGLGQAELLSVGSAEKKDEKKAETPAPQTMMTITYDPSSYHYAAPYQYHAYPVQDQQPGCSIM >itb15g00220.t1 pep chromosome:ASM357664v1:15:147249:147659:-1 gene:itb15g00220 transcript:itb15g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKIFKACLNRLLQKIDSRVKPLAGSTDEWCCKCGCWNWASASVHEKVRIPKDVPKGHFVVYVGEYQKRFVIKIGLLNHPLFKALLDRTQEEQVYDLGADSKFWIPCEEELFISVVRCATPTPSRDPYISISIC >itb05g26050.t1 pep chromosome:ASM357664v1:5:30141700:30143818:-1 gene:itb05g26050 transcript:itb05g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGINWTMTGCTVCLMLTLHFTIQLASQHLLSWKKPKEQKAILVIIMMAPLYAIISYIGLIDFLGSKTFFTFLESLKECYEALVMAKFLALMYTYLNISISNNIVPDEIKGREIHHSFPMTLFQPRTVHLNHQRLKSLKYWTWQFVVIRPVCSILMISLQLLGTYPDWVSWTFTIILNISVSLALYSLVIFYHVFAKELAPHQPLAKFLCVKGIVFFCFWQGIALEILAAAGIIKSHHVWFDVEHIQEALQNIFVIVEMVEHFCDCGNGFLRVLSAKRIYSCSLSPGPGKDGSRHHRQEERLVCREHKFPQQQSYYAGSSSITHFPLLSNVA >itb10g10960.t1 pep chromosome:ASM357664v1:10:16020283:16020714:-1 gene:itb10g10960 transcript:itb10g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLGEGEVLPVREENGSSILEFPWGEGVITRRDHYAKSKLLTAWRKMFEKHRHDVSPAELAQLIREDLDGGLWFKRHFMVLLISSLISCMGNEYCNQIVFHHLDDVATISQQNWCRLRNLFLHMRCRLQAATHGFRVLSSS >itb04g31290.t1 pep chromosome:ASM357664v1:4:34184524:34187939:1 gene:itb04g31290 transcript:itb04g31290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGDNNHRCKKKKKIVTPEMQFRLSLDQCSKSKDLAAAISLYDSAQSSIRLTNQHFNSLLYICSNAVSNADTKQAAIEFGLRVYEKMVSGDAAPNEATVTSVARLAAANNDGEAAFKLAKGVGNAGRLRTYGPALFCFCRNGDADRAYEVEEHMVSIGLQLEEPELSALLKVSLEKGRQEDVYKYLHKLRMAVRGVSELTAEIIERWFGGEEACEVGLLDWDARQVKEMLLRNGGGWHGLGWLGKGNWVVQRSNIASDGLCHTCSEQLVCVDIDKAETEMFAQSVASLAMERESQSSFKEFQDWLEKHSDYEAVVDGANVGLYQQNFAEGGFSISQLVAVVEDLHNRSKKWPLVILHTKRVRALLENASHRELLEKWIDEGVLYATPYGSNDDWYWLFAAVKFKCLLVTNDEMRDHIFELLGSNFFIRWKERHQVRYTFVKGNLELLMPPLFSVVIQESEKGSWHVPLASETDNESSRSWLCITRPDCKDSNKVHVSLETSETSEIPSSDQFPNSDSNILNRSSDSSNNGSNKPELLAGKRKERS >itb12g21170.t1 pep chromosome:ASM357664v1:12:23561234:23564825:1 gene:itb12g21170 transcript:itb12g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSGRRTGPATSLLLMGCCPSPTSDISTSVSDFQYRNLSVNFSGLPRSNPSPRFDPMATLDTDVTMVPAGEGSSGGEPSTSSSTKKGKKFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >itb12g16860.t1 pep chromosome:ASM357664v1:12:18356463:18357360:1 gene:itb12g16860 transcript:itb12g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRQFRWTKLMESTFLKILVEEAKNPNNPTNNFRASSFNRVASVISEQFNVACEPKHVENHLRIVKNTWMMICKLKNMSGVGWDDNMKMITCDPAPYMGLISATPKYEMLLNKKIECYEEMSIVVGRDCDIGRFAKSFADVTLDGPNDEMFSNHNDETLPDQIEEESRGRTSASTASSQKSGRKRKSIDLKVNEMAEQLGNIASAIKSLSTGIDENQLFEDVMSIGDGFHEDDLCKAFEFLMINEVQARIFKAKNASLKRKWIEKFISSLN >itb01g24900.t1 pep chromosome:ASM357664v1:1:30434249:30438944:1 gene:itb01g24900 transcript:itb01g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIHKRLLSCDQVENTSDDLKVRVYEESSKIWRIALPGVLSRVTSFGSIVATQSFIGHVTEIDLAAYALVQTLIVRFVNGILLGMSSATETLCGQAYGAGQYHMLGIYLQRSWIVDLVTLTIFIPYYFFATPFFKLLGEEESIAEASGYISLWFIPFVYSVVFSTTIQMYLQAQQKNMIIAWLSIAQFVIHIPLSWLLVYKFEFGVSGAMSALSISSWFVVIGEFVYIFGGWCPNTWRGFTMDAFKDIFPVVKLSISSGLMLCLELWYYAILVLLAGYMKNAAVAISAFSISINVYAWLFMISLGLLGGVCVRVANELGKGDAKATIFSIKVAIGNSVVIGLIFFVVCLVFGNKIGYLFSNEKEVVDAVSDLSILLAFSVLLNSIYPVLSGVSVGAGLQSTVAVINLVCFYLIGIPIGALLGYVANLQVKGIWVGMIFGVVAETLALCYMTWRTNWDNEVAKSKNRLKRFFLNSEDSNQPLQLA >itb14g20350.t1 pep chromosome:ASM357664v1:14:22754600:22756649:-1 gene:itb14g20350 transcript:itb14g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLARKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKFEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKGGKKK >itb04g28860.t1 pep chromosome:ASM357664v1:4:32439905:32442244:-1 gene:itb04g28860 transcript:itb04g28860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVQELITTSNDFVYNHHSKPVNPTLSGQRFNLVERSAGEGEEGDYSDAILKYISQMLMEEEDLENQPCMFRDCMALHATEKYFSDVLNGSGDNSPLSIPQYDASFAGSSPSNCSSDLNNGDSDLSPQFQSSFNGSLFSQYASRGAAIGLPNDSNILNNLDMEVKESEGENSPSKGKRNHYYYSSDDGSAETQRSNKHLASYAPADEPEPLEMYDDVLLCSNKKNSKTELQQKGQSKGGRNRRGKKKETQKEFVDLRGLLTQCAQAMASYDTRTVTQLLKKIRDHSSPQGNGHERMAFYLANALEARLNGTGTALYISNSPSNISAADILKAYQMYITASPFKKVSNMFANKYIRKVAAGAPRLHIIDFGILYGFQWPCLIQGLSTRPGGPPRLRITGIDFPQPGFRPAERVKATGRRLDNYCKRFNVPFEFKAIAQKWDTIKLEDLEIDRDDVLVVNCLDRLGNVPDETVVPDSPRDIVLDLIKKINPDVFIHGVVNGTYNTPFFVTRFREALFHFSSLFDIFEATVPREDKDRQLFEEMVFGRDAMNVIACEGSERVERPETYKQWQVRSLRARFRQLPLDQEITKCVKDKVKSEYHKDFSIDEDGNWLLQGWKGRVLHAVSCWKPIN >itb04g09490.t2 pep chromosome:ASM357664v1:4:8779826:8781554:1 gene:itb04g09490 transcript:itb04g09490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRPSNRTLAALFKTCASLSALSFGLQLHSLARKLGLSSEPFTASTLVSFYCKMGFVDHARKVFNEMLDRDEVCFSSMVVGLAQNSRPVEALTYFAEMRRAGVASTMYSVSGALRAASEMAMFEQCRILHGHTVMTGWI >itb04g09490.t1 pep chromosome:ASM357664v1:4:8779826:8781554:1 gene:itb04g09490 transcript:itb04g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRRPSNRTLAALFKTCASLSALSFGLQLHSLARKLGLSSEPFTASTLVSFYCKMGFVDHARKVFNEMLDRDEVCFSSMVVGLAQNSRPVEALTYFAEMRRAGVASTMYSVSGALRAASEMAMFEQCRILHGHTVMTGWI >itb04g23320.t1 pep chromosome:ASM357664v1:4:28437627:28443437:-1 gene:itb04g23320 transcript:itb04g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSKQEDIMHDDPSKAFIGVRFVLFGFDPVKKEQVRSKLLDGGGVDVSRYCPDCTHVIVDKIVYDDPMCVSARRDGKTVVNSLWVDHSSEVGMLVDPASVMYRPLRDLNGIPGAKSLLICLTGYLRQDRDDIMTMVGLMGANFSKPLVANKVTHLICYKFEGEKYELARRMSRIKIVNHRWLEDCLKSWSLLPETRYDQSGYELEMMEAEAKDSEEEREDIPAQLYGERKLVTTPQNSKSSHQSLLKQEIQNLTTSNIATSPKNTKSPLQSSLKQDLSGDLNLSASKVLSDQESNIKPLLSAGKGSKLSPISGFEQIQNRHPEMIDTREITTFGEKPSGLLLNDNVLASGTTTKQSPPEASKSCPRSYSRKSLRKFSPVTEVKSNRGSPPSVSAGKHNDGQSLTSLNIEQDGLNTASADAHKVVASSCGNVQSSILPEKRIMTTPSNSSKMQKITHTQGTGGDNALIVSGTEVLPAVPSGDGAHETSNHPSRDNGCCPDAKNALDPTNSSPVNICKIATPDSTIQQCSKEALETGLTETMGLRTCLGSNNTRCKAISPGSSKDGCADVEVQENELQGINAKSPGSRMEIEKFDELADMELPKGGKSNPQSRMLGKRYLSKKTENSKQTSGKGRAKNQKGSIYLNKTAVNSTGEEEMKGNEKLNSEKVDVPAMDNADSSKETGKSRSLESEAEQTEPLFDETEAPDDKEEFNVAVHRDNSNDIELQHSVDNFAEEEINVHKTDEQITENNSGTGNHPAGHGALKTMVDQTDSVKNATEVKLKKDKTSPLSSSKKASPIAKKSTESRKGAERKRVINGKSKKGVGKQKRPASSTPAGVNLADTNKENSSMEVEKENIPAVSREQQRQGVENMAHKKPCKSNEIVSNAKATGGGLNASPEEEGVGKMILGSCKKLCMSNLQVEAVARCFIFSGHRLQRKEFQQVIKRLRGKSCRDSHQWSYQATHFVAPDPVRRTEKFFAAAASGRWILKVDYLTACNEAGKFLEEEPYEWHGKGLTEDLAISLEAPRKWRLQRQRTGHGAFYGMRIIVYGELLVPSLDTLKRVVKAGDGTILATSPSYTRVLKSNIDFAIVAPGVPRNDAWVQEFLEHKIPCVSADYLVEYVCKPGYSLDKHVQYSTHAWAEESLKKLVTRCEAVEDPTPSGDDNSISDKISCKACGSDDARDAMVICGCGVGIHIGCCNPQLEDVPKEAWHCPECKPNESGSKKPKRKRCKV >itb04g23320.t2 pep chromosome:ASM357664v1:4:28437627:28441744:-1 gene:itb04g23320 transcript:itb04g23320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTSFRSLKSWSLLPETRYDQSGYELEMMEAEAKDSEEEREDIPAQLYGERKLVTTPQNSKSSHQSLLKQEIQNLTTSNIATSPKNTKSPLQSSLKQDLSGDLNLSASKVLSDQESNIKPLLSAGKGSKLSPISGFEQIQNRHPEMIDTREITTFGEKPSGLLLNDNVLASGTTTKQSPPEASKSCPRSYSRKSLRKFSPVTEVKSNRGSPPSVSAGKHNDGQSLTSLNIEQDGLNTASADAHKVVASSCGNVQSSILPEKRIMTTPSNSSKMQKITHTQGTGGDNALIVSGTEVLPAVPSGDGAHETSNHPSRDNGCCPDAKNALDPTNSSPVNICKIATPDSTIQQCSKEALETGLTETMGLRTCLGSNNTRCKAISPGSSKDGCADVEVQENELQGINAKSPGSRMEIEKFDELADMELPKGGKSNPQSRMLGKRYLSKKTENSKQTSGKGRAKNQKGSIYLNKTAVNSTGEEEMKGNEKLNSEKVDVPAMDNADSSKETGKSRSLESEAEQTEPLFDETEAPDDKEEFNVAVHRDNSNDIELQHSVDNFAEEEINVHKTDEQITENNSGTGNHPAGHGALKTMVDQTDSVKNATEVKLKKDKTSPLSSSKKASPIAKKSTESRKGAERKRVINGKSKKGVGKQKRPASSTPAGVNLADTNKENSSMEVEKENIPAVSREQQRQGVENMAHKKPCKSNEIVSNAKATGGGLNASPEEEGVGKMILGSCKKLCMSNLQVEAVARCFIFSGHRLQRKEFQQVIKRLRGKSCRDSHQWSYQATHFVAPDPVRRTEKFFAAAASGRWILKVDYLTACNEAGKFLEEEPYEWHGKGLTEDLAISLEAPRKWRLQRQRTGHGAFYGMRIIVYGELLVPSLDTLKRVVKAGDGTILATSPSYTRVLKSNIDFAIVAPGVPRNDAWVQEFLEHKIPCVSADYLVEYVCKPGYSLDKHVQYSTHAWAEESLKKLVTRCEAVEDPTPSGDDNSISDKISCKACGSDDARDAMVICGCGVGIHIGCCNPQLEDVPKEAWHCPECKPNESGSKKPKRKRCKV >itb04g33680.t5 pep chromosome:ASM357664v1:4:35855038:35857968:1 gene:itb04g33680 transcript:itb04g33680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRYQQQQQQMSSGLTRYRSAPSSYFASFLNSDGGGGGGGGGYARDDFDQLLNAHNSSSDIQQAFGRFMDSLDSNSNRPGNPSSNLNVKQESDAYEQPKQQQLAESGGDYGSVSQMNYSGQAQQSHNSGATTSAMENSYGLMNSANPDRFRSPAKMNTGVANSNLTRYNTSPAGFFAQIDIGNEHGAMRGMGSYGAGSNANAEASFSSPSRFKNHTGFSSSGQPASSSGAMASISEIGEEGVKEETSPRHGRFSETQKNDEFSMPSWEDSDILSDLFLNVSEEKPFSNSNVSDIQKSEDQCRPPGLLSHHLSLPKIPAQLSAIMQDSVPCKVRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLESQVQVLSENRAKCTCSSSK >itb04g33680.t3 pep chromosome:ASM357664v1:4:35855105:35859332:1 gene:itb04g33680 transcript:itb04g33680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRYQQQQQQMSSGLTRYRSAPSSYFASFLNSDGGGGGGGGGYARDDFDQLLNAHNSSSDIQQAFGRFMDSLDSNSNRPGNPSSNLNVKQESDAYEQPKQQQLAESGGDYGSVSQMNYSGQAQQSHNSGATTSAMENSYGLMNSANPDRFRSPAKMNTGVANSNLTRYNTSPAGFFAQIDIGNEHGAMRGMGSYGAGSNANAEASFSSPSRFKNHTGFSSSGQPASSSGAMASISEIGEEGVKEETSPRHGRFSETQKNDEFSMPSWEDSDILSDLFLNVSEEKPFSNSNVSDIQKSEDQCRPPGLLSHHLSLPKIPAQLSAIMQDSVPCKVRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLESQVQVLSENRAKCTCSSSK >itb04g33680.t4 pep chromosome:ASM357664v1:4:35855121:35857791:1 gene:itb04g33680 transcript:itb04g33680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRYQQQQQQMSSGLTRYRSAPSSYFASFLNSDGGGGGGGGGYARDDFDQLLNAHNSSSDIQQAFGRFMDSLDSNSNRPGNPSSNLNVKQESDAYEQPKQQQLAESGGDYGSVSQMNYSGQAQQSHNSGATTSAMENSYGLMNSANPDRFRSPAKMNTGVANSNLTRYNTSPAGFFAQIDIGNEHGAMRGMGSYGAGSNANAEASFSSPSRFKNHTGFSSSGQPASSSGAMASISEIGEEGVKEETSPRHGRFSETQKNDEFSMPSWEDSDILSDLFLNVSEEKPFSNSNVSDIQSEDQCRPPGLLSHHLSLPKIPAQLSAIMQDSVPCKVRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLESQVQVLSENRAKCTCSSSK >itb04g33680.t2 pep chromosome:ASM357664v1:4:35855121:35859221:1 gene:itb04g33680 transcript:itb04g33680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRYQQQQQQMSSGLTRYRSAPSSYFASFLNSDGGGGGGGGGYARDDFDQLLNAHNSSSDIQQAFGRFMDSLDSNSNRPGNPSSNLNVKQESDAYEQPKQQQLAESGGDYGSVSQMNYSGQAQQSHNSGATTSAMENSYGLMNSANPDRFRSPAKMNTGVANSNLTRYNTSPAGFFAQIDIGNEHGAMRGMGSYGAGSNANAEASFSSPSRFKNHTGFSSSGQPASSSGAMASISEIGEEGVKEETSPRHGRFSETQKNDEFSMPSWEDSDILSDLFLNVSEEKPFSNSNVSDIQSEDQCRPPGLLSHHLSLPKIPAQLSAIMQDSVPCKVRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLESQVQVLSENRAKCTCSSSK >itb04g33680.t1 pep chromosome:ASM357664v1:4:35855038:35859355:1 gene:itb04g33680 transcript:itb04g33680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRYQQQQQQMSSGLTRYRSAPSSYFASFLNSDGGGGGGGGGYARDDFDQLLNAHNSSSDIQQAFGRFMDSLDSNSNRPGNPSSNLNVKQESDAYEQPKQQQLAESGGDYGSVSQMNYSGQAQQSHNSGATTSAMENSYGLMNSANPDRFRSPAKMNTGVANSNLTRYNTSPAGFFAQIDIGNEHGAMRGMGSYGAGSNANAEASFSSPSRFKNHTGFSSSGQPASSSGAMASISEIGEEGVKEETSPRHGRFSETQKNDEFSMPSWEDSDILSDLFLNVSEEKPFSNSNVSDIQKSEDQCRPPGLLSHHLSLPKIPAQLSAIMQDSVPCKVRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLESQVQVLSENRAKCTCSSSK >itb11g08980.t1 pep chromosome:ASM357664v1:11:5930117:5931026:1 gene:itb11g08980 transcript:itb11g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEYQVKVLKFPVLEYELFLLYLSYLDFHPLLLWICPYHLPPGPKPWPIIGSLNLIGSLPHRSIHGLSEKYGPIMQLQFGSFPVVVGSSAEMAETFLKTMDINFVDRYEWLSILGHYFLS >itb05g26850.t1 pep chromosome:ASM357664v1:5:30526548:30527000:1 gene:itb05g26850 transcript:itb05g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMMMIKLFVTAIAIAVAVTIAISTTKNIDNSNAAVVQGDTAAAAVVPSRRVSRFLAEEKNPRAADHCKNDDEICYALEGKNSTCCNNKCMDLGYDKHNCGACKNKCKFTTTCCRGECVNLSYDKRHCGACNSPCKNGRYCFYGLCDYA >itb07g08560.t1 pep chromosome:ASM357664v1:7:6773158:6775083:-1 gene:itb07g08560 transcript:itb07g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKAYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKRKQSQMNRLLLAGHQESDNKQTNGGVTEENPFLQNLSNSALERLQLHMQLQGLQNPFSLYNNNNPNNPPPIWPNKITPTPFQPKIADDEPIMTSNLDIPEYSKMNELENCMNNNSGSSLGQENNNNNNNNNSGEIQTIPGFTQSEIDSLLINGGENHQISDFDCFKQMEGSKERLEWWNTEFDTNSEIENLLINGGGTVLLPHPHQSHQEGIMYEEDYASLGYNM >itb06g11950.t2 pep chromosome:ASM357664v1:6:16507682:16509754:-1 gene:itb06g11950 transcript:itb06g11950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGLALLSIFLGTLWWFFRHRRAAESPKSGLKLPPGPQPLPIIGNLHQLGTLPHRSLNEMSKTYGPIMYLKLGKVPLVVVSSPAAAELILKTHDAVFASRPKNDAVDNIADGSKGMAFAPFGPHWRGNKKFATQELLTTAKIESFAAMRREEMVAWVKSIEAAAGGKEAVDLTAEVGRLIENVTYKMLFGCSNNDNHDLKLIVQEIVSLIGAFNIADYLPFLKPFDLQGLNKRLRALGKSVDKLFLEIIKEHEQDAKNGVQKSNKDIVDMMLASQKNPSSSHKLDLASIKALLFDMIVGSVDTSYAWIEWTLAEIMRNPRVMKLLQEELENKVGLNRMVEEKDLPNLEYLEMVIKESFRLHPVATLLVPRESLEDIELNGHFIPKKSRVMINCWAIGHDPNIWSENVEEFFPERFMSKSVDIRGHDFHLLPFGYGRRVCPGVNLGLINVKLIVGQLMHCFNWELPNKMSPHELDMTETFGLASPKVQHLVAVPSYRLLC >itb06g11950.t1 pep chromosome:ASM357664v1:6:16507682:16508374:-1 gene:itb06g11950 transcript:itb06g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLASQKNPSSSHKLDLASIKALLFDMIVGSVDTSYAWIEWTLAEIMRNPRVMKLLQEELENKVGLNRMVEEKDLPNLEYLEMVIKESFRLHPVATLLVPRESLEDIELNGHFIPKKSRVMINCWAIGHDPNIWSENVEEFFPERFMSKSVDIRGHDFHLLPFGYGRRVCPGVNLGLINVKLIVGQLMHCFNWELPNKMSPHELDMTETFGLASPKVQHLVAVPSYRLLC >itb11g21570.t1 pep chromosome:ASM357664v1:11:23262980:23263812:1 gene:itb11g21570 transcript:itb11g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNQEEEPIEEDNTTSHHHNHEITKAAAQAWHARQSTSRPATSEFDARRLNFKAWPTRFAVEAMRKALTASNNEQLDYNNGAIISSIDARKWDFGESLWDYYEIVTVAKKLEVGLVLDHPFTSPLDEPIRIRKKKVHKEGKNSLRNLFSKISSKRFSGDDVPLD >itb15g15050.t1 pep chromosome:ASM357664v1:15:13556775:13557119:1 gene:itb15g15050 transcript:itb15g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKQKGTPVTFHGNRFGALAEDYTVGEETASTRRKGSAFEVGESSKNKSVYQAKAPQPQNRHSQPQNHHPQPQNRQPQPTAGRSASNAKPRQPPHVQKSSRGGGQVNSRGRCK >itb01g23730.t1 pep chromosome:ASM357664v1:1:29648250:29652137:-1 gene:itb01g23730 transcript:itb01g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRNSSPPGSPRVSKFSRDKAASLPRTRFVETKNLDFSLWASENLYKILTIVLLVATVAGLFYLRNAAGDTSTLLCIQSAQTQSIKSELPKINWNNIPAIRDKSSPYANFRSEKWIVVSVSDYPSDSLEKLAKLKGWQLLAVGNSKTPKDWSLKGTIYLSLEMQAQLGFRVVDFLPYDSYVRKTVGYLFAIQHGAKKIFDVDDRTDVVDNNIGKHFDVELVGEAARQEVILQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEIGDEEFYTEVFGGRQFIQQGISNGLPDVDSVFYFTRKSGLEPFDIRFDDHAPKVALPQGTMVPVNSFNTIFHSSAFWGLMLPVSISSMASDVLRGYWAQRILWEIGGYVVVYPPTIYRYDRIAAYPFSEEKDLHVNVGRLIKFLVSWRSNKHQLFEKILELSYAMAEEGFWTERDLELTAAWLQDLHAIGYLQPRLMSLELDRPRASIGHGDRKEFVPQKLPSVHLGVEEIGTVNYEIGNLIKWRKNFGNIVLIMFCSGPVERTALEWRLLYGRIFKTVIILSEQKNSDLAVEKGQLDYIYRFLPKLFDRYTSAEGFLFLQDDTVLNYWNLMQADKSKLWITNEVSKSWTYTSIAGSSDWILKQAGLVKKVVDTMPVHFQVNYKESVKSDESLTLCESEVFYVPRPFVTDFIDLVNLVGELDIHHKVAIPMFFMAMDSLKKFDPVFNSMVYKEKRPDNSTYYSAEAPAVRPWKVSSEQEFIKLIKVMAAGDPLLMELV >itb15g15230.t1 pep chromosome:ASM357664v1:15:13860727:13864255:1 gene:itb15g15230 transcript:itb15g15230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGFSFFGLFKFKPGKKVWNGEDHPRDDVFVSKAYKVWPSDEDRGNYSGRNSGQFNLKLRHGGSLKTHGIGYVGGVTQCYSLDIDLWGMITLRETVEELGYNMLDKFKFFTTTGTGTLFELGNDSDCWAVCDVGMFPEEIEVWVVGEGVGEGEGVGDSDGDGESQSNEDLDYFDFSEDNVGYDDTNFEANIDPNVEFGGVREGNEDETVTANSNENVTGIGNENVIGSGDGVHFSDDDSGKEDEDEESLKWPSFSAKSQMQTPNFSIGLTFGTKN >itb06g12510.t1 pep chromosome:ASM357664v1:6:17093836:17096685:1 gene:itb06g12510 transcript:itb06g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFFEHLKPASLKHFNFGKLMTWKSCKSWGFQSFNLLVVAGSFFLFVVVIGSWFLSNLESLQPVVVLGNGNNEPNFSNSECNLFDGMWVPEESYPLYNASECPFAEGGFDCLSNGRKDNGYLKWRWKPKNCKIPRFDVGMILEKLRGKRVVFVGDSLSRTQWESMICMLMTGVEDKKSVYEVNRNQITKQIGHLGVRFSSFDFTVEFYRSVFIVQPGRAPKGAPKRVKSSLKLDKMDDTSKEWVDSDILIFNTGHWWTPTKLFEMGCYFQISGRMKLGMSINNALRKALSTWQSWVENEVNPKRTRVFFRTFESTHWGTGNRQNCKVTQQPWSKTRGREKSVFSDIIMNAVKNLSVPVTPLHVSLMGAFRADAHVGTWSDNPKVPDCSHWCLPGVPDAWNELLFAFLFSEQ >itb04g31330.t1 pep chromosome:ASM357664v1:4:34225350:34233271:-1 gene:itb04g31330 transcript:itb04g31330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEQALLTGGNFEPVMAKDLFKVVKEKYGEKLNSIISEKVSVVAGDVSCENMGVKDSHLLDQMHREVELVVNLAAITDFYERYDVAIGINTMGPTHLLDFAKKCQKLKVFLHVSTAYVSGERDGLIQETPIQMGETLNGRCGLDIDRENEIIQETLKNLIVENASDESITLAMKELGIQRARTYGWPNTYVFTKAMGEMLLGKLRDDIPLVILRPTIITSTYKEPFLGWVEGVRTIDSLALGYAKGRITCFLGDPKTITDVIPADMVVNAMIVSMVAHADERGCSESIYHVGSSLSNPVEFSWLQDYALHYFTKHPWIAKNGKPVVVGKVTVLSTMDSFHRYMAIRYLLPLKGLEILNTICCKYFQRRCDEMNRKIKFVMKLVDLYRPYLFFKGIYDDMNTEKLRRAAKEGGIETELFYFDPKAISWEDYFMKAHLPGLMKHVF >itb04g31330.t2 pep chromosome:ASM357664v1:4:34225091:34233347:-1 gene:itb04g31330 transcript:itb04g31330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSAVQFLENRAILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAADTMAAVQRFNSEVMAKDLFKVVKEKYGEKLNSIISEKVSVVAGDVSCENMGVKDSHLLDQMHREVELVVNLAAITDFYERYDVAIGINTMGPTHLLDFAKKCQKLKVFLHVSTAYVSGERDGLIQETPIQMGETLNGRCGLDIDRENEIIQETLKNLIVENASDESITLAMKELGIQRARTYGWPNTYVFTKAMGEMLLGKLRDDIPLVILRPTIITSTYKEPFLGWVEGVRTIDSLALGYAKGRITCFLGDPKTITDVIPADMVVNAMIVSMVAHADERGCSESIYHVGSSLSNPVEFSWLQDYALHYFTKHPWIAKNGKPVVVGKVTVLSTMDSFHRYMAIRYLLPLKGLEILNTICCKYFQRRCDEMNRKIKFVMKLVDLYRPYLFFKGIYDDMNTEKLRRAAKEGGIETELFYFDPKAISWEDYFMKAHLPGLMKHVF >itb05g19890.t1 pep chromosome:ASM357664v1:5:26176911:26180418:1 gene:itb05g19890 transcript:itb05g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLPVLIFIIVVAQCGACIASRISLGSRLLAKDDQAYWLSDNETFAFGFSPAGSSRDRFQLTIWFAQLPGDRTFVWSPNINAPVSRDAILEFDDGGSLTLVDGGATVWSSNTSNAGVEAADMSETGNLVLLAANRSVAWQSFSHPSDTLLPGQPLKVSMELISSVSPSRGGYYTLKMLQQPTSLSLALTYNVPETYDSSPEFYSNYSYWSGPDISNVTGDVIAVLDEKGSFGIVYGSSSDGAVYVHKNDGDVNGGLFSAVNQSVRPSVLRRLILETNGNLRLYRWDNDVNGSSQWVSEWAAVSNPCDIGGICGNGICTLDRTKTNASCKCLPGSNAEVGTDVQCYGNSSLTGKCDRRHENLTDQFKIATVQQTNYYFSERSVIANYSDTQTLTKCGDACLSDCECIASVYGLSEEKAYCWLLRSLEFGGFADPGATLFVKVEGNGSFPGGNSARKPGDSSDKSSRHDKVLVLPIVLSMTLLIGLLCCLLYINIHRKRSLKRALESSAILSGAPISFAYRDLQCRTNNFSHLLGTGGFGSVFRGSLGDGTLIAVKKLDKVLPHGEKEFITEVNTIGSMHHVNLVRLCGYCSEGTRRLLVYEFMKNGSLDKWIFTSYTNRDRLLDWSTRFGVALGTAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIIGGRRNLDMNFDAEDFFFPGWAYKELTKGTPLRVADRRLQGTVEEEELTRALMVAFWCIQDEVGSRPSMGEVVKMLDGSVDINTPPMPQTVLELIEEGLDHVYKTMKREINQLSSFTITSMPSSNATCSYSTMSPR >itb13g02460.t1 pep chromosome:ASM357664v1:13:2359378:2360840:-1 gene:itb13g02460 transcript:itb13g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVVLLDCWASSFGMRVRLALALKGIKYEYKEEKLEDKSRVLVEMNPVHKKIPVLIHNGNPICESLIIVEYIDEVWHDDFPLLPSDAHQRSLARFWAAYADKLYGISKRLWMGKGGEQEKAKNELIECLKTLEGELGEKPYMGGEKIGFVDVALLPFSRWFYSLEKCANFTIKDECPKLVGWVDRCMEMEIVSNSLPHPHKIYGFVQHLKEKLGIA >itb07g04570.t1 pep chromosome:ASM357664v1:7:3071740:3073596:-1 gene:itb07g04570 transcript:itb07g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQVSGGESVQPKDERPVDEEVEEILMKAFQGELVDRVDLSGRQLIILPEPFGKLHALLSLNLSHNQLQAIPDSIAGLVKLEELNLSANLLQSLPDSIGLLINLKILDVSGNKLKALPATIAGCRALVELDASYNNLTILPKNIGYGLSNLEKMNVSLNKLCILPISICEIKSLRYLDVHFNELHGLPPAIGMLTNLEFLNASSNFNDLKQLPETICNAANLRDLDLSNNQIRVLPDGFYRLESLSGLNLDQNPLVIPPPEIAKEGAEAVKEFMRKRMMEMVAAAEERMAAEENKETQTGWLAWGSSMVNNLVSEVSRGYSDYFGGNAPSPSPSPKAWDPFLDQPR >itb06g20480.t1 pep chromosome:ASM357664v1:6:23445200:23446719:-1 gene:itb06g20480 transcript:itb06g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSGNSSGSTQLTNSGSEEDLQVLMNERKRKRMQSNRESARRSRMRKQQHLDELMAQAAALKKENAQILSKISSTARDFMNVEAENSVLRAQMMELSQRLQSLNDILSRMNSSQAAGAGAGFFDVYEDIPSGHHQTLMNTNNSPWMFMMNQQQPIMASADHFFQY >itb03g10140.t2 pep chromosome:ASM357664v1:3:7896155:7899910:-1 gene:itb03g10140 transcript:itb03g10140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTSISFPFQNLQGTAISRAFKLILFFFIAASLFRQSSSLTIGETCSTSGNNCDAGLLCSTCPANGNTRPRCTRHQPTIPTTKIKGLPFNKYSWLTTHNSFAISGSKSATGSDIFAPTNQQDSITAQLKNGVRGLMLDMYDFNNDIWLCHSFGGKCFNLTSFQPAINALKEIQVFLEANPSEIVTIFIEDYVASPQGLTKVFKASGLNKYWFPVSRMPKNGEDWPIVDDMIKQNQRLVVFTSKKSKEASEGIAYEWRYVVENQYGNGGLKSGSCPSRSESSPMNTKTISLVLQNYFPDNPNMTAACADNSAPLLSMTNTCYEASGKRWPNFIAVDFYQSNDAGGASEAVDHANGRLTCNCDSIAYCKINDSSTKCEVPKLSPPPPAQLTPTAVKETSKDTSSYSKISRPLHLHWLVGLIITIFF >itb03g10140.t1 pep chromosome:ASM357664v1:3:7896155:7899910:-1 gene:itb03g10140 transcript:itb03g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQGTAISRAFKLILFFFIAASLFRQSSSLTIGETCSTSGNNCDAGLLCSTCPANGNTRPRCTRHQPTIPTTKIKGLPFNKYSWLTTHNSFAISGSKSATGSDIFAPTNQQDSITAQLKNGVRGLMLDMYDFNNDIWLCHSFGGKCFNLTSFQPAINALKEIQVFLEANPSEIVTIFIEDYVASPQGLTKVFKASGLNKYWFPVSRMPKNGEDWPIVDDMIKQNQRLVVFTSKKSKEASEGIAYEWRYVVENQYGNGGLKSGSCPSRSESSPMNTKTISLVLQNYFPDNPNMTAACADNSAPLLSMTNTCYEASGKRWPNFIAVDFYQSNDAGGASEAVDHANGRLTCNCDSIAYCKINDSSTKCEVPKLSPPPPAQLTPTAVKETSKDTSSYSKISRPLHLHWLVGLIITIFF >itb14g06310.t1 pep chromosome:ASM357664v1:14:5575297:5578249:1 gene:itb14g06310 transcript:itb14g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFRAVPNQSRQNFTAISSSFLKEVYLCDWWLIKFSTNSKSERIGVAGFTFRESQDARIFHSAAISKRIDTVTVEAVDGIVIVVSGCINRSRTIQNGFPFEMCNHFCVGFPYYWEEIAGQPCEQSTKRYALPRISFDELKRSSAEGITNSDFTSFDDLPATFLRDFCEPGLYDSESCMTSKDLSTEIPPKNGSVTSKNSEISGHKNKRASSEVATSNEDTSQQRKDKVKKMADRQSPKVLSDKVKKKADRQSPKVFERSEGRITRSMTKAKMFQGRVGSEN >itb06g25340.t1 pep chromosome:ASM357664v1:6:26446410:26450494:1 gene:itb06g25340 transcript:itb06g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKQLGTLSQSARSFILSGTRSSAADGSCSCSEDESCNSRRPQTKNNVRHLEISSASVSKSSGGVGSLISRDGVKAVNFQKNEKPNYSVSEPQVIPYTNSSKRADCVSYGSLEASDIMHSSPPISDQFVRAGIAAVNFLSDLVHYKIPMSDGNEVLKSSPNCVVERTKPISTVKPSTVKSFRKDQVYGKAPAITPAASSSVNNPSDTGGRVEKYGSAKGTSSISNNSMGNFVEDRHAFASDSHDRKRTVPPKSRPYSARFKTYAQASHGEHINERPECLSRPIRETKVNTEVATRARQFSSSASLVDRVSHILQHMSWGPETEDALHKLNRPLGAYQANQVLKRLQDHSVALSYFYWLKSQPGFKHDGHTYTTMVGILGRARQFEAIKKLLRQMVAEGCQPNVVTYNRLIHSYGRANYLNEALRVFNQMQEAGCEPDRVTYCTLIDIHAKAGYLDVAMDMYQRMQEAGLSPDTFTYSVIINCLGKAGHLSMAHKLFCEMVDQGCVPNLVTYNIMIALHSKARNYSNALQLYRDMQNAGFEPDKVTYSIVMEVLGHCGHLQEAEVVFSEMKRKNWAPDEPVYGLLVDLWGKAGNVKKAWEWYHAMLNAGLRPNVPTCNSLLSAFLRVHQLSDAYNLLQSMLNLGLNPSLQTYTLLLSCCTDAQTSFDMGFCCELMAVTRHPAHTFLQTMPSAGPDGQNVRDHVSSFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAALKNIYPDAVREKSSSYWLINLHVMSEGTAVTALSRTLAWFRQQILVSGIHPSRIDIVTGWGRRSRVTGTSLVRQAVQELLDIFRFPFFTENGNSGCFVSCGEPLNTWLVQPYVERMHLL >itb04g21450.t1 pep chromosome:ASM357664v1:4:26550208:26552288:-1 gene:itb04g21450 transcript:itb04g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSWFFQALPWLLAIAFLFKISTIYQRRKAKTPPGPRPWPIIGNLNLLGSTPHQSLHSLSQKYGELMLLKFGSKPVIVASSPETAKLFLKTHDAVFASRPATAAAHHTFNRSDMTWSPYGPFWRHARKILLSQVFAPAKLDSFENVRVEERMVLLSGLYDAVGTKKPVLLRDHLVRFTLSTMTRLVTGNKYFSNDWELGKPEGSSIPFKKLLGFLDEWFLLSGVINLGDWVPWLSGFDLQGYVKKMKDLREKYEEFLNHVIEDHMAMMKGDENFVPKDMVDVFLQIADDPNLEPDVALTRDRIMGLTHDMLAGGEDTSATTVEWAFQELFRKPWIIEKATEELDRVIGRERWVEEKDFSQLPYIDAIIKETFRLHPICTLLPPHYSIEDCIVADYEVPKGTTVLINVWSIGRNPKYWDNANEFFPERFLEKDVDIKGQDFTLLPFGAGRRRCPGYSLGMKIVRTTLANLLHGFNWKLAGDLRPNDISMEEVYGLTTHPKSPVSYFIEPRLPTHLY >itb15g00870.t1 pep chromosome:ASM357664v1:15:500900:504261:1 gene:itb15g00870 transcript:itb15g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGLKKRVWKSFSSSSSTTSLWITAPLLILVCLVVALGSSKASSSWVFVDSYYPWSWDPFLARDKIPGSPPPSNQPPSTFPSVVTADNSGGGKILAYNSSINSSASPLDFESQEMQQPDIQKEEDLNISSSVPSIISSSNETQTPLQVTIKSKFRNLERLEANLGKARAAIRSAAVHGINQTDDPDYVPTGSLYWNANVFHRSYLEMEKQLKIFVYEEGEPPIFHNGPCKSIYASEGNFISHMETSPFRTRDPEKAHIFFLPFSVTGIVYFLYDSSVPGKKHWFPMKQTVSDYVNLVARKYPYWNRSIGADHFMLACHDWGPEISKAVPELFKNSIRALCNANTSEGFKPSKDVSIPEILLPGGTMHGLIGGPSPSHRSILAFFAGGLHGPIRPILLEHWENKDNDIQVHRYLPKGISYYEMLRKSKFCICPSGYEVASPRMVEAIYTGCVPVLIKNHYVAPFSDVLNWKKFSIEIPVEDIPNLKKILTDISPRKYIRLQRKVLIARRHFEVNLPPKRYDVTHMILHSAWLRRLNVRLHGIEDT >itb03g09450.t2 pep chromosome:ASM357664v1:3:7285666:7288996:-1 gene:itb03g09450 transcript:itb03g09450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPRLVLSVDLKKKPREQVPPLHNRWHPEIPPVAEVETGQLFRVEMIDWTGGAVRDNNCAIDVKTLNLSTVHYLSGPIRIVDTDGIPAKPGDLLAVEICNLGPLPGDEWGYTAIFDRENGGGFLTDHFPCAAKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSKELLQIWNDRERELEETGPQALKLCEVLHSRPLANLPSTKGCVLGKIQDGTPEWERIALEAARTIPGRENGGNCDIKNLSRGSKIYLPVFIEGANLSTGDMHFSQGDGEVAFCGAIEMSGFLELKCEIIRNGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDATVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIRPKNNKVPVGPRLLRNPGLPQCTYDGDLPITKNPCAST >itb03g09450.t1 pep chromosome:ASM357664v1:3:7285666:7290993:-1 gene:itb03g09450 transcript:itb03g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGPRLVVPIDVKKKPREQKPPLHNRWHPDIPPVAEVKEGELFRVEMVDFSGGGITSQYTAQDIKYADQSIVHYLSGPIRIVDTDGIPAKPGDLLAVEICNLGPLPGDEWGYTAIFDRENGGGFLTDHFPCAAKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSKELLQIWNDRERELEETGPQALKLCEVLHSRPLANLPSTKGCVLGKIQDGTPEWERIALEAARTIPGRENGGNCDIKNLSRGSKIYLPVFIEGANLSTGDMHFSQGDGEVAFCGAIEMSGFLELKCEIIRNGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHYLDATVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIRPKNNKVPVGPRLLRNPGLPQCTYDGDLPITKNPCAST >itb02g02430.t1 pep chromosome:ASM357664v1:2:1399592:1407145:-1 gene:itb02g02430 transcript:itb02g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASENELQSTPPQSLPLDGQQQQQREPSSPPAPPSPLPEGFMQKFRLYETRSKFYMIGRDKSRTYWRVLKIDRTDPSELNIREDSATYTERECSDLLRRIHEGNMSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAVCGHSIYAVSKSEIIPLPNSSVRSKLFNNKSENRYKKLLCMVDLTKDFFFSYSYHVIRSLQKNMSDNETGQVVYETMFVWNEFLTRGIRNILHNTLWTVALAYGFFKQATLSISGQDFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGLPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQTYEATRLHFENLIKRYGNPIIILNLIKTHEKKPRESILRAEFANAIEFINKDLSEENRLRFLHWDLNKHSRRKATNVLFFLGKVATYALTLTGFFYCQTIPPSRFEGYLKGPCLENTCANTIAPLRHSNTDNEKFSDDEYGDSDTSKGKSNGCDYVANGNPSIKPPMFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGVTNSTKIDLDDPLAEDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWNAAIQSQEFFRTLQRYYSNAYMDVMKQNAINVFLGYFQPQEGNPDIWELDYDQHYNVGRNGESNIDENGRSLFKRSLSDGNILRQSCSPMPTQNFEKDVSVFTNQSKGNKVHSESTPEISTCDSDLSCSRYAPCMPARELFAEMQSDRCLDQENGDGYDCSNFVDLDWLSSSGNSYEEELLERSISTNSPTAGLSSDNIVNEIGETTPSTSEGESSVKGRGQVRAEEPYNDPHSCEVLEEFSDSFVRWVNYGEALCH >itb04g34000.t1 pep chromosome:ASM357664v1:4:36062804:36066619:1 gene:itb04g34000 transcript:itb04g34000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPLEDDPLQCLENIENNENFTFTAPLRSLKVELRFLKTFFWCMANRSADRNPKSKRFLSVIEGVIVEARNHLHSQYCNSTNAYLEDEADSCCNDILEKINKYKTGVRDFYTSIPPYRSRTNTTSIGDETSADIIQSLIDNMSDLLHHKTNLIASVKEKIKSLEEKLRYLRSFVKFTKANCVEQDKMEDLLTHIHDVVADKAAYLSYQCWVYTIDANGAHGMNLKLTGLLENIKPHKPEVTGIYTGVLKALMASRSSSSMMQDEFVAGFVNFLLEDLIELTNLKKNNLVKENKKKEKGKMKNSSMAPMKDQIDSLHKELRFLIAFFIDPPKQYAQNFTLTRLLVRIEAMIKEAGSTIFHARELHFTLPYLLEKMGKASTEIREVFITFPRSSYTNFPKTDELGFIDSLLHHLKELVYYKIVSDGSLKDQIKIIQQDLVFLRDLLHDSAEQCDELTNLRRNTMQEAYRVEYTLHSLLVGDHTLWSHILDDLIYEIRLIKRKASHIMSHKKTSNIGHPPVARAPSRQNSEASTPKIQDVVVGLEGEVKDLVSRLTSKGQQDVVSIVGMPGLGKTTLAKKVYSNNRITKHFDVQAWCCISQQYDKGEVLLELLSQVSEQDNHFKNMSSGDLAHKLHQSLLGKRYLIVMDDVWNIQAWEDISRSFPDENNGSKILLTSRVKAIASEIKHGSTPHCLRFLSNDKCWELLQKKIFGNGIFPPELHQVGRKIADKCEGLPLYVVLVAGLLLRTHKTEECWNQVFEKLNLTVSGEAKECTDVLELSYQHLPDHLKCCFLYLGAFPEDKEIPVSKLTRLWIAEGFVKESKTRSMEEVGEDYLMDLIDRSLVLVAKIRSQGGVKACRVHDLLRDFCLVKAKQENFLHWVDGDSSLPPYPEDHGIDPHHSPSSGSPVYEHHRLCIYSKRNQLLSARPSGPCARTLLIFARPDWHPQQEYNVSTIFHGFKLLKVLDLGCINMGQSFPSQIELLVQLRYLAVWGSMKEVPSSIENLWNLQTFLVKGTMKRVAMPMNLWDMPKLKHVHVPPFCLHKYDQDFLDNNVETLSTLSLRGGKETENLMRIFHRLRKLTCRFSRSRGGTNQFPALDFLERLEALKVIYDGSGRNRCDLHFPLNLKKLTLSKFGLPWAKISAIQRLWGLEVLKLLNGAFAGEEWDMTSEDEDENCKSFPNLRFLKLDSLDLVRWNAEGHSFPRLQHLVLRNCKKLQEIPSGFADNNTLQTIEVGRCTVEAASSAKQIQEEQKGLGNEKVEVLIYPRQHNI >itb04g09890.t1 pep chromosome:ASM357664v1:4:9137863:9138255:-1 gene:itb04g09890 transcript:itb04g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVGHILILVMAMALSTPSSFAGSPVVNFMDEMDGGVPPPTMNFNCKQGEIPRAQFDLVAGQKHSFEVTRNGVYDCDVVWGNFFCSVEGFNPARDKGQNTVNWKADNHGLSLSYDLKSWEWVEPWKTD >itb07g24040.t1 pep chromosome:ASM357664v1:7:28317298:28321940:1 gene:itb07g24040 transcript:itb07g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAIPKLLFPLRSSSFYSNPKFPFRKSKPSVSPPKHLHITCAQRTKRTGKLRYPSEKKKLKLQQKAQLDVKNKFEGIWRLSNLGVSVHTDPGKDFLDVSDALLQEIAKVLDFPVASMLPPEAFTVIRKSFDARKLQKEPKFVYTVDMDVPKLISLEPRTWDFISELEPKAGLVEHIFDNRISGDITSIIHSCRELDQTSDSTEGVDSNISSGSYRLPVIRKPKVAVVGSGPAGLFASLVLAEFGADVTLLERGKAVEQRGRDIGALGGAGTWSDGKLVTRIGRNSSAVLAVLKTLVQFGAPKKILVDGKPHLGTDKLVPLLRNFRRHLQELGVTIKFGTRVDDLLVEDGYVAGVKVSDSSDSQRSIGQKLGYDAVVLAVGHSARDTYEMLLSHNVNLVQKDFAVGFRVEHPQELINTIQYSELASEINSGRGRVPVADYKVMEHLSSDGTDSPESRSTGRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRSSRWANAALVVTVSPKDFSTLGLNGPLAGVEFQRTFERRAAVMGGGSFVVPVQTVTDFLGNKASAPLPSSSYRLGVKAANLHELFPNHITEALQKSILMFDEELPGFISSSALLHGVETRTSSPVQISRRSDTFESTSLKALYPVGEGAGYAGGIVSAAVDGMHAGFALAKNLGLYEGNLDSVLGKAQNVGVVKY >itb11g11750.t1 pep chromosome:ASM357664v1:11:8638827:8640523:-1 gene:itb11g11750 transcript:itb11g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLIRKHEFNRTSIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSSPQEALFLFRHLQRRLRPGFFFDSFAYSFLIKAAANLTQPSIGKQNVFDEMPSRNSVTWNVLITGLIKWGELGFARAVFNAMPEKNVVSWTGIIDGYTRAGKFNEALLLFREMVVSEGIKPSEVTLLAIFPAVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDIPDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVRPNQITFLSVLHACSHGGLVDEGVVFFGKMVHDFGLQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFHGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNGRYIDSEEVRRLMDEENAQKVPGFSFG >itb11g11750.t2 pep chromosome:ASM357664v1:11:8639115:8640523:-1 gene:itb11g11750 transcript:itb11g11750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLIRKHEFNRTSIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSSPQEALFLFRHLQRRLRPGFFFDSFAYSFLIKAAANLTQPSIGKQVHCLSFKSGFHSHVYVQTALVDMYVNCRCLVEAQNVFDEMPSRNSVTWNVLITGLIKWGELGFARAVFNAMPEKNVVSWTGIIDGYTRAGKFNEALLLFREMVVSEGIKPSEVTLLAIFPAVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDIPDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVRPNQITFLSVLHACSHGGLVDEGVVFFGKMVHDFGLQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFHGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNGRYIDSEEVRRLMDEENAQKVPGFSFG >itb12g25520.t2 pep chromosome:ASM357664v1:12:26765635:26769186:-1 gene:itb12g25520 transcript:itb12g25520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGNFIQWLGPDMSMKILDCLEDPSDFVRVGAVSSSWRQYVIENGFCKRLCLEMFPEVSGVTDAIEDKNTIDTVEVELDKSVELTRLERDHRVYAFLSRGLSPFLKKDCILEAICASSTDNYPEESIRNTLVPNDRVGRRASYWSSKGASDPAVPETLMYELAAKFCVITEIHVQPFQAYFQFGFPIYSAKAVRFRLGHPKQPMELERNRTDEFGDVKEPFSGKFVWTYTSPEFPMAQDKKLQKFKLPKAVLCVGGILQLELLGRVQRQEMDGLFYICVAHVQVVGRPLLPAFDAEILDQSGKCILKYYPEEKHPGSPSKSGKEHSTRQSCFHRFTLHIRRSWDQAILNTVISAGAAFEDNDEAA >itb12g25520.t1 pep chromosome:ASM357664v1:12:26765635:26769189:-1 gene:itb12g25520 transcript:itb12g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGNFIQWLGPDMSMKILDCLEDPSDFVRVGAVSSSWRQYVIENGFCKRLCLEMFPEVSGVTDAIEDKNTIDTVEVELDKSVELTRLERDHRVYAFLSRGLSPFLKKDCILEAICASSTDNYPEESIRNTLVPNDRVGRRASYWSSKGASDPAVPETLMYELAAKFCVITEIHVQPFQAYFQFGFPIYSAKAVRFRLGHPKQPMELERNRTDEFGDVKEPFSGKFVWTYTSPEFPMAQDKKLQKFKLPKAVLCVGGILQLELLGRVQRQEMDGLFYICVAHVQVVGRPLLPAFDAEILDQSGKCILKYYPEEKHPGSPSKSGKEHSTRQSCFHRFTLHIRRSWDQAILNTVISAGAAFEDNDEAA >itb12g25520.t3 pep chromosome:ASM357664v1:12:26765635:26768771:-1 gene:itb12g25520 transcript:itb12g25520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGNFIQWLGPDMSMKILDCLEDPSDFVRVGAVSSSWRQYVIENGFCKRLCLEMFPEVSGVTDAIEDKNTIDTVEVELDKSVELTRLERDHRVYAFLSRGLSPFLKKDCILEAICASSTDNYPEESIRNTLVPNDRVGRRASYWSSKGASDPAVPETLMYELAAKFCVITEIHVQPFQAYFQFGFPIYSAKAVRFRLGHPKQPMELERNRTDEFGDVKEPFSGKFVWTYTSPEFPMAQDKKLQKFKLPKAVLCVGGILQLELLGRVQRQEMDGLFYICVAHVQVVGRPLLPAFDAEILDQSGKCILKYYPEEKHPGSPSKSGKEHSTRQSCFHRFTLHIRRSWDQAILNTVISAGAAFEDNDEAA >itb14g17420.t1 pep chromosome:ASM357664v1:14:20665485:20667443:1 gene:itb14g17420 transcript:itb14g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MEVETRAQIYHERQRLQFCLLHSLNNLFQEKDAFTRAYLNAISERLDLDDPAKGRWSPIFKSHHNTITGNYDINVLIAALEGKGKTVVWHDRRNRASAIDLDGHSDKLMGIILNIPVRRCAGLWKSRHWVALRRIEGVWYNLDSEFAAPYAFKDLEEVRKLLDGIIADGAEILLVNNEAQ >itb06g17370.t1 pep chromosome:ASM357664v1:6:21224753:21232887:1 gene:itb06g17370 transcript:itb06g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNEPPPSPSEPRFSNGKRQQQCLSSPPDPPPEGFMQKFRLYETRSKYYMIGRNKSRTYWRVLKIDRTEPSELNIREDSATYTAIECSDLLRRIHEGNRSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGDICGHTIYAISKSEIIPLPSSSVQSKMANYKSENRYKKLMRMVDLTKDFFFSYSYHIMRSLQKNMCDNLAGQVPYGTMFVWNEFLTCGIRNILHNTFWTVALVYGFFKQSTFSISGRYFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVAEGSPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQTYEATRLHFENLAKRYGNPIIILNLIKTHEKRPRESILRTEFANAIESINKNLSEEHRLRFLHWDLHKHSRRKATNVLFFLGKVATYALNLTGFFYCQATPPLGSEGPRWPCLESSDSSYKDRLRHCNPDNEKHGDDENSDSDSSEGKANGNPSIKPPMLQNGVLRTNCIDCLDRTNVAQYAYGLAALGHQLCALGVTSSPKIDLDDPLADDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYNNAYLDAEKQNAINIFLGHFQPQEGNPDIWELDSDQHYNVGRHGESNVDEYGRSLFKRSFSDGNILHESQSPIPTRTFEKDFSNADFSDQSQGSKLPSESTPEISTCGSGLTYSRYTPSMPARELFVDLPRDRCIEHENGDASDCSNFVDLDWLSSSGNSCEEELLERSMYTISPIAGMSSDNVVNEMAGESTPSTSEGESSTKGKRYAGVELPYKDTHIYEVLEEFSDSFVCWVTYGETLCH >itb02g17390.t1 pep chromosome:ASM357664v1:2:13307927:13311964:1 gene:itb02g17390 transcript:itb02g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVSQDAISTILANPSPESGSDLPEIVVQVVDLKPTGNRYMFTASDGKMKLKAILQSIMSSEVISGAIQNLGLVRILDYTLNDIPMKNEKYLIVTKCEAVSPSLEAEYKAEAKSEGVGIILKPKQELDVKSVKESETAGITLKPKEETVAKSAAQIVHEQNGNMAPTARMAMTRRIHPLVSLNPYQGNWTIKVCVTSKGNMRSYKNARGEGCVFNVELTDQDGTQIQATMFNEAAKKFYDKFELGKMYYISKGTLRVANKQFKTVQNDYEMTLNENSQVEEASNEAAFIPETKFNFVPIDELGPYVNGRELVDIIGVVQSVSPTMSIRRKSNNEMIPKRDITIADETKKTVVVSLWNDLATSVGQELLDMADKSPVVAIKSVKVGDFQGVSLSALSKSTVLVNPDVPKAKDLRAWFDAEGKETPLASVGSGLSPSSKNGSWSIQSERVSLLHITSNPSLGEGKPVFFRIKAQISFIKPDQAMWYRGCKTCNKKVTEAIGSGYWCEGCQKNDEECRLRYIMVMRVSDASGEAWLSVFNEQAEKIIGCSADELDRVKSQDGESVFQEKLKEATWTPYLFQVSVAVQEYNNEKRQRITVRGVAPVDYVAESKYLVEEMAKMNIISSA >itb13g11940.t1 pep chromosome:ASM357664v1:13:17323168:17323572:-1 gene:itb13g11940 transcript:itb13g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQASTFFSFGGSNGKHQRRARAELLTMAIGGVDGVAASPHLREQQWQFGSSNISQSLHLFQRGRLISSPVLPATYDRARSSGQQWRDSNPSNDLLSLRW >itb14g17150.t1 pep chromosome:ASM357664v1:14:20478018:20482240:-1 gene:itb14g17150 transcript:itb14g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGASGLAFSVSHSHRRHVKPSATASVPATPPLPPVSVKSNRPAVSLSSQNPEPSLSTPNPNPSILSSKRFNRLLKASFALGSGLFLLPSGLSKHHFNLGGGGGGGGGGGGGGGSGGGGDGGNLWSKLFCPSANAGEEESQEWDNHGLPSDIVVQLTKLSGFKRYKVSDIIFYDRKRGYTFGSEDSFFEMVSLRPGGVYTKAQLEKELETLASSGMFEKVDLEGKTKSDGTIVVTFPFYESTWRSAESFRCINVGLMPPEKPIETDPDLTMKEMEEYYSLQASQYQTRIKKSRACLLPVSVQNEILNMMRGRVSARMLQRIRDKVQQWYHENGYACAQVVNFGNLNTDEVVCEVVEGDITQLAIQFLDKLGNVCEGNTRLAVVRREIPKQLRQGQVFNIEVGKQALRNINSLALFSNIEVNPRPDEKNEGGIVVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASFQPGGTVSFEHRNIKGLNRSLLGTVTTSNFFNPQDDLAFKLEYVHPYLDGVTNPRNRTFRTSCFNSRKLSPVFTGGPGVDEVPPIWVDRTGVKANITENFTRQSKFTYGLVMEEITTRDESSHISARGQRVLPSGGISADGPPTTLSDTGIDRMAFLQANITRDNTKFINGAIVGERNVFQLDQGLGIGSKFPFFNRHQLTMTRFIQLKEVEEGVGKSPPPVLVLHGHYGGCVGDLASYDAFTLGGPYSVRGYNMGEIGAARNILEVAAELRVPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNSGTGAVFFRFGERF >itb06g12230.t6 pep chromosome:ASM357664v1:6:16797499:16804918:1 gene:itb06g12230 transcript:itb06g12230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKSEPNLKVDEKLLQQWVVAFCIIRFDLEQGQLIEECYPPGSLTQNEELEVAFSSFPDSVSQNHNRSSIHDSIFFFRLYRKCGSQVAPQVSSEIVEVDSVRASDKLVGGEFSKPRIKSNTVTEPRYLYGFVFNRQRHDERLKRGGEQKSVVILSHSPFSGVFRPLLQIVGPLYFDIGQKALHYIAAYVSMWPAPVPGQLMELPIGNATLKVNLPPAHSLPLDCGVFFEELASSVAPFLPSNQSVPQGLFHDSDLFGIFRGLLMQLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPEFAHLNSLPEGAMFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQHSGSSDTDSGATCNKLKGDLQAVFSVLSKDMQQLMLMNPERAALLNESNEGI >itb06g12230.t3 pep chromosome:ASM357664v1:6:16797229:16804736:1 gene:itb06g12230 transcript:itb06g12230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQHSGSSDTDSGATCNKLKGDLQAVFSVLSKDMQQLMLMNPERAALLNESNEGI >itb06g12230.t1 pep chromosome:ASM357664v1:6:16797158:16805009:1 gene:itb06g12230 transcript:itb06g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKSEPNLKVDEKLLQQWVVAFCIIRFDLEQGQLIEECYPPGSLTQNEELEVAFSSFPDSVSQNHNRSSIHDSIFFFRLYRKCGSQVAPQVSSEIVEVDSVRASDKLVGGEFSKPRIKSNTVTEPRYLYGFVFNRQRHDERLKRGGEQKSVVILSHSPFSGVFRPLLQIVGPLYFDIGQKALHYIAAYVSMWPAPVPGQLMELPIGNATLKVNLPPAHSLPLDCGVFFEELASSVAPFLPSNQSVPQGLFHDSDLFGIFRGLLMQLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPEFAHLNSLPEGAMFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQASAFYF >itb06g12230.t7 pep chromosome:ASM357664v1:6:16797158:16804918:1 gene:itb06g12230 transcript:itb06g12230.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKSEPNLKVDEKLLQQWVVAFCIIRFDLEQGQLIEECYPPGSLTQNEELEVAFSSFPDSVSQNHNRSSIHDSIFFFRLYRKCGSQVAPQVSSEIVEVDSVRASDKLVGGEFSKPRIKSNTVTEPRYLYGFVFNRQRHDERLKRGGEQKSVLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPEFAHLNSLPEGAMFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQASAFYF >itb06g12230.t5 pep chromosome:ASM357664v1:6:16797681:16804918:1 gene:itb06g12230 transcript:itb06g12230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKSEPNLKVDEKLLQQWVVAFCIIRFDLEQGQLIEECYPPGSLTQNEELEVAFSSFPDSVSQNHNRSSIHDSIFFFRLYRKCGSQVAPQVSSEIVEVDSVRASDKLVGGEFSKPRIKSNTVTEPRYLYGFVFNRQRHDERLKRGGEQKSVVILSHSPFSGVFRPLLQIVGPLYFDIGQKALHYIAAYVSMWPAPVPGQLMELPIGNATLKVNLPPAHSLPLDCGVFFEELASSVAPFLPSNQSVPQGLFHDSDLFGIFRGLLMQLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPEFAHLNSLPEGAMFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQASAFYF >itb06g12230.t2 pep chromosome:ASM357664v1:6:16797158:16805009:1 gene:itb06g12230 transcript:itb06g12230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKSEPNLKVDEKLLQQWVVAFCIIRFDLEQGQLIEECYPPGSLTQNEELEVAFSSFPDSVSQNHNRSSIHDSIFFFRLYRKCGSQVAPQVSSEIVEVDSVRASDKLVGGEFSKPRIKSNTVTEPRYLYGFVFNRQRHDERLKRGGEQKSVVILSHSPFSGVFRPLLQIVGPLYFDIGQKALHYIAAYVSMWPAPVPGQLMELPIGNATLKVNLPPAHSLPLDCGVFFEELASSVAPFLPSNQSVPQGLFHDSDLFGIFRGLLMQLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPEFAHLNSLPEGAMFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQHSGSSDTDSGATCNKLKGDLQAVFSVLSKDMQQLMLMNPERAALLNESNEGI >itb06g12230.t4 pep chromosome:ASM357664v1:6:16797158:16804918:1 gene:itb06g12230 transcript:itb06g12230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPEFAHLNSLPEGAMFPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSNRPVLSNRSSTGRLPGGPDGPGLQNLKKFTPLNFLNAMKLRRDGPLCLMTEHKEAIWTSYTAITKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFAPYFRVTTPSEGSSPYVDPPSLPSFKIEEFLSSLSARGPGKFLLKRMTSNWLDLYRRFLKGNNFIPWFQRRRAVAEHEQYRLWRQARMKTDIKQVISQMSELEIVDTFNAVERNLIKEMQHSGSSDTDSGATCNKLKGDLQAVFSVLSKDMQQLMLMNPERAALLNESNEGI >itb07g11470.t1 pep chromosome:ASM357664v1:7:12917744:12918262:1 gene:itb07g11470 transcript:itb07g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISICSASLILWLLFLCVKPTTSQQSIENLCAKAIDKNFCLQVLATSPSQSLDDLLKTAVANAATNALVTGTKIKELLTEPNNPDLTVAYFQCSNYYSAAVGALDAASQRLKSSPTAEVVNDVASAASTVEKDADFCEASFKNGATRTLSSDNRTLKLNSNVIKVVCAAI >itb07g06200.t1 pep chromosome:ASM357664v1:7:4350251:4351144:-1 gene:itb07g06200 transcript:itb07g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITNVKGMEGFLRYRDLPALFQVDDDVHINDPTLQNLHQLAQNIAKAKHHIFNTFQELEGPILHQMPTIMSNVYAVGPLHEFLAANGGSSNVIMSDDDNNKSCLDWLNNQPVKSVLYVSFGTLSMVSRETLVEFWHGLVNSGQRFLWSLNPRRLLTDGEIPAEILTGSREKTCVVEWAPQRAVLGHSAVAGFLTHCGWNSILESIVAGVPMIGWPIHGEQQLNSRYVGEVWKVGLDMKDTCHRVIIEKMVRELMEERKDEFLERAQHYSKLAKQSVRQGGSSYSNLEHLLEDIRRI >itb01g27110.t1 pep chromosome:ASM357664v1:1:32066232:32068010:1 gene:itb01g27110 transcript:itb01g27110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLFYEILEKPATSCVIAICSAIWFYIQKKNIGYSHVGLSYETALEGHHWRIITSAFSHISVIHLVFNMSALWSLGVVEKLGHIGLGVQYYLHYTLVLVILSGMLVLGMYHVLIQRFKLEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVIGYAIAWGLIHGMNNYWAISMLGWIAVVFTFSLKKSGTFDFSFLEVETITDSSLPSVRFLAAGNGRTLQMASLPDAGPNLV >itb02g10560.t2 pep chromosome:ASM357664v1:2:6816128:6824840:-1 gene:itb02g10560 transcript:itb02g10560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMADAVLLIEASGSRFSDLELIGRGSFGDVYKGFDKELGKVVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLIAPNLPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITGIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFISSCLKKNPAERLSAKELLKHRFIRSAKKSPRLLERIRERPKFQPKDDTVTPRSGVKPLGEASGTVKVTRDSGIDDTVRVSQGKTQKNAGGWDFGTEGGLSSTGTVRSVVRPPQARDRKPDIPLNQAASRKNLDSRNQWSSASGTVTYNSSEVSSWKDPGDAYISEKQDSYSEDDDLSASGTGTVVFRTPRTVQSSLVSERSNLSSSSFAAVEDASTSGTVVYRGRSDDTDSPRTPRSRLGIQERSSSTSLEDSETNLAEARASMQAAIKKGNVRERSMLGKANRDGQENSRTDQSTVTTDSSRYSRDYFDAQKAFSRPQRTSDADDAARMPPPTHSSATLSTLLVPSLREAVAGDGEGSYAQTMASSFLEMERIKPGSCDIFVTRLLQRLASSKESSLKDLQELAARILTKGKTEAAESSSTETDSKKKQQNKELQSNANMSSLARFLLSRWQGHAASRDLNS >itb02g10560.t4 pep chromosome:ASM357664v1:2:6816128:6824302:-1 gene:itb02g10560 transcript:itb02g10560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMADAVLLIEASGSRFSDLELIGRGSFGDVYKGFDKELGKVVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLIAPNLPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITGIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFISSCLKKNPAERLSAKELLKHRFIRSAKKSPRLLERIRERPKFQPKDDTVTPRSGVKPLGEASGTVKVTRDSGIDDTVRVSQGKTQKNAGGWDFGTEGGLSSTGTVRSVVRPPQARDRKPDIPLNQAASRKNLDSRNQWSSASGTVTYNSSEVSSWKDPGDAYISEKQDSYSEDDDLSASGTGTVVFRTPRTVQSSLVSERSNLSSSSFAAVEDASTSGTVVYRGRSDDTDSPRTPRSRLGIQERSSSTSLEDSETNLAEARASMQAAIKKGNVRERSMLGKANRDGQENSRTDQSTVTTDSSRYSRDYFDAQKAFSRPQRTSDADDAARMPPPTHSSATLSTLLVPSLREAVAGDGEGSYAQTMASSFLEMERIKPGSCDIFVTRLLQRLASSKESSLKDLQELAARILTKGKTEAAESSSTETDSKKKQQNKELQSNANMSSLARFLLSRWQGHAASRDLNS >itb02g10560.t3 pep chromosome:ASM357664v1:2:6816128:6824827:-1 gene:itb02g10560 transcript:itb02g10560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMADAVLLIEASGSRFSDLELIGRGSFGDVYKGFDKELGKVVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLIAPNLPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITGIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFISSCLKKNPAERLSAKELLKHRFIRSAKKSPRLLERIRERPKFQPKDDTVTPRSGVKPLGEASGTVKVTRDSGIDDTVRVSQGKTQKNAGGWDFGTEGGLSSTGTVRSVVRPPQARDRKPDIPLNQAASRKNLDSRNQWSSASGTVTYNSSEVSSWKDPGDAYISEKQDSYSEDDDLSASGTGTVVFRTPRTVQSSLVSERSNLSSSSFAAVEDASTSGTVVYRGRSDDTDSPRTPRSRLGIQERSSSTSLEDSETNLAEARASMQAAIKKGNVRERSMLGKANRDGQENSRTDQSTVTTDSSRYSRDYFDAQKAFSRPQRTSDADDAARMPPPTHSSATLSTLLVPSLREAVAGDGEGSYAQTMASSFLEMERIKPGSCDIFVTRLLQRLASSKESSLKDLQELAARILTKGKTEAAESSSTETDSKKKQQNKELQSNANMSSLARFLLSRWQGHAASRDLNS >itb02g10560.t1 pep chromosome:ASM357664v1:2:6816128:6824840:-1 gene:itb02g10560 transcript:itb02g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMADAVLLIEASGSRFSDLELIGRGSFGDVYKGFDKELGKVVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLIAPNLPLDEMSIACILRDLLHAIDYLHTEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITGIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFISSCLKKNPAERLSAKELLKHRFIRSAKKSPRLLERIRERPKFQPKDDTVTPRSGVKPLGEASGTVKVTRDSGIDDTVRVSQGKTQKNAGGWDFGTEGGLSSTGTVRSVVRPPQARDRKPDIPLNQAASRKNLDSRNQWSSASGTVTYNSSEVSSWKDPGDAYISEKQDSYSEDDDLSASGTGTVVFRTPRTVQSSLVSERSNLSSSSFAAVEDASTSGTVVYRGRSDDTDSPRTPRSRLGIQERSSSTSLEDSETNLAEARASMQAAIKKGNVRERSMLGKANRDGQENSRTDQSTVTTDSSRYSRDYFDAQKAFSRPQRTSDADDAARMPPPTHSSATLSTLLVPSLREAVAGDGEGSYAQTMASSFLEMERIKPGSCDIFVTRLLQRLASSKESSLKDLQELAARILTKGKTEAAESSSTETDSKKKQQNKELQSNANMSSLARFLLSRWQGHAASRDLNS >itb05g25250.t1 pep chromosome:ASM357664v1:5:29748830:29751818:1 gene:itb05g25250 transcript:itb05g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGVDKNASDRKGKSVEPSKHRRGQQKRVMAVQNLYDTCREVFANCGPDIVPSPENVERVKAILDKMSAMDVGLRPTMPYFKPTMPYFKPTGNDGPPEITYMRIHECDKFSIGIFCLPPKGVIPLHNHPGMTVFSKILFGKMHVQSYDWADVGPSDKPLNAGNPDGVRLAKVKVNSEFSAPCETNLLYPNECNMHCFRALTACAFLDVLVPPYNDLEGRHCQYYSDYPFANFSDEGAAGPEVAEDQKESYVWLKEREMPDDLKFVGALYNGPKLVK >itb04g30820.t1 pep chromosome:ASM357664v1:4:33843310:33844442:1 gene:itb04g30820 transcript:itb04g30820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKNHAPPQLTVEAEAQLQEGINLVLSRWASLQMANCTLLRILDLGRNQLIGRVPSWFGTSLVDLIIVSLRENQFYGDLPLSLCHLNDIRVLDLSQNRIAGRIPHCVSNFTFLSLTKSSYGTTVASNVYFAFQYDSYITNILIQWKYNEQEYSSQLRLLKVIDLSSNLLAGDIPEEFSSLHGLISLNLSRNHLTGNIIPKIGQMEMLESLDLSHNQLSGEIPISLGRLSFLQILDLSNNNLSGKIPTSTQMQSFNASSYAHNSGLCGDPLPKCPRNVPNKDEDEDDDDGLITQGFYISMVLGFSLSFWGFLVIFFFKGSWRDARTSETGFMS >itb05g28060.t3 pep chromosome:ASM357664v1:5:31199933:31206039:-1 gene:itb05g28060 transcript:itb05g28060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKGQNHLHYNTREQSNAQVIPETQVLKRDLQEYDHPDLNHQETKPVLNYSIQTGEEFSLEFMLDRFNTRKPQLPNTSVDPNHTPGYLELKGILGIAHTGSERGSDVSMLTTMEGPRDIDERNSSLLCQEKSNSGSMLPAQQTSSEHNGFRSLIYASSGASDSLVARLKILCSFGGKILPRPSDGKLRYVGGDTRIIRVRKDIMWHELWHKAIAIYDHTHIIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLDDTEGTKKLRMFLFSMIDLEDTHFSLSSSHADCEIQYVVAVNGFDLESRKSSILHCLGSSANNLAELDVQNVEMDTGKLPHYDDGKPQNFQSTYNLNSYMRVSESLIPRQNGILAQKEDIEEQLLDGLSEQHLQSSIKPVKSDANASAYQGGEVQGDQMLSNEQLSASHLVIKNAKGYFPIENEGRSQYPIQISSALETVSPELPRSGGNNCSSASVLEPSNSEPAPIDLSFFEPTTPPPPQRVFRSERIPREQAELLNRISKSDDSHNSQLLMTHPHPDVAQADFLTESVEKVQNLNLVQDEPTIHTENPLSADPQTTNKTQRVKQAIPIGLDMKNKAHESPVVLQETVSTENVSKIGLVDDTVEAGSRVNAASQLNTEKHHEDPGNSLPDIHWGDRVGSDLLPNNNQGLSKHSDQIGNYNGVVTSEEPCVGGAKSEQGDILIDINDRFPRDILSDIFAQAILSGSLSDTGSTSKNGAGVSVNMENLDPKHWSFFQKLAGDEFAKRDVSLIDQDHLGYSPGLQKIEEASSPYGIMPSADDRVSLSHLDQQVNLGEDNQRELSSAGTNSVMLHSGYGPNSEYEDGIRNIGLPPLGPLVDFDINSLQLIRNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTVRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGIGGTLATVTEYMVDGSLRHVLLRKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPSIPSYCDPEWRNLMEQCWAPNPASRPSFTEIASHLRSLSTAAQTKAPKT >itb05g28060.t1 pep chromosome:ASM357664v1:5:31199933:31206060:-1 gene:itb05g28060 transcript:itb05g28060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKGQNHLHYNTREQSNAQVIPETQVLKRDLQEYDHPDLNHQETKPVLNYSIQTGEEFSLEFMLDRFNTRKPQLPNTSVDPNHTPGYLELKGILGIAHTGSERGSDVSMLTTMEGPRDIDERNSSLLCQEKSNSGSMLPAQQTSSEHNGFRSLIYASSGASDSLVARLKILCSFGGKILPRPSDGKLRYVGGDTRIIRVRKDIMWHELWHKAIAIYDHTHIIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLDDTEGTKKLRMFLFSMIDLEDTHFSLSSSHADCEIQYVVAVNGFDLESRKSSILHCLGSSANNLAELDVQNVEMDTGKLPHYDDGKPQNFQSTYNLNSYMRVSESLIPRQNGILAQKEDIEEQLLDGLSEQHLQSSIKPVKSDANASAYQGGEVQGDQMLSNEQLSASHLVIKNAKGYFPIENEGRSQYPIQISSALETVSPELPRSGGNNCSSASVLEPSNSEPAPIDLSFFEPTTPPPPQRVFRSERIPREQAELLNRISKSDDSHNSQLLMTHPHPDVAQADFLTESVEKVQNLNLVQDEPTIHTENPLSADPQTTNKTQRVKQAIPIGLDMKNKAHESPVVLQETVSTENVSKIGLVDDTVEAGSRVNAASQLNTEKHHEDPGNSLPDIHWGDRVGSDLLPNNNQGLSKHSDQIGNYNGVVTSEEPCVGGAKSEQGDILIDINDRFPRDILSDIFAQAILSGSLSDTGSTSKNGAGVSVNMENLDPKHWSFFQKLAGDEFAKRDVSLIDQDHLGYSPGLQKIEEASSPYGIMPSADDRVSLSHLDQQVNLGEDNQRELSSAGTNSVMLHSGYGPNSEYEDGIRNIGLPPLGPLVDFDINSLQLIRNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTVRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGIGGTLATVTEYMVDGSLRHVLLRKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPSIPSYCDPEWRNLMEQCWAPNPASRPSFTEIASHLRSLSTAAQTKAPKT >itb05g28060.t4 pep chromosome:ASM357664v1:5:31199933:31205507:-1 gene:itb05g28060 transcript:itb05g28060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKGQNHLHYNTREQSNAQVIPETQVLKRDLQEYDHPDLNHQETKPVLNYSIQTGEEFSLEFMLDRFNTRKPQLPNTSVDPNHTPGYLELKGILGIAHTGSERGSDVSMLTTMEGPRDIDERNSSLLCQEKSNSGSMLPAQQTSSEHNGFRSLIYASSGASDSLVARLKILCSFGGKILPRPSDGKLRYVGGDTRIIRVRKDIMWHELWHKAIAIYDHTHIIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLDDTEGTKKLRMFLFSMIDLEDTHFSLSSSHADCEIQYVVAVNGFDLESRKSSILHCLGSSANNLAELDVQNVEMDTGKLPHYDDGKPQNFQSTYNLNSYMRVSESLIPRQNGILAQKEDIEEQLLDGLSEQHLQSSIKPVKSDANASAYQGGEVQGDQMLSNEQLSASHLVIKNAKGYFPIENEGRSQYPIQISSALETVSPELPRSGGNNCSSASVLEPSNSEPAPIDLSFFEPTTPPPPQRVFRSERIPREQAELLNRISKSDDSHNSQLLMTHPHPDVAQADFLTESVEKVQNLNLVQDEPTIHTENPLSADPQTTNKTQRVKQAIPIGLDMKNKAHESPVVLQETVSTENVSKIGLVDDTVEAGSRVNAASQLNTEKHHEDPGNSLPDIHWGDRVGSDLLPNNNQGLSKHSDQIGNYNGVVTSEEPCVGGAKSEQGDILIDINDRFPRDILSDIFAQAILSGSLSDTGSTSKNGAGVSVNMENLDPKHWSFFQKLAGDEFAKRDVSLIDQDHLGYSPGLQKIEEASSPYGIMPSADDRVSLSHLDQQVNLGEDNQRELSSAGTNSVMLHSGYGPNSEYEDGIRNIGLPPLGPLVDFDINSLQLIRNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTVRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGIGGTLATVTEYMVDGSLRHVLLRKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPSIPSYCDPEWRNLMEQCWAPNPASRPSFTEIASHLRSLSTAAQTKAPKT >itb05g28060.t2 pep chromosome:ASM357664v1:5:31199933:31206060:-1 gene:itb05g28060 transcript:itb05g28060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKGQNHLHYNTREQSNAQVIPETQVLKRDLQEYDHPDLNHQETKPVLNYSIQTGEEFSLEFMLDRFNTRKPQLPNTSVDPNHTPGYLELKGILGIAHTGSERGSDVSMLTTMEGPRDIDERNSSLLCQEKSNSGSMLPAQQTSSEHNGFRSLIYASSGASDSLVARLKILCSFGGKILPRPSDGKLRYVGGDTRIIRVRKDIMWHELWHKAIAIYDHTHIIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLDDTEGTKKLRMFLFSMIDLEDTHFSLSSSHADCEIQYVVAVNGFDLESRKSSILHCLGSSANNLAELDVQNVEMDTGKLPHYDDGKPQNFQSTYNLNSYMRVSESLIPRQNGILAQKEDIEEQLLDGLSEQHLQSSIKPVKSDANASAYQGGEVQGDQMLSNEQLSASHLVIKNAKGYFPIENEGRSQYPIQISSALETVSPELPRSGGNNCSSASVLEPSNSEPAPIDLSFFEPTTPPPPQRVFRSERIPREQAELLNRISKSDDSHNSQLLMTHPHPDVAQADFLTESVEKVQNLNLVQDEPTIHTENPLSADPQTTNKTQRVKQAIPIGLDMKNKAHESPVVLQETVSTENVSKIGLVDDTVEAGSRVNAASQLNTEKHHEDPGNSLPDIHWGDRVGSDLLPNNNQGLSKHSDQIGNYNGVVTSEEPCVGGAKSEQGDILIDINDRFPRDILSDIFAQAILSGSLSDTGSTSKNGAGVSVNMENLDPKHWSFFQKLAGDEFAKRDVSLIDQDHLGYSPGLQKIEEASSPYGIMPSADDRVSLSHLDQQVNLGEDNQRELSSAGTNSVMLHSGYGPNSEYEDGIRNIGLPPLGPLVDFDINSLQLIRNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTVRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGIGGTLATVTEYMVDGSLRHVLLRKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPSIPSYCDPEWRNLMEQCWAPNPASRPSFTEIASHLRSLSTAAQTKAPKT >itb15g07280.t1 pep chromosome:ASM357664v1:15:4927816:4932786:1 gene:itb15g07280 transcript:itb15g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSPVACWCCVFLSALAIALTTNLSQTAAPIGRPGCPNRCGNLAIPYPFGIGSGCAFDSKFEILCDTRANPPNTVLTTGWGAPMLVYDISDTQIRIPGPGVLTVCYDSKGAWRPMPFPFNFTSQTMLLQRLNHYSFSLANKFTTVGCDDTLLISHGPNDVSRCTSRCSDASQVPVVNGNCSGVGCCQLPMPKDLNNKLYNISMVSAMNHTKVWSFNPCAHTFFGETSRFTFLGASDLSNQNFPRRVYETIPVLLDWAIGDLNCKEAQHLTEYACKGNSHCVDSDTGFGGYRCICDHGYQGNPYLGCIDPPIGKLSCDKAKNGSVYACQANSHCLDSDTGLGGYRCHCDNGYEGNPYLSPGCTDIDECKNPETMKSCEQRCINFPGGFNCSCFKGYFGDGRKGGQGCILINKKSAASSWFKLSLGIGLGTVVFVAIATSLSYIIKKTNDAKMRLKFFEQNGGFLLQQKITDGGSDGTDVTKIYSAKELRQATNNFAKDMILGQGGNGIVFKGILLPNKLQVAVKRSKTVDDTQIEQFINEVAILSRINHRHVVKFLGCCLEAEVPLLVYEYVSNGTLYYHIHREAGGSDWLSWENRLRIAIEAAGALAYLYSSASTPIIHRDVKSTNILIDENYTAKISDFGASRKERRIQFWSSLGRASNRKETVYPNMSEEDRNLSSFFVRSLNENRLFQIIVPRLIREGTLDQLQRIGELVKRCLELKGEDRPQMKEVASELERIRNSTKVSWTTESSCLLPAEDESLDLYAAPINHPVVDAPTQKLL >itb04g20950.t1 pep chromosome:ASM357664v1:4:25978383:25982464:-1 gene:itb04g20950 transcript:itb04g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRICRRSFSSAFPQPWLFIGLGNPGDKYKGTRHNVGFDMVDAFAQSVGIQMDDVHCKAIFGKGFLNGVPVFLAKPQTYMNLSGESSGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLHPKGGHHSHNGLKSVIYHFRGNKEFPRLRIGIGRPPGQMDPKAFLLQKFNATARERVDVALQEGVDALKQLLSKGLTESARCFNTQQKYKHIRLQTIPT >itb04g20950.t2 pep chromosome:ASM357664v1:4:25980007:25982464:-1 gene:itb04g20950 transcript:itb04g20950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRICRRSFSSAFPQPWLFIGLGNPGDKYKGTRHNVGFDMVDAFAQSVGIQMDDVHCKAIFGKGFLNGVPVFLAKPQTYMNLSGESSGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLHPKGGHHSHNGLKSVIYHFRGNKEFPRLRIGIGRPPGQMDPKAFLLQKFNATARERVCSSLLDSTL >itb04g20950.t3 pep chromosome:ASM357664v1:4:25978383:25982463:-1 gene:itb04g20950 transcript:itb04g20950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHSQLASRWMMYIAKLYLGKSGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLHPKGGHHSHNGLKSVIYHFRGNKEFPRLRIGIGRPPGQMDPKAFLLQKFNATARERVDVALQEGVDALKQLLSKGLTESARCFNTQQKYKHIRLQTIPT >itb12g17850.t4 pep chromosome:ASM357664v1:12:20105016:20110813:1 gene:itb12g17850 transcript:itb12g17850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSSSPKPMQSGNQVMEASNTQLQTAGAAGTVSNAGIPMPSPSNSIFHSPSVDLPQIPMTPSQQQPQMLQQQQQQMQQLQQQSSNSNNNNNNNCSNNNNNMMAAAMASNFQMQQSLQRSTSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGVPQQQQPQQQQQNPQQQQMGQMVGGGNLSRSSLIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQLHLGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQMPSLSSSPNSPSFRLQQQRLLIQQQLASSQQLHQNSMAMNQQQLSQIVQQQQMGHPQMLQQQQQQAPPAPTQQQSQPADHQQQQLGQQLLHQQQQSSLHMAAPTCQKSMSLTGSQPDATASGATTPGGSPSQGTEASNQLLGKRKIQDLVSQVDSMGKLDPDVEDLLLEIADDFIDSITTFACNLAKHRKSSTLESKDVLLHLEKNLHLTIPGFSSEERKQQSEHPSSNDIHKKRLDMIRSLMEASRAEISTSANNAKQMVRQGMGDHIGQNHMIRAPSSEQLVSQANASKMLQQMTRF >itb12g17850.t3 pep chromosome:ASM357664v1:12:20105016:20111195:1 gene:itb12g17850 transcript:itb12g17850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSSSPKPMQSGNQVMEASNTQLQTAGAAGTVSNAGIPMPSPSNSIFHSPSVDLPQIPMTPSQQQPQMLQQQQQQMQQLQQQSSNSNNNNNNNCSNNNNNMMAAAMASNFQMQQSLQRSTSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGVPQQQQPQQQQQNPQQQQMGQMVGGGNLSRSSLIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQLHLGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQMPSLSSSPNSPSFRLQQQRLLIQQQLASSQQLHQNSMAMNQQQLSQIVQQQQMGHPQMLQQQQQQAPPAPTQQQSQPADHQQQQLGQQLLHQQQQSSLHMAAPTCQKSMSLTGSQPDATASGATTPGGSPSQGTEASNQLLGKRKIQDLVSQVDSMGKLDPDVEDLLLEIADDFIDSITTFACNLAKHRKSSTLESKDVLLHLEKNLHLTIPGFSSEERKQQSEHPSSNDIHKKRLDMIRSLMEASRAEISTSANNAKQMVRQGMGDHIGQNHMIRAPSSEQLVSQANASKMLQQMTRF >itb12g17850.t5 pep chromosome:ASM357664v1:12:20105016:20110813:1 gene:itb12g17850 transcript:itb12g17850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSSSPKPMQSGNQVMEASNTQLQTAGAAGTVSNAGIPMPSPSNSIFHSPSVDLPQIPMTPSQQQPQMLQQQQQQMQQLQQQSSNSNNNNNNNCSNNNNNMMAAAMASNFQMQQSLQRSTSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGVPQQQQPQQQQQNPQQQQMGQMVGGGNLSRSSLIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQLHLGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQMPSLSSSPNSPSFRLQQQRLLIQQQLASSQQLHQNSMAMNQQQLSQIVQQQQMGHPQMLQQQQQQAPPAPTQQQSQPADHQQQQLGQQLLHQQQQSSLHMAAPTCQKSMSLTGSQPDATASGATTPGGSPSQGTEASNQLLGKRKIQDLVSQVDSMGKLDPDVEDLLLEIADDFIDSITTFACNLAKHRKSSTLESKDVLLHLEKNLHLTIPGFSSEERKQQSEHGILLLRAVWKN >itb12g17850.t1 pep chromosome:ASM357664v1:12:20105016:20111278:1 gene:itb12g17850 transcript:itb12g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSSSPKPMQSGNQVMEASNTQLQTAGAAGTVSNAGIPMPSPSNSIFHSPSVDLPQIPMTPSQQQPQMLQQQQQQMQQLQQQSSNSNNNNNNNCSNNNNNMMAAAMASNFQMQQSLQRSTSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGVPQQQQPQQQQQNPQQQQMGQMVGGGNLSRSSLIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQLHLGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQMPSLSSSPNSPSFRLQQQRLLIQQQLASSQQLHQNSMAMNQQQLSQIVQQQQMGHPQMLQQQQQQAPPAPTQQQSQPADHQQQQLGQQLLHQQQQSSLHMAAPTCQKSMSLTGSQPDATASGATTPGGSPSQGTEASNQLLGKRKIQDLVSQVDSMGKLDPDVEDLLLEIADDFIDSITTFACNLAKHRKSSTLESKDVLLHLEKNLHLTIPGFSSEERKQQSEHGILLLRAVWKN >itb12g17850.t2 pep chromosome:ASM357664v1:12:20105016:20111278:1 gene:itb12g17850 transcript:itb12g17850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSSSPKPMQSGNQVMEASNTQLQTAGAAGTVSNAGIPMPSPSNSIFHSPSVDLPQIPMTPSQQQPQMLQQQQQQMQQLQQQSSNSNNNNNNNCSNNNNNMMAAAMASNFQMQQSLQRSTSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGVPQQQQPQQQQQNPQQQQMGQMVGGGNLSRSSLIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQLHLGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQMPSLSSSPNSPSFRLQQQRLLIQQQLASSQQLHQNSMAMNQQQLSQIVQQQQMGHPQMLQQQQQQAPPAPTQQQSQPADHQQQQLGQQLLHQQQQSSLHMAAPTCQKSMSLTGSQPDATASGATTPGGSPSQGTEASNQLLGKRKIQDLVSQVDSMGKLDPDVEDLLLEIADDFIDSITTFACNLAKHRKSSTLESKDVLLHLEKNLHLTIPGFSSEERKQQSEHPSSNDIHKKRLDMIRSLMEASRAEISTSANNAKQMVRQGMGDHIGQNHMIRAPSSEQLVSQANASKMLQQMTRF >itb10g06680.t2 pep chromosome:ASM357664v1:10:7504825:7514183:1 gene:itb10g06680 transcript:itb10g06680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPPRQAGKNRSNLESSGSPSSLKILEQPSARWNPLEARRPILDEAPVFYPTVEEFQDTIGYIASIRPKAEAYGICRIIPPSSWSPPCPLQEKNVWECKFSTRIQQIDVLQNREPMRKKRQRKRRRRGQSKARSVRRCPGSESNISDSEEKFGFQSGPDFTLKEFQIFSDEFKERYFGVKNAKEGISDRSGQENERKPSIEDIEGEYWRIVEKSTDEVEVYYGADLESGVFGSGFLKASSFETKSTSDEYVASGWNLNNFARLPGSVLCFEECNISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHATALEDAMRKHLPDLFEEQPFLLNELVTQLSPSVLKSEGVPVYHAVQHSGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLQHGQVAVELYSKQRRRTSLSHDKLLVGAAREAAQALWELSFLEKEIPRNLRWKMVCGQDGILTKAIKKRVQMEEERIKRLSPVLSLKYMGRDFDLKSETECFECFYDLYLSAASCSCSPEKFACLEHANLICSCNPENKRVVLRYTLDDFKTLVKALEGNSDAIKMWIAKDLCAPRDAGNDVREEFARPDGQQPSNSRALPDMANEVKAEIHAIADGNTLGNGHEFDLNENCLSSDNEVCPPQISNSSNNSVDLEMADVPESKRTKGNNCSLFPENRSIGVNINTSSSHDSENGMKLFGIDLSARSFPSFTFNGEEKTGVVDSSMGRNSQCCPTSLQNSSFQVEPMNYGSVISRKQWCDKRTIFPKGFKSRVKFFDVLDPTKNSSYISEVCDGGLLGPLFKVTLEHCPSISYACSSAEKCWEMVVDRLNNEIMRQTVLGKQGLPPLQTGDRINGLVMFGFLSPRIIQEIESLDPDHECTEYWSQKQPPGFSKHDVKPSSDIKERSQDSGLDLAAKRILGSNSHLSDDNAKIALRRFFSKANPQELEMMHGVLCSGSSSPEWRTAVSVLDEMQKKGETKLRD >itb10g06680.t4 pep chromosome:ASM357664v1:10:7509840:7514420:1 gene:itb10g06680 transcript:itb10g06680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHATALEDAMRKHLPDLFEEQPFLLNELVTQLSPSVLKSEGVPVYHAVQHSGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLQHGQVAVELYSKQRRRTSLSHDKLLVGAAREAAQALWELSFLEKEIPRNLRWKMVCGQDGILTKAIKKRVQMEEERIKRLSPVLSLKYMGRDFDLKSETECFECFYDLYLSAASCSCSPEKFACLEHANLICSCNPENKRVVLRYTLDDFKTLVKALEGNSDAIKMWIAKDLCAPRDAGNDVREEFARPDGQQPSNSRALPDMANEVKAEIHAIADGNTLGNGHEFDLNENCLSSDNEVCPPQISNSSNNSVDLEMADVPESKRTKGNNCSLFPENRSIGVNINTSSSHDSENGMKLFGIDLSARSFPSFTFNGEEKTGVVDSSMGRNSQCCPTSLQNSSFQVEPMNYGSVISRKQWCDKRTIFPKGFKSRVKFFDVLDPTKNSSYISEVCDGGLLGPLFKVTLEHCPSISYACSSAEKCWEMVVDRLNNEIMRQTVLGKQGLPPLQTGDRINGLVMFGFLSPRIIQEIESLDPDHECTEYWSQKQPPGFSKHDVKPSSDIKERSQDSGLDLAAKRILGSNSHLSDDNAKIALRRFFSKANPQELEMMHGVLCSGSSSPEWRTAVSVLDEMQKKGETKLRD >itb10g06680.t3 pep chromosome:ASM357664v1:10:7504825:7514183:1 gene:itb10g06680 transcript:itb10g06680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPPRQAGKNRSNLESSGSPSSLKILEQPSARWNPLEARRPILDEAPVFYPTVEEFQDTIGYIASIRPKAEAYGICRIIPPSSWSPPCPLQEKNVWECKFSTRIQQIDVLQNREPMRKKRQRKRRRRGQSKARSVRRCPGSESNISDSEEKFGFQSGPDFTLKEFQIFSDEFKERYFGVKNAKEGISDRSGQENERKPSIEDIEGEYWRIVEKSTDEVEVYYGADLESGVFGSGFLKASSFETKSTSDEYVASGWNLNNFARLPGSVLCFEECNISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHATALEDAMRKHLPDLFEEQPFLLNELVTQLSPSVLKSEGVPVYHAVQHSGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLQHGQVAVELYSKQRRRTSLSHDKLLVGAAREAAQALWELSFLEKEIPRNLRWKMVCGQDGILTKAIKKRVQMEEERIKRLSPVLSLKYMGRDFDLKSETECFECFYDLYLSAASCSCSPEKFACLEHANLICSCNPENKRVVLRYTLDDFKTLVKALEGNSDAIKMWIAKDLCAPRDAGNDVREEFARPDGQQPSNSRALPDMANEVKAEIHAIADGNTLGNGHEFDLNENCLSSDNEVCPPQISNSSNNSVDLEMADVPESKRTKGNNCSLFPENRSIGVNINTSSSHDSENGMKLFGIDLSARSFPSFTFNGEEKTGVVDSSMGRNSQCCPTSLQNSSFQVEPMNYGSVISRKQWCDKRTIFPKGFKSRVKFFDVLDPTKNSSYISEVCDGGLLGPLFKVTLEHCPSISYACSSAEKCWEMVVDRLNNEIMRQTVLGKQGLPPLQTGDRINGLVMFGFLSPRIIQEIESLDPDHECTEYWSQKQPPGFSKHDVKPSSDIKERSQDSGLDLAAKRILGSNSHLSDDNAKIALRRFFSKANPQELEMMHGVLCSGSSSPEWRTAVSVLDEMQKKGETKLRD >itb10g06680.t1 pep chromosome:ASM357664v1:10:7504575:7514420:1 gene:itb10g06680 transcript:itb10g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERPPRQAGKNRSNLESSGSPSSLKILEQPSARWNPLEARRPILDEAPVFYPTVEEFQDTIGYIASIRPKAEAYGICRIIPPSSWSPPCPLQEKNVWECKFSTRIQQIDVLQNREPMRKKRQRKRRRRGQSKARSVRRCPGSESNISDSEEKFGFQSGPDFTLKEFQIFSDEFKERYFGVKNAKEGISDRSGQENERKPSIEDIEGEYWRIVEKSTDEVEVYYGADLESGVFGSGFLKASSFETKSTSDEYVASGWNLNNFARLPGSVLCFEECNISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHATALEDAMRKHLPDLFEEQPFLLNELVTQLSPSVLKSEGVPVYHAVQHSGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLQHGQVAVELYSKQRRRTSLSHDKLLVGAAREAAQALWELSFLEKEIPRNLRWKMVCGQDGILTKAIKKRVQMEEERIKRLSPVLSLKYMGRDFDLKSETECFECFYDLYLSAASCSCSPEKFACLEHANLICSCNPENKRVVLRYTLDDFKTLVKALEGNSDAIKMWIAKDLCAPRDAGNDVREEFARPDGQQPSNSRALPDMANEVKAEIHAIADGNTLGNGHEFDLNENCLSSDNEVCPPQISNSSNNSVDLEMADVPESKRTKGNNCSLFPENRSIGVNINTSSSHDSENGMKLFGIDLSARSFPSFTFNGEEKTGVVDSSMGRNSQCCPTSLQNSSFQVEPMNYGSVISRKQWCDKRTIFPKGFKSRVKFFDVLDPTKNSSYISEVCDGGLLGPLFKVTLEHCPSISYACSSAEKCWEMVVDRLNNEIMRQTVLGKQGLPPLQTGDRINGLVMFGFLSPRIIQEIESLDPDHECTEYWSQKQPPGFSKHDVKPSSDIKERSQDSGLDLAAKRILGSNSHLSDDNAKIALRRFFSKANPQELEMMHGVLCSGSSSPEWRTAVSVLDEMQKKGETKLRD >itb15g11810.t2 pep chromosome:ASM357664v1:15:9650659:9657993:1 gene:itb15g11810 transcript:itb15g11810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRHRYAPLKSNQNTSNYEAPSSPGTSSPFLHHTRSGSASNARKPQNTKAAAQRLAQVMSNQTADDDDEEEDDLLFDSASANLSAGIGLAGGRSTRNRSPMTVRASMEQSASAVRSAPRRPSPTSSSLEQPSSARSTSATRASQQSSVDQPRSSSATRASQLSSVDQPRSSSATRASQLSSVDQPRSSSATRSSQLSSVEHHSPARSTSTIRSSQLSSSVEQPSPARSTSTIRSSQLSSSVEQPPSVYSSQAARSLQSTNSVEPVQPLSARSSHLNTVEQPRSTSTSRPNLGVKAVPLVPSSVSISLRTGVSQTPELQSDSRKDKRLSLDLGTFKHKEPVARTASSALQDELDLLHEENESLLEKLRLAEERFEEAEARSRQLEKQVANLGEGVSLDARLLSRKEAALQQREAALKVATQSFGGGGKCEEVDALRAEAENAKDEAASVFEKLNQAECSVKSLRNMMKRMILTQEEMEEIVLKRCWLARYWGLCVDHGIQSDIAAAKYEYWSSFAPLPLEIVLEAGQNAKDEKSLVYDDTDEREKLRLDVELSSEGCVESMLLVDRGLRELASLKIEEAITLSMAQKRRPSALKSSATDDLKLPNEAQEFAETFRKSSSLL >itb15g11810.t4 pep chromosome:ASM357664v1:15:9650659:9657993:1 gene:itb15g11810 transcript:itb15g11810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRHRYAPLKSNQNTSNYEAPSSPGTSSPFLHHTRSGSASNARKPQNTKAAAQRLAQVMSNQTADDDDEEEDDLLFDSASANLSAGIGLAGGRSTRNRSPMTVRASMEQSASAVRSAPRRPSPTSSSLEQPSSARSTSATRASQQSSVDQPRSSSATRASQLSSVDQPRSSSATRASQLSSVDQPRSSSATRSSQLSSVEHHSPARSTSTIRSSQLSSSVEQPSPARSTSTIRSSQLSSSVEQPPSVYSSQAARSLQSTNSVEPVQPLSARSSHLNTVEQPRSTSTSRPNLGVKAVPLVPSSVSISLRTGVSQTPELQSDSRKDKRLSLDLGTFKHKEPVARTASSALQDELDLLHEENESLLEKLRLAEERFEEAEARSRQLEKQVANLGEGVSLDARLLSRKEAALQQREAALKVATQSFGGGGKCEEVDALRAEAENAKDEAASVFEKLNQAECSVKSLRNMMKRMILTQEEMEEIVLKRCWLARYWGLCVDHGIQSDIAAAKYEYWSSFAPLPLEIVLEAGQNAKDEKSLVYDDTDEREKLRLDVELSSEGCVESMLLVDRGLRELASLKIEEAITLSMAQKRRPSALKSSATDDLKLPNEAQEFAETFQLSEEESEDVHFKQAWLLYFWRRAKNHGLEEDITEERLNFWINQGDQPLDSQDSVNVERGLLELRKLGIEVQLWQASRKVIDPETTSKMLKDNDF >itb15g11810.t3 pep chromosome:ASM357664v1:15:9650659:9657993:1 gene:itb15g11810 transcript:itb15g11810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRHRYAPLKSNQNTSNYEAPSSPGTSSPFLHHTRSGSASNARKPQNTKAAAQRLAQVMSNQTADDDDEEEDDLLFDSASANLSAGIGLAGGRSTRNRSPMTVRASMEQSASAVRSAPRRPSPTSSSLEQPSSARSTSATRASQQSSVDQPRSSSATRASQLSSVDQPRSSSATRASQLSSVDQPRSSSATRSSQLSSVEHHSPARSTSTIRSSQLSSSVEQPSPARSTSTIRSSQLSSSVEQPPSVYSSQAARSLQSTNSVEPVQPLSARSSHLNTVEQPRSTSTSRPNLGVKAVPLVPSSVSISLRTGVSQTPELQSDSRKDKRLSLDLGTFKHKEPVARTASSALQDELDLLHEENESLLEKLRLAEERFEEAEARSRQLEKQVANLGEGVSLDARLLSRKEAALQQREAALKVATQSFGGGGKCEEVDALRAEAENAKDEAASVFEKLNQAECSVKSLRNMMKRMILTQEEMEEIVLKRCWLARYWGLCVDHGIQSDIAAAKYEYWSSFAPLPLEIVLEAGQNAKDEKSLVYDDTDEREKLRLDVELSSEGCVESMLLVDRGLRELASLKIEEAITLSMAQKRRPSALKSSATDDLKLPNEAQEFAETFQLSEEESEDVHFKQAWLLYFWRRAKNHGLEEDITEERLNFWINQGDQPLDSQDSVNVERGLLELRKLGIEVQLWQASRKVIDPETTSKMLKDNDF >itb15g11810.t5 pep chromosome:ASM357664v1:15:9650659:9657993:1 gene:itb15g11810 transcript:itb15g11810.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRHRYAPLKSNQNTSNYEAPSSPGTSSPFLHHTRSGSASNARKPQNTKAAAQRLAQVMSNQTADDDDEEEDDLLFDSASANLSAGIGLAGGRSTRNRSPMTVRASMEQSASAVRSAPRRPSPTSSSLEQPSSARSTSATRASQQSSVDQPRSSSATRASQLSSVDQPRSSSATRASQLSSVDQPRSSSATRSSQLSSVEHHSPARSTSTIRSSQLSSSVEQPSPARSTSTIRSSQLSSSVEQPPSVYSSQAARSLQSTNSVEPVQPLSARSSHLNTVEQPRSTSTSRPNLGVKAVPLVPSSVSISLRTGVSQTPELQSDSRKDKRLSLDLGTFKHKEPVARTASSALQDELDLLHEENESLLEKLRLAEERFEEAEARSRQLEKQVANLGEGVSLDARLLSRKEAALQQREAALKVATQSFGGGGKCEEVDALRAEAENAKDEAASVFEKLNQAECSVKSLRNMMKRMILTQEEMEEIVLKRCWLARYWGLCVDHGIQSDIAAAKYEYWSSFAPLPLEIVLEAGQNAKDEKSLVYDDTDEREKLRLDVELSSEGCVESMLLVDRGLRELASLKIEEAITLSMAQKRRPSALKSSATDDLKLPNEAQEFAETFQLSEEESEDVHFKQAWLLYFWRRAKNHGLEEDITEERLNFWINQGDQPLDSQDSVNVERGLLELRKLGIEVQLWQASRKVIDPETTSKMLKDNDF >itb15g11810.t1 pep chromosome:ASM357664v1:15:9650659:9657993:1 gene:itb15g11810 transcript:itb15g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRHRYAPLKSNQNTSNYEAPSSPGTSSPFLHHTRSGSASNARKPQNTKAAAQRLAQVMSNQTADDDDEEEDDLLFDSASANLSAGIGLAGGRSTRNRSPMTVRASMEQSASAVRSAPRRPSPTSSSLEQPSSARSTSATRASQQSSVDQPRSSSATRASQLSSVDQPRSSSATRASQLSSVDQPRSSSATRSSQLSSVEHHSPARSTSTIRSSQLSSSVEQPSPARSTSTIRSSQLSSSVEQPPSVYSSQAARSLQSTNSVEPVQPLSARSSHLNTVEQPRSTSTSRPNLGVKAVPLVPSSVSISLRTGVSQTPELQSDSRKDKRLSLDLGTFKHKEPVARTASSALQDELDLLHEENESLLEKLRLAEERFEEAEARSRQLEKQVANLGEGVSLDARLLSRKEAALQQREAALKVATQSFGGGGKCEEVDALRAEAENAKDEAASVFEKLNQAECSVKSLRNMMKRMILTQEEMEEIVLKRCWLARYWGLCVDHGIQSDIAAAKYEYWSSFAPLPLEIVLEAGQNAKDEKSLVYDDTDEREKLRLDVELSSEGCVESMLLVDRGLRELASLKIEEAITLSMAQKRRPSALKSSATDDLKLPNEAQEFAETFQLSEEESEDVHFKQAWLLYFWRRAKNHGLEEDITEERLNFWINQGDQPLDSQDSVNVERGLLELRKLGIEVQLWQASRKVIDPETTSKMLKDNDF >itb05g21910.t2 pep chromosome:ASM357664v1:5:27509672:27510345:1 gene:itb05g21910 transcript:itb05g21910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAAFLMLIFLFPEAATAQPEDNSINLGDSLNPTSKPAWYSPSRRFAFGFFPEGMGFKVGIWLVNSPNNTVVWCANRDDPGVSSDATLEFVGGKVLLKTGQSQEKIIDQESAYSASMLDSGNFVLYNEYSYRIWESFSAPTDTILGGQNLSAGGKLIPGISSTNHSSGRFHLDMQNDGNLVAYPKNLGYAHATR >itb05g21910.t1 pep chromosome:ASM357664v1:5:27509672:27512639:1 gene:itb05g21910 transcript:itb05g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAAFLMLIFLFPEAATAQPEDNSINLGDSLNPTSKPAWYSPSRRFAFGFFPEGMGFKVGIWLVNSPNNTVVWCANRDDPGVSSDATLEFVGGKVLLKTGQSQEKIIDQESAYSASMLDSGNFVLYNEYSYRIWESFSAPTDTILGGQNLSAGGKLIPGISSTNHSSGRFHLDMQNDGNLVAYPKNLGYAVDAYWASHTCCNGKNNGMNDLVLETNGSMLLVDYSFGSLTRELCIPSVPNNDTIYRATFDYDGNFRLYSHSFNSDGNSNMKIEWQAMDNMCDVKGFCGLNSYCGMRDNASYCICLPGATIRDKDQPFGDCERDFTRGNCIGGKEDASVFKLTAATNLTWDDPPYFVSSYLGKEDCSKSCSEDCDCDAALYEGSGRCTKHKLPLRYVKSDTEGSKTAFFKVSNAITRRSIEKDHVKLPWLLILLTSLGSVAYYGVAIAFSTFFIFKFRILQYRKLLQTGNKGLTREFILRTCTYRELKRATNGFKEELGKGSFGAVYKGSFDKGKTLVAVKRLEKVVEEGEREFRAEMRAIGRTRHKNLVRLLGYCAEGSKRLLVYEYMSNGCLANLLFKAKQRLDWTERLRIALNVAKGIHYLHEECEAPIIHCDIKPQNILLDNSWTAKISDFGLAKLLMPDQTRTFTGVRGTRGYLAPEWQTNAPISVKVDIYSYGIVLLEIICCRRNIEVDVSELEEIQLSSTPVAAELLFLRSLPFLSAIPSDSQPQ >itb13g24590.t2 pep chromosome:ASM357664v1:13:30229265:30231709:1 gene:itb13g24590 transcript:itb13g24590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVAIVVSAAFKAAFPAPTYQENGLASTVPEADYIWRIILMFGAFPAGLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVEIVADGEKAGKISQESGNDFGLFSREFLRRHGLHLLGTTSTWFLLDIAFYSQQLFQKDIFRAIGWIPNPETMNAIEEVFRIARAQTLIAVCSTVPGYWFTVALIDKIGRFAIQLMGFFFMTVFMFALAIPYNHWTHKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQNRDPAKTDAGYPPGIGVRNSLIVLGCINFLGMVFTLLVPESKGKSLEEMSRENEGEEGGVEIEMRIQGGHEIRTVPV >itb13g24590.t1 pep chromosome:ASM357664v1:13:30229235:30231709:1 gene:itb13g24590 transcript:itb13g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDELRVLNALDVARTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAPKPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGLTLMLMVICSIASGLSFGHSSKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVAIVVSAAFKAAFPAPTYQENGLASTVPEADYIWRIILMFGAFPAGLTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVEIVADGEKAGKISQESGNDFGLFSREFLRRHGLHLLGTTSTWFLLDIAFYSQQLFQKDIFRAIGWIPNPETMNAIEEVFRIARAQTLIAVCSTVPGYWFTVALIDKIGRFAIQLMGFFFMTVFMFALAIPYNHWTHKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQNRDPAKTDAGYPPGIGVRNSLIVLGCINFLGMVFTLLVPESKGKSLEEMSRENEGEEGGVEIEMRIQGGHEIRTVPV >itb12g19900.t4 pep chromosome:ASM357664v1:12:22354120:22355850:-1 gene:itb12g19900 transcript:itb12g19900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSDSCAKLILHFSMTEILLPEISFSQDNVTCFTQSHRRTGLLRLVAYSIDEDGLPHESYGPSIELASFGLRFHPAFAAVLSSRG >itb12g19900.t3 pep chromosome:ASM357664v1:12:22353721:22356079:-1 gene:itb12g19900 transcript:itb12g19900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSDSCAKLILHFSMTEILLPEISFSQDNVTCFTQSHRRTGLLRLVAYSIDEDGLPHESYGPSIELASFGLRFHPAFAAVLSSRG >itb12g19900.t1 pep chromosome:ASM357664v1:12:22354122:22355850:-1 gene:itb12g19900 transcript:itb12g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSDSCAKLILHFSMTEILLPEISFSQDNVTCFTQSHRRTGLLRLVAYSIDEDGLPHESYGPSIELASFGLRFHPAFAAVLSSRG >itb12g19900.t2 pep chromosome:ASM357664v1:12:22354122:22355850:-1 gene:itb12g19900 transcript:itb12g19900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSDSCAKLILHFSMTEILLPEISFSQDNVTCFTQSHRRTGLLRLVAYSIDEDGLPHESYGPSIELASFGLRFHPAFAAVLSSRG >itb04g10000.t1 pep chromosome:ASM357664v1:4:9262201:9263712:1 gene:itb04g10000 transcript:itb04g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSEIKGFYKQQKKGGISKPKPKSKTASSSTPKNSASFGAKVTQPPALVGSHGSLDLQDEYGEKEVALRQFDMNMAYGPCLGMSRLERWERAKSLGLNPPNDLLRILEGKNARQECLWDCRV >itb14g19710.t1 pep chromosome:ASM357664v1:14:22304834:22307540:1 gene:itb14g19710 transcript:itb14g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGCNYTALIIFLVLPACFFASSASTTEAEALLKWKSSLLISYSLNSWSLSNLRNMCNWTGIVCNGGGATTVSQINLPYADLYGTLHHLNFTSFPSLTRFNISGNFFNGSIPPAIGDLSNLVFLDLSENRFDGSIPPQIGKLRELHYLSLYFNNFSGVVPHQIGNLQKVCFLDLGLNSYLEAPDWSTIKSFPVLRHLSFFRNEFGPRFPDFILGCRNLTYLDLIGNYLNGLIPESLFTNLKKLECLYLSANNFSGHLSSIGQLKNLQRLYIEDDKYSRQRMLLLKK >itb14g14980.t1 pep chromosome:ASM357664v1:14:18064922:18066936:-1 gene:itb14g14980 transcript:itb14g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGCGVTEQEILKRGGRTNNVAWAFGLGLERLAMVLFDIPDIRLFWFADERFTSQINFQHFSSGQLGVKFKPFSKYPPCYKDMSFNATRISDSFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHCYRITYRSMERSLTDEEINDLQWKVRDQVESKLKVVLR >itb11g12530.t1 pep chromosome:ASM357664v1:11:9430322:9435891:1 gene:itb11g12530 transcript:itb11g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MNGGATRNKVQRKFKMRGYTLKVDALSEVLSFLDRFPSDAHDEALDLLLDELQYLSLKSAILDKHPVHKVVTLLLEAEAADEENPNSAGSSASELRVIDAFIVPKLRYDPVKKIFYEYVGKLPIHGDASAKATLYKDRFLLLFQRLSRDPRFSKPAFGSTVSEYGSCEISPIQSLVGQTGRRWVMGVISQLEDGHFYLEDLSAAVEVNLSDSKITTGFFVENTVVLAEGEMQLDGVFKVRTCGFPPLEDREKSTAFFSGIDFFGGGLLTNEETLRLAELEKRAVNDMFVILSDIWLDNEETMRNVEIVLSGYENVEVVPSLFVFMGNFCSHPCNLSFKSFSSLRSQFGKLGELIASHQRLKEHSRFLFIPGPDDVGPSTVLPRCCLPKYITEELQKNIPTAIFSSNPCRVKFYTQEIVFFRQDLLYRMRRSCLMAPSTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHSLHLYPTPHTIVLADKSEQKAFKYTGITCFNPGSFSSDGTFVAYRPCNREVELSAL >itb12g22020.t1 pep chromosome:ASM357664v1:12:24229069:24231499:1 gene:itb12g22020 transcript:itb12g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAKKEAFRKYLESSGVLDSLTKVLVALYEQNDKPSSAIEFVQQKLGGPVVSDYEKLQAEFSDLQTRYNELLTAHQEKCRELEELKNSRAEAFAKETNDPKAEAPNEGVSSVETSGKELSIA >itb05g23620.t1 pep chromosome:ASM357664v1:5:28679918:28680997:1 gene:itb05g23620 transcript:itb05g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARSVGVAMDYSATSKSALKWAIENLIDEGDRIVIIHVVSPKADPTNKQLFEDTGSPLIPLVEFRELDVSKKYGLTPDPEVLDMLDTVSKQKKVVVVAKVYWGDAREKICDAVDQLKLDSLVLGSRGLGVLKRVLLGSVSNYVVQNATCPVTVVKEPSKR >itb12g26850.t1 pep chromosome:ASM357664v1:12:27559499:27560966:-1 gene:itb12g26850 transcript:itb12g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSNISPSSSSFRFMGLLKQPDSDPLELDESDVVWSADYSPENFAYAAPPPPPPPGLHRAHTHFKPSTSGLSAALAGDCGNLVRRKSTLNPSFSAAASAARVIPAVNSRPSSHGVAKFHQSAPVNVPVWAKKNTAGAGEMERCEEAEAEDELDDEDEEMVPPHVIVARSHVTFSVFEGVGRTLKGRDLRRVRNAVFQKTVPLGFEFQLDSRELFLLDSSFNWTRESSSFWIRVPKRALPSGFEFQLDPRELFLLDLSFNCTRESSSFWIRVSIGPERALPRPAKHCILKFL >itb15g14490.t1 pep chromosome:ASM357664v1:15:12788858:12794376:-1 gene:itb15g14490 transcript:itb15g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKLVTMQPCCRILNRRRSTLLRFPVLMPRPPSPSLNSFHRCPSPVLGFGSVVRRTQKPIFCVSNFSWGQSRLLLRPCDSRGFHLVSSATSNLREFSSTVEPRTNDKNFERIYVQGGINVKPLVVDKNASLNEKTEHIEELKVGTEESEVEKEAWKLLENAVVRYCDSPVGTLAANDPNDKLPLNYDQVFIRDFIPSALAFLLKGENEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDENKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDFALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLALDEGSKNLVRAVNNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDATNKFNIYPEQIPYWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLVEAKWDDLIGHMPLKICYPALESEEWRIITGSDPKNTAWSYHNAGSWPTLLWQFTLACLKMGRTDLASRAIELAEKRLPLDNWPEYYDTKHGNFIGKQARLYQTWSIASYLTSKMLLRNPEMASFLSWEEDYELLEICVCALSGKSGRRKCSRGAAKSQILV >itb15g14490.t2 pep chromosome:ASM357664v1:15:12788858:12794376:-1 gene:itb15g14490 transcript:itb15g14490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKLVTMQPCCRILNRRRSTLLRFPVLMPRPPSPSLNSFHRCPSPVLGFGSVVRRTQKPIFCVSNFSWGQSRLLLRPCDSRGFHLVSSATSNLREFSSTVEPRTNDKNFERIYVQGGINVKPLVVDKNASLNEKTEHIEELKVGTEESEVEKEAWKLLENAVVRYCDSPVGTLAANDPNDKLPLNYDQVFIRDFIPSALAFLLKGENEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDENKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDFALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLALDEGSKNLVRAVNNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDATNKFNIYPEQIPYWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLVEAKWDDLIGHMPLKICYPALESEEWRIITGSDPKNTAWSYHNAGSWPTLLWQVTILC >itb15g14490.t3 pep chromosome:ASM357664v1:15:12789337:12794376:-1 gene:itb15g14490 transcript:itb15g14490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKLVTMQPCCRILNRRRSTLLRFPVLMPRPPSPSLNSFHRCPSPVLGFGSVVRRTQKPIFCVSNFSWGQSRLLLRPCDSRGFHLVSSATSNLREFSSTVEPRTNDKNFERIYVQGGINVKPLVVDKNASLNEKTEHIEELKVGTEESEVEKEAWKLLENAVVRYCDSPVGTLAANDPNDKLPLNYDQVFIRDFIPSALAFLLKGENEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDENKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDFALQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLALDEGSKNLVRAVNNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDATNKFNIYPEQIPYWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNEAILNLVEAKWDDLIGHMPLKICYPALESEEWRIITGSDPKNT >itb03g25680.t1 pep chromosome:ASM357664v1:3:24852420:24852779:-1 gene:itb03g25680 transcript:itb03g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRRCVRVCFHGANLSVTVTKKAVEVDEWINNTIRNHCHHLRDLIVGLDIEWHPNTSGGFNPPQLSSSALPGVASSFSFSTGISSPIRSSTSSPTPSSPSSEWGFKMTLKNSSEITA >itb02g10900.t1 pep chromosome:ASM357664v1:2:7099345:7104446:-1 gene:itb02g10900 transcript:itb02g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTCLDYALFQLTPTRTRCDLVIFAGKENEKLASGLLQPFISHLKSAKDQISRGGYSITLRPSTPYASWFTKATLERFVKFVTTPEVLERFVTIEQEIMQIENEQASMASQVEGEFNNGAGDTAEEENPKVRLQRTLETRKAVLKKEQAMAYARALVSGFEMDYLDDLITFANAFGALRLREACINFLELCNKKSNDGIWLDEVAAMQACTPSEFSYFEKSGIALAGEDKFYNQDAMVNFRNVSPPKSTISHGSSDTHQDNSLPSATQIQPNDGKAQVPTWPHHFPHYMQNFHGPGFPQMPPFPGYNFPGMQVPPSYFPGNVPWHPNAGDSSRSLDHEPDESSFSKSKKGKNSKENDSRNENTDMSSGSDSDDYVEHKERHSSKQRKGSSRKVVIRNINYIASKRYEDGDDSSGDSSSEDEDYTKGQVEQAIAAFEKSRNITSHSKKKKDRKKRNGSESKEGIENEVTGNAEKENMNKSWDMFQNLLMQNADSSPNDAARNQDEHFKTKILGEDELSAIDSHPQPGRLPKQHGLSNDSFVAIARDINNEENLRMQNLGAGESVHPVNRKELTNEEMLFSKRFQEVNGYSRATLSGDATDSVVIRRQNEGDWFLSNQKDKSANHGFSTDHSFFDGDNIPTSIGDHANEKNKRDVLFDESMMVQARPFENLSDSQPKDDIFLVSDIVGASQPNHKMPDHVETANVNEPDDLCMVLERDLADQVEASWNPEMDYANDVSLNESLTRQQTDSVDTKLPQNGKAPNTKSSKGPGVKAARKQTYSRTPVGSPARSKAEIPSRVKKSPSGSITPKSKAEKDEENRKRMEELALQRQRRIAERSSGTGAKAPISKKITKESKPATSVKVEKPKLKASTQATEKLQKPVIKSSTIDRLSAARRTPVPPSAESKTNPSRKKITKAAPSLQKPAAVEKKKGMQKAKPSVSSSTNDESTMVSAASEVQIKECSTESIKELHSVSSIEKNETCIIPCEDTMINKNNDQIPNGSISVLTEDQSVESALKDASPLNPLSSAASEMYKSSNTSVKSPVHPEISPPELSTPPPHDEVNLETLQARKKWIGDEHSPKIAKGIRKFLLFGRKS >itb01g13380.t1 pep chromosome:ASM357664v1:1:13440599:13441532:-1 gene:itb01g13380 transcript:itb01g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIASKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKVDHIEVDRETIDLLASMGMSDLPGVVLKEEQQPVMIASAGNYGGFRGGRRY >itb04g08230.t1 pep chromosome:ASM357664v1:4:5924509:5926829:-1 gene:itb04g08230 transcript:itb04g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLEIVSCGVESRRNRKSRLHGRIAQSQVPYPGPAEESKPAASSPAIEDVSLVNISSSSSSATVSAVVNEAAAKLGSATHPASEGGKRVVAYPYVKKLAKDLGVNLRGLSGSGPSGRIVAKDVEAAAVAVVSAAVSGGAGSRVREDCGVHYYAQRNN >itb01g34730.t6 pep chromosome:ASM357664v1:1:37271486:37277191:-1 gene:itb01g34730 transcript:itb01g34730.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLPGYPSHHKAQSIASSKYLQEDPQSLRRWYFSREEIEKHSPSRKDGIDYEKESGLRKLYCSFLQELGIELKVPQVTIATAMLLCHQFYMRQSHTKNHWQIIATVSMFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb01g34730.t7 pep chromosome:ASM357664v1:1:37271079:37276971:-1 gene:itb01g34730 transcript:itb01g34730.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb01g34730.t2 pep chromosome:ASM357664v1:1:37271079:37277191:-1 gene:itb01g34730 transcript:itb01g34730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb01g34730.t3 pep chromosome:ASM357664v1:1:37271079:37276971:-1 gene:itb01g34730 transcript:itb01g34730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHQFYMRQSHTKNHWQIIATVSMFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb01g34730.t1 pep chromosome:ASM357664v1:1:37271079:37277191:-1 gene:itb01g34730 transcript:itb01g34730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLPGYPSHHKAQSIASSKYLQEDPQSLRRWYFSREEIEKHSPSRKDGIDYEKESGLRKLYCSFLQELGIELKVPQVTIATAMLLCHQFYMRQSHTKNHWQIIATVSMFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb01g34730.t4 pep chromosome:ASM357664v1:1:37271079:37276971:-1 gene:itb01g34730 transcript:itb01g34730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLPGYPSHHKAQSIASSKYLQEDPQSLRRWYFSREEIEKHSPSRKDGIDYEKESGLRKLYCSFLQELGIELKVPQVTIATAMLLCHQFYMRQSHTKNHWQIIATVSMFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb01g34730.t5 pep chromosome:ASM357664v1:1:37271722:37277191:-1 gene:itb01g34730 transcript:itb01g34730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLPGYPSHHKAQSIASSKYLQEDPQSLRRWYFSREEIEKHSPSRKDGIDYEKESGLRKLYCSFLQELGIELKVPQVTIATAMLLCHQFYMRQSHTKNHWQIIATVSMFLACKAEETPRWLADLVVVSYKLIYKWDPSAPQRIRDKEVYDKQKELILVGERLLLSTVAYELNIEHPYKPLVAAIKRLEISHKELAKVAWNFVNDWLRSTLCLQYKPHYIAAGSLFLAAKFLKVKLPTEKGKTWWMQFDVSPKQLEDVVQQMLHFLENNHSQAVPPKCGRSSESRPAIGKGVSSNTESCISSGSVIAPAASSTAMVDTGGLLKNAISENGNGHSSTVGDSVKQKYQKQAVPLPSGRPTELRRAIGRDVSRTMESCISSGSVAAQGCSSSAMAETGQLPKSVISKNSTAQSSITIGSAKENNQNGGSTQSEAAVGKAVSHSKKPCISSSSVIAQDSSCTSMAQTGVLPKSVISRNSSVPSNAAVESINEKEHLDTSDCGSANSVVEDGSSEPRMGQAKQEPCNTVSAEEPKRKIDVHRIKETLKRRKLDKTMHKKVEMDDEIDSEAWIERELEKPCNIVCAEDPKRKIDVHRIKETLKRRKLDKTTHKKVGMDNEIDSEAWIERELENLE >itb09g06610.t1 pep chromosome:ASM357664v1:9:3798423:3800211:-1 gene:itb09g06610 transcript:itb09g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPPAERHECRIGVSRGDNPVGKLGESLQSFGFGGNGKFSSAGKFCNYGESFGVGDTILCCIDLESKPASIGFFKNGKWMGMAMQFEVVDSPNKQLVWESAFFPHVLLKNVVVNFQFSLEDGLESVEGYKPWSCAIEDGKALPGPTFSEVHDCEVIMMVGLPASGKTTWAENWAQEHPEKRYIVLGRKLIWDKMKVPAGLLYDHGERFERLMMESATEMLNTLLTMASKLPRNLILDQTNVNKNARKRKLKPFLDYKKIAVVMFPALEELKCCAVKRVRVMGEEQVTGEEVNKMLAKFVLPKSKDMHEADEYFDEVVFPELGRAESKRYLKAMKANLRNFKNGVMNVKGV >itb02g15650.t1 pep chromosome:ASM357664v1:2:11403106:11405720:-1 gene:itb02g15650 transcript:itb02g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRGLFYGAGAGDSNFNTLFLQNQRLPYQSSKEPLLTPGFSPSFRGSSSMVSFCDGKGGFFRKFDQDENDCENYDDYFLQPEKKRRLTGEQVLFLEKSFEVENKLEPERKVQLAKELGLQPRQIAIWFQNRRARWKNKQLEKDYDGLKARYDDLKAKYDSLLNEKDSLKAEVLRVMDKLVVKGKEKETLKFRDDIQHPSEAVSKDHMADSVAASSPALPVKLEGTSPSKSDVLDSDSPRYTDGVHSSFPDIGDSSYLFEPERSDSDLSQDEDDSFSKNFMAASESLSVFPKVREDHYPIAITPTNSSLYGFPVEDQTFGFWPY >itb02g15650.t2 pep chromosome:ASM357664v1:2:11403106:11405662:-1 gene:itb02g15650 transcript:itb02g15650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRGLFYGAGAGDSNFNTLFLQNQRLPYQSSKEPLLTPGFSPSFRGSGSSSMVSFCDGKGGFFRKFDQDENDCENYDDYFLQPEKKRRLTGEQVLFLEKSFEVENKLEPERKVQLAKELGLQPRQIAIWFQNRRARWKNKQLEKDYDGLKARYDDLKAKYDSLLNEKDSLKAEVLRVMDKLVVKGKEKETLKFRDDIQHPSEAVSKDHMADSVAASSPALPVKLEGTSPSKSDVLDSDSPRYTDGVHSSFPDIGDSSYLFEPERSDSDLSQDEDDSFSKNFMAASESLSVFPKVREDHYPIAITPTNSSLYGFPVEDQTFGFWPY >itb13g03280.t1 pep chromosome:ASM357664v1:13:3199386:3206344:-1 gene:itb13g03280 transcript:itb13g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVEIWNANEVAEGAPDDRTSGIPSSRLTVDHTLSIPEMKPHVVELCKDLFKKWSELDDSHFSVETLSGGITNLLLKVSVSEENGETVNLTVRLFGPNTDYVIDRERELQAIQYLSAAGFGAKLFGVFKNGMVQSFIHARTLTPSDMRKPKLAAEIAKTLHEFHQVEIPGPMEPQLWNDIFKFFRKASTLQFDDSESQSKYVTISFEEIHNEITDLKELTGHLNAPVVFAHNDLLCGNLMLNEDEGKLYFIDFEYGSYNYRGFDIGNHFNEYAGYECDYTLYPTKDAQYHFFRHYLKPHQPNEVSEEDLEALYVETNTYMLASHLCWGLWGLIQAKISPIDFDYLRYFFHRYDQYKKEKENCFTTAKAYLAKSKVVFH >itb14g04130.t1 pep chromosome:ASM357664v1:14:3675745:3677694:1 gene:itb14g04130 transcript:itb14g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQALGIVAMVFLVLSCGECEGINGGSSSSCNPADLKGLVGMKARIHSDYSGRTGRWVGQDCCKWEGISCNNETGRVVEINLPGLYTSGGAPFQARMDGLVSESIALLTALQVIDIGGQEGLTGEIPSVIGFHLHDLRILRLFGNSFTGSLPESIGKLTKLEVLELFENKFSGFLPPSLGSLASLRTLSCYSNKFSGIIPDSFTELRSLEILHLQNNLLSGNIPAAIGNLQALKELDLSSNSLSGKIPGSITNLTSIQVMYLDDNHLEGDIPFPSTSGELPKLGFLRLDDNRLTGGIPPSIAYLTSLQRLSLSNNQLQGPLPETIGDMQSLTDLYLEENQLSGHIPSSIANLSQLQVLSVSQNQILGPLPQEMVALKNLDTLNLSFNNLNLSVVPKWMVKLPSLTHLYLGGCGIRGKIPDYLQSVHTKLIELDLSSNHLTGRIPPWLGSSEQLYLLNLSANNLVHEVPSTFTDLETMGVLDLHSNKLTGSIEQVIQMKIRFGGGVLDYIDLSDNKFSTGVEQLGKGEQQSITHINLSRNNLQGRLPTSIGELKSLKKLDLSYNKIGYDLPVSMANISTLETLKLQENQFHGTIPEEFLQLRNLKELNMSHNHLEGKIPSGKPFSDFPSSAFSGNKDLCGFPLPPCSLS >itb12g11350.t2 pep chromosome:ASM357664v1:12:9588810:9590087:-1 gene:itb12g11350 transcript:itb12g11350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G38290) UniProtKB/Swiss-Prot;Acc:Q9M6N7] MATTAAYEVTAAVPAWLNKGDNAWQMTASTLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDKLLPFWAKGAPSLGQKFLIKQARIPESTHYYDNGKIEAAMIEPFYPMATEVYFQFTFAAITMILLAGSVLGRMDIKAWMAFVPLWLVFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLASGISGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPNAANLTASLAVLNTNISAATSLLVWTTLDVIFFGKPSVVGAVQGMMTGLACVTPGAGTY >itb12g11350.t1 pep chromosome:ASM357664v1:12:9588091:9590138:-1 gene:itb12g11350 transcript:itb12g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G38290) UniProtKB/Swiss-Prot;Acc:Q9M6N7] MATTAAYEVTAAVPAWLNKGDNAWQMTASTLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDKLLPFWAKGAPSLGQKFLIKQARIPESTHYYDNGKIEAAMIEPFYPMATEVYFQFTFAAITMILLAGSVLGRMDIKAWMAFVPLWLVFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLASGISGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPNAANLTASLAVLNTNISAATSLLVWTTLDVIFFGKPSVVGAVQGMMTGLACVTPGAGVVQPWAAIVMGILSGSIPWFTMMILHKKSTFLQQVSLF >itb03g06150.t1 pep chromosome:ASM357664v1:3:4523986:4525802:-1 gene:itb03g06150 transcript:itb03g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLWPFAFMVPVILGVIIYQLDSFDPAPYPVHELTQGRPAVAPKRNGRLLHGSEKIGVGRLSGPEDIAYDPKTGVIYTGCMDGWIKRVTVNESSAADSAVEDWVNTGGRPLGLAHGLHGEVIVADADVGLLNVTSDGEVQVLTDEADGVKFKLTDAVDIAEDGVLYFTDASWKYGLNDVFWDACEGRPHGRLLSYNPDNKQTKVLLKDLFFANGVVVFPDQGSVIFCETTMRRCKRLYIKGEKKGFVDVFIENLPGMPDNIRYDGEGLFWIALSTEYTYGWELAQKYPFMRKIMGMIGKYVGRPKMEKNGGVFVVDLEGNPVAHYYEHNFSLVSTGIKIGDYMYCGFVMHGFILRLNLTQNPAIACG >itb09g00740.t1 pep chromosome:ASM357664v1:9:478772:488521:-1 gene:itb09g00740 transcript:itb09g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLRQKLQNPVKLNRHLPLLRCRLSPGMEPGCWSRRKQNRLLLVTIIAMLETIRMALPIVETSSAYWLICTNTVYRTPIRIAQKRVNTNQDPSLDPKVRLRFRGTIPRFPLRLCNRLPQPTTRITTRIMVREVEGGVPALVEVKGGEQGEGNLLISVILCWILLLSVGTRGQTLTLCSSLVVLRTWLVEQQVEISDTNGVANLAPVASANSILPAQATGLIRRASLEEVRKALFGMKKYGSPGPDGIPAAFYQHFWREVGPSMTNMARITIQNYYQYRQVQKPGWKLGWTWANDEVIWSMSGAFATQQGNCSKFTNEIPHSCKIDPVIADLMPEALPENRSDDCCHGGFLSAWAVSPPNSFSSFMMKVGNLRGNYTAFKPLNLSLMAPGPGYTCGKFVDTDPTVSPAIGGRREEQVFRTWRSTCTYSTYLANKTPACCVSLSTFYNPKITPCPSCSCGCRLADPSTKSCIREGAQPSIEPDVVWCTDHMCPIQVHWHIKNNYRDHWRVKLTISNYNYGRNYSDWTLVVQHPGFSQTTTTYSYNSTVLPTAGVPDEIALFWGIDFYNTILLQASEEQVGSVTTEILLEKDLSSFTLSNGWAFPRRIYFNGENCEMPPPDTFPMLPNGNSSLKPAYTLVLICLIYKMFFAMF >itb01g34770.t1 pep chromosome:ASM357664v1:1:37299043:37302030:-1 gene:itb01g34770 transcript:itb01g34770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSGLTNQRDALPLLPDQKHNSFQSGVPGGVMFLNHPSTPLAYPELSPNSNAEVQSIRSGDEMLLLSPTSDLLNMQQQQPVGSLLNGGSGSMEANAFSSKPFDMQNVEQNLQYQGLSLSLAMEVPSSVQVPSYHDQYRNSGFSSLLTQGSQYNEDKNVEYLSFDLAGDHQNGVKVGAMDNLESSIGLREVNFSAHLHEATAASASIYNCKYLKAAQDLLDEVVNVQSAKKQADKQNHFSFVQNGSEETEVKSSCSVPGMASDLQKSTDISSAELSATERYDLESKMTKLFSLLDKVDMSYKQYYEHMQVLVSSFEMVAGLGAARPYTALALKTISCQFRCLCDAIKKQIQITRQSLGEQGNSQGERLYRLRHVDQQLRQQRLLQQFGVMRQPWRPLRGLPENAVSILRAWLFEHFLHPYPKDSEKVTLARQTGLTRSQVANWFINARVRLWKPMIEEMYKEEVGDAEAGSTASPPEQIRAAATEKSASEDTADKELQESLTGDYSCIQQYSDVRTNNVPDHLLDASRS >itb03g15590.t1 pep chromosome:ASM357664v1:3:14897932:14900068:1 gene:itb03g15590 transcript:itb03g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHREEDVRLGANKFPEKQAIGTAAQDKDYTEAPATPLFDPGELSSWSFYRAGIAEFMATFLFLYITILTVMGVSKSDSKCKTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKVSLTRLVYYIVMQCLGAICGAGVVKGFGKTLYNSKGGGANVVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNNEHAWHDHWIFWVGPFVGAALAALYHQVVIRAIPFKSS >itb10g02480.t1 pep chromosome:ASM357664v1:10:2082013:2084526:-1 gene:itb10g02480 transcript:itb10g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSCDFRLILVALFLVGAWASQEVSMLERHEEWMVRYGRSYKDDAEKAKRFKIFKENVEFIESFNKAGNLSYKLGINQFTDLTKEEFSATMSCDKKASPRPKPSKPVSFQNVSLDQFPEHVDWRIVGAVTGVKFQGDCGSCWAFSVVAAVEGLTRIRTGRLFSLSEQQLVDCDATNFGCSGGSRPNALEYIKNTGGLVTTSDYPYEGVQRSCDPQKTRNRVATVTGLGYVYPNEMSLLVAVANQPVSAGLLADIELIIHYQGGVFTGYNGTGNCGSSGSHAVTIIGYGTSSDGVKYWLAKNSYGPGWGENGYMRIARGINDIGVCSINTATVIPIA >itb06g10300.t1 pep chromosome:ASM357664v1:6:14648220:14653710:1 gene:itb06g10300 transcript:itb06g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAALKANLSASSPLQRSLICNIRPKFKHKRLVSIAVNKRKSPFSLTVRSVLDSKKSIINGNGATESAGILLQRLFDQKQKLEEQIGRDPILPQVAEFGQNLGKLESDLQAALVFLKKKEEDLQDAERKVLLEYNELSRARVELENREVEIAAASSKQEKLEDELRLANLSLASRAMEIEDLKFRLKDRDHEIAAAQMALSLKEGELNKMKNDLIKKSEEAANAESELRSKSQLLDEANGIVNKQKAEIQDLQKIIQEKEKELEVSLMMQKTKEDKLKVAEANLEKQTIKWLVAQEELKKLAEATSKHSGEGHETFEDFKRVRLFLADVRSELLSSRQALASSRQKMKDQERLLEEQLKELDEQRRSVMSYMSNLKDVQIEVDSEKLKLRLAEAQKKELERDLSLEKELVQELQNELNKERSSLQEAIEEMSSMQRELDLKKKQFVEVQNLLQFKESELVEARLEIQHFKSEQASLQLMLEEKDSELLNTRKMFDELKREITELRMLLKSKEDKLIQATTVLKERDEEVQTMHHELTDTKLKFSEAETVVERILELTNKLVISSKDDQCDLLTKVSDIDRSHLPQLLEKPANSFKWQKRHLENELELTRENLRTKDMEVLAAQRSLKLKEEELKLALEKLDTREKELRRMKDEMTKDSDELKQLYALVQEKVGESSLGDLAVEKLQLEAAQLEVEAATSALQMLSEMSRDLLSKACSSLEADYAGNGSLHHTSESNPILIDNSECLAEVHAEVAQLSALTEQLVREAGIVGKVY >itb13g14020.t1 pep chromosome:ASM357664v1:13:20577467:20577953:-1 gene:itb13g14020 transcript:itb13g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLALSQIKGGEELLLHDLQRSSDLQLGKGDGLTKLQSAHSRSMQRSQICEKRLNQKLAYRSPHHLLVEVAAANQTRSSIFLERSSMSPTDDNSDLLVLRLKQRKNAKSEARLPVTASCLCRSCHRQLNKIQFLL >itb10g14220.t2 pep chromosome:ASM357664v1:10:20551716:20556290:-1 gene:itb10g14220 transcript:itb10g14220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEASAAAGRGYAESSCGSCAPAPDGGRSVSGAGKDVERALYTELWRACAGPLVTVPREQELVFYFPQGHIEQVEASTNQVAEQQMPIYKLPWKILCRVVNVLLKAEADTDEVYAQVTLMPEENQDENAIKKETVPPSPPHVQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVARDLHGSEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELLVGVRRTMRHQNNIPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIIPHDQYMESVKNNYSIGMRFKMRFEGEEAPEQKFTGTIVGIEDCDHQRWPESKWRCLKVRWDETSTIPRPDRVSPWQIEPSLCPSALNPLPVPRTKRPRLNAFPSSPDSSVLTREGSSKLSIDPSPASGFSRVLQGQELSTLRGAFAESKESESSEKPTPCPPSVNDEKLDDLYASHRCSSNKRLSLGRPESSFTALLSSGFGMYINSSHGLSLQSGDQMPVPASLVKQQTKYQEGEFNLLGKPWPAVPSASGLSLNLMDSSRKPHGQGSDTPYQAPGDSRRGGLSEYSMLPGHRLESQQGNWFMPPTSYLQIPAHSRELMPKPVSVQQHEAFEPKKGNCKLFGIPLISNSSSMGPMLSENPMTESIVHSDLGISSHQSPATESEQRSEQSKDSKVINRVTGNEKEKELQTFHVDGRGRAGKVCSGSTRSCTKVHKQGTALGRSVYLAKYNNYDELIAELDNLFDFNGELKARNSNWMVVYTDGEGDMMLVGDDPWQEFCAMVHKIHLYTKEEVQHMKPGTLNSKDEDTSLIAGLDTMEVKGLLPPPVSSAE >itb10g14220.t1 pep chromosome:ASM357664v1:10:20550976:20556289:-1 gene:itb10g14220 transcript:itb10g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEASAAAGRGYAESSCGSCAPAPDGGRSVSGAGKDVERALYTELWRACAGPLVTVPREQELVFYFPQGHIEQVEASTNQVAEQQMPIYKLPWKILCRVVNVLLKAEADTDEVYAQVTLMPEENQDENAIKKETVPPSPPHVQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVARDLHGSEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELLVGVRRTMRHQNNIPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIIPHDQYMESVKNNYSIGMRFKMRFEGEEAPEQKFTGTIVGIEDCDHQRWPESKWRCLKVRWDETSTIPRPDRVSPWQIEPSLCPSALNPLPVPRTKRPRLNAFPSSPDSSVLTREGSSKLSIDPSPASGFSRVLQGQELSTLRGAFAESKESESSEKPTPCPPSVNDEKLDDLYASHRCSSNKRLSLGRPESSFTALLSSGFGMYINSSHGLSLQSGDQMPVPASLVKQQTKYQEGEFNLLGKPWPAVPSASGLSLNLMDSSRKPHGQGSDTPYQAPGDSRRGGLSEYSMLPGHRLESQQGNWFMPPTSYLQIPAHSRELMPKPVSVQQHEAFEPKKGNCKLFGIPLISNSSSMGPMLSENPMTESIVHSDLGISSHQSPATESEQRSEQSKDSKVINRVTGNEKEKELQTFHVDGRGRAGKVCSGSTRSCTKVHKQGTALGRSVYLAKYNNYDELIAELDNLFDFNGELKARNSNWMVVYTDGEGDMMLVGDDPWQEFCAMVHKIHLYTKEEVQHMKPGTLNSKDEDTSLIAGLDTMEVKGLLPPPVSSAE >itb04g32460.t1 pep chromosome:ASM357664v1:4:35013647:35016473:-1 gene:itb04g32460 transcript:itb04g32460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPFSDQQELIDFLVNKGNGVTGLSQMGLSSLPQHFIQPPEARLALGAPNLLSQDSIPIIDASNWDHPNIGKSICEAAENWGFFQIINHGIPFEVLEGVKAAGHRFFELPVEERRKWIKENSPTHTVQLMTSLSPLAVKVLEWKDYLFHIYDSKDESSQLWPSVSKDQVLEYMKWVQPVIRKLLDILLKGLNVEEMDEAKKSSLMGTLMVNLIYYPKCPNPELTAGAGPHADISSITILLQDDVGGLYVRAPQGDGWIHVPPMKGALVINIGDILQIMSNGRYKSIEHRVIVNSKRNRISVPVFVNPSPDAVIGPLPQVVERGEKALYKQVVYSDYFNYFFSKGHEGKHTIEYAKI >itb06g22130.t1 pep chromosome:ASM357664v1:6:24522620:24524612:-1 gene:itb06g22130 transcript:itb06g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKLNSTAQIWCCVFLYLLVFGDGGSDVKFLPGFQGPLPFELETGYIGVGESEDVQLFYYFTKSESQPESDPIILWLTGGPGCSALSGLLFEIGPFTLEKEKYNGSLPRIVLNPYSWSKVASIIFLDSPVGTGFSYAKTPSALQSSDMQTCHETYEFVRKWLNDHPEFISNPFYVAGDSYSGILVPIISQFISDGNEMGIYPQINLQGYMLGNPLTFPEENDYKIQFAHGMALISDELYESLQVHCNGKYQSVDPSNAKCLQDINTFDELINGLDGAQILDWTCGFAVSMVDDIASQRRRSLHQQLDHRPLSALKCHVSLPACLEIRLAEIVG >itb08g01570.t1 pep chromosome:ASM357664v1:8:1160881:1166228:1 gene:itb08g01570 transcript:itb08g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAISEELAEIEGQISDLFRALSNGFQKLEKVKDSNRQSRMLEELTDKMRECKRLIKEFDREVKDLEHRNDANTNKILAEKKQSMIKELNSYVALKKQYATNRDNKRVDLFEGPSEGFAEENGLLASNMTNQQLMDHGNRMMDETDQAIDRAQKVVHETVNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGCN >itb08g01570.t2 pep chromosome:ASM357664v1:8:1160881:1166228:1 gene:itb08g01570 transcript:itb08g01570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAISEELAEIEGQISDLFRALSNGFQKLEKVKDSNRQSRMLEELTDKMRECKRLIKEFDREVKDLEHRNDANTNKILAEKKQSMIKELNSYVALKKQYATNRDNKRVDLFEGPSEGFAEENGLLASNMTNQQLMDHGNRMMDETDQAIDRAQKVVHETVNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDRCIMGLLFLIVIGVIAIIIVKIVNPHNKDIRDIPGLAPPAPSRRLLSHPN >itb08g01570.t3 pep chromosome:ASM357664v1:8:1162049:1166228:1 gene:itb08g01570 transcript:itb08g01570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGTKTKLIKEFDREVKDLEHRNDANTNKILAEKKQSMIKELNSYVALKKQYATNRDNKRVDLFEGPSEGFAEENGLLASNMTNQQLMDHGNRMMDETDQAIDRAQKVVHETVNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDRCIMGLLFLIVIGVIAIIIVKIVNPHNKDIRDIPGLAPPAPSRRLLSHPN >itb15g23710.t1 pep chromosome:ASM357664v1:15:26488243:26492551:1 gene:itb15g23710 transcript:itb15g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSSSCIQNNKHSTAAKLYTVLSIDGGGIRGIIPATILEFLESQLQEVDGEDARIADYFDVIAGTSVGGLIATMLASPDEHNRPAFSAKDITPMLFEYGPFIFPSGRGIQDMLKGPKYDGEFLRQMIRIKLGGIRLHQALTNLVIPTFDIKSFKPIIFSSREANVCAGMDALLSDVCIGTSAAPTYFPPYKFVNHDILGKEYEFNLVDGGVVANNPTLVGLSEANKVGMEKNRKFLSKKLKAMDYSQLLVISLGSGSRNLENKYSAEVAAKWNILDWMVQIDTGIPTLISSPLINLVSYGMADMVDYHISTIFQSLNVGENYLRIQDNALEGTIAAMDNASLENMIHLREIGENLLKQPVSKVDLNSGIYMRVSKDYTNEDILKKFAKILSDQKKNYPRKGPK >itb15g02360.t1 pep chromosome:ASM357664v1:15:1416314:1420811:1 gene:itb15g02360 transcript:itb15g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSFGFSSVRLFSDSKVAALGSYNYNYKPRYQKDKKFCLDALRIEHCAKQRKRGVFSELKSVASADRVVESDGDIGVAVEEGESYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCKIEVLPDPTTVHFHLPDDLSVQVHREYSDFVAELVNKFPHEKEGILKFYGECWKIFNALNSLELKSLEEPIYLFGQFFKKPLECLTLAYYLPQNAGDIARMFIKDAQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGQIAKSLAQGLVNQGSKMLYRANVTNIIIDSGKAVGVKLSDGRKFYAKTIISNATRWDTFGKLLKAENLPKEEENFQKAYVKAPSFLSIHLGVKAEVLPPDTDCHHFILEDDWANLEKPYGSIFLSIPTVLDSSLAPEGRHILHIFTTSSIEDWEGLSLKDYNAKKELVADGIISRLEKTLFPGLKSSIVFKEVGSPKTHRRYLARDSGTYGPMPRRTPKGLLGMPFNTTAINGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADLGIERKSPVLDSALLRLLGWLRTLA >itb13g21440.t1 pep chromosome:ASM357664v1:13:28028077:28029584:-1 gene:itb13g21440 transcript:itb13g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MGMYFPLLWLMIMKVWVVTSTPTTLNETCFDGATATFMLSFGLYQDSCPEAEAIVFAWVERVVSEDPRMAASLLRLHFHDCFVNGCDASVLLDDTPNFVGEKTAGPNLNSLRGFEVIDSIKADIEFVCPGTVSCADILAIAARDSVLLSGGPTWEVEMGRKDGISASKTAANNNIPGPNSDVPTLVSKFQNLGLTLQDMVTLSGAHTVGKARCFTFNSRLNGGNGNTPDINVEFLQSLQQLCSVSDVNATLADLDATTPANFDNQYYLNLLAGKGLLTSDQVLATGDDQTRGIVESYIDDPTLFFESFKRSMMKMGRLAPGDDGEGGEIRRNCRVVNQLNL >itb07g13670.t1 pep chromosome:ASM357664v1:7:15947057:15949540:-1 gene:itb07g13670 transcript:itb07g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSKSSGGGGQENGGSGGGEQENGGGDGSITVVLKADLHCEGCVSKIFKTIRSYDGVQSVNIDGDSDKVTVIGKVDPVKLRERVEAKTHKKIVLVSPQAKGGDGGEKQKKNGKDEEKKDKEKESALTTVVLKMNLHCEGCIQKIQKTVSRTKGYLEMKVDTQKDLVTVTGKMNVKAMVEDLKKHLKKNVEIVPPKKEKGGEKKENAGGEKDGGGKVVVVEGNRLQVQMGYPYHQDPYPYECQTGYQPFYNYSHAPQMFSDENPNACSIM >itb04g13930.t1 pep chromosome:ASM357664v1:4:14130836:14139252:1 gene:itb04g13930 transcript:itb04g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRQGNKKNLVSMQNGEVNSTSSVDELDPWTAWLYKPRTVTLLFIGACFLIWASGALDPERTSVDDLVATVKRGVWAMIAVYLAYSLLQAPSTILIRPHPAIWRLVHGMAVIYLVSLTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPENPTNRFKNVYDTVFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFESMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGRTYEWVGISQQPNIIGKVKRTLGQFTPAHWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPQNPLIIYRLVLWWLIAIPTIREYNSYLQDRKPVKKVGTFCWLSLAICIVELLICIKFGHGLFHEHMPKWLVILWTSIGVGHVIFLSAWSWQLIRRKQQ >itb12g26250.t1 pep chromosome:ASM357664v1:12:27246002:27247695:1 gene:itb12g26250 transcript:itb12g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSMFCPIKYTEHRNVVKQLLKPCPGAAVKPGKLPEGPRTVRVTVTDPDATDSSSDEEEELFGRQRVKRYIHEIHIERAGKLGVDGNGRNGKKKTAEGVHARQKAVKNKEVPVSSGAVRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFIAPPAKDSPEILANATSNSGYDSADESRNLSSPTSVLRFRSSQSSEESEPPVPDYMETDQTGELSPAKTEFCHYATPPENQECHGETTIIPDYTHEYLPTDIPFIDNFFDYQSLEQTLFDDSPSSGFIEDVAVNQFANDFFSDDFGVFNDTMSFEDLATLDVNVDDYFQDCGDFSGVDAVLAV >itb02g12660.t2 pep chromosome:ASM357664v1:2:8718026:8720026:-1 gene:itb02g12660 transcript:itb02g12660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSLCRKKKGAARSFLIFTLILMALAALFCVATFGIHHRRFLIDAEASDSPPAHGYRRLLASVTHRSRVLIEAQPSESPSSPGH >itb02g12660.t1 pep chromosome:ASM357664v1:2:8718028:8720026:-1 gene:itb02g12660 transcript:itb02g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSLCRKKKGAARSFLIFTLILMALAALFCVATFGIHHRRFLIDAEASDSPPAHGYRRLLASVTHRSRVLIEAQPSESPSSPGH >itb01g26700.t1 pep chromosome:ASM357664v1:1:31803762:31810224:1 gene:itb01g26700 transcript:itb01g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNAVFYADIYHPIQSGSIDGTDILPHDSAVYRALLCSNAGLYDPFGDPKAVGDPYCTLFVGRLSHLTTEHTLREEMSKYGRVKNLRLVRHIVTGASCGYAFVEFETEREMRRAYKDAHHKIIDDSEIIVDYNRQRLMPGWIPRRLGGGLGGKKESGQLRFGGRDRPFRAPLRQIPLDDLKRLGIPPPPEGRYMSRFQVPSPPRRERISVDSEDSPRKYKESRRSHQRERSASTERNSSRHKRSMDIEDTSRKNHKRRRERSSSPDFSSDGRSSRDREERSTQRHRKHSSRHKRSSRHDRSSGESSLYREERHRSPSHQYDRWSPSRKRSTS >itb01g26700.t3 pep chromosome:ASM357664v1:1:31803762:31810224:1 gene:itb01g26700 transcript:itb01g26700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNAVFYADIYHPIQSGSIDGTDILPHDSAVYRALLCSNAGLYDPFGDPKAVGDPYCTLFVGRLSHLTTEHTLREEMSKYGRVKNLRLVRHIVTGASCGYAFVEFETEREMRRAYKRKLGKQDGLSVLPKAHFSFPDIINDINKGKKDKSPCAQTCLQLLLLIFPTEANLQLFIIFDYFFTQDAHHKIIDDSEIIVDYNRQRLMPGWIPRRLGGGLGGKKESGQLRFGGRDRPFRAPLRQIPLDDLKRLGIPPPPEGRYMSRFQVPSPPRRERISVDSEDSPRKYKESRRSHQRERSASTERNSSRHKRSMDIEDTSRKNHKRRRERSSSPDFSSDGRSSRDREERSTQRHRKHSSRHKRSSRHDRSSGESSLYREERHRSPSHQYDRWSPSRKRSTS >itb01g26700.t2 pep chromosome:ASM357664v1:1:31806306:31810247:1 gene:itb01g26700 transcript:itb01g26700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYLGVEARRERRMGSNLNAVFYADIYHPIQSGSIDGTDILPHDSAVYRALLCSNAGLYDPFGDPKAVGDPYCTLFVGRLSHLTTEHTLREEMSKYGRVKNLRLVRHIVTGASCGYAFVEFETEREMRRAYKDAHHKIIDDSEIIVDYNRQRLMPGWIPRRLGGGLGGKKESGQLRFGGRDRPFRAPLRQIPLDDLKRLGIPPPPEGRYMSRFQVPSPPRRERISVDSEDSPRKYKESRRSHQRERSASTERNSSRHKRSMDIEDTSRKNHKRRRERSSSPDFSSDGRSSRDREERSTQRHRKHSSRHKRSSRHDRSSGESSLYREERHRSPSHQYDRWSPSRKRSTS >itb05g00760.t2 pep chromosome:ASM357664v1:5:657800:659999:-1 gene:itb05g00760 transcript:itb05g00760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHYCQTNHHRSLSKQFSRNFIPVSHKKAIGSSRKVGNKGQNYPMKCCSSSISQPPLQQAGEEVELKLHHLHKENFGFLVRDEYGWQVRRMGDSEDEMKKVARVQAEAFHEPVLLFNDLFFEFFQAEVLAGLIHRVRNSPPDRYACLVAEASKEGSEFKEVVGVVDATVYRDNDVLRHLPADADEYLYISGIAVLHKFRFNFMLSSNHTTKNSLHY >itb05g00760.t1 pep chromosome:ASM357664v1:5:656161:659999:-1 gene:itb05g00760 transcript:itb05g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHYCQTNHHRSLSKQFSRNFIPVSHKKAIGSSRKVGNKGQNYPMKCCSSSISQPPLQQAGEEVELKLHHLHKENFGFLVRDEYGWQVRRMGDSEDEMKKVARVQAEAFHEPVLLFNDLFFEFFQAEVLAGLIHRVRNSPPDRYACLVAEASKEGSEFKEVVGVVDATVYRDNDVLRHLPADADEYLYISGIAVLHKFRRQKVATALLKACEMVANLWELEYLVLRAYEDDWGARKLYSNAGYRVVSTDPPWTSSWIGRRRRVLMIKTPKHHLYTKY >itb14g04280.t1 pep chromosome:ASM357664v1:14:3855892:3857510:1 gene:itb14g04280 transcript:itb14g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSWVQLVLILIIGLSPLGCLGIRILPVDNGVGFGFGFAEAPAYRNGERCAVKGETVSYCSPSLVHVAMTLDSEYLRGSMAAVHSVLRHASCPESVFFHFLAAEFDPANPRVLTRLVQSIFPSLNFKVYIFREDTVANLISSSIRVALENPLNYARNYLGDLLDPCVTRVIYLDSDVILVDDIQKLWNVKLAHSRVIGAPEYCHANFTKYFTEEFWSDPILPRVFGSRNPCYFNTGVMVMDLREWRRGNYREKIETWMEIQKKRRVYELGSLPPFLLVFGGKIEPIDHRWNQHGLGGDNVRGSCRSLHPGPVSLLHWSGKGKPWTRLDEKKPCPLDYLWGPYDLYRRTNVKLFHHHQQQQQQHPFVYSNYFI >itb14g11950.t1 pep chromosome:ASM357664v1:14:13562365:13564656:1 gene:itb14g11950 transcript:itb14g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVANTQNQQEDNKVRTDVLLFNRWSYDDIQVNDLSVEDYITATAAKHPTYMPHTAGRYQAKRFRKAQCPIVERLVNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDLNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTSGARQSAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >itb03g12000.t1 pep chromosome:ASM357664v1:3:10856917:10858672:-1 gene:itb03g12000 transcript:itb03g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 110 [Source:Projected from Arabidopsis thaliana (AT5G50080) UniProtKB/TrEMBL;Acc:G8IQH2] MCIGKVANPRERTRGGSRGSEDHDDVMRLESLVSPMFSGLDREHEMSVMVSALTDVVAGRRPHPTAGENWEPEEHRQFLESSSVDTVCSSYHNFSIANTASAAGGYSGGESSAIIRSSEAASFVYTPPANSTGRGDNNAGERRRYRGVRQRPWGKWAAEIRDPFRATRVWLGTFDTAEAAARAYDEAALRFRGSKAKLNFPENVRLLLPPIPNPLQNPTIPSSSSQPLQTHNHFINHPQSFLQQLMLSSSSSSSSSSSMPYAHYQPQPPVGTQSTSCSDSAYHHPSSSG >itb02g15920.t1 pep chromosome:ASM357664v1:2:11628379:11631617:-1 gene:itb02g15920 transcript:itb02g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAKEQTSASASARRSSSRLLRYPLRSAGKLKEEKPPLADSSNTSAPRRGKPAPSVSKSVGVLDLNGKDKPAKPPRRMSVPSKSIASPASGQVGNITPIAEARSRRSIRNEGKSDTPLSDVSKSLTRRKFNVISSASYWLNQIKLSESAAKHSVSLGFFKLGLEAGCEPLQRMRDELKAYTQRHNLVELGEPLKQLFESYNILPDFEHLQVSETCSHVPEESTRSSDDEIHSTSSVVDFEKVVPKPSTKETAETGQAKESTRNTTLKSDTATKKNRSEKKVVAAGSGTRGRTTQKKQQKPIKNNDKVKSQGKKSANEGLIDAPAPEKVLEENKENSDALQTEAISCTDE >itb13g15990.t1 pep chromosome:ASM357664v1:13:22884238:22890745:1 gene:itb13g15990 transcript:itb13g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAINATDASKRYAVVTGGNKGIGFEICRQLACDGVTVVLTARNEQKGIEAVENLRAFGFSDGDVLFHHLDVTNPSTVSSLFHFVKTNFGRLDILVNNAGVNGLAIDENVLKSSEGGEVANWFNALIHSYELTAECIQINYYGSKRMSEAFLPLLQLSKSPRIVYVSSAEAKLEKLHDEWAKGILNNVESPPEKLDEVLNKYLEDYKNGRLQHIGRFPIPSAYTVSKATMNAYARTIAHKYPSLQVNCVNPGFVKTDLTYNSGEITVEEGAQSVVRVALQPEDEPSGVFFDRQEIISF >itb15g08770.t1 pep chromosome:ASM357664v1:15:6189301:6191002:1 gene:itb15g08770 transcript:itb15g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEYQESGQLHGGTFCLFPELGYTLSFSSDTNENQTYFSYSVHHNQDVLSRLVIDSSGELKQFMSLRAKYNWTKVLTCTAKKKVEIYGLSGGFGIYDDENVSSPCRYFEVAYACMMMKPMHSLCRPPTLRSTPAPYLLAISSRKFIVPRENGTVNYQQAGYNCTGDSRLYTTDEFEVHQMFCSLKEES >itb07g04140.t1 pep chromosome:ASM357664v1:7:2779774:2782203:-1 gene:itb07g04140 transcript:itb07g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTLQLLCMILFTLAIATATFLFYYTLSPPRAATSPPSPPRLPIIGHLHLLTDMPHRAFAALAQDLGPVFHLQLGQVPTLVISSARHAELVLRSHDHAFASRPQLVAAQYLSFGCSDITFSSYGPYWRQARKICVTELLSSKRVDSFKIIRDEEVNRLVHTIVDCSDTEVNMSELFFALANDILCRVAFGKRFRRGESKLDLVRVLTETQALLAGFCLGDFFPRWEWINTVSGMKRRLMNNLKDLKEVCDEIIDDHLRKSDNEGREDFVDVLLKVQKRNDLEVPITDDNLKALVLDMFVAGTDTTSSTLEWTMTALARNPRVMTRTRDEVRKVIGGKGKVEEGDLQHLPYMKAVIKETMRLYPPVPLLVPRESMEKCTLDGYEIPAKTRVLINTYAIGRDPESWENPLEYYPERFLEQDIDFKGQDFKFLPFGGGRRGCPGYSLGLATVELSLARLLYHFNWRLSPGLGADDVDMTEIFGLATRKKSALKLVPSSM >itb07g10750.t1 pep chromosome:ASM357664v1:7:11932504:11932902:1 gene:itb07g10750 transcript:itb07g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRLRASASEPPCFNLGKDESDLVALKISLLGDNQIGKTDFLERDISTMFLVESSVPTLVLIRDDDKEAWLNLPDPTSVRKSPSPSSEPIRM >itb03g19640.t1 pep chromosome:ASM357664v1:3:17657372:17662265:1 gene:itb03g19640 transcript:itb03g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSLKSAVYRSFVTCDDPRGVVECKPIRKNKTEPSKMDDRVVKQRAHDNLSVSSSKKGERKEMAWKGRCAEELSCVSSVQLAEVSKGAEKLNRVIDSLSRGVSFERQSKDIAKDLLKGALDLQESLVMLGKLQEASQQVAKLKKRSNEFDGTGVSRAKSERIGGHRYHQMEFDEKPRFSVDGVASGDCYEELREVIRESFARQNLLPPRCPVKRVSFECRELELSPDLPSTSSSQSSFEKPCFDRTKLAFTPDPPSTSSSQSSIFQSREEKPKGPNLIAKLMGLEEISSKPLQSPSQKHLEKDRLFNQRRPIFEIDLPKAKKPPSVVQKPDPKRKTLEEIIETMQLKGLLKSKLMDGSKHETHQHTVSDLGERFDDGSPPIVIMRPFYAPELHGERFYESTSPCFECASVTEEKVRRSRREDFLPKTTDWQKGAVNATFSRSWAAKNGQIANSIQEKGAKEHRETPKYPNENGTKVHAKLSSIKAKASDPGKPRQQKKEAIDKKLDKIQKVTPNLRKTEGMKNYSKVRNAAKSCDIDKNSSAKLRKSDTVPHISEKQQKNSTSDLASKRATAAASHNSRNKKNMKTDKPRVTKQVENKGDKDVQLDLISKKETYKTETKVEASEKLYAPEASVVYENHITDDQISDETLPCDSNVLTVACDINIESTGHEKSCTSPVPNEKTSSGASSATRSLLLSSASFLSQAEELFGTDAWQPAAASFKHENGMAETKLLLDCACELLNNKKAQCTVSFDPLSSKPVRRSRVGISLDVLVSEICEAIESLGRYKDPAGALGVDTLCSLTKKYEWCKGVVSGTWDLGWSKGFSSDEVEKVIGDIEKHLLCGIIEDTIADFAV >itb03g19640.t3 pep chromosome:ASM357664v1:3:17658682:17662265:1 gene:itb03g19640 transcript:itb03g19640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSLKSAVYRSFVTCDDPRGVVECKPIRKNKTEPSKMDDRVVKQRAHDNLSVSSSKKGERKEMAWKGRCAEELSCVSSVQLAEVSKGAEKLNRVIDSLSRGVSFERQSKDIAKDLLKGALDLQESLVMLGKLQEASQQVAKLKKRSNEFDGTGVSRAKSERIGGHRYHQMEFDEKPRFSVDGVASGDCYEELREVIRESFARQNLLPPRCPVKRVSFECRELELSPDLPSTSSSQSSFEKPCFDRTKLAFTPDPPSTSSSQSSIFQSREEKPKGPNLIAKLMGLEEISSKPLQSPSQKHLEKDRLFNQRRPIFEIDLPKAKKPPSVVQKPDPKRKTLEEIIETMQLKGLLKSKLMDGSKHETHQHTVSDLGERFDDGSPPIVIMRPFYAPELHGERFYESTSPCFECASVTEEKVRRSRREDFLPKTTDWQKGAVNATFSRSWAAKNGQIANSIQEKGAKEHRETPKYPNENGTKVHAKLSSIKAKASDPGKPRQQKKEAIDKKLDKIQKVTPNLRKTEGMKNYSKVRNAAKSCDIDKNSSAKLRKSDTVPHISEKQQKNSTSDLASKRATAAASHNSRNKKNMKTDKPRVTKQVENKGDKDVQLDLISKKETYKTETKVEASEKLYAPEASVVYENHITDDQISDETLPCDSNVLTVACDINIESTGHEKSCTSPVPNEKTSSGASSATRSLLLSSASFLSQAEELFGTDAWQPAAASFKHENGMAETKLLLDCACELLNNKKAQCTVSFDPLSSKPVRRSRVGISLDVLVSEICEAIESLGRYKDPAGALGVDTLCSLTKKYEWCKGVVSGTWDLGWSKGFSSDEVEKVIGDIEKHLLCGIIEDTIADFAV >itb03g19640.t2 pep chromosome:ASM357664v1:3:17657894:17662075:1 gene:itb03g19640 transcript:itb03g19640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSLKSAVYRSFVTCDDPRGVVECKPIRKNKTEPSKMDDRVVKQRAHDNLSVSSSKKGERKEMAWKGRCAEELSCVSSVQLAEVSKGAEKLNRVIDSLSRGVSFERQSKDIAKDLLKGALDLQESLVMLGKLQEASQQVAKLKKRSNEFDGTGVSRAKSERIGGHRYHQMEFDEKPRFSVDGVASGDCYEELREVIRESFARQNLLPPRCPVKRVSFECRELELSPDLPSTSSSQSSFEKPCFDRTKLAFTPDPPSTSSSQSSIFQSREEKPKGPNLIAKLMGLEEISSKPLQSPSQKHLEKDRLFNQRRPIFEIDLPKAKKPPSVVQKPDPKRKTLEEIIETMQLKGLLKSKLMDGSKHETHQHTVSDLGERFDDGSPPIVIMRPFYAPELHGERFYESTSPCFECASVTEEKVRRSRREDFLPKTTDWQKGAVNATFSRSWAAKNGQIANSIQEKGAKEHRETPKYPNENGTKVHAKLSSIKAKASDPGKPRQQKKEAIDKKLDKIQKVTPNLRKTEGMKNYSKVRNAAKSCDIDKNSSAKLRKSDTVPHISEKQQKNSTSDLASKRATAAASHNSRNKKNMKTDKPRVTKQVENKGDKDVQLDLISKKETYKTETKVEASEKLYAPEASVVYENHITDDQISDETLPCDSNVLTVACDINIESTGHEKSCTSPVPNEKTSSGASSATRSLLLSSASFLSQAEELFGTDAWQPAAASFKHENGMAETKLLLDCACELLNNKKAQCTVSFDPLSSKPVRRSRVGISLDVLVSEICEAIESLGRYKDPAGALGVDTLCSLTKKYEWCKGVVSGTWDLGWSKGFSSDEVEKVIGDIEKHLLCGIIEDTIADFAV >itb07g08370.t2 pep chromosome:ASM357664v1:7:6584163:6590211:-1 gene:itb07g08370 transcript:itb07g08370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINKPFSLCNSFNATTKPDLCPEPNFFRRCRLGAHYFSVKKASFPTISCKAVSVKQPSSSTTHIDGLNIAEDVTQLIGNTPMVFLNTIVKGCVANIAAKLEIMEPCCSVKDRIGFSMIADAEEKGLISPGKTVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSLERRVLLKAFGADLIVTDPATGMKGAVQKAEEILNKTPNAYMLQQFDNPANPKIHYEATGPEIWEDTKGKVDILVAGIGTGGTISGAGRFLKDQNPNIKIIGVEPTESNVLSGGKPGPHKIQGIGAGFIPGNLDLKVVDEVIEISSDDAVETAKQLAVKEGLLVGISSGAAAAAAMVVAKRPENAGKLIAVVFPSFGERYLSSVLFQSIRDECEKMQPEA >itb07g08370.t1 pep chromosome:ASM357664v1:7:6584163:6590211:-1 gene:itb07g08370 transcript:itb07g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINKPFSLCNSFNATTKPDLCPEPNFFRRCRLGAHYFSVKKASFPTISCKAVSVKQPSSSTTHIDGLNIAEDVTQLIGNTPMVFLNTIVKGCVANIAAKLEIMEPCCSVKDRIGFSMIADAEEKGLISPGKTVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSLERRVLLKAFGADLIVTDPATGMKGAVQKAEEILNKTPNAYMLQQFDNPANPKIHYEATGPEIWEDTKGKVDILVAGIGTGGTISGAGRFLKDQNPNIKIIGVEPTESNVLSGGKPGFIPGNLDLKVVDEVIEISSDDAVETAKQLAVKEGLLVGISSGAAAAAAMVVAKRPENAGKLIAVVFPSFGERYLSSVLFQSIRDECEKMQPEA >itb06g23980.t1 pep chromosome:ASM357664v1:6:25723992:25724408:1 gene:itb06g23980 transcript:itb06g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSLRLVRMYCDASHQIAGGSRRRSPPSLVLSFGNQISATDFPQCRCLTPIKLPRLLGLLHRDWFHAVSAYSETQEKYGKKKKKTEQLKPLPGASIVDVGVQFEFGDRISKTCGAKVDKSKAYDYLTLNPFPNQQL >itb04g05040.t1 pep chromosome:ASM357664v1:4:3137988:3139752:1 gene:itb04g05040 transcript:itb04g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g23440 [Source:Projected from Arabidopsis thaliana (AT5G23440) UniProtKB/TrEMBL;Acc:Q9FHL4] MTTSSSPAAAAALFSSRTPSLLISNAADPSSHHLRFRTRTRTPQILSVKCSSDSATAVDNSPGPSANSLSFDEDCDETTAKAIAKIGSKVRVTAPLKVYHVPKLPEFDLTGQTGVFKQYAALHKGKPISANLPYKVEFVVEGVEGRKGPLKFFAHLREDEFEFLD >itb11g14240.t1 pep chromosome:ASM357664v1:11:11305223:11305744:1 gene:itb11g14240 transcript:itb11g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFLIGNNSTGLCESGCAAIVNFSTSLLTGPTTIATEINHAIGAEGVVSTECIESISQYGEVIWDLLVSGVKPDEVCSQVGLCFFNGAVGSNIEMVVEEDNEGKASSDPMCTACEMAVVWVQNQLKQKVVKEKVFDYVNQLCEKIPSPMGESTIDLIEQIVFIVHGFLRKTS >itb03g15460.t1 pep chromosome:ASM357664v1:3:14799784:14804121:-1 gene:itb03g15460 transcript:itb03g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTLFLSSFFFLLTFLLLLRSAAVFSRRKRHLPPGNLGLPLIGETLQLISAYKTANPEPFIDDRVSKYGTVFTTHIFGEPTVFSADPETNRFILQNEGRLFESSYPGSISNLLGRYSLLLMRGSLHKRMHSLTMSFANSSIIKDHLLLDIDRLVRLNMESWTGRVLLMEEAKKITFQLTVKQLMSFDPCEWTENLMKEYMLVIEGFFTIPLPFFSSTYRKAIQARRKVAEELSVVVRERREARAGGAGRKKDMLEALLDGEGGGGGFSDEEIVDFMLALLVAGYETTSTIMTLAVKFLYETPHALTQLKEEHDEIRSRKGGSQALEWDDYKSMPFTQCVVNETLRVANIISGVFRRAITDINIKAGYTIPKGWKVFASFRGVHLDHDHFKDARSFDPWRWQSNAELTSSLNVFTPFGGGPRRCPGYELSRVELSVFLHHLVTRFSWKPAEEDKLVFFPTTRMQKRYPIIVQTRSYGEM >itb03g15460.t2 pep chromosome:ASM357664v1:3:14799784:14804121:-1 gene:itb03g15460 transcript:itb03g15460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTLFLSSFFFLLTFLLLLRSAAVFSRRKRHLPPGNLGLPLIGETLQLISAYKTANPEPFIDDRVSKYGTVFTTHIFGEPTVFSADPETNRFILQNEGRLFESSYPGSISNLLGRYSLLLMRGSLHKRMHSLTMSFANSSIIKDHLLLDIDRLVRLNMESWTGRVLLMEEAKKITFQLTVKQLMSFDPCEWTENLMKEYMLVIEGFFTIPLPFFSSTYRKAIQARRKVAEELSVVVRERREARAGGAGRKKDMLEALLDGEGGGGGFSDEEIVDFMLALLVAGYETTSTIMTLAVKFLYETPHALTQLKEEHDEIRSRKGGSQALEWDDYKSMPFTQCVVNETLRVANIISGVFRRAITDINIKGYTIPKGWKVFASFRGVHLDHDHFKDARSFDPWRWQSNAELTSSLNVFTPFGGGPRRCPGYELSRVELSVFLHHLVTRFSWKPAEEDKLVFFPTTRMQKRYPIIVQTRSYGEM >itb01g20820.t1 pep chromosome:ASM357664v1:1:27032916:27038710:-1 gene:itb01g20820 transcript:itb01g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFGSGLREENQSLRDENQSLRDENQSLREENQRLSEENQKLTDEDMMLREGLKTIYDKYDSVFEKSKKTSTDLAAAQQELHSVSQRLEATSQQVDASVAERMDLETQLDDGIREVISLEVSLILQKGAMVQQVSKPKPQPPRVQAKALCLSVSLTLSVSRSAAQPAATANQPPPATATSHRHQPLPPATATSHQPLPSATASPPSATSHRHQPPATAISALADSHRHSPTQPPSAGWPTATSHCHQPLPPATATSHQPLPSATASPPSATSHRHQPPATAISALADSHRHSPTQPPSAGWPTATSHCHQPLPPATATSHQPLPSATASPPSATSHRHQPPATAISALADSHRHSPTQPPSAGWPTATSHCHQPLPPATATSHQPLPSATASPPSATSHRHQPPATAISALADSHRHSPTQPPSAGWPTATSHCHQPLPPATATSHQPLPSATASPPSATSHRHQPPATTISALADSHRHSPTQPPSAGWPTAPHCLSLLSLTATATRPRIRHQPLHQRLYRNHDV >itb01g16270.t1 pep chromosome:ASM357664v1:1:20332921:20337474:-1 gene:itb01g16270 transcript:itb01g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETHSPATSFSVDSGTRANYSPYIDQPKLRASGFRSSDDASGIENFEDGGTECNSVKQVNDREISTLTVLSSEPVPYAPNGVDHTGLVSPGPAAVVDAPLTRQSSAAMTLQKVYRSYRTRRMLADSAVVAEELWWQALDYARLNHSTISFFNFLKPETTASRWNRVRVNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHNLHLYYEEWCNSDSGQPFFFWLDLGDGKKVDLKACSRSKLQRQCIIYLGPQEREHYEYVVVEGKVLHQQTGELLDTTKGSPGAKWIFVMSTSKKLYAGEKKKGMFHHSSFLAGGATLAAGRLVVQDGTPKSISPYSGHYRPTDDSLNTFISFLKENGVNLDEVEIKKANEDYENYEEVKSVNTSELSTLSSPPAELPNEEMKDLSLESAGALKSVPSGCYKRTLSGGLQSPRAEVPKTVILQRINSKKASNSYQLAQQLSRTWSTGAGPRIGCIADYPIELRLQALELTNLSPRLPRAPAGFISPPIRSASVPVASLIC >itb02g06270.t1 pep chromosome:ASM357664v1:2:3903705:3907001:1 gene:itb02g06270 transcript:itb02g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDGGHDEKEGAAAESPVDYRGNPVDKSRTGGWLAAGLILGTELSERVCVMGISMNLVTYLVGDLHLSSSKSANVVTNFMGTLNILGLLGGFLADAKLGRYLTVAIFASIAALGVTLLTLATSIRSMVPPRCDVRKGHECIEASGRQLALLYIALYTIALGGGGIKSNVSGFGSDQFDASNPKENKAMIYFFNRFYFCISLGSLFAVTVLVYIQDNVGRGWGYGISAATMVIGVAILLAGTPMYRFKNPQGSPLTVIWRVLFLAWKRRNLDYPSDPSYLNEFHTSKIPHTPRFRCLDKAAVLDEYAAEGNRNNPWIVSTMAQVEEVKMVFKLIPIWSTCILFWTVYSQMNTFTIEQATFMNREMGTFEIPAGSFSFFLFITILLFTSINERVTVPFARKFTHTVQGISSLQRVGVGLCLSIAGMIASALVEKHRRGNAVHHGFRISAFWLVPQFFIVGAGEAFAYVGQLEFFIREAPEGMKSMSTGLFLSTLSMGYFISSLLVTIVEKASKGKWLKSNLNKAKLDNFYWMLAVLGVINFLVFLFFAGRHQYKMQPRSISEASAEDLKNQKEMMAVEDKEKQFISSESKEVA >itb04g26080.t1 pep chromosome:ASM357664v1:4:30494582:30502292:1 gene:itb04g26080 transcript:itb04g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVQNGKNRGLEKPIPGCLGRMVNLFDLNGSVAGNRLLTDKPHRDGSLSRSQSDVARMSPSEDQIEDKEIVSELRRNNSKMKANGTPMKMLIAEEMSKDMASKHNPPSVVAKLMGLDGFPSQLPVSTAQRNYSGRNAQSAKGTPLNNFHHRSGSLDTQMECEFYQYPEQNECKDVYEVWQNRHDMNSVRSKSPQMGRHNETSTDKVAFVRQKFIEAKCLSLDEKCHHSRQFQDALEVLSSNTDLVLKFLQEPKPMLSEHIYNLQSIPAPSENKRITVLKPSKMVDNCKFIGTGKNENVTKRTNQVSQVNRIDKTHPDLSSPAASWKTDTSVTQPTRIVVLKPSTVKSHELKALSSPPCTSPRVLHGKKFVGVPEDNDAQESREVAKSITQQMRENLAGHRRDETLLSSVFSNGYIGDESSFNKSENEYAAMNLSDSEVMSPMSRHSWDYINRFNSPYSCSSLSRASYSPESSVSREAKKRLSERWAMVASHRTHQEQRHLRRSSSTLGEMLALSDAKKAGTAEGEGEKEPRDLNCSPVEDTNNMPKNLVRSKSVPVSSTTFASHLNLDTSQPETAMTDVPREKTKERSTKSLLKGKVSSLFFSKNKRHCKQKPRTDESHSGGKLSHYIAKVDNNRSECLNGTGLDCSSRDLHESSGEATSPDLVEKQGLISSEFGLSLPFGNPSENHDQPSPVSVLETSFEEDEHLAQLSLGNIKADRRGGELPFQPIRSNLIDKSPPIGSVARTLSWDDSCVDTATSSYSLNPPVSMQRTEEEEREWLFFIQTLLSMAGFDEVQSDSLSSRWHSPDSPLDPLLRDKYIDLNEKETLHEAKRRQRRSTRKLVFDCVNAALIDIANRGPDTCHKTVAFNTIPDDGSSIISVDQVWGRIKEWFPSAVGCLSDDGVDDNNLTERMVRQEAVGKGWHEYLRLEVESIGKEIEGKLLEEIVLDAVVGLADTM >itb10g16140.t1 pep chromosome:ASM357664v1:10:22403340:22406063:1 gene:itb10g16140 transcript:itb10g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAAVEAIPAKKGKSRKALKNQKASSSEANIMAASVSEDAPDAAIPVGESAEKENCEGLCQKNSKKGASKAKKQTEELSSFEKEIQEMQEKLQQLKIEKEQTEELLKAKEEMLIQKEKEQEKLQTELKKLQKIKEFKPNLNFPITKGDKDQEKKGKKGNDPAKKRPATAYLLWCKDQWNEVKKENPKAEFKEISNMLAAKWKTISADEKKPYEEKYQSEKEVYLKIVGNEKREQEALRLWDEEEKQKTALDLLDQYIQFQQESESENKKKKKEKDPLKPKHPLSAFFLFSNERRAALLAENKNVKEVAKIAGEEWRNMTDEQKAPYEEGAMRNKEQYAKEMEIYRKNKEEEAANSKKEDDEMDKLRKHEAMQLLKKKEKAENLIKKTKEEQKKKQKEEKNTDPNKPKKPATSYLLFSKEERKKIAEEKPGTNNSTVTALISVKWKEMSEEDKQVWNNMAAEAMEAYKKELEEYNKAKEQNNNN >itb13g05740.t1 pep chromosome:ASM357664v1:13:6898178:6899968:1 gene:itb13g05740 transcript:itb13g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDPKESWELFCNILSIDEDHLAPKLEKIRNHVVEKCDGLPQLIVEVARRLSKCNNILQGWKKIEKELESLGLLDRNALSVSYNLLPHHLKVCFLYFGVFLKLKKIPVKMLIRLWIAEGFVNPLNHNNLEGKAWVYLQDLIFRSLVLIEDQSYGFIIKSCRMHSALHSFCVGEAQKEALPEQCMYPSRLNRLTLSGTNIFERDLNVIAMLPQLNVLKLENAFHGAVWNVVAEKGFTKLIFLLLEAKELKQWVVGQKHFQSLKHLVLRSCNCLEQIPECFGRISLLESIELEGCHSSLVASAKQLPQKIRFKDVKIKILDPEYDDSQNTHTELSM >itb05g03010.t1 pep chromosome:ASM357664v1:5:2431151:2431468:1 gene:itb05g03010 transcript:itb05g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDEEGICKSVPRVLSWIFNTTLDFGWSLMKSTFLEDEWTLIRLIYSVEFRLIDVTSVSFSIDRIKQIKVKLRNIDLNKKKKTKPKEKEKEKERNKEEEEDKER >itb14g21510.t4 pep chromosome:ASM357664v1:14:23482761:23484192:1 gene:itb14g21510 transcript:itb14g21510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPMIDADEENGEEHQNVETTNYSQCSSDAHRTRTASCCRSMALIVSLNFHLFDRDTVIFLLFCIHIHKTKINK >itb14g21510.t2 pep chromosome:ASM357664v1:14:23482761:23484192:1 gene:itb14g21510 transcript:itb14g21510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVDATTTGTIRGRMEIPMIDADEENGEEHQNVETTNYSQCSSDAHRTRTASCCRSMALIFTFLLLVRHVYELVGEGAKDYPFSLSTLLILKASGIFLPMYVLVRILTLIQNSIHHEHQHSYLDSEDS >itb14g21510.t3 pep chromosome:ASM357664v1:14:23482761:23484192:1 gene:itb14g21510 transcript:itb14g21510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVDATTTGTIRGRMEIPMIDADEENGEEHQNVETTNYSQCSSDAHRTRTASCCRSMALIVSLNFHLFDRDTVIFLLFCIHIHKTKINK >itb14g21510.t1 pep chromosome:ASM357664v1:14:23482761:23484192:1 gene:itb14g21510 transcript:itb14g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVDATTTGTIRGRMEIPMIDADEENGEEHQNVETTNYSQCSSDAHRTRTASCCRSMALIVSLNFHLFDRDTFTFLLLVRHVYELVGEGAKDYPFSLSTLLILKASGIFLPMYVLVRILTLIQNSIHHEHQHSYLDSEDS >itb01g22940.t1 pep chromosome:ASM357664v1:1:29044411:29046338:1 gene:itb01g22940 transcript:itb01g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLRNREKKVWLVEISKIRGAMFFENGWEKVVKDNCVTDGDQLFFIYKDYDVFDFFVVGPFCSEKIGVEGERARVVEVIRKESGDESRDIFVLVANIWHYIQLENAYFVMRIRQKRRGDLYVLKEMIRDYNLQLPSTVTLRDAKGREWKTIVKVWADGRTWLSGGWRGRLCRKNLIGEEDQCICEFLPSELGNDEDNIVLQIDGFALCWPPSARCSPSRHSPIVTSAFASPLWSMRSRWRQRLRRRLSGSTDFFD >itb07g22680.t1 pep chromosome:ASM357664v1:7:27222798:27228612:1 gene:itb07g22680 transcript:itb07g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNQKRRNFQIEAFKHRVVVDPKYADKTWKILEHAINEIYNRNASGLSFEELYRNAYNMVLHKYGEKLYSGLVSTMTFHLQEISKCIEAAQGDHFLEELNREWTDHNKALQMIRDILMYMDRTFVPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLELIFRERTGEVINWGLMRNIIKMLTDLGPSVYQEDFEKPFLEVSADFYKAESQKIIECCDCAEYLKRAEKRLNEEIERVSHYLDAKSEDKITSVMEREMIANHMLRLVHMENSGLVNMLLDDKYEDLKRMYGLFRRVPNGLSTIRDLMASHIRETGKQLVTDPEKLKDPVEFVSCLLEEKDKYDNIISMSFNNDKTFQNALNSSFEFFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVDIMLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYATHGTELGDGPSLVVQVLTTGSWPTQPSMTCNLPAELSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKVTFGKGQKHELNVSTYQMCVLMLFNNADRLSYQEIELATEIPPSDLKRCLQSLALVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKVLDHNNIIAEVTKQLQSRFLANPVEIKKRIESLIERDFLERDSGDRKLYHYLA >itb07g22680.t2 pep chromosome:ASM357664v1:7:27222798:27228612:1 gene:itb07g22680 transcript:itb07g22680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNQKRRNFQIEAFKHRVVVDPKYADKTWKILEHAINEIYNRNASGLSFEELYRNAYNMVLHKYGEKLYSGLVSTMTFHLQEISKCIEAAQGDHFLEELNREWTDHNKALQMIRDILMYMDRTFVPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLELIFRERTGEVINWGLMRNIIKMLTDLGPSVYQEDFEKPFLEVSADFYKAESQKIIECCDCAEYLKRAEKRLNEEIERVSHYLDAKSEDKITSVMEREMIANHMLRLVHMENSGLVNMLLDDKYEDLKRMYGLFRRVPNGLSTIRDLMASHIRETGKQLVTDPEKLKDPVEFVSCLLEEKDKYDNIISMSFNNDKTFQNALNSSFEFFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVDIMLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYATHGTELGDGPSLVVQVLTTGSWPTQPSMTCNLPAELSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKVTFGKGQKHELNVSTYQMCVLMLFNNADRLSYQEIELATEIPPSDLKRCLQSLALVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKVLDHNNIIAEVTKQLQSRFLANPVEIKKRIESLIERDFLERDSGDRKLYHYLA >itb15g08470.t1 pep chromosome:ASM357664v1:15:5919929:5922872:-1 gene:itb15g08470 transcript:itb15g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEQCGFNQRWSLKGITALVSGGTRGIGAAIVEELAGFGAEVHTCSRNQKELDQKVQEWQAKGFNVSGSVCDLASGEQRKHLIHTVSSLFNAKLNILVNNAAISKMVKATDYDAEEFSRIIETNLESPYHLTQLAYPLLKATGNASVVFISSLAGHVALPAFSVYGSSKAAINQLTKNLACEWGKDGIRVNAVSPSGVKTTPIKLDEIDPSILKVYGGIMTRTALKPIAEANEISPLVAFLCLPAAASHITGQVILIDGGYTAGGFHF >itb04g03110.t1 pep chromosome:ASM357664v1:4:1917508:1918887:-1 gene:itb04g03110 transcript:itb04g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFSLKGLKLITVDRAFAEKHYADLSSKPFFNGLVEYIVSGPVVAMVWEGKGVVVTGRKIIGATNPLESAPGTIRGDFAIDIGRNVIHGSDSVESAGKEIALWFPEGVTAWRSELHPWIYEN >itb12g07850.t2 pep chromosome:ASM357664v1:12:6018381:6021757:-1 gene:itb12g07850 transcript:itb12g07850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFSASETNLKSHYPLPTRARHLNPRILISCSNGNKETEHSSTAGKIKRLVLSQEGRTKLNPLPDREFYAYPRFVTHVDDNFISTLTNLYRQRLRAEWEILDLMSSWVSHLPQEVKYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQNLEFQNCSFDAVLCTVSVQYLQQPEKVFGEVFRILKPGGMFIVSFSNRLFYEKAISAWRDGTAYSRVQLVVQYFQSVEGYTQPEVIRNFPPQNKDKSINESPFNWLLKLFSPTSNDPFNAVVAYRNFKPLYE >itb12g07850.t1 pep chromosome:ASM357664v1:12:6018381:6021757:-1 gene:itb12g07850 transcript:itb12g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFSASETNLKSHYPLPTRARHLNPRILISCSNGNKETEHSSTAGKIKRLVLSQEGRTKLNPLPDREFYAYPRFVTHVDDNFISTLTNLYRQRLRAEWEILDLMSSWVSHLPQEVKYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQNLEFQNCSFDAVLCTVSVQYLQQPEK >itb12g07850.t3 pep chromosome:ASM357664v1:12:6018381:6021757:-1 gene:itb12g07850 transcript:itb12g07850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFSASETNLKSHYPLPTRARHLNPRILISCSNGNKETEHSSTAGKIKRLVLSQEGRTKLNPLPDREFYAYPRFVTHVDDNFISTLTNLYRQRLRAEWEILDLMSSWVSHLPQEVKYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQNLEFQNCSFDAVLCTVSVQYLQQPEK >itb12g07850.t4 pep chromosome:ASM357664v1:12:6018381:6020323:-1 gene:itb12g07850 transcript:itb12g07850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFSASETNLKSHYPLPTRARHLNPRILISCSNGNKETEHSSTAGKIKRLVLSQEGRTKLNPLPDREFYAYPRFVTHVDDNFISTLTNLYRQRLRAEWEILDLMSSWVSHLPQEVKYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQNLEFQNCSFDAVLCTVSVQYLQQPEKVFGEVFRILKPGGMFIVSFSNRLFYEKAISAWRDGTAYSRVQLVVQYFQSVEGYTQPEVIRNFPPQNKDKSINESPFNWLLKLFSPTSNDPFNAVVAYRNFKPLYE >itb12g07850.t5 pep chromosome:ASM357664v1:12:6018381:6021757:-1 gene:itb12g07850 transcript:itb12g07850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFSASETNLKSHYPLPTRARHLNPRILISCSNGNKETEHSSTAGKIKRLVLSQEGRTKLNPLPDREFYAYPRFVTHVDDNFISTLTNLYRQRLRAEWEILDLMSSWVSHLPQEVKYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQNLEFQNCSFDAVLCTVSVQYLQQPEKVNIINTIYHIALTIVPKSSLVYYYT >itb14g04220.t1 pep chromosome:ASM357664v1:14:3756058:3758154:-1 gene:itb14g04220 transcript:itb14g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYGSSAILLFFIVISVFSSPSLVESSGDVRRMMMSFKETPNGGNVTFDCSPSGPCVPCAYSEKSDEKYRCSETGYRIPFKCEEIKDSSKEAESKKMQKTRSTLEAHSYPSYPIRQRSLLEDSSTSENGLQAYITYRSCITPVSEERLSVLGFEGIMMGLLMISGSAIYVKRKRANAVPGGAPVRVPNSSRF >itb01g32610.t1 pep chromosome:ASM357664v1:1:36032316:36037368:1 gene:itb01g32610 transcript:itb01g32610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAACCFPIRASKSHSQQSHPQVSRESMENPAIVNVNDKESMEKPAVINASSILESEDSKENMKSETGYSATNNIVLNHEFSEGLHMWHANCCEAYVVPVGCGDKGVPTDFGGCYAVITNRKDSWQGLEQDITNRVSTGSIYSVRACVGVSGTISGSTDVLATLKLEYQDSATRYLFIGRKSVSVNSWETLEGSFSLSAKPDRVVFYLEGPSPGVDILIKSVIISCSSPRKCENSSTVHVSDGDESVILNPTFEDGLNNWTGRGCNVVLHDSMADGKILPLSGKVFACATARTQTWHGIQQEVTGRVQRKLAYEATAVVRIYGNNVTSSEVRITLWVQAADLREQYIGVAKVQATDKDWAQLQGKFLINGSPSKLAIFLEGPPPGTDILINYFGVKHAAKVPPSPPPVIENPGYGVNIITNSNLNDGTNGWFPLGNCTMSAATGSPHIMPPRARDSLGAHAPLSGSYILVTNRTQTWMGPAQMISDKVKPYLTYQVSAWVKIGNATGPQSVNIALSVDSQWVNGGQVEITDDRWHEIGGAFRIEKQAAKIMAYIQGPAVGVDLMVAGLQIFAVDRQARFKHLKRETDKIRKRDVVLKFSGSGAGSLLGTLVRVRQTQNSFPFGSCINRSQMDNEDFTAFFVKNFNWAVFGNELKWYSTEVQQGKLTYKDADELLDFCTKNNMPVRGHCIFWEVESTVQQWIRSLSKNDMYTAVQNRLTSLLTRYKGKFPQYDVNNEMLHGSFYQDHLGKEIRVNMFKTAHQLDPSAVLFVNDYHVEDYSDSRSSPEKYIDHILDLQEQGAPVGGIGVQGHIDNPVGTVVCSALDKLGILGLPIWFTELDFSSANEYVRADDLEVMLRECFAHPAVEGIVLWGFWELFTSRENSHLVNAEGDLNEAGKRYLALKQEWMTHGHGHIDEQGQYCFRGFLGSYEVEIITATKKITKTFVVAEKGDDPQVISIDGL >itb01g32610.t2 pep chromosome:ASM357664v1:1:36032553:36037368:1 gene:itb01g32610 transcript:itb01g32610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAACCFPIRASKSHSQQSHPQVSRESMENPAIVNVNDKESMEKPAVINASSILESEDSKENMKSETGYSATNNIVLNHEFSEGLHMWHANCCEAYVVPVGCGDKGVPTDFGGCYAVITNRKDSWQGLEQDITNRVSTGSIYSVRACVGVSGTISGSTDVLATLKLEYQDSATRYLFIGRKSVSVNSWETLEGSFSLSAKPDRVVFYLEGPSPGVDILIKSVIISCSSPRKCENSSTVHVSDGDESVILNPTFEDGLNNWTGRGCNVVLHDSMADGKILPLSGKVFACATARTQTWHGIQQEVTGRVQRKLAYEATAVVRIYGNNVTSSEVRITLWVQAADLREQYIGVAKVQATDKDWAQLQGKFLINGSPSKLAIFLEGPPPGTDILINYFGVKHAAKVPPSPPPVIENPGYGVNIITNSNLNDGTNGWFPLGNCTMSAATGSPHIMPPRARDSLGAHAPLSGSYILVTNRTQTWMGPAQMISDKVKPYLTYQVSAWVKIGNATGPQSVNIALSVDSQWVNGGQVEITDDRWHEIGGAFRIEKQAAKIMAYIQGPAVGVDLMVAGLQIFAVDRQARFKHLKRETDKIRKRDVVLKFSGSGAGSLLGTLVRVRQTQNSFPFGSCINRSQMDNEDFTAFFVKNFNWAVFGNELKWYSTEVQQGKLTYKDADELLDFCTKNNMPVRGHCIFWEVESTVQQWIRSLSKNDMYTAVQNRLTSLLTRYKGKFPQYDVNNEMLHGSFYQDHLGKEIRVNMFKTAHQLDPSAVLFVNDYHVEDYSDSRSSPEKYIDHILDLQEQGAPVGGIGVQGHIDNPVGTVVCSALDKLGILGLPIWFTELDFSSANEYVRADDLEVMLRECFAHPAVEGIVLWGFWELFTSRENSHLVNAEGDLNEAGKRYLALKQEWMTHGHGHIDEQGQYCFRGFLGSYEVEIITATKKITKTFVVAEKGDDPQVISIDGL >itb04g16500.t1 pep chromosome:ASM357664v1:4:18190084:18191386:1 gene:itb04g16500 transcript:itb04g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTADSGRTWRKYGPIYIKNNSLSVIQPVPYQTARGTLRILLRSFTGIDKICMSESRNGGYNWDYAKPTELPNPNSGIDGVKLKDGRVVLAYNTISRGVLKVAVSEDDGDSWHDVATLEDTSGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVFQPN >itb12g28150.t1 pep chromosome:ASM357664v1:12:28190713:28193558:-1 gene:itb12g28150 transcript:itb12g28150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKLFSSSKDVDDGDKLSVVKMQTNSSPQKSFSRSSSRVSFQDDYDDSEFSGPFVVDDDDVTDPGKRLCSFNQARHQSGGHEPGVSLVRKSHVSAGTLISMLKKAPPLRQDSDSMNLLQDSTPGTINPVQMSDKPGVQHSSTSNFASSVNIPAKTTADALEELRGYRDMKDLLLKQGGKSQM >itb04g02400.t1 pep chromosome:ASM357664v1:4:1427031:1429620:1 gene:itb04g02400 transcript:itb04g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g00080 [Source:Projected from Arabidopsis thaliana (AT4G00080) UniProtKB/TrEMBL;Acc:O81309] MAKLSFSSFILLLSIFSVVADVTARPLQRSHYSRGRTYVESQCRRTLYPKLCVSCLAGHVNSTSQSPQELAQVALKVSLVRARYASAYMAKISRDLKSAADYQAVHDCINQIDDGASQLARAVKELNRLNLDGENAFVWHQSNVQTWLSTMETNAFACMDGISGYRMGGPYSLVTHVNGRRHHYLFRHYSGEQQLGDWYFVSPVRSISCNLFQKLASGYSIALRPPSIAASWFTKAAFQSFMALTRFLLIDLAPVLSPWALKVSDRAKWHL >itb14g16520.t1 pep chromosome:ASM357664v1:14:19856944:19858843:-1 gene:itb14g16520 transcript:itb14g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLASSCCVGPPESSDCIICQRVSVDPIIFTIYKHPWENDGGLAGLLASSLYKSSLSESLIGKHSLCPSASVSDPPPLLSLKLALAWSKPTYLEWLVCESLPHRPEQEDLNMSSMKPQRCCLCLPKMPLTLMKEITVD >itb03g19350.t4 pep chromosome:ASM357664v1:3:17450091:17453355:-1 gene:itb03g19350 transcript:itb03g19350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTIDFQKLCEIDRRKWILVLSLVAITHLFCQTLMLPYGDALLSLLPDNTEIIHQKVSLSFKESSLKSVSLDEPVRVDGARFANLSLLPTGVENTDGGDDWYRVKTRVAGQIVDDGEKSSSIVNEKSLDNDFDFVEDATLDNDNPFEEIEADEELKVRSGINQEHGSVRPPSEDETIENSNELSTVSRDPLVSSRSSLSNSGISPRNSSKSGEQNLSLPNGRNVVLLQPNMSTTTTNPGRKKMRCEMPPKSVMYISQMERLLVRHRARSRAARPRWSSERDKDILAAKLQIENAPLLKTDQELYAPVFRNISMFKRSYELMESTLKIYVYKEGAKPIFHQPILKGLYASEGWFMKLMERNRKYVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHDRTNLRQYLKDYSERIAAKYRFWNRTGGADHFLVACHDWVIFSIFSCF >itb03g19350.t3 pep chromosome:ASM357664v1:3:17450091:17453355:-1 gene:itb03g19350 transcript:itb03g19350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTIDFQKLCEIDRRKWILVLSLVAITHLFCQTLMLPYGDALLSLLPDNTEIIHQKVSLSFKESSLKSVSLDEPVRVDGARFANLSLLPTGVENTDGGDDWYRVKTRVAGQIVDDGEKSSSIVNEKSLDNDFDFVEDATLDNDNPFEEIEADEELKVRSGINQEHGSVRPPSEDETIENSNELSTVSRDPLVSSRSSLSNSGISPRNSSKSGEQNLSLPNGRNVVLLQPNMSTTTTNPGRKKMRCEMPPKSVMYISQMERLLVRHRARSRAARPRWSSERDKDILAAKLQIENAPLLKTDQELYAPVFRNISMFKRSYELMESTLKIYVYKEGAKPIFHQPILKGLYASEGWFMKLMERNRKYVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHDRTNLRQYLKDYSERIAAKYRFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSLPETYIRSQRNPLRDLGGKPPSQRRILAFYAGNMHGYLRPILLEHWKDRDPEMKIFGPMPPGVASKMSYIQHMKSSKFCICPKGYEVNSPRVVEAIYYECVPVIVSDNFVPPFFDVLNWDAFSIIVAEKDIPNLKDILLSVTEQKYLQMQVAVRKVQQHFLWHVKPQKYDLFHMTLHSIWYNRVFQVKAR >itb03g19350.t2 pep chromosome:ASM357664v1:3:17450091:17453379:-1 gene:itb03g19350 transcript:itb03g19350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTIDFQKLCEIDRRKWILVLSLVAITHLFCQTLMLPYGDALLSLLPDNTEIIHQKVSLSFKESSLKSVSLDEPVRVDGARFANLSLLPTGVENTDGGDDWYRVKTRVAGQIVDDGEKSSSIVNEKSLDNDFDFVEDATLDNDNPFEEIEADEELKVRSGINQEHGSVRPPSEDETIENSNELSTVSRDPLVSSRSSLSNSGISPRNSSKSGEQNLSLPNGRNVVLLQPNMSTTTTNPGRKKMRCEMPPKSVMYISQMERLLVRHRARSRAARPRWSSERDKDILAAKLQIENAPLLKTDQELYAPVFRNISMFKRSYELMESTLKIYVYKEGAKPIFHQPILKGLYASEGWFMKLMERNRKYVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHDRTNLRQYLKDYSERIAAKYRFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSLPETYIRSQRNPLRDLGGKPPSQRRILAFYAGNMHGYLRPILLEHWKDRDPEMKIFGPMPPGVASKMSYIQHMKSSKFCICPKGYEVNSPRVVEAIYYECVPVIVSDNFVPPFFDVLNWDAFSIIVAEKDIPNLKDILLSVTEQKYLQMQVAVRKVQQHFLWHVKPQKYDLFHMTLHSIWYNRVFQVKAR >itb03g19350.t1 pep chromosome:ASM357664v1:3:17450091:17453379:-1 gene:itb03g19350 transcript:itb03g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTIDFQKLCEIDRRKWILVLSLVAITHLFCQTLMLPYGDALLSLLPDNTEIIHQKVSLSFKESSLKSVSLDEPVRVDGARFANLSLLPTGVENTDGGDDWYRVKTRVAGQIVDDGEKSSSIVNEKSLDNDFDFVEDATLDNDNPFEEIEADEELKVRSGINQEHGSVRPPSEDETIENSNELSTVSRDPLVSSRSSLSNSGISPRNSSKSGEQNLSLPNGRNVVLLQPNMSTTTTNPGRKKMRCEMPPKSVMYISQMERLLVRHRARSRAARPRWSSERDKDILAAKLQIENAPLLKTDQELYAPVFRNISMFKRSYELMESTLKIYVYKEGAKPIFHQPILKGLYASEGWFMKLMERNRKYVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHDRTNLRQYLKDYSERIAAKYRFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSLPETYIRSQRNPLRDLGGKPPSQRRILAFYAGNMHGYLRPILLEHWKDRDPEMKIFGPMPPGVASKMSYIQHMKSSKFCICPKGYEVNSPRVVEAIYYECVPVIVSDNFVPPFFDVLNWDAFSIIVAEKDIPNLKDILLSVTEQKYLQMQVAVRKVQQHFLWHVKPQKYDLFHMTLHSIWYNRVFQVKAR >itb09g27090.t1 pep chromosome:ASM357664v1:9:27553041:27553646:-1 gene:itb09g27090 transcript:itb09g27090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGMGHILRAKQGLRRSSSRTNRESEVPKGHFAVYVGENEKKRFVIPVSYLKDPSFQDLLCQAEEEFGFDHPMGGLTIPCMEDTFLDIISNLRS >itb03g20940.t1 pep chromosome:ASM357664v1:3:18770477:18771055:-1 gene:itb03g20940 transcript:itb03g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNTSRGVARGRQRVPLSRIGNEVQRLVTFSKRRTGLFKKASEMSTLCGTEIAMVVFSPSGKAFSFSNPDMNRVLTKYFGEIPNREANIPEHIIRAHQEAKMRAMTSQINVLEAQIDEEKMVDQALREAENGRPSISDLQLPELQLMKQKTKTLIIQVTEKLNMFSIMEAQSQAMETRFGANDGAGPSGV >itb15g09480.t1 pep chromosome:ASM357664v1:15:6761137:6763656:1 gene:itb15g09480 transcript:itb15g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSWISTILGIATLVWAWFSWRKRGKKFAPEAGGAWPIIGHLHLFRTSVPLVKTLSEWADKYGPVFTIRLGISRILVVSNWEGVRDCFTTNDKHLAARPTTCAGKYLGYDYAVFTFSTNNSYWRNVRKLVVVELLSSRRMEKLKHVWVAELQTNIKELYTSVSMDNNNDKNNSLSAPKVNMSRWFGHLTLNLIMQIVAGRRYEYRSDGVVNEEAQCLKKVFSQVMYLWGEFVSGDAIFPLWFFRWLDYEGHVKSMKKAAKDVDAILQDWVDVRRKERRSNDDQKFIDVMLSMIDDQFTKGYHYTRDTIIKAIVLSMLQDASETFASHLTWILAVLLKHPESFKRVQEEIDTNIGKERWAEESDTKNLPYLQAVVKETMRVYPPGPYLAPHEAIKDCIVDGYHIPKGTQLYVNVWRLHRDPKIWSDPEKFIPERFMTNLEGEDAQNRQYQFVPFGLGRRSCPGNLYATQITHVAVARLFQGFNFSTIPNEPLDMTEGGGITLPKLTPLEVLITPRSPALFEL >itb11g11910.t1 pep chromosome:ASM357664v1:11:8797593:8811418:-1 gene:itb11g11910 transcript:itb11g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDVSSPDGSSNSPRSIGSNSPIRSDKKMGKSSSSTSKDNSPATPTSISSLAASFKDKKKDSKGKESIVPNSNSPGKSGLGGGESLSSSKLKSLDGKETGPPTAVSVSPILASSLGLNKIKTRSGPLPQESFFSYGSRDKGSSLGASNLSKPQSSSATKSAVGKEDKRAVESSGWVDNGSSSDHMSTENAARSRNQSPRVPSRLQNGESSSEAGRFNPSRGHSGGLRSMDAYTSDLKTPYECDNPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKRRGLNNLEEVITMIRTKFAKAKEEVDSDLHIFAADLVGVLEKNAETHPEWQETIEDLLILARSCAMTSPGEFWLQCEGIVQELDDRRQELPTGMLKQLHTRMLFILTRCTRLLQFHKESFAEDEPMLQLRQSVQPADKHISGGVGMDQTISSTVKVPKTPATRKSYSQEQHGLEWKRDQAVQPEGFQLLPAETAKNLDSPGGRDRIASWKKLPSPAGKSPKEVTPLKEQEDNLEASKLPINKRGTPDLDLANAKHQEPPSSRDSHGHSSGSSKHQHKISWGYWGDQPGLSDESSIICRICEEEVPTLHVEEHSRICTIADRCDQKGLSVNERLIRIADTLEKLMESFSQKDFQPTAGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFVDELKALPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSPIDLLLLGKGGYPEHEDLPQMNELVDIARCVANTPVEDDRSMPYLITCLEDLKVVTDRRKLDALTVETFGTRIEKLIREKCLQLCELVDDEKVDITSTVIDEDAPFEDDVVRSLRTSPIHSSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMDEDESQLSESEHQQERRNNRSAVGTPDYLAPEILLGTGHGFTADWWSVGVILFELIVGIPPFNAEHPQKIFDNILNRMIPWPLVPDEMSPEAQDLIDRLLTEDPHQRLGAKGATEVKQHPFFRNINWDTLARQKAAFVPTSESALDTSYFTSRFSWNTSDERVLATSDFEYSSDNGSISGSSSCLSRHDELGDECGGLAEFESGSPVNYSFSNFSFKNLSQLASINYDLLTKGLKEDQPTNPNP >itb02g05860.t1 pep chromosome:ASM357664v1:2:3619017:3619433:1 gene:itb02g05860 transcript:itb02g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVVFLCDVVDSHFPKPKPSFTVPREMGNFCFLKPIRWSSSAEPSDSLGALKTKLLLLLLHTTILLYPHSPWEHKEAMCTSLSSPHGISLPIFYANKLLDGCPLAYMDGQLKMDGTLFYFCATTCQHLISKSKKHH >itb02g26100.t1 pep chromosome:ASM357664v1:2:26907660:26912806:1 gene:itb02g26100 transcript:itb02g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFDSSTKPQPGVITCKAAVAWGPGEAMVIEEVEVSPPQPMEIRIKVVYTSLCRSDVTAWLSQAHTSIYPRIFGHEASGIVESVGQGVTEFAEGDHVLTLFTGECMRCKHCISGKSNICQVLGLEHKGVMHSDQKTRFFVKGKPVYHYCAVSSFSEYTVVHAGCAVKVSPLAPLDKICILSCGAAAGLGAAWKVANVSEGSKVAIFGLGTVGLSVAQGAKLRGASQIIGVDTNPHKRDIAKDFGVTDFLNPNDSDEPIHQVIKRMTDGGADFSFECIGDTEMVTTALQSCCDGWGLTVTLGVPKTKPEISGHFRFFLMGRKLTGSLFGGWKPKSEIPSLVDMYMNKEIQIDELITHNLPFEDINKAFDLMIQGKCLRCVIHMPPK >itb06g23280.t1 pep chromosome:ASM357664v1:6:25314514:25315946:1 gene:itb06g23280 transcript:itb06g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIMCEIEKPHAVCVPFPAQSHINAMLKVAKILHNKGFHITFVNTEFNHRRLQRSRGAESVRGLPSFRFETIPDGLPPSDADATQEVTSLCASTATTCLGPFKELLTRLNDTAASNVPPVSCIVADGVMSFTLAAAEELGIPDVLFWPVSASGFLDESYFTNGYLETELDGVKGMKGIRLRDLPTFFRTTDPNDILLNFFIQETERSKKATAIVLNTFDALEHEVLMALQSMLPPVYAIGPLALLQVDDDNVRAIASNLWKEDSTCLAWLDTKEPNSVVYVNFGSIISRSSRGD >itb06g24870.t1 pep chromosome:ASM357664v1:6:26249951:26250946:1 gene:itb06g24870 transcript:itb06g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYSASANLEGARKVFDEMPSKNVVCWTSLVTACVQNQKPNAALEVFWQMIMANVEPDQVILTAVLSACADAGALDVGEQIHGYIRRNFGLDADLRMANALLNMYVKCGDVKAAKILFDGVREKDVTTWTSMIVGLALHGQAEEALRLFAAIEEENRLSRLRKNCRFKSGLVVPNDVTFIGVLMACSHMGRVEEGKRHFRSMVREYCIEPRLSHYGCMVDLFCRRGLLKEAYDFVLAMPIPANGVIWRTMLGFCGIHGNAELAAVAHSRLIELQEGVAGDDVVMSNICAGNDMWEEKVKVRDEMKQRRIPGWSSIQVGNWVQSRDLLSLK >itb08g05760.t1 pep chromosome:ASM357664v1:8:4731541:4734799:-1 gene:itb08g05760 transcript:itb08g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSIPAGSINRYVGIWYKQIPVPTVIWIANRETALTNITSAVLKIIKPGQLVLIDAKNDTRWSSNTSRSAQNPIAQLLDSGNLVVRDSEDENPENFLWQSFDYPTDTYFPGMKLGRNLVTGHEVYVRAWKSENDPSSGQFTFRFDPAGYPHCVINNGPEKIYTTGPWNGLRWSGTPGVNPDNPHYKYHVHMNPREAYVRYDVINNSILLRLVMTSSGDFQSFTWMNETQSWVSFIKAPMDICDNFAKCGANGICNIAGSPICGCLENFVSNTRGGWDYWSDGCHRRIPLKCKNGTDGFKKYSGIKLPDTANSWFNTTMNLKECEQKCLSNCSCTAYSSLDLSNGGSGCFLWFKDLIDIRLLSENGQDIYIRLDSSEIPDESGHASSKGKKVQIILGCSLPLTVIILLVLCFGLYLYKKSKDKMMKLKEWLEIPLFDMSTISRATNNFSENNKLGEGGFGAVYKGVLEGKQEIAVKRLSKTSTQGIQEFKNEVICIAKLQHRNLVKLLGCRIQGEEKLLVYEYMPNKSLDTFIFGLVF >itb09g26640.t1 pep chromosome:ASM357664v1:9:27338027:27340085:-1 gene:itb09g26640 transcript:itb09g26640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHAFDNSSNIRDVVKLILNFENDKEEFEGEGMLLLISSGKHYPFYAFAAASTEVVSIIWVPIINDLDEHIQVHNDIYQGNLVLNPAFLRFLKDKCFPTFQVGGDPIVISLDNRGRLVHYNALHMLFTWGYRLFERPTTGLGGIIPSLENELREITSGADRVIDDINKQIHNFAREVRVKINDWIEDIEMKMKSSIDDDEKYFFLCGGNNINQVLEFIVKVQEVDSRFQMDMKIAYLGRRGKMIEEVSKEVSRACDYVLDHTNYYKRFWTRLYSMASSRIQYLNKVGLDEGSDEILQGLRKLLSYEDKCTTIGSWALLGKGKRIIACDMSDKMIGVLNEYEKWKNNAHANDFEQAFMDCYEMLNSSSSFHQHPYCTLNYSSNLDKISEAESCPQCNQNMHKFVTFSCCHGPTNYLYYEEEDDDLLYKED >itb06g17200.t1 pep chromosome:ASM357664v1:6:21117545:21118225:1 gene:itb06g17200 transcript:itb06g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHTKPCIVALSCLLLRLSSSSQCQAQLSPTFYDKTCPNALNIIRTTVRQAVSTERRMVASLVRLNFHDCFVQGCDASILLDESPTIKSEKTTLPNLSSTRGYDVIEAAKGELEKACPGIVSCADVLSVAAHDATAAVGGPSWTVKLGRRDSIMASHTIDLPSPFDNLDRLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRAIEYMAMVLTLMLVLRNCPQFL >itb13g02040.t1 pep chromosome:ASM357664v1:13:1869465:1873319:-1 gene:itb13g02040 transcript:itb13g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAAENAAELLKIFNEEIDHERVTKMTCVALTSLIETIKLRLLPSWWMPYDGPQRAVKSFLGNLTSLKAFLQKESIGDGGVLKDLEIQIRNVVLKAEGDIQKQLGNFLARRELNQTLQEAAKSATELLKILTRLKESCLSEREMASAALTILMTKFDYYFLEPIPLVSLDDEAIMPFFEDLSSLHAFLQEESSGDSGSLKDLEIQIRNFALKAEDDIKIQLGKKFASVELRQTLREASKNATQLLKITRQKESCLSERKMASASLSILITKLEYFFSKPTPFESSDDEDDEDTFLNNFFNNLYLYENYLLLPNPPLNDEAGQEAVKSFFRKLCSLQAILQRKSNSSAAIRKFARKAEVDIDRQLKKFHTEHDEEATQELYQTIQVGAKMAAEIIDKEEQHEREREMACVALTSLRATIGEIINKDFLEKFSSLQLFLREESTGGVALSSLKGAIEKGFLDDEAARESFLENISSLQAFLQKESTGGAAVEDLETKIKDFALKAGDDIKIKLNNFLLTKDTEYQEKVSQELHQTMREAAKSAAQLLKLSNEVDETNETQPSNSWLKHASKSISGSSQSFLKLENRMVGRHDDCRVIKDHLISSRSQLKLISIVGMVGIGKTTLAKKVYGDPSVALHFNVRGWITIPQDYTKRQMLCDLLQFILPAELNVIKKGSTTDELEAEVRLCLLGKRYLIVLDNILSTQAWFDIIQCLPTDKGGSCILLTTSHLELDYYSSDYVHNMTLLDPKESWDLFCDILPIKEHMAPKFEKIRNHVVEKCDGLPQLIVEVAKRLSECDNIQQGWKKIEKELESLGILDRNSITVSYNPLPHHLKVCFLYFGVFPKRKRILVKMLTRLWIAEGFVKPLNHNELEDEAYMYLQELIDRSLLLIEDQSFEGKIKICRMHSALHSFCVGEAQKEGILCTVNTKQHPRLPLKDFANSCRWLSFCPHSFDYYVHFSTNIPRSIFFFLENPEMFVPLKVLRVLAFDTSIFLQRVPLKLGNLVFLRYLSVTQWFEDLDDVVSKNLNLQTLVVSSNGAPSVHLPSSIWKPPHLRHHELGNLYIVDPPSMVKENLQSLSCVVRPIHCRKEVYDKFPNIKKLKIFLKDDTEASATHGSCSNPIILDHLAYLEGLEKLSISISTGCIAAFPEWAMYPSRLKKLKLSGTNISERDLTVIAMLRELRVLKLENAFHGTVWNSVVKGGFYSLNFLLLEAKKLKQWVASSDQFPVLKHLVLKSCYCLERIPERFARTSTPVSIELEGCHSSLVASAKRLQRKKSSKNLKVRS >itb09g12930.t1 pep chromosome:ASM357664v1:9:8245848:8247585:1 gene:itb09g12930 transcript:itb09g12930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSNRSVSVVAIAMVFAIMLYLGSSSSDKEALALAEVDVIGDENKEVKESKIDQYFTPLSKSLYNELERRKLEICGCLPCCYICPFMLYLGSSSSDKEAMALAEVDVISDDNKVVKASKIDEYFTPLSKSFYSELERRKLEICRCTPCCSLCPCKTT >itb13g15920.t1 pep chromosome:ASM357664v1:13:22835230:22842239:1 gene:itb13g15920 transcript:itb13g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSAFSSIMAGAINATDASKRYAVVTGGNKGMGFEICRQLACDGVTVVLTARNEKKGSEAVEKLRAFGFSDGDVLFHHLDVTDPSTVSSLFHFVKTNFGRLDILVNNAGVIGLAMDENVLKSLQMKGAHWSSALFHNYELTAECIEINYYGSKRMSEAFLPLLQLSKSPRIVNVSSGDAKLEKICDEWAKGILNNVESPPEKLDEVLNKYLEDYKNGCLKYNGKFPIPSAYTVSKATMNAYARTIAHKYPSLQVNCVNPGYVKTDLTFNTGGITAEEGAQSVVRVALQPEDGPSGVFFDRQEIISF >itb14g10160.t1 pep chromosome:ASM357664v1:14:11481197:11481622:1 gene:itb14g10160 transcript:itb14g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPRQMEEVDDIMASFPRQMEEVDDIVHLSSIHLEEVDDIVHLSSIHQLIYIIRREKHPEVYILILPGFGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTVATIIIAVPTGIKIFS >itb13g21960.t1 pep chromosome:ASM357664v1:13:28417425:28417805:-1 gene:itb13g21960 transcript:itb13g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYTKKELTNIGLDGFALIDQFYGGREGGRNQQLPNYGGAHVRPLPPPPAAAAAPIVARNNHYSTASSGGYSRRAQYQGYNYRYSLSESHVGWAPVVAVTAETTTVIRGGYEASDADILMDKYKY >itb03g07490.t1 pep chromosome:ASM357664v1:3:5536663:5537902:-1 gene:itb03g07490 transcript:itb03g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMVNSTNRATGLAKALSLQSRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRILLSQGCIVREIQPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFELPDGHFYAVMDCFCEKTWSKTPQYTIGYCQQRPDKVQWPEELGPKPPLYFNAGMFVYEPSLPVYDDLLKTLKTTPPTSFAEQDFLNMFFKDVYRPIPNVYNLVLAMLWRHPENVELDQVKVVHYCAAGSKPWRYTGEEENMDREDIKMLVNKWLAIYNDRALDYKNSAAGGGVEVGAAKFKAAALPKAGATRYVTAPSAA >itb04g06670.t1 pep chromosome:ASM357664v1:4:4362093:4363824:1 gene:itb04g06670 transcript:itb04g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSKPISSPGRTDKFPPPLMRFLRSNAGSRSRGRSRSSPMFMRKKNSVAIETTQEPSSPKVTCIGQVRVKRSSKSSSTAGAGAAGISRSKSHRKTRRTSSSKQQPCWWVRKLLICRGISRKFRKPQPISSFFRKWAFFCCGFGCRKIRDNTDPPPRVDPDPKGPTQEEETGCNSTTSGRGGDKPENKENTVGSSSSPADYAFLLTRCRSAPYRSSSLACRFWGSPLNAPETEPENLPQEEKVSSSPRKSQETEDSPSSDDAKTKEEEEEEEEEKQETSKVEPIGKSAVHPLLLTRCKSEPARTGERLNPDAGYWKLRRFAEPHPSS >itb15g12120.t1 pep chromosome:ASM357664v1:15:10019843:10022044:-1 gene:itb15g12120 transcript:itb15g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLFRSSFLSPSPSPSPQHKSKSHASTAPHSFTRIKATATSDEAAAAAPQTQKHRRPADLNIREEARRISSSHNFSARYVPFNADPDSSEWYSLDEIVYRSRSGGLLDVQHDMDALKKFDGQYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPGIDSDDIVSAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMKKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANRISIAQLVQPIANGAFVLSIDTDFDGCMQMIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFHMCRELGLVDRIPRLVCAQAANANPLYLHYKSGWKDFKPVKASSTFASAIQIGDPVSIDRAVFALKNSNGIVEEATEEELMDATAQADSTGMFICPHTGVALTALIKLRNQGVIGATDRTVVVSTAHGLKFTQSKIDYHSNDIKDMACRFANPPVQVKADFGSVMDVLKKYLLSKDSKN >itb07g20230.t1 pep chromosome:ASM357664v1:7:24630615:24637562:1 gene:itb07g20230 transcript:itb07g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDILFSAAINLLSAFSFLLAFAVLRIQPVNDRVYFPKWYLKGIRASPRKSGPVVRKFVNLDLRTYIRFLNWMPAALHMPQPELIDHAGLDSAVYIRIYLLGLKIFVPIALLAFAVLVPVNWTGGSLQHIKDLTFNEIDKLSISNIPSGSPKLWAHIAMAYVISFWTLYALYQEYEIVSRLRLQFLAAEKRRPDQFTVLVRNVPPDNDETVSEHVEHFFCVNHPDHYLLHQVVYNANMLASLVEEKKNLQNWLTYYQTVHERNPSKKPKTKTGFWGLWGETVDAIDYYTSEIKNLSEKEAEEREKVLSDPNAIVPAAFVSFKTRWGAAVCAQTQQSNNPTIWLTEWAPEPRDVFWNSLAIPYVELNIRKLLMAVAFFFLTFFFMIPIAFVQSLASIEGIEKVFPFLTPVIEKEAVTAFVQGFLPGMVLKLFLANVPKILMAMSKVEGVTSLSALERSSAAKYHLFLLVNVFLGSIIAGAAFQQLDKFLSQPPTEIPKTIGVAIPIKATFFISFIMVDGWAAIAAEILRLAPLIVFHIKDAFLVKTDKDRQEAMDPGSIAFSISEPRIQLYFLLGLVYAVITPILLPFIIVFFAFAYLVFRHQIINVYDQKYESGAAFWPDIHRRIIIGLVIAQVQLMGLMNTKNVAHSGPVILILPVLTIWFHNFCKGRFESAFVKFPLQEAKIKDTLEKATEPNLNLRTYLTDAYVHPVFKGRDQFEATVSIDEEENDPLVATKRIPRKETAAQNPNLNL >itb09g28350.t1 pep chromosome:ASM357664v1:9:28967776:28968849:1 gene:itb09g28350 transcript:itb09g28350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNTLEAHFKKADLKIRTPSPHSASPQSAAAAVARQQSAVPQFRSSRRRSSPVSSPQFRSHFASPSSDRCSASRLASSIHHRTNSPASRFASPLQLSRLQHSGKSVPHKPARSGHLGEGNKETTTAPPRLRLTNVLHGRFEAPPTADFNDDNDECENVDNLDVNCDGC >itb08g05400.t1 pep chromosome:ASM357664v1:8:4440121:4441662:1 gene:itb08g05400 transcript:itb08g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSKQLGQFLQEQQEPFTLQLYLHERGRVTCNSSNSEPNFPAASANSCKFLKGTNLLPRCLHLVKAVLGKLALDPKIKKIQDSTESDNFSSATSADSDAEDAGPTRITSALHGKQVVADRKMRAKCGEDSKQLSPVSVLEETETESSEDDDDECFVGMSDGTNLLTPVVYSKNKIARQQSGRALDSDKLWKLICESLVMWSQDSVDETNINHLVLSDFMASGKEWRGVGEVQGKEIGVRICGVILEDIFCEIVRDLIRFGA >itb05g13150.t2 pep chromosome:ASM357664v1:5:20023976:20026713:-1 gene:itb05g13150 transcript:itb05g13150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYEALNMKMSSPVTQFGPAGRMGIYEQLHQMSVWEDTLRSDIIPCSGDCMVTQADDKSEYMSNESVVPSGSGDNQGDNQAHQAGKGTADKAQRRLAQNREAARKSRLRKKAYIQQLEMSRLKLAQLELEIEKTRHQGVYMLGPTTKMGMYGTINPGIVAFEMEYAHWVEEQQKKISELRSILLSNTSSDVELQLVVENVLNHYYELFRMRAEVAKADVFYLLSGMWRTSLERFFLWIGGFRPSELINAVMPQLEPLTDEQLFNVCNLRDCCQQAEEALTQGMDKLQQSLAQSMASMSTDAVIYGSQMASAMEKLESIESFVNQADNLRKQTLQQMSSILTTHQAARGLLAFGEYFQRLHALSSLWAARPREMD >itb05g13150.t1 pep chromosome:ASM357664v1:5:20023976:20026713:-1 gene:itb05g13150 transcript:itb05g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYEALNMKMSSPVTQFGPAGRMGIYEQLHQMSVWEDTLRSDIIPCSGDCMVTQADDKSEYMSNESVVPSGSGDNQGDNQAHQAGKGTADKAQRRLAQNREAARKSRLRKKAYIQQLEMSRLKLAQLELEIEKTRHQGVYMLGPTTKMGMYGTINPGIVAFEMEYAHWVEEQQKKISELRSILLSNTSSDVELQLVVENVLNHYYELFRMRAEVAKADVFYLLSGMWRTSLERFFLWIGGFRPSELINAVMPQLEPLTDEQLFNVCNLRDCCQQAEEALTQGMDKLQQSLAQSMASMSTDAVIYGSQMASAMEKLESIESFVNQADNLRKQTLQQMSSILTTHQAARGLLAFGEYFQRLHALSSLWAARPREMD >itb02g22760.t1 pep chromosome:ASM357664v1:2:22417025:22422884:-1 gene:itb02g22760 transcript:itb02g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGATGWLRGRVKAVPSGDSLVIMGSTKAEIPPEKTITLSSLLAPRLARRDGTDEPFAWDSREFLRKLCIGKDVTFKVDYAVPSIGREFGSVFLGDKNVALMVVAKGWAKVRSQNQQKAEASPFLAELLSLEEQAKQQGLGCWSKESGASNASIRNLPPSAIGDPSNLDAMGLLAANKGRSMEAFVEQVRDGSTLRVYLLPGFQFVQVFIAGIQAPSMGRRSTTDTAIATEVTAHEANGDSSSEPRAALTSAQRLAASTASITEVAPDPYGREAKHFTEIRVLNRDVRVILEGVDKFSNLIGSVYYSDGESPKDLGLELVENGFAKYVEWSASMLEDDAKRRLKNAELQAKKVRLRMWTNYVPPATNSKAIHDQNFSGKVVEVVSGDCIIVADDSLPFGDPSAERRVNLSSIRAPKMGNPRRDEKPAPYAKEAKEFLRTRLMGKQVHVSMEYSRKVNMADGAVPATNGADSRVMDFGSVFLVSKDGDEASSAPSTVTNQHVGVNVAELLVARGLATVIRHRDFEERSNYYDALLSAESRSVAGKKGMHSPKEPPVMHITDLTTASVKKARDFLPFLQRNRRMAAIVEYVLSGHRFKLYIPKETCSIAFSFSGVRCPGREEPYSEEAIALMRRKIMQRDVEVEVENVDRTGTFLGSLWESRTNAAVALLGAGLARLQMSFGSDKIPDAHLLAQAEQLAKRQKLKIWENYIEGEEVSTGPVVERRQKEELKVAVTEVLGGGKFYVQSVADQKVASIQKQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESLNDKFEVFYIDYGNQEVVPYSQLRPADASVSSASGLAQLCSLAFIKVPGLEDDYGQDAAIHLSELVLNAPKEFKAVIEEKDTSGGKVKGQGTGTVFMVTLVDPEADVSINAMLLKDGLARLEKRRRWIPKESLEELEKAQKEAREKRRGMWEYGDVDSDDEDSAAPPARKAASKR >itb09g23850.t1 pep chromosome:ASM357664v1:9:23408661:23410078:1 gene:itb09g23850 transcript:itb09g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELWQSAFEKEKARKAAISSPVVGVETTSSENNEPSLDGEPGNDHHNRKAKELREEQSEESGSESRKKPRLSWNPEMHQRFVEAVNKLGYDKAVPKKIVEFMNEPGLTREHVASHLQGSMDELSAIGKRKNGIIFVLTNIKGTEIIHQAIEEELNLRVYLLEQLGDEVLADIIVTEDQ >itb02g03550.t1 pep chromosome:ASM357664v1:2:2056935:2059723:1 gene:itb02g03550 transcript:itb02g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFASSFLLIFLLLCVVSVRVSVSLEKQETFIVHVMKSEKPIVFSSHHHWYSSIISTLSNHSSSSSSELLYTYDRVAHGFSARLTPSQASELRKVPGVISVLPDQILQPQTTQSPKFLGLTESNGLWPDSNFADDVIVGVLDTGIWPEHRSFSDAGLSPVPSRWKGACEVGPDFPATSCNRKLIGARAFYKAYQAFVGRAMDKSAEVLSPRDTSGHGTICTSIAAGASVPNASFLGYALGEARGMATKARIAAYKVCWSSGCSGADSLAAMDQAVADGVDVISISLARPFAVPYFQDNIAIGAFGAVQQGVLVSCGAGNSGPDTNTVDNVAPWILTVGASTIDREVPADVVLGDRRVFTGAALSFGAPLGQNKLPVVYGGDAGSMICNIGQLSPSIVRGKIVFCESLSKDDIHAVSKGFAVQQAGGAGVIIANQPNYGDQLYAQPHQIPASLVSVNDGNQIRAYIKSNPWPTATISFRGTVIGGASSPSAPRVAALSSRGPNTITPEIPKPDLIAPGVNILGAWTGARSPVPQLPSARLEFNIDSGTSLACPHVSGLAALLRKAHPNWSPSAIKSALMTTAYTVDNSGGNLIDLSTGTQSVPFFHGSGHVDPNRAMDPGLIYDLDVSDYVDFLCTIGYDSRLISLFTRDSSPVDCRTRSLGNPGSLNYPSFSVIFSDFNEITYQRTVKNVGSDKNAVYEVKVNISWGIEVSVSPTKLVFSENNDTLSYEVTFRMLRLSGFEAYGSIVWEDGVHVVSSPITVIWEPNSLLSS >itb12g05300.t3 pep chromosome:ASM357664v1:12:3550153:3553048:1 gene:itb12g05300 transcript:itb12g05300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYATTHSSSEPDDIFAFVNQILLRSSSHPQVLQETQPYAAVDASSSAAAFLPSLGYLPVNAGVDNVRSSSVGTAENEPDQYDCESEEGSEAVVEEASGERARPNRNSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTIRNGLSLYPMCINGVLNQNQYPIAAMGAYGRNRSPNPNMAGAVHMNQDAFLNAMFTPSNNGPNPKLTSVATFSNIVSSEASADMESAMQPQLHPFQPPISFKVTSITLVQSHFTAI >itb12g05300.t2 pep chromosome:ASM357664v1:12:3550153:3553048:1 gene:itb12g05300 transcript:itb12g05300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYATTHSSSEPDDIFAFVNQILLRSSSHPQVLQETQPYAAVDASSSAAAFLPSLGYLPVNAGVDNVRSSSVGTAENEPDQYDCESEEGSEAVVEEASGERARPNRNSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTIRNGLSLYPMCINGVLNQNQYPIAAMGAYGRNRSPNPNMAGAVHMNQDAFLNAMFTPSNNGPNPKLTSVATFSNIVSSEASADMESAMQPQLHPFQPPISFKENCRAGILSPEQMDGCHSRTKPIGENATPSNPFVTELSGLRNDTFESCYLRRNQSDAAASYPANVDCSLVIPPQSYSAKAGTSASVDSIKTESSNF >itb12g05300.t1 pep chromosome:ASM357664v1:12:3550153:3553048:1 gene:itb12g05300 transcript:itb12g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYATTHSSSEPDDIFAFVNQILLRSSSHPQVLQETQPYAAVDASSSAAAFLPSLGYLPVNAGVDNVRSSSVGTAENEPDQYDCESEEGSEAVVEEASGERARPNRNSKRSRAAEFHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTIRNGLSLYPMCINGVLNQNQYPIAAMGAYGRNRSPNPNMAGAVHMNQDAFLNAMFTPSNNGPNPKLTSVATFSNIVSSEASADMESAMQPQLHPFQPPISFKGRDFVS >itb04g33360.t1 pep chromosome:ASM357664v1:4:35631477:35635377:1 gene:itb04g33360 transcript:itb04g33360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSETVNRVEARSYNLPGSAVYADPWWTAAGCNPINPRSKRASVSDSSSLEQSVDDQSQSDGDDDTAKKSQNSAPSDQDGNYGQVDQSLQHAKSIMSARTDESLIQPPQLELVGHSIACAPNLYTDPYFMGMMASYGQPLVPPQFLDLQQARMPLPLEMTQEPVYVNAKQYHGILRRRESRAKAESQKKLIKARKPYLHESRHQHALRRARSTGGRFAKKSETAASKETGSGAAADPQLPSINGGSSYVGGSSGGRGSVSCN >itb01g05990.t1 pep chromosome:ASM357664v1:1:4191309:4193910:1 gene:itb01g05990 transcript:itb01g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISPLLANSAIICVLLVLFASHRDAAAATGYNCYKSIINFGDSLADTGNFIRMSNPENKGATPPYGETFFHRPTGRFSDGRLIIDFIARSLGLPFLRPYLSAENGEEESNFEEGVNFAVAGATALHISFFQERGIVNDMTNTSLGTQLDWFQKSFCNSIHSADCKEKLQSSLVIMGEIGGNDYNYAFFQGFPREEISSFVPKVISTISSAITKLIEFGARSVVVPGNLPIGCIPAYLSHFINSNQSDYDSSTGCINWLNEFSMSHNEQLERELSRLRELHPNTTIIYADYYNAAMELYSSPTKYGFKNTLSACCGAGGPYNYDADAECGLESRVCDEPSSFVSWDGIHSTEAAYEVIAQGLLHGPYTSPHVNGLCILEGSRFQISDH >itb15g06290.t1 pep chromosome:ASM357664v1:15:4116751:4118084:1 gene:itb15g06290 transcript:itb15g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAASMVVMSMVVAAAMVAGLHAQSISCSTVGTDLYPCLDYVENGGAVPMDCCEGIRSLYKSAATTADRQMVCNCMKNAASSVPGLNLDLAAGLPQKCGVSIPYQISPSVNCATVQ >itb14g13400.t3 pep chromosome:ASM357664v1:14:15375297:15378785:-1 gene:itb14g13400 transcript:itb14g13400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSKATLLSITNLRILTKVCRPSSVSSDSLTPLGSFLRNLSIAAEKINFCNDSNDFDRENYVQTRSNWRFQQNPDGFYNENVNATAQSGSVSSGYVEYEHGNEFKQNPIGQNENSSSYNWGNSGNVWEGSGGFNAESSRALQGTGPHTVNNRELAYMQQQQQQQQHYTVHGKSEIGSSGNAIGYNGNVNKGFEHNLSGYFNGNQRQPQNVGSYQLGDHPNVPMYQTTSALNMQYGQDNYYARNTGGYHQHTSGTNYLNAGNPQWNSNELQQNSKVEPQVPRDEGDGSIKGRTEELDHFCKEGKIEEAVKVLGLLEQQHVAVDLPRYLSLMMLCAENKVLEEAKSVHKHLMRSGIHLEVKTYNKILEMYGKCGSMDDAFAVFNEMQKRNLTSWDHMITWFGRNGQGEDAIELFSQFKELGWKPDAKIFLGVFSACGEVGDIIEGMLHFESMSKDYGIVPSLEHYASVVNMMGSAGYLDEAIEFIERMPIEPNVDVWKTMMSFCRIHGNSELGDRCTDIVEILEPSCLNEQSRAGLIPVIASDLVKEKKIQKSASQSLLEARSRVHEYRAGDRSCPDHEKLYGLLGGLKQQMKEAGYIPEVKFVLHDIDLEGKEEAILAHSEKLAVARGLIDSPARSSIRIIKNLRICGDCHSAMKIISKLVGRELIIRDAKRFHHFRDGLCSCRDYW >itb14g13400.t2 pep chromosome:ASM357664v1:14:15375297:15379704:-1 gene:itb14g13400 transcript:itb14g13400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSKATLLSITNLRILTKVCRPSSVSSDSLTPLGSFLRNLSIAAEKINFCNDSNDFDRENYVQTRSNWRFQQNPDGFYNENVNATAQSGSVSSGYVEYEHGNEFKQNPIGQNENSSSYNWGNSGNVWEGSGGFNAESSRALQGTGPHTVNNRELAYMQQQQQQQQHYTVHGKSEIGSSGNAIGYNGNVNKGFEHNLSGYFNGNQRQPQNVGSYQLGDHPNVPMYQTTSALNMQYGQDNYYARNTGGYHQHTSGTNYLNAGNPQWNSNELQQNSKVEPQVPRDEGDGSIKGRTEELDHFCKEGKIEEAVKVLGLLEQQHVAVDLPRYLSLMMLCAENKVLEEAKSVHKHLMRSGIHLEVKTYNKILEMYGKCGSMDDAFAVFNEMQKRNLTSWDHMITWFGRNGQGEDAIELFSQFKELGWKPDAKIFLGVFSACGEVGDIIEGMLHFESMSKDYGIVPSLEHYASVVNMMGSAGYLDEAIEFIERMPIEPNVDVWKTMMSFCRIHGNSELGDRCTDIVEILEPSCLNEQSRAGLIPVIASDLVKEKKIQKSASQSLLEARSRVHEYRAGDRSCPDHEKLYGLLGGLKQQMKEAGYIPEVKFVLHDIDLEGKEEAILAHSEKLAVARGLIDSPARSSIRIIKNLRICGDCHSAMKIISKLVGRELIIRDAKRFHHFRDGLCSCRDYW >itb14g13400.t1 pep chromosome:ASM357664v1:14:15375297:15379704:-1 gene:itb14g13400 transcript:itb14g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSKATLLSITNLRILTKVCRPSSVSSDSLTPLGSFLRNLSIAAEKINFCNDSNDFDRENYVQTRSNWRFQQNPDGFYNENVNATAQSGSVSSGYVEYEHGNEFKQNPIGQNENSSSYNWGNSGNVWEGSGGFNAESSRALQGTGPHTVNNRELAYMQQQQQQQQHYTVHGKSEIGSSGNAIGYNGNVNKGFEHNLSGYFNGNQRQPQNVGSYQLGDHPNVPMYQTTSALNMQYGQDNYYARNTGGYHQHTSGTNYLNAGNPQWNSNELQQNSKVEPQVPRDEGDGSIKGRTEELDHFCKEGKIEEAVKVLGLLEQQHVAVDLPRYLSLMMLCAENKVLEEAKSVHKHLMRSGIHLEVKTYNKILEMYGKCGSMDDAFAVFNEMQKRNLTSWDHMITWFGRNGQGEDAIELFSQFKELGWKPDAKIFLGVFSACGEVGDIIEGMLHFESMSKDYGIVPSLEHYASVVNMMGSAGYLDEAIEFIERMPIEPNVDVWKTMMSFCRIHGNSELGDRCTDIVEILEPSCLNEQSRAGLIPVIASDLVKEKKIQKSASQSLLEARSRVHEYRAGDRSCPDHEKLYGLLGGLKQQMKEAGYIPEVKFVLHDIDLEGKEEAILAHSEKLAVARGLIDSPARSSIRIIKNLRICGDCHSAMKIISKLVGRELIIRDAKRFHHFRDGLCSCRDYW >itb04g25220.t1 pep chromosome:ASM357664v1:4:29846681:29847168:-1 gene:itb04g25220 transcript:itb04g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISACSPTSTVARAALVPKAPAPRPSAVLGLPAMSSMGKMRCSMEGKAASESKLGMGASMMAAAAAAAMSSPAAMALVDERMSTEGTGLPFGLSNNLLGWILLGVFGLIWSLYIVYTSGLDEDEESGLSL >itb04g25220.t2 pep chromosome:ASM357664v1:4:29846681:29847168:-1 gene:itb04g25220 transcript:itb04g25220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISACSPTSTVARAALVPKAPAPRPSAVLGTSCAYERLPAMSSMGKMRCSMEGKAASESKLGMGASMMAAAAAAAMSSPAAMALVDERMSTEGTGLPFGLSNNLLGWILLGVFGLIWSLYIVYTSGLDEDEESGLSL >itb08g08180.t1 pep chromosome:ASM357664v1:8:7080773:7083758:-1 gene:itb08g08180 transcript:itb08g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >itb03g11810.t1 pep chromosome:ASM357664v1:3:10387155:10390382:1 gene:itb03g11810 transcript:itb03g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSTCKIPKDSASVATVSCFLIHRGLRKISHLTVVSLSDHSFSSLNSCSAILGEGLKERSWKKAVNCHGNWPVFCSEERERSKGRDPVWVVTKKSLGRKKAFWRKIMCGSNKLRSVILLNVITVVYASNICVVKEVETLMDPAAFSAMRFLICAIPFLPFVFQARNDVETRNAGMELGLWISLGYLIEALGLLTCDAGRASFISLFTVIMVPLFESLLGAIIPARTWFGVFMSVVGIAMLECSGSPPNLGDLLNFLSAIFFGIHTLRTEQITRSTKKESMLPLLGYEVCVIAVMSVIWYAVGGNFDGFQECDIGGGWSWSMFCEWMVAFPWIPTLYTGVFSTGLCLWAEIAAMRDVSATETTVIYGLEPLWGAAFAWFLLGERWGLAGWIGAALVLGGSLTVQILGSYSETTKGSDKKGDLLIASQHKTLPNSNSLSASPVVISTDHNLVDRLNK >itb01g02660.t1 pep chromosome:ASM357664v1:1:1728004:1732957:-1 gene:itb01g02660 transcript:itb01g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSERTGEKVFPNGDVYIGMFKGVLPHGKGKYTSSDGTTYDGDWEEGKMTGKGRIIWSSGATYDGDCFGGYLHGLGTFSSSDGSVYKGHWKMNIHHGVGRKEYQNSDVYDGCWKEGVRDGHGRYAWSNGNMYTGIWKKGNMSGRGVMKWFNGDLFDGFWVDGLRHGSGCYRFADGSYYFGTWSNGLKDGRGTLYPVGCALDKCKIDGHKEIRRILSHDSSISKEDAVKHKVKRSISEKVADRFARDSGRISHRAVSVAGDAALHCSSTEVLPEDASCMLSHTTCDGKTEQLGNITVAYEREYMQGVLIRERIKSVDGLSHQSTQRRKFPAKEVRKGSCMDLFKGRKSYYLMLNLQLGIRYSVGKITPIPMREVRQSDFGDQARIKMYFPRKGSRLTPPHHSIDFYWKDYCPIVFRCQYAHPPEPREFHGVYLMYTKELSSELHMHHRYDLKGSSLGRFTDKDKIEEGTTLKDLDLMYEFRMDKLLHETLIKAPEHLTALLEPPDSVCKPKSTPVSSDGGISHREISILPRSLTLVTHEPSIVSTAPGPHIRGKTLRANSVGDREVDLLLPGTGRLRVQLGVNMPAQANRKVKQDETDPSEVELFEVYDVVLYLGIIDILQAYNMRKKLEHKYKSLRFDPMSISVIEPKLYSKRFISFLERVFRA >itb12g17910.t1 pep chromosome:ASM357664v1:12:20158134:20162369:-1 gene:itb12g17910 transcript:itb12g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDETALPPRSREFLTPLPQSDREFLMMDLDREFSWTFDQIFAAAASNPAPPFLFSASEQPCSPLWVFSDDNDDAPAGNAARLSDYSRLISYAGDLDAITEGVSGKDEKRRLPPPVLGSTAKDYLDISCKIKEKITQALRYFKESTGEHVLAQVWAPVKTGGRYVLTTLGQPFVLHPNSNGLHQYRMVSLKYMFSVDGETDGDLGLPGRVFRQKLPEWTPNVQYYSSKEFPRLSHALDYNVRGTLALPIFEPSGHSCVGVLELIMTSQKINYAPEVDKVCKALEAVNLRSSEILDHPGMQICNEGRQTALVEILEILTVVCENYKLPLAQTWVPCRHRSVLADGGGLKKSCSSFDGSCMGQVCMSTTDLAFYVVDARMWYFREACVEHHLQKGQGVAGRAFASQRSCFCEDITQFCKTEYPLVHYARMFGLTSCFAICLRSTDTGNDDYILEFFLPPRAGNFSDHHTLLSSLLLTMKQHFRSLRVASGDDIEHELGSIEIIKTKMEEKLDLSPESVSLSKSTFTPQPTKITDAQFCPDTVGDQKLTSGLNATNDGADRREPSDTQNHASLAGKKDVGKKSVRKRGKAEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVHGAEGAFTLTNLATNSIPVAVGSISWPATVNDSNQHTSPCSRPSESPKEKNAFFNHNAPGYQDDTEPSNQILRGQVLGNELAPQQNGFAVGEGSNRSRTGSGSGEESAGTPTSHFSCQGSPCRGNEPSPPNELILSPIHCPDTKIGSNTELTCQPVRAINLLAANSLPDTLIAEQPQEAFGGMLIEDAGSSHNLRNLCSAGETPFDERMPECSWTHPPCSDALPKGCLAVRPERVPQYPARPELTSITIKATYREDIIRFRLPLSSGIIKLTEEVSKRLKLEVGTFDIKYLDDDHEWVLIACDADLQECIDISRSSGSTIIRLLVHDIMPHLGSSCESSGG >itb11g15280.t1 pep chromosome:ASM357664v1:11:12811841:12817501:1 gene:itb11g15280 transcript:itb11g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEKEKPESGTTEIEPSVEKDKGEIGMKPWEQHSAVISIPRFDYNAPSSILRHSHCGFLVTCPIKREKSATKEAICILEKYIPNAAAKKRKVCPGAANGEYPDNSENKDSGCKNEISESDTCVEKNHELSLVKLTRSGLLLFTFPCGKSPPIVNILFDIVHSLESKSLNMPRWCHRIFPIQGTCVLDEKELRTLVSKLVSEFMIDNQNKLGQPVKFAVGYNRRGIEETEMKKVRKNENDPLSTLLDRDKCFSVVAAAIKDIVPNSVVDLKHPEVSVLIELLPLSGIPDGSAVVGVSVLPKALVSTKPRLSVKALVADIKAKGGKRSQ >itb10g21350.t1 pep chromosome:ASM357664v1:10:26609478:26609849:-1 gene:itb10g21350 transcript:itb10g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKLIEISTFLFALTILLLLASPSNQESLPDAYNPPRRGAYTCDKYPRVCRGGHPDCCKKQCVNVMSDRVNCGKCGKKCKFSEICCHGDCVNPNVDRRHCGNCNNRCKKGDSCVYGICSYA >itb06g09870.t1 pep chromosome:ASM357664v1:6:14047419:14052847:-1 gene:itb06g09870 transcript:itb06g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDNKSTENGVHCEGEFHEQLPSAGEDVFAEKSDGMPNGTSATEELGCLKSTENLNDDEAVDSFVEEVKTESIVPPESYPATGSKDPEVSGSGDPKSGKVEKALGKPKNGKPLSFKHAVAAGSKKGKSGKDGSSSSVVSSNGTVSLESRAKQPSALRAKSFDERKTAESNSKPVKVNHAKQSGCSDVTSPQSAAQLEGVKEKPTLKPLKKGPPSKAEVTQSPLSPTTEDAKSCRVGTLPTYSFSFKCNERAEKRKEFYTKLEEKIHAKEMEKNNLQAKTKETQEAEIKMLRKSLAFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSPTGEESNDHGTHHRLSLDEKVSQDEPAKVYSLVSVKTPQRKSLPKLPSEKTNLSGEMRKVPARKSKETTEIASRPNNAARIISEATSKETADVGKQESVTTVKTNDTEAKVNDKFVIEDQGQLTMVQESITVDH >itb06g09870.t2 pep chromosome:ASM357664v1:6:14047419:14052847:-1 gene:itb06g09870 transcript:itb06g09870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDNKSTENGVHCEGEFHEQLPSAGEDVFAEKSDGMPNGTSATEELGCLKSTENLNDDEAVDSFVEEVKTESIVPPESYPATGSKDPEVSGSGDPKSGKVEKALGKPKNGKPLSFKHAVAAGSKKGKSGKDGSSSSVVSSNGTVSLESRAKQPSALRAKSFDERKTAESNSKPVKVNHAKSGCSDVTSPQSAAQLEGVKEKPTLKPLKKGPPSKAEVTQSPLSPTTEDAKSCRVGTLPTYSFSFKCNERAEKRKEFYTKLEEKIHAKEMEKNNLQAKTKETQEAEIKMLRKSLAFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRRKSSPTGEESNDHGTHHRLSLDEKVSQDEPAKVYSLVSVKTPQRKSLPKLPSEKTNLSGEMRKVPARKSKETTEIASRPNNAARIISEATSKETADVGKQESVTTVKTNDTEAKVNDKFVIEDQGQLTMVQESITVDH >itb15g14020.t1 pep chromosome:ASM357664v1:15:12164830:12165569:-1 gene:itb15g14020 transcript:itb15g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELGLENNEALLQKGEKCYYEKCPGCKVEQQKELQTQIPLKMLITIWSIVLCTSLPITSLFPFIYFMIRDFQIAETEEDIGNYAGYVGSAFMLGRALTSVFWGRMADRFGRKPVIIFGTTTVYNR >itb13g19140.t1 pep chromosome:ASM357664v1:13:26156477:26160058:-1 gene:itb13g19140 transcript:itb13g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEVEAVDFEPEEDDLMDEDVDASSSPRAPIPRIKSAIGDSSSAPRKTKGRGFREDAAEADRNARMSARFDSLDSDGGPGPERSIEGWIVLVTGVHEEAQEDDIHNSFGEFGDIKNLHLNLDRRTGFVKGYALVEYENFEEAQKAINEMDGTELLTQTIHVDWAFSKGSFKRRNARRSPRGHRSRSPRRRY >itb11g16890.t2 pep chromosome:ASM357664v1:11:15493742:15498394:-1 gene:itb11g16890 transcript:itb11g16890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQCYPPRTPISPFFSNKALCSSSSSLSCVRPRAICRGVDISCESMEEKKPKANPYPGRMGPHTGRDPNVKKPGWLRQKAPQGEKYREVKETLSGLKLHTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSGNPAPPDPLEPLHTAQAVASWGVDYIVLTSVDRDDLPDGGSGHFAETVRTLKILKPDIMVECLTSDFRGDLDAVSTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKLFKEGVVTKTSIMLGLGETDDEIKETMTDLRAIDVDIMTFGQYLQPTPLHLTVKEFVTPEKFAFWKEYGESIGFRYVASGPLVRLHTLSLLE >itb11g16890.t1 pep chromosome:ASM357664v1:11:15493187:15498420:-1 gene:itb11g16890 transcript:itb11g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQCYPPRTPISPFFSNKALCSSSSSLSCVRPRAICRGVDISCESMEEKKPKANPYPGRMGPHTGRDPNVKKPGWLRQKAPQGEKYREVKETLSGLKLHTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSGNPAPPDPLEPLHTAQAVASWGVDYIVLTSVDRDDLPDGGSGHFAETVRTLKILKPDIMVECLTSDFRGDLDAVSTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKLFKEGVVTKTSIMLGLGETDDEIKETMTDLRAIDVDIMTFGQYLQPTPLHLTVKEFVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELYVQNLVKERTKQRSAELK >itb11g16890.t3 pep chromosome:ASM357664v1:11:15495021:15498420:-1 gene:itb11g16890 transcript:itb11g16890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQCYPPRTPISPFFSNKALCSSSSSLSCVRPRAICRGVDISCESMEEKKPKANPYPGRMGPHTGRDPNVKKPGWLRQKAPQGEKYREVKETLSGLKLHTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSGNPAPPDPLEPLHTAQAVASWGVDYIVLTSVDRDDLPDGGSGHFAETVRTLKILKPDIMVECLTSDFRGDLDAVSTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKLFKEGVVTKTSIMLGLGETDDEIKETMTDLRAIDVDIMTFGQYLQVSIIAIWLGSTLGCVACWIALDNLGTYVEKEQLVFIFLFQCNSKS >itb12g04440.t1 pep chromosome:ASM357664v1:12:2908109:2909780:1 gene:itb12g04440 transcript:itb12g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDVCVGNKSRAPRTASDDGDFVSPPLVCMRGNQVEPKWQAPYYYLTKEEKTCYPTMKIRAQCKPLVKPMQRFNARQSAAVGEIGLDQILHLRVHDIPLRMARWLLENFDPKNMTLRVENGVVIPIEEEDVQVTFGLPRGDGVITKRLKDVVSDTLCEWRSLFLKTKHDVRLTVIGDAMVSRLEGDMWFKRHFDVLVVSTLFSVMGNGYANQRVFQHFEDVDKIKDLNWCKFLLDCLVEAHAKWIQKKDRAFTGPLVFLTVRIFVCFSALSVVFSIKLIGGYVKVFYVDRVAHLAREIPRMVPSICGWTSKLLLEREFSEIRAGGFGRGMVEVRLGHDFGVHQAGGVPANEDTDKPFEEQFVEKTVTLATALEDAVHFLE >itb03g25960.t1 pep chromosome:ASM357664v1:3:25367601:25367900:1 gene:itb03g25960 transcript:itb03g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLTRPNMAIFCASRFRFSSSILRFSVPVTTKLLAVRTLASEKLLPPIGAMAAPSLLRRRTFCTRATSDQNGFRQIDSPLMQSMENKVQSINSLIP >itb05g15410.t1 pep chromosome:ASM357664v1:5:22785067:22785381:1 gene:itb05g15410 transcript:itb05g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKANESSSLIVSLCVVVVLVMSGGGMGVADAVCSLTELEVCLPAMLFGQSPSRECCNKLNEQTPCFCEYMRNPSLRPYVDSPNATKIAAACGVAIPTCSIN >itb15g06490.t1 pep chromosome:ASM357664v1:15:4319127:4320557:-1 gene:itb15g06490 transcript:itb15g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTIPSSIHFNVAFTLSCLLFTLLPGMSSAQLSATFYSKSCPNALSIIQSAVKSAVRSEARMGASLLRLHFHDCFVNGCDASILLDDTTNFTGEQTARPNNKSIRGLNVIDNIKAQLEKSCPGIVSCADVVAVAARDSVVALGGPSWNVLLGRRDSTTASLSAANNKRDSTTASLSAANNNIDSTTASLSAANNNIPGPNFTLSQLKSSFSNQGFSEREMVALSGGHTIGKARCTTFSGGHTIGKARCTTFRSRIYNDNNINAAFAKSLQANCPQSGGDNNVASLDTSPTSFDNVYFKDLQNQKGLLHSDQELFNGGSTDSVVNSYSSNPSTFSADFANAMLKMSNLNPLTGTSGQIRKNCGKTN >itb03g08670.t1 pep chromosome:ASM357664v1:3:6511343:6514450:1 gene:itb03g08670 transcript:itb03g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQRSFTNSGGDIEPEGAVPTHFSAESGDESDGFCGHAELKVKSLIYRMIWDFGLGCLIPPSRRRSSGKGKGGENSEHNKAWLLAQSGGCGRSELTNAEPQSVHSSFRLSLCSQVELESMNVSSCSPATVLMVNLENGLTDPGSRELKWRRIESLERSISPVTHSLVRFSYDEIVAATSNFSKGRVLGRGALSYVFRGRIGFLKAAVAIKRLDMEDKETPKAFCRELMIASSLHNPYIVPLVGFCIDPQEGLFLVYKYVSGGNLDCYLHEKKRGVRGGTALPWSVRYKVAVGIAEAIGYLHNGTERCVVHRDIKPSNILLSSRKTPKLCDFGLATWTPAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELLTGRKPIESKRGPGEENLVLWAKPLLQQGFLEKLLDPRLRFPSKNLHKIAWMVQAAAACINNEESQRPNIDVIIAILRGIEADYLSRKKANNGCVFECYPQLQQQSKSEMKSHLALAMVGVTEFEDEDFYCR >itb15g08720.t2 pep chromosome:ASM357664v1:15:6157733:6162603:1 gene:itb15g08720 transcript:itb15g08720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMASLKASQTENISTPERLQTSQSSLISKVKVIVRVRPFLPQEILARNGNSVSCASALHSDSETSDEVTVHLKDFETSRKEFYKLDGFYGHDDNNVGQIFHKEVSHLIPGVFRGYNATVFAYGATGSGKTYTMQGSAEIPGLMPLAMSSILSICQSTGSTVAISYYEIYMDKCYDLLELKNKEVAILDDKDGQIHLKGLAQVGVISMSGFHEIFSAAVQRRKVAQTSLNDLSSRSHGVLVISVSTPCHETSGNVITGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNQLRVPYRESKLTRVLQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHISNFLSSAKKENTPKVKVDMEAKLRAWLESKGKTKSAQRPRVVSSPFTSKTPISLCSVKKVNMCPSSTKAKLFSNQGASGLKQRAPDVPFRSLFGSRHDFISVLEALDHVNGTNLETKPEVSEERDRESNNYNQVVREEKNANVESVNPVRSSPAAKETNAFQSPPRKALSPIITNINIENVSEKEKRHIFLSDPDNSADNKFQVPGTPLDKFNTRSSNLKSSLAQEYIEFLNAANREELLEIRGIGQKLADYIIELREESPLKSLNDLEKLGLSSKQVQNLFGKAAKILFE >itb15g08720.t1 pep chromosome:ASM357664v1:15:6157733:6162603:1 gene:itb15g08720 transcript:itb15g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMASLKASQTENISTPERLQTSQSSLISKVKVIVRVRPFLPQEILARNGNSVSCASALHSDSETSDEVTVHLKDFETSRKEFYKLDGFYGHDDNNVGQIFHKEVSHLIPGVFRGYNATVFAYGATGSGKTYTMQGSAEIPGLMPLAMSSILSICQSTGSTVAISYYEIYMDKCYDLLELKNKEVAILDDKDGQIHLKGLAQVGVISMSGFHEIFSAAVQRRKVAQTSLNDLSSRSHGVLVISVSTPCHETSGNVITGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNQLRVPYRESKLTRVLQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHISNFLSSAKKENTPKVKVDMEAKLRAWLESKGKTKSAQRPRVVSSPFTSKTPISLCSVKKVNMCPSSTKAKLFSNQGASGLKQRAPDVPFRSLFGSRHDFISVLEALDHVNGTNLETKPEVSEERDRESNNYNQVVREEKNANVESVNPVRSSPAAKETNAFQSPPRKALSPIITNINIENVSEKEKRHIFLSDPDNSADNKFQVPGTPLDKFNTRSSNLKSSLAQEYIEFLNAANREELLEIRGIGQKLADYIIELREESPLKSLNDLEKLGLSSKQVQNLFGKAAKILFE >itb15g08720.t3 pep chromosome:ASM357664v1:15:6157745:6162601:1 gene:itb15g08720 transcript:itb15g08720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMASLKASQTENISTPERLQTSQSSLISKVKVIVRVRPFLPQEILARNGNSVSCASALHSDSETSDEVTVHLKDFETSRKEFYKLDGFYGHDDNNVGQIFHKEVSHLIPGVFRGYNATVFAYGATGSGKTYTMQGSAEIPGLMPLAMSSILSICQSTGSTVAISYYEIYMDKCYDLLELKNKEVAILDDKDGQIHLKGLAQVGVISMSGFHEIFSAAVQRRKVAQTSLNDLSSRSHGVLVISVSTPCHETSGNVITGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNQLRVPYRESKLTRVLQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHISNFLSSAKKENTPKVKVDMEAKLRAWLESKGKTKSAQRPRVVSSPFTSKTPISLCSVKKVNMCPSSTKAKLFSNQGASGLKQRAPDVPFRSLFGSRHDFISVLEALDHVNGTNLETKPEVSEERDRESNNYNQVVREEKNANVESVNPVRSSPAAKETNAFQSPPRKALSPIITNINIENVSEKEKRHIFLSDPDNSADNKFQVPGTPLDKFNTRSSNLKSSLAQEYIEFLNAANREELLEIRGIGQKLADYIIELREESPLKSLNDLEKLGLSSKQVQNLFGKAAKILFE >itb12g09600.t1 pep chromosome:ASM357664v1:12:7544907:7547302:1 gene:itb12g09600 transcript:itb12g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVGGKTPYNGSDLREWLNTSPPAHIYVLGFQEIVPLNAGNVLGAEDSGPAAKWLSLIRETLNNGTTDSNASPPPPIQQRVSFSDLISIEDEEEDFERFVSTNSVCFSSEQVSPSPNCTKQNQYCLVASKQMVGLFLCIWVLADLHQRVQNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLASGEKEGDEVRRNSDVMEILRRTRFNHLSRMGRQSAPHPDKILDHDKIIWLGDLNYRLASGNEEDTFELLKKSDWQALLEKDQLKMEQKAGRVFKGWKEGRIYFPPTYKYLSNSEHYVVQTSAPSKQKQRTPAWAGAVEAFVMRHYPKIQCPVNRSSTVAADSKLEFFRLLR >itb01g03010.t2 pep chromosome:ASM357664v1:1:1960193:1964238:-1 gene:itb01g03010 transcript:itb01g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHALGATHFSTKPSSLSKKPPGTVFFGQRLNKAPFGFNLKKSACNRRNAPFRVVAEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDEESKQVSYNVIRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATSDGPKHIETTLTRAKFEELCSDLLDRLKTPVQNSLRDAKLSFSDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVNEAERFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLGELRDAISGGSTQAIKDAMAALNQEVMQLGQSLYNQPGASPGAGPTPGGGSAGPSESSGKGPDGDVIDADFTDSK >itb01g03010.t1 pep chromosome:ASM357664v1:1:1959528:1964244:-1 gene:itb01g03010 transcript:itb01g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHALGATHFSTKPSSLSKKPPGTVFFGQRLNKAPFGFNLKKSACNRRNAPFRVVAEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDEESKQVSYNVIRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATSDGPKHIETTLTRAKFEELCSDLLDRLKTPVQNSLRDAKLSFSDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVNEAERFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLGELRDAISGGSTQAIKDAMAALNQEVMQLGQSLYNQPGASPGAGPTPGGGSAGPSESSGKGPDGDVIDADFTDSK >itb01g30700.t2 pep chromosome:ASM357664v1:1:34621147:34623684:1 gene:itb01g30700 transcript:itb01g30700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVQTFGRRCNGGHREREVFFSFFISRSPYSTTAAEASTAFLPEYLAGTLGFSREESISAAAKVTCYTSTTKPALVVDYLKRMGLDLTQIRKCVSRYPKLLYFDVDKNLDPKLQCLQEVGLSGSDLADVIARGAQFLERGLDTNLKPNLAFLKLVFGNNEDVRKTIKNYPAMLRTSCQIMQDNVSYFQKLGFSNEKIKWYISRKPMALRFPLQWFEERVHMLEKDFGIPRESPMFFYGMYAIASASKLSMEKKFAVFRSFGWADSDIITMFQHQPFYFTLSEANLQKKLDYFMNKVGYTPDFLSTRSALTYSLEKRVLPRVYVLNTLKEKKLSVKNHCLYSIICFTEAKFEKLFLLPYKDAVPELYGSYKSRVGR >itb01g30700.t1 pep chromosome:ASM357664v1:1:34621147:34623684:1 gene:itb01g30700 transcript:itb01g30700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVQTFGRRCNGGHREREVFFSFFISRSPYSTTAAEASTAFLPEYLAGTLGFSREESISAAAKVTCYTSTTKPALVVDYLKRMGLDLTQIRKCVSRYPKLLYFDVDKNLDPKLQCLQEVGLSGSDLADVIARGAQFLERGLDTNLKPNLAFLKLVFGNNEDVRKTIKNYPAMLRTSCQIMQDNVSYFQKLGFSNEKIKWYISRKPMALRFPLQWFEERVHMLEKDFGIPRESPMFFYGMYAIASASKLSMEKKFAVFRSFGWADSDIITMFQHQPFYFTLSEANLQKKLDYFMNKVGYTPDFLSTRSALTYSLEKRVLPRVYVLNTLKEKKLSVKNHCLYSIICFTEAKFEKLFLLPYKDAVPELYGSYKSRVGR >itb01g30700.t3 pep chromosome:ASM357664v1:1:34621147:34623684:1 gene:itb01g30700 transcript:itb01g30700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVQTFGRRCNGGHREREVFFSFFISRSPYSTTAAEASTAFLPEYLAGTLGFSREESISAAAKVTCYTSTTKPALVVDYLKRMGLDLTQIRKCVSRYPKLLYFDVDKNLDPKLQCLQEVGLSGSDLADVIARGAQFLERGLDTNLKPNLAFLKLVFGNNEDVRKTIKNYPAMLRTSCQIMQDNVSYFQKLGFSNEKIKWYISRKPMALRFPLQWFEERVHMLEKDFGIPRESPMFFYGMYAIASASKLSMEKKFAVFRSFGWADSDIITMFQHQPFYFTLSEANLQKKLDYFMNKVGYTPDFLSTRSALTYSLEKRVLPRVYVLNTLKEKKLSVKNHCLYSIICFTEAKFEKLFLLPYKDAVPELYGSYKSRVGR >itb01g30700.t5 pep chromosome:ASM357664v1:1:34621147:34622917:1 gene:itb01g30700 transcript:itb01g30700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVQTFGRRCNGGHREREVFFSFFISRSPYSTTAAEASTAFLPEYLAGTLGFSREESISAAAKVTCYTSTTKPALVVDYLKRMGLDLTQIRKCVSRYPKLLYFDVDKNLDPKLQCLQEVGLSGSDLADVIARGAQFLERGLDTNLKPNLAFLKLVFGNNEDVRKTIKNYPAMLRTSCQIMQDNVSYFQKLGFSNEKIKWYISRKPMALRFPLQWFEERVHMLEKDFGIPRESPMFFYGMYAIASASKLSMEKKFAVFRSFGWADSDIITMFQHQPFYFTLSEANLQKKLDYFMNKVGYTPDFLSTRSALTYSLEKRVLPRVYVLNTLKEKKLSVKNHCLYSIICFTEAKFEKLFLLPYKDAVPELYGSYKSRVGR >itb01g30700.t4 pep chromosome:ASM357664v1:1:34621147:34623684:1 gene:itb01g30700 transcript:itb01g30700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVQTFGRRCNGGHREREVFFSFFISRSPYSTTAAEASTAFLPEYLAGTLGFSREESISAAAKVTCYTSTTKPALVVDYLKRMGLDLTQIRKCVSRYPKLLYFDVDKNLDPKLQCLQEVGLSGSDLADVIARGAQFLERGLDTNLKPNLAFLKLVFGNNEDVRKTIKNYPAMLRTSCQIMQDNVSYFQKLGFSNEKIKWYISRKPMALRFPLQWFEERVHMLEKDFGIPRESPMFFYGMYAIASASKLSMEKKFAVFRSFGWADSDIITMFQHQPFYFTLSEANLQKKLDYFMNKVGYTPDFLSTRSALTYSLEKRVLPRVYVLNTLKEKKLSVKNHCLYSIICFTEAKFEKLFLLPYKDAVPELYGSYKSRVGR >itb12g21130.t1 pep chromosome:ASM357664v1:12:23519481:23522243:-1 gene:itb12g21130 transcript:itb12g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALEGNSSGDHGIERPGFVHGMTCIPIYKSAEFSVGNRRGTVEEEEEDDERSSSTTSSIGRNSDDSPGGRSSSGGGDADGDGEEVQSSFKGGALDNLEALEEVLPIKRGISKFYAGKSKSFTSLSHAASCSSLNEIVKPENAYTRKRKNLLAYNNFFNKNRNQMHQSNIGGLYKRPTNSRSSLALAATQEGNFNSNPSPPCSFLPPLPPQTQSYSIESSSPPEQKFRGWRSFSLFDLQGAAAGAPNTSGAKE >itb03g14760.t1 pep chromosome:ASM357664v1:3:14342207:14344761:1 gene:itb03g14760 transcript:itb03g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPVSGFGSTLFRFLTTRTAPPIRLRNSQFRPPGNYVGASFSIMASAGADDRSAVQFQLTPSSLLKILKGDITQWSVDGSSDAIVNPANERMLGGGGADGAIHRAAGPELREACYKVPEVRPGVRCPTGEARITLGFKLLASHVIHTVGPIYDSHPDPKAALENSYRNSLQVAKENNIQYIAFPAISCGVYGYPFDEAANVAVSTVKEHGSDLKEVHFVLFSDEIFNAWLKAANKLLQN >itb01g30180.t1 pep chromosome:ASM357664v1:1:34259457:34260929:-1 gene:itb01g30180 transcript:itb01g30180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFFNQLSGRLPSELNNVSAKLILFQIGGNHFSGPLPENLCLGKSLTHFSVVYNNFSGKIPKGIKNCTTLQRVRLEYNKLSGDISEEFGIYPNLTYVDLSSNNFHGQLSSNWGLCSKLAALKISKNRISGKIPPELANASHLEFIDLSSNQLVGNIPKSFSTLHSLGVLKLDGNKLSGNITLGIGEMSLLTELNLAANRFIGFIPEGLGSCQRLSVLNLNQNRFEGRIPYDIGSLKFLQTLDLSRNMLTGKLPQQFGGLIYLQSLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGMLPNNKAFQNATFESLRNNKGLCSNIAGLKPCSSGSPKKKTEQGHNTKTLVLIIVIPIGFVGVVAMVVVIWLIPLRRFIKEMPRASRENLFTVLNFDGNIAYESIVEATDNFDSRYCIGVGRSGSVFRAELSNGEVFAVKKLNESIQGDESRDFKSFSNEIRTLSEVRHRNVVRLYGFCSHVRHSFLVYE >itb11g23200.t1 pep chromosome:ASM357664v1:11:25118163:25119410:1 gene:itb11g23200 transcript:itb11g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLSLNSSIIDEFPPNSFAQIKFSPNSLALICTPSSVSDEQGSSCGGVLPLVENRWSAVATTSHLSLSQSASQQLQRMAAVAWKDSLVFGNGVPPDGYGARVLLLAMVLSFSDQPTKTNFFPLSDGTATR >itb07g00170.t2 pep chromosome:ASM357664v1:7:123879:130002:-1 gene:itb07g00170 transcript:itb07g00170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MQTSCALLSSPSRGSFIPIPTKNLLRREHCSNISSVLTDDSSTMADVEKEIENIHILGLDQGLEPYKDHFRYRTKRYLDQKKLFENFEGGLEEFALGYLKFGFNKEEGCIVYQEWAPAAQEAEIIGDFNVWDGSSHKMEKNQFGVWSIKIPDCDGKPAIPHNSRVKFRFKHNSGVWIDRIPAWIKYATVDPTKFAAPYDGVYWDPPASERYDFKYPRPPQPKAPRIYEAHVGMSSLEPRVNSYREFADYVLPRIKENNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQGSQDSYFHTGDRGYHQVWDSRLFNYSNWEVLRFLLSNLRWWLEEYKFDGFRFDGVTSMLYHHHGINLTFTGDYNEYFSEKTDVDAVVYLMLANTLIHSIMPDATVIAEDVSGMPGLCRPVSEGGIGFDYRLAMGIPDKWIDYLKNKSYADWSMKEITWTLTNRRYTEKCVAYAESHDQAIVGDKTIAFLLMDREMYSGMSCLTEASPVVDHGIALVKMIHFLSMALGGEAYLNFMGNEFGHPDWIDFPRDGNNWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDEEFSFLASEKQIVSSIDEDNKVIVFERGDLVFVFNFHPENTYEGYKVGCDLPGKYQVALDSDAWVFGGHGRVGHDVDHFTSPEGIPGVAETNFNGRPNSFKVLSPPQACVAYYRVEESLDEVQDEQPATFTETISTNLVLVSDDDLQELPTENLQELPKVGNSDVDGLQDLPAMGNSDVDDLQELGTVANSDVGQSKVQDLEENPPDLDDESDD >itb07g00170.t3 pep chromosome:ASM357664v1:7:123844:128299:-1 gene:itb07g00170 transcript:itb07g00170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MEKNQFGVWSIKIPDCDGKPAIPHNSRVKFRFKHNSGVWIDRIPAWIKYATVDPTKFAAPYDGVYWDPPASERYDFKYPRPPQPKAPRIYEAHVGMSSLEPRVNSYREFADYVLPRIKENNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQGSQDSYFHTGDRGYHQVWDSRLFNYSNWEVLRFLLSNLRWWLEEYKFDGFRFDGVTSMLYHHHGINLTFTGDYNEYFSEKTDVDAVVYLMLANTLIHSIMPDATVIAEDVSGMPGLCRPVSEGGIGFDYRLAMGIPDKWIDYLKNKSYADWSMKEITWTLTNRRYTEKCVAYAESHDQAIVGDKTIAFLLMDREMYSGMSCLTEASPVVDHGIALVKMIHFLSMALGGEAYLNFMGNEFGHPDWIDFPRDGNNWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDEEFSFLASEKQIVSSIDEDNKVIVFERGDLVFVFNFHPENTYEGYKVGCDLPGKYQVALDSDAWVFGGHGRVGHDVDHFTSPEGIPGVAETNFNGRPNSFKVLSPPQACVAYYRVEESLDEVQDEQPATFTETISTNLVLVSDDDLQELPTENLQELPKVGNSDVDGLQDLPAMGNSDVDDLQELGTVANSDVGQSKVQDLEENPPDLDDESDD >itb07g00170.t1 pep chromosome:ASM357664v1:7:123759:130190:-1 gene:itb07g00170 transcript:itb07g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MQTSCALLSSPSRGSFIPIPTKNLLRAPRNKRSFASPCTTGAIFESQKLSRDVFSITASRLRNDRWREHCSNISSVLTDDSSTMADVEKEIENIHILGLDQGLEPYKDHFRYRTKRYLDQKKLFENFEGGLEEFALGYLKFGFNKEEGCIVYQEWAPAAQEAEIIGDFNVWDGSSHKMEKNQFGVWSIKIPDCDGKPAIPHNSRVKFRFKHNSGVWIDRIPAWIKYATVDPTKFAAPYDGVYWDPPASERYDFKYPRPPQPKAPRIYEAHVGMSSLEPRVNSYREFADYVLPRIKENNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQGSQDSYFHTGDRGYHQVWDSRLFNYSNWEVLRFLLSNLRWWLEEYKFDGFRFDGVTSMLYHHHGINLTFTGDYNEYFSEKTDVDAVVYLMLANTLIHSIMPDATVIAEDVSGMPGLCRPVSEGGIGFDYRLAMGIPDKWIDYLKNKSYADWSMKEITWTLTNRRYTEKCVAYAESHDQAIVGDKTIAFLLMDREMYSGMSCLTEASPVVDHGIALVKMIHFLSMALGGEAYLNFMGNEFGHPDWIDFPRDGNNWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDEEFSFLASEKQIVSSIDEDNKVIVFERGDLVFVFNFHPENTYEGYKVGCDLPGKYQVALDSDAWVFGGHGRVGHDVDHFTSPEGIPGVAETNFNGRPNSFKVLSPPQACVAYYRVEESLDEVQDEQPATFTETISTNLVLVSDDDLQELPTENLQELPKVGNSDVDGLQDLPAMGNSDVDDLQELGTVANSDVGQSKVQDLEENPPDLDDESDD >itb09g15810.t2 pep chromosome:ASM357664v1:9:11067993:11071214:1 gene:itb09g15810 transcript:itb09g15810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATSLHFLSLTPQSLSFSKPNATPAASLSLFSLPSSSRALKLSSSSPFTFSARHFDSTFGSRFVRGVALSSEFDQIEEVEDEDEGVPSDGGSSYPDEHGFSPDLKLFVGNLPYSIDSAALAGLFQQAGNVEMVEVIYDKQTGRSRGFGFVTMSTVEEVKLAAQQFNGYELDGRAMRVNSGPPPPRRENSTFSGARGGRSFDNANRGHKLHVGNLAWGVDDQALETLFSEQGKVMEARVVYDRDSGRSRGFGFVTYGSAEEANNAIKMLDCVNLIGREIRVSHAESRPPRRQF >itb09g15810.t1 pep chromosome:ASM357664v1:9:11067993:11071214:1 gene:itb09g15810 transcript:itb09g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATSLHFLSLTPQSLSFSKPNATPAASLSLFSLPSSSRALKLSSSSPFTFSARHFDSTFGSRFVRGVALSSEFDQIEEVEDEDEGVPSDGGSSYPDEHGFSPDLKLFVGNLPYSIDSAALAGLFQQAGNVEMVEVIYDKQTGRSRGFGFVTMSTVEEVKLAAQQFNGYELDGRAMRVNSGPPPPRRENSTFSGARGGRSFDNANRGHKLHVGNLAWGVDDQALETLFSEQGKVMEARVVYDRDSGRSRGFGFVTYGSAEEANNAIKMLDCVNLIGREIRVSHAESRPPRRQF >itb08g03590.t1 pep chromosome:ASM357664v1:8:2918767:2919907:1 gene:itb08g03590 transcript:itb08g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMRVRCDEWGSRNIAQSPSMLPPGDPAGKPEIFDHPKVSMADEIPRINHGDSRGRGGGGGRSGEWESGNIAPSPTMLRQEDGKSEIFNHPKVNMVDGKRRISGGGGGGGGGWGWGGGGGGGGWVEAEAGEEVVVVEEWVGQVDGDGVEGEGGGGHGVVGSKTITKRGGIITIIGIMGRMESTSLESLHNAWAMEDAKG >itb13g19610.t1 pep chromosome:ASM357664v1:13:26590569:26592873:-1 gene:itb13g19610 transcript:itb13g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSCASKLLTSTESLLPMSVSRGIHSTGMKRMGGGHAHGHDEPFYLHAKHMYNLDRMKHQKLKMTLGVLSAFSIGVAVPVWAVIFQQKKTASA >itb05g20830.t2 pep chromosome:ASM357664v1:5:26810681:26812535:-1 gene:itb05g20830 transcript:itb05g20830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFAHYMVTGGMKGKENPATSSPSRETYRKQLAEVLNMNRTRILAFKNKPPTPVEGIPSEFTSAACQAKPVKPRRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGRHIAVGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRIRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGSDRCIKFWNTHTGACVNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHVNRIR >itb05g20830.t1 pep chromosome:ASM357664v1:5:26810476:26813311:-1 gene:itb05g20830 transcript:itb05g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTESRLPLHEQYLQRKKSRENLDRFIPNRSAMDFDFAHYMVTGGMKGKENPATSSPSRETYRKQLAEVLNMNRTRILAFKNKPPTPVEGIPSEFTSAACQAKPVKPRRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGRHIAVGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRIRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGSDRCIKFWNTHTGACVNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHVNRIR >itb13g12200.t1 pep chromosome:ASM357664v1:13:18380713:18381498:-1 gene:itb13g12200 transcript:itb13g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMKFQDSQQKEASETRWPLLFRAKIPISIFNRPFLCGFSTTSLQPSDDDDFSLFLATNFSSGSSLKLAYTYNGSPTSPLTLTLKSGLGLKGSPINSPFIISANYSVYPHNPHYTPTLSFILKPQFGPFSLKAPSCSNPKGISVRARTEVPVSERFLINCRWGVNFPEDLGTGMPHLSLNKIEIEIEMVDVVKEKKEKRELDTLQRENREMKLKLEELKTGSSQGNPGGDIMEKKEVKKNGVESELQKPTNSNRAFFSP >itb06g25550.t1 pep chromosome:ASM357664v1:6:26534912:26537383:1 gene:itb06g25550 transcript:itb06g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSRSVYSRKQKSLGLLCSNFLSLYDREGVETIGLDDAAMRLGVERRRIYDIVNVLESVGVLARRAKNRYMWKGFAVVPNVLQELKEEGLKERIGASDRSLSLQVSDDDDDVHHSNPNASNQNDKSEATSVLRLAGPARTDNRKEKSLGLLTQNFIKLFLCTNMEMICLEDAAKILLGDGRPPSMTKNKVRRLYDIANVLSSMKFIEKTQHPETRKPAFRWLGLEGKSADMSEINLDCNQSKKRVFGNELTNTPIKRCKLVPLMDGDSAETKLQAQIKCESLDCEANRSNLDPGSRTSAKGYQFGPFAPVSVPKDEAHESGHRSKLLSDCESLASTYRPQYHNQALRELFHHYVEACSSWYSEVAGKNPVEVQS >itb09g28300.t2 pep chromosome:ASM357664v1:9:28931188:28934608:1 gene:itb09g28300 transcript:itb09g28300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLLRASTRRRFSLAIPPSLSLRRCSSRPSGFANGTFVEQLEDANAAPPPSAKISVDRSGLYNVSEHSHEPSSDSELVKHLKSIIKFRGGPISVAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMMGVWAMCLWEQMGQPSRVNLVELGPGRGTLVADLLRGTSKFKHFTGSLHVHMVECSPTLQKLQYQALKCQNKNDTGGNAAENIVSTIAGTPVSWHATLEQVPTGSPTIIIAHEFYDALPVHQFQRASHGWCEKMVDITENSMFRFVLSPHPTPSTLYLMKRCKWAENEEIAKLEQIEVCPKAMDLTRAIADRIGSDGGGALIIDYGLNGIVSDSLQVRLLHLLSLSLSLLNLLHLLAFNFRTY >itb09g28300.t4 pep chromosome:ASM357664v1:9:28931984:28934607:1 gene:itb09g28300 transcript:itb09g28300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVWAMCLWEQMGQPSRVNLVELGPGRGTLVADLLRGTSKFKHFTGSLHVHMVECSPTLQKLQYQALKCQNKNDTGGNAAENIVSTIAGTPVSWHATLEQVPTGSPTIIIAHEFYDALPVHQFQRASHGWCEKMVDITENSMFRFVLSPHPTPSTLYLMKRCKWAENEEIAKLEQIEVCPKAMDLTRAIADRIGSDGGGALIIDYGLNGIVSDSLQAIRKHKFVDILDNPGTADLSAYVDFPAIRHSAEEASENVCVHGPITQSQLLGSLGINFRVEALMENCTDEQAESLRTGYWRLVGDGEAPFWEGPEDQVPIGMGTRYLAMAIVNKNQGVPIPFQ >itb09g28300.t3 pep chromosome:ASM357664v1:9:28931690:28934607:1 gene:itb09g28300 transcript:itb09g28300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMMGVWAMCLWEQMGQPSRVNLVELGPGRGTLVADLLRGTSKFKHFTGSLHVHMVECSPTLQKLQYQALKCQNKNDTGGNAAENIVSTIAGTPVSWHATLEQVPTGSPTIIIAHEFYDALPVHQFQRASHGWCEKMVDITENSMFRFVLSPHPTPSTLYLMKRCKWAENEEIAKLEQIEVCPKAMDLTRAIADRIGSDGGGALIIDYGLNGIVSDSLQAIRKHKFVDILDNPGTADLSAYVDFPAIRHSAEEASENVCVHGPITQSQLLGSLGINFRVEALMENCTDEQAESLRTGYWRLVGDGEAPFWEGPEDQVPIGMGTRYLAMAIVNKNQGVPIPFQ >itb09g28300.t1 pep chromosome:ASM357664v1:9:28931180:28934608:1 gene:itb09g28300 transcript:itb09g28300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLLRASTRRRFSLAIPPSLSLRRCSSRPSGFANGTFVEQLEDANAAPPPSAKISVDRSGLYNVSEHSHEPSSDSELVKHLKSIIKFRGGPISVAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMMGVWAMCLWEQMGQPSRVNLVELGPGRGTLVADLLRGTSKFKHFTGSLHVHMVECSPTLQKLQYQALKCQNKNDTGGNAAENIVSTIAGTPVSWHATLEQVPTGSPTIIIAHEFYDALPVHQFQRASHGWCEKMVDITENSMFRFVLSPHPTPSTLYLMKRCKWAENEEIAKLEQIEVCPKAMDLTRAIADRIGSDGGGALIIDYGLNGIVSDSLQAIRKHKFVDILDNPGTADLSAYVDFPAIRHSAEEASENVCVHGPITQSQLLGSLGINFRVEALMENCTDEQAESLRTGYWRLVGDGEAPFWEGPEDQVPIGMGTRYLAMAIVNKNQGVPIPFQ >itb01g05430.t1 pep chromosome:ASM357664v1:1:3725470:3726981:1 gene:itb01g05430 transcript:itb01g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKVMKKWVPVLLLRLLALGGTVAATIVMVTTNDSSELFGLTFEAKFTNSPTLIYFVVVNAIASGYSLIVLFFSSKKWARRILLVSDTIMTLLLDSSISACLALAQVGKQGNNHAGWLPICGQVSEFCDHVEGALIAGFAASLLYFLLLLYSFYNVLINLDTLKI >itb02g18690.t1 pep chromosome:ASM357664v1:2:15141932:15143000:1 gene:itb02g18690 transcript:itb02g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSVFVFVISVVIASITGSNAFFADDNPIRQVVSDGLHELESSILQVIGSTRHASCFAHKYGKRYESAKEINRRFGVFKESLKMIRSHNKKGLPYKLGVNEFADMTWNEFQKHRLGAAQNCSATLKGKLKCSNSIVPDTKDWREAGITFRKNISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEKAYPYIGENGVCNYSSENVGVRVFESVNITLGAEDELKYAVGLIRPVSVAFEVVDGFKLYESGVYTSNSCGSDPMDVNHAVLAVGYGVENVTLYWLIKNSQGEDLDDHGYFKMEMGKNTCGVATCASFPSVA >itb04g33130.t1 pep chromosome:ASM357664v1:4:35456580:35461054:1 gene:itb04g33130 transcript:itb04g33130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLNWTNTRMTVTPLAAVKDNNADSYRVELPEKAKNSRVLVLGGTGRVGGSTAVALSKLCPDLKLIVAGRNREKGAAMVTKLGKNSEFAEVNIDDKGSLEAALKDVDLVVHAAGPFQQAGNCNVLEAAIQTKTAYLDICDDTSYAFRAKSYMNEALAANIPVITTGGIYPGVSNVMAAELVRIAMNESKSKPERLRFYYYTAGSGGAGPTILATSFLLLGEDVIAYNKGTEIKLKPYSGMLSIDFGIGVGKKDVYLLNLPEVSTAHKILGVPSVSARFGTAPIFWNWGMVAMANLLPVEFLRDRSKVQQLVQLFDPLVRAVDQISGECVSIRVNCLF >itb04g33130.t2 pep chromosome:ASM357664v1:4:35456580:35461054:1 gene:itb04g33130 transcript:itb04g33130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLNWTNTRMTVTPLAAVKDNNADSYRVELPEKAKNSRVLVLGGTGRVGGSTAVALSKLCPDLKLIVAGRNREKGAAMVTKLGKNSEFAEVNIDDKGSLEAALKDVDLVVHAAGPFQQAGNCNVLEAAIQTKTAYLDICDDTSYAFRAKSYMNEALAANIPVITTGGIYPGVSNVMAAELVRIAMNESKSKPERLRFYYYTAGSGGAGPTILATSFLLLGEDVIAYNKGTEIKLKPYSGMLSIDFGIGVGKKDVYLLNLPEVSTAHKILGVPSVSARFGTAPIFWNWGMVAMANLLPVEFLRDRSKVQQLVQLFDPLVRAVDQISGECVSIRVNCLF >itb06g22470.t1 pep chromosome:ASM357664v1:6:24734437:24735920:1 gene:itb06g22470 transcript:itb06g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDIYSTRLTLSLGSDDVPHREYDDHLHRRHVYRQNKRSKPSPISHNYLPSLSLGLPWELKFEAMNDCCLQQASPAPPSSFSDSSLKREREISAGEDDELEAEEKGSPGDEYQDEEVVGTRKKLRLNKEQSVVLEDSFKQHTTLNSKQKQELARRLSLRPRQVEVWFQNRRARTKLKQTEVDYEVLRKCYETLTDENRRLHKELQELKAANQTAAPPFHMAATLSMCPSCERTYVGSTDSSAKFSISAAAGGKSHFFRSFLNPSAAC >itb06g19180.t1 pep chromosome:ASM357664v1:6:22590289:22591536:-1 gene:itb06g19180 transcript:itb06g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILIVYADAVSWARDIAPSHYMLEIESFSSLTKMLTENGTQFFKSKIFEASGYKWTFSLYPNGDGDGKGHISVSLCIEDTDALPLSWEIYTDLKFLIFDHKRDKYSIFQGGVVNRFHRLKPECGIAKLVPRDVFDDATNGYLVTNKCVFGVEVLVLDSKFTRECLSPAVKVDKTFTWKVLEYSKLNSQARYSDKFTAGSLIWKLRLDPLGDSKSYGENLAVYLMLDPQNICSRMLVYFMLRIRNQKSGKHKEMQLCRCFAPGPLGWGSSVFMSLTELRDSSKGFLVNDCIIIEALINNVATVTS >itb03g24500.t1 pep chromosome:ASM357664v1:3:23221506:23226166:-1 gene:itb03g24500 transcript:itb03g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENGNDGNVQNDVVAADRDQSTKNSSPQSDQSFQTVQNGNGVLGDQRSKVNGLDARRNLQIDEEDGDGRGGFKSEKEMRDLEEMLSKLNPMAEEFVPPSLSIGGSLRVVALPPGGGHFANNFVLQQPNSGLRNGNSIRRKKNGNGYAKKRMNNRTSMAQRDDVIKRTVYVSDIDHQVTEEQLAGLFLNCGQVVDCRICGDPNSVLRFAFVEFTDEEGARNALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPQSEDEREMCARTIYCTNIDKKVTQADVKLFFETICGEVHRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRSAVLH >itb03g24500.t2 pep chromosome:ASM357664v1:3:23222737:23226149:-1 gene:itb03g24500 transcript:itb03g24500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENGNDGNVQNDVVAADRDQSTKNSSPQSDQSFQTVQNGNGVLGDQRSKVNGLDARRNLQIDEEDGDGRGGFKSEKEMRDLEEMLSKLNPMAEEFVPPSLSIGGSLRVVALPPGGGHFANNFVLQQPNSGLRNGNSIRRKKNGNGYAKKRMNNRTSMAQRDDVIKRTVYVSDIDHQVTEEQLAGLFLNCGQVVDCRICGDPNSVLRFAFVEFTDEEGARNALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPQSEDEREMCARTIYCTNIDKKVTQADVKLFFETICGEVHRLRLLGDYQHSTRIAFVEFVMVTTIGFFLLCLLLLTALPYHDLNQNL >itb15g10540.t2 pep chromosome:ASM357664v1:15:8293078:8296552:1 gene:itb15g10540 transcript:itb15g10540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATDTEISHTFTVLEGSYNNKDNTPTSNNELQVKSEPSGKPPRHLSTVRHSISSAMLVASADLDFDYGVKEAQCPSKGKPSFIPVYRSGSYAEKGPKQYMEDEHIRIDHLIEHFGETAGFTSYGAFYGVFDGHGGTDAALFVRNNILKFIVEESCFPLCLEKAISNAFLKTDYAFADDSALDISSGTTALTALIFERKMIVANAGDCRAVLGKRGRAIELSKDHKPNCTSERFRIEKLGGAIYDGYLNGQLSVSRALGDWHMKGPKGSACPLSAEPELQETILTEDDEFLIMGCDGLWDVMSSQYAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSPEPPPRIEVPQTRFRRSISAEGLNLLKGVLENNP >itb15g10540.t1 pep chromosome:ASM357664v1:15:8293031:8296577:1 gene:itb15g10540 transcript:itb15g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATDTEISHTFTVLEGSYNNKDNTPTSNNELQVKSEPSGKPPRHLSTVRHSISSAMLVASADLDFDYGVKEAQCPSKGKPSFIPVYRSGSYAEKGPKQYMEDEHIRIDHLIEHFGETAGFTSYGAFYGVFDGHGGTDAALFVRNNILKFIVEESCFPLCLEKAISNAFLKTDYAFADDSALDISSGTTALTALIFERKMIVANAGDCRAVLGKRGRAIELSKDHKPNCTSERFRIEKLGGAIYDGYLNGQLSVSRALGDWHMKGPKGSACPLSAEPELQETILTEDDEFLIMGCDGLWDVMSSQYAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSPEPPPRIEVPQTRFRRSISAEGLNLLKGVLENNP >itb02g24340.t1 pep chromosome:ASM357664v1:2:24813786:24819048:-1 gene:itb02g24340 transcript:itb02g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQKGYVPRAMVVLLFKIASGIRFNLGQLLFNQTSFQPSRFFSSLSLRTDDLDFERGTAGGSGDYLSFSSSRSKPAEATTDLEIEQHRRIWRALARSSGGGIGSSWRGCWIGAPVAA >itb05g01390.t1 pep chromosome:ASM357664v1:5:1182301:1185072:1 gene:itb05g01390 transcript:itb05g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLTYLLTLALLPLSLSLIIILRKRGSPGRNVPPGSGGWPVVGENVEFAMLGPQRFIKERMEKHSPEVFQTSILGEKMAVFCGAQGNKFLFTNDGKVLTSWWPESMKKALLFPEFYENSLKDVSALQRGFLHEILKPEALKQYIPAMDSLSREHMAADWHPNDVVKVFPLAKNYTFALACRLFMSISDPDHIKKLSDPFLLVTNGMFSVPIDLPGTAYNRAIKGGRMVRAELMRIITARRRELAAEERKETAAPAGRDLLSKMLLVRDENGRELSEMEISNNIIGMLVASYETTSSAVTFVLKHLADLPHVYDGVLKEQMEIAKTKGADELLTWEDIEKMKYSWNVARESLRVTPPAQGAFRETTTDCTFAGFTIPKGWKTFWTVHSTHKNPKYFPDPEKFDPSRFEGRGPAPYTFTPFGGGPRMCPGKEYARLEVLVFMYNVVTRFKLQRANPNENIVFHASPTPVEGLPVRLIPHQNIQD >itb06g13670.t1 pep chromosome:ASM357664v1:6:18362932:18364825:-1 gene:itb06g13670 transcript:itb06g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIKYSSSACVVTTYSILVLSLHFLTTSSSSSSSDEGVLVSQYSTETTGIWRNLLQAKIPCSKNFEFENYTILTSQCKAPYEPLQPCCDAFTQFACPFTQFLNNQSTDCSDLMFNYIDQKGGFPKGLFSNCKGDQDGLICAAPPPDLTAGVTVGLGAPPPIQFLFYTTTTLAVVTFLFLIS >itb10g19510.t1 pep chromosome:ASM357664v1:10:25338517:25343051:1 gene:itb10g19510 transcript:itb10g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGQKVMSSSGVVIIAVLLLVQGQGGRGAQVQNNVSNLLSWGAKEIVSPGGCNLFRGRWVVDSSYPLYQPSSCPFIDPEFDCIKYGRPDKQYLKYAWKPDSCDLPRFDGGELLKRWRGKKVMFVGDSLSLNMWNSLACMIHASVPTAKITYSRQETLSYVTFKGYGVTLYLYHTTYLVDIVKEKIGRVLKLDSIQQGKAWLGMDVLIFNSWHWWTHKGRSQPWDYVQDGPKVSKDMDRLLAFYKGLTTWARWVDQNVDPSKTKVFFQGISPTHYMGNEWKSSSTKNCNGEQLPLEGAKYPAGTPEAAVVVNKVLSRIAKPVTLLDITFLSQLRKDAHPSMYGGGGDHSGVDCSHWCLPGLPDTWNHLLYASVTMF >itb10g19510.t2 pep chromosome:ASM357664v1:10:25338517:25343051:1 gene:itb10g19510 transcript:itb10g19510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGQKVMSSSGVVIIAVLLLVQGQGGRGAQVQNNVSNLLSWGAKEIVSPGGCNLFRGRWVVDSSYPLYQPSSCPFIDPEFDCIKYGRPDKQYLKYAWKPDSCDLPRFDGGELLKRWRGKKVMFVGDSLSLNMWNSLACMIHASVPTAKITYSRQETLSYVTFKGYGVTLYLYHTTYLVDIVKEKIGRVLKLDSIQQGKAWLGMDVLIFNSWHWWTHKGRSQPWDYVQDGPKVSKDMDRLLAFYKGLTTWARWVDQNVDPSKTKVFFQGISPTHYMGNEWKSSSTKNCNGEQLPLEGAKYPAGTPEAAVVVNKVLSRIAKPVTLLDITFLSQLRKDAHPSMYGGGGDHSGVDCSHWCLPGLPDTWNHLLYASVTM >itb13g16300.t2 pep chromosome:ASM357664v1:13:23248765:23257622:1 gene:itb13g16300 transcript:itb13g16300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MESLLIVKTSSSLSFPLKSQLSSSYSHQTTQVSKCKALNGGEKSWPLPMRLQRPLATLEHVGSSDGSIINLRHRAGFSVNAASDHPLESEPSNSPLKSVQGVLDAFYRFSRPHTVIGTALSILSVSLLAVERLSDISPLFFTGMLEAIVAALFMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSVKTGIMIVSSFAVMSFWLASVVGSWPLFWALFISFGLGTAYSIDLPLLRWKRFALVAAMCILAVRAVIVQIAFYLHIQTHVYGRPVAFSKPVMFATAFMSFFSVVIALFKDIPDIAGDKIFGIRSFTVRMGQERVFWICISLLQMAYLVAILVGASSSCTWSKYLTIIGHAALASILWTRARSIDFSRKEEITSFYMFIWKVIFA >itb13g16300.t1 pep chromosome:ASM357664v1:13:23248757:23257632:1 gene:itb13g16300 transcript:itb13g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MESLLIVKTSSSLSFPLKSQLSSSYSHQTTQVSKCKALNGGEKSWPLPMRLQRPLATLEHVGSSDGSIINLRHRAGFSVNAASDHPLESEPSNSPLKSVQGVLDAFYRFSRPHTVIGTALSILSVSLLAVERLSDISPLFFTGMLEAIVAALFMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSVKTGIMIVSSFAVMSFWLASVVGSWPLFWALFISFGLGTAYSIDLPLLRWKRFALVAAMCILAVRAVIVQIAFYLHIQTHVYGRPVAFSKPVMFATAFMSFFSVVIALFKDIPDIAGDKIFGIRSFTVRMGQERVFWICISLLQMAYLVAILVGASSSCTWSKYLTIIGHAALASILWTRARSIDFSRKEEITSFYMFIWKLFYAEYLLIPFVR >itb09g02480.t1 pep chromosome:ASM357664v1:9:1412515:1414341:1 gene:itb09g02480 transcript:itb09g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVWTWICELPNSDESANSPLVFNLATSQNNPARSIQLRAEPSLHSNTVSFSVCLLGFHSDDAEVTVWVSDECNLSSDKPFLPLVLQLLQEVISRSPTAHDSTCPRSQLQKLHPDRVSWILDSHAPENFSSFFNLVLLSRLFWLCACDAPSEAGALYFHSMLAPSLEIFSSKPAPVVLRTFFVTVGTDVELCFMRTFGYMLAKWLILREVGGVGLLSLTPQYLGISYSTEAYGLWILKGYAPVRAMECTLRRRERNPFPVIEAQESALRYALAHQQLEAVIQFEYTVGYFDGFIQVNARLDNIRLHVARLGFNKSEDDAYVDEKHFPSRIRVWVGPEVGASYVGGMSLGQSTNNIEKEVETHKIWKGSFGDSKIPKMKAVARMATKTKMKNWRWDQDAEGNAVVYDATLCDNQTGIEVATWKPDNYDDGPTGVVMNNLGRRYRGANRGFTKKGSLVIAGEESGTGVGWRLSKEMVGSVLKWRIGGEVWLTYWPNHVNTSYYETRCVEWCDEVDLPLIPT >itb15g24150.t1 pep chromosome:ASM357664v1:15:27000105:27006953:-1 gene:itb15g24150 transcript:itb15g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MGVVVCSSSCFYSSFCSLPSTEIQCKRKSKKQLLFGPVKPPKRFSSPSIYAVNTSSTQESIQSVELQPKDPFTNSALEQLDIERGVCIPFRKYSPESVKNKVLESRGAILSLIGRGVEIVWNLGLYWTSLVYDYVVGRDQEVVPFRARQLRQLLCDLGPSFIKAGQVLANRPDIIREDYMKELCILQDDVPPFPNQVAFNIIEEELGQPLEAVFSKISSGTIAAASLGQVYRATLRATGEDVAIKVLRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKNDPTVKIPQVYKKLSGPRVLVMEWIDGVRCTDPEGIKEAGIDIDGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSLEKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICLTLEPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMSSNPALKGNGSIQKYKDIRVERRLDLTDTIKDGARVFLLDEGIRRKLLLALTEDSKLHIQELVDVYRLLEDQIDIPSVAREVVEDLPSLARDVMLSWTNSVLSDR >itb06g11190.t1 pep chromosome:ASM357664v1:6:15755917:15756530:1 gene:itb06g11190 transcript:itb06g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFFLLLSICALPLTISAPSPLIRLPTEAAPASPVLDIDGNAVVGGAIYFARFTKLGSEGGISLTDFSNSGVCPTDVYLNSSIITYPPQPPAILRPIIFHPIYNPTGVVYVADPINVEFYETNQADPCPTKWKLDQGIIVAASEPSTFSIRFSDTPNAYIFSGIDVVDDLNRLGSANTTIFDFYFDNKF >itb11g01520.t1 pep chromosome:ASM357664v1:11:742818:743351:1 gene:itb11g01520 transcript:itb11g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGTTQRPILAPSPSPQPDENPKKHPLTFQITFPFSIPSTPESAASKIIKNLGRLSLYYFEFVWLVLFIALIPERKVSLIIMIATREAAIIYTLLMRAVPASLVLVHRVLDRRLVFSLLAFGTGIALIATDSGLHLLITLAATIPIILAHAGLWCGWVDACENNNANESTPLSHV >itb06g19160.t1 pep chromosome:ASM357664v1:6:22579454:22582252:1 gene:itb06g19160 transcript:itb06g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGLLLLLSTEGPPRRVGLRRKQAGRGSYRGRGINIFHGFKPICGISKLVPRSVFDDAANGYLVGDTCVFGVEVFVLHSKFVEQHLSPSVKVGKSYTWKVSSFSNMGSKTCYSDEFTAASFQWKLVLHPLGQGKKSNGLNLSLFLKLVDPGVTSNGLFVHFMLRIINQKYGKHQEKQACTCFVPGNLSWGWDEFIPLVDLQDLSNRFIVDDCIIIEACIKNVSVIS >itb07g10670.t1 pep chromosome:ASM357664v1:7:11814227:11821722:-1 gene:itb07g10670 transcript:itb07g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPFLKKPKVEPDMENGGGSIGGEANNDGKDVPADTNDQSRSEQEEALVALVEHRTKEVHDLRQRISYYKSQLIEAERRLDDTQGKLARLRGQDSITTSKSVHDNGMKKVKSKTSSMNPALCSEDSYKSQSETKAQDEGMAIRPLENKSACTSRRTELSSGNQLQGKPQLVIPDVKPKVSQPTKMTESEPNVRSGPGSLTGELAFPHSSSTTKIKGDKTLRKPSEKDADVQNKGTKRKFEQKEHKDLIPMIRTSSSPCTIRCQTCSAISSQHKRKLRSLILCPTSEQLFATSALDGVVNLWQVQSRGSANLLSTTDCLSAKHRRWPEDIAWHPEGDTIFSVYSADGGESQISILNLNKGKERMRVSFLEEKPHVRGIINNIVFMPWEDIGFVTGGSDHAVVFWTEKEGANSWKPKALHRSMHSSAVMGIAGMQHKKSVMSAGADKRIIGFDLQAQRADYNHQIDSKCMSILPNPRDFNLFMVQAGTLEKQLRLFDVRARQREVHAFGWKQESSDSQSALINQAWSPDGLYITSGSVDPVIHIFDIRYNSHKPSQSIRAHQKRVFKAVWHHSLPLLISISSDLNIGLHKVT >itb01g07800.t1 pep chromosome:ASM357664v1:1:6179156:6181981:1 gene:itb01g07800 transcript:itb01g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDFVVGKLKEQAFQEVIFQWKIKDEVDKISARLANMKGYIEDSSGKGKQDTKVAESWVTQLRDTTLEVEDLVEEFMLDSKLVELNTPPFNFCEVKSLFANVQSLVERVKIQFCFHQQLKAMDEKLLALETEKSKYGIKLKTNDEGRNELLMGSGSGYMVGIDAVGIKDQVEDIAQLIQKRREQMLVITVWGAGGCGKTTLAKQVYERVKNGGNIKYFCWVDVNHSSDIEFVLRATINGLYTSVGTKMPSELEKADSKSLEHHIRDYLMEKRYVVFFDDVWDNELLSQINLPVHKESAIIITSRDKDIAKGSFIPATPQFVEVKPLDSNIACDLFCKYAFPPPAKPEGNWPNEEVKKWGEALVKRCSGLPVAILAMAGLMSTKGDYLKNWKEAVESLEYYSAESEESGSLKCVNRALLLSYNELPTHLKSCFLYCAMFPKTKSIHVKELIRMCIAEGFIAEHGGRALEVIAKDYLLQLNNRSLIQIVPTESIYVRRDDDEIKMHDLFRDVAGEVIRREMFSEIKLSGMHNTKVEWNQRRSLIILKEREGKVTLEKVPQMKKLRTLIIDGGGIIVNSLPQMLQNMKLLRVLALGWLPGVEELPNEVGDLIHLRYLSLNGNYKMRHLPDSLGRLHNLQTLDLRRTSVSSLPKCVSQLMQLRHLFGHYNLQLPDIVFTSSQLQTLSGMVINTIQARELVNLTQLTELDIIFTEGEECWRAICDSVNKMTNLCSLLIRYKYNRFIKGDGMVWEFGNFSPPLYLEKLALFYFQKLVKFTSTLSYLRSIYIEQCDVDGDFFNSLEKLPSLVCLYIHSYSEEQLLCSDGSFPKLKKLEIKCEKLTNWEIGKGALKCVESVSMISCTRLEMVPEGLRELEYLKELHLFHPSQQLAQRICVKGSDRWKIRHIPRVAIHHDNSKAVWSQFKTMIDDGVLQLINKVQV >itb01g31350.t2 pep chromosome:ASM357664v1:1:35122509:35133385:-1 gene:itb01g31350 transcript:itb01g31350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPATIEDQLTIKAIKEECPWESLPKRLQASLNSREEWHRRIIEHCIKTRLQWNTCFARKVCRESEYYEELMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGVGRNEFIDIMNKCKSKKIMWKLNKSIARELLPTQAVDFVIEPWWGVCLVNFTLEEFKEEVNSFILFDPEVIKGLHRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELAATLQAELSQLQAAASFACRLGWAIKLLDPASILHDSNVAGSPKSILSDEDDGSRASLGSAYVPTDGSAFQQGDVPLTENQSMASGYARVAFVVDANITSYLMMGSISPGLKDHAVKLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLASGGIRPDDRENDGIVSFTNGEATIMETGTSTNKSGYLAIIESGTNADNFVHSGASNENADADQPVDDILLGTKPEEIDNFAGDTRSEINSQNEVKSTSSEGLDVWKGTVKLKKYRVDILRCESLAALSPATLDCLFIRDYDIVVSMIPLPPSSVLPGPKGPVHFGPPSHSFMTPWMKLSLYSAMASGPLSVVLMKGQCLRMLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCVNSLLKCSAVLVQPLSRNDLDESGEIVTIDIPLPLKNSDGSTANIGEELGLHSDKILNLNILLTDLANKLNLWSLGYIRLLRLFKEKVSESFADDDGKYEWVPLSVEFGIPLFSPKLCNYICKRVVSSQLLQTDLYNEHHEAMQGLRKRLLDVCAEYRAIGPTARLLYQKEQPKESTKQLMTYASGRWNPLVDPPSPISGKTVEQQRFKLANRQRCRTEVLSFDGNILRSYALTPVCEADTRLLEDSSQMNTIESDDANSKEVIHPGVNILFDGSELRPFDIAACYQARLPVSLIAEASTRSAVK >itb01g31350.t3 pep chromosome:ASM357664v1:1:35122491:35133385:-1 gene:itb01g31350 transcript:itb01g31350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPATIEDQLTIKAIKEECPWESLPKRLQASLNSREEWHRRIIEHCIKTRLQWNTCFARKVCRESEYYEELMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGVGRNEFIDIMNKCKSKKIMWKLNKSIARELLPTQAVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVIKGLHRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELAATLQAELSQLQAAASFACRLGWAIKLLDPASILHDSNVAGSPKSILSDEDDGSRASLGSAYVPTDGSAFQQGDVPLTENQSMASGYARVAFVVDANITSYLMMGSISPGLKDHAVKLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLASGGIRPDDRENDGIVSFTNGEATIMETGTSTNKSGYLAIIESGTNADNFVHSGASNENADADQPVDDILLGTKPEEIDNFAGDTRSEINSQNEVKSTSSEGLDVWKGTVKLKKYRVDILRCESLAALSPATLDCLFIRDYDIVVSMIPLPPSSVLPGPKGPVHFGPPSHSFMTPWMKLSLYSAMASGPLSVVLMKGQCLRMLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCVNSLLKCSAVLVQPLSRNDLDESGEIVTIDIPLPLKNSDGSTANIGEELGLHSDKILNLNILLTDLANKLNLWSLGYIRLLRLFKEKVSESFADDDGKYEWVPLSVEFGIPLFSPKLCNYICKRVVSSQLLQTDLYNEHHEAMQGLRKRLLDVCAEYRAIGPTARLLYQKEQPKESTKQLMTYASGRWNPLVDPPSPISGKTVEQQRFKLANRQRCRTEVLSFDGNILRSYALTPVCEADTRLLEDSSQMNTIESDDANSKEVIHPGVNILFDGSELRPFDIAACYQARLPVSLIAEASTRSAVK >itb01g31350.t1 pep chromosome:ASM357664v1:1:35122476:35133385:-1 gene:itb01g31350 transcript:itb01g31350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPATIEDQLTIKAIKEECPWESLPKRLQASLNSREEWHRRIIEHCIKTRLQWNTCFARKVCRESEYYEELMRYLRRNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGVGRNEFIDIMNKCKSKKIMWKLNKSIARELLPTQAVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVIKGLHRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELAATLQAELSQLQAAASFACRLGWAIKLLDPASILHDSNVAGSPKSILSDEDDGSRASLGSAYVPTDGSAFQQGDVPLTENQSMASGYARVAFVVDANITSYLMMGSISPGLKDHAVKLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLASGGIRPDDRENDGIVSFTNGEATIMETGTSTNKSGYLAIIESGTNADNFVHSGASNENADADQPVDDILLGTKPEEIDNFAGDTRSEINSQNEVKSTSSEGLDVWKGTVKLKKYRVDILRCESLAALSPATLDCLFIRDYDIVVSMIPLPPSSVLPGPKGPVHFGPPSHSFMTPWMKLSLYSAMASGPLSVVLMKGQCLRMLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCVNSLLKCSAVLVQPLSRNDLDESGEIVTIDIPLPLKNSDGSTANIGEELGLHSDKILNLNILLTDLANKLNLWSLGYIRLLRLFKEKVSESFADDDGKYEWVPLSVEFGIPLFSPKLCNYICKRVVSSQLLQTDLYNEHHEAMQGLRKRLLDVCAEYRAIGPTARLLYQKEQPKESTKQLMTYASGRWNPLVDPPSPISGKTVEQQRFKLANRQRCRTEVLSFDGNILRSYALTPVCEADTRLLEDSSQMNTIESDDANSKEVIHPGVNILFDGSELRPFDIAACYQARLPVSLIAEASTRSAVK >itb01g36040.t1 pep chromosome:ASM357664v1:1:37911343:37916209:-1 gene:itb01g36040 transcript:itb01g36040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNQQKQGFNNHLANSRKGFSDSVSGFPDKRERSIASETDVITGEELLNGNLPSVSLTQELNSTPQPEEKMKSKHKYRKSLKKEKQDVVASTVTEHAEPASSYAGKHDNHPHTTEDLELREGKETPPCNNHGSEDPNSRSSSSVEGLCTTRQNAEHSIKVVFRYLGTLALSLSRSCIEWLERRKPLLRHIKSIISNASEYARKKVEQAYPVALKWIMHFGNILLLLSMVWLDCSLRGMGSFLCMGTASLFSIVWWGVLSLIAVSKLKFLLILAAVSVIGLLSGFVIAILALSIIGLAFLWIYGRFFIAIPVILCGGVLFRLSHERIAVFIMTLYSVYCGRTYVGWLGLLLGLNLSFISSDVLIFFLKNNLNEQGRPDSFPEQTAGVSADVGGGLPADHSAGIPSTSGSDSEMTSENEVIRLLNCADHYSALGLSRFQNVDVSILKREYRKKAMLVHPDKNMGNERAAEAFKKLQNAYEVLLDSFKRKTYDDELRREELINYIRSFQNTSQKNRGHRFGHSEAVGEDPLGESKRITCKKCGKFHLWICTDRVKHKARWCQECKDFHQAKDGDGWVEQTSQPFFFGLLLKVDTPSAYVCADNKIYDATEWYTCQGMRCPANSHKPSFHVNTNMTLKSSNGKRGSSSGHRGGMPNPNLEETMTEEEFVEWLQNAVQAGVFNSFTGSGSSTSQSPGTESGNSCKGSGGSGNKKKKKGKKQW >itb07g20140.t1 pep chromosome:ASM357664v1:7:24583346:24583753:-1 gene:itb07g20140 transcript:itb07g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESLWKAVDPVCAAQITLVNHACSFLPFVVNPPPSHHSLVSAPSRNHTDGRHRHGHGSPPHSSRGNHHRHHRHHHNPEEELCCRWMREVDDECVCELLAQLPAFLSRPVHQYSVLVGDGCHESFLCGSRIKIED >itb12g22580.t1 pep chromosome:ASM357664v1:12:24628256:24631131:-1 gene:itb12g22580 transcript:itb12g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSLPVASSALQKRINRATPKPCSLAPHNIIVSSLKDNTSPDESRNCKRRPLLLGLGALSSLSLLPANPLLAEVPERFSSFVDKEDGYAYYYPSDWTDFDFRGHDSAFKDRHLQLQNVRVSFIPTEQADIHDLGPMQETVYRLVNHVYAAPSQLATIMDVQEKTIEGKNYCTFEYVLTSVNFSRAAFATIAVGNGRFYTLVVGANERRWRRIRNKLKVVADSFKLLDI >itb12g22580.t2 pep chromosome:ASM357664v1:12:24628256:24631131:-1 gene:itb12g22580 transcript:itb12g22580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLSLPVASSALQKRINRATPKPCSLAPHNIIVSSLKDNTSPDESRNCKRRPLLLGLGALSSLSLLPANPLLAEVPERFSSFVDKEDGYAYYYPSDWTDFDFRGHDSAFKDRHLQLQNVRVSFIPTEQADIHDLGPMQETVYRLVNHVYAAPSQLATIMDVQEKTIEGKNYCTFEYVLTSVNFSRAAFATIAVGNGLA >itb05g03440.t1 pep chromosome:ASM357664v1:5:2919472:2920065:1 gene:itb05g03440 transcript:itb05g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKACSSISTFTCFLSISTLTDTTFGLDRLSDAVPRARASPIGSQILLNTPKSNKCNGRFGGRRIHTIKGESCHGLQSGDYLVNHTFAPAITARFLISNFLQSSSEVNRTRIVLASSDPTDISPTIRRFAHNSALITSNDGLSRPKWPMTE >itb15g03590.t2 pep chromosome:ASM357664v1:15:2273862:2277132:1 gene:itb15g03590 transcript:itb15g03590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPETSEETRGKNKPNPFSVDYGGNHNHGNGGYRSYVLENPTGHDIEATYELGRELGRGEFGVTYLCIDKSTGDHLACKSISKKKLRTRVDIEDVRREVEIMKHLPKHPNIVSLKDTYEDDQAVHLVMELCEGGELFDRIVARGHYTERAAAVVTRTIVEVIQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFRRDPWPKVSDNAKDLVKKMLNPDPSKRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEGFQLMDTNNKGKIDINELRVGLQKLGHHIPESDLQALMDAVSFNALLFHDRHKPMIIFYVETEHTTLS >itb15g03590.t1 pep chromosome:ASM357664v1:15:2273843:2278005:1 gene:itb15g03590 transcript:itb15g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPETSEETRGKNKPNPFSVDYGGNHNHGNGGYRSYVLENPTGHDIEATYELGRELGRGEFGVTYLCIDKSTGDHLACKSISKKKLRTRVDIEDVRREVEIMKHLPKHPNIVSLKDTYEDDQAVHLVMELCEGGELFDRIVARGHYTERAAAVVTRTIVEVIQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFRRDPWPKVSDNAKDLVKKMLNPDPSKRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEGFQLMDTNNKGKIDINELRVGLQKLGHHIPESDLQALMDAGDVDKDGYLDCAEFVAISVHLRKMGNDEHLKKAFAFFDKNQTGYIEIEELRDALADDIDTNSDEIVNAIMQDVDTDKDGRISYDEFAVMMKAGTDWRKASRQYSRERYNNLSLKLMKDGSFASEGR >itb09g27970.t1 pep chromosome:ASM357664v1:9:28626940:28627666:1 gene:itb09g27970 transcript:itb09g27970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSCEINGYFIPKGATLLVNVWAIARDPNVWTNPLEFNPHRFLPGGEKPNVDIKGNDFEVIPFGGGRRICTGMSLGIRMVHLLIATLVHAFDWDLGNGQSVDTLNMEEAYGLTLQRAVPLMLHPKPRLQPHLYTLN >itb06g24940.t1 pep chromosome:ASM357664v1:6:26279905:26281719:-1 gene:itb06g24940 transcript:itb06g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPGDDNQMDLPPGFRFHPTDEELITHYLSKKALDTSFSAVAIGEVDMNKVEPWELPWKSRIGEKEWYFFCVRDKKYPTGMRTNRATASGYWKATGKDKEIFRGKCLVGMKKTLVFYQGRAPKGEKTNWVCHEFRLEGKLSVHNFPNAAQSDWVICRVFHKNSCGKNVHFPDTKSSVLPPLTDSSTTTTPPESGHVHCFSSLIPSENKSLQQESMNMVNYLSNINPPLPSSSTADISPHPQNVFPWSQAVQTHGSSFLNPADSFSPIQDPAILTSLLAGCGHRSFKTEKEIVCGSQETEISSVVSNLEMGRRAFDDHQNPQELDCLWSY >itb02g06070.t1 pep chromosome:ASM357664v1:2:3752744:3755655:-1 gene:itb02g06070 transcript:itb02g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVHRPWWAPSCLSAVFVKAAKRNQQSRVVSLKLEP >itb12g20810.t1 pep chromosome:ASM357664v1:12:23280434:23284934:-1 gene:itb12g20810 transcript:itb12g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKIGSGSFGEIYLGTNIQTNEELAIKLENVKTKHPQLLYESKLYRILQGGTGVPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLASRKLSLKTVLMLADQMINRVEFVHTKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYERISEKKVSTSIEVLCRGYPSEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIATPPSRPLGPGAGTSSGMPPVIPNVEHQSGEEETRQLADPSRRNSGALVNAGSLSKQKNPIGIDFANKDAVLSSSTFMGRSSGSMRRAAVSGSRETLTIGNDSDPTRSRTPDTNPGTILKLSSGQRSSPLGGSSDPKHTSARNISSGMKNYESTLRGIETLRFDDKEKATNH >itb01g27250.t4 pep chromosome:ASM357664v1:1:32131902:32133274:-1 gene:itb01g27250 transcript:itb01g27250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMFLFTNLEEFLKNKVLWHRSLFYLRVTVYYIATVAEVLLWRDEKITFAHFLLWFLIYYWFFLYGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGRRLLAQGEDWCTFLKVCFQMKLVMHSYLIQVILTK >itb01g27250.t1 pep chromosome:ASM357664v1:1:32130575:32133274:-1 gene:itb01g27250 transcript:itb01g27250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMFLFTNLEEFLKNKVLWHRSLFYLRVTVYYIATVAEVLLWRDEKITFAHFLLWFLIYYWFFLYGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGRRLLAQGEDWCTFLKVAGLLYCLKLIVSLSLTAILGVGINAALVLVFSVFFIYEQYEEEVDGVAKVLFSCGMSALSFLSRNSPMPLASILSNFEMSLKRKDLD >itb01g27250.t2 pep chromosome:ASM357664v1:1:32130575:32133274:-1 gene:itb01g27250 transcript:itb01g27250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMFLFTNLEEFLKNKVLWHRSLFYLRVTVYYIATVAEVLLWRDEKITFAHFLLWFLIYYWFFLYGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGRRLLAQGEDWCTFLKVAGLLYCLKLIVSLSLTAILGVALVLVFSVFFIYEQYEEEVDGVAKVLFSCGMSALSFLSRNSPMPLASILSNFEMSLKRKDLD >itb01g27250.t3 pep chromosome:ASM357664v1:1:32130575:32133274:-1 gene:itb01g27250 transcript:itb01g27250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYMFLFTNLEEFLKNKVLWHRSLFYLRVTVYYIATVAEVLLWRDEKITFAHFLLWFLIYYWFFLYGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGRRLLAQGEDWCTFLKVAGLLYCLKLIVSLSLTAILGVGMSCLNTALFNGCCYEDILLYSILFLNY >itb05g09000.t1 pep chromosome:ASM357664v1:5:12889614:12894224:-1 gene:itb05g09000 transcript:itb05g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGALAPNLKLSLPPPDEDSLSKFLTESGTFKDGDLLVNKDGVRIVSQKQVEPSSVIRPSDNQLCLADFESIKVIGKGNGGVVRLVQHKWTGQFFALKVIQMNIDESIRRHIAQELRINQSSQCPYVVVCYQSFFDNGAISIILEYMDGGSLADFLKLVKRIPEPYLAAICKQVLKGLWYLHHEKHIIHRDLKPSNLLMNHRGDVKITDFGVSVVLASTSGAANTFVGTYNYMSPERIAGSSHGYSSDIWSLGLVLLECATGDFPYCPPQPEEGWTNVYELMETIVDQPEPCAPSDQFSPQFCSFISSCVQKDPKKRLSANELMRHPFITMYDNLDIDLAAYFTAVGPRRAAF >itb06g07480.t1 pep chromosome:ASM357664v1:6:11015153:11019050:1 gene:itb06g07480 transcript:itb06g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTARWLNRMASDQYCLLHILAFFSYIPIRCSTSHLLLPSRSSLLFKRIQAVIAFSVIAAVKTVRAETWQTVVSDSLFFAKIFLTALALVMDYHLALWYALVFIVIHILTQQPPYEGLGNSIQLTPLQLEALLTEGNTSRFWLVEFRALSTSTCICTSSFFPELSITYSNKNLSFGVVDLGLFPNAAEKFGISLASLNQLPVYILFENGTEVTRFPELDFQGTVFSSSITKVISIYESC >itb06g07480.t2 pep chromosome:ASM357664v1:6:11015153:11019050:1 gene:itb06g07480 transcript:itb06g07480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTARWLNRMASDQYCLLHILAFFSYIPIRCSTSHLLLPSRSSLLFKREIQAVIAFSVIAAVKTVRAETWQTVVSDSLFFAKIFLTALALVMDYHLALWYALVFIVIHILTQQPPYEGLGNSIQLTPLQLEALLTEGNTSRFWLVEFRALSTSTCICTSSFFPELSITYSNKNLSFGVVDLGLFPNAAEKFGISLASLNQLPVYILFENGTEVTRFPELDFQGTVFSSSITKVISIYESC >itb15g22720.t1 pep chromosome:ASM357664v1:15:25491561:25496460:-1 gene:itb15g22720 transcript:itb15g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILMTIDDVTTLIGMLEKDLVEPRLVSIFDAGGLKVALLETIELLSAKLYFLQAFLEERKTKMNDGDTCDKILLDESSEYRFGRTYYKTEIENKLRRVYLAANQEGYVFEASEKLHQTLKYVVSDIECVEGWILADTKRTVLEPEKVNITVWDTYQNALVPENEVIIGLKSDIEKIINRLCYSHLMRPVFTILRNSNIVKFRRYVENLVLKLQVIPLVGEGGIGKTTLAKKVYAHPITIASFHIRAWVVVSQVLNLKEMLIGLLRCISPITSEIYNLDDAQIAEQLSRSLMGKKYVILLDDIWTTAAWDAIQRCFPNNYNGSRILVTTRFKEVAEYLSANPYHVHHLTLEDCLELFSRKVFGRRHSITRGYRLLGKRIVSGCGGLPLAVVLISGLLATIKSLVIWRYVAETLDGVDTNDNNNRISKILLLSYNFLPRHLKDCFHYFGVFPEDNVIPAKRLINLWIAEGFLMPHKNMSLEEVGESYLHDFINRSLVQINELSIDGKVKSCNIHDRVHEVCVREAIDGNTLCIINDNHALKASHWLSCQTSHWPITRVSYGNCGPDEIHSVLCFGKDVYHSKCRLVYPCLKFLRVLDLSLVKWSRGMPSEVTDLVYLRYLALSTIGSLYEFQFFKLKNLVTLIVTSWMEKCPLQLPCDILDLPQLRHLHVDKRCSQYLPCFVKKDLQTLYWLKVTSYDEKPNFEMVPNLRELGIFIEGQLVPSYLGNLVYLHLLEKLKFEVGRVERFYLPTGFPPNLKKLTLRYTYLSWKEIDTIGELSHLEVLKLKDFAFCGSEWKPSKLCFRKLKALLISRSNLKHWHASSNHFPVLERLVLRYCWELEQVPLKFAKIGALKLIVLECCYSSLVTSAKQISSAKRLLFEGMAVCPLRVCIVGTKVELPNNESSEEEIVGSLKKKVWKAMKKKVWKVLKKKV >itb08g14330.t1 pep chromosome:ASM357664v1:8:16105178:16108201:1 gene:itb08g14330 transcript:itb08g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSLFLLFFFFSASSFNVIGVRGGEDSGELSNPFTPKAYLIRYWKKQISNDLAKPWFLLNKASPLNAAQYAGYSKMAADGGESLSTQLPGFCSSANLLCFPDLSPSLEKHAGNVNFASYSFKNFTNYGTDRAGGVDSFKNYTDNENIPVDSFRRYSRDSVGHDDRFSNYAPDGNVIDESFNTYGTSSTGGKGKFSNYGPNVNVPNMRFGSYSDAANGREQGFSSYSDNANAGDQGFTSYGKNGNGAVNGFSSYGTNSNVVGSTFSNYGQTANGANDTFSSYGFNGNVPENTFKTYGAGGNAAVETFKGYRDQSNVGDDSFQSYGKSSTAATANFQNYGKSFNEGTDKFTGYSGNDKTTFAGYGVNNTFKDYAKTGVSFSGYMNESSAVAASLAADAKAKGKKVINRWVEPGKFFREKMLKSGTIMPMPDIKDRMPKRSFLPRVIASKLPFSTSKIGALKQMFHAAEDSTMAKMLADALSECERAPSRGETKRCVTSVEDMIDFATSVLGRNVVVRTTENTEGSKKNIKIGSVKGINGGKVTKSVSCHQSLFPYLVYYCHSVPKVRVYEADILDPKSESKINHGVAICHVDTSAWSPTHGAFIALGSGPGQIEVCHWIFENDMTWAVAD >itb04g16060.t1 pep chromosome:ASM357664v1:4:17315536:17315823:1 gene:itb04g16060 transcript:itb04g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIRSLLWRSVKEILLVRTALRKRRIDIPTECPLCNNDEETVTHIFLECPLVLSVWTGAGISNNFVASNFAGWLESTLASADTETLRKIAVLI >itb10g09280.t1 pep chromosome:ASM357664v1:10:12344380:12345728:1 gene:itb10g09280 transcript:itb10g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVIGLDDQIHYVCPPPSFCFSASLRLGPLLRNRRPPAIIDETTTGRLSPPRLRLRPSPTASPLPSLCFSASPTQPTTGRLSLRDSPSSLHPPPAAEPAPPPLRLRPPPANQQRDPRR >itb10g06730.t1 pep chromosome:ASM357664v1:10:7591657:7597023:1 gene:itb10g06730 transcript:itb10g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTRTRAQPRPNRSIPLGGMEYLDPKKKSNFVGKILMAALLTALCILMLKQSPTFNPPSPFSHHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAIKILQALFPEPGRLQFIYADLGDAKAVHEIFSQNAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMAAHRVPTLIYSSTCATYGEPEMMPITEETPQLPINPYGKAKKMAEDIILDFHKNSKMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVKGTDYKTPDGTCIRDYIDVTDLVDAHVKALEKATPGNVGIYNVGTGRGRSVKEFVDACKKATGVPIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAKHTDLQKSLQIAWRWQKSHHNGYGSPRAMAS >itb12g16250.t2 pep chromosome:ASM357664v1:12:16967987:16977525:-1 gene:itb12g16250 transcript:itb12g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSDSKKKKQKKPKKRVREDSELLEHLDSLPWNFSLPETDDAFSHLFGDDELGGGFLSLEEVDETTYGLEISKSSGGNEQPKSKGKPKTKKQKISESHDDSIGEEGDDKADEEIKQMKKKRSKKKKGSLVNKTEENTELTAVSNNKNDDEEDSVDETEFYAWNEMRLHPLLMKSIYRQNFKEPTPIQRACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEEKEKADRLNAEDGEVDEKIAPRGLLRALIVTPTRELALQVTDHIREVAKHTNIRVIAIVGGMSTEKQERLLKRRPEIVVGTPGRLWELMSGGEVHLIELHSLSFFVLDEADRMIETGHFQELQSIVDVLPMASRSTDGHSMETQNCITVSSVQRKKRQTFVFSATISLSADFRKKLKRGSQISKSNDELNSIETLSERAGMRADAAIVDLTNASIMANKLMESFIECREEDKDGYLYYILSVHGKGRTIVFCTSVAALRHLSSMLRILGINVSTLHAQMQQRARLKGIDRFRSNENGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARAFSDGCSIALISPNDASKFASLCKSFAKESFQRFPVEISYMPEVMKRLSLARQIDKILRKDSQDKANKTWLERHAESVELELDDDDSEEERVKSFKQKKATSSQLKNLQQDLKSLLSLPLQPKTFSNRFLAGAGVSPLLQNQLEELAKLKLGKISNTGDSQRRKLVVIGQDCVEPLQALRSAGPEAHLDLKEIAEKRKNMDNIRRKRKEAKKRNHCHQPFEPLVIFVLFDNVDALIFTTVLLDTFSSLQ >itb12g16250.t1 pep chromosome:ASM357664v1:12:16967987:16977525:-1 gene:itb12g16250 transcript:itb12g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSDSKKKKQKKPKKRVREDSELLEHLDSLPWNFSLPETDDAFSHLFGDDELGGGFLSLEEVDETTYGLEISKSSGGNEQPKSKGKPKTKKQKISESHDDSIGEEGDDKADEEIKQMKKKRSKKKKGSLVNKTEENTELTAVSNNKNDDEEDSVDETEFYAWNEMRLHPLLMKSIYRQNFKEPTPIQRACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEEKEKADRLNAEDGEVDEKIAPRGLLRALIVTPTRELALQVTDHIREVAKHTNIRVIAIVGGMSTEKQERLLKRRPEIVVGTPGRLWELMSGGEVHLIELHSLSFFVLDEADRMIETGHFQELQSIVDVLPMASRSTDGHSMETQNCITVSSVQRKKRQTFVFSATISLSADFRKKLKRGSQISKSNDELNSIETLSERAGMRADAAIVDLTNASIMANKLMESFIECREEDKDGYLYYILSVHGKGRTIVFCTSVAALRHLSSMLRILGINVSTLHAQMQQRARLKGIDRFRSNENGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARAFSDGCSIALISPNDASKFASLCKSFAKESFQRFPVEISYMPEVMKRLSLARQIDKILRKDSQDKANKTWLERHAESVELELDDDDSEEERVKSFKQKKATSSQLKNLQQDLKSLLSLPLQPKTFSNRFLAGAGVSPLLQNQLEELAKLKLGKISNTGDSQRRKLVVIGQDCVEPLQALRSAGPEAHLDLKEIAEKRKNMDNIRRKRKEAKKRQREQRRKQRKKSQGAND >itb14g21250.t1 pep chromosome:ASM357664v1:14:23347721:23350473:-1 gene:itb14g21250 transcript:itb14g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTSMEVGDDGVAVITINNPPLNLLSVNVVLSLKRSVEEAVQRDDVKAIVLIGSKGYFSAGFDVTAFGACHACISTSAAKLGLPELQYGILPGLGGTQRLPHLVGLSKALDMILIQKLSPSLTHLSVCIDVIEHGIVCGPRDGLWKEAEALYELKQSNTCRSLVHIFFAQRETSKLPGITNIGLSPRKIGNIAVIGGGLIGSGIATVLVLNNFHVVLKEETEASLQNGIGRVKANLKSHVNSGKMTGEQFKKTCTLIKGVLSYEGFKDMDLVIEAMPDIPSSKERIFADIETSQIL >itb04g28640.t1 pep chromosome:ASM357664v1:4:32302919:32305540:1 gene:itb04g28640 transcript:itb04g28640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVEHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENVLRWLRELRDHADSNIVIMMVGNKSDLNHLRAVSEQDGQALAEKEGLSFLETSALEAVNVEKAFQTILVETYHIISKKALAAEEAAKAAALPGQGTTINVDSSDNAKRGCCSN >itb04g28640.t2 pep chromosome:ASM357664v1:4:32303244:32305540:1 gene:itb04g28640 transcript:itb04g28640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVEHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENVLRWLRELRDHADSNIVIMMVGNKSDLNHLRAVSEQDGQALAEKEGLSFLETSALEAVNVEKAFQTILVETYHIISKKALAAEEAAKAAALPGQGTTINVDSSDNAKRGCCSN >itb04g28640.t3 pep chromosome:ASM357664v1:4:32304355:32305540:1 gene:itb04g28640 transcript:itb04g28640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENVLRWLRELRDHADSNIVIMMVGNKSDLNHLRAVSEQDGQALAEKEGLSFLETSALEAVNVEKAFQTILVETYHIISKKALAAEEAAKAAALPGQGTTINVDSSDNAKRGCCSN >itb04g24460.t1 pep chromosome:ASM357664v1:4:29215091:29215549:1 gene:itb04g24460 transcript:itb04g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGQRANVFDPLSLDLWDPFEGLFSSSPARETSAISAVRIDWKETPEAHIFKADLPGVKKEEVKVEVEDGRVLHISGERSKEKEDKNDKWHRIERSIGKFFRRFRLPENAKMDEVKAGMENGVLTVTVPKTEEKKPEVKAIDIVG >itb13g06390.t1 pep chromosome:ASM357664v1:13:7674329:7677461:1 gene:itb13g06390 transcript:itb13g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFRHFLKPSHLRYFSSEASQLANAATMASLLKTRSVIRFRGADTVKFLQGLLTNDIRSLAEPPEQDKDKTSLATSNVPFTTPLPVYAALLTPQGRFLYDMFLYKPPQSDEKLDSTGSMPGPSPGELELYADVDRSVLDELLETLKKYRLRSKVDIENLSGDFCCWQRFGKNLEKSSEEPEADTVGWGARADHSSESSARGNSQGWLWHRDPRLYCLGFRGIFPSNIEPPLVEAGKETSEENYLLWRLEKGVAEGPSEIRKGEAIPLEYNLAALNAISFDKGCYVGQELIARTHHRGVIRKRLFPLRFLDDGGNEVEKRVAPGSDVIDAQSRKKAGTVTTALGCRGLGILRIDDALKGSGNLAIQGQEDVKVQAIKPKWWPAEWVDDHQEQTAAT >itb11g22460.t1 pep chromosome:ASM357664v1:11:24397799:24400728:-1 gene:itb11g22460 transcript:itb11g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLEAKIRDASFKAEERIEMELTTIYLAKDKGDSLRIAACLIRLHEIFNEAEKQTDYHRNEMIRIQTEYQQLAKVSLLGRIRRRGLLQLVKGSSLPHDLVHKNIIVTKCFKNSSKFDSTMVGCHEVFKKILDQLTQQSTKRRHVVSIVGMGGIASRDDELHKQSIGQDQLAERLRKHLKEQRYLIVIDDIWSTTAWDSVQRCFPDDNNGSCILLTSRLREMAKYVSSASLLSKTEEDVEKWKNVAKCVIGDSNDACSRILYLSYNQLPHHLKACFLYFGVFREDYEIPVKKLVRFWTAEGFLRALKHVNMEEVAMECLQDLVDRSLVIVSKQRYNGEMKRIRIHDMLRELCLREAGHGNLLNAIIADKEFPFDKRTISPHQFSKPCRWVSTRPVFLNNQIKFKQFKKSHSLHLGSCRSASGEPKWSLWASQKSNGCCFAAMGKE >itb11g22460.t2 pep chromosome:ASM357664v1:11:24397799:24400728:-1 gene:itb11g22460 transcript:itb11g22460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSYFDIRAWVTVSQEYNVEQMLRCLIGCVIAASRDDELHKQSIGQDQLAERLRKHLKEQRYLIVIDDIWSTTAWDSVQRCFPDDNNGSCILLTSRLREMAKYVSSASLLSKTEEDVEKWKNVAKCVIGDSNDACSRILYLSYNQLPHHLKACFLYFGVFREDYEIPVKKLVRFWTAEGFLRALKHVNMEEVAMECLQDLVDRSLVIVSKQRYNGEMKRIRIHDMLRELCLREAGHGNLLNAIIADKEFPFDKRTISPHQFSKPCRWVSTRPVFLNNQIKFKQFKKSHSLHLGSCRSASGEPKWSLWASQKSNGCCFAAMGKE >itb14g20720.t1 pep chromosome:ASM357664v1:14:22997524:23001458:1 gene:itb14g20720 transcript:itb14g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKEMEGSDDGSNEIVSSVSTQENGSRNKRKFLSEFPLDIPIDSPALSLTEFPRYELLEEKLQNTLNEFASLDLQSGQTNEKREIESSQQADWDDPVACELEKLLISNLHAAFRSAIKKIVECGYSQELAELVVLRSGLYHGVKDVVSNVVDGALALLTKERYLGTRYQVFEEFDSLIEYAILEMICVLREVKPFLTVAEAMWYLLICDLNLLHACAVKGDPSCEFFSQVNQGEGSSDTKVPQSKTEVSKASSNNHKSHLLKSSATSSQSSQSKAAASHLPKNSRFREVAITGKEGSVSLLEMEGKSLGASVSEEKSGAGRKGSSLLSKKDLLRQKAFHFEKTYKGRVGKGASFKAKFTSWGSMVLDKTLNPPQSGSSSTATKVTSAKITATVKATGLPAEGNQHATSNPALLISGNDTVGASPVQDTIFALRAVNCRKTKASSDHVPMPSPKPPGNTSTSSSVSTYYTGIPYDESLGKYVAQNEKDETILMLIPYIQNLEKQIAVWSDWAAEKVRMVARTLTKDQAELRTLRQEKEEVEKFLKDKQTLEENTLKRLTEMEYAISNASGQMEMANSSLHRLEVEHALLQKKMEAAKSHSLESSRKSQEAMVREQEALKKCQAGEAEKRLLHEKFSARKCERASLEQQLEKAKKRLKQIEALAEQEKKEKEELLKQAKFLQGKRELMGALAKIEEDSIRETAEEDMQKCKNEIDKLASEISVLILASEASKIEALRRGIGTGHGSYPKDGKLGPHIPMTREGGEKRERECVMCLTEEISVVFLPCAHQVLCTQCNIRHEKQGMNDCPSCRTPIKTRINVRYSPS >itb14g20720.t2 pep chromosome:ASM357664v1:14:22998219:23001129:1 gene:itb14g20720 transcript:itb14g20720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDDGSNEIVSSVSTQENGSRNKRKFLSEFPLDIPIDSPALSLTEFPRYELLEEKLQNTLNEFASLDLQSGQTNEKREIESSQQADWDDPVACELEKLLISNLHAAFRSAIKKIVECGYSQELAELVVLRSGLYHGVKDVVSNVVDGALALLTKERYLGTRYQVFEEFDSLIEYAILEMICVLREVKPFLTVAEAMWYLLICDLNLLHACAVKGDPSCEFFSQVNQGEGSSDTKVPQSKTEVSKASSNNHKSHLLKSSATSSQSSQSKAAASHLPKNSRFREVAITGKEGSVSLLEMEGKSLGASVSEEKSGAGRKGSSLLSKKDLLRQKAFHFEKTYKGRVGKGASFKAKFTSWGSMVLDKTLNPPQSGSSSTATKVTSAKITATVKATGLPAEGNQHATSNPALLISGNDTVGASPVQDTIFALRAVNCRKTKASSDHVPMPSPKPPGNTSTSSSVSTYYTASEASKIEALRRGIGTGHGSYPKDGKLGPHIPMTREGGEKRERECVMCLTEEISVVFLPCAHQVLCTQCNIRHEKQGMNDCPSCRTPIKTRINVRYSPS >itb13g00930.t2 pep chromosome:ASM357664v1:13:825005:829082:-1 gene:itb13g00930 transcript:itb13g00930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGHMGSEKGNHDSFPVGMRVLAVDDDPICLKLLESLLRKCQYHVTITSQARMALKMLRENRDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDTKLVMKGITHGACDYLVKPVRIEELRNIWQHVIRRKKFDSKSQNKSGDQDRSPHGGGEGGQGGPLSGSTDQNGKLNKKRKDEEDESDENGHENEDPATQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILDLMNVDGLTRENVASHLQKYRLYLKRISSVATQQANMVAAFGGKDSAYMRMGSLDGLGDFRTLAGSGRFSHASLSSYTPGGMLGRLNSAAGVSIRNLTSPSLIQPSHGQNLGKPIGTLGKLTPNVPAVSQNACLFQGIPSSLELDQFQQSEGTPHIRGDLNPLDDSTLLGAANTFTDPGSGIGSSSNPMMLHGNSQQGLIAGGIGNQHSLNMASLNSEHFNIGVGGSSNFLDHGRASDNWQNPIQGGCAGYSSLASTATPFEDSRGEIQRRERLVGDAIPSINQVPSQQWGELKQNPNSNGVYSNLTAQVPASSIVPPLSQSMDQCNDTGNRRIDASGQSNLGSSVLLQHNKNEKLTSESRARYYEDYLFEPPKPQGAFPSQGYGSLDDLMSSVIKREQDGATLQGEFGFDAYSFGPCI >itb13g00930.t3 pep chromosome:ASM357664v1:13:825328:829120:-1 gene:itb13g00930 transcript:itb13g00930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGHMGSEKGNHDSFPVGMRVLAVDDDPICLKLLESLLRKCQYHVTITSQARMALKMLRENRDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDTKLVMKGITHGACDYLVKPVRIEELRNIWQHVIRRKKFDSKSQNKSGDQDRSPHGGGEGGQGGPLSGSTDQNGKLNKKRKDEEDESDENGHENEDPATQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILDLMNVDGLTRENVASHLQKYRLYLKRISSVATQQANMVAAFGGKDSAYMRMGSLDGLGDFRTLAGSGRFSHASLSSYTPGGMLGRLNSAAGVSIRNLTSPSLIQPSHGQNLGKPIGTLGKLTPNVPAVSQNACLFQGIPSSLELDQFQQSEGTPHIRGDLNPLDDSTLLGAANTFTDPGSGIGSSSNPMMLHGNSQQGLIAGGIGNQHSLNMASLNSEHFNIGVGGSSNFLDHGRASDNWQNPIQVTNFQSSSLPLTETFNQGQMQQNCARENNSSIGPHLQGGCAGYSSLASTATPFEDSRGEIQRRERLVGDAIPSINQVPSQQWGELKQNPNSNGVYSNLTAQVPASSIVPPLSQSMDQCNDTGNRRIDASGQSNLGSSVLLQHNKNEKLTSESRARYYEDYLFEPPKPQGAFPSQGYGSLDDLMSSVIKRV >itb13g00930.t1 pep chromosome:ASM357664v1:13:824969:829120:-1 gene:itb13g00930 transcript:itb13g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGHMGSEKGNHDSFPVGMRVLAVDDDPICLKLLESLLRKCQYHVTITSQARMALKMLRENRDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDTKLVMKGITHGACDYLVKPVRIEELRNIWQHVIRRKKFDSKSQNKSGDQDRSPHGGGEGGQGGPLSGSTDQNGKLNKKRKDEEDESDENGHENEDPATQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILDLMNVDGLTRENVASHLQKYRLYLKRISSVATQQANMVAAFGGKDSAYMRMGSLDGLGDFRTLAGSGRFSHASLSSYTPGGMLGRLNSAAGVSIRNLTSPSLIQPSHGQNLGKPIGTLGKLTPNVPAVSQNACLFQGIPSSLELDQFQQSEGTPHIRGDLNPLDDSTLLGAANTFTDPGSGIGSSSNPMMLHGNSQQGLIAGGIGNQHSLNMASLNSEHFNIGVGGSSNFLDHGRASDNWQNPIQVTNFQSSSLPLTETFNQGQMQQNCARENNSSIGPHLQGGCAGYSSLASTATPFEDSRGEIQRRERLVGDAIPSINQVPSQQWGELKQNPNSNGVYSNLTAQVPASSIVPPLSQSMDQCNDTGNRRIDASGQSNLGSSVLLQHNKNEKLTSESRARYYEDYLFEPPKPQGAFPSQGYGSLDDLMSSVIKREQDGATLQGEFGFDAYSFGPCI >itb13g00930.t4 pep chromosome:ASM357664v1:13:824969:829120:-1 gene:itb13g00930 transcript:itb13g00930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGHMGSEKGNHDSFPVGMRVLAVDDDPICLKLLESLLRKCQYHVTITSQARMALKMLRENRDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVINLRIDPIPLKFFSVLSANSDTKLVMKGITHGACDYLVKPVRIEELRNIWQHVIRRKKFDSKSQNKSGDQDRSPHGGGEGGQGGPLSGSTDQNGKLNKKRKDEEDESDENGHENEDPATQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILDLMNVDGLTRENVASHLQKYRLYLKRISSVATQQANMVAAFGGKDSAYMRMGSLDGLGDFRTLAGSGRFSHASLSSYTPGGMLGRLNSAAGVSIRNLTSPSLIQPSHGQNLGKPIGTLGKLTPNVPAVSQNACLFQGIPSSLELDQFQQSEGTPHIRGDLNPLDDSTLLGAANTFTDPGSGIGSSSNPMMLHGNSQQGLIAGGIGNQHSLNMASLNSEHFNIGVGGSSNFLDHGRASDNWQNPIQVTNFQSSSLPLTETFNQGQMQQNCARENNSSIGPHLQGGCAGYSSLASTATPFEDSRGEIQRRERLVGDAIPSINQVPSQQWGELKQNPNSNGVYSNLTAQVPASSIVPPLSQSMDQCNDTGNRRIDASGQSNLGSSVLLQHNKNEKLTSESRARYYEDYLFEPPKPQGAFPSQGYGSLDDLMSSVIKREQDGATLQGEFGFDAYSFGPCI >itb05g22470.t1 pep chromosome:ASM357664v1:5:27893695:27897293:1 gene:itb05g22470 transcript:itb05g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSHSRRWRIWKNGLDRGVRGGVMVWDLLDFHSFRQTLLKRYGKALPRVDVFVCTADSATEPPIMVVNTVLSVMAYDYPAEKLSVYLSDDAGSELTFYALMEASKFSKHWIPYCKKFNIEPRSPAAYFSSDQYDVSSGTDFSHVKKLYQEMEKRIESACQLGRIPTDEYHKHRGFSKWDPSSSSKNHAAILQIVIDGREEEGNKDSEGHSLPTLVYMAREKRPNYFHNFKAGALNALIRVSSEISNAPIILTLDCDMYSNDSGSVQDALCFFMDEEKSHNIAYNVEFHGLDGYGGPWYLGTGCFHRREALFGMEFSKAARNGLLKSEPPRRTHKNVDEFEESLQKLIGMKYGCLVEDVVTGYAILCKGWKSVYFSPARKAFLGLTGTTLDQVLLQQKRWSEGEFHSLFTKYSPLRHGLGKFSIGLTFCILPYSLWAPECLPVLCYSIIPSLYLLKGVPLFPQVSSVWFLPFAYLVVTTLAYSCSEFLYTDGTVLGWWNEQRMWLYKRLSSYLLAFLDITLKLAGCSNSTCVISAKVSDEDVCVRYEQEMMEFGSDSPMFTILSSVAMINVLCFVGAVKKMVTERLVFENLGLQIVVCGVLVLINLPIYNGMLLRKDKGRMPSTVTYKAIFVALSACTFFTFL >itb15g13140.t2 pep chromosome:ASM357664v1:15:11103666:11109778:1 gene:itb15g13140 transcript:itb15g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYGKQILIGFSGSQSQAIRIPDDPQIPYRLILVEFQKSAAIRTTPARETMATAFRLPMASTLPCSSSSSASLKRRSFRAMCSLNSRSSARIPMPPLNPKDPFLKKLASVAATNPEAILSRPANSDTLPYLDIYDCPKLMASPAQLERSASYNEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVIAELMYLQYMDPNEPIYLYINSTGTARADGETVAMETDGFAIYDALMLLKNQIYTIAIGAAVGQACLLLAAGTKGKRFMLPNAKALIQQPRVPSSGLMQASDVSIWAKEVILQRDTLTKLLAKHTGNTVEMVAKSMKRQYYMDAEAAIEFGVIDKVYWGSQEQMGGKVASPEEWDKSAGIKPVDPF >itb15g13140.t1 pep chromosome:ASM357664v1:15:11103666:11109778:1 gene:itb15g13140 transcript:itb15g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYGKQILIGFSGSQSQAIRIPDDPQIPYRLILVEFQKSAAIRTTPARETMATAFRLPMASTLPCSSSSSASLKRRSFRAMCSLNSRSSARIPMPPLNPKDPFLKKLASVAATNPEAILSRPANSDTLPYLDIYDCPKLMASPAQLERSASYNEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVIAELMYLQYMDPNEPIYLYINSTGTARADGETVAMETDGFAIYDALMLLKNQIYTIAIGAAVGQACLLLAAGTKGKRFMLPNAKALIQQPRVPSSGLMQASDVSIWAKEVILQRDTLTKLLAKHTGNTVEMVAKSMKRQYYMDAEAAIEFGVIDKVYWGSQEQMGGKVASPEEWDKSAGIKPVDPF >itb09g14930.t1 pep chromosome:ASM357664v1:9:10213951:10216448:-1 gene:itb09g14930 transcript:itb09g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLFIILLLPPLMFLVFFPRKSSKSYKLPPGPRGLPVIGNMLDLGPELHKTMAGLKQVYGPVVWLRIGTINTMVIQTVEAATELFKNHDVSFADRRIGDVNRSNNYADASLALAPYGAFWRVLRRICSSEMFTGRKMNDTAGIRRKSVDDMLMWVEKEGHGGSVEVARFAFLASFNMLGNVVLSRDLADPEAEVTSEFFNAMTRIMELSGRPNISDIFPCLRLLDLQGLRRKMGRETGITMGIASSFLKERMKEGANDDRENPKDFLSVLLEFKGKGKDEPEKLSEHEILVLILEMFLAGTETTSSTVEWAMSELLRNPEAMEKARAEISKVVGSDKKFEESDIDNLPYLQAVVKETFRLHPPLPFLLPRKAVQDTKFMGYDVPKDTQVLVNVWAIGRDPECWEDPLTFKPERFLGSNIDVKGQHFELIPFGAGRRICVGLPLGHRMTHFVLGSLLHQFDWEVEQSVDPKTMDMRDRVGTTLRKLQPLKAIPRKRVIGN >itb13g22760.t1 pep chromosome:ASM357664v1:13:28996118:28999812:-1 gene:itb13g22760 transcript:itb13g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFWHYAVLLSFVVIASVARESSSSLIINPSKVKQISWKPRAFVYEGFLTDEECNHLISLAKSELKRSYVADNESGKSKLSEVRTSSGMFIPKAKDPIVSGIEEKIATWTFLPKDNGEDIQVLRYEEGQKYESHHDYFVDKVNIVRGGHRMATVLMYLTDVAKGGETVFPDAEQSPRRRSMISDDDDLSDCAKRGVAVKPRKGDALLFFSLHPNAIPDPISLHGGCPVLEGEKWSATKWIHVDSFDKIVGSEGNCTDSNENCERWAALGECTKNSEYMVGTPDLPGYCRKSCKKC >itb14g02250.t2 pep chromosome:ASM357664v1:14:1860831:1864894:-1 gene:itb14g02250 transcript:itb14g02250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSTDAYTGGAGMSSQIFPWSFNPVQQPYNPVPFPINSGRDHDPFLLPPAPSPYGGFFGRRQSLPFAYDDAPPSEHLRLISDTLLGQVVHPGSAGPFGLQAELQKLAAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSVIAETTPVPTETDELTVDNVSGEVGNNKLLIRATLCCDDRSDLLPDLIKTLKGLRLKALRAEITTLGGRMRNVLFITGDGECDGDDEPLQSISSVEDALRAVMERSNGGEDQSGSVKRQRTNNINIFQHHK >itb14g02250.t1 pep chromosome:ASM357664v1:14:1860831:1864958:-1 gene:itb14g02250 transcript:itb14g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSTDAYTGGAGMSSQIFPWSFNPVQQPYNPVPFPINSGRDHDPFLLPPAPSPYGGFFGRRQSLPFAYDDAPPSEHLRLISDTLLGQVVHPGSAGPFGLQAELQKLAAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSVIAETTPVPTETDELTVDNVSGEVGNNKLLIRATLCCDDRSDLLPDLIKTLKGLRLKALRAEITTLGGRMRNVLFITGDGECDGDDEPLQSISSVEDALRAVMERSNGGEDQSGSVKRQRTNNINIFQHHK >itb14g02250.t3 pep chromosome:ASM357664v1:14:1860815:1864949:-1 gene:itb14g02250 transcript:itb14g02250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQSSTDAYTGGAGMSSQIFPWSFNPVQQPYNPVPFPINSGRDHDPFLLPPAPSPYGGFFGRRQSLPFAYDDAPPSEHLRLISDTLLGQVVHPGSAGPFGLQAELQKLAAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSVIAETTPVPTETDELTVDNVSGEVGNNKLLIRATLCCDDRSDLLPDLIKTLKGLRLKALRAEITTLGGRMRNVLFITGDGECDGDDEPLQSISSVEDALRAVMERSNGGEDQSGSVKRQRTNNINIFQHHK >itb05g23350.t7 pep chromosome:ASM357664v1:5:28482477:28487554:-1 gene:itb05g23350 transcript:itb05g23350.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKGYQFWTQADNDGNFFIRVRAGTYNLYATVPGRIGDYKYVTDISVIPGSDVELGDLVYKPPRNGATLWEIGVADRTAAEFYVPTPSPMFRVHDFEDEGPNKFREYGLWKRYTDLYPLRDLVYTVGTSDYARDWFYAHVTRNFENGTYGATTWSIVFDLESVNRASYYTLQLALASAQEAELQVRFNDGEAQPPHFTTGTIGSDNAIARHGIHGLYWLFSVRIAGTWLVQGRNIIFLTQAGATSPWRGVMYDYIRLEGPDQYRDPSDAAFKSTSLPSLFSLSISLFLLLFSW >itb05g23350.t8 pep chromosome:ASM357664v1:5:28484518:28487554:-1 gene:itb05g23350 transcript:itb05g23350.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKVLNCYFYVLFRYN >itb05g23350.t2 pep chromosome:ASM357664v1:5:28482477:28487554:-1 gene:itb05g23350 transcript:itb05g23350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKMNQEVASWPYDFVHSIDYMKSDQRGIVRGRLFVHDWFVDERSVPASYAYVGLAMPGAPGSWQRENKGYQFWTQADNDGNFFIRVRAGTYNLYATVPGRIGDYKYVTDISVIPGSDVELGDLVYKPPRNGATLWEIGVADRTAAEFYVPTPSPMFRVHDFEDEGPNKFREYGLWKRYTDLYPLRDLVYTVGTSDYARDWFYAHVTRNFENGTYGATTWSIVFDLESVNRASYYTLQLALASAQEAELQVRFNDGEAQPPHFTTGTIGSDNAIARHGIHGLYWLFSVRIAGTWLVQGRNIIFLTQAGATSPWRGVMYDYIRLEGPDQYRDPSDAAFKSTSLPSLFSLSISLFLLLFSW >itb05g23350.t9 pep chromosome:ASM357664v1:5:28483879:28487554:-1 gene:itb05g23350 transcript:itb05g23350.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKMNQEVASWPYDFVHSIDYMKSDQRGIVRGRLFVHDWFVDERSVPASYAYVGLAMPGAPGSWQRENKGYQFWTQADNDGNFFIRVRAGTYNLYATVPGRIGDYKYVTDISVIPGSDVELGDLVYKPPRNGATLWEIGVADRTAAEFYVPTPSPMFRVHDFEDEGPNK >itb05g23350.t4 pep chromosome:ASM357664v1:5:28484518:28486920:-1 gene:itb05g23350 transcript:itb05g23350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKIDYIFVPVIEPT >itb05g23350.t5 pep chromosome:ASM357664v1:5:28483879:28486920:-1 gene:itb05g23350 transcript:itb05g23350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKMNQEVASWPYDFVHSIDYMKSDQRGIVRGRLFVHDW >itb05g23350.t1 pep chromosome:ASM357664v1:5:28482477:28487554:-1 gene:itb05g23350 transcript:itb05g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKMNQEVASWPYDFVHSIDYMKSDQRGIVRGRLFVHDWFVDERSVPASYAYVGLAMPGAPGSWQRENKGYQFWTQADNDGNFFIRVRAGTYNLYATVPGRIGDYKYVTDISVIPGSDVELGDLVYKPPRNGATLWEIGVADRTAAEFYVPTPSPMFRVHDFEDEGPNKFREYGLWKRYTDLYPLRDLVYTVGTSDYARDWFYAHVTRNFENGTYGATTWSIVFDLESVNRASYYTLQLALASAQEAELQVRFNDGEAQPPHFTTGTIGSDNAIARHGIHGLYWLFSVRIAGTWLVQGRNIIFLTQAGATSPWRGVMYDYIRLEGPDQYRDPSDAAFKSTSLPSLFSLSISLFLLLFSW >itb05g23350.t3 pep chromosome:ASM357664v1:5:28484518:28486920:-1 gene:itb05g23350 transcript:itb05g23350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLS >itb05g23350.t6 pep chromosome:ASM357664v1:5:28484518:28487554:-1 gene:itb05g23350 transcript:itb05g23350.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLLRRFLDWWHLEIIIGLLFLSPAAECQRRTLKGAGVQLDIQEHQAVMNNGILSVSLSVPDGLVTGISYQGINNLLETKNPENDRGYWDAIWHGPGIDGELDKLQGTSFEIITNDESEVEISFTRTWDASQNNVAPVNIDKRFIMLRDTPGFYTYAIFQRLEGFPGVRMEESRVVFKPRQDLFHYMAVSDDRQRFMPMPEDRLSGQVLDYPEAVLLTRPSNRALKGEVDDKYFYSSENKDNKVHGWVCTDPPVGIWMITPSSEFRTGGPFKQELTSHVGPTMLSTFVSRHYAGEDLDVIFQDGEPWTKVLGPVFVYLNTDTAAENDPSVLWNDAKNKVLNCYFYVLFRYN >itb11g12860.t2 pep chromosome:ASM357664v1:11:9835903:9839244:-1 gene:itb11g12860 transcript:itb11g12860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFKHPQSCSFLALYLFLLSIIGNSVGSSNCIEKERHALLSFKHNISDPNNLLSSWTDGDDDCCKWEGVGCDNRTGHVVAVDLGPNTMCINEASCTPDWPCDINPALQGEIGPSLLDLPFLNYLDLSCNQFETTIPTFIGSLHNLLYLNLSFNNLVGMVPPHLGNISTLKYLDFGNNYLSMGYTLEWISDLASLEHLNLKLTDLYQTLDWLESITKLPVLRTLDLSFCRAPNNLQSLHNLNSSKSLEYLYMDGGILTSSLLNLWLNQSYRMKELKLFDSQLYGMVPDVVTKMYSLEHLDLSFNFLQGEKTEDLKFLRYLRNMKILDLSNNLFSFILSELVLGSEKVIQDLNFRRNKIVGSLDGIKKFSSLRVLDITDNKLSGPLPDMSTMLSLESLFISYNQIVGNLMGSNIGHLSNLVELDVSSNLLRESINETQLSNFSKLGWLSLSDNNIKLNLNTGWVPPFQLRCLGLRSCKLGPKFPSWIRTQRYLWILDISDNGISCFIPHWLSNITFLRHLTISQNFLQGILPNLASTFTVINFSDNMLEGPVPKNYSGAKFLSLSKNKLSGTISFLCTDKFNESNYLDLSDNLFSGNIPECLGQYAGNYLSVLNLANNNFSGEIPSSLGYLSQISSLHLRNTGVFGELPISLKNCTSLRILDLGKNQLNGNIPVWIGESLTQLKVLYLHSNELKGSIPTSICQLQSMRVLDLSSNSLYGPIPTCFSNYSSAMTQMLDEWFLDEAHFEDSPSLYGILNSLHYFFFDFELVTWKGKEAEYRENLKFLKVIDLSNNRLVGEIPVDLTDLHGLISLNLSKNNLTGSIPYRIGEMNSLEILDLSQNQLFGAIPVSMANLSFLAVLDLSNNNLSGCIPLGTQLQGFTEAYEGNPQLRGLPLQTKCQSSKQGNDDFVEEHWILLDLDFYLSMTLGFILGFWGVCGTLIVKHSWRHAFFQFLEDKKDYIYLFIVSHGTKLKRSMGGMLKK >itb11g12860.t1 pep chromosome:ASM357664v1:11:9835903:9839244:-1 gene:itb11g12860 transcript:itb11g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFKHPQSCSFLALYLFLLSIIGNSVGSSNCIEKERHALLSFKHNISDPNNLLSSWTDGDDDCCKWEGVGCDNRTGHVVAVDLGPNTMCINEASCTPDWPCDINPALQGEIGPSLLDLPFLNYLDLSCNQFETTIPTFIGSLHNLLYLNLSFNNLVGMVPPHLGNISTLKYLDFGNNYLSMGYTLEWISDLASLEHLNLKLTDLYQTLDWLESITKLPVLRTLDLSFCRAPNNLQSLHNLNSSKSLEYLYMDGGILTSSLLNLWLNQSYRMKELKLFDSQLYGMVPDVVTKMYSLEHLDLSFNFLQGEKTEDLKFLRYLRNMKILDLSNNLFSFILSELVLGSEKVIQDLNFRRNKIVGSLDGIKKFSSLRVLDITDNKLSGPLPDMSTMLSLESLFISYNQIVGNLMGSNIGHLSNLVELDVSSNLLRESINETQLSNFSKLGWLSLSDNNIKLNLNTGWVPPFQLRCLGLRSCKLGPKFPSWIRTQRYLWILDISDNGISCFIPHWLSNITFLRHLTISQNFLQGILPNLASTFTVINFSDNMLEGPVPKNYSGAKFLSLSKNKLSGTISFLCTDKFNESNYLDLSDNLFSGNIPECLGQYAGNYLSVLNLANNNFSGEIPSSLGYLSQISSLHLRNTGVFGELPISLKNCTSLRILDLGKNQLNGNIPVWIGESLTQLKVLYLHSNELKGSIPTSICQLQSMRVLDLSSNSLYGPIPTCFSNYSSAMTQMLDEWFLDEAHFEDSPSLYGILNSLHYFFFDFELVTWKGKEAEYRENLKFLKVIDLSNNRLVGEIPVDLTDLHGLISLNLSKNNLTGSIPYRIGEMNSLEILDLSQNQLFGAIPVSMANLSFLAVLDLSNNNLSGCIPLGTQLQGFTEAYEGNPQLRGLPLQTKCQSSKQGNDDFVEEHWILLDLDFYLSMTLGFILGFWGVCGTLIVKHSWRHAFFQFLEDKKDYIYLFIVSHGTKLKRSMGGMLKK >itb12g20610.t1 pep chromosome:ASM357664v1:12:23071004:23072590:-1 gene:itb12g20610 transcript:itb12g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFGLRLQSKIPDLGGVYPSLPATTAISVNFASENHVPRASGVVVGKRRVFGYKTTRRVVLGTGLSFWSQLMAGNLGGKSFMAYARQNGAIEQVLKNVDWPEQFPFKEEDFQRFDESSDSLFYETPRFVTHIDDPAIAALTRYYSEALPPANTPGVAILDICSSWSLPLQGISKKK >itb05g13350.t1 pep chromosome:ASM357664v1:5:20283382:20285188:-1 gene:itb05g13350 transcript:itb05g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEIDWSYIEFVDNQDILDLIEKKKDDFESVVMEHLRVNGAYWGLTTLDILGKLDVVDQDEMYTDQESAVQIGETVPLLSSSCTGHLPEISVLEVRGLFNATATVLASGCQRPAELDLKHCTNISDSGFWALTLAVPLLNLF >itb07g03540.t2 pep chromosome:ASM357664v1:7:2359482:2363010:-1 gene:itb07g03540 transcript:itb07g03540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHQSRGFIVCPMFLFLSLLLGFYSLQTAHGDPDYRDALKKSLLFFQGQRSGKLPAGQEIDWRSDSGLLDGSLQNVDLSGGYYDAGDNVKFNFPMAYTTTLLSWGALEYGRRLGPQLEATREAIRWATDYFLKCANGAPGKLFVGVGDPNADHKCWERPEDMDTVRSVYWVSPGKPGSDVAGEMAAALAAASLVFRRVDPEYARKLLGNAVKVFRFAVQYRGSYSDSLGSAVCPFYCSYSGYKDELLWGAAWLLRATNDVSYYNFINSLGANDLPDLFSWDNKFSGAYVLLSRRAVIGNDKRFDQYRQHAEDFMCKILPNNPYSSIQYTKGGLMYKLPEDNLQYVTTNTFLITTYAKYMAFTKRNFKCGNLLITHDTLRSLAKRQVNTSCIFILFFAR >itb07g03540.t1 pep chromosome:ASM357664v1:7:2358733:2363010:-1 gene:itb07g03540 transcript:itb07g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHQSRGFIVCPMFLFLSLLLGFYSLQTAHGDPDYRDALKKSLLFFQGQRSGKLPAGQEIDWRSDSGLLDGSLQNVDLSGGYYDAGDNVKFNFPMAYTTTLLSWGALEYGRRLGPQLEATREAIRWATDYFLKCANGAPGKLFVGVGDPNADHKCWERPEDMDTVRSVYWVSPGKPGSDVAGEMAAALAAASLVFRRVDPEYARKLLGNAVKVFRFAVQYRGSYSDSLGSAVCPFYCSYSGYKDELLWGAAWLLRATNDVSYYNFINSLGANDLPDLFSWDNKFSGAYVLLSRRAVIGNDKRFDQYRQHAEDFMCKILPNNPYSSIQYTKGGLMYKLPEDNLQYVTTNTFLITTYAKYMAFTKRNFKCGNLLITHDTLRSLAKRQVDYILGENPMSMSYMVGYGTNYPRRIHHRGSSLPSMTIHPRSFNCEGGFSPFYFTPNANPNILVGAIVGGPNQNDFFADQRTDYSHSEPTTYINAAIVGPLAYFAGNKDS >itb01g29650.t2 pep chromosome:ASM357664v1:1:33855820:33856182:1 gene:itb01g29650 transcript:itb01g29650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLKLLETVATCTEGRAAIGADEECVAGIVKRLMKCSRAATEHGITVLWSVCSLARDKDAQEAVGKVNGITKVLLVMQSDCSAGVQQMCGDLVKVLRVKNSKSCLACYETKTTHITPY >itb01g29650.t1 pep chromosome:ASM357664v1:1:33854730:33856506:1 gene:itb01g29650 transcript:itb01g29650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKRGGLYVTVPSLFRCPISMDVMKSPVSLCTGVTYDRSSIQMWLSQGHNTCPATMQVLPSTDFVPNLTLRRLIHLWLHHQAAMPSPSALSKQEALDIIKHIEKVSTAVSTKKIADFVKYSEENRRFFANSSEAVSGIVAALKNSDEIEFCESIIAILDLILSESGVKEHLNRLILKSDTDYLSRFVPLLQKGGLSSKIQSARVLESIALDGDSQLKIAEKQGLLYELCHLISEETDRFAIEAGVSSLIAVSTSRPVKKELVRFGVVKTIGKILSGPETANAVMEKTLKLLETVATCTEGRAAIGADEECVAGIVKRLMKCSRAATEHGITVLWSVCSLARDKDAQEAVGKVNGITKVLLVMQSDCSAGVQQMCGDLVKVLRVKNSKSCLACYETKTTHITPY >itb06g05220.t1 pep chromosome:ASM357664v1:6:7985599:7991485:-1 gene:itb06g05220 transcript:itb06g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFCTHFQNFSALSAGSTLFRFKWECVPMAKTSRLTPTTVYLYIPNIIGYMRILMNCCAFAICFKDKMLFSILYFISFVCDALDGWFARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPSLIFLSLLALDIGSHWLQMYSSFLAGKTSHKDVKDSSSWLFRTYYGNRKFMAYCCVSCEVNVFL >itb08g12130.t1 pep chromosome:ASM357664v1:8:12196937:12198066:-1 gene:itb08g12130 transcript:itb08g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKGKVHPSPSSSSSSDEALSVLKLLPAAILTLTCVLSLEDREVLAYMITRSMKATQEKTKRQVSHKPPLFHCDCFDCYTSFWFRWDSSPNRELIHQAIEAFEEHLNSGEHPKKNSSSKHRKKEKMGRRGIESPTPETPMVEESSFTRPLPEIQSSPLENMEPPAPEPGKYCEDDDVAAAPLRETTPSAGRVAAANNHKGFARKVLPDVLGVFNSRLWSLWSPNL >itb10g21070.t1 pep chromosome:ASM357664v1:10:26416084:26416566:-1 gene:itb10g21070 transcript:itb10g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPRSIAEGGTMQMWGSESRPNFLKESSDSSNSMSKKKRLVLVFGSGLFARKEEARRENSFLRAGKLISGLEEWRRWKSKKMRREKGRGVGTEIMRFRSWSVRWITPVDDSDRRWVKSDTTALAGMRQRVRVRVAWRRRNGEIEDIAIVLSSEIQTEA >itb04g13350.t1 pep chromosome:ASM357664v1:4:13405393:13406310:-1 gene:itb04g13350 transcript:itb04g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSFRNKLGEGGYSFVYKGKLPSGAFVAVKLLKEAKGGGEEFINEVASISRTSHINIVSLLGFCFEGDKRALLYEFMPNGSLEKFIYDGRVKIDRQLGWSTLHKIAIGIARGLEYLHRGCRTQILHFDIKPHNILLDEDFCPKISDFGLAKLHTTKESFVSITDRSSEIYFPHWIYSRIELDKELGLKGITNEEDKEYARKMIMVGFWCIHVDPSIRPSISKALEMLDSSVNSLPFPPKPYLYSSASTEANSSTIH >itb03g23560.t2 pep chromosome:ASM357664v1:3:21795307:21797556:-1 gene:itb03g23560 transcript:itb03g23560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWLSTMKWEMEVEEEKEIEAVLEKIWDLHDKLSDAIHSITRAHFLSSINKPRKPDDLYIHHRSKKRTGPDSDQNAGWKNDKPSGFVFVKEFRVDEEDDSAAVHEAKSLNAIRTALENLEDQLEFFHQRVERDVALARLEQSRIILAMRLADHKGQKYKFIEEAQALVGDVRDASHFVSPESLFGSAPRSPGEKSMAPKGNSNILLNVLLSSFKFVKKSLRVDHVGGILGNAALVAFSMLALMHMQQAGCKERNFLDYPPRRDDVLHNQTHTKVSRPQDSSSGVKQLDVLSARG >itb03g23560.t1 pep chromosome:ASM357664v1:3:21795307:21797556:-1 gene:itb03g23560 transcript:itb03g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWLSTMKWEMEVEEEKEIEAVLEKIWDLHDKLSDAIHSITRAHFLSSINKPRKPDDLYIHHRSKKRTGPDSDQNAGWKNDKPSGFVFVKEFRVDEEDDSAAVHEAKSLNAIRTALENLEDQLEFFHTVQTQQRVERDVALARLEQSRIILAMRLADHKGQKYKFIEEAQALVGDVRDASHFVSPESLFGSAPRSPGEKSMAPKGNSNILLNVLLSSFKFVKKSLRVDHVGGILGNAALVAFSMLALMHMQQAGCKERNFLDYPPRRDDVLHNQTHTKVSRPQDSSSGVKQLDVLSARG >itb08g01610.t1 pep chromosome:ASM357664v1:8:1188084:1229016:-1 gene:itb08g01610 transcript:itb08g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVLGLNKEALKISVWQGDVELTNMQLKPEALNALKLPVRVKAGFLGSVKLKVPWSRLGQDPVVVHLDRIFLLAEPATQVEGSSEDAVQEVKKNRIREMETKLVESRLMLKTEMNNSWLQSFISTIIGNLKLSISNIHIRYEDVESNPGHPFAAGITLEKLSAMTVDDYGKETFITGGALELIQKSVELEKLAVYFDSDIIPWHIDKPWEDLLPVEWVKVFRHGTQDGKPADNLVKEHSYILQPVTGNGKFSKQRPSVSLQSGEPLQRAAVTLDDVTLCLSKSGYRDIIKLAENFSGFNQRLKYAHFRPPVMVKSNPRAWWKYAYKAVSDQIKKGSGKLSWEQVLRYASLRKKYISLYASLLKSDPDRVVIDDDKDVEKLDRELDIEIILQWRMLAHKFVKKSMESDLYVRKQKANKPWWSFGWSSQSVEDESAPGTFSEEDWERLNNIIGYKEGDEEPLFATHDRRDLPHTTLEIHMKHNASKLSDGQDCLADLSCDNLDCSIQLYSETKVFDIKLGSYQLLSPNGLIAESATAKESLVGVFCFKPLDRNVDWSLAAKASPCYVTYLKDSIDQIVNFFESNVAVSQTIALETAAAVQMTIDEVRRTAQQQVNRVLKDQTRFLLDLNIAAPKVTIPTDFSPDNTHSTKLLLDFGNLVIHTKDDSEFVSHEDRNMYVQFDLVLSDVSAFLVDGDYHWGQALPTTKIGGHSKSNIICVLPVIDKCGVILKLQQIRLQNPGFPSTRISVQLPSLGFHFSPARYHRLMQVAKIFERGERNDLDYFRPWSQADFEGWLSLLSWKGVGGREAVWQRRYVCIVGPFLYVLENPGSRSYKQYISLRGKQLYQVPPDIIGNVKHVLAVCDAERANNKIVEDANALILRYNSEESKRIWQRSLQGAIYRASGSAPISGLSESSSDSEDSEMDRINNRDNKDLSKVENLYLTGVLDELKMCFNYSGQNDHSFTKVLLEEENRLFEFRATGGRVELSIRGKDIFIGTVLKSLEIEDLVCPSGMLQPCYLARSFISSDTQIVIDAQGQGCNSNSSSQFEAEEKFYEAPEDLNDIDSPHALGGGSGLLSPTTSFSPGRTIIKAPSFNRIAGLLPTEATENGSNLMEVTDTFDSFVKAQVIIFDQNSPLYSNIDTKVVVTLATLSFFCRRPTIMAIMHFVNAINLEEGSCESFSDTSSSSSLQHEISDANVDDGPSAGVDEPAVKSLLGKGKSRIVFCLKLNMARAQILLMKEDGSSLATLSQDNFLTDIKVFPSSFTIKASLGNLRISDDSLPDTHCYFWACDMRNPGGSSFVELEFCSFNVDDEDYEGYDYSLLGELCEVRIVYLNRFIQEVISYFMGLVPNDSKDFVRIKDQGTNSEKWFTRNEVEGSPALKLDVSLRKPIILMPRRTDSLDFLKLDVVHITIQNSFQWFCGSKNEINAVHMEILTVSVKDINLNVGAGSELGESIIQDVSGISIVIQRSLRDLLHQIPSVDVSVKMEELKAALSSTEYEIITECAQANFSETPNTLPPLIGDYTSPSAVMTEPLALQSPDTANTKTEDGIRWITTKVSVSINLVELCLYYGLKRDASLATLQVSSLWLLYKSNTAGEGFLSSTLGDFAVVDDREGIQEELKLAIRKPETFGYRPSKFVRDGDNHPDVKTSDMEDLAPSPTMIILDAKFGECSTLISLCIQRPQLLVALDFLLAVAEFFVPTVRSMLSNDDDRSSSHLIDALILDQPTYIQPCSEFFISPLKPLVADDERYDLFVYDGKGGILYLQDRQGKNLSSPSVEALFYVGSRKKLQFKNVTIKNGKYLDSCILLGPNSSYSVSEDDKVYLEEVDEWPSEIHHSSTVSGITSQSPVSRSTELIFEMQAIGPELTFYNSSKDVGESLVLLNKLLHAQLDAFCRVVLKGDTTEIDARFLGLTMESNGVRIVEPFDTSMKYTTASGKTNIHFTVSDVFMNFSFSILRLFLAVEEDVLAFLRTTSKKMTVVCSEFDKIGTIKYGEQMFVFWRPHAPPGYAILGDYLTPTDKPPTKGVIAVNSSIVRVKRPESFTLIWSSSQSQVDSELCFGTGHSDEDRVFSIWFPQAPKGYVALGCVASPGSVQPSSSSVFCISASLVSPCGLRDCISIGNSSRSSSLAFWRVDNSVGTFLPADPTTLSLSGRAYGLRHVIFGLHKDSSKSSESSENGAPSSHHNTLQQELSSSVNSGRRFEAVATFRLIWWNQGSGSRKRLSIWRPIVPQGMVYFGDIAVQGYEPPNTCIVFHESDEILKAPLDFQLVGHIKKHKSVDSISLWMPQPPSGFVSLGCIACKGTPKQSDFTSLRCIRNDMVTGAQFSDESIWDTSEIKFMKYPFSIWVIGNDLGPFVVRSGFKKPPKRFALKLADQDIPSGSDNMLIDAEIRTFSVALFDDYGGLMVPLCNVSLSGIGFNLHGQSDNFNSSVTFSLAGRSYNDKYDSWEPLIEPVDGLLRYQYDINAPGAASQLRFTSTRDLNLNVSVSNVNTIFQAYASWNNLSHINESYEQETVSPTDGGKPIIDIHHKKNYFIIPRNKLGQDIFIRTTGMLPTKAIKMPSGDSKPVKVPVAKNMLDSHMKGNNFSKCRAMVTVVVAEAELKKVAGLSSHQYTVAVRLFLDQNQPGLSLLHEQSARTRGISIDDSSAIVSVQWNEVFFFRIDSLDFYNLELVVTDIGKGDAVGYFSAPLKHISGFQDNLDGLNWIELFSDSPAMTSGEERVMKSAGRIKCACYLSPQIDMEISKRSSDKGKKVGFIQISPTREGPWTTVKLNYAAPAACWRLGNNVVASEVTIMENNRYVNIRSLVSVRNDTEFAMHLRLKLRTSDETMPPDNERKEENDNGYEFVRCELFETQKYTPTAGWVGLDFNEMASGVGLPSGWEWVDEWHVDNSSIKITDGWAYAPNVESLKWPESCNPVESVNYARQRRWLRHRTCVLGDLKPQICVGPLRPGEVTPLPLSALTQSGRYVLQFRPSNLEQAEEYSWSSVIDRTHNSHDVGMQPENSGICVSTLQESEELLYCSLVSGTSSSSRGMWFCLSIQATEIAKDVHSNPIQDWTLVIRAPLSISNYLPLVAEYSVLESQNTGHFLACVRGVFNPGDCIKVYNADIRNPLYFSLLPQRGWLPVHEAVPISHPSKVPNKTISLRSSVSGRVVQIILEHSHAEERPFEAKVIKVYSPYWLSIRRCPPLTLRFLDLGGKKSRKISFPFKSTSNSEAIFEEITEEEIYDGYTIASALNFNKLGLAASINHSGEEHFGPITDLSPLSNMDGSLDLHAYDADGNCMQIFISSKPCPYQSAPTKVISVRPFITFTNRVGQDLFIKLSSEDEPKVLHASDARVSFVVLGTTSPNELQVRLNDTNWSFPIQIVKEDSIFLVLRKADGTRRFLRVEIRGFEEGSRFIVVFRLGSTNGPIRIENRTSSMIIRIRQSGFDDDTWIQLLPLSTTNFSWEDPYGQKLLDAEIHSENRGTVWKFDLNNSGFCSKCDGLGLLFHVVDLDNIRVARFLDENMPILPPNEGSTSLTEAGNSGSSRIQSQMQESGSPVELTIELGAVGVSVVNHRPKELSYLYFERVSISYSTGYDGGTTSRFKLIVGYLQFDNQLPLTLMPVLLAPEQTIDVHHPVFKMTVTVQNEIVNGIQVYPYVYVRVTDKCWRLNIHEPIIWAFVEFYNNLQLDRLPKSTNVSQVDPEIRIDLIDISEVRLKLSLEPAPAQRPRGVLGVWSPILSAIGNAFKIQVHLRPVMRRDRFMRKSAVVSAIGNRFWRDLIHNPLHLIFSVDVLGMASSTLASLSKGFAELSTDGQFLQLRSKQVWSRRITGVGEGIIQGTEALAQGVAFGVSGVVTKPVESARQNGLLGLAHGLGRAVVGFIAQPVSGALDFFSLTVDGIGASCTHCIEILSNKTIFQRIRNPRAIHADNVLRDYCEREALGQMILYLAEERRHFGCTELFKEPSKFAWSDYYEDHFVVPYQRIVLVTNKRVMLLQCVSPDKMDKKPCKIMWDVPWEELLALELAKAGYSKPSHVIIHLKNFRRSEKFVRVVKCNTDEESEEPQAVRICSVVRKWWKEHQSDMQSLELKVPSSQRHVCFSWDGADGRDPSRRHKTIIGSGELSSSSSASIENKSIQHVINFSKVWSSEQEPKGRCTLCQKQNTEESGFCTIWRPICPSGYVPIGDIIRTGSHPPNAAAVYCYSDKNFAPPMGYDLVWRNCSDDYTTAVSIWHPRAPDGYVSLGCVAVPCFTEPEPDDMYCIAESLVEETVFEEQKMWSAPNSFPWACHIYQVHSEALHFVALRQPKEESDWKPMRVIDDPQHSVQLP >itb01g10030.t1 pep chromosome:ASM357664v1:1:8344335:8350994:-1 gene:itb01g10030 transcript:itb01g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWEESSRFGSLPTLTSRNPSTSSSAFFSANQSPFFSPRSPKSQSSVQFGTHATDIPDANLGIEQEYLENARLSDPYSVTAACTSNIPQKLDFVLSSTSISNSTVSTYNIDYENEYMRHRGKQRIGRAHETSVTSTSSLSNRVRSCDVYIGFHGRKPLLLRFTNWLRAELEIQGLSCFVTDRARCRSSRKHSMIERVMDASTFGVVILTRKSFRNPYTIEELQFFSSKKILVPVYFDLRPDECLVRDIIERRGELWEKHGGELWLLYGGLEKEWRDAVNALSRVDEWKLEAHDGRWRECILRAVTLLALRLGRRSVVDRLTKWREKAEKEEFPFPRNENFVGRKKELSELEFRLFGDASGDIETRFFELKARPRHRNLTVGRSKSKSVDEKQRGRAGDRSRKMGKEPMVWKESEKEIEMHNMEFSQVQQHTPKPKSNRKHGRRKRSMKVVYGKGIACVSGETGIGKTELLLEFANQFHQRYKMVLWIGGESKYIRQNYLNLWPFLEVDVGVESSPEKSRIKSFEEQEEAAIARVRKELMRNIPFLVIIDNLESEKDWWDRKLVMDLLPRFGGETHVIISTRLPHVMNLEPIKLDYLSEIEAMSLMQGGVKDYPITEIDALRTIEEKLKRLTLGLAMVGAILSELPINPSRLLDTINRMPSGDIISSDRENHPLRRNIFLLKLIEVCFSIFDHADGPRSLATRMVLASGWFAPSPVPLSLLAMAAHKIPKKHPRRRMWRKILRSLTCGLTSTYARKSEVEASSLLLRFNVARPCTKEGHIHFHQLVRHYAQKREVDGVAQATVQVVISRGSISHHFEHIWAACFRLFGYGSEAMVDDLEVSELLFLVKEVVMPLAIRTFITFSRCSPALELLRRCTDALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPCLWQELALSRATVLEIRAKLMMRGGEFDIGDDLIRKAIFIRTSICGEDHPDTISARETLSKLTRLLANVQNHTSL >itb01g10030.t2 pep chromosome:ASM357664v1:1:8344335:8350994:-1 gene:itb01g10030 transcript:itb01g10030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWEESSRFGSLPTLTSRNPSTSSSAFFSANQSPFFSPRSPKSQSSVQFGTHATDIPDANLGIEQEYLENARLSDPYSVTAACTSNIPQKLDFVLSSTSISNSTVSTYNIDYENEYMRHRGKQRIGRAHETSVTSTSSLSNRVRSCDVYIGFHGRKPLLLRFTNWLRAELEIQGLSCFVTDRARCRSSRKHSMIERVMDASTFGVVILTRKSFRNPYTIEELQFFSSKKILVPVYFDLRPDECLVRDIIERRGELWEKHGGELWLLYGGLEKEWRDAVNALSRVDEWKLEAHDGRWRECILRAVTLLALRLGRRSVVDRLTKWREKAEKEEFPFPRNENFVGRKKELSELEFRLFGDASGDIETRFFELKARPRHRNLTVGRSKSKSVDEKQRGRAGDRSRKMGKEPMVWKESEKEIEMHNMEFSQVQQHTPKPKSNRKHGRRKRSMKVVYGKGIACVSGETGIGKTELLLEFANQFHQRYKMVLWIGGESKYIRQNYLNLWPFLEVDVGVESSPEKSRIKSFEEQEEAAIARVRKELMRNIPFLVIIDNLESEKDWWDRKLVMDLLPRFGGETHVIISTRLPHVMNLEPIKLDYLSEIEAMSLMQGGVKDYPITEIDALRTIEEKLKRLTLGLAMVGAILSELPINPSRLLDTINRMPSGDIISSDRENHPLRRNIFLLKLIEVCFSIFDHADGPRSLATRMVLASGWFAPSPVPLSLLAMAAHKIPKKHPRRRMWRKILRSLTCGLTSTYARKSEVEASSLLLRFNVARPCTKEGHIHFHQLVRHYAQKREVDGVAQATVQVVISRGSISHHFEHIWAACFRLFGYGSEAMVDDLEVSELLFLVKEVVMPLAIRTFITFSRCSPALELLRRCTDALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPCLWQELALSRATVLEIRAKLMMRGGEFDIGDDLIRKAIFIRTSICGEDHPDTISARETLSKLTRLLANVQNHTSL >itb01g10030.t3 pep chromosome:ASM357664v1:1:8345235:8347470:-1 gene:itb01g10030 transcript:itb01g10030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWEESSRFGSLPTLTSRNPSTSSSAFFSANQSPFFSPRSPKSQSSVQFGTHATDIPDANLGIEQEYLENARLSDPYSVTAACTSNIPQKLDFVLSSTSISNSTVSTYNIDYENEYMRHRGKQRIGRAHETSVTSTSSLSNRVRSCDVYIGFHGRKPLLLRFTNWLRAELEIQGLSCFVTDRARCRSSRKHSMIERVMDASTFGVVILTRKSFRNPYTIEELQFFSSKKILVPVYFDLRPDECLVRDIIERRGELWEKHGGELWLLYGGLEKEWRDAVNALSRVDEWKLEAHDGRWRECILRAVTLLALRLGRRSVVDRLTKWREKAEKEEFPFPRNENFVGRKKELSELEFRLFGDASGDIETRFFELKARPRHRNLTVGRSKSKSVDEKQRGRAGDRSRKMGKEPMVWKESEKEIEMHNMEFSQVQQHTPKPKSNRKHGRRKRSMKVVYGKGIACVSGETGIGKTELLLEFANQFHQRYKMVLWIGGESKYIRQNYLNLWPFLEVDVGVESSPEKSRIKSFEEQEEAAIARVRKELMRNIPFLVIIDNLESEKDWWDRKLVMDLLPRFGGETHVIISTRLPHVMNLEPIKLDYLSEIEAMSLMQGGVKDYPITEIDALRTIEEKLKRLTLGLAMVGAILSELPINPSRLLDTINRMPSGDIISSDRENHPLRRNIFLLKLIELWLLIKYLRSTRVEGCGEKFSVP >itb03g23160.t1 pep chromosome:ASM357664v1:3:21331887:21335646:1 gene:itb03g23160 transcript:itb03g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMKGNKKNRRLSINLSLFALTDQNNSQKSATFRSPKHFEEPNGVVGLGIVPLLKSSGTSRAVILAISPRSPTPHSIPINIFKNNDMNKKKENNTATPSVEDMEMCEEYTCVISHMGSNQIKKIEYFNGEFLGNTCTSTTRANTDSGMRETAAFKAADFLSSCFLCKKLLHGLDIFMYRGETAFCSVECRCKQISMDEHKESCGRPGAMKTHEHEYSASPMKFLAGVAVA >itb10g24030.t2 pep chromosome:ASM357664v1:10:28059241:28062259:-1 gene:itb10g24030 transcript:itb10g24030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKEEVVRSLSPARSRAKSPARSGSPLSKLLWRKKSSGSCSSHFVVNSDSLISRSGSLRPLGETLTPLMEGPDPDGGVVGESKRVGSGLGQWMKGQLSRTPSVALNGYSRRSDLRLLLGVMGAPLAPVHVSTADPLPHLSIKDTPIQYTAASGGQKLQHSIKNAYVMGKVRMLASEIETPTKVVKNRNVARAAESGGFVLWKMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWIGAHTAKGPVRPLRRALQGLDPRTTASLFTNARCIGEKKINGEDCFILKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQTNGGDAVYWETTINSFLDDYRPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGTLSEACELPQDEKGKSSAAVAAYCAKVAALETTHEGSVDNVVWKVEI >itb10g24030.t1 pep chromosome:ASM357664v1:10:28059149:28062259:-1 gene:itb10g24030 transcript:itb10g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKEEVVRSLSPARSRAKSPARSGSPLSKLLWRKKSSGSCSSHFVVNSDSLISRSGSLRPLGETLTPLMEGPDPDGGVVGESKRVGSGLGQWMKGQLSRTPSVALNGYSRRSDLRLLLGVMGAPLAPVHVSTADPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQHSIKNAYVMGKVRMLASEIETPTKVVKNRNVARAAESGGFVLWKMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWIGAHTAKGPVRPLRRALQGLDPRTTASLFTNARCIGEKKINGEDCFILKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQTNGGDAVYWETTINSFLDDYRPVEGIMIAHSGHSVVTLFRFGEMAMSHTKTRMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGTLSEACELPQDEKGKSSAAVAAYCAKVAALETTHEGSVDNVVWKVEI >itb01g00040.t1 pep chromosome:ASM357664v1:1:13661:18457:1 gene:itb01g00040 transcript:itb01g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQDRLPLPKERREIGPCFPREAPLSQASSLLLLVDCSMTGFPVSWALLARIRSDPAISSSSTVFCILRLARPAAVGCDLRLRDVGCGLQPAAAASGGELRVADCDLRPCDFNMCLCVFQFWHDRFTLAAQNLQVLGEAYQVLSDPAQRQDYDAYGKSGISTEAIIDPAAIFAMLFGSELFED >itb04g05250.t1 pep chromosome:ASM357664v1:4:3271424:3273830:1 gene:itb04g05250 transcript:itb04g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLLPWLLLALHLFPSPLLAHRSTYIVHMDKSFKPAAFPTHHHWYSSAVTSLHAARRSSPPKLLYTYDNALHGFSAVLSEDELQVLKKSPGFVSAYKDRTFELQTTYTPGFLKLNSGTGLWPASRLGEDVIIGVIDSGVWPESRSFRDDGMPEIPKRWKGVCKEGTEFNSSLCNRKLIGANYFNSGVLAANPGINIPMNSARDTDGHGTHTASTAAGNFVDGVSYFGYAPGTAKGVAPLARVAVYKVSWDEGLFTSDLLAGMDQAVADGVDVISVSIGVRFVPLYEDPIAIAAFGAMNKGILVTGSAGNRGPSAGTLNNGAPWILTVASGLTDRWFAGTLTLGNGLKIRGWSLFPGRAIVRNVNLVYNKTISVCNSSELVAQVPNPGSTILICDKPEIEASSLTFSQAEYISDAGIRAGIFINDDPTIFRSTRFPNPGVFITPKEGKQVIRYAKTAIDPRATITFQETIFGNKPAPTVAASSSRGPSPSYLGISKPDILAPGVLVLAAYPPNSFAVNIGINIQLGTDYFLESGTSMACPHAAGIAAMLKGAHPNWSPSAIRSAMMTTAITLDNTGKPIKDSDINAAATPLDMGAGLVDPNAALDPGLVYDATPQDYLNLLCSMNFTEAQFQTIARSSSSASDNCSNSNPDLNYPSFIALYSPEGDNDLLVQTFKRTLTNVGPGAATYKAKLEVPRNSTISVSPKTLVFTKEEEKQSYSLTIRYGGDKHQTDQSHKFGSITWVEVNGYHKVRSPIMVSSPVDLWE >itb06g16460.t1 pep chromosome:ASM357664v1:6:20642463:20645090:1 gene:itb06g16460 transcript:itb06g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSNIWILCLMFSVLGNGFLPLEASHHAYRNLHLIQSASFANHSYRTAYHFQPPKNWMNGPMIYKGIYHLFYQYNPKSAVWGNIVWAHSTSTDLVNWESHPPAIVPSQASDINGCWSGSATILPGGKPAILYTGADFQVKQYQNLAMPKNLSDPYLIEWVKSPYNPLMSPAQERLNASSFRDPTTAWLGPDGHWRVLVGNERKHVRGMALLYRSKDFVHWQKAKHPLFSLQNTGMWECPDFYPVSIRGENGVDTSVLGPGVKFVLKASLDDYKHDYYTIGMYDHQRDRFTPETAEFLGNGSGLRYDYGKYYASKTFFDSAKNRRVLLGWVNESTGNSTIDLLRGWAGVQAIPRKIWLDAFGKQLVQWPVEEIEKLRTNQVGQPSTVLKAGSVVEVSGVTAAQADVEISFGVSTVVENAEVLKPGGSTNPQELCSQKGASVNGKLGPFGLLVLASKDIQEFTAVFFRIFKDGNKFSVLMCSDQTRSSTQLVYDKTTYGAFLDIDPLKQKLSLRCLIDESIVESFGGGGKVCMTSRVYPTMAIDGEAHLYAFNNGTQNIWISSLSAWSMNKARIN >itb01g10740.t1 pep chromosome:ASM357664v1:1:9342597:9343037:1 gene:itb01g10740 transcript:itb01g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLGDNRCIKFFSILVCFLVAFLFNLQSIRYYSHASILVNVPYRKIMEGWEADPTNQYRCVVTSEYVGRTVSRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLCCIVLVFMLYFLDVTVDFRLVASTRNGEEDVEIGQQLGTID >itb03g21250.t2 pep chromosome:ASM357664v1:3:19069946:19072863:-1 gene:itb03g21250 transcript:itb03g21250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFQSLSSSSSSPSHWQDHHLHQVPSYTSPEYRMDYGSSSKYSNRMGMTMRPPITNRTEERIVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNNQPKIGAAEGTGKHMTHRAALLNK >itb03g21250.t1 pep chromosome:ASM357664v1:3:19069834:19073744:-1 gene:itb03g21250 transcript:itb03g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVASEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCSNLWSVNMAAAFQSLSSSSSSPSHWQDHHLHQVPSYTSPEYRMDYGSSSKYSNRMGMTMRPPITNRTEERIVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNNQPKIGAAEGTGKHMTHRAALLNK >itb13g11400.t1 pep chromosome:ASM357664v1:13:16175552:16175881:1 gene:itb13g11400 transcript:itb13g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATIKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWLGKRPVVRGVVMNPVDHPHGGGEDKESPNW >itb09g12840.t1 pep chromosome:ASM357664v1:9:8169694:8171331:1 gene:itb09g12840 transcript:itb09g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSSILENGTKGANMGVVVVMVPLPAQGHLNQFLHLSRLIAAHGIPVHYVGAATHIRQATHRVHGWDPLAVPNLHFHQLPIPHFQSPPPNPDASTKFPTQLMPLFSATSHLRHPFTALIRNLSVDKKRVVVIADSLMGWVVQDVPSLQNAELYRFRCISAFTIYSFHWERAGKPEIRPEAGVLNCLPSPEGCFIPEFAEFVKTQRAFWDGISGDILNSCREIEALFIDLLGEESKSGSQWAIGPLNPVFLTDSINNRHKSLEWLDRQEKDSVLFVSFGSTTSLSNEQITELGAGLDESGQKFIWVVRDGDRGDVFDGEARRAELAEGYEERVRGRGLILREWAPQLEILGHPSVGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNATLVTEVLKMGISLVDWARRDEVVGRREIGEGVKKLIASADGEEMRRRAAELKELIAVGGGCDELESFVAHITR >itb08g10910.t1 pep chromosome:ASM357664v1:8:10607099:10609003:-1 gene:itb08g10910 transcript:itb08g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNPSGSPPAVEEPSAAASDGGKWAERLLRECAGAISEKDSAKIHQLLWMLNELASPYGDCDQKLAAHFLQALFCKATETGPKCYKTLLSVSEKSHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALDGETKLHIIDISNTFCTQWPTLLEALATRNDETPHLKLTVVVTAATVVKSFMKEIAQRMEKFARLMGVPFEFNVVSGLTHLGEITKDALNVRDDESVAINCIGALRRVGVDERSAILRTFLTLRPKVVTVVEEHADFTHTRHDFVKCFEECLRFYTLYLEMLAESFPATSNERLMLERECSRSILRVLGCDDPSSNDGDSESRERGTQWSEKLRDAGFSPFTLNDDAVDDVKALLKRYKSGWALQPPQQQAGEDNNTTGTSSSSTSSSGIYLTWKDEPVVWASAWKP >itb02g11070.t1 pep chromosome:ASM357664v1:2:7251553:7253004:1 gene:itb02g11070 transcript:itb02g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFACFRGKGSSSAANHGTSHENATADVTAEEQRRGGAVVVELFSSQGCATSPEAELLFSRIGRGDFELEVPVILLAYHVDYWDYMGWKDPFASSKWTVRQKAYVESLRLDTMFTPQIVVQGKIQCVANELDAVLTSIKSAPRFPAPTFQATFERSTSDSLQVSLTGALRSKVDGNGANVMVVLFESGLVTNCQKGENRDKVIANDYVVRRLEKLCSVKDISAKKTVSGTVNFPLWEGFNSSKCGVAVFVQNGSHQIFGSQNFQLPDNL >itb12g20820.t1 pep chromosome:ASM357664v1:12:23285981:23290032:-1 gene:itb12g20820 transcript:itb12g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTSEEEEALRAGVAKHGAGKWKNIQRDPEFNHLLYSRSNIDLKDKWRNLNVSANGQNPRDKSRTPKVKANPDSSVTPVPNAQTPVSSIPASDDVATDAIVEDNTKCLLDGKTASKYNAMIYEALSTLKDPDGSDTSTIVRFIEQRHEVPQNFRRLLSSRLRKLIQQEKLEKIQNCFRIKKELLGSPKQKESWSRHNQNQNQNQNQKTCYLGNTVEEAAVSAAYRIAEAENKSFVAAEAVKEAERVSRMAEDSNVLLQLAKEIFEKCENGEIVLMA >itb02g03520.t2 pep chromosome:ASM357664v1:2:2038842:2043855:-1 gene:itb02g03520 transcript:itb02g03520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRACYEAAKLWMQIPAPKRGEIVRQIGDALRAKVYHLGHLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEKWNPLGVVGVITAFNFPCAVLGWNACIALVCGNSVVWKGAPTTPLVTIAMTKIVAGVLEKNNLPGAIFTAFCGGADIGQAIAMDTRIPLVSFTGSSKVGLSVQQTVNKRFGKCLLELSGNNAIVVMDDAEIELVVRSVLFAAVGTAGQRCTTCRRLLLHENIYQKVLERLVEVYKQIKIGDPLEKGTLLGPLHTHASRENFEKGIQKIKSEGGKILTGGSVIPSEGNFVQPTIVEISASADIVKEELFAPVLYVMKFQTFKEAVEINNSVPQGLSSSIFTRKPELIFNWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGAELPLAQGINFC >itb02g03520.t3 pep chromosome:ASM357664v1:2:2038888:2043836:-1 gene:itb02g03520 transcript:itb02g03520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEKWNPLGVVGVITAFNFPCAVLGWNACIALVCGNSVVWKGAPTTPLVTIAMTKIVAGVLEKNNLPGAIFTAFCGGADIGQAIAMDTRIPLVSFTGSSKVGLSVQQTVNKRFGKCLLELSGNNAIVVMDDAEIELVVRSVLFAAVGTAGQRCTTCRRLLLHENIYQKVLERLVEVYKQIKIGDPLEKGTLLGPLHTHASRENFEKGIQKIKSEGGKILTGGSVIPSEGNFVQPTIVEISASADIVKEELFAPVLYVMKFQTFKEAVEINNSVPQGLSSSIFTRKPELIFNWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGAELPLAQGINFC >itb02g03520.t1 pep chromosome:ASM357664v1:2:2038842:2043904:-1 gene:itb02g03520 transcript:itb02g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAKREYEFLREVGIGPKNLGCYVNGVWKASGPTVYTVNPANNQAIAEVVEASIRDYEEGMRACYEAAKLWMQIPAPKRGEIVRQIGDALRAKVYHLGHLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEKWNPLGVVGVITAFNFPCAVLGWNACIALVCGNSVVWKGAPTTPLVTIAMTKIVAGVLEKNNLPGAIFTAFCGGADIGQAIAMDTRIPLVSFTGSSKVGLSVQQTVNKRFGKCLLELSGNNAIVVMDDAEIELVVRSVLFAAVGTAGQRCTTCRRLLLHENIYQKVLERLVEVYKQIKIGDPLEKGTLLGPLHTHASRENFEKGIQKIKSEGGKILTGGSVIPSEGNFVQPTIVEISASADIVKEELFAPVLYVMKFQTFKEAVEINNSVPQGLSSSIFTRKPELIFNWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGAELPLAQGINFC >itb04g18280.t1 pep chromosome:ASM357664v1:4:21683504:21683833:-1 gene:itb04g18280 transcript:itb04g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQEAVGTVTRKIEKEGKEDSPQPSASGMASSAIDGVTSMALRSVLQRVKQAGECCDRQSDRIRVVAVSKTKPASLICQVYDSRHRNFGENYVQELVDKAPQLPEGSH >itb03g16120.t1 pep chromosome:ASM357664v1:3:15203021:15203593:-1 gene:itb03g16120 transcript:itb03g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYSEYLMLTKTKQLKPNLNNLKQSLMEDDDDDKAAAWPPRSYSCSFCKREFRSAQALGGHMNVHRRDRAKLKRSNNSNTMASSPPPISSSAIIFFQGNNSGDQKKGGCKRQRIGGGCGNTVSFMPVQVHSEDEEVQQVVAGMRISRDSSMEDIDLELRVGVK >itb13g22780.t1 pep chromosome:ASM357664v1:13:29011746:29013383:1 gene:itb13g22780 transcript:itb13g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEILRPQDCLGERVNPFHRRKNGYAVGGGGAFYPRNNRKQVVRSEKKRFNAAAAAEAVPAGPKKSPSAENLRHGGAGGWKHAELLMGRVTILRRGESMGSLNSKIHRDNKAAPPPNGGSGDGAGDVAGDDLVVVGIRRLGPEQPVMIPKQIRVSRSSGDVYAGSGFSNSPSPRSLPLPSFFNNANKKQDGSDTTSFDDSATRDLRRLLRLE >itb12g16240.t1 pep chromosome:ASM357664v1:12:16949278:16951734:-1 gene:itb12g16240 transcript:itb12g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVLERLFDSFVSAVTHYCFFHYRQDTHKNINHTNVNMPKKSRPLSLQTVELKVRMCCTGCERVVKDAIQKLRGVDSIHVELELEKVTVLGYVERNKVLKAVRRAGKRAEFWPYPNPPLYFTTSDHYFKDMTAEYKKSYNYWRHGYNTGDKHGILLATQRGDDKISNLFNDDNVNSCRLM >itb04g02340.t1 pep chromosome:ASM357664v1:4:1389443:1392479:-1 gene:itb04g02340 transcript:itb04g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MEVSSLPISASQRGKLISAGYTSLSSLFSVSSFDLARDLKISENEALAILEVASQRAGLGRTNGSHAVVSGAQNAWDMLCEEESLGRITTCCADLDEILGGGISCQEVTEIGGVPGIGKTQLGIQLAVNVQIPSDYGGLQGKAIYIDTEGSFMVERALQIAEACAEDMQDYSGLLHKDKQAHQIRMQPKDLLNNIFYFRVCSYTEQIAVINYLEKFVSEHKDVKLVVIDSITFHFRQDFVDMALRTRLLGGLALKLMKLAKKFSLAVVLLNQVTTKYSEGSYQLTLALGDSWSHACTNRIILYWNGNERNAYIDKSPSVRSACAAYAVTRRGIRNSTSSCKRVKMM >itb10g23820.t1 pep chromosome:ASM357664v1:10:27964219:27965637:-1 gene:itb10g23820 transcript:itb10g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTLGDSECSSGCESGWTLYLENSYMAPYGGNRFLEGKGGFSQENGYEEEEEEEDLSMVSDASSGPPQFVEEEDYGNDNNNGCSYHAAPPVNSAPALPVKRQKKKENRRRSIGEHPSALDDTASSPIFNLSNNNFTINNQASVENVVLDFSQGYSTTHLQGRSVYQEQYGFFMPSLLPENQLLVQNQWYEGKKWG >itb09g24390.t1 pep chromosome:ASM357664v1:9:24053130:24058481:1 gene:itb09g24390 transcript:itb09g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERKMQLHPRHFGRDLRDKLVSKLMKDVEGTCSGRHGFIVAITGIESVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDAPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >itb09g24390.t3 pep chromosome:ASM357664v1:9:24053130:24058474:1 gene:itb09g24390 transcript:itb09g24390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERKMQLHPRHFGRDLRDKLVSKLMKDVEGTCSGRHGFIVAITGIESVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDAPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >itb09g24390.t2 pep chromosome:ASM357664v1:9:24053130:24058069:1 gene:itb09g24390 transcript:itb09g24390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERKMQLHPRHFGRDLRDKLVSKLMKDVEGTCSGRHGFIVAITGIESVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDAPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >itb09g24390.t4 pep chromosome:ASM357664v1:9:24054279:24058474:1 gene:itb09g24390 transcript:itb09g24390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERKMQLHPRHFGRDLRDKLVSKLMKDVEGTCSGRHGFIVAITGIESVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDAPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >itb11g14060.t1 pep chromosome:ASM357664v1:11:11111842:11114625:1 gene:itb11g14060 transcript:itb11g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTLRSLLAPISKFSNSIHLRHFSAKLNSQIQYPLDFKKKSLQRNLIPISSLLKRYGFPLSELHHFLANNPSLLNSDPSEIGKSLQILFSLGRSQQFLTSLVATCPRVLDYEYMKKWEKVMSGIQDFNLSVSAIKNVLQVCLKFELYPDDVLACLKCLRGLGFSEGTVIRVLEEFPQVVMTSPDRIQGKVEFLMSGIGIERTEVDCVVGSYPGVLAFGIENRLKPLLNEFKSLGFGLDIVRREVTRDPRILGLEVGELSHCLKLLRSLKCRVPVKEEIFRDGAFRAGYRVKLRVDCLHKHGLTYRDAYGVLWKEPRAILYEIGEIERKIQFLGQTMRFDIESLVDVPEYLGVNFEKQVVPRFKVIEYLRTKGGLGDEVGLRALIKPSRLKFYNLYVKPYPECESMYGRLAGDVRVKVGHPTGMWKLFKPPQYQQSKEDIMNIKSYMESLA >itb02g13850.t1 pep chromosome:ASM357664v1:2:10113252:10113998:-1 gene:itb02g13850 transcript:itb02g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFFCPTVNIDKLWSLLPTEIKEKAANSKDSAPVIDVTQFGYFKVLGKGVLPPSQPVVVKAKLISKIAEKKIKEAGGAVVLTA >itb09g05880.t1 pep chromosome:ASM357664v1:9:3332291:3334966:-1 gene:itb09g05880 transcript:itb09g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFQFLSCFCLACIFVPAIGSTLLFQGFNWESNKQQGGWYNSLIKSIPDLANAGITHVWLPPPSHSHSDAPQGYIPGKLYDLDESKYGNKQQLQELVAALHDKGIKAVADIVINHRSAERMDSRGIYCIFEGGTPDDRLDWGPGLICKNDTKYSDGTGNDDTGGDFGGAPDIDHLNPRVQKELSEWMNWLKSEIGFDGWRFDFVRGYAASITKIYMENTSPEFAVGEFWNDMAHGSDGKPDYNQDNQRNEISQWVQNSGGAVTAFDFTTKGILQAAVLGELWRLKDPNGKPPGLIGISPKNAVTFIDNHDTGSTQSISPFPKDKVIQGYAYILTHPGVPCVFYDHFFDWGLKDEISKLTSIRSRNGISETSNVEILAADPDAYVAKIDDKVIMKIGSRYDVAKFIPPNFNVVTSGQDYAVWEKKI >itb04g12440.t2 pep chromosome:ASM357664v1:4:12146377:12149101:-1 gene:itb04g12440 transcript:itb04g12440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGQDLLCVKQRPSSTIPPAELKGHLDDLGDCLFSDGTSPLPERNRRDSKKKVPEVFSRMLQSHTMQFTSITETSTKDGLTIIWSKRGGDVFAQSHLKWLQTLTGNSEGILFKLVPITSLLAGIPGSGYLSHAINLYLRYKPALEDLQYFLEFQVPRQWAPLFCELPLRHQRRKASCPSLQFSLFGPKIEVSPTQVSSAQKPVIGMRLYLEGKKCNRLAIHVQHLSSLPNIMTSNSANLSTTLPCQWRGSDDYESSDQFLEPVRWKGYANVCSSVVKHDPSWIQGDSGGVFVVTGAQLISKGKWPKRLLHLRLLYTHLPNCSIRKTEWAAAPEACHKTNFLMNLSTTFTFTQRTATDVPKQQLPTTLNSGVYPDGPPVPVHSTKMLKYVDTAEVTRGPYDAPGHWLVTAAKLVIEGGKIGLHVKFALLDYTHG >itb04g12440.t1 pep chromosome:ASM357664v1:4:12146373:12152208:-1 gene:itb04g12440 transcript:itb04g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFPAASGEDARKPTAVRAMEALGCGFDFASDFRLKFVKKSPAGSRLVILDERNRRDLVLPGGGVTIPDVSENIRCDKGDHIRFKSDVLEFNQMSEVLNQKSSVQGKVPSGYLNAIFDLSGSWLNDASDAKYLAFDGYFVSLYYLHLTASPLLLQDQVKKDVPPHWDPASLSRFIQTYGTHIIVGMGIGGQDLLCVKQRPSSTIPPAELKGHLDDLGDCLFSDGTSPLPERNRRDSKKKVPEVFSRMLQSHTMQFTSITETSTKDGLTIIWSKRGGDVFAQSHLKWLQTLTGNSEGILFKLVPITSLLAGIPGSGYLSHAINLYLRYKPALEDLQYFLEFQVPRQWAPLFCELPLRHQRRKASCPSLQFSLFGPKIEVSPTQVSSAQKPVIGMRLYLEGKKCNRLAIHVQHLSSLPNIMTSNSANLSTTLPCQWRGSDDYESSDQFLEPVRWKGYANVCSSVVKHDPSWIQGDSGGVFVVTGAQLISKGKWPKRLLHLRLLYTHLPNCSIRKTEWAAAPEACHKTNFLMNLSTTFTFTQRTATDVPKQQLPTTLNSGVYPDGPPVPVHSTKMLKYVDTAEVTRGPYDAPGHWLVTAAKLVIEGGKIGLHVKFALLDYTHG >itb07g14710.t1 pep chromosome:ASM357664v1:7:17377369:17380040:-1 gene:itb07g14710 transcript:itb07g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT1G68760) UniProtKB/Swiss-Prot;Acc:Q9CA40] MAPIPKTTRIKSCTKQSQTRFRSLRCLSILEFGQSTVTCKMENGAASPPVPKVGVAVFLLNGNKVLLGRRLSSVGYNTFALPGGHLEFGESFEECAAREVKEETGLDIDKTEFLTVVNNVMITEKVHVVCILLRAILADPAQVPQNVEPEKCGGWEWYDWNELPKPLFGPLEDVVQAGFNPFPTN >itb06g03390.t1 pep chromosome:ASM357664v1:6:5750051:5752017:-1 gene:itb06g03390 transcript:itb06g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSVKVCVLGGAGYVGSSLVKKLVEEGYTVHATLRNLSDESKVGLLKSFAVGAEERLKLFEADLFVSKAEKIEEAIRGCEFVFLVASPLPHGERLSVDAIVEAGKKISNACIRCGGVRRLIFTSSISAASPLEEEGGNGYKGVLDETCWTPLPLPVSHPSDPSAMDYIVSKTLAEKGVLETNDDGLLEVVSLGLGVVGGDTCLWYCPLSTSLLFSQLTSDKVTREALIFIEDLMGKIPIVHIEDVCDAHIFAIKAANMSGRFLLANSYLSIAEIAAYLRHNYPEFHVNQHQDHMVDDPKRQIVLSYEKLLHKGFTYKKFERCNT >itb05g00360.t1 pep chromosome:ASM357664v1:5:273703:275015:1 gene:itb05g00360 transcript:itb05g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFYGSYWSRPSRPRYPVYPFQPKPVDEAPKSKTVQIPVHFVRSEPTRADAALKIQKVFRGFLVRKSMNRVMSVAREVDEIERRVSQSETVEVLRRDERERIRVNEMLMSLLFKLDSVRGVDSGVRVCRKAVTRKAIALQERIDAIVNSNQQTVMDNGDSEAAKVCDSPESVDQTPCIDDSSESELETGNTADQSDNPAQIDTDGVEKPNDSPVEGGNVEKSELEDNERDETQSMGGFVSEVTNAKAENEGAEEKNGSLEIAETSNRGYENNRNRELLEKMMEENRKMMGLMAQLSERNEAQTRMLEALTQRVEHLEKSIVCDRLRRKKMKKKHTP >itb02g11580.t1 pep chromosome:ASM357664v1:2:7720819:7722362:1 gene:itb02g11580 transcript:itb02g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >itb06g19580.t1 pep chromosome:ASM357664v1:6:22853176:22854573:1 gene:itb06g19580 transcript:itb06g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYKNEYSSEIFVIPILILQLAMALKSMDLTVFLMLSVFTMLSTQTINGFRVELIHPNSLSNPNRNPSNNQFHWIRQAYNNTRSRAASIQSHLGGGSAKFKTDITSDGGAYVMKYSIGTPPFETYGIVDTGSDVTWTQCEPCIECFWQSLPVFDPKHSKSYKTASCDSDACALVGSSVGACSDQNVCQYNLTYGDSSKSVGDVAIDTVTIGDASFKNVVFGCGHQNSGTFLGNASGIVGLGNSDISIVKQLGKDVGGKFAYFLSSQPDSKSHISFGTDAIVKGTGAVSTPFSISPDQPTFYYLSLESMSVGNQSFPLKQSFSSNVGGRRVGIKKTSANGNIIIDSGTTLTILPSDVFDSLKSELTKQISATPIDDPQGSFDLCYSTIDKIEVPKIVAHFSGADVELSPRGSFQEVEEGISCFTIIPHTDLGISIFGNLFEVDYLVGYDLEAQTVTFKPTDCSKF >itb01g33340.t1 pep chromosome:ASM357664v1:1:36500110:36501681:1 gene:itb01g33340 transcript:itb01g33340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHQFGRSGLMFDHDNNAAPSLSLGCKRLRPLAPKLAADGGAITISPPVFDLKSFIRPESSSPTKLGSPETKKDSPQAAAVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSALLAAGLNHSPTTPPPTLPFDPIVVVVGREEESPKKRRCRSWAYEFLEMEEKRSSCCRREEGDRTLELFPLHPELGR >itb01g25510.t6 pep chromosome:ASM357664v1:1:30935015:30939008:1 gene:itb01g25510 transcript:itb01g25510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAGSGP >itb01g25510.t4 pep chromosome:ASM357664v1:1:30935013:30939008:1 gene:itb01g25510 transcript:itb01g25510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAGLASHNPVSYGKSNGF >itb01g25510.t5 pep chromosome:ASM357664v1:1:30935577:30938984:1 gene:itb01g25510 transcript:itb01g25510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAGLASHNPVSYGKSNGF >itb01g25510.t7 pep chromosome:ASM357664v1:1:30935013:30938658:1 gene:itb01g25510 transcript:itb01g25510.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAG >itb01g25510.t2 pep chromosome:ASM357664v1:1:30934994:30939008:1 gene:itb01g25510 transcript:itb01g25510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAGSGP >itb01g25510.t1 pep chromosome:ASM357664v1:1:30934994:30939008:1 gene:itb01g25510 transcript:itb01g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAGLASHNPVSYGKSNGF >itb01g25510.t3 pep chromosome:ASM357664v1:1:30934994:30938900:1 gene:itb01g25510 transcript:itb01g25510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMNNFGKRLQSDSEFPGNDGGKRRNPTDERDSNTIGPEDTVYRFLCPLGKIGSIIGVGGDIAKQLRAETNSKIRISETIPGCEERVVTLYSSSEETNEYEETGDLISPAQDALFRVHDRVFAEEARMEDELGEPQQIVVRLLVPSDQIGCVIGKGGQVIQNLRSETGAQIRVLSSEHLPPCALGSDELIMITGEGAVVKKALYQVASRLHENPSRSQHLLLSSASIYRSGGGLTYPHAGAHALGMTSVMGSYGSYRNDGRNRVASAKEFSIRLVCPNENIGAVIGKGGSIIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDPSPTIDATMRLQPRCSEKTEKESGDTVITTRLLVPSSRVGCLIGKGGSIISEMRNTTRAGIRILAKENLPKVASEDDEMVQITGDLNVACTALFQIVLRLRANVFEMEGTLAAISPVLPYGGVPPNISEGSKYSNRDNKSRSHGNPMYSGGRDLEDSIPNDSYGGSQIGGGSSYGPYGVYSSRSNSAGLASHNPVSYGKSNGF >itb08g07530.t3 pep chromosome:ASM357664v1:8:6448012:6453099:1 gene:itb08g07530 transcript:itb08g07530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKQEASNLAMSAAAEPPTDRPVRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDEITNKFKGKTVMTDKERYESLRHCKFPNTYLLVGCCNDEITNKFKGKTVMTDKERYESLRHCKWVDEVIPDAPWVITPEFLEKHQIDYVAHDSLPYADASGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRIIKDYNEYVMRNLDRGYTRKDLGLSYVKEKRLRVNRGLKTLHERVKKQQERVEEKIQTVAKTARMRRNLWVENADRLVAGFLEMFEEGFHKMGTAIRDRIQEQISTTNIKELLYDKEDDDDYYEYEYYYGDSSEDEDEEEYEYGKAQKRPSLPSVN >itb08g07530.t2 pep chromosome:ASM357664v1:8:6448012:6453099:1 gene:itb08g07530 transcript:itb08g07530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKQEASNLAMSAAAEPPTDRPVRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDEITNKFKGKTVMTDKERYESLRHCKWVDEVIPDAPWVITPEFLEKHQIDYVAHDSLPYADASGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRIIKDYNEYVMRNLDRGYTRKDLGLSYVKEKRLRVNRGLKTLHERVKKQQERVEEKIQTVAKTARMRRNLWVENADRLVAGFLEMFEEGFHKMGTAIRDRIQEQISTTNIKELLYDKEDDDDYYEYEYYYGDSSEDEDEEEYEYGKAQKRPSLPSVN >itb08g07530.t1 pep chromosome:ASM357664v1:8:6447863:6453353:1 gene:itb08g07530 transcript:itb08g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEKQEASNLAMSAAAEPPTDRPVRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDEITNKFKGKTVMTDKERYESLRHCKWVDEVIPDAPWVITPEFLEKHQIDYVAHDSLPYADASGAGNDVYEFVKAIGKFKETKRTDGISTSDIIMRIIKDYNEYVMRNLDRGYTRKDLGLSYVKEKRLRVNRGLKTLHERVKKQQERVEEKIQTVAKTARMRRNLWVENADRLVAGFLEMFEEGFHKMGTAIRDRIQEQISTTNIKELLYDKEDDDDYYEYEYYYGDSSEDEDEEEYEYGKAQKRPSLPSVN >itb14g04190.t1 pep chromosome:ASM357664v1:14:3740407:3740784:1 gene:itb14g04190 transcript:itb14g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKQDIMPQEVAVSSANKGGSSSNASTNDKPSIIELATASSPSGQVRLVVDCTRAIEEIPNYQVPNLDTVVNSLMMEEGKCLKQLRDANPNFSVKNLMEHFCQCFLKMNAKSISPTCSSSEGSN >itb03g18510.t2 pep chromosome:ASM357664v1:3:16771450:16773263:-1 gene:itb03g18510 transcript:itb03g18510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSGIDGGGGGGVTVKVEAEVVVVDECDDGGNGGLRSMEGVKGVGGPAPFLSKTFAMVDDPNTDAIIAWGASKKSFVVWDPHRFATELLPQHFKHANFSSFVRQLNTYKFRKVDSGRWEFANEGFQKGKKHLLKYIKRRRQHNHPAMQQQGGAENRVGACRNGAEVELHKLRTDQSTLKTEVMMLKQQQETTESYLTSIKEKLERAETRQKRMVVFMAKVLKNPLFVQCLIGKMKQNAALRGCEATKKRRLAASESHELLAKARSTADDMIFTTANESVDVKPLVAQAWVDDESGVPMLFNAVESNSSVEEQQANVFSEASNPEMNCENFFAWEKLMEEEDMIYENIVTAEHQNNIVYELEDMLAGDLVEQDDCPGLVPQAICEESSVGSLLD >itb03g18510.t1 pep chromosome:ASM357664v1:3:16771450:16773263:-1 gene:itb03g18510 transcript:itb03g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSGIDGGGGGGVTVKVEAEVVVVDECDDGGNGGLRSMEGVKGVGGPAPFLSKTFAMVDDPNTDAIIAWGASKKSFVVWDPHRFATELLPQHFKHANFSSFVRQLNTYKFRKVDSGRWEFANEGFQKGKKHLLKYIKRRRQHNHPAMQQQGGAENRVGACRNGAEVELHKLRTDQSTLKTEVMMLKQQQETTESYLTSIKEKLERAETRQKRMVVFMAKVLKNPLFVQCLIGKMKQNAALRGCEATKKRRLAASESHELLAKARSTADDMIFTTANESVDVKPLVAQAWVDDESGVPMLFNAVESNSSVEEQQANVFSEASNPEMNCENFFAWEKLMEEEDMIYENIVTAEHQNNIVYELEDMLAGDLVEQDDCPGLVPQAICSEESSVGSLLD >itb09g26520.t1 pep chromosome:ASM357664v1:9:27131393:27138194:1 gene:itb09g26520 transcript:itb09g26520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLIPTASLVEFRSSKPQLFNFNPLHFCTPIGSPSTAAYRFKLGSFGNSKVSTEAALSSSFSSDNKKTDPMVPPYNVLITGGSKGIGYALAKEFLKTGDNVLICSRSADRVDSALESLRNETGKQHVWGTKCDVRDSEDVKSLVAFAKQNLKYIDIWINNAGSNAYSYKPLVEASDEDLIEVVTTNTLGLMICCREAINMMLSQPRGGHVFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELEMQDVKTVLVHNLSPGMVTTDLLMSGANTKQAKFFINILAEPPDVVAEYLVPNIRSIPTSGSTKPTYIRFLTGLKAYSQIFSRIAFGARRNRYVLED >itb04g02350.t1 pep chromosome:ASM357664v1:4:1392983:1397868:-1 gene:itb04g02350 transcript:itb04g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAISRLNPIYRPSLYLTIRGFNKLGAQQGPLPPARSILHASFKSFSAQLSSSNFNPAMAQTQNQLTPDENLVVLGIETSCDDTAAAVVNSNGEILSQVISSQAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDYIQLGTTVDDAIGEAYDKTAKWLGLDLRKSGGPAIEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGIPISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGVMVAWTGIEHFLVGRFDPPPPADEPEDALVDLRPRWPLGEEYAEGKSEARSLKTARVHPSLTSLIQASRK >itb04g02350.t2 pep chromosome:ASM357664v1:4:1392983:1397868:-1 gene:itb04g02350 transcript:itb04g02350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAISRLNPIYRPSLYLTIRGFNKLGAQQGPLPPARSILHASFKSFSAQLSSSNFNPAMAQTQNQLTPDENLVVLGIETSCDDTAAAVVNSNGEILSQVISSQAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDYIQLGTTVDDAIGEAYDKTAKWLGLDLRKSGGPAIEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGIPISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGE >itb02g01330.t3 pep chromosome:ASM357664v1:2:738602:743266:1 gene:itb02g01330 transcript:itb02g01330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAKKGRQDKGSNVMEKVMVAVKASKEISKIALVWALTHVVQPGDCIALLVVVPSHSSGRKWTFPRFAGDCASGHRRSHTGTSSEQKSDITDSCSQMILQLHNVYDPNKINVKIKIVSGSPCGAVAAEAKRSQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQPKVLRLNLVGSCKRDTEPASSLPPEQSESSENHDVDKNRSLNSTRGQLVTPTSSPEIFTATEAGTSSVSSSDPGTSPFFNAEGSRDVKKEELLGTKDHNGNDSGSESDSEKLSCSSSVRFHPWMANIVNPQCQSSQHMQESTVRCSSRAQNSTAKTMLEKFSKNDEEGGFGSPSFRSDLDFTANVRDAISLSRSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELEHATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGHNQDPLLWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRIIGTFGYLAPEYTQSSQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIEELIDPRLANCYDEHEVYCMLHAASLCIRRDPQARPRMSQVLRILEGDLIMDSGRMSTPGQSRRIWSDASPQYQRYSGPILNEVLEGFNPKLSFEKTKIPDWEKDEDITRTSCEVTVSHGIAEF >itb02g01330.t2 pep chromosome:ASM357664v1:2:738346:743266:1 gene:itb02g01330 transcript:itb02g01330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAKKGRQDKGSNVMEKVMVAVKASKEISKIALVWALTHVVQPGDCIALLVVVPSHSSGRKWTFPRFAGDCASGHRRSHTGTSSEQKSDITDSCSQMILQLHNVYDPNKINVKIKIVSGSPCGAVAAEAKRSQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQPKVLRLNLVGSCKRDTEPASSLPPEQSESSENHDVDKNRSLNSTRGQLVTPTSSPEIFTATEAGTSSVSSSDPGTSPFFNAEGSRDVKKEELLGTKDHNGNDSGSESDSEKLSCSSSVRFHPWMANIVNPQCQSSQHMQESTVRCSSRAQNSTAKTMLEKFSKNDEEGGFGSPSFRSDLDFTANVRDAISLSRSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELEHATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGHNQDPLLWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRIIGTFGYLAPEYTQSSQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIEELIDPRLANCYDEHEVYCMLHAASLCIRRDPQARPRMSQVLRILEGDLIMDSGRMSTPGQSRRIWSDASPQYQRYSGPILNEVLEGFNPKLSFEKTKIPDWEKDEDITRTSCEVTVSHGIAEF >itb02g01330.t1 pep chromosome:ASM357664v1:2:737544:743414:1 gene:itb02g01330 transcript:itb02g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAKKGRQDKGSNVMEKVMVAVKASKEISKIALVWALTHVVQPGDCIALLVVVPSHSSGRKWTFPRFAGDCASGHRRSHTGTSSEQKSDITDSCSQMILQLHNVYDPNKINVKIKIVSGSPCGAVAAEAKRSQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQPKVLRLNLVGSCKRDTEPASSLPPEQSESSENHDVDKNRSLNSTRGQLVTPTSSPEIFTATEAGTSSVSSSDPGTSPFFNAEGSRDVKKEELLGTKDHNGNDSGSESDSEKLSCSSSVRFHPWMANIVNPQCQSSQHMQESTVRCSSRAQNSTAKTMLEKFSKNDEEGGFGSPSFRSDLDFTANVRDAISLSRSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELEHATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGHNQDPLLWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRIIGTFGYLAPEYTQSSQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIEELIDPRLANCYDEHEVYCMLHAASLCIRRDPQARPRMSQVLRILEGDLIMDSGRMSTPGQSRRIWSDASPQYQRYSGPILNEVLEGFNPKLSFEKTKIPDWEKDEDITRTSCEVTVSHGIAEF >itb06g13840.t1 pep chromosome:ASM357664v1:6:18506462:18509259:-1 gene:itb06g13840 transcript:itb06g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQQQECEEKWLMHYSSLHQILLVGDGDFSFSVCLANSFGSASNILASSLDSYDEVTKKYKDAKSNLEKLQRLGATILHGVDAIKMKLHTDLRMRKFDRIIFNFPHAGFHGKEDNVRLIQMHRTLIHGFFRNASGMLRAYGEIHVSHKTKEPFCHWNLTELASKSSLVLINCVDFNNADYPGYNHKRGDSARCDEPFPLGKCSTFKFIFSPSANKIPKSLNHSGLAQRHPRVSVTTPQLSTKSDAPYPSNAYTETSECFQIFGGYFNHARETFGEVEYDIVSSVRGQLDHAYMKYMAERPGCGLSGYISLLEQLLDLSSLRSAWLRKNLVCLDQQLMQAPHF >itb06g13840.t2 pep chromosome:ASM357664v1:6:18506462:18508425:-1 gene:itb06g13840 transcript:itb06g13840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTDLRMRKFDRIIFNFPHAGFHGKEDNVRLIQMHRTLIHGFFRNASGMLRAYGEIHVSHKTKEPFCHWNLTELASKSSLVLINCVDFNNADYPGYNHKRGDSARCDEPFPLGKCSTFKFIFSPSANKIPKSLNHSGLAQRHPRVSVTTPQLSTKSDAPYPSNAYTETSECFQIFGGYFNHARETFGEVEYDIVSSVRGQLDHAYMKYMAERPGCGLSGYISLLEQLLDLSSLRSAWLRKNLVCLDQQLMQAPHF >itb06g25930.t1 pep chromosome:ASM357664v1:6:26941160:26941450:-1 gene:itb06g25930 transcript:itb06g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGTLANITPSSSRNPSPPSSYFPSPVLSYQPSPLSSSCPSPTHHGGDGKMPPHPFAFLRSAIPLSLPPLRISSSASVTPLISSPSLVYRLTLT >itb02g14770.t1 pep chromosome:ASM357664v1:2:10729051:10735752:-1 gene:itb02g14770 transcript:itb02g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPVEDFIEASSGVHYSGFHMEGPNSSDIEKPTTSTGVNVLKQPFVIGVAGGAASGKTTVCDLIIEQLRDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLSVMDKLKHGQAVDIPKYDFKCYKNNVFPPRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVEKGRDIATVLDQYSKFVKRAFDDFILPTKKYADIIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLVRDSKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPEDIAERHVLLLDPILGTGNSAVEAISLLLKKGVPESKILFLNLISAPQGVHMVCKRFPRIKMVTSEIEMGLNKDFRVIPGMGEFGDRYFGTDED >itb01g04450.t1 pep chromosome:ASM357664v1:1:3004216:3007885:1 gene:itb01g04450 transcript:itb01g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQFRCFLLGYILILCICFQISPFIGATFKPLGNFCQNTPTYTPNTTYEANLKSLLPTLSSHANRQNGFYNFTAGDQDTVYGLFLCRGDVSARDCGACVSDASATVLQICPNQTTATIWYDFCTLRYSDEPVYGIPNPSFVPFYLYNGNKDSRPDSFMGSVNKTLTQVASGVVNGQSAGRKFATQEGNFTESERIYSLAQCRPDIGSRDCETCLRKAIQVMQSCCYSRLSATALSLDCNMKYATEPFYNISTAAPPRAPPPILPPPPPPPPTSSTSAGNKGNSSSKLIIAIVVPVIGVTLFIAIFCFVRIKKEKKSKTTAQTKDNEKKHPLNWSRRYKIIEGITRGLLYLHEDSRLRIIHRDLKASNILLDKEMNPKIADFGMAKIFGVDQTQGNTSRIVGTYGYMSPEYVIHGQFSVKSDVYSFGVLLLEIIAGERNSNFAESTGPQDLLSYAWKHWRDNTPLEILDPVLGESYSRNEVIQCIHIGLLCVQEDIEERPTMANVVLMLNSHSITRSSPGEPGFFFRGRSEPNGTESDQSRSKSLPFSVNEVSISELDPR >itb01g27960.t2 pep chromosome:ASM357664v1:1:32518509:32521376:-1 gene:itb01g27960 transcript:itb01g27960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWEEYSIITATQALFKVEHTDVPLSYYTGLLGMAGMTAYVGFHEICSPKKGDTVYVSAASGAVGQLVGQLAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEQDLNAALKRCFPNGIDIYFENVGGKMLDAVLLNMNLRGRIAVCGMISQYNLEKPEGVHNLTSIIAKRIRMEGFIVGDHYHLYPKYLEMILPCIKEGKVTYVEDVAEGLESAPSALIGLFSGRNVGKQLVAISHE >itb01g27960.t1 pep chromosome:ASM357664v1:1:32518509:32521376:-1 gene:itb01g27960 transcript:itb01g27960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEVSNKQIVLKDYVTGYPKESDMEIRTTTLKLKVPQGSNAVLVKNLYLSCDPYMRGRMSKPHGSYVDSFTPGSPITGYGVAKVLDSDNPNFKKGDLVWGMTGWEEYSIITATQALFKVEHTDVPLSYYTGLLGMAGMTAYVGFHEICSPKKGDTVYVSAASGAVGQLVGQLAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEQDLNAALKRCFPNGIDIYFENVGGKMLDAVLLNMNLRGRIAVCGMISQYNLEKPEGVHNLTSIIAKRIRMEGFIVGDHYHLYPKYLEMILPCIKEGKVTYVEDVAEGLESAPSALIGLFSGRNVGKQLVAISHE >itb01g26170.t1 pep chromosome:ASM357664v1:1:31391220:31391639:-1 gene:itb01g26170 transcript:itb01g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQSILLVIILALATYVAFISLKQPDRYDVHVINGFSDNSSMPLVVWCSSSADDDIGGRALQEGDDFSWSVKTIFWANIKFRCTMKFDRRRRRFEAFRRSRDVQRCNPTRQCFWLVKKDGFYFGNDGINWRKDFSWTM >itb08g15160.t1 pep chromosome:ASM357664v1:8:17204499:17207926:-1 gene:itb08g15160 transcript:itb08g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGTKDERLSKRAKPYKPRKESAAGEDRKQVLSPAAIPVWPEREVLNTDAAMDNQGEQHLQKSLFASRTSPNCLFQLFKHLTDEQKEVVQEIGFGGLLHLSTDRLASSLSCWLANSYEVADQTLYVDLSRGKKIEINSYDVHLTLGLPCSDNEVVEAGNSDDSDESYKNLLDRWRESWNIQCGSPTAAAMLKAMQGDKSHGEEFRRNFVILTVSTLLASTQTRYVNFKILKSLINVEQIKELDWSGYTIKKLNLAMDSWKAKTDSFFTGSMLFLQMLYLDRVITAQGRIVPRDFPTLKGWTSDCICQRLDWEVKHGGFGKGRVEMPYGHEFSKSPNVALPTQQIEDDSVPIGEEPDYERDLKELGKCCATVAVAIINLKRNITQVSEKYPDSAQVNRIKAMATTIFMGNSSLTQKINQLTAMATTLVDKTSGTKQFEVSQIVTQESR >itb08g15160.t3 pep chromosome:ASM357664v1:8:17204499:17207926:-1 gene:itb08g15160 transcript:itb08g15160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQGEQHLQKSLFASRTSPNCLFQLFKHLTDEQKEVVQEIGFGGLLHLSTDRLASSLSCWLANSYEVADQTLYVDLSRGKKIEINSYDVHLTLGLPCSDNEVVEAGNSDDSDESYKNLLDRWRESWNIQCGSPTAAAMLKAMQGDKSHGEEFRRNFVILTVSTLLASTQTRYVNFKILKSLINVEQIKELDWSGYTIKKLNLAMDSWKAKTDSFFTGSMLFLQMLYLDRVITAQGRIVPRDFPTLKGWTSDCICQRLDWEVKHGGFGKGRVEMPYGHEFSKSPNVALPTQQIEDDSVPIGEEPDYERDLKELGKCCATVAVAIINLKRNITQVSEKYPDSAQVNRIKAMATTIFMGNSSLTQKINQLTAMATTLVDKTSGTKQFEVSQIVTQESR >itb08g15160.t2 pep chromosome:ASM357664v1:8:17204499:17207926:-1 gene:itb08g15160 transcript:itb08g15160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGTKDERLSKRAKPYKPRKESAAGEDRKQVLSPAAIPVWPEREVLNTDAAMDNQGEQHLQKSLFASRTSPNCLFQLFKHLTDEQKEVVQEIGFGGLLHLSTDRLASSLSCWLANSYEVADQTLYVDLSRGKKIEINSYDVHLTLGLPCSDNEVVEAGNSDDSDESYKNLLDRWRESWNIQCGSPTAAAMLKAMQGDKSHGEEFRRNFVILTVSTLLASTQTRYVNFKILKSLINVEQIKELDWSGYTIKKLNLAMDSWKAKTDSFFTGSMLFLQMLYLDRVITAQGRIVPRDFPTLKGWTSDCICQRLDWEVKHGGFGKGRVEMPYGHEFSKSPNVALPTQQIEDDSVPIGEEPDYERDLKELGKCCATVAVAIINLKRNITQVSEKYPDSAQVNRIKAMATTIFMGNSSLTQKINQLTAMATTLVDKTSGTKQFEVSQIVTQESR >itb14g13880.t1 pep chromosome:ASM357664v1:14:16216934:16220065:1 gene:itb14g13880 transcript:itb14g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVVFGDGGVGDVPNSEKLGEIIYSIPTGNNFFHLFFPFLHLLRVVYQRGERQRRILLLHLLFVPKRQWRPGSERQRRQLRRRGFPPTRLAFDGTLPPSDAALLRLNSTLFRRGYHPTRLASDGTLPPPTRLSSDGTLPSSDAALLRGSYGGQFTPKTESPKSSVGSCPSPRSRMNNLEETNEEEAKWPDTAVIEFLRILNERVWNDVDREMMQSVGVSYGMNKLKGKCNRLKLMYRQFSELISYTGVTYNSLTNTVEACEDTWKKFYARHAKFKSYKRNGCKHYELMAEVFARSVATGGLANSSMQMPLNSDEERLAEDEFLHDARPSSSKGKRSVDEMMDILGSAKLSSNKKMREKISNAMDTMNFNMTSKEQRRKAREDASMMSTASATPYTMKACIEILKNMSGIPLAARNEAFKLLTNPDTREGFIEMPPEWQRDWVLSL >itb14g13880.t2 pep chromosome:ASM357664v1:14:16216934:16220001:1 gene:itb14g13880 transcript:itb14g13880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVVFGDGGVGDVPNSEKLGEIIYSIPTGNNFFHLFFPFLHLLRVVYQRGERQRRILLLHLLFVPKRQWRPGSERQRRQLRRRGFPPTRLAFDGTLPPSDAALLRLNSTLFRRGYHPTRLASDGTLPPPTRLSSDGTLPSSDAALLRGSYGGQFTPKTESPKSSVGSCPSPRSRMNNLEETNEEEAKWPDTAVIEFLRILNERVWNDVDREMMQSVGVSYGMNKLKGKCNRLKLMYRQFSELISYTGVTYNSLTNTVEACEDTWKKFYARHAKFKSYKRNGCKHYELMAEVFARSVATGGLANSSMQMPLNSDEERLAEDEFLHDARPSSSKGKRSVDEMMDILGSAKLSSNKKMREKISNAMDTMNFNMTSKEQRRKAREDAIIDKS >itb13g22600.t5 pep chromosome:ASM357664v1:13:28878751:28882272:-1 gene:itb13g22600 transcript:itb13g22600.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELLREVLIRVEECEAKWPLRKSVVACAGVCRSWREILKEVVQTPEVSGRITFPIAVKQPGPRDTMMQCFLKRSNTSQTFHLYLNLSQALTDDGKFLLAARKYRRTTCTDYIISLHAGDMSKARGNYLGKLRSNFLGTKFTVYDASPPVTRAKMVRSHNTSPGALKHIIPRVPVGNYEVAHISYELNGLAARGPRKMQCVMDTIPASSIKPGGVAPTQTDFPILSGDSFPTIPFFRSKSTCSEKFLSRSSERQTDGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVAGPECEKVILQFGKVAKDVFTMDFRYPLSPFLAFAICLSNFDSKLACE >itb13g22600.t3 pep chromosome:ASM357664v1:13:28878751:28882272:-1 gene:itb13g22600 transcript:itb13g22600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELLREVLIRVEECEAKWPLRKSVVACAGVCRSWREILKEVVQTPEVSGRITFPIAVKQPGPRDTMMQCFLKRSNTSQTFHLYLNLSQALTDDGKFLLAARKYRRTTCTDYIISLHAGDMSKARGNYLGKLRSNFLGTKFTVYDASPPVTRAKMVRSHNTSPGALKHIIPRVPVGNYEVAHISYELNGLAARGPRKMQCVMDTIPASSIKPGGVAPTQTDFPILSGDSFPTIPFFRSKSTCSEKFLSRSSERQTDGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVAGPECEKVILQFGKVAKDVFTMDFRYPLSPFLAFAICLSNFDSKLACE >itb13g22600.t1 pep chromosome:ASM357664v1:13:28878695:28882272:-1 gene:itb13g22600 transcript:itb13g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNYGSRSRSRHSSAVAAEEPSEVFLGGGCEGLRRSLWADMPIELLREVLIRVEECEAKWPLRKSVVACAGVCRSWREILKEVVQTPEVSGRITFPIAVKQPGPRDTMMQCFLKRSNTSQTFHLYLNLSQALTDDGKFLLAARKYRRTTCTDYIISLHAGDMSKARGNYLGKLRSNFLGTKFTVYDASPPVTRAKMVRSHNTSPGALKHIIPRVPVGNYEVAHISYELNGLAARGPRKMQCVMDTIPASSIKPGGVAPTQTDFPILSGDSFPTIPFFRSKSTCSEKFLSRSSERQTDGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVAGPECEKVILQFGKVAKDVFTMDFRYPLSPFLAFAICLSNFDSKLACE >itb13g22600.t4 pep chromosome:ASM357664v1:13:28878695:28882271:-1 gene:itb13g22600 transcript:itb13g22600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNYGSRSRSRHSSAVAAEEPSEVFLGGGCEGLRRSLWADMPIELLREVLIRVEECEAKWPLRKSVVACAGVCRSWREILKEVVQTPEVSGRITFPIAVKQPGPRDTMMQCFLKRSNTSQTFHLYLNLSQALTDDGKFLLAARKYRRTTCTDYIISLHAGDMSKARGNYLGKLRSNFLGTKFTVYDASPPVTRAKMVRSHNTSPGALKHIIPRVPVGNYEVAHISYELNGLAARGPRKMQCVMDTIPASSIKPGGVAPTQTDFPILSGDSFPTIPFFRSKSTCSEKFLSRSSERQTDGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVAGPECEKVILQFGKVAKDVFTMDFRYPLSPFLAFAICLSNFDSKLACE >itb13g22600.t2 pep chromosome:ASM357664v1:13:28878695:28882272:-1 gene:itb13g22600 transcript:itb13g22600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNYGSRSRSRHSSAVAAEEPSEVFLGGGCEGLRRSLWADMPIELLREVLIRVEECEAKWPLRKSVVACAGVCRSWREILKEVVQTPEVSGRITFPIAVKQPGPRDTMMQCFLKRSNTSQTFHLYLNLSQALTDDGKFLLAARKYRRTTCTDYIISLHAGDMSKARGNYLGKLRSNFLGTKFTVYDASPPVTRAKMVRSHNTSPGALKHIIPRVPVGNYEVAHISYELNGLAARGPRKMQCVMDTIPASSIKPGGVAPTQTDFPILSGDSFPTIPFFRSKSTCSEKFLSRSSERQTDGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVAGPECEKVILQFGKVAKDVFTMDFRYPLSPFLAFAICLSNFDSKLACE >itb13g00830.t1 pep chromosome:ASM357664v1:13:750091:757237:1 gene:itb13g00830 transcript:itb13g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLVPVMEEQMEGSPPQSIRQKISAYRTMVWDAYRSKPISHWVLLLLSCAAMLIAFPASSLLSRVYFANGGKSKWIISWVAVAGWPMIALILVPMYFVLRIYPTPLDLKLTVCYIGLGFLSSADNLMYAYAYAYLPASTAALLASTSLVFSALFGFLLVKNKINASIINSIVIITAAMVIVALDSDSDRYSYITDHQYAMGFVWDILGSALHGLIFALSELVFVKLLGRRSFHVVLEQQIMVSFFAFVFTTIGMVVSNDFQGMKSEARTFVGGQSSYYQVIIWGIITFQVGVLGGTAVLFLSSTVLAGVLNAIRVPLTNIAAVILLSDPMSGFKILSLIITFWGFGSYIYGSYPLRKDS >itb13g00830.t3 pep chromosome:ASM357664v1:13:755857:757237:1 gene:itb13g00830 transcript:itb13g00830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPPQSIRQKISAYRTMVWDAYRSKPISHWVLLLLSCAAMLIAFPASSLLSRVYFANGGKSKWIISWVAVAGWPMIALILVPMYFVLRIYPTPLDLKLTVCYIGLGFLSSADNLMYAYAYAYLPASTAALLASTSLVFSALFGFLLVKNKINASIINSIVIITAAMVIVALDSDSDRYSYITDHQYAMGFVWDILGSALHGLIFALSELVFVKLLGRRSFHVVLEQQIMVSFFAFVFTTIGMVVSNDFQGMKSEARTFVGGQSSYYQVIIWGIITFQVGVLGGTAVLFLSSTVLAGVLNAIRVPLTNIAAVILLSDPMSGFKILSLIITFWGFGSYIYGSYPLRKDS >itb13g00830.t2 pep chromosome:ASM357664v1:13:753929:757237:1 gene:itb13g00830 transcript:itb13g00830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLVPVMEEQMEGSPPQSIRQKISAYRTMVWDAYRSKPISHWVLLLLSCAAMLIAFPASSLLSRVYFANGGKSKWIISWVAVAGWPMIALILVPMYFVLRIYPTPLDLKLTVCYIGLGFLSSADNLMYAYAYAYLPASTAALLASTSLVFSALFGFLLVKNKINASIINSIVIITAAMVIVALDSDSDRYSYITDHQYAMGFVWDILGSALHGLIFALSELVFVKLLGRRSFHVVLEQQIMVSFFAFVFTTIGMVVSNDFQGMKSEARTFVGGQSSYYQVIIWGIITFQVGVLGGTAVLFLSSTVLAGVLNAIRVPLTNIAAVILLSDPMSGFKILSLIITFWGFGSYIYGSYPLRKDS >itb13g16920.t1 pep chromosome:ASM357664v1:13:23866547:23868581:-1 gene:itb13g16920 transcript:itb13g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRFLSARQAWQVRRILRNGKLTLLCLVLTVVVLRGNLGAGRFGTPEKDLKDISDTLSYYRKRTEPRRVLEEAEQVNLTVGDDVNATDSRSGVGPEDSRSYKEFDLSKIVVDQDDGEPEFKRDPNEPYSLGPKITDWDAQRAEWLKNNPDFPNFFGPNKPRVLLVTGSSPKPCENPIGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDPEMSGFWAKLPLLRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNLVLHGWGHEVYEKKNWIGLNTGSFLLRNTQWSLDLLDALAPMGPKGKVRDDAGALLTSELKGRPVFEADDQSAMVYLLATQQEKWADKVHLENLYFLHGYWGILVDRYEEMIDNFHPGFGDHRWPLVTHFVGCKPCSKIGDYKVGRCVKQMDRAFNFGDNQILQMYGFTHTTLESRRVKRIRNETSAPLEIRDELGLLHPSFRAVKV >itb15g11330.t1 pep chromosome:ASM357664v1:15:9141346:9146546:-1 gene:itb15g11330 transcript:itb15g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSASMCFPATRKGTDFRSGSTGLLRRSGFRVSTRLTSRKSVIRSDLDSMVSDMSTNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYDSKSFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLMKKVGAEKNLLVKDHTHTFVNKGGDIGELDFRFPIGAPLHGIQAFLSTNQLKPYDKARNALALALSPVVRALVDPDGAMRDIRDLDSISFSNWFMSKGGTRASIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDLYLSGPIRKYIEDKGGRFHLRWGCREIHYERSSDGSTYITGLAISKATQKKIIKADAYVAACDVPGIKRLVPKDWREWEFFDNIYKLVGVPVVTVQLRYNGWVTELQDLERSRQLRRAMGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIIRRVSKQVLALFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICSAGEELVTLRKQLVAAAESSNMPDAATAAVSDELSLV >itb01g25030.t1 pep chromosome:ASM357664v1:1:30545994:30547598:1 gene:itb01g25030 transcript:itb01g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQIYSQNLGFPLAIPPDFMDDGDAPASAAVNGVVGFDHFCFNPPPPPLPPQQHLIMPQFHQIPPPQQQKNPQPSMGFSQNLAAELEKQILEIDRFICLQNERLRLGLQEQRKQQLTLMLRKYESKKQFLLKQKEEEMCKALNKTMELEEMLRRIEMEKQAWQSMAKEKEAMAMSLNNTIEQLKECAWLHPWTGAEDAESCCDNGDRVVAVEEGDRRRDDNAPAAAAPAILFRETNKRGDMDMVCKSCNSGVSCVVFLPCRHLSSCEACEPFLDSCPLCRMLKKASIQALL >itb14g11640.t1 pep chromosome:ASM357664v1:14:13294741:13296238:-1 gene:itb14g11640 transcript:itb14g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFFVIGLWHLLNHIRLHALALHPTDYISLPWFPTPRIKYLELFLIMGGCLASISMELFIGPERHQPLAPDGTIPSNHLHNFEHSNISLTFLIYAVFSIVLDKSSAPAKYGLTQFLAALAFAQQLLLFHLHSADHMGVEGQYHWLLQTVISVSLSTTLLNIPFPHSFLTGFIRSASILLQGAWFIAMGFMLWTPELIPKGCFINSEEGHQVVRCHGPEDLERAKSLVNIQFSWYVIAVAVFAVAAYLALLKVYQEKVEYQSLGTKLDDQEDETTIEIEDVEAQKRDKAESKRFLEMGKFFASSDMER >itb14g07270.t1 pep chromosome:ASM357664v1:14:6684964:6685832:-1 gene:itb14g07270 transcript:itb14g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSWWIWKCYLIVLMLLRGSNGVESFVGMNWGRYATHQLVASSAVDLLLQNGISDVRIFAPRPSVMVAFEQSSIGVTVTLSRDFILRDKYVSNLQNVSNWIVELLLPPIRNKVNITNGVESFVGMNWGRYATHQLVASSAVDLLLQNGISDVRIFAPRPSVMVAFEQSSIGVTVTLSRDFILRDKYVSNLQNVSNWIVELLLPPIRNKVNIT >itb09g01260.t1 pep chromosome:ASM357664v1:9:754337:756548:1 gene:itb09g01260 transcript:itb09g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNRNEMLMMHHPMGGALDVLQTAHSMQGQGLSLSLGTQMPAGIQVASSQCQNPSQGFTSFLSPPSIPGEGNGGNRSFGDIDDSHAKQSRAMEYLLPGFPSSYESMKMDCSPYGMPSIGRAFPDSKFLKAAQQLLDEVVNVKKAVKERGSTKVEANGTNEVDADSNCKSSDPPVTGSSSNPQEPNELSANDKQELQNKLAKLFSMQDEIDRRYRQYYHQMHMVVSSFDVVAGEGASKPYTALALLTISRHFRCLRDAISGQIRVLQKNLGDQDVADNNKGVGISRLCYVDQQLRQQRALQQLGMMQPHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKAMLARQTGLTRGQVSNWFINARVQLWKPMVEEIYKEEFADAEFESNSSSEIAPKATESDTKAFDEQEKGFQPPATSPEDEPCISGKLFQPKSNHAPNIEMVESNIGITFRDGDHVETDSQYEMGDRGGVAIAKPTTGDSSLLPDTVLQSSGAHNRFMYPASAYHMPELERFGNGNGNGVSLTLGLQQCEGSHNFLSTREDDVYIPSASSVEPEPADFNYIDPENRQHQFGSSHLFHDFVA >itb07g22040.t4 pep chromosome:ASM357664v1:7:26506635:26509569:-1 gene:itb07g22040 transcript:itb07g22040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTRCCCCCKSVTWMCGHCKTLAWSSCISLPSCSCKRPTWQSFMCNYSICCGRRSSNTAEKGPEQHTKSSKKASGQKS >itb07g22040.t6 pep chromosome:ASM357664v1:7:26506635:26509569:-1 gene:itb07g22040 transcript:itb07g22040.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTAEKGPEQHTKSSKKASGQKS >itb07g22040.t1 pep chromosome:ASM357664v1:7:26506677:26509569:-1 gene:itb07g22040 transcript:itb07g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTGNINHRRLHLYVYEAPQVQVIERAGSDNPNDDGTSVQREMSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTRLTGDVAAAVSR >itb07g22040.t8 pep chromosome:ASM357664v1:7:26506635:26509542:-1 gene:itb07g22040 transcript:itb07g22040.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTEAPQVQVIERAGSDNPNDDGTSVQREMSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTAEKGPEQHTKSSKKASGQKS >itb07g22040.t9 pep chromosome:ASM357664v1:7:26506635:26508506:-1 gene:itb07g22040 transcript:itb07g22040.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTEAPQVQVIERAGSDNPNDDGTSVQREMSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTRCCCCCKSVTWMCGHCKTLAWSSCISLPSCSCKRPTWQSFMCNYSICCGRRSSNTAEKGPEQHTKSSKKASGQKS >itb07g22040.t2 pep chromosome:ASM357664v1:7:26506635:26509569:-1 gene:itb07g22040 transcript:itb07g22040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTEAPQVQVIERAGSDNPNDDGTSVQREMSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTRCCCCCKSVTWMCGHCKTLAWSSCISLPSCSCKRPTWQSFMCNYSICCGRRSSNTAEKGPEQHTKSSKKASGQKS >itb07g22040.t7 pep chromosome:ASM357664v1:7:26506635:26509542:-1 gene:itb07g22040 transcript:itb07g22040.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTEAPQVQVIERAGSDNPNDDGTSVQREMSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTAEKGPEQHTKSSKKASGQKS >itb07g22040.t5 pep chromosome:ASM357664v1:7:26506635:26509569:-1 gene:itb07g22040 transcript:itb07g22040.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTEAPQVQVIERAGSDNPNDDGTSVQREMSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTRCCCCCKSVTWMCGHCKTLAWSSCISLPSCSCKRPTWQSFMCNYSICCGRRSSNTAEKGPEQHTKSSKKASGQKS >itb07g22040.t3 pep chromosome:ASM357664v1:7:26506635:26509569:-1 gene:itb07g22040 transcript:itb07g22040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSHESLFSIHVDSFTRCQVPGMGADLPNPADVPNPAEQDMVGENMVPKQSISLRGGFQDTGGGEENNKEDLGPDNGPVSSQTKVELAKEKRKSGEHKGSSALLTRLTGDVAAAVSR >itb15g17230.t1 pep chromosome:ASM357664v1:15:17577806:17578647:-1 gene:itb15g17230 transcript:itb15g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKKLVRIKQNSQNAGRHLVKHLTSCALPCLLALEAFSLDMTLVCVISGALLYIKEDFKAVQDKTWLQETIVSMAVGGAIVGAALGGWMSDKYGRKRNWNGVQHFPLYISEASPARIRGALVSINGLFITVGQVLFSH >itb15g17230.t2 pep chromosome:ASM357664v1:15:17577806:17578564:-1 gene:itb15g17230 transcript:itb15g17230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMSAGIGGLLFGYDTGVISGALLYIKEDFKAVQDKTWLQETIVSMAVGGAIVGAALGGWMSDKYGRKRNWNGVQHFPLYISEASPARIRGALVSINGLFITVGQVLFSH >itb14g18850.t1 pep chromosome:ASM357664v1:14:21723197:21726498:-1 gene:itb14g18850 transcript:itb14g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETTNNHLHVVMFPFFAFGHISPFVQLSNKLSLHGVKISFFSAAGNIGRIKSMLNSDNTQIVPLTLPAVEGLPPGAQSTADLPAAAAELLPVALNLMQPQIKALLVKLKPHFVIFDFAQDWLPPLAAELGIKTIFYSVFVALATAFATVPARLPGGEKSPTVEEMKKLLGPSITFEARDFLYIFKTPHDGGGAVYDRVLAGLKGCSAILAKTCYEMEAPYIDYVKSQFKKPFLLAGPVVPEPVSGELDQKWADWLSQFEPSTVIYCSFGSETFLKDDEIKELALGLELTCLPFFLVLNFPANVDVASELNRALPAGFTERVKGRGIIHSGWVQQQQILAHSSVGCYVCHAGFSSVIEGIVNECQLVILPLKGDQFMNAKLLAGDMKIGVEVNRRDEDGYFWKEDIQKAMQTITGEEGASTRANQAKWKEFLVDKEIQSKFIKDLVKVMRDMATMN >itb06g00590.t1 pep chromosome:ASM357664v1:6:1358784:1363968:1 gene:itb06g00590 transcript:itb06g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRGKWRLLRPLMSISWSFLLAAVFVSSERSFKKEAIGGSNVIDYADADFAKFLWQPSESGYEHVWPEMKFDWRIVLGSIIGFLGAAFGSVGGVGGGGIFVPMLTLVIGFDAKSATAISKCMIMGAAVSTVYYNLKLRHPTLDLPIIDYDLVLLIQPMLMLGISIGVTFNVIFADWMVTVLLILLFIGTSTMAFMRGVETWKNETIMKKEAVRQLAANGNGGQGDYNLLPGGPSNATQDIPKESSEKQVSIIENVCWKEFGLLCFVWVSFLALQIIKNYTSTCSTLYWVVNLLQIPVSVGVSLFEATGLYKGWRKISSKGDSDYNLRAGQLILYCFSGTLAGVIGGLLGLGGGFIMGPLFLELGIPPQVTSATATFGMMFSSSMSVVEYYLLKRFPVPYGMPFAHL >itb06g00590.t3 pep chromosome:ASM357664v1:6:1358858:1361650:1 gene:itb06g00590 transcript:itb06g00590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDWRIVLGSIIGFLGAAFGSVGGVGGGGIFVPMLTLVIGFDAKSATAISKCMIMGAAVSTVYYNLKLRHPTLDLPIIDYDLVLLIQPMLMLGISIGVTFNVIFADWMVTVLLILLFIGTSTMAFMRGVETWKNETIMKKEAVRQLAANGNGGQGDYNLLPGGPSNATQDIPKESSEKQVSIIENVCWKEFGLLCFVWVSFLALQIIKNYTSTCSTLYWVVNLLQIPVSVGVSLFEATGLYKGWRKISSKGDSDYNLRAGQLILYCFSGTLAGVIGGLLGLGGGFIMGPLFLELGIPPQVSSCYISYTAVHK >itb06g00590.t2 pep chromosome:ASM357664v1:6:1358784:1363968:1 gene:itb06g00590 transcript:itb06g00590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDWRIVLGSIIGFLGAAFGSVGGVGGGGIFVPMLTLVIGFDAKSATAISKCMIMGAAVSTVYYNLKLRHPTLDLPIIDYDLVLLIQPMLMLGISIGVTFNVIFADWMVTVLLILLFIGTSTMAFMRGVETWKNETIMKKEAVRQLAANGNGGQGDYNLLPGGPSNATQDIPKESSEKQVSIIENVCWKEFGLLCFVWVSFLALQIIKNYTSTCSTLYWVVNLLQIPVSVGVSLFEATGLYKGWRKISSKGDSDYNLRAGQLILYCFSGTLAGVIGGLLGLGGGFIMGPLFLELGIPPQVTSATATFGMMFSSSMSVVEYYLLKRFPVPYGMPFAHL >itb06g00590.t4 pep chromosome:ASM357664v1:6:1358799:1361650:1 gene:itb06g00590 transcript:itb06g00590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRGKWRLLRPLMSISWSFLLAAVFVSSERSFKKEAIGGSNVIDYADADFAKFLWQPSESGYEHVWPEMKFDWRIVLGSIIGFLGAAFGSVGGVGGGGIFVPMLTLVIGFDAKSATAISKCMIMGAAVSTVYYNLKLRHPTLDLPIIDYDLVLLIQPMLMLGISIGVTFNVIFADWMVTVLLILLFIGTSTMAFMRGVETWKNETIMKKEAVRQLAANGNGGQGDYNLLPGGPSNATQDIPKESSEKQVSIIENVCWKEFGLLCFVWVSFLALQIIKNYTSTCSTLYWVVNLLQIPVSVGVSLFEATGLYKGWRKISSKGDSDYNLRAGQLILYCFSGTLAGVIGGLLGLGGGFIMGPLFLELGIPPQVSSCYISYTAVHK >itb04g09200.t1 pep chromosome:ASM357664v1:4:8517673:8520713:-1 gene:itb04g09200 transcript:itb04g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETLSLQISKFETVGELKALVYEQEGIPELHQELFFKGNHLRNDKTRLFYYGIRSNTTVSAYVGNSNVLSLIIEIPSREKVVRVEGKPEDTVQNIKALIDDSENMGSDEYSLVYGGKMLEEEKTLALLGITNRSRLYVVFNPKDVIQVSVKMLNGETVKTQVRLLHTILDVRSFVESVVVNSVVGALSYGGKKLDDSKTVSYYNIEDGSVLEELPSDLKGEEFKRQVFAILLVSVRVGSTSIRTFSMEASGPRSSNPMAQQELEESSNPMAQQEQEEEDEVINICVKIIKTMSLQISKFETVGELKTLVYEKGGIPELHQELFCKGKNEKTRLFDYGIRSNTNVSAYIGNSEMLSLIIEIPSRKTTFDVESKPQDTVQSVKDVIAETENMRSDEFNLVYRGRGRRP >itb03g18880.t1 pep chromosome:ASM357664v1:3:17072062:17075527:1 gene:itb03g18880 transcript:itb03g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQQLQSKACQAKQFITKHSCALYKQTLEQNKQYIVEPPTVEKCNELSKQLFYTRLASIPTRNQAFWKELDYVKQAWKNRHELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYP >itb12g24510.t1 pep chromosome:ASM357664v1:12:26009784:26013722:1 gene:itb12g24510 transcript:itb12g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPCSGRRVVAKKRTRSGIDGFVNSVKKLQRREISSKRDRAFSMSDAQERFRNIRLQEEYDTHDPKGHCAMVLPFLKKRSKIIEIVAACDIVFALAQSGICAAFSRETNKRICFLNVSPDEVIRSLFYNKNNDSLITVSVYALDNFSSLKCRTTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFNKASCYVPLKILSIEDGTVLKSFNHMLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSYESLSEGTAGSINISNILTGKCLAKIKATDDAVTSDDCSCTGRCGGRSCKSKRVQASRIRSTVAEALDDITALFYDEERNEIYTGNRCGLVHVWSN >itb11g18230.t1 pep chromosome:ASM357664v1:11:18414452:18414979:-1 gene:itb11g18230 transcript:itb11g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVWEARRVFDQMTEKILVAWNAMLAAYVHNCLIDEACNLFDKMPERNAVSYTTMISGFVSVGKLDEAKNLLDAMPYKNVGAQTAMISGYVQHNMIDEGHKIFDQLSSRGIACWNTMITGYARSGKMDEAFYLFKKMEQKSVVIWNTMIAGYAQAGKMENSFQMFENMRKNGDL >itb07g21330.t1 pep chromosome:ASM357664v1:7:25726280:25727972:1 gene:itb07g21330 transcript:itb07g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFALPAVVKCRGAIDALRILQEGKEEIDIVLSELHMSRVNGFKLLDQIIGLQIDLPVVMMSSDERVDAIKKIVIQGACGYLLKPVRREEIKLLWQHVVRHKQGNLGKGTRPPQAAEFWDSGEMPRQQKSVENCGSSNNNRDEDTNATATTVKVKKPRLVWTPQLHQQFVAVVNQIGLRNAVPKKILDLMNVPHLTRENVASHLQKYRLHLQRNGDQNSYKRLSIHHLHYEDMVLNKNNAQQAVMPAVFDHPDGRDYNYVNVYNYGGLGRGVGGSTTPMDAINYFSTFSPHQPQSTGYTYNNNNPNQLGNTSSASSKRQQDQTQVCT >itb10g07190.t1 pep chromosome:ASM357664v1:10:8485689:8486993:1 gene:itb10g07190 transcript:itb10g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKRCIISVAWLGVYLTLTSLAYADSSNFCVTADDKKFCERVVQGATDWNQAMAMAISEAMKHVASITNSDYRSMIHKSKSATLGKTIDSLCTYAYSNTRERLRESLEVARSGDKNNSLNIKLSSALTSLEDCSSVFLDLKHDYSSLIKMNRDLNHCIRVCLAIDKSKALEEKQKSTLTFFEV >itb05g00190.t1 pep chromosome:ASM357664v1:5:153245:154367:1 gene:itb05g00190 transcript:itb05g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAAATASAQTLVTYEFKIRFRYAARSLALFIPQQALILDRKLPSSSERWTAVIRSPSLALALSSCSVLHREGESGREKEGGSK >itb14g16710.t1 pep chromosome:ASM357664v1:14:20054190:20056292:1 gene:itb14g16710 transcript:itb14g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVHDDCKLKFLELKTKRAYRFIVFKIEEKQKQVIVEKLGEPALNYEDFTACMPANECRYAIYDFDFLTEESVPKSRIFFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMSLEVFKSRAN >itb14g16710.t2 pep chromosome:ASM357664v1:14:20054190:20056292:1 gene:itb14g16710 transcript:itb14g16710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLKFLELKTKRAYRFIVFKIEEKQKQVIVEKLGEPALNYEDFTACMPANECRYAIYDFDFLTEESVPKSRIFFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMSLEVFKSRAN >itb02g15110.t1 pep chromosome:ASM357664v1:2:10979342:10980211:1 gene:itb02g15110 transcript:itb02g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISEKIVKFKIPILFLAAFSLIIFSVVYVAPKFLDILKYFWPLLLSTALFLATVAAFSRITPPVPEESGEGILDYVAGQHEHEDLLPPVLRAESVEEEEEGNKE >itb03g22850.t1 pep chromosome:ASM357664v1:3:20976668:20977498:-1 gene:itb03g22850 transcript:itb03g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYATQGSDKIQTDVLSAARLSCYKARDAFYSCLEKESRKKATEIATVGLLYPVECKKSREEFVKQCRPTWVKHFDRQYCAKKRVQRLLDDNESRRGPLLLPQPYTFKPPCSY >itb05g18670.t1 pep chromosome:ASM357664v1:5:25385868:25386352:1 gene:itb05g18670 transcript:itb05g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDKENYFNVIPDECLAIIFQFLGSNDRKNCSLVCRRWYAVESISRHQLTVDVSVGVGADVTPHIPAIFSRYPSVTDLYVRSRRESQRVSDVTLIRIAARCRNITRLKRHERFPQPLLFPGEADG >itb05g18670.t2 pep chromosome:ASM357664v1:5:25385868:25387124:1 gene:itb05g18670 transcript:itb05g18670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDKENYFNVIPDECLAIIFQFLGSNDRKNCSLVCRRWYAVESISRHQLTVDVSVGVGADVTPHIPAIFSRYPSVTDLYVRSRRESQRVSDVTLIRIAARCRNITRLKVRRCHGVSGLGLAALARGCERLRKFSCDIVTFGSRGMNAFLNHCSSLEKLTVRSLCGTNLSDGGVVPELVGAGAAASSLKSVSLKYLYYGERFALLIAESNNLKTLKILRCFGPWDRCLEIITNLNKSLVSIHLESLQVTNVGLAAVSKCPNLETLHLRMTPDWEMPVGEEGLIAIAKNSKNLKELVLDGMETSITSLSAIASGCVKLERLVVAHSESIGDPEVCCIAKKCLGLKKLCINGCLRVSDEGIEAFGPGCPNLVELSMKNCRDVSGEVADWLRERRPSLAVKLDIEADEIENEEEWDWVFSD >itb10g24820.t1 pep chromosome:ASM357664v1:10:28425122:28426267:-1 gene:itb10g24820 transcript:itb10g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTEEQEALVVKSWESMKKDAGEWGLKFFLRIFEIAPSAKKLFSFLRDDSTGAPLHQNAKLKTHAKSVFVMTCEAAVQLRKAGKVVVRESSLKRLGATHFKYGVVDEHFEVTKYALLETMKEAVPEMWSAEMKDAWGVAYDQLVAAIKTEMKP >itb04g32960.t1 pep chromosome:ASM357664v1:4:35341207:35343288:1 gene:itb04g32960 transcript:itb04g32960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESSCLARVAAGAAVGGAVGGAVGAVWGTVEAIRYKVPGLLKIRHIGQTTVGSAAIFGLFLGAGSLIHCGKSY >itb05g19110.t1 pep chromosome:ASM357664v1:5:25711685:25715017:1 gene:itb05g19110 transcript:itb05g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSDGSLCIMESFSRSHPQGLVIPTPKLEDFFGDATMAPHHYDDRGGGMSLSLDGQDFLNHLQDYSAMRGGHEVQLPTMPENQMSATVGLKNWVARGFSGEHVMNENNGGESGGAIGAMGYGDFQSLSLSMSPGSQSSCVTATHHQITPTVTQCLAPETKKRGADKVVDQKQIAHRKSFDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDLAAIKYWGPSTHINFPLENYHQEIEEMKNMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDASRYDVERIMASSTLLTGEQARRSKNNITAAYNQKPGGGGGDDFRSSCLGLEGTMDTMMMMKTNNNINHLSNASSLVNSVESSREGSPDKTAPPAVHFQSPAAANFYGTSSGVSNVNSWISSAAAAQLRCPVPVFAAWTDA >itb05g19110.t2 pep chromosome:ASM357664v1:5:25711563:25715017:1 gene:itb05g19110 transcript:itb05g19110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSNDGSGSNNNGNWLGFSLSSHSNNPSSVSSSSLAHSINYSNANAIYYGLEGENAAGLLSSLSVMPLKSDGSLCIMESFSRSHPQGLVIPTPKLEDFFGDATMAPHHYDDRGGGMSLSLDGQDFLNHLQDYSAMRGGHEVQLPTMPENQMSATVGLKNWVARGFSGEHVMNENNGGESGGAIGAMGYGDFQSLSLSMSPGSQSSCVTATHHQITPTVTQCLAPETKKRGADKVVDQKQIAHRKSFDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDLAAIKYWGPSTHINFPLENYHQEIEEMKNMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDASRYDVERIMASSTLLTGEQARRSKNNITAAYNQKPGGGGGDDFRSSCLGLEGTMDTMMMMKTNNNINHLSNASSLVNSVESSREGSPDKTAPPAVHFQSPAAANFYGTSSGVSNVNSWISSAAAAQLRCPVPVFAAWTDA >itb12g06120.t1 pep chromosome:ASM357664v1:12:4560737:4561012:-1 gene:itb12g06120 transcript:itb12g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEQLGLGDKKYFGGDKIGLVDITFGWLAIWFAAMEEIMGAKLVEPNNFPRLHAWVNNFKEHPVINQNLPDYHKLVRFLQRKRVSCASAR >itb15g24120.t2 pep chromosome:ASM357664v1:15:26980467:26981962:1 gene:itb15g24120 transcript:itb15g24120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLDLQDFLLRARVLKLYRHALRIARRAPTDTRGEVSEIIRQEMEKNRSCNDKQRIRFLISEGLERVKRLDEMLDLQGR >itb15g24120.t1 pep chromosome:ASM357664v1:15:26980245:26981962:1 gene:itb15g24120 transcript:itb15g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLDLQDFLLRARVLKLYRHALRIARRAPTDTRGEVSEIIRQEMEKNRSCNDKQRIRFLISEGLERVKRLDEMLDLQGR >itb03g03740.t1 pep chromosome:ASM357664v1:3:2239750:2245770:1 gene:itb03g03740 transcript:itb03g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAPGSRPHQDALPGSRTPANFVINLRSSNQTLTSLELRQLVRKLPKRPQSSSLCDGGGAKLCYERWPETLEAMEHLWRTRLAGELLFTPALIGSVELEKRVMTVFYQRVRELMYGELVKNSGKKLTELTEEANAVTAKLQKPNSLGVASDLLKRKEALLVEVELIRERIKEFKQGIRCVWFHLKDMDDSEESVSVLKLEGEFNWDRLHYLMMRECKRLQDGLPIFSFRQQILREISCHQVTVLTGETGSGKSTQLVQFLVDSGIGGDGALVCTQPRKLAAISLARRVKVECVGCYEDNSIICHPSYSSSSGFDAKIVFMTDHCLLQHYMSDNDLSKISCIVVDEAHERSLNTDLLLALIKGLLHRRPDMRLVVMSATADADQLSKYFIGSGKFHVIGRSFPVDIKYVPCESECCSGVVAPYASSVVKMALDIHNTHKDGAILAFLTSQMEVEWACENFRSPSTIALPLHGKLSNEDQNQIFLDFPRMRKVIFATNVAETSLTIPGVKYVVDSGLVKEKRFEPGSGMNVLRVCKTSQSSANQRAGRAGRTEPGKCYRLFSETDFESMPCHQEPEIHKVHLGIALLRILAFGIKDVENFDFIDAPSPKAIETTIKSLIQLGAIVQINDGYELTAEGLRIVKLGIEPRLGKMLLDCFEHQLGKEGLALAAVMANYSSIFCRVGTEEEKLKSDCQKVQFCHPFGDLFTLLSVYKEWDALPREKRNRWCWNNSVNAKTMRRCQETVQELEACIRHELRVLAPSIWHWNPQVHSEHDDTLKRIILASLAENVAMYSGYDQLGYEVALTGKYFQLHPSCSLLNFCQRPTWIVFGEVLVAAKEYLVCATAFDFSFLATLQPAPSFDFLKMDTRRLQKKVLTGFGSLLLKRFCGKSNCSLNHLVSQIRLSCMDERIGVEVRVDQNEIILNASSGDMEKVLAAVNEVLEHKRNLLKNECLEKCLHNGGPGSSASIALFGAGAEIKHLELEKRCLTVDIFQSTGTAVDDKELVMYLERNCGDICAIFKFSGISQESEELEKWGRVTFLTPDAANLATALNLVEFNGGLLKIVPSKVMYGGDKRMLSFPLLRAKVYWPRRGSRGKAVLRCDPNDVSLIVDDLSDLEIGGRFVRCEPSTSFPDRVVITGIDKDISETEISEAVNELTDRNILSLFLVRGNPVENPSLVACEEALLREISSLMPKRTHLSAQVFQPEPNDSYMRAIITFDGNLHLEAAKALEELDGKVLLGFLPWQKIKCQQIFQSSVSCPAAAYHVIETELHHLLERLKNHKGVECRLEQNENGPYRVKISANATKTVAEVRKPLEELMKGKAIDHEGVTATVLQLLFSWEGINLMRSIQRETGTYIMFDRHNLVVRIFGSLNRVDMAEQKFVSSLLALHENKQLVVRLRGEALPPDLMKRVVDMFGPDLKGLKEMFPDGDFYLNTRRHCIHVRGGTKDLCQRVEDAIYELARASGSHIERNDDEASCPICLCELEEERYKLESCLHVFCRSCLLEQCESAIRSSDGFPLRCLHNGCNAPILITDIKSLLQVEKLDELFRASVSAFVASNASYRFCPSPDCPSVYHIAHPDSSGSAFMCGVCYSETCTRCHQEYHPYLSCENYKEFKNDPDLSLKAWAEGRENVKVCPVCKSTIEKVDGCNHIECRCGKHVCWVCLEFYETSDECYNHLRL >itb15g10010.t1 pep chromosome:ASM357664v1:15:7279650:7286402:-1 gene:itb15g10010 transcript:itb15g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MELLSSSSSSFHSASFISTNRRAAHSIRKTARTIGKSFPRIKASWQELAGVLVFSAIPFTAVKALANSSLGETLRRRLEDRKKAAVDDSAKFKALAEAAREDSMWYGETRPRWLGPIPYDYPSYLTGELPGDYSFDIAGLSKDPIALQKYFNFEILHARWAMLAALGALIPELLDLTGAFQFVEPVWWRVGYSKLKGDTLDYLGIPGFHFAGSQGVFVIAVCQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGILFDPLNLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYTQAAVTGKGPLQNLLEHISDPFHNNLFSALSSL >itb15g10010.t2 pep chromosome:ASM357664v1:15:7281499:7286402:-1 gene:itb15g10010 transcript:itb15g10010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MELLSSSSSSFHSASFISTNRRAAHSIRKTARTIGKSFPRIKASWQELAGVLVFSAIPFTAVKALANSSLGETLRRRLEDRKKAAVDDSAKFKALAEAAREDSMWYGETRPRWLGPIPYDYPSYLTGELPGDYSFDIAGLSKDPIALQKYFNFEILHARWAMLAALGALIPELLDLTGAFQFVEPVWWRVGYSKLKGDTLDYLGIPGFHFAGSQGVFVIAVCQALLMVSVS >itb14g11030.t1 pep chromosome:ASM357664v1:14:12529983:12533304:-1 gene:itb14g11030 transcript:itb14g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADNKALVHRHKWRAPVASVLELGGLKIQYSQSWECSFIGGTNIHLNFDDVLVPRDHVSRSYNDTSYVDSQTVLRCHTSAHQAELLSRGHTHFLVTGDVYHRDSIDSTHYPVFHQMEGVRVFSPNDWEGSGTDATSCAAADLKICLEGLAQHLFGGVEMRWVDTYFPFTNPSFELEIYFQVFLAFSLMTIDY >itb12g09770.t1 pep chromosome:ASM357664v1:12:7736571:7740075:-1 gene:itb12g09770 transcript:itb12g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHAAATTTTTVAGRTRAMWRMRLQSAARTALTCTIVGCATLYISASLRSHLFSFPAFSYLTAILIVSDATLGDGLRGCCYALYATLQVMPLSMLGLWVSGAGGGSSLSPGIAAAMVVMGSYVVALPASTHIMSKRIAFGQLVIVFVDAVVHGVHTSIIVHPLRVAPSTALGALASLLALLFPLPRLAYFEVKKLYKLYTENASERIALYSQAVAARDTSMVSALSSQAKPLAETGAKLLESIGLLQEGLKWEKPWLMLLNPSLTDPIYGLEEIETSMRGMEIALSTYPSFHSKTIDEELLNILQSALEKLGVKIEQARGSFPCHKRTAPENRGEGVIDMSLPYASYFLTHEDLPASFFFSCVKMFINGSARTVDTSEGLMEKQAEPGSKKACSNKWSMSVVRNERMVFACKCSLSLGLAVLFGLLFDRAKGYWSGLTIAISFETGKQAIFTLANARAQGTALGSIYGVLGCTVFQRIGNVRLVSLLPWIIFTSFLRHSRMYGQAGGISAVIGALLILGRKDYGPPKEFAIERLTEAFIGLSCFILMELLFQPTRAAFLAKYHLHTSLEALKKCTEHIVLDSGKVWLLREKQWHLKSQVLLLGKFISDAELEPDFWSLPFPVSCYQKLHRALTKIADLLYFMACNIELLSQALQSSNVAWKEIQQHINKDLELYMQIITSLTSSLSHSADSQHPDLEEGNSNPTTYNNTVFCAEGKYAEKILNSFLEHCKEVTEKFCELGVKDDQRAKEALHMFAVGFCSRCLMEELAQVDKGIKELVQYKDP >itb08g00710.t1 pep chromosome:ASM357664v1:8:541236:542690:-1 gene:itb08g00710 transcript:itb08g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFITCDIPMAQFIINMNNALPQSQKFIIHVLDNTHMFVRSDVAGMIRSAMSDFRDSNTYEKPS >itb07g20120.t1 pep chromosome:ASM357664v1:7:24576934:24580420:-1 gene:itb07g20120 transcript:itb07g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVLQGEDLIFSALFDNPKGENVKSRGFAIEKKIELLENLAGKVSNRRSRRWLNDRLLMELVPRLNAEEIRGLFAPPPFGDDVPLSPFCMTNLGEWDKFRNIDMDKEATMMKALESSVSKRKSCTNNGKAAVLTAWHRVDCRTREALRRNFLPELINNYEECLQTFIKESGDGDVLDLSVQDPFHRLLLHGVCEYYNLISVTVVTQTNGTGTGTGAVKITKIKKKKADYSELPNITLCNFLKMSKEGIW >itb10g06900.t1 pep chromosome:ASM357664v1:10:7810996:7815557:-1 gene:itb10g06900 transcript:itb10g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKENPTENRVKTTSKFADQNQALKGNVKGNGNIPKVKSSWGSQIVKGLSGEKKTKPQITVQSKKIPLASSDIVNSQSRAKRSLMGDLSCSVTATQVHPQRTKSSGSRDLFLEIDHLRSLLQESKESKMKLEREIELKGHEIDGLVKRVEALEYEKANLSEELSKRDKNRDLTGLEMEVLELRRLNKELQLQKRDLSCRLSSIESQLLTTPKVSEENDVEKIKAEASLLRHKNESLCKQVEGLQMSRLNEVEELAYLRWENSCLRDELRGCPAMSCDKPSSPHGSDKSRESICLSSYQSDEDSKCSSARRLSLIKKLKKWPLTDEDISQLECQDDVGVDRWDNSQNYARRHSISGSKFCVEDLVINMRRQSDGFMCSKEVEKETEPLAFQHKVTASSEVERRALRVPNPPPRPSPAVCSEQKKESCAKIPPPPPAPLPPPPPPPPKIMVRSCTTGTVQRAPQVVEFYHSLMKRDSRKDSLNGVCDVSNVADVRSSMIGEIANRSSYLLAIKADVETQGEFVNSLIREVNNATFLDIEHVVAFVKWLDDELCFLVDERAVLKHFDWPESKADTLREAAFGYRDLKKLENEVSHYKDDPRLPCDIALKKMVALSEKMERTVYSLLRSRDSLIRHCKEFQIPTNWMQDNGILSKIKLGSVKLARMYMKRVAMELQSKGPSDKDTSMDYMLLQGVRFAFRIHQFAGGFDAETMDAFEELRDLALALNKM >itb10g06900.t2 pep chromosome:ASM357664v1:10:7812164:7815557:-1 gene:itb10g06900 transcript:itb10g06900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKENPTENRVKTTSKFADQNQALKGNVKGNGNIPKVKSSWGSQIVKGLSGEKKTKPQITVQSKKIPLASSDIVNSQSRAKRSLMGDLSCSVTATQVHPQRTKSSGSRDLFLEIDHLRSLLQESKESKMKLEREIELKGHEIDGLVKRVEALEYEKANLSEELSKRDKNRDLTGLEMEVLELRRLNKELQLQKRDLSCRLSSIESQLLTTPKVSEENDVEKIKAEASLLRHKNESLCKQVEGLQMSRLNEVEELAYLRWENSCLRDELRGCPAMSCDKPSSPHGSDKSRESICLSSYQSDEDSKCSSARRLSLIKKLKKWPLTDEDISQLECQDDVGVDRWDNSQNYARRHSISGSKFCVEDLVINMRRQSDGFMCSKEVEKETEPLAFQHKVTASSEVERRALRVPNPPPRPSPAVCSEQKKESCAKIPPPPPAPLPPPPPPPPKIMVRSCTTGTVQRAPQVVEFYHSLMKRDSRKDSLNGVCDVSNVADVRSSMIGEIANRSSYLLAIKADVETQGEFVNSLIREVNNATFLDIEHVVAFVKWLDDELCFLVDERAVLKHFDWPESKADTLREAAFGYRDLKKLENEVSHYKDDPRLPCDIALKKMVALSEK >itb10g03830.t1 pep chromosome:ASM357664v1:10:3569307:3569964:1 gene:itb10g03830 transcript:itb10g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEQSEYDQEALQLLNELMVKREKERQELEKELEVYRKWVLEFEAKEKVVILKRSKDDSDRSAFSSASCSNESTPGDEVLNFEDSLADFEGERMAILEQLKVLEEKLITMDYEEVGEMEDLKAGEINGHSNVHANGFAKETNGKHQILSSMGKSLLPLFDDAISDENGDVAHENGFFYSNGVHHDSDITED >itb15g11090.t1 pep chromosome:ASM357664v1:15:8905312:8910109:-1 gene:itb15g11090 transcript:itb15g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKDFFVIPIVGNAGTGKTTFALKLFEDLEIRECFTHCVWVHVSRDFHRKQKFIDILHQISKQTEDFSMKLEDELEDKVKGLLKDERYLIVVDDVWEKEDWDSLKVAFPTNLKGSRVLVTTWSSNVVDSTWKSHSLGKLSNKDGWLLIKDNVFGSEGWCDTLIEELGIKIAKKCNGILHALVLAVGILRNCITSASWQRVADNPLLEINGEDQSYHELVKLSYNNLPDQELKNCFLYFACFPKGHEIVAWKLICLWIAEGFFPEDEWGPLNKEVEALNYLNVLVNRNLVMVKKRSADGQIKTCCIHDTLHEFCRSEAEKTQLFHVMDEGQRLNARIRLNRLCSYYTMNIFDVESNNPSDSFSNLFNKRMGSYQNSKFVKSLLLSSSQKSEIHSKPKQLEIILKTFQYLEVLNIESLKFSSLPNELFSKYRGIKYLAITADISSIPESFKYFSHLENLVIKTTERALEINGGIWNMKKLRHVHTNTSTQLPSPPKRSIYSDKQTDIHTLSTISPRSCTNEIFNKTPKLQKLGVCGDLSKLLEEKQNVCLFNNLQMLKCLKNLKLHGNSEKVELKVTMSDKFPRRLRKLTLSGTLFQWNDMIVLGLLEKLKVLKLDDNAFSGEHWDLSSDVIFKGLQYLRIGKMNLKTWTAVDSKKSFPVLESLVIRNCIFLQNIPQDFANMDSLKVMELFEVKVSDFAREICEKRHGKTNVKINGFNLFITPLPSQATVHNQAYGNEHVNTRGFHHSSTSIQSQEIVNNQATQAYGDENVNTSRFDQPSTSTSSQEIVHKQSNREENVNTSGFDHPSTSTPSQEIVCDDD >itb01g07320.t1 pep chromosome:ASM357664v1:1:5619573:5620166:-1 gene:itb01g07320 transcript:itb01g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRPIAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAVVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAALAENQSLSEAWSKIPEKLAFYDYISNNPAKGGLFRAGSMDNGDGIVVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRVESKYSVEQVGVTVAF >itb09g14550.t1 pep chromosome:ASM357664v1:9:9808926:9811832:1 gene:itb09g14550 transcript:itb09g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFEQLPEDVVAEILSRLPVKSLLQCKSVRKNWCTLIQNPSFIAKHHHNPNNAPLSFVHHYSLDAMKSGFSLFHEQTQFLASHSYQDYTKTRASVWEINGPLNGLFSLYNDEEEVTLWNPATREAKSLPVSYPPVSASFRMNSYKLGFGMDPSSGEYKVVWIRDYWDPILDGWHSSSIISVYTLTTDSWKHSEEFKICSRLIGKSCCNTYLDGFYYWRANNNGKIISFDMGNEVFGEIQTPETFKSTQGDLALYNDCVAMFLYESMNMQTGIDVWVMDKEMCWTKMAILGPFSNIKRPLGYGKNGEVFLEIVNSEVHMYDPRIKGIRALGPRRKGYSLQASVFKESLVSVKSLVAQK >itb08g03310.t2 pep chromosome:ASM357664v1:8:2719084:2722354:1 gene:itb08g03310 transcript:itb08g03310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFESGGEDELQNGDGNHSKRLKLPRKFSGGCNTVNHAPVPRKLRSAIKKRNHESISSPFLGNPKLNQVSNGVGRLKKDEKRSKMNLDTTQDVLDRCINKEVLGSITEDEQEVAETLYALAAMLPDTSVCKTECNNELPEGKSSPSPEVESSVPSINVLEMGTEIRTTSPQLTDEASKQPSNAEETIKSQSSSGNQLDRPVSMELPIDLNTDICEVNLTPAAFCKHEQTTERISENNGISTAWSNLHLPHRISQWSELERSPAGREKLEIGLNPVCFNLNAATFGIQTEVENKSKEKETNATSGSLWPGLTLASSCSSDMLSPALELYDVKCPEWFGNNSFIARTQTPEPFAEKNGRVPAVLKNSWKRCCTHVYVCHLIKVLQISERADKLLLQSAQSTQTNRSKQGIRVTDDSLIGTINDSHKVFSSGSAACSVVEKTTNEVRNAIFLHKKLLQDQRQGLSKQNPDFVSFPPQGMINGSSNATRAGETIEASKQLHVPHIASENPQLSFLLPQNRYSSASFGPLSSTGAAQQVTCLFPLSPCY >itb08g03310.t1 pep chromosome:ASM357664v1:8:2719084:2723282:1 gene:itb08g03310 transcript:itb08g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFESGGEDELQNGDGNHSKRLKLPRKFSGGCNTVNHAPVPRKLRSAIKKRNHESISSPFLGNPKLNQVSNGVGRLKKDEKRSKMNLDTTQDVLDRCINKEVLGSITEDEQEVAETLYALAAMLPDTSVCKTECNNELPEGKSSPSPEVESSVPSINVLEMGTEIRTTSPQLTDEASKQPSNAEETIKSQSSSGNQLDRPVSMELPIDLNTDICEVNLTPAAFCKHEQTTERISENNGISTAWSNLHLPHRISQWSELERSPAGREKLEIGLNPVCFNLNAATFGIQTEVENKSKEKETNATSGSLWPGLTLASSCSSDMLSPALELYDVKCPEWFGNNSFIARTQTPEPFAEKNGRVPAVLKNSWKRCCTHVYVCHLIKVLQISERADKLLLQSAQSTQTNRSKQGIRVTDDSLIGTINDSHKVFSSGSAACSVVEKTTNEVRNAIFLHKKLLQDQRQGLSKQNPDFVSFPPQGMINGSSNATRAGETIEASKQLHVPHIASENPQLSFLLPQNRYSSASFGPLSSTGAAQQVLLPPQFGSAVADATVPPRLQLHRQEIQIWPTQLTPAYKHGEVVSASHIPEWQNGGQNAHSLLQSAQTMFPGSRSSLDTLASKNAPISLEQQQRLMPISSSL >itb08g03310.t3 pep chromosome:ASM357664v1:8:2719084:2723047:1 gene:itb08g03310 transcript:itb08g03310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFESGGEDELQNGDGNHSKRLKLPRKFSGGCNTVNHAPVPRKLRSAIKKRNHESISSPFLGNPKLNQVSNGVGRLKKDEKRSKMNLDTTQDVLDRCINKEVLGSITEDEQEVAETLYALAAMLPDTSVCKTECNNELPEGKSSPSPEVESSVPSINVLEMGTEIRTTSPQLTDEASKQPSNAEETIKSQSSSGNQLDRPVSMELPIDLNTDICEVNLTPAAFCKHEQTTERISENNGISTAWSNLHLPHRISQWSELERSPAGREKLEIGLNPAATFGIQTEVENKSKEKETNATSGSLWPGLTLASSCSSDMLSPALELYDVKCPEWFGNNSFIARTQTPEPFAEKNGRVPAVLKNSWKRCCTHVYVCHLIKVLQISERADKLLLQSAQSTQTNRSKQGIRVTDDSLIGTINDSHKVFSSGSAACSVVEKTTNEVRNAIFLHKKLLQDQRQGLSKQNPDFVSFPPQGMINGSSNATRAGETIEASKQLHVPHIASENPQLSFLLPQNRYSSASFGPLSSTGAAQQVLLPPQFGSAVADATVPPRLQLHRQEIQIWPTQLTPAYKHGEVVSASHIPEWQNGGQNAHSLLQSAQTMFPGSRSSLDTLASKNAPISLEQQQRLMPISSSL >itb08g17900.t1 pep chromosome:ASM357664v1:8:20790854:20796049:-1 gene:itb08g17900 transcript:itb08g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPQRNSLGDRNVEQGKLTSANIYDLEIWCIALRALISQDNCEKWRSEKRSHSASSDSSTALTQQSPQSILSSSSSSNIVNEDQRKNQFVLRPYESPPQKRLERALSDMLLYNDAAPCSPQKDLNSNSIGSRSLKNINYEGQLGHGDEASRLVPCCITMLDGTNFCQVACGHSITVALTTSGQFVVFALFFFAIVGMASAAEAPADNSVVGTLDGGATTTAAPVGGPIPASVYESLSPAGTPSSGATTMRASVAAATLVAASFFF >itb04g03430.t1 pep chromosome:ASM357664v1:4:2112520:2113671:1 gene:itb04g03430 transcript:itb04g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEALFEARKLLQSSTYDIVVAKDGSGRFRTVMEAVNAAHSGNDTHKFKIYIKQGIYEESVRINMQYIMLVGDGITGNKSVRARWLERSAFRHEGDAGGGRAGVGCSGDAKLRRQAGQRKM >itb09g06350.t1 pep chromosome:ASM357664v1:9:3637460:3640397:-1 gene:itb09g06350 transcript:itb09g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRKVGFLTLCNHLFLTISFFCLQFGVSTDTITAHHSLEGSETILSNSQNFKMGFFRPENSTKYYIGIMFNVPSMAVVWVANRDKGMDDSRGSMGISEDGNLVVLDGENRVVWSTSKSNISTNSNTSAQLLDTGNLVLKDSSSGRYLWESFGENSDTFLEKMKIGNGVMSLDMTNELRSWKSPWDPSPGSFSYRLQPQSISEMVVLQNNSKPYWRSGPWNKQIFIGLPHMNSFYNYGAQIINDNAGGYITYTDMRQFNKVHYVLNSTGCFLERYWDEEKNKWVGTWESCGSGECDVYGKCGPFGVCDPSASNSCSCLQGYKPKNEMEWGNGNWSSGCIRNFALQCHRNSSNEENKKKDGFLKLQRVKVPDFARWVSSLDDSCETDCLRDCACIAYSYYNGIGCMHWFQDLIDIQQFSTGGADLYIRLPYSELDQNKNNKVIIIVITLTLGSLAIASCLYFVLLKHRGKKSSKIMPREDTSQGVLEDGQEIAVKRLSESSAQGQKEFMNEVVVISKLQHRNLVRLLGCCIERGEKMLVYEFMPNGSLDALLFDPNKEVVLDWMKRLMIIEGIGRGLLYLHRDSRLRIIHRDLKTSNILLDEQLNPKISDFGLARIFGSNQNQAKTQRVIGTYGYMAPEYAMNGKFSEKSDVFSFGVLLLEIVSGRKNSAFYHDGFAISLAAHAWKLWNLDKMEEMAEPEMYDMSFKMSIRRCVHVGLLCVQEYADDRPNISTVLSMLSSEITELPHPKPPAFTGRQSFPNNKFPKQNRSFVYNVTISDVEGR >itb01g24350.t2 pep chromosome:ASM357664v1:1:30002021:30004185:-1 gene:itb01g24350 transcript:itb01g24350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAESQFHVLAVDDSLIDRKLIERLFRTSSCQVTTVDSGSKALEFLGLHEHDDENNTNHHPSVLSNHPQPQEVEVNLVITDYCMPGMTGYDLLKKIKESSYLRNIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDVNKLRPHMMKTKCKKPENEPRDSQEPSPEHPLIQQECAVEDVKLQAQTEEQQQVMNSDEDSRKAIEESLSPGRTRTRQEPPQGRGDEPQPLVNNSNENKRKAMEERLSPDRTRPRYNNNGLTHCCL >itb01g24350.t1 pep chromosome:ASM357664v1:1:30002021:30004185:-1 gene:itb01g24350 transcript:itb01g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAESQFHVLAVDDSLIDRKLIERLFRTSSCQVTTVDSGSKALEFLGLHEHDDENNTNHHPSVLSNHPQPQEVEVNLVITDYCMPGMTGYDLLKKIKESSYLRNIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDVNKLRPHMMKTKCKKPENEPRDSQEPSPEHPLIQQECAVEDVKLQAQTEEQQQVMNSDEDSRKAIEESLSPGRTRTRQEPPQGRGDEPQPLVNNSNENKRKAMEERLSPDRTRPRYNNNGLTHCCL >itb03g07400.t1 pep chromosome:ASM357664v1:3:5420684:5425042:-1 gene:itb03g07400 transcript:itb03g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSEPRLRATENPSEWRFTWEAQSHVPILRLYLFNANIKPAVHCAHLRVDAVFEQSLLTVSFFETECQIETSLRVPIPRVVVDFESPVHSRTLDDHIEVKLALLLPVDHPLGSNFDSILNSLEIEECNNESLALDGVNELSAASDLQRLSAAGEVNFYCRSCTTKLTRGIRVFKEMPSVDWRDVADNWFGTCCCSFGGVSEKLVMNYAKSYYCTAGLCLLSKTSVIISTDDLLECELPERNKLKKYDSDLKSDDISYLNNSMLGNENDQQKNVCCENICKEVQGTNKSHFVNCCTLDPCENLLGQHESVVDLELPVNQKIFLNGFLGDAFMARPSYLSKDIEWIEFFCPKCSCLLGAYPSHNDRVPLDGGVRLYKFYISTSLPANGAYDLFRNYSFERMFTSQLLESAKDELSFRTVVRDLHNKCHSLQIVLLNPNAWSYAGFCLHALEPAPKINVYPVIKVLFSANNNDVQLETRSIEEWVTKKQAQEVYLLPSQIKELIANLKTAHITYPQSHNFSQGFSVSSLKT >itb03g07400.t3 pep chromosome:ASM357664v1:3:5420578:5425042:-1 gene:itb03g07400 transcript:itb03g07400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSEPRLRATENPSEWRFTWEAQSHVPILRLYLFNANIKPAVHCAHLRVDAVFEQSLLTVSFFETECQIETSLRVPIPRVVVDFESPVHSRTLDDHIEVKLALLLPVDHPLGSNFDSILNSLEIEECNNESLALDGVNELSAASDLQRLSAAGEVNFYCRSCTTKLTRGIRVFKEMPSVDWRDVADNWFGTCCCSFGGVSEKLVMNYAKSYYCTAGLCLLSKTSVIISTDDLLECELPERNKLKKYDSDLKSDDISYLNNSMLGNENDQQKNVCCENICKEVQGTNKSHFVNCCTLDPCENLLGQHESVVDLELPVNQKIFLNGFLGDAFMARPSYLSKDIEWIEFFCPKCSCLLGAYPSHNDRVPLDGGVRLYKFYISTSLPANGAYDLFRNYSFERMFTSQLLESAKDELSFRTVVRDLHNKCHSLQIVLLNPNAWSYAGFCLHALEPAPKINVYPVIKVLFSANNNDVQLETRSIEEWVTKKQAQEVYLLPSQIKELIANLKTAHITYPQSHNFSQGFSVSSLKT >itb03g07400.t2 pep chromosome:ASM357664v1:3:5421893:5425042:-1 gene:itb03g07400 transcript:itb03g07400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSEPRLRATENPSEWRFTWEAQSHVPILRLYLFNANIKPAVHCAHLRVDAVFEQSLLTVSFFETECQIETSLRVPIPRVVVDFESPVHSRTLDDHIEVKLALLLPVDHPLGSNFDSILNSLEIEECNNESLALDGVNELSAASDLQRLSAAGEVNFYCRSCTTKLTRGIRVFKEMPSVDWRDVADNWFGTCCCSFGGVSEKLVMNYAKSYYCTAGLCLLSKTSVIISTDDLLECELPERNKLKKYDSDLKSDDISYLNNSMLGNENDQQKNVCCENICKEVQGTNKSHFVNCCTLDPCENLLGQHESVVDLELPVNQKIFLNGFLGDAFMARPSYLSKDIEWIEFFCPKCSCLLGAYPSHNDRVPLDGGVRLYKFYISTSLPANGAYDLFRNYSFERMFTSQLLESAKDELSFRTVVRDLHNKCHSLQIVLLNPNAWSYAGFCLHALEPAPKINVYPVIKVLFSANNNDVQLETRSAFQLSYLTKPDV >itb14g21160.t1 pep chromosome:ASM357664v1:14:23298237:23301060:1 gene:itb14g21160 transcript:itb14g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELIYRGQESQPVTDAYTPKPPKPWLSLNRSISYILREQRLVFLFAGMAIATFIFALLPSSNPPYTQGYDSISASHFPSQSAQPHRLMYQKRIRFEAFNSGGKIPLGLQRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKDNVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRAAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEGLIRLMEGDHVGPFNLGNPGEFTMLELAKVVQETIDANAQIEFRPNTEDDPHMRQPDISKAKELLGWEPKVALRKGLPMMVQDFRQRIFADQKDDSTTSFIRTP >itb10g23530.t1 pep chromosome:ASM357664v1:10:27831261:27838689:1 gene:itb10g23530 transcript:itb10g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLVAYSHGPKGCVVTQASTLSASFAAHRFCRHYSKSLFCYNRVQHLSPLSVYQKTSHSFITNDGCKTTPTFNGKRFFFFKNVPSQRYRLQMYASVDVATAVDVINDLGMDTLTFLAVTVLVVPAFRTIRASPILGFFFAGVVLNQFGLIRNLMDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVLLSTLAFSAFELPPNAAIGTRILEFLFHSRPDLVNIRSVDEAVVIGAALSLSSSAFVLQILAERGELPTRFGSATLGILLLQDIAVVPLLVVLPVLESQNLVQESIWPMLAQESLKALGGLGLLSLSGKYILRRVFEVVAEARSSEAFVALCLLTVAGTSLLTQQLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDTELLFREWPNVLSLLAGLIVIKTLIITAIGPRVGLTLQESIRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRKAATLIDDSTDDGDKAAQKVDFNASEPIVIVGFGQMGQVLANFLSAPLVSGQDGEGCPFVAFDLDPSVVKTSRKLGFPVLYGDGSRPTVLQSAGITSPKAVMVMYAGKEKTLEAVQRIRLAFPAIPIYARAKDVNHLLNLKKVGATDAILESAETSLQLGSKLLKGLGVMSDDVSFLSQLVRDSMELQAQEALGKTDDQDKVMKPLEVRVGDLVMAKEPIPDEDEIWSMDQKDGNDESFAFTDTDQLTHDGESHFEDLEDETVLYSDIDSNNCFPVNEENVEKSDTDVVPKDSR >itb02g10490.t1 pep chromosome:ASM357664v1:2:6775976:6776302:-1 gene:itb02g10490 transcript:itb02g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYSCEERWRFTRTIEESVETVMGKKGKSLPFDLSSIMESYEDGDGCSQAVKHNLGNGVAESMRIEEAFQLRGRTLKIKANKNIIKQTSLSPGYFLFLLRRIVIKIL >itb01g06720.t4 pep chromosome:ASM357664v1:1:4928563:4934381:1 gene:itb01g06720 transcript:itb01g06720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMFIILIPIILLSFNARFLEAQSGHLPQDELNALKEIADQLGKKDWDFSLNPCDNKSNWLTPQGKDTPLYTNILTCDCTFPDGICHVQSINLKGQDLQGVLPPALVKLPFLKIIDLTRNYLSGTIPPGWASMKLELICVNVNRLSGPIPKYLGNITSLVYLNLENNMFKGSVPPELGKLVNLQNLILSANYLTGELPKELNALTKLKEFRLSSNSFTGKLPSFQTFKNLQQLEVQASGFEGPIPESISVLTNLIELISDLNGGGVSRFPTLKQMTNMTKLMLRRCNMSGKIPDIAHMTSLQQLDLSFNNLEGGIDGLKGLDKLQYIYLMNNSLSGQIPQWVLNRDSRFYTDLSYNTFTENLVSPICNREALNLFKSYNGGENEKIDQCLKNCTNDWYSFYINCGGGNVVIGDTTYDADQDSTGFAKFVPGRENWVTSNTGYFWDRDLTLSDYTTTNASVITGKDSQIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVMRDNRSFQSLGRRIFDVYIQDERKLKDFNIETEAQGTDKALIKQFQAVVRDKTLEVRFEYAGKGTTSSPSKGTYGPLISAISVESDFKPPKNIKILIHTLVAIASSLSLIFIILILVWWKFYIRNKTSREKELRGLDLRTDAYHDDDVGSFLVYKIRHPNLVKLYGCCIEWKQLLLVYEYLENNSLAHALFGPEKSQLNIDWPTRQRICVGIAKGLAFLHEESAIKVVHRDIKATNVLLDKELNPKISDFGLAKLEDDGNTYVSTRVVGTMGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRPNENYACLLDWALALQKHGDLMELIDPRLGSDLDKEEALRMIKVALLCTNPSPALRPSMSAVVNMLEGHDDILEYNSDQHEFNYQAMSDCYNEMPIGSSDSLNNTNFSS >itb01g06720.t3 pep chromosome:ASM357664v1:1:4928512:4934632:1 gene:itb01g06720 transcript:itb01g06720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMFIILIPIILLSFNARFLEAQSGHLPQDELNALKEIADQLGKKDWDFSLNPCDNKSNWLTPQGKDTPLYTNILTCDCTFPDGICHVQSINLKGQDLQGVLPPALVKLPFLKIIDLTRNYLSGTIPPGWASMKLELICVNVNRLSGPIPKYLGNITSLVYLNLENNMFKGSVPPELGKLVNLQNLILSANYLTGELPKELNALTKLKEFRLSSNSFTGKLPSFQTFKNLQQLEVQASGFEGPIPESISVLTNLIELRISDLNGGGVSRFPTLKQMTNMTKLMLRRCNMSGKIPDIAHMTSLQQLDLSFNNLEGGIDGLKGLDKLQYIYLMNNSLSGQIPQWVLNRDSRFYTDLSYNTFTENLVSPICNREALNLFKSYNGGENEKIDQCLKNCTNDWYSFYINCGGGNVVIGDTTYDADQDSTGFAKFVPGRENWVTSNTGYFWDRDLTLSDYTTTNASVITGKDSQIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVMRDNRSFQSLGRRIFDVYIQDERKLKDFNIETEAQGTDKALIKQFQAVVRDKTLEVRFEYAGKGTTSSPSKGTYGPLISAISVESDFKPPKNIKILIHTLVAIASSLSLIFIILILVWWKFYIRNKTSREKELRGLDLRTGLFTFRQIKAATNNFDATNKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSSQGHREFLNEISMISCLQHPNLVKLYGCCIEWKQLLLVYEYLENNSLAHALFGPEKSQLNIDWPTRQRICVGIAKGLAFLHEESAIKVVHRDIKATNVLLDKELNPKISDFGLAKLEDDGNTYVSTRVVGTILKKLWTASASHFSLISQYNVRGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRPNENYACLLDWALALQKHGDLMELIDPRLGSDLDKEEALRMIKVALLCTNPSPALRPSMSAVVNMLEGHDDILEYNSDQHEFNYQAMSDCYNEMPIGSSDSLNNTNFSS >itb01g06720.t2 pep chromosome:ASM357664v1:1:4928510:4934693:1 gene:itb01g06720 transcript:itb01g06720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMFIILIPIILLSFNARFLEAQSGHLPQDELNALKEIADQLGKKDWDFSLNPCDNKSNWLTPQGKDTPLYTNILTCDCTFPDGICHVQSINLKGQDLQGVLPPALVKLPFLKIIDLTRNYLSGTIPPGWASMKLELICVNVNRLSGPIPKYLGNITSLVYLNLENNMFKGSVPPELGKLVNLQNLANYLTGELPKELNALTKLKEFRLSSNSFTGKLPSFQTFKNLQQLEVQASGFEGPIPESISVLTNLIELRISDLNGGGVSRFPTLKQMTNMTKLMLRRCNMSGKIPDIAHMTSLQQLDLSFNNLEGGIDGLKGLDKLQYIYLMNNSLSGQIPQWVLNRDSRFYTDLSYNTFTENLVSPICNREALNLFKSYNGGENEKIDQCLKNCTNDWYSFYINCGGGNVVIGDTTYDADQDSTGFAKFVPGRENWVTSNTGYFWDRDLTLSDYTTTNASVITGKDSQIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVMRDNRSFQSLGRRIFDVYIQDERKLKDFNIETEAQGTDKALIKQFQAVVRDKTLEVRFEYAGKGTTSSPSKGTYGPLISAISVESDFKPPKNIKILIHTLVAIASSLSLIFIILILVWWKFYIRNKTSREKELRGLDLRTGLFTFRQIKAATNNFDATNKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSSQGHREFLNEISMISCLQHPNLVKLYGCCIEWKQLLLVYEYLENNSLAHALFGPEKSQLNIDWPTRQRICVGIAKGLAFLHEESAIKVVHRDIKATNVLLDKELNPKISDFGLAKLEDDGNTYVSTRVVGTMGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRPNENYACLLDWALALQKHGDLMELIDPRLGSDLDKEEALRMIKVALLCTNPSPALRPSMSAVVNMLEGHDDILEYNSDQHEFNYQAMSDCYNEMPIGSSDSLNNTNFSS >itb01g06720.t1 pep chromosome:ASM357664v1:1:4928512:4934632:1 gene:itb01g06720 transcript:itb01g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMFIILIPIILLSFNARFLEAQSGHLPQDELNALKEIADQLGKKDWDFSLNPCDNKSNWLTPQGKDTPLYTNILTCDCTFPDGICHVQSINLKGQDLQGVLPPALVKLPFLKIIDLTRNYLSGTIPPGWASMKLELICVNVNRLSGPIPKYLGNITSLVYLNLENNMFKGSVPPELGKLVNLQNLILSANYLTGELPKELNALTKLKEFRLSSNSFTGKLPSFQTFKNLQQLEVQASGFEGPIPESISVLTNLIELRISDLNGGGVSRFPTLKQMTNMTKLMLRRCNMSGKIPDIAHMTSLQQLDLSFNNLEGGIDGLKGLDKLQYIYLMNNSLSGQIPQWVLNRDSRFYTDLSYNTFTENLVSPICNREALNLFKSYNGGENEKIDQCLKNCTNDWYSFYINCGGGNVVIGDTTYDADQDSTGFAKFVPGRENWVTSNTGYFWDRDLTLSDYTTTNASVITGKDSQIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVMRDNRSFQSLGRRIFDVYIQDERKLKDFNIETEAQGTDKALIKQFQAVVRDKTLEVRFEYAGKGTTSSPSKGTYGPLISAISVESDFKPPKNIKILIHTLVAIASSLSLIFIILILVWWKFYIRNKTSREKELRGLDLRTGLFTFRQIKAATNNFDATNKIGEGGFGPVYKGTLLDGTVIAVKQLSSKSSQGHREFLNEISMISCLQHPNLVKLYGCCIEWKQLLLVYEYLENNSLAHALFGPEKSQLNIDWPTRQRICVGIAKGLAFLHEESAIKVVHRDIKATNVLLDKELNPKISDFGLAKLEDDGNTYVSTRVVGTMGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRPNENYACLLDWALALQKHGDLMELIDPRLGSDLDKEEALRMIKVALLCTNPSPALRPSMSAVVNMLEGHDDILEYNSDQHEFNYQAMSDCYNEMPIGSSDSLNNTNFSS >itb09g13860.t1 pep chromosome:ASM357664v1:9:9082347:9083086:-1 gene:itb09g13860 transcript:itb09g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPNTSTINNTSFSRGYLSTGAGSDISSFGYAIGVSIAILSLITTITLASYFCTRNQTSSSSQRPQPRDPQNSGVTEKGLDEETLLSYPKLVFSQAAKVSRRKDSTAATCCSICLADYKSSDTLRLLPECGHLFHLKCVDPWLRLHPTCPVCRTSPLPTPHSTPLADNAVPLASRLPVR >itb03g15180.t1 pep chromosome:ASM357664v1:3:14595696:14597646:1 gene:itb03g15180 transcript:itb03g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAETDQEVMKEMMMKEGVSCSTEDSKSGPEFLGAEIEPEGEEEEEGEDDDGKGEKGNNGSSSSNSTVEENNGKKLSGNSSGSVRQYVRSKTPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKADEPNPVTPNGRLLLGNGDSHIFNFSQLQRLQGFNPTPVTTSLRFDDSSWSHRHHHQPYSSVYNPYLNGGAAAMIRHGFGGNYVNGGRSEFPMMSRQCSDNVAAPPQLFPNQRILPPAAQNGEVTAAGTGKRKLPADSDVNLDLNLSLKTRNGGGNDEKRAKGDDKYEDSTNLSLSLFPSSQNDDQTTTVKKTTLDLTL >itb10g23730.t1 pep chromosome:ASM357664v1:10:27925474:27931368:-1 gene:itb10g23730 transcript:itb10g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGIRPINTLATIEDRALRLDPKVGLGGQPRATLDYRNPTAANVIQPKSLNPVSSPSSLYPSSELRTSQSTMSIFLRSLPAIALRSKPSPLGSLSLLYFAHVNPLFIPTSLPKRTLSSLSVSASSASTPIPSAETDSKKPPIQSGGQLKWVTRTRYCGELSESDVGKRVRLCGWVALHRVHGGLTFFNLRDHTGIVQITTLPDEFPEAHSTINDLRLEYVVAVEGVVRPRPGESVNKKMKTGMIEVAAEHVDVLNAVHLKLPFLVTAADDSKDSAKEEIRLRYRCLDLRRPQMSSNIFLRHSVVKLMRRYLEDEHGFVEIETPMLSRSTPEGARDYLVPSRIQPGAFYALPQSPQLYKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMSFTSLEDMLKLNEELIRKVFLEIKGVQLPNPFPRLTYAEVMSRFGSDRPDLRFDLELKDVSDIFSNSSFKVFADALASGGIIKALCVPSGAKTYSNTALKKGDIYREAVKSGAKGLPFIKVLNDGDIEGIPPLVSSLDITQKEQLIKRLSASSDDLVLFALGDHASVNKTLDCLRIHIAHELGLVDESRHSILWVTDFPMFEWNDQEQRLEALHHPFTAPNPEDMNNLASARALAYDLVYNGVEIGGGSLRIHKRDVQEKVLGIVGISPEQAEAKFGYLLEALDMGAPPHGIVNNLLAANYICVT >itb05g25420.t1 pep chromosome:ASM357664v1:5:29828480:29830971:-1 gene:itb05g25420 transcript:itb05g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWKRIVVFEMMLSLGLMGCLVNGFGGGGGGGVPQRRCWFPAVYNFGDSNSDTGGRSAALGEVRPPNGETFFGKPSGRYCDGRLVIDFIAENLGLPYLNAYLDSIGTSFRHGANFATAGSSILPGGYSPFFLDIQISQFIQFKKRTIALYNKLADTNQVYKDVLPRPEEFSKSLYTFDIGQNDISHGFQYSNVSQTLQSIPKMMDKFSQALYQLYGEGARNFWIHNTGPIGCLPTSVAYNKDNHNNVDNTGCAATQNRVAQEFNRQLKTRVLQLRAQLPQAVFTVVDMYSAKYAVVSNAGSHGFQDPFSFCCCGKKQMANETGYGDPCSDPSRRISWDGVHYSEAANLILARSVMYGSFSDPPLPVAEACR >itb08g13120.t1 pep chromosome:ASM357664v1:8:13693816:13694993:-1 gene:itb08g13120 transcript:itb08g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLEPSLVGFTVMFFCVYLLGYFIFFRNWNEKRSDASSCLMSLAHGTPAVILAISSNLPFFQHQMGFSSPNTPSQEMVLDFSIAYFLMDSLHYIFFYPHDALFIAHHMATLYVFLTCRFAVRHGATALLGLLVLAEITSPCQNTWSLARYRKVDLPEAAKLHAFLSPIFYAFYSVVRGVVGPWFVCKMGRALVGGAAQAVIPKGVWISWMVVIVSAIMVSILWVFNLWIDLYKETKRKEFKKNR >itb12g19210.t1 pep chromosome:ASM357664v1:12:21575506:21577006:-1 gene:itb12g19210 transcript:itb12g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDNQGSFLNRIIIRRNSQVVSMENNHEELDLELFQKHVADRFSDLDAPSAAADHAPLLSISWFRKLLDVLLLCEAEFKAVVLTGRDPSHFSKPPLDRLVPDLLDRSVKALDICNAVTHGIELVRGWQKLAQIAVTALEQKPLGDGGLRRAKKGLNSLLTSLAGEDKESNSSNGHAKFSERTWSLSRHGGGATGKEKTAENFRSVARSWSAAKQIQAMSSNLVAPRGWEPAGLPVYVMSTVLVFVMWALVAAIPCQERIGLPAHLSTPRQLGWAQSLIGLQEKIGEEWKKKEKKGTCGLLEEVQRMEKVAQSLLKFTESNQFPLEEDKAEEVAAQAAEMADICRKMEEGLLPLQQQVREVFHRIARSRAEVLDVLDQVGK >itb01g11470.t1 pep chromosome:ASM357664v1:1:10386758:10392960:1 gene:itb01g11470 transcript:itb01g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFCFASHRIRRCFFSIPSLLLQTPTPCATYKLLSTQSWLFNPGKPLMKWPFAPPPQPPQLRPPPPPPPPPQPQNPNGFTTIRDLLRDPSLSPGPSLEQALDRVGIELDEALFLHIFSHFDSSHKPLLALFLWAEKQPWYSFSVAVFNAMINALGKGRDFDTAWSLIRDRISSPDAGPNLDTFTIMVRRYARAGMNSAAIRTFEFSSTLDFIRSLDSANTLFEILLDSLCKEGHVRVASEYFERQKGRDPSWIPSTRIYNILLNGWFRSRKLKKAEGLWVEMRKENIMPSVVTYGTLVEGYCRMRRVEIAMELISDMSKEGIQPNAIVYNPIIDALGEAGRFKEALGMMERLTVVESGPTISTYNSLVKGFCKAGDLLGASKILKMMINRGFTPTPTTYNYFFRYFSKFGRIEEGLNLYTKMIESGYEPDRLTYHLLVKMLCEEEKLELAMRVIREMRARGWDLDLATSSMLIHLLCKMHRLDEAIKEFEDMIRRGIVPQYLTYQRMIDNLKKEGMNEKVKKLCDMMASLPHSKKLPNTYTEVGDSSPVRRKSIIQKAEAMSETLKTCANPRKLAKRRHQLQNAMPSANPSIDSIKSRVN >itb01g11470.t2 pep chromosome:ASM357664v1:1:10386758:10392960:1 gene:itb01g11470 transcript:itb01g11470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFCFASHRIRRCFFSIPSLLLQTPTPCATYKLLSTQSWLFNPGKPLMKWPFAPPPQPPQLRPPPPPPPPPQPQNPNGFTTIRDLLRDPSLSPGPSLEQALDRVGIELDEALFLHIFSHFDSSHKPLLALFLWAEKQPWYSFSVAVFNAMINALGKGRDFDTAWSLIRDRISSPDAGPNLDTFTIMVRRYARAGMNSAAIRTFEFSSTLDFIRSLDSANTLFEILLDSLCKEGHVRVASEYFERQKGRDPSWIPSTRIYNILLNGWFRSRKLKKAEGLWVEMRKENIMPSVVTYGTLVEGYCRMRRVEIAMELISDMSKEGIQPNAIVYNPIIDALGEAGRFKEALGMMERLTVVESGPTISTYNSLVKGFCKAGDLLGASKILKMMINRGFTPTPTTYNYFFRYFSKFGRIEEGLNLYTKMIESGYEPDRLTYHLLVKMLCEEEKLELAMRVIREMRARGWDLDLATSSMLIHLLCKMHRLDEAIKEFEDMIRRGIVPQYLTYQRMIDNLKKEGMNEKVKKLCDMMASLPHSKKLPNTYTEVGDSSPVRRKSIIQKAEAMSETLKTCANPRKLAKRRHQLQNAMPSANPSIDSIKSRVN >itb01g11470.t3 pep chromosome:ASM357664v1:1:10386758:10391178:1 gene:itb01g11470 transcript:itb01g11470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFCFASHRIRRCFFSIPSLLLQTPTPCATYKLLSTQSWLFNPGKPLMKWPFAPPPQPPQLRPPPPPPPPPQPQNPNGFTTIRDLLRDPSLSPGPSLEQALDRVGIELDEALFLHIFSHFDSSHKPLLALFLWAEKQPWYSFSVAVFNAMINALGKGRDFDTAWSLIRDRISSPDAGPNLDTFTIMVRRYARAGMNSAAIRTFEFSSTLDFIRSLDSANTLFEILLDSLCKEGHVRVASEYFERQKGRDPSWIPSTRIYNILLNGWFRSRKLKKAEGLWVEMRKENIMPSVVTYGTLVEGYCRMRRVEIAMELISDMSKEGIQPNAIVYNPIIDALGEAGRFKEALGMMERLTVVESGPTISTYNSLVKGFCKAGDLLGASKILKMMINRGFTPTPTTYNYFFRYFSKFGRIEEGLNLYTKMIESGYEPDRLTYHLLVKMLCEEEKLELAMRVIREMRARGWDLDLATSSMLIHLLCKMHRLDEAIKEFEDMIRRGIVPQYLTYQRMIDNLKKEGMNEKVKKLCDMMASLPHSKKLPNTYTEVGDSSPVRRKSIIQKAEAMSETLKTCANPRKLAKRRHQLQNAMPSANPSIDSIKSRVN >itb11g06200.t1 pep chromosome:ASM357664v1:11:3688353:3692289:-1 gene:itb11g06200 transcript:itb11g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVTEARKLPRPGRGGVVSHGMTEEEARVRAIAEIVNNMVDLSRRGKDVDLNGLKSAACRKYGLSRAPKLVEMIAALPETERESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVSHMMPDLPNVGVERDLESFKEFFDSPMFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLIDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGKNVTCPELTGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARQEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKCLV >itb04g28500.t1 pep chromosome:ASM357664v1:4:32207652:32211089:1 gene:itb04g28500 transcript:itb04g28500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGVALWSLATFLTPWAAEVSIWMLLLMRMLLGIAEGVALPCMNNMIARWFPQTERSRAVGLAMAGFQLGSAIGLTLSPILMSKGGIFGPFVIFGLCGFLWVLVWVSAVSSTPERSHQISLHELQYIQNKGPRHAVDSKTKTGKVIPPFRRLLSKPPTWSLIVANAMHSWGFFVILSWMPIYFKTIYHVDLRQAAWFSAVPWSVMALMGYFAGVLSDLMIQSGISVTLTRKVMQSIGFFGPCTALVGLTTAPTPVIASAWLTLAVGLKAFSHCGFLVNFQEIAPKYSGVLHGISNTGGTLAAIIGTVGAGFFVELVGSFKGFLLLTAFLYFSAAVFYNVFSTGEKVDFDETT >itb04g28500.t2 pep chromosome:ASM357664v1:4:32207652:32211089:1 gene:itb04g28500 transcript:itb04g28500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVALRRTTLIPGSLRNLNPSKPSLSFIVKSTSRAASSSSKSYSKSFALVGPPKYLARTLWLNGNGNGNGNGNRASSSDVPSTADGAAGVREPSWVEFVTSERVKVVAMVGLALALCNADRVVMSVAIVPLSVSRAWRQSFAGVVQSSFLWGYLISPIAGGTLADYFGGKVVMAWGVALWSLATFLTPWAAEVSIWMLLLMRMLLGIAEGVALPCMNNMIARWFPQTERSRAVGLAMAGFQLGSAIGLTLSPILMSKGGIFGPFVIFGLCGFLWVLVWVSAVSSTPERSHQISLHELQYIQNKGPRHAVDSKTKTGKVIPPFRRLLSKPPTWSLIVANAMHSWGFFVILSWMPIYFKTIYHVDLRQAAWFSAVPWSVMALMGYFAGVLSDLMIQSGISVTLTRKVMQSIGFFGPCTALVGLTTAPTPVIASAWLTLAVGLKAFSHCGFLVNFQEIAPKYSGVLHGISNTGGTLAAIIGTVGAGFFVELVGSFKGFLLLTAFLYFSAAVFYNVFSTGEKVDFDETT >itb04g28500.t3 pep chromosome:ASM357664v1:4:32207652:32211089:1 gene:itb04g28500 transcript:itb04g28500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVALRRTTLIPGSLRNLNPSKPSLSFIVKSTSRAASSSSKSYSKSFALVGPPKYLARTLWLNGNGNGNGNGNRASSSDVPSTADGAAGVREPSWVEFVTSERVKVVAMVGLALALCNADRVVMSVAIVPLSVSRAWRQSFAGVVQSSFLWGYLISPIAGGTLADYFGGKVVMAWGVALWSLATFLTPWAAEVSIWMLLLMRMLLGIAEGVALPCMNNMIARWFPQTERSRAVGLAMAGFQLGSAIGLTLSPILMSKGGIFGPFVIFGLCGFLWVLVWVSAVSSTPERSHQISLHELQYIQNKGPRHAVDSKTKTGKVIPPFRRLLSKPPTWSLIVANAMHSWGFFVILSWMPIYFKTIYHVDLRQAAWFSAVPWSVMALMGYFAGVLSDLMIQSGISVTLTRKVMQSIGFFGPCTALVGLTTAPTPVIASAWLTLAVGLKAFSHCGFLVNFQVCKFTFDARKFSKIDQLCSVSRFHAT >itb01g08380.t1 pep chromosome:ASM357664v1:1:6763147:6763821:-1 gene:itb01g08380 transcript:itb01g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRIASYDDLGGKSSHCGVLEFSAEEGFVYMPQCVMNNLGLNELDLVTVANVVLPKEDYLKLRPHTTEFLNKVKNPKEVLEATFREHSCLTAGDTVVVCYDGERFKVDVVETRPSDAVSVIDTDCNVTLTLTNPWTTRNPKSHNKLMIKTKEKKLCVSSPSLALGEHWMAKSWKQRKQKVAVRDHYQRMAIRIGKKRLRKKMTSFNHLQARNTLWAALEITQD >itb09g02250.t1 pep chromosome:ASM357664v1:9:1324547:1326073:1 gene:itb09g02250 transcript:itb09g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGELHVFFFPFMAQGHMIPILDMAKLFASRGVKATIITTPLNQPVFSKAVEKYAQLGFQIQIRLLQFPGVDVGLPESCQRLDQIPSDDGLPRFFNACEMLQEPLEQILRELRPDCLVADIFFPWATEAAAKFDIPRLIFHGCSYLAMCATDSLETHKPFNDVSSDSQLFTIPNLPHELKLTRLQVSPFERSKVETPFTDILKRISESEETSYGEIFNSFYELEPDYAEQYKNVLGRRAWSVGPLSLYNRDVEDKAQRGKKSSIDELKCLEWLDSKNPHSVVYICFGSVANFAPSQLQELALGIEDSGLDFVWVIRNKSEEDNGSEKWMPGGFEERTKGKGLIIRGWAPQVLILDHPAIGAFVTHCGWNSIQEGVCAGVPMVTWPLFAEQFVNEKLMTDILQTGIGVGSKEWKLSDNDGVKREAIAEAIKKVMISEESEAMRNRAKTMKDKARKAIEEGGSSYLDFNSLLDELRAYPAKH >itb09g23260.t1 pep chromosome:ASM357664v1:9:22550118:22557498:1 gene:itb09g23260 transcript:itb09g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYQTLEEAQISVGRNLTFGETLWFKYSAQKSDYLLYCHNTLFFFIFYTLVPLPWVMIQRLRSHKFDRFKIQPRATHSLSEMIHCYTKVLLTFVYSVCPLQILSYPIIKWIGIRTSLALPSWGEMLSQVLVYFLVEDYANYWLHRMLHCKWGYDKIHRVHHQYLAPMVFAAPYAHWAEVLILGFASFLGPLMVPCHMITFWLWFILRQIEAIETHSGYEFPWSPSKYIPFYGGAIYHDYHHFVGESCNSNFASVFTYCDTIYGTNKGYQYQKRIFEKREKGRRRSS >itb06g11960.t1 pep chromosome:ASM357664v1:6:16522816:16524105:1 gene:itb06g11960 transcript:itb06g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEHYRVKNERGIECLNSVQKVKIIYNDPDATDSDTDDDDDKNAHSKRVVKEVILPIAKNPSKISDKEQIHSEISKEFARKVGLPKGVRQRKWGKFAVEIRNPIEKKRKWVGTFASVEDAARAYDAQKAEFERMLSMDKKNPCYGTSVAPPFTSQESGSEFLEDQLCQEFNLGLEFEDPTKDEDNPTKKIKVNQTHHESSATLVDEHILRIPNKSKLSTSPSTGHLIFDTEKNTRVDNEPIRGIPNDPKLSTSPSISQLILEFEENVRVGNEPIPGIPNEPKLLTSPSISQLILDTEKNARVGNEPSLRIPNEPKLSTSPSISHLILDIEENARVGNDLRRLGFEHNDFVNVNISRQLCHHGCNSEEGIQMQCDFRCLNFEDFPCDDSEDMLDSLWMEDAVEIRMAELNKEDLQWLNEIFITDERVSQ >itb07g01990.t2 pep chromosome:ASM357664v1:7:1192385:1196211:-1 gene:itb07g01990 transcript:itb07g01990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGRYFDSGDPREMGLKRQRIMDEGSSYYSIPPVSNYTYNPPPPLPPDHPYIGKPPAFPVVRLRGLPFGCTERQIADFLHGLDVVDVVLVHKGGKFTGEAYCVLGYSLQVDFALQRNMQNMGKRYVEVFKSEKKEYYRAVANEFSDARRVSVPKARPSEDGRDFTRSGSILRARPSEDGKDLEEHTGVLRLRGLPFSASKEDIIKFFKDFSLSENSIHLTANSAGKATGEAFVEFSNAEDSKAAMAKDMMTIGSRYIELFLSSREVLEEAVSRGRLLDKQSDDQDLTEGTCVLRMRGLPYSAANDDIKTFFKEFVLSDKSIHIKFKFKRRPTGEGFVEFANPEDAKAALAKDRMTLGSRYIELFPSSLEELNEAVSRVQ >itb07g01990.t1 pep chromosome:ASM357664v1:7:1192385:1196211:-1 gene:itb07g01990 transcript:itb07g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGGDPREMGLKRQRIMDEGSSYYSIPPVSNYTYNPPPPLPPDHPYIGKPPAFPVVRLRGLPFGCTERQIADFLHGLDVVDVVLVHKGGKFTGEAYCVLGYSLQVDFALQRNMQNMGKRYVEVFKSEKKEYYRAVANEFSDARRVSVPKARPSEDGRDFTRSGSILRARPSEDGKDLEEHTGVLRLRGLPFSASKEDIIKFFKDFSLSENSIHLTANSAGKATGEAFVEFSNAEDSKAAMAKDMMTIGSRYIELFLSSREVLEEAVSRGRLLDKQSDDQDLTEGTCVLRMRGLPYSAANDDIKTFFKEFVLSDKSIHIKFKFKRRPTGEGFVEFANPEDAKAALAKDRMTLGSRYIELFPSSLEELNEAVSRVQ >itb01g11990.t1 pep chromosome:ASM357664v1:1:11368971:11376307:-1 gene:itb01g11990 transcript:itb01g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWLCCTCQVEESYASHESEALKGPANDADGYERGTKVAIPVKTEVQKAIPSIEVPELSLDELKEQTENFGSKALIGEGSYGRVYFANLNDGKSVAVKKLDVSSEQDSNAEFLTQVSMVSKLKHENLVELLGYCVEGNLRILAYEFATMGSLHDILHGRKGVQGAQPGPTLDWTQRVRIAVDAARGLEYLHEKVQPPVIHRDIRSSNVLLFEEFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKSSAPAPEI >itb10g05630.t1 pep chromosome:ASM357664v1:10:5888467:5889759:1 gene:itb10g05630 transcript:itb10g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSGGHDFEGVSYVSDVPFFILDMFNFRSVNVSIEDETAWVEVGATTGEVYYAIANKSNVHGFPGGVCTTIGMGGHIGGGGYGNMMRKYGLTVDNVIDAKMIDVNGNLLDRKSMGEDLFWAITGGGAYSFGVVLAYKIKLIPVPSKVTVFRVTRTYHQNLTNIVYRHQLVSNHLDNNLFIRLTIGVVNSTTHPGDKTISATFNSLFLGDTKTLLSTMNESFPELGLNQEDCIEMAWIESVLFYTNFPAGTPLDALLNRQPQGLNYFKIKSDYLQTPMQKQGIEFIFKKMVELERVGMTFNPYGGSMAEIPSTAKPFPHRSGNIAMIQYAISWVEEGEKAAETNLELIRQLYQHMTPFVSKNPRAAFLNYRDLDLGNNHNGPQSYSEGMEYGLKYFKGNFDRLVKIKSKVDPQNFFRNEQSIPIFPQPS >itb09g27510.t1 pep chromosome:ASM357664v1:9:27774782:27775367:1 gene:itb09g27510 transcript:itb09g27510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMRHIFRAKQGLKRSSSRTNREFEVPKGHFAVYVGENEKRRFVIPVSYLKDPSFQHLLSQAEEEFGFDHPAGGLTIPCMEDTFLDVISSLRS >itb13g22000.t1 pep chromosome:ASM357664v1:13:28429107:28429951:-1 gene:itb13g22000 transcript:itb13g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIAYPKQKLVDIGLDGFQHLDRESIYGSRTAYPRHHLLPPPPINYYPQNKPYYPTYHKPKFVEPQQPKTMFFTTYQPPRAYAVHLNPETGKEEVISTATFREFDQPHYNDYPRKSTPSMAPTAAYI >itb05g24550.t3 pep chromosome:ASM357664v1:5:29297516:29302053:1 gene:itb05g24550 transcript:itb05g24550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDSNSSTKPSSLRWKILRRALLRRPASNLDNQSETGSGVEQISRKATRGFNLIPCSFLENRSEENSDSLSIKDAVSSSRDAPFCYTLPLPNSPQLVLHQRVNDLVDLNDFEVCNRFNIDNTGLVCQWPSEDVLAYYCLSHADMFRHKRVIELGSGYGLAGLVVAIATEALEVVITDGNPQVVDYTLWISLTFRYTA >itb05g24550.t2 pep chromosome:ASM357664v1:5:29297516:29302053:1 gene:itb05g24550 transcript:itb05g24550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDSNSSTKPSSLRWKILRRALLRRPASNLDNQSETGSGVEQISRKATRGFNLIPCSFLENRSEENSDSLSIKDAVSSSRDAPFCYTLPLPNSPQLVLHQRVNDLVDLNDFEVCNRFNIDNTGLVCQWPSEDVLAYYCLSHADMFRHKRVIELGSGYGLAGLVVAIATEALEVVITDGNPQVVDYIQRNIITNSSVFGGTEVKSMVLHWGQENVSDISNTFDVIIASDW >itb05g24550.t1 pep chromosome:ASM357664v1:5:29297516:29302053:1 gene:itb05g24550 transcript:itb05g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDSNSSTKPSSLRWKILRRALLRRPASNLDNQSETGSGVEQISRKATRGFNLIPCSFLENRSEENSDSLSIKDAVSSSRDAPFCYTLPLPNSPQLVLHQRVNDLVDLNDFEVCNRFNIDNTGLVCQWPSEDVLAYYCLSHADMFRHKRVIELGSGYGLAGLVVAIATEALEVVITDGNPQVVDYIQRNIITNSSVFGGTEVKSMVLHWGQENVSDISNTFDVIIASDCTFFKEFHEGLAETMKFLLKKEGPSEALLFSPKRGDSLEKFLAKVEGSGLNFSIDNKYDTEIWGRHQRFLYGDDSWPNYEMDHCYPLLVKITW >itb13g22090.t1 pep chromosome:ASM357664v1:13:28472462:28474287:1 gene:itb13g22090 transcript:itb13g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITHKTVGVNGINMHVAELGEGPLVLFLHGFPELWYSWRHQILFLAAQGYRAVAPDLRGFGDTTGAPNDDPSKFTVFHIVGDLIELLRSIAPEEEKVFVVGHDWGAIIAWHLCMFRPDKVKALVNLSVHFFPRHPHLDLVESFRAMYGNEHYICKFQEPGEIEAEFARIGVKTCLQKLFASRRPGPWLPEEDLNYFVSRYEKTGFTGGLNCYRSLKLDWELTAPWSETKVKVPTKFIVGDLDLVYHIPGAKEYIHNGGFKNDVPLLEDVVVLQDVAHFLNQETPEEVNNHIINFLNKF >itb11g12150.t1 pep chromosome:ASM357664v1:11:9046722:9052007:1 gene:itb11g12150 transcript:itb11g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARATWLLLLLFISTLTKIQSEVSPETSAPAGFDTGGLSRASFPKGFMFGTATSAYQVEGAAGKEYGRGPSIWDTFIKFPGREPNNATGEVSVDQYHRYKEDIDLLANLNFEAYRFSISWSRIFPNGTGKVNWQGVAYYNRLINYMLKRGITPYANLNHYDLPQALQDRYNGWLDRRVVEDFADYAEFCFKTFGDRVKNWFSFNEPRVVAALGYDNGFFAPGRCSKPFGNCTEGNSATEPYIVTHNLLLSHGAAAQRYRAKYQAKQKGRFGILLDFVWYEPLTRSKADNYAAQRARDFHLGWFLHPIVYGEYPKTMQNIVGERLPKFTPDEVKMVKGSIDYVGINQYTTYYMYEPHNTKPPVPGYQNDWNVGFAYEKNGVPIGPRAHSYWLFMVPWGMYKAVSYVKERYGNPTMILSENGMDYAGNLTFAESYHDTKRINYYKSYLIQLKKAIDDGANVIGYHAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRSPKESAYWFQHMIRRNKH >itb14g00460.t1 pep chromosome:ASM357664v1:14:312072:316648:1 gene:itb14g00460 transcript:itb14g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLWLSNLTRLENLNLHENLNNYTSSFNSSQLIPFCKLLNLVSMDLSDDSFQGRDNSFQGLIPHCLGNLTSLTSLDLAGNRFEGSIPNSISRLCRLQTLYLSSNELSGSLMDFLGAPSECLSYSLQILALNNNHFTGQLPNQLYKYKNLNILALDSNSLSGPIADSFGNLSMLSGLDISYNKFSGSIPTSLGQLSDLHHLDISENKFSGSIPTSLGQLSNLRTLAIYQNSFQGILSESHFSKLTNLRVLKISGNSLFLDVSSNWIPPFQLKTISMDSIKVGPRFPQWLRTQTKVHSLYMSNASISDAIPDWFGNLFCYEIDLSKNNIRGELPMNVELGYKSVQFSYLTEFKFSSNNLTGEIPKWLCNLRFAILDISSNKLSGEIPLCFGKLQELEYLDLGNNHLFGHIPNSLGSLSQLRSLHLQNNKLEGGLPSSLHNLGNLITLDLSGNGLMDVIPSWIGGGLPSLMFLNFQKNKFFGDIPFQLCYLKALQLLNLANNNISGPIPRCFNNFTAMVSDSRDNSTYFDPYYEENIHEDIKGLELEYTTNLIFLKSIDLSGNHITGEIPLEMMSLCALNNLNLSRNNLSGTMPETIGNLSKIESLDLSMNALSGPIPQSLSSLNFLSYLNLSFNKLYGRIPKGHQLQTLDDPSIYIGNEGLCGAPLLKSCPGDDKPSFVNQPTETKLTNDDHDEFLMWFYTGLGPGFFVGFIGVLCTLLFKTSWRYAYFKYLEITFNKVFSGISIKRNISRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYATNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNSKELIQYLPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENSNFFRTELQKMGFEVLGDNDSPVMPIMLYNPTKIPAFSRECLKQNVAVVTVAFLATPLLLARARIGISAAHTREDLLKALEVISRVGDMVGIKYFPADSYKQHLEDNPNRLKLD >itb06g03950.t1 pep chromosome:ASM357664v1:6:6430660:6432535:-1 gene:itb06g03950 transcript:itb06g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCLCLATLTFSLPSLIPPLVLPNPFVYLSTVCLFWATLLLPLTPLPILPGYSPGYRIWSGFGGEKFTGVAYGDGRSGGEQRWKWLSLSSHPLSSSGGGSDFSIKSLFKLSGSGCIPFFFKRQQRRRIKLKGVI >itb03g26370.t1 pep chromosome:ASM357664v1:3:25914973:25917592:1 gene:itb03g26370 transcript:itb03g26370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLRDKIRNLQAFLEDSQNNNISKMTRRALESEIGGVARDAEDKIESELQNLIMSKNSKITPPKKAESLYKTLKQVNRNITSIEGRIQIESKNNHSAPEPQRIENIKVGSFSKRSSEPTEVNVMVGCDDQFDTIVSKLTSLSKQLQVVVGMGGIGKTTLIKKVYEDAAIVSYFDVRAWATVSQQHNLREMLIGLLGSDVTNGVDNNHLANQLRQKLMGQKYLIVMDDIWETEAWDDIHRCFPEDFNGSRILLTTRLKQIADYSAGSVARREKLLNVINENNVEVARVQNLGYESLEPKLACSCRQEFRSILYFPKASGLLANYSSQTFPSYPKLLRVLDLSLCDLVDIPSEIVNLICLRYLALRTNAPLKDYKWYKLLNLQTFIVETIGPNAPGEGWKSPSHMLDNMLHLRHVMFSKSSLYLPRQDQTTLQTISCLYYRPEPWPSIQNFTNIPNVKKLGIYIDITPVSDPKLSPKDASHQHSSLNDLVDLQWLENLKIDVPEIIPFQDVFRLPTSFPSNLKKLTLQGTCLPWDDMAIIATLPNLEALKLKHKAFCGSEWKTTENGFCKLKYLKIAVLDFEHWSAYFPVLECLILDFCRKLKKFPTEFADITTLQLIELTRCGSSLVTSAKQIHEEQLECLGYTKLVIRDYCTLF >itb09g17470.t1 pep chromosome:ASM357664v1:9:12743447:12747638:1 gene:itb09g17470 transcript:itb09g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPDQSNSSSSTNQSNSDTKLSMDSNQLTVVTDDCFSSFLELASNNDVEAFKRSIEHEPSAVDEVGLWLVRKKGSKQIVNEERTPLMVAATYGSVDVLKLIISQPGVDVNQTCGPDRCTALHCAASGGSINATEVVKLLLSAGADPNIEDVNGQRPADVIVVPLKLPGARASLEELLLNNMSDGPVGECHLQVSITTSTSSPVLSSSPENGSPCSPSDLVSSPMASEFSDVPANSAPEKKEYPIDPSLPNIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDDISCDRRVCFFAHTPEELRPLYVSTGSAVPSPRSAAATASVMDMAGALSLMPGSPSSHSVMSPAFNQPMSPTANGMPNSSMAWPQPNVPTLHLPGSNLQSSRLRSSLNARDIPLEDLNMLQDFDGQQLLLNDLAYFSQSRPNSTTFSRSGCSKTLTPSNLEELFSAEITSSPRYSDQAAASGVFSPSHKSAVFNQFQQQQNMLSPTNGNVFSPKNVEHPLLQASFAISSPGIMSPRTMEPKSPMSSQLSAFAQREKQNQQIRSLSSRDLGSRNASMIGSPVSNSWSNWGSPNTRIDWSVNGDELGRLRKSSSFEKLNSNGDEPDLSWVQSLVKESPPEMKDKLTARTSGAVPSGEGLKSNSQTDSIDHSVLGAWLEQMQLDQLVA >itb09g17470.t2 pep chromosome:ASM357664v1:9:12743527:12747621:1 gene:itb09g17470 transcript:itb09g17470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPDQSNSSSSTNQSNSDTKLKAFKRSIEHEPSAVDEVGLWLVRKKGSKQIVNEERTPLMVAATYGSVDVLKLIISQPGVDVNQTCGPDRCTALHCAASGGSINATEVVKLLLSAGADPNIEDVNGQRPADVIVVPLKLPGARASLEELLLNNMSDGPVGECHLQVSITTSTSSPVLSSSPENGSPCSPSDLVSSPMASEFSDVPANSAPEKKEYPIDPSLPNIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDDISCDRRVCFFAHTPEELRPLYVSTGSAVPSPRSAAATASVMDMAGALSLMPGSPSSHSVMSPAFNQPMSPTANGMPNSSMAWPQPNVPTLHLPGSNLQSSRLRSSLNARDIPLEDLNMLQDFDGQQLLLNDLAYFSQSRPNSTTFSRSGCSKTLTPSNLEELFSAEITSSPRYSDQAAASGVFSPSHKSAVFNQFQQQQNMLSPTNGNVFSPKNVEHPLLQASFAISSPGIMSPRTMEPKSPMSSQLSAFAQREKQNQQIRSLSSRDLGSRNASMIGSPVSNSWSNWGSPNTRIDWSVNGDELGRLRKSSSFEKLNSNGDEPDLSWVQSLVKESPPEMKDKLTARTSGAVPSGEGLKSNSQTDSIDHSVLGAWLEQMQLDQLVA >itb11g16400.t1 pep chromosome:ASM357664v1:11:14561999:14564184:1 gene:itb11g16400 transcript:itb11g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKVFLILTFFDHLSTSLAAACAEGDRVALLGFKARIVKDTTDLLSSWRGKDCCGGGWEGIECDPATGRVTRLILQRPSERDPNIYMKGTLSPTLGSLHSLETMIISGMKRIAGTIPESLSNLTSLQQLILDDNSLQGTIPTSLGHLPSLQALSLSSNRLSGQIPAALGNLRNLQQLSLGGNALTGPIPIFAFSTLQSFDLSHNLLSGFIPDFIGQLQNLTYLDLSNNQLSGQLPISLTNLNKLSFLSLEHNQLSGRIPPQIGKLKSLSGLSLSFNRFTGQIPDSIAALPNLWNLSLSKNMLSNPLPASFSKASGLPSLLSIDLSYNNFNLGSVPEWIRNRQLSDVNLAGCKLQGILPNFTRPDSFNSIDLSDNAFTGGLSNFLERMSSLQKVKISNNQLKGDVAGIRIPDAISSLDLHANQLSGSLSGILSNKTSKFLEYIDLSTNHISGNIPEFVVGLNLRVLNVANNKIIGHIPDAVSNLEKLERLDMRRNQITGTIPTRFGQLVKLQWLDLSINAITGKIPDSLLGIATLKHANFRANRLCGEIPQGRPYNIFPPAAYAHNLCLCGKPLPPCRGKKPKKMGQ >itb10g14430.t1 pep chromosome:ASM357664v1:10:20829111:20830211:1 gene:itb10g14430 transcript:itb10g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSSWIVGLVVFLVFFPSADHAAVVNSIFQFGDSLSDTGNLIASGLGMQFDAGSLPYGETFFHEATGRFSDGRLVIDFLAKNYGLPFLEAYLNTGANFTQGVNFAVAGATALDEDFFTGKGISFKTFKPPISTQLEWFNKYLDSTIRKTTDGCKKRIEESLFVFGEYGGNDYFTSIDNGKSLDEVYTYVPSVVGAITDGVREIIKMGAKQILVPGPYPFGCLPAQLTAGAGSAAEAYDFWGCLVDYNNLANSHYNLLNDGVSSLNTEFSGDGVKVVLADQRTAFLNILHDPQKYDVEPTTVLRACCGYGGNYNYDNNKLCGSDGVKVCPNPERALHWDGVHLTDKTYGLVASYLVQNALNKLG >itb14g01350.t3 pep chromosome:ASM357664v1:14:1041415:1046076:1 gene:itb14g01350 transcript:itb14g01350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGAAVDRDKATAAGNGNGSGSNGNGVVVQTHTAHRLRLNPSMDHKSDNYDDLQLDFSPLLYSSLERYLPPTILNSNRDSKVQYMREILLRYSPEGERTRVQKHREYRQKIISNYQPLHRDLYTMHAANFFLPSFIKAINENTEDSFRNIMSEPSPGVFTFEMLQPRFCEMLLAEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGMETMLEKLMEDFIRPISKEVGGSTLDTHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCEKHVNTETQPEESFDYAHVPGRAVLHRGRHRHGARATTAGQRINLLLWCRRELRKYQKDFSSWCSECQREKKERQRQSVAATKLELLRREEAAS >itb14g01350.t4 pep chromosome:ASM357664v1:14:1041412:1045983:1 gene:itb14g01350 transcript:itb14g01350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGAAVDRDKATAAGNGNGSGSNGNGVVVQTHTAHRLRLNPSMDHKSDNYDDLQLDFSPLLYSSLERYLPPTILNSNRDSKVQYMREILLRYSPEGERTRVQKHREYRQKIISNYQPLHRDLYTMHAANFFLPSFIKAINENTEDSFRNIMSEPSPGVFTFEMLQPRFCEMLLAEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGMETMLEKLMEDFIRPISKAFFSEVGGSTLDTHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCEKHVNTETQPEESFDYAHVPGRAVLHRGRHRHGARATTAGQRINLLLWCRRELRKYQKDFSSWCSECQREKKERQRQSVAATKLELLRREEAAS >itb14g01350.t2 pep chromosome:ASM357664v1:14:1041410:1046082:1 gene:itb14g01350 transcript:itb14g01350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGAAVDRDKATAAGNGNGSGSNGNGVVVQTHTAHRLRLNPSMDHKSDNYDDLQLDFSPLLYSSLERYLPPTILNSNRDSKVQYMREILLRYSPEGERTRVQKHREYRQKIISNYQPLHRDLYTMHAANFFLPSFIKAINENTEDSFRNIMSEPSPGVFTFEMLQPRFCEMLLAEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGMETMLEKLMEDFIRPISKAFFSEVGGSTLDTHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCEKHVNTETQPEESFDYAHVPGRAVLHRGRHRHGARATTAGQRINLLLWCRSSVFRELRKYQKDFSSWCSECQREKKERQRQSVAATKLELLRREEAAS >itb14g01350.t1 pep chromosome:ASM357664v1:14:1041410:1046082:1 gene:itb14g01350 transcript:itb14g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGAAVDRDKATAAGNGNGSGSNGNGVVVQTHTAHRLRLNPSMDHKSDNYDDLQLDFSPLLYSSLERYLPPTILNSNRDSKVQYMREILLRYSPEGERTRVQKHREYRQKIISNYQPLHRDLYTMHAANFFLPSFIKAINENTEDSFRNIMSEPSPGVFTFEMLQPRFCEMLLAEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGMETMLEKLMEDFIRPISKEVGGSTLDTHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCEKHVNTETQPEESFDYAHVPGRAVLHRGRHRHGARATTAGQRINLLLWCRSSVFRELRKYQKDFSSWCSECQREKKERQRQSVAATKLELLRREEAAS >itb14g01350.t5 pep chromosome:ASM357664v1:14:1041412:1044983:1 gene:itb14g01350 transcript:itb14g01350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGAAVDRDKATAAGNGNGSGSNGNGVVVQTHTAHRLRLNPSMDHKSDNYDDLQLDFSPLLYSSLERYLPPTILNSNRDSKVQYMREILLRYSPEGERTRVQKHREYRQKIISNYQPLHRDLYTMHAANFFLPSFIKAINENTEDSFRNIMSEPSPGVFTFEMLQPRFCEMLLAEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGMETMLEKLMEDFIRPISKAFFSEVGGSTLDTHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCEKHVNTETQPEVSVFKILFAVDQPSIRIICSFSAFLFCYFELKEVLSYGYSFDYRKLFQWLFFHYYHIIHDQASLWPCLMY >itb05g19250.t2 pep chromosome:ASM357664v1:5:25848610:25849746:-1 gene:itb05g19250 transcript:itb05g19250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVGFDIQDFDDLFFNGENEIMNTIEELAAALGEDLPNASSTSLESVDSALTGKRSPSPSPGPNVVETQERVPKQRKLHHGVHGKPDPTADPSPSSSTPFILSFGYPNNGFPQKGEEEIILETLNPEISVTVDNRKKINGGRASKLPSNTYDHIMAERKRRQLLSQQFQDLSTIVPGLKKMDKTSVLGDTIKYLRNLQDRVKNLEEQASKQTINSNVYVRRSQMLIEDEGSSYEDSGSGEQSLPEIETRVSDKHILLRIYCEKHRGVLSNIFTEVDKFNLSVTNTSVSHFGNLALDITIIAEVRLSSI >itb05g19250.t1 pep chromosome:ASM357664v1:5:25847778:25849746:-1 gene:itb05g19250 transcript:itb05g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVGFDIQDFDDLFFNGENEIMNTIEELAAALGEDLPNASSTSLESVDSALTGKRSPSPSPGPNVVETQERVPKQRKLHHGVHGKPDPTADPSPSSSTPFILSFGYPNNGFPQKGEEEIILETLNPEISVTVDNRKKINGGRASKLPSNTYDHIMAERKRRQLLSQQFQDLSTIVPGLKKMDKTSVLGDTIKYLRNLQDRVKNLEEQASKQTINSNVYVRRSQMLIEDEGSSYEDSGSGEQSLPEIETRVSDKHILLRIYCEKHRGVLSNIFTEVDKFNLSVTNTSVSHFGNLALDITIIAEMEKEKDFSVKDLVKGIRTSLQHATRASKKSK >itb01g16840.t1 pep chromosome:ASM357664v1:1:21321452:21322817:-1 gene:itb01g16840 transcript:itb01g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDNEFAPSLVEAELLEADSRLSHTCKAGNNMCSSLSGVSDPPPSEDMFCGICCSEPGFCRSCCCILCSKTTISSAFGSYSYIRCKAILLDGCICGHIAHIDCALQAHMVGTVGRSYDLDAVYFCRRCDSMTDLVSYVMELLQICQSIDSRDEIEKILNSAISILHGSSNMKARQLLHHIESMMAKLKNGANFEDMWKKEESVLEVLTAQAKVTKKRRTTHGKPRKDDAKWKRQRMTAQPKVTKKRRTTHGKPRKDDVKWKRQRMTAHPKVTKKRRTTHGKPRKDDAEGKRQRMAMQNQMHLILILTSR >itb14g05770.t1 pep chromosome:ASM357664v1:14:5014975:5017277:1 gene:itb14g05770 transcript:itb14g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLTKAKRYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHPNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQLATSKPRKA >itb03g14550.t1 pep chromosome:ASM357664v1:3:14215408:14216630:-1 gene:itb03g14550 transcript:itb03g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVAGVRVYGGALDDVEGCTHGVQDGDTIALGAYTSITCLLTPCHTNGHISYFVSSKDGGQPVVFTGDTLFAGGCGKFFEGTAEQMYESLCKTLAALPKLTLVYCAHEYTVKNLEFASTIEPDNVRVKEKLEWARQQRKLNLPTVPSTIEDELETNPFLRVDLPKIQDKLESKSPVEALKKLRQLKDKNLS >itb05g06170.t1 pep chromosome:ASM357664v1:5:6346900:6351496:1 gene:itb05g06170 transcript:itb05g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLVFVISSVIASVAGSNAFFADDNPIRQVVSDGLHELESSILQVIGSTRHASCFARFAHKYGKRYESADEINRRFGVFKESLKMIRSHNKKGLPYKLGVNEFADMTWNEFQKHRLGAAQNCSATLKGSLKRSNSIVPETKDWREAGIVSPVKNQGSCGSCWTFSTTGALEAAYAQAFGKNISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTGKNGVCKYSSENVGVRVFESVNITLGAEDELKYAVGLIRPVSVAFEVVDGFKLYESGVYTSNSCGSDPMDVNHAVLAVGYGVENGTPYWLIKNSWGEDWGDHGYFKMEMGKNMCGVATCASYPSVA >itb02g05530.t1 pep chromosome:ASM357664v1:2:3345285:3348362:-1 gene:itb02g05530 transcript:itb02g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSNGQRNGVRQYKKSALPRLQWTPELHEHFVDTVESLGGRYKATPKRIVQMMGVKGLKISHVKSHLQMYRNMRERTTFDVFVPAESCNFRDGRLQYSSTWAPQGHTFERLLRPSFDESDEEKKLGCYFSGNNERNESNPIGHHLFYLESQGSLNCEMTTAKAEEDGGGPSDETFEQIPQSAYNNEDHRRQVSPVKDDRNSHSGAASTDTVDLRHLHSTKDSEINLDLSISCPYW >itb02g11420.t1 pep chromosome:ASM357664v1:2:7632522:7635717:1 gene:itb02g11420 transcript:itb02g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENTNWLYDYGVEDITAPDANFSGLATGFSWPVQPLNGSSTVSPELDGSFGDSDGTKDAGSKKRPRAESCTATSSKACREKLRRDKLNDKFMELGALLEPGRPPKTDKSAILIDAVRVVTQLRDEAQKLKDSNSNLQEKIKELKTEKNELRDEKQRLKAEKDKLEQQLKTMNTQPALLSPAMPAAAFAAQGHTPGTKVVPVISYPGVAMWQFLPPAAVDTSQDHVLRPPVA >itb06g04070.t1 pep chromosome:ASM357664v1:6:6608736:6612530:1 gene:itb06g04070 transcript:itb06g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMLFIERVYMAIVITCAKCFEKKRYMKHKSDAMKEDLEQNRNYPMVLVQIPMYNEKEVYKLSIGAVCGLSWPADRLIVQVLDDSTTRFIRRLVVNECEKWKGKGVNVKYETRNNRNGYKAGALHEGLNKNYVKDCEFVAIFDADFQPDADFLLRTIPYLLENPELGLVQAKWKFGSAGVWRIQALNDAGGWKDRTTMEDMDLAIRANLKGWKFLYVGDLEHASLYKKFQVIYVFFVRKIIAHWVTLFFYCLVIPVIVVHPEIHLVKPLALYLPATVTILNAACRWRSIHLILPWILFNNAMCLHRANAVLIGLLGGSGVNEWVVTEKLGYALNRLRIPRTQEWQFMIDRIHLPEFLMGLNLMHTAVHDLMYGNQHFYAFLFMQGAAFITVGVSNVGIFIPN >itb12g21380.t1 pep chromosome:ASM357664v1:12:23734156:23741332:-1 gene:itb12g21380 transcript:itb12g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETVRGGDELMRSMSRKGSFASASRKGWASASLREAFGAPDVFQKSGREVDDEEELRWAALERLPTYDRMRKGILKQVLDNGRVFHEEVDIGHMGVQDKQQLMDSILRVVDEDNERFLLRLRDRIERVGIKTPEVEIRFENLSVEGDAYVGSRALPTLLNATINSLEGILGLLRLSPSKKQAVTILNDVSGIVKPSRLTLLLGPPASGKTTLLKALAGKLEKDLRVKGKVTHCGHELKEFVPQRTVAYISQNDLHHGEMTVRETMDFSARCFGVGSRYELLAELSRREKTASIKPDPEIDAFMKAISIAGRKTSLITDTALKILGLDICSETVVGDDMRRGISGGQKKRVTTGEMLVGPAKVFLMDEISTGLDSSTTFQIVNYMRQMVHIMNVSMVVSLLQPAPETFDLFDDIILLSEGQIVYQGPRGHVLEFFESVGFKCPERKGVADFLQEVTSKKDQEQYWSKKNMPYRYISVPEFVRHFSSFHTGQKLYGELGIPFDRSRAHPAALVREKYGISNKELFKACLSREWLLMKRNSFVYIFKIFQITIMAIFTFTVFFRTKMPYGEAEDGSKFYGALFFSLINVMFNGMAELALTIFRLPVFFKQRDSLFYPAWAFALPIWLLRIPVSLIESGIWILLTYYTIGFAPAADRFFRQFLAYVGIHQMALGLFRFIAALGRTQVVANTLGTFTLLSVFVLGGFIIAKDDLQPWMKWAYYLSPMSYGQNAIVIVEFLDKRWSKSNNDTSFPGSTVGKELLKARGMFTEDHWYWICVIALFGFSLFFNLCFVAALTYLNPLGETKSIAANGEGDQCKEKKTKMITERSSASTAAMIAEDTTDGSIKKKGMVLPFQPLSLSFEHVNYYVDMPAEMKSQGIEETRLQLLRDVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKNQQTFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDAEKRKVFVEEVMELVELNVLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGQVIYAGPLGRNSQHLIEYFESIPGVTKIKDGYNPATWMLEVSTASVEAQLQNDFAEIYSNSDLCRRNHQLIKELSSPAPGSKDLHFPTKYSQPLLTQFKACFWKQYWSYWRNPQYNAIRFFMTIVIGLIFGIIFWDKGGKFHKQQDLANLMGAMYAAVLFLGGTNTSAVQSVVAVERTVFYRERAAGMFSALPYAFAQVTVETMYVLIQTLVYSLILYSMIGFEWQAVKFLWFYYYVFMCFVYFTLYGMMLLALTPSYQIAAIVMSFFLSFWNLFSGFLIPRSQIPVWWRWYYWGSPVAWTIYGLITSQLGDRGDLVHVFKHDANSLNYVPLKDYLKDYLDYEYDFLGTVAIAHIGWVLLFFFVFVYAIKVLNFQRR >itb09g10970.t1 pep chromosome:ASM357664v1:9:6854485:6857679:-1 gene:itb09g10970 transcript:itb09g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKLGLTRSATFHPEIRRHSSEDLDSPSETSPSLAAKAIRASAAHRESSLSSAYSPRSKGSPTYDYTSMKGSDDVGGFWGVLVRKAKAILEDETMSREIEAPSISPPKANQSFQQHDSSKKMENPTLRNGLDAFTSSLNNFGNALEEGRSIVENKTADIIQETRKLQIRRNGTGPSEQNQGSKTQPTQSQKQTSNEDQLTESRKMAVATAAKAKLLLRELKTLKSDLAYAKERCSQLEEENRMLRETGQKGDHHDDDDMIRLQLETLLAEKSRLANENEVYARENRFLREILEYHQLTMDFIDFDEDDIEEVTEVYPLPAVSTFPVPLPQQVTMDVPQTEVPPSHTVPGVEDSTKTC >itb09g10970.t2 pep chromosome:ASM357664v1:9:6854485:6857679:-1 gene:itb09g10970 transcript:itb09g10970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKLGLTRSATFHPEIRRHSSEDLDSPSETSPSLAAKAIRASAAHRESSLSSAYSPRSKGSPTYDYTSMKGSDDVGGFWGVLVRKAKAILEDETMSREIEAPSISPPKANQHDSSKKMENPTLRNGLDAFTSSLNNFGNALEEGRSIVENKTADIIQETRKLQIRRNGTGPSEQNQGSKTQPTQSQKQTSNEDQLTESRKMAVATAAKAKLLLRELKTLKSDLAYAKERCSQLEEENRMLRETGQKGDHHDDDDMIRLQLETLLAEKSRLANENEVYARENRFLREILEYHQLTMDFIDFDEDDIEEVTEVYPLPAVSTFPVPLPQQVTMDVPQTEVPPSHTVPGVEDSTKTC >itb09g10970.t3 pep chromosome:ASM357664v1:9:6854485:6857679:-1 gene:itb09g10970 transcript:itb09g10970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKLGLTRSATFHPEIRRHSSEDLDSPSETSPSLAAKAIRASAAHRESSLSSAYSPRSKGSPTYDYTSMKGSDDVGGFWGVLVRKAKAILEDETMSREIEAPSISPPKANQHDSSKKMENPTLRNGLDAFTSSLNNFGNALEEGRSIVENKTADIIQETRKLQIRRNGTGPSEQNQGSKTQPTQSQKQTSNEDQLTESRKMAVATAAKAKLLLRELKTLKSDLAYAKERCSQLEEENRMLRETGQKGDHHDDDDMSQRFPFPFLSK >itb04g12710.t1 pep chromosome:ASM357664v1:4:12430897:12433214:1 gene:itb04g12710 transcript:itb04g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFRYGLSHNGSHFRFGAVICYGYPTTKPSLGPSLLDPSTSIGALAAAPPLGEETMAVPLLDKKIVKKRVKKFKRPQSDRYISVKENWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFLVHNVQELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >itb12g00520.t1 pep chromosome:ASM357664v1:12:408323:410249:1 gene:itb12g00520 transcript:itb12g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAWIWAGFAAVVAAIVVYEAFTNNKRKRLPPGPKGLPILGHLHLLGKNPHQDMQKLSKTYGPMMYLRLGLVDNIIVSSPQAAERFLKTHDLNFASRPPNQAAKYIAYDQRDLAFGQYGPFWRNMRKLCTLELLSNLKINSFQSMRREELGLVVDSFKHAAQQGEAVDLSSTISSMSMDMSCRMVFGKTYKNQDFGELGFKALIHEIVYLAAVPNVGDYFPYLGKLDVQGFTHRMKAVGKLTDQFMERIVEEHEEAATKGITHKTKDFVDTLLEIMKSSNTDFRFDRKHVKAMMLDILVTSMDTSSTVIEWTMSELFKHPEIMKKVRDEVAEKVGYDRMVEEADLEGLEYLEMVVKESLRLHPVVPLLLPHIAMDDCVVDGFHVPKNTRVMVNVWAIGRDPNVWSNPEKFIPERFSKSRVEYRGRNFELIPFGSGRRSCPGLQLGITVVQLVVAQLVHCFDWTLPNKMLPEDLDMTESFGLVISREKHLMAIPTYRLPQ >itb08g07130.t1 pep chromosome:ASM357664v1:8:6110870:6111331:-1 gene:itb08g07130 transcript:itb08g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHSSIARSAQITAPSLLRPSVFADAGRSIVNSAAAATGRRRRRRKSLPSPPHIADQKRGGKASMVKTKMPSLQQQGSSSAWMKLLPDRSRDGHTTAEKKS >itb03g09020.t3 pep chromosome:ASM357664v1:3:6877417:6881113:1 gene:itb03g09020 transcript:itb03g09020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKYPHIAIGALAASAPILQFEDVVDPDTFYNIASNDFRRESVSCFNTIKESWDVITSVGSTNGGLSLLSKAFHLCGELKNSYDLSDWLESAYSYLAMADYPYPADFLMPLPGNPIKEVCRRIDSLPDGTNILQRIFEGVSVYYNYTGTVDCFDLDDDPHGMSGWDWQACTEMVMPVSSNSKTSMFPAFDYEYSADEEYCYNSYHVIPRPTWITTEFGGHDIKTALGTFGSNIIFSNGLLDPWSGGSVLQNISETIVALVTEKGAHHLDLRAATPEDPDWLVEQRESEVKLIESWLNSYFESKRKTFSI >itb03g09020.t4 pep chromosome:ASM357664v1:3:6877410:6881330:1 gene:itb03g09020 transcript:itb03g09020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGSREEAYKNATTLAYLTAEQALADFAVLITELRRNTSAQACPVVLFGGSYGGMLATWMRLKYPHIAIGALAASAPILQFEDVVDPDTFYNIASNDFRRESVSCFNTIKESWDVITSVGSTNGGLSLLSKAFHLCGELKNSYDLSDWLESAYSYLAMADYPYPADFLMPLPGNPIKEVCRRIDSLPDGTNILQRIFEGVSVYYNYTGTVDCFDLDDDPHGMSGWDWQACTEMVMPVSSNSKTSMFPAFDYEYSADEEYCYNSYHVIPRPTWITTEFGGHDIKTALGTFGSNIIFSNGLLDPWSGGSVLQNISETIVALVTEKGAHHLDLRAATPEDPDWLVEQRESEVKLIESWLNSYFESKRKTFSI >itb03g09020.t1 pep chromosome:ASM357664v1:3:6877410:6881330:1 gene:itb03g09020 transcript:itb03g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFPEHRYYGESMPYGSREEAYKNATTLAYLTAEQALADFAVLITELRRNTSAQACPVVLFGGSYGGMLATWMRLKYPHIAIGALAASAPILQFEDVVDPDTFYNIASNDFRRESVSCFNTIKESWDVITSVGSTNGGLSLLSKAFHLCGELKNSYDLSDWLESAYSYLAMADYPYPADFLMPLPGNPIKEVCRRIDSLPDGTNILQRIFEGVSVYYNYTGTVDCFDLDDDPHGMSGWDWQACTEMVMPVSSNSKTSMFPAFDYEYSADEEYCYNSYHVIPRPTWITTEFGGHDIKTALGTFGSNIIFSNGLLDPWSGGSVLQNISETIVALVTEKGAHHLDLRAATPEDPDWLVEQRESEVKLIESWLNSYFESKRKTFSI >itb03g09020.t2 pep chromosome:ASM357664v1:3:6877410:6881330:1 gene:itb03g09020 transcript:itb03g09020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPFILCLLFMLFVSSQTSFSLPRSSKSAARFRNKFLRLPSKTIGAPQYKYEIRYFEQRLDHFSFADLPPFRHRMVCRQFRSRLGTRASLRRHGYLPRSNFHNFMLRYYGESMPYGSREEAYKNATTLAYLTAEQALADFAVLITELRRNTSAQACPVVLFGGSYGGMLATWMRLKYPHIAIGALAASAPILQFEDVVDPDTFYNIASNDFRRESVSCFNTIKESWDVITSVGSTNGGLSLLSKAFHLCGELKNSYDLSDWLESAYSYLAMADYPYPADFLMPLPGNPIKEVCRRIDSLPDGTNILQRIFEGVSVYYNYTGTVDCFDLDDDPHGMSGWDWQACTEMVMPVSSNSKTSMFPAFDYEYSADEEYCYNSYHVIPRPTWITTEFGGHDIKTALGTFGSNIIFSNGLLDPWSGGSVLQNISETIVALVTEKGAHHLDLRAATPEDPDWLVEQRESEVKLIESWLNSYFESKRKTFSI >itb09g02980.t1 pep chromosome:ASM357664v1:9:1695107:1696531:1 gene:itb09g02980 transcript:itb09g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKELQDTLKASPRENRVMKQATFVGIMVSSFFYTLCGIVGYAAFGVDAPGNLLTDDHGFFEPYWLVDFANACKAVHLIGAYQVFTQPVFAFVERWSRRRWPESRFITAEYNILGFWEFSFFRLLWRTGYVIFTVIVGLIFPFFNAFVGLLGAISFWPLTIYFPTQMYMTQAKIRRFSFAWFCLQTLSLFCFIVSLLAAAGSVRNLIKYVIHYQPFDP >itb15g09960.t1 pep chromosome:ASM357664v1:15:7207847:7213005:1 gene:itb15g09960 transcript:itb15g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDHRKFQILVQLRWSAAAAAAIVIAAAVSCTAAEAEAEAEEAPLVSRIAFGSCANQSAPQPIWNAIIEYDPQVFIWLGDNIYGDIRRPFKLFGKERTIGPWKNVPRFIPSSEQEMKSRYHLAKANPGYSRLRTKTKVVGTWDDHDFGLNDAGKEFPGKINNQRLMLDFLDEPQESPRRKQAGIYASYVFGPGGKQVKVILLDTRYHRDPLFSDGSILGEAQWKWLEEELNGPSTAVTIIGSSIQVITNHSASVGPLFYMESWGRFPSERSHLFKLLSDSKREGVFFISGDVHFGEISRSDCAVGYPLYDITSSGLTQAVEKVVPSPLHLFVRFLAWLTPAPMRVMDKSCRFHSCTCGKPNFGTIEIDWNTTPVSLRFEVKDENGLPVTAVNISLFQLQGQRADTKMNTANEKFQRHCSLEVDLPFVVRYRLNILFLFTLIVVVVVIVALLYIITLCSMRWLQKYKLD >itb06g03540.t1 pep chromosome:ASM357664v1:6:5907829:5909754:-1 gene:itb06g03540 transcript:itb06g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRNSQKFITILSIDGGGIRGIIPATILAFLEAQLQELDGKNVRICDYFNVIAGTSTGGLVTAMLTAPDKNKRPLFAAKDILPFYKEHGPKIFPQGLIELSATSREVSSDMLRPRPRLICFVDGRGPRPRLICFVGVGVGGREPSYLLRRPRPRLICFVGVGGREVVSDLLSSPSYLLRRQRWGEVATGGDMLLL >itb13g19270.t1 pep chromosome:ASM357664v1:13:26268268:26269714:-1 gene:itb13g19270 transcript:itb13g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHSACLLLYHYGHMRKSVNILKFVNLDDQPDRHAFHHNPQNDLDLEPAFPDPELCVVGSADGLVCFNSFSRTDLDSVYICNPTTREYVKLPKAHGDRVYPNLVGYGFGATPLTNTFKVVRIYQEKILVQSGSDYYKSDLQVYTLGGAGSWRSAGGINCCFGCREFGQSLDGKLHWLVTDVNGDELICSFDLESETIQTIPSAPPPPVVPEDDWIRRSIGVLGNCLCLCDNTERTSLDIWVMKEYGNGDSWTKEFSIGAPEEWMMFDEMIHLVMDFKDGEMLFLFWNDILFSYNPETKSVTRVDVCEPYFSATVHVPSSISLETIFGAQQVKQINPS >itb07g04310.t1 pep chromosome:ASM357664v1:7:2908279:2911692:-1 gene:itb07g04310 transcript:itb07g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMASDATPIVTPSAPTTTINLVHEIFPKATNLNPRSLTSIAAPPAYPVQHLAVPATNTNPPSQAVTPLQAKIIPAGRGMRKRGDFLSSSEDTAMMEHIKATHDLDAVHYKVKPLVHLIEDIMPRANATIPGYIQSVQTQPARLDAILEEKVVHSGLNEAIEALAYPVHRTSTEIIGGYSNQRDAHTTTLSVLKLLANFWWDAKAVIAFTAFAQQYGEFSLEVRLYPTDPLAKSVATIKQIPDLMETSESSRAVSSKFQEVAKLADKMLEVTHDMIAFKQSASEEKTLKVKYKVNLYKELAESLSPVTVEHENVIAKAAYYVVKAAITCSLVIQNVLAVGRDYYSSTEEELEISTLTHKLGYIHGDLQKEMQKSTQEIGKIKHTIKRKILEETLARTHTDNKYSTELITCGENDPTPIVHGTGLETKKHGLEILRRKYVFLLVSELEIPNEVITLLRHMYVDAKQDPSRLESQFEIVWLPIVDRRSPWTVAKEEQFKVVKDSMPWYSVSHPSKIDEAVYGYVKEVWGFTHKPLLAVVDPQGKLTNINALPMFMIWGSVAFPFNKLKEESLWNETNWSMALLADSIDQNLFTWLNDEKYICLYGGEDMEWIRNFTKRTRYVAQQARIPLEMLYVGKMSVKERIKRNCAMIQEEGLSSILQDPTMIWFFWERLESMWFLKGEKTLAMKDDSTTYIIPEESRDPILQQVKAILSYDGSNRGWAVFSRGLGEMTKGEGSNVVKVLNNYDSWKHEVRDVNAFIPALDKQLRGLYTKHHCTRMVVPAAVGHYPETVACVECGRTMEKFFMYSCCLDEYEN >itb08g10490.t1 pep chromosome:ASM357664v1:8:10029870:10033101:1 gene:itb08g10490 transcript:itb08g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGFIRFPGNLDPCAREFFPTNPFGSLLPPPPQPQQVYFPYPPYHRSPEEGVGYPPPLAPPPLQTPYLPPPSHTPTRSLLISMLSPDASESLVRRELEVFGDVRAVQMERVREGIVTVHFYDLRHSQAALLEIQQQHMQQQYRLRRHYETVMTQNFFPPPPAPAAAAGLIAGRAVWAQFTNPASYGVADGNNQGTLVIFNLDPRVSPSHLKDIFETFGTVKELRETPMKRHQRFVEFYDVRDAAKALSEMNHKEINSKKILIQYSRPGGCASKPNPKFHTRFSHPPPRRSAAVTWSSKKPNQSKGNPCGSVQDSMDSLCIRSRNNNDNGFKKGGTDGSSSSSSSSYGGNTNRRRTWKGQGGNNVKLLAKDHDPRFLINEDAVMESESTDSRTTKLLLNMLDNHCIHCNEQIAAAHGGDDLPDHPKSSYDFVYLPIDFINKCNVGYGFVNMTSPQATWRLYKAFHQQTWEVFNSRKICEVTYARLQGLEALKEHFKNSKFPCEAEEYMPVVFSPPRDGKALADPVPIVGRPLLLTSTEEEEEEEEEEEESNVEENGYGGAGAGDCGDLGGNDDDDEDG >itb09g30720.t1 pep chromosome:ASM357664v1:9:31326444:31329979:-1 gene:itb09g30720 transcript:itb09g30720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPTAQPQPQPPQQCWSNIVKQQLPPAPAPQQQNHQSPFAAAPPRELVGSCNSTKGIAVAVVDANAIIHGGQNLSHNADHFVSVPEVLSEIRDPTSRHSLNFLPFTVDTMEPSPDALKRVINFARATGDLQTLSDVDLKLIALTYMLESQIHGTQHLRDAPPPIHTVNVRRLPEKDMPGWGSNVPNLEEWEALEHAVEGGSNTTSRILPLKDLSMNVVPTDQQSIDGSTVNDSKSQSEDQEDADGGFRGPRKYKPQKKEVKIEGKKMVADGVDASQGQYDDDAGDWLPAVSRSTHRKYLRRKARRELHGTPSESKDVAAPEDLDILQNKASTDITDGNSAESGIIKENGNEDISKILSEMRLEEESSVALQASENCASETLESNDFKSSDTADNINGDVENVEDDVDNLNEMASQTCESIETSQMDDSSSEQSWMLRSLSESTVACITSDFAMQNVILQMGLRLLAPGGMQIRELHRWVLKCHACYKVTTEIGRIFCPSCGNGGTLRKVAVTVGENGIVIAARRPRVSLRGTKFSLPLPQGGRNAITKNPILREDQLPQKFLYPKTKKKNKEGDDIFTPDNIFVHHTDKKAPLQPPMRKALAVFSGRRNPNDNHYSRTMH >itb09g30720.t2 pep chromosome:ASM357664v1:9:31326559:31329013:-1 gene:itb09g30720 transcript:itb09g30720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQIHGTQHLRDAPPPIHTVNVRRLPEKDMPGWGSNVPNLEEWEALEHAVEGGSNTTSRILPLKDLSMNVVPTDQQSIDGSTVNDSKSQSEDQEDADGGFRGPRKYKPQKKEVKIEGKKMVADGVDASQGQYDDDAGDWLPAVSRSTHRKYLRRKARRELHGTPSESKDVAAPEDLDILQNKASTDITDGNSAESGIIKENGNEDISKILSEMRLEEESSVALQASENCASETLESNDFKSSDTADNINGDVENVEDDVDNLNEMASQTCESIETSQMDDSSSEQSWMLRSLSESTVACITSDFAMQNVILQMGLRLLAPGGMQIRELHRWVLKCHACYKVTTEIGRIFCPSCGNGGTLRKVAVTVGENGIVIAARRPRVSLRGTKFSLPLPQGGRNAITKNPILREDQLPQKFLYPKTKKKNKEGDDIFTPDNIFVHHTDKKAPLQPPMRKALAVFSGRRNPNDNHYSRTMH >itb08g02660.t1 pep chromosome:ASM357664v1:8:2266116:2270969:-1 gene:itb08g02660 transcript:itb08g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDFWRRHKKKVYVTLGVLGSGFLLYKLYDGHRRRISELEKELEADVKNDELIKAQMKEHFENIQIIADSTTLPHVMQYLSSRIAEELDLMQLTEKLMKGKDQPNTLTAAEKLELWDRLKILSFTKMVLSLWSMTTLNLYIRVQVNILGRHLYIDTARGLGSSYQEEEADIIYRDDQQLFLASADYLANYGLTTLISDFEAATSEVLKGKQLKDIFSTTILHDTVVQILDKFMSMGSPHHWLGYLMPEDPRIYSLAAPSSSDNADLSPASNFEQLMQETRAVLSSAEFGNVVDVSLKTVVDAMVEDIRVQCGETNLMVGMPLAKLLPRLAHLGQQLLEEPNRNRYIQVIQNLPEVELIFTLLYSSTPVS >itb08g02660.t2 pep chromosome:ASM357664v1:8:2266116:2270969:-1 gene:itb08g02660 transcript:itb08g02660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFLTDRMKEHFENIQIIADSTTLPHVMQYLSSRIAEELDLMQLTEKLMKGKDQPNTLTAAEKLELWDRLKILSFTKMVLSLWSMTTLNLYIRVQVNILGRHLYIDTARGLGSSYQEEEADIIYRDDQQLFLASADYLANYGLTTLISDFEAATSEVLKGKQLKDIFSTTILHDTVVQILDKFMSMGSPHHWLGYLMPEDPRIYSLAAPSSSDNADLSPASNFEQLMQETRAVLSSAEFGNVVDVSLKTVVDAMVEDIRVQCGETNLMVGMPLAKLLPRLAHLGQQLLEEPNRNRYIQVIQNLPEVELIFTLLYSSTPVS >itb12g20780.t1 pep chromosome:ASM357664v1:12:23258565:23260798:1 gene:itb12g20780 transcript:itb12g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVQHMMSDEGIAVKEEPMVFLVEDDGLGIPGVIGGGGDGEMMMGSVPRPLEGLREIGPPPFLKKTYDMVDDPNTDPVISWTPTRASFVVWDPHRLSTDVLPKYFKHNNFSSFIRQLNTYRFRKIDSDRWEFANEGFQKGKRHLLKSIKRRKHQHHHHHPQAMQQPGMGHKWVDSCNEAQAELCKLRNDQNLLKMEIMRLKQQQETTDNHLAMVRERLESTESRHKYIVFFVVKAFRNPFFIQHLTEKMRQRTAINGGGGRVAKKRRLVAPESSTNAAAAVDGKNLRGQEELVMLHSEIQTLFSSDDSSSPVQDQKVKTPAAAANSPEMNSENFILWEKLVEDDMIYENETEAETAKHQSAIVHELEDLIARPPEWGYLTPN >itb04g04990.t1 pep chromosome:ASM357664v1:4:3115374:3116893:1 gene:itb04g04990 transcript:itb04g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGVKKVSYERDTKIINAATLTIEREDHTIGNILRMQLHRDENILFAGYKLPHPLQYKITLRIQTASQSSPMQAYNQAINDLDKELDHLKNEFETELAKHTREF >itb01g03810.t1 pep chromosome:ASM357664v1:1:2506037:2509418:-1 gene:itb01g03810 transcript:itb01g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLTKGISLFSVQPVCEPPKSLKASQVLPKSWNLRAQNPRNFKVRAESKATIDAPGKNPSPSTSNEDDPLQKFLKRDYKWGFTQEIDSFALPKGLSEETVRLISSRKNEPDWMLEFRLKAYERFMSMKEPKWSDNRYPEINFQDICYYSEPKKKPTLNSLDEADPELIKYFEKLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPDLVRKYLGRVVPPEDNFYGALNSAVFSDGSFVYVPKNTRCPMQISTYFRINAMETGQFERTLIVAEDSSFVEYLEGCTAPSYDTNQLHAAVVELYCHEGAEIKYSTVQNWYAGDEEGRGGIFNFVTKRGLCAGSRSKISWTQVETGSAITWKYPSVVLEGDESVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGHSRNCYRGLVQVMSSADNARNSSQCDSMLIGDNAAANTYPYIQTKNPTARIEHEATTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >itb04g28220.t1 pep chromosome:ASM357664v1:4:32029013:32030793:1 gene:itb04g28220 transcript:itb04g28220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSNSSSSGGGAGNADASKNGCIAGMFRRLLCSNALPTHPADHMSSVSTHPSSDHAIQRRKSEEGKKIESLASTGVVARLMGLESMPRVELNPNKAIARSQSMSSMDSLRELKSTKEKNLRACSFREIPTYLELEDENFFILSFEYGGKTAELRPKQRRSEKVKNSCRRRESVHQKNKENQDINQVSDEIITGSDGKLQDSTNILRPTRKDASKKKDEYKQERFKKKKKKKDRRDCCLDAKKIEADCDSEKSSPNSVLGFVEFPPIQDIPSSEKFWKLASSKSRRTLSEELENYRKLKLISDDFQPKSSEKVYGAAARKNWCSRDENDVKLWGEICRLADAETLQTKWQHEEIWKQEKVCQKIGGNFEFEIVDELIGEMVDQLIIDSHIASVCNLT >itb04g28220.t2 pep chromosome:ASM357664v1:4:32029013:32030160:1 gene:itb04g28220 transcript:itb04g28220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSNSSSSGGGAGNADASKNGCIAGMFRRLLCSNALPTHPADHMSSVSTHPSSDHAIQRRKSEEGKKIESLASTGVVARLMGLESMPRVELNPNKAIARSQSMSSMDSLRELKSTKEKNLRACSFREIPTYLELEDENFFILSFEYGGKTAELRPKQRRSEKVKNSCRRRESVHQKNKENQDINQVSDEIITGSDGKLQDSTNILRPTRKDASKKKDEYKQERFKKKKKKKDRRDCCLDAKKIEADCDSEKSSPNSVLGFVEFPPIQDIPSSGLSHPMFHPFKT >itb01g21700.t1 pep chromosome:ASM357664v1:1:27760417:27763960:-1 gene:itb01g21700 transcript:itb01g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGPYIGSQRFYVSPHLNVPFPSDFSFRSLLTIQFLHSFSDSSSPLPNPLYIFIHPKPMQNPNDTMASFKISLCVLCAFFVLSSALDMSIIHYDEQHGVKGTALPRSNEEVMRIYETWLVKHRKSYNALGEKGRRFEAFKDNLQFVDEHNSVEGRSYKVGLNRFADLTNDEFRSLYVGGRIDRKGRLIKSSTKRHAFHHGDRVPESVDWREKGAVTPVKDQGQCGSCWAFSTIGAVEGINQIVTGDLISLSEQELVSCDKIYNMGCNGGLMDYAYDFIVKNGGIDTEEDYPYKAQDTYCDTSKRNTRVVSIDGYEDVPENDEKSLMKAVAHQPVSVAIEAGGRAFQFYESGVFTGLCGTQLDHGVLAVGYGSENGTDYWVVKNSWGPNWGDNGYIKLERNLGNTSTGKCGIAMEASYPIKNSPNPPNPGPSPPSPPEKPPTECDDYYSCPIGSTCCCIYQYSNICFGWGCCPIDSATCCDDYYYCCPPDYPVCDTAQGTCLMSEGSPMKIKAFRRGPAISKGVNWNQMLNYN >itb13g11470.t1 pep chromosome:ASM357664v1:13:16209424:16210920:1 gene:itb13g11470 transcript:itb13g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPTTSGSEVSTVEKKNLGRIVKIIGPVLDVAFPPGKMPNIYNALIVKGRDTAGQPMNVTCEVQQLLGNNRVRAVAMSATDGLTRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDTRTTSPIHRSAPAFTQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEQNIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYEIAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDSLPEQAFYLVGNIDEATAKAMNLEMESKLKK >itb13g14530.t3 pep chromosome:ASM357664v1:13:21131073:21134068:-1 gene:itb13g14530 transcript:itb13g14530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPLHSFRSYSVSSSDNEGRCSTIANCLTRKTLLPHSCANGGVTTSKVTPQPAGQSTVVTGPPRLVRSRAIRRDLVRDWNFEGVVLER >itb13g14530.t4 pep chromosome:ASM357664v1:13:21131073:21134258:-1 gene:itb13g14530 transcript:itb13g14530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPLHSFRSYSVSSSDNEGRCSTIANCLTRKTLLPHSCANGGVTTSKVTPQPAGQSTVVTGPPRLVRSRAIRRDLVRDWNFEGVVLER >itb13g14530.t1 pep chromosome:ASM357664v1:13:21131073:21134258:-1 gene:itb13g14530 transcript:itb13g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPLHSFRSYSVSSSDNEGRCSTIANCLTRKTLLPHSCANGGVTTSKVTPQPAGQSTVVTGPPRLVRSRAIRRDLVRDWNFEGVVLER >itb13g14530.t2 pep chromosome:ASM357664v1:13:21131073:21132684:-1 gene:itb13g14530 transcript:itb13g14530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPLHSFRSYSVSSSDNEGRCSTIANCLTRKTLLPHSCANGGVTTSKVTPQPAGQSTVVTGPPRLVRSRAIRRDLVRDWNFEGVVLER >itb02g24830.t1 pep chromosome:ASM357664v1:2:25548028:25548681:-1 gene:itb02g24830 transcript:itb02g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHTTLKNLRPLLVFSFLFLIVTGTLVSGDSLETDKNVLLNLKSFIEHQSTVNRRSRYTKWNSTDLSPYNWPKISCSGGCVTGLNLSGDYLNREMFDNFFALTSLSYLDLSSNTIGSAIQADLGKCLSLRFLNLSHNIINVELHLTDLKSLEVLDVSLNRFQGDVKLAFHKILEPAT >itb02g15600.t1 pep chromosome:ASM357664v1:2:11345095:11347382:-1 gene:itb02g15600 transcript:itb02g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPNLSMGAAAAFGGGGPGSSSATAVGAQPNKERKMQSAEQLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQASWSRRKKEERKKAQSAKKEEGRRKKEERRQKATWEGKSLLRVRLGQLQRRFSGGRVRHDDNSVVELGSRRQRSKMRLARLGPRRVFF >itb09g28470.t1 pep chromosome:ASM357664v1:9:29040561:29041340:1 gene:itb09g28470 transcript:itb09g28470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTTTPAAATISQGSPQSSPAIPSHSSGDSALTPILSVLAFILIPILIYVVIFSIRCPRNPFRSADEPSKDPKTKKQRPELISTVKYKRESPENESECSVCLSAFVDGEEISELNDCKHTFHAKCIDTWLRSHSTCPICRNSLPVKRSRRPPIKNDDDLRQGLPDASNLV >itb10g03400.t1 pep chromosome:ASM357664v1:10:3147497:3150170:1 gene:itb10g03400 transcript:itb10g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTKAPEENKVTMQAESKGKEKVDIANSMEKLKIDGSSSTFKKKPVIIIVVGMAGSGKTTFLHRLVCHTMASNIRGYVMNLDPAVLTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVISAIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSASPATFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEAFHAALDSDNSYTSTLTRSLSLSLEEFYKNLRSVGVSAVSGAGMDAFFKAIDASAEEYMETYKTELDKRMAEKQRLEEDRRRENMEKLRKDMEKSRGETMVLNTGLKDNTMMEEDEEDDDLEENFERFGEDDDDDGGISDEDEEIASFSF >itb05g25540.t1 pep chromosome:ASM357664v1:5:29898571:29901781:1 gene:itb05g25540 transcript:itb05g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related protein kinase epsilon [Source:Projected from Arabidopsis thaliana (AT5G14640) UniProtKB/Swiss-Prot;Acc:Q8VZD5] MASGGKMNLVAGRPKPDAMLVDKLPEEINEMKIKDDKQEKDMEATIVDGNGTESGHIIVTTIGGKNGQPKQTISYMAEQVVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVISLKHCFFSTTEKDELYLNLVLEYVPETLYRVSRHYSKANQRMPMIYVKLYTYQIFRSLAYIHGIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPYLRCTALEACIHPFFNELRDPNTRLPNGRPLPPLFNFRPQELKGAGPELLSKLIPEHAKKQCACLG >itb12g09020.t1 pep chromosome:ASM357664v1:12:7041685:7049234:1 gene:itb12g09020 transcript:itb12g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGATKDHRRSIQVFEPKLRRQVGDEAVSNRIQNLDVQVLGQGPSGNSTLNKEPVGTWMAFDSTTAAKGNNGDGDPTEATIAQRTAEWGLTVKKKDDVEEGRFYAFPKNSAGEAERRKTSLEKLSTEECRTGTSDSSSHGSDMPRVSQELKDALSTLQQTFVVSDATKPDCPIVYASTGFFAMTGYSSNETIGRNCRFLQGPDTDRNEIEKLSTAVRTGKSYCGRLLNYKKNGTPFWNMLTITPIKDENGNTIKFIGMQVEVSKYTEGMNDKALRPNGLPKSLIKYDARQKEKALDSMTEVVQTMKHPRSHLSMSQDSTKKNAEERIKDFMLSRQAETQSMATPNQQTTQWESKSNSSQQDSEKKSRRSLRLSLIGLRGRSSSSAGQHEKQQTVEPEILMTKEIESTDSLEHAERERDIRQGIDLATTLERIEKNFVISDPRLPDTPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVQKIRDAIREQREITVQLINYTKSGKKFWNVFHLQPMRDQKGELQYFIGVQLDGSEHVEPLRNRLSDKTEQESAKLVKATAENVDEAVRELPDANMKPEDLWALHSRPVFPRPHKKGSASWGAIQKIIASGEKVGLNHFKPVRHLGYGDTGSVHLVELKGTGELYAMKAMDKSIMLNRNKVHRACVEREISALLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFALLDKQPSKIFKEESARFYAAEILVALEYLHCLGIVYRDLKPENILLQANGHVVLTDFDLSFKTTCKLQVIKHPPPKRRSRSTPPLTFVAEPTTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILIYEMLYGRTPFRGKNRQKTFANILNKDLTFPSSVPVSLAGRQLINALLNRDPGSRLGSNGGANEIKQHPFFRGIKWPLIRCTSPPPLAAPLVLIGKDPNAKEVDWDDEGVLVHHMDFF >itb12g09020.t2 pep chromosome:ASM357664v1:12:7041685:7049234:1 gene:itb12g09020 transcript:itb12g09020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRIFTFFFLQLSPHILKISYSFNVSARQKEKALDSMTEVVQTMKHPRSHLSMSQDSTKKNAEERIKDFMLSRQAETQSMATPNQQTTQWESKSNSSQQDSEKKSRRSLRLSLIGLRGRSSSSAGQHEKQQTVEPEILMTKEIESTDSLEHAERERDIRQGIDLATTLERIEKNFVISDPRLPDTPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVQKIRDAIREQREITVQLINYTKSGKKFWNVFHLQPMRDQKGELQYFIGVQLDGSEHVEPLRNRLSDKTEQESAKLVKATAENVDEAVRELPDANMKPEDLWALHSRPVFPRPHKKGSASWGAIQKIIASGEKVGLNHFKPVRHLGYGDTGSVHLVELKGTGELYAMKAMDKSIMLNRNKVHRACVEREISALLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFALLDKQPSKIFKEESARFYAAEILVALEYLHCLGIVYRDLKPENILLQANGHVVLTDFDLSFKTTCKLQVIKHPPPKRRSRSTPPLTFVAEPTTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILIYEMLYGRTPFRGKNRQKTFANILNKDLTFPSSVPVSLAGRQLINALLNRDPGSRLGSNGGANEIKQHPFFRGIKWPLIRCTSPPPLAAPLVLIGKDPNAKEVDWDDEGVLVHHMDFF >itb04g19850.t1 pep chromosome:ASM357664v1:4:24301634:24302254:1 gene:itb04g19850 transcript:itb04g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQMKSRIGDNLQVPINYWAVLLNHQSSTKQALPNSATQCHLSSSSKLRNGAVRLRPKITDTLKGKLILGAKLLQAGGMEKVFKKKFGAKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSMRMHYKVSIPISRIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFPRHQRTLKYLQNVISQAHYS >itb03g18570.t1 pep chromosome:ASM357664v1:3:16808806:16812925:-1 gene:itb03g18570 transcript:itb03g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFLLEEGDRIAFLFHRIMQSFGCTYICLWSYLPHPSNYLVSVDGLFSGENSVARRLFEEYRHSSPLLFHEGRIPGYAFKNNLPYLELLFPALERLASNEVQKQFYRTAIFMGCGAGEIELGLSSVPQANMEMEMKNIFPEDFFRQAAATASSLPVPVPAIGLPSPQLQPDPNRPSSSSSSLRSLSIDSPESLLFGVAAATSYAAAAEPPLREVFSDQRGIMPPVTSPMSHIRGAAIDFPSMQSEDAAITRAYLAVISSSSCSSPSSSLRPQGSFQKPTAFRRGIIMKKQTVLKRSFEFFRNLSLMRRQELVRTTRPTITQVHHMISERKRREKLNESFQHLRSLLPPGTKKDKASVLSITTEQLSALKAKVEELSRKNQMLEAEVAKGAKAAAEEEEDGGGRVDVRLTDAGESTSEDNRVVDLQVTVRGENGILELVMRLLEFLKQVENVRLISVEANTRSNSVNRIILRLVIQGGDLNESDFLEAVRRVVGDLA >itb07g10250.t1 pep chromosome:ASM357664v1:7:11044280:11048199:1 gene:itb07g10250 transcript:itb07g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAVLGALPTADRCLDQRPSATSSRFFLRSPPPAANVASKLRKYVGSRNTRSNKPPERDAVAGDVSFSPAKKTAKKKMMKLGDNTSTPSRCHSIPLSSHHRDVTATASNNDNERRRSQTPPMTIAVLGALPTADRCLDQRPSATSSRFFLRSPPPAANVASKLRKYVGSRNTRSNKPPERDAVAGDVSFSPAKKTAKKKMMKLPFPPLLLQPNYSIYRRLAPASINSTLLGRNLSLSLSKLGPT >itb13g04200.t1 pep chromosome:ASM357664v1:13:4711304:4712578:-1 gene:itb13g04200 transcript:itb13g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIENKPLYEVGIANEIDTFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEIIPTPASSQVIYSTLIPTPASSQVIYSTLEKTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICMKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb10g07990.t1 pep chromosome:ASM357664v1:10:9845902:9849003:-1 gene:itb10g07990 transcript:itb10g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQKNYEQVGYSSVDTRNEGIGSANQRIFQDPSSSINTNIRPPDFNMPVGARPVLNFSIQTGEEFAFEFMRDRAFAKQHFIPHSTGEPSSTTSYMDLKDILGISHTGSESGSDISMIASVDKSRVQDPERRSTLNEEHAFSHTAQSVSRTTSRNNDGRGVHSRTISRPSKKLKFLCSFGGRILPRPSDGKLRYVGGDTRIIRVMRDISWDEFKEKISTVYSSTHIIKYQLPGEELDALVSVSCDEDLQNMMEECNVLEDGGSQKLRMFLFSSSDLDDSHLGLENVEGDTEFQYFVAVNGMDIGSRRNSVGLASTSGNNLDELLGLSIDREHGRVVANLAAVDTMHPVGGSANQSSHRVGSSSLHELDSNSQGYQNQATQYNGVEWQQLSTSQPIGNFSVIERKNTILPSAALSFAYGPRTTNYAQLAEDNFVLNSSHSQMTLEGGGLTAAEYPLGNSHVLDPEVSASEVKLKRDASIQKRVKLGKCESLEKEPKEEKMKRENSMQKMNEPEITRPLDYEKAVSSNPYDNSTPNNSSRGEEQISDAAALIGNSVVPSIGSVNNQDQMQSSLSAESDKEERIDAFNEDSNLIASSKVSNSGHGNSESYPFDFNSEHSTAPPRLFRSERTPREQAGLNRLSKSDDSSASPFLMAHSQSEGSQHMSESIDKFHDRNEASETKHLISSTLSSNQPAVEDKKVEFQKCEEVADNAKVVGSQISKDGGKTNIQNIELKGTVFPDRDTPSLPIRSGNFQNESASKPTENIIDDNNAMGEVKSLARREQPAGAASQVKPSVNEHGDILIDINDRFPRDFLSDIFSKAMDGSAGVAPLHGDGGGLSLNMENHEPKHWSFFKKLAHDDFVRRDVSLMDQDHPSFPTTNAHVDEVSMDYSYPTSKISGVVMDSHINIQADIHQQSPHITEPLTMNLPSDYNPSQVTGIQSMQSDGTINRKTPDSVYQDEVQDAQSAGFPPVDFPLVDFDINSLQVLSFS >itb09g25260.t1 pep chromosome:ASM357664v1:9:25221139:25221780:-1 gene:itb09g25260 transcript:itb09g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGIHQVLVKLLDGKHRIINFSTPSVSIQTLKHRIQTLTLIPTHLQLLIPSDSPYLLRDNQTLNLTTGHHQSKFPVVVNLLLRLRGGKGGYGSLLRAAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAEAEERKLEKIAEDYINAKKGSKSADKYVAKYREDSAKCMEEVERSVRESLKGFIPSKRKAAAAELNHSDSKKLKIW >itb12g06750.t4 pep chromosome:ASM357664v1:12:4995037:5000530:-1 gene:itb12g06750 transcript:itb12g06750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCASTPSQTIRVKKRHHHRRRHKRHRGKVSSAVIEGNRKKNSDAAARVTDISVSEFVHTTSTRKISEFSNSTFHLTQLQWHHSRKDANVSCQDEAWFDTTSIFESDSDGDGDGDDDDEFTSVHGDYFPCVPNGQVLQYETSSCFVDSKCVYSQTTKSAIIKVVSIKRTSVDEEAIDDLYGSKKYLYRPRAGLTIPCCTDTKPKPGTWSITEPSNFKLRGDTFFKDKKKSPAPNFCPYTPIGVDLFLCPRKIKHIAQHLELPSIEADAGKLPPLLIVNIQVYLKCFTPKDELLLEMTNITHFLLLPFFFQLPNYPAQMFGDSDGKGLSLVLYFKLKESYEEDISPQFRESIKRLVEDDMEAVKGFAKESTVPFRERLKIMVGVLNPDDLVSTSTERKLLNAYNEKPVLSRPQHDFYQGPNYFEIDLDIHHFSYVARKGLDAFRERLQEGILDLGLTIQAQKPEELPEKVLCCLRLNKIDFVDRGQIPTLRMVDDGSLSE >itb12g06750.t1 pep chromosome:ASM357664v1:12:4995026:5000532:-1 gene:itb12g06750 transcript:itb12g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCASTPSQTIRVKKRHHHRRRHKRHRGKVSSAVIEGNRKKNSDAAARVTDISVSEFVHTTSTRKISEFSNSTFHLTQLQWHHSRKDANVSCQDEAWFDTTSIFESDSDGDGDGDDDDEFTSVHGDYFPCVPNGQVLQYETSSCFVDSKCVYSQTTKSAIIKVVSIKRTSVDEEAIDDLYGSKKYLYRPRAGLTIPCCTDTKPKPGTWSITEPSNFKLRGDTFFKDKKKSPAPNFCPYTPIGVDLFLCPRKIKHIAQHLELPSIEADAGKLPPLLIVNIQLPNYPAQMFGDSDGKGLSLVLYFKLKESYEEDISPQFRESIKRLVEDDMEAVKGFAKESTVPFRERLKIMVGVLNPDDLVSTSTERKLLNAYNEKPVLSRPQHDFYQGPNYFEIDLDIHHFSYVARKGLDAFRERLQEGILDLGLTIQAQKPEELPEKVLCCLRLNKIDFVDRGQIPTLRMVDDGSLSE >itb12g06750.t2 pep chromosome:ASM357664v1:12:4995037:5000323:-1 gene:itb12g06750 transcript:itb12g06750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCASTPSQTIRVKKRHHHRRRHKRHRGKVSSAVIEGNRKKNSDAAARVTDISVSEFVHTTSTRKISEFSNSTFHLTQLQWHHSRKDANVSCQDEAWFDTTSIFESDSDGDGDGDDDDEFTSVHGDYFPCVPNGQVLQYETSSCFVDSKCVYSQTTKSAIIKVVSIKRTSVDEEAIDDLYGSKKYLYRPRAGLTIPCCTDTKPKPGTWSITEPSNFKLRGDTFFKDKKKSPAPNFCPYTPIGVDLFLCPRKIKHIAQHLELPSIEADAGKLPPLLIVNIQLPNYPAQMFGDSDGKGLSLVLYFKLKESYEEDISPQFRESIKRLVEDDMEAVKGFAKESTVPFRERLKIMVGVLNPDDLVSTSTERKLLNAYNEKPVLSRPQHDFYQGPNYFEIDLDIHHFSYVARKGLDAFRERLQEGILDLGLTIQAQKPEELPEKVLCCLRLNKIDFVDRGQIPTLRMVDDGSLSE >itb12g06750.t3 pep chromosome:ASM357664v1:12:4995026:4998952:-1 gene:itb12g06750 transcript:itb12g06750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCASTPSQTIRVKKRHHHRRRHKRHRGKVSSAVIEGNRKKNSDAAARVTDISVSEFVHTTSTRKISEFSNSTFHLTQLQWHHSRKDANVSCQDEAWFDTTSIFESDSDGDGDGDDDDEFTSVHGDYFPCVPNGQVLQYETSSCFVDSKCVYSQTTKSAIIKVVSIKRTSVDEEAIDDLYGSKKYLYRPRAGLTIPCCTDTKPKPGTWSITEPSNFKLRGDTFFKDKKKSPAPNFCPYTPIGVDLFLCPRKIKHIAQHLELPSIEADAGKLPPLLIVNIQLPNYPAQMFGDSDGKGLSLVLYFKLKESYEEDISPQFRESIKRLVEDDMEAVKGFAKESTVPFRERLKIMVGVLNPDDLVSTSTERKLLNAYNEKPVLSRPQHDFYQGPNYFEIDLDIHHFSYVARKGLDAFRERLQEGILDLGLTIQAQKPEELPEKVLCCLRLNKIDFVDRGQIPTLRMVDDGSLSE >itb02g02270.t1 pep chromosome:ASM357664v1:2:1262208:1262913:-1 gene:itb02g02270 transcript:itb02g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKAASAERRSAAAAAGKFKGVRMRKWGKWVAEVRQPNSRDRIWLGSYDTAEEAARAYDAAAVSLRGHTAKLNFPDDPPRIQHGVHLTPPEIQVAASRHARSNSTPETKQPAVENIFFPPPHVPPEVGGGSSFCDQFSAEACYLVGQERRDEDHHTGAALSLDSSRIVWNL >itb13g26860.t1 pep chromosome:ASM357664v1:13:31964762:31966303:-1 gene:itb13g26860 transcript:itb13g26860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVMRYVKQVAWYCCVSYIFVFTLELFPPPPVIVQHYYASSQLLLLHLYKQGITSAVILFSTHPPQQLIIIIMITILCAACGYLIIIFISSRPRAVYLVDYACYKPPVNHRVPFATFIEHSHLLFLTHPKTMYFQKQILERSGLGERTCVPPAIHYIPPTPTLKLAWEEARTVIFSVMDHLLAKTHLNPKHIDILIVNCSMFSPTPSLSAMIINKYKLRSNVKSFNLSGMGCSAGVIAIDLARDLLQTHRNSNAMIVSTEIITLNSYLGKERSMLLPNCLFRTGGAALLLSNRGVDGGRAKYRLLHVVRTHMGNKDKSYKCVFQEEDGEGFVGINLCINLMEVAGEALKANITSIGPLVLPVSELVKFLVGVVRRKLSKSKSKFSSYNNNYVPDFKRAFEHFCIHAGGRAVIDELQKKLKLSDEDAEASRMTLHRFGNTSSSSLWYEMSYIEAKGRMKRGDRVWQIAFGSGFKCNSAVWKCNRSIQPPVLDTPWADCIHQYPVYIPDILKI >itb05g27510.t1 pep chromosome:ASM357664v1:5:30835507:30836639:1 gene:itb05g27510 transcript:itb05g27510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGQGNGISSSSDSTCICNKDEGTGKTTYWCHCHMGRDLLTKTTREWYPFPSMKQPPFRGANTAIASTSSAQYNHHNFLASMNYYKLELQQEKWIKHYSNRHKILLVGDGDFSFSACLAVAFGSASNITATSLDSEEFSTFNYCKAFILKELKERGCKVIHGVDATSMADHPSLMGSTFDRIIFNFPYAGFFNDSPRESKIGCHQSMIWMFLGNAKQMISQNGEIHITHKTNGYIVPLGIQHGLELVDSVEFNVSDYPGYSNKYAFGGDNSFDCYPSKTYMFRLPRTTCPDLPWL >itb06g07850.t2 pep chromosome:ASM357664v1:6:11626914:11636479:-1 gene:itb06g07850 transcript:itb06g07850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIFQNQPNLLLPNLAANRRCPRSYPSCHLKLDQVIDIRHAQVVNVRHAKSLSSSFNSWENSKKRLFSWKFLDTIGRGFELILTWIWVQIPRSISEVHSDKSGFQGVYRRQMEKNYRDGFLLRSSNHHRSETRSTSTTTSSDFVLQWGNRKRLRCMKVHNKNSGSARAEHDDLSEPSGSAPVQRTTGRADRRVGRSDPNRDSSNHQQQHGNNTGVSNGYLNLRQRPASPSHRILRSQSHSQIHFLSIRHHNLPQTTHCFLPCDLRHNWNSENSVAMRERSNGLRNLASPDKGDKRGGAHNNNHTRGIHNNDNNQHHHHGGGGGSVSSETGHESKKGGSSSGSDAIPVVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLISPGAWLCDLSLERYEVREKKVSKKRPRGLKAMGNMDSDSE >itb06g07850.t1 pep chromosome:ASM357664v1:6:11626914:11636479:-1 gene:itb06g07850 transcript:itb06g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIFQNQPNLLLPNLAANRRCPRSYPSCHLKLDQVIDIRHAQVVNVRHAKSLSSSFNSWENSKKRLFSWKFLDTIGRGFELILTWIWVQIPRSISEVHSDKSGFQGVYRRQMEKNYRDGFLLRSSNHHRSETRSTSTTTSSDFVLQWGNRKRLRCMKVHNKNSGSARAEHDDLSEPSGSAPVQRTTGRADRRVGRSDPNRDSSNHQQQHGNNTGVSNGYLNLRQRPASPSHRILRNSENSVAMRERSNGLRNLASPDKGDKRGGAHNNNHTRGIHNNDNNQHHHHGGGGGSVSSETGHESKKGGSSSGSDAIPVVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLISPGAWLCDLSLERYEVREKKVSKKRPRGLKAMGNMDSDSE >itb12g00540.t1 pep chromosome:ASM357664v1:12:415994:417601:-1 gene:itb12g00540 transcript:itb12g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALIWTAFAVLIAAVSVFKAFLEKKGKKLPPGPRGLPILGHFHLVGKNPHQDLHKLAKTYGPIMHLRFGLVHNIVASTPEAAKQFLKTHDLNFATRPPSEAAKYISNGQKGLSFGQYGPYWRNVRKLCTLEFLSNLKINSYQSMRREELCLLVESFKQAAQNREAVDLSVKVSSLSANMSCRMVFGKKYEDKDLGEKGFKAVIQEAVKITALPNLGDYFPLLGKLDVQGLTKRMKNVGQLFDEFLEKIINEHEQATSKGNTETTKDFVDTMLEIMKSGESPVQFTREHVKSVMLDMLIASMDTSSSVIEWTMSELFKHPEIMRKVKEEIESHVGLDRMVEEKDLEHFKYLEIVIKESLRMHPVVPLLLPHAAREDCEVDGFHIPKNAIVTVNVWAIGRDPNVWSDPEKFIPERFNKSSVEYRGQDFELLPFGSGRRSCPGMQLGITVVRLVVAQLIHCFDWSLPDGMLPKNLDMTEESGLVLSRAQHLMAIPAYRLCI >itb07g17100.t2 pep chromosome:ASM357664v1:7:21270266:21273875:-1 gene:itb07g17100 transcript:itb07g17100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHTAFSSSFTILWLLSLHFYAGSGMLFVGLKNRHSHPQHRSPMLHSNQTSCDVFAGSWVYDESYPLYSYSACSIIDPRFNCQMYGRRDTDYLKYRWKPANCELPRFSGLDFLMKMRGKSVMFVGDSLGRNQWESLICMISTSVPRTQTQMQGGDPFSSFKFLDYGVTISYYKATYLVDIDTIEGKRVLKLDDIRGNGNAWRNADVLSFNTGHWWSHKGASQGYNYFCFFFSYAVITSSNGSTLFLYRPIAIKVSDLPGPRNMMIVVEVNYWDG >itb07g17100.t1 pep chromosome:ASM357664v1:7:21270266:21273875:-1 gene:itb07g17100 transcript:itb07g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSHTAFSSSFTILWLLSLHFYAGSGMLFVGLKNRHSHPQHRSPMLHSNQTSCDVFAGSWVYDESYPLYSYSACSIIDPRFNCQMYGRRDTDYLKYRWKPANCELPRFSGLDFLMKMRGKSVMFVGDSLGRNQWESLICMISTSVPRTQTQMQGGDPFSSFKFLDYGVTISYYKATYLVDIDTIEGKRVLKLDDIRGNGNAWRNADVLSFNTGHWWSHKGASQGWEYMEYGGTMYEDMDRVDALERALRTWARWVESNVDMSRSRVFFQSISPTHYGPGEWNVGPVTTTKSCYGETTPMTGETYPGTYTDQMEAVKAVLGDMANPPFLLDITALSAMRKDAHPSIYSGDLTPDQKANPEHASDCSHWCLPGLPDTWNQLFYTALFF >itb04g04900.t1 pep chromosome:ASM357664v1:4:3056168:3059935:-1 gene:itb04g04900 transcript:itb04g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPEQSNSDSATNQSSSDTKSSMKDMGKHSIETDDCFSSLLELAANNDVDAFKRSIECDSCAIDSVGLWLVRKKGSKQVVNEERTPLMVAATYGSVDVLRLILAQPEVDVNRACGPDKYTALHCATSGGSVNAAEVVKLLLSAGADPIVEDVNGQRPADVIAVPPKLPGGRASLEELLLNNTSDGSVGECNLRVSVTTSNASSPILSSSPDNGSPCSPSDLVSYPRESKFCDVPANSAPEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGVACARRVCFFAHTPEELRPLYVSTGSAVPSPRSAAATASVMDMAAALSLLPGSPSSHSVMSPSFNQPMSPTANGMSHSPAAWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEDLNMLQDFDAQQLILNDLACFSQSRHNSASLNHSCRSKTLTPSNLEELFSNEVTSSPRYSDQTVASGVFSPSHKSAVFNQLQQQQRMLSPINTSVFSPKNVEHHLLQAPFSVSSPGRMSPRSVDPISPMSGRLSPFAQSEKQHQQLRCFSSRDLGSNNPSIVGSPSAAGNSWSNLGSLNSNIDWSVNGDKLRHHPGRSSEQLNNNNGEGPDLSWVQSLVKESPPEMMKDKLAAPISGTAATPSGSQIDSMDPHSVLGAWLEQMQLDQLVA >itb12g17580.t1 pep chromosome:ASM357664v1:12:19706398:19714089:1 gene:itb12g17580 transcript:itb12g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKSYSLNPDDYKLLEEVGYGASATVYRAIYLPLNDVIAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAFCSFVVGSYLWVVMPFMAEGSCLHLMKIAYPEGFEESAICSILKETLKALEYLHRHGHIHRDVKAGNILLDSNGEVKLADFGVSACMFDTGERQRSRNTFVGTPCWMAPEVLQPGTGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNVKPPELSVKKLFSDLPPLWNRVKDLQVRDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDLEDLKFQASMVPDEDEVQEVKEEDENMKHYTNSEVISNSRENVAGLSTNQNIVSSDQTRASEGQIKKGKDLESGLLDSDQRKNELKKNGSKTELPPLTSDKDVVQTKTRNLTGKPRQSQSGPLMPGTVLSHSTAERARNIERTETENQQVERAQQVRRAPSFSGPLMLPNRASANSLSAPIKPSSGFRDSLEDKSKTNLVQIKGRFSVTSENVDLVKTSPLRKSASVGEWVHENKQMPSNQSAKEVLHGNVPASILMPHLQNLFQQTSIQQDLIMSLLNSMQPSTGEASQNGKSPPQQRNSENNGTVETAASERERLLLVKISELQTRMVNLTDELTAEKLKYMQLQQRLNAMSNRGEDGDRREVDS >itb12g17580.t2 pep chromosome:ASM357664v1:12:19706398:19714089:1 gene:itb12g17580 transcript:itb12g17580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKSYSLNPDDYKLLEEVGYGASATVYRAIYLPLNDVIAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAFCSFVVGSYLWVVMPFMAEGSCLHLMKIAYPEGFEESAICSILKETLKALEYLHRHGHIHRDVKAGNILLDSNGEVKLADFGVSACMFDTGERQRSRNTFVGTPCWMAPEVLQPGTGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNVKPPELSVKKLFSDLPPLWNRVKDLQVRDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDLEDLKFQASMVPDEDEVQEVKEEDENMKHYTNSEVISNSRENVAGLSTNQNIVSSDQTRASEGQIKKGKDLESGLLDSDQRKNELKKNGSKTELPPLTSDKDVVQTKTRNLTGKPRQSQSGPLMPGTVLSHSTAERARNIERTETENQQVERAQQVRRAPSFSGPLMLPNRASANSLSAPIKPSSGFRDSLEDKSKTNLVQIKGRFSVTSENVDLVKTSPLRKSASVGEWVHENKQMPSNQSAKEVLHGNVPASILMPHLQNLFQQTSIQQDLIMSLLNSMQPSTGEASQNGKSPPQQRNSENNGTVETAASERERLLLVKISELQTRYVYKY >itb04g00990.t1 pep chromosome:ASM357664v1:4:531187:533620:1 gene:itb04g00990 transcript:itb04g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A8 [Source:Projected from Arabidopsis thaliana (AT2G45970) UniProtKB/Swiss-Prot;Acc:O80823] MDLGVALLLFSGVTCYLLWFTFISRSLKGPRVWPLLGSLPGLIENAERMHEWIADNLRACGGTYQTCICAVPFLARKQGLVTVTCDPKNLEHILKTRFDNYPKGPNWQSVFHELLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRAIKVRFCPVLETAQVEGKPVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENTFAAAFDRATEASLQRFILPEVIWKVKKWLRLGMEVSLSRSLEQLDEYMSSIIKTRRIELQQTDNINLHDDLLSRFMRKKESDDKVLQHVALNFILAGRDTSSVALCWFFSLIMQNPRVEENILREICTVLAETRGGNFGNGGDLSAWLDEPLQFEEVDKLVYLKAALSETLRLYPSVPEDSKHVIADDVLPDGTFVPAGSSVTYSIYSAGRMKSTWGEDCLEFKPERWLTLDGKKFIMQDQYKFISFNAGPRICLGRDLAYLQMKSIAAAVLLRHRLSLSPGHQVEQKMSLTLFMKDGLKVKVHPRDLTPIISAVNISKAAALNSTNGVNEGGTESTEG >itb04g00990.t2 pep chromosome:ASM357664v1:4:531473:532290:1 gene:itb04g00990 transcript:itb04g00990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A8 [Source:Projected from Arabidopsis thaliana (AT2G45970) UniProtKB/Swiss-Prot;Acc:O80823] MDLGVALLLFSGVTCYLLWFTFISRSLKGPRVWPLLGSLPGLIENAERMHEWIADNLRACGGTYQTCICAVPFLARKQGLVTVTCDPKNLEHILKTRFDNYPKGPNWQSVFHELLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRAIKVRFCPVLETAQVEGKPVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENTFAAAFDRATEASLQRFILPEVIWKNRTPTNRQH >itb07g23480.t2 pep chromosome:ASM357664v1:7:27867151:27880491:-1 gene:itb07g23480 transcript:itb07g23480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRGSRSGSDGSPTTERISSSRTVQLGKVQPQAPGHRTVFCNDREANALAKFKANSVSTTKYDVFTFLPKGLFEQFRRVANLYFLMISILSCTPISPVSPITNVVPLSLVLLVSLIKEAWEDWKRFQNDMSINNTPVDIFQDQKWMSVPWKKLQVGDIVRVKQDEFFPADIAFLASTNPDGVCYVETANLDGETNLKIRKALEKTWDYLTPEKITEFQGEIQCEEPNNSLYTFTGNLIIQQQTLPLSPNQLLLRGCSLRNTEYLVGVVIFTGHETKVMMNAMKIPSKRSTLEKKLDKLILTLFSVLFCMCLLGAIGSGVFIDRKYYYLRFESGKNADIQFNPNNRFAVAALTMFTLITLYSPIIPISLYVSVEMIKFIQSTQFINNDLCMYHTESNTPAQARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGVTEIEMGVAQRNGSTVQKSPNTAKEKGFNFDDGRLMRGAWRNESNPDACKEFFRCLAICHTVLPEGEDSPEKIRYQAASPDEAALVVAAKNFGFFFYKRTPTMIYVRESHVEKMGMVQDVPYEILNVLEFNSTRKRQSVICRYPDGRLVLYCKGADTVIYERLVSGDHDMKRKTREHLEQFGAAGLRTLCLAYRDLNPDVYENWNEKFIQAKSSLRDREKKLDEVAELIEKDLILIGCTAIEDKLQEGVPTCIETLSRAGIKIWVLTGDKMETAINIAYACKLINNDMKQFIISSETDAIREVEDKGDQVEIARFMKEAVKNDLRKCYEEALQCLHSEARPKLALVIDGKCLMYALDPSLRVMLLNLSLNCSAVVCCRVSPLQKAQVTSLVRKGAKRITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYMRICKVVTYFFYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVIFTALPVIVLGLFEKDVSATLSKKYPELYKEGIRNTYFKWRVIAVWAFFAIYQSLVLYYFVTASTTKGMNSAGKMLGLWDVSTMAFTCVVVTVNLRLLMMCNTITKWHQISVGGSILLWFIFIFIYSGIVLPKNQKNIYFVIYVLMSTFYFYVALLLVPVAALFGDFLYQGAQRWFFPYDYQIVQEVHMHEVDSTRVGLLEIRNELTPEEERSFAMMSLPGQKSKHTGFAFDSPGYESFFASQAGVFAPHKAWDVARRASMRTRAKTPRKN >itb07g23480.t1 pep chromosome:ASM357664v1:7:27867066:27880637:-1 gene:itb07g23480 transcript:itb07g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRGSRSGSDGSPTTERISSSRTVQLGKVQPQAPGHRTVFCNDREANALAKFKANSVSTTKYDVFTFLPKGLFEQFRRVANLYFLMISILSCTPISPVSPITNVVPLSLVLLVSLIKEAWEDWKRFQNDMSINNTPVDIFQDQKWMSVPWKKLQVGDIVRVKQDEFFPADIAFLASTNPDGVCYVETANLDGETNLKIRKALEKTWDYLTPEKITEFQGEIQCEEPNNSLYTFTGNLIIQQQTLPLSPNQLLLRGCSLRNTEYLVGVVIFTGHETKVMMNAMKIPSKRSTLEKKLDKLILTLFSVLFCMCLLGAIGSGVFIDRKYYYLRFESGKNADIQFNPNNRFAVAALTMFTLITLYSPIIPISLYVSVEMIKFIQSTQFINNDLCMYHTESNTPAQARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGVTEIEMGVAQRNGSTVQVQKSPNTAKEKGFNFDDGRLMRGAWRNESNPDACKEFFRCLAICHTVLPEGEDSPEKIRYQAASPDEAALVVAAKNFGFFFYKRTPTMIYVRESHVEKMGMVQDVPYEILNVLEFNSTRKRQSVICRYPDGRLVLYCKGADTVIYERLVSGDHDMKRKTREHLEQFGAAGLRTLCLAYRDLNPDVYENWNEKFIQAKSSLRDREKKLDEVAELIEKDLILIGCTAIEDKLQEGVPTCIETLSRAGIKIWVLTGDKMETAINIAYACKLINNDMKQFIISSETDAIREVEDKGDQVEIARFMKEAVKNDLRKCYEEALQCLHSEARPKLALVIDGKCLMYALDPSLRVMLLNLSLNCSAVVCCRVSPLQKAQVTSLVRKGAKRITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYMRICKVVTYFFYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVIFTALPVIVLGLFEKDVSATLSKKYPELYKEGIRNTYFKWRVIAVWAFFAIYQSLVLYYFVTASTTKGMNSAGKMLGLWDVSTMAFTCVVVTVNLRLLMMCNTITKWHQISVGGSILLWFIFIFIYSGIVLPKNQKNIYFVIYVLMSTFYFYVALLLVPVAALFGDFLYQGAQRWFFPYDYQIVQEVHMHEVDSTRVGLLEIRNELTPEEERSFAMMSLPGQKSKHTGFAFDSPGYESFFASQAGVFAPHKAWDVARRASMRTRAKTPRKN >itb09g19430.t1 pep chromosome:ASM357664v1:9:15638549:15639383:-1 gene:itb09g19430 transcript:itb09g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNCRFSIVTSRKGLFTDKNNLINNTCKILAKGDQNIKYGFCATSLQAAPASQCATLRGLGIINFRLIRYNVTDTRCRIKYLLREKKALDPYVKACLGDCLELYSDAIPDIKQAMKDYNAKRFVEANLKVSAVMDAVTTCEDGFKERKGVRSPLTKRNDDAFSLSAMLLSLMNMIQAGSAV >itb04g34030.t1 pep chromosome:ASM357664v1:4:36076277:36082718:1 gene:itb04g34030 transcript:itb04g34030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGARKRGRFDAGLNGNGGYKKSKQESEYSSGIGSKSKPCTKFFSTSGCQFGESCHFLHYVPGGLKAVTQMLGNNPALPVATRNSMAMPSFPDASSPTGVKTRLCTKYNTAEGCKFGDKCHFAHGEWELGRSAVPSHEDPRGMGHASRFGRPEPSQAGLGAAASFGSSATAKISIDASLSGAIIGKGGVNSKQICRVTGAKLSIRDNESDPNLKNIELEGTIDQIQQASQMVRELIATVSSNAKSMKGPRSAPANNYKTKLCDNFVKGSCTFGDRCHFAHGAEELRGGGM >itb11g23270.t1 pep chromosome:ASM357664v1:11:25191519:25194078:-1 gene:itb11g23270 transcript:itb11g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDIESLWVFALATKCKYLTSVNSLCFAFLVFMVWVAMSLIYWAYPGGPAWGRYKWRSCPIKAGTPIPGPSGLPVIGSMNLMVGLAHHKLAAMAQACGAKRLMAFSLGETRAVVTCSHDVAREILNSSAFADRPVKESAYRLMFSRAIGFAPYGAYWRTLRKISAVHLFCPKQIKSAESQRFEIAAQMIAKFSAAKGRSIKIRDATKRASLNNMMCSVFGRKYNLNDTSASAETAELRMLVDEGYDLLGMLNWSDHLPWLVDFDPQKIRLRCSNLVPKVNRFVGRIINDHRATRQSADAPNDFVDVLLSLSGSEKLSESDMIAVLWEMIFRGTDTVAVLIEWIMARMVLHPDVQSEIQGEVDRIVGRERAVTESDVAEMVYLPAVIKEVLRLHPPGPLLSWARLAITDTVVGGYHVPAGTTAMVNMWAITRDPQVWEDPLEFNPRRFLGVPAQDHFSVLGSDMRLAPFGSGRRACPGKNLGLTTVTFWVAALLQEFVFEAAADVDLSEVLRLSCEMVNPLTVKLRSRRNSVIIN >itb13g03530.t1 pep chromosome:ASM357664v1:13:3414181:3414780:1 gene:itb13g03530 transcript:itb13g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLKIAFGLRYRNQSDGDHSDDGYYGYPPSACLEVDGDNDDGDYDYAPAASLEGDDDDDGYDYAPAASLEGDDDDDSYDYAPAA >itb10g14920.t1 pep chromosome:ASM357664v1:10:21237092:21239090:-1 gene:itb10g14920 transcript:itb10g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSGRVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDEKNVHLMELEGAKERLKLCKADLLDFQSLCDAIDGCDGVFHTASPVTDDPQMVESAVIGTKNVITAAAGAGIRRVVFTSSIGAVHMDPNREPDKVVDETCWSDLDFCKNTQNWYCYGKTVAEQIAWEVAKEKGIDLVVLNPMLVLGPLLQPTVNASVVHILKYLTGSVKTYANAVQGYVHVRDVALAHILLYETPNASGRYICADSILHRSQVVEILAKFFPEYPIPTKCKDEAKPKVIPYKFTNQKIKNLGLEFKSVKECLYETVKSLQENGHLPIPTQDENENPIHI >itb01g33120.t1 pep chromosome:ASM357664v1:1:36364056:36366033:1 gene:itb01g33120 transcript:itb01g33120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPHTSDDGDGIHGSNEEEKKKTKTKVEEKESGRTLASAGPMPTESVLLDENLLYEVLKHVDGRTLAWAACVSKLWKRTAQDERLWELMCLKDGSRRNQQQQQLRNVVLALGGFRRLYSLHLWPLVKPSSSSSSSSSSTPACTWPCLPPPPKPSSAKFAAGKTRWGNDEMNLSLSLLSIRVGARPLLSLEHESAENAAMAKIMDELHVAGMKPEGQSSRGEGHSSTDSLITIGDIKNSGPSPGANH >itb07g07570.t1 pep chromosome:ASM357664v1:7:5758404:5765226:1 gene:itb07g07570 transcript:itb07g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFNPTCFFSFLLPLMAAFYFVHGEADLLSNCTKEFSCGAFGFLNFPFAKHTEPHCGLVAVKCDTTPPIVQLGTGGDWYPLLLVKSFGSDYTIAIGDPKLQRPFESRNYSNLNYTIHFQNSPSITFLNLDASILNNFFKCNDTQGGISNYERYNCTGVFTFYYKRRLPENPKCHAVNCTLYPSPIIIQQTNGGLTAQFVLSLGVSKTCQDCYQGGGQCTEDSENVFHCAQVSGALALLILLSFAIFVACRSKKGSKGYSRYKSSDPASDLEIGRSRLFGILVFSYSELEEATSKFDHSKELGDGAFGTVYYGILGDGKEVAVKRLHERNCKRMEQFANEISILTRLKHQNLVTLYGCSTRHSRELLFVYEYIPNGTIADHLHGKRAADRLLTWPIRMKIAVETAAALVYLHASDIIHRDVKTSNILLDNNFCVKVADFGLSRLFPPNVTHASTTPQGTPGYVDPDYHECYQLTYKSDVYNFGVVLIELVSSMPALDMRRHTDEIHLAKLAMSKIVKGAFDELIDSSLGYEKDTEINRMTTSVAELAFQCLQPDKDMRPTMEHVLESLKEIQGNELSNDDGRVNCEETNVAKEHYAFTALLSSSQTWKLSSKFLVCGFSLLKSWPEVQVNLFFHTSLVVTSLGNIQRSLPPLQSSSTVYVFLLFSSLLPLIEEEAVMALPYIPCFSFLFPFVAFYFAHGKHDSLSNCPKGFPCGNLGPLEFPFAHHTNPHCGLISVDCDAKPLATLQLETGGDWYQIASISWDDSTITLEDSKLQTLFRSRNCSISNYSVKFPNSPSITFDNLEGNKLQHKYIKCKPSQGDDICDYESINCVDGFSLYHKRQLVSENRKCDSANCTLYPTPIVVERTTDPLTTQFGLYFEVSPACCHCYNGGGQCTSDSKNEFQCAKVTTGKSKLRLILSTVLGGPALILVSLAIFVVWRRKKGSKGYSRNTSLDPTSDLERGQSRFFGILVFSYSELEEATNNFDPSKELGDGGFSTVYYGILGDGREVAVKRLHERTGKRMEQFANEISILTRLKHQNLVTLYGCSTMHNRELLLVYEYIPNGTLADHLHGKRAADQLLTWPIRMTIAVETAAALVYLHASDIIHRDVKSSNILLDNNFCVKVADFGLSRLFPANVTHYSTTPQGTPGYVDPDYHKRYQLTYKSDVYSFGVVLIELISSIPALDMRRHTDEIHLAKLAMSKFLTGAFDELIDSSLGREQDTEINRMTTSVAELAFQCLQPDKDMRPTMEHVFESLKEIQGNELSNDDKRVNGVETNVSKEEEVRRKAMRWTWDGPSLADGVILTHVAATKSSC >itb01g22430.t1 pep chromosome:ASM357664v1:1:28320335:28322402:-1 gene:itb01g22430 transcript:itb01g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKNSEAATLFSQKEVLGRQKLGAFLFSDPAILSDHHEENDDGSPRRRSSSGASSAPSPTRFLAAAGSPSNSSPSSPFFMSPWSQVGASPYVKSPWILQLPVQSPVCSDGESSLIGSIVREEGHVYSLAASGGLLYTGSDSRNIRVWDKFEEYSGFKSNSGLVKAIVVWGGKVFTGHQDGKIRVWKVSGLRKKSYTRLGSLPTTKDYIKSSMNPKAYVAVRRNRSVPWNKHFDAVSSMSVDLDQGLLYSGSWDKTVKVWRLRDSKCIESVKAHDDAVNSVAVGFDGFIFTGSADGMVKAWRRELVGNVTKHVLVETLLNQESAVTSLAVNAEAAAVYAGSSDGLVNFWEREKHFVEYGGALRGHKLAVLCLVGAGRLVVSGSADKSICVWRREEGGFHTCVSVLTGHTGPVKCLAVVEEEGDNDDEAADGRWRLYSGSLDKSVKVWRLSEHIPDAQEM >itb14g11460.t1 pep chromosome:ASM357664v1:14:13086759:13087139:1 gene:itb14g11460 transcript:itb14g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRERRALEGQRAAEEASAVAVTRYRESTAFPVDVRAYISEHVEESYGVLKSTRAGKRYVAMEAMHMADIGEYDMQHKIYTQLRCRDPSFDPEAWGLPLELMDPEPQTEPAASHGASGTSGARDP >itb07g11170.t1 pep chromosome:ASM357664v1:7:12584624:12587263:-1 gene:itb07g11170 transcript:itb07g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGQAAIQPDVLDDIISRLRNGRNARHVHLSEHEIRSLCTASRQIFLHQPNLLELEAPIKICGDIHGQYGDLLRLFGYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECGSINRIYGFYDECKSRFNVKLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLGNLDQIRKLPRPTDVPDSGLLSDLLWSDPSREVRGWGMNDRGVSYTFGPDKVAEFLMRHDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDEDLICSFQILRPNR >itb09g25950.t1 pep chromosome:ASM357664v1:9:26073271:26074910:-1 gene:itb09g25950 transcript:itb09g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIKDQAESQSSSNRKLKSPNDSFVIHDFHISQTIGNDDKEKKLIPTQFVKLLGKWLGEIATLRRPSGHEWRVHVRTERDGTFFSDGWETFYEDNDLDIGEVVFYTYLGDMHFDVKIFNKDGLEKVWDCGVIQNSNEESDHDKPSTTPGTHL >itb10g13870.t1 pep chromosome:ASM357664v1:10:20173402:20175309:1 gene:itb10g13870 transcript:itb10g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLIKHINKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEESLIVELHAVLGNKWSQIAARLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLSELENDQENAGKKNGIASQESGELSLMESEHNNLISPPEIAPDNNKLSAMPIDQFSAPPQQSTQEFFLNNSPKIPDLTGYLCFQQMNNNNNIGLSMNSNNSNLFFNSNNNNVVPGSVLPGPSISPNNNCSSFFEANNGGLAWDCGKAEKESEEIKWSDYLQTPFLLGNTIHNIQAPHHHHDLFNETKPETQFASPQPSLPATWLQNDQQPSLYNNTKHFQRLSAAFGQFS >itb11g02310.t1 pep chromosome:ASM357664v1:11:1170648:1173699:1 gene:itb11g02310 transcript:itb11g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVEAMAPLYLALFLGYASVRWWNMFKPDHCAAINRFNCFFILPFFNFRFISQVNPYTMNYRFLASDAAAKCAVAAVIAAGAAKVGFDWALTTFSLSTLNNTLVVGVPLLQAMYGGLGLDMVVQSAVIQAALWLTFLLLGLELRRTRLSETESTNGGDGNVGRSVKVGGDLELAAGGNGDAVITARVVVNVRNNKASFGRLIKVVSLKLAKNPNSYAWFFALVWALVSYRWHFKMPGIVDQSILVLAKAGSGVAMFNMGLFMALQEKIISCGTGLTIYGLVLRFVIGPATSALGAMLLRLHGDVFKITIVQAALPQAITAFVYANEYGLHANVISTAVIIGTIVSLPVLIGYYAALDLLH >itb08g05080.t2 pep chromosome:ASM357664v1:8:4140793:4142178:1 gene:itb08g05080 transcript:itb08g05080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEALCEAARNGDSDKVKSLIESGADVSYFDSDGLNPLMHAAKHGHAEVVKDLIDAGAPWNALSPSNHSAGDFAMEAGHQEAFDVLLNAALQAELILGTIARKGNANKISDDYLDDRVSFSEDKIMDAESKAVMMAWEKPLMEAHAKAVCSNGGHVLNVGFGMGLVDTAIHQYAPASHTIIEAHPEVYDRMKRQGWDKKDNVKIIFGRWQDVVSQLESYDGNPLLSLSPKNLPK >itb08g05080.t1 pep chromosome:ASM357664v1:8:4140738:4142838:1 gene:itb08g05080 transcript:itb08g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEEALCEAARNGDSDKVKSLIESGADVSYFDSDGLNPLMHAAKHGHAEVVKDLIDAGAPWNALSPSNHSAGDFAMEAGHQEAFDVLLNAALQAELILGTIARKGNANKISDDYLDDRVSFSEDKIMDAESKAVMMAWEKPLMEAHAKAVCSNGGHVLNVGFGMGLVDTAIHQYAPASHTIIEAHPEVYDRMKRQGWDKKDNVKIIFGRWQDVVSQLESYDGIFFDTYGEYYEDMREFHQYLPKLLKPGGIYSFFNGLCGGNPFFHVVYCQLVSLELGSMGYSTQLIPLPVKDCLSEEIWEGVKHKYWQLDTYYLPVCHSTNESD >itb01g29540.t1 pep chromosome:ASM357664v1:1:33773292:33777099:-1 gene:itb01g29540 transcript:itb01g29540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRSFPHNPLQPLFFSRKIPFTSCPLPLESPPQSATGALPDGPRVMCFSALEQLIRWGLVNSAQKLVQRIIKHSSSVHEAVSAVDFAVSRGVELDIKSYDFLIRKLATCGKARMAEAVYVDFILSRGVEPDHYLLNSMVLCYSKLGKLEEAKSQFDRLVAMEIMPCSAACSEIISEFCAQNRVLEGFNVFLEVCDAEFVLNLRCYNGLVDGLSSRGYIDEALCVYGLMCDKGVPPTVHLLKKLVFMLCRSERVEEAELLSLNMESFGFFLDKVMYTALINGYCLKRSMRMAMRLFYRMLKMGCQPDNYTYNTLMHGFLNLGMFEKIPVLHHHMEELGLKLNVVSYQIMISKCCKVCKVDCALALLNSMIQCNLAPTVYCYTPLLAALYKENRLAEVDQLYNQLFDHGLLPDEVLFFTVVKNHVEGHEINLAHNFVAEIARNGCGIDLSDICSTSSKSADDIMLETDLLLEEIFSRNSGLACVAFNIYMIALCYGGRLEAALLCMDKMSNLSLQPSLPAYNSMIRCLYQKGRGEDAKSLVKVMQDQGLVPSMLTFLIMANEQCKQGDLPSAIGILDKLEDSGMKPSVAIYDSIIGHLIREKRIPEALELFARMREAGTLPDETMFVTMINGLSKNGQAIAAHELFEKMLECGIRPGYRAYTALINGFVKKNMIAKGCLYLDRMLKEGFMPNAALYTALINQFLRKGEIGFVLQLVDLMERSQIEQDMITHVALVSGVCRNIRYISRKRHESQRQFEKAKYMLYHLLCQYTNFSKGKDLNFFFSSRKELKVFALKLINKVNETNFLPNLYLHNGVIAGYCWANNMQGAYHHLDMMIRKGLLPNHVTFTILIDGHIQNGKIDLAVNLFNKMNELGCVPDNIVYNTMIRGFCKAGRLLDALSVSNTMLKKGLSPSKASYENLLQCFCANKLTVHALKILEDMLAHEYIPCGFNLNCAISTLDSPVDLEGFYLMRGSSLKLKIVKLLQGDVETTKWVRLQVNGSEVGSNAKLPANSMEGADTLDDDTFSQNSNIQSHLNLALLGVEEDSVLVSSIEQHISLEDYLRGRWSRSSSLD >itb12g20510.t1 pep chromosome:ASM357664v1:12:23002097:23007865:1 gene:itb12g20510 transcript:itb12g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSNALTGHIPTSIENLRNLTIFYLDENQFSGNIPQEIGNLSQLVYLSLLGNKLYGSIPKELGKLASLQGLWLYSNNFSGQIPQEIGLLKSLVELDLSSNALTGQIPLELGNLSMLVNLSLLQNQLYGSIPKEIGKLRSLQSLRLDSNNLSGQIPTTIGNLKRLKWLSLKHNNLSGHIPREIWLLISLVEMDFRSNALTGHIPTSIENLRNLTIFYLDENQFFGNIPQEIGSLSKLVYLSLLGNKLYGLIPMELGKLTALQGLWLYSNNLSGQIPQQIGLLRSLVELDLSSNALKCQIPREIGNLQNLKVLSLSNNNLSGQIPHEIGLLKYLVHLDLASNALFGQIPPEIGNLSMLVNLNLQINQLYGSIPKELGKLKSLQGLRLHLNNLVGQIPFDFNNLTNLRSFQIGNNHLTGSLPENICFGPSLENVSIAYNEFSGKVPKSLKNCTSLYRVRLDRNEIYGDVSKDFGIYPNLNYIDLSYNNLHGQLSSNWALCPRLTALKMASNRISGNIPHDLGNASQLEYLDLSSNQLVGGIPGSLGKLSKLYVLNLDNNKLTGNIPLEVGKLSWLSELNLASNMFVDSIPPQIGRCQRLITLNLSKNMLVGRIPLDMLSLKSLENLSLSHNMLSDQIPPQVGGLTNLQTMDLSHNNLSGSIPSSIAQCVALVSIDISYNQLEGPIPNTKAFLQAPYSALSNNKGLCGNHSDLKPCFRHSQSDSTNRNLAVIILVALGSLFLLMVVIVIFVIFGRPTRSRGEEILEQRDFSNDLFTIWSFDGKMTYENIIEVTENFDSKYCIGVGGHGSVFRAELPSGQIVAVKKFHTLDPQDDGRRDLRSFSNEIKTLTNLRHRNIVKLYGYCAHSKHSFLIYEYLQGGSLAQILCDDEKASHFGWLERINVVKAVSKALSYMHHDCSSPIVHRDISSNNILFDSEHEAHVSDFGTARFLSSDSTSWSTIAGTMGYTAPEFAYTVEINCKCDVYSFGVVTLEMIMGKHPGDLITCLSSSSISTMDGMLFSDLLDPRLPTQKRYNAKQLVLVAKIAVSCINPNPHCRPSMEQVSMLLSKERDFPKFSPEITLSQLFGLEFQTS >itb12g02120.t1 pep chromosome:ASM357664v1:12:1418861:1424145:-1 gene:itb12g02120 transcript:itb12g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRRLTKPSAAAAADEPFKSHKQRHIHDSSPKASDALPLPLYLTNVLFFALFFSVMYFLLVRWREKIRNSTPLHVVTLSELAALVLLVASAIYLLGFFGIGFVQSFIYRANQDVWEVEDGSHVEQYILEEDRRLGPCASVRPPPVRKNVPVVPQHPAEGATALPEKPAEIFSPAASGDDEEIIKSIVEGKIPSYALENKLGDCKRAAAIRREALQRITGKSLAGLPLEGFNYESILGQCCEMPVGYVQIPVGIAGPLLLDGREYSVPMATTEGCLVASTNRGCKAIYASGGAASMVLRDGMTRAPVVRFGTSKRAAELKFFVEDPSNFDTLAAVFNKSSRFARLQSIQCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVIDFLQTEYPDMDVLGITGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVASLVELNVLKNLTGSAMAGALGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEPVNDGKDLHISVTMPSIEVGTIGGGTQLASQSACLNLLGVKGASMESPGSNARLLATVVAGAVLAGELSLMSAISAGQLVSSHMKYNRSSKDITKLSS >itb03g16170.t1 pep chromosome:ASM357664v1:3:15232330:15233045:-1 gene:itb03g16170 transcript:itb03g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSSCGACKFLRRRCSNECVFAPYFFYDQAASHFAAVHKVFGASNVSKLLLHLPVDSRSEAATTISYEAMARMQDPIYGCVAQIFALEQEVASLMEEIENIEKLMAYCACEGPEQQCMVNDELLFFSEYNNMSINMNHNVTVPFLEDSYANSTPYSQMNMQNPPINGWEDEIFFPFSDSDIPDIFNETIDPNIYLQYQLVDNGNIYH >itb13g12290.t1 pep chromosome:ASM357664v1:13:18445563:18451579:-1 gene:itb13g12290 transcript:itb13g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.3 [Source:Projected from Arabidopsis thaliana (AT1G32450) UniProtKB/Swiss-Prot;Acc:Q9LQL2] MACLEVSKKASGMNGDDREGERTKDGSVDMHGRPAIRDRTGKWFAGMIILLNQGLATLAFFGVGVNLVLFLTRVMGEKNADAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQVIYVIGLMLLSVTSQFYLLKPKGCGDKETQCGSHSSWVIGMFYVSIYLVALGNGGYQPNIATFGADQFDDENSREKHSKVAFFSYFYLALNLGSLFSNTILDYFEDEGLWALGFWASTASAFAALVLFLAGTTRYRHFKPNGNPLSRFCQVVVAASKKWKVQIPSEEEEFYEGDDENENSRKMLHTEGFRFLDRASVITSKEIERKKQSPWGLCPISQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGDAMETKVANFRIPAASMSSFDICSVAVCIFLYRRLLDPLVGRIKRSTKGEPEANNETNGGITQLERMGVGLIIAVMAMLAAGMVECYRLKYAIKECSNCEGSSSLSILWQIPQYALIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVSIVMKFSAKDRMPGWIPGNLNRGHLDRFYFLLAGLTLMDLVAYIMCAKWYKSARLGDKFEEDDEEKGSEVA >itb10g05210.t3 pep chromosome:ASM357664v1:10:5281816:5286470:1 gene:itb10g05210 transcript:itb10g05210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MVALGLSSSPHCVLIRPNPPQPQAPPSTSSSNSSNTHCLTRRKAFAFTASVVSSYILDLYQTSSPNSSAAALQVDDELQQEEDRLVQLFQEASPSVVYIKDIELAKGSKDTAVIELADDENAKVEGTGSGFIWDKFGHIVTNYHVVAKLATDQSGRQRCKVFLADAKGNSIAKEGKIIGVDPANDLAVLKVDVEGIELKPVLLGSSRGLRVGQSCFAIGNPYGFENTLTTGVT >itb10g05210.t1 pep chromosome:ASM357664v1:10:5281816:5286470:1 gene:itb10g05210 transcript:itb10g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MVALGLSSSPHCVLIRPNPPQPQAPPSTSSSNSSNTHCLTRRKAFAFTASVVSSYILDLYQTSSPNSSAAALQVDDELQQEEDRLVQLFQEASPSVVYIKDIELAKGSKDTAVIELADDENAKVEGTGSGFIWDKFGHIVTNYHVVAKLATDQSGRQRCKVFLADAKGNSIAKEGKIIGVDPANDLAVLKVDVEGIELKPVLLGSSRGLRVGQSCFAIGNPYGFENTLTTGVT >itb10g05210.t2 pep chromosome:ASM357664v1:10:5281816:5286470:1 gene:itb10g05210 transcript:itb10g05210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MVALGLSSSPHCVLIRPNPPQPQAPPSTSSSNSSNTHCLTRRKAFAFTASVVSSYILDLYQTSSPNSSAAALQVDDELQQEEDRLVQLFQEASPSVVYIKDIELAKGSKDTAVIELADDENAKVEGTGSGFIWDKFGHIVTNYHVVAKLATDQSGRQRCKVFLADAKGNSIAKEGKIIGVDPANDLAVLKVDVEGIELKPVLLGSSRGLRVGQSCFAIGNPYGFENTLTTGVISGLGREIPSPSGGAIRGAIQTDAAINAGNSGGPLIDSDGHVVGVNTATFTRKGSGMSSGVNFAIPVDTVVRTIPYLIVYGTAYKDRY >itb04g04440.t1 pep chromosome:ASM357664v1:4:2748983:2752244:1 gene:itb04g04440 transcript:itb04g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAGEAAQPGKEPQTAAQCEECKLQPWKYKCPGCFRRTCSLPCVKAHKERSGCTGKKPFNDVVPISQFDDNLLLSDYNMLEDVKRVAESARRMRYKLCGYSQFRLPFPLKSLRSAANSRRTKLLFLSGGMSKREKNRTFYNHRKKYISWTIEWKFHSTDVVLMDHGVHENTKLCTLIEKHLEPGPWKHPLKQFCEVPMDSLKLFIRKYHKGQKAPYRQLDINAPLRQQLSNLVILEYPVVHVFLPSHNIEFEVMKESIPRQVKPKEFVNNYSPSHKGVTFKEEEIEDGDSSDPRVSDLIHHANIELEEKGTEEQHLSESVDGIRGDQGATPVLDMCSNAEESRDMDLAFLDSMAFEFDPKLIDSYAAELFAEANPEGNLDFGGSLGDEKYLSGGNWADFSDTLPAEEELEEGEIAS >itb08g12990.t2 pep chromosome:ASM357664v1:8:13557580:13560439:1 gene:itb08g12990 transcript:itb08g12990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAKHVVGLDSQVQHVVQLIHREPNDGVCMIGIHGMGGIGKTTLAKAVYNRLFGYFDSSCFLEIRSGISEIENLQEELLSKILKREIKVGSKDEGIVLIKQWLQAKKCLIVLDNLEYINQFNALCGQRDWFGVGSRIILTTTEAPVLKSLKGEECYEAKVLNQKESLQLFSLHAFGEPSLPKEDYIELSDDLVAYCGGLPLALEVLGADLFDKSKEEWISAFEKLKRIPHNGIQDRLKIRYDRLLDDHTKSLFLDLVCFPYEVPIDTAISMFEAMGYSANIEIQHLVDKCLINYYGSQISMHSLIREMGKEIIRLESPDKPGERSRLWCLNDIHDVLVGHKGTTGVEVIVPNTAMKNMRYHTKAFKNMKKLRWLQIDHIHLYGGFNYLSKDLKILQWNHCPLEYIPSDFHFEKLVSLDMRGSNIKKFRASLKYFRCLKSLDFSFSKRLKETPSFGGAQNLEIVSFRACSSLVKVDSSIGELERLIRLDFRDCPKLKKLPNSLCQLRSLQILDVYNCTKLKELPKELGNLIAPLHI >itb08g12990.t1 pep chromosome:ASM357664v1:8:13556165:13560439:1 gene:itb08g12990 transcript:itb08g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAKHVVGLDSQVQHVVQLIHREPNDGVCMIGIHGMGGIGKTTLAKAVYNRLFGYFDSSCFLEIRSGISEIENLQEELLSKILKREIKVGSKDEGIVLIKQWLQAKKCLIVLDNLEYINQFNALCGQRDWFGVGSRIILTTTEAPVLKSLKGEECYEAKVLNQKESLQLFSLHAFGEPSLPKEDYIELSDDLVAYCGGLPLALEVLGADLFDKSKEEWISAFEKLKRIPHNGIQDRLKIRYDRLLDDHTKSLFLDLVCFPYEVPIDTAISMFEAMGYSANIEIQHLVDKCLINYYGSQISMHSLIREMGKEIIRLESPDKPGERSRLWCLNDIHDVLVGHKGTTGVEVIVPNTAMKNMRYHTKAFKNMKKLRWLQIDHIHLYGGFNYLSKDLKILQWNHCPLEYIPSDFHFEKLVSLDMRGSNIKKFRASLKYFRCLKSLDFSFSKRLKETPSFGGAQNLEIVSFRACSSLVKVDSSIGELERLIRLDFRDCPKLKKLPNSLCQLRSLQILDVYNCTKLKELPKELGNLIAPLHI >itb08g12990.t3 pep chromosome:ASM357664v1:8:13556156:13560439:1 gene:itb08g12990 transcript:itb08g12990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAINLESSAWEYDVFLSFRGETRKSFTNDLYCALCRAGVRTFRDDDELRKGEDLSSEFKRAIRSSRIAVIVLSQDYASSRWCLDELLQILDCKEKRKQTVFPVFYGVEASQVQNQSGNYGVALAKHERRFGASKVQTWRDALTKVGNLSGLDLRDAAINGNESKFINTIIEKVQLVVGRRVPMFVAKHVVGLDSQVQHVVQLIHREPNDGVCMIGIHGMGGIGKTTLAKAVYNRLFGYFDSSCFLEIRSGISEIENLQEELLSKILKREIKVGSKDEGIVLIKQWLQAKKCLIVLDNLEYINQFNALCGQRDWFGVGSRIILTTTEAPVLKSLKGEECYEAKVLNQKESLQLFSLHAFGEPSLPKEDYIELSDDLVAYCGGLPLALEVLGADLFDKSKEEWISAFEKLKRIPHNGIQDRLKIRYDRLLDDHTKSLFLDLVCFPYEVPIDTAISMFEAMGYSANIEIQHLVDKCLINYYGSQISMHSLIREMGKEIIRLESPDKPGERSRLWCLNDIHDVLVGHKGTTGVEVIVPNTAMKNMRYHTKAFKNMKKLRWLQIDHIHLYGGFNYLSKDLKILQWNHCPLEYIPSDFHFEKLVSLDMRGSNIKKFRASLKYFRCLKSLDFSFSKRLKETPSFGGAQNLEIVSFRACSSLVKVDSSIGELERLIRLDFRDCPKLKKLPNSLCQLRSLQILDVYNCTKLKELPKELGNLIAPLHI >itb02g16520.t1 pep chromosome:ASM357664v1:2:12422369:12425212:1 gene:itb02g16520 transcript:itb02g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTQSADSSPVFVQQATVIAVVTAAASIVVIVKGRGCALSSDPSSLFAGPRVHLKMHLSFSLLQAGSRFSPKVAQRLVAALPNRIYL >itb04g30410.t1 pep chromosome:ASM357664v1:4:33530656:33531484:-1 gene:itb04g30410 transcript:itb04g30410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLALISLCIFAIFVGFSLQGNALSDCNGPCTTLNDCSGELICIDGKCNDDPDVGTNICGGGSTPTPGDCEPVGSMTCNGETKPTYQCSPPVTSSTAATLTLNDFSEGGDGGAPSECDGQFHDNGERVVALSTGWCGKTIRITASNGRSTTATVVDECDSMHGCDEEHAGQLPCDNNIVDGSAAVWDALGLDQDLGRVPVTWAMA >itb01g29420.t1 pep chromosome:ASM357664v1:1:33653088:33662192:-1 gene:itb01g29420 transcript:itb01g29420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGAPNTLGNSQSVPPSLLRSNSAMLGGQGGAMPSQGGFPSMASPRTQFGNMNMLGNGPNVTSLLHQSFGNGGPNPGNTQRGLIDNGAESDPLSSVGNGMGFNSPPSSFVSVSMSTNSNSAAQVQGQQFTNTSGNQMLTDQQTQQLDPQSFQHNQQLQQFSTPDNSQTQQQQFHSMRSGLGGVASVKLEPQVTNDQIPQQLQASQNLATVKLEPQQIENMRGLASQVSTQPPQHLQALRNLATMKLEPQQLQNMRGLTPVKIEPQHSDPSLFIQQHQHQHQQQQQQQQLLQMSRPSPQAAAMAQLLHQQRLMQFQQQQQQQHQLLKAMPQQRNPLQPQYQPPNMALRSPAKPYYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTNGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPCEYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRVVFSPDLKIVSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQSATQNASSNVSVSELQNNCNLFVTSARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTNASSGIHSQGQHSEDWQQQQQQQQSMGQNSNHDSSVQAAQMQPMSNNVTSNVNSSLSSAPATSSTSNIAGLLHQNSMNSRQQNPVNGVSSPYAGTAVQMSSPSSSSSMAQPQTNLSSFQSPTIPLSNNPPQTSHGGLSSGSHINSAGSPNISMPALSGDMDANDSQSSVQKIINEMVMSSQLGGGGMIGAGTIGNDMKNGNAMLATNNNSVLNGSNYLVGSGAVNANTGIGGVGFRNMGNGRSGLGNNPVTMNGRVGMSMARDQSVNQQQQQDLGNQLLNGLGAANGFNNLPFDWKTSP >itb05g03080.t2 pep chromosome:ASM357664v1:5:2468521:2471083:-1 gene:itb05g03080 transcript:itb05g03080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEPEFLLPPEFFTADDILAGYAGTFEKTAVKTTKLDPDLCFPAEFPYDLCSSPAASTGTESDEEEFLAELTRRLTRSSLDETRKSTSPAQNHFESWLLCGSPQSTLARVGSWSGMSAGSGSPNGSSQISSPTTPLAAKSDAWDLILQAAAGQVARLKMRAGDELPGTRTFAPLPPHAAVQNARLQKPVGAQMVKQQQGCGGAMWDNGCYIEPPPLFQARASRTAVLGGAVPFVENGRYGRPLVGGGGAGHAAALRSLHFQSGRPAMPFGGSAAACCGSGAFGMKKERSGTGVFLPRKYGNNNKPIDSGNRTGCCNPTAKLVHGLHKNNIEDINGAVQPRLNGVFVSDYEMLIARRNAAVLAQQRMNFRQERHEVCLPQEWTY >itb05g03080.t1 pep chromosome:ASM357664v1:5:2468622:2471083:-1 gene:itb05g03080 transcript:itb05g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEPEFLLPPEFFTADDILAGYAGTFEKTAVKTTKLDPDLCFPAEFPYDLCSSPAASTGTESDEEEFLAELTRRLTRSSLDETRKSTSPAQNHFESWLLCGSPQSTLARVGSWSGMSAGSGSPNGSSQISSPTTPLAAKSDAWDLILQAAAGQVARLKMRAGDELPGTRTFAPLPPHAAVQNARKPVGAQMVKQQQGCGGAMWDNGCYIEPPPLFQARASRTAVLGGAVPFVENGRYGRPLVGGGGAGHAAALRSLHFQSGRPAMPFGGSAAACCGSGAFGMKKERSGTGVFLPRKYGNNNKPIDSGNRTGCCNPTAKLVHGLHKNNIEDINGAVQPRLNGVFVSDYEMLIARRNAAVLAQQRMNFRQERHEVCLPQEWTY >itb14g03620.t1 pep chromosome:ASM357664v1:14:3240925:3242395:-1 gene:itb14g03620 transcript:itb14g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQHCDGVNSDTSCLIPGISRDNSISCLIRCSRSAYGMAASVNRSFRSLIRSGELYKLRRMNGIIEHWVYLSCNMLEWEAFDPNLRRWMRLPTMNSNECFVFSDKESLAVGTELLVFGKDYMAQLIYRYSLLTNSWSTGMQMNEPRCLFGSACQGEIAIVAGGCDLQGKILSSAELYNSETGEWKLLPSLNKARKMCSGVFMDGKFYVIGGIGGPETKLLTSGEEYNLKTETLTEIPNMCPPRTVVNDQLYAVDYAEMVVKKYDKDNRVWFTIGRLPERANSMNGWGLAFRACGDKLIVIGGPRASGPGFIEVNSWVPSEGPPEWHLLGRRQSGSFVCNCAVMGC >itb02g19220.t1 pep chromosome:ASM357664v1:2:16212588:16220348:1 gene:itb02g19220 transcript:itb02g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSQGGQNLCPLNQELSRELRKLKKGSSNQLKEFEPVEINMETGESSNSGQMSPGGSSSRASPSQGAHTEAQPNTSQDPVAPDEVPEVKEINEVATEEIIEEASNPVITIVGKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKAKLKKICTELGINQWPHRKLQYMDRLLSMFKKDSDQGEKVIELEHESEQMLTNPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb01g27640.t1 pep chromosome:ASM357664v1:1:32347094:32352862:1 gene:itb01g27640 transcript:itb01g27640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKFDKQNKATTYEDGIPVDVTGFTRSSVPGILTKEDPDETEYSSSFADSTSGNDNFSGPSDAEVESQFCNESGLGSSFDGFGSLFPLRKKKLTGHWRNFISPLMWRCKWIEVKLKEFKSQVTKYDREMAAYDREKHQVLDQMLLQDSGSKSIPYIHQSEKKKAMKRRRKRQRAEGMEISAYMSNHKLFSYFENKKSDPDGNSAGDELSNVVLVEQNTSTHDELVSDDFSILKHSDDLPEQILRKIELLHTRVHRLNAQLDTVMAKNALKFSSSENLSNLGFYEAQDSSVRNPTFSACNGETVSVGCLYTSTQHIGVNDFGDSIMHDGAISSFGVANPPDISESSTGFLSFVDATQHQGQVGDSGEKIVDNILAQNEAAEVEEGCLLKMNHDQSLVKNLGTEKNIADESTNPPAVPVPQPNALLKAGTSHEQSTLRACLTSEIHFPSTASKRKRGERKAGGGGWNWQKPGEPDSQ >itb04g26260.t1 pep chromosome:ASM357664v1:4:30617873:30622625:1 gene:itb04g26260 transcript:itb04g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIFRQRILLEHLKPTDSSSHGSSLSSSMCAAGDSAAYHRTAAFGDDVVIVAAYRTAICKSKRGGFKDTLPDDLLASVLKAVIEKTNLNPAEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPETVPLRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLECMTLDNISRVNNTNPRVHSFAQARDCLVPMGITSENVAERYGVTRQEQDLAAVVSHQRAAAATASGKFKDEIIPVSTKIVEPKTGIEKPVVISVDDGIRPNTNIGDLAKLKPAFRKDGSTTAGNASQISDGAGAVLLMKRSVAVQKGFPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLDIDDVDLFEINEAFASQFVYCRKKLNLDPEKVNVNGGAIGLGHPLGATGARCVGTLLHEMKRRGKDCRFGVVSMCIGSGMGAAAVFERGDCVDDLCNARAVTITNFLSKDAI >itb14g19650.t1 pep chromosome:ASM357664v1:14:22259201:22262341:1 gene:itb14g19650 transcript:itb14g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGWNHAALIFLVVSCFFAWETIASTTTEAEALLKWKSGLSICCGLSFPLKSWSLSNLTNLCNWRGIVCNAGGAVSQINLPFALLYGTLHHLNFTSFPSLTGFNITGNSFNGSIPPAIGDLSNLVFLDLSNNRFQGKIPPQIGNLTELQHLDLSLNFISGVIPHQIGNLTELQHLDLSRNFFIRGVIPHQIGNLRELQYLDLNSNNMSGVIPHHIGNLQKVWLLDFGYNNNLLLDASDWSSKVKSFPMLRHFSFVSGTLISFPDFILCSRNLTYLDLSGTNLNGSIPESLFTNLKKLEYLDLSNNEFSGPLSPYISNLSNLKDLKLSQNQFQGEIPYSIGQLKDLQVLDISYNLLNSTIPSSLSTLTKLSTLELSSNFISGNISPHLISSPINWTKLTNLGLADNSFNGSILSEIGNDGLKGKPLSQGCWNGMTPQLPAPKELHQEDDSSFLSGCTVKVVAMGYSCGILFGLFMGSLMLLTGKPEFIAKFVEEEAYKLAMKIKRRRSKTRRRRN >itb03g16520.t1 pep chromosome:ASM357664v1:3:15436261:15438481:1 gene:itb03g16520 transcript:itb03g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLKQWIGHLRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWDNVNPIGVRNCYEEGKRVAKTLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLIVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMHELAETVKEMINPKVEVIQVENIPDDPRQRKPDITKAEELLGWEPKVKLREGIPLMEDNFRTTLGIPRS >itb03g25970.t1 pep chromosome:ASM357664v1:3:25373549:25375441:1 gene:itb03g25970 transcript:itb03g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MSSTEIEFTTMEPNERVLVTRERRKFHACVLGTGGGIVTSRGRSFNKGRVQNDGNYGKIKEQLNAESVTVNDLYGDGRHVSIDVVSSAFEGQSAVNRQRMVYKAIWEELQQTVHAVDQMTTKTPTEATGNK >itb10g06270.t1 pep chromosome:ASM357664v1:10:6966335:6972886:1 gene:itb10g06270 transcript:itb10g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVPLAYQNNTSTAPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVVICWVTWAYKMSFGDKILPFLAKPGPALAQKQAALPATTHYLSDGVTVETPPATPFYPMASMVWFQCVFAAITVVILAGSVLGRMNIKAWMMFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGIGGITAAYWVGPRSKADRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYSANVDSSLAVLNTNICAATSLLVWTCLDVIYFEKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGILSGSVPWVTMIIIHKRCPLLQKIDDTLAVFHTHAVAGFLGGILTGVFAEPVLCNLFLPVTNTRGSIYGGSGGIQFLKQIVGAGFIIGWNVVVTSIICRVIGLIVPLRMSEEQLKIGDDAVHGEEAYALWGDGETYDSTIHGTSPEGDGLHRNLSSGATQVV >itb05g06330.t1 pep chromosome:ASM357664v1:5:6721542:6723137:1 gene:itb05g06330 transcript:itb05g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVGTRPLVLSQEEDDLLSRSTKKSKTLGNRDGDVQMEAGSDNTLHEIPVARGSLNSKGKRPSVQINEKQLPKEQPRHLGNTEGQPGGRNQRGNIASTSRDKPANTQGTPEITTRGAQAASQSEHTVVRGSNMNGTNSTISVINHGNDDLPEGLSALVSEEHHSDPPDASEVSMEEDPSPAHTHDPGCSSLEDDMEV >itb13g17750.t1 pep chromosome:ASM357664v1:13:24681517:24684987:1 gene:itb13g17750 transcript:itb13g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPRTACIFFSRIRTISSMATSTTVSCAAPKAARLRGVVFDMDGTLTVPVIDFTAMYRAVLGEEEYRAVKSKNPSGIDILHHIESWSPDKQRRAYEIIADFEKQGLDRLQIMPGASELCGFLESKNIKRGLITRNVKAAVDLFHQRFGMTFAPALSREFRPYKPDPAPLLHICTTWDVQPNEVMMIGDSLKDDVACGKRAGAFTCLLDETGRYNSPEYANVEFQPDYKVSSLLEVCSLLDTKFDLTP >itb01g23820.t1 pep chromosome:ASM357664v1:1:29709551:29710674:-1 gene:itb01g23820 transcript:itb01g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQKLTSSSSLFILLVLLCASNLFPVNSQEFARKLSKKSMGLKTEKLSHLRFFFHDIVSGKNPTAVKIAEAAATNKSATGFGMMAMMDDPLTAGPDVSSKLVGRAQGIYASAAIDEIGLLMVLNFAFVEGKYNGSSLSVVGRNTVVSAVRELPVVGGSGLFRFARGYAQAKTHTYDPKTGDAVVEYNVYVFHY >itb01g02010.t1 pep chromosome:ASM357664v1:1:1248688:1250899:-1 gene:itb01g02010 transcript:itb01g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNCLRSNWGQSYCQKHAFDGTPTCSACSRFKIRNVEFFSLNDGRMLCPDCHSTAVIDPESFKSIVSEVHNFYAALNMHIRKDIPVNLVDLFEMNILAPHQTGMPMGLTTYKIDAIPFVNWSLQQGMNIEVRHHCTVTGMTVLYGLPRLLTGRILAHEFMHTWMRLQGYNNAIGTWVEEGMCEVMAYVWLDWYALFGKEMYGEDEKASFLRNLKEHEMKRMERNPCRIYGDGFREAKSAVKIYGFEHTMKCIAYTGNFPC >itb05g10670.t1 pep chromosome:ASM357664v1:5:16501513:16505790:1 gene:itb05g10670 transcript:itb05g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLEILPPPAPNLKIRYQLENLWLRDVRCREVMIESWSKSQGHTLMDKLCRAKIMDNGEVRICSSFDATQLFFNHPCKEFRELRNSYNTKLTPLRCIQSSSRLCGANMDFSQGSNDLVVTQIEEIYSKKNLGEYWVEGRIVDVESVVDWYYVSCKDNYCKRKLIEKGGMMVCDGCKSSWHEGVVRYKVIVRVADDTGDAPMLIWDRECSDLVGVSASNLLAKYPEGNKGIPPELGCLRGLSMLFRILMKKDQAESYYSAFTVLSICRDENALAQHCSNLLGNSERDGFSGDGHCVSGDFFSSDEEDCVAIEEVSQGSGLEKVTGLEDFEEGFGVDGADVTLKRSLLKDFHRCGSSKKSKGIAVNEEK >itb07g10920.t1 pep chromosome:ASM357664v1:7:12279096:12280473:1 gene:itb07g10920 transcript:itb07g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRLIKTTVADTNASRDLISSLPVEVKHRILECLPIRYAARTALPSTHWNHVWLQYGRLAFDWEFSSSARYSVNKGHDDKGRTLVDIINSILLSCAGPVKKFTLVIPNYYPELRESDLNRWCLFLSRNGVEELNICLFHCGEAAFMLPFCILSCPTIKQLIVHAPFIDLPLVVYFPMSLYYLLWLLNLGALLMELHPVLVFLTLRG >itb14g17400.t1 pep chromosome:ASM357664v1:14:20650376:20657173:1 gene:itb14g17400 transcript:itb14g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESLRPVVHGRISLNWHYNPKYFYRQSVLSYNRQVFWCGNSIRFVVNTTSFRAQLRICKNFHGSDLPGPVPRRLRYSGVRVQAKSSCEQQDTDSTETKEAKTTSESKTAPDSGSGPSRKEKQGKESWLWSKRGKWRLEPIVQAREMGVLLLQLGIVMFVMRLLRPGLPLPGTEPRAPATFVSVPYSEFLSKINSNQVQKVEVDGVHVMFKLKTEAMSGSVESEATGSVTSRLQDSEFALRSVNPTRRIVYTTTRPSDIKTPYEKMLENNVEFGSPDKRSGSLLNFALIALFHIAVLAGLLHRFPLSFSPHSAAGRLRDRKPGSSNGAKVSEQGETVTFSDVAGVDEAKEELEEIVEFLRNPDKYTRLGARPPRGVLLVGQPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGRFRVASNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRTGRECILKVHVSKKELPLAQDVDLGDIASMTTGFTGADLANLVNEAALLAGRQTKLLVERSDFIQAVERSIAGIEKKTAKLQGSEKAVVARHEVGHAVVGTAVANLLPGQARVKKLSILPRSGGALGFTYIPPTNEDRYLLFVDELRGRLVTLLGGRAAEEVMYSGRVSTGALDDIRRATDMAYKAVAEYGLNETIGPVSLATLSGGGMDDAGSMPWGRDQGHLVDLVQREVTSLLQSALVVALAVVRANPTVLEGLGARLEEKEKVEGEELQEWLKLVVAPAELTYFIRGKQGSILPLQPTSG >itb11g09590.t1 pep chromosome:ASM357664v1:11:6498924:6502564:1 gene:itb11g09590 transcript:itb11g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQHSLLGAISWICGGDLDWGSLCIHRALVDALSLFLAFVFFLFLLVGSVMRIDIRGSRRSWITLLISLCCGLTSVGYLGASLWELTTKNTSSSDLSWLPYFIKGVIWISFTLSLLVQGSKFVKVVISCWWVVFFLMISSLNIEVLITTYSIQILDVISWIITFLLLFCAIRNLHLILSQPAPEKSLSEPLLVDQSDESQTLISHASFFSQLSFSWMNHLLRLGKSKTLLLEDIPCLGMEDEAKLNYEKLSREWDILQTDNRCNGSANLILRAIARLYWKEMVLGGFYLLLRSAAVVVAPLLLYAFVAYSNLESKELSKGVFLVGCLIVDKVVDSLSNRHFFFYTKRVGMRIRSALVAVYQKQLKLSNQGRQRHSTGEVVNYIAIDAYRMGESVMWFHLGWISGLQILLSICVLFWVVGFGALLGLVPLTICGLLNVPFAKVLQKCQFEFMIAQDKRLRCMSEILNRCVLLNCAAFDAATIFTVLVALRGMSEPVRVIPEALSFVIQIKVSLDRINSFLLEDEIKQVDVIQSPTGGSENSVCVVNGCFSWESESAKPVLRNLNVQVRRGQKIAVCGPVGAGKSSLLYAILGEVPKVSGTVNVFGSNAYVSQASWIQSGTIRDNILFGKSMDKNRYDEAIRVSALDKDINSFDYGDLTEIGERGLNMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTASTLFNDCVMSALAMKTVILVTHQVEFLSAVDHILVMEGGEITQSGSYNELLMTGMAFEQLLNAHKKAVNVFDPMMINNDRIPDKEYGSGIEEVSKSFVNEENSQISLKEVTQLTEDEEMETTDAMWKIFMDYVSDSKGTVYLILNLVTQTGFVVFQAAASYWLALSIQSPKFSHLMIIEVYNLVSLLSTFFVYLRSLFSALLGLKASKAFFSGFINSVFKAPMLFFDSTPVGRILARAS >itb05g11370.t1 pep chromosome:ASM357664v1:5:17387919:17391035:1 gene:itb05g11370 transcript:itb05g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRAHRVKLSQLNDATSLPSQRHRNFAAVTASQILDREPQSTPENRIYNHLLKLCLLQCKQIQSRRIFDEMPQRVEFASRKCKIVHGQSLKHGFASEGFLGNAIVDLYAKCGDMGSAESAFSRLERKDTLAWNSLASMYSKQGLLENVVDVFRCMQSSGSSPNQFTYAIVLSACARLMNVELGKQVHCSVLKRRYEFDSFTEGSLIDMYAKCRCLADARRIFDGVVRPDTVSWTMMISGYVQVGLPEEAMQLFEEMQRLGCVLDQVAFVTIINACTKLGRLDVAQGIFSQMPDPNVVAWNVMISGYAKAGKEVVAINFFHDMVRAGIKPTRSTLGSVLSAMACAANLAYGLQVHALAVKQGLASNVYVGSSLINMYAKCSKMEVAKEVFNGLVEKNDVLWNALLAGYAQNGNAREVLNLFMDMMFFGFQPDEYTYTSILSACACLEDLYLGQQLHSIIIKNKFASNLFVGNALVDMYAKSGSLGDAMQQFDLIQGRDHISWNAIIVGFVQDGEEETGFNLFQKMMLEGIAPDEVSLASVLSACANKRALNKGKQVHCLLIKVGLETGCFAGSSLVDMYCKCSNIEAATEVFSCMPERSVISINALIAGYAQIDTEHAINLLKHMLAEGLKPSEVTFASVLDVCGDHHNLHLGQQIHCFILKLGISYNDEFLAISLVSMYVKSLMQTDAKLLFSEFPDPKSTVLWTAMISSNIMVECTEEGLVWYQEMRKCNVMPDQATFASVLKACSTLASLLDGRKIHSLIFHTGFDRDELTSSSLVDMYAKCGDVQNSAQVFNEMISKKDIVSWNSLIVGFAKNGFAEDALKVFNEMKQTDVKPDEITFLGLLTACSHVGLVAEGREIFDLMTSHYGVRPRVDHCACMIDLLGRWGFLKEAEVFIDRLDFEPDAMIWATYLGACRIHGDGIRGQRAAEKLIELEAQNSSSYILLSNIYATSGDWDRVNSLRKEMKEKGVWKPPGCSWISVEQQTNVFVAGDKFHPRAGELHSLLKDLTALMKDKGYGGTQSYLHDEHIEYELCRL >itb08g02270.t1 pep chromosome:ASM357664v1:8:1818089:1821155:1 gene:itb08g02270 transcript:itb08g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSISSGVSIPLSMLSNSRDHSCRNFQLQKDSIPIHRNQRMASGNRGFVISCSAGAGDKAASVGFDVPFPNDYYELLKQAKEATELAMKDNRQLMEIEFPTAGLESVPGDGEGGIEMTGSMTLIREFCDLFVIPEKATRTRIFFPEANEVIFARQSAFEGASFKLDYLTKPSLFEDFGFTTKVKMTDRVKPEDELFLVAYPYFNVNEMLVVEELYKEAVVNTSRKLIVFNGELDRIRSGYYPKFFYPKLATLSTTLLPQMETVYYIHNFKGRNGGVLFRCYPGPWKVLRKTRNSYVCLHQQESMPSLKEVALDILPST >itb06g06300.t1 pep chromosome:ASM357664v1:6:8958721:8959173:1 gene:itb06g06300 transcript:itb06g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGIEFCKIQDIIHMSFAQKMKKKNQSKNEKPVEQNPVAANVKKPLPIISSATPKKQKPGSEIDEIFAGKKRKKPEKVEDVVGEPKKKGMQNKRKDSKVCKENGSTTSGLRCRKRTGDGLAIYSEKELGIDRVDAGGTPLCPFDCDCCF >itb07g14040.t1 pep chromosome:ASM357664v1:7:16395345:16398949:1 gene:itb07g14040 transcript:itb07g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPARYLVAVVISGVLMSAAAAALYGEGTLSFALERAFPTSHGVEMSQLRERDRVRHGRILQSSGVVDFPVEGTYNPFLVGLYFTRVQLGSPPKEFYVQIDTGSDVLWVSCTSCNGCPTSSGLQIPLEFFDPSNSPTASVISCSDQRCALGEQSSDSGCSTQNQCSYTFQYGDGSGTSGYYVADFIHFTTVVGISMNSNTSSQVVFGCSTSQTGDLTKPDRAVDGIFGFGQQSMSVISQLSSQGATPNVFSHCLKGSNGGGGILVLGQIVEPSIVYTPLVPSQMHYNLNLQSISINGQPLPISSQVFATSSNRGTIIDSGTTLAYLAEEAYDPFVNAITQAVSASTRPLVAKGTQCYLTTSSVSDIFPTVSLNFAGGASMMLKPEDYLLLQNSIGGAAVWCIGFQKLQGQGITILGDLVLKDKIVVYDLANQRIGWTNYDCSTSVNVSTTTRSGRSEVVDAGQINNNAAGLRVNPYEVMGNIVVALLLHVLAFGCYSVLL >itb10g01530.t1 pep chromosome:ASM357664v1:10:1156816:1157424:1 gene:itb10g01530 transcript:itb10g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSPQFFIWKENNPYYRSNLYQDGFTKSMYFSRSGQTTAYSFVAENDEVYFRYVCDNPLIQMRFVLTPDGYIEPMLRKTKSDQWQIPWKVPRNPCELYARCGSFGSCEKIGLPSQSICRCLEGFKPKSQREWDNGNYSAGCERKREVRCHSKDDKFKKLEKMKWPDFSVSLGNVQGVRGRWSFFGLSPCFRMRFSLFCR >itb15g11630.t1 pep chromosome:ASM357664v1:15:9429635:9431002:-1 gene:itb15g11630 transcript:itb15g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDVEIYGMLGFECNPDGSITRQRELPSTFEPTSAVDPSLVLAKDISINESKTTWARIILPRKVVDSQPISKLPLIVYFHGGGFVVCKVDTPNFQDFYRHIATEVSAVVVSVEYRRAPEHRLPAAYDDCMEALYWIKNSDDEWLTKYVDFSRCFLMGTSAGGNIAYRVGLSASSICTELKPLEIRGLILHHPYFGGKERTGSELKSVDDKLFPLRLNDLMWETALPAGADRDHVYCNAMVEIRSNPRMFDQVKALGLKILVSGCGGDPLVDRQVEVLNALRDAGVEVVGRIIEGGHHGLEIADPLKAKELCIAIKKFVAS >itb09g26370.t1 pep chromosome:ASM357664v1:9:26920861:26922149:1 gene:itb09g26370 transcript:itb09g26370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGAACLLLVVAMVGGAAAQSATNVRATYNIYNPQNINWDYYRASVFCATWDGNKPLEWRRKYGWTAFCGPVGPRGRDSCGRCLKVTNTATSAQVTVRIVDQCANGGLDLDVNVFNQLDTDGQGYARGHLIVNYDFVNCNDGENLFLVY >itb14g09100.t2 pep chromosome:ASM357664v1:14:9409568:9417289:1 gene:itb14g09100 transcript:itb14g09100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTVVVRCGHCSNLLSVDLGALLHPFPLQDFQQQEQHQFEDAMGSVPSNYNCNMFSVFESHDQLLEQSILTPMRTLEKRQRAPSAYNRFIKEEIHRIKASNPKISHKEAFSAAAKNWAHFPHTHFGLNLVGNRQANVDDAVGDQDATQ >itb14g09100.t1 pep chromosome:ASM357664v1:14:9409536:9417363:1 gene:itb14g09100 transcript:itb14g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDITTASADRVCYVNCSFCNTILAVSVPCISSMLNTVVVRCGHCSNLLSVDLGALLHPFPLQDFQQQEQHQFEDAMGSVPSNYNCNMFSVFESHDQLLEQSILTPMRTLEKRQRAPSAYNRFIKEEIHRIKASNPKISHKEAFSAAAKNWAHFPHTHFGLNLVGNRQANVDDAVGDQDATQ >itb14g09100.t3 pep chromosome:ASM357664v1:14:9409536:9417363:1 gene:itb14g09100 transcript:itb14g09100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDITTASADRVCYVNCSFCNTILAVSVPCISSMLNTVVVRCGHCSNLLSVDLGALLHPFPLQDFQQQEQHQFEDAMGSVPSNYNCNMFSVFESHDQLLEQSILTPMRTLEKRQRAPSAYNRFINGHIFLTLILD >itb04g21860.t1 pep chromosome:ASM357664v1:4:26966427:26966832:-1 gene:itb04g21860 transcript:itb04g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCLVPVIKEKQHGQLEALASESNKKDASLLSKIVIFCNDLHSPMDPHLWISPASSSSLHDLDSVELPSSPSKLDLTANR >itb06g06170.t1 pep chromosome:ASM357664v1:6:8868232:8869043:-1 gene:itb06g06170 transcript:itb06g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYRPEDKAAKKERLLKRAQAESEGKTPELKKPIVVKYGLKHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSFVHKKTASVLCLTSVKNEDKLEFSKILEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAKERVLQKEAAQRMS >itb05g24760.t1 pep chromosome:ASM357664v1:5:29405115:29408394:-1 gene:itb05g24760 transcript:itb05g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHNIISVLEALEAQKHMGIYFVIPFLFLFLLSRVRRKPYPPGPKGWPLIGNMNLMDHYSHRGLAKLAEQYGGLLHLKMGSLHMVVVSGPDEARQVLQTQDNIFSNRPANVAISYLTYDRADMAFAHYGPFWRQMRKLCVVKLFSRRRAESWASVRDEVDNAVRAVAMNCGSALNIGELIFGLTQNITYRAAFGSSPSSGQGQDNFINILQEFSKLFGAFNVSDFFPFLSCFDLQGLNPRLAKARAALDGFIDKIIDDHIRRRNKIDGGRISGDGAETDMVDELLAFYSDEMKVNESSDDLQNAIKLTRDNIKAIIMDVMFGGTETVASAIEWTMAELMKTPENLRKLQQELTDIVGLDRKVEEGDLEKLTFLKCCLKETLRLHPPIPLLLHETAEATEVNGYYIPARSRAIINAWAIARDKNSWEDPDSFKPERFLKEGMPDFKGTNFEFIPFGSGRRSCPGMQLGLYALELAVAHLFHCFTWELPDGMKPSELDMDDVFGLTAPKATRLVVIPTPRLNCQLH >itb06g01620.t1 pep chromosome:ASM357664v1:6:2877316:2878226:-1 gene:itb06g01620 transcript:itb06g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGNTTTTPVVVVVVCIMVGVVTLTPHSAEAQMSCNSVFSSLYPCLNFVMRGDVMSPSCCSGVKSLYGAAKSTADRRSVCSCLKGVASNANPAQVGRAASLPSKCGLSVPYKINPQLDCSKVN >itb10g00600.t2 pep chromosome:ASM357664v1:10:387483:390952:-1 gene:itb10g00600 transcript:itb10g00600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSGGRRAAISLQRARKLSSLSSVAITNLSDQNRNSIKPNPQQPSKTGSLAASGECSQGSQLKNPTHYLQSPIEEARAHKSTQKPIDILIISQILPRKDWYLLLNHEFKAKRVHLNPQSIISVLQNQDNPLLPLRFYVWVSSINIGFTKNQSIRGVLANALYRKGPLLLSAELIQDIRNSGYRIDEDLLCVLIGSWGRLGLAKYSAQVLEQVSFLGLSPSTRLYNAVLDASVKSNLLDLAYLKFQQMQVDNCIPDRYTYNILIHGVSKAGVMDEALRLVKQMEGLGYSPNVFTYTILIDGFCNANRVNEAFQIIQTMKSRNVAPNEATLRALVGGVFRCLPPSEAFEMLSGWLDKEPGVPKVACDAMLSCLCDNSLPMEAAEFLRKSVKRGYFPDSATFSLTVNCLIKGLGIDVVCQMLDFFTNRGLKVAFDTYLLLIGALYKSGRIEEGNRYLEQMFREGLVSNTFSYNMIIDCFCKNKMMGRATEVFTEMSLSCIHPNLVSFNTLIDGHCKLGELTQARKLLLMLLERGFKPDVYTFSSIIDGLCRAHAIEDAFDCFTEMAEWDVCPNATTYNILIRALCVTGDVGRSMELLKEMQAVGISADLFSFNALIESFCRMNKIEKAKRLLRNMLILDLKPDNYTYSAFIKALCRLGRFDQAKDLLFSMEANGCTPDTQTCNSFTDLLVQSSRLEEAQNIFIEFSKRGIPLKPIKVT >itb10g00600.t1 pep chromosome:ASM357664v1:10:387483:390952:-1 gene:itb10g00600 transcript:itb10g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSGGRRAAISLQRARKLSSLSSVAITNLSDQNRNSIKPNPQQPSKTGSLAASGECSQGSQLKNPTHYLQSPIEEARAHKSTQKPIDILIISQILPRKDWYLLLNHEFKAKRVHLNPQSIISVLQNQDNPLLPLRFYVWVSSINIGFTKNQSIRGVLANALYRKGPLLLSAELIQDIRNSGYRIDEDLLCVLIGSWGRLGLAKYSAQVLEQVSFLGLSPSTRLYNAVLDASVKSNLLDLAYLKFQQMQVDNCIPDRYTYNILIHGVSKAGVMDEALRLVKQMEGLGYSPNVFTYTILIDGFCNANRVNEAFQIIQTMKSRNVAPNEATLRALVGGVFRCLPPSEAFEMLSGWLDKEPGVPKVACDAMLSCLCDNSLPMEAAEFLRKSVKRGYFPDSATFSLTVNCLIKGLGIDVVCQMLDFFTNRGLKVAFDTYLLLIGALYKSGRIEEGNRYLEQMFREGLVSNTFSYNMIIDCFCKNKMMGRATEVFTEMSLSCIHPNLVSFNTLIDGHCKLGELTQARKLLLMLLERGFKPDVYTFSSIIDGLCRAHAIEDAFDCFTEMAEWDVCPNATTYNILIRALCVTGDVGRSMELLKEMQAVGISADLFSFNALIESFCRMNKIEKAKRLLRNMLILDLKPDNYTYSAFIKALCRLGRFDQAKDLLFSMEANGCTPDTQTCNSFTDLLVQSSRLEEAQNIFIEFSKRGIPLKPIKVT >itb10g23620.t1 pep chromosome:ASM357664v1:10:27882935:27883670:1 gene:itb10g23620 transcript:itb10g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKISLFLACMLVMEMGLWCNAQNSQQDYLDAHNAARAAVGVQSITWDDQVAAYAQSYANQRSGDCSLIHSGNLQQYGYGENIAAGGDENSLTGKAAVDMWVDEQQYYDHATNSCNAPEGKSCGHYTQVVWRNSVKVGCARVQCSNGAGYFVTCNYSPPGNYIGQSPY >itb06g24700.t2 pep chromosome:ASM357664v1:6:26163302:26166387:-1 gene:itb06g24700 transcript:itb06g24700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLASMEPNLQSPAAKSPAPQLPPPSTPQSSQVLRQWRPAAQRNIKNQWLKLVSLWKDWLSSSSSARSHATSLVNSYLSQRYMDGMELGVLSDVPDIRKKACHKLSRQQVTNRSNLLSSYKNMVGIVTQMVNTSRSMRCYLRGTSSSPIIQFSSNQDNQNDTGDGGGVPVFTFLAIASFEKMAEELVQMLKSEMSLKRLLVMELCSISDKDNNSIVWSEELYPGEFDDLIICGLYSDEASELIFPSDASYKSDMPTNLSGHQEERNVLQVYLTTWLAEVKIDRLR >itb06g24700.t1 pep chromosome:ASM357664v1:6:26163007:26166500:-1 gene:itb06g24700 transcript:itb06g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLASMEPNLQSPAAKSPAPQLPPPSTPQSSQVLRQWRPAAQRNIKNQWLKLVSLWKDWLSSSSSARSHATSLVNSYLSQRYMDGMELGVLSDVPDIRKKACHKLSRQQVTNRSNLLSSYKNMVGIVTQMVNTSRSMRCYLRGTSSSPIIQFSSNQDNQNDTGDGGGVPVFTFLAIASFEKMAEELVQMLKSEMSLKRLLVMELCSISDKDNNSIVWSEELYPGEFDDLIICGLYSDEASELIFPSDASYKSDMPTNLSGHQEERNVLQVYLTTWLAEVKIDRLRIDEIFAIVGEEVHITLSS >itb06g24700.t3 pep chromosome:ASM357664v1:6:26163007:26165989:-1 gene:itb06g24700 transcript:itb06g24700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLASMEPNLQSPAAKSPAPQLPPPSTPQSSQVLRQWRPAAQRNIKNQWLKLVSLWKDWLSSSSSARSHATSLVNSYLSQRYMDGMELGVLSDVPDIRKKACHKLSRQQVTNRSNLLSSYKNMVGIVTQMVNTSRSMRCYLRGTSSSPIIQFSSNQDNQNDTGDGGGVPVFTFLAIASFEKMAEELVQMLKSEMSLKRLLVMELCSISDKDNNSIVWSEELYPGEFDDLIICGLYSDEASELIFPSDASYKSDMPTNLSGHQEERNVLQVYLTTWLAEVKIDRLRIDEIFAIVGEEVHITLSS >itb07g07720.t1 pep chromosome:ASM357664v1:7:5902614:5910372:-1 gene:itb07g07720 transcript:itb07g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTLALIINNPLNSAEFLLLKQTPPPLFNDSDYDSFVDSDLWDLPSAHLLPLTSPSDSKVVVTADDFDFSKFDLNSALVQVMGQLGFGEASEVEWKFHKCVEEPEFGPGLPAKMVYIKGTLGLKDEKLNELSKWMSIERCLDKLIDVKPGDDRIGLLVAVGLLNTSEQSGYCKIPQTLNFQEYPPGLKLVPMGSRTAKPFLTTNLIVLVPERNHDVCSGDNLAAHGEALIVDPGCKSAFYEELREIISALPRKLVVFVTHHHHDHVDGLSVVQKCNPDASLLAHENTMRRIGKGDWSLDYVSVSGSEEVCIGGQRLRIISAPGHTDGHLGLLHVNTNSLIVGDHCVGQGSAILDINSGGNMADYFQTTYKFLELSPHALIPMHGRVNMWPKHMLCGYLRNRRNRESTILKAIENGAKTLFDVVAYTYRDVNPSFWIHASSNVRLHVDHLAQQDKLPKDFSLRKFGKTCGLHFLLRWVPVYLSSALSIKPRMPRKRFLYGAAVAVAAASLAMFLSMREQHNHG >itb07g07720.t2 pep chromosome:ASM357664v1:7:5902863:5910372:-1 gene:itb07g07720 transcript:itb07g07720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTLALIINNPLNSAEFLLLKQTPPPLFNDSDYDSFVDSDLWDLPSAHLLPLTSPSDSKVVVTADDFDFSKFDLNSALVQVMGQLGFGEASEVEWKFHKCVEEPEFGPGLPAKMVYIKGTLGLKDEKLNELSKWMSIERCLDKLIDVKPGDDRIGLLVAVGLLNTSEQSGYCKIPQTLNFQEYPPGLKLVPMGSRTAKPFLTTNLIVLVPERNHDVCSGDNLAAHGEALIVDPGCKSAFYEELREIISALPRKLVVFVTHHHHDHVDGLSVVQKCNPDASLLAHENTMRRIGKGDWSLDYVSVSGSEEVCIGGQRLRIISAPGHTDGHLGLLHVNTNSLIVGDHCVGQGSAILDINSGGNMADYFQTTYKFLELSPHALIPMHGRVNMWPKHMLCGYLRNRRNRESTILKAIENGAKTLFDVVAYTYRDVNPSFWIHASSNVRLHVDHLAQQDKLPKGFSLENFNLSCDEFASQDFSLRKFGKTCGLHFLLRWVPVYLSSALSIKPRMPRKRFLYGAAVAVAAASLAMFLSMREQHNHG >itb04g10670.t3 pep chromosome:ASM357664v1:4:10210209:10212730:1 gene:itb04g10670 transcript:itb04g10670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPYTAIQFTVLHKFKTFASGSSKSDDHINLSPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKIYPNMRAAFVDILETRGIRGLYSGLSPTLVEIVPYAGLQFGTYDTFKRWAMAWNHRRSPNTSYSDDDISSFQLFICGLASGTCAKAVCHPLDVVKKRFQIGGLQRHPRYGARVEHHAYRNMYDALRRILIQEGWAGLYKGIVPSIVKAAPAGAVTFVAYEFTSDWLETILT >itb04g10670.t2 pep chromosome:ASM357664v1:4:10208553:10212730:1 gene:itb04g10670 transcript:itb04g10670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGELKRAFVHATAGAISGAISRTVTSPLDVIKIRFQVQLEPTVQWALLRKDLYQSSKYSGMVQAAKDIFREEGLPGFWRGNVPALLMVMPYTAIQFTVLHKFKTFASGSSKSDDHINLSPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKIYPNMRAAFVDILETRGIRGLYSGLSPTLVEIVPYAGLQFGTYDTFKRWAMAWNHRRSPNTSYSDDDISSFQLFICGLASGTCAKAVCHPLDVVKKRFQIGGLQRHPRYGARVEHHAYRNMYDALRRILIQEGWAGLYKGIVPSIVKAAPAGAVTFVAYEFTSDWLETILT >itb04g10670.t1 pep chromosome:ASM357664v1:4:10208553:10212730:1 gene:itb04g10670 transcript:itb04g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAAKDIFREEGLPGFWRGNVPALLMVMPYTAIQFTVLHKFKTFASGSSKSDDHINLSPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKIYPNMRAAFVDILETRGIRGLYSGLSPTLVEIVPYAGLQFGTYDTFKRWAMAWNHRRSPNTSYSDDDISSFQLFICGLASGTCAKAVCHPLDVVKKRFQIGGLQRHPRYGARVEHHAYRNMYDALRRILIQEGWAGLYKGIVPSIVKAAPAGAVTFVAYEFTSDWLETILT >itb11g11630.t1 pep chromosome:ASM357664v1:11:8531824:8535736:-1 gene:itb11g11630 transcript:itb11g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELLLGLNHSSAKPNPSFPLDWSVRQRRSKPVTARPKKAATRDSPTTPLSWSGATSVSCGGGAGSSGGGAVDGGPEESSCPNPPPPSSKASGKPRSKYWHANLQVLIDTIFFFVLQLNETKEKRTTKRSRRKKTLAELKEDEVVLLRERRLLQKELATLRANLDKQRATNENLKRMKLDLQSQPASKRDVSFTSDLISPAVQQKPATGLDEIGLGLPDLNLPVEAEGVHEVECGMS >itb06g11310.t1 pep chromosome:ASM357664v1:6:15890660:15894081:-1 gene:itb06g11310 transcript:itb06g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTSIALENLLEPRVRDSYKKPLDSNQIATTLSNRGEEEERVDGAENAKPQAPNHIYISPALYITPEPAPIPDTLSASISPSPYVANRKRRSGGGLASRKIDGFEVSGRKGGEQGEKKGDEVEYEESDLTFQCIGDVDAELAEDDLFGTTEVGGDRIEGLEARNEECLDPKCDVSIFGSASEARGLDCQSFASAQGDFFDASEEFSDGSVSSVPSFIIAMESELQTTKLQLLQEIDKRKAAEDDLNMMCSQWQRISNVLSEAGLTLPLPSAVIGGMQIEPAAIENLLQEVIVARFVAEAIGKGQSRAEAELATEAILESKNQEISRLRDRLLYYETANREMSERNQEIIDAARKQRQRRRTQQKWLWSCVGLSAIIGISVAAYSYLPQLSNNQLTSSAGDSTAVDTHLSS >itb13g20320.t1 pep chromosome:ASM357664v1:13:27140932:27143654:1 gene:itb13g20320 transcript:itb13g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFDNNFPASSYYYYYCSDQNLCGQHFDGLGHGYRGLMNQLEHQGFPQSYYNKSAGCLVGSDNNLADTVMAEDESRTESVNDEAGPSYSKDVLAATTAINRGEEEEEDDQKGWLQLSIGGGGGGSASAAASGRENNGREGLGLVELDLLPPGPRPVPVPPPQYPFSAAAGAGVEFRAPPPPHFALTNSVAAPGAAVGYISAAPSYFLQQQQQPGGSDMNWAIRPAMAIPAPGVSAPSSSASPFMYAPPLSGSRFAPRPGAFPLLYAGVGGVGAGGSSPSLEFRVIDPPRRPLSGIWFSLQASQNQAKEPFLPQISKSYLRIKDGSMTIRLVLKYLVNKLQLDNESEDAVASSINLGRFMYA >itb07g00350.t1 pep chromosome:ASM357664v1:7:251214:253516:-1 gene:itb07g00350 transcript:itb07g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMAAIRRARVSGLTSSLKVLTSLHFSLYSQRSVIPLPEISPKTLTLNPSPYLSFRALSTTFAAVPNKPFPLSQNQSHPDYKYSNAEGHDNNQMVKGPSPQNQGFAQHPNSGNVNQWINQNQGYVSSPQGGYRNQGYANQGYTQGGYQDQGYAHQSSPRGEYQNIGYSNQSFPQGGYQYQGNPNQSNMLPKRAPVVQEQWNHHQPQNVQPVGAYATNSLSQNQGNLVNDQSSGDNILSTADLLPLCQQGKVKDVIEFMEKGVVADMQCFRLLFESCGKSRKLEDAKVVHDYFLRSKCRSDLELNNKVIDMYSNCWSMMDARRVFDHMPERNIDTWHLMINGYALNGLGDDGLSLFEQMRTLGIQPNSQTFLAVFEACASADAIDEAFIHFESMKSDYGIAPQIEHYLGLLGVLAKCGHLTEAEEFIEKLPFEPTVAVWEALMNFARIHGDIDLEDRAEQLWRASQVPLEDNVPSKITPPPPKKQAVNMLEGKSRLAEFRSPTLYKDEEKMRAAMKEQAYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >itb03g01850.t1 pep chromosome:ASM357664v1:3:1045197:1045935:-1 gene:itb03g01850 transcript:itb03g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPIHCLCTKASLMENHESPPLENRASLLFEKPCRSISREPFRSIPEERCNSDSKKLYKSNSEESFKSNSEEPCKSNSGEPCKSNSGEPCKSNSGEPCKSNSGEPCKSDSVEPCKSDSEEPCKLCLRGFVIAFLNHCWDLRLRGFPSFFTRT >itb07g04820.t1 pep chromosome:ASM357664v1:7:3259489:3262381:-1 gene:itb07g04820 transcript:itb07g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MELKKHAFSGQFSPHAFLPRRKFSGCWRLSAAAAFFMLGVAVLNFSFNSSGYRNATVCCSPEENFSSPEHFSGEEKDGTFGVREGIWKKTQELNRPENGKNVNFTGFTEKKGGGIGRGKNGSFSLEGETVKSGGSENGDRSRGVGVEISKKGSSVTDYNCSVDFVSSPFLVRESSFKGVNGSFETLRLDLMDTTTSMYNDADIIIFNTGHWWTHEKTSRGEDYYQEGNRVHPRLEVLEAYKRALHTWARWVDKNINPNRTHVIFRGYSVTHFRGGPWNSGGQCHNETEPFLNETHLEKYPPKMEVLEHVLHDMRTPVAYMNISRLTDYRKDGHPSIYRVYSKSSLQAQDCSHWCLPGVPDTWNELLYASLLKSGRGSWQNSYAPNFAS >itb09g22910.t1 pep chromosome:ASM357664v1:9:21889232:21889561:1 gene:itb09g22910 transcript:itb09g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLLNDDHINGVGVSVRSKSSGAWIVKEPPQTQYIGIKEYEEIEFEIPTRIEQVMEVYAHFHGVQKILCVVEIHRNRDGEVRFFPSNRGWIAAASLPTHHLQLRLLE >itb04g23010.t2 pep chromosome:ASM357664v1:4:28220387:28229480:-1 gene:itb04g23010 transcript:itb04g23010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFNCFEEDDFNKTADGGGLHTVKSSAGKSGTQHSKDASTGGVQAVKVQPIAVPAIPIDDLREVTDNFETTSLIGEGSYGRVYYGDLKNGRAAAIKKLDASIQPEDEFLAQVSMVSRLKHENFVELLGYCVDGNQRVLAYEFASNGSLHDILHGRKGVKGAQPGPLLSWAQRVKIAVGAAKGLEYLHEKAELELVHRDIKSSNVLIFDDYVAKIADFDLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLNSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDARLGGEYPPKAVAKFAAVAALCVQYEADFRPNMGIVVKALQPLLNTRPGIGGEVGFIVLHSSPIADLPYLSRFFLHLWGAGDHCYPDMMINKQLLLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGLVAFFLIRVLKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLFISVAFIQMLKALMPVATFFMAVICGTDKLRCDVLVNMVLVSIGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPGMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIVFPESMITKLNIIGYGIALCGVVMYNYLKVKESRASQLPMASIMDRTAKDLKMEKKSSDLYVPDDVVNSSGTRNGSQDSITDEEAPLIPSNRISHLGRSQLGSHSA >itb04g23010.t1 pep chromosome:ASM357664v1:4:28220387:28229480:-1 gene:itb04g23010 transcript:itb04g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFNCFEEDDFNKTADGGGLHTVKSSAGKSGTQHSKDASTGGVQAVKVQPIAVPAIPIDDLREVTDNFETTSLIGEGSYGRVYYGDLKNGRAAAIKKLDASIQPEDEFLAQVSMVSRLKHENFVELLGYCVDGNQRVLAYEFASNGSLHDILHGRKGVKGAQPGPLLSWAQRVKIAVGAAKGLEYLHEKAELELVHRDIKSSNVLIFDDYVAKIADFDLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLNSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDARLGGEYPPKAVAKFAAVAALCVQYEADFRPNMGIVVKALQPLLNTRPGIGGEVGFIVLHSSPIADLPYLRFFLHLWGAGDHCYPDMMINKQLLLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGLVAFFLIRVLKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLFISVAFIQMLKALMPVATFFMAVICGTDKLRCDVLVNMVLVSIGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPGMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIVFPESMITKLNIIGYGIALCGVVMYNYLKVKESRASQLPMASIMDRTAKDLKMEKKSSDLYVPDDVVNSSGTRNGSQDSITDEEAPLIPSNRISHLGRSQLGSHSA >itb10g16050.t1 pep chromosome:ASM357664v1:10:22310031:22312484:1 gene:itb10g16050 transcript:itb10g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGKILRVADYAHYLVYTLLFFGAFYILIISTNPNNQSMKPLSLFQRQNPPCQPSPPPTTGKKNTLKIYRDKLEEALAGASTENKTVIIAVVNKAYVDGDKSMLDLFLDGFWVGEGTRSLVKHLLIVAVDQTSYERCTFFGLHCYKLGTDGVDFVGEKVYMSPDFIKMMWRRTQFLGDVLKLGYSFIFTDSDVLWLRNPFLRLSDLNETLDLQISTDMFNGNERSESNPINTGFYMVRSNKRTMALFNSWYAMKNSSNGKKEQDVLNQLISQGVLLELGLKVKFLNTQFFSGFCQVSRDVKSVVTVHANCCRTITAKLADLTAVIHDWHRFKSFSGDANQTAAFRWSPHDHCADSWKH >itb13g03740.t1 pep chromosome:ASM357664v1:13:3638771:3641802:1 gene:itb13g03740 transcript:itb13g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLNTSLSPFPPQIKPEKSPQLIQTHCKQSYGNGKNPQILTKSYFTHISSLCKDGQLQQAVDLLNRMEAQELRIGPEFYGELLQGCVYRRELLLGQQVHAKILKNGKFFAKNEFIETKLVIFYAKCDLFDVSSNLFSRVRLKNEFSWAAMIGLYSRVGLFEEALWGFIEMQENGISADNFVLPNVLKACGALNNADFGKCVHGQVWKLNFDGCVFVASSLIDMYGKCGVLDDARKVFDNMSKRNVVAWNSIIGSYMQNGFNEEAIQIFNEMRIEGFEPSRVTLSSILTTSANLRALKVGKQVHAIAIQNGLDLDNILGTSLINFYAKVGLASDAELVFSRMTEKDVVAWNLLIFCYVQSGEVEKALYLCREMRSAGFLYDCVTLSSLLSASTNLRDLNLGKAAHCFCIRNNFETDAVVASSIVDMYAKCKRITDARQVFDSTDDKDIVSWNTLLAAYAEMGFSGETLKLFYQMQLDGVQQNIISWNLVILGFLRNGQINEATDMFLQMKASGIHPNHITYTTFITGLSQIGFGNEAIMFFQQMLEAGLEPTSASIVGVLSACTNMASLLCGKAIHGYTVRQGIPLSLSMATSLVDMYSKCGNLNMARQIFDAISIKEIALYNAMISGYALHGRAAQALGLFEHLKQEGVEPDNITFTTVLASCCHTGLVNEGLNVFHEMVSVYNVKPSMQHYGCLATLLSRCGNVYEALHVVQKMPFDPDGHALGSLLAACRELGESELEEHIAKSLIKMFPDNSGNYVALSNAYATSGRWDEVSELRDLMKRKGLRKSPGCSWIQNGNELHAFVAGDRSHSQTPEIYAVLALLEMEMSAQ >itb03g01000.t1 pep chromosome:ASM357664v1:3:520165:521159:1 gene:itb03g01000 transcript:itb03g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPKVSPLVLYAFLFLSLKVAIGEIPSSSPFSFIKPLQGSKKGDEVKGLYNLKKYLKRFGYIDDLPYNSTSPEANYFSDQLESAVRTYQTNFNLSPTGVLDFDTVSLMMKPRCGVPDIINGTNWIRRRLVPHYSFIPGNPKWPDDKDVLSYAWAYDYRSADLKFHLFAGEHGDGQAFDGPGGIIAHSFEPTEGICHFDSEEEWAEVGVYPYGVDIQSVVTHEIGHLLGLGHSGVPTAVMFPAIGYGETKRLLDNDDIQGIRALYP >itb06g00570.t1 pep chromosome:ASM357664v1:6:1333059:1335875:-1 gene:itb06g00570 transcript:itb06g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWQAPVVIVNRERYRERERESQRVAVVTTTGVHTATATSDAWQHRWIIVVSGSSGKAGRWQWRPVTQRRRDTLGDGTSISLFAFVGRWWLTAVVATWRGEL >itb09g04690.t4 pep chromosome:ASM357664v1:9:2623644:2627733:-1 gene:itb09g04690 transcript:itb09g04690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIPEGEFLSRIGPTEFFKDLENYAGPDSVREWKKLLDAILPMSAAAMALPPLSIRGDLGILSTAAARYAPSLLKSFAQMGPQGALGATKLLRPFSEIIDSLGLKDPFIRNWLDLLAFLLAGVKTNGILSAEMVYMFSEWYKPGCTLEYPLHGSGAIVDALVRGLQKFGGRISLKSHVENIVVENGRATGVKLRSGQFVRAKKAVVSNASMWDTLNLLPKDVVPKTYQDRIKNTPQCESFMHLHLGFNAEGIRDDLGIHHIVVNDWETGVDADQNVVLISVPSVLSPNLAPPGKHILHAYTPGTEPFEIWEGLDRKSSEYKNLKAERSEVMWKAVERALGPGFSRDKCEVKLVGTPLTHQRFLRRNRGTYGPAILAGKDTFPGHSTPIPQLLCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAVGI >itb09g04690.t2 pep chromosome:ASM357664v1:9:2623644:2628417:-1 gene:itb09g04690 transcript:itb09g04690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVATFLPKFPSPVSKFRSSGNNRRRRSTLSPRNSATGVGEASSSSVSSNGYPSTSNQPFPGKPEADVVVIGSGIAGLCCAGLLARYQQDVLVLESHDVPGGAAHSFDIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCTKYDSWMVYIPEGEFLSRIGPTEFFKDLENYAGPDSVREWKKLLDAILPMSAAAMALPPLSIRGDLGILSTAAARYAPSLLKSFAQMGPQGALGATKLLRPFSEIIDSLGLKDPFIRNWLDLLAFLLAGVKTNGILSAEMVYMFSEWYKPGCTLEYPLHGSGAIVDALVRGLQKFGGRISLKSHVENIVVENGRATGVKLRSGQFVRAKKAVVSNASMWDTLNLLPKDVVPKTYQDRIKNTPQCESFMHLHLGFNAEGIRDDLGIHHIVVNDWETGVDADQNVVLISVPSVLSPNLAPPGKHILHAYTPGTEPFEIWEGLDRKSSEYKNLKAERSEVMWKAVERALGPGFSRDKCEVKLVGTPLTHQRFLRRNRGTYGPAILAGKDTFPGHSTPIPQLLCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAVGI >itb09g04690.t3 pep chromosome:ASM357664v1:9:2623644:2628417:-1 gene:itb09g04690 transcript:itb09g04690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVATFLPKFPSPVSKFRSSGNNRRRRSTLSPRNSATGVGEASSSSVSSNGYPSTSNQPFPGKPEADVVVIGSGIAGLCCAGLLARYQQDVLVLESHDVPGGAAHSFDIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCTKYDSWMVYIPEGEFLSRIGPTEFFKDAILPMSAAAMALPPLSIRGDLGILSTAAARYAPSLLKSFAQMGPQGALGATKLLRPFSEIIDSLGLKDPFIRNWLDLLAFLLAGVKTNGILSAEMVYMFSEWYKPGCTLEYPLHGSGAIVDALVRGLQKFGGRISLKSHVENIVVENGRATGVKLRSGQFVRAKKAVVSNASMWDTLNLLPKDVVPKTYQDRIKNTPQCESFMHLHLGFNAEGIRDDLGIHHIVVNDWETGVDADQNVVLISVPSVLSPNLAPPGKHILHAYTPGTEPFEIWEGLDRKSSEYKNLKAERSEVMWKAVERALGPGFSRDKCEVKLVGTPLTHQRFLRRNRGTYGPAILAGKDTFPGHSTPIPQLLCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAVGI >itb09g04690.t1 pep chromosome:ASM357664v1:9:2623644:2628417:-1 gene:itb09g04690 transcript:itb09g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIPEGEFLSRIGPTEFFKDLENYAGPDSVREWKKLLDAILPMSAAAMALPPLSIRGDLGILSTAAARYAPSLLKSFAQMGPQGALGATKLLRPFSEIIDSLGLKDPFIRNWLDLLAFLLAGVKTNGILSAEMVYMFSEWYKPGCTLEYPLHGSGAIVDALVRGLQKFGGRISLKSHVENIVVENGRATGVKLRSGQFVRAKKAVVSNASMWDTLNLLPKDVVPKTYQDRIKNTPQCESFMHLHLGFNAEGIRDDLGIHHIVVNDWETGVDADQNVVLISVPSVLSPNLAPPGKHILHAYTPGTEPFEIWEGLDRKSSEYKNLKAERSEVMWKAVERALGPGFSRDKCEVKLVGTPLTHQRFLRRNRGTYGPAILAGKDTFPGHSTPIPQLLCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAVGI >itb01g33970.t3 pep chromosome:ASM357664v1:1:36855077:36857359:1 gene:itb01g33970 transcript:itb01g33970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRKEAKSWTPSSFISPRFISPIGTPMKRALINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAYYAAFHNLNAGVGFQALVLPVAFSFLGWSWGIISLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELSQAAFGEKLGVWLAVFPTVYLSAGTATALILIGGETMKLFFQIVCGSVCSSNPLTSIEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTMAWVLSVSKPRPPSISYDPISLPSFTASAFTVLNALGIVAFAFRGHNLALEIQVHTI >itb01g33970.t2 pep chromosome:ASM357664v1:1:36855077:36858268:1 gene:itb01g33970 transcript:itb01g33970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRKEAKSWTPSSFISPRFISPIGTPMKRALINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAYYAAFHNLNAGVGFQALVLPVAFSFLGWSWGIISLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELSQAAFGEKLGVWLAVFPTVYLSAGTATALILIGGETMKLFFQIVCGSVCSSNPLTSIEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTMAWVLSVSKPRPPSISYDPISLPSFTASAFTVLNALGIVAFAFRGHNLALEIQSTMPSTFKHPAHVPMWKGAKVAYSLIAMSLFPIAIGGFWAYGNLVSFLIISHSMCLCCSCISYPYLIPFRCLQREFSVPYSNSTFTISREAFLQ >itb01g33970.t1 pep chromosome:ASM357664v1:1:36855077:36858268:1 gene:itb01g33970 transcript:itb01g33970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRKEAKSWTPSSFISPRFISPIGTPMKRALINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAYYAAFHNLNAGVGFQALVLPVAFSFLGWSWGIISLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELSQAAFGEKLGVWLAVFPTVYLSAGTATALILIGGETMKLFFQIVCGSVCSSNPLTSIEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTMAWVLSVSKPRPPSISYDPISLPSFTASAFTVLNALGIVAFAFRGHNLALEIQSTMPSTFKHPAHVPMWKGAKVAYSLIAMSLFPIAIGGFWAYGNLMPPEGILSALFEFHIHDIPRGLLAMTFLLVVFNCLSSFQIYSMPVFDSFEASYTSRTNRPCSVWVRSGFRVFYGFFSFFIGVALPFLSSLTGLLGGLTLPVTFAYPCFMWVLIKKPTKYSFNWYFNWILGWLGVALSVTLSIGGIWSMVNSGLKLKFFNPPG >itb04g04280.t1 pep chromosome:ASM357664v1:4:2643695:2644413:1 gene:itb04g04280 transcript:itb04g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEDMLVKVGLFLLVQALVYFILSKSSAVFSDAPPARSFSFKRARTLSIRRMMAALADLPAGGEPYYPIPPKDFASSSSTSFKDSTDELERNS >itb02g17510.t1 pep chromosome:ASM357664v1:2:13578630:13582842:1 gene:itb02g17510 transcript:itb02g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVSCSGGSSSSISRFLSHAVFLPFLLSLLCFSSTDAYDPLDPNGNITIKWDVISWTPDGYLAMVSINNFQRYRHIQAPGWRLGWTWAKKEIIWDMVGGQATEQGDCSKFKMNIPHCCKKDPTIVDLMPGTPYNKQVANCCRGGVLNSWVQDTETSVSAFQISVGQAGTTNKTVRLPKNFTLKAPGPGYTCGPAKTVTPTKFVTPDKRRTTQAMMTWKVICTYSQFLAQKTPTCCVSLSSFYNDTIINCPTCTCGCQNNINQPGTCIRPGSSNVPTALSTSSSGRNDLTPLVQCTNHMCPIRIHWHVKINYKAYWRVKVTITNFNYRMNYSQWNLVVQHPNFDNLTQIFSFNYQPITPYESINDTAMLWGIKFYNDLLMQAGPLGNVQTEMLFQKDKSKFTFDKGWAFPRRIYFNGDNCVMPQPDSYPYLPNASPRTAVLYLLHPIIAIVIASLVLLFTGA >itb09g30740.t1 pep chromosome:ASM357664v1:9:31337205:31340156:1 gene:itb09g30740 transcript:itb09g30740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYHGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEYQDEEEA >itb01g28360.t1 pep chromosome:ASM357664v1:1:32830224:32832183:-1 gene:itb01g28360 transcript:itb01g28360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRHLLRNPISTQALKLHHLRSLSATAETQTASEKNLHQQSHAFLPPSEYLNSWKPPRDPKEAEAQLALLRRDYAKKVKEVRKDYIKEMEVLRLEKLRNAVAKKEALRIANEERKAAKAAEKKAKAEERAIFEEEFRRTLLKERQEKLEYWRMRETKIKEKKTQGNELLRRKSSLWIDEKDLEVKILDASCNPTPL >itb06g11780.t1 pep chromosome:ASM357664v1:6:16331454:16335478:-1 gene:itb06g11780 transcript:itb06g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHGMPSSINEQLIEKNNDVHNKGCLDRKEIVEEVKKQVWLAGPLVGVTLLQFCLQLISVMFVGHLGELPLSGASMATSFASVTGFSLLLGMSSALDTLCGQSYGAKQYHMLGIQMQRAMIVLLLVSIPLSVIWLNTAPILKALGQESQIADEAGLYARFMTPSLFAYGLLQCLVRFLQTQNNVFPMMLTSGITTVLHIVTCWLLVFKSGLGSRGAALATSVSYWLNVLLLALYVKFSGSCSKTWTGFSREALKNMMTFILLAIPSAVMVCLEMWSFEMMVLLSGLLPNPELQTSVLSICLNTAATVWTIPFGLGAAVSTRVSNELGAGHPRTAQLAICVVLAITAGLGILIGSVMILIRDVLGYAYSNNIEVVEYVSSMMPILALSNFLDGLQAVLSGTVRGCGLQKIGAIINLGSYYIVGIPSAVLMAFILHIEGRGLWLGIICALIVQVASLLTITIRTNWEQEAKKASERVYHSAIPIEIVS >itb15g12340.t1 pep chromosome:ASM357664v1:15:10256317:10265652:-1 gene:itb15g12340 transcript:itb15g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSNAKGNISGVRVIVAGDPKTGKSSLILTAATESFPASVPPVLPPTRLPDDLFPDRVPVTIIDTSSSPENRGKLFEEMMRADAIVLTYACDKPATLDRLSTYWLPELRRLEVKAPVIVVGCMLDKRDDQQAINLEQVMLPIMQQFREIETCIECSAYKLIQVAEVFYYGQKTVLHPTAPLFDQEAQTLRPRCVRALKRIFILCDHDRDGALSDAELNNFQVKCFNAPLQPSEIMGVKKVVQEKLPEGVNIYGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLSEDQLPPPIKRQPDQSVELTDEAVEFLKKVFLTFDLDGDAVLRSNDLDDLFSVAPENPWNEVPYKDAAEKDVLRGLSLDGFLSLWNLMALLDPMAAVENLIYIGYAGDPSSTIRVTRRRRLDRKKQQSDRNVYQCFVFGPKEAGKSAILNSFVGRSFSEEYESNADDRYAVNIVRLPGGGKKTLVLHEIPEDGVKKLLSSKDALAACDVAIFVHDSSREASWKRASELLVDVASYGEATGYEIPSLIVAAKDDLDPYLTEIQDSTRVSQDMGIEAPIPISTKLGDLSNLFQRIVNAAERPHLSIPETEAGKSRKQYHRLINRSLMFVSVGAAVAVVGLAAYRVYAARKNSSS >itb15g12340.t2 pep chromosome:ASM357664v1:15:10256334:10265581:-1 gene:itb15g12340 transcript:itb15g12340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSNAKGNISGVRVIVAGDPKTGKSSLILTAATESFPASVPPVLPPTRLPDDLFPDRVPVTIIDTSSSPENRGKLFEEMMRADAIVLTYACDKPATLDRLSTYWLPELRRLEVKAPVIVVGCMLDKRDDQQAINLEQVMLPIMQQFREIETCIECSAYKLIQVAEVFYYGQKTVLHPTAPLFDQEAQTLRPRCVRALKRIFILCDHDRDGALSDAELNNFQVKCFNAPLQPSEIMGVKKVVQEKLPEGVNIYGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLSEDQLPPPIKRQPDQDAVLRSNDLDDLFSVAPENPWNEVPYKDAAEKDVLRGLSLDGFLSLWNLMALLDPMAAVENLIYIGYAGDPSSTIRVTRRRRLDRKKQQSDRNVYQCFVFGPKEAGKSAILNSFVGRSFSEEYESNADDRYAVNIVRLPGGGKKTLVLHEIPEDGVKKLLSSKDALAACDVAIFVHDSSREASWKRASELLVDVASYGEATGYEIPSLIVAAKDDLDPYLTEIQDSTRVSQDMGIEAPIPISTKLGDLSNLFQRIVNAAERPHLSIPETEAGKSRKQYHRLINRSLMFVSVGAAVAVVGLAAYRVYAARKNSSS >itb04g22550.t2 pep chromosome:ASM357664v1:4:27815386:27817692:-1 gene:itb04g22550 transcript:itb04g22550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCMKVKVKESVSNGKSDGGGGVVKRKITSRVVDNSKEANAAANASSPCRINRDLGTNRSNGNHENKKTTTLSPEKEDRYYTTRGSVGLDEHSKLFMDSREEKKKVVWPKLLVTLSSKEKEEDFMAMKGCKLPQRPKKRAKLIQRTILLVTPGTWLSDLCQERYEVREKKTSKKKPRGLKAMGSMESDSE >itb04g22550.t1 pep chromosome:ASM357664v1:4:27815313:27817735:-1 gene:itb04g22550 transcript:itb04g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCMKVKVKESVSNGKSDGGGGVVKRKITSRVVDNSKEANAAANASSPCRINRDLGTNRSNGNHENKKTTTLSPEKEDRYYTTRGSVGLDEHSKLFMDSREEKKKVVWPKLLVTLSSKEKEEDFMAMKGCKLPQRPKKRAKLIQRTILLVTPGTWLSDLCQERYEVREKKTSKKKPRGLKAMGSMESDSE >itb08g03810.t1 pep chromosome:ASM357664v1:8:3089720:3093011:1 gene:itb08g03810 transcript:itb08g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLLLFVFLFFPSPAAAAAPSLAKPGCEEWCGNVSIPFPFGIGEGCALNPWFLVKCDRSSNPPKPYLNSFLQIELQGQVVAVSLQDQTITTLKSVANFCDNSADRNAIIANGTDLSGSPFYYSKSRNKFLFGGCGNSLLTQNSAVLAGCTAVCSDKISAGFAGCYGYDCCETPVPFDLSSYTANFTNSGIGKPNDNLKRCNSAFLVDQRWIPNQSTSLFIDYAPVVWIWTVQSQDFPAAITCRTSDNAAVQLADGTSVTNFRCDCPTGQVGNPYIAHGCQACAHCPLEPVDVITYRKLNIFRLSVLISICIIFFVLCIVFLYKVLKKRRAKRIRAKFFKQNGGLLLQQQLSSNENDIIDRTKLFTAKELEKATDRFNENRILGRGGQGTVYKGMLADGRIVAVKKSVRVDESKIEEFINEVVILSRVNHRNVVKLLGCCLETEVPLLVYEFITNGTLFSLIHSDNLKDGFPFSWEMRLKIATEVADALAYLHSSSSIPILHRDIKSSNILLDKKYRAKVSDFGTSRSIAIDQTHVTTQVKGTFGYFDPEYFRSSQFTEKSDVYSFGVVLVELMTGNKAISFAKNEEERSLATRFLLAMEGNQLFKILDKQVLEQGKKEDLMVVANLGRRCLDLNGKKRPTMKEVVAELEKVKSDTTSSVAMNFEGKRLLEIEPTTFSKTNYTWTIEENCSTTSLDPHPLLFYT >itb11g01990.t1 pep chromosome:ASM357664v1:11:996340:1002949:-1 gene:itb11g01990 transcript:itb11g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACDQLCIFCPAMRPRSRHPLKRYKKMLADIFPRSPDQPPNDRMINKLCEYASKNPLRIPRITASLDQKCYRELRNENIASVKIVMYIYRRLLMSCKQQMPMFAGSFLSLVHILLDQIRHDEMRIVGCEALFDFVNSQGDATYMFNLEGLIPKVCLIGQEMGDEERVLKLRCAGLQALSSMVWFMGEFGQMSAEFDNVVSVVLENCEAADEKIESIMNDNNNQDAQNGQEAADQASADALRRATSWRNIVTDRGLNVAAEDAMKPQFWSKVCLHNMAKLAKEATTVRRVLESLFRYFDSSNLWSPQHGVALTVLLDMQCIMENSGHNTHFLLCTLIKHLDHKNVLKEPEMQIDIIEVATALARVTKVEPSVTIVGAFSDMMRHLRKSIHCSLDDTELGEEVIDWNKRYRAAVDECLVQLSHKVGDAAPILEVVAVMLESISSVTVMARNTMNTVYRTAQIVAALPNSAYQNKAFPEALFHQILLAMVSPDNETRLGAHRVFSVVLVPSSVCPRAANAAGGLSKRKSIQRTLSRTVSVFSSSAALFEKMGKHQNSSQDGMDKNTQQILNRLTSTYSRAYSIKPGSEEPDNGEKQQMKHHEGLSLKLKSRQISMLLSSIFVQAISHSNTPEHFEAIAHTYSLVMLFSRTKKSSHESLIRSFQLAFSLRTISLSGEAKLPPSRRRSLFALATSMIIFMSKTYNFIPLAVSAKALLTDKTMDPFLQLVDECHLKANTNPMGKASYGSKEDDEAALRSLSLIEISKKQSTESFASMIVDCLKKSITDKTNLIKEQLLQDFLPDEVCPLGTQNDSRSQNKQSDDKTNDEAVDPSFTVDELGTRTDPNAQPASTAPNLLDVNQFLDSVLETSNHVGRQGPPPSGMTYMDVASQCEALQEGKEKRMNFGDTVDPDFAFTEDNYQAIWQPSGNPFADDNNTGAAGQPVVNGDDAPASCAAEYQRHPSFFQLPASSPYDNFLKAAGS >itb10g14110.t2 pep chromosome:ASM357664v1:10:20363190:20366707:-1 gene:itb10g14110 transcript:itb10g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLTVKQEIPEESKDPAPIRGSAMSTNISNLPQYLSFKGVQEEKPKTGFDALASTGLVTITTTEAVDSGMRAFPGATQKNMVVEKQGGLHYTVTTYPAHHFDTHSVFRSHEVKVVPVVSKTNQMSISMNMPVHPSFVSPAGQNMFGAANVRPPAGSAVVGTTELRNAPQMARGPAQLTIFYAGSVCVYDNISPEKAQAIMLLAGNGTPTTPGALPSVAPVQAPLPMPPVISSFAVNPSHIATPCFPSPILKTPQPVSQSVSTNEAKVVKTIGVVASQPIKAEPLKAVSPGSIRATLIPSAVPQARKASLARFLEKRKERVMSASPYLSKLYLECNKPGQSFSMNSLGSSSLPATS >itb10g14110.t1 pep chromosome:ASM357664v1:10:20363190:20366707:-1 gene:itb10g14110 transcript:itb10g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLTVKQEIPEESKDPAPIRGSAMSTNISNLPQYLSFKGVQEEKPKTGFDALASTGLVTITTTEAVDSGMRAFPGATQNMFGAANVRPPAGSAVVGTTELRNAPQMARGPAQLTIFYAGSVCVYDNISPEKAQAIMLLAGNGTPTTPGALPSVAPVQAPLPMPPVISSFAVNPSHIATPCFPSPILKTPQPVSQSVSTNEAKVVKTIGVVASQPIKAEPLKAVSPGSIRATLIPSAVPQARKASLARFLEKRKERVMSASPYLSKLYLECNKPGQSFSMNSLGSSSLPATS >itb05g08300.t1 pep chromosome:ASM357664v1:5:11523103:11526771:-1 gene:itb05g08300 transcript:itb05g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESQKMEAPPLYTEMRRSKFVWRSVLIFNLALGAYIFSRPVKKDSRGKEITATSKDMTVPPETSAPAETTTLDFDEVIFFPTEPEKVPDCDAFPGQGYSRRTKAQQGEL >itb02g22350.t1 pep chromosome:ASM357664v1:2:21763303:21764367:1 gene:itb02g22350 transcript:itb02g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLVPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRNERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb03g25110.t1 pep chromosome:ASM357664v1:3:24066748:24068726:1 gene:itb03g25110 transcript:itb03g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQWHPLPPSRTKLLYRTPRQFIPIRHMTPVQAFRRSDFDMFARRVTSGEAWRDAWRRANDGFEQFVFETKKTAERIDRRYSVSQRLNAAAQSTLYRAREIDREFEITDRWRTFTLDFSRNWPRYRKQLNDFLDTPIGRTFVTFFFVWFALSGWLFQFLIFALWFLPFAAPLLIGAVAKNLVIQGECPACRKQFIGYKNQTIRCTSCRNIVWQPQGDFFSGGSRGSKSSKSQPDVIDVEFEEK >itb04g06910.t1 pep chromosome:ASM357664v1:4:4585001:4587552:-1 gene:itb04g06910 transcript:itb04g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSKASRLKPKLANISSPFSLRSTAFFCASPSSDSPSAAEPQVAGVQDAAGTSPVPARPLRGKHKTPEKLEDVISRMMANRAWTTRLQNSIRNIVPVFDHDLVYNVLHAAKNSEHALQFFRWVDRAGLFQHNRETHFKIIQILGRASKLNHARCILLDMPKKGVGWDEDLWIVMIESYGEAGIVQESVKLFQKMEELGVERTVKSYNALFKVISRRGRYMMAKRYFNKMVKEGIEPTTHTYNLLIWGFFLSSKVETASRFFEEMKSREVRPDVVTYNTMINGYIRVKKIEEAEKFFVEMKAKNFEPSVITYTTLIKGYNSVGQVDDALRLFGEMKSFGIKPNAVTYSTLLPGLCEAEKMSEAENILKEMEEKYIAPKENSIFVRLITGQCKAGDLDAAANVLKTMIRLSIPTEAGHYGVLIENFCKAGSYERAIQLLDKLVEKGIILRPENTFHMESSAYNVIIEYLCNNGQTGKAEALMRQLMKTGVQDPVALNNLIRGHASEGAPESASELLKIMIRRKVLTEDSAYKSLVDCYLKKREPSDAKMVLDSMIESGHLPDSSLYRSVMESLFEDGRVQTASRVMKTMLDKGVKEHLDLLEKILEALLMRGHVEEALGRIDLMMQSGLAPDFDRLLSVLCEKEKTIAALKLLDFGLERDFNIDFSSYDKVLDALLAAGKTLNAYSVLCKITEKGGATNHSSCEELIKSLNKEGNTKQADILSRMIKGNDSKKGMKKVPIAS >itb01g16960.t1 pep chromosome:ASM357664v1:1:21503077:21509970:1 gene:itb01g16960 transcript:itb01g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQIGFIRFLPLLVLSNLLFKGESRRGSFSLFTIMSLSKDLIVLILQFCNEENLLKTAHMLEQETGIFFDMKHFEDIILGGFWEEAEKYLSSFTGVEDNKYSIKIYFEIRKQKFLEALDRDDRRLALDILLKDLKVFAATNEELYREMALLLTLDDFRDHSSLSSYGDTMSARKCLVIELKAIIEANPQFHGRLNFPQLGKSRLRRLVNQSLNWQHIHCANPQQEPQIKTLFTDHKCPEPDQSSKEFQMPRKTISISTPPFPCAAIISDRTTSDQVVRDETLTRFGSTFEDVNDSGSVITITSTEAFNEKVSPCPPSQCLSDNFPKDVERFLNLGSSPTSMDFHPIQQILLLVGNSVGDVELWDVGAVKKLFWRKFTVWKTETVSASFLEDINKNPHISVNRVLWSSDGSLFGAAYSRNIVQLYSYHGGSNSIRKQLEIEAHAGSVNDLAFSKPYNQLLVITCGDDKLIQVWDAVTGARRYTFEGHQAAVYSLCPREKEKIHFLFSTSNNGEIKAWLFDNMGPRVQYDAPGHSCMRMVYSADGKRLFSCGTNKDGDSYIVEWNETEGYITRVYSGLSKCFSGILQFDISSNGLLAAGDDHLIKVWNADNDQILTVIDAGGDLTGSPCIRFNKKGNMLAISADHNKIKILVNEYGRNLLQTSSGHLSESTQKAKLNESPHEKITDIPVVVEPGVHVEPSSILGALNISKVVEVSQCKSLRLPSEVKTDRIWRLTYTSSGNAIMALAADGVHLVWKWSKNDINLGGQATTKCVPEKQPRSGLLMVNSSPPSSNSDMVSPCLALSKNDSYAISASGGMVSLFNVIAFKKMKSFMPPAPAATCIAFYPSDNNIIAVGLEDSTIIIYNVRLDEVVSKLEGHLKGISCIAFSNALNVLISSGIDAQIVVWDCNKWEKQRSAVLQISPDWSPTELSETIIQFHQDQKRFLAVHETQLSIYEACTLHCVKQWTMRNFCTRICHATFSCNNQFVYAVMRDGIVLILDASHLCPRFEIDPSTYIPSDIRWNGVPLVIAAHPGKPNQFALGLSDGGVLVVEPQKSEDEWVDDNTEITAKL >itb01g16960.t4 pep chromosome:ASM357664v1:1:21503111:21509949:1 gene:itb01g16960 transcript:itb01g16960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFEDIILGGFWEEAEKYLSSFTGVEDNKYSIKIYFEIRKQKFLEALDRDDRRLALDILLKDLKVFAATNEELYREMALLLTLDDFRDHSSLSSYGDTMSARKCLVIELKAIIEANPQFHGRLNFPQLGKSRLRRLVNQSLNWQHIHCANPQQEPQIKTLFTDHKCPEPDQSSKEFQMPRKTISISTPPFPCAAIISDRTTSDQVVRDETLTRFGSTFEDVNDSGSVITITSTEAFNEKVSPCPPSQCLSDNFPKDVERFLNLGSSPTSMDFHPIQQILLLVGNSVGDVELWDVGAVKKLFWRKFTVWKTETVSASFLEDINKNPHISVNRVLWSSDGSLFGAAYSRNIVQLYSYHGGSNSIRKQLEIEAHAGSVNDLAFSKPYNQLLVITCGDDKLIQVWDAVTGARRYTFEGHQAAVYSLCPREKEKIHFLFSTSNNGEIKAWLFDNMGPRVQYDAPGHSCMRMVYSADGKRLFSCGTNKDGDSYIVEWNETEGYITRVYSGLSKCFSGILQFDISSNGLLAAGDDHLIKVWNADNDQILTVIDAGGDLTGSPCIRFNKKGNMLAISADHNKIKILVNEYGRNLLQTSSGHLSESTQKAKLNESPHEKITDIPVVVEPGVHVEPSSILGALNISKVVEVSQCKSLRLPSEVKTDRIWRLTYTSSGNAIMALAADGVHLVWKWSKNDINLGGQATTKCVPEKQPRSGLLMVNSSPPSSNSDMVSPCLALSKNDSYAISASGGMVSLFNVIAFKKMKSFMPPAPAATCIAFYPSDNNIIAVGLEDSTIIIYNVRLDEVVSKLEGHLKGISCIAFSNALNVLISSGIDAQIVVWDCNKWEKQRSAVLQISPDWSPTELSETIIQFHQDQKRFLAVHETQLSIYEACTLHCVKQWTMRNFCTRICHATFSCNNQFVYAVMRDGIVLILDASHLCPRFEIDPSTYIPSDIRWNGVPLVIAAHPGKPNQFALGLSDGGVLVVEPQKSEDEWVDDNTEITAKL >itb01g16960.t2 pep chromosome:ASM357664v1:1:21503077:21509970:1 gene:itb01g16960 transcript:itb01g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKDLIVLILQFCNEENLLKTAHMLEQETGIFFDMKHFEDIILGGFWEEAEKYLSSFTGVEDNKYSIKIYFEIRKQKFLEALDRDDRRLALDILLKDLKVFAATNEELYREMALLLTLDDFRDHSSLSSYGDTMSARKCLVIELKAIIEANPQFHGRLNFPQLGKSRLRRLVNQSLNWQHIHCANPQQEPQIKTLFTDHKCPEPDQSSKEFQMPRKTISISTPPFPCAAIISDRTTSDQVVRDETLTRFGSTFEDVNDSGSVITITSTEAFNEKVSPCPPSQCLSDNFPKDVERFLNLGSSPTSMDFHPIQQILLLVGNSVGDVELWDVGAVKKLFWRKFTVWKTETVSASFLEDINKNPHISVNRVLWSSDGSLFGAAYSRNIVQLYSYHGGSNSIRKQLEIEAHAGSVNDLAFSKPYNQLLVITCGDDKLIQVWDAVTGARRYTFEGHQAAVYSLCPREKEKIHFLFSTSNNGEIKAWLFDNMGPRVQYDAPGHSCMRMVYSADGKRLFSCGTNKDGDSYIVEWNETEGYITRVYSGLSKCFSGILQFDISSNGLLAAGDDHLIKVWNADNDQILTVIDAGGDLTGSPCIRFNKKGNMLAISADHNKIKILVNEYGRNLLQTSSGHLSESTQKAKLNESPHEKITDIPVVVEPGVHVEPSSILGALNISKVVEVSQCKSLRLPSEVKTDRIWRLTYTSSGNAIMALAADGVHLVWKWSKNDINLGGQATTKCVPEKQPRSGLLMVNSSPPSSNSDMVSPCLALSKNDSYAISASGGMVSLFNVIAFKKMKSFMPPAPAATCIAFYPSDNNIIAVGLEDSTIIIYNVRLDEVVSKLEGHLKGISCIAFSNALNVLISSGIDAQIVVWDCNKWEKQRSAVLQISPDWSPTELSETIIQFHQDQKRFLAVHETQLSIYEACTLHCVKQWTMRNFCTRICHATFSCNNQFVYAVMRDGIVLILDASHLCPRFEIDPSTYIPSDIRWNGVPLVIAAHPGKPNQFALGLSDGGVLVVEPQKSEDEWVDDNTEITAKL >itb01g16960.t3 pep chromosome:ASM357664v1:1:21503111:21509928:1 gene:itb01g16960 transcript:itb01g16960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKDLIVLILQFCNEENLLKTAHMLEQETGIFFDMKHFEDIILGGFWEEAEKYLSSFTGVEDNKYSIKIYFEIRKQKFLEALDRDDRRLALDILLKDLKVFAATNEELYREMALLLTLDDFRDHSSLSSYGDTMSARKCLVIELKAIIEANPQFHGRLNFPQLGKSRLRRLVNQSLNWQHIHCANPQQEPQIKTLFTDHKCPEPDQSSKEFQMPRKTISISTPPFPCAAIISDRTTSDQVVRDETLTRFGSTFEDVNDSGSVITITSTEAFNEVSPCPPSQCLSDNFPKDVERFLNLGSSPTSMDFHPIQQILLLVGNSVGDVELWDVGAVKKLFWRKFTVWKTETVSASFLEDINKNPHISVNRVLWSSDGSLFGAAYSRNIVQLYSYHGGSNSIRKQLEIEAHAGSVNDLAFSKPYNQLLVITCGDDKLIQVWDAVTGARRYTFEGHQAAVYSLCPREKEKIHFLFSTSNNGEIKAWLFDNMGPRVQYDAPGHSCMRMVYSADGKRLFSCGTNKDGDSYIVEWNETEGYITRVYSGLSKCFSGILQFDISSNGLLAAGDDHLIKVWNADNDQILTVIDAGGDLTGSPCIRFNKKGNMLAISADHNKIKILVNEYGRNLLQTSSGHLSESTQKAKLNESPHEKITDIPVVVEPGVHVEPSSILGALNISKVVEVSQCKSLRLPSEVKTDRIWRLTYTSSGNAIMALAADGVHLVWKWSKNDINLGGQATTKCVPEKQPRSGLLMVNSSPPSSNSDMVSPCLALSKNDSYAISASGGMVSLFNVIAFKKMKSFMPPAPAATCIAFYPSDNNIIAVGLEDSTIIIYNVRLDEVVSKLEGHLKGISCIAFSNALNVLISSGIDAQIVVWDCNKWEKQRSAVLQISPDWSPTELSETIIQFHQDQKRFLAVHETQLSIYEACTLHCVKQWTMRNFCTRICHATFSCNNQFVYAVMRDGIVLILDASHLCPRFEIDPSTYIPSDIRWNGVPLVIAAHPGKPNQFALGLSDGGVLVVEPQKSEDEWVDDNTEITAKL >itb15g09370.t1 pep chromosome:ASM357664v1:15:6639554:6643062:-1 gene:itb15g09370 transcript:itb15g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAPSSSPASSSSSSSSCIQLPAAGQPEPRPAKRSRAKRTANADSTPARRSSAYRGVTRHRWTGRYEAHLWDKATWNNIQNKKGRQIYLGAYESEEAAARTYDLAALKYWGASATLNFPVETYDKEIEEMQPMSKEEYLASLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGTKYLYLGTFSTQEEAAEAYDMAAIEYRGPNAVTNFDISRYADVLNKIREREQVQQMAGANPESSVEVQSGEQSDQDQENAGEKEEPAEEANNLSKPEFPKMEEEEVVVVEPQVPEIEFAPPPPVEASSAMLMMDPADSAWNAYLDPGFEQFLNPCDDIFSIFNSPFDDDIDSIFGEQLIVNEFAQDVVVAAPAADANNALASSPSSTASVSSGVSGLPSEMLANT >itb05g05850.t1 pep chromosome:ASM357664v1:5:5756956:5762911:-1 gene:itb05g05850 transcript:itb05g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSYAKTIIVPDGVGVVWEQAKAVLMVPLLRGMVWVCLAMLIMTLAEKLYLGVMVAYLKLFRRRPEKQYKWEAMKKDNDLEVGDSAYPMVLVQLPMCNEKKVYQLSIGAACSLSWPADRIIVQVLDDSSDPTIKELVQEECRKWAGEGVNIKCESRENRKGFKAGALKEGMKHSYVKLCEYVVIFDADFQPDPDFLYRTIPYLVHNPNLALVQASWKFVNSDECMLTRMQEMSMDYHFRVEQEVGSAVHAFFGFNGTAGVWRIAALNDAGGWKERTTVEDMDLGCRAGIKGWKFVFLVITYPLIYLKHVQKVSIWKKVYLIYAFFFVNKIVAHIVTFTYYCLVLPATVLIPQVQVPIWGALYVPLAVAVLNVLPTPRSFHLVVLWMLFENVMSLHRTIATFIGLLEVGRVHEWVITEKLGSALKAKTGSKALKKLPRFRIGERLHLLEIIVGFYLLFCGWYNFCFGDNYYFVYLFLQGLSFLVIGFGYVGAFVTTS >itb13g16330.t1 pep chromosome:ASM357664v1:13:23289601:23293525:1 gene:itb13g16330 transcript:itb13g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKNNAAEEARCQTAMTVKMASIAVYALGFFLAMVLCNFVHFLLRPVSQPRIISEAMVGMFLSNIPGIRKALDDAETQRTLNYIVDAIMMCHMFVMGLEIDPNIFQHLHLPETKVAYSGVLATFVLGNFLIPPLKMSSDVMVNVGLCLVLSGTASPLLTRIITDLKIGKSDIGRFVVAAGVHADVVSTLLISLGFIVFDPTHKFQTRDARSVVKMLTSLMFQTVVASRAARVVMDWVNHENPEGKSMKGSHLVIAIAFVVLVCTISPYLAMFNKVLSAFLAGLFMPREGRISKMMISKVNYIFTTIFYPLFFLWVWTTAELSKFGAHRWQTWVNVVCLFLITTFGKVVGSLVSGIMLGFNWPESVAIGLLLNTKGHFQVFLAVYGPSSNVIPGPLGLSVVFMTFLTIVYTPLVVAKIIGWARKRSPTRKMALQWLNASDGLRILLCLRGKQNLSCAINFIEISRGTVDPGITIYLADMIELTDRVAATVTTNQGGVDAVTITDPEVIRMRDEINSSVQDYISSECSDGITVKQMMALSTLNSMHQDVCILAEDLRAHMVVMPFHKNRTPDGRLDTGNSGFRHVNRKVLRHAPCSVGILVDRGLGDITVSKTSICLNAAVIFIGGKDDREALSYVGRVARHSGVKLTVIRFLLEAGEDSVASRINKAKAESSEHQEEMKLDDECFAQFYDRHVASGRVAYREKYLVNSSQTYSTLRSLEGQYELFIVGRGGRVNSVLTVGMNDWEECPELGLIGDILSSSDYSIATSVLIIQQHSVKGKLDGLQEGFSIM >itb09g25000.t1 pep chromosome:ASM357664v1:9:24905888:24908430:-1 gene:itb09g25000 transcript:itb09g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQSHFTPPHRKKTSKSSRSNFLGKSLIVILVLVIIPLFPSQAPDFIRQSIFPQLWELLHLMFIGIAVCYGLFSKRSSPTLDLQTHPRFDASSPAYLSGISNVSSIFDSGHENLYGSYGKIGMPESSRGFQNLGDECELDGDERCRSFVIRNECQGRNFSQTLMNSQHFIDESTVVVANRYHRTNPLSFVDNKPLGLPVRSLRSRVADTNKPDIDIDSDSHSEVDKTRILSGSAGASFRGNDSNVVKIRGMVPVNSDTEFEEAAANLMQGPRPRRRELGEETRRVNKPSSQYCRSQSASEFEFREFRHFRSTSFQQPPLSSRSSSKTSSPGSSTPPSSPSQEQQPNFEGKMDLEEEFPVSISQPTEISVHGDVASESSTGSSAEMNMQMEDEFDDMTNVSLGLGREEVGYAKPPSHSIGESEHEYIKSWSFWHPTPSETNSKSCSPTKHSPILSVSPELPNIEMHDHQEEKDVGAQSNSVSHPIVASINGEATCMSSSTGHRSEVWEKKINGEAKPISSRNQWSSSTEMNPQRPLRDSHKDVGKDVKEGSSLIGKRIGPLDSRVKPSPSPRRKVLLRGKSVRTIRASRLENDLKSNDSASAKSRSHCSPVESLSSVKSVNGGGCENGPVNTTGKGDLDTRPVPNSAFSPKQNGRKEASVVGDVLESREDSVSVLNNSDVSSDDEKPGSYLVDDVELGSEVDRKAGEFIAKFREQIRLQKVESFRRSTT >itb05g10760.t2 pep chromosome:ASM357664v1:5:16647648:16651042:1 gene:itb05g10760 transcript:itb05g10760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMVEAMKKVAKLNVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEDSKGHEQNVKRIKSYRQRVEDELTKICTDILSVIDEHLIPSSATGESTVFYYKMKGDYYRYLAEFKAGDDRKGAADQSLKAYEAATATASTDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKADEPQGES >itb05g10760.t1 pep chromosome:ASM357664v1:5:16647271:16651042:1 gene:itb05g10760 transcript:itb05g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREQLVYLARLAEQAERYDEMVEAMKKVAKLNVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEDSKGHEQNVKRIKSYRQRVEDELTKICTDILSVIDEHLIPSSATGESTVFYYKMKGDYYRYLAEFKAGDDRKGAADQSLKAYEAATATASTDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKADEPQGES >itb12g26760.t1 pep chromosome:ASM357664v1:12:27499191:27499929:1 gene:itb12g26760 transcript:itb12g26760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSVAPYDRLDGLARWFGSSVAAAFFLSLERFSCVNLTTYDTDDDEEEEDEESRDGGSSHGGPNAVAVIPADNNDA >itb05g03220.t1 pep chromosome:ASM357664v1:5:2664439:2668459:-1 gene:itb05g03220 transcript:itb05g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILGGYSARMQPSLTFTIPKPLIEFASKPIIFYQIEALEAVGVTEVILAVNYQAQVMQNFMKEHETRFRFKITFSIESEPLGTAGPLALARDKLIDDGSGEPFFVLNCDVFCEEYPFKQLITFHKSHAGEASILVTKNNFEMRTNDEHLPYATIDMDKSTGKVRSFVEKPDPIDGIFKTISVGIYLLNPSILDGIEPKRTSLEKQVFPKMVADGKVYGLWLKGLFWLDTWLMIDQQNYYINGLVSQLRNLRKRWPQKLASGAHIVGDVVVDETAEIGEGCMIGPDVAIGPDCVIEDGVKISSSAIMHGTRSREGASVTYSIIGWGSSIGRLAKVENSTMFAINFTVEV >itb06g11690.t2 pep chromosome:ASM357664v1:6:16218790:16225261:1 gene:itb06g11690 transcript:itb06g11690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDEEFDGDDGFEADMEALKRACVLAGASPGHADDDFSGRAVSNSVPSSPDTDDGVDDVELVRDIQKRFALSTDVQVPLDMRPICSIFPIEGGNESEDDMETLRAIERRFASYYDDTTKEGLDKDLHNTEQISEGAIPQGGGAENASFESSGFPKSAQAFVDAIKKNRAFQKLIRSKMIHVEARIEELKKLKDRVKILKDYQVSCRKRTGHALAQKKDARVQLVLPRERVNSKLNEKKSSALYYAPPENSLVASYRDALEKFPVSVNREKWSKEERENLLKGVKQQFQETMFQRAIDLSDMDESFGDMTDIDSNILSIRDLDITPEMMRLFLPKVNWDRLASMYVPRHSGAECQTRWLNWEDPLINQEPWSVVQDKNLLHIVQQKGLSNWIDIALCLGTNRTPFQCLARYQRSLNASIIKREWTEEEDNKLRAAVEVFGESNWQVVAASLEGRTGTQCSNRWIKTLHPARKRVGKWTADEDKRLKVAVMLFGPKTWRKIAQYVPGRTQVQCRERWANSLDPSLNLNGWTEEEDMKLEAAIQEHGYSWSKVAACVAPRTDNQCRRRWKALFPHEVPLLREARKIQKVAFITNFVDRESERPSLKPDDFAPAPLLLQPSGSEPSRKRKIASRNMSSDDPTIAENCRYGITYQSRKSKRQPKRKICTNRKRRRPANPGMLSNGNDLGGLEFSVTKNNRTSKLPPRKKRKREPYVEVPEISASDEIETTNGDGIIFKRSTRGPEFESGSDNDAKVDTSSSFPNSFSDARTLGGKAIKSRKRSIRRPRKNCSDLYVSQIYHPFPTSRKRKGPLREFPGSNLDADPSGELYDSSLSFEENSELESGAKQVEESHDRSSPLRCLAHDGLESNNFRTSSCCMQGKKKGLKCRDVDHSNKLAETEEDDSITLAAFIKKSVRLSDHNSNQSGAIPEHNIHTPTTSRGCEIQGHGNGLGFGPSPTSEAGIMDDDMPLAHFLNTLKRRVKPATSK >itb06g11690.t1 pep chromosome:ASM357664v1:6:16218790:16225261:1 gene:itb06g11690 transcript:itb06g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDEEFDGDDGFEADMEALKRACVLAGASPGHADDDFSGRAVSNSVPSSPDTDDGVDDVELVRDIQKRFALSTDVQVPLDMRPICSIFPIEGGNESEDDMETLRAIERRFASYYDDTTKEGLDKDLHNTEQISEGAIPQGGGAENASFESSGFPKSAQAFVDAIKKNRAFQKLIRSKMIHVEARIEELKKLKDRVKILKDYQVSCRKRTGHALAQKKDARVQLVLPRERVNSKLNEKKSSALYYAPPENSLVASYRDALEKFPVSVNREKWSKEERENLLKGVKQQFQETMFQRAIDLSDMDESFGDMTDIDSNILSIRDLDITPEMMRLFLPKVNWDRLASMYVPRHSGAECQTRWLNWEDPLINQEPWSVVQDKNLLHIVQQKGLSNWIDIALCLGTNRTPFQCLARYQRSLNASIIKREWTEEEDNKLRAAVEVFGESNWQVVAASLEGRTVEWHRVYLCRFTSRWIKTLHPARKRVGKWTADEDKRLKVAVMLFGPKTWRKIAQYVPGRTQVQCRERWANSLDPSLNLNGWTEEEDMKLEAAIQEHGYSWSKVAACVAPRTDNQCRRRWKALFPHEVPLLREARKIQKVAFITNFVDRESERPSLKPDDFAPAPLLLQPSGSEPSRKRKIASRNMSSDDPTIAENCRYGITYQSRKSKRQPKRKICTNRKRRRPANPGMLSNGNDLGGLEFSVTKNNRTSKLPPRKKRKREPYVEVPEISASDEIETTNGDGIIFKRSTRGPEFESGSDNDAKVDTSSSFPNSFSDARTLGGKAIKSRKRSIRRPRKNCSDLYVSQIYHPFPTSRKRKGPLREFPGSNLDADPSGELYDSSLSFEENSELESGAKQVEESHDRSSPLRCLAHDGLESNNFRTSSCCMQGKKKGLKCRDVDHSNKLAETEEDDSITLAAFIKKSVRLSDHNSNQSGAIPEHNIHTPTTSRGCEIQGHGNGLGFGPSPTSEAGIMDDDMPLAHFLNTLKRRVKPATSK >itb03g17090.t1 pep chromosome:ASM357664v1:3:15811925:15812648:-1 gene:itb03g17090 transcript:itb03g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKHRFIVRSICGSDLELGVGLLKFGYWHCFDLGFLGFGKTLEILEDFAARSQLRVGVLEEMAEL >itb11g10690.t1 pep chromosome:ASM357664v1:11:7637923:7641508:1 gene:itb11g10690 transcript:itb11g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSSSWSRALTQISPYTFSAIGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSQMHAYESLTAGYAIFASGIIVGFANLVCGLCVGVIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQASWPSKA >itb02g21330.t2 pep chromosome:ASM357664v1:2:20146540:20149099:-1 gene:itb02g21330 transcript:itb02g21330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADFYHVMTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIAGNDPYTMNLRFILADTLQKLIVLGVLAVWANLSKRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGAFSGSLMVQIVVLQCIIWYTLMLFLFEFRGARMLISDQFPNTAASIVSIHVDSDVMSLDGRQPLETEAEVKEDGKLHITVRKSNASRSDIFSRRPSNLTNAEIYSLQSSRNPTPRASSFNHTDFYSLVGTGSRNSNFSANDLVYGLSAAASRGPTPRPSNYEEETSGNKPPARFHYPAPNPAMFSPSKTLGIGANANKGEEGGNKDLHMFVWSSSASPVSDVFGGHDYAGAAALDQPPAPKDIRLPFSPVEGHRNNNQESYMEREEFSFGNRDVEMNHEADEKKVGENKVTTKAMPPTSVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLISFR >itb02g21330.t1 pep chromosome:ASM357664v1:2:20145692:20149099:-1 gene:itb02g21330 transcript:itb02g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADFYHVMTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIAGNDPYTMNLRFILADTLQKLIVLGVLAVWANLSKRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGAFSGSLMVQIVVLQCIIWYTLMLFLFEFRGARMLISDQFPNTAASIVSIHVDSDVMSLDGRQPLETEAEVKEDGKLHITVRKSNASRSDIFSRRPSNLTNAEIYSLQSSRNPTPRASSFNHTDFYSLVGTGSRNSNFSANDLVYGLSAAASRGPTPRPSNYEEETSGNKPPARFHYPAPNPAMFSPSKTLGIGANANKGEEGGNKDLHMFVWSSSASPVSDVFGGHDYAGAAALDQPPAPKDIRLPFSPVEGHRNNNQESYMEREEFSFGNRDVEMNHEADEKKVGENKVTTKAMPPTSVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLISFRWNVKMPAIIAQSISILSDAGLGMAMFSLGKSFFFFLKKFYLFKFPCIGAQDLSLSTYDND >itb10g18290.t1 pep chromosome:ASM357664v1:10:24315798:24319636:1 gene:itb10g18290 transcript:itb10g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENPRIGNGGGEDGEIKRSSNGGGGGLGRLCGWPSSRIVRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAVQFYDLQDRLGYDQPSKAVEWLLKAAAPFIEELPSLHDLFPDTLQLSDERKPNGGAGVEPAAQQSSPSDTSKGSAPSAGLSLSRSESRILARERARERAAEKEKSKDDIAPAPGSLISQNSSFTELLTNGGNNNGKDEESNFFSLDYVTTTGLLTRSTAQPSSPDFPAHVHLGNPIHLPPHPPPPPPVSSPLFTIPGEHHSELQNFSFVTDHRHHNNEYNLNFSISSPSSHSGLTAFRGTLQSNSPSSPSLLPHLQRFPAVDLTPASFFTAAAPTAPVDNHHHFLGFDARLQLFYGDHHADHNGKGKT >itb02g25730.t1 pep chromosome:ASM357664v1:2:26501834:26502256:1 gene:itb02g25730 transcript:itb02g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQDPQHPYLPFKNPFSMILPKGSHLSPRLLALLNAFDDSLAEKLRSLMHRGRGNALSVSWMKEAMASLCTIHTDIKILVTELELPIYDWDDKWIDVYMDNSVSLLDICTAFSSEILRLNQGNLYLRCTVCNLDGEPK >itb10g13990.t1 pep chromosome:ASM357664v1:10:20265931:20270213:-1 gene:itb10g13990 transcript:itb10g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPQGEEREIQKNYWMEHSADLTVEAMMLDSKAVDLDKEERPEVLGLLPPYEGKSVLELGAGIGRFTGELAKKAGQLIALDFIESAIKKNEAINGHHKNVKFMCADVTSPDLNFSDESLDLIFSNWLLMYLSDTEVVNLVERMVRWLKVGGHIYFRESCFHQSGDHKRKNNPTHYREPRYYTKVFKECHVNAAKGKSFELSLIGCKCIGAYVRNKKNQNQICWTWQKVNSEDDKGFQKFLDTVQYNCSGILRYERVFGQGYVSTGGLETTKEFVAKLDLQPGQKVLDVGCGIGGGDFYMAENYEVHVVGIDLSINMISFAIERAIGLKCTVEFEVADCTRISYPDGSLDVIYSRDTFLHIHDKPALFKSFYRWLKPGGKLLITDYCKRAGTPSEEFAEYIKHRGYDLHNVEAYGQMIKDAGFSDVIAEDRSHQFMSVLQKELEGVEKEREEFIKDFSEEDYNEIVEGWRAKLARSSSGEQRWGLFIAKK >itb09g10540.t2 pep chromosome:ASM357664v1:9:6532933:6535656:-1 gene:itb09g10540 transcript:itb09g10540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb09g10540.t7 pep chromosome:ASM357664v1:9:6532933:6535656:-1 gene:itb09g10540 transcript:itb09g10540.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb09g10540.t5 pep chromosome:ASM357664v1:9:6532933:6535656:-1 gene:itb09g10540 transcript:itb09g10540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb09g10540.t4 pep chromosome:ASM357664v1:9:6532933:6535676:-1 gene:itb09g10540 transcript:itb09g10540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb09g10540.t1 pep chromosome:ASM357664v1:9:6532933:6535656:-1 gene:itb09g10540 transcript:itb09g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb09g10540.t3 pep chromosome:ASM357664v1:9:6532933:6535656:-1 gene:itb09g10540 transcript:itb09g10540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb09g10540.t6 pep chromosome:ASM357664v1:9:6532933:6535656:-1 gene:itb09g10540 transcript:itb09g10540.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCWHSVSRLPIWQLRTNLSSFSFSFSSSSKPNLKNYYLRKRRKWPRSPYKTKWHESFGHQLAMQSMIERVLKSPGPTNPLSALIDSFADYQCDPTPSAYQFVIKEITRNPCTYDLIPKVLDHLQKVDNFEIPEGVFVYLIKFYGDIGELECAVEVFLRIPRFRCAPTMKSLNALLSVLCKSEWGLRNVPEILNKSQLMNIQINGSSFGLLIRALCKIGKVNYAVEMLNCMVDSGFDLDGKVCSLVLSTMCEQKGSDEFDIVGFLEETRKLGFSPRRLDFYNVMRFLVIKGKGKEAAKVLKKMRMDGISPDIECYDLVLEGVVLEGEFEKADKVFDELLVLGLVPTVFTYNRYINGLCKQNKVDEAIKMLASMEELGCRPDVITYNTVLEALCGNGMLSAAREVVGQMKLKGVQMNCQTYEFQINNFIRNGEIDEACELLHDMLNNGFVPQSTTFEGLLRDLCHTSLQCSSLSDLVYANS >itb14g19760.t1 pep chromosome:ASM357664v1:14:22337122:22342255:-1 gene:itb14g19760 transcript:itb14g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSVKCLINSISRFVHLVTCRISKTVPGQKDFEDTASMLKLLKPTLDDVVDTRVPSDETVCRECEEMDTAINEAREFLENYSPKKSKILSALQSKQLLLKIQSSGLEISRILCGLLEQSMSSLSLSDTECRIQDFYGMESDELLKCMKGALESLDEGKSPCSEHLLTMIQSLNLISPEEPLNECIALEKERLKAEENRGRDNILQIERVIELMSHIRDCMVMLNSASIDGVKIPPHFRCPLSLAVMLDPVIIASGQTYERVSIQKWMDHGLTTCPRTRQKLSHSNLIPNVTVKAMIENWCEENKVELFAKPENTSLIVVENSNSSSQDCNSTSRWKANSTHGISAEGFNGCHVREDHSSPEHSYVHSRSESASSVVSSIDYLPVTSTDASKVSSKHDYGSDKSGEITSDSAAPLNKNTAISSLPSGKQYRGPKTLPETAACGNYNHSRRQTSPTESLSNERITTSRVEELIRDLTSQSPDLQAAAAAELRFLAKHDMENRVIIGQSGAIEPLISLLHSDAKLTQEHAVTALLNLSISENIKAMIAERGALEPLIHVLRTGNPGAKENAAAAIFSLSLLEEYKIKIGRSGAVKALVDLLGSGTIRGKKDAATALFNLSIFHENKARIVQAGAVKYLVQFLDPETVMVDKSVALLANLSTIPDGCLAIAREGGIPPLVEIVEIGSHRGKENAASILLQLCLNSPKYCRLVLQEGAVPPLVALSQSGSTRAKEKAQQLLSHFRNQREGAMGRGKS >itb03g03840.t3 pep chromosome:ASM357664v1:3:2293397:2295797:-1 gene:itb03g03840 transcript:itb03g03840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGISAENKVPFLTSSSSEVDTHHHTNLSRRIWVETKKLWHIVGPAIFTRVSSYSTMVIAQAFAGHVGDVELAAMSITTNLIVGFNYGLMLGMASALETLCGQAYGAKRYHMLGVYLQRCWVVLWLVSLAMIPLYLYATPVLKLLGQPEEVSELSGSVALALLPIQFSFASWLPVQRFLQCQLKNFVVAWISVGALIVHILINWFIVYQLKLGIIATALALSFTWWLIVVGLFVYTVSGGCPLTWTGFSMEAFSDLWAFFKLSISSGIMLCLENWYYKILILMTGNLYNAEIAVDSLSICMNINTMELMIPLAFFAGTG >itb03g03840.t2 pep chromosome:ASM357664v1:3:2291927:2295797:-1 gene:itb03g03840 transcript:itb03g03840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKRYHMLGVYLQRCWVVLWLVSLAMIPLYLYATPVLKLLGQPEEVSELSGSVALALLPIQFSFASWLPVQRFLQCQLKNFVVAWISVGALIVHILINWFIVYQLKLGIIATALALSFTWWLIVVGLFVYTVSGGCPLTWTGFSMEAFSDLWAFFKLSISSGIMLCLENWYYKILILMTGNLYNAEIAVDSLSICMNINTMELMIPLAFFAGTGVRVANELGAGNGKAARFASIVSVMESIGIGIVFWVLIIFFHNQLALIFTTSQPILDEVHKLSLLLAFTILLNSVQPILSGVAVGSGWQAYVAYINLGCYYLFGVPFGLILGWVFNKGVMGVWGGMIGGTALQTLVLAVITIRTDWNNEARNANKLVEKWDAVHHVKP >itb03g03840.t1 pep chromosome:ASM357664v1:3:2291927:2295797:-1 gene:itb03g03840 transcript:itb03g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGISAENKVPFLTSSSSEVDTHHHTNLSRRIWVETKKLWHIVGPAIFTRVSSYSTMVIAQAFAGHVGDVELAAMSITTNLIVGFNYGLMLGMASALETLCGQAYGAKRYHMLGVYLQRCWVVLWLVSLAMIPLYLYATPVLKLLGQPEEVSELSGSVALALLPIQFSFASWLPVQRFLQCQLKNFVVAWISVGALIVHILINWFIVYQLKLGIIATALALSFTWWLIVVGLFVYTVSGGCPLTWTGFSMEAFSDLWAFFKLSISSGIMLCLENWYYKILILMTGNLYNAEIAVDSLSICMNINTMELMIPLAFFAGTGVRVANELGAGNGKAARFASIVSVMESIGIGIVFWVLIIFFHNQLALIFTTSQPILDEVHKLSLLLAFTILLNSVQPILSGVAVGSGWQAYVAYINLGCYYLFGVPFGLILGWVFNKGVMGVWGGMIGGTALQTLVLAVITIRTDWNNEARNANKLVEKWDAVHHVKP >itb13g23070.t1 pep chromosome:ASM357664v1:13:29192059:29197268:1 gene:itb13g23070 transcript:itb13g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRKAASSKKSRKVTKKKDKTEYVSIAGLQSKHEQEIADLTLMTQPFKTLKLFVMAVLEWLRQSLAYLLSHALWFGLFSCVAVLSGMLLLTTDSANEKMEEVLEYVQFGVWWIALGVASSIGLGSGLHTFVLYLGPHIALFTIKAVKCGRVDIKSAPYDTIQLKRKPSWLGKDCSQFGPPLFSSSNGTQVPFSSILPYVQLEAILWGLGTALGELPPYFISRAASISGSSIDDQLDTSSTENDGHLETNLNQIKRWFLSHAQYLNFFTILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTVFIISVCNNQLLDWMENEFIWVLSFVPGIESILPNVIAKVHSIKDKYMTTKPHAPSNTKVNWDFSFASIWNTVVWIMLLNFFAKIINATAQNYLKKQQERDFGAVKGKSSMHSRLE >itb01g13770.t1 pep chromosome:ASM357664v1:1:14747008:14748853:-1 gene:itb01g13770 transcript:itb01g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWIVVMLYALAAAIPVVMGQMEDINNTDIYWVTRKEEAMRYAKRAYNPNPELVTRQLTQHISKMMNETRILEDEDDDELVDEHNSTRRSLGSKKYKGPCKATNPIDRCWRCDRNWAKHRKRLASCALGFGAGTTGGKKGRFYVVTDPSDKDVQEPKPGTLRHAVIQTGPLWITFAKSMTIRLKNELLVTSDKTIDGRGAHVRITSGAGMTLQFVKNIIITNLKIKNIKPTSGGIVRDSVSHKGLRTFDEGDGITIFGSSHVWIDHVSMSKCDDGIIDAVQGSTAVTISNCHFTDHHKVLLFGANNWDPVDKIMQITVAFNHFGKRLEQRMPRCRWGMFHIVNNDYTYWEMYAVGGSAGSTIISQGNRYIAPPNMHFKEVTHRDWPDDSWKKWTWVSDADVFMNGAFFRASGDKKGAQKYGHLDLVNPLPGKAVGKITKFSGILPCKIGKPC >itb07g17660.t2 pep chromosome:ASM357664v1:7:21950809:21958520:-1 gene:itb07g17660 transcript:itb07g17660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSERKPSVSNGVISTLPIYRSAPPLEVRLEDFELYAIDRLRVLKGISDGLSRGRKPDEMAELVSDLWKANMRHPQPSEVVNKDIISHFVLRLVYCRTEELRKWFLSMETMLFRYRYQRETSDVQRALVADLDLSYKPVSNAEYESVKDKLSQVARCIGQPLSRAETIFYKVPFEEVPELVAGRRVFIQKGNAYIATHQLVSLVINQFRSNLSKALALTNRKWTSMIREQEKDRLTPIVEALSTSYLGPDYSQPPEYTEISLKDIDQVAKTSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSRKVGAEKFDKEYAYGIRHNYGKEGKRVDYTPYSCQKIISLTPGVGDHHGCPYRHFSEENLRAALGKMGVGNFVVEDVIGKVRNRHYQVSI >itb07g17660.t1 pep chromosome:ASM357664v1:7:21950809:21958520:-1 gene:itb07g17660 transcript:itb07g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSERKPSVSNGVISTLPIYRSAPPLEVRLEDFELYAIDRLRVLKGISDGLSRGRKPDEMAELVSDLWKANMRHPQPSEVVNKDIISHFVLRLVYCRTEELRKWFLSMETMLFRYRYQRETSDVQRALVADLDLSYKPVSNAEYESVKDKLSQVARCIGQPLSRAETIFYKVPFEEVPELVAGRRVFIQKGNAYIATHQLVSLVINQFRSNLSKALALTNRKWTSMIREQEKDRLTPIVEALSTSYLGPDYSQPPEYTEISLKDIDQVAKTSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSRKVGAEKFDKEYAYGIRHNYGKEGKRVDYTPYSCQKIISLTPGVGDHHGCPYRHFSEENLRAALGKMGVGNFVVEDVIGKVRNRHYQLACTLTFEAIHGASCDAGINHPNQYYNDSKKILESRNSSNSLA >itb01g33390.t1 pep chromosome:ASM357664v1:1:36531412:36533872:1 gene:itb01g33390 transcript:itb01g33390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVKGVHLLFLVLSLIIFHACSISANKSTYIVHMDKSFMPTPFSTHDQWYSSILHSVKLETPTSNDPRNPTRILYTYDHAFHGFSAVLSDNEELEAVKKTPGFVSAYRDKIVTLDTTHTFQFLSLNPATGLWPDSQYGKDVIIGVIDTGVWPESASFRDDGIEEIPERWKGICEEGEEFEASMCNKKLIGVRYFNKGVVAANPNITITMNSGRDIAGHGTHTSSTAAGNYVEAASFFGYAPGIARGIAPKARVAMYKVLWEEGRYGSDVLAGMDQAIGDGVDVISISMGFDFVPLYEDPIAIASFGAMERNVLVSASAGNAGHGIGRLHNGIPWVLTSAAGSIDRWFSGSLGLGNGQEIIGWTVFHGKGSSERLPLIYNETISACNSVQLLSRFGDGVIVCDNTGQLFSQISIISQSGVAAAIFISDDSEIFESTVFSRFPGVIISPENSSIVINYAKNGVKPYASLKFQQTLLGTKPAPVVASYTSRGPSPSFPGILKPDVMAPGTLVLAAWIPTSHISTRVGMEMEMESRAFNMISGTSMACPHSSAIAALLKGAHPEWSPAAIRSAMVTTANPYDNTKDPIKDSGLKYTVATPLAMGAGQVNPNSALDPGLIYDATPQDYVNLLCSMNFTQPQIQTITRSTNYSCSNTSSDLNYPSFILLYPGGETAEAVNQTFVRTVTYVGDGPATFKVGDITFPADSDITVHNASFSFESKHETKSYTLVLSYKGNRTGEITSGAITWVDDSGKYRVRSPIVIAPMIPRW >itb12g24640.t1 pep chromosome:ASM357664v1:12:26091993:26095067:1 gene:itb12g24640 transcript:itb12g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPESRKPWKRSYDRFDDDNRRPKFQPHFKRRRRVERYEKYRDRYASNSGIRERSGGRFTENYGSRSYHAPCREFSDGDGRLDSKEKSNDGVPLEHGTDLGELKSSSQQSLSRSWDRRASEGREKASIPREMSQQSRSCSWERRSSDGREKVSSSRDVSLQNVVSQKSKVEPKPSIDRVRDRSFGNEVEIKRDMVGLEVPKNRLQGNVLRSPANHTGDAFHGPRRRFDDSDWRLAVKAKSNDVDTIEVPLKHGAVSGMLKSSSQLSVLPSRERQPSKGGKKVSFLQEISLQDVVNQKSQVEPKPLSGTVENAAHERAVTLTKVPKNVARENSLKCHAPGGNLVSEKSNAATSKNTVDSHDPIIEVTTEVKRMVGLKVLKNTSEENSSKCQRENGDLRHQDDEKMVRSNQKCKIAEEMDVIGLESSPAKQLSTQVTDCEAIPGNCGHQEQVGFQDLFSEKIIVVNTQGETRVPEKTLNNDEQHYFFYVADHGLADDRIQKLKQLKDDCIVLISDRYHVHDSRKRVMRTLDLFKDVYAVRFKTYKAEQNHGSAIQSTDVRTAMALKHEGKWVNYEGRVFVGNVPGVYIGDKFRFRAELVIVGLHRKFYDGIDYVKIQGKNYATSIVNSGRYDNRSISPDSFIYVGHGGNPRIAGNVPVDQKLKNGNLALKNSSDKGVPVRVTRARCVRDEHSTTKNNKRYIYEGLFVVTRYWKESSARHGKMEFMFELHRMPNQPSFTPEMSVRPRRVGTRFHRQALKMGRRPFGIIGKRTREVFKTSLHCQAVERVVIDDVSQGKENLAIRVVSDIYAERPLPFTYTANMIYPQWYRPWLPLGCDCTNGCTDSKHCYCAFRNGGEIPYNTRGAIIKPKAVIHECSPACKCPPSCRNRVSQHGIRYHLEIFRKKPSGWGVRSRDFISSGSFICEFVGELIDKNEAKQRIGHLQYFCPIANSENIIDAACSGNVARFINSSSSSPNLYVQNVVYEDDYEVVPHIMLFACKNIPPMQEFTYDQSDASSIWGRSSVSC >itb14g12550.t1 pep chromosome:ASM357664v1:14:14261964:14264620:1 gene:itb14g12550 transcript:itb14g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHKDCKLRFLELKAKRTHRFIVFKIEEKQKQVIVEKVGEPAQSYEDFTASLPVDECRYAIYDEMESAAESKLAENIAFGEEVSKPESAINLSTKQTAKELGRDRIK >itb03g19100.t2 pep chromosome:ASM357664v1:3:17244376:17250138:1 gene:itb03g19100 transcript:itb03g19100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSSQVGSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEAAKPDWVGLPAGVKFDPTDQELIEHLEAKVVAKESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSSAAAATAAERSITSGGEDPASKRDSGGSGSCSSSKDNNNMNIHSHGDELSVAVGAAMSSYSAMEIQQLKATADHFSFLPFRKNFDEAGIAVGAEASMGREVPATGTCEGRDIGDPHRGHHVTTHDAHHHHHHHQIAAAFHNISRPSHTISAIISPPPPLHHHASVILDDDPFHVPRIILPADNYQQSQQQQQQQQQQHHKLGGRSTSELEELIMGCTTTSSDIKEGSSMAANQQEAEWLKYTPFWPDPDNPDHHG >itb03g19100.t1 pep chromosome:ASM357664v1:3:17244522:17250138:1 gene:itb03g19100 transcript:itb03g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNSSQVGSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEAAKPDWVGLPAGVKFDPTDQELIEHLEAKVVAKESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSSAAAATAAERSITSGGEDPASKRDSGGSGSCSSSKDNNNMNIHSHGDELSVAVGAAMSSYSAMEIQQLKATADHFSFLPFRKNFDEAGIAVGAEASMGREVPATGTCEGRDIGDPHRGHHVTTHDAHHHHHHHQIAAAFHNISRPSHTISAIISPPPPLHHHASVILDDDPFHVPRIILPADNYQQSQQQQQQQQQQHHKLGGRSTSELEELIMGCTTTSSDIKEGSSMAANQQEAEWLKYTPFWPDPDNPDHHG >itb13g10250.t2 pep chromosome:ASM357664v1:13:14821725:14826170:1 gene:itb13g10250 transcript:itb13g10250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEEKYEPLKELGSGNFGVARLVKDKKTKELFAVKYIERGKKIDENVQREIINHKSLRHPNIIRFKEVLLTPSHLAIVMEYASGGELFARICSAGRFSEDEARFFFHQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEEPEDPRNFRKTIGRILSVQYSIPDYVRVSADCRNLLSRIFVANPSKRITIPEIKKHPWFLKNLPKEVMEGGEKTSYNEGGSNHSLQSVEEIMRIIQEAKTPGEQASKTEGSLAAGSLDPDDDAELDLESEIDSSCDFLPPI >itb13g10250.t1 pep chromosome:ASM357664v1:13:14821725:14826170:1 gene:itb13g10250 transcript:itb13g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEEKYEPLKELGSGNFGVARLVKDKKTKELFAVKYIERGKKIDENVQREIINHKSLRHPNIIRFKEVLLTPSHLAIVMEYASGGELFARICSAGRFSEDEARFFFHQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEEPEDPRNFRKTIGRILSVQYSIPDYVRVSADCRNLLSRIFVANPSKRITIPEIKKHPWFLKNLPKEVMEGGEKTSYNEGGSNHSLQSVEEIMRIIQEAKTPGEQASKTEGSLAAGSLDPDDDAELDLESEIDSSCDFLPPI >itb13g10250.t3 pep chromosome:ASM357664v1:13:14821725:14826170:1 gene:itb13g10250 transcript:itb13g10250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEEKYEPLKELGSGNFGVARLVKDKKTKELFAVKYIERGKKIDENVQREIINHKSLRHPNIIRFKEVLLTPSHLAIVMEYASGGELFARICSAGRFSEDEARFFFHQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEEPEDPRNFRKTIGRILSVQYSIPDYVRVSADCRNLLSRIFVANPSKRITIPEIKKHPWFLKNLPKEVMEGGEKTSYNEGGSNHSLQSVEEIMRIIQEAKTPGEQASKTEGSLAAGSLDPDDDAELDLESEIDSSCDFLPPI >itb05g23380.t1 pep chromosome:ASM357664v1:5:28509167:28510138:1 gene:itb05g23380 transcript:itb05g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G02885) UniProtKB/Swiss-Prot;Acc:Q84J95] MAAARLHSTTSFLLLSLFLVLIAFSNVAQGYNRLRPKDCKPRCTYRCSATSHKKPCMFFCQKCCATCLCVPPGTYGNKQTCPCYNNWKTQEGRPKCP >itb01g33070.t1 pep chromosome:ASM357664v1:1:36333978:36336015:-1 gene:itb01g33070 transcript:itb01g33070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLCFLLFAFLYFLTTHFLTKFQNLPPSPSISLPLIGHLYLIKKPLHRTLANISHKHGPVLFLKFGSRPVLLVSSPSAVEQCFTKNDVVLANRPRLLAGKYLGYDYTTLVWASYGPHWRNLRRIASTEVLSAHRIQAFPDIRRDEVRSLIKRLHRDSGNRAVDMKSAFFELTLNILMVMIAGKRFCGGSAEELEQAKRFRAIVAETFQVSGATNIGDFVPIVKWFRLNRVEDKLRVLKEKRDSFMQELIEEHKQTRRSCCSDDRRNKTMIDVLLSLQDSEPEYYTDEIIKGMCQVMLSAGTDTTAATMEWALSLLLNNREALKRAQIEIDIQTGGSSTLIEESDLPKLPYLHGIINETLRMYPVAPVLVPHESSEDFVIEGFRVPKGTMLLVNLWAIQNDPRLWEEPQKFMPERFLGMEGQRDGFKLMPFGYGRRGCPGENMAMHVAGLVLGTLIQCFEWERDGEELVDMSEGPGLTMPRVYPLLAKCRPRPNMTSLLSHL >itb12g09780.t1 pep chromosome:ASM357664v1:12:7767449:7770991:1 gene:itb12g09780 transcript:itb12g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFNDASVQSDIKLWPFKVIPGPGDKPMIVVTYKGEEKEFAAEEISSMVLIKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRSLRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGSKLSSDDKKKIEDAIEQAIQWLEGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGGAMDEDAPHAGGSSAGASAGPKIEEVD >itb14g19270.t1 pep chromosome:ASM357664v1:14:21969911:21972844:-1 gene:itb14g19270 transcript:itb14g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTPTSTTNLSRVFASLFPAPSPRPRPRALFHCRSLSTSSNLGFCGTASRFPKFSSRKPTQIRAVSGSFGSRMEESVKRTVGENPVVVYSKTWCSYSSEVKALFKRLGVEPLVIELDEMGPQGPQLQKVLERLTGQHTVPNVFIGGKHIGGCTDTIKLYRKGELEPLLSEASSRKTES >itb02g05130.t1 pep chromosome:ASM357664v1:2:3088340:3089304:-1 gene:itb02g05130 transcript:itb02g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLGGNNDSNTSGAQKAFLFCNYVLLGAASSCIFLTLSLRLLPSLCGFFLILLHAFTIGGAVLGCASVSSETGVKLYGTRMVATVLTAIFQGSVFVLIFTRTGDFLGNLKSYVREEDGEMILRLAGGLCALIFCLEWVVLTLAFILKYKAAADTNGVVGMRSAKVVHDEEELKNWPWPFQV >itb14g17020.t1 pep chromosome:ASM357664v1:14:20354750:20358081:-1 gene:itb14g17020 transcript:itb14g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVMEHNNGVKEKNKEKVAENSKQKLIKLRGTNRPMKMAKVASEGERKKLSKENASIGEGKVGRNELTSKGITRQETKKVASKKVALQGKDVPGTFHRIKSSRKPEMGMIFMCNSETKRDCYRYKVLGLPENRRELVGNVHKGMVLFLYDVDLKLMYGIYKAAGPGGYNIEPNAFNSQFPSQVRFKVLDRCKPLAEENFRKAIQKNYFTRSKFGCKLTSEQVQDLCKIFRAASRRSSGKAVQKDRIREHGVGYNKRRAAVEEPRYHRHPYGYERDGVAFREAQVRRFPATSHSYAHERNAETDTYRRRPSAKARDDSFRHDRVEEPWEAYEQDLLLDQHRYYRQEAVPECHTSYRRDGMMDRDDLHPFDFNPRWDDDDDDDDDEIRNHRSYDHYSSYRECSSYRDPDYRMTPPERLSGEYSPASYRSPKYRSGSGPLPEYRNADLLPEYRVSPALWRSRR >itb14g17020.t2 pep chromosome:ASM357664v1:14:20354750:20358081:-1 gene:itb14g17020 transcript:itb14g17020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVMEHNNGVKEKNKEKVAENSKQKLIKLRGTNRPMKMAKVASEGERKKLSKENASIGEGKVGRNELTSKGITRQETKKVASKKVALQGKDVPGTFHRIKSSRKPEMGMIFMCNSETKRDCYRYKVLGLPENRRELVGNVHKGMVLFLYDVDLKLMYGIYKAAGPGGYNIEPNAFNSQFPSQVRFKVLDRCKPLAEENFRKAIQKNYFTRSKFGCKLTSEQVQDLCKIFRAASRRSSGKAVQKDRIREHGVGYNKRRAAVEEPRYHRHPYGYERDGVAFREAQVRRFPATSHSYAHERNAETDTYRRRPSAKARDDSFRHDRVEEPWEAYEQDLLLDQHRYYRQEAVPECHTSYRRDGMMDRDDLHPFDFNPRWDDDDDDDDDEIRNHRSYDHYSSYRECSSYRDPDYRMTPPERLSGEYSPASYRSPKYRSGSGPLPEYRNADLLPEYRVSPALWRSRR >itb14g17020.t3 pep chromosome:ASM357664v1:14:20354750:20358081:-1 gene:itb14g17020 transcript:itb14g17020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVMEHNNGVKEKNKEKVAENSKQKLIKLRGTNRPMKMAKVASEGERKKLSKENASIGEGKVGRNELTSKGITRQETKKVASKKVALQGKDVPGTFHRIKSSRKPEMGMIFMCNSETKRDCYRYKVLGLPENRRELVGNVHKGMVLFLYDVDLKLMYGIYKAAGPGGYNIEPNAFNSQFPSQVRFKVLDRCKPLAEENFRKAIQKNYFTRSKFGCKLTSEQVQDLCKIFRAASRRSSGKAVQKDRIREHGVGYNKRRAAVEEPRYHRHPYGYERDGVAFREAQVRRFPATSHSYAHERNAETDTYRRRPSAKARDDSFRHDRVEEPWEAYEQDLLLDQHRYYRQEAVPECHTSYRRDGMMDRDDLHPFDFNPRWDDDDDDDDDEIRNHRSYDHYSSYRECSSYRDPDYRMTPPERLSGEYSPASYRSPKYRSGSGPLPEYRNADLLPEYRVSPALWRSRR >itb12g07440.t1 pep chromosome:ASM357664v1:12:5573263:5573973:1 gene:itb12g07440 transcript:itb12g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPLLIPPINPFLHNKAAILVHQFPISSTGRRLSHRRPIVRASISRRQLITAAAALIIAASSSKAVDKLLPTRERANLSTNKSKQERPRFFDLAARKMCWAKAETVPGRDPGRWRKDAAGNIVGKRFHSCLGCLCFEYDHVVPFSKGGESVPENCQILQTKVNRFKADKVAVNKMQLKGYSCGINFSDKELDTIEIAVYGDIMRPGNRCRVATIDEMLGIYKSKNRIVTCNSGN >itb02g13750.t1 pep chromosome:ASM357664v1:2:9983995:9990853:-1 gene:itb02g13750 transcript:itb02g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGWVLRGSSGTFIAAGAVGKWGAVQPKEGEALAIREASRSQCEFSGLNAPLEPTTSSGRFLSTVFQNDREYFSLTVEKQLEQLAYDRDEAAARMTLSLASDEACLHR >itb06g18890.t1 pep chromosome:ASM357664v1:6:22415635:22420384:1 gene:itb06g18890 transcript:itb06g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNDPNPFEEEEQEVNPFSNGSAAPGSKSRIPQMVANTLGFGQKHDATVDIPLDSMNDPKKKEKELANWEADLKRRERDIKRREDAVTSAGVPVNDKNWPPFFPIIHHDIANEIPAHSQRMQYLAFASWLGIVLCLTFNIIAVIVCWIKGGGVKIFFLAVIYALLGCPLSYVLWYRPLYNAMRTDSALKFGWFFLFYMLHIGFCIFAAIAPPIVFHGKSLTGILAAIDVFSDHVLVGIFYLVGFGLFCLESLLSLSVLQRVYMHFRGNK >itb01g33490.t1 pep chromosome:ASM357664v1:1:36608690:36609454:1 gene:itb01g33490 transcript:itb01g33490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWQAKGGSSLSDPLTEAQVKGLLQRFDRDGDGRISRTELRAAFKQMGFWFCNRKAGCAIRKVDDNGDGVISGDELNELVKYAHSRWGFTIS >itb04g20720.t5 pep chromosome:ASM357664v1:4:25610793:25614503:1 gene:itb04g20720 transcript:itb04g20720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHTMARRNPKLLSLQIPANLLLLFLFFLPSKPLSVETEALLEFKKQLSDPLNYLDSWEASESPCQFYGITCDPRTGLVTGISLDNKSLSGVISPSISMLQALTSLVLPSNALSGVLPTELSKCASLRVLNVTGNNLNGSLPDLSKLTNLEILDLSINYFSGEFPTWVANLTGLVSLGLGNNDFDEGEIPESIGNLKKLTWLYLAHSNLRGEIPDSIFGLEALQTLDICMNRISGNFPKLIGKMQSLYKIELYNNNLTGELPAELADLALLEEFDISNNQMYGALPRGIGNLEKLTVFHVFKNNFSGELPSGFGDMQHLVSFSIYQNSFSGTFPENLGKFSPLSSIDISENQFSGGFPKYLCQNGKLQYLLAVENRFTGEFPETYATCTPLERLRVTENQFSGKVPDGVWALPNVKMIDFSSNGFSGGISPRIGAATNLNQLILSNNKFSGQLPKQLGNLLLMERLYLDNNGFFGLLPSELGMLKQLSSFHLEKNAFVGSIPAELGHCSRLADMNLALNNLSGSIPDSITTMPSLLNSLNLSNNKLTGSIPRSLDNLKLSSIDLSDNQLSGEVSYDLLIMGGDKAFLGNKGLCIDHSIKSEVNTELSVCGGHTVQHKFIKNKVAVLSIALLATTALLGGFLIVSYWNYKQSMRNAKKLEEAKGLNQKWKLESFHHIEFDADEICDLDEENLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGGGVKVLAREMEILGTIRHRNIVKLYACLMNGGSNYLVFEYMPNGNLFQALHRKIKFGKPELDWDQRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDEAYEAKVSDFGVARISEISQRGSEFSCFAGTHGYMAPEMAYTLRVTEKSDVYSFGVVLLELVTGRNPIDDAYGEGKDIVYWVSTHLDNLESILKVLDANVVSELVQDDMMKVLRIATLCTTKLPNLRPSMKEVVKMLVDAEPSVFKSTGNHHKNEKVLL >itb04g20720.t2 pep chromosome:ASM357664v1:4:25609613:25614504:1 gene:itb04g20720 transcript:itb04g20720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHTMARRNPKLLSLQIPANLLLLFLFFLPSKPLSVETEALLEFKKQLSDPLNYLDSWEASESPCQFYGITCDPRTGLVTGISLDNKSLSGVISPSISMLQALTSLVLPSNALSGVLPTELSKCASLRVLNVTGNNLNGSLPDLSKLTNLEILDLSINYFSGEFPTWVANLTGLVSLGLGNNDFDEGEIPESIGNLKKLTWLYLAHSNLRGEIPDSIFGLEALQTLDICMNRISGNFPKLIGKMQSLYKIELYNNNLTGELPAELADLALLEEFDISNNQMYGALPRGIGNLEKLTVFHVFKNNFSGELPSGFGDMQHLVSFSIYQNSFSGTFPENLGKFSPLSSIDISENQFSGGFPKYLCQNGKLQYLLAVENRFTGEFPETYATCTPLERLRVTENQFSGKVPDGVWALPNVKMIDFSSNGFSGGISPRIGAATNLNQLILSNNKFSGQLPKQLGNLLLMERLYLDNNGFFGLLPSELGMLKQLSSFHLEKNAFVGSIPAELGHCSRLADMNLALNNLSGSIPDSITTMPSLLNSLNLSNNKLTGSIPRSLDNLKLSSIDLSDNQLSGEVSYDLLIMGGDKAFLGNKGLCIDHSIKSEVNTELSVCGGHTVQHKFIKNKVAVLSIALLATTALLGGFLIVSYWNYKQSMRNAKKLEEAKGLNQKWKLESFHHIEFDADEICDLDEENLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGGGVKVLAREMEILGTIRHRNIVKLYACLMNGGSNYLVFEYMPNGNLFQALHRKIKFGKPELDWDQRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDEAYEAKVSDFGVARISEISQRGSEFSCFAGTHGYMAPEMAYTLRVTEKSDVYSFGVVLLELVTGRNPIDDAYGEGKDIVYWVSTHLDNLESILKVLDANVVSELVQDDMMKVLRIATLCTTKLPNLRPSMKEVVKMLVDAEPSVFKSTGNHHKNEKVLL >itb04g20720.t1 pep chromosome:ASM357664v1:4:25609613:25614504:1 gene:itb04g20720 transcript:itb04g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHTMARRNPKLLSLQIPANLLLLFLFFLPSKPLSVETEALLEFKKQLSDPLNYLDSWEASESPCQFYGITCDPRTGLVTGISLDNKSLSGVISPSISMLQALTSLVLPSNALSGVLPTELSKCASLRVLNVTGNNLNGSLPDLSKLTNLEILDLSINYFSGEFPTWVANLTGLVSLGLGNNDFDEGEIPESIGNLKKLTWLYLAHSNLRGEIPDSIFGLEALQTLDICMNRISGNFPKLIGKMQSLYKIELYNNNLTGELPAELADLALLEEFDISNNQMYGALPRGIGNLEKLTVFHVFKNNFSGELPSGFGDMQHLVSFSIYQNSFSGTFPENLGKFSPLSSIDISENQFSGGFPKYLCQNGKLQYLLAVENRFTGEFPETYATCTPLERLRVTENQFSGKVPDGVWALPNVKMIDFSSNGFSGGISPRIGAATNLNQLILSNNKFSGQLPKQLGNLLLMERLYLDNNGFFGLLPSELGMLKQLSSFHLEKNAFVGSIPAELGHCSRLADMNLALNNLSGSIPDSITTMPSLLNSLNLSNNKLTGSIPRSLDNLKLSSIDLSDNQLSGEVSYDLLIMGGDKAFLGNKGLCIDHSIKSEVNTELSVCGGHTVQHKFIKNKVAVLSIALLATTALLGGFLIVSYWNYKQSMRNAKKLEEAKGLNQKWKLESFHHIEFDADEICDLDEENLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGGGVKVLAREMEILGTIRHRNIVKLYACLMNGGSNYLVFEYMPNGNLFQALHRKIKFGKPELDWDQRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDEAYEAKVSDFGVARISEISQRGSEFSCFAGTHGYMAPEMAYTLRVTEKSDVYSFGVVLLELVTGRNPIDDAYGEGKDIVYWVSTHLDNLESILKVLDANVVSELVQDDMMKVLRIATLCTTKLPNLRPSMKEVVKMLVDAEPSVFKSTGNHHKNEKVLL >itb04g20720.t4 pep chromosome:ASM357664v1:4:25609613:25614504:1 gene:itb04g20720 transcript:itb04g20720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHTMARRNPKLLSLQIPANLLLLFLFFLPSKPLSVETEALLEFKKQLSDPLNYLDSWEASESPCQFYGITCDPRTGLVTGISLDNKSLSGVISPSISMLQALTSLVLPSNALSGVLPTELSKCASLRVLNVTGNNLNGSLPDLSKLTNLEILDLSINYFSGEFPTWVANLTGLVSLGLGNNDFDEGEIPESIGNLKKLTWLYLAHSNLRGEIPDSIFGLEALQTLDICMNRISGNFPKLIGKMQSLYKIELYNNNLTGELPAELADLALLEEFDISNNQMYGALPRGIGNLEKLTVFHVFKNNFSGELPSGFGDMQHLVSFSIYQNSFSGTFPENLGKFSPLSSIDISENQFSGGFPKYLCQNGKLQYLLAVENRFTGEFPETYATCTPLERLRVTENQFSGKVPDGVWALPNVKMIDFSSNGFSGGISPRIGAATNLNQLILSNNKFSGQLPKQLGNLLLMERLYLDNNGFFGLLPSELGMLKQLSSFHLEKNAFVGSIPAELGHCSRLADMNLALNNLSGSIPDSITTMPSLLNSLNLSNNKLTGSIPRSLDNLKLSSIDLSDNQLSGEVSYDLLIMGGDKAFLGNKGLCIDHSIKSEVNTELSVCGGHTVQHKFIKNKVAVLSIALLATTALLGGFLIVSYWNYKQSMRNAKKLEEAKGLNQKWKLESFHHIEFDADEICDLDEENLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGGGVKVLAREMEILGTIRHRNIVKLYACLMNGGSNYLVFEYMPNGNLFQALHRKIKFGKPELDWDQRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDEAYEAKVSDFGVARISEISQRGSEFSCFAGTHGYMAPGKLHESILSFLILPISHQHNHISTDFCTSFVRRDGVYA >itb04g20720.t3 pep chromosome:ASM357664v1:4:25609613:25614504:1 gene:itb04g20720 transcript:itb04g20720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHTMARRNPKLLSLQIPANLLLLFLFFLPSKPLSVETEALLEFKKQLSDPLNYLDSWEASESPCQFYGITCDPRTGLVTGISLDNKSLSGVISPSISMLQALTSLVLPSNALSGVLPTELSKCASLRVLNVTGNNLNGSLPDLSKLTNLEILDLSINYFSGEFPTWVANLTGLVSLGLGNNDFDEGEIPESIGNLKKLTWLYLAHSNLRGEIPDSIFGLEALQTLDICMNRISGNFPKLIGKMQSLYKIELYNNNLTGELPAELADLALLEEFDISNNQMYGALPRGIGNLEKLTVFHVFKNNFSGELPSGFGDMQHLVSFSIYQNSFSGTFPENLGKFSPLSSIDISENQFSGGFPKYLCQNGKLQYLLAVENRFTGEFPETYATCTPLERLRVTENQFSGKVPDGVWALPNVKMIDFSSNGFSGGISPRIGAATNLNQLILSNNKFSGQLPKQLGNLLLMERLYLDNNGFFGLLPSELGMLKQLSSFHLEKNAFVGSIPAELGHCSRLADMNLALNNLSGSIPDSITTMPSLLNSLNLSNNKLTGSIPRSLDNLKLSSIDLSDNQLSGEVSYDLLIMGGDKAFLGNKGLCIDHSIKSEVNTELSVCGGHTVQHKFIKNKVAVLSIALLATTALLGGFLIVSYWNYKQSMRNAKKLEEAKGLNQKWKLESFHHIEFDADEICDLDEENLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGGGVKVLAREMEILGTIRHRNIVKLYACLMNGGSNYLVFEYMPNGNLFQALHRKIKFGKPELDWDQRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDEAYEAKVSDFGVARISEISQRGSEFSCFAGTHGYMAPGKLHESILSFLILPISHQHNHISTDFCTSFVRRDGVYA >itb15g08530.t1 pep chromosome:ASM357664v1:15:5979495:5980365:-1 gene:itb15g08530 transcript:itb15g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQENQPKQLADEATNHSGMDGSSGEKTSWPELVGQTPEEAEKKIKEESPKISVQVVPPNSFVSMDYRLDRVRIFVDTSGKVARSPKLG >itb04g03730.t1 pep chromosome:ASM357664v1:4:2336409:2341216:-1 gene:itb04g03730 transcript:itb04g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQSKTANVQSPDQEPSHPDSKPDLVDDNPGDQEQVPAFTEFSLAELRTATNGFSSEMIVSESGEKAPNVVYRGKLRSNQIVAIKRFSKQSWPDPQQFVGEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVACHIAQALDHCDAENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDAAALVELASKCLQYEARDRPNIKFILTAVEPLQKQKEVASHVLMGLTKTAPVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKSAIEYYSKLVSMMSIASGTVFVRRALSYLMIGQPELALRDAMQAQVCLPEWPTAFYVQALALSKLGMETDAQDMLSDGASFEAKKLNSWRN >itb04g03730.t2 pep chromosome:ASM357664v1:4:2336409:2340565:-1 gene:itb04g03730 transcript:itb04g03730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSESGEKAPNVVYRGKLRSNQIVAIKRFSKQSWPDPQQFVGEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVACHIAQALDHCDAENRKIYHDLNAYRILFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDAAALVELASKCLQYEARDRPNIKFILTAVEPLQKQKEVASHVLMGLTKTAPVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKSAIEYYSKLVSMMSIASGTVFVRRALSYLMIGQPELALRDAMQAQVCLPEWPTAFYVQALALSKLGMETDAQDMLSDGASFEAKKLNSWRN >itb03g18180.t2 pep chromosome:ASM357664v1:3:16534868:16536199:1 gene:itb03g18180 transcript:itb03g18180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGTMNRQMNLPALQRIMQEFEMQNEKMEMVSEVMGEAIDDALEGDEEEEETEELVNQVLDEIGININSELVNAPSSAIAAVPATKNNVPQAEATGNEDAGIDSDLQARLDNLRRM >itb03g18180.t1 pep chromosome:ASM357664v1:3:16533763:16536199:1 gene:itb03g18180 transcript:itb03g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKKKTPAELLRENKRMLDKSIREIDRERQALQAQEKKLIMEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGTMNRQMNLPALQRIMQEFEMQNEKMEMVSEVMGEAIDDALEGDEEEEETEELVNQVLDEIGININSELVNAPSSAIAAVPATKNNVPQAEATGNEDAGIDSDLQARLDNLRRM >itb03g18180.t3 pep chromosome:ASM357664v1:3:16535282:16536199:1 gene:itb03g18180 transcript:itb03g18180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMKGVTKAMGTMNRQMNLPALQRIMQEFEMQNEKMEMVSEVMGEAIDDALEGDEEEEETEELVNQVLDEIGININSELVNAPSSAIAAVPATKNNVPQAEATGNEDAGIDSDLQARLDNLRRM >itb12g09870.t1 pep chromosome:ASM357664v1:12:7861085:7863115:1 gene:itb12g09870 transcript:itb12g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRHDCSCYWWYSWPWVNNVARLITKSALDYDAQDFSDTVGTMLEASFHLSQLAHPLLKASGNGSIVFISSCASLVYIPVHTIYAASKGAINSLTSNLACEWANDNIRVNAIAPWAMRTSLTEAAKEEHGELLETMIQRTPQHRLAEPREISAAVAFFCFPAASFITGQVICVDGGATVYGL >itb12g08420.t1 pep chromosome:ASM357664v1:12:6609698:6622638:1 gene:itb12g08420 transcript:itb12g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNYSTTPSPSNSSQNDGNPESETPESLVPFSSDPPAEKNSQESQDEIAEGEEDEEGECSFCVFMKRGACKEPFIEWNKCVEECAVAASAVAMEELEMEEEKIFVGGWMTTAHIPWKEVEAKIFALNAVAEEILSKAPYFDFSFILHLVTILSSKTPDELKGFMRIVYKSLADVVGSYSKLISASLSDARPLLSFLATGIAQSFCSSACACALRKLCEDVPALMYEPSCLEILIWIGEGLEEWVLPLENEEEVVAAITLIVGSVPNEELKNTLFSRLLSPSYEAVGKLIDENQEYPLIKDPATYTQVVNSARRGLHRMGTVFSHYPTNSSTDPSFNNSLLAMLGVFWQMLEKLFQSDHIENASLSMAACKALSQAIQSSGQPFVPLLPKVLDCLSSNFGSFQNHDCYIRTASIIIQEFGAREEYGPLFISTFDRFINATSIMSLTSSYICDQEPDLVEAFTNFATTFIRCAPKEVLALSGSIIELSFQKAAICCTAMHRGAALAAMSFMSNFLEVSLTALLESVAHVSEMQPIACISERSVEAMAIKVISHSGEGLVSNLIYALLGVSAMSRVHKSATVFQQLAAVCSVNERTTWKAILCWESLHGWLHSAVQTLPSEYLKQGEAESLVPIWLKALMAAASDYIESRQCNGRAKDYCHMQGKGGRTLKRLIREFADGHRNISNLT >itb07g01200.t1 pep chromosome:ASM357664v1:7:724840:727369:-1 gene:itb07g01200 transcript:itb07g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRDQPPRGEGVKQKNVAGDGRNRKVLRDIGNLRNAPLDQIGRPITRGFCAQDKIKKPVVAAQKKEAAVKPSPARSVIVISSDEEDEVEILSGKNKKKTGKTFSSTLTARSKAACGITKKQQNEQILEIDAADSGNELAVLDYVEDIYKFYKLSEDEGRVGDYMAAQPEINAKMRAILVDWLVEVHRKFELMPETFYLTVNLIDRFLSMKTVPRKELQLVGISAMLIACKYEEIWAPEVNDFIMISDNAYVRAQVLAMEKTILGKLEWYLTVPTPYVFLVRYIKAAAAAAAACGGGADDGKEMENMSFFLAELGVMNYSAAVVYGPSKLAASAVYVARCTINAAAAPRWTETLRHHTGFSEEEIADCAKMLVGFHSGAAENKLKAVYRKFSSPERGAVALFPPAAALLPPPTAAAAITC >itb06g18720.t1 pep chromosome:ASM357664v1:6:22326450:22329354:-1 gene:itb06g18720 transcript:itb06g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLPLDQLQSGCGYARSLAEPNNFREKLRLSGHLSVNSDKKQRSLFSRIKCSFVEPGLVRPPRPISKPKPSKMEDVLREEIRGDETPREDLRSSICEEIEKLVSHKRYREALEFFDILYCAGDLDVGVTTYDALINACMELRSIRGAKRVYDHIVRSGFRPDMYMSNRILLMHVRCGLMLDARQLFEEMPQKNYVSWNKMVAGLVESGDYVEAFQLFIRKSEYSDAGPRLISPMIVAAAGLELISAGKQLHCFAVKLGLADDIFISCSLINMYSKCGSIEDAQFVFDEMPEKTSVGWNTIIAGYAFNGYSEEALCLYYEMQEAGVQMSSATFTIVLRVCTRLASVEHAKQAHAGLVRHGFGSDIIANTALIDFYSKWGRIEDARNVFNKMPKKNVISWNALIAGYGNQGRGVEAVELFDKMAYEGMVPNHVTFLAVLSACSYSGLTDLGWEIFDSMRRDYKVKPRQMHYACMIELLGREGLLDEACSLLRDVPFRPSLNMWAALLTACRVHENSVLGKLAAERLYELGPKKLSNYIVLLNIYNSLGKLEEAAAVVQTLKRKGLRMMPACTWIEIQKQQVVFYSADKHHAQTKEIYENLDNLMLEISKHGYVAKERTLLPDVDESEHKLLPYHSERLAISFGLINTPSGSPLQLVQSHRICNDCHNAIKLIAMVTDREFVIKDDSRFHRFKNGRCSCGDYW >itb14g01930.t1 pep chromosome:ASM357664v1:14:1590741:1592483:-1 gene:itb14g01930 transcript:itb14g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLASLSVKSVIPTRCFSIPRIKEYLVDIEKREVKEGDDHHFQDKKQTLLLKLIEPESRKQISIVAHFVHVNSIIKEMRKFYDLINLNNPCGTKPLKISVIMSAESSNAVRSIKGMIAPTPPPPPLPPTIPGLTDITINNPAKQSSKAAEGSRRGFYWPPSGVVKCNDSVNLKQQNVILIMAMLTAATTFLAACSFAGVVSQDSETTRDYSSLAGPFVLLNSAGFIASVAVIMSVLHQLPLKPWPQISVCSWFGSYMCLMMKLSPHEALLLLCVSLPLLMLTAMGKLIGFSQ >itb07g05770.t1 pep chromosome:ASM357664v1:7:3971584:3973010:-1 gene:itb07g05770 transcript:itb07g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVIGGKLKLKGKALDVKASGMKKKKKKQKNNYGNISQSNENELSAGESAELLVDSNQEEIKDASKTVTEGDAARDYLTPAERRYMEQRERIDMQKMAKTANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >itb03g09740.t1 pep chromosome:ASM357664v1:3:7557854:7559930:1 gene:itb03g09740 transcript:itb03g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGVIGKDSDKTDEAELQTAVNNATALLHEYDTSVVEYTSFADTKTIPGHYVIYWELLMKDPANPPSDDVLNKCCLVMEESMNSVYRQCRVADNSIGPLEIRVVKSGTFEEVMDLAISRGASINQYKAPRCVNYTPIVELLDSRTVSAHFSPAAPHWTPARGR >itb08g01900.t1 pep chromosome:ASM357664v1:8:1532961:1537192:1 gene:itb08g01900 transcript:itb08g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENFSDKNAVFRKLRSRAENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKTMYFGGNNRAQVFFKQHGWADGGKVDAKYSSRAAELYRQLLAKEVAKSVTEDADSAAPPVTSQAVQSANGFSEKKIDEEPKQTSFVQDETPEISAPPKASLPVTSSVKKPILAKKTGKSGGLGARKLTNKPRESLYDQKPEEPPVQEPSVSANKTTTAGSSFTSRFEYTENSQAAEENPGGPRVLNHVAPPKATNFFAEYGMDSGFAKKTSSNSSKVQVEETDEARKKFSNAKSISSAQFFGDQNKAAAEASVSLQKFSGSSAISSADLFGHNEDDSTIDLAASDLINRLSFQAQQDISSLKNMAGETGRKLSSIASTLMSDFQDRIL >itb07g07190.t2 pep chromosome:ASM357664v1:7:5480693:5486487:1 gene:itb07g07190 transcript:itb07g07190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWGPPKKFVGGSWNPNQEKGDIISIDNGRTATLLLDWINKQLGSSYGLDDEKYENEHIETSTADLEQIARAIYDVEEATSTAFDIILGHKMIKSETKASLITFLQLLVAHHPSRRCRKGSGEILVIFDDICSSEALSKNKDETVDCTKKGVLGQYQICGKEVPRGNWMFCHGSMNDTRGFSCGLWVLLHSLSVRVEDGESEMAFKATCDFIHNFFLCDECREHFHEMCSSVSSPLKTARDYVLWLWKAHNNVNDRLMKQEASLGTGDPKFPKVIWPPKQLCPSCYLRQSKNGDGNNRIKWDHNEVFKFLVSYYGKTLVTLDKDKELLVGDGDKATSEDLVASANMVAVPVGAAFAIGVASCTFGALACFWRSRQKTRKPKESWKDYRANALAVK >itb07g07190.t1 pep chromosome:ASM357664v1:7:5480693:5486592:1 gene:itb07g07190 transcript:itb07g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWGPPKKFVGGSWNPNQEKGDIISIDNGRTATLLLDWINKQLGSSYGLDDEKYENEHIETSTADLEQIARAIYDVEEATSTAFDIILGHKMIKSETKASLITFLQLLVAHHPSRRCRKGSGEILVIFDDICSSEALSKNKDETVDCTKKGVLGQYQICGKEVPRGNWMFCHGSMNDTRGFSCGLWVLLHSLSVRVEDGESEMAFKATCDFIHNFFLCDECREHFHEMCSSVSSPLKTARDYVLWLWKAHNNVNDRLMKQEASLGTGDPKFPKVIWPPKQLCPSCYLRQSKNGDGNNRIKWDHNEVFKFLVSYYGKTLVTLDKDKELLVGDGDKATSEDLVASANMVAVPVGAAFAIGVASCTFGALACFWRSRQKTRKYKYLHSFKNI >itb09g10190.t1 pep chromosome:ASM357664v1:9:6344465:6347756:-1 gene:itb09g10190 transcript:itb09g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGANLEGDTANFIETEQFLEFGSLRSSFLQVRGSIPLLWEQIVDLSYKPQLNIIYHEETPKVVERHFHDLSQRYGEVVALDLTDKQGDEGKLSAAYAAEMQKLPNVRYVSFDFHHICGSSSFENIELLYDQISEDFEKQGFFMVDKAGEILAEQKGIIRSNCIDCLDRTNVTQCYLAQKSLNSQLQRLGAISSTECISTFSEDFGIFKALWVQHGDEISLEYSGTYALKGDLVRYGKQTVAGLLRDGMSALSRYFLNNFQDGVRQDAMDLISGRYTVNRFCSSPAQRNGFDSITYLPVASALLLGGLTVTTVTLNQGQNAQSILPSVLCAGVTAGVMAIVKTNGRQFCSRPRLCGLL >itb02g22900.t1 pep chromosome:ASM357664v1:2:22618103:22628111:-1 gene:itb02g22900 transcript:itb02g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGNQLLQKHCDSGAANALKGLKFISQTDGGAEWATVERRFDDLAASTNGLLPKPKFGECIGMKRGSEEFAGVELFDALCRRRNITIDDSIDKAQLREFWEQIGDQSFDSRLQIFFDMVDKDDRITEEEIKEVISLSASANKQSKFQELAEEYASLIMEELDPGNLGYIVIENLEMLLLQDPNQSERAHKNRNLKLKPTAEPNLVVRWLKDFRYFLSANWQRVWVLLLWIGIMGGLFGWKYVQYKNKAAYDVMGACVCLAKGAAETLKLNMALILLPVCRNTLTWLRNKAKLGVVVPFDDNLNFHKVIAMAVAIGVGIHAFSHLTCNFVKLLHASPETYEPMVRYLGKQPESYWHFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKVKLPKPFNKFTGFNAFWYSHHLFVIVYVLLFVHGIHIVQKWYNKTTWMYLAVPVLLYVGERFIRVLRSSIKPVKILKAVIYPGNVLTLHMSKPQGFRYKSGQYMFVNCAVISPFEWHPFSITSAPGDDYLSVYIRNSGDWTRQVRNKFAEVCQPPPNGKSGLLRADCLQGQNNPNFPKVLIDGPYGAAAQDYKKYEVVLLVGLGIGATPMVSIVKDILNNTKAMEDEDIETGINGGTSSRLQDDHRRKFAGPSSRRNKFKTRRAYFYWAAREQGAFEWFKGIMNGVAEMDHKNAIEIHNYCTSIYEEGDNRSALIAMLKSLHKANNGVDIDTVPSTAVRTHFGRPDWHEVYKRIASNHNNETIGVFYCGVPTLTKELKQLALDFSRYTSTKFDFHKETF >itb11g06970.t1 pep chromosome:ASM357664v1:11:4209718:4213851:1 gene:itb11g06970 transcript:itb11g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANPKPAVAGITKLLSIEVAEVVGIARRCWIKFSKEAISALYTPFVICLASGNLNIQSFRDYIAQDVHFLKAFAQAFELAEECADDDDAKIGIGELRKDVLEELKMHDSFVQEWGLDMVKESTINPATSKYTDFLLATASGKVEGVKAPGKLATPFEKTKLAAYTLGAMTPCMRLYAYIGKELQGLLDGKSNHPYRKWIENYSSDGFQASALQTEDLLDKLSVALTGEELAIIEKLYYQAMKLEIGFFLAQPLSQKTVIPLIRYHDPAEHCLMLFSDFDLTFSVVDSSAILAEIAIMKASKSSQIQSENKSTSMAAADLRNTWGVLSKHYIEEYDQCIENMLVTEKAEKFDYEGLRKALEQLSDLEKRENLRVIESRVLKGLDLEDIKRAGELMILQDGCTSFFQSIITNEKLDADINVLSYCWCADLIRSAFSSRGLDALKVHANEFRYEESLSTGEIITKVESPINKLQVFNKILDSRGNGKKKLTVYIGDSIGDVLCLLEADVGILIGSSPSLKRLGDHFGITFTPLFPAVVEKQKKWMEEEDSSTWKGLSGVLYTVSSWAEIHAFILGS >itb11g06970.t2 pep chromosome:ASM357664v1:11:4209718:4213788:1 gene:itb11g06970 transcript:itb11g06970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVRSCRGFLMVKVIIHTGSGLRITPPMVSRFLYFHASALQTEDLLDKLSVALTGEELAIIEKLYYQAMKLEIGFFLAQPLSQKTVIPLIRYHDPAEHCLMLFSDFDLTFSVVDSSAILAEIAIMKASKSSQIQSENKSTSMAAADLRNTWGVLSKHYIEEYDQCIENMLVTEKAEKFDYEGLRKALEQLSDLEKRENLRVIESRVLKGLDLEDIKRAGELMILQDGCTSFFQSIITNEKLDADINVLSYCWCADLIRSAFSSRGLDALKVHANEFRYEESLSTGEIITKVESPINKLQVFNKILDSRGNGKKKLTVYIGDSIGDVLCLLEADVGILIGSSPSLKRLGDHFGITFTPLFPAVVEKQKKWMEEEDSSTWKGLSGVLYTVSSWAEIHAFILGS >itb09g29400.t2 pep chromosome:ASM357664v1:9:30133375:30140067:1 gene:itb09g29400 transcript:itb09g29400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRSFFLRVRAFHGRKVDELDKTISIASKDPSWYGINEVELEKRRRWMSPKWSPKLYSRCKKNCFFWECVYSWYKPSEETLLQIDRFYLSIIAECDMTTNRKLAPLSRPLSQQSGASAASTTLSHLLRKSFNSQLNPSNVKESPKNKEISTVSAFDSPILEEVDTLEDHDFMAVDVLRWYRDQQLSSTLPNSDQILNPKDVGGHNFLEVGAAALLVGDMEAKMKDSASAHAHLRAITALKRSKAGPNQIWEDSPVSTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETPAPNALFMTTSSKLSNNSGKPSIDVAASVLVKLAIDMWMWLCFQTERTTKQAEAKADDADAAASRPPLRIANLRFWYFSR >itb09g29400.t3 pep chromosome:ASM357664v1:9:30133375:30134576:1 gene:itb09g29400 transcript:itb09g29400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLLSTFHQWECIPNSGEHQQLVKELLSACESISWQVDELDKTISIASKDPSWYGINEVELEKRRRWMSPKWSPKLYSRAAR >itb09g29400.t1 pep chromosome:ASM357664v1:9:30134655:30140067:1 gene:itb09g29400 transcript:itb09g29400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFFEAKYKPSEETLLQIDRFYLSIIAECDMTTNRKLAPLSRPLSQQSGASAASTTLSHLLRKSFNSQLNPSNVKESPKNKEISTVSAFDSPILEEVDTLEDHDFMAVDVLRWYRDQQLSSTLPNSDQILNPKDVGGHNFLEVGAAALLVGDMEAKMKDSASAHAHLRAITALKRSKAGPNQIWEDSPVSTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETPAPNALFMTTSSKLSNNSGKPSIDVAASVLVKLAIDMWMWLCFQTERTTKQAEAKADDADAAASRPPLRIANLRFWYFSR >itb14g00290.t1 pep chromosome:ASM357664v1:14:200507:201117:1 gene:itb14g00290 transcript:itb14g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAFPPNLKHLTLQGCRRPWKEMSILSALPKLEVLKLKDCAFEGPEWELTEEEVFCQLKAMVIDIADLEQWEACSIHFPNLHSLVLKYCKHLNEIPIGIGDINTLQLIELWACSTSAYDSAERIQEEQQNSGNDGLTVRIHRVHNDEWRTYTPGSSSAVNSEAEI >itb03g05360.t1 pep chromosome:ASM357664v1:3:3689244:3693213:-1 gene:itb03g05360 transcript:itb03g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKIIFILVLCCSQFIFSQAEKNFTVQFSDFDQNNYPDNLHFLNAEIDSNAIQVTKDTANNRQVFQVINQSGRAFLDKPFRLWDGDKSENTSSVASFESYFRINVAPQNDTAGEPPPNNTLGGLAFLIAPNMDSPPANSSGKFLGLTNASTDGNSTNQIIAVEFDNSMQDSDPDDNHIGIDINSYTSKKVQSLTPLGIILAPINDTVFYDVWVKYNGTAKVMEVYIAKQEDPNGQTKPKPGSPILTSELDIRQYLNQESYFGFSASNGAGYQLNCVLRWNFTITYFPEKNDWWKIGVGVGVPVVVVGLIVVAWLVYLVQKKRKLRNPSLILGALKSLPGTPREFKFKELKKATENFGEKNKLGQGGYGVVYKGWLAGEGLEIAVKWFSRESIKGQGDFLAELSIINRLRHKHLVKLLGWCHRHGKLLLVYEYMPHGSLDQHLFIGGETDPLSWELRCKIVSGVGYALQYLHNEFEQRVVHRDLKASNIMLDSGFNARLGDFGLARALDNERTSYTEGEGVAGTIGYIAPECLLTGKATEQSDVYAFGAVLLEVVCGQRPGTKIGGFQSLVDWVWFLHRDGRILEAVDKRLKEDFVVEEAKRLLLLGLACSHPIANERPRTSEVVQIILGSFPVPNVPPFRPAFVWPSALPIDIDSSQTDTTTIPISPFNSGWSQTNTEHTNSLV >itb13g15880.t2 pep chromosome:ASM357664v1:13:22788273:22792303:1 gene:itb13g15880 transcript:itb13g15880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTFILLHPQAALLTMKKRNKSYTPTQPNTVPSTPPSPQILRATAAKISSSSSSYSSKKSLSLTLTTQQDTNWVPLNLGRAELYLPLTFPTGQTFRWKQTGPLQFTGVVGGSHLVSLKQLENGDVGYHLHRTASVDDFAAARAALRDFLNVGVSLGEIWETFKTSDPRFAELADHLGGARVLRQDPLECLIQFICSSNNNIKRITLMVDFISSLGNYLGTVEGFKFYEFPTLDRLSRVSDAELREAGFGYRAKYIIGTVKALQSKPGGGYEWLASLRERDLHEAVDSLCTLPGVGPKVAACVALFSLDQHHAIPVDTHVWQVIFQGL >itb13g15880.t1 pep chromosome:ASM357664v1:13:22788273:22792837:1 gene:itb13g15880 transcript:itb13g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTFILLHPQAALLTMKKRNKSYTPTQPNTVPSTPPSPQILRATAAKISSSSSSYSSKKSLSLTLTTQQDTNWVPLNLGRAELYLPLTFPTGQTFRWKQTGPLQFTGVVGGSHLVSLKQLENGDVGYHLHRTASVDDFAAARAALRDFLNVGVSLGEIWETFKTSDPRFAELADHLGGARVLRQDPLECLIQFICSSNNNIKRITLMVDFISSLGNYLGTVEGFKFYEFPTLDRLSRVSDAELREAGFGYRAKYIIGTVKALQSKPGGGYEWLASLRERDLHEAVDSLCTLPGVGPKVAACVALFSLDQHHAIPVDTHVWQIATRYLIPELAGTSLTPKLCTRVADAFVNKYGKYAGWAQTLLFIAELPTQKAVLPSSLQNTKGEKHFEKKRKMGGNQKILNE >itb10g15290.t1 pep chromosome:ASM357664v1:10:21541262:21545851:1 gene:itb10g15290 transcript:itb10g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNVYGPYTLFDLGLTDPAIIESLNLYNHPQITAGGFPGLGALTGLSSGNRDASPPRHRHDGTSPLPLGMDWSPPPRVWDGQNTVWPHDFHTGWSYCVTIPSWKLSSESSASESVVLYEVLVGIQSPQGITTTRGIQRRFSDFVTLSSDLRKEFPNKKLPPAPRRGLMRIKSSDLLEERRRLLEDWMTEVLSDIDFSRSLSVAIFIELEAAVRSSFNESNNNVPDEGSPISGVVVQPVEFPNIPNNNWTTSSPELPNVAGALETLDEPPDLNIQSSKVKDLETEAESAEQSSEGNMQQPVLTEKEQLTKMLGDMDELRRKCLETEASLKAEQDKKLQAVSTNRFLLQENEKLRQELDAAHQQLENLQKHKTSDLDSKTDRELLVKEVESLRSSNSEMTQELSILTKQKTEVEAILHEERQSREQTDSVNAKLLQQCMILRTRLEECSVNFQIDSENKLIMDTPTPSDPIDISVTSDNQIGLLLAEVELLAQDVVTSNGDNSRTRNTELRKVLTDLLTDSARLRKQVNSVIRCALSPPTRSEKDEEDSTPRKTVPHSEE >itb10g15290.t2 pep chromosome:ASM357664v1:10:21541333:21545848:1 gene:itb10g15290 transcript:itb10g15290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNVYGPYTLFDLGLTDPAIIESLNLYNHPQITAGGFPGLGALTGLSSGNRDASPPRHRHDGTSPLPLGMDWSPPPRVWLYEVLVGIQSPQGITTTRGIQRRFSDFVTLSSDLRKEFPNKKLPPAPRRGLMRIKSSDLLEERRRLLEDWMTEVLSDIDFSRSLSVAIFIELEAAVRSSFNESNNNVPDEGSPISGVVVQPVEFPNIPNNNWTTSSPELPNVAGALETLDEPPDLNIQSSKVKDLETEAESAEQSSEGNMQQPVLTEKEQLTKMLGDMDELRRKCLETEASLKAEQDKKLQAVSTNRFLLQENEKLRQELDAAHQQLENLQKHKTSDLDSKTDRELLVKEVESLRSSNSEMTQELSILTKQKTEVEAILHEERQSREQTDSVNAKLLQQCMILRTRLEECSVNFQIDSENKLIMDTPTPSDPIDISVTSDNQIGLLLAEVELLAQDVVTSNGDNSRTRNTELRKVLTDLLTDSARLRKQVNSVIRCALSPPTRSEKDEEDSTPRKTVPHSEE >itb01g07760.t2 pep chromosome:ASM357664v1:1:6128053:6138953:-1 gene:itb01g07760 transcript:itb01g07760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGELYSGMADAAALIEVSGSRFSDLELIGRGSFGDVYKGYDKELNKEVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLQNTKLWIIMEYMAGGSVADLIQPNLPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLSKNGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRETPPQLDDHFSRSMKEFVSSCLKKIPAERPSAKELIKHRLIRNARKSPRLLERIRERPKFQLKDDVETPRNGIKPLGEASGTIKVTSSGVEDTVRVSGEGKTFKSAGWDFSLGGSSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSSSGTVLYKSSEEEFSASGTGTVVVRSPRVVQSSLASDRSSMSSSAYASFDDASTSGTIVYRGRNDEPDSPQSPRSWSGIQDRSSSASFEDSETNLSEAKASIQAGLKKGNVRERPMMGKAYRDGHENRSTGPSTASSDSSRNSRDYFEAQKAFSRPHHTRDDAAARIPPPPSLSILLIPSIKEAVAGEVEGPFVQTMTNSFLEMERMKPGSCDLFVSRLFQRLASSKESSLKDLQDLATRIFTKGRMETDNATAEADSKKKQQSKELQSNSNMSPLARFLLSRWQGHHASRDLNS >itb01g07760.t3 pep chromosome:ASM357664v1:1:6127796:6138505:-1 gene:itb01g07760 transcript:itb01g07760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGELYSGMADAAALIEVSGSRFSDLELIGRGSFGDVYKGYDKELNKEVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLQNTKLWIIMEYMAGGSVADLIQPNLPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLSKNGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRETPPQLDDHFSRSMKEFVSSCLKKIPAERPSAKELIKHRLIRNARKSPRLLERIRERPKFQLKDDVETPRNGIKPLGEASGTIKVTSSGVEDTVRVSGEGKTFKSAGWDFSLGGSSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSSSGTVLYKSSEVFSNKDHENACIDQKQDSFHKDEEFSASGTGTVVVRSPRVVQSSLASDRSSMSSSAYASFDDASTSGTIVYRGRNDEPDSPQSPRSWSGIQDRSSSASFEDSETNLSEAKASIQAGLKKGNVRERPMMGKAYRDGHENRSTGPSTASSDSSRNSRDYFEAQKAFSRPHHTRDDAAARIPPPPSLSILLIPSIKEAVAGEVEGPFVQTMTNSFLEMERMKPGSCDLFVSRLFQRLASSKESSLKDLQDLATRIFTKGRMETDNATAEADSKKKQQSKELQSNSNMSPLARFLLSRWQGHHASRDLNS >itb01g07760.t1 pep chromosome:ASM357664v1:1:6127796:6138505:-1 gene:itb01g07760 transcript:itb01g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGELYSGMADAAALIEVSGSRFSDLELIGRGSFGDVYKGYDKELNKEVAIKVIDLEESEDEIEDIQKEIAVLQQCRSPYITEYYGSYLQNTKLWIIMEYMAGGSVADLIQPNLPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLSKNGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRETPPQLDDHFSRSMKEFVSSCLKKIPAERPSAKELIKHRLIRNARKSPRLLERIRERPKFQLKDDVETPRNGIKPLGEASGTIKVTSSGVEDTVRVSGEGKTFKSAGWDFSLGGSSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSGTGTVRSVVNPTKARDWKPEIPSNEAPSRKNTDGVNLGSSSGTVLYKSSEVFSNKDHENACIDQKQDSFHKDEEFSASGTGTVVVRSPRVVQSSLASDRSSMSSSAYASFDDASTSGTIVYRGRNDEPDSPQSPRSWSGIQDRSSSASFEDSETNLSEAKASIQAGLKKGNVRERPMMGKAYRDGHENRSTGPSTASSDSSRNSRDYFEAQKAFSRPHHTRDDAAARIPPPPSLSILLIPSIKEAVAGEVEGPFVQTMTNSFLEMERMKPGSCDLFVSRLFQRLARLDT >itb13g26010.t1 pep chromosome:ASM357664v1:13:31361814:31362445:-1 gene:itb13g26010 transcript:itb13g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPNSRQSLPPFFLAKTKPTTPFFSGQPTLSPSGQPNTVTSHRRRPGTPPDHLLRFLFSSGQEDRITRSFTDDGYFTVDLFTTCTVFTVMESDEEFLANGQIKESSGELVTSDPTVTEHYHHILLSQACSSSKQAGDDNGAARPPQPPLMSSASSSASISLQVV >itb01g17980.t2 pep chromosome:ASM357664v1:1:22870253:22873118:1 gene:itb01g17980 transcript:itb01g17980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQARPMFRFASMLRPAGAPPPPPAAAPQPMFRPPVAAPFRPPPPQTRDPSPPQRPPTAPAPAPAPPPPTAPAPPQPVAPPPVQPRFTVGAPPPAPEPRPAATIPSAPKSPAAAIPGVQDPTRPFQLYQAPKPATSSSSSRSPPPSPKNLETNQYSPKMKPVSPLKLPPAAQFKPETEVEPRIPAEIDQKTVVVQQRSERNKATAQKFNATQRYSSSETEWTGKREAMVPKDKDNAHHKKRSDTEEPLGMSILTLAGENKGAMMELSPAAPANKHGFNGNPRRLQSEGDNSGKESDEEGKSSRMGPKGTTESLPMTAFMNSNVQGVNNSVLHNASCNHHDPGVHLIFSRKGGRYTKEAHRLKD >itb01g17980.t3 pep chromosome:ASM357664v1:1:22870253:22871860:1 gene:itb01g17980 transcript:itb01g17980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQARPMFRFASMLRPAGAPPPPPAAAPQPMFRPPVAAPFRPPPPQTRDPSPPQRPPTAPAPAPAPPPPTAPAPPQPVAPPPVQPRFTVGAPPPAPEPRPAATIPSAPKSPAAAIPGVQDPTRPFQLYQAPKPATSSSSSRSPPPSPKNLETNQYSPKMKPVSPLKLPPAAQFKPETEVEPRIPAEIDQKTVVVQQRSERNKATAQKFNATQRYSSSETEWTGKREAMVPKDKDNAHHKKRSDTEEPLGMSILTLAGENKGAMMELSPAAPANKHGFNGNPRRLQSEGDNSGKESDEEGKSSRMGPKGTTESLPMTAFMNSNVQGVNNSVLHNASCNHHDPGVHLIFSRKGGRYTKEAHRLKD >itb01g17980.t1 pep chromosome:ASM357664v1:1:22870253:22873118:1 gene:itb01g17980 transcript:itb01g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQARPMFRFASMLRPAGAPPPPPAAAPQPMFRPPVAAPFRPPPPQTRDPSPPQRPPTAPAPAPAPPPPTAPAPPQPVAPPPVQPRFTVGAPPPAPEPRPAATIPSAPKSPAAAIPGVQDPTRPFQLYQAPKPATSSSSSRSPPPSPKNLETNQYSPKMKPVSPLKLPPAAQFKPETEVEPRIPAEIDQKTVVVQQRSERNKATAQKFNATQRYSSSETEWTGKREAMVPKDKDNAHHKKRSDTEEPLGMSILTLAGENKGAMMELSPAAPANKHGFNGNPRRLQSEGDNSGKESDEEGKSSRMGPKGTTESLPMTAFMNSNVQGVNNSVLHNASCNHHDPGVHLIFSRKGGRYTKEAHRLKD >itb05g28130.t2 pep chromosome:ASM357664v1:5:31239550:31241296:1 gene:itb05g28130 transcript:itb05g28130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNYCLQFPFRYSFFNIVASFLCLYIIIFFPCAISGTAAEAECTCNGNVEGQLHYSSKVTVDSLKYRLVAIASILVAGAAGAFAAGVILSTGFVHILPDAFQTLTSPLLKDTNPWAKFPFSGLIALTASIAILMVDTLAMSFFRKKMHFDKTTKLPQVMMVSADEEEAKDDAAAAGHMHIHTHAATHGHAHGHGHGAAAYLDPPQSNNELVHLSDRIRQRVLSQVLELGIVVHSVIIGLCLGTSQTPETIRPLLVALSFHQFFEGMGLGGCIAQAKFKSVSTAVMAVFFSLTTPVGIATGIGISSVYNPHSSTALITQGVLNSASAGILIYMALVDLLAADFMKPKIQNNVRLQLGGHVSLVLGAGSMSVLAMWA >itb05g28130.t1 pep chromosome:ASM357664v1:5:31239038:31241296:1 gene:itb05g28130 transcript:itb05g28130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNYCLQFPFRYSFFNIVASFLCLYIIIFFPCAISGTAAEAECTCNGNVEGQLHYSSKVTVDSLKYRLVAIASILVAGAAGVSIPLLARKYERLGPENDIFFMIKAFAAGVILSTGFVHILPDAFQTLTSPLLKDTNPWAKFPFSGLIALTASIAILMVDTLAMSFFRKKMHFDKTTKLPQVMMVSADEEEAKDDAAAAGHMHIHTHAATHGHAHGHGHGAAAYLDPPQSNNELVHLSDRIRQRVLSQVLELGIVVHSVIIGLCLGTSQTPETIRPLLVALSFHQFFEGMGLGGCIAQAKFKSVSTAVMAVFFSLTTPVGIATGIGISSVYNPHSSTALITQGVLNSASAGILIYMALVDLLAADFMKPKIQNNVRLQLGGHVSLVLGAGSMSVLAMWA >itb09g12080.t1 pep chromosome:ASM357664v1:9:7618223:7618885:1 gene:itb09g12080 transcript:itb09g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQMSLCYLPVLLLMLLIHLKASSSYADANIANLCRFKPKPKSRSSAAMMSALKHQPAHKEFTNLYGDDEVFGSDKRKIRTGPNPLHNR >itb10g24040.t1 pep chromosome:ASM357664v1:10:28071791:28073902:1 gene:itb10g24040 transcript:itb10g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVKEIIEKQVLTVAKAVEDKLDEEIAALDRLDLDDIEVLRERRLQQMKKMAEKRSRWMSLGHGEYSEIQSEKDFFSVVKASERVVCHFYRENWPCKVVDKHLSILAKQHIETRFVKIHAEKSPYLAEKLRIVVLPTLALVKNAKVEDYVVGFDELGGRDDFSTEELEERLAKAEVIHFEGESSLRASKLKSQSRSVRQSSNADSSDSE >itb10g07030.t1 pep chromosome:ASM357664v1:10:8056205:8068372:-1 gene:itb10g07030 transcript:itb10g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPDDCCSTQLIDGNGEFNVSGLQGFIDAIKLNQCGLSYAVVAIMGPQSSGKSTLLNHLFHTNFREMDAYRGRSQTTKGIWIAKAVGIEPCTVVMDLEGTDGRERGEDDTTFEKQSALFALAIADVVLINMWCHDIGREQAANKPLLRTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLDPVLREDIQKIWDGTRKPQAHKDTPLSEFFNVEVTALSSYEEKEEQFKEQVSQLRQRFFQSISPGGLAGDRRGVVPASAFCYSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKFSCLMIDEDWLALEHTVHDGAVPNFGKRLSSILDTYLSQYDVDSIYFEESVRNAKRQHLELKALQENAIPNQMCCLLAVVIGRWLETLYVLSLFKYEDNLCQRLCFLQLVHPAYISQLEHLRADAFEGFKKRLEQSLCRGKGFAASVRTCTQSCMLDFDKGCLDAAIKHASWDSSKVREMLCHDIEAHASSVRNDKLSELEASCRKQLTIALTEPVESLLDASDCDTWASIRKLLKRETDLAISNFFTAVSGFELDQEALDKMVQNLKDYAKSVVEKKAREEAGKILIRMKDRFITVFSHDSDSMPRIWTGKEDIKAITKEARSASLKLLSTMAAIRWDDKPDKIDNILLSTLLDGTVSISQDRPLGVSGDPLASSKWEEVPPEHTLITPVQCKSLWRQFKAETEYIVTQAISAQEVYKQSNNWLPPPWAILALILLGFNEFMALLRNPLYLMVLFVVYLLGKALWVQMDIPREFRNGTLSGLISISSRFLPTVMNLLQRLAAEAQGNPAPEASTPSGHHTSQSFRNQAENPHIGSIPSSSTSERDSEYRRVARKEDS >itb10g07030.t2 pep chromosome:ASM357664v1:10:8056205:8068372:-1 gene:itb10g07030 transcript:itb10g07030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPDDCCSTQLIDGNGEFNVSGLQGFIDAIKLNQCGLSYAVVAIMGPQSSGKSTLLNHLFHTNFREMDAYRGRSQTTKGIWIAKAVGIEPCTVVMDLEGTDGRERGEDDTTFEKQSALFALAIADVVLINMWCHDIGREQAANKPLLRTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLDPVLREDIQKIWDGTRKPQAHKDTPLSEFFNVEVTALSSYEEKEEQFKEQVSQLRQRFFQSISPGGLAGDRRGVVPASAFCYSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKFSCLMIDEDWLALEHTVHDGAVPNFGKRLSSILDTYLSQYDVDSIYFEESVRNAKRQHLELKALQLVHPAYISQLEHLRADAFEGFKKRLEQSLCRGKGFAASVRTCTQSCMLDFDKGCLDAAIKHASWDSSKVREMLCHDIEAHASSVRNDKLSELEASCRKQLTIALTEPVESLLDASDCDTWASIRKLLKRETDLAISNFFTAVSGFELDQEALDKMVQNLKDYAKSVVEKKAREEAGKILIRMKDRFITVFSHDSDSMPRIWTGKEDIKAITKEARSASLKLLSTMAAIRWDDKPDKIDNILLSTLLDGTVSISQDRPLGVSGDPLASSKWEEVPPEHTLITPVQCKSLWRQFKAETEYIVTQAISAQEVYKQSNNWLPPPWAILALILLGFNEFMALLRNPLYLMVLFVVYLLGKALWVQMDIPREFRNGTLSGLISISSRFLPTVMNLLQRLAAEAQGNPAPEASTPSGHHTSQSFRNQAENPHIGSIPSSSTSERDSEYRRVARKEDS >itb04g01760.t1 pep chromosome:ASM357664v1:4:1027720:1028964:-1 gene:itb04g01760 transcript:itb04g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSLFSIAQDAPVFARMHLHKNKDDDELINAAPKIDVSFFPMPNSLLHRPDDDDNDDLPPKQAAVMVVKNTEIHTYLVFDHKPIGSSSGMVVYRAVWQLTTTKLVLNRSVALKVADNPDSIAKLRGEVTRSLGVKGQHRNLLRFKTCFMDEQSGKFCVVMPLTHGSFRTIMTHKNHWFSQGGFPKRHVLVILRSLLRTLTFLHTQHIFHGDISAAHIYLCNSSHPLYYHCSHIALGFAATIYEVAAKWVAAPEIYYANQPYSEKADIWLVGITALELAYGGDLLLKLKNRNALEAMIKEIHDNKKLPTNINIYHQMKKTSIHTILKKEVGEDELMNKLKFYIKKWVPFRSSLPGIAGTFAEIVVQCLDWDPKNRPTAHMLLYHPCFNGWPYASESDVYNFVMSNKPITNNKT >itb14g20180.t1 pep chromosome:ASM357664v1:14:22647245:22651592:-1 gene:itb14g20180 transcript:itb14g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGMDSLWAEKRFDGGVQLPESPRLPMEFLSRSWSASALQICKALSPSTAPSSSSLLPKLSAMGINNSGAAAPENAFYDEESAAKLFGNNTFCFASSATSQMVLERIMAQSMHNEHEISPLTSGRLSHSSGPLNSNGPLTEETDSPPISPHEEYEDVVKYLRANNSLQPLFTTVRNGTGGNVGGVAGAPGGKTVGKWLKEKREKKKEECRARNAQLHAAVSVAGVAASVAAIATAAASASSAGKDEMTAQTDMAVASAAMLVAAQCVEAAEAMGAERDHLTAAITSAVNVRSHGDISTLTAAAATALRGAATLKARALKEVWNIATVIPVEKGTATGAGSSNSSNNNNNGYSEGLDVEENFLGACNQELLARGRELLKRTRDGELHWKIVSVYIHRSGEVVLKMKSKYMANTVTKKKKNVVIEVCKDIPAWPGRHLLEDGEQRRYFGLRTEVRGLVEFECKNQKEYDMWTQGISRLLSIVAERKRKSHS >itb14g20180.t2 pep chromosome:ASM357664v1:14:22647275:22651591:-1 gene:itb14g20180 transcript:itb14g20180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGMDSLWAEKRFDGGVQLPESPRLPMEFLSRSWSASALQICKALSPSTAPSSSSLLPKLSAMGINNSGAAAPENAFYDEESAAKLFGNNTFCFASSATSQMVLERIMAQSMHNEHEISPLTSGRLSHSSGPLNSNGPLTEETDSPPISPHEEYEDVVKYLRANNSLQPLFTTVRNGTGGNVGGVAGAPGGKTVGKWLKEKREKKKEECRARNAQLHAAVSVAGVAASVAAIATAAASASSAGKDEMTAQTDMAVASAAMLVAAQCVEAAEAMGAERDHLTAAITSAVNVRSHGDISTLTAAAATALRGAATLKARALKEVWNIATVIPVEKGTATGAGSSNSSNNNNNGYSEGLDVEENFLGACNQELLARGRELLKRTRDGELHWKIVSVYIHRSGEVVLKMKSKYMANTVTKKKKNVVIEVCKDIPAWPGRHLLEDGEQRRYFGLRTEVRGLVEFECKNQKEYDMWTQGISRLLSIVAERKRKSHS >itb12g25040.t1 pep chromosome:ASM357664v1:12:26352865:26355039:-1 gene:itb12g25040 transcript:itb12g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCAYTLHTMRHTTFNIMLPFVFLLLICKFEYCYSNNVACFERERDALLRFKESLIDKSNRLSSWTGLDCCKWEGISCSLTTGHVLKLDLHNTIADDHFQNGYYSGLPANYSNSCLGGEINLSLVNLAHLNYLDLSLNNFSGIQVPKFLGSFKNLRYLNLGSSNFVGNIPTNLGNLSSLEHLNLGTSLGYPISNYLTSDNLNWLANLSSLKSLDMPGISICCDENWLSTINNLMSLSSLNLKNCGLNTTNPPSHVNSTSLTSLDLSGNSLDSTIFPWLSNLTRLEHLNLFGNQFIGRQILLCKLHNLVSLDLGANHFNGLIPDCLGNLTSLTSLSLRGNSFTGSIPNNLSHLCSLQFLDVSENKLTGSIRVPSNCPFYRLKDLILHDNNFKGELPDWLYKNKNLETLSLYSNSFSGPIVDSIGNLSMLNGLFIGGNKFTGSVPSSIGKLSNLVGLDISDNLFTGVVSESHFSKLSKLSQLNISSNSFVLNVSSNWVPPFQLQGLYMEFVKIGPHFPHWLRTQRYVQFLFMSNASISDVIPDWFGDVFWNCDDIDLSNNHIRGELLTKFRFEGYKKALTWYLHLSENYLTSEIPKWLCSLTDLRLLDLSTNKFSGEIPPCLGELKNLKVVNLANNHLYAHIPNLFGSLYYLRSLSLRNNGLRGKLPSSLQNLRSLVLLDLSENELGDVIPSWMGEKLTSMRFLVLDANNFYGDIPLQLCQLPNL >itb15g22100.t2 pep chromosome:ASM357664v1:15:24773557:24779099:1 gene:itb15g22100 transcript:itb15g22100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNFGTLPLMRCSLERSSSIPKKSNNLISTLLSSSFKPLLRELQLNVPTTLKETSVRLLDAFVDLVYEFKDQPWLPSQANFAPVEEREEAITVYNIQGIIPRDFPEGVYLRNGANPIFGGLKIAESIFGKSSQLWVEGEGMIHALYFNKDRNGHWTISYNNKLVQTGTFQVEKHRKRPAFLPTVEGDPLAVLSAIILNSWRFGKLTKEYANTNCFMHSGRVYAITENHLPFEIDISTLQTLGSWDVNGGWTRPFTSHAKKAPGSGELVTMGFDPQKPFFEVGVISADGKKVVHKADLKFNRCTFCHEIGVTLRYNVILDFPIMLDARVLIKGNSFIKYDSKEFARIGVMPRHGDADSVTWFEVEPCVVFHILNCYEEDNEVVVIACRAPGSILSGPDHDFWETKNEWFSKGFKHTSCVQGSDESSAEGLFFTRVHEWRLNIKSGEVKSRFLTGSQCSMDFPFINEHFTGLKNQFGYAQVVDSNASSAAGKNFIIIIVVVVTRVA >itb15g22100.t1 pep chromosome:ASM357664v1:15:24773557:24780145:1 gene:itb15g22100 transcript:itb15g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNFGTLPLMRCSLERSSSIPKKSNNLISTLLSSSFKPLLRELQLNVPTTLKETSVRLLDAFVDLVYEFKDQPWLPSQANFAPVEEREEAITVYNIQGIIPRDFPEGVYLRNGANPIFGGLKIAESIFGKSSQLWVEGEGMIHALYFNKDRNGHWTISYNNKLVQTGTFQVEKHRKRPAFLPTVEGDPLAVLSAIILNSWRFGKLTKEYANTNCFMHSGRVYAITENHLPFEIDISTLQTLGSWDVNGGWTRPFTSHAKKAPGSGELVTMGFDPQKPFFEVGVISADGKKVVHKADLKFNRCTFCHEIGVTLRYNVILDFPIMLDARVLIKGNSFIKYDSKEFARIGVMPRHGDADSVTWFEVEPCVVFHILNCYEEDNEVVVIACRAPGSILSGPDHDFWETKNEWFSKGFKHTSCVQGSDESSAEGLFFTRVHEWRLNIKSGEVKSRFLTGSQCSMDFPFINEHFTGLKNQFGYAQVVDSNASSAAGRAKYGGIAKLYLEEGEGEELIKVDYHMLPQNTFCTGAVFVAKTGGNEEDDGWIVTFVHNENTNTSQVYMIDAKHFSNEPIATITLPCRVPYGFHGAFIT >itb12g09050.t1 pep chromosome:ASM357664v1:12:7091402:7094219:1 gene:itb12g09050 transcript:itb12g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSILHLLIHIFIYALLLSQTTQHANQRAAETLVSWKNTLSTSILDSWSMKTSQSICTWKGIVCNFAGTISQLNLPGESIAGTLVGLDLAALSNLTCLDLSKNYFTGPIPSAVGSLLKLSVLDLSSNMFTGAIPIEIGQLMELRYLNLNANSLNAAIPFQISNLQKLLVLDLRDNFLVSPDWSKFRGMPLLTHLQLSNNDLTLEFPLSFISGCPNLVYLDLSQNSFTAQIPESVFSILEKLEYLNLTRNLFWGAFPTSLTKLSKLKDLRLGQNNISSRIPVEIGSMESLQVLQLHENSFHGNIPSSIGHLKDLHSLDLSKNQLNSGIPSELGLCTKLEYLCLDHNSLASEIPSTMSKLTKLVALRLSDNELSGELSPHLIGNWTQLIELQLQNNSGRIPSEIGLLSKLNFLLLNRNNLSGLIPSKIGNLRNLYNLDLSENHLSGPIPPTIGNLTELSQLELSFNNLNGTIPWGIGYLTSLASLSLGSNMLQGPKDLSMICNATLLQTLSLHSNNLEAVFPDCLGYFSSELHALDLGNNHFQGGIPETLCSAQRLGLVLVEILDLSRNSLSGAIPPCLGNLSTKIVKEKMEEWRKREQEKRRIQDSSMDFLGRVFL >itb03g16250.t1 pep chromosome:ASM357664v1:3:15267120:15270721:1 gene:itb03g16250 transcript:itb03g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRADIESGFPGLIPERRAVRVHAARPVNTNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLVATTLRMYATCQQLHAQAQAHAVAASGLLGHTELRLHMPSSIALPPSIALATRGRLQGLRLQLALLDREFDDLDYEALRALDADNVPTAPSMTEEEINALPVHKYKIFGPQSAGLSGQQVSSSSSAEKKQEPVNSAGSSKPSDDELTCSVCLEQVNEGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKFKIGSRWENELEEGEMDASYMV >itb03g16250.t2 pep chromosome:ASM357664v1:3:15267124:15270664:1 gene:itb03g16250 transcript:itb03g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRADIESGFPGLIPERRAVRVHAARPVNTNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLVATTLRMYATCQQLHAQAQAHAVAASGLLGHTELRLHMPSSIALPPSIALATRGRLQGLRLQLALLDREFDDLDYEALRALDADNVPTAPSMTEEEINALPVHKYKIFGPQSAGLSGQQVSSSSSAEKKQEPVNSAGSSKPSDDELTCSVCLEQVNEGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKFKIGSRWENELEEGEMDASYMV >itb05g02570.t1 pep chromosome:ASM357664v1:5:2055648:2058634:1 gene:itb05g02570 transcript:itb05g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEQKSSTQVFQTEIPEIKSEEEEEEEDSKQSKKSGKRSDNGVVVSISSSNNSTVEGDNEDVGKKTTNSSSSAGDVRPYKRSTVRRLRWTSELHRCFVHAVRRLGGQDKATPKLVAELMNVKGINISHVKSHLQMYRSKKTDEPNQAACDGGFVLDNEDDHVYNFSHFHRLHGFNQTPTPILRSEDAVIKIGGANYVYYPYNNTKMAASNTLRSGFNGQNNNKYSLSSDFPMMFRQRFSSAADETMSRPTPSSSTNLQQLHRKREKMGRIIVKKRKFAEVNNNLDLNLSLNARNINDEQKRLKVVEYEDSNHSTKLCLSLYSSEGGTGILGGSSSCSLDLSL >itb05g03700.t1 pep chromosome:ASM357664v1:5:3161904:3165834:1 gene:itb05g03700 transcript:itb05g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGRKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDKLMQNEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEKLFVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQEESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKEMINPNVKIMKVENTPDDPRQRKPDITKARALLGWEPKVKLRNGIPLMEEDFRSRLGIQRKS >itb04g02360.t1 pep chromosome:ASM357664v1:4:1398481:1398768:-1 gene:itb04g02360 transcript:itb04g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKTVGGRLCPRRSPRRLRLRPCRSPPPPLPSPVAGRRLTLRLLLRLTAPASVPPWLPRPLCSADSSTQPQPPHALALLILQFDAASIYENED >itb15g11160.t1 pep chromosome:ASM357664v1:15:8975668:8988686:-1 gene:itb15g11160 transcript:itb15g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVEELVTQGVNSLVRIVSDNVTLVRGIDSEIKDLTSDIQMFNARLIEASKNPRADDHQVLRLIVNKFGSVVNEAEDTIADYVVLKKKHGNNFFLKSLDKTPYCGKVNGYASEIQSIRTKMNAIRQDHEKEVQDLMQYEIKEQDKAVKTFHQDRPIVEKNEVFGFEKDLKDMKARLLEASNNFIVIPIVGMPGTGKTTFASMLFNDLRILNNLVCIWVHVSKWCDIKQKFISIIYQITKSTEDLTTKSEEILKGTIQNRLKDQKYFIILDDVWRKEDWDSLQTAFPKNMNGSRVVLTTRHDNVVDSIWKSHNLGMLSDDDGWLLIKNNVFGTEGCNDKFLAIGMEIARKCKGLPLALAVVAGILRKCRTSTDWQRVAENPLLEINREYQSYHEIVKMSYNDLPHEKLKNCFLYFAYFPMGHEIAIWKLVCLWIAEGFIPTINEWEYRINAEVEAQKYLDDLIDRNLVTLIKRRADSQIKTCRIHDTLHEFCKSEAARKKLFLVMDKEQSRLDENTCSARRLCFHSFTDDENKSSILLSPFGKHIHSLFLSSPQKSEVRLAPDELTTIPNIFPLLRVLNIEYIEFVNNMLPKEVYRLYLLKYIAISGNLNLLPKSFKNLVELETLVIKTTARTLQIVGGIWNMEKLRHVCTNTSAQLPFPPKTSKANSGGKNILTLSTISPESCTKEIFRRTPNLQKLGVRGNLSQLLEGKQETCLFNNLQLLKRLLNLKLYGQHNEGLTVPMLDKFACRLKKLSFSGTRFEWKDMRVLGLLEELEVLKLDDYAFKGENWELSNDVVFKRLQYLRIGKTNLKTWMVTENSFPTLRSLNLQNCSSLLKIPQAFAKVHTLKVMVLFNVRESATQSAKGVRESIKSGGFKLNITSVKQRALYRTSVKDQKARNEAMKIAVRFSGVESASIQEKGHLEVIGDFDAVQLVCKLRKRSRQAELISVGPVNYEKKEEKKAETPVPQTNTSTSSQEIEHKQSYGQKNASPSGFDRPSTSTPSQEIVSDDD >itb11g11130.t1 pep chromosome:ASM357664v1:11:8088211:8090918:-1 gene:itb11g11130 transcript:itb11g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYPSDMPSNSGSSFDERRWVIHVRRSLQDEEIEEDSEIPVSIFSVPKNLMVADPDSYVPQQVAIGPYHYWRPELYEMERYKLAAAKRTQKNLHTFKFQHLVEQVTKFEHRIRAYYHKFLNFNCETLGWMVAVDAAFLLEFLQVYAAQEGEVILTRVSSRMSHLVDISGRKSAHNAILRDMVMLENQIPLFVLRKMLEFQSSSLEVADNTLMCMLIGFFKEVSPFKMLADEVWKIVVKIQKGPARLIKRVVLSRPVKVMLKLPWKLLSNLPGLKFVLQPIAYLCLYNQEKDNGKPESENPPDADKPPLVEEIAIPSVTDLFEAGVSFVPAKEGIAGICFDDKKVALYLPCISLDLNTEVLLRNLVAYEACNASGPVVFTRYTELMNGIIDTEEDARVLRERGIILNRLKSDGDVAKLWNGMSRSVRLTKVPFLDKAIEDVNKYYNGRWKVKMGNLMKQYVFGSWRFLTVLAAIMLLLLMSLQAICSVYSCARLFHLNSNSTSTSR >itb01g01210.t1 pep chromosome:ASM357664v1:1:620935:635439:-1 gene:itb01g01210 transcript:itb01g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAATITQTSFAQPACESDCIKEFLDVHNAAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVAESVKGWLDEKPNFDEASNSCPGGECRHYTQVVWRGTTSIGCARAACTTWMFVICNYYPPGNYVATITQTSFAQPACESDCIKEFLDVHNAAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVAESVKGWLDEKPNFDEASNSCPGGECRHYTQVVWRGTTSIGCARAACTTWMFVICNYYPPGNYVAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVAESVKGWLDEKPNFDEASNSCPGGECRHYTQVVWRGTTSIGCARAACTTWMFVICNYYPPGNYVAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVAESVKGWLDEKPNFDEASNSCPGGECRHYTQTSFAQPACESDCIKEFLDVHNAAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVAESVKGWLDEKPNFDEASNSCPGGECRHYTQVVWRGTTSIGCARAACTTWMFVICNYYPPGNYVGERPY >itb09g08390.t1 pep chromosome:ASM357664v1:9:5020271:5023273:-1 gene:itb09g08390 transcript:itb09g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCFRYTVTNSNHGMYATNFLAPPPSTPAKRRCSVFATAASNRRDRDEVYVSAVPLRASRGPGQLLMSAAYSLNLWDFQHFMVIVKSSSPLHSQAIVCDFLPQDPESISVAVAALSGRPVPGVVRMRKLKKLPNKKCWFVGYSEMDAVYASSKFNESWETDLTINHHDCRNYVNGLVEQLTGEIAVLEHLQKSSNTQVQDLIPRKD >itb09g08390.t3 pep chromosome:ASM357664v1:9:5020271:5023273:-1 gene:itb09g08390 transcript:itb09g08390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCFRYTVTNSNHGMYATNFLAPPPSTPAKRRCSVFATAASNRRDRDEVYVSAVPLRASRGPGQLLMSAAYSLNLWDFQHFMVIVKSSSPLHSQAIVCDFLPQDPESISVAVAALSGRPVPGVVRMRKLKKLPNKKCWFVGYSEMDAVYASSKFNESWETDLTINHHDCRNYVNGLVEQLTGEIAVLEHLQKSSNTQVQDLIPRKD >itb09g08390.t2 pep chromosome:ASM357664v1:9:5020271:5023273:-1 gene:itb09g08390 transcript:itb09g08390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCFRYTVTNSNHGMYATNFLAPPPSTPAKRRCSVFATAASNRRDRDEVYVSAVPLRASRGPGQLLMSAAYSLNLWDFQHFMVIVKSSSPLHSQAIVCDFLPQDPESISVAVAALSGRPVPGVVRMRKLKKLPNKKCWFVGYSEMDAVYASSKFNESWETDLTINHHDCRNYVNGLVEQLTGEIAVLEHLQKSSNTQVQDLIPRKD >itb08g05100.t1 pep chromosome:ASM357664v1:8:4156381:4156938:1 gene:itb08g05100 transcript:itb08g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGVFVVVMCVVIQGFVATEAIRTGGVQMKEGVVGGGGDHRSKETFRAAPAVDVVVLATGGGYGKGWKGGVGSGGGFGQGGGSSGGYGYGYNNGGGCSGGGGWGGGGSGGGSCGGSCGGWAGNCGGGGGGGGGGGGGGGEGGEYGSGGKGSSGGWGGGGGSGGGFGGDDGGGSGGYGRYGPGN >itb09g27320.t1 pep chromosome:ASM357664v1:9:27659444:27660101:1 gene:itb09g27320 transcript:itb09g27320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFPGQNDDGDTCSTSTAVNRGHFAIYTADQKRFVVPLSYLENEIIRQLLNMSKEEFGLPSSGPITLPCDAAFMDYIISLLSRGLSRELENALLISVTSYRCSSASLHPAGLRNQELIVF >itb14g13620.t3 pep chromosome:ASM357664v1:14:15790933:15793390:1 gene:itb14g13620 transcript:itb14g13620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWFLDNLPWFRPLSKQDVASTTISTTILIQTPKQRAHIDIKFWQWPIFSIIPWVIDARNKFQMPATVNRKFKSSSKFRDRTELVAHNSIRFRPYVSKVPWHTGARGLLSQLFPRYGHYCGPNWSSGKDSGSPIWDRRPIDWLDFCCYCHDIGYDSHDQAELLKADLAFLECLEKPHMTTKGDPHIAALYKTMCISGNK >itb14g13620.t2 pep chromosome:ASM357664v1:14:15790930:15794077:1 gene:itb14g13620 transcript:itb14g13620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWFLDNLPWFRPLSKQDVASTTISTTILIQTPKQRAHIDIKFWQWPIFSIIPWVIDARNKFQMPATVNRKFKSSSKFRDRTELVAHNSIRFRPYVSKVPWHTGARGLLSQLFPRYGHYCGPNWSSGKDSGSPIWDRRPIDWLDFCCYCHDIGYDSHDQAELLKADLAFLECLEKPHMTTKGDPHIAALYKTMCISGLRNVLIPYRQQLLKLQSGQLSYQFGWLSGVKWKWPKLQNT >itb14g13620.t1 pep chromosome:ASM357664v1:14:15790918:15794077:1 gene:itb14g13620 transcript:itb14g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWFLDNLPWFRPLSKQDVASTTISTTILIQTPKQRAHIDIKFWQWPIFSIIPWVIDARNKFQMPATVNRKFKSSSKFRDRTELVAHNSIRFRPYVSKVPWHTGARGLLSQLFPRYGHYCGPNWSSGKDSGSPIWDRRPIDWLDFCCYCHDIGYDSHDQAELLKADLAFLECLEKPHMTTKGDPHIAALYKTMCISGLRNVLIPYRQQLLKLQSGQLSYQFGWLSGVKWKWPKLQNT >itb11g01470.t1 pep chromosome:ASM357664v1:11:718876:722020:-1 gene:itb11g01470 transcript:itb11g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYLESYISTIGVDFKIRTVEQDGKTLKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDLESFNNVKQWLSEIDRYASENVNKLLVGNKCDLAENRAVSYETAKAFADEIGIPFMETSAKDATNVEQTFMAMSASIKNRMASQPANAMKPPTVNIKGQPVAQNSSGCCSS >itb14g02750.t1 pep chromosome:ASM357664v1:14:2376918:2379617:-1 gene:itb14g02750 transcript:itb14g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILLHWRKDSGELEVFEAANYFSEANDHQISCLNNAFTFSHKLIRESCCNNQAWRSSGRMSLDMSVAIRHSSTPFAAPQIKQGTSSSVQIMEKPAALKQKCKQPNSPGGRLTSCLNTLFYLTVSSNKKKKKKNKKLISAMKDDNEDELNGSTLSNTAHNTTTSSSYPSNPRNSCKDSHIPEKGLEFTSESPERSSVHHWADKYPSEENEHRKLDDGDGEGDSDCSSDLFDLPHRELLDCYSTGLPVYEI >itb15g06690.t1 pep chromosome:ASM357664v1:15:4478577:4480070:-1 gene:itb15g06690 transcript:itb15g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQVMPENVFAAAGVCPLPKEITSPTFTKRLEGKVAIITGGARGIGEATVRLFAMHGAKVVIADVEDVLGKALADALSPSVTYVRCDVSSEEDVERLIESTVSRHGGLDILFSNAGVLGNQSKRKSILDFDAAEFDRVMSINVRGAALGMKHAARAMIPRGGGCIISTSSVAGVMGGMGPHAYTASKHAIVGLTKNAACELGRYGIRVNCISPFGVATSMLINAWRHSEEEDEEFGMPPLEQEVEKMEEFVRGLANLKGATLKPRDIAEAALYLASDESRYVSGHNLVVDGGVTTSRNCVGL >itb04g00600.t1 pep chromosome:ASM357664v1:4:319653:324450:-1 gene:itb04g00600 transcript:itb04g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESSWVSHRLNGTARDKAVCDSFSKLNNEDNKEVVQVPVNLLPDELLERILACLPIASIFRSGCVCKRWNETVSSLRFLQSSSQFPSGKPWYFMFTSSDEPVGYAYDPILRKWHSIELPCIEASNWFIASSSGLVCFMDSDSRSQLYVCNPITKSHRRIEEPRDLKYSDYSALGISVERRLCSVNVNYCVSVVKSKQVPGNFFQWDVSIHIYDSETMTWETPTSETLSGWRAGNDSVICDGVLYFLVHSTGGDRPDYRHGLITYSLSSRPTHGSLMRSFIPVPGSLTCGRLMNHKESLIMVGGIAKRDRPDIIKGIGIWALKGREWQEIARMPHKFFQGFGELDDVFASSGTDNLVYIQSYGAPALLIFDVEQKQWRWSNKCPVTKRFPLQLFTGFCFEPRLEITP >itb02g11960.t1 pep chromosome:ASM357664v1:2:7991911:7999158:1 gene:itb02g11960 transcript:itb02g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSFVSRARTALHSAAAKAEKVFTDIKKSDPAIDPVNAEHFKIQVGDDSDQQLPETSTPGIVGNKDGPKGSNEGKSLRWKPPQIKAKQDWQDRLKSIRIGKRGAEDTEDSTMAYAIFDENLYLMRDREFPEQEDTKSGSLLEDPNFLDAGVIPPSVVLKQLAVAIESGKKYNSMKDLLASSRGSSPIREKASLSFSAVKSLVLREKDASELGSDDKGLCLITSLLDAEGHSPESRVENGSETSTNSTSLPKDIHGAPPECFIIKLGKVIGSLKTLQRMALFWSKIVAELRRLWSEGQYIPGIPPDEIPDLNSCLLYQQLQVINCCVSRKRRNILATEQLDSVERLANSNLKISDLDTSPGSRVLYAKLTTGELVLRLGVNKLSDLQMLETGEPIYTAVIQEAPLLTEDIIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWMENESSESNVTLKRSDSSVRGQLSTRMQKEGNLWRELWETSKPIPAVRQTPLYDEDLAVEGILDALEDISPSMLFEQLFISLIGSGFVIAESTLSPNSSLLRLFYECKDYIVLTCQNSTWVKRVDDICQVYETVETMVVSPDGVGKITVQHEENTPVSELKSRFKRLGSSVFGRDKNAGKGSPTNSEENLIKQSISSIFSKKPPKHSSTISGDNKFSSSVDNDWTIV >itb12g06780.t1 pep chromosome:ASM357664v1:12:5007360:5009227:1 gene:itb12g06780 transcript:itb12g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPKGHQAGDDDVDDFDEFDPTPYDGGYDITVTYGRPIPPSEETCYPISSASGDFDYDRPHYSDYAEPSAYADEALDNEYKSYSRPKPRPGPSHGGSSEAGYGRRPGGGGYGGESEYGGGGSGSEGGYGRRPEHERPSEYGSGYERPDSEYGSGHGRKSEYDRPSSEYGSGYGRRNEDERPSSEYGSGYGRRNEDERPSSEYGSGYGRRNEDERPDSEYGSGYRRKPEYEDQSSEYGSGYGRRNESDEYGRKSEYEQHGSEYGSGGYGRKPSYGQEEGEDRPSYGRSNYGTEESEGYGRSSYDRPNEEEEYRKPRYQRRDDDDDESYGRKKYGDGDDDDNEERRHHHHHHRQHYDD >itb15g21190.t1 pep chromosome:ASM357664v1:15:23884624:23889518:1 gene:itb15g21190 transcript:itb15g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVVASLLLLTVSIVAVADGRGFLKLPSEARRFFRPAEEENREADGDDSVGTRWAVLIAGSNGYWNYRHQADICHAYQILKAGGLKDENIVVFMYDDIAYNEENPRKGIIINSPHGEDVYHGVPKDYTGDDVTVNNLLAVILGDKSAVKGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTSPYLYADELNAALKKKHAAGAYKSLVFYLEACESGSIFEGILPKDINIYATTASNAIESSWGTYCPGEYPSPPPEYETCLGDLYSIAWMEDSDIHNLRTESLKQQYNLVKDRTLNGNTAYGSHVMQYGDLELNADSLFMYMGTNPANENFTFVDEKLLKLSAPRRAVNQRDADLLHFWDKFRNAPEGSARKSEAQKQFTEAITHRTHLDNSIALVGKLLFGIEKGPEVLTSIRATGLPLVDDWSCLKSYVRAFETHCGSLSQYGMKHMRSIANICNAGISEERMAEASAQACPTFPSYSWSSLRGGFSA >itb05g22930.t2 pep chromosome:ASM357664v1:5:28210285:28217132:1 gene:itb05g22930 transcript:itb05g22930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRRAYDPVIGQRNRGRSGGVSSQFKFQNGHLPSGWFSVSKTNVTTGVNYERESDMDTGSDSDEGGRYSLETSPQDDKIPNGRRKGHANGPIRPPSLFSDGELSDSTMSSEANSSLRFGAHRYGTNVQTNGGSDKKAFNARMFDDDIPSAPPFAGSFDEHDRVTEQFKNSEPDVTSISGTSRGSATTGEPITCSSTLPNTSGRTAAVSHNHLFSQYPTFHASGRGTWHTFVSYEACVRLCLHSWAKGCMEAPVFLENECALLRNSFSLKQALLQPEEDLLRKMSSELVSEGAAVKPKKTFGKMKVQVRKVKMGLEPPTGCSFSSIKPSKEKIDSLRFQISNIKSTLSSEWEAIRKVRVAPRLPINASFSQKSLVYLHSGTRYMKDVSGILKLGFTTFRSSSKSYEVVPEIYSCSLRVKSLPEDDAVKMQAGSTESHIFLPDGIGDDLIIEVHDSKGVYCGRAIAQVADISDDQGEKLRWWPIYHEPEHDLVGRVQLFINYSTSADENRDTKCGTVAETIAYDCLLEAAMKVQQFQQRNLLLHGSWKWLVSEFASYYGVSEAYTKLRYLSYIMDVATPTSDCLNLVHDLILPVVLKGRTKNSLSHQENRMLGEVSEKVEEIIALAFENYKSLDESLPTGIIDIFKPATGLAPPALASALKLYILLHDILSPEAQLKLCRYFQTAAKKRSKHHLTETDEFVSINNEKILMDPVANSTAYQKMVSLCQNIRNEILTDLEIHSKDVLPSFLDLPNLSSAIYSAELCNRLRAFLVACPPTGPSSPTAGLVIATADFQKDLISWNISHVKGGVDAKELFNLYIIRWIHDKRLALLDLCKPDKIKWSGMDASLSTTPFIDEIYDLLKETLSEYDVIISRWPEYIFPLESAIADVEKAVMETLDKHFADVLSPLKENGMPFKIGLKYVQKMTKGTVCPFAVSNELGILLNSMKRMLDILRPQIEAQFKSWGSCLPEGSNMVPGERISEITIMLRTKFRGYMQALMDKLVENTKLQSPTKLKKIIQDAKEGIVESDLRNRMQPLKDMLENIIDQLHAVFETQVFIIICRGFWDRMGQDVLKFLEDRKDSRSWYKASRVAISILEDIFASHMQKLLGNALQERDLEPPRSILEVRSMLCKDAVNDKDSNFFY >itb05g22930.t1 pep chromosome:ASM357664v1:5:28209844:28217406:1 gene:itb05g22930 transcript:itb05g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRRAYDPVIGQRNRGRSGGVSSQFKFQNGHLPSGWFSVSKTNVTTGVNYERESDMDTGSDSDEGGRYSLETSPQDDKIPNGRRKGHANGPIRPPSLFSDGELSDSTMSSEANSSLRFGAHRYGTNVQTNGGSDKKAFNARMFDDDIPSAPPFAGSFDEHDRVTEQFKNSEPDVTSISGTSRGSATTGEPITCSSTLPNTSGRTAAVSHNHLFSQYPTFHASGRGTWHTFVSYEACVRLCLHSWAKGCMEAPVFLENECALLRNSFSLKQALLQPEEDLLRKMSSELVSEGAAVKPKKTFGKMKVQVRKVKMGLEPPTGCSFSSIKPSKEKIDSLRFQISNIKSTLSSEWEAIRKVRVAPRLPINASFSQKSLVYLHSGTRYMKDVSGILKLGFTTFRSSSKSYEVVPEIYSCSLRVKSLPEDDAVKMQAGSTESHIFLPDGIGDDLIIEVHDSKGVYCGRAIAQVADISDDQGEKLRWWPIYHEPEHDLVGRVQLFINYSTSADENRDTKCGTVAETIAYDCLLEAAMKVQQFQQRNLLLHGSWKWLVSEFASYYGVSEAYTKLRYLSYIMDVATPTSDCLNLVHDLILPVVLKGRTKNSLSHQENRMLGEVSEKVEEIIALAFENYKSLDESLPTGIIDIFKPATGLAPPALASALKLYILLHDILSPEAQLKLCRYFQTAAKKRSKHHLTETDEFVSINNEKILMDPVANSTAYQKMVSLCQNIRNEILTDLEIHSKDVLPSFLDLPNLSSAIYSAELCNRLRAFLVACPPTGPSSPTAGLVIATADFQKDLISWNISHVKGGVDAKELFNLYIIRWIHDKRLALLDLCKPDKIKWSGMDASLSTTPFIDEIYDLLKETLSEYDVIISRWPEYIFPLESAIADVEKAVMETLDKHFADVLSPLKENGMPFKIGLKYVQKMTKGTVCPFAVSNELGILLNSMKRMLDILRPQIEAQFKSWGSCLPEGSNMVPGERISEITIMLRTKFRGYMQALMDKLVENTKLQSPTKLKKIIQDAKEGIVESDLRNRMQPLKDMLENIIDQLHAVFETQVFIIICRGFWDRMGQDVLKFLEDRKDSRSWYKASRVAISILEDIFASHMQKLLGNALQERDLEPPRSILEVRSMLCKDAVNDKDSNFFY >itb06g11970.t5 pep chromosome:ASM357664v1:6:16524415:16529559:-1 gene:itb06g11970 transcript:itb06g11970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGRVTGGEMPPSKELAKHPTSSKRKTRRYNGDRREKRKKRRVTALQTEAHSETLSLEDNSNRTLTSHMHACAHANYHRSGEYRGKRKRQRPANTVDRRKWVYSPRDVSHCKDGFVFVSYNLLAVENAKKHPDLYPNVSPELMDWDYRKQILCKEVRGYNPGIMCFQEVDRYDDLDNLLQKDGFRGVYQPRTGDSCDGCAIFWKNELFTLLHKESIEFQRFGLRNNVAQFCVFKRKRKECSNDSNALTSEDISSQRFLVGNIHVLFNPKRGDIKLGQIRLLIENAQRLSREWGNIPIVLAGDLNSMPKSAVYQFLTSSKLDIRMHDRRHISGQIDPSVEPTYRFKSVHAARVRKPFMHRWTDEELRLATGTVSVHLLHQLKLCSAYAGVPGTSKTRDDLGEPLATSFHSQFLGTVDYIWCSLVSPKNSKMLLASFSVHFWFLL >itb06g11970.t2 pep chromosome:ASM357664v1:6:16524415:16529559:-1 gene:itb06g11970 transcript:itb06g11970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGRVTGGEMPPSKELAKHPTSSKRKTRRYNGDRREKRKKRRVTALQTEAHSETLSLEDNSNRTLTSHMHACAHANYHRSGEYRGKRKRQRPANTVDRRKWVYSPRDVSHCKDGFVFVSYNLLAVENAKKHPDLYPNVSPELMDWDYRKQILCKEVRGYNPGIMCFQEVDRYDDLDNLLQKDGFRGVYQPRTGDSCDGCAIFWKNELFTLLHKESIEFQRFGLRNNVAQFCVFKRKRKECSNDSNALTSEDISSQRFLVGNIHVLFNPKRGDIKLGQIRLLIENAQRLSREWGNIPIVLAGDLNSMPKSAVYQFLTSSKLDIRMHDRRHISGQIDPSVEPTYRFKSVHAARVRKPFMHRWTDEELRLATGTVSVHLLHQLKLCSAYAGVPVTFFFLKDSCFLT >itb06g11970.t4 pep chromosome:ASM357664v1:6:16524415:16529559:-1 gene:itb06g11970 transcript:itb06g11970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGRVTGGEMPPSKELAKHPTSSKRKTRRYNGDRREKRKKRRVTALQTEAHSETLSLEDNSNRTLTSHMHACAHANYHRSGEYRGKRKRQRPANTVDRRKWVYSPRDVSHCKDGFVFVSYNLLAVENAKKHPDLYPNVSPELMDWDYRKQILCKEVRGYNPGIMCFQEVDRYDDLDNLLQKDGFRGVYQPRTGDSCDGCAIFWKNELFTLLHKESIEFQRFGLRNNVAQFCVFKRKRKECSNDSNALTSEDISSQRFLVGNIHVLFNPKRGDIKLGQIRLLIENAQRLSREWGNIPIVLAGDLNSMPKSAVYQFLTSSKLDIRMHDRRHISGQIDPSVEPTYRFKSVHAARVRKPFMHRWTDEELRLATGTVSVHLLHQLKLCSAYAGVPVTFFFLKDSCFLT >itb06g11970.t3 pep chromosome:ASM357664v1:6:16524415:16529559:-1 gene:itb06g11970 transcript:itb06g11970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGRVTGGEMPPSKELAKHPTSSKRKTRRYNGDRREKRKKRRVTALQTEAHSETLSLEDNSNRTLTSHMHACAHANYHRSGEYRGKRKRQRPANTVDRRKWVYSPRDVSHCKDGFVFVSYNLLAVENAKKHPDLYPNVSPELMDWDYRKQILCKEVRGYNPGIMCFQEVDRYDDLDNLLQKDGFRGVYQPRTGDSCDGCAIFWKNELFTLLHKESIEFQRFGLRNNVAQFCVFKRKRKECSNDSNALTSEDISSQRFLVGNIHVLFNPKRGDIKLGQIRLLIENAQRLSREWGNIPIVLAGDLNSMPKSAVYQFLTSSKVNPLLPRHGWTSACMTEGISLGRLIHL >itb06g11970.t1 pep chromosome:ASM357664v1:6:16524415:16529559:-1 gene:itb06g11970 transcript:itb06g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MGRVTGGEMPPSKELAKHPTSSKRKTRRYNGDRREKRKKRRVTALQTEAHSETLSLEDNSNRTLTSHMHACAHANYHRSGEYRGKRKRQRPANTVDRRKWVYSPRDVSHCKDGFVFVSYNLLAVENAKKHPDLYPNVSPELMDWDYRKQILCKEVRGYNPGIMCFQEVDRYDDLDNLLQKDGFRGVYQPRTGDSCDGCAIFWKNELFTLLHKESIEFQRFGLRNNVAQFCVFKRKRKECSNDSNALTSEDISSQRFLVGNIHVLFNPKRGDIKLGQIRLLIENAQRLSREWGNIPIVLAGDLNSMPKSAVYQFLTSSKLDIRMHDRRHISGQIDPSVEPTYRFKSVHAARVRKPFMHRWTDEELRLATGTVSVHLLHQLKLCSAYAGVPGTSKTRDDLGEPLATSFHSQFLGTVDYIWHTAELVPLRVLDPLPVNILRRTRGLPSEEWGSDHLALVCELAFAGEGKET >itb05g00400.t1 pep chromosome:ASM357664v1:5:295284:298458:-1 gene:itb05g00400 transcript:itb05g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSAANCASSSAAAAATSHSNSSSVVLRHHKKISVSSIFSRKVGPRDISLISKPSPSRSVISCVVSPLAPAGNETTAKKLKDKVTSVPPPEELKEAVMCFKNKSNSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTIYGYARSKMTDEELRNMVSKTLTCRIDKRENCGEKMEQFLKRCFYHSGLYDSEENFSELDRKLKEHEGGKLSNRLFYLSIPPNIFVDAVRCASVSASSAHGWTRVIVEKPFGRDSESSAVLTRSLKQYLKEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFLFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLDNVVIGQYKGHTKGGVTYPGYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTKSAEIRVQFRHVPGNLYNKNFGSDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSTRYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEGKKIVPEYYPYGSRGPIGAHYLAARYNVKWGDLGVDQ >itb01g00950.t1 pep chromosome:ASM357664v1:1:446944:448077:-1 gene:itb01g00950 transcript:itb01g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKDIEHNNSLPTGPYRFEYLLAACKLEQQRLLLREEDDDDADDRHYHHAITNVVGHPSTICKNDEPKPVSKVRIVEEKKEMKLKGSVEGNCLKKVRMIGKKSTKPRTTGGNPSPPKLHSLDQSARELNPFLPPKLYSHDQSTLELNPSLPLELHSNDQSALELNPSLLSKLHDQSSCPCRLELNPSPTRKRSKKEGAAENKRKRGGGINIQEPLPPGLAEKLNNLIPHLPNLPNNQEALNAKLAIEKELKETDVSNHHNRMSIPSKHIHQKFLNREEELKLCERNEKNVGSIDVPLITPTMEMVTASLRRWPMNKQSGPPSISYVLTSTWNKIKQQNKLRSGMNVQLWAIRIDGVLCFALTLKPKKKRKIAWYR >itb06g19360.t4 pep chromosome:ASM357664v1:6:22723297:22726031:1 gene:itb06g19360 transcript:itb06g19360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAISSIFWVSLASFLSIFLLRCVLKFISFSAIKLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHILGCRCVMISSPEVAKLVLVTKAHLFKPTFPASKERMLGKQAIFFHQGEYHAKLRKLVLRAFMPEAIKAIVPDVETIAKATLESLEGRLINTFQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKAMKARRELAQILAQILSTRRQNGETHNDLLGSFMGAKESLTDDQIADNIIGVIFAARDTTASVITWIVKYLAENPSVLQAVTEEQEGILRLKEENGEDKILNWADSKKMPITTRVIQETLRVASILSFTFREAVEDVELQGEFDPTPLHYRKLAYFYITIIDWHFPCFRLSDPKRVESFAPLQKHSS >itb06g19360.t3 pep chromosome:ASM357664v1:6:22723297:22726031:1 gene:itb06g19360 transcript:itb06g19360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAISSIFWVSLASFLSIFLLRCVLKFISFSAIKLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHILGCRCVMISSPEVAKLVLVTKAHLFKPTFPASKERMLGKQAIFFHQGEYHAKLRKLVLRAFMPEAIKAIVPDVETIAKATLESLEGRLINTFQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKAMKARRELAQILAQILSTRRQNGETHNDLLGSFMGAKESLTDDQIADNIIGVIFAARDTTASVITWIVKYLAENPSVLQAVTEEQEGILRLKEENGEDKILNWADSKKMPITTRVIQETLRVASILSFTFREAVEDVELQGYLIPKGWKVLPLFRNIHHSPENFPEPEKFDPSRFEVNLLEKKKSFN >itb06g19360.t2 pep chromosome:ASM357664v1:6:22723297:22726031:1 gene:itb06g19360 transcript:itb06g19360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAISSIFWVSLASFLSIFLLRCVLKFISFSAIKLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHILGCRCVMISSPEVAKLVLVTKAHLFKPTFPASKERMLGKQAIFFHQGEYHAKLRKLVLRAFMPEAIKAIVPDVETIAKATLESLEGRLINTFQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKAMKARRELAQILAQILSTRRQNGETHNDLLGSFMGAKESLTDDQIADNIIGVIFAARDTTASVITWIVKYLAENPSVLQAVTEEQEGILRLKEENGEDKILNWADSKKMPITTRVIQETLRVASILSFTFREAVEDVELQGYLIPKGWKVLPLFRNIHHSPENFPEPEKFDPSRFEVNLLEKKKSFN >itb06g19360.t1 pep chromosome:ASM357664v1:6:22723297:22726031:1 gene:itb06g19360 transcript:itb06g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAISSIFWVSLASFLSIFLLRCVLKFISFSAIKLPLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHILGCRCVMISSPEVAKLVLVTKAHLFKPTFPASKERMLGKQAIFFHQGEYHAKLRKLVLRAFMPEAIKAIVPDVETIAKATLESLEGRLINTFQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKAMKARRELAQILAQILSTRRQNGETHNDLLGSFMGAKESLTDDQIADNIIGVIFAARDTTASVITWIVKYLAENPSVLQAVTEEQEGILRLKEENGEDKILNWADSKKMPITTRVIQETLRVASILSFTFREAVEDVELQGYLIPKGWKVLPLFRNIHHSPENFPEPEKFDPSRFEVAPKPNTFMPFGNGTHACPGNELAKLEILVLVHHLTTKYRWSVVGPQHGIQYGPFALPQNGLPIRLYPKTSQQK >itb11g13170.t1 pep chromosome:ASM357664v1:11:10176254:10176733:-1 gene:itb11g13170 transcript:itb11g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFIHANLVNYGSDDNVRRGFNLPAGDTLIRPRFLETLIGAPTRDVVFAGRLSEQIIGEARSSHDFGETKSPVRMPILVEAPLIVPVFVVVVSTTATTPANGNAHKSETVTLASAGVPVRSQTRAPFKFTVNGLTKKKADDGVVVAMIATHRNLKKVV >itb01g10290.t1 pep chromosome:ASM357664v1:1:8561174:8562328:-1 gene:itb01g10290 transcript:itb01g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTDVKDPLLPGTVGSSLQSHLKKALKRRKNHVSGSAPGEEVLHPEHNDVKTLHPPGSFAPNSLKFRHVFLFLAVYLGIGVVCFSLIRDQIEGKKTNGVLDAIYLCVVTMTTVGYGDLVPSSTLAKFLACVFAFAGMVLVGFVLSKAADSFLEKQEILFAKVIHLHKHYDSTELADVVESNKVKYKFLTALMLLVGLMIAGTLFLVFVEGLTVFDAFYCVCATITTLGYGDKSFSTKLGRIFASFWIVISTICLAQFFYYFAEVYTEQRQRSMIKWALTRKLTVSDLRAADLDNNDEVSAAEFIVYKLKEMGKITDEDVMTVMKGFKMLDVDLSGTLAESDLVQSEPSELKV >itb11g09890.t1 pep chromosome:ASM357664v1:11:6746879:6748171:1 gene:itb11g09890 transcript:itb11g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGEPVLLTSYSRTTPKNNNNNNVGVGVGGKPPRLGNDVVNHNNNNNNLQRTVSDISFELSKEVAAACTAAAADAAKLPPISEVEDAKCECCGMSEDCTPEYIDRVRAKFAGRWICGLCSEAVKEEEEKNGGKREEAVNSHINACSKFNKFARAYPVLYQAEAMREMLKKTRADPRGLRAAKTFSARDNKGKPNKAGIARSSSCIPAITRDMTELNINN >itb13g24080.t1 pep chromosome:ASM357664v1:13:29820698:29822559:-1 gene:itb13g24080 transcript:itb13g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKNQFFHFLITHALYLLFIIPLLGLGALSPHYFFTLKLNLAPVLAFTSFLVFLGKFYSKNRPRNVYLVDFACYKPKPELMCSTERYVERSRQERVFTEENLCFQRKVLERSGLGQKTYFPESIVGVPAKLCLEEARREARMVIFGCIDEVLEKTGVRAEEIGIVVVNSSVFDPAPSLSAMIVRRYKLVSSVKSFNLGGMGCSAGLISIDLAKHLLQALPNCYALVVSTEIQTLNWYLGNDRSMLMSNCLFRLGGAAVLLSNRAADRRRSKYQLMRTVRTHTGAHDNSYSCVSLKEDADGRVGIALSKDLMAMANDALKTNITTLGPLVLPISEQLLFFLTLVARKVFNMKKVKPYIPNFKLAFEHFCIHAGGKAVLDAIEKNLELSEWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRNINPAMEKNPWMDEIHEFPVDNSSKI >itb11g10230.t1 pep chromosome:ASM357664v1:11:6963284:6973709:1 gene:itb11g10230 transcript:itb11g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSMKLRVRKLATYFSTAIVSGRCRDKVYSFVCLTKLYYAGSINAIESPSPPFRRSPTVFRVPTPQQSSDFRRERSPTVFRVPTPQSLFQDFRCSTTTVPQVRLLICTLFHTSTSPRLPLFHDHRPQSATQTTVGDATASGRQIMLTDIEELAKPNNDLDKYINKTFKLQNSTFKLTEEDGKNRAEHAKGLLRVGIDDIRMNFKMLRTHPIFYVGESRILLLDFVIKFHRIISNQGKNERERVNAMLIKAFDIMNYIGIHNFDSEWYSEEWYSRDINSPIRMCIDFELKRSQDQRQPQAHAQDQQQPQAHAQRQQQPQAHAQRQQQPQAHAQRQQQPQAHAQRQQQPQAHAQRQKQQPNRIRNMSKVLRNIATHGCEHGLYRDDVCPAMEKLRPDCYAALHYAALTELANEFEFVNV >itb08g09330.t1 pep chromosome:ASM357664v1:8:8578102:8587590:1 gene:itb08g09330 transcript:itb08g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFYFILIIFVNSPNSPQFICFPYAKSTAAETTAPAPPQTTAPAPHRQHRRTLPYAIDDRRTSTASPPPAAEPAPPPLRLRPLPASKQHHLPSPWLPGGSVSGSGPGRQDCGFLSRTLAVAQAPICFSPAKASMAVSFYPTISSGLPTRTGFAQSSLSCGSKVSVGLRVQSSNFRGLSSSNINVEFHNKVYRSIESRTCESKPTRGRVSMMPIGVPRVPYRNRAEGTWQWVDLWNALYRERVIFIGQTIDEEFSNQILATMLYLESIDDSRRLYMYINGNGGDLTPSMAIYDTMQSLRNPVCTHCVGYAYGLAAFLLAAGEKGYRTAMPLSKVGLEAPAGAVRGQADDVQNETEELIRIKNYLFKELSEKTGQPIEKIQKDLSRLKHFDSQEALEYGLIDRIVRPSDFKDDSERKDSTVGLG >itb11g11380.t1 pep chromosome:ASM357664v1:11:8332970:8334420:1 gene:itb11g11380 transcript:itb11g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFGLMGGISGRGSGSVSSARLRNSGKKMGGNQKIFCVSSLSDPYTTLRLRPGASESEVKKAFRQLALKYHPDVCRGNNCGVQFHQIYEAYDNIMSYLKGETATPAAAAEEYYEDDGEEWEEWMGYEAAGVRDWSQVNPYF >itb04g06850.t1 pep chromosome:ASM357664v1:4:4527162:4530182:-1 gene:itb04g06850 transcript:itb04g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRSAVHPVEVPAEIAAPPRMRMKDIQGMPGTAGGLFLRLFQFAFSLISLCVMATTTDFPSVSAFCYLVAAVSLQSLWSLLLAIADIYALLVKRSFRNTGLVSLFAIGDGITSTVTFTAACASAGITVLIGNDLDKCAVNHCKRFMSATAMAFLSWFTASPSFFLNFWSLASR >itb14g15360.t1 pep chromosome:ASM357664v1:14:18657742:18660120:-1 gene:itb14g15360 transcript:itb14g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSLAIIFLFTSSNNAQGPPSPGYYPSTKVGSLGFYQGFRNLWGPEHQSLDQGTLSIWLDKAHGGRFYVTLLFAYCTGICICRLSNIEQHPNDHDEIDIEFLGTTPGKPYTLQTNVYMKGSGDGGNIIGREVRFNLWFDPTQDFHHYAILWNPSEIIFFVDDVPIRHYPRKSDATFPERAMYVYGSIWDASSWATENGKYKADYRYQPFIGKYKDFKVSGCAANAYAACWPIPGSPTGSTGLSNQQIAAMGWVQENHKVYDYCQNPNRDRTQTPEC >itb01g05810.t1 pep chromosome:ASM357664v1:1:4040092:4041298:1 gene:itb01g05810 transcript:itb01g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLYVLQDLNVTQVLQGQSFQLVDQCKSNHIGANNVGIDNMGIPAQLDYQSYEFQQEYGQQYFPGFDGVNLCLEDALPAVHISPPPSAFLGPKCALWDCPRPAMGSDWCQKSQDYCSDYHASLAPNEGFPGHTPIVRPMGIGLKDNLLFQALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLKVVEGETIREWLFFDMPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPMKNLEWHLYEYEINKYDACALYRLELKLVDGKKSPKGKVSTESVVDLQKQMVRLTAEFPPENKRPVKGRAKANLKDGTGCIPPISNQMIPVSEGFGYPPGATYDYLIDNLSGYYLT >itb09g24260.t1 pep chromosome:ASM357664v1:9:23897385:23903092:1 gene:itb09g24260 transcript:itb09g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAINPTDASKRYAVVTGGNKGIGFEICRQLACDGVTVILTARNEQKGAEAVEKLRGLGLSDNVLFHRLDVTDASTISSLVNFVNTNFGRLDILVNNAGIIGLVANESALRASLGRLLNLMGAVSQNYELSAECIEINYYGSKRMTEAFLPLLQQSKSPRIVNVTSGWSKLEVNCVNPGNAKTDLNYNTGKITAKEGAQNIVRVALQPEDGPSGVFFDCKKIVAF >itb09g24260.t2 pep chromosome:ASM357664v1:9:23897385:23903092:1 gene:itb09g24260 transcript:itb09g24260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAINPTDASKRYAVVTGGNKGIGFEICRQLACDGVTVILTARNEQKGAEAVEKLRGLGLSDNVLFHRLDVTDASTISSLVNFVNTNFGRLDILVNNAGIIGLVANESALRASLGRLLNLMGAVSQNYELSAECIEINYYGSKRMTEAFLPLLQQSKSPRIVNVTSGWSKLENIRNKWAKGVLNNVESPAEKVDEVVNKFLEDFKNGSLKENGWPAHMSAYFVSKAAMNAYARITAHKYPSLQVNCVNPGNAKTDLNYNTGKITAKEGAQNIVRVALQPEDGPSGVFFDCKKIVAF >itb07g03530.t3 pep chromosome:ASM357664v1:7:2353749:2357812:-1 gene:itb07g03530 transcript:itb07g03530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDISRDSSRLITGSADQTAKLWDVKTGTQLFTFNFDSPGRSVDFAVGDKLAVMTTDPFMGLPSAIHVKRIASDPEDQTGESVLIMKGHQGRINRAVWGPLNKTIISGGEDSVLRIWDAETGKLLKESDKESGHKKGITSLAKSIDGSHFITGSLDKSAKLWDIRTLTLLKTYVTERPVNAVTMSPLLDHIVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFASGGEDGYVRLHHFDADYFHIKI >itb07g03530.t2 pep chromosome:ASM357664v1:7:2353749:2357812:-1 gene:itb07g03530 transcript:itb07g03530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDISRDSSRLITGSADQTAKLWDVKTGTQLFTFNFDSPGRSVDFAVGDKLAVMTTDPFMGLPSAIHVKRIASDPEDQTGESVLIMKGHQGRINRAVWGPLNKTIISGGEDSVLRIWDAETGKLLKESDKESGHKKGITSLAKSIDGSHFITGSLDKSAKLWDIRTLTLLKTYVTERPVNAVTMSPLLDHIVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFASGGEDGYVRLHHFDADYFHIKI >itb07g03530.t1 pep chromosome:ASM357664v1:7:2354766:2357993:-1 gene:itb07g03530 transcript:itb07g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDISRDSSRLITGSADQTAKLWDVKTGTQLFTFNFDSPGRSVDFAVGDKLAVMTTDPFMGLPSAIHVKRIASDPEDQTGESVLIMKGHQGRINRAVWGPLNKTIISGGEDSVLRIWDAETGKLLKESDKESGHKKGITSLAKSIDGSHFITGSLDKSAKLWDIRTLTLLKTYVTERPVNAVTMSPLLDHIVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFASGGEDGYVRLHHFDADYFHIKI >itb15g05450.t1 pep chromosome:ASM357664v1:15:3498185:3500667:-1 gene:itb15g05450 transcript:itb15g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEGNSSSSSRLQVLTSHLSPHTPMASAVPSDSPTIFDKIINKEIPANIVYEDDKVLAFRDISPQAPTHILLIPKVKDGLTGLSKAEERHCEILGRLLYTAKLVAKQEGLDENGFRLVINDGKDGGQTVFHIHLHLLGGRELNWPPG >itb11g03410.t1 pep chromosome:ASM357664v1:11:1800274:1803392:1 gene:itb11g03410 transcript:itb11g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLKMLSSAISAGFFTLLLLPFPLIQGHAERDWNHTHNKHNLHQVNPKLLFEIKLHGFLLWASLGFLMPVGILVIRMSHREEHGRRVKIMFYTHVVLEILAVLLATAGAAMSLKHFDNSFSNTHQRLGLALYIIVWLQTLIGILRPHRGSKARSVWFFAHWLLGTALSLLGVINIYTGLQAYNKRTSRSTNIWTIIFSTQISLLVFFYLFQEKWHYIKNKAGSILGSNEAVQPTTDQETFPVVADNEKKADVC >itb13g20810.t1 pep chromosome:ASM357664v1:13:27554617:27558479:1 gene:itb13g20810 transcript:itb13g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASRGGLLSRLRLLSIGHRAAATRPQQPWRALSTDAVEAEVSDRIIEAKPRVMTHQSKRTGAIAIKCGMTALWDKWGARVPISVLWLDDNIVSQVKTPEKEGISALQIGCSHKKEKHLTKPEVGHFRAQGVPMKRKLREFPVTEDGLLPVGTSIDVRHFVPGQYVDVTGITRGKGFQGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGVQRTVKNVWVYKIDPARNLMWVKGQVPGAEGNFVFIKDSVFKKPDTSILPFPTYFTPEDENPEDLEPLIADLGDADPFMAAD >itb03g04400.t1 pep chromosome:ASM357664v1:3:2768286:2769779:-1 gene:itb03g04400 transcript:itb03g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKNPCSQSLSLGPMKIQASKVAKASSVEPKLQEYMVLPAAFRFEEYIATKAIKVNKALDEAVPLPEKTPIKIHEAMRYSLLAGGKRIRPILCLASCELVGGDEAAAIPMACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEEMAVLAGDALLSLAFEHAAAKTTAEKVSPSRLVRAIGELAAAVGTEGLVAGQELEYIHIHKTSKLLEASVVCGAIVGGGSVVEVERLRSYARCVGLLFQVVDDILDVTKSSSELGKTAGKDLSSEKATYPKLMGVEKARKFADELVEKAIHKLTYFDAARAAPLYHLAYYIANRQN >itb04g30140.t1 pep chromosome:ASM357664v1:4:33331607:33336509:-1 gene:itb04g30140 transcript:itb04g30140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t3 pep chromosome:ASM357664v1:4:33331607:33336509:-1 gene:itb04g30140 transcript:itb04g30140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t7 pep chromosome:ASM357664v1:4:33333676:33336509:-1 gene:itb04g30140 transcript:itb04g30140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t4 pep chromosome:ASM357664v1:4:33331607:33336509:-1 gene:itb04g30140 transcript:itb04g30140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t5 pep chromosome:ASM357664v1:4:33331811:33336491:-1 gene:itb04g30140 transcript:itb04g30140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t8 pep chromosome:ASM357664v1:4:33333676:33336509:-1 gene:itb04g30140 transcript:itb04g30140.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t6 pep chromosome:ASM357664v1:4:33331656:33336503:-1 gene:itb04g30140 transcript:itb04g30140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb04g30140.t2 pep chromosome:ASM357664v1:4:33331607:33336509:-1 gene:itb04g30140 transcript:itb04g30140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAHETKKLNISCSNRINKSSPDCAHLEDNEQGLEMRSGGEEDGCYKLGSNDSLLPGLHNDVALTCLARTCRSDYASLSCLNSRFNLLVKSGYLYELRRHLGIIEHWVYMVADPRGWEAFDPFRNKWFRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLVGHNWAKCDVMNHPRCLFASGSLGSIAIVAGGSDKNGNVLKSAELYDSSTGRWEILPNMHSPRRLCSGFFMHGKFYVIGGMTSVTDSLTCGEEFDLKTRKWRKIEGMYPNVNRAAQAPPLVAVVRDQLFAVEYLTNMVKKYDMEKNSWDVLGRLPVRADSSNGWGLAFRSCGERLLIVGGQRGPEGEAIVLSAWSPGSTMKDGTLDWQVVGVKEHSGVFVYNCAVMGC >itb11g08690.t2 pep chromosome:ASM357664v1:11:5700776:5704655:-1 gene:itb11g08690 transcript:itb11g08690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLKEAETIALSILKQVMEEKVTPNNVDIARVAQTYHLYPPSEVEAVINRL >itb11g08690.t1 pep chromosome:ASM357664v1:11:5700752:5704755:-1 gene:itb11g08690 transcript:itb11g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDSHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLKEAETIALSILKQVMEEKVTPNNVDIARVAQTYHLYPPSEVEAVINRL >itb12g18160.t1 pep chromosome:ASM357664v1:12:20402328:20403767:-1 gene:itb12g18160 transcript:itb12g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEDFENALYMIDIGQNDISDAFSNLSKAQVIEKIPSFISEIEDAVRAIYKVGGRKFWVHNTGPVGCLPRSLATTKVRDPSTDFEEIGCLKSLNEAAQEFNVQLSDLCEDLRRGMKDATIVYVDIYTIKYNIISNFALYGFENPLTACCGGGGAPYNANISCGQSGYSVCEDGSKYISWDGVHYSEKANAMVAASVLSTNYSTPPLKFDYFCSAPSD >itb15g12610.t4 pep chromosome:ASM357664v1:15:10517336:10520287:-1 gene:itb15g12610 transcript:itb15g12610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQVHPLKVILHVHDDETPYSTPTKLVTLKFEYLMKLNVVCVGVDGSQEDMGNDILCNLFPDDTGLELPHQAAKMIGNSVEFDGTRTLRPYKWAQHLAGIDFLPEVSPLLGGSESSNEETAKQSTLISGLSLYRQQNRLQTVVQRIRARKKARLALVEQFDSLMKLEWPVLACKSVPWTSHNPRCSLHGWSSLGSACNEASSVPAVDAEKVQGPDVSMEVRSDTSKEEVENTREDGELPSLTLATAGVNDATPAPTRGTNSDHSRKLGFISKCVISPLNKGKSPSFKKHEEDVDLIMESDSQPAQVEQDTDNMPGSTVPYVDDKSWADCRVEDYILVLTRKTHDGEKTMRLEAQVDCLSWNNESSGAFSVSSAYGLATNQQGSIEAAKWNAMQFGNLRRLAAL >itb15g12610.t5 pep chromosome:ASM357664v1:15:10517336:10520287:-1 gene:itb15g12610 transcript:itb15g12610.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQVHPLKVILHVHDDETPYSTPTKLVTLKFEYLMKLNVVCVGVDGSQEDMGNDILCNLFPDDTGLELPHQAAKMIGNSVEFDGTRTLRPYKWAQHLAGIDFLPEVSPLLGGSESSNEETAKQSTLISGLSLYRQQNRLQTVVQRIRARKKARLALVEQFDSLMKLEWPVLACKSVPWTSHNPRCSLHGWSSLGSACNEASSVPAVDAEKVQGPDVSMEVRSDTSKEEVENTREDGELPSLTLATAGVNDATPAPTRGTNSDHSRKLGFISKCVISPLNKGKSPSFKKHEEDVDLIMESDSQPAQVEQDTDNMPGSTVPYVDDKSWADCRVEDYILVLTRKTHDGEKTMRLEAQANLPFFPCICWNAQPSQCICQIFVDTSCTYYSCPCPCPGPVWAEYWWVLIPSLGHFSADVLSCSICFLPSASEFKLVSPPILYLTCPSNLYFR >itb15g12610.t1 pep chromosome:ASM357664v1:15:10517336:10520287:-1 gene:itb15g12610 transcript:itb15g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQVHPLKVILHVHDDETPYSTPTKLVTLKFEYLMKLNVVCVGVDGSQEDMGNDILCNLFPDDTGLELPHQAAKMIGNSVEFDGTRTLRPYKWAQHLAGIDFLPEVSPLLGGSESSNEETAKQSTLISGLSLYRQQNRLQTVVQRIRARKKARLALVEQFDSLMKLEWPVLACKSVPWTSHNPRCSLHGWSSLGSACNEASSVPAVDAEKVQGPDVSMEVRSDTSKEEVENTREDGELPSLTLATAGVNDATPAPTRGTNSDHSRKLGFISKCVISPLNKGKSPSFKKHEEDVDLIMESDSQPAQVEQDTDNMPGSTVPYVDDKSWADCRVEDYILVLTRKTHDGEKTMRLEAQANLPFFPCICWNAQPSQCICQIFVDTSCTYYSCPCPCPGPVWAEYWWVLIPSLGHFSADVLSCSICFLPSASEFKLEQVDCLSWNNESSGAFSVSSAYGLATNQQGSIEAAKWNAMQFGNLRRLAAL >itb15g12610.t2 pep chromosome:ASM357664v1:15:10517336:10520287:-1 gene:itb15g12610 transcript:itb15g12610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQVHPLKVILHVHDDETPYSTPTKLVTLKFEYLMKLNVVCVGVDGSQEDMGNDILCNLFPDDTGLELPHQAAKMIGNSVEFDGTRTLRPYKWAQHLAGIDFLPEVSPLLGGSESSNEETAKQSTLISGLSLYRQQNRLQTVVQRIRARKKARLALVEQFDSLMKLEWPVLACKSVPWTSHNPRCSLHGWSSLGSACNEASSVPAVDAEKVQGPDVSMEVRSDTSKEEVENTREDGELPSLTLATAGVNDATPAPTRGTNSDHSRKLGFISKCVISPLNKGKSPSFKKHEEDVDLIMESDSQPAQVEQDTDNMPGSTVPYVDDKSWADCRVEDYILVLTRKTHDGEKTMRLEAQANLPFFPCICWNAQPSQCICQIFVDTSCTYYSCPCPCPGPVWAEYWWVLIPSLGHFSADVLSCSICFLPSASEFKLGKPPGLSKRVIATLSLRVF >itb15g12610.t3 pep chromosome:ASM357664v1:15:10517336:10520287:-1 gene:itb15g12610 transcript:itb15g12610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQVHPLKVILHVHDDETPYSTPTKLVTLKFEYLMKLNVVCVGVDGSQEDMGNDILCNLFPDDTGLELPHQAAKMIGNSVEFDGTRTLRPYKWAQHLAGIDFLPEVSPLLGGSESSNEETAKQSTLISGLSLYRQQNRLQTVVQRIRARKKARLALVEQFDSLMKLEWPVLACKSVPWTSHNPRCSLHGWSSLGSACNEASSVPAVDAEKVQGPDVSMEVRSDTSKEEVENTREDGELPSLTLATAGVNDATPAPTRGTNSDHSRKLGFISKCVISPLNKGKSPSFKKHEEDVDLIMESDSQPAQVEQDTDNMPGSTVPYVDDKSWADCRVEDYILVLTRKTHDGEKTMRLEAQMIQNRLTALAGIMNPQVHSQSVQPTAWPRINRDPLKQPNGMQCNLET >itb02g11560.t1 pep chromosome:ASM357664v1:2:7707188:7712146:1 gene:itb02g11560 transcript:itb02g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKGDNGMFRYADGVDKLFMCFGTLGSIGDGLQVPLMMYVLSDVINEYGSLDSAVSIQTVNKYSLRLLYVAILVGLSAFVEGLCWARTAERQTSRLRLEYLKAVLKQEVGFFDTQAAESSTTFQVISTISADSNTIQITIGEKIPDCLAYLSSFFFCFIFAFVLSWKLTLAAIPFTMMFIAPGLGFGTMMMKVAMQGIESYGVAGGIAEQAISSIRTVYSYAAEHQTLEKFSQALQKVIELGIKQGFARGLMMGSFGVIYISWGFQAWLGSVLVSKKGEKGGDIFVAGFNVLMAGLNILTALPNLTAITEAKAASIRISEMIDRNPAIDTEDKKGKALSYVRGEIQFKGVYFSYPSRPDTAVLQGLDATIPAGKTVGIVGGSGSGKSTVISLLQRFYDPIEGEIYLDGYKIKRLHLKWLRSQMGLVNQEPILFATSIKDNILFGKEDASMEDVERAAKAANAHDFIIKLPDAYETNVGQFGFQLSGGQKQRIAIARALIRDPRILLLDEATSALDAESERIVQEAIDHASIGRTAIVIAHRLSTIRMAKLIMVLQQGRVVESGSHAHLMEMNDGEYYNMVRMQQQSGIQHEATPSSHRQKIDKKRRHKMSVPSSPLSARSSAASTPVMYPFSPALSMSPAIPHSGIYSAPYSVQYEDSFDSDDEDRNKPAYPAPSQWRLLKMNAPEWPRALLGCIGAIGSGAVQPINAYCVGGLIAVYFRTDKSTIQSHARIYTYVFIGLGVFNMFTNVLQHYNFAVMGEKLTKRVREKLLEKLMTFEIGWFDQDENASAAICARISTEANMVRSLVGDRMSLLVQAIFAATFAYTLGLFLSWRLALVMMAAQPLLIGSFYARSVLMKSMSAKAQKAQREGSQLASEAVVNHRTITAFSSQKRIVGLFKATLEEPRKESIRQSWFAGMGLFSSQFLATASTALAYWYGGKLLTEGQISPEKLFRAFLALLFTAYTIAEAGSMTKDISRGSDAVRSVFAILDRESEIKPDSSVAVDAKKSEIMGRVEFKKVFFAYPTRPDQLIFKGLSLKISPGTTVALVGQSGSGKSSIIGLIERFYDPLKGAVYIDGRDIKDYKLRKLRSHIALVSQEPTLFAGTIYENIAYGKKNAKEAEIRKAAILANAHEFISGMKDGYETYCGERGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDTISERLVQEALEKMMAGRTCIVVAHRLSTIQKATSIAVIKDGIVEEQGSHSELLSLAGAYYSLVKLQGSSTPYRQT >itb12g25760.t2 pep chromosome:ASM357664v1:12:26891821:26895921:1 gene:itb12g25760 transcript:itb12g25760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHHRHGGDRHHSAERFRLHYVGNKPNVIISGVAFIFLLGFFLYIWAAMLGTTETVNEKIVTDTREFPISCFYGKLTRSCPKKYYPPDFHIPPETPPDIAYNCPQYFRWIYEDLKPWRETGITLEMVERANRTANFRLVILNGRAYVETYKQAFQTRDVFTLWGILQLLRRYPGRVADLDLMFDCVDWPIIKSSDYRGPNSPAPPPLFRYCGDDETLDIAFPDWSFWGWPEINLRPWDNLLNDLSAGNRKAAWIDREPYAYWKGNPDVTEKRMKFLKCNVSDNQDWNARLYTLDWDKATEQGFNNTDLTEQCKHRYKIYIEGSAWSVSQKNILACNSVTLLVKPRYYEFFSRSLMPIKHYWPIRDDNTCRSIKFAVDWGNSHKKKAQEIGNAASAFVEEDLKMDYVYDYMFHLLNQYAKLLRYKPSIPENARELCSEVIACTADGLQKDFMLESFASGPKITNPCFLPIPFYESELDSRLRQKASTIQQVEHWEKKYWENQEPKT >itb12g25760.t3 pep chromosome:ASM357664v1:12:26892632:26895921:1 gene:itb12g25760 transcript:itb12g25760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHHRHGGDRHHSAERFRLHYVGNKPNVIISGVAFIFLLGFFLYIWAAMLGTTETVNEKIVTDTREFPISCFYGKLTRSCPKKYYPPDFHIPPETPPDIAYNCPQYFRWIYEDLKPWRETGITLEMVERANRTANFRLVILNGRAYVETYKQAFQTRDVFTLWGILQLLRRYPGRVADLDLMFDCVDWPIIKSSDYRGPNSPAPPPLFRYCGDDETLDIAFPDWSFWGWPEINLRPWDNLLNDLSAGNRKAAWIDREPYAYWKGNPDVTEKRMKFLKCNVSDNQDWNARLYTLDWDKATEQGFNNTDLTEQCKHRYKIYIEGSAWSVSQKNILACNSVTLLVKPRYYEFFSRSLMPIKHYWPIRDDNTCRSIKFAVDWGNSHKKKAQEIGNAASAFVEEDLKMDYVYDYMFHLLNQYAKLLRYKPSIPENARELCSEVIACTADGLQKDFMLESFASGPKITNPCFLPIPFYESELDSRLRQKASTIQQVEHWEKKYWENQEPKT >itb12g25760.t1 pep chromosome:ASM357664v1:12:26891821:26895921:1 gene:itb12g25760 transcript:itb12g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHHRHGGDRHHSAERFRLHYVGNKPNVIISGVAFIFLLGFFLYIWAAMLGTTETVNEKIVTDTREFPISCFYGKLTRSCPKKYYPPDFHIPPETPPDIAYNCPQYFRWIYEDLKPWRETGITLEMVERANRTANFRLVILNGRAYVETYKQAFQTRDVFTLWGILQLLRRYPGRVADLDLMFDCVDWPIIKSSDYRGPNSPAPPPLFRYCGDDETLDIAFPDWSFWGWPEINLRPWDNLLNDLSAGNRKAAWIDREPYAYWKGNPDVTEKRMKFLKCNVSDNQDWNARLYTLDWDKATEQGFNNTDLTEQCKHRYKIYIEGSAWSVSQKNILACNSVTLLVKPRYYEFFSRSLMPIKHYWPIRDDNTCRSIKFAVDWGNSHKKKAQEIGNAASAFVEEDLKMDYVYDYMFHLLNQYAKLLRYKPSIPENARELCSEVIACTADGLQKDFMLESFASGPKITNPCFLPIPFYESELDSRLRQKASTIQQVEHWEKKYWENQEPKT >itb12g10320.t1 pep chromosome:ASM357664v1:12:8377869:8380192:-1 gene:itb12g10320 transcript:itb12g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTWLLISATLLGFVAADNGGFTYNGFHSANLSLDGSAEITPNGLLKLTKATRLLQGHAFYPFPIDFKTSPNDSVLSFSTNFVFAIVPEGPMLNGHGITFAITPARGAPGARPSIYLGLFNDSNNGNDTNHVVAVEFDTLQSIEFNDIDDNHVGIDVNGLTSLVSKSASYYDGKSDSFRNLSLVSGKPTKVWIEYDGKAKQMDVTIAPLNSGKPNRPILSLRRDLSTVLHETMFIGFTSSTGTPAISTHYILGWSFTMNGSAQEIDTSKLPRLPRIGPKKKSSLLVIGMPIIFTVSLMVLVLGLAYCALRKRMFKELLEDWELEYGPHRFKYKELYTATNGFSEKEVLGAGGFGRVYKGTLHSSRREIAVKKVFHETRNGLRAFITEVVSLGQLCHRNLVPLLGYCRRKGELLLVYEYMSNGSLDKYLYGEPKCSLPWRQRFQVIKGVASALVYLHEEWEQLVVHRDIKASNVLLDSEWNGRLGDFGLAKLYDHGTDPQTTHIVGTLGYLAPEHIRTGKGTTYTDVFAFGAFLLEVACGRRPIEPKGPSENFVLVEWVFSCWNKGEILNVVDPKLRMDYVAEEAELVLKLGLLCSLLEPTFRPSMRQVVLYLEGSMAPPELSALNLSTAGLTIPQTSGFDGFVGSSASFSGCKSCSYCTSVENSVLSGGR >itb07g16070.t1 pep chromosome:ASM357664v1:7:19311907:19314908:1 gene:itb07g16070 transcript:itb07g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVEMSTSIPVNPVDNIHMAGEDRISELPVGILDNILGFLPIQEAARTVILSSSWKDIWFSLTKLNCCHGFFDYICPNYSDAEGWKSDSQSHIWEVINKILMQHNGSIQKIVFHFSEEVFTFHSSEEFELWDIAKLLSYNLNQWFLLLTQNGVEEIDISCFRETKCQVSNCLLSCPTLKGLKLENVDVEPINDYCILPNVTSLSLRYVDFNPRTCSDYGVIFLWSMR >itb07g16070.t2 pep chromosome:ASM357664v1:7:19313062:19314908:1 gene:itb07g16070 transcript:itb07g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVEMSTSIPVNPVDNIHMAGEDRISELPVGILDNILGFLPIQEAARTVILSSSWKDIWFSLTKLNCCHGFFDYICPNYSDAEGWKSDSQSHIWEVINKILMQHNGSIQKIVFHFSEEVFTFHSSEEFELWDIAKLLSYNLNQWFLLLTQNGVEEIDISCFRETKCQVSNCLLSCPTLKGLKLENVDVEPINDYCILPNVTSLSLRYVDFNPRTCSDYGVIFLWSMR >itb01g32580.t1 pep chromosome:ASM357664v1:1:36018356:36019439:-1 gene:itb01g32580 transcript:itb01g32580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSHQCMFRHGNPHLIIFLLIFSISALTHFSFLAHGREMPKQLEQDRPQQKGNEENVVRVRSLIGSRPPRCESRCRNCGHCEAVQVPIVPTFKHQQTSRGSHGFKEVPKHVAYTRGDYLSNYKPMCWKCKCGDLLFNP >itb01g32580.t2 pep chromosome:ASM357664v1:1:36017937:36019449:-1 gene:itb01g32580 transcript:itb01g32580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCMHLKQKGNEENVVRVRSLIGSRPPRCESRCRNCGHCEAVQVPIVPTFKHQQTSRGSHGFKEVPKHVAYTRGDYLSNYKPMCWKCKCGDLLFNP >itb12g23190.t1 pep chromosome:ASM357664v1:12:25029076:25032768:1 gene:itb12g23190 transcript:itb12g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKDFESKLGIKISCSQETEPLGTAGPLALARDKLMDDSGEPFFVLNSDVISEYPFKEMIEFHKGHGGEASLLVTKVDEPSKYGVVIMEESTGQVERFVEKPKTFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAEQKLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSSTKLASGPHIVGNVIVDETAKVGEGCLIGPDVAIGPGCTIESGVRLSRCTVMRGVRIKKHACVSSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >itb12g23190.t2 pep chromosome:ASM357664v1:12:25029659:25032768:1 gene:itb12g23190 transcript:itb12g23190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKDFESKLGIKISCSQETEPLGTAGPLALARDKLMDDSGEPFFVLNSDVISEYPFKEMIEFHKGHGGEASLLVTKVDEPSKYGVVIMEESTGQVERFVEKPKTFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAEQKLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSSTKLASGPHIVGNVIVDETAKVGEGCLIGPDVAIGPGCTIESGVRLSRCTVMRGVRIKKHACVSSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >itb12g23190.t3 pep chromosome:ASM357664v1:12:25031330:25032722:1 gene:itb12g23190 transcript:itb12g23190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLKDFESKLGIKISCSQETEPLGTAGPLALARDKLMDDSGEPFFVLNSDVISEYPFKEMIEFHKGHGGEASLLVTKVDEPSKYGVVIMEESTGQVERFVEKPKTFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAAEQKLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSSTKLASGPHIVGNVIVDETAKVGEGCLIGPDVAIGPGCTIESGVRLSRCTVMRGVRIKKHACVSSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >itb03g04220.t1 pep chromosome:ASM357664v1:3:2640035:2641831:-1 gene:itb03g04220 transcript:itb03g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTVTRDDTQQSSGSGGRKPAGAAAAPPAEQALKCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTRGGALRSVPIGGGCRKNKKMKSSSSSSSASRLSGDSTGSSGIGGGFKFFNSLSPAMDFQLGGLNFSTINNVNPPTAAIFDHYSASSPVIPSNPCFNLDPPPGTAANSVLGFNFSFPSILKRQGGENTSAGFQEMGSNIHHHPQDNNNNNNNNNHLASSIESLSSINQELHWKLQQQRLAMFFGGETQKEMISTANNNSSIPVNESQMIQKPQPILFQNLDISKPEAFDGKDCGENGSASLATEWLFDNTYAQVNPSATNNTAPGNGNENSTNWNGFQAWSSSNMNHYNSLP >itb10g15920.t1 pep chromosome:ASM357664v1:10:22160349:22161050:1 gene:itb10g15920 transcript:itb10g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVVRLRSKTLWRKGANFSNFHIPPKTLPVPYVKRVLIVSHDLGNWSSSQYFNLSVSGYSLLTSIVGFTIYDSSSKHPNIKNLTRLDLNITTGIPISVEFKNVSSGARGKTKCAIFDGKGEVVLSGMEFPNVCYTRKQGQFCVVVGNKRKVGAWGFWLIGFGVGVFGLGAVGVAGNLLRGIVKAKKNCEMEKEAEDGELMESIWIYNSTKMPRAMVTRTHPAAVMDNSSLH >itb02g09770.t1 pep chromosome:ASM357664v1:2:6261207:6264683:-1 gene:itb02g09770 transcript:itb02g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQSYPITNYLYPSRFLTPDYRNLTSRIQVQSPSKSPRIFISHSLSTSNDEPNSVNRSPFIRNKPGLITRCPLLCGNSSNALSADGSDDNKRSFREWVEVIGEAVSTAFPVWVALGCFLGLVKPSSFSWVQPKWTVMGITLTMLGMGMTLTFDDLRSALAMPKELLSGFLLQYSIMPLSGFFVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVVMTPFLTAKLAGQYVAVDAAGLFVSTLQVVLLPVLAGAFLNQYFQSLVKVVSPVMPPIAVATVAVLCGNAIAQSSSAILTSGLQVVLATTLLHSSGFFFGYFLARMLGIDVSSSRTISIEVGMQNSVLGVVLATQHFGNPLTAVPCAVSSVCHSIFGSALAGIWRRSVPSEMRD >itb03g20420.t1 pep chromosome:ASM357664v1:3:18345059:18351647:1 gene:itb03g20420 transcript:itb03g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSAFSASPMPINQLDVYIYDYLVKRKLTASARAFQAEAGVPTNRTEWWSIFWDTFISRFKCPVSVTSGSRNEILMPKAQERQQQHQSAEQQQFQQMQQIEQLIMQKHIEQQTQKQREETRFECGTDHETNSRQSLGATNALTKSKAHLSGGNRKKSVQSRCGENVGQSLAQNNTSILRSGAFGDQAPRQILQGTPGGNLRYFSGMKDQAQQLHVPHGTKNMLNPLANLKSAFSDESLSQHPGSNHGVSNLPLKGWPLTGLEQLQSGLLQQHSPLLPLSQPSQQLQLQQQIQMLLGDQYMGIRNDMQSNYMTNMVPDANLPVQVALPLFSRADDTLMKLYQIELNNANQSQQQCQKSTVLGHPETSSYKIAQQDKAIATSNSAMDVTFSNTSRDNDQNQALRKRKRPMSSNPTNSSGTANTTGPSQSSAPSSPSAQTMEDVISVPSLLPDDSKSRAQMFCNSGSDTNASASNQMADIVRLINHGSVDDNVESFLNENVDTEDVIAQCLEDVRKDITFSEIGSIESSAVNCCDFSSEGKLIAIGGDDNKVVLWCTESREQKYILEEHSDKITDVRFSPRLPRLASCSLDRMIKIWDVHNSGQSIRTFTGHSASVISVDFHPNKEDLICSCDDVSAIRYWTIKNGGCAGVSKVRASQVRFQPTRGRFLAAAVGNGVSLIDVETTQTCRYPLKGHVSNVQSVCWSSSGEYLASLSEDSVRVWKIDSGGDQKCMHELSITGKRLRTCSFHPTYPSLLVIGSHKSLELWHMAENKMMTVLEGPISTLAVSPFAGLVASASGDNMVKLWK >itb03g20420.t2 pep chromosome:ASM357664v1:3:18345059:18351647:1 gene:itb03g20420 transcript:itb03g20420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSAFSASPMPINQLDVYIYDYLVKRKLTASARAFQAEAGVPTNRTEWWSIFWDTFISRFKCPVSVTSGSRNEILMPKAQERQQQHQSAEQQQFQQMQQIEQLIMQKHIEQQTQKQREETRFECGTDHETNSRQSLGATNALTKSKAHLSGGNRKKSVQSRCGENVGQSLAQNNTSILRSGAFGDQAPRQILQGTPGGNLRYFSGMKDQAQQLHVPHGTKNMLNPLANLKSAFSDESLSQHPGSNHGVSNLPLKGWPLTGLEQLQSGLLQQHSPLLPLSQPSQQLQLQQQIQMLLGDQYMGIRNDMQSNYMTNMVPDANLPVQVALPLFSRADDTLMKLYQIELNNANQSQQQCQKSTVLGHPETSSYKIAQQDKAIATSNSAMDVTFSNTSRDNDQALRKRKRPMSSNPTNSSGTANTTGPSQSSAPSSPSAQTMEDVISVPSLLPDDSKSRAQMFCNSGSDTNASASNQMADIVRLINHGSVDDNVESFLNENVDTEDVIAQCLEDVRKDITFSEIGSIESSAVNCCDFSSEGKLIAIGGDDNKVVLWCTESREQKYILEEHSDKITDVRFSPRLPRLASCSLDRMIKIWDVHNSGQSIRTFTGHSASVISVDFHPNKEDLICSCDDVSAIRYWTIKNGGCAGVSKVRASQVRFQPTRGRFLAAAVGNGVSLIDVETTQTCRYPLKGHVSNVQSVCWSSSGEYLASLSEDSVRVWKIDSGGDQKCMHELSITGKRLRTCSFHPTYPSLLVIGSHKSLELWHMAENKMMTVLEGPISTLAVSPFAGLVASASGDNMVKLWK >itb14g01220.t3 pep chromosome:ASM357664v1:14:958154:960801:1 gene:itb14g01220 transcript:itb14g01220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFIQLVEEKKKRALEKNEARLKWEEKLEAASKAWEDAKAKEKKVKASKNKRRSESESDSESESDGGRKAVKRSHKRHKRHHHHSSDDEDDNNSKRRHHKRHHKRHRRSHSSGSEESSSDDDDGAGRRRHHAKHHRHHQRRRQLDSSASESSDEDHHKRGHGRHRSSKHHHRSHSHDDSTSSDTEGYKRDRSRSLSKSADEIDDSDRNEKQHKKNHHHHRHGHRHHSSHNSHKQQQQEQDGASDDNKQHESE >itb14g01220.t1 pep chromosome:ASM357664v1:14:958154:960801:1 gene:itb14g01220 transcript:itb14g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFIQLVEEKKKRALEKNEARLKWEEKLEAASKAWEDAKAKEKKVKASKNKRRSESESDSESESDGGRKAVKRSHKRHKRHHHHSSDDEDDNNSKRRHHKRHHKRHRRSHSSGSEESSSDDDDGAGRRRHHAKHHRHHQRRRQLDSSASESSDEDHHKRGHGRHRSSKHHHRSHSHDDSTSSDTEGYKRDRSRSLSKSADEIDDSDRNEKQHKKNHHHHRHGHRHHSSHNSHKQQQQEQDGASDDNKQHESE >itb14g01220.t2 pep chromosome:ASM357664v1:14:958154:960801:1 gene:itb14g01220 transcript:itb14g01220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFIQLVEEKKKRALEKNEARLKWEEKLEAASKAWEDAKAKEKKVKASKNKRRSESESDSESESDGGRKAVKRSHKRHKRHHHHSSDDEDDNNSKRRHHKRHHKRHRRSHSSGSEESSSDDDDGAGRRRHHAKHHRHHQRRRQLDSSASESSDEDHHKRGHGRHRSSKHHHRSHSHDDSTSSDTEGYKRDRSRSLSKSADEIDDSDRNEKQHKKNHHHHRHGHRHHSSHNSHKQQQQEQDGASDDNKQHESE >itb07g24070.t1 pep chromosome:ASM357664v1:7:28346402:28348723:-1 gene:itb07g24070 transcript:itb07g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEYLVDISEDAVETSLEYENIQQPQSSLQIRGNFGRPNTGQYNEQRLVAECTINIQGPGSGGAEEEVVVVKEDDDEGEGEGEEERGEGGEIKGRFGVGVGVGVGGGGLGGGRVRGVRVGGGGVGVRRRVGGGGVGGGRVGGVGGGDPSCRATECIFRVPKHTRVIEERTTYPSPPQTVSNVPDPSSPQTISIEPDPYTPHTVSIGPYHYGNPNLSGMESQKKQLLASLLVCDISEEMCGLVCQLTAAMKELEAEARRWYPSTSNHIHSDTFREMMLTDAAFIIHTFRCFDSWCKNPGDPKLQDNSILNTPWNAPNVCEDLLMLENQLPFFVIVKVYAILNNESNEEKSENSLKKLAMQFFTLVELGRADNKDEDEDEEDDDDDSVSVANKPKHLLDLFHSSFAVVVDKKKDAKAAKEKQDAKAAQEKQDYIKDDKSKKMQKRKKMQKRKKMNTSSSSLSSLSELRMKTKCWVRSASALRSNGVKFIGINKGNPLDIQFNLLTGNLRVPTLCLNDKTATVLKNLVAYEQVSHLPNPYFICLAIFFSNLAPTVDDIKLLREANVINHQPDDGALVLLLRQLYKASHNGFNACLINHHLKRIDRYLISSQARVKIFLTQKRGAANLVKQMIVSALVVFVFHGYQTYKIKF >itb04g19450.t2 pep chromosome:ASM357664v1:4:23816979:23818434:1 gene:itb04g19450 transcript:itb04g19450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIDSPFNSYTLLQSGLRAPLCSDLSPFLSAIMATELEKPRITEVQVRMDCNGCVQKIKKALHGINGIYEVYIDFPDQKITIVGRADPEKIVKAIKKSRKSAVICCHTEQQPNHLMRRQPKPKILLRWPLKTNQQQMRRNTHLKCKQQTKPQSQRRIIIMLKR >itb04g19450.t1 pep chromosome:ASM357664v1:4:23816979:23818434:1 gene:itb04g19450 transcript:itb04g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPRITEVQVRMDCNGCVQKIKKALHGINGIYEVYIDFPDQKITIVGRADPEKIVKAIKKSRKSAVICCHTEQQPNHLMRRQPKPKILLRWPLKTNQQQMRRNTHLKCKQQTKPQSQRRIIIMLKR >itb09g12570.t1 pep chromosome:ASM357664v1:9:7947355:7950451:1 gene:itb09g12570 transcript:itb09g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 10 [Source:Projected from Arabidopsis thaliana (AT3G57030) UniProtKB/Swiss-Prot;Acc:Q4V3D9] MNPSALIFSAAALALLSVLWAFNSQIVFTPPTIPGSQDLLTNADIVPVPAALGPESIAFDPNGDGPYAGVADGRILKWEPGADGISGGRWIDFAVTSSQRKDCVRPFAPEMEHICGRPLGLRFDKKTGDLYIADAYLGLHVVGRTGGSTTPLVKEVDGQPLLFTNDMDIDEDEDAIYFTDTSTKFQRRQFIASVVSGDRTGRLMKYDRSTKEVTVLIEGIAFANGVALSKDRSFVLVAETSTGRVLRYWLKGPEAGNHSTFAVVPGFPDNIRKNSRGQFWVALHAKKGPLAHLLVSNPWLGKTLLKLPFNFKQLHHLLVGKPHASAIKLGEDGEVVEVLEDIEGKTLKFISEVEEHEGKLWIGSVLMPFLGVYILP >itb04g05700.t1 pep chromosome:ASM357664v1:4:3697658:3703067:-1 gene:itb04g05700 transcript:itb04g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRLPELIPSPTQDCETLMKAFKGLGTEEKEIIRVLGHRNASQRKDIREAYQQLYNKSLIDDLRSELSGDFMEAVILWTYDPPERDARLVNKALKSMKEVNESIEELQVIVEIACASSPHHLVDVRRAYCFLFDCSIEEDIMESIPSPLNKVLVGLVSSYRYDKEVVDIPTANLDAAKLHEAIQTQQLDNDDVIFILSVRNVFQLRASFLCYQQNYQYSIDQVVRASVLGLGTNEDSLTRAIVTRAEIDLAEVRGEYEKITTSSSLDQAVADDTSGDYRDFLMALLGVEV >itb15g04600.t1 pep chromosome:ASM357664v1:15:2932543:2945124:1 gene:itb15g04600 transcript:itb15g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRARPLSPEEAKTSPWRISDNSIFFSNPPSAKFDFDKIFGEECKTVDVYNARTKDIVSAAVQGFNGTVFAYGQTSSGKTHTMRGSSSEPGVIPLAVHDLFNFIQEAVDREFLLRMSYMEIYNEEINDLLAPEHWRLQIHESIERGIFVAGLREEIVASPEQVLELMEFGEFHRHTGETNMNLHSSRSHTIFRMIIESRQKAEHTPADNSCDAVRVSALNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNSVHVNEIMTDAALLKRQKKEIEELRAKLQASPSEHLEEEILNLRNTLLKSELERERIALELEEEKKAQAERDKRLQEQAKKIENLSTMVLCSNRDESRDVYKKDKRRDTWCPGNLSRMNSKELCSTVKGDLSAVRPIRAGLDLEPLLPFEELFVPDSVDNSSNQEGDFMNMLSEDCSVPDQQALVHVTNRRKGAPRKKSLQTEDRRSVDMQSEYEELLLEFEAHKTVSEIQIDYLTRKIAGVEADLIEKCNDSSMQYNNDTIHLMGNKYLMEPEAILVIKQLHEKIRVLEMERSSNQESLDSVVELATEQTISAREKYEEVYQELLGAKEEAKEAKEQLASVQFAEEKNFMSQVLAEVQGMMIEVENSRNLTDSVIPVMDDLLQSYSVISNSLAELKSFLPESSMQIKSIISNQEKISSCSSVKINELEDEKIQLHNQNLDLQVQIEQLQKVVHSSENALVEYSEKYEAEKFELLSQIRSLQKEISSLSSSSLAREKESLRKDLEKTKAKLKDTESKLKNAIQEKTKLEGEKACAEREIKILHGQKAILERDINKHDSIVGRRRDSVVDRSSSVFEAKRAKGAAFLAEQTMQEEYKKLEVLAFEMETTIASLEEQLTIANEEKEEAESRAGSLASDIHTLSDELNVSNAELSALKEEVLDLKSRLEESKSHHQGLENTINTLSEEKEDLAMQLADALLALEEEKAIWLAKERASVEAIEEKTNVYNAEIASLSEAMTEVRNELENYREECKLLKESLTNSERCTALEKVCSNEKSLEIDQMRIDLRVAEEHSTKIQETLKSQLEAMSSEHSSTIEEVEKLRMELSMLSKERETLLARIRDLDSRPISNHDLQNSELEAEQLRNRLASLEVKMHSDEVNNNKARAKLRMRLHGTHTKLEAFRDRYRELVDEMEFMNKKFEAASKELKQRLASCGHEILNLKKQLAAHKS >itb09g16050.t1 pep chromosome:ASM357664v1:9:11346687:11349560:1 gene:itb09g16050 transcript:itb09g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKKRRRATHNSDADADAVPFKSKVKPDSVILQTLETLKKSAAASSSAASSSKTLTLSDLDLSTTCREVSDLPLSAVQSSIETLILSLARSILSGEGFSFSVPSRSSANQLYIPELDRIVLKDKTSLRPFANVSTVRKTTITTRILQLVHQLCLKNIHVTKRDLFYTDVKLFQDQTQSDTVLDDVSCILGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELNLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYNIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQKDWL >itb01g04380.t1 pep chromosome:ASM357664v1:1:2956082:2956559:1 gene:itb01g04380 transcript:itb01g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSGYVSSKYALHGLLSMKFDVFSFGVLLLEIITGKKNSSLSTQSTKAQDLLSYAWKHWREGRALDMVDQSLGGLYSRNEVIQCIHVGLLCVQEEADDRPTMASVVLMLNSHSAIRRTPNPPLKWCPSV >itb13g12650.t2 pep chromosome:ASM357664v1:13:18882805:18883809:-1 gene:itb13g12650 transcript:itb13g12650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLSTASYVHHQLHHPDFNLPENEDDGNQSNRTGPGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEIGSGCDVFDCVATYARRRQRGICVLSGSGTVTNISLRQPAAGGGAAVVNLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEDEEDAPPPPQPQVQPPVSSQTSGASGSGGAAVNPFPADPSSGLPFFNLPLNMPPFGV >itb13g12650.t1 pep chromosome:ASM357664v1:13:18882805:18884026:-1 gene:itb13g12650 transcript:itb13g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHPDFNLPENEDDGNQSNRTGPGDVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEIGSGCDVFDCVATYARRRQRGICVLSGSGTVTNISLRQPAAGGGAAVVNLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEDEEDAPPPPQPQVQPPVSSQTSGASGSGGAAVNPFPADPSSGLPFFNLPLNMPPFGV >itb05g27450.t8 pep chromosome:ASM357664v1:5:30810752:30813248:1 gene:itb05g27450 transcript:itb05g27450.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPALQARFRFPRASISPCLSYKHKLAAPENSPERLHSLTLKRRSVLQFLGLSPILLNVCTVTAAPMQEMKEPDVIRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHR >itb05g27450.t2 pep chromosome:ASM357664v1:5:30810762:30813246:1 gene:itb05g27450 transcript:itb05g27450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYASRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHSTVDQFSGESAPVVLPLDDKQIIQGLKEVLTGMKAGGKRRALIPPSVGYISENLKPVPNEFGPRRSLLSHANEPLIFEVQLLKVL >itb05g27450.t4 pep chromosome:ASM357664v1:5:30810752:30813248:1 gene:itb05g27450 transcript:itb05g27450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGNVACFSCLLSSPWMSTKYCYVGRDTWLLALLVSLSVICDFQLGFEASRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHSTVDQFSGESAPVVLPLDDKQIIQGLKEVLTGMKAGGKRRALIPPSVGYISENLKPVPNEFGPRRSLLSHANEPLIFEVQLLKVL >itb05g27450.t6 pep chromosome:ASM357664v1:5:30810752:30813248:1 gene:itb05g27450 transcript:itb05g27450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPALQARFRFPRASISPCLSYKHKLAAPENSPERLHSLTLKRRSVLQFLGLSPILLNVCTVTAAPMQEMKEPDVIRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHR >itb05g27450.t7 pep chromosome:ASM357664v1:5:30810774:30813248:1 gene:itb05g27450 transcript:itb05g27450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGNVACFSCLLSSPWMSTKYCYVGRDTWLLALLVSLSVICDFQLGFEASRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHSTVDQFSGESAPVVLPLDDKQIIQGLKEVLTGMKAGGCS >itb05g27450.t1 pep chromosome:ASM357664v1:5:30810752:30813248:1 gene:itb05g27450 transcript:itb05g27450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPALQARFRFPRASISPCLSYKHKLAAPENSPERLHSLTLKRRSVLQFLGLSPILLNVCTVTAAPMQEMKEPDVIRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHSTVDQFSGESAPVVLPLDDKQIIQGLKEVLTGMKAGGCS >itb05g27450.t5 pep chromosome:ASM357664v1:5:30810752:30813248:1 gene:itb05g27450 transcript:itb05g27450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPALQARFRFPRASISPCLSYKHKLAAPENSPERLHSLTLKRRSVLQFLGLSPILLNVCTVTAAPMQEMKEPDVIRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHR >itb05g27450.t3 pep chromosome:ASM357664v1:5:30810752:30813248:1 gene:itb05g27450 transcript:itb05g27450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPALQARFRFPRASISPCLSYKHKLAAPENSPERLHSLTLKRRSVLQFLGLSPILLNVCTVTAAPMQEMKEPDVIRTLKLASGVRIQDIVEGEGPEARDGDLVEINYVCRRSNGYFVHSTVDQFSGESAPVVLPLDDKQIIQGLKEVLTGMKAGGKRRALIPPSVGYISENLKPVPNEFGPRRSLLSHANEPLIFEVQLLKVL >itb04g28870.t1 pep chromosome:ASM357664v1:4:32446790:32448853:-1 gene:itb04g28870 transcript:itb04g28870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFRAHHNSRDESEFNHYCSVPTSGGLDRNLVLNEGCSDVLGFSGMKSVHDGPAPSEGVEGDYFDGVFKYIQNMLMEEDDLEHIPYMFQDCMALQAAEKSFYDALTENPPPPPPNHSGNRNVQFSIPDNQVGSEPFPAQFPLPGTQIFQTGLQELQPGYNPFPGQVENPMIPMNNSSGSMFSTQFSGESGTYLIGNGCSGNGERSNGSGPERRRNRNRENGGEGQRNKQIATGNSDQEPEKTEKYDKALLCPSMNPLFYDDSIPYLSDESSETEARDKKYLQGPKRGRPRGSKKGAKPKQIVDLTDLLARCAQAEAAHDKKNFDLRLAAIRQHSSQYGDATERLAHCFANAVEARAAGTGTSLYASITRRRMSAAEYLKAYQTYITACPFKRMSNIYANKSIAKLTREAEKIHIIDFGILYGFQWPCIIHGISLRPGGPPRLRITGIDFPQPGFRPAERIEETGRRLENFARRFNVPFQYTAIAKKWETITLEDLKIEKDEILVANCLYRMKNVPDETVMANSSPRDDVLKLIKKINPEFFVHGIVNGMYNAPFFTTRFREAYFHFSALFDMFEATMPREDEGRMLAEQELLGRDVLNVIACEGSERVERPETYKQWQMRTQRAGFRALPLHREIIKEVKAKTRMGYHRDFSVEEDGEWMLQSWKGRVIYAMSLWQPLHN >itb01g01810.t1 pep chromosome:ASM357664v1:1:1078005:1078637:-1 gene:itb01g01810 transcript:itb01g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALFFALSLYLLPNPTHSTRNPIRLPTAADVAIASDTPVVDTDGDELRPGETYYIIAAAWGASGGAVKLAKLDRTPVKCPRDVTISSRNDGDPITITPADPNASVVLPSTFLSFKFDVPTNKLCVNKLYWEIQPENYLVKTGEFVSNQSNQFKIEALPNLSAYKITYCPFGTDKCYNVGATIDAWWRTLRLVLTDYPTEVSFEKATT >itb15g21820.t1 pep chromosome:ASM357664v1:15:24471990:24474812:-1 gene:itb15g21820 transcript:itb15g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQILLCFVIHILLLFSQSLETTPERTEGRALVKWKKTLLNTNNLHSWSIANLDNICWNWSGISCNNGGDVSKIKLDNFSLSGTLESFDFLSFPNLNHFSLYNNSFYGPIPYAIANLSHLVFLDLRLNRFVNSIPPEIGRLRNLQFLNLGFNNLDASISLVWKGVELQVVHYDISIALDLSNNYFHSEIPKSLGKLHLIRFLNLSHNQLTGYIPSSLENLTVLESLDLSSNKLVGELPRQFPRSLTFLAVLNVSSNNLSGPIPRGLQFDTFGNDSYLENTALCGLPLTLECQDKGEGKAPEVKDSEDFWIGFGWQSVVVGYCCGAPFGIAVGYFMFKYGKPRWLIRLVLGEVKVEV >itb04g00240.t1 pep chromosome:ASM357664v1:4:167914:170629:-1 gene:itb04g00240 transcript:itb04g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSLSMINPPVTRSFFRRSFSDSSICCSVNHIRNSAPAHKLKKSRSTAGNIFSLKFPSSFIPGALRSFLLDLETVNYKEIQVEEMVLESDDDTTEGESDPEQRRKRSNWVERLLELRTDWSQKQKNDDDDAESEEDKVEGCDEHECEVRYDDDDEDEGEMKSTFSNMLRRVSSSDIMIFSRLAFLCNMAYVIPEIKAKDLRRYYGLDFVTSSIMMFEQDSMAIATAAHHQYNKPQEKRSLLPRPSVAYEIAASAASYVQSRAKDLLSQDSSPQGDWSKSCEECELEESSTSSSSEMAAAYVVAASAVTAVVAAGEKTKEEAARELQSPQSSPCDWFVCDDSTTRTRCFIIQGSDSLASWQANLFFEPTKFEDTDVLVHRGIYEAAKGIYEQFLPTIKQHLSEHGDEAKFQFTGHSLGGSLSLLVNLMLLTRKVVSPTCLLPVVMFGAPYVFCGGEKVLEQLGLNENHVHSVMMHRDIVPRAFSCNYPNHVATVLKRLNATFRSHPCLNKNKLLYSPMGKTFILQPDEKLSPPHPLLPTGSALYTLENNNCSSTRKALRAFLNSPHPLETLSDPTAYGSNGTILRDHDSSNYLRAVNEMIRKHRMKPPRVIRKSRKQRNIQWPLLTSQSPHAWTQEYCGVMENTSPVRQLEIMTTGV >itb13g15450.t1 pep chromosome:ASM357664v1:13:22296050:22298701:1 gene:itb13g15450 transcript:itb13g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNLKLSAIDVQRVRNVVKTLQLLKLRSFCSSVKFDDHQHYNNTPNSPNPNTPQSNFSPPEPIPNRPLRDESRRPPFIPRGQWQARRPSASFNRFDGQDRNQSPQASSGEDFLKRFQLGFDHEKSDPNHTNPLKGESTESSAEESPPPLQDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGIMREKGTLPEVVIYTAVVEGFCKAYNHDDAVRIFRKMQSNGIIPNAFTYSILIQGLCQGKRLEDAFMFCLEMLEAGHSPNLATFIGLVDGYCKEKTLEDAQNMIQTLRQKGYYLDEKAVREYLNKKGPFLPLVWEATLGKKASPRQSLF >itb02g05420.t1 pep chromosome:ASM357664v1:2:3258469:3259239:-1 gene:itb02g05420 transcript:itb02g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLVAPTTFALKLKIHCNGCEKKLKQMLLKVQGVHSVRVDAKQGHVLITGTVDPPTILTMLEKLGRKAELLWEQGSPASPTTIRDRGKDVEIVTRSLKGIKPLMSYQDIMENPGQLSEIPGLQTVEVTSTIKFGFKNGSVAEISSARDDAKPLVLPPPSPGHHGCFHGNGYFESCGMNSHNNCCHWHSPGVAFGRNVSPPGPAPPWQFGFPSAPPVPSDYEPSTPSQPPQPAPVRANTYPSMFSDENPNSCTIM >itb04g04320.t1 pep chromosome:ASM357664v1:4:2682529:2684068:1 gene:itb04g04320 transcript:itb04g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSYLPWPPPLPPSAGRLSLPATENPPSQRHNFTSASLSRSNWKAKKPYKVHAAETSDFQQANRYYNKKARRFFQKKRQRESCDFAPFTPHNTTSFLIRAKRSGGIASLVSPYPVTPSVLPTPKFSPSREVLAEVAKEEWGVDGYGSMNGLIRLRSPENGAEGEEGVIDSCVSDAEEVEKRLSHDLNRFEMIYDPIYGNGGCLNRNRILQYDVDGVDEHIERLEEENMVLKERIYTVEKELRNLRRRMRCFEAEDENGESENDVGGGSEGSVGD >itb04g10800.t1 pep chromosome:ASM357664v1:4:10402817:10406045:-1 gene:itb04g10800 transcript:itb04g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLILILILNPSRKQAKQCRRRASADAASRQRYSQAINKPSSEDLKSQIEYSVSLAVHRRPPTSPSTGSLRPPWPQYRICLFPGIAKQLRIELDALVAGPVINYGYSAKRRR >itb06g17120.t1 pep chromosome:ASM357664v1:6:21064844:21067825:1 gene:itb06g17120 transcript:itb06g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPSVNRSSPISIIAKDHLFSILLLLPIDSILSFAMTCRRFKSLSCSDSLWESICRRDWGQSSVDALKPFLETKQGISWKNIYHQIHQLDSLCCSRILSNPQTEELLPRPRASHSLNFVSNCLVLFGGGCEGGRHLDDTWVAYIGSDFRKIVKWKKIDSGSPSGRFGHSCIVIGDFLVLFGGINDLGVRQNDAWVGQVSLHDSCGVTLSWRLLDVGTAIAPPPRGAHAACNIDKTRMLIHGGIGLSGHRLGDTWVVDFSEDLHFGVWREIVTHPFPPSRSGHTLTYIGGNQIILFGGRGAGYEVLNDVWLFDASEGHWRWVQLFFDLQNIPHGLALPRVGHSANLILGRRLLIYGGEDSYRHRKDDFWVLDISSMSGIKLQPAVTPNPKKPISKVWRQLRAKGDKPDPRSFHRACVDHSGRYLYIFGGMIDGLLQPAESSGLTFDGGLFLLEIVLQC >itb09g17210.t2 pep chromosome:ASM357664v1:9:12445787:12457575:-1 gene:itb09g17210 transcript:itb09g17210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMAAGLLPVGMGVGPVVSMMVVPKSNLRPWYQPLVFTLHPSLSHNNSTNRSLRRCNANSLSSEAEGDVFSVITSSNRSQVDYLGQSTKGDLNLNSGLNGATLEGPIQEVAKAEAQEAEHLLQQLGIGGPFSTRHSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGRAYDYCMDNLKNMGFPVDGLAFDPELVIRGLVIDKEKGNLVKADRFGYVKRAMHGTSMLSTREMSEIYGRELVDLRKESRWVFLNTLFSVSEAVAYMQMVDRLDEGIIAAELGPLDYKGLYKAVGKALFTAHVEGQLKTEIMSKPELFVELDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMQHSFNRFLPNDMSWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKASPGGLYSGGSAQMVESSLGIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYSALIRSQGHRALLVELISQKEVVGGLFNQLRLALQRRTMDRPAQTLAATNMDDKELTESIQKLLIVMQKLDEKIAPMLEADGEHFNRRWGFISRAGLWDKSHLMRQIEKYADIYTSRVSNFLQYTPFMYFHAQEQSLAHDSYSFHGPRVDKLDEGNGNRYSL >itb09g17210.t1 pep chromosome:ASM357664v1:9:12445787:12457752:-1 gene:itb09g17210 transcript:itb09g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMAAGLLPVGMGVGPVVSMMVVPKSNLRPWYQPLVFTLHPSLSHNNSTNRSLRRCNANSLSSEAEGDVFSVITSSNRSQVDYLGQSTKGDLNLNSGLNGATLEGPIQEVAKAEAQEAEHLLQQLGIGGPFSTRHSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGRAYDYCMDNLKNMGFPVDGLAFDPELVIRGLVIDKEKGNLVKADRFGYVKRAMHGTSMLSTREMSEIYGRELVDLRKESRWVFLNTLFSVSEAVAYMQMVDRLDEGIIAAELGPLDYKGLYKAVGKALFTAHVEGQLKTEIMSKPELFVELDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMQHSFNRFLPNDMSWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKASPGGLYSGGSAQMVESSLGIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYSALIRSQGHRALLVELISQKEVVGGLFNQLRLALQRRTMDRPAQTLAATNMDDKELTESIQKLLIVMQKLDEKIAPMLEADGEHFNRRWGFISRAGLWDKSHLMRQIEKYADIYTSRVSNFLQYTPFMYFHAQEQSLAHDSYSFHGPRVDKLDEGNGNRYSL >itb01g12890.t1 pep chromosome:ASM357664v1:1:12556726:12562970:-1 gene:itb01g12890 transcript:itb01g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATVHDVLYYMSPIYCQAIIDSVSNQLNRLYLKFLKRNPGYNGKVSIYGHSLGSVLSYDILCHQEILSSPFPMEWMYTGANEKKVSFPDKSEVSLDQNVTAIVDDESLVSDRSKNTVNLLGNDIMEVDQSCSGLVEECTEFLCHPVSPPALSDSDEAAVNSVDIKQHDDRNDLGPSEQDMTKIEELESDKDKMIRSLREEIDLLTAKIRALDCWSGPEGKKGQETNTINQSETEFPKESDSHKNYTPCIKYTKLAFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQEYWEEEKINEEMPACRQMFNIFHPFDPVAYRIEPLVCKEYVNKRPIIIPYHRGGKRLHIGFQEFTEGVASHSHAFVNHIHSARVKVLTFCESRNNNNEEEGTHEAQVRDDRSYGSIMMEKLTGSEDGRIDHVLQDKTFRHPYISAIGAHTNYWRDPDTALFILHHLYRDIPEDTHSPGGSLDGNSKHESDEGYWYGPNEEADEETPLTFADSVFLKKFSRRARRILKHQVH >itb02g12910.t1 pep chromosome:ASM357664v1:2:8919093:8922454:-1 gene:itb02g12910 transcript:itb02g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLRWNCEKDVHLYEVRSLKLMFLNAISPPVFTGARIEGENCSSLKVALVDALTGQTVTSGPESSAKVEILALEGDFDGGEGDKWTLEEFTNNIVREREGKKALLSGDAFLNLKQGIGLVGDISFSDNSSWTRSRKFRLGVRLVDNFDGIKVKEAISESFIVRDHRGELYKKHYPPSLLDEVWRLEKISKEGAFHRRLKKERVNTVKDFLTLFYLEPTRLRKILGTGMSAKMWEMTVEHARTCDVDKQLYSYHPSGSDQKNGVIFNIVAQVMGLLVDCQKYVPADKLSETEKVEAHKLTISAFGHWEDVNCFNDESAECSNVPTIQCSTNLSATVNSACSDILTSQKVTRFDAQHFSAAMPDAMSSMYSPSDLSSLDGYGFHQCLENAGIDFDLPLRSPVQDINSFIRDAADSMIGDRSLPYFDHDYIQTPIFDPPEADLHSVPAQRRWKILFSVFRAVRRMVANKGKETCS >itb02g06390.t1 pep chromosome:ASM357664v1:2:3977747:3979685:1 gene:itb02g06390 transcript:itb02g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPFMASQPSLPFTVTRQKPELVAPAEPTPREIKYLSDIDDQQGLRFQIPLIQFYRNEPSMSGKDPVQVIRQALAKALVFFYPFAGRLREGPGQKLMVDCTGEGVMFIEADADATLQHFAVGGEIKPPFPCFDQLLYNVPGSAEILNCPLFIIQVTRLRCGGFIFALRLNHTIADALGLVHFLNTIAEIARGAEAPTLQPVWQREFFSARNPPRVTCAHYEYEEEPTDSMVTNIPLDNLVHRSFFFGPTEIWALRRTLPPHLRKCSTVEILTALMWRCRTIALGFDPDEEVRMLLLISARFKFKDTPLPSGYTGNAFAYPGAKTTAGKLRKNPLGYAVELVTNIKRCFSEEYMQSVVDLMVLKDRPLFHVAGSFIMSDLTRSKMRDVDYGWGKAVFGGPPHGGVGDAPGVITFHVPYENNKGENGTVIPICLPAFAMDKFVNELANTFIRAAL >itb13g03010.t4 pep chromosome:ASM357664v1:13:2980668:2983596:-1 gene:itb13g03010 transcript:itb13g03010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSSDRTRAFPYPCSSSRSRHSLPLDHLESEKNVKEWEEARCPVCMEHPHNAILLVCSSHDKGCRPYMCDTSYRHSNCFDQFRKSFEEASQTPIQQEEVVPVSTSPIASAPVTSETTLQGGISESSTPMHDVLCDHEKKNMVCPLCRGQVNAWMVNEPARHFMNAKPRSCSCETCDFNGTYTDLRKHARSVHPLVRPTEADPERQRNWRRLERQRDLGDLLSTLHSSLGEEESEGSTLTFDDGGLLTVFLFVRILQPRSSPRSSSWSGSSRARGQVTFRRRPSRRLWGETYDGEIESRDDDNEDSDAGTAARRHQDRMSRQPTPEN >itb13g03010.t5 pep chromosome:ASM357664v1:13:2980704:2983596:-1 gene:itb13g03010 transcript:itb13g03010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSSDRTRAFPYPCSSSRSRHSLPLDHLESEKNVKEWEEARCPVCMEHPHNAILLVCSSHDKGCRPYMCDTSYRHSNCFDQFRKSFEEASQTPIQQEEVVPVSTSPIASAPVTSETTLQGGISESSTPMHDVLCDHEKKNMVCPLCRGQVNAWMVNEPARHFMNAKPRSCSCETCDFNGTYTDLRKHARSVHPLVRPTEADPERQRNWRRLERQRDLGDLLSTLHSSLGEEESEGSTLTFDDGGLLTVFLFVRILQPRSSPRSSSWSGSSRARGQVTFRRRPSRRLWGETYDGEIESRDDDNEDSDAGTAARRHQDRMSRQPTPEN >itb13g03010.t3 pep chromosome:ASM357664v1:13:2980668:2983596:-1 gene:itb13g03010 transcript:itb13g03010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSSDRTRAFPYPCSSSRSRHSLPLDHLESEKNVKEWEEARCPVCMEHPHNAILLVCSSHDKGCRPYMCDTSYRHSNCFDQFRKSFEEASQTPIQQEEVVPVSTSPIASAPVTSETTLQGGISESSTPMHDVLCDHEKKNMVCPLCRGQVNAWMVNEPARHFMNAKPRSCSCETCDFNGTYTDLRKHARSVHPLVRPTEADPERQRNWRRLERQRDLGDLLSTLHSSLGEEESEGSTLTFDDGGLLTVFLFVRILQPRSSPRSSSWSGSSRARGQVTFRRRPSRRLWGETYDGEIESRDDDNEDSDAGTAARRHQDRMSRQPTPEN >itb13g03010.t2 pep chromosome:ASM357664v1:13:2980668:2983596:-1 gene:itb13g03010 transcript:itb13g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSSDRTRAFPYPCSSSRSRHSLPLDHLESEKNVKEWEEARCPVCMEHPHNAILLVCSSHDKGCRPYMCDTSYRHSNCFDQFRKSFEEASQTPIQQEEVVPVSTSPIASAPVTSETTLQGGISESSTPMHDVLCDHEKKNMVCPLCRGQVNAWMVNEPARHFMNAKPRSCSCETCDFNGTYTDLRKHARSVHPLVRPTEADPERQRNWRRLERQRDLGDLLSTLHSSLGEEESEGSTLTFDDGGLLTVFLFVRILQPRSSPRSSSWSGSSRARGQVTFRRRPSRRLWGETYDGEIESRDDDNEDSDAGTAARRHQDRMSRQPTPEN >itb13g03010.t1 pep chromosome:ASM357664v1:13:2980668:2983596:-1 gene:itb13g03010 transcript:itb13g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSSDRTRAFPYPCSSSRSRHSLPLDHLESEKNVKEWEEARCPVCMEHPHNAILLVCSSHDKGCRPYMCDTSYRHSNCFDQFRKSFEEASQTPIQQEEVVPVSTSPIASAPVTSETTLQGGISESSTPMHDVLCDHEKKNMVCPLCRGQVNAWMVNEPARHFMNAKPRSCSCETCDFNGTYTDLRKHARSVHPLVRPTEADPERQRNWRRLERQRDLGDLLSTLHSSLGEEESEGSTLTFDDGGLLTVFLFVRILQPRSSPRSSSWSGSSRARGQVTFRRRPSRRLWGETYDGEIESRDDDNEDSDAGTAARRHQDRMSRQPTPEN >itb13g03010.t6 pep chromosome:ASM357664v1:13:2980704:2983596:-1 gene:itb13g03010 transcript:itb13g03010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSSDRTRAFPYPCSSSRSRHSLPLDHLESEKNVKEWEEARCPVCMEHPHNAILLVCSSHDKGCRPYMCDTSYRHSNCFDQFRKSFEEASQTPIQQEEVVPVSTSPIASAPVTSETTLQGGISESSTPMHDVLCDHEKKNMVCPLCRGQVNAWMVNEPARHFMNAKPRSCSCETCDFNGTYTDLRKHARSVHPLVRPTEADPERQRNWRRLERQRDLGDLLSTLHSSLGEEESEGSTLTFDDGGLLTVFLFVRILQPRSSPRSSSWSGSSRARGQVTFRRRPSRRLWGETYDGEIESRDDDNEDSDAGTAARRHQDRMSRQPTPEN >itb14g16220.t1 pep chromosome:ASM357664v1:14:19537272:19539947:1 gene:itb14g16220 transcript:itb14g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIEEYHIAQMFMVMKMQQQNTTGNEGVEILENRPFEDDGFGQGQYTSKIYRLQSKAPTWLTKLAPEDALCPYFTRFLLTIDTIHKADNGQSENVHGLSEAQLAAREVEIIDIASGVTDYWSYIIGRNDIDLSKFQSARTGRGPLLEGWKDNCAPVMTAYKLVTVDAPYWGFGGKLERALVAGEGALFMESHRNIFAWIDDWYGMTVEMMRELEQQSDLSLNKKLGRYHSNDKMMAQE >itb02g16780.t4 pep chromosome:ASM357664v1:2:12730251:12746569:-1 gene:itb02g16780 transcript:itb02g16780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLDEDEYKSYMGGIVAQLQDHYPDASFMVFNFREGDRRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLALDCIILRVIPLYEGGSGCRPVVRVYGQDPSSTTSNRSSKLLFATSTTKKHSRLYRQVECELVKIDIHCRVQGDIVVECIHLDEDLAREEMMFRVMFHTAFIRSNVLMLTRDDVDVLWDAKEQFSRDFKAEVLFSDADAVPPIIPTEARNEDGNETESASPEEFFEVEEIFSSAVDVLDARGDSDTHVQESREDDESDEVVWKEESEHHSFQDCASEEGNQRHDIRIDSNNPETDVNVMGDEYVVLDSSDVILNDSGNGESKSVISDVCDKSVEVENKQNGETALAQKKLERQGSEEKTGGDSNKQKSDNAPVTASKKQQLANPKPSSDTSAKNKSKQQEFQGNVSRQAKPNVVSRWIPTNKGSYTNSMHVSYPPSRYNSAPPAFALAKDSQSGGKSRSASPRTSTASIASVNGTAEAAGCAQGKQSSCPPSSDTSMSQTALTPSSTSPPVECQDLQDTGSSSSQVPCVQVEVSGVSPSSPSLCLPQQERHSVTVSTNSQPPPPPPPPPPLPLFSTRIVVSTSSSPAPPPPPAPTTSTSWANIASSVALPKSVPIPPPPPPPSAASTVKSASVGGPSYSPPTAPPPPPPPPPPPPPMFRSTPSFVSGPANLPSTLQGVPPPPLLPSQSCPPPPPPPPPPPPLSHGAPMPPPLPPPLPSHGTSPPPPPPLPPSRGAPPPPPPPPPPPQVGGPPPPLPPLRGAPPPPPPPLPGALLPPPIPPSWGGALPPLPPPSSAGAPPPPPPPVRGGAPPPPPPPVRGGAPPPPPPPIRGGAPPPPPPPIRGGAPPPPPPPIRGGAPPPPPPPVRGGAPPPPPPPVRGGAPPPPPRGGAPPPPPPPGGRVPGPPPLGAPGPPPPPGAPRPPSGAPPPPGRGLPSARGRGLYGRGPPAGAARRSTLKPLHWSKVTRAVQGSLWEELQRNGEPQIAPEFDVSELETLFSAIVPKSDKGDKSGGRRKSLGSKPDRVHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEIELLKNYTGDKENLGKCEQFFLELMKVPRVESKLRVFLFKIQFNSQVSDFRKSLNTVNSACEEVRNSYKLKEVMRRILQLGNTLNQGTARGSAVGFKLDSLLKLTDTRATNNKMTLMHYLCKAFASRSPALLDFHVDFVSLEAASKIQLKSLAEEMQAIIKGLEKVKQELAASETDGPVSEIFRKTLKEFVGVAETEVSSVTNLYSTAGRNADALALYFGEDPARCPFEQVTATLLNFVRLFKKAHEENLKQAELEKKKAQKEAEMENAKGINLTKKGPKG >itb02g16780.t1 pep chromosome:ASM357664v1:2:12730251:12746569:-1 gene:itb02g16780 transcript:itb02g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLDEDEYKSYMGGIVAQLQDHYPDASFMVFNFREGDRRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLALDCIILRVIPLYEGGSGCRPVVRVYGQDPSSTTSNRSSKLLFATSTTKKHSRLYRQVECELVKIDIHCRVQGDIVVECIHLDEDLAREEMMFRVMFHTAFIRSNVLMLTRDDVDVLWDAKEQFSRDFKAEVLFSDADAVPPIIPTEARNEDGNETESASPEEFFEVEEIFSSAVDVLDARGDSDTHVQESREDDESDEVVWKEESEHHSFQDCASEEGNQRHDIRIDSNNPETDVNVMGDEYVVLDSSDVILNDSGNGESKSVISDVCDKSVEVENKQNGETALAQKKLERQGSEEKTGGDSNKQKSDNAPVTASKKQQLANPKPSSDTSAKNKSKQQEFQGNVSRQAKPNVVSRWIPTNKGSYTNSMHVSYPPSRYNSAPPAFALAKDSQSGGKSRSASPRTSTASIASVNGTAEAAGCAQGKQSSCPPSSDTSMSQTALTPSSTSPPVECQDLQDTGSSSSQVPCVQVEVSGVSPSSPSLCLPQQERHSVTVSTNSQPPPPPPPPPPLPLFSTRIVVSTSSSPAPPPPPAPTTSTSWANIASSVALPKSVPIPPPPPPPSAASTVKSASVGGPSYSPPTAPPPPPPPPPPPPPMFRSTPSFVSGPANLPSTLQGVPPPPLLPSQSCPPPPPPPPPPPPLSHGAPMPPPLPPPLPSHGTSPPPPPPLPPSRGAPPPPPPPPPPPQVGGPPPPLPPLRGAPPPPPPPLPGALLPPPIPPSWGGALPPLPPPSSAGAPPPPPPPVRGGAPPPPPPPVRGGAPPPPPPPIRGGAPPPPPPPIRGGAPPPPPPPIRGGAPPPPPPPVRGGAPPPPPPPVRGGAPPPPPRGGAPPPPPPPGGRVPGPPPLGAPGPPPPPGAPRPPSGAPPPPGRGLPSARGRGLYGRGPPAGAARRSTLKPLHWSKVTRAVQGSLWEELQRNGEPQIAPEFDVSELETLFSAIVPKSDKGDKSGGRRKSLGSKPDRVHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEIELLKNYTGDKENLGKCEQFFLELMKVPRVESKLRVFLFKIQFNSQVSDFRKSLNTVNSACEEVRNSYKLKEVMRRILQLGNTLNQGTARGSAVGFKLDSLLKLTDTRATNNKMTLMHYLCKAFASRSPALLDFHVDFVSLEAASKIQLKSLAEEMQAIIKGLEKVKQELAASETDGPVSEIFRKTLKEFVGVAETEVSSVTNLYSTAEC >itb10g14410.t1 pep chromosome:ASM357664v1:10:20758966:20760055:1 gene:itb10g14410 transcript:itb10g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLSGRLSMYGTSTLPNLKSYVPSAAAANNLNLKQSLPRGKKGDLVPVYVALGMIALSTTFALHTAMHQLKRAPNVFVKKSRRETVPELVEPEHVAEESDEFVKKSFFRKLAHIQPTIAHDPLGCVYTRQPPVETLRSVGVEPMP >itb05g13600.t1 pep chromosome:ASM357664v1:5:20606649:20615299:-1 gene:itb05g13600 transcript:itb05g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVQCSDKGSSSNLGYCDESQDVTATGEDTNNEGHVSEDLRMTAEDQVEYNDKLDIVISEDKLTNDVPSNESRGLLMEDKGINQQLDIFSGAYKAAIAQDKAAKRLHGPCVQLLPTCKLGMKSWTRSSLGSSGSDLTTSNHAEVSTAGNTKVTVCLQCGDEGFSNSLIHCVQCQHVAIHRYCLDNLPNSLEKFVHWLCEDCKAHVPCQFTSQKSGPSMMGKHYAIAEHSNSTPAAALNRKHLYNLIAESEKCICKDNLLRSDKTCTKVNLGQAEAMESASSPLGMKLKNVEQAISTQSEERRTQNSSSHQQETHESELIESVRSVDNGSVLTPNEETLPKQSQLCLARVEDCKRANYSPCQTYLEMDPGQREDTKLTCGFWPSEKSNNIGDVNCSVTNLMVKEHGNFRQPAVAHEETDSVELALSIGDCSFMNKEMKKTSFCKDKPDQEKNPEEILLLSCESNLKTKTFTIPEWHGQSQPVTVPIWRGSFNILHNKCDKFDGFVARLSSKACKKVHDEAHLFPQIIDFKMFLKSDLLPKTFAIEEPSDDNIGIYFFPESTRYERVFDHLVDEMMRRGLALSAFMKNAELLVFTSMELQPHYRRFHGKHYLWGVFREKQDS >itb15g24080.t1 pep chromosome:ASM357664v1:15:26948481:26948768:1 gene:itb15g24080 transcript:itb15g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYLRLKRGSLRSSEDSINRMVNHFVQEFKRKYQKDISGNPRALRRLKKASERAKRTLSSTAQTTIKIDSLFEGIDFYTNITRARFEGEIVGFP >itb13g02550.t1 pep chromosome:ASM357664v1:13:2434724:2437835:1 gene:itb13g02550 transcript:itb13g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVALTSLMATIELEFLHPNHRVSLVDDDEKPLKSLLQKLSYMEAFVKKEYNNAVGSGAPIRRNLLNKIRDFALKAEDAIEIQLTNILQQNADEGELHLHLHLHLRLQEVAKEAEELVKLINNDSLPLIGWSEAAASSHPHNIIGSLQCWEEDIIPDFHSFYYLTLKTKLLLDRLSPERNVLTIVGVPGIGKTTFCKQLYTDNEVISYFDIQAWVTIGQRYNGNVQQLLCHLLQSMSPTLNQQIDMLQGSTVSQLKHQLHRHLKKCKRYFIFLDDVPNTLLWDDIHQCFPNHTNRSLILLTTVFKDVAEYISKDWAVINVLHYLNGTQSWILFSRRFSLKQHMTPKFEEIAKNLVEECKGLPRSIVTVADRLSKCNFTLKEWKKIEKELLSLGILHRDTQHLKWIECFDDVVSSNSNLQTLIVSGIDESTLGARTLQLPSKIWEPQHLRHLKLGDKYMIDPPNMVKEHLQTLVCAMPIHFRKKEVYYCKFPSIRKLKVVYKDILVPGCRNPIIILENFEDLLRLETLTVMVPVGSITLLERVGFPAKLKELRLSGTNFPVKVLTVIGQLPKLKVLKLENAFYGKVWEVVEGGFPELKDLEVESTSLERWVGNTNNHFPKLKYIFLKRCYSLEEIPRVSVFSIELEQCHPSVVTLAKRYQEERVVTCITVDGKRVWEEEDEWQYTDKEESEEEGYDNV >itb13g00390.t1 pep chromosome:ASM357664v1:13:314158:315321:1 gene:itb13g00390 transcript:itb13g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLFGKRYEEVGSGVTATFRRTFELLGMSIVGDFLPWLRWLDIGGLYWHAAGTDDTTSTMLTWGLSLILNNDNVLEKIQGELDNIVRRERHVNESDLGNLTYFQAVIKETSFRLYPPGPLLIPHEAMEDCKINGYHVFKGTRVMINVSKIQRDPNFWVDPDAFKPERFLMEHKDIDLRGNHFDLIPFGIGRRICPGMSLALQSMQLGLAAVIHSFDIKRCSDEPIDMTDQRLMAWRLAKQLHSKFSFPHAYLLISTFDFFTCTWMQFQGVYFINSNYVISYKSI >itb06g14840.t1 pep chromosome:ASM357664v1:6:19294332:19294634:1 gene:itb06g14840 transcript:itb06g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVRKESSTEWGGEDWGSLSPSSPQKQFGEDKEPFGKHGAEIKVKISKKQLAELVGKADLRGFSIDQFLAELKNGADRYETHLHRSWRPALHSIPEVN >itb05g15660.t1 pep chromosome:ASM357664v1:5:23064462:23064788:1 gene:itb05g15660 transcript:itb05g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFCRRIVSTIWHYYGGCVVGKVVDGDFRFIRIDGLRVINSSTFNASPGPTPKPPSHAWMVCLFEDSGGKTEIDMQGSIFFVLVFKSWASRNPSLEILPASLNSPCK >itb14g16410.t1 pep chromosome:ASM357664v1:14:19755605:19756760:1 gene:itb14g16410 transcript:itb14g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRPRRWSPTPEQLMVLQELYRKGLRNPNSAQVRTITAHLSLYGKIEGKNVFYWFQNHKARDRQKLRKALLKQMHHRHLPFPGRQDPPPPPSAAVTPNYHPQSLPDSASSQRHSLFSNPNTFLHQGGDEEGSDMENWMMMRMYGRDWMLMTTATGGMQLPTTFPCYVDTTPKTLELFPLKSTNMKDDQSSSNDDSC >itb03g02940.t1 pep chromosome:ASM357664v1:3:1659002:1659821:-1 gene:itb03g02940 transcript:itb03g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNPNGYPSFLKTYLGEAHSQERIKIPGGFVKAHKKNLSERSWALKTEGGSPWHMGIIRRGKKYFFRSADWVEFSKHHDLHYGDHMMFFLVGNSEFEVLFYSQTTCCEIPPPQSDEHTATEETEASENAKKQKRKPIICEEYEARKKAKGSTDPGHKNKTVKVEIISSTESERDEEEYSPDSDSERKRKLQRE >itb13g26270.t1 pep chromosome:ASM357664v1:13:31602917:31604520:-1 gene:itb13g26270 transcript:itb13g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATETCGVRLSTITSLVGMQEDFVTKEVFDWLSKESLIVRVTSIICRLMDDMAGHEFEQQRGHMASSVECYMNQYVKSKEDTYKELQKQVIDAWNDTNQECLKPIVVPMPILTRLLNFSRVIDSLYVDGDSYTHSKTMLKGFITLLLVDPVL >itb14g13190.t1 pep chromosome:ASM357664v1:14:15107839:15109927:1 gene:itb14g13190 transcript:itb14g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKRAKPQAPRRGISQAERVDSSSVPEYTGDLDTIVMDPVPISQVPFQEIQESRASPDEDPLSPGNPIPLSIEIEEVTNPMPMADNLGENMIANPNDKPYQSPLALNRACQLLNEESKEERVNTQKGIFTPKPRVPNSSPSDTLEKDLYVFPEEERETEPQNEDTEINFHPSMLSTEHLTTQSVETPVPTRTEHLPTQNVETPVPTPSTDKTQRKRKRVDPFEGADPRAKRPEDFPISARPPRGRPNIPPPRKTKTNKKKQKETEEETSWNSEKETSETEEISENVGSRTRARKSLRKHTAKEKGKGVAEEESGTQVNTSNLPKPYKEGISQEERQEEEEEETQGENSEEEGDQEESQEEGEDDQDVGSQEEEEETQDVENSVSTPSENF >itb10g18440.t1 pep chromosome:ASM357664v1:10:24437660:24440477:1 gene:itb10g18440 transcript:itb10g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLGCRGKLAVKHRGVDAKPESRLTPAPSTVVPPAVWFEVEVVEFPFQDLTFRIHATGETPRQAYSFEEG >itb06g13900.t1 pep chromosome:ASM357664v1:6:18548132:18550899:1 gene:itb06g13900 transcript:itb06g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEALSAGADVSMIGQFGVGFYSAYLVAEKAKFEGLCKVMKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELCKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGEADAEMPALDDDAEAEGSKMEEVD >itb12g05580.t5 pep chromosome:ASM357664v1:12:4112279:4117471:1 gene:itb12g05580 transcript:itb12g05580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNVFLEDGLGKENHSCANSTKDKRSLEKKKCAIQSPVKRTSVRPKSICKDTDPRNLQGRGIEQGPKRSSCSLGEKVLEDESIANKISEDIIKCLSTIFLRLTRFKGKTMDSEFFSHEGSMETDFRDPYGIASQHRSQDIGPYKYLCTIEACSMDFNRKTNASFLINRLKILFGKLASVKLEGLTHQQKLAFWINVYNSCMMNAVLEHGIPDSPEMVVTLMQKAMINVGGHSLSAIMIEHFILRLPYHLNYTCSKSAKKEELKIRSIFGLEWSEPLVTFALSCGSLSSPAVRVFTASQVESELEMAKREYLQAAVGITKSRKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRIETLKCIQRQGTEPLSQLVQVMPYDFSFRYLIGR >itb12g05580.t4 pep chromosome:ASM357664v1:12:4113841:4117348:1 gene:itb12g05580 transcript:itb12g05580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METQKARLNRGQLTRERKLALLQDVDKLKKKLRHEENVHRALERALCRPLGTLPRLPPYLPQSTLELLAEVAVLEEEVARLEEQVVNCRQGLYQEAISTCSTRNVNHSPPSGELPINGPRKRHSRSFSQSEVNERLSVAQRLPSLPRSSSTRRLIFADPGFDGLRDRPANGNQGMEKSNVFLEDGLGKENHSCANSTKDKRSLEKKKCAIQSPVKRTSVRPKSICKDTDPRNLQGRGIEQGPKRSSCSLGEKVLEDESIANKISEDIIKCLSTIFLRLTRFKGKTMDSEFFSHEGSMETDFRDPYGIASQHRSQDIGPYKYLCTIEACSMDFNRKTNASFLINRLKILFGKLASVKLEGLTHQQKLAFWINVYNSCMMNAVLEHGIPDSPEMVVTLMQKAMINVGGHSLSAIMIEHFILRLPYHLNYTCSKSAKKEELKIRSIFGLEWSEPLVTFALSCGSLSSPAVRVFTASQVESELEMAKREYLQAAVGITKSRKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRIETLKCIQRQGTEPLSQLVQVMPYDFSFRYLIGR >itb12g05580.t1 pep chromosome:ASM357664v1:12:4112274:4117471:1 gene:itb12g05580 transcript:itb12g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKKASLHLQNVKKMETQKARLNRGQLTRERKLALLQDVDKLKKKLRHEENVHRALERALCRPLGTLPRLPPYLPQSTLELLAEVAVLEEEVARLEEQVVNCRQGLYQEAISTCSTRNVNHSPPSGELPINGPRKRHSRSFSQSEVNERLSVAQRLPSLPRSSSTRRLIFADPGFDGLRDRPANGNQGMEKSNVFLEDGLGKENHSCANSTKDKRSLEKKKCAIQSPVKRTSVRPKSICKDTDPRNLQGRGIEQGPKRSSCSLGEKVLEDESIANKISEDIIKCLSTIFLRLTRFKGKTMDSEFFSHEGSMETDFRDPYGIASQHRSQDIGPYKYLCTIEACSMDFNRKTNASFLINRLKILFGKLASVKLEGLTHQQKLAFWINVYNSCMMNAVLEHGIPDSPEMVVTLMQKAMINVGGHSLSAIMIEHFILRLPYHLNYTCSKSAKKEELKIRSIFGLEWSEPLVTFALSCGSLSSPAVRVFTASQVESELEMAKREYLQAAVGITKSRKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRIETLKCIQRQGTEPLSQLVQVMPYDFSFRYLIGR >itb12g05580.t2 pep chromosome:ASM357664v1:12:4112274:4117348:1 gene:itb12g05580 transcript:itb12g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKKASLHLQNVKKMETQKARLNRGQLTRERKLALLQDVDKLKKKLRHEENVHRALERALCRPLGTLPRLPPYLPQSTLELLAEVAVLEEEVARLEEQVVNCRQGLYQEAISTCSTRNVNHSPPSGELPINGPRKRHSRSFSQSEVNERLSVAQRLPSLPRSSSTRRLIFADPGFDGLRDRPANGNQGMEKSNVFLEDGLGKENHSCANSTKDKRSLEKKKCAIQSPVKRTSVRPKSICKDTDPRNLQGRGIEQGPKRSSCSLGEKVLEDESIANKISEDIIKCLSTIFLRLTRFKGKTMDSEFFSHEGSMETDFRDPYGIASQHRSQDIGPYKYLCTIEACSMDFNRKTNASFLINRLKILFGKLASVKLEGLTHQQKLAFWINVYNSCMMNAVLEHGIPDSPEMVVTLMQKAMINVGGHSLSAIMIEHFILRLPYHLNYTCSKSAKKEELKIRSIFGLEWSEPLVTFALSCGSLSSPAVRVFTASQVESELEMAKREYLQAAVGITKSRKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRIETLKCIQRQGTEPLSQLVQISRKQVDKNNLDFCYL >itb12g05580.t6 pep chromosome:ASM357664v1:12:4114585:4117348:1 gene:itb12g05580 transcript:itb12g05580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKCFMACNLCGMVVLQTLELLAEVAVLEEEVARLEEQVVNCRQGLYQEAISTCSTRNVNHSPPSGELPINGPRKRHSRSFSQSEVNERLSVAQRLPSLPRSSSTRRLIFADPGFDGLRDRPANGNQGMEKSNVFLEDGLGKENHSCANSTKDKRSLEKKKCAIQSPVKRTSVRPKSICKDTDPRNLQGRGIEQGPKRSSCSLGEKVLEDESIANKISEDIIKCLSTIFLRLTRFKGKTMDSEFFSHEGSMETDFRDPYGIASQHRSQDIGPYKYLCTIEACSMDFNRKTNASFLINRLKILFGKLASVKLEGLTHQQKLAFWINVYNSCMMNAVLEHGIPDSPEMVVTLMQKAMINVGGHSLSAIMIEHFILRLPYHLNYTCSKSAKKEELKIRSIFGLEWSEPLVTFALSCGSLSSPAVRVFTASQVESELEMAKREYLQAAVGITKSRKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRIETLKCIQRQGTEPLSQLVQVMPYDFSFRYLIGR >itb12g05580.t3 pep chromosome:ASM357664v1:12:4113841:4117348:1 gene:itb12g05580 transcript:itb12g05580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METQKARLNRGQLTRERKLALLQDVDKLKKKLRHEENVHRALERALCRPLGTLPRLPPYLPQSTLELLAEVAVLEEEVARLEEQVVNCRQGLYQEAISTCSTRNVNHSPPSGELPINGPRKRHSRSFSQSEVNERLSVAQRLPSLPRSSSTRRLIFADPGFDGLRDRPANGNQGMEKSNVFLEDGLGKENHSCANSTKDKRSLEKKKCAIQSPVKRTSVRPKSICKDTDPRNLQGRGIEQGPKRSSCSLGEKVLEDESIANKISEDIIKCLSTIFLRLTRFKGKTMDSEFFSHEGSMETDFRDPYGIASQHRSQDIGPYKYLCTIEACSMDFNRKTNASFLINRLKILFGKLASVKLEGLTHQQKLAFWINVYNSCMMNAVLEHGIPDSPEMVVTLMQKAMINVGGHSLSAIMIEHFILRLPYHLNYTCSKSAKKEELKIRSIFGLEWSEPLVTFALSCGSLSSPAVRVFTASQVESELEMAKREYLQAAVGITKSRKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRIETLKCIQRQGTEPLSQLVQISRKQVDKNNLDFCYL >itb13g16010.t3 pep chromosome:ASM357664v1:13:22899512:22905851:-1 gene:itb13g16010 transcript:itb13g16010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVLTSAMATASMASLTAATSRLLPSASIAVATPTTASLSLKCFRSSLFLSTVSLSQRAAVPRLSRSFGSVVSPKCFASNPDQLRSAKEDIKELLKTTFCHPIMVRLGWHDAGTYNKNIEEWPIRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGREDVSTPEQCPEEGRLPDAGPPSPAGHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTVKWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDQSFKEYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPEGFSIDSNPTEAQPEKFVAAKYSSGKRELSDAMKQKIRAEYEGLGGSPDKPLPTNYFLNIMIVIGVLALLTSLLGN >itb13g16010.t1 pep chromosome:ASM357664v1:13:22899403:22905868:-1 gene:itb13g16010 transcript:itb13g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVLTSAMATASMASLTAATSRLLPSASIAVATPTTASLSLKCFRSSLFLSTVSLSQKRAAVPRLSRSFGSVVSPKCFASNPDQLRSAKEDIKELLKTTFCHPIMVRLGWHDAGTYNKNIEEWPIRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGREDVSTPEQCPEEGRLPDAGPPSPAGHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTVKWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDQSFKEYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPEGFSIDSNPTEAQPEKFVAAKYSSGKD >itb13g16010.t2 pep chromosome:ASM357664v1:13:22899403:22905868:-1 gene:itb13g16010 transcript:itb13g16010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVLTSAMATASMASLTAATSRLLPSASIAVATPTTASLSLKCFRSSLFLSTVSLSQKRAAVPRLSRSFGSVVSPKCFASNPDQLRSAKEDIKELLKTTFCHPIMVRLGWHDAGTYNKNIEEWPIRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGREDVSTPEQCPEEGRLPDAGPPSPAGHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTVKWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDQSFKEYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPEGFSIDSNPTEAQPEKFVAAKYSSGKRELSDAMKQKIRAEYEGLGGSPDKPLPTNYFLNIMIVIGVLALLTSLLGN >itb13g16010.t4 pep chromosome:ASM357664v1:13:22899512:22905851:-1 gene:itb13g16010 transcript:itb13g16010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVLTSAMATASMASLTAATSRLLPSASIAVATPTTASLSLKCFRSSLFLSTVSLSQRAAVPRLSRSFGSVVSPKCFASNPDQLRSAKEDIKELLKTTFCHPIMVRLGWHDAGTYNKNIEEWPIRGGANGSLRFEIELKHAANAGLVNALKLLQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGREDVSTPEQCPEEGRLPDAGPPSPAGHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTVKWLKFDNSYFKDIKAKRDEDLLVLPTDAVLFEDQSFKEYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPEGFSIDSNPTEAQPEKFVAAKYSSGKD >itb04g04980.t1 pep chromosome:ASM357664v1:4:3105171:3107120:1 gene:itb04g04980 transcript:itb04g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPGDDQASNSDEKKRRFKRICVFCGSRTGYRSSFSDATLQLGKELVERKIDLVYGGGSVGLMGLVSKTVFDGGCHVLGVIPKALLPHEISGETVGDLKVVTDMHQRKSEMERNADAFIALPGGYGTMEELLEVITWSQLGIHEKPVGLLNVDGYYDGLLALFDKGVEEGFINDSARNIVVLGDTAKDLITKMEEYAPGHDRVATRQSWEVDQLLESTSSGENHGILDDDQDQPI >itb04g15560.t1 pep chromosome:ASM357664v1:4:16770464:16775364:-1 gene:itb04g15560 transcript:itb04g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYLAQVFEYAQFGLWWVALGVASSIGFGSGLHTFVLYLGPHIAMFTIKAMKCGRVDLKTAPYDTMQFNRSPSWMSKNCSEFGPPLYYHSVPLTSILQQVQLESILRGIGTTLGEIPSYFVSRAASNSGIEVEDLEMSSTEEDAGFLVTRINKIKHWFLSHAQNMNLLTILILATVPNPLFDMAGVMCGQFGIPFWKFFIATLVGKGIIKTYMQTVFVILVCNNQLLEWSENELMWVRSSVPGVDSILSKLVAKLHSMKDRYMTTKLHASSNNVKGSHWEYYSLASAWNAVVCIMIFNFLAKILNATAQRHLRKQQEKELAALKLKLLG >itb01g26370.t1 pep chromosome:ASM357664v1:1:31557924:31559651:1 gene:itb01g26370 transcript:itb01g26370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEVLHMNGGNGDTSYATNSLLQRKVILMTKPITDRAITSLYSNLNNPKTISIADLGCSSGPNTFLAVSGLVKAVDTARKKLRQNSPEFHIYLNDLPSNDFNTIFQSLQKHQEEIKRELGDGSGPCFFNGVPGSFYERLFPTDSLHFVHSSYSLHWLSQVPKGIEDNKGNIYMSASSPPSVIKAYYKQFESDFSTFLKCRSEELVKGGMMVLTTLGRKSEDPSSKDGCHIWELLAMTLNDLVAKGLVEEEKLNSFNIPKYTPSPTEIKVLVEKNGAFTINSLEVSQIYWTEFDNDNISKNNEKNNNGGYNVARCMRAVAEPMLVSHFGEGIMEDVFHRYREIIADSMSKEKTQFINVAVSLIRK >itb11g02510.t2 pep chromosome:ASM357664v1:11:1267691:1270032:1 gene:itb11g02510 transcript:itb11g02510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin-like1 [Source:Projected from Arabidopsis thaliana (AT5G02620) TAIR;Acc:AT5G02620] MMRVLLEAFPQLLVTFDQSNTTALHTAAAQGHIEAVNFLLETNSSLAAIPRNNGKTALHASARNGHVQVVKALLSKEHRIATWSDKKGQTALHMAVKGQSVEVVNELVMSEESLATMVDAKGNTALHIAARKGRLEIVKTLLKHKGISGEAINKSGETALDTAEKNGQSEIAALLKENGFQSAKDLKVALPKRSAKELKQTVSDIKHDVHDQLVHTRQTQRRVQKIAKRLNKMHSEGLNNAINSTTVVAVLIATVAFAAIYNLPGQYADNPKTDPPALSPGEARIAPQSPFTVFIIFDSLALFISLAVVVVQTSVVVVERKAKKQMMAVINKLMWLACAFVSVAFLALSYIVVGEEQKWLAIGVTVLGTFIMVATLGTLCYWVIMHRIEASNLRNLSKSARSSMSQSWSVSVMSEPEIPDDEFKKLYAI >itb11g02510.t1 pep chromosome:ASM357664v1:11:1267036:1270241:1 gene:itb11g02510 transcript:itb11g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin-like1 [Source:Projected from Arabidopsis thaliana (AT5G02620) TAIR;Acc:AT5G02620] MQLDIEKGGGGAESLAVELVGGEMEDSGRKGNNTVKKKMVKQLTGKRGDTQLHSAARGGNLGEVLGMISGSCGEGGELGELLSRQNQSGETALYVAAEYGYVDLVKEMIKYYVLRSAGLKARNGFDAFHVAAKQGDVEMMRVLLEAFPQLLVTFDQSNTTALHTAAAQGHIEAVNFLLETNSSLAAIPRNNGKTALHASARNGHVQVVKALLSKEHRIATWSDKKGQTALHMAVKGQSVEVVNELVMSEESLATMVDAKGNTALHIAARKGRLEIVKTLLKHKGISGEAINKSGETALDTAEKNGQSEIAALLKENGFQSAKDLKVALPKRSAKELKQTVSDIKHDVHDQLVHTRQTQRRVQKIAKRLNKMHSEGLNNAINSTTVVAVLIATVAFAAIYNLPGQYADNPKTDPPALSPGEARIAPQSPFTVFIIFDSLALFISLAVVVVQTSVVVVERKAKKQMMAVINKLMWLACAFVSVAFLALSYIVVGEEQKWLAIGVTVLGTFIMVATLGTLCYWVIMHRIEASNLRNLSKSARSSMSQSWSVSVMSEPEIPDDEFKKLYAI >itb11g02510.t3 pep chromosome:ASM357664v1:11:1268081:1270051:1 gene:itb11g02510 transcript:itb11g02510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin-like1 [Source:Projected from Arabidopsis thaliana (AT5G02620) TAIR;Acc:AT5G02620] MMRVLLEAFPQLLVTFDQSNTTALHTAAAQGHIEAVNFLLETNSSLAAIPRNNGKTALHASARNGHVQVVKALLSKEHRIATWSDKKGQTALHMAVKGQSVEVVNELVMSEESLATMVDAKGNTALHIAARKGRLEIVKTLLKHKGISGEAINKSGETALDTAEKNGQSEIAALLKENGFQSAKDLKVALPKRSAKELKQTVSDIKHDVHDQLVHTRQTQRRVQKIAKRLNKMHSEGLNNAINSTTVVAVLIATVAFAAIYNLPGQYADNPKTDPPALSPGEARIAPQSPFTVFIIFDSLALFISLAVVVVQTSVVVVERKAKKQMMAVINKLMWLACAFVSVAFLALSYIVVGEEQKWLAIGVTVLGTFIMVATLGTLCYWVIMHRIEASNLRNLSKSARSSMSQSWSVSVMSEPEIPDDEFKKLYAI >itb08g05170.t1 pep chromosome:ASM357664v1:8:4206993:4216817:1 gene:itb08g05170 transcript:itb08g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRYSSQNRYQGGGSSSSRPQQKFVPKKDVQTNQTLSNSLRQQQSKKSGGAASTAGGGSGSGGGSASRLRMSENGDWVSSGVHSGNFVNYLPQDEAVAAGLGADEGGLDPVESQRVVDLLNRELSRLLKLNPRDFWREVASDTSLHSFLESFLKFRSRWYDFPYRGAKGIVAGVIVGEFELSRRVFMTLYRISSNRDPGVKAADSLSQKDYSALLQEKKLLNLPKLLEISAIYGHENEDLTRILVVNAVNAQPWIHDDLTAVISHFLNIVQTMYQRCSSSLEVLFSSVGCQDLGPTRLLADYLEVMDFINDAVVSLDAFVNAYKQSAVYFSSPVEMSYGNEEMLTTLARLHDSLLPSLHRGFNIIFASKDNGSSDISSSMHSNVYLSLKMLATRIVNFGWKLLYFCYLSDEVFDGSFPIPVGMKMFPAKVEDPVIRTDILVQLIRDVNGVSFSSPEGHTKGTLLQNIEENHKIMSRIELLRNAGWFSVDDDQHRFLSGIVVNPLKANITTSSMPSTGTDGKLQDDEDTAIIESKISQIKDLFPEYGKGFLVACLEVYNQDPEEVIQRILEGTLHKDLQSLDIALEETPKPKSVPSLTPNDKGKGKLLTPNDKGKGKLLESEPVPQKSVHHAAVRHQADGSSNSSTSVVGRFIRKTDTDQPDSLILNSRSEKDLARTAALVSQLEYEDEYDDSFDDLGMSIGDSALEETETQDDKLSSSRGKSLEADDRSYGANPSNATKWGSRKKPQFYVKDGKNYSYKVEGSVAVANYNEASLVTQSQRELIHGLGRGGNLPLGAVKKIAESAAAAAERGDELSEDGSRGGRGNFRGRGRRGGHGFGRGYVSSNPDQGDETETNESGPGGRGNFRGRGQRGGHGFGRGYVSSSAAQKPTESSAEQDNETETNEESGPGGRGNSRGRGHRGGGRNHNRRDRSMKKHMSGVTGHW >itb09g17400.t1 pep chromosome:ASM357664v1:9:12620187:12627149:1 gene:itb09g17400 transcript:itb09g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLPLQSSSNANIVNANGKTIEETYQKKTQLEHILLRPDTYIGSVEKHTQTLWVWENGSMVHRSVTYVPGLYKIFDEILVNAADNKQRDPKMDALKVVIDPEQNLISVYNNGDGVPVEVHQEEGVYVPELIFGHLLTSSNYDDTVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQRKYKQVFSSNMGQKSEPIITSCKATENWTKVTFKPDLAKFNMVHLEDDVVALMKKRVIDMGGCLGTTVKVELNGERIPIKSFKDYCDLYLKSSSATISEKPKSEYLKVNGRWEICVSVRDGFHQVSFVNSIATIKGGTHVDYIANQIANHIAALVNKKNKNANAKANSVKNYLWVFVNALIDNPAFDSQTKETLTLRQSSFGSACELPAEFLKKVEKIGVVEAVQSWAEFQQRKALTKTDGKKTKRLIVEKLEDANDAGGRNSEKCTLILTEGDSAKALAMAGISVVGRDHYGVFPLRGKLLNVREASAKQLEENKEIKAIKQILGLQQNKEYDSVKTLRYGHLMIMTDQDHDGSHIKGLLINFIHTFWPSLLKVPSFLVEFITPIVKASRKNGEKLAFYSMPEYEAWKESLGDNARGWSIKYYKGLGTSTSQEGKEYFKNLQLHRKNFFWADDRDGEAIELAFSKKKIEARKNWLRQFEPGTHLDQNMKSIKYTDFVNKELILFSMADLQRSIPSMLDGLKPGQRKILFCAFKRNFIKEAKVAQFSGYVSEHSAYHHGEQSLQGTIIGLAQNYVGSNNINLFKPNGQFGTRTAGGKDHASARYIYTQLSPAARFLFRKEDDVLLDYLNEDGQSIEPTWYMPIIPMVLVNGCEGIGTGWSSYIPNYNPRDIVDNVRHLLNDEPMTPMDPWYKGFQGIIEKTATKEAGVTYTISGIIEEVDETTLRIVELPIRRWTEDYKQFLSSMMASNDKVKDPFIKEMREYHDVNTVHFEIELSEENLMLAKDEGLLKRFKLTTTISTSNMHLFDSRGVIKKYDTPEQILEEFFKIRLEFYEKRKRVLLENLETELLKLDNKVRFILGVVQGKIIVSNRKRAELFQELREKGFTPFPKKSKVADAVAESSDETEESEESAGVTHAKGVQASDYDYLLSMAIGTLTLEKVQELCAERDGLNEEVECMRNATPKSLWLKDLDALEKQLDEQDKLEESMEAAIKTKSKVVNKAGPAEPRRQAAAKKPPRNKKATQEETTGEPMEVSAGSAMDTDKGPEVVKPKGRGGSKKAPAKKEKSSLDLIDEEDDDDEVLELKDRLAAYNLDSSPDHSEAMSTDAPKAQRKETIKRAAAAKKTLPKVSEITDDVNGIDISDDDFEAEIVAAEKKKGGRKPANSKAAAKPPTAAAKKRGAAAAKSQLTGQKLITEVLKPSSSSSENPEGISPEKKVRKMRESPFNKKSGSVLGSSSVSGGDSVSDSTEEVSKFVLPRTSRPQRGNRTKAVYVVSDSEEDDDGSDDPEELIESDFEDED >itb11g00300.t1 pep chromosome:ASM357664v1:11:132605:137113:1 gene:itb11g00300 transcript:itb11g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHHGIAVAMMAKPPIIRAEQPVAPPDLTSGRTFLRGVNSPPRRDLVFVVNPRGANGKTGEQWKKLLPYLRSRLCTDYNICESLTSGPHHAIDITREAIRQGADAVIAVGGDGTLHEVVNGFFLGGKPVSDHDPKSIYSTALGLIPLGTGSDFARTFGWKNDPHAAIERIAKGIKCRVDVGVISGVIGEPHYFINVADIHLSAKAGYHASKYKKFGNLCYVIGALQAFFGHHNQDLRIKVDDGEWEVCSQVTALCIGNAKYFGGGMKITPNGNPSSGSFEVVTLQDFKWYDFIFKLHKLYNGTHLSVKNVISRSACSIEVEELGNKSDSDIYVQSDGEFLGFLPRKFRILPEIFLLLSADCSLSLPLSLMATLPSFAATTANLHSGHHRIIFRQSYCPSRLPSRAYTVRCNAKKPTNNSSTKQESVPENNVLLKAAWYGSELLGIAASLLRSPTTTETKAPEGIAELSSEGWSGVVDRSLVVDTIKDDFLRSYFVTGNLTLDAYEEECEFADPAGSFKGLRRFKRNCTNFGYLVEKSNMKLMKWEDFEEKAIGHWRFSCVLTFPWKPILSATGYTEYYFNEESGKVCRHVEHWNVPKMALFKQILRPGANSKSN >itb03g08410.t1 pep chromosome:ASM357664v1:3:6281168:6282275:1 gene:itb03g08410 transcript:itb03g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKCRKAHFTAPSSVRRVLMSAPLSSDLRNKYNVRSIPVRKDDEVMVVRGTYKGRDGKIVQVYRKKWVIHIERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKALLDRKAKGRAAGKDKGKFTVEDVAAAAGASSID >itb09g05200.t1 pep chromosome:ASM357664v1:9:2951462:2954781:1 gene:itb09g05200 transcript:itb09g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDKKPSKSSSSSGRIGGIRTLSDLNRPSGHDSDSDSDAPQEYYTGGEKSGMLVQDPTKHNDVDAIFDQARQLGAVQGPLENLRPSSSSTSFTGVGRTLTGDTVPPTAPQPPESVVHNIVFWRNGFTINDGPLRRLDDPENAPFLESIKKSECPKELEPADRRTSVHVNLIRRDENCPEPETRNVPFQGVGRTLGGSSNPATSEPTAPTPLNTAPSPSMGIVVDKSLPSTSVQLRLADGTRMVAHFNNHHTVGDIRSFINASRPGGSGAYELQTGFPPKVLSDNSQTIEQAGLANSVIIQK >itb07g21380.t1 pep chromosome:ASM357664v1:7:25759986:25770354:1 gene:itb07g21380 transcript:itb07g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MASRRPSNGRSPLVNQQRQITAFFAKKTSSTPSPSPSPSSLPTKQTQTFKNTSPNPNPSPATAPPLGSKSNKPLLVIGPSPTPDSTETSYGQEVLNKRIKVYWPLDKCWYEGCVKSFDKISGKHLVQYDDEEEEMLNLSQERIEWIETPVVTKFRRLRRLKVVDDEEEEELDGGIESGGDDSEDEDWGNDANEEAEEDEGCPADMDLEAEDDDIDDNGLRRGKSGISKKAELRKRKFTEGLKLVSTEAKKIKSGGNNKSTQTKAPTAITGVKVIESVTNNLECVKASNADDILIGNSAERFSMREMEKLRFLGKGRRDANRRRPGDVNYDSRTLYLPPDFLKGLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELDLQYMKGEQPHCGFPEKNFSMNAEKLARKGYRVLVIEQTETPEQLELRRREKGSKDKVVKREICAVITKGTLTEGEMLTVSPDASYLIAVTESCQTSANQLGERTYGVCVVDVATSKVILGQFADDSDCSSLCSLLYEFRPVEIIKPAKLLSHETERVLHRHTRNPLVNELVPLSEFWDAEKTISEVKNMYQRLNNTPIPYSRNEADLHPSESIDNDAELRNLPNVLSELVNAGENGMYALSALGGTLFYLKQAFLDVSLLKFAEFELLPFSQFGMIAQKPYMVLDAAALENLEIFENSKNCGSSGTLYAQMNHCVTAFGKRLLRTWLARPLYHLEAIKERQDAVAGLKGVNLPGVLEFRKELSRLPDMERVLAQIFASSEANGRNANKVVLYEDAAKKQLQQFISALRGCEIMVNACFSLGATLDNTDSKLLHDLLTPGKGLPDVKLVIKKFKDAFDWVEANSSGRIIPCEGVDEEYDAACGSLRKVELSLAKHLKEQQKLFGDTSIKYVTVGKDAYLLEVPESLCERVPQEYELQASKKGYFRYWNPVIRKLMGELSQAETEKESKLKSILQRLIGRFCEHHSIWRELISTIAELDVLISLSIASDYYEGPTCRPHIRELPSQDNVPCLVAKSLGHPILRTDSLDKGAFVSNDVTLGGAGHSSFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPAASFDLSPVDRIFVRMGAKDHIMAGQSTFLTELLETASMLSSATRNSLVALDELGRGTSTSDGQAIAESVLEHFVHRVQCRGMFSTHYHRLAIDYQEDPQVSLCHMACHVGEGLGGLEEVTFLYKLTLGACPRSYGVNVARLAGLPDNLLEKAAAKSREFEGVYGGNNKGSRGNSSKNWDEKAIEVILSLMHVADYNEPYGSKAFELLNDLQYRAKVLLE >itb09g01350.t1 pep chromosome:ASM357664v1:9:841705:842202:-1 gene:itb09g01350 transcript:itb09g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTCSGSSMMQNSGSEGDLQQLMDQRKRKRMISNRESARRSRMRKQKHLDDLVFQVAHLRKENSQIITSMSITTQHYLNVEAENSILRAQMAELSHRLQSLNEIMSFLNGNNNLGFEIEDMQQPYLYETLDDGFFMNNSCWNFVCVNKPIMATAAADMLQY >itb10g03110.t1 pep chromosome:ASM357664v1:10:2784473:2786064:1 gene:itb10g03110 transcript:itb10g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] MVGRFDQTHPSPPEQDDSDTSPENSADSPVSGTDHDTKITKVSFPKRRKSAQKRVTSVTINDGEISIPPADSWAWRKYGQKPIKGSPHPRGYYRCSSSKGCPARKQVEKSRADPNVLLVTYFCEHTHSRPAARNAAVTAPDAAVSDESKRRRATSDHSSQSEGDSEEMVAAKCHESPLAAGYDADWLLNFEPATSFAILDESPGMTQTKVTDADDAPPEVFPDRVEDESLFADLGELPEFSRGFRRGWGER >itb15g06140.t1 pep chromosome:ASM357664v1:15:4027495:4029305:1 gene:itb15g06140 transcript:itb15g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSPISAGQIQRLKAGRKPLQPKNAPATPLAADAIKPKLKPDWIEISLTNTSNKENVHPLHAPPQGAAKSKPSCNIELCDSSLAEELSAIRERLERLRIDKQKTEEMLRERDAAMELQMRELMKRGEMQKQFELEVDRLFRLKELRVSCMRISPIQSLRDKEREKKGKEDQSKETAETEDEGLECGIPPSSPNSDLDTEE >itb01g26660.t1 pep chromosome:ASM357664v1:1:31765074:31768958:1 gene:itb01g26660 transcript:itb01g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMWKCSRVLMMIIVLWIMPSAFMAGTSLDDIELLNVLRNSIVDSGYIIPGWFDPNISPCNWTGIGCDAGRVIMIDLPCMHRPLSLPFPDCIGQFRSLKHLNLSRCGLKGAVPPNLWSLENLEVLNLAGNRLNGMLPSAISGLRSLRVLVLDMNGFSGSLPSTIGELKELRELSLHRNSFSGEVPEEIGNLGKLVSLDLSDNFFSGNLPSSLGNLTEVLYINARLNNFTGLLFPEIGNWRKLRSLYLAWNMLTGPLPATIGNLQNLETIDLQYCKFTGKLPEEISGLSSLIELNLAHNEFEGELPSGIGRLRNLTQLIAPNSGLSGRIPSELGNCTRLDTINLSFNSLVGPLPITLAGLESLVAVILDGNQISGPLPVWISNWTHVESIMLSNNLLTGTFPPLNLPYLSFLHVTDNLLSGALPAEICNAKSLKTLWLSGNNFSGTIENTFRNCSSLRELNLYKNHLSGEIPPYLAQLQLLTLELSRNKFSGTIPDQLWESRTLVEISLSNNMLEGRLSDKMAMLPSLKRLRLDDNLFEGNIPRNIGNLKNLTNLSLHGNKLAGEIPLELFECTKLVSLDLGANRFSGQIPRSISELKDIVTLVLSNNQFSGSIPDELCDGFQHMPLPDSEFVQHYGKLDLSYNELVGSIPRCIQQCTVVKELLLQRNKLTGSIPTEISGLGYLTTLDLSFNFLAGPLIPQLFFMKNLQGLFLSHNTISGSIPENLRSTKTSLVMLDISNNWLTGPLPSSIFSIESLTCLDISSNNFSGNLSINTGLSSSLLSLNASNNNFSGPLDPSISNLTSLSRLDLHNNNITSTLPLSLPALSALTYLDISKNYFHGYFPCGICNIRGLNFTNFSANKFTGEVPLNCTESSLYCLLQRLPPALLPCSSCAPAFPSNHTFVVGISLATPLFVFAVIIGILSFKIWKQNALLMDRGTDSAKKTDNHLQTKKTKEPLSINIAAFEHTLLRLKHAAIVSATDNFSRSYIVGNGGFGTVYKGKLPRGRVIAVKRLYGGLEIHADREFFAEMETLGKVKHQNLVPLVGYCTFEDEKFLIYEFMENGSLESWLRNKTELATVRLSWPTRFMICLGSARGLAFLHHGIIPHIIHRDIKSSNILLDNKFQPRVSDFGLARIISACESHVSTVLAGTFGYIPPEYGHVMVATTKGDVYSFGVVMLELVTGRAPTGQADGEGGNLVGWVRWMVAKGREREALDPCLSGTSASYSCKEQMLRVLRIARSCTHDDPCKRPTMIHVVKLLKEAAISV >itb01g01340.t1 pep chromosome:ASM357664v1:1:758802:759941:-1 gene:itb01g01340 transcript:itb01g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEEVAVEEKKNTPVKKTLCDSRTITKEMISSYFHLPISEAAVQLKVGVTTLKNRCRILGISRGPRIKLLKLEDEDDKEIPISSPEQDYRPFFNHAENHPLDYHFNNPTSSPTGKTLHQIQQSCCSAEQLDFDCGLVNDMLCGQNDVSLEAPPVVAELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb06g08790.t1 pep chromosome:ASM357664v1:6:12903219:12904177:-1 gene:itb06g08790 transcript:itb06g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNPTFLSFFIATWFLLLSIMFLGVKSQEPIQSTPGLLCISECGTCPAICSPPPAPEVALKPPPSPSPRPPLPAHSPPPLPPFSFFPPPGAIITPINSPIASSPPSPPAHNYPPSFPYYFLPPLSPPLLSSPPPRPTTPPPSPPPPPSNNFNIPASVAPPAGFGQKNFSNPYYYFYVSEAASKTLCGPIVLAAMLISFHVFSW >itb07g05090.t1 pep chromosome:ASM357664v1:7:3448883:3449383:1 gene:itb07g05090 transcript:itb07g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSNTKFIGTDNGARIKTWPSSHPGVVTDIHFENIDMKNVKNPIVIDQEYCPNNECNKQKPSLVKISKVSYKNIKGTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGAAKSVCKNVKPKLTGKQVPPLVCK >itb13g14170.t1 pep chromosome:ASM357664v1:13:20745928:20748006:1 gene:itb13g14170 transcript:itb13g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRLRNLVASVAIEGVANARARIFGHVLNPTGERSAHKILRKKLIGDKVAQWYPHDIRKDDPLVMAREEQERLSKLEMLKRRGKGPPKKGQGKQAKKRNK >itb15g08870.t1 pep chromosome:ASM357664v1:15:6305336:6306060:1 gene:itb15g08870 transcript:itb15g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTNHAADENDGDISEMDSGSKSYFQKAVCLTDWWLVKSENDSRGKGLAVGGFTLRHNVRQEFSSAPIEKVYDVFTLETMDGICILLKGFINKTRTRENGFPSKESP >itb10g00060.t5 pep chromosome:ASM357664v1:10:48725:51926:1 gene:itb10g00060 transcript:itb10g00060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVACKRTELISHTFMLYIHPSFGHFQQEEQLRNSVMFDLLYVHPIHALAPYILAYYRHNGSTPPKEQVPWLIDASTSGGMNGFLWLSERNGLRNVVPSPIHGLDDIVNNKILNITLLNPPPHKHIPKPPEGVIMPKKILRHIDVKPFPALWHEDHGYRRQLGKDRPPVAGAIAGPSLGEAAHRLLKNTLNIGHGGNSGASDSRNFTGSNVQIKPRACGAFGREGGFYDQSSYRNSAANHVGYRPRPAVQSGRFHDDPSYSYGNNNYTPRSAMGIPRYAPSSPYEFQGNRQNFKGQDRFVHQQSHSIRGGMSALTIEGGGRTRQNTAISPSPRVPNSVQLSNIQPPAPPFVNSVNVGPLPSPPPRWITKPVSVSGGGMYSSKQQEGSKAAGHEKQVKMVYQVKNRPAQSLPDAGSGQ >itb10g00060.t4 pep chromosome:ASM357664v1:10:45013:52075:1 gene:itb10g00060 transcript:itb10g00060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFCFQVRLGEPGYKERYYSEKFGLSSPVEINEVRQDVVQKFVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKGLADLEITFFRGEPFKPFDQLMGVLPAASSSALPEKYRRLMTDPSSPIIDFYPTDFELDMNGKRFAWQAVVKLPFIDEKKLLAETKRLEDTLTQEEQLRNSVMFDLLYVHPIHALAPYILAYYRHNGSTPPKEQVPWLIDASTSGGMNGFLWLSERNGLRNVVPSPIHGLDDIVNNKILNITLLNPPPHKHIPKPPEGVIMPKKILRHIDVKPFPALWHEDHGYRRQLGKDRPPVAGAIAGPSLGEAAHRLLKNTLNIGHGGNSGASDSRNFTGSNVQIKPRACGAFGREGGFYDQSSYRNSAANHVGYRPRPAVQSGRFHDDPSYSYGNNNYTPRSAMGIPRYAPSSPYEFQGNRQNFKGQDRFVHQQSHSIRGGMSALTIEGGGRTRQNTAISPSPRVPNSVQLSNIQPPAPPFVNSVNVGPLPSPPPRWITKPVSVSGGGMYSSKQQEGSKAAGHEKQVKMVYQVKNRPAQSLPDAGSGQ >itb14g00930.t1 pep chromosome:ASM357664v1:14:707428:708667:1 gene:itb14g00930 transcript:itb14g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTSAIVVLFSGVFLVGVVAGRGPHVINFRSENLFPESFVWDPRSQHFIVGSLRYPKLVSVSDAGVSETLISDESLPANSKILGVALDRSHYRVLAVVHRPPSASSPEPYNALAAYDLISLRRIFLTPLLDDEEDDQNPNPIQSVANDVATDFSGNAYVTNSGRDLIWKVNFDGEASVLSKSKAFKSHPVNGDHKFGLNGVVYNSKGYLLAVQSNTGKLFKVDAENGGARTVILNKDLTAVDGIAVRGDGVVVAVSRRKLYFLKSPDSWMEGAVFDETALEEDRFASAVTVGNLDRVYVLYGHIHEGSMVNVDRDEFGIMEIESENEKKEDNVWIFVLIGLGLAYFMFWRFQMRHLVDSMNKKRL >itb11g03150.t1 pep chromosome:ASM357664v1:11:1622821:1624440:1 gene:itb11g03150 transcript:itb11g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCHPGRASNSVAMEAVEKLEEEYPPVPEIPFDLFLSKNHKAFGGDGRLQFTDSSRNLIFEVESQSTDSPSPPHRRKLLLDAAGTTLVTMVRVIKGSWKGFEGDSGEDKELMFKVNRVLNTFMEKDFEIILAGDNSEGTKADIKMKGCPFMRSCTIYKGNSILAETSLMHTIGFRKHFIPRSRFRITIFPGAADLILIVALVVIFFDKRRFWV >itb11g03150.t2 pep chromosome:ASM357664v1:11:1622821:1623741:1 gene:itb11g03150 transcript:itb11g03150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCHPGRASNSVAMEAVEKLEEEYPPVPEIPFDLFLSKNHKAFGGDGRLQFTDSSRNLIFEVESQSTDSPSPPHRRKLLLDAAGTTLVTMVRVIKGSWKGFEGDSGEDKELMFKVNRVLNTFMEKDFEIILAGDNSEGTKADIKMKGCPFMRSCTIYKGNSILAEVIIYNCVTFHVLCCYLSEIVARNHLSCGFLPYIQLASSSEYLSVL >itb03g16570.t1 pep chromosome:ASM357664v1:3:15477308:15482404:1 gene:itb03g16570 transcript:itb03g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVQLSIPNGLWFSSSPFSNSINFRFRNRIFLRKTYQCWNTRRYGGALYCTCSANNGGGSSEKFVLTTPLYYVNAPPHMGSAYSTIAADAIARFQRLLGKKVIFITGTDEHGEKIATAAAARGSSPREHCDVISQAYKALWKDLDISYDKFIRTTDSKHEAIVNEFYSRVFANGDIYRADYEGIYCVNCEEYKDEKELLENDCCPVHLKPCVRRKEDNYFFALSKYQKQLEEKLLQNPDFVQPSSRLNEVQGWIKSGLKDFSISRASVDWGIAVPNDPKQTIYVWFDALLGYVSALLEDKEIPDLQHAISSKWPASLHLIGKDILRFHAVYWPAMLMSAGLDLPKMVFGHGFLTKDGMKMGKSLGNTLEPTDLVHRFGSDAVRYFFLREVEFGSDGDYSEERFINIINAHLANTVGNLLSRALGLLKKSCQSTLPVDSIAAAEGNTLKDTVEKLVEKARSHYENLALSSACEAVLEIGNAGNSYIDKQAPWSLFKKGGADFETAAKDLVIVLEAVRIIAVALSPVTPSLSFRIYTQLGYTEDQFCGVTWSHTAWGGLKAGQVMAQPQPVFARIEDQSEQDGGSEATKKTSKKKEKTPKSKKVVEA >itb11g01330.t1 pep chromosome:ASM357664v1:11:615651:619060:1 gene:itb11g01330 transcript:itb11g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSSTLVPKPPLSYRTLSVCQTPQPHRRRLRCGCYINDALRVNREQNKTVLHSEENLRRRFLLFFLLTSGISPAFPCFGKTKSKNPYDERRLLQQNKRIQKENNAPDDFPNFIREGFSVKVVASENYVTRDSGLILWDIAVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYLQGSPAKVRMGTNALIPGFEEGLKDMKPGGRRRIIVPPELGPPVGPSTFFSSKQFEVFDVELLSIQDCTRRTIGFYSDVVCN >itb07g12380.t1 pep chromosome:ASM357664v1:7:14148115:14152393:1 gene:itb07g12380 transcript:itb07g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLISQMGLPKSVSNVFAARNIITAKDALSLTEFELMELLDLNLSEVISAVGHISEIASPPYQTALSLLELRVNKENFAGHLPTRLKGLDAALCGGIPFGVVTELVGPAGIGKTQFCLKLSLLAALPSAYGGLDGHVLFIDTESKFSSKRMIEIGVNSFPEVFHKENMAQEMAGRIIVLRPTSLSEFTERLQQIRDSLFQHNVKLLIVDSLAALLSGESSQGPHRQHSLGWHISFLKSLAEFSRIPVVVTNQVRSQNRDEISQYFFQAQRITNNLDNPTKFDHHLIAALGIHWSHAVTNRLVFESRSGQRFMKVAKSSISPPIAFPFDITSSGISLLDDDGEEITGPEINTINYQGHSCLNV >itb14g09210.t1 pep chromosome:ASM357664v1:14:9511963:9513571:-1 gene:itb14g09210 transcript:itb14g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGFNLTIAAPSLTPNTICEHHHRPPPPQPPPPSAISPSTVSHPRCVIFLCLDAIPITELATRLLIGLILVHGNIHAWLQLKGYQTLSQYIEEGMLGAGAYVARISDYVLVVQQQCSIHTLISNHGISSYEWNLKGYF >itb04g25560.t1 pep chromosome:ASM357664v1:4:30122214:30123998:1 gene:itb04g25560 transcript:itb04g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTAVKKGPWSKEEDQILINYINKYGHGNWRQIPKNAGLVRCGKSCRLRWMNYLRPGIKRGHFTHEEEFIVVKLHKTFGNRWASIAARLPGRTDNEIKNIWHTRLKKRLHDFDIPPDNQVVGNTLENDGASNFAAEDNDPSGGLAGVTQSDFPLGDDDQFYKSGFEFNGADFTPTMDNDDVGGGVDPGSQQFWQDQLLTWTGDELLDLWK >itb01g32690.t1 pep chromosome:ASM357664v1:1:36101140:36104382:1 gene:itb01g32690 transcript:itb01g32690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGWWANVKMENPNDWAMVEVELETEDNCLSLPAAHYCREKVRHKNAKQLTWVILLKAHRAAGFLTSVVTAFFSLASVVRRRVTDSIDTENGAVKTRFFTCVKVFLWLSVVLLGLEMSAFCKGWHFFAPDLRLQYIYTLIDSFAVHGAFDWLYSNWVWIRVKYFALPLQFLANVCIILFLIQSLDRLLLCLGCFWIRLRKIRPILKEGAMDLESGDEGGGFFPMVLVQVPMCNEREVYQQSIAAVCSLDWPKAKLLIQVLDDSNDLITQSLIEEEVHKWQKDGVNIVYMHRVNREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPSPDFLKRTVPYFKDNGELGLVQARWSFVNKHENLLTRLQLINLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEGSGGWLERTTVEDMDIAVRAHLQGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKVSIWKKGNLIFFFFLLRKLILPFYSFTLFCIILPMTMFIPEATLPSWVVCYIPATMSFLNILPALKSFPFVVPYLLFENTMSVTKFNAMISGLFQLGSAYEWIVTKKLGRSSQSDLAFLIEEKPEHQKSGIQKLPKEKKASKKKKKHNRIYTKELALAFLLLTASARSLMSAQGIHFYFLLFQGISFLLVGLDLIGQQVE >itb05g06010.t1 pep chromosome:ASM357664v1:5:6012023:6017856:1 gene:itb05g06010 transcript:itb05g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSDELDAMKELLISVSTPNSKLEVVAVHGMGGIGKTVLAKSIYEDKSIKDHFDKCAWVVISQHHNKEQMLSRLLKSLVQEQPQYDSDDIEHQIYHLLKRSRYLIVMDDVWSIDAWNDVQRCFPNDNNGSRVLLTTRLKYVAQAAATTDNPNNCYRMRFFNSEESWDLFNKKKFLVEISNQVEFEMIGKKVVEKCYGLPLAVDVAAGLFSKLATLEEWKNVEKAIDSLERKPIAERCADILALSYNHLPHYLKSCFLYFGFFPKNYPLIAKKLVKFWIVEGFVKEGEDEIVVGEKHLHELIDRNLVLVGDRKSSYGTIGTCMVHDLLHDLSIREAQKENLLYVVGDREMASSRQRWITARKESYSDPSLYARFRNCRSFIYFEEDKEAIKLMKRGVFKQTLGSRKHISDLLKVSSKLKLLRVLEMSSFECIHELDSLSNWIADLVHLRHLSLIIGFPLTNFPISKARNLHSLRLSAGYQGMKDPFPPFILDELPQLRYLKCWPSCELFPPTFVHENLHSVSSISPVQCLQEVFAKIPKLRKLSITGERFYFILYGENLAFLPQLESLSIDFQGFNAFQTIHTPTSSVDNIASLHGLKKLKLMCTEISWKDVNLLTKLPKLEVLKLIFQACVGREWKLEDEEEEYVFCSLKYLFIDYSSLVEWEATYVNFPILERLLLSRCFNLKEIPSDFEGITTLQLIEIMGCLSSVEDSAREIEEGQRDSGNDMIQVVVHKMPSNIDAEISDPNEEGPSREEEDS >itb10g02510.t1 pep chromosome:ASM357664v1:10:2127568:2133244:-1 gene:itb10g02510 transcript:itb10g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIVALEQFGLPLVPPYSGGGNANRGGGGVNFAVVGAPALTEDVLQSNGSYSRDNISMMSQINMFKSLLPSICKTSSCDEILDKSLIVFGPFGGDDYASGILNKRVEDAHRLQPLVINAVASAIEELIKLGVVNLMVPGMLPDGCIGVSLTVYYGSNEEDYDPITGCLTWLNEFAQKHNELLRKELTRIQELYPNVSITYADYYNAGLLLTILSPKKYGFNDESRLIACCGSGGPYNVDDDAKCGYPSSHACDDPSSYIQWDGAHLTQAAYQWIAKGLLSGLYTSPNITASCSTSAKWI >itb04g25540.t1 pep chromosome:ASM357664v1:4:30115995:30117591:1 gene:itb04g25540 transcript:itb04g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGDMKVLSALDQAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRVYYHVDGAGKPGSLPPNVASAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGLTLMLMCGCSIASGLSFGHTPTSVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIGVSAVFEARFKAPPYQVDPVGSTVPQADFVWRIILMAGALPALLTWYWRIKMPETARYTALVANNVKQATADMSKVMQVDLEAEGPKEREASPAARAEGFGLFSKEFLRRHGLHLLGTTTTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKTMNAIEEVFKIAKAQTLIALMSTVPGYWFTVFLIDRIGRFWIQLLGFSMMTAFMFALAIPYDHWTHPGNHIGFVVLYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAMVGAFGFLYLAQPQDKSKADAGYPAGIGVRNSLIVLGVVNLLGTLLTFLVPESKGKSLEEVSRENEESP >itb12g27850.t1 pep chromosome:ASM357664v1:12:28051804:28053611:1 gene:itb12g27850 transcript:itb12g27850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNGGILNNNEQQQQQQLEMPPGFRFHPTDEELVVHYLCRKCASQSISVPIIAEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGKPKTLGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSAGKKNNLRLDDWVLCRIYNKKGTVEKYYNAADQNDVILPKVEDQKPNINQFSQSGTMLKQAALPPMVQNQHDYMHFDTSESVLRWHTDSSCCSEQVLSSPEFAADKEVQSAPKWDDLDFQLNNFIDDPFQVPQYNDPFQDMFTYMHKPF >itb15g09620.t1 pep chromosome:ASM357664v1:15:6886634:6891151:1 gene:itb15g09620 transcript:itb15g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKPVIVAISLICAVIVVANGEYLIGVGSYDMTGPAAEVNLMGYANPNQNGAGIHFRLRARAFVVAESSEGPRFAFVNLDAGMASQLVTIKVVERLKSRYGDLYNEENIAISGTHTHAGPGGYLQYLTYTFTALGFVRQSFDALVTAVELSIVQAHHTLKPSSAFINKGDVDNAGINRSPSAYLFNPREERAKYPKDIDTLMTMLKFVDKNSGKSIGAFTWFATHGTSMSNTNQLISGDNKGAAARFFEDWFAKNSSSNSSPPPNTPPVFSKLEATATGGGQSCNQTTSQGFKVRKNDGTLFVGAFCQSNVGDVSPNVAGAVCTDTGLPCDFNYSTCNGNNQLCIGRGPGYPDEISSTRMIGERQFQKAVDLFTSATDELTGKIDYRHAYLNFTNIEVELEGNKVVRTCPAAMGPGFAAGTTDGPGLKDFQQGNNTISEIWIALRNTIKKPSDYQVECQKPKEVLLTTGEMFWPWQWAPAILPIQILKIGKLVILSVPGEFTTMAGRRLREAVKATLISNGNGEYNNETHVVIAGLTNTYSQYVATFEEYNQQRYEGASTLYGPHTLSAYIQEFNKLAKALATGHNITVKGPSPPDLLSAQVSLLPDPPGDYLPPGKHFGDIKQDVVQPPGGSFKKGDRVNATFWSANPRNDMLTEGTYAVVEMMKGQQWVPAYDDDDFSLFFKWKKESVASLTSSATIEWEIPEGVSPGVYRLRHFGSSKVASESPNSYFTGVSTAFTVS >itb12g26680.t2 pep chromosome:ASM357664v1:12:27468835:27471681:-1 gene:itb12g26680 transcript:itb12g26680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKLQSKQSSGKAAKEPEYFLGCKKCNLRFHHEGSVDKLLNVPEADCGVSCPDCVDQIAKLIGEGDFVEEYFTRAYTATNDLLHLDGHLKEYDACMKNDHQGVMQSLNSPGGNNSEKTPVSKDVESFWCADTQLMELDSYYCLTSEQTTKPLDSHSFGVEKAAPWWRTADKEMSSVYLESSSYMKNRDLQRFQSEHFGSELHTGLQCVNEVKMEEKSVMDATNYNSGHGHLVNKLKAQCPSSLDGFSPQGLSQLLSDSGADTEKLQSTESEGEGFGELSRSELLEALCHSQTRAREAEKLAQQACDEKEHVVNLFFKQASYLFAYRQWLQILQLETLCLQFRNKDHGPSPFKGKRVRKGQGHRRPPPRRKQGIPRIKLNSCSLAFAVGFSLAGAGLLIGWTLGWLFPAI >itb12g26680.t3 pep chromosome:ASM357664v1:12:27468835:27471765:-1 gene:itb12g26680 transcript:itb12g26680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKLQSKQSSGKAAKEPEYFLGCKKCNLRFHHEGSVDKLLNVPEADCGVSCPDCVDQIAKLIGEGDFVEEYFTRAYTATNDLLHLDGHLKEYDACMKNDHQGVMQSLNSPGGNNSEKTPVSKDVESFWCADTQLMELDSYYCLTSEQTTKPLDSHSFGVEKAAPWWRTADKEMSSVYLESSSYMKNRDLQRFQSEHFGSELHTGLQCVNEVKMEEKSVMDATNYNSGHGHLVNKLKAQCPSSLDGFSPQGLSQLLSDSGADTEKLQSTESEGEGFGELSRSELLEALCHSQTRAREAEKLAQQACDEKEHVVNLFFKQASYLFAYRQWLQILQLETLCLQFRNKDHGPSPFKGKRVRKGQGHRRPPPRRKQGIPRIKLNSCSLAFAVGFSLAGAGLLIGWTLGWLFPAI >itb12g26680.t1 pep chromosome:ASM357664v1:12:27468818:27472078:-1 gene:itb12g26680 transcript:itb12g26680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKLQSKQSSGKAAKEPEYFLGCKKCNLRFHHEGSVDKLLNVPEADCGVSCPDCVDQIAKLIGEGDFVEEYFTRAYTATNDLLHLDGHLKEYDACMKNDHQGVMQSLNSPGGNNSEKTPVSKDVESFWCADTQLMELDSYYCLTSEQTTKPLDSHSFGVEKAAPWWRTADKEMSSVYLESSSYMKNRDLQRFQSEHFGSELHTGLQCVNEVKMEEKSVMDATNYNSGHGHLVNKLKAQCPSSLDGFSPQGLSQLLSDSGADTEKLQSTESEGEGFGELSRSELLEALCHSQTRAREAEKLAQQACDEKEHVVNLFFKQASYLFAYRQWLQILQLETLCLQFRNKDHGPSPFKGKRVRKGQGHRRPPPRRKQGIPRIKLNSCSLAFAVGFSLAGAGLLIGWTLGWLFPAI >itb08g08130.t1 pep chromosome:ASM357664v1:8:7020480:7022629:1 gene:itb08g08130 transcript:itb08g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLYSQSVSMVRKLGANSWLTALKRMFRYPSKDSNSGRKNRQCKRDEEEEKKREKGRRWLFSKAAADENSVETAGVEIISRTPPPSRRLLCAATVIQTAFRCYLAKRALVALKGIVKLQALIRGQNVRRQARITLKCMQALFRVQERMREQCARISQDSTRKSMFSDSWESKYIRERKSIFRDHCSVSDAWRECPPTLQELEVMLQAQKAAFMRERCPSQAWSSDTDVVDGEEREKTPLKQGKSRASALPRRNPVKSGEINVRIRSLQNKSASSVQAPATPSPAKPKPVQLRPTTSPGNLEKSQSTANTPRLCPTNRSASFAPRYSVSGISPGYMAATESAKARLRSQSAPRQRPATPAKRCLSYSVSKNGEEEGMEKRLDYWCNAESVGGEISPCSTGNSRWWSRR >itb08g08130.t2 pep chromosome:ASM357664v1:8:7020480:7022629:1 gene:itb08g08130 transcript:itb08g08130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLYSQSVSMVRKLGANSWLTALKRMFRYPSKDSNSGRKNRQCKRDEEEEKKREKGRRWLFSKAAADENSVETAGVEIISRTPPPSRRLLCAATVIQTAFRCYLAKRALVALKGIVKLQALIRGQNVRRQARITLKCMQALFRVQERMREQCARISQDSTRKSMFSDSWESKYIRERKSIFRDHCSVSDAWRECPPTLQELEVMLQAQKAAFMRERCPSQSCVLMFPECFKAWSSDTDVVDGEEREKTPLKQGKSRASALPRRNPVKSGEINVRIRSLQNKSASSVQAPATPSPAKPKPVQLRPTTSPGNLEKSQSTANTPRLCPTNRSASFAPRYSVSGISPGYMAATESAKARLRSQSAPRQRPATPAKRCLSYSVSKNGEEEGMEKRLDYWCNAESVGGEISPCSTGNSRWWSRR >itb06g15440.t2 pep chromosome:ASM357664v1:6:19785465:19786617:-1 gene:itb06g15440 transcript:itb06g15440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSFSFPAQQNAPGSRAPGSRCSSGFPAAMVVDEEVIGVFDVGSVIRREELFAVESCYGVNERCVVVFNWDGENGNPSSEKKNSIKFWPNDSRLRGRVDNLGSRMIVGFVVVLTILVAE >itb06g15440.t3 pep chromosome:ASM357664v1:6:19785465:19786617:-1 gene:itb06g15440 transcript:itb06g15440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSFSFPAQQNAPGSRAPGSRCSSGFPAAMVVDEEVIGVFDVGSVIRREELFAVESCYGVNERCVVVFNWDGENGNPSSEKKNSIKFWPNDSRLRGRVDNLGSRMIVGFEVVLTILVAE >itb06g15440.t1 pep chromosome:ASM357664v1:6:19785465:19786617:-1 gene:itb06g15440 transcript:itb06g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSFSFPAQQNAPGSRAPGSRCSSGFPAAMVVDEEVIGVFDVGSVIRREELFAVESCYGVNERCVVVFNWDGENGNPSSEKKNSIKFWPNDSRLRGRVNNLGGRMIVGFVVVLTILVTE >itb12g04080.t1 pep chromosome:ASM357664v1:12:2671545:2671982:-1 gene:itb12g04080 transcript:itb12g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESKQIGQSNTSGSVSCTQIDPCPLGSSEPRSVCVKSSDPGTISSNPSSSSSSASSSSPSPSSTSASSSSSASISSGSGEGLDGTVLRFLGVGERDGLRVLGPAPKSSSKRENLVILAYAALRLVTLSALLPLIVALVQFFIS >itb04g08660.t1 pep chromosome:ASM357664v1:4:7324523:7326319:-1 gene:itb04g08660 transcript:itb04g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFSALKVLVVSDIKRDLGYKGSAGHKSWRNICNRLEEARVVEEFLAKVDGKRKAHITVKYRKQQQHHIDIGKLPACLSPSGFDSREPYDLPFGPIMFNNSQEALLRRKLEKEAVLQKAIDLHSSSSSPVIPVSYQSNSQSPNYQVTEGKIVVAASPMKSTAENLPTGTDDSFSPTPASTSTIEYP >itb05g21290.t1 pep chromosome:ASM357664v1:5:27129673:27130486:1 gene:itb05g21290 transcript:itb05g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWTLITQLHAIAGPATTLLYPLYASVVAIESTSKLDDEQWLAYWILYSFLTLLEMVFQPILQWIPIWYDVKLVFVAWLVLPQFRGAAFIYETYVREKVIKKYVPNLLQSSPNAKAKAKFVTFVSPNKGGQEAY >itb01g05170.t1 pep chromosome:ASM357664v1:1:3528369:3530874:-1 gene:itb01g05170 transcript:itb01g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRLLRGCSLLGSLCNNSALFTRASPEIISNNDLFTQQLRTFIQMRTNLKVVDNSGAKRVMCIQALKGKKGARLGDTIVCSVKESQPGGKVKKGEVHYGVVVRAAMPRGRCDGSEVKFDDNAVVLINKHGEPIGTRVFGPVPHELRKKKHIKILSLAEHIA >itb02g03390.t3 pep chromosome:ASM357664v1:2:1950255:1958925:1 gene:itb02g03390 transcript:itb02g03390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPLDYALFQLSPKRTRCELFVSTDGKTEKLASGLLKPFVTHLKVAEQVGSAAQSIKLDAGGCKDAHLWFRKGTLERFVRFVSTPEVLELVNNFDVEMSQLESARKIYSQGMSDDLSGSGESGSGVTGAADATKKELLRAIDVRLTAVQQDLLAACSCAASAGFNLDTVSELKMFADQFGTGRLNEACTKFIASCERRPEIMRQWQAGADDLVVRTSYGSDMSIDDEPITPPNQLTAGSHPTRRDSNFTQQQQQQQRPRPPDQSNTSTSQQPRSSSDALSLRRSRESSVEPEEKSVGENNSVESEPTPASQPMRRLSVQDRINMFESKQKENVGSGAKASAGKPEIRRLSSDVSAAPSAVEKAVLRRWSGVSDMSIDLSFEKSDGETPLCTPSSATVSQSKHDDQKRSGLTDTPSISSKPEFVIVPPRVKDSKLKDSESREEVVGSDPFTLSHSKSSNSKLPSSVETDGLKGQTWPNLIATQSEDNSLKEKTESEGQFSALSWDKPNKAALATWAKFKTTQTQTANSLQEQFGSSDNLGDQSSLHLQSKATQRTSGNYGWSERGSVARGKDTWAPHKKGLEGDSSIEAIKKNEVAASSEKVDGSSTSTFGNTENPIMRFKNQAVGAEVIKRPQDLMKQATSISENKETIFSVKRVREAQEGFGSFSTPPAELAQRLRQPKGNQELNDELKMKANELEKLFAEHKQRVPVDQLNPSRRNRPVEMQNQPQASLLYRNPVTDNASVQLPDKYMLAEPAGSSSDMIRSEATPMMRIADNHGHINALNENFSELSLSESSRGKFYKRYMQIRDAKLREEWNSRGAEKEARLKAMQDRLEKSRTEMKAKFSGSADKDDSISSTRRRTERLRLYNSRSLMKREKQHLDFERSDKEGIPEFPEWKQYVEGSNFNVARDASFEDDVSRSAQVKKILPSKSSSSSTPRTSAVPVPRSAVKTSNSNLGRRRLHSENPLTQSVPNFSDMRKENAKPSSAAGKATRLQSRGNSHSKSTREEISIKEDKSRRPQSLRKSTVNVGEFREPTVLNSEDVLTPLRVHEEIQNKHTKTVDSKPFHKRNKSTDFSSRVDVSKQKVSRAPETMNSDEEYEDAIFEPEDPMNAVKDEEDEEFESMITEHNSNLENGDSRLSHESEESTDFGSENGDLVRSFAHIDPSLAAELPAIVPSELESAEHVENSPTESPVSWNSRSHHPFSYPHEMPDVDASVDSSMGSPTSWNSHSLSQTEVDAARMRKKWGTAQKPMLVVNSSQNQSRKDVTRGFRRLLKFGRKSRGMESFVDRISATTSEGDDDTEDGRDPSNQSSEDLRKSRMGFPLGHPSDDNFSENEFFSGQVQSLQSSIPAPPANFKLTEDHLSGSSLKAPRSFFSLSTFRSKGSDSKIR >itb02g03390.t2 pep chromosome:ASM357664v1:2:1950255:1958925:1 gene:itb02g03390 transcript:itb02g03390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPLDYALFQLSPKRTRCELFVSTDGKTEKLASGLLKPFVTHLKVAEQVGSAAQSIKLDAGGCKDAHLWFRKGTLERFVRFVSTPEVLELVNNFDVEMSQLESARKIYSQGMSDDLSGSGESGSGVTGAADATKKELLRAIDVRLTAVQQDLLAACSCAASAGFNLDTVSELKMFADQFGTGRLNEACTKFIASCERRPEIMRQWQAGADDLVVRTSYGSDMSIDDEPITPPNQLTAGSHPTRRDSNFTQQQQQQQRPRPPDQSNTSTSQQPRSSSDALSLRRSRESSVEPEEKSVGENNSVESEPTPASQPMRRLSVQDRINMFESKQKENVGSGAKASAGKPEIRRLSSDVSAAPSAVEKAVLRRWSGVSDMSIDLSFEKSDGETPLCTPSSATVSQSKHDDQKRSGLTDTPSISSKPEFVIVPPRVKDSKLKDSESREEVVGSDPFTLSHSKSSNSKLPSSVETDGLKGQTWPNLIATQSEDNSLKEKTESEGQFSALSWDKPNKAALATWAKFKTTQTQTANSLQEQFGSSDNLGDQSSLHLQSKATQRTSGNYGWSERGSVARGKDTWAPHKKGLEGDSSIEAIKKNEVAASSEKVDGSSTSTFGNTENPIMRFKNQAVGAEVIKRPQDLMKQATSISENKETIFSVKRVREAQEGFGSFSTPPAELAQRLRQPKGNQELNDELKMKANELEKLFAEHKQRVPVDQLNPSRRNRPVEMQNQPQASLLYRNPVTDNASVQLPDKYMLAEPAGSSSDMIRSEATPMMRIADNHGHINALNENFSELSLSESSRGKFYKRYMQIRDAKLREEWNSRGAEKEARLKAMQDRLEKSRTEMKAKFSGSADKDDSISSTRRRTERLRLYNSRSLMKREKQHLDFERSDKEGIPEFPEWKQYVEGSNFNVARDASFEDDVSRSAQVKKILPSKSSSSSTPRTSAVPVPRSAVKTSNSNLGRRRLHSENPLTQSVPNFSDMRKENAKPSSAAGKATRLQSRGNSHSKSTREEISIKEDKSRRPQSLRKSTVNVGEFREPTVLNSEDVLTPLRVHEEIQNKHTKTVDSKPFHKRNKSTDFSSRVDVSKQKVSRAPETMNSDEEYEDAIFEPEDPMNAVKDEEDEEFESMITEHNSNLENGDSRLSHESEESTDFGSENGDLVRSFAHIDPSLAAELPAIVPSELESAEHVENSPTESPVSWNSRSHHPFSYPHEMPDVDASVDSSMGSPTSWNSHSLSQTEVDAARMRKKWGTAQKPMLVVNSSQNQSRKDVTRGFRRLLKFGRKSRGMESFVDRISATTSEGDDDTEDGRDPSNQSSEDLRKSRMGFPLGHPSDDNFSENEFFSGQVQSLQSSIPAPPANFKLTEDHLSGSSLKAPRSFFSLSTFRSKGSDSKIR >itb02g03390.t1 pep chromosome:ASM357664v1:2:1950241:1959036:1 gene:itb02g03390 transcript:itb02g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTPLDYALFQLSPKRTRCELFVSTDGKTEKLASGLLKPFVTHLKVAEQVGSAAQSIKLDAGGCKDAHLWFRKGTLERFVRFVSTPEVLELVNNFDVEMSQLESARKIYSQGMSDDLSGSGESGSGVTGAADATKKELLRAIDVRLTAVQQDLLAACSCAASAGFNLDTVSELKMFADQFGTGRLNEACTKFIASCERRPEIMRQWQAGADDLVVRTSYGSDMSIDDEPITPPNQLTAGSHPTRRDSNFTQQQQQQQRPRPPDQSNTSTSQQPRSSSDALSLRRSRESSVEPEEKSVGENNSVESEPTPASQPMRRLSVQDRINMFESKQKENVGSGAKASAGKPEIRRLSSDVSAAPSAVEKAVLRRWSGVSDMSIDLSFEKSDGETPLCTPSSATVSQSKHDDQKRSGLTDTPSISSKPEFVIVPPRVKDSKLKDSESREEVVGSDPFTLSHSKSSNSKLPSSVETDGLKGQTWPNLIATQSEDNSLKEKTESEGQFSALSWDKPNKAALATWAKFKTTQTQTANSLQEQFGSSDNLGDQSSLHLQSKATQRTSGNYGWSERGSVARGKDTWAPHKKGLEGDSSIEAIKKNEVAASSEKVDGSSTSTFGNTENPIMRFKNQAVGAEVIKRPQDLMKQATSISENKETIFSVKRVREAQEGFGSFSTPPAELAQRLRQPKGNQELNDELKMKANELEKLFAEHKQRVPVDQLNPSRRNRPVEMQNQPQASLLYRNPVTDNASVQLPDKYMLAEPAGSSSDMIRSEATPMMRIADNHGHINALNENFSELSLSESSRGKFYKRYMQIRDAKLREEWNSRGAEKEARLKAMQDRLEKSRTEMKAKFSGSADKDDSISSTRRRTERLRLYNSRSLMKREKQHLDFERSDKEGIPEFPEWKQYVEGSNFNVARDASFEDDVSRSAQVKKILPSKSSSSSTPRTSAVPVPRSAVKTSNSNLGRRRLHSENPLTQSVPNFSDMRKENAKPSSAAGKATRLQSRGNSHSKSTREEISIKEDKSRRPQSLRKSTVNVGEFREPTVLNSEDVLTPLRVHEEIQNKHTKTVDSKPFHKRNKSTDFSSRVDVSKQKVSRAPETMNSDEEYEDAIFEPEDPMNAVKDEEDEEFESMITEHNSNLENGDSRLSHESEESTDFGSENGDLVRSFAHIDPSLAAELPAIVPSELESAEHVENSPTESPVSWNSRSHHPFSYPHEMPDVDASVDSSMGSPTSWNSHSLSQTEVDAARMRKKWGTAQKPMLVVNSSQNQSRKDVTRGFRRLLKFGRKSRGMESFVDRISATTSEGDDDTEDGRDPSNQSSEDLRKSRMGFPLGHPSDDNFSENEFFSGQVQSLQSSIPAPPANFKLTEDHLSGSSLKAPRSFFSLSTFRSKGSDSKIR >itb14g14470.t1 pep chromosome:ASM357664v1:14:17092596:17096476:-1 gene:itb14g14470 transcript:itb14g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTIPAAAALLVAALVLVTSNAQAPAEGPRAFPMAPVEGPGALPMAPVEGPGAFPMAPSSDNCLSNLLNMSDCLNYVQAGSNLTKPDKGCCPELAGLVESHPECLCQLLANPEKIIGVPIELNKALKLPSVCKIDTPSLTLCSAIGIPVGAPTPSSEGKTQSPAGLADASSPVGSPEGFPPSPSSPKGDNNNNAAAPTFATFDYCHFLVGMAAMLFATLF >itb10g09000.t1 pep chromosome:ASM357664v1:10:11664583:11667728:1 gene:itb10g09000 transcript:itb10g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINFPEDNKTQRCRDYIQALEEERRKIQVFRRELPLCLDLVTQAIESYKQQLSGTTTEYNLNGQSECSEQTTSAAPVLEQFIPMKSAQEDDEEDYEEQSHKSDNDNDDDHGDYVNNNSEKSDWLRSVQLWNQFPDPTPNKEESPAPEEVKRNGSGGAFQPFKKEKSGAAAAAQPPTAASSTAETGRSQKEDKESQSQRKQRRCWSPELHRRFLQALQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSNRNNGIQQQAAPQFVVVGGIWVPPAEYAAMAAAPAPAASKEATTSNGIYAPVASLPHSSAFQDPSASNRRSCGSHERGSQSNSPATSSSTHTTTASPPY >itb13g06990.t1 pep chromosome:ASM357664v1:13:8537186:8537515:-1 gene:itb13g06990 transcript:itb13g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSSVPIIIVSSPEIVELALKTCDHVSTDSSYHETSMYIAYSQRNLILSKYGSHWRNVRKMCTLQLLSSHKINSMQSMRRQEVSLLIRSLKCAAVNGAAVDLSAWFRP >itb15g07460.t1 pep chromosome:ASM357664v1:15:5111636:5115044:1 gene:itb15g07460 transcript:itb15g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRHIPSMYEGHSTPAAGMTSYGLLPAAHHSMDSRPLHDILENRLGSQAAEIEQLVTDNHQLASTLVALRQDLAAVHEEIEKLREHVRSIRTESDIQIQVILDKMAKKEEDIQASDSIKKDLQQSLDEVQKLVTANQELSAKIQHATEELEKARLEVKKLPEMHTELEKLQQEHQQLRKTFEYEKGLNITKVEQMKLMEIDLVGKAKEVERLRTEVLNAERRAQAINSYGQPYMHPTPPIHASMTYMDGYGRPHSQMGIHSTGGTSGTAPIGVAAVPPNPAWGRGTY >itb15g07460.t3 pep chromosome:ASM357664v1:15:5111644:5115036:1 gene:itb15g07460 transcript:itb15g07460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRHIPSMYEGHSTPAAGMTSYGLLPAAHHSMDSRPLHDILENRLGSQAAEIEQLVTDNHQLASTLVALRQDLAAVHEEIEKLREHVRSIRTESDIQIQVILDKMAKKEEDIQASDSIKKDLQQSLDEVQKLVTANQELSAKIQHATEELEKARLEVKKLPEMHTELEKLQQEHQQLRKTFEYEKGLNITKVEQMKLMEIDLVGKAKEVERLRTEVLNAERRAQAINSYGQPYMHPTPPIHASMTYMDGYGRPHSQMGIHSTGGTSGTAPIGVAAVPPNPAWGRGTY >itb15g07460.t2 pep chromosome:ASM357664v1:15:5111690:5115006:1 gene:itb15g07460 transcript:itb15g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYGLLPAAHHSMDSRPLHDILENRLGSQAAEIEQLVTDNHQLASTLVALRQDLAAVHEEIEKLREHVRSIRTESDIQIQVILDKMAKKEEDIQASDSIKKDLQQSLDEVQKLVTANQELSAKIQHATEELEKARLEVKKLPEMHTELEKLQQEHQQLRKTFEYEKGLNITKVEQMKLMEIDLVGKAKEVERLRTEVLNAERRAQAINSYGQPYMHPTPPIHASMTYMDGYGRPHSQMGIHSTGGTSGTAPIGVAAVPPNPAWGRGTY >itb09g12360.t1 pep chromosome:ASM357664v1:9:7814467:7820856:1 gene:itb09g12360 transcript:itb09g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHSSSNGEASLDPRLTPPPSFKGRRRLPSAIPRAGSEADDFFNLLHGSDPVRVELTRLENELREKERELGEAQAEIKSVKYSERLKEKAVEELTNELSKVDEKLKKTEALLESKNLETKKLNDEKKAALAAQFAAEATLRRVHAAQKDDDLPPIEAIIAPLEAELKLTRMEVAKLQDDNRALDRLTKSKEAALLEAERTVQMALAKAALVDDLQNKNQELMKQIEICQEENKILDKMNRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKMQEMNEEKRLLDRELARAKISANRVAVVVANEWKDSNEKVMPVKQWLEERRFYQGEMQQLRDKLAVAERTAKAEAQLKEKYQLRFKVLEERLKSNSNVNSRTASEIRSVSNGRTRRQSFGGIESMSKPSQNGLLSRKATQSRASRLKKANNLSTWFDGDSKSEGKDQSNGNIDEKDFGSGKAIRDPHSNGILTLHENGNGKTTDRTKLENEDYVSGALYDMLQKEVISLRKVCQEKDQMVKDKDDAIEMLAKKVETLNKAMEIEAKKMRREVAAMEKEIAAMRIGKEQDPKMRRSSSVRVAANGSHATTRTH >itb12g03540.t1 pep chromosome:ASM357664v1:12:2340641:2341758:-1 gene:itb12g03540 transcript:itb12g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSNNPHDGEDSDEVNPFTMLLRSEEGNNESVPLQNQLYNLQSIQSAISIRQLPSQGLSFQLWPAAATLVNLLDGRFHLHPSLSAFLAGKRVRILELGSGTGVVGIAAAATLGASVTVTDLPHVLPNIQFNVDLNSGVLENNGGAVDVAALSWGEMKDMEAIGREYDLLLGSDVVYHDHLYDPLLKTLRFFLLGGERKTAFVMAHLRRWKKESAFFKKAKKVFDVEIIHNDPPLDGSRIGVAVYLFVAKSIDK >itb05g00650.t1 pep chromosome:ASM357664v1:5:551986:554796:-1 gene:itb05g00650 transcript:itb05g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHTTASSTADDTTAAADPANLQEYNSVAIPPGGIITILSIDGGGIRGIIPGTIIAYLEEQLQALDGPDVRVADYFDVIAGTSTGGLMTTMLAAPDKNKRPLYAGKDLVPFYKEHGAKIFPSAGIFETVRDATSLLSGPKYDGKYLHQLIQNLLGDTRLGQTLTHVVIPTFDIKTFQPTVFTTCDVKDSPEKNAKLSDICIGTSAAPTYFPGHYFETTDANGAKVEYNLVDGGVAANNPTLVAISTVTQRMVTKDPKFLLDNSSNQALGCHRFLILSIGTGTAKYGSKYTAKQAAAWGVLGWLSQGDGNPLIDVFSDASSDMVDYHLATIFRALNAGDNYLRIQEDNLQGTASSVDVSTTENLNALEQIGKNLLKKAVSKLNMFTGQYEPVDGAGTNQEALKRFAKVLSDERKRRIAAAATAAQ >itb10g23350.t1 pep chromosome:ASM357664v1:10:27753781:27756232:-1 gene:itb10g23350 transcript:itb10g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSQTVPFNSHRSRKTKPVEPAATGTLTEEHTVSSWRFNSTSPRSVGRLSLFPPAPSPIPRPTQEEKNKKGPLVIGLSIGVPILVAFLALPIIYTCLKKTRGGKGNNHETFPAKDMDTEFQMVSTGPKKFSYTELQTATNNFSEEHKLGEGGFGGVYRGFLKSLNLDVAVKRVSSGSKQGFIEYASEVKIIGQLRHRNLVPLHGWCHDKGELLLVYEYMPQGSLYSHLFKKNSPLNWELRYRIAQGLASALFYLHEEWEQCVLHRDIKSSNVLLDSCFNAKLGDFGLAWLVDHEKTPEKTLVGGTLGYVAPECYFTLKTTKESDVYSFGIVALEIACGQRAIIFLEETEGFKRLVEWVWDLYGMGRLMEAADPKLCGNFDEQEMEQLMMIGLWCAHPDSNYRPKISQALHGLQFQVQVPTLPPEMPDYSTSYNVPSFSNLRSPIIEDYTSPSNNSYPSHVTSSSATDVSHSHTQ >itb04g16900.t1 pep chromosome:ASM357664v1:4:19051225:19051659:-1 gene:itb04g16900 transcript:itb04g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHRREGRATPPSLSLDAAVAVTKLAGHNPSTRDGRQRSWSEAARDRRFFSSPIDAAPDFPIAGDSKTSSALLPPSKDRGRNLSSSPSTPQSRF >itb15g11620.t1 pep chromosome:ASM357664v1:15:9423990:9424958:-1 gene:itb15g11620 transcript:itb15g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDVEIYDMLGFECNPDGSVTRQRELHSTFELTPAVDPSLVLAKDISINQSKTTWARIMLPRKAVDSQPLSKLPLIVYFHGGGFIVSKVDTPYFQDFYRHIATEVSAVVVSVEYRRAPEHRLPAAYDDCMEALYWIKNSDDEWLTKYADFSRCFLMGTSAGGNIAYRVGLSASSISTELKPLEIRGLILHHPYFGGKERTGSELRSVDDKLFSLRQIDLMWETALPAGADRDHVYCNAMVEIRSNPGMFDQVKALGLKILVWGCGGDPLVDRQVEVLNALRDAGVEVVGRIIEGGYHGLEIADPLKAKDLCIAIKEFVAS >itb09g25700.t1 pep chromosome:ASM357664v1:9:25790311:25794023:-1 gene:itb09g25700 transcript:itb09g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENSMMDLVCATHNPDGTNINSNVVLTAIEKILNSEKAADKETSEEMLEELDLNFKECSLKIQHLCFELTSKSSSIIDRHLITICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSRQRYRKELTKQLVIVTQSANPTASKDPNPIDNLIKCAMDLTKCIVEINQLSSYYLPQSIISVLPFASYWVGRSIACTVAYCACPPMTNIKFESELNIITTKIKDILTSCSLALEAKRSEESYQALQHALFNNSTDKLKVLKLILNVIDDNEISLSTHADGGQKIGLKFFGEDKKVALLLTSGLDISNEKIQFLNAFYNNTFSTPYIVWIPILDDHAAWSIEQYEEFQDKMLFEVMDDPHKRIARSFTRFVKENLLPHFQIGEEPILVSLDQQGRIVHTNAMHMIQIWSPDYIEDKKLRVEARNNIIPFIEKEMKERSQGLDSLIFDIGEQIRHLAYEIDDKIDGWANQINNRINKLREHSNRYTSERENALWKKEKDWSLGLVVGEIDSGLTNWIRDESYIFLYGGNDIKWVREFTSKVHEVSFKTQSNIHLIYVGKNEKVRASIDEENMSDLLESPDDAWRFWTRLQSALLSRINYLNATNCHGDECDDEIAKGFKKLLGYECKGKTMKEWALLSKGQKVVVCGHGAKMLRVINEYESWKENMALKSFDQAFKDYYNKVNTCLSNSHSCCAFEYPITLKEIPTKEKCPECFHYMQKLVTFTCYHGDS >itb05g15780.t1 pep chromosome:ASM357664v1:5:23136156:23140030:-1 gene:itb05g15780 transcript:itb05g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNAHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSVDVGANLFVGNLDPDVDEKLLYDTFSAFGVIVSNPKIMRDPETGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPSSQKSRPHTLFASGPPTLPPVAQANGTVAAPVPPRPFANGPFPPPVAQAIHPPPPMQSTAYPPMQLPPPQSWQAQPPQPGTVGFPPQQFRGGPAMPPPPQVAPPMNRPPPPPMGMGAPPMWRPPPPPQQLTGGYQMSMPPPPPAN >itb13g26620.t3 pep chromosome:ASM357664v1:13:31831962:31834091:-1 gene:itb13g26620 transcript:itb13g26620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCLSCTSIGFTKYGIAPIPRARSSRTHVVQSSSSRLLIRAVQENEGPRRLVDIIRILPEVSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSQPKVTFPLALLNNFKMGFTYGLFIDAFKLAS >itb13g26620.t1 pep chromosome:ASM357664v1:13:31831660:31834091:-1 gene:itb13g26620 transcript:itb13g26620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCLSCTSIGFTKYGIAPIPRARSSRTHVVQSSSSRLLIRAVQENEGPRRLVDIIRILPEVSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSQPKHSGHSMVSGMWFKVSGYSRAQQGW >itb13g26620.t2 pep chromosome:ASM357664v1:13:31831660:31834091:-1 gene:itb13g26620 transcript:itb13g26620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCLSCTSIGFTKYGIAPIPRARSSRTHVVQSSSSRLLIRAVQENEGPRRLVDIIRILPEVSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSQPKGCGLK >itb03g29950.t2 pep chromosome:ASM357664v1:3:31208208:31212331:-1 gene:itb03g29950 transcript:itb03g29950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLEPAAAVSVQISSGNFCRRLSHSAGLCIYRNRVGGLTETSCGKRFWRDKNGIRASAKEQSSSSGSEPVKQSAKPMRYHPFEDIAESDLTANGEARLTPAETTRTIIEVNSKATLMLTGLVSDVECESIFWPDLPFVTDEHGNIYFQVKNEEDILQTLTAEENLVQVTIGIDTAEMLGQIESLGHPEIDFGIDELDDEDSDVDGEGDDDNEEDDDGVDDDDDYEEDWVSILDHEDDENEGSEGSLGDWAKLDTMRSSHPMHFARRLTEVVDDDPIDFMELPPAGLAIQGILRPAFLEEHVVIQKQMSDHKTSNADRNQISNAADCKETTIVPINGHRHKSGLDQDNPNWAEELEKDETLGNGTSFYKLEMIKIQLISAHGHQTFVEIEDFRRARPDAIAHSAVKILSRLKTSGEKTIQALKSLCWRCKGIQVEEVSLIGVDSLGIDIRVCSGTQVQTLRFAFKKRVLCSSSLSVYLQDATFVLFNVFSSHFDSGK >itb03g29950.t3 pep chromosome:ASM357664v1:3:31208208:31212327:-1 gene:itb03g29950 transcript:itb03g29950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLEPAAAVSVQISSGNFCRRLSHSAGLCIYRNRVGGLTETSCGKRFWRDKNGIRASAKEQSSSSGSEPVKQSAKPMRYHPFEDIAESDLTANGEARLTPAETTRTIIEVNSKATLMLTGLVSDVECESIFWPDLPFVTDEHGNIYFQVKNEEDILQTLTAEENLVQVTIGIDTAEMLGQIESLGHPEIDFGIDELDDEDSDVDGEGDDDNEEDDDGVDDDDDYEEDWVSILDHEDDENEGSEGSLGDWAKLDTMRSSHPMHFARRLTEVVDDDPIDFMELPPAGLAIQGILRPAFLEEHVVIQKQMSDHKTSNADRNQISNAADCKETTIVPINGHRHKSGLDQDNPNWAEELEKDETLGNGTSFYKLEMIKIQLISAHGHQTFVEIEDFRRARPDAIAHSAVKILSRLKTSGEKTIQALKSLCWRCKGIQVEVTPAESLHEQFQSYD >itb03g29950.t1 pep chromosome:ASM357664v1:3:31208208:31212331:-1 gene:itb03g29950 transcript:itb03g29950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLEPAAAVSVQISSGNFCRRLSHSAGLCIYRNRVGGLTETSCGKRFWRDKNGIRASAKEQSSSSGSEPVKQSAKPMRYHPFEDIAESDLTANGEARLTPAETTRTIIEVNSKATLMLTGLVSDVECESIFWPDLPFVTDEHGNIYFQVKNEEDILQTLTAEENLVQVTIGIDTAEMLGQIESLGHPEIDFGIDELDDEDSDVDGEGDDDNEEDDDGVDDDDDYEEDWVSILDHEDDENEGSEGSLGDWAKLDTMRSSHPMHFARRLTEVVDDDPIDFMELPPAGLAIQGILRPAFLEEHVVIQKQMSDHKTSNADRNQISNAADCKETTIVPINGHRHKSGLDQDNPNWAEELEKDETLGNGTSFYKLEMIKIQLISAHGHQTFVEIEDFRRARPDAIAHSAVKILSRLKTSGEKTIQALKSLCWRCKGIQVEEVSLIGVDSLGIDIRVCSGTQVQTLRFAFKKRASSEYSAERQLNNLLFPRTQKLQQRKEAQQAES >itb05g17730.t1 pep chromosome:ASM357664v1:5:24544938:24548464:-1 gene:itb05g17730 transcript:itb05g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMSLAQNSGAYCVAEKKPCVGWVEKYFKDCLCNISDDFSFVLGLVSLVCWGVAEIPQIVTNFRTKSSHGVSLLFLLTWIAGDIFNLVGCLLEPATLPTQLYTALLYTATTVVLVLQSMYYDFLYRWWKDKEDAGDSNQLVDEAKKPLRQRKSGGSGIPIPDGASRAARPHQVDYYYTSARSMAGSATPPFRTNLWLAKSGPSALGMDNDCSSDDETVEAPSMNSISQPKPIPRSAGYGAFLTTSLSIPHQTKALMPVYAALGGRKLLQESGTEHSSFGQWLGWMMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALMANATYVGSILVRTTAWDKIKPNLPWLLDAAVCVGLDIFIILQYIYYRYCRKNSGGSREDSAG >itb06g10450.t1 pep chromosome:ASM357664v1:6:14893472:14897227:-1 gene:itb06g10450 transcript:itb06g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAWKRRTSLILFGIVVLGSLFAFSIAKEEASKLGTVIGIDLGTTYSCVGVYKDQRVEIIANDQGNRITPSWVGFTDNERLIGEAAKNQAASNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNRDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAYLGKTIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRECERAKRALSSQHQVRVEIESLFDGNDLSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVTIQVFEGERSLTKDNRLLGKFDLTGIAPAPRGTPQIEVTFEVDANGILNVKAEDKASGRSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKIETATKEALEWLDDNQNAEKDDYVEKLKEVEAVCNPIISAVYQRSGGAPGGGSSDDDDDSHDEL >itb06g07920.t1 pep chromosome:ASM357664v1:6:11733249:11737007:-1 gene:itb06g07920 transcript:itb06g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARNARRLTQTAPFIQRAATIHTTLPALSQQTSSTPTTYARPPPPSTSSPPGLSKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLLWWTK >itb10g10060.t3 pep chromosome:ASM357664v1:10:13791936:13809235:-1 gene:itb10g10060 transcript:itb10g10060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLNTKNRLPIPHHLGPTPEPSFPLFLRREENNALYPSFTFRRSPAGVSANSPAMHETELGDLSDDADYAASMQQGSATMTRNDSTNSRQSSSSEPDGAEIVYLKDNVTIHPTQYASERISGRLKLIKQGNSLFMTWIPYKGQSSSARLSEKDRNLYTIRAVPFSGVRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVREFLATVKQHVVLARSAEDSNVFLVNDFQDPLQRTLSSLELSRAVSVAGSASFSVAASESQFSGADGEASDRTSAYAEQSGRPRQKHNDPARDLSINVLEKFSLVTRFAREATSQLFHETYSDSFISNERKKNDQSRLDYPHTTSDVAQNVLEAVPIPSDPVEIEKLSLVWGKPRQPPLRSEEWVSFLDSEGRVLDPQALRKRIFYGGVEHNLRKEVWAFLLGYHSYDSTYAEREYLVSVKKSEYETIKNQWKSISREQAKRFTKFRERKGLIEKDVVRTDRTLPFYDGDENLNVNLLHDILLTYSFYNFDLGYCQGMSDLLSPILYVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALCKLVELLDSPLHNYFNQKDCLNYFFCFRWILIQFKREFEFEKTMRLWEVLWTHYLSEHLHLYVCVAVLKRYRSKIIGEQMDFDTLLKFINELSGHIELDSTIRDAEALCICAGENGAAAIPPGTPPSLPVEDASIYTQHDDDVL >itb10g10060.t2 pep chromosome:ASM357664v1:10:13791770:13809235:-1 gene:itb10g10060 transcript:itb10g10060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLNTKNRLPIPHHLGPTPEPSFPLFLRREENNALYPSFTFRRSPAGVSANSPAMHETELGDLSDDADYAASMQQGSATMTRNDSTNSRQSSSSEPDGAEIVYLKDNVTIHPTQYASERISGRLKLIKQGNSLFMTWIPYKGQSSSARLSEKDRNLYTIRAVPFSGVRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVREFLATVKQHVVLARSAEDSNVFLVNDFQDPLQRTLSSLELSRAVSVAAYAEQSGRPRQKHNDPARDLSINVLEKFSLVTRFAREATSQLFHETYSDSFISNERKKNDQSRLDYPHTTSDVAQNVLEAVPIPSDPVEIEKLSLVWGKPRQPPLRSEEWVSFLDSEGRVLDPQALRKRIFYGGVEHNLRKEVWAFLLGYHSYDSTYAEREYLVSVKKSEYETIKNQWKSISREQAKRFTKFRERKGLIEKDVVRTDRTLPFYDGDENLNVNLLHDILLTYSFYNFDLGYCQGMSDLLSPILYVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALCKLVELLDSPLHNYFNQKDCLNYFFCFRWILIQFKREFEFEKTMRLWEVLWTHYLSEHLHLYVCVAVLKRYRSKIIGEQMDFDTLLKFINELSGHIELDSTIRDAEALCICAGENGAAAIPPGTPPSLPVEDASIYTQHDDDVL >itb10g10060.t1 pep chromosome:ASM357664v1:10:13791766:13809262:-1 gene:itb10g10060 transcript:itb10g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLNTKNRLPIPHHLGPTPEPSFPLFLRREENNALYPSFTFRRSPAGVSANSPAMHETELGDLSDDADYAASMQQGSATMTRNDSTNSRQSSSSEPDGAEIVYLKDNVTIHPTQYASERISGRLKLIKQGNSLFMTWIPYKGQSSSARLSEKDRNLYTIRAVPFSGVRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVREFLATVKQHVVLARSAEDSNVFLVNDFQDPLQRTLSSLELSRAVSVAGSASFSVAASESQFSGADGEASDRTSAYAEQSGRPRQKHNDPARDLSINVLEKFSLVTRFAREATSQLFHETYSDSFISNERKKNDQSRLDYPHTTSDVAQNVLEAVPIPSDPVEIEKLSLVWGKPRQPPLRSEEWVSFLDSEGRVLDPQALRKRIFYGGVEHNLRKEVWAFLLGYHSYDSTYAEREYLVSVKKSEYETIKNQWKSISREQAKRFTKFRERKGLIEKDVVRTDRTLPFYDGDENLNVNLLHDILLTYSFYNFDLGYCQGMSDLLSPILYVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALCKLVELLDSPLHNYFNQKDCLNYFFCFRWILIQFKREFEFEKTMRLWEVLWTHYLSEHLHLYVCVAVLKRYRSKIIGEQMDFDTLLKFINELSGHIELDSTIRDAEALCICAGENGAAAIPPGTPPSLPVEDASIYTQHDDDVL >itb14g21440.t1 pep chromosome:ASM357664v1:14:23438299:23443088:1 gene:itb14g21440 transcript:itb14g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGSKLLVLLLCLSYSLIAIAAKSYYDILQVPKGASEDQIKRAYRKLALKYHPDKNPGNEEANKKFAEINNAYEVLSDSEKRNIYDRYGEEGLKQHTASGGGRGAGMNIQDIFSSFFGGGSMEEEEEKIAKGDDVIIDLDASLEDLYMGGSQKVWREKNILKPAPGKRRCNCRNEVYHRQIGPGMFQQMTEQVCDQCPNVKFEREGYYITVDIEKGMQDGQEVVFYEDGEPKVDGEPGDLKFRIRTAPHDQFKREGNDLHTTVTITLVQALVGFEKTIKHLDDHLVDIGSKGITKPKEVKKFKGEGMPLHFSNKKGDLYVTFEVLFPTSLTAEQKTKIKEVLG >itb09g15230.t1 pep chromosome:ASM357664v1:9:10494852:10496132:1 gene:itb09g15230 transcript:itb09g15230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHSQIIPPLDDDPFVLDLDPILISDELKPTTPGQRTYSGWEMASLWVGLVVGVPSFYLAGSLVELGMSWWQGVATVVAANIITVITLILAGHPGTRYGIPFPVVARAAFGVRGAHIPTLLRALVACGWYGIETWIGGQAIFILLPKTIKGSSFSQPVSWLGTSVIEFTSFIVFWVVQLASVFFGINGIRKLENYSAPILVALVVWLFIWSYVKAGGFGPMLSLSSTLSSSQFWSLFFPSLTANIGSWATLALNIPDFTRYAKSQTDQAIGQAGLPIFMGLMSFVGLAVTSSTEQIFGHVISNPIELLAEIDGGFLTVIIAILGISLATITTNVAANIVAPANALINLCPSRFTFRRGAVVTALLGIGFQPWRLMQSSESYLDTWLVGYSVFVGPVGGIILADYYLVKGMDLRIKDLYDRRRKG >itb05g07360.t1 pep chromosome:ASM357664v1:5:9136274:9139694:1 gene:itb05g07360 transcript:itb05g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEELDETGESMCRSESETRLMIVRVDAKRALVGAGARILFYPTLLYNVFRNKIQAEFRWWDQIDQFLLLGAVPFPKDVPRLKKLGVGGVITLNEPYETLVPTSLYRALGIDHLVIPTRDYLFAPSFGDINRAVDFIHKNALSGLTTYVHCKAGRGRSTTIVLCYLVEYKNMTPAEALEYVRSIRPRVLLAPSQWKAVQEFRQWRAASTSSFSPSGDAVLITKADLEGYNSSSDDNIGKELAVVPRMTRTTSPMIARLSCLFSTLKASSSCGPITRQLADARAC >itb15g22600.t1 pep chromosome:ASM357664v1:15:25275588:25278048:1 gene:itb15g22600 transcript:itb15g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMALYRAAVEGKEDDDDDEYYFEALRQPAAGVTTTAYGGNTVLHVAALHGQKQFMDKILILAETEEDYHRMSCMLLARNKNGETVLHCAAEKGYADIESLVISATKILYYKDVEIGVGVREMIGMMDNVKDTALHKAVRMGHLEVVKLLIQEDPEFEYFANDAGETPIYIAAELQFDECLVEMLNTCRKPTYDGPLGRNALHAAVLSVYTSKCTKPLLKKEFSLWGKSDDFGWTPLHYAVRVDNAGAAAMILERERSAAYIRAGNNNDDKE >itb03g02210.t4 pep chromosome:ASM357664v1:3:1220763:1224552:1 gene:itb03g02210 transcript:itb03g02210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMVVLVAVFGLCIILSTALLRWNELRFMKKGLPPGTMGWPLFGETTEFLKQGPIFMKNQRTRYGSFFKSHILGCPTVVSMDPEVNRYILVNEGKGLVPGYPQSMLDILGKCNIAAVHGAAHKHMRGALLSLISPSMIRYQLLPKIDEFMRSHVSTWDRKVIDIQDKTNKMAFLSSLKQIAGIESGEIAGEFMPEFFKLVLGTLSLPINLPNTNYRRGLQARKNILVLLRKLIEQRRVAGEKQDDMLGLLMNEEENRYMLSDDEMIDLIITLLYSGYETVSTTSMMAVKYLSDHPKVLDELRKEHMAIREKKAPNDPIDYNDFKSMRFTRAVIFETSRLATIVNGVLRKTTQDIELNGGHSRFLDHSFIISSINQELS >itb03g02210.t1 pep chromosome:ASM357664v1:3:1220763:1224552:1 gene:itb03g02210 transcript:itb03g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMVVLVAVFGLCIILSTALLRWNELRFMKKGLPPGTMGWPLFGETTEFLKQGPIFMKNQRTRYGSFFKSHILGCPTVVSMDPEVNRYILVNEGKGLVPGYPQSMLDILGKCNIAAVHGAAHKHMRGALLSLISPSMIRYQLLPKIDEFMRSHVSTWDRKVIDIQDKTNKMAFLSSLKQIAGIESGEIAGEFMPEFFKLVLGTLSLPINLPNTNYRRGLQARKNILVLLRKLIEQRRVAGEKQDDMLGLLMNEEENRYMLSDDEMIDLIITLLYSGYETVSTTSMMAVKYLSDHPKVLDELRKEHMAIREKKAPNDPIDYNDFKSMRFTRAVIFETSRLATIVNGVLRKTTQDIELNGYVIPKGWRIYVYTREVNYDPGLYPDPYTFNPWRWLDKSPDNQNHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLLKFPRVEAPNGLHIRVSSY >itb03g02210.t3 pep chromosome:ASM357664v1:3:1220779:1224552:1 gene:itb03g02210 transcript:itb03g02210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMVVLVAVFGLCIILSTALLRWNELRFMKKGLPPGTMGWPLFGETTEFLKQGPIFMKNQRTRYGSFFKSHILGCPTVVSMDPEVNRYILVNEGKGLVPGYPQSMLDILGKCNIAAVHGAAHKHMRGALLSLISPSMIRYQLLPKIDEFMRSHVSTWDRKVIDIQDKTNKMAFLSSLKQIAGIESGEIAGEFMPEFFKLVLGTLSLPINLPNTNYRRGLQARKNILVLLRKLIEQRRVAGEKQDDMLGLLMNEEENRYMLSDDEMIDLIITLLYSGYETVSTTSMMAVKYLSDHPKVLDELRKEHMAIREKKAPNDPIDYNDFKSMRFTRAVIFETSRLATIVNGVLRKTTQDIELNGYVIPKGWRIYVYTREVNYDPGLYPDPYTFNPWRWLDKSPDNQNHFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYR >itb03g02210.t2 pep chromosome:ASM357664v1:3:1220779:1224203:1 gene:itb03g02210 transcript:itb03g02210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMVVLVAVFGLCIILSTALLRWNELRFMKKGLPPGTMGWPLFGETTEFLKQGPIFMKNQRTRYGSFFKSHILGCPTVVSMDPEVNRYILVNEGKGLVPGYPQSMLDILGKCNIAAVHGAAHKHMRGALLSLISPSMIRYQLLPKIDEFMRSHVSTWDRKVIDIQDKTNKMAFLSSLKQIAGIESGEIAGEFMPEFFKLVLGTLSLPINLPNTNYRRGLQARKNILVLLRKLIEQRRVAGEKQDDMLGLLMNEEENRYMLSDDEMIDLIITLLYSGYETVSTTSMMAVKYLSDHPKVLDELRKEHMAIREKKAPNDPIDYNDFKSMRFTRAVIFETSRLATIVNGVLRKTTQDIELNGYVIPKGWRIYVYTREVNYDPGLYPDPYTFNPWRWLVSKPNPIVTLHIHILYVICSTVNTPREPGS >itb04g18240.t2 pep chromosome:ASM357664v1:4:21662961:21666666:1 gene:itb04g18240 transcript:itb04g18240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHELANKRRISVAGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDNGYQFDLSVEEAAELARRSIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVEAASVEHEMID >itb04g18240.t1 pep chromosome:ASM357664v1:4:21662559:21666666:1 gene:itb04g18240 transcript:itb04g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIDFSGLESTAPIRGESSALFDRIPRAPSFQIPNITDFDGFQKKAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHELANKRRISVAGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDNGYQFDLSVEEAAELARRSIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVEAASVEHEMID >itb06g02990.t1 pep chromosome:ASM357664v1:6:5089294:5089944:-1 gene:itb06g02990 transcript:itb06g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKIIVGLAKGLEYLHQHCNPKIIHFDIKPQNILLDENFNAKTAGFGFAKLMTRDQSQVIIVLNGTPGYVAPELFNGTNISEKIDVYSFGIVMIETIFRRRNCDHRQSQPLIDIVKERAEQDQLFDFIDQHFQDDQSYREDAEKMVKIGLCCLQAHNRRPPMSLIVKVLEGGLGLEFITTNGLLNITEVEAPLTVSSRAVINSYTPTASVLSGPR >itb14g02740.t1 pep chromosome:ASM357664v1:14:2367005:2368927:-1 gene:itb14g02740 transcript:itb14g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLFHWRNESGELDVFEAANYFAGANDHHQVSNCHKLLRQGCNNQAWRSGRMSLDMPPVPAIKLSSTPFGTSSLHPSQMEKPAAAMKGKCKHPIPSSPGGKLASFLNSLFNQKNTSKKKKKLNSNTNNEDSQTPSGWIRRRRSSLSELYGKKNPSNTVYNHNNNSASSNSGFRTPPPYPKNSCKDKQNPENMFKFISSGINSERRSVIHHWADEYPSEETEERKIEEDYDRGTDSDSSSDLFDLPNRELLDYYSTGLPVYQTTQMNSINSNNAPISATVI >itb14g02740.t2 pep chromosome:ASM357664v1:14:2367005:2367859:-1 gene:itb14g02740 transcript:itb14g02740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAAMKGKCKHPIPSSPGGKLASFLNSLFNQKNTSKKKKKLNSNTNNEDSQTPSGWIRRRRSSLSELYGKKNPSNTVYNHNNNSASSNSGFRTPPPYPKNSCKDKQNPENMFKFISSGINSERRSVIHHWADEYPSEETEERKIEEDYDRGTDSDSSSDLFDLPNRELLDYYSTGLPVYQTTQMNSINSNNAPISATVI >itb06g07390.t1 pep chromosome:ASM357664v1:6:10896375:10900134:-1 gene:itb06g07390 transcript:itb06g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGGNGENYLAPHLLDGFLGLGSPNTAGEDSERKTLMISSVKAGAMMSVAIDSLGGLWIWGNCPQPQKNKSTEAEFSLTCTFNPVPVWNFHGHTVVKVACGNEHVVALVTIGETYKGNDLVCYSWGGNSHGQLGLGDREGRLFPEIIEAFNSESPWTVYEVACGSYHTALLAQKSPSDILESVCWTFGLGDNGQLGQGTTQSYFSPEPVKELPRTAFLISVNCGLFHTSVVSSAGDVWSWGMERGLGLCPDARFIGTETGDAILPLLIPCNEHYGPKFPEPVQVVCGAAHTVLLADSGYKLWSWGRGRSGVLGTGQTTDSFAPTGAMWPPIDEDFKEEVLDDNDKNEKTEEKKPKDNVEMEKKLLDAMGEINLLQSKLSVMERYASILHSSIFGRPFQEQDIPASLQSGGAFDIAKEWENMLESSDRGKLVRLEMFYRNMLAGVKDNILKKRIKEIVVDCLGSSR >itb01g06380.t1 pep chromosome:ASM357664v1:1:4560244:4562579:-1 gene:itb01g06380 transcript:itb01g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAKRWRALSGSENWEGLLDPLDSDLRRYLIHYGSMIGAVYDSFITEPTSKYAGLSRYAKKNLFQETGLDKGYPFKYTITKYFYTPSTMIGGAKRGYHVQSVRSDAVMKESNWGGYVAVATDKGKEVLGRRDIVVVWRGTETMSELIEDFRISMVKPPIIFVEDNGSLVHHGWYEMYTSTIQDSQLNSKSVRDQVREEIGRLLDLYKNEEVSITVTGHSLGSSLATLNAIDLAANPLNNSDNVLVTAIMFACPKVGNESFKNAFSQLKNLRALRVVNQDDLVPKLPLWAFEAGTIFLKSYIDVGVQFDINASKSDYVTPDKSSMFMWHGLMMYLHGIDGFQGPQGGFKPQGCFDIPQVNKYVGVLKVEKCQVPTEWWVEKNKGMVQKDDGTWILDDHEGDDVVDA >itb12g01460.t1 pep chromosome:ASM357664v1:12:998684:1005609:-1 gene:itb12g01460 transcript:itb12g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRTRNQSRRLNQSTAEAVVGKHHIDSERQSSSTFEADENETLGNISRDAVRKLLKKAKTRRGFRGSDADDAYLRRCDSTGKHLSESRGKDKQPTGTAFDANSDGMETMQHISTQVKDGGTNSQQPNPEIEDELDWEDGIIPTSNSENNVEQPTTNGVTVEFDVPEDAAKRKTIRRATAEEKDLAELVHRVHLLCLLGRGRLIDFACNDPLIQASLLSLLPTHLLKMSDMPNLTAKALSPLVNWFHKHFHVRGQSATEKSIHSAMRSTLESQEGSPEVVAALSVALFRALNITARFVSILDVASLKPGVDKPDLSDQGHQDPRRKGSDIFNTSTLMVAGSSHPPESPEGHLASSDKGSVCKSPSSDANKTKAGKSLRKKSKSSDSSLADTLKHRVLDQSTSEAQNDSSDTCPMQREQPKRKGDLEFEMQLEMALSATAMESSRENFVPNVVEAHGTSSNHSPYKRMKKIKAEECSASSHGISTAIGSKKIGAPLYWAEVYCSGENLTGKWVHVDAVNALVDGEQNVEAAAAACKIPLRYVIAFAGNGAKDVTRRYCTKWYKIVSKRVNSLWWDAVLEPLKKLEAGAVDDLSHFKHEGSNGKESIKPLNGTCHPKQEQPLESTTLPLKFNSEALEECREKRYSQSSSRASVAASRSDLEDMELETRALTEPLPTNQQAYRTHQLYAIERWLNKYQILYPKGPVLGFCSGHPVYPRTCVQTLHTKERWLRDGLQVKANELPAKVLKRSQKQSKELVGEDDESAEGDPSGTTALYGRWQTEPLCLPPAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIYPVAKRLEIDYAPAMVGFDFRNGRSVPVFEGIVVCAEFKDAILAAYAEEEERRHAEEKRKAEAQALSRWYQLLSSIITRQRLNNCYANGGPSQSTINTPKPDSESSAKPGGSSSENQKMSPERKQESKPVTVPAETDHHEHVFLLDDQTFDEESSTRTKRCRCGFSLQFEEL >itb11g13120.t1 pep chromosome:ASM357664v1:11:10065995:10067756:-1 gene:itb11g13120 transcript:itb11g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSPTADAIRRRCRAAPIVTPQSLPADRRPLCTQVPPGPSPLQPTGAKKIKTAPPSGAADGVAKRRPAISVGPAQCFEPSRGELGPYTCRHAVIS >itb02g25490.t1 pep chromosome:ASM357664v1:2:26237154:26241689:1 gene:itb02g25490 transcript:itb02g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGNKIRIGADGFGKINRFLSRISRRKDDSKQLSGVNDSLKAASYKIDQDGLGSAGTKSFGALALFGAGVSGLLGCATISCSDEAEHGLECPSYPWPHKGIISSYDHSSIRRGHQVYQEVCASCHSMSLVSYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMYTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAGYYRRLRWSVYKSRKLVVDVVN >itb01g25180.t1 pep chromosome:ASM357664v1:1:30648571:30652875:-1 gene:itb01g25180 transcript:itb01g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRAATGLDTAVVDVWKREVGQLSTRKFALRLAAPEDLVQRLEIFRKLEKHGGCVNTVSFNADGDILVSGSDDRKVVLWDWQTGKIRLSFHSGHHNNVFQAKFMPYTEDRSIVTCAADGQIRHAQILAHGKVETKMLARHLGRAHKLAIEPGSPYILYSCGEDGLVQRIDLRTESTTERFTCQPLPPRKNYMSFIHLNAIAMDPRNSNFFAVAGSDEYARLFDIRKCKWDNSSDFGQPVDFFCPSHLVGDESVGITGLAFSDQSELLVSYNDELIYMFSKNMGLGPDPSPSSPLSNQSDNGEMGSHLQSGVSSLDTCAGTKDDPQAYKGHQNSETVKGVNFFGPKCEYVVSGSDCGRIFIWNKKGGKLINVMEADKHVVNCIESHPHTTVLASSGIENDIKIWTPKATEKAVLPVNIQKRPMAKGAETTYYMDPDPPPNLMFKMFTTLQRQEHAGSAITRK >itb01g24710.t1 pep chromosome:ASM357664v1:1:30294480:30298315:-1 gene:itb01g24710 transcript:itb01g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIARRLLRSNFLNSTSTVASAGVFNRSFTSAGPTSNLIRATLFPGDGIGPEIAESVKQIFRAADVPIEWEEHYVGKEIDPRTQSFLTWESLESVRRNKIGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCNSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEIKYEEVVIDNCCLMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSGVAMLRHLELNDKADRIQDAILTTIADGKYRTADLGGHSSTTDFTNAICDRL >itb14g11330.t1 pep chromosome:ASM357664v1:14:12957737:12958944:-1 gene:itb14g11330 transcript:itb14g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTLSTVPSDINDFVVKQGHGVKGLSELGLQTLPNQYVHPPEERLSSMDVVSDDSIPVIDVSNWEDPKVAKLICDAAEKRGFFQIVNHGIPLEMLEKAKAATYRFFREPAEEKKKYSKENCPTSHVRYSTSFLPQIEKALEWKDHLSMFYVSDEEAAQYWPPSCRDDALEYLKSCEMVSRKLLEALMQGLNVNQIDDDKQSLLMGSRRININYYPKCPNPDLTVGVGRHSDISTLTLLLQDDIGGLYVRKLEHEAWSHVPPVKGALVINIGDALQIMSNGRYKSIEHRVMANETNDRISVPVFVNPRPNDIVAPLPEVLASGEKPVYKPVLYSDYAKHFYRKAHNGKDTIAFARIE >itb07g23470.t3 pep chromosome:ASM357664v1:7:27863222:27866419:1 gene:itb07g23470 transcript:itb07g23470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGGAYHGSSNAQFNMAEIWPFPISSGGATSFALAPAEEDVNAVSDPMVIDRRVNQSGGGGGRKRKEEDESAKGGAGASTSGNGLQSEDDSKRIKTGGQNKIPRSKADGEGASGKQAEQAAKAAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQNLVPGCNKVIGKASVLDEIINYVQSLQHQVEFLSMKLEAVNSRIPPGIEGLPSKDVSTA >itb07g23470.t1 pep chromosome:ASM357664v1:7:27863194:27866419:1 gene:itb07g23470 transcript:itb07g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGGAYHGSSNAQFNMAEIWPFPISSGGATSFALAPAEEDVNAVSDPMVIDRRVNQSGGGGGRKRKEEDESAKGGAGASTSGNGLQSEDDSKRIKTGGQNKIPRSKADGEGASGKQAEQAAKAAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQNLVPGCNKVIGKASVLDEIINYVQSLQHQVEFLSMKLEAVNSRIPPGIEGLPSKDFPPQIFDTSGLAFGSQATRDYGSSTSPDWLHMQTGGGFERT >itb07g23470.t2 pep chromosome:ASM357664v1:7:27863194:27866360:1 gene:itb07g23470 transcript:itb07g23470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGGAYHGSSNAQFNMAEIWPFPISSGGATSFALAPAEEDVNAVSDPMVIDRRVNQSGGGGGRKRKEEDESAKGGAGASTSGNGLSEDDSKRIKTGGQNKIPRSKADGEGASGKQAEQAAKAAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQNLVPGCNKVIGKASVLDEIINYVQSLQHQVEFLSMKLEAVNSRIPPGIEGLPSKDFPPQIFDTSGLAFGSQATRDYGSSTSPDWLHMQTGGGFERT >itb15g10930.t1 pep chromosome:ASM357664v1:15:8696967:8699032:-1 gene:itb15g10930 transcript:itb15g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSEILRKEVLPFVAMIVEACMLNAKATISKAALNSGRNALALTLSMLRRCFILGVLGTCTVILGTLGLNYSSPTLFAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTIVSIIGASVMTLYQGPTILGSSSSSISDLPQHSLLSQDSSRWVVGGVMIVASYVVASGWYILKTAILKDYPEQITIVFFSTFFSSIQCAIVTLFLERKLDAWKLQPGIGMIAIVASAVLEPVCVNNIAAFCLVMKGPLYVAMFKPLGVVIAAIMNLIFLAEALHLGSIVGSIIISVGFYVVMWGMLREATDIILCETTAPATANQTSPLLQK >itb09g29220.t1 pep chromosome:ASM357664v1:9:29943195:29946066:-1 gene:itb09g29220 transcript:itb09g29220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENSCEIGVFSKLTNAYCLVAIGGSENFYSTFEAELADAIPVIKTSIGGTRIVGRLCAGNKNGLILPHTTTDQELQHLRNSLPDSVVVQRAEERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSDVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >itb04g01700.t1 pep chromosome:ASM357664v1:4:981454:982680:1 gene:itb04g01700 transcript:itb04g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDQKLDLRLGLGHCSLPKEDNSPKKTSGFGVSLDLSLPLSHSNDRSGDIIESSKTFEVYGMESQNSYSTNNNNGVTKKKLRLNQEQSALLEECFKHHTTLTMGRKHDLATKLNLRPRQVEVWFQNRRARTKLKQTEVDCNLLKKYCESLNYENCRLRRELHELRSSFKFDGPAPAPFSDQPPKARTTATCPSCEKNETELVDTVLELKN >itb10g16530.t1 pep chromosome:ASM357664v1:10:22848599:22848907:1 gene:itb10g16530 transcript:itb10g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESRDHSYGPALQQLPSQPPRLPLPPSPIAGHCLHRLVAFVGRSPGRDSRLPPSRSPVASHNLHRLRPLLFMSPLVTASSTTASLFFLHLQSNEALDCEF >itb15g10250.t1 pep chromosome:ASM357664v1:15:7904711:7910334:1 gene:itb15g10250 transcript:itb15g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSQNSLRKTLGALKDTTTVSLAKINSDYKELDIAIVRATNHVERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRNRILNMSHFKDDSSPNAWDYSAWVRTYALYLEERLECFRVLKYDVETDRSRTKDLDTPDLLEQLPALQQLLYRVIGCQPQGAAVHNFVIQLALSMVASESIKVYNAISDGTVNLVDKFFEMQRNDALRALDIYRRAGQQAERLSEFYEICKNLDVGRGERFIKIEQPPASFLQTMEEYVKEAPRYSTAARKDSVVEDKKVVLPIEDKKDVEVKETGSPPPPAPAPAPESVPEAPVKVETPVAEPPDLLSLDDSAPAVAELDEKNAMALAIVPVGSTNQSSTSVPNLANGVTGWELALVEAPTSNETSTASVKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPSPVAVAPMMPPHDPLFYASNTVAAPTSVNNQQQQAYMFQQQQQMMMGAQPQPPINPFGNPYGAVANPYSHPALPVQSYNNPYAGLI >itb12g18410.t3 pep chromosome:ASM357664v1:12:20644237:20648984:-1 gene:itb12g18410 transcript:itb12g18410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNSSESVKIDSRLVRMCIEAATESRDAIEAWRRQRRTLERLPSQLADVLFHRLLRRRLLFPSLLEVFKHCVEEVNLKGENSVDAEWMAYLGGFHYLRTLNLSDCRKMSSSSLWPITGLTNLKELDLSRCSKLTDAGIRHLLSIPVLEKLWIAETGVTAEGVILLRSLTNLSLLDLGGLPVTDVALCSLQALTKLQYLDIWGSELSNKGASVLKMFRGLSFLNLAWTKVTSLPTLPSLAYLNMSNCIVNSVFEGEGGKVMVERLILSGATISDGSEAFQYVEASRLALLNLSNSSLNSFSFLRCMNAITELDLSGCCVGDESVEYIECIGASLRYLNLSKTKVSSAGVGTLARHAPNLETLLLSYTGIDDNAIAYISTMPLLKFISLSGTNVKGLLNHVESDNVWNSLSAFHSLDHLERLELEETQIKDATLSPLSSICKLGYLSLRSGPLTDACLYHLSQIRNLINLVVRDAVLTNAGLYTFNPPSGLEMLDLRGCWLLTDDALLSFCQKHPSIELKHELVSTSPFDKAGSHHSSPSQHTSRNSEYKHKHNKLPSTPLRFKNESFIDQRLKYSRDELLAMSFVSSTSSPSNAS >itb12g18410.t1 pep chromosome:ASM357664v1:12:20644146:20650411:-1 gene:itb12g18410 transcript:itb12g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNSSESVKIDSRLVRMCIEAATESRDAIEAWRRQRRTLERLPSQLADVLFHRLLRRRLLFPSLLEVFKHCVEEVNLKGENSVDAEWMAYLGGFHYLRTLNLSDCRKMSSSSLWPITGLTNLKELDLSRCSKLTDAGIRHLLSIPVLEKLWIAETGVTAEGVILLRSLTNLSLLDLGGLPVTDVALCSLQALTKLQYLDIWGSELSNKGASVLKMFRGLSFLNLAWTKVTSLPTLPSLAYLNMSNCIVNSVFEGEGGKVMVERLILSGATISDGSEAFQYVEASRLALLNLSNSSLNSFSFLRCMNAITELDLSGCCVGDESVEYIECIGASLRYLNLSKTKVSSAGVGTLARHAPNLETLLLSYTGIDDNAIAYISTMPLLKFISLSGTNVKGLLNHVESDNVWNSLSAFHSLDHLERLELEETQIKDATLSPLSSICKLGYLSLRSGPLTDACLYHLSQIRNLINLVVRDAVLTNAGLYTFNPPSGLEMLDLRGCWLLTDDALLSFCQKHPSIELKHELVSTSPFDKAGSHHSSPSQHTSRNSEYKHKHNKLPSTPLRFKNESFIDQRLKYSRDELLAMSFVSSTSSPSNAS >itb12g18410.t2 pep chromosome:ASM357664v1:12:20644237:20650348:-1 gene:itb12g18410 transcript:itb12g18410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGGFHYLRTLNLSDCRKMSSSSLWPITGLTNLKELDLSRCSKLTDAGIRHLLSIPVLEKLWIAETGVTAEGVILLRSLTNLSLLDLGGLPVTDVALCSLQALTKLQYLDIWGSELSNKGASVLKMFRGLSFLNLAWTKVTSLPTLPSLAYLNMSNCIVNSVFEGEGGKVMVERLILSGATISDGSEAFQYVEASRLALLNLSNSSLNSFSFLRCMNAITELDLSGCCVGDESVEYIECIGASLRYLNLSKTKVSSAGVGTLARHAPNLETLLLSYTGIDDNAIAYISTMPLLKFISLSGTNVKGLLNHVESDNVWNSLSAFHSLDHLERLELEETQIKDATLSPLSSICKLGYLSLRSGPLTDACLYHLSQIRNLINLVVRDAVLTNAGLYTFNPPSGLEMLDLRGCWLLTDDALLSFCQKHPSIELKHELVSTSPFDKAGSHHSSPSQHTSRNSEYKHKHNKLPSTPLRFKNESFIDQRLKYSRDELLAMSFVSSTSSPSNAS >itb12g18410.t4 pep chromosome:ASM357664v1:12:20644273:20648984:-1 gene:itb12g18410 transcript:itb12g18410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNSSESVKIDSRLVRMCIEAATESRDAIEAWRRQRRTLERLPSQLADVLFHRLLRRRLLFPSLLEVFKHCVEEVNLKGENSVDAEWMAYLGGFHYLRTLNLSDCRKMSSSSLWPITGLTNLKELDLSRCSKLTDAGIRHLLSIPVLEKLWIAETGVTAEGVILLRSLTNLSLLDLGGLPVTDVALCSLQALTKLQYLDIWGSELSNKGASVLKMFRGLSFLNLAWTKVTSLPTLPSLAYLNMSNCIVNSVFEGEGGKVMVERLILSGATISDGSEAFQYVEASRLALLNLSNSSLNSFSFLRCMNAITELDLSGCCVGDESVEYIECIGASLRYLNLSKTKVSSAGVGTLARHAPNLETLLLSYTGIDDNAIAYISTMPLLKFISLSGTNVKGLLNHVESDNVWNSLSAFHSLDHLERLELEETQIKDATLSPLSSICKLGYLSLRSGPLTDACLYHLSQIRNLINLVVRDAVLTNAGLYTFNPPSGLEMLDLRGCWLLTDDALLSFCQKHPSIELKHELVSTSPFDKAGSHHSSPSQHTSRNSEYKHKHNKLPSTPLRFKNESFIGERSSKYLYWF >itb03g29810.t2 pep chromosome:ASM357664v1:3:31056904:31063738:1 gene:itb03g29810 transcript:itb03g29810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMALANPDSISSPTAVNSNAPPPSSYSDDPTKKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKAPKSDNMSSVGQAHVNTYSSSGNEGAPSELTDQGANGSSMKVLPDFAQVYNFIGSVFDPNVTGHLQKLKKMDRIDVETVLLLMRNLSINLRRPEFEDHRRLLSSYEDVVSDGGGNKVLCENKSQNAARIW >itb03g29810.t1 pep chromosome:ASM357664v1:3:31056894:31063757:1 gene:itb03g29810 transcript:itb03g29810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMALANPDSISSPTAVNSNAPPPSSYSDDPTKKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKAPKSAPTVSSLSNSFQTPLAFPDAGLTPKPDSSLIPIVPVTSAALPSWKDYSAQAGSSSVKKDNMSSVGQAHVNTYSSSGNEGAPSELTDQGANGSSMKVLPDFAQVYNFIGSVFDPNVTGHLQKLKKMDRIDVETVLLLMRNLSINLRRPEFEDHRRLLSSYEDVVSDGGGNKVLCENKSQNAARIW >itb15g09080.t1 pep chromosome:ASM357664v1:15:6463019:6469061:-1 gene:itb15g09080 transcript:itb15g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSPSPAPFYTPRPERRRPDSRGSENNRFDKDREVNVQVILRCRPLDESELKLNVPRVITCNENRREVTVSQNVANKQLDRVFTFDKVFGPKAQQRSIYDQAISPIVNEVLEGYNCTVFAYGQTGTGKTYTMEGGTMNKVGELPVEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEEITDLLASEEPSKYLEDKQKKSVSLLEDGKGCVIVRGLEEEVVYSANDIYNLLERGAAKRRTADTLLNKHSSRSHSIFSITVHVKETSIGDEELVKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVIYALVEHSAHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSANCLEETLSTLDYAYRAKNIKNKPEVNQKMSKTMLLKDLYQEIERMKQDVRAAREKNGVYIPHERFLQDEAEKKAKNGKIEQLENDLDVSEKQVDKFRELYYTEQEEKLSFKSELKDCKINLEKSNSALQDLQENYYIAVSTLKEKDVTITKLLHSENRLIGCAKELRESLHNASNDVTSLFARLDEKDSLEEKNRSLLRAFGSQLDESLKDLQKIILGSVSQQQQQMIFMDEYISSFLASKCNVTKVMESKIAKMMEMHASGIAELKVIVDTLQQRSSSDLEQMKSNISSQATTLEDFILIASMEAKDVISDMQNFLNDQRQILAFSAQQQREGLHRSMLSAQTISQATANFFDDLHQRASELMTFLEDSKIDKIDQLQKFEKSFKEEALKEEKAAAEKIGAILAALTAKKTVMVSEMSRNIQNSSVEENKRLHQELSGFQQVSVNAKKELNGYVEKVKNNFVEDTFASAESRVTLDNCLQECTNKVDHFNQQWENTTLGVNKLTKDSLAETESVVQTKTAALHGAHEEFISASSSMHATFNQCSHDLLTSVNDSLMKDRESKKEINSMSTTCSTQLNSMQQTHGEFLSNIQNRTEQCLQNVCKADQNADRTPKKREIRIPSIASIEEMATPISEINLENRSKQSGANGKIQQDLKGASPNRTPFTNVN >itb15g23000.t1 pep chromosome:ASM357664v1:15:25786880:25790099:1 gene:itb15g23000 transcript:itb15g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYCDLEDEVVRIYDEYEIRSKLGPIYSKANKCEHLLVTLRVIVRKIEEVEELMLKIKKETDLDPDDEVWDTYQSALEPENEVIVGFHTEIETIVNRLCYLHFMRSVFTILRNSNIHKFRKYVENPVLKLQVIPLVGEGGIGKTTLAKRVYGHSTTIASFHIRAWVVLTQFPNLKEILIGLLRCISPITSEIYTLDHAQIAEQLCTSLMGKKYLIFLDDIWTTAAWDAMKGHFPENFNGSRILVTTRFKEVSEYLSTNPYQVKYQSVENLWELLSRKVFRQSRVQPVDNGDMRSKLRIANRIVYRCSGLPLGVVVIAGLLAATKESLQIWRDVEESLDGVENENRISKILSLSYNYLPPHLKPCFHYFGVFPEDNVIPVKRLINLWVAERFLMPHKNMSLEEVAESYLHDLINRNLVRINELSIDGKVKSCNIHDRVHEVCVREAINGNTLCITNDNHAPKASHWLSCQTSHWPITQASYVNCRPDEIHSILWFGKDVYRSKCRLVYPCLKLLRVLDLSFVKWSRGMPGEITYLVHLRYLSLSTIGSLYELQFLKLKNLLTLIVTSWMEKCPLQLPCDILGLPQLRHLHVDKRCSQYLPCLVKKDLQTLYWLKVANSDEKPNFRMVPNLMELGIYIEGQLAPSHLGSLVYLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLRYTYLPWKEMDTIGELPHLKVLKLKDFAFCGPTWKPSKQGFRELKALLISRSNLKHWNASACRFLAEVYKVFIAE >itb03g04420.t1 pep chromosome:ASM357664v1:3:2774766:2776144:1 gene:itb03g04420 transcript:itb03g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPCPLFIAMDMDIHSNPTQTLKSSASKLPIKRKTPPNSFTSSAPDSPPDAVVHGGCNGQETAPPPFKFHRIWTESDEIRFLQGLLDCGAESLAFPRDLNVFYTRFSSTMSQPYTKSQLSEKLRRLRKKFRVISARLAKGHDSSLLSPHDRALHDISKRLWHPDFAAKSPFCYNGTGEAPKKSGLVGVAVSFMPSLPSILASNHSAIEDTIKTNDEIGLDGDVKLREVNVELDDDGIVVEKMENLSGVGAKVVVDVFDECLRELRKDVVGEKSSLDGCFKQGNVDESFEERWRKQRVAELDVFSKRMRFQCAIPICEEQIIS >itb03g04420.t2 pep chromosome:ASM357664v1:3:2774766:2775933:1 gene:itb03g04420 transcript:itb03g04420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPCPLFIAMDMDIHSNPTQTLKSSASKLPIKRKTPPNSFTSSAPDSPPDAVVHGGCNGQETAPPPFKFHRIWTESDEIRFLQGLLDCGAESLAFPRDLNVFYTRFSSTMSQPYTKSQLSEKLRRLRKKFRVISARLAKGHDSSLLSPHDRALHDISKRLWHPDFAAKSPFCYNGTGEAPKKSGLVGVAVSFMPSLPSILASNHSAIEDTIKTNDEIGLDGDVKLREVNVELDDDGIVVEKMENLSGVGAKVVVDVFDECLRELRKDVVGEKSSLDGCFKQGNVDESFEERWRKQRVAELDVFSKRMRLVIDHSLHMQ >itb12g05560.t1 pep chromosome:ASM357664v1:12:4078876:4079253:1 gene:itb12g05560 transcript:itb12g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHEVVKPDHARQAINLKHITEGQKGHSWVVIKKETSPPTLPNSNLSADTSRSKFVNDFQSTTPGNSPSIGHSAANKTNTEAKARTVNSRTFEGNLDDLKAREPGHSPGIGHSSQNKNTGRKE >itb02g02920.t1 pep chromosome:ASM357664v1:2:1687698:1692863:1 gene:itb02g02920 transcript:itb02g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKSSRSRNYGAGTPAKVGNRDTGTKLEEKLNVFKSDSFDADGYVQSKCHSLNEKEIRQLCSSLLDLKKASAEEMRRSVYANYTAFIRTSKEISDLEGELSSMKKLLSTQASLIHNLADGVHVDSLSDIDPDNVTHDTSNDETSEPSDLEKWSTEFPDYLDVLLAERRVDEALSSLDEGEQIASEAKEKRTLSPTVLSSLQTAITERRQKLADQLADIACQTSTRGAELRAAILALKKLGDGPRAHSLLLNAHYQKYQYNMQNMRPSSTTYGGAYTVALSQLVFSAISQAASDSLAIFGKEPAYTSELVMWASKQTEDFALLVKRHALSSSAAAGGLRAAAECVQIAFGHCALLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTGALAAADDWELTYPPTSMRQSSAYQHKLSSSAHRFYLMVQDFFEDVGPLLSMQLGGKALDGLFQVFNSYVNTLIKALPGSLEEENNFDGSTNKIVRMAETEAQQIALLANASLLADDLLPRASMKLSPFNYRDEPQRRTDRQSRHPEQREWKRRLVSTVDRLKDSFCQLHALDLIFTEEGDSLLTAEMYINMDSNAEDIEWFPSQIFQGLYIKLNRIAAIAADMFVGRERFATLLLMRLTETIILWLSQDQSFWDDIEEGPRPLGPAGVQQFYLDMTFVRCFASQGRYLSRNLNRIVNEITSKALSAFATTGMDPYSILPEDDWFTDICQDAMERLSGKPKAANGERDLNSPTASVSAQSISSVRSHGSN >itb04g00640.t1 pep chromosome:ASM357664v1:4:350016:351274:1 gene:itb04g00640 transcript:itb04g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKILFAFSLLLLSLVNISLGADIVIYWGQNGNEGTLRDTCATNNYNIVNLAFLTTFGNGQTPVLNLAGHCNPAANQCTGLSDEIRACQSQGIKVLLSLGGGVGSYSLSSADDAKNVAQYLWDNYLGGQSGSRPLGDAVLDGVDFDIETGGGQFYDELAKALKAFGSNVVLSAAPQCPFPDQSLQTAINTGRFDYVWVQFYNNPPCQYSGDAANLLNSWNNNWSSIPAGKVLLGLPASQQAAGSGFIPADVLTSQILPAIKGSPKYGGVMLWSKFYDNGYSSSIKSAV >itb04g26820.t1 pep chromosome:ASM357664v1:4:31000020:31001877:-1 gene:itb04g26820 transcript:itb04g26820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGRIMHAVQYDGYGGGTAALKHVEVPVPTPKKGELLVKMEAVSINPVDWKVQAGSLRPMFHSPQISSYSSFNLAIIFPFFLARIGAVVDIAGEVVEVGSGVQSFKDGGGLAEYAVTVARPLEVSAADAAALPIAHEALTRVVGVKLDGSGPRRNILVTAASGGVGHYAVQLAKLGNTHVTATSSGQKYDIVIHCASGIPWSTFDPNLSDNGKVIDLTPTPGTYLTVALKKLSFSKKQLVPLVLSAKAENLDYLVKLVKEGKLKTVIDSKHPLSKAEDSWSMSIEGHATGKIIVEP >itb04g30280.t1 pep chromosome:ASM357664v1:4:33417199:33419817:-1 gene:itb04g30280 transcript:itb04g30280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKDLKLQILRSFMRRLLLKIFMFWAALIAISFLQIATELRKPELFGLNPYFNFTLLPNPIYIPLFGMSVASRIENKNLTKNVFMEVIGNGFLDSNAKALCVGEGADAAVLALQELGFSDVFGINVHPSFSLLRKKFVYEIEFEDNCFDFVFSRALDRVSVPALLVLEMERVLSPGGTGAMLVGASNFYSAANLVRLATPVSSFLKSSDVVHVCNVGSLTLVLFKKRIEGAVSFEQFRLPDHCPALVNNKAIMCHIEPLANKKSARFRPKISYLPGFMNISSRNRLVYLNVGAGEFVETTVKTVLKPHYPISHLDVFVVDHNVSALTSYVKTPGVTFVYHPTLSGKEVSLPSDDEEFVGAPMDEEKGFDFAQWFGETVSEGDFVVLMMNAGEAELQILRDLFKTGLICRVDELFLRCSDTAGQGDCTSLLRSLRNSGVFAHRWWGD >itb02g14570.t1 pep chromosome:ASM357664v1:2:10617566:10619307:-1 gene:itb02g14570 transcript:itb02g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKDAHVVVEIPVDEEHQQKKQTALCSAIEHHPLMEISRSPGHLLLLKLWQREEELFGRRIAAKETRLDFIRREIFQLCCFFFTFHAFFFTVLFTSDSGDACRKWWIPSAVSACTSLFIAFLVQLKLCRFWKMSRQLQRERNDGRAVTRCIQELRMKGESFDLSKEPLQIGKRMKSSSVEIKWRPLTWCSQYAVTVGLVCFAGLLFPASRFIVCS >itb02g07400.t1 pep chromosome:ASM357664v1:2:4587549:4590054:-1 gene:itb02g07400 transcript:itb02g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQNNQPSTSMEAEMVDRISDLPIPILHHILSFLPTRDVVRTCVLSKHWSSTSSSFPILEFDIYDFRSYRWGSYNNPIKDEEFLRWVDARVERLCETTDTNILRLKVRVDLLDPRIVRAIERAMERNVEELDLAFLSLYGDYFKHKHILYSARSVVVYKLKGINLNLPDLLRGCPLLQELNLRDCFLLQETTIVNNDANNLKTLVFKKCICMHRDNLVIIRAPNLESFAYTGGSFCAIHIDFAQTSSLKSVNLSHISISEEWLENLIRGCPKLEFLKLYCNNRLERIEICHQRLKTLKLYGCSSNSPGSLIIKIDTPELLHFRYNGPAMPFYMFNYSASLKATLNLFRHVKDDATWFASLKKMLQCFSECETLQLAHNFYPQDLIFGMDIRDNSIPLVYGLKNLEIQFPFCRPRSHVPFIELIDAYLWLCPHLETLKITSEATEEVAAFKKELDTTAPTMVVIIINFIFLIIRT >itb12g05740.t1 pep chromosome:ASM357664v1:12:4278323:4279871:1 gene:itb12g05740 transcript:itb12g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLSFLLIFGLLCLFLWMFSGLFQNNKKVIVYAPPSYPIIGCLLSFYKNRHCLLDWYTQLLASSPTKTIVVGRLGAPRTVVTASPENVEYMLKHNFLNFPKGEPMNQILGDFLGRGIFNVDGDLWSAQRKLASHEFTARSLRELVMKTLEEEVDGRLSPLLESAAANSSVLDLQDVLRRFAFDTICKISLGSDPGCLCSDSKSPAESLVDAFDKASEISARRGMAPVFAVWKCKRALNVGSERKLREHIKVVHDHVEEIIRVRKQQKAKNPEVFAGNSDFLSRLLDAGHEYEVVRDMVISFLVAGRDTTSSALTWFFWLLSKHPNIENTLLKQLFSSEGMNFCHLREMNYIKACLCESMRLYPPVAWDSKHAANDDVLPDGTPIYRGDRVTFFPYAMGRMEDLWGNDWAEFKPDRWFDQEGKLKMISPFKFPVFQAGPRVCLGKEMAFIQMKFVVASILRRFEIRPVCTEQPVFVPLLTGYMAKGLYVRVQMREGYNNNNN >itb10g24490.t1 pep chromosome:ASM357664v1:10:28286194:28286561:-1 gene:itb10g24490 transcript:itb10g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGYNDAVSSAVDFNCRGSRRAVDSAFRRLCGARLLRGGRLRGPPPFAACVRHGGSEGNGGALLNSSHGRMLPCKYRLVVASSIGQRWMSGTV >itb10g00050.t1 pep chromosome:ASM357664v1:10:21861:25255:-1 gene:itb10g00050 transcript:itb10g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSGVVHEERNAMSGAFFMATLLMWALSVLYEIVFIQRTELLPILAGFCFYQSANWVIRSCLNRDPLFVNTSVSLLHSSITSVSVLLVIVNQWMRNSLSTMFAHSELVEHSWPWAYPALCISCGYFAYDQWDMLLHHLYKGWIPSILVHHLVLLVCFTLALYRNVTINYLILTLVCELHSIFLHTRKVRRMAGVRDASSRIVKAEWCANWTVFVLARFTSHILITVKLLKDSSKFNKGVELPLALFGMAGMNLLNIGLGIDLFEAYRRERKEQTDKHNHHE >itb14g05650.t2 pep chromosome:ASM357664v1:14:4918274:4922777:1 gene:itb14g05650 transcript:itb14g05650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPWGNIGDWAAEAEREEAEERERAAQHAANKPAAAAAVSAAGDSQNYPSLKEATSTKQKKKTKMSLQQFTMQSSYGSGHGYGSTSRLTTEEMLRLPTGPKERSAEEMQQGRLGGGFSNYGARSMGPPPGRIRDRDLDGEASWGADRRQYGGGFDNEQRKGPPSRAPDFDQPSRADEVDNWASAKKPLPSYNSSPTQAPARSKYGALGGGGGASRADGDDNWGASKKPVPIASPPARSSTFGSGFRDSRPEPERWSRDGVQRLVLESPKNEQGGDNVKVNKPNPFGAARPREEVLAEKGLDWKKMDMENEVKVGTSRPTSAQSSRPGSSQSGRSEGSALQGMVEEPVMAKPKVNPFGDAKPREVLLEEKGIDWRKIDLVSEHQCNDRPESEEEKKLKEEIESLKKEALQSSDEDQAHLHHLINQKEKDLNVMVHDLDKIHLSEKGMERPGSGSGRVSGFPERSPPQRGGQGSVGERRTDDFVERPKLHGTQDALSRPFDDRRAFQAGRTGEDRRAYQGGRPDDRRSFRGGRERGFFSGRDMERYYSLYLSLSHTHTHNIHSCTHQNTCFVREN >itb14g05650.t1 pep chromosome:ASM357664v1:14:4918274:4925038:1 gene:itb14g05650 transcript:itb14g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPWGNIGDWAAEAEREEAEERERAAQHAANKPAAAAAVSAAGDSQNYPSLKEATSTKQKKKTKMSLQQFTMQSSYGSGHGYGSTSRLTTEEMLRLPTGPKERSAEEMQQGRLGGGFSNYGARSMGPPPGRIRDRDLDGEASWGADRRQYGGGFDNEQRKGPPSRAPDFDQPSRADEVDNWASAKKPLPSYNSSPTQAPARSKYGALGGGGGASRADGDDNWGASKKPVPIASPPARSSTFGSGFRDSRPEPERWSRDGVQRLVLESPKNEQGGDNVKVNKPNPFGAARPREEVLAEKGLDWKKMDMENEVKVGTSRPTSAQSSRPGSSQSGRSEGSALQGMVEEPVMAKPKVNPFGDAKPREVLLEEKGIDWRKIDLVSEHQCNDRPESEEEKKLKEEIESLKKEALQSSDEDQAHLHHLINQKEKDLNVMVHDLDKIHLSEKGMERPGSGSGRVSGFPERSPPQRGGQGSVGERRTDDFVERPKLHGTQDALSRPFDDRRAFQAGRTGEDRRAYQGGRPDDRRSFRGGRERGFFSGRDMERSRSGNRW >itb12g18570.t1 pep chromosome:ASM357664v1:12:20868869:20869285:-1 gene:itb12g18570 transcript:itb12g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINCVQCPVWKNPTILASSSSTFFRCFQTRPWTTCREANQFKCNGESIRRKRRGRRAVDCQGFLLPVDPWAPNVDSQSIASQLFAFSLFPYLGFLYFITKSKTAPKLTLFGFYFLLAFVGATSNFLISFPLPRIELN >itb04g20550.t1 pep chromosome:ASM357664v1:4:25366921:25370177:1 gene:itb04g20550 transcript:itb04g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSSSSAGHRRPSSTEAASLATATIPELPIPPPGPNIDDDITEDELQECGSITCAKLMRDDKGMSKGFGFVCFSTLKAVATLHGFMFHRKPLYVSFAQRKEEREAQLQLQFLWHLQRLLLWPFQMDLVLKDQKIKLSSLLVGASPEQQKQILGERLYPLVSECKSIEAVGLRDHLSALAESLSKARIMIYPPMPKTAKLGEDILKRMVVLFYKATIEVPWHVYLKSDGYANYSLDTLQTAKAIPWMMGQDVQLVMLGTGRSDLEQMLKQIEGQYSDKVRGWVGFSVKTAHRITADADILLMPSRFEPCGLNLVL >itb02g12250.t1 pep chromosome:ASM357664v1:2:8337238:8338569:-1 gene:itb02g12250 transcript:itb02g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTSFDFFAIQSIPNDECWSDFQMVRNGDHKCSNNSANTATPAVEDKAIVEYEGGSNVKKSVSFKMESPKKVSAVQQEMPQPDQYVPPVNKNVPPNWNRAVSAVKVDNFAVAKVPNFYPVGYTPPDGGNQLFSSMMTFFMGVFTMVRMSRNMPATGTRNYTDAGFCSGNGMMNGPGYQLPETTVSVTEFMNMMKRVGSLEEKLITLSKEPPTMPPEKEEQLNNALSSVDALEQELEATKKALEETRSHQTEIEAYIEKMKKKKKFFGF >itb02g05100.t1 pep chromosome:ASM357664v1:2:3070210:3073072:1 gene:itb02g05100 transcript:itb02g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDSLVVGRVIGDVLEPFTRSISIRVAYGGRDITNGCDYRPSHVVNQPRVEVGGHDLRTFYTLVMVDPDAPSPSNPSLREYLHWLVTDIPATTGVDFGHEIVCYESPQPSMGIHRFVFVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGSPVAAVYFNCQRETGTGGRRR >itb03g13520.t1 pep chromosome:ASM357664v1:3:13618505:13622485:-1 gene:itb03g13520 transcript:itb03g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHRLVLLFALFSSIFAVLNATDGDADPIYKVCVQQCEKSGCVGVKCFQHCNFSSGGNPIDGPWYLQEPLYLKWKQWDCLSDCRYHCMLAREEERQKLGLKPVKYHGKWPFQRVYGIQEPVSVALSALNLAIQFHGWVSFFILINYKLPFRPNRKPYYEYTGLWHIYAILSMNSWFWSAVFHSRDVDLTERLDHSSAVALLGFSLILAILRTFNVRDEAARVMVASPIIAFVTTHILYLNLYQFDYGLNRKVCFAMVMAKLAIWGVWAGVSRHPSRWKLWVVVIGGVIATLVEVFDFAPYWGYVDAHAVWHATCIPLTYLFWSFVRDDSEFRTTSLSKKAK >itb08g01640.t1 pep chromosome:ASM357664v1:8:1303552:1312395:1 gene:itb08g01640 transcript:itb08g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVELPGRLAILPFRNKVLLPGAIIRIRCTSPRSVKLVEQELWQREEKGLIGVLPVRDSAETTTVAPTLSSGVATDSADRTPKNQAGTSESHKHDGKNQQEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGTYYTARITSLDMTKPEMEQVEQDQEFIALSRQFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKIRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDEEDDLTALERKMQDAGMPANIWKHAHRELRRLKKMQPQQPGYNSSRVYLELIADLPWQKASEELQLDLKAAKERLDSDHYGLVKVKQRIIEYLAVRKLKADARGPVLCFVGPPGVGKTSLASSVAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLSVPFDLSKVIFVATANRKQPIPPPLLDRMEVIELPGYTPEEKLKIAMRHLIPRVLDQHGLNADFLQIPECMVKLVIERYTREAGVRDLERNLAALARAAAVRVAEQHPLPLSKDVQRLPSPLLDSKLAEGAEVEMEVIPMGVNNHDISNAFSISSPLIVDEPMLEKVLGPPRFNDQETAERVVTPGIAVGLVWTAFGGEVQFVEATDMVGKGDLHLTGQLGDVIKESAQIALTWVRARATELKLSTEENNLLEGRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSQRKVRSDTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGIKRVILPERNLKDLVEVPATVISSLEIIVAKRMEDVLEHAFEGGCPWRQHSKL >itb08g01640.t2 pep chromosome:ASM357664v1:8:1303789:1312405:1 gene:itb08g01640 transcript:itb08g01640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVELPGRLAILPFRNKVLLPGAIIRIRCTSPRSVKLVEQELWQREEKGLIGVLPVRDSAETTTVAPTLSSGVATDSADRTPKNQAGTSESHKHDGKNQQEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSTRGTYYTARITSLDMTKPEMEQVEQDQEFIALSRQFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKIRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDEEDDLTALERKMQDAGMPANIWKHAHRELRRLKKMQPQQPGYNSSRVYLELIADLPWQKASEELQLDLKAAKERLDSDHYGLVKVKQRIIEYLAVRKLKADARGPVLCFVGPPGVGKTSLASSVAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLSVPFDLSKVIFVATANRKQPIPPPLLDRMEVIELPGYTPEEKLKIAMRHLIPRVLDQHGLNADFLQIPECMVKLVIERYTREAGVRDLERNLAALARAAAVRVAEQHPLPLSKDVQRLPSPLLDSKLAEGAEVEMEVIPMGVNNHDISNAFSISSPLIVDEPMLEKVLGPPRFNDQETAERVVTPGIAVGLVWTAFGGEVQFVEATDMVGKGDLHLTGQLGDVIKESAQIALTWVRARATELKLSTEENNLLEGRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSQRKVRSDTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGIKRVILPERNLKDLVEVPATVISSLEIIVAKRMEDVLEHAFEGGCPWRQHSKL >itb07g00070.t3 pep chromosome:ASM357664v1:7:68109:71408:1 gene:itb07g00070 transcript:itb07g00070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 3 [Source:Projected from Arabidopsis thaliana (AT1G20270) UniProtKB/Swiss-Prot;Acc:Q9LN20] MAKPRGSRHQGRRTSTVSLVLSMLLMLTLVLLMLLALGILNLPIGSDDEPSSIRSRIRFKRMPLDVMEDDGFGKRGEQWTEILSWEPRAFVYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGKSKDSRVRTSSGMFLRRGHDKVIKNIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRLATLLMYLYVSCILYCFIRCTYKHLLK >itb07g00070.t1 pep chromosome:ASM357664v1:7:68109:73863:1 gene:itb07g00070 transcript:itb07g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 3 [Source:Projected from Arabidopsis thaliana (AT1G20270) UniProtKB/Swiss-Prot;Acc:Q9LN20] MAKPRGSRHQGRRTSTVSLVLSMLLMLTLVLLMLLALGILNLPIGSDDEPSSIRSRIRFKRMPLDVMEDDGFGKRGEQWTEILSWEPRAFVYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGKSKDSRVRTSSGMFLRRGHDKVIKNIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRLATLLMYLSDVEEGGETVFPSAKGNFSSRPGWNDMSECAKRGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHVGEYKL >itb07g00070.t2 pep chromosome:ASM357664v1:7:68048:71494:1 gene:itb07g00070 transcript:itb07g00070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 3 [Source:Projected from Arabidopsis thaliana (AT1G20270) UniProtKB/Swiss-Prot;Acc:Q9LN20] MAKPRGSRHQGRRTSTVSLVLSMLLMLTLVLLMLLALGILNLPIGSDDEPSSIRSRIRFKRMPLDVMEDDGFGKRGEQWTEILSWEPRAFVYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGKSKDSRVRTSSGMFLRRGHDKVIKNIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRLATLLMYLSDVEEGGETVFPSAKGNFSSRPGWNDMSECAKRGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIRGNKWSSTKWMHVGEYKL >itb02g16870.t1 pep chromosome:ASM357664v1:2:12866876:12871992:-1 gene:itb02g16870 transcript:itb02g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERNVSSKNVCVVGGGPSGLVAARELRKEGHKVVVFEQNHDVGGQWLYDAQVEGEDPLGRTPDFLKVHSSIYESLRLISPREIMGFSDFPFITKKGRDQRRFPGHEELLSYLRDFAEFFGLREMIRFNTRVEYVGIMVNDDEEEVAIGSNMRWIVKTKEKNKGSGNENKVVEHVFDAVVVASGHYSHPRLPQIKGMNAWRRKQMHSHIYRVPEPFRNEVVVVVGNSLSGQDISMELVNVAKEVHLSCKHPDNISQGLSKVISKHANLHIQPQIESLQEDGRVLFVDGSSIIADTILYCTGYSYSFPFLDTKGMVVVDDDRVGPLYEHTFPPSLAPSLSFIGIPRKLIGFPFFESQAIWIAQLLSGKRALPSWDDMMQSIKEFYQSRDLAGIHKHNTHDLADFDYCDKYADYIGFPHLEEWRKELCLSALTNADVNLETYRDAYDDHDQQMLQVAYQSPHFTQLQSQAKMDAHKLLHECTQTIPE >itb11g05270.t1 pep chromosome:ASM357664v1:11:3103447:3108618:1 gene:itb11g05270 transcript:itb11g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSEKSVPEAKVWSLCKLPSFWSSTNNASPSASSSSSSFRNHPDNGLPGDHQSSRHNPSAKLPSMNIKSFLPTRRRLSLDPSNKLYFSYEPGKQVKSAIRIKNVTKAHVAFKFQTTAPKSCYMRPPGGILAPDESLIATVFKFVEPPENNEKLVGKKSRVKFKIMSLKVKGDMDYVPELFDEQKDEVAVEQILRVVFLDVERPCAALEKLNRQLAEAEAELEARKKPPEDNGPKIVGEGLVIDEWKERRERYLARQHVDGVDSM >itb04g30130.t1 pep chromosome:ASM357664v1:4:33320252:33326805:-1 gene:itb04g30130 transcript:itb04g30130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKKQPVVKVAEMGCCGCFGFSFLFSRKPKNGSRSSRGLGLSTHPSQEPLLDEDVEEDVEEDDVGSYNGDDGSDTGNGDDGTDTGNGDDGEYRSPVRRSEDILISRAQNGFSCREFPVKETHRLVRSEDENGSKMVNEYVHKYKIGSGSYGKVVLYQSSLDGKYYAIKAFHKSHLLKQRVAPSETAMSDVLREVLIMKMLSHPNIVNLFEVIDDPRTDHFYMVLEYVEGKWIFEGSGSPGGGLGEVTARRYLRDIVSGLMYLHSHNIIHGDIKPDNLLVSATGTVKIADFSVSQAFENDDDELRRSPGTPVFTAPECCLGLTYHGKAADTWAVGVTLYCMILGKYPFLGDTLQDTYDKIVNDPLCLPDDLNPTLKNLLDGILCKDPRQRMSLRDVSEHEWVIGDHGPVPQHDCWCQRMKLQTDASIGNSADTLT >itb01g28850.t4 pep chromosome:ASM357664v1:1:33178351:33183306:-1 gene:itb01g28850 transcript:itb01g28850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDELSVSSSALEADSQESVVIGALNSTVAPSSSPPLDLPVESSTSVSPPSDQLPPSLSRNLSLSKLNAGAPAFVPRSATSPSLTSAASGSLAPSPAPPALSPSSSSSTLPGLVIPQHNQTLLHVYTGPGGGAFHQIPAHVPVQSHYLYAPQMPAQYMVGGFLDQAGQEVAAAGSSAAIHAVPPQDLDKGLKNGRLSEEASQKILNQVEYYFSDLNLATTDHLMRVMSKDPEGYVPISVVASFKKIKALISSHAHLAKILRNSTKLVVSEDGKKVKRQNPLSETDMEELQSRIIVAENLPEDHCHQNLMKIFSTVGSVKMIRTCQPQTSNGVSSSGTRTAKSDSMLLSNKVYHTVVSCHTRLDIYHNSVSSTVLTESYSNSLHAFVEYETVELAEKAVAELNDAGDWRNGLKVRILVRRTAKLAHTRGKKFGHDSESNFKEEDAFAPEQQLSLQHTDSQSNGLAGVEESDKDGPRRTRNRGRGRGRPTPNNNRGSLGTSTSNGNRGNHNAPPPNFSVNADQATTAKQPSVPRMPDGTKGFSMGRGKPVAVKTA >itb01g28850.t1 pep chromosome:ASM357664v1:1:33178281:33183306:-1 gene:itb01g28850 transcript:itb01g28850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDELSVSSSALEADSQESVVIGALNSTVAPSSSPPLDLPVESSTSVSPPSDQLPPSLSRNLSLSKLNAGAPAFVPRSATSPSLTSAASGSLAPSPAPPALSPSSSSSTLPGLVIPQHNQTLLHVYTGPGGGAFHQIPAHVPVQSHYLYAPQMPAQYMVGGFLDQAGQEVAAAGSSAAIHAVPPQDLDKGLKNGRLSEEASQKILNQVEYYFSDLNLATTDHLMRVMSKDPEGYVPISVVASFKKIKALISSHAHLAKILRNSTKLVVSEDGKKVKRQNPLSETDMEELQSRIIVAENLPEDHCHQNLMKIFSTVGSVKMIRTCQPQTSNGVSSSGTRTAKSDSMLLSNKLHAFVEYETVELAEKAVAELNDAGDWRNGLKVRILVRRTAKLAHTRGKKFGHDSESNFKEEDAFAPEQQLSLQHTDSQSNGLAGVEESDKDGPRRTRNRGRGRGRPTPNNNRGSLGTSTSNGNRGNHNAPPPNFSVNADQATTAKQPSVPRMPDGTKGFSMGRGKPVAVKTA >itb01g28850.t3 pep chromosome:ASM357664v1:1:33178351:33183306:-1 gene:itb01g28850 transcript:itb01g28850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDELSVSSSALEADSQESVVIGALNSTVAPSSSPPLDLPVESSTSVSPPSDQLPPSLSRNLSLSKLNAGAPAFVPRSATSPSLTSAASGSLAPSPAPPALSPSSSSSTLPGLVIPQHNQTLLHVYTGPGGGAFHQIPAHVPVQSHYLYAPQMPAQYMVGGFLDQAGQEVAAAGSSAAIHAVPPQDLDKGLKNGRLSEEASQKILNQVEYYFSDLNLATTDHLMRVMSKDPEGYVPISVVASFKKIKALISSHAHLAKILRNSTKLVVSEDGKKVKRQNPLSETDMEELQSRIIVAENLPEDHCHQNLMKIFSTVGSVKMIRTCQPQTSNGVSSSGTRTAKSDSMLLSNKVYHTVVSCHTRLDIYHNSVSSTVLTESYSNSLHAFVEYETVELAEKAVAELNDAGDWRNGLKVRILAKLAHTRGKKFGHDSESNFKEEDAFAPEQQLSLQHTDSQSNGLAGVEESDKDGPRRTRNRGRGRGRPTPNNNRGSLGTSTSNGNRGNHNAPPPNFSVNADQATTAKQPSVPRMPDGTKGFSMGRGKPVAVKTA >itb01g28850.t2 pep chromosome:ASM357664v1:1:33178351:33183303:-1 gene:itb01g28850 transcript:itb01g28850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDELSVSSSALEADSQESVVIGALNSTVAPSSSPPLDLPVESSTSVSPPSDQLPPSLSRNLSLSKLNAGAPAFVPRSATSPSLTSAASGSLAPSPAPPALSPSSSSSTLPGLVIPQHNQTLLHVYTGPGGGAFHQIPAHVPVQSHYLYAPQMPAQYMVGGFLDQAGQEVAAAGSSAAIHAVPPQDLDKGLKNGRLSEEASQKILNQVEYYFSDLNLATTDHLMRVMSKDPEGYVPISVVASFKKIKALISSHAHLAKILRNSTKLVVSEDGKKVKRQNPLSETDMEELQSRIIVAENLPEDHCHQNLMKIFSTVGSVKMIRTCQPQTSNGVSSSGTRTAKSDSMLLSNKLHAFVEYETVELAEKAVAELNDAGDWRNGLKVRILAKLAHTRGKKFGHDSESNFKEEDAFAPEQQLSLQHTDSQSNGLAGVEESDKDGPRRTRNRGRGRGRPTPNNNRGSLGTSTSNGNRGNHNAPPPNFSVNADQATTAKQPSVPRMPDGTKGFSMGRGKPVAVKTA >itb06g20440.t1 pep chromosome:ASM357664v1:6:23413724:23417262:1 gene:itb06g20440 transcript:itb06g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFSSLSDQRDDLPMPLLPNEKHSSYQSSGLPDNLMYLNHPPYPELSSGSSLPAHNYGEAQSIRTRDEMLFIPPTSDPASMQPIGRVPNIGSSFSDVNSTTVEPHTYSSKPFDAQSVEQSLQYQGLSLSLAMQVPGSVQVPGYHDQYTNSGFSPLMSAHVMHSDQGSHDNNENKSGEYLSFDLAGAQNNVKIGGLGNLDRSMSLREMNFNPQIHDVPAVAGSIYNSKYLKATQELLDEVVNVHTALKQSDKPHNLHSFGQDEEADMKSSCSGTGMSSDGHKSTNTSSGELSAAERHDLESKMTKLFSMLDEVDRRYKQYYQQMQAVVSSFEMVTGLGAARPYTALALRTISCQFRCLRDAIKKQIHVTRQSLGEQGNSQGERLYRLRYVDQQLRQQRSLQQFGMMRQPWRPQRGLPENAVSVLRAWLFEHFLHPYPKDSEKIMLARQTGLTRSQVANWFINARVRLWKPMIEDMYKEEFGDPEGGLAASPEHLTGAAAKEKSVSDDQGEEELHESLTSIAQSNDLRANVVPNEDRNMSSARLGFQDASHENEQHTTLSNQTGNEGIIAGTSAYGVSVFRGIMGNQVSLALGLQHNQNDPQPPSGTQLIGDDDKPASSMDIGKADYYYIDPANQQERFASSHLLPDFVV >itb06g20440.t2 pep chromosome:ASM357664v1:6:23414383:23417262:1 gene:itb06g20440 transcript:itb06g20440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFSSLSDQRDDLPMPLLPNEKHSSYQSSGLPDNLMYLNHPPYPELSSGSSLPAHNYGEAQSIRTRDEMLFIPPTSDPASMQPIGRVPNIGSSFSDVNSTTVEPHTYSSKPFDAQSVEQSLQYQGLSLSLAMQVPGSVQVPGYHDQYTNSGFSPLMSAHVMHSDQGSHDNNENKSGEYLSFDLAGAQNNVKIGGLGNLDRSMSLREMNFNPQIHDVPAVAGSIYNSKYLKATQELLDEVVNVHTALKQSDKPHNLHSFGQDEEADMKSSCSGTGMSSDGHKSTNTSSGELSAAERHDLESKMTKLFSMLDEVDRRYKQYYQQMQAVVSSFEMVTGLGAARPYTALALRTISCQFRCLRDAIKKQIHVTRQSLGEQGNSQGERLYRLRYVDQQLRQQRSLQQFGMMRQPWRPQRGLPENAVSVLRAWLFEHFLHPYPKDSEKIMLARQTGLTRSQVANWFINARVRLWKPMIEDMYKEEFGDPEGGLAASPEHLTGAAAKEKSVSDDQGEEELHESLTSIAQSNDLRANVVPNEDRNMSSARLGFQDASHENEQHTTLSNQTGNEGIIAGTSAYGVSVFRGIMGNQVSLALGLQHNQNDPQPPSGTQLIGDDDKPASSMDIGKADYYYIDPANQQERFASSHLLPDFVV >itb02g17810.t1 pep chromosome:ASM357664v1:2:14037236:14038683:-1 gene:itb02g17810 transcript:itb02g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVHPIGGGGARMFAGGGDRRLRPHHHQTAELKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGSRKTKRSKQKSAESSQRPPESNSHSTSESSSITAAAAAAAAAAAAVGAAEVVAESANDQPLMDHSTDGQIFQELGNFTTLMTSSDGPSSLVEFTNAAAAAADTFRLPHSPKAQWGPQPKMDGEDGKMQDITAAGFLDDATQSRRSNGGLSPLDWQIGGGQGHGLFDLTGAVDQSYWNDDHTLNYLPL >itb10g13500.t1 pep chromosome:ASM357664v1:10:19727398:19735395:1 gene:itb10g13500 transcript:itb10g13500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKEHLKRLSGIDLQICSAQVTESTDFAELTNKEPWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHAEEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTVFLPTEKPMTLEVCAPLIATLPLEVRGIIGNFILGVFSVFQDLDFSFLEMNPFTLVNGEPFPLDMRGELDDTAAFKNFQKWGNIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALIIGGGIANFTDVAATFNGIIQALREKESKLKAARMNIYVRRGGPNYQTGLAKMRALGEELGLPLEVYGPEATMTGICKRAIDCVMS >itb10g13500.t3 pep chromosome:ASM357664v1:10:19727398:19735395:1 gene:itb10g13500 transcript:itb10g13500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKEHLKRLSGIDLQICSAQVTESTDFAELTNKEPWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHAEEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTVFLPTEKPMTLEVCAPLIATLPLEVRGIIGNFILGVFSVFQDLDFSFLEMNPFTLVNGEPFPLDMRGELDDTAAFKNFQKWGNIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALIIGGGIANFTDVAATFNGIIQALREKESKLKAARMNIYVRRGGPNYQTGLAKMRALGEELGLPLEVYGPEATMTGICKRAIDCVMS >itb10g13500.t2 pep chromosome:ASM357664v1:10:19727442:19735371:1 gene:itb10g13500 transcript:itb10g13500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKEHLKRLSGIDLQICSAQVTESTDFAELTNKEPWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHAEEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTVFLPTEKPMTLEVCAPLIATLPLEVRGIIGNFILGVFSVFQDLDFSFLEMNPFTLVNGEPFPLDMRGELDDTAAFKNFQKWGNIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALIIGGGIANFTDVAATFNGIIQALREKESKLKAARMNIYVRRGGPNYQTGLAKMRALGEELGLPLEVYGPEATMTGICKRAIDCVMS >itb12g00370.t1 pep chromosome:ASM357664v1:12:333033:335234:-1 gene:itb12g00370 transcript:itb12g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKYAGALPRSLHLSASSLPLPLFQTGASPPFPTALLLRPLLINSYIYHFNCDNLSNCCCSHSCRLGIWPVAYDFKLSSPTLFARSISEKYYGHAHCLSLL >itb09g20320.t1 pep chromosome:ASM357664v1:9:17115487:17119702:-1 gene:itb09g20320 transcript:itb09g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDELVMIGTNSDAFIQDYYAIREEDIVQARRMDRRRQHQNMMGGQERGGRGGHGVEDEDEGEEAQEESNEEAIERASISGSQYDNVGSSYHHNTDISQSSGSQYDNVGSSGVFTQFASSGSQFAFNQYATSSNDIYHHSQSPMVTGNGGARGKSGSQRRILDGEDTDDSSSSSDSDSEKRPPGRLRLRRRLSRLRFGVFLAVKNLFTRFHVYEAAAADVLLWRNKKISAGLLSAATAIWVLFELLEYHLPTLVCHILIAALAVLFLWSNATTFIHKSSPHIPEVHILEDPFLQTHPRSSHS >itb15g03110.t1 pep chromosome:ASM357664v1:15:1959590:1973865:-1 gene:itb15g03110 transcript:itb15g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGSKTKPDHETRARRQKALEAPKEPPRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVAIRASKGVLDQASRGEKRVKEEEQRLRKVALNISKDVKKFWLKIEKLVLYKHQLELDEKKKKTLDKQLEFLLGQTERYSTMLAENLVSSPTQCQQLNVSSCQERLRIQHEEGTQDDVKRNAEEKVESQSVAPDKDDDYDLMSEDGSEDDEHTIEEDEALITREEREEELEALQKEMDLPIEELLKRYHKEQASRGSSPDENGDVPEATVPREDNAKDSDFAITPEIKRVISSASPGRGCVESNGVLSVSDNHLPELEPDKHSKPPKKLQESDKVHSLDEINDEQFLQDGDDDEDFVATFEETECYMDDETTLLEEEELAKADPNDATNEIALLKTDCEIPIAELLARYKKDYENDENMGGDSESSFSSASEEFSDSPTHKESELKNESRDVQPIACPEMEDKEADAVVNSGEEKESENRLADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKIKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGIVEGQEKVNKEVVDRLHNVLRPFLLRRLKRDVEKQLPSKHEHVIYCRLSKRQRNLYEDFIASAETQATLASTNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMNGVDVCLSSSICSMFTHGPFSAVDLIALGFLFTHLDYSMASWESDDIQAIATPPGLFEGLGNLETGSGLKNQKKLHGSSIFEEIQRELMADRLKEKKERAATLAWWNSLKCKRKPIYSTGLREVVTVKHPVRDIHSQKINPFSYCSSLANIVLPPVARFQQMVDQVESFMFTIPAARAPPPVCWCSKSGASVFFHPTFKERCTEVLSPLLTPFRPAIVRRQLYFPDRRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDVLETFINLYGYTYMRLDGSTLPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPIELFSGHRTLSSKNAQSEKSSDGTEVPLSNDDVEAALKNAEDEADYMALKKVEQEEAVDNQEFTEEAIGRMEDDEFGIEEEIKADDTDNVGLTISNKEKAAVSNGSDPTEDRAITLAGKDEDVDVLDDVKHMAEAAAAAGQAILSFDSQLRPIDRYAVRFLELWDPIIDKTAVELQDQFEEREWELDHIEKLKEDMEAEMDDDEEPLVYERWDADFATKAYRQQVEALTQIQLMEEMESKAREKELAEYENSIGNDVAAPSKPKSKKKTKKTKFKSLKKGGLGSETKSMKEESPIDLMSTDDEIICQEDVTTPDFVSSHSAQFRKRKQAPDDEESKQMKKSKKFKKASEVSPLALDLSLPGMQQDESIDLKHRERSTVDLELKPLHKSKMGGRVSIAMMPVKRIFTLKPEKLKKKGNLSSKDYFPSADQWLPQEDAILCAAVYEYGPHWRLVSDILYGITGGGLYRGRFRHPVHCSERFRELIQRYVFSASDVINSERANNISSGKGLLKVTEENIQTLLDVALELPDREPLIQKHFFALLSSVWRSRKNDSCRRSSSQSGFNPLLLTSTANHFSQNSVRPPQGKLAFTNLSQCNKLVGAALSENSGAQTDNSVSISKQREEAPVPAEELDITLELQAAKDDNDISFPPLVHLKILDPDSSPSLKTRTPEHIHLKSSPYVAESRFRETSNTCFEACLDWPSITLPPGEPRCKTPAKPQSQGKQKLAPDSSKASKSRSRKVSVEHSDLCPPTEQIFQPASFSNDPIAAMDTSSSLEEACLHHDFETSSLFNTNGEFKVDLTDFVPHSYIPGLLSGLDDCSTSSPTFPEFTDIG >itb15g03110.t2 pep chromosome:ASM357664v1:15:1960192:1973420:-1 gene:itb15g03110 transcript:itb15g03110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGSKTKPDHETRARRQKALEAPKEPPRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVAIRASKGVLDQASRGEKRVKEEEQRLRKVALNISKDVKKFWLKIEKLVTTLQDNPSDVKFSRSSCAFERKYSLTLFFQVLYKHQLELDEKKKKTLDKQLEFLLGQTERYSTMLAENLVSSPTQCQQLNVSSCQERLRIQHEEGTQDDVKRNAEEKVESQSVAPDKDDDYDLMSEDGSEDDEHTIEEDEALITREEREEELEALQKEMDLPIEELLKRYHKEQASRGSSPDENGDVPEATVPREDNAKDSDFAITPEIKRVISSASPGRGCVESNGVLSVSDNHLPELEPDKHSKPPKKLQESDKVHSLDEINDEQDGDDDEDFVATFEETECYMDDETTLLEEEELAKADPNDATNEIALLKTDCEIPIAELLARYKKDYENDENMGGDSESSFSSASEEFSDSPTHKESELKNESRDVQPIACPEMEDKEADAVVNSGEEKESENRLADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKIKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGIVEGQEKVNKEVVDRLHNVLRPFLLRRLKRDVEKQLPSKHEHVIYCRLSKRQRNLYEDFIASAETQATLASTNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMNGVDVCLSSSICSMFTHGPFSAVDLIALGFLFTHLDYSMASWESDDIQAIATPPGLFEGLGNLETGSGLKNQKKLHGSSIFEEIQRELMADRLKEKKERAATLAWWNSLKCKRKPIYSTGLREVVTVKHPVRDIHSQKINPFSYCSSLANIVLPPVARFQQMVDQVESFMFTIPAARAPPPVCWCSKSGASVFFHPTFKERCTEVLSPLLTPFRPAIVRRQLYFPDRRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDVLETFINLYGYTYMRLDGSTLPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPIELFSGHRTLSSKNAQSEKSSDGTEVPLSNDDVEAALKNAEDEADYMALKKVEQEEAVDNQEFTEEAIGRMEDDEFGIEEEIKADDTDNVGLTISNKEKAAVSNGSDPTEDRAITLAGKDEDVDVLDDVKHMAEAAAAAGQAILSFDSQLRPIDRYAVRFLELWDPIIDKTAVELQDQFEEREWELDHIEKLKEDMEAEMDDDEEPLVYERWDADFATKAYRQQVEALTQIQLMEEMESKAREKELAEYENSIGNDVAAPSKPKSKKKTKKTKFKSLKKGGLGSETKSMKEESPIDLMSTDDEIICQEDVTTPDFVSSHSAQFRKRKQAPDDEESKQMKKSKKFKKASEVSPLALDLSLPGMQQDESIDLKHRERSTVDLELKPLHKSKMGGRVSIAMMPVKRIFTLKPEKLKKKGNLSSKDYFPSADQWLPQEDAILCAAVYEYGPHWRLVSDILYGITGGGLYRGRFRHPVHCSERFRELIQRYVFSASDVINSERANNISSGKGLLKVTEENIQTLLDVALELPDREPLIQKHFFALLSSVWRSRKNDSCRRSSSQSGFNPLLLTSTANHFSQNSVRPPQGKLAFTNLSQCNKLVGAALSENSGAQTDNSVSISKQREEAPVPAEELDITLELQAAKDDNDISFPPLVHLKILDPDSSPSLKTRTPEHIHLKSSPYVAESRFRETSNTCFEACLDWPSITLPPGEPRCKTPAKPQSQGKQKLAPDSSKASKSRSRKVSVEHSDLCPPTEQIFQPASFSNDPIAAMDTSSSLEEACLHHDFETSSLFNTNGEFKVDLTDFVPHSYIPGLLSGLDDCSTSSPTFPEFTDIG >itb01g20110.t3 pep chromosome:ASM357664v1:1:26402649:26404419:1 gene:itb01g20110 transcript:itb01g20110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPTPTDLGLLQGAMQLLKASPPTWQSAVLSNIIIFVLGMPIIVPGLSLSGIGAAFLLGVLTWRAFGPSGFLLVATYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVFAFLTINGVGGDAFSRLWDLGFVASFCTKLSDTVASEIGKAYGKTTYLITTFKVVPRGTEGAMSVEGTFAGLLASILLASVGCIMGQVWLVMGLDETLKTLFNDFGHSN >itb01g20110.t1 pep chromosome:ASM357664v1:1:26402649:26405469:1 gene:itb01g20110 transcript:itb01g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPTPTDLGLLQGAMQLLKASPPTWQSAVLSNIIIFVLGMPIIVPGLSLSGIGAAFLLGVLTWRAFGPSGFLLVATYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVFAFLTINGVGGDAFSRLWDLGFVASFCTKLSDTVASEIGKAYGKTTYLITTFKVVPRGTEGAMSVEGTFAGLLASILLASVGCIMGQINRPEAAICVVASQIANLGESLIGATVQEKEGFRWLNNDAVNIINISMGSILAVLLQQVMLQSWLND >itb01g20110.t2 pep chromosome:ASM357664v1:1:26402649:26404778:1 gene:itb01g20110 transcript:itb01g20110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPTPTDLGLLQGAMQLLKASPPTWQSAVLSNIIIFVLGMPIIVPGLSLSGIGAAFLLGVLTWRAFGPSGFLLVATYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVFAFLTINGVGGDAFSRLWDLGFVASFCTKLSDTVASEIGKAYGKTTYLITTFKVVPRGTEGAMSVEGTFAGLLASILLASVGCIMGQINRPEAAICVVASQIANLGESLIGATVQEKEGFRWVSHQFVGIATYLPPFSCKYVEVHI >itb14g06850.t1 pep chromosome:ASM357664v1:14:6231895:6233442:-1 gene:itb14g06850 transcript:itb14g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMKVTTISEVEGWVTIKGAHFELNGSPFLFNGFNSYWLMHVASEPNERHKVTEVLRDASAGLSVCRTWAFSDGNRYNGLQISPGGLDFVLSEARKYGVRLILSFVNNWNDFGGKAQYVQWARNAGVSVNNDDDFFTQSLPKDYYKNHIKKIITRNNTITGIAYKDDPTVMAWELMNEPRCQSDYSGNTLNAWVQEIASFVKSIDSKHLLEIGMEGFYGDSVPDRKQFNPGYQVGTDFISNHLIPEIDFATIHVYANQW >itb01g01990.t1 pep chromosome:ASM357664v1:1:1210967:1211620:1 gene:itb01g01990 transcript:itb01g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALFFLLSLYLLPNPAHSKFNPIRLRPAHETASSETPVLDINGDEVRAGENYYMVSAIWGAGGGGLRLVRLDSSSNECASDVIVSRSDFDNGDPITITPAADPEATVVMPSTYQTFRFNIATNKLCVNNVNWGIKHDSESGQYFVKAGEFVSDNSNQFKIEVVNDNLNAYKISYCQFGTEKCFNVGRYYDPLTRATRLALSNTPFVFVIKPTDM >itb13g17240.t1 pep chromosome:ASM357664v1:13:24242763:24243668:-1 gene:itb13g17240 transcript:itb13g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSEHQFSLFEPIRTPTGYTWLQRNTSLMSQPTEKRGRRRQAAAEPGRFLGVRRRPWGRYAAEIRDPLTKERHWLGTFDTAQEAALAYDRAALSMKGTQTRTNFIYSHDFTTTTKTLLFPNNSPLPLQNPNFQDSPVDKQPHQNSPSLNDGENPFFFSDKEENSGYLDCVVPHSCLNPPSRETNGDKNVFIPTEKEKGNDSGQTAAAALRGNPSCYDGIIGERLWWELEGEEPWELEAMIAATNKRTIVEVGNACMGSSSSPSSSFDGMGGGDSSSSPRFSFLLPDNVADFGYSNLF >itb10g26330.t1 pep chromosome:ASM357664v1:10:29675934:29677067:1 gene:itb10g26330 transcript:itb10g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVQDEGDFTNVEGGGRGVPTRDESESSRLAEGTGSVAGDHDGEVRDLAVRLKALETYIQTLQEAARDDIEHLREDNASLKVEIGLMKLAVASGRAAELRRQAVRDLPTAMAVADGLADYHGPNAQGKNGGKTHGESGNGKSVSKDKYMPMETNAVAEVSESRASTQVVCWTCGGNHFKRNCPKKQKLNAVKEDEPKDDGSPIGYCGPLRMV >itb07g05800.t1 pep chromosome:ASM357664v1:7:4004172:4007584:1 gene:itb07g05800 transcript:itb07g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAVSAEAIMAVLSVLTCTFLFITTVQSDASDHRYKAGDPVPLYANKVGPFHNPSETYRYFDLPFCTPDHVKEKKEDLGEVLNGDRLVSGPYALDFLINKQSEVLCRRRMTKKEVAIFRRAVDKDYYFQMYYDDLPIWGLLGRVEREGLDDPKDYKYYLYKHTHFDIHYNKDRVIEINARTDPHAVIDLTEAKELDAEFTYTVKWKETDIPFENRMDKFFQTSSLPHHLEIHWFSIINSCVTVLLLTAFLATILLRVLKNDFIKYARDEEAVDDQEETGWKYIHGDVFRFPKHKSLFSAALGCGTQLFTLAIFIFMLALVGVFYPYNRGSLFTALLVIYALTSGIAGYTATSFYFMLEGTNWVRNLLLTGGLFCGPLVITFCFLNSVAIAYSSTAALPFGTIMVIVLIWTLVTSPLLVLGGIAGKNSRTEFHAPCRTTKYPREIPELPWYRSTVPQMGMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILLIVTAFITIALTYFQLAAEDHEWWWSVYMSGSPAKTFWSFLCGGSTGIFIYAYCLYYYYARSDMFGFMQTSFFFGYMACVCYGFFLMLGSVGFRAALVFVRHIYRSIKCE >itb04g18950.t1 pep chromosome:ASM357664v1:4:22827488:22831242:1 gene:itb04g18950 transcript:itb04g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVGGAGSDDDFVDLPSEFVGRSRNYKEEHREASPELELTPEEDVMFPTVQTRGSVKVLYNVIKSLNAWQRRVVREIGFAKDVCDAMLGERDGGEWFKRHFSVIVVTTLIECSRNGVVNQQFLDMLDDVFDIVDLNWCECLLESLGDCHTSWLEGRHKKYVGPIDFLTAIRSGRSRMLGLVGGAGSDDDFVDLPSEFVGRSRNYKEEHREASPELELTPEEDVMFPTVQTRGSVKVLYNVIKSLNAWQRRVVREIGFAKDVCDAMLGERDGGEWFKRHFSVIVVTTLIECSRNGVVNQQFLDMLDDVFDIVDLNWCECLLESLGDCHTSWLEGRHKKYVGPIDFLTTSVERVMEKASLLPCQCWSLSKWWRKRYVIDSIADDNLKQVVGAT >itb01g08820.t1 pep chromosome:ASM357664v1:1:7056767:7057691:1 gene:itb01g08820 transcript:itb01g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGISEEGQEAIFRVVAAILHLGNIEFAKGQEIDSSVIKDEKSRFHLNTTADLLKCDAKNLEGCTN >itb14g16550.t1 pep chromosome:ASM357664v1:14:19889580:19890461:1 gene:itb14g16550 transcript:itb14g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCVSSCVKDVRAPVVVRATYENLYKWPESDAEFIRSVTSNVRSAAVAGHPRVVDSISCRQMYLRSYTFSREEDGRKEEEEEAEETAAKCCGRARKEAAGRKRKSGDGGAGDGGHGRRKKKCFRFRRAMVSIFRRLLSCTAQVDVVG >itb08g12600.t2 pep chromosome:ASM357664v1:8:12810116:12813821:1 gene:itb08g12600 transcript:itb08g12600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKIEMEKSEGKLMHLGFVRVVTVNAVVIISNLYEYAKQNSGPLKSTVGTVENAVTTVVRPVYDKFKDVPDDVLVFLDRKVDEAIEKFDEHAPPMAKKLVSQAHIVVQKASHVAQDLIQEAKVAGPRAAIYHASTMSKQFGVSQFAVLWFHINLSPALHSIAEIATPTAAHWSEKYNELVKAMKAKGYDIFYYVPLVPVEEISKAYKQVEAAAAKKDDDITPSSSTESLTE >itb08g12600.t1 pep chromosome:ASM357664v1:8:12810116:12813007:1 gene:itb08g12600 transcript:itb08g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKIEMEKSEGKLMHLGFVRVVTVNAVVIISNLYEYAKQNSGPLKSTVGTVENAVTTVVRPVYDKFKDVPDDVLVFLDRKVDEAIEKFDEHAPPMAKKLVSQAHIVVQKASHVAQDLIQEAKVAGPRAAIYHASTMSKQFGVSQFAVLWFHINLSPALHSIAEIATPTAAHWSEKYNELVKAMKAKGYDIFYYVPLVPVEEISKAYKQVEAAAAKKDDDITPSSSTESLTE >itb01g33140.t3 pep chromosome:ASM357664v1:1:36372195:36376250:1 gene:itb01g33140 transcript:itb01g33140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEENLRKQQELNKKYDMKKNEKARRGSQLKAKCSTGTRAKRQKKDVQKDKGVLPSEKLVVNIQIPSSLKKQLVDDCEFITHLGKLVQLPRSPSVNEILSKYSDYRLKKDGIIADSVSEILSGLQCYFDKALSAMLLYKNEREQYQEAITDGVSPSSVYGAEHLLRLFVKFPEILHHANIEDETVTELRQKLQDFLRYSDLVYLFFFFLKTNFLVC >itb01g33140.t1 pep chromosome:ASM357664v1:1:36372195:36376250:1 gene:itb01g33140 transcript:itb01g33140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEENLRKQQELNKKYDMKKNEKARRGSQLKAKCSTGTRAKRQKKDVQKDKGVLPSEKLVVNIQIPSSLKKQLVDDCEFITHLGKLVQLPRSPSVNEILSKYSDYRLKKDGIIADSVSEILSGLQCYFDKALSAMLLYKNEREQYQEAITDGVSPSSVYGAEHLLRLFVKFPEILHHANIEDETVTELRQKLQDFLRFLQKNQSSFFLSSYIDPEVSDVVNKKGDD >itb01g33140.t2 pep chromosome:ASM357664v1:1:36372195:36376250:1 gene:itb01g33140 transcript:itb01g33140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEENLRKQQELNKKYDMKKNEKARRGSQLKAKCSTGTRAKRQKKDVQKDKGVLPSEKLVVNIQIPSSLKKQLVDDCEFITHLGKLVQLPRSPSVNEILSKYSDYRLKKDGIIADSVSEILSGLQCYFDKALSAMLLYKNEREQYQEAITDGVSPSSVYGAEHLLRLFVKFPEILHHANIEDETVTELRQKLQDFLRRKIGVWCNLIS >itb07g18860.t3 pep chromosome:ASM357664v1:7:23294079:23301453:1 gene:itb07g18860 transcript:itb07g18860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRSNDLAVAMSGGATPPSGQWIQQESLQPVSLSDALYLNNNVNNNNNICAQMGKVFPTEFLQDFSSSSVVPPAVIGVSQKLDKSVKPHSNQNKHVGTCSEELGRVLGLTQMDSDCGSGTSGYLSARGSMTELDNALCMKNQHGYQKSDGSCEHGPWKAMSEPSAPTLKESECFQSLQCMGLGSSDSSQTGKVKFLCSFGGKILPRPSDGKLRYAGGETRIISMRKNLSLEELMKKTFAICKQPHTIKYQLPGEDLDALISVSSDEDLLNMIEEYHGLERLDGSQRLRVFLIPLAESENSCTIDASTIQQSDPDYQYVVAINGIIEADHIPQKNCNEKHLGEASHLVPNINHLSIQNESSPVMQPGKLNDGLEPNTARLMSEAPSKVMFPNQSTPFVPMLVQQGHEKSETIKSHNGKLSHGTVEGLTLFDSNQVLSENSDNGSAACIPGPQVAVNFMASQNTSGKVGTLLPSEQSEYLMLHHNPSREFVASSFEHYNCNFERSGPRERVFSSAKLDVPVHILGSNDSIGSYNGLVHCFSDSKLQEHGNRSAYSSQELIIPPLNSSSAQLPSQVVSAALLEKPVLLPENADFANSQLHFRVQSAESTVSNGAVDLLKYPFVSEPFAKGEFVQRHVNDADLRCISEKEDLMCPSVLDIHGEENHSNSVMINGPDVNGLNGKVSQSTSFAIPVDPTKNFLKVSSDLVTSIGGEKPAYEMLIPKSNFPESTSIDLDPLICRMNKQPQNNQLEELLTEMEKDKPDTKTVNFGIARTTQSSSMHPSGAHGLIDLLSELPNGPVAHDCPMQPPVACTNDKDFGESLLISCGDLHPPSIIDDRNLSMTLHSSALLKNHVDAIANGREVSLLDDEYANFTGYAEKSTPLNKCPNEKDKILDGLLDTCFNGCLNSLANDKDYVSPIGEYSPAIVHSVSTVGDQVLSPGAIDGDAFAHDMGFGDVIQNDGDGDKGDLITDAMIAEFEADLYGLQIIKNDDLEELRELGAGTYGTVYHGKWRGTDVAIKRLKKACFSGRSSEEKRLIKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRSVLHKKDRSLDCRKKLLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNTNMVSEKMSSLLA >itb07g18860.t2 pep chromosome:ASM357664v1:7:23294079:23301453:1 gene:itb07g18860 transcript:itb07g18860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRSNDLAVAMSGGATPPSGQWIQQESLQPVSLSDALYLNNNVNNNNNICAQMGKVFPTEFLQDFSSSSVVPPAVIGVSQKLDKSVKPHSNQNKHVGTCSEELGRVLGLTQMDSDCGSGTSGYLSARGSMTELDNALCMKNQHGYQKSDGSCEHGPWKAMSEPSAPTLKESECFQSLQCMGLGSSDSSQTGKVKFLCSFGGKILPRPSDGKLRYAGGETRIISMRKNLSLEELMKKTFAICKQPHTIKYQLPGEDLDALISVSSDEDLLNMIEEYHGLERLDGSQRLRVFLIPLAESENSCTIDASTIQQSDPDYQYVVAINGIIEADHIPQKNCNEKHLGEASHLVPNINHLSIQNESSPVMQPGKLNDGLEPNTARLMSEAPSKVMFPNQSTPFVPMLVQQGHEKSETIKSHNGKLSHGTVEGLTLFDSNQVLSENSDNGSAACIPGPQVAVNFMASQNTSGKVGTLLPSEQSEYLMLHHNPSREFVASSFEHYNCNFERSGPRERVFSSAKLDVPVHILGSNDSIGSYNGLVHCFSDSKLQEHGNRSAYSSQELIIPPLNSSSAQLPSQVVSAALLEKPVLLPENADFANSQLHFRVQSAESTVSNGAVDLLKYPFVSEPFAKGEFVQRHVNDADLRCISEKEDLMCPSVLDIHGEENHSNSVMINGPDVNGLNGKVSQSTSFAIPVDPTKNFLKVSSDLVTSIGGEKPAYEMLIPKSNFPESTSIDLDPLICRMNKQPQNNQLEELLTEMEKDKPDTKTVNFGIARTTQSSSMHPSGAHGLIDLLSELPNGPVAHDCPMQPPVACTNDKDFGESLLISCGDLHPPSIIDDRNLSMTLHSSALLKNHVDAIANGREVSLLDDEYANFTGYAEKSTPLNKCPNEKDKILDGLLDTCFNGCLNSLANDKDYVSPIGEYSPAIVHSVSTVGDQVLSPGAIDGDAFAHDMGFGDVIQNDGDGDKGDLITDAMIAEFEADLYGLQIIKNDDLEELRELGAGTYGTVYHGKWRGTDVAIKRLKKACFSGRSSEEKRLIKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRSVLHKKDRSLDCRKKLLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPQRPICKKYICRLVTLDYPELSATL >itb07g18860.t4 pep chromosome:ASM357664v1:7:23294079:23301453:1 gene:itb07g18860 transcript:itb07g18860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRSNDLAVAMSGGATPPSGQWIQQESLQPVSLSDALYLNNNVNNNNNICAQMGKVFPTEFLQDFSSSSVVPPAVIGVSQKLDKSVKPHSNQNKHVGTCSEELGRVLGLTQMDSDCGSGTSGYLSARGSMTELDNALCMKNQHGYQKSDGSCEHGPWKAMSEPSAPTLKESECFQSLQCMGLGSSDSSQTGKVKFLCSFGGKILPRPSDGKLRYAGGETRIISMRKNLSLEELMKKTFAICKQPHTIKYQLPGEDLDALISVSSDEDLLNMIEEYHGLERLDGSQRLRVFLIPLAESENSCTIDASTIQQSDPDYQYVVAINGIIEADHIPQKNCNEKHLGEASHLVPNINHLSIQNESSPVMQPGKLNDGLEPNTARLMSEAPSKVMFPNQSTPFVPMLVQQGHEKSETIKSHNGKLSHGTVEGLTLFDSNQVLSENSDNGSAACIPGPQVAVNFMASQNTSGKVGTLLPSEQSEYLMLHHNPSREFVASSFEHYNCNFERSGPRERVFSSAKLDVPVHILGSNDSIGSYNGLVHCFSDSKLQEHGNRSAYSSQELIIPPLNSSSAQLPSQVVSAALLEKPVLLPENADFANSQLHFRVQSAESTVSNGAVDLLKYPFVSEPFAKGEFVQRHVNDADLRCISEKEDLMCPSVLDIHGEENHSNSVMINGPDVNGLNGKVSQSTSFAIPVDPTKNFLKVSSDLVTSIGGEKPAYEMLIPKSNFPESTSIDLDPLICRMNKQPQNNQLEELLTEMEKDKPDTKTVNFGIARTTQSSSMHPSGAHGLIDLLSELPNGPVAHDCPMQPPVACTNDKDFGESLLISCGDLHPPSIIDDRNLSMTLHSSALLKNHVDAIANGREVSLLDDEYANFTGYAEKSTPLNKCPNEKDKILDGLLDTCFNGCLNSLANDKDYVSPIGEYSPAIVHSVSTVGDQVLSPGAIDGDAFAHDMGFGDVIQNDGDGDKGDLITDAMIAEFEADLYGLQIIKNDDLEELRELGAGTYGTVYHGKWRGTDVAIKRLKKACFSGRSSEEKRLIKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRSVLHKKDRSLDCRKKLLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPQRPICKKYICRLVTLDYPELSATL >itb07g18860.t1 pep chromosome:ASM357664v1:7:23294046:23301461:1 gene:itb07g18860 transcript:itb07g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRSNDLAVAMSGGATPPSGQWIQQESLQPVSLSDALYLNNNVNNNNNICAQMGKVFPTEFLQDFSSSSVVPPAVIGVSQKLDKSVKPHSNQNKHVGTCSEELGRVLGLTQMDSDCGSGTSGYLSARGSMTELDNALCMKNQHGYQKSDGSCEHGPWKAMSEPSAPTLKESECFQSLQCMGLGSSDSSQTGKVKFLCSFGGKILPRPSDGKLRYAGGETRIISMRKNLSLEELMKKTFAICKQPHTIKYQLPGEDLDALISVSSDEDLLNMIEEYHGLERLDGSQRLRVFLIPLAESENSCTIDASTIQQSDPDYQYVVAINGIIEADHIPQKNCNEKHLGEASHLVPNINHLSIQNESSPVMQPGKLNDGLEPNTARLMSEAPSKVMFPNQSTPFVPMLVQQGHEKSETIKSHNGKLSHGTVEGLTLFDSNQVLSENSDNGSAACIPGPQVAVNFMASQNTSGKVGTLLPSEQSEYLMLHHNPSREFVASSFEHYNCNFERSGPRERVFSSAKLDVPVHILGSNDSIGSYNGLVHCFSDSKLQEHGNRSAYSSQELIIPPLNSSSAQLPSQVVSAALLEKPVLLPENADFANSQLHFRVQSAESTVSNGAVDLLKYPFVSEPFAKGEFVQRHVNDADLRCISEKEDLMCPSVLDIHGEENHSNSVMINGPDVNGLNGKVSQSTSFAIPVDPTKNFLKVSSDLVTSIGGEKPAYEMLIPKSNFPESTSIDLDPLICRMNKQPQNNQLEELLTEMEKDKPDTKTVNFGIARTTQSSSMHPSGAHGLIDLLSELPNGPVAHDCPMQPPVACTNDKDFGESLLISCGDLHPPSIIDDRNLSMTLHSSALLKNHVDAIANGREVSLLDDEYANFTGYAEKSTPLNKCPNEKDKILDGLLDTCFNGCLNSLANDKDYVSPIGEYSPAIVHSVSTVGDQVLSPGAIDGDAFAHDMGFGDVIQNDGDGDKGDLITDAMIAEFEADLYGLQIIKNDDLEELRELGAGTYGTVYHGKWRGTDVAIKRLKKACFSGRSSEEKRLIKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRSVLHKKDRSLDCRKKLLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNTNMVSEKVDVFSFGITMWEILTGEEPYANMHCGAIIGGILKNTLRPPIPERCDPEWRKLMEQCWHADPESRPSFTVITDRLRSMSAALEAMINGNLVKQMKPDIAV >itb07g18860.t5 pep chromosome:ASM357664v1:7:23294055:23300167:1 gene:itb07g18860 transcript:itb07g18860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRSNDLAVAMSGGATPPSGQWIQQESLQPVSLSDALYLNNNVNNNNNICAQMGKVFPTEFLQDFSSSSVVPPAVIGVSQKLDKSVKPHSNQNKHVGTCSEELGRVLGLTQMDSDCGSGTSGYLSARGSMTELDNALCMKNQHGYQKSDGSCEHGPWKAMSEPSAPTLKESECFQSLQCMGLGSSDSSQTGKVKFLCSFGGKILPRPSDGKLRYAGGETRIISMRKNLSLEELMKKTFAICKQPHTIKYQLPGEDLDALISVSSDEDLLNMIEEYHGLERLDGSQRLRVFLIPLAESENSCTIDASTIQQSDPDYQYVVAINGIIEADHIPQKNCNEKHLGEASHLVPNINHLSIQNESSPVMQPGKLNDGLEPNTARLMSEAPSKVMFPNQSTPFVPMLVQQGHEKSETIKSHNGKLSHGTVEGLTLFDSNQVLSENSDNGSAACIPGPQVAVNFMASQNTSGKVGTLLPSEQSEYLMLHHNPSREFVASSFEHYNCNFERSGPRERVFSSAKLDVPVHILGSNDSIGSYNGLVHCFSDSKLQEHGNRSAYSSQELIIPPLNSSSAQLPSQVVSAALLEKPVLLPENADFANSQLHFRVQSAESTVSNGAVDLLKYPFVSEPFAKGEFVQRHVNDADLRCISEKEDLMCPSVLDIHGEENHSNSVMINGPDVNGLNGKVSQSTSFAIPVDPTKNFLKVSSDLVTSIGGEKPAYEMLIPKSNFPESTSIDLDPLICRMNKQPQNNQLEELLTEMEKDKPDTKTVNFGIARTTQSSSMHPSGAHGLIDLLSELPNGPVAHDCPMQPPVACTNDKDFGESLLISCGDLHPPSIIDDRNLSMTLHSSALLKNHVDAIANGREVSLLDDEYANFTGYAEKSTPLNKCPNEKDKILDGLLDTCFNGCLNSLANDKDYVSPIGEYSPAIVHSVSTVGDQVLSPGAIDGDAFAHDMGFGDVIQNDGDGDKGDLITDAMIAEFEADLYGLQIIKNDDLEELRELGAGTYGTVYHGKWRGTDVAIKRLKKACFSGRSSEEKRLIKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRSVLHKKDRSLDCRKKLLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNTNMVSEKVDVFSFGITMWEILTGEEPYANMHCGAIIGKFIWLSHSP >itb07g18860.t6 pep chromosome:ASM357664v1:7:23294079:23301453:1 gene:itb07g18860 transcript:itb07g18860.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQINRSNDLAVAMSGGATPPSGQWIQQESLQPVSLSDALYLNNNVNNNNNICAQMGKVFPTEFLQDFSSSSVVPPAVIGVSQKLDKSVKPHSNQNKHVGTCSEELGRVLGLTQMDSDCGSGTSGYLSARGSMTELDNALCMKNQHGYQKSDGSCEHGPWKAMSEPSAPTLKESECFQSLQCMGLGSSDSSQTGKVKFLCSFGGKILPRPSDGKLRYAGGETRIISMRKNLSLEELMKKTFAICKQPHTIKYQLPGEDLDALISVSSDEDLLNMIEEYHGLERLDGSQRLRVFLIPLAESENSCTIDASTIQQSDPDYQYVVAINGIIEADHIPQKNCNEKHLGEASHLVPNINHLSIQNESSPVMQPGKLNDGLEPNTARLMSEAPSKVMFPNQSTPFVPMLVQQGHEKSETIKSHNGKLSHGTVEGLTLFDSNQVLSENSDNGSAACIPGPQVAVNFMASQNTSGKVGTLLPSEQSEYLMLHHNPSREFVASSFEHYNCNFERSGPRERVFSSAKLDVPVHILGSNDSIGSYNGLVHCFSDSKLQEHGNRSAYSSQELIIPPLNSSSAQLPSQVVSAALLEKPVLLPENADFANSQLHFRVQSAESTVSNGAVDLLKYPFVSEPFAKGEFVQRHVNDADLRCISEKEDLMCPSVLDIHGEENHSNSVMINGPDVNGLNGKVSQSTSFAIPVDPTKNFLKVSSDLVTSIGGEKPAYEMLIPKSNFPESTSIDLDPLICRMNKQPQNNQLEELLTEMEKDKPDTKTVNFGIARTTQSSSMHPSGAHGLIDLLSELPNGPVAHDCPMQPPVACTNDKDFGESLLISCGDLHPPSIIDDRNLSMTLHSSALLKNHVDAIANGREVSLLDDEYANFTGYAEKSTPLNKCPNEKDKILDGLLDTCFNGCLNSLANDKDYVSPIGEYSPAIVHSVSTVGDQVLSPGAIDGDAFAHDMGFGDVIQNDGDGDKGDLITDAMIAEFEADLYGLQIIKNDDLEELRELGAGTYGTVYHGKWRGTDVAIKRLKKACFSGRSSEEKRLIKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEFMANGSLRSVLHKKDRSLDCRKKLLIAMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPQRPICKVFFLSF >itb01g00090.t1 pep chromosome:ASM357664v1:1:47927:61677:-1 gene:itb01g00090 transcript:itb01g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTTWYLLITNYFQRARQERYPHYLCVVLDRLIDVALSNELYSRKDPSFQYQKFQLLLSAAEVSLQCGNYFNCIKNATSASELSIPDRYIFFAHLLLCRAYAVGDNPVKLSEEYLKCLELKNGCHIGWICLKFLESQYKIQADSTVLALRFEECSKDVNTSWNVWLAIFSLVQGLSAIWIGDFTRAEEYLSQACSLACDESCLLLCHGAICMELARQHCDSKFLSHAIRSLKKAKDATPIPMPFISLLLAQAEASLGSESKWEKNLHEEWSSWPPEMKPAELLFQMHLVSRHSQCGTYDDSSLEPCADSIRQMLQAIHLNPSSSRYWRVLRSSLSN >itb01g00090.t4 pep chromosome:ASM357664v1:1:47927:61677:-1 gene:itb01g00090 transcript:itb01g00090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKREGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTTWYLLITNYFQRARQERYPHYLCVVLDRLIDVALSNELYSRKDPSFQYQKFQLLLSAAEVSLQCGNYFNCIKNATSASELSIPDRYIFFAHLLLCRAYAVGDNPVKLSEEYLKCLELKNGCHIGWICLKFLESQYKIQADSTVLALRFEECSKDVNTSWNVWLAIFSLVQGLSAIWIGDFTRAEEYLSQACSLACDESCLLLCHGAICMELARQHCDSKFLSHAIRSLKKAKDATPIPMPFISLLLAQAEASLGSESKWEKNLHEEWSSWPPEMKPAELLFQMHLVSRHSQCGTYDDSSLEPCADSIRQMLQAIHLNPSSSRYWRVLRSSLSN >itb01g00090.t8 pep chromosome:ASM357664v1:1:47951:54817:-1 gene:itb01g00090 transcript:itb01g00090.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTTWYLLITNYFQRARQERYPHYLCVVLDRLIDVALSNELYSRKDPSFQYQKFQLLLSAAEVSLQCGNYFNCIKNATSASELSIPDRYIFFAHLLLCRAYAVGDNPVKLSEEYLKCLELKNGCHIGWICLKFLESQYKIQADSTVLALRFEECSKDVNTSWNVWLAIFSLVQGLSAIWIGDFTRAEEYLSQACSLACDESCLLLCHGAICMELARQHCDSKFLSHAIRSLKKAKDATPIPMPFISLLLAQAEASLGSESKWEKNLHEEWSSWPPEMKPAELLFQMHLVSRHSQCGTYDDSSLEPCADSIRQMLQAIHLNPSSSRYWRVLRSSLSN >itb01g00090.t6 pep chromosome:ASM357664v1:1:50351:61677:-1 gene:itb01g00090 transcript:itb01g00090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKYIALIPRILRISFV >itb01g00090.t9 pep chromosome:ASM357664v1:1:47927:61677:-1 gene:itb01g00090 transcript:itb01g00090.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTT >itb01g00090.t7 pep chromosome:ASM357664v1:1:47951:54817:-1 gene:itb01g00090 transcript:itb01g00090.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTTWYLLITNYFQRARQERYPHYLCVVLDRLIDVALSNELYSRKDPSFQYQKFQLLLSAAEVSLQCGNYFNCIKNATSASELSIPDRYIFFAHLLLCRAYAVGDNPVKLSEEYLKCLELKNGCHIGWICLKFLESQYKIQADSTVLALRFEECSKDVNTSWNVWLAIFSLVQGLSAIWIGDFTRAEEYLSQACSLACDESCLLLCHGAICMELARQHCDSKFLSHAIRSLKKAKDATPIPMPFISLLLAQAEASLGSESKWEKNLHEEWSSWPPEMKPAELLFQMHLVSRHSQCGTYDDSSLEPCADSIRQMLQAIHLNPSSSRYWRVLRSSLSN >itb01g00090.t5 pep chromosome:ASM357664v1:1:49363:61677:-1 gene:itb01g00090 transcript:itb01g00090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTTWYLLITNYFQRARQERYPHYLCVVLDRLIDVALSNELYSRKDPSFQYQKFQLLLSAAEVSLQCGNYFNCIKNATSASELSIPDRYIFFAHLLLCRAYAVGDNPVKLSEEYLKCLELKNGCHIGWICLKFLESQYKIQADSTVLALRFEECSKDVNTSWNVWLAIFSLVQGLSAIWIGDFTRAEEYLSQACSLACDESCLLLCHGIILQHIILTFAHTPIHIYIGLQNLSKFSVLFRFFEADA >itb01g00090.t2 pep chromosome:ASM357664v1:1:47927:61677:-1 gene:itb01g00090 transcript:itb01g00090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKHLHQEPWNQTTWYLLITNYFQRARQERYPHYLCVVLDRLIDVALSNELYSRKDPSFQYQKFQLLLSAAEVSLQCGNYFNCIKNATSASELSIPDRYIFFAHLLLCRAYAVGDNPVKLSEEYLKCLELKNGCHIGWICLKFLESQYKIQADSTVLALRFEECSKDVNTSWNVWLAIFSLVQGLSAIWIGDFTRAEEYLSQACSLACDESCLLLCHGAICMELARQHCDSKFLSHAIRSLKKAKDATPIPMPFISLLLAQAEASLGSESKWEKNLHEEWSSWPPEMKPAELLFQMHLVSRHSQCGTYDDSSLEPCADSIRQMLQAIHLNPSSSRYWRVLRSSLSN >itb01g00090.t3 pep chromosome:ASM357664v1:1:47927:61677:-1 gene:itb01g00090 transcript:itb01g00090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MSGGEEVVSTTKQRELELEKSLESQPDNPCLHFDLGFLLWEKAASSTGGEEIREKAAEQFLISAKLNPQNGDPFKYLAHYYANFSCDPQRALKCYQRAISLNPDDSDAGEAMCELLEESGKENLGIAVCREATEKSPRAFWAFRRLGYLLVHQKKWTEAIPSLQHAIRGYPICADLWEALGLSYQQMGMFTAAVKSYGRAIELDESRVFALVESGNIFLILGNFRKGVEQFRQALLISPLNVSAHYGLASALFCLAKECVSSGAFRWGASLLEEASKVAIACTSLAGNISCIWKLHGDIQLMYAKCFPWIDEGWSLQSDEKAFANSVHYWKRTCHVAAMTASRSYQRALHIAPWQANMYTDVAIASELSFSFKESDKDYLNAWSLPEKMCLGGLLLEGSNNEFWVALGCLSDHAVLKQHAFIRGLQLDVSLAVAWAYLGKLYRKEGERQLAQLAFDRARSIDPSLPLPWAGMSADADTRNLKQDEAYECCLRAVQIFPLAEFQTGLTKLALHSGNLKSSEVFGAIQQALHRAPCYPESHNLKGLVCEARHDYQSAIASYRLARYAAGIFDEKESKTYLNRISSNLARSLCRAGNANDAVDECEDLDKRGLLDVEGLQIYALCLWKLGKNNLALSVARKLATNVSSMDQSLVSTSVSFICRLQYQISGQDAAILNLLKLPKGLSQTSKVILVASAIHALDHKNQLDSVISSARNSIASTEGSAVMDFLVALGELLKHGSKGCLGFKKGLEYLRKSLHMSPNSHLIRNLLGYLLLLRKQWKDFHASTRCIIVNPSDHPNEDDKKSALEIFGAEGVACHAFQCCKTSLATCRGKSTSGCNTMQLLQKYIALIPRILRISFV >itb02g14960.t1 pep chromosome:ASM357664v1:2:10854568:10855629:1 gene:itb02g14960 transcript:itb02g14960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLLFPPLLLFLVVSASAHGGHYDDNSNSDIKYSPLEKPNLMRPWSFILVNILCQILVFVGGILPYFLRLNEGFLVRGTKFATTVFLVTDRTVLVHILTHAKKNPLFSFMVTSCGYLVVMFAGGVISYIYGKQTNTNGGSNTPTVDLQLQGNVAEGGNLNGQYHEYFLALEDSLPLIFALCFHSVFEGIATGVAGDTKAAGDVWTICFQKIFAAVAMGIALLRMIPDRPLSSYIAYALTFAISSPTGVSIGILIHATTQASVADWIYSISIHLAYGAFLFISINRLLSKGYGPQPPVAVDKPYHNFLALLLAIGIMTVNI >itb15g10000.t2 pep chromosome:ASM357664v1:15:7249752:7258328:-1 gene:itb15g10000 transcript:itb15g10000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYQDLTSVGAEGDEANRVVGAETNAIDMDDSENSYVFVNGSDGTSDDPGANELDTDAPAASAETKVVEDSNVSGDLSKSFEEERHGSLQGIGVFLSTNEGEVSEDCLAGKENLDGTQVASNDSVQNQIEAVESQKYESTVESKPQTSQGQIDVKSSSVTSQDQVDLRSSSVTDSGDSNQVTQEIILDSVVDLVNLDSATEQNNIDLTVDQHNSDSDLTVDQLSSDSTVGVENADSVTEQNKLGSTNNIDLTIITVEGENNQHVVVDAAECDPDKTEVKKGNYVGQTLNPCDVLEEGEKCTMAPMDDFECKSQHAKPEVGEVNDQQQFEVMATEIVEDGESYTHVNIPVESNSCELDHERENLEKESSKGFSSDANPELERVRVDQGHEMENVVNEKLTSLEAGGAISPVLCDKQVSVLVSHATDHVSQSEEGGGKIDVSESSHEFNRSSEEAKIMGAPAACMTSEGDTREKPIDTTGHVSQLDEGTGHIDVGESSHELSRSSDEAKIMGAPAVCMMTEGDTREKPIDTTDHSSQSEEGSGQIDVGAPAACMMSEGDTRENPIDSINHVSQSDEGSGQIDVDAPAVCMMSEGDTREKPIDTTDHVSQSEEGSGQIGVGESSHDLSRSSDEAKIISSPAACMMSEGDTREKPIDNTDHVSESEECRGQIDVGESSHELSRSSEEAKISSHELSRSSEEAKIMGTPAACVMNEGDTMGTPAACVMNEGDTTEKPIDTTDHVSESEECRGQIDVGESSHELSRSSEEAKIISSPAACMMSEGDTRENPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDTTDHVSESEECRGQIDVGESSHELSRSSEEAKIMGTPAACVMNEGDTREKPIDTTDHVSQSEEGSGQIGVGECSHELSRSSEEAKIISSPAACMMTEGGTKEKPIDTTDHVSESEGSGQIDVGESSHELNRSSEEAKIMGAPAACVMSEGDTREKPIDTTDHVSQSEEVSGQIDVGESSHELSGSSEEAKIMGTPAACVISEGDTREKPIDTTDHVSQSEEVSGQIDVGESSHELSGSSEEAKIMDDPAACMMSEGDTREKLIDETIGNVSADCENRYGASLPTESISVQDAEVLEPRSCDEHVVSSVADGGCAIVMNSLENDTSLGTEFGIQNSASEEVSALAGGSSLHDSKSENLDAEAAVVESKFLGHDSISSCSPTDSSSKTASSLLTDKSEQKVQGGTEISNEGGQSSSTVVQLSEVGNSSTSINRDMHGDDTLVSGLESLRSSFDNNGSPPNHTKNLEVQCNGSERSGEDNLVCQEFVVADKSLCNETLDILLDGSSANVAGQDVSTVTFEGLKKPFQFLIKMPRFDDEKLREQIRLAQLHVDEKTQSRDAIWQEIKKKRANCQHHGVEFEAAKSEERAARKLVRSKRAEIEHLQTAINRVKNAISVEEIDARICSMEHMIEHETLPLKEEKNLIREIKQLKQLRDQLSSNIGSCDEVQQALDNRDQIEESLKILKKELDSLKNKVSKAEAVAVEVSLRYEEESKMHKELRAQYKAANEIRQAAYENFQNLKKILYEKNNHFRMYKDNASTASRHAARKDTEALHHLCANQVEKYMELWNRDDEFRKEYVRCNMRSTVRRLGTLDGRSLGPNEDPPVLPVYVAERTDKVISRTSKVDFVSPTLPLQQEKQVMLIKDEDIARNPMVKVTGQKTERERKSGAVKSNLESADDTVSSSDVSNDVKREDIQIQTKEELELARKEEELKRLETAARLKEQRRLEEIAKAKEALERKKRNAEKAQVRAELRAQKEAEQKEKEREKRLRKKERKKGGGSEAANESENVSICESIKDIVNEAETKETEEGGKKTQKAPQYAKQNKTKSIPPPLRNKNKKKMQQWMRIIFTSIIVIALFLLGNIGFFANLKSRQNIL >itb15g10000.t1 pep chromosome:ASM357664v1:15:7249752:7258294:-1 gene:itb15g10000 transcript:itb15g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYQDLTSVGAEGDEANRVVGAETNAIDMDDSENSYVFVNGSDGTSDDPGANELDTDAPAASAETKVVEDSNVSGDLSKSFEEERHGSLQGIGVFLSTNEGEVSEDCLAGKENLDGTQVASNDSVQNQIEAVESQKYESTVESKPQTSQGQIDVKSSSVTSQDQVDLRSSSVTDSGDSNQVTQEIILDSVVDLVNLDSATEQNNIDLTVDQHNSDSDLTVDQLSSDSTVGVENADSVTEQNKLGSTNNIDLTIITVEGENNQHVVVDAAECDPDKTEVKKGNYVGQTLNPCDVLEEGEKCTMAPMDDFECKSQHAKPEVGEVNDQQQFEVMATEIVEDGESYTHVNIPVESNSCELDHERENLEKESSKGFSSDANPELERVRVDQGHEMENVVNEKLTSLEAGGAISPVLCDKQVSVLVSHATDHVSQSEEGGGKIDVSESSHEFNRSSEEAKIMGAPAACMTSEGDTREKPIDTTGHVSQLDEGTGHIDVGESSHELSRSSDEAKIMGAPAVCMMTEGDTREKPIDTTDHSSQSEEGSGQIDVGAPAACMMSEGDTRENPIDSINHVSQSDEGSGQIDVDAPAVCMMSEGDTREKPIDTTDHVSQSEEGSGQIGVGESSHDLSRSSDEAKIISSPAACMMSEGDTREKPIDNTDHVSESEECRGQIDVGESSHELSRSSEEAKISSHELSRSSEEAKIMGTPAACVMNEGDTMGTPAACVMNEGDTTEKPIDTTDHVSESEECRGQIDVGESSHELSRSSEEAKIISSPAACMMSEGDTRENPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDPTDHVSHSEEVRGQIDVGESSHEGSGSSEEAKIMGTPAACVRNEGDTTEKPIDTTDHVSESEECRGQIDVGESSHELSRSSEEAKIMGTPAACVMNEGDTREKPIDTTDHVSQSEEGSGQIGVGECSHELSRSSEEAKIISSPAACMMTEGGTKEKPIDTTDHVSESEGSGQIDVGESSHELNRSSEEAKIMGAPAACVMSEGDTREKPIDTTDHVSQSEEVSGQIDVGESSHELSGSSEEAKIMGTPAACVISEGDTREKPIDTTDHVSQSEEVSGQIDVGESSHELSGSSEEAKIMDDPAACMMSEGDTREKLIDETIGNVSADCENRYGASLPTESISVQDAEVLEPRSCDEHVVSSVADGGCAIVMNSLENDTSLGTEFGIQNSASEEVSALAGGSSLHDSKSENLDAEAAVVESKFLGHDSISSCSPTDSSSKTASSLLTDKSEQKVQGGTEISNEGGQSSSTVVQLSEVGNSSTSINRDMHGDDTLVSGLESLRSSFDNNGSPPNHTKNLEVQCNGSERSGEDNLVCQEFVVADKSLCNETLDILLDGSSANVAGQDVSTVTFEGLKKPFQFLIKMPRFDDEKLREQIRLAQLHVDEKTQSRDAIWQEIKKKRANCQHHGVEFEAAKSEERAARKLVRSKRAEIEHLQTAINRVKNAISVEEIDARICSMEHMIEHETLPLKEEKNLIREIKQLKQLRDQLSSNIGSCDEVQQALDNRDQIEESLKILKKELDSLKNKVSKAEAVAVEVSLRYEEESKMHKELRAQYKAANEIRQAAYENFQNLKKILYEKNNHFRMYKDNASTASRHAARKDTEALHHLCANQVEKYMELWNRDDEFRKEYVRCNMRSTVRRLGTLDGRSLGPNEDPPVLPVYVAERTDKVISRTSKVDFVSPTLPLQQEKQVMLIKDEDIARNPMVKVTGQKTERERKSGAVKSNLESADDTVSSSDVSNDVKREDIQIQTKEELELARKEEELKRLETAARLKEQRRLEEIAKAKEALERKKRNAEKAQVRAELRAQKEAEQKEKEREKRLRKKERKKGGGSEAANESENVSICESIKDIVNEAETKETEEGGKKTQKAPQYAKQNKTKSIPPPLRNKNKKKMQQWMRIIFTSIIVIALFLLGNIGFFANLKSRQNIL >itb08g06260.t1 pep chromosome:ASM357664v1:8:5348641:5355017:1 gene:itb08g06260 transcript:itb08g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDSIVFRDYRGDVQKGSAEIFFRKVKFWKEDGEEEAPPVFNVDGVNYFHVKVVGLLFAATTRTNVSPSLVLELLQRIARVTKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYIFNEPIVVDGGRLPPIGPAAIFMQGTKRMPGTAITKSVVANEPAGRKREEIFVDIIEKISLTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRGGRSIYDYGGSSGAGAVTFDDCNFHESVHLDSFDMDKTLTLVPPDGEFPVMNYRITQEFKPPFRINALIEEAGSLKAEVILKIRAEFPSNITANTVAVQMPVPSYTSRVNFELETGAVGQTTDFKESNKRLEWSLKKIVGGSECTLRAKLTFSQESHGNITKEAGPVSMTFTIPMYNPSRLQVKYLQIAKTSKSYNPYRWVRYVTQTNSYVARI >itb01g15750.t1 pep chromosome:ASM357664v1:1:18785330:18792557:-1 gene:itb01g15750 transcript:itb01g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSTTALLPDAFSGGRDDLSSQMSIIWAQLKAPLIVPVLRIAVFLCLLMSVMLFVERVYMGVVISLVKLFGRKPEKRYKYEPLKDDVELGNSTYPMLLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIVQVLDDSTDPIIKNMVEMECQRWASKGINIKYEIRDNRNGYKAGALKQGLKHSYVKQCDYVVIFDADFQPEPDFLWRTIPFLVHNPQLALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRLAAIDEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSVKVKNELPSTFKAYRYQQHRWSCGPANLFRKMLMEIVRNKKVTVWKKVHVIYSFFVVRKVIAHIVTFMFYCVVLPATVLVPEVQVPKWGAVYIPSVITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDACKLKPALKAFKKPRFRIGDRVHVLELATGAYLFICGCYDVAFGKNHYFLYLFMQSIAFFIAGFGYIGTFIPNS >itb04g24080.t1 pep chromosome:ASM357664v1:4:28881601:28883011:-1 gene:itb04g24080 transcript:itb04g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVHGSLLSPAVLRVVACLKEKEIDYEFVNVNMQTGEHKQPHFLALNPFGQVPAFEDGDLKLFESRAITQYIAHTYVDKGTQLIVPDHKKMAIMSVWMEVEAQKFDPAASKLSFEIVIKPVLGMTTDDAVVAQYEEQLGKVLDVYEARLKESKYLGGDCFSLVDLHHIPLINNLVGTKVKALFDARPKVSAWIADILARPAWSKVQELLKQK >itb13g00470.t1 pep chromosome:ASM357664v1:13:355948:357685:1 gene:itb13g00470 transcript:itb13g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTMAAIVAALATIFLLLPFIYNLLFERGASQKPPQAGGAWPIIGHLHQLAAPQPTYKILADMADKYGPIFRLRLGAHQFVVVSDSGIAKQCFTTNDRALAGRPKAIASEIMGYNHAMFGLVPYGQYWRYVRKVVMLELLSNRRLEVLGRVLETGIRSFTQDIHRTWLRDKNESEDIKLDMKELFGKLIMDIMIQMLFGQRPEEERRRTVVTVKKFYDLLGASALGDFVPWLRWLDIGGHEKAMKETAKEMDTIMESWLQQHKRKRNTKSEEEEQDFMDGLLSSFHGADGDDKNIPKDFGADTIVKATCTSVLLAGMDTTAVTLTWALSLVLNNYSVLEKIRAELDIHVGRERHVNLSDLSNFTYLQAVVKETLRLYPAGPLLVPHESIDDCMVDGYTILKGTRLLVNAAKIHRDPNFWSDPNMFRPERFLNEHNEIDVKGNHFELIPFGSGRRMCPGISLALQIVELTLASLIHNFNLKRVSNEPIDMTESFGLTIMKKSPLCALFTPCLSSHLYC >itb07g21010.t2 pep chromosome:ASM357664v1:7:25397835:25408982:-1 gene:itb07g21010 transcript:itb07g21010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRARGAGEGKGNDNKGKGKMVSPSGNDNKGKGKMVSPSDTSKDIPLLLRKYEFVDESHWKTVLEIELRKEKSEEIEKVQRKLLLGFRRFNILNFFPRIGRIIFPGRWKELIQTRQEQERIFVPLIHARAQSKNSESGSEEIVAYVDSLLALELPEEKRKLTDGEIVGLISEFLSGGTDTTATALQWIMENLVKNPEIQEKLYKEISLEPLLQDSPDRKIVREEDLAEMRYLKAVVLEGLRRHPPGHFVLPHTVTMLPRIITWHMAGSGSNELSHPSQQGQLIKIFQAFTMKRSEVTSYSAQAGYPVDVEMLGGRPWCLARKALKAFKGLVKLQAIVRGRIVRKQSANMLRRMQAMARIQARACASRALVSDYSHSSDEASQTHRPDGGADDEKSDKILEIDTWKPCENPSGSNRTSQNSQYFSAWNDNGQ >itb05g13880.t1 pep chromosome:ASM357664v1:5:20965765:20968349:-1 gene:itb05g13880 transcript:itb05g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGFKMWFKIVQRKAVGEIGLGDILNLHVEDIPKRMGRTKRNSRRHFDSSTETVRRGGDLARAARLVVLLFSSVATSDCFSCILRRSRRQRLPPSVAAAAAASVCGGLAVAIAASVKALSVRSHRGGNCCNLV >itb14g18040.t1 pep chromosome:ASM357664v1:14:21169580:21172485:-1 gene:itb14g18040 transcript:itb14g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTIDAPTASSSFSFSTASSFMSSSFTDLLASDAYSGGSVSRGLGDRIAERTGSGVPKFKSLPPPSLPLSSPAVSPSSYFAFPPGLSPSELLDSPVLLSSSNILPSPTTGTFPAQTFNWKNDSNTSQEDVKQEEKGYPDFSFQTNSASMTLNYEDSKRKDELNSLQSLPPVTTSTQMSSQNNGGSYSEYNNQCCPPSQTLREQRRSDDGYNWRKYGQKQVKGSENPRSYYKCTHPNCPTKKKVERALDGQITEIVYKGAHNHPKPQSTRRSSSSTASSASTLAAQSYNAPASDVPDQSYWSNGNGQMDSVATPENSSISVGDDEFEQSSQKREPGGDEFDEDEPDAKRWKVENESDGVSAQGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSQGCPVRKHVERASHDIRSVITTYEGKHNHDVPAARGSGSHGLNRGANPNNNAAMAMAIRPSTMSLQSNYPIPIPSTRPMQPGEGQAPYEMLQGPGGFGYSGFGNPMNAYANQIQDNAFSRAKEEPRDDLFLETLLA >itb04g01600.t1 pep chromosome:ASM357664v1:4:919000:921396:1 gene:itb04g01600 transcript:itb04g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFPYPPPIPFFPAATPPPSTPHRSHSPFLPSIVAASLALALFLLFAFIYRKISRKRTVPTDLKSPLPPHQFSYSALRRATNAFSASKRLGQGGFGSVYKGVLPSGQEIAVKLMEASGSLQGEREFQNELSIASKIDATCCPHVVSILGFSDDRRRRLILVYEYMHNGSLQDALMDRKCPELMLWGKRFSILVSVAKGIEYLHYSCDPPIVHGDIKPSNVLLDYNFEAKIADFGLAQALSKDDEGGEKKQGFGNVEENGSIVEGNETVMREEVVVNVDQSPPESCCIKVLDGEVGVVSPVGETPPSEGFEKASLSEGVFDGVSMDSGISKGVERRASESGRDWWWKQDNVNGGSESGRIKDYVMEWIGSEIKKERPKKDWIASTSAVEDGTKVEQKKHKKKLEWWASLDEEKIRKQRKNRKPREWWKEEFCEELTKKKKRAVNNGDNGGEMWWQRDEEVVPERKRRRSRGSRSSIDWWLDSFSGEFRIRRRSSQDFASGDVPKSGGVTSTPSMRGTVCYIAPEYGGGGQLSQKCDVYSFGVLLLVLVSGRRPLQVTASPMSEFERANLVSWARHLAHSGKLLDLVDPNIQSLDQEQALLCITIALLCLQRSPNKRPTMKEIVGMLCGESEPPPLPFEFSPSPPSNFPFKSRRKPR >itb04g01600.t2 pep chromosome:ASM357664v1:4:919246:920855:1 gene:itb04g01600 transcript:itb04g01600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFPYPPPIPFFPAATPPPSTPHRSHSPFLPSIVAASLALALFLLFAFIYRKISRKRTVPTDLKSPLPPHQFSYSALRRATNAFSASKRLGQGGFGSVYKGVLPSGQEIAVKLMEASGSLQGEREFQNELSIASKIDATCCPHVVSILGFSDDRRRRLILVYEYMHNGSLQDALMDRKCPELMLWGKRFSILVSVAKGIEYLHYSCDPPIVHGDIKPSNVLLDYNFEAKIADFGLAQALSKDDEGGEKKQGFGNVEENGSIVEGNETVMREEVVVNVDQSPPESCCIKVLDGEVGVVSPVGETPPSEGFEKASLSEGVFDGVSMDSGISKGVERRASESGRDWWWKQDNVNGGSESGRIKDYVMEWIGSEIKKERPKKDWIASTSAVEDGTKVEQKKHKKKLEWWASLDEEKIRKQRKNRKPREWWKEEFCEELTKKKKRAVNNGDNGGEMWWQRDEEVVPERKRRRSRGSRSSIDWWLDSFSGEFRIRRRSSQDFASGDVPKSGAFSEV >itb07g22060.t1 pep chromosome:ASM357664v1:7:26538759:26542893:-1 gene:itb07g22060 transcript:itb07g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQQQRDAAQQGQQARRSPSQAAGAGGGSDFTPILFVFLSFIAIFVMVVTPSLSTSNFSTLHQVPEGHVGVYWRGGALLSTITNPGFHLKLPLITQFEPIQVTLQTDLVRDIPCGTKGGVMINFEKIEVVNRLRKEHVYDTLLNYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEIISVRVTKPTIPESIRRNFQHMEEERTKVLISVERQRVAEKEAETQKKIAISEAEKNAHVSKIQMEQKLMEKDSARKEEEIANAMYLAREKSLADAAYYRTMKEAEANRLKLTPQFLELKFIEAITNNSKMFFGNKVPNMVLDQRILGNYLQEFSEKEKSEA >itb07g22060.t4 pep chromosome:ASM357664v1:7:26539923:26542893:-1 gene:itb07g22060 transcript:itb07g22060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQQQRDAAQQGQQARRSPSQAAGAGGGSDFTPILFVFLSFIAIFVMVVTPSLSTSNFSTLHQVPEGHVGVYWRGGALLSTITNPGFHLKLPLITQFEPIQVTLQTDLVRDIPCGTKGGVMINFEKIEVVNRLRKEHVYDTLLNYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEIISVRVTKPTIPESIRRNFQHMEEERTKVNVTSSDVFHTITLP >itb07g22060.t3 pep chromosome:ASM357664v1:7:26539150:26542893:-1 gene:itb07g22060 transcript:itb07g22060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQQQRDAAQQGQQARRSPSQAAGAGGGSDFTPILFVFLSFIAIFVMVVTPSLSTSNFSTLHQVPEGHVGVYWRGGALLSTITNPGFHLKLPLITQFEPIQVTLQTDLVRDIPCGTKGGVMINFEKIEVVNRLRKEHVYDTLLNYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEIISVRVTKPTIPESIRRNFQHMEEERTKVLISVERQRVAEKEAETQKKIAISEAEKNAHVSKIQMEQKLMEKDSARKEEEIANAMYLAREKSLADAAYYR >itb07g22060.t2 pep chromosome:ASM357664v1:7:26538759:26542893:-1 gene:itb07g22060 transcript:itb07g22060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQQQRDAAQQGQQARRSPSQAAGAGGGSDFTPILFVFLSFIAIFVMVVTPSLSTSNFSTLHQVPEGHVGVYWRGGALLSTITNPGFHLKLPLITQFEPIQVTLQTDLVRDIPCGTKGGVMINFEKIEVVNRLRKEHVYDTLLNYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEIISVRVTKPTIPESIRRNFQHMEEERTKVLISVERQRVAEKEAETQKKIAISEAEKNAHVSKIQMEQKLMEKDSARKEEEIANAMYLAREKSLADAAYYR >itb02g03750.t1 pep chromosome:ASM357664v1:2:2210760:2216156:-1 gene:itb02g03750 transcript:itb02g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAGESIERLFLWGHSASAMDDAFDKKVLVFGGFGGPGRHTRRNDLLLLDLPIGKLEVVDVQETPSSRLGHTSSIVEKCMYVIGGRADPSNILNDVWAFSIANKEWRLLQCSGSLFPPRHRHAAAVVGSKIYIFGGIHNDVILSSLHVLDTQAMTWNELEVKGELPCPRHSHSMVAHGTQLYIFGGNDGERPLGDLYSFDTKTLLWKKENMSGRPPSARFSHSMFVYKNFLGIIGGCPVSQSYHQLSLIDLRLRQWKHVVVSFLGKGLLVRSTANIVGDDLIMIGGGAACYAFGTRFSEPMKINLITLISEVDNSINSDHMNRSVDYQQGEGIETKKTNSSVFSQKGVEMGRDGGMDKSADPRESGENDEKHIVASCWVVRLERKYAKLVKDILKKFGWLDLGRKVYSQDDGMHICLPVTEKFCSIFPDKRPGLGDDIEELDDFDSSKPFLEREHLLKDISSSTALKVLMECHATKIKDEVLKVKKATHTPLEVMKEAVASLISQRGLSPQLLEQLPSRWERLGDIVVLPMTCFKDPEWDSIGEELWCAVAKSLGARRLARQGRVAPTGTRDSTLEILVGDNGWVDHRENGILYSFDTTKCMFSWGNLSEKLRMARLDCTNEVIVDLFAGIGYFVLPFLVRANAKLVYACEWNPLAIEALRHNLHANLVADRCVVLEGDNRITAPKGVAHRVCLGLLPTSEGSWVTAVRALREEGGIMHIHENVKDSEEASWMQYVSQSIQEIAQSEGRAWEVLVEHVERVKWYAPHIRHLVVDLRCRSNDETRQVT >itb02g03750.t2 pep chromosome:ASM357664v1:2:2210760:2216156:-1 gene:itb02g03750 transcript:itb02g03750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGKRKAATLASMNSPEPDKSPKGNIDAPILPLLAAINSHPSYFTTSSCSGRISIFAHPTAHSNNPKKAKGGTWVFISHDPVDPDSVSPLLFGTAELTRTTELTQSPGSHSLVFRFEPLIIAIECRDVEAAQLLVSLAISCGFRESGITSATNKRVIIAVRCSIRLEVPLGYSDRIMVSPEYLKFLVELANEKMGANRKRTDGFLDALLKNGFLEPQISNGKVLGNNEVDSDERSGFLDGPESEFLGNSSRDGMASHKNDENTCGSSAVSGINPSEMPIAGESIERLFLWGHSASAMDDAFDKKVLVFGGFGGPGRHTRRNDLLLLDLPIGKLEVVDVQETPSSRLGHTSSIVEKCMYVIGGRADPSNILNDVWAFSIANKEWRLLQCSGSLFPPRHRHAAAVVGSKIYIFGGIHNDVILSSLHVLDTQAMTWNELEVKGELPCPRHSHSMVAHGTQLYIFGGNDGERPLGDLYSFDTKTLLWKKENMSGRPPSARFSHSMFVYKNFLGIIGGCPVSQSYHQLSLIDLRLRQWKHVVVSFLGKGLLVRSTANIVGDDLIMIGGGAACYAFGTRFSEPMKINLITLISEVDNSINSDHMNRSVDYQQGEGIETKKTNSSVFSQKGVEMGRDGGMDKSADPRESGENDEKHIVASCWVVRLERKYAKLVKDILKKFGWLDLGRKVYSQDDGMHICLPVTEKFCSIFPDKRPGLGDDIEELDDFDSSKPFLEREHLLKDISSSTALKVLMECHATKIKDEVLKVKKATHTPLEVMKEAVASLISQRGLSPQLLEQLPSRWERLGDIVVLPMTCFKDPEWDSIGEELWCAVAKSLGARRLARQGRVAPTGTRDSTLEILVGDNGWVDHRENGILYSFDTTKCMFSWGNLSEKLRMARLDCTNEVIVDLFAGIGYFVLPFLVRANAKLVYACEWNPLAIEALRHNLHANLVADRCVVLEGDNRITAPKGVAHRVCLGLLPTSEGSWVTAVRALREEGGIMHIHENVKDSEEASWMQYVSQSIQEIAQSEGRAWEVLVEHVERVKWYAPHIRHLVVDLRCRSNDETRQVT >itb13g02220.t1 pep chromosome:ASM357664v1:13:2072691:2075566:-1 gene:itb13g02220 transcript:itb13g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRHRDHEDIIKNLIPNSSNNYLIPDYSDKVNLVFIVGMMGIGKTTLAKSVYGDPQVEDYFDVRGWITMPQEYNKSQMIRLLLRSISPAVKNKIGKGSAPNNLVEQVRTQLRAKRFLIVLDNIHDSQALHDIQICVYCGDPGSTILLTTGNFNLGFDPIFYYHKYTHYMTLLDQNQSWDLFCNNVISLKGRVALDFEKIKSHIVEICDGLPWSIVAVAKRLTKCHDILKEWEKVKKEMESLGILDRNALTFHYNQLPEHLKVCFLYFGVFPKRKEIQVKKLIWLWVAEGFLEPLEHEVLENQGYVYLQEFIDRSLLLICNQGRDGNIKTCRMHSTLHSFCVGEAQKTVVKSFGLCSISIPPKSVNAFEAFNFFEILIQRFEGLGNIVSNNPNLHTLIVSSDEPQIGAPTIHLPSTIWELPHLRHLELGDMYTIDDPPSRVTMMNLQTLSLVSPTHCGREVYFNFPNIRKVKLFYKEDLVPSHIGSNPITLYNLDYLEWLERLTISISIGCIVTLPKRFMFPSQLKKLKLSGTKLSQRDLTTIGMLPELRVLKLENALLGRVWEVAEGEFYKLKFLLIENKNLKQLQIDETNTFLRPERLVLRCCYCLEQIPLSFVGRNLKHIELDGCNLSIVASAKQLYHKQLNHLFGRAELIIDGAVFMDYYPHSEENFHSRR >itb14g17440.t1 pep chromosome:ASM357664v1:14:20672845:20673657:1 gene:itb14g17440 transcript:itb14g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGELGTGTRRPSNDKRRTSSSVLQKQNSSKRATTTDGNSTKVAKCTTCSFNRSDSPSSAIRYLKLLGGKMVAAFRMMSPKRSRKVTSSETTAKPPVPAPAPPALDSHRAEAIHDCIQFINSSSSLPRSNSVSYQG >itb12g20520.t1 pep chromosome:ASM357664v1:12:23013992:23018336:1 gene:itb12g20520 transcript:itb12g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKNITLLLLFIINLFAFHPISASHFNTTNTHSLLLAKNLREANELEVASLLTWKSSLDLTSQKLLSSWVVGGSHCNWTGINCNVDGSITSLNLTGYGLRGTLRGLNFSSMSYLEIIDLSVNAFHGNISFIEEMSNLQNLTTLDLGSNQLLGHIPQEIGLLVSIVELGLYDNSLIGPIPTSIGNLKNLKWLYLGVNNLSSKIPPEIGNLSMLVHLSLAENRLYGSIPRELGKLTSLQRLLLYSNNLNGQIPISLGNLQNLKILSLYTNNLSGHIPQELGLLKSLVVCSLSSNTLTGQIPPEIGNLSMLVNLSLWGNQLYGSIPKELGKLTSLQGLFLYSNNLNGQIPISLGNLQNLKFLSLYTNNLSGHIPQEIGLLKSLVVCDLSSNALMDKIPLEIGNLSMLVNLSLWGNQLYGSIPKELGKLTSLQGLFLYSNNLNGQIPISLGNLQNLKFLSLYTNNLSGHIPQEIGLLKSLVVCDLSSNALMDKIPLEIGNLSMLVNLSLWGNQLYGSIPKELGKLTSLQGLFLYSNNLNGQIPISLGNLQNLKFLSLYTNNLLQNLKFLSLYTNNLSQNLKFLSLYTNNLSGHIPQEIGLLKSLVVCDLSSNALMDKIPLEIGNLSMLVNKIPLEIGNLSMLVNLSLWGNQLYGSIPKELGKLTSLQGLWLYSNYLSGQIPLEIGNLSKLIDLSLGENQLHGFVPKELGKLKSLQSFGLGYNNLNGEIPSEFDNITHLREFNLGYNHLTGSLPENLCVGQSLKFITIDHNKFSGNIPKSLKNCTTLYRVRLDSNDFSGDVSEAFGIYPTLNYIDLSYNNFYGQLSSNWALCPNLTALKMAGNKVIGNIPLDLGNAPLLQYLDLSSNQLVGRIPTSLGKLSKLYVLKLDNNKLTGNISLEVGQLSLLSELNLASNKFVNSIPPQIGRCQRLITLNLSRNMLVGKIPLDILSLKSLENLDLSYNMLSAQIPPQVGGLTNLQTLNLSHNNLSGSIPSSIAQCAALVSVDISYNHLEGPIPNNKAFLQALYSALSNNKGLCGNHSGLKPCSSLSQSDGKNRNLVVIISVVLGSFFLLTVVIIIFVIFPRQKRNTVEEERDFTKDLFTIWSFDGKMTYESIIEATGNFDSSYCIGVGGHGSVFRAELPSGQIFAVKKFHTLGMQDDESWHDLRSFSNEISTLTNLRHRNIVKLYGFCAHNRHSFLIYEYLQGGSLAQVLSDDEKALLLGWLERINVVKVVAKALSYMHHDCLPPIVHRDISSKNVLFDSEHEAHVSDFGTARFLSIDSSNWTSIAGTMGYTAPEFAYTAEVNCKCDVYSFGVVTLEVIMGKHPGDLITCLSTSSFSAIDGMIFKDLLDPRLPSPKRNVTQQLVLVAKIAVSCMNSNPQYRPTMQQVSMLLSKERDFPNFSPDITLSQLFGLEFPNP >itb07g03090.t2 pep chromosome:ASM357664v1:7:2096481:2099667:-1 gene:itb07g03090 transcript:itb07g03090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGFGNVYKGFITEDLREGLQPITVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLIYEYMARGSVESNLFSRVLPPLPWSIRMKIVFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWAVPLLREKKKVLTIVDPRLDGDYPVKAIHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPCEVPADKPVMTVITESANGVVKEQLPS >itb07g03090.t1 pep chromosome:ASM357664v1:7:2096461:2101011:-1 gene:itb07g03090 transcript:itb07g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWCRWEPSIYNAKSESPKDQSPSQKERKEETKLPSNPEEVEHLRSTSAVNPLVAFTFDELITITKNFRRDYMLGGGGFGNVYKGFITEDLREGLQPITVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLIYEYMARGSVESNLFSRVLPPLPWSIRMKIVFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWAVPLLREKKKVLTIVDPRLDGDYPVKAIHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPCEVPADKPVMTVITESANGVVKEQLPS >itb07g03090.t3 pep chromosome:ASM357664v1:7:2096481:2097938:-1 gene:itb07g03090 transcript:itb07g03090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTPNCVTFFFLLAGVLPPLPWSIRMKIVFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLSPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWAVPLLREKKKVLTIVDPRLDGDYPVKAIHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPCEVPADKPVMTVITESANGVVKEQLPS >itb03g10450.t1 pep chromosome:ASM357664v1:3:8308742:8310337:-1 gene:itb03g10450 transcript:itb03g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLWTTLAVFAALSLIHGLLKKTKTKKLPPGPRGLPILGHLHLLGKNPHHDLSKLAKQYGPIMHLRLGFVDTIVASSPHAAQLFLKTHDLVFASRPPIEAAKYISYNQKNMCFSPYGLYWRNMRKLCTLQLLSSHKINSFRATRREELCYLIDSLKQSALDRVAVDLSAKVSELSADMSCRMVVGKKYENKDIDERGFKGVINELMQLSAIPNLGDYFPYLGQLDFQGLTRRMKVLAKVIDRFLERILDEHEQSGSGDQMTKDFVDIILSIMKSGETEFQFNREHVKSVLMDMLAGSIDTSLSAIEWMMSELLRHPQVLKKVQQELESNVGLDRMVDESDLENLNYLEMVMKESFRLHPPGPLLIPHEAMEDSTVDGFHIPKKARIIINVWSIGRDPNVWADPEKFIPERFEGCNIDYQGRNFEFIPFSSGRRSCPGLQLGITMVRLVVAQLVHCFDWELPNGMLPEELDMTEEFSLVMPRTKHLIAVPHYRLHI >itb11g03060.t1 pep chromosome:ASM357664v1:11:1563535:1568322:-1 gene:itb11g03060 transcript:itb11g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPSETDVLALFLFLVLLPLVIYIFLGKWNEAAKKKGRASVLAQQAAEEAFRVEAMAAANVFPPVPVPVPLPSNSLHPVASVPSVPLPNTSLHECARCYGPATTRCSRCKSVRYCSGKCQILHWREIHKQECKLLENNSNISSPKSALMEELPGRVSVDSNMSAQFVEYNAKQPKFEKDPSDHIIQSPISTPSSTGVACASIDPSESPLRQRRSIERRASRKGNKDAMRKAEGNILEGSDQSSRSRVTSSTSCCTIPSSEPSTRNKLRESDSMLYEQYSIPGGDADNKSTMQNGYMSQRQSENDPWTKSYYASSDAPNLESHETNAWETQVDMSNGDNILSGGFTSQDMTAGMDYSCEMVATRKTNKSKPKVSRDEMCSDQDGEGQKGDELRNAKIKESIPSNGRNGIMKMFGLMKSSRPDRQAHSVVKTDKHKKLKMLFPYEEFVKYFQYEVLNVSPRGLINCGNSCYANAVLQCLTFTKPLTVYLLHRSHSRTCCGRDWCLMCELEQHVMMLRESGEPLSPNRFLLRMQSINSQIGNGSQEDAHEFLRLLVASMQSICLEGLGGEKAVEPRLQETTFIQHIFGGRLRSKVKCLRCHHESECYENIMDLTLEIYGWVESLEDALTQFTSPEDLDGENMYRCGSCSSYVRAQKQLSIQEAPNILTIVLKRFQGGCYGKINKCITFPEMLDMIPYMTGTDDVPPLYMLYAVVVHLDTLNASFSGHYISYVKDMQGNWFRIDDTKVQQVPMSRVMTEGAYILFYMRSSPRPDRTGASKSTRHLVPGISKHSSTKSQKPSRPEQIKASNHFACLDRSRDHRLKTGVDVINRTSGSVVRNANRNRPPIMGMYSENMEISDATASDWSLFTSSDEASFTTESTRDSFSTVDYADASALDPFSSFINTMSAPDYNSKRTIACSMFSGTRPHTSFFSENKGFVVDSHLGSVQGGDEPMQVSVVSPPSEAFFVDRHVNYGYESRYVAHQTYYDQLL >itb11g03060.t2 pep chromosome:ASM357664v1:11:1563535:1568322:-1 gene:itb11g03060 transcript:itb11g03060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPSETDVLALFLFLVLLPLVIYIFLGKWNEAAKKKGRASVLAQQAAEEAFRVEAMAAANVFPPVPVPVPLPSNSLHPVASVPSVPLPNTSLHECARCYGPATTRCSRCKSVRYCSGKCQILHWREIHKQECKLLENNSNISSPKSALMEELPGRVSVDSNMSAQFVEYNAKQPKFEKDPSDHIIQSPISTPSSTGVACASIDPSESPLRQRRSIERRASRKGNKDAMRKAEGNILEGSDQSSRSRVTSSTSCCTIPSSEPSTRNKYSIPGGDADNKSTMQNGYMSQRQSENDPWTKSYYASSDAPNLESHETNAWETQVDMSNGDNILSGGFTSQDMTAGMDYSCEMVATRKTNKSKPKVSRDEMCSDQDGEGQKGDELRNAKIKESIPSNGRNGIMKMFGLMKSSRPDRQAHSVVKTDKHKKLKMLFPYEEFVKYFQYEVLNVSPRGLINCGNSCYANAVLQCLTFTKPLTVYLLHRSHSRTCCGRDWCLMCELEQHVMMLRESGEPLSPNRFLLRMQSINSQIGNGSQEDAHEFLRLLVASMQSICLEGLGGEKAVEPRLQETTFIQHIFGGRLRSKVKCLRCHHESECYENIMDLTLEIYGWVESLEDALTQFTSPEDLDGENMYRCGSCSSYVRAQKQLSIQEAPNILTIVLKRFQGGCYGKINKCITFPEMLDMIPYMTGTDDVPPLYMLYAVVVHLDTLNASFSGHYISYVKDMQGNWFRIDDTKVQQVPMSRVMTEGAYILFYMRSSPRPDRTGASKSTRHLVPGISKHSSTKSQKPSRPEQIKASNHFACLDRSRDHRLKTGVDVINRTSGSVVRNANRNRPPIMGMYSENMEISDATASDWSLFTSSDEASFTTESTRDSFSTVDYADASALDPFSSFINTMSAPDYNSKRTIACSMFSGTRPHTSFFSENKGFVVDSHLGSVQGGDEPMQVSVVSPPSEAFFVDRHVNYGYESRYVAHQTYYDQLL >itb11g18490.t1 pep chromosome:ASM357664v1:11:18840281:18845032:-1 gene:itb11g18490 transcript:itb11g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQFRTTAPAARVRPAVSFRTPINLTPKRFGFSRAGAFMSNPKRISLSCSPIRAQQPDLKEESATLPESNISEADALKIKEWEVQRLQDEVAANQGIMIRRRPPTGPPLHYVGPFQFRLQNEGNTPRNILEEIVWNKDKEVSEMKERRHLGTLRTALAEAPPARDFVGALKASYSRTGLPGLIAEVKKASPSRGILREDFDPVDIAKAYEKGGAACLSVLTDEKYFKGSFENLEAIRNAGVTCPLLCKEFIIDAWQIYYARTKGADAVLLIAAVLPDLDIKYMVKICKLLGMTALVEVHDEREMDRVLGIDGIQLVGINNRDLGTFKVDISNTKKLLQGERGEKIREKGIIVVGESGLFTPADIAYVQEAGCRAVLVGESIVKQQDPTKGISELFGKDISC >itb04g00360.t1 pep chromosome:ASM357664v1:4:233607:236053:-1 gene:itb04g00360 transcript:itb04g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMMNNSVGNKQFSWGFVKFAVCLMLVGLAYRLLLSNSVQFSTVLVATDSASVAADETLPLPVSSDELLENVDEDQSSEIVKCDLFVGDWVPDSRGPFYTNETCNSIQTHQNCMSNGRPDTDYIYWRWKPRDCELPRFNPRKFLNFMKNKSMAFIGDSIMRNHVQSLLCILSQEEKVEEVYHDEQYKSRRWYFPANDFTLSVVWSPYLAKATIFEDDNGVSTDIVQLHLDKLDEVWTEQFKNFDYAVVAGGKWYMKPAIYYENGVIVGCHSCPGKNITEVGLDFAYRRAMNSTLGYIASSKRKVYTFFRTTTPSHFENGEWNTGGYCNRTRPFKEGEAEIDSTDELMRRIELEEFEKASAVGSDREKGLSLKLFDTTYVSLLRPDGHPGIYRQFHPLAGKDKGVKVQNDCLHWCLPGPIDSWNDLMMAMLLHP >itb01g16020.t1 pep chromosome:ASM357664v1:1:19652487:19658022:1 gene:itb01g16020 transcript:itb01g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFKYVILGGGVAAGYAAREFANQGVQPGELALISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGEKLLPEWYTEKGISLILGTEIVKVDLASKKLVSAAGESFTYEVLVIATGSTVIRLSDFGVQGADAKNIFYLRDINDADKLVEAIKEKKEGKAVIVGGGYIGLELSAVLKMNNIDVTMVYPEPWCMPRLFTAGIANFYEGYYQNKGIKILKGTVASGFETHPNGEVKEVKLKDGRVLEADIVVVGVGGRPLTALFKGQVEEEKGGIKTDSFFKTNVPNVYAVGDVATFPLKLYNEIRRVEHVDHARKSAEQAVKAIYASEKGTSIEEYDYLPYFYSRAFDLSWQFYGDNVGETVLFGDNDPTSANHKFGQYWIHNGKVIGAFLESGTPEENKAIAKMARAQPPALSLEELAKDGINFATKI >itb01g12960.t1 pep chromosome:ASM357664v1:1:12620292:12621466:1 gene:itb01g12960 transcript:itb01g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPHLNGAYYGPSVPPPAKSYHRPGGGSSCNPCGCLFSCLCNCLCQILCTLLVVLGVVALVLWLVLRPNKVKFYVDDAALTQFDLSTTNSSLYYNLALNFTVRNPNKRIGIYYDHFEASAFYKGQRFSTQNLETFYQGHKNTTALSTAFRSNNIVSLDSGDRSSYNDEKSRGIYEIDVKLYLRIRLKIGWIKTRKIKPTIECDLKVPLQSNGRSSGSFERTRCHLDW >itb08g09230.t1 pep chromosome:ASM357664v1:8:8462613:8464255:-1 gene:itb08g09230 transcript:itb08g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIHRDPQLWDNPLEFRPERFLNQTSTGLDYTGNDHRFSPFGSRRRICAGIPLAEKMLIYNLSSLLHSFDWHLPEGENLDLSDKFGIVTKKCVPLIVVPAQSAASELALVDCSVRLACHARHRLGLGTYRSRSGYIRPRGGRKPEGDEEGTWAGVQACFLANVLARFSLYLRCA >itb12g24780.t1 pep chromosome:ASM357664v1:12:26209065:26213285:1 gene:itb12g24780 transcript:itb12g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPPSSPSSIFLVSSFFLVFILTRFSLVFSIDAAATANLPLQLISLLSLKSSLKDPFNTFHDWDPTPTLSSPGFRPLWCRWSGIKCADDNKSGRVTGLDLSGRNLSGNIPEDIRYLLHLHHLNLSGNLFDGPLPPVIFEFSFLRTLDFSNNSFNSTFPPRISRLKSLALLNGFSNNFVGALPREIARLRNLEYLNLGGSYFSGEIPASYGSFQKLKFLYLAGNLLTGAIPPELGFLNSLENLQLGYNAYTGELPIQLFSLSNLTFLDISQSNLSGVIPPEISNLTKLEILYLFKNHLTGEIPGDLSSLTLLKELDLSNNHLSGAIPASLSSLDKLSVLSLMNNNLTGEIPPGIGFLPNLQRLSLWNNSLSGILPQNLGSNSRLEKLDVSSNYLSGPIPANLCLGDRLNKLILFSNQFSGELPASLANCTALNRLRVQNNKLNGFIPLGFGFLPNFTFMDVSDNVFSGAIPKDLGNAVKLEFLNVSENSFNADLPDNIWSAPNLKIFSGSYSALKGKIPDFRGCQSLYKIELEGNNLTGDIPWDIQHCEKLISLNLRRNSLTGIIPWEISTLPSITDVDLSHNLLTGTIPSNFGSCRTLESFNVSYNQLTGPLPSSGPIFSTLHPSSFAGNNGLCGNSIQRPCRPEIASSMEIKLHPKKTAGAIVWIIAAAFGICLFVLIAACRYLHANYRRRFPGDRDAGPWKLTAFQRLNFTAEDVLECLSISNKVIGMGSTGTVYKVEMPGGEIIAVKKLWGIHKDTVRKKRGVLAEVEVLGKIRHRNIVRLLGCCTNNQGTMLLYEYMPNGSLDDLLHGTNKGINVVADWVTRYKILLGVAQGICYLHHDCDPVIIHRDLKPSNILLDSKIEARVADFGVAKLVQNDESMSIIAGSYGYIAPEYAYSLQVDVKSDIYSYGVVLMEVLTGKRSMDSEFGEGNSIVDWVRSKLKVREGVTDVLDKNAGASCPLVREEMMLLLRIALLCTSRNPADRPSMRDVVSMLQEAKPKRKLAAGSGESGGGNGGVIANLIQKTTVEC >itb01g25240.t1 pep chromosome:ASM357664v1:1:30702480:30706776:1 gene:itb01g25240 transcript:itb01g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSDELLGTFVPIVVYWLYSGLYSLLGGLEDYRLHSKKDEDEKNLVSKMEVVKGVILQQAVQAVVATILFAVTANDSETVLDQQASGLVLARQFIVAMVVLDTWQYFMHRYMHQNKFLYRHIHSQHHRLIVPYAFGALYNHPLEGLILDTVGGAMAFLASGMSPRVSIFFFSFATVKTVDDHCGLWLPGNLFQTFFKNNSAYHDIHHQLYGTKYNFSQPFFVTWDRILGTYMPYEVERRADGGFEARPTKDCNNE >itb06g09100.t2 pep chromosome:ASM357664v1:6:13246117:13250591:-1 gene:itb06g09100 transcript:itb06g09100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLLCKTSMLCTAGRAVSSHSSRSFFTLRTPKLPFRAQGRLKKGSFGIYLTTRCSSMSSSASSPAASLPEKQAEQVDMILAGRNDDHGGVIVEMTSEPMDPSLFVTLLRASISQWRQQGKRGVWIKLPIELANLVEPAVKEGFYYHHAEPNYLMLVHWLPTTASTIPANATHRVGVGAFVMNEKNEVLVVQERSGQFRGTGVWKFPTGVVDEGEDICNAAVREVKEETGIDAKFVEILAFRQSHKSFFEKSDLFFVCMLQPLSFNIRIQEQEIEAAQWIPYEEYASQPFIQKHELFKYIANICSAKIQGNYSGFSAISSVTSFSQKESYLYLNKKPHADFP >itb06g09100.t3 pep chromosome:ASM357664v1:6:13245578:13250591:-1 gene:itb06g09100 transcript:itb06g09100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLLCKTSMLCTAGRAVSSHSSRSFFTLRTPKLPFRAQGRLKKGSFGIYLTTRCSSMSSSASSPAASLPEKQAEQVDMILAGRNDDHGGVIVEMTSEPMDPSLFVTLLRASISQWRQQGKRGVWIKLPIELANLVEPAVKEGFYYHHAEPNYLMLVHWLPTTASTIPANATHRVGVGAFVMNEKNEVLVVQERSGQFRGTGVWKFPTGVVDEGEDICNAAVREVKEETGIDAKFVEILAFRYLFTIV >itb06g09100.t1 pep chromosome:ASM357664v1:6:13246251:13250509:-1 gene:itb06g09100 transcript:itb06g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLLCKTSMLCTAGRAVSSHSSRSFFTLRTPKLPFRAQGRLKKGSFGIYLTTRCSSMSSSASSPAASLPEKQAEQVDMILAGRNDDHGGVIVEMTSEPMDPSLFVTLLRASISQWRQQGKRGVWIKLPIELANLVEPAVKEGFYYHHAEPNYLMLVHWLPTTASTIPANATHRVGVGAFVMNEKNEVLVVQERSGQFRGTGVWKFPTGVVDEGEDICNAAVREVKEETGIDAKFVEILAFRYLFTIV >itb13g05910.t1 pep chromosome:ASM357664v1:13:6999920:7002764:-1 gene:itb13g05910 transcript:itb13g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVFTITTPRFLRAPLRRPFISACSPSLPSNPKLPLIDFARGKFLLRRRLLLLSPKATSDRPGEVQADEVEDSKILQYCSIDKKEKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSADEQRFLEASMAYVSGNPILSDKEFDELKLKLKMDGSEIVVEGPRCSLRSRKVYSDLSVDYLKMLLLNVPGVLVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPFILWLSFTITGIIVKDVLIVKGPCPNCGTENTSFFGTILSVSSGGTTNNIKCSNCETQMIYDSKTRLITLPEGSSA >itb13g20950.t1 pep chromosome:ASM357664v1:13:27648685:27649734:1 gene:itb13g20950 transcript:itb13g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSGGEWSSLNASSRRFWDCDTVVYGSPVSGDVIRSDHGVWAKAIYYAEGLLKILAEKETDKILGVHIMAPNAGDLIHEALKALQLGTSGEDLARAWF >itb15g11840.t2 pep chromosome:ASM357664v1:15:9695929:9699651:-1 gene:itb15g11840 transcript:itb15g11840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METNQFHATSPAAAHHHRPKTLHRHDILSPTTILLIVIPLIIIILLISILLLLLMLRRLQSAKGGGNGETNGVVNNRNCLFISHSTINIKSSPDGSGGCLYGSSSMGRQPQAKVRGIQVFTYKELEVATEKFNEANVIGNGGYGAVYRGVLRDGTVAAIKVLQREGKQWERAFRLEVDILSRLHSPYLVQLLGYCADQRHRLLIFDYMPNGSLQQHLHPEASKSSMAVLNWGVRLRIALDCGRALEYLHDHTAPSVIHRHFKCTNVLLDQNFRAKVSDFGLAKIGSDKIDGLISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTRRPPGEHVLVSWVWIELHYIFGLKNLFVLAT >itb15g11840.t1 pep chromosome:ASM357664v1:15:9695929:9699651:-1 gene:itb15g11840 transcript:itb15g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQFHATSPAAAHHHRPKTLHRHDILSPTTILLIVIPLIIIILLISILLLLLMLRRLQSAKGGGNGETNGVVNNRNCLFISHSTINIKSSPDGSGGCLYGSSSMGRQPQAKVRGIQVFTYKELEVATEKFNEANVIGNGGYGAVYRGVLRDGTVAAIKVLQREGKQWERAFRLEVDILSRLHSPYLVQLLGYCADQRHRLLIFDYMPNGSLQQHLHPEASKSSMAVLNWGVRLRIALDCGRALEYLHDHTAPSVIHRHFKCTNVLLDQNFRAKVSDFGLAKIGSDKIDGLISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTRRPPGEHVLVSWALPRLTNREKVVEMVDPALKGQYSLKDLIQVAAIAAMCVQTEADYRPLMTDVVQSLVPLIKTQSSSCPASFRYNQTSNLNATPL >itb12g24520.t1 pep chromosome:ASM357664v1:12:26016362:26018222:-1 gene:itb12g24520 transcript:itb12g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTYLCFSPSFSLSSLSSHKSQKVTFSILPIRKPQSRIRTRILACEKKGIDLLGDFGARDPFPAEIESNFCENILGNTNTEHKILIPNASALSLSQQECAPISPNQPPMSDFEARQMLFKVVGWRLANEEGVLRLQCTWKLRDSDCCVELINRINEVVEGTGHSSNLHLEQEANHVRADLWTVSIGGLSLNDFIVAAKIDTVKTSDLVPRKRVWA >itb02g15370.t2 pep chromosome:ASM357664v1:2:11184418:11189087:-1 gene:itb02g15370 transcript:itb02g15370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLQRMAGSVRTGGKGTMRRKKKAVHKTNTTDDKRLQSTLKRIGVNAIPAIEEVNIFKEDIVIQFLNPKVQASIAANTWVVGGSPQTKKLQDILPQIIHQLGPDNLENLKKLAEQFQKQAPGAAGTGSAAGAAVAQDEDDDDDVPQLVSGETFEAAAEEGHN >itb02g15370.t1 pep chromosome:ASM357664v1:2:11186704:11189087:-1 gene:itb02g15370 transcript:itb02g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLQRMAGSVRTGGKGTMRRKKKAVHKTNTTDDKRLQSTLKRIGVNAIPAIEEVNIFKEDIVIQFLNPKVQASIAANTWVVGGSPQTKKLQDILPQIIHQLGPDNLENLKKLAEQFQKQAPGAAGTGSAAGAAVAQDEDDDDDVPQLVSGETFEAAAEEGHN >itb03g25510.t1 pep chromosome:ASM357664v1:3:24642091:24642624:1 gene:itb03g25510 transcript:itb03g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLDKVLEDQVTQGVNALVQTVAYNVKLVRGIDSEIKDLTSDIETFSARLVEASKNSWASDHHVLRVVVKKFRTVVNEAQDTIADYVALKEKHGDNNAFSKSLDKIPFCGKIHYYASEIQCIKAKLDKIREDHGQELLYLMTHKINEQNKAAQPTLQLQVPHKSYSPFQIIGLMN >itb15g13150.t1 pep chromosome:ASM357664v1:15:11111726:11115550:-1 gene:itb15g13150 transcript:itb15g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNTSSPIKFAFFFSLSLALASRSTSDTAFFPNFSIRKLNLRRFSSLLPSPESAVPKATPSDLLALLGTKQQASAIDAREAQELRACLKFLVPFVPRYESSQAWSALGLELSGSEGRQEENELVWWPPEPVMELARLAVDSGGDPGSIHWALDPTVIPVPDVEGSKKNRCELTRTPYGRHFINEEINSYLKFLFEMIVSRGPEVGLNVSLNRYDLFHGHLFLATDSRRLGILFHAKEYLAYDEKVFPVNMGYCQIGSNVTYDDSINLRNILWLAPLPSESTKEWLAPGVLVVLDAHPDGVIYRDLIPEYVNFVRTLYEDDFGQAVVDVNYLNVGIEAPEFQLFIC >itb15g13150.t4 pep chromosome:ASM357664v1:15:11111726:11115550:-1 gene:itb15g13150 transcript:itb15g13150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNTSSPIKFAFFFSLSLALASRSTSDTAFFPNFSIRKLNLRRFSSLLPSPESAVPKATPSDLLALLGTKQQASAIDAREAQELRACLKFLVPFVPRYESSQAWSALGLELSGSEGRQEENELVWWPPEPVMELARLAVDSGGDPGSIHWALDPTVIPVPDVEGSKKNRCELTRTPYGRHFINEEINSYLKFLFEMIVSRGPEVGLNVSLNRYDLFHGHLFLATDSRRLGILFHAKEYLAYDEKVFPVNMGYCQIGSNVTYDDSINLRNILWLAPLPSESTKEWLAPVLDAHPDGVIYRDLIPEYVNFVRTLYEDDFGQAVVDVNYLNVGIEAPEFQLFIC >itb15g13150.t3 pep chromosome:ASM357664v1:15:11111962:11115550:-1 gene:itb15g13150 transcript:itb15g13150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNTSSPIKFAFFFSLSLALASRSTSDTAFFPNFSIRKLNLRRFSSLLPSPESAVPKATPSDLLALLGTKQQASAIDAREAQELRACLKFLVPFVPRYESSQAWSALGLELSGSEGRQEENELVWWPPEPVMELARLAVDSGGDPGSIHWALDPTVIPVPDVEGSKKNRCELTRTPYGRHFINEEINSYLKFLFEMIVSRGPEVGLNVSLNRYDLFHGHLFLATDSRRLGILFHAKEYLAYDEKVFPVNMGYCQIGSNVTYDDSINLRNILWLAPLPSESTKEWLAPGISVS >itb15g13150.t2 pep chromosome:ASM357664v1:15:11111726:11115531:-1 gene:itb15g13150 transcript:itb15g13150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNTSSPIKFAFFFSLSLALASRSTSDTAFFPNFSIRKLNLRRFSSLLPSPESAVPKATPSDLLALLGTKQQASAIDAREAQELRACLKFLVPFVPRYESSQAWSALGLELSGSEGRQEENELVWWPPEPVMELARLAVDSGGDPGSIHWALDPTVIPVPDVEGSKKNRCELTRTPYGRHFINEEINSYLKFLFEMIVSRGPEVGLNVSLNRYDLFHGHLFLATDSRRLGILFHAKEYLAYDEKVFPVNMGYCQIGSNVTYDDSINLRNILWLAPLPSESTKEWLAPGVLVVLDAHPDGVIYRDLIPEYVNFVRTLYEGCS >itb06g01880.t1 pep chromosome:ASM357664v1:6:3254660:3258130:1 gene:itb06g01880 transcript:itb06g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVIHNVITWLAVFFEVTEKLPVLSFSQYISSLSIGRSREGGMPGGKLVIEGGFAILQTTLTAGPVKLQIVELICSYSLHLTFEFGAFIDDSIFTVEKVQPANGAWTLGGVGGG >itb03g21240.t5 pep chromosome:ASM357664v1:3:19041833:19048284:-1 gene:itb03g21240 transcript:itb03g21240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKKKLGKYELGKTIGEGTFAKVKFAQNSETGEGVAIKVMAKSTILKHKMVEQIKQEISIMKIVRHPCIVRLHEVLSSQTKLYIVLEFVTGGELFDEIVHKGRLPEDKARLYFQQLLDAVAHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPKQGVDLLHTTCGTPNYVAPEVLGHRGYDGAAADVWSCGVILYVLMAGYLPFNEPDLPTLYQKINAAEFVCPFWFSPGAVSLIQKILDPNPETRIKIEGIKNDPWFQKNYIPIQGRKDEKVSLDDVCAVFDDIEDEFVAEKSESTNNGPFIMNAFEMITLSQGLNLSPLFDRRQDYVKRQTRFVSRQPANVIISTIEAAAESMGLKVHTRNYKVHLLKFYLHSDNYR >itb03g21240.t3 pep chromosome:ASM357664v1:3:19041216:19048284:-1 gene:itb03g21240 transcript:itb03g21240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKKKLGKYELGKTIGEGTFAKVKFAQNSETGEGVAIKVMAKSTILKHKMVEQIKQEISIMKIVRHPCIVRLHEVLSSQTKLYIVLEFVTGGELFDEIVHKGRLPEDKARLYFQQLLDAVAHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPKQGVDLLHTTCGTPNYVAPEVLGHRGYDGAAADVWSCGVILYVLMAGYLPFNEPDLPTLYQKINAAEFVCPFWFSPGAVSLIQKILDPNPETRIKIEGIKNDPWFQKNYIPIQGRKDEKVSLDDVCAVFDDIEDEFVAEKSESTNNGPFIMNAFEMITLSQGLNLSPLFDRRQDYVKRQTRFVSRQPANVIISTIEAAAESMGLKVHTRNYKTRIEGVSANKAVNFAVVLEVFQVAPSLYMVDVRKAAGDTLEYHRVSLLLHFYSSTIPCVFTVHLY >itb03g21240.t1 pep chromosome:ASM357664v1:3:19040648:19048284:-1 gene:itb03g21240 transcript:itb03g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKKKLGKYELGKTIGEGTFAKVKFAQNSETGEGVAIKVMAKSTILKHKMVEQIKQEISIMKIVRHPCIVRLHEVLSSQTKLYIVLEFVTGGELFDEIVHKGRLPEDKARLYFQQLLDAVAHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPKQGVDLLHTTCGTPNYVAPEVLGHRGYDGAAADVWSCGVILYVLMAGYLPFNEPDLPTLYQKINAAEFVCPFWFSPGAVSLIQKILDPNPETRIKIEGIKNDPWFQKNYIPIQGRKDEKVSLDDVCAVFDDIEDEFVAEKSESTNNGPFIMNAFEMITLSQGLNLSPLFDRRQDYVKRQTRFVSRQPANVIISTIEAAAESMGLKVHTRNYKTRIEGVSANKAVNFAVVLEVFQVAPSLYMVDVRKAAGDTLEYHRFYKNLCEKIENVIWKSKEGD >itb03g21240.t2 pep chromosome:ASM357664v1:3:19040648:19048284:-1 gene:itb03g21240 transcript:itb03g21240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKKKLGKYELGKTIGEGTFAKVKFAQNSETGEGVAIKVMAKSTILKHKMVEQIKQEISIMKIVRHPCIVRLHEVLSSQTKLYIVLEFVTGGELFDEIVHKGRLPEDKARLYFQQLLDAVAHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPKQGVDLLHTTCGTPNYVAPEVLGHRGYDGAAADVWSCGVILYVLMAGYLPFNEPDLPTLYQKINAAEFVCPFWFSPGAVSLIQKILDPNPETRIKIEGIKNDPWFQKNYIPIQGRKDEKVSLDDVCAVFDDIEDEFVAEKSESTNNGPFIMNAFEMITLSQGLNLSPLFDRRQDYVKRQTRFVSRQPANVIISTIEAAAESMGLKVHTRNYKTRIEGVSANKAVNFAVVLEVFQVAPSLYMVDVRKAAGDTLEYHRFYKNLCEKIENVIWKSKEGD >itb03g21240.t4 pep chromosome:ASM357664v1:3:19041833:19048284:-1 gene:itb03g21240 transcript:itb03g21240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVKKKLGKYELGKTIGEGTFAKVKFAQNSETGEGVAIKVMAKSTILKHKMVEQIKQEISIMKIVRHPCIVRLHEVLSSQTKLYIVLEFVTGGELFDEIVHKGRLPEDKARLYFQQLLDAVAHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPKQGVDLLHTTCGTPNYVAPEVLGHRGYDGAAADVWSCGVILYVLMAGYLPFNEPDLPTLYQKINAAEFVCPFWFSPGAVSLIQKILDPNPETRIKIEGIKNDPWFQKNYIPIQGRKDEKVSLDDVCAVFDDIEDEFVAEKSESTNNGPFIMNAFEMITLSQGLNLSPLFDRRQDYVKRQTRFVSRQPANVIISTIEAAAESMGLKVHTRNYKVHLLKFYLHSDNYR >itb11g09050.t1 pep chromosome:ASM357664v1:11:5965692:5967724:1 gene:itb11g09050 transcript:itb11g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTWIAYAAAWLSTIALILLAKHLRRRKLHLPPGPKPWPIIGNLNLIGSLPHRSIHDLSQKYGPIMQLQFGSFPVVVGSSVEMAKIFLKTMDINFVGRPKTAAGKYTTYNYSDITWSPYGPYWRQARRMCIMELFSAKRLDSYEYIRSQELKSILAQLYNVSSARQPILLKDYLSTLSLNVISRMVLGKSYLNESEGAIVSPNEFKKMLDELFLLNGVLNIGDSIPWIDFLDLQGYIKRMKALSKKFDRFLEHVVDEHNGRRVAEGDGFVAKDMVDLLLQLADDPSLEVKLERHGVKGFTQDLLAGGTESSAVTVEWAISELLKKPEIFKKATDELDRVIGQKRWVEEKDMPNLPYIQAIVKETMRLHPVAPMLVPRQCREDCKVAGYDIPEGTRILVSVWTIGRDPTLWDNPNEFIPDRFIGKDIDVKGCDYELLPFGAGRRMCPGYSLGLKVIQASLANLLQGFNWRLPNDVTPEKLNMEEIFGLSTPKKIPLAVAIEPRLPMHVYTGSL >itb09g17560.t1 pep chromosome:ASM357664v1:9:12899986:12903602:1 gene:itb09g17560 transcript:itb09g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPYYNFFTGRYNFYPSEPQTHSFPTISSLMASPPAATSAPPLREALPLLNNLSLRREEEEEEEEEASLPACSGGATEMEDEGTVALRIGLPFSPRGNCRSDDVVSGLPLMMSGLIKGQYWIPTPSQILIGPTQFSCHLCFKTFNRYNNLQMHMWGHGSQYRKGPESLRGSQPTAMLKLPCYCCAPGCKHNIDHPRARPLKDFRTLQTHYKRKHGAKAFMCRKCGKPLAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHVKAFGDGHGFLELEEEEDEFGSDVEQGRPGAASSSPVSPD >itb01g16240.t1 pep chromosome:ASM357664v1:1:20205977:20210249:-1 gene:itb01g16240 transcript:itb01g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLELYDDTGAKISDLSDNTRPFGFYSLHDGYRLHVIDTDPSSVTSGGWLEDTSLVENYTISEEAYDKRDGSHKKFKEKLACKSATDYEAKICDNYMEDLCAHIKVGDRCQVELGERRGNVKFVGQAKTLAPGFWVGVQYDEPVGKHDGMVKGKRYFSCPPLHGGMVRPDKVKVGDYPERDLFEEEEI >itb10g21480.t1 pep chromosome:ASM357664v1:10:26685231:26685752:-1 gene:itb10g21480 transcript:itb10g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKSSSRRAANKKQTLIRGPCNAALCCSSCSRLSISSSEDPQSSGGGSSGRFPAISSLSHAMVQERLEQMIREREEVSRRLQRRHGRSSSSGNSNKLIVMVAMEKCSYDPLEDFRESIRQMIVANRIWEPKDLRRLLNCYVSMNSEESRGDILEAFHDVCSALFLSCKYHDS >itb12g12800.t1 pep chromosome:ASM357664v1:12:11477261:11480499:-1 gene:itb12g12800 transcript:itb12g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEEHSGEIKEDSVAAASSADNLPISTVVFIIAMQKEAQPLVNRLQLTEDVDSMFLKGVPWVRYYGNYKDLNISIVCPGKDPILGVENVGTVSAALLTYASIQALQPDLIINAGTAGGFKAKGASIGDVFLVSHVTFHDRRIPIPAFDLYGVGLRQAFVTPNLLQELNMKVGKLSTGDSLDMAPVDESCIIANDATVKDMEGAAVAYVADLLKVPAIYLKGVTDIVDGDRPTSEEFLENLAAVTAALDEAASRVVDFINGKSLPQL >itb01g28720.t1 pep chromosome:ASM357664v1:1:33094918:33099702:-1 gene:itb01g28720 transcript:itb01g28720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPTELIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRVSFRAVKSKRDYLKHRRSYNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVIMSLIQGYPLVQVKQLQNPDTVFETILGIVVRLAEHGLIHCDFNEFNIMINDDEKVTMIDFPQMVSVSHRNAQMYFDRDVECIFKFFRKRFNMSSQENPDDSDDSEAEMEDGVRPEFSDIKKNSGFLDKELAASGFTRKDQDDIEKFIEVDEIEDSVSDDEGNDNMDETEVKDFESLQLDEKEEDGKNEDEKSTKNDKKVETEDNSEDDNQVADEHGLEDGEQIEDEDEDEDDPELVKRLNKQRRRAIQAAHGGRRNLTSRNTYKDKGGRSSHNSKIQKQLSNW >itb02g17160.t4 pep chromosome:ASM357664v1:2:13147925:13151561:1 gene:itb02g17160 transcript:itb02g17160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPPRPAFYNYVIVMFATNVAVLFACGLGVFGIGFGAWLYDLSVVCYHSLYLPFIYATFLADFFQEEDWLLDEAYYSEMKDAGFFDADWE >itb02g17160.t7 pep chromosome:ASM357664v1:2:13146840:13151561:1 gene:itb02g17160 transcript:itb02g17160.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPRELHFSVPLLPYYSFCFVLAKKHSPAKNLQQGQPSTTMS >itb02g17160.t3 pep chromosome:ASM357664v1:2:13147925:13151561:1 gene:itb02g17160 transcript:itb02g17160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPPRPAFYNYVIVMFATNVAVLFACGLGVFGIGFGAWLYDLSVVCYHSLYLPFIYATFLADFFQEEDWLLDEAYYSEMKDAGFFDADWE >itb02g17160.t2 pep chromosome:ASM357664v1:2:13146840:13151561:1 gene:itb02g17160 transcript:itb02g17160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPPRPAFYNYVIVMFATNVAVLFACGLGVFGIGFGAWLYDLSVVCYHSLYLPFIYATFLADFFQEEDWLLDEAYYSEMKDAGFFDADWE >itb02g17160.t6 pep chromosome:ASM357664v1:2:13147925:13151561:1 gene:itb02g17160 transcript:itb02g17160.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPPRPAFYNYVIVMFATNVAVLFACGLGVFGIGFGAWLYDLSVVCYHSLYLPFIYATFLADFFQEEDWLLDEAYYSEMKDAGFFDADWE >itb02g17160.t1 pep chromosome:ASM357664v1:2:13146840:13151561:1 gene:itb02g17160 transcript:itb02g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPPRPAFYNYVIVMFATNVAVLFACGLGVFGIGFGAWLYDLSVVCYHSLYLPFIYATFLADFFQEEDWLLDEAYYSEMKDAGFFDADWE >itb02g17160.t5 pep chromosome:ASM357664v1:2:13147925:13151561:1 gene:itb02g17160 transcript:itb02g17160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPETLFIGQASSPTASVATASAAGNHFISRWYSSSANACRGFWHDAALIVPSILFILYLGFHAKRNIKKLRNRGSYVMIAYYALLWFATLVNFAWSSLQAWQCAPGKDVAWNLLSLFAASGMLCLEISLMSFLLHENYATGLETLTRNFFVSGLFVGVDVLLKVILVFGFRIPLFVDVGLANGGKWAIWFTYRLLLTAAYGYIYFVHFSKWRDNLPPRPAFYNYVIVMFATNVAVLFACGLGVFGIGFGAWLYDLSVVCYHSLYLPFIYATFLADFFQEEDWLLDEAYYSEMKDAGFFDADWE >itb12g22520.t1 pep chromosome:ASM357664v1:12:24602894:24604139:1 gene:itb12g22520 transcript:itb12g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCFYNESIQNISDTYLQPNVDKDVLLNPKVAVPSSAVPLLMAPGLASETKKFYVCSGCNGNRQRYISEYPECVCPTCKSRISTEANYVAPVKADAAMDENGGFVKGVVTYLVMDDLNVMPHSSIATITVLNKNIIKDFGSLEVKDVHLGFDEGLKVLKAALHTDSVFLPK >itb10g21310.t1 pep chromosome:ASM357664v1:10:26587735:26590280:-1 gene:itb10g21310 transcript:itb10g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWGLTLENPDQRSAGFFTNKPVFGFNLSPRLNPINAGGSGGMIPINPAAEKRGPPNEVDFFSDKKLPPPPPQAAAADIVVKKEITLHGEPVTKSDLNVNTGLQLVIANAGSDQSTVDDSVSSDMEERRAKNDLSVLQVELEKMNAENQRLRGMLSQVSTNYSALKQHLENLMQSQNQQSSRIGSTQDREIVDRKSEEKKPEKEETTIPRQFLELVPAAGGAAADEPSQSHTSSEERTLSAGSPRNNTELSRHKGIAREDSPDSESWAPNKLPKLNSSKPVDQAAEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGTHNHPLPPAAMAMASTTSAAANMLLSGAMPSADGMMNTNFLARAILPCSSSMATISASAPFPTVTLDLTQTPNSLPNYQRPPTQFQPPFAGAPQIPQNYPQLPQVFGQGLYNQSKFSGLHVSHQDIGAAAAQAAQLAQQPRVQPPPPQHPLFADTLSAATAAITSDPNFTAALAAAISSIMGGGSQPNNATNAAAAAAATSNTNKTSSFPGN >itb01g04350.t1 pep chromosome:ASM357664v1:1:2941174:2944208:1 gene:itb01g04350 transcript:itb01g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSQYDVMIMVWFWLLMSFITKVSSENNFQQYRCLNTTTTSYTPNSTYKANLDSLLSILSSNTTRSNGFYHTTVGAVHGLFLCRGDVSTDVCGDCFGEARERILELCTDGETAMIWYDECMLRYSEKSMLGILDQSTWFTGTNSENQTKPGYMQFVENMFNQMINRALSSGWDRKFAVSQGHFSEYDTVYGLVQCTPDLSKNDCEICLRNATAMLPHCCSGAKGGRAVFPSCNVRYEFFTFFNLSALAAPPPPPAIHPPPPPPTMVHSSASNNGNKGKSSTKVIIIAASVVSVTGIILLLVGRLPNGEEVAVKRLSSGSTQGDGEFKNEVALIAKLQHRNLVKLMGFCLEREEKILIYEYVPNKSLDYFLFVGMSPEYVLYGMFSAKSDVFSFGVLLLEIITGLKNSSFSKQSTGPQDLISYVWSHWRADTALDMVDKSLGGLYCRNEVIQCIHVGLLCVQDGVNERPTMANVVVMLNSNSATRRAPNPPTIYDGGSDMSTVSADESRSKSRPLSVNEASISELYPR >itb05g03170.t1 pep chromosome:ASM357664v1:5:2604394:2610190:1 gene:itb05g03170 transcript:itb05g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSGSARSLASSVFERSGSRENDDEEELRWAAIQRLPTYDRLRKGILKQTLDNGKVVHQEVDVQKLGMQDKKLLIESILKVVEEDNERFLRRLRDRTDRVGIDIPKIEVRYEDLSIEGDAYVGSRALPPLFNATINLIEGFLEKIRIFPSKKRVVKILKGVNGIIKPSRMTLLLGPPSSGKTTFLKALAGVLDKDLRVEGKISYCGHEMSEFIPQRTCAYISQHDLHHGELTVRETLDFAGRVLGVGTRYELLTELSRRERDAGIKPDPEIDAFMKATAIAGQQSSLVTDYSLKILGLDICADIMVGNDMMRGISGGEKKRVTTGEMLVGPAKVFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMIISLLQPAPEAFDLFDDIILVSEGQIVYHGPRENVVEFFESVGFKCPERKGVADFLQEVTSIKDQEQYWYRKDEPYRFISVAEFANHFSNFHVGCKLYDDELSVPFNKSRTHPAALVTDKYGISNMELFKACLSRKWLLMKHNSFLYIFKTFQITVMSIITFTVFFRTEMKSGRVENGGKFYGALFFSLFNVMFNGTAELGLTVFRLPVFFKQRDSLFFPAWAFALPIWLIKLPLSFMESFIWVILTYYTIGFAPAATRFFRQFLAFFSLHQMALSLFRFIASLGRTQVVANTFGSFTLLVVFVLGGFIVAKAYGDSKSVIRDEKDSNRKIKGKSNPYSKAAATDGSSSSAPPMFEGIDMAVRHSGRGLSSEQKKGMALPFQPLSLAFNHVNYYVDMPAEMKKQGIEERRLQLLQDVSGGFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINICGYPKNQSTFARISGYCEQNDIQSPHVTLYESLMFIEQVMEKVELNPLRDSLVGLPGVDGLSTGQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLMKRGGQVIYAGPLGHHSHQLIKYFESIPGIPKIREGYNPATWMLEISAPAVEAQLDVDFADIYANSDLYRHVAPPSSPFHESLVSEIDAQIL >itb02g21720.t1 pep chromosome:ASM357664v1:2:20749411:20749758:1 gene:itb02g21720 transcript:itb02g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTYTVQEKVGKAFEVTMSTNGGNIECTCKKFIKVGILCRHALLVFKTEGVDEIPGEYIVPRWTRVASARLMHNIWWAKGVNTQADNDAQSLANQLWNEFYNCIGLVNGWQKR >itb03g11120.t2 pep chromosome:ASM357664v1:3:8992978:8998931:-1 gene:itb03g11120 transcript:itb03g11120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGFALFLPLLLFLPCCYVSADQQELRSLLEFKKGIKNDPLNKIFQSWNQTLLSDLSSCPDKFYGVVCDSGSVSAIALDRLGLSGDLKFTTLNGLKQLRNLSLSGNSFTGRVVPALGYMTSLQYLDLSGNQFYGPVPERITELWGLNYLNLSNNNFSKAFPSGIRNLQQLKVLDLHSNGLWGDVQELFSELRNVEYLDLSGNSFFGSLSINRDNLSSLANTLQHMNLSHNNLAGGFFSGDSIQMFRNLMVLDLGNNGLMGQLPSFGSMPNLKVLRLPNNQLYGSIPDELLLGLVPLEELDLSGNGFSGSIEIVNSTTLKTLNLSSNFLSGFPSSIRNCLVVDLSSNNLSGDVSAIESWEANLEVLDLSSNQLTGSLPNLTSQFQQLTFLSIRNNSIRGNLPFSLVASSRLAIVDLSANELDGTIPASFFASSTLMNLNLSGNHLTGSIPLGGSHSSELLVLPSFPQLETLDLSSNSLTGYLPPDISNLGRLKLLNLGKNKLAGEIPSELSKLGGLEYLDLSNNNFKGGIPNNLPSNLRVFNVSYNDLNGTIPENLRRFPETSFRPGNSLLLLPKNLPPGNGIPVPLPSGSKSHNSKSSIKVAIIVASVGAAIMLAFVLLAYRRAKHQNFQSQRGFGNQPAGRDVKLGIFNRPSLFNFHGSSEPPPTSFSFSNDHLLTSNSRSLSGKIESTMEIVENVLPEGVTTGSGHIQSSTLDNHPATSGQKSSPGSPITSSPRFIDTIEQPVTLDVYSPDRLAGELFFLDGSIAFTAEELSRAPAEVLGRSSHGTLYKATLDNGYVLTVKWLRVGLVKDKKEFAKEVKKIGSIRHPNAVPLRAYYWGPREQERLILADYIPGDSLALHLYETTPRKYSPLSFNKRLNIAVEVARCLAFLHEKGLPHGNLKPTNIILVGGDYSARITDYGLHRLMTTSGIAEQILNLGALGYRAPELANATKPILSFKADVYAFGVILMELLTRRSAGDIISGQPGAVDLTDWVWLCNQEGRGMDCIDRDIAGGEEHSKAMDELLAISLRCILPVNERPNIRQVIEDLCSICV >itb03g11120.t1 pep chromosome:ASM357664v1:3:8992978:8998931:-1 gene:itb03g11120 transcript:itb03g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGFALFLPLLLFLPCCYVSADQQELRSLLEFKKGIKNDPLNKIFQSWNQTLLSDLSSCPDKFYGVVCDSGSVSAIALDRLGLSGDLKFTTLNGLKQLRNLSLSGNSFTGRVVPALGYMTSLQYLDLSGNQFYGPVPERITELWGLNYLNLSNNNFSKAFPSGIRNLQQLKVLDLHSNGLWGDVQELFSELRNVEYLDLSGNSFFGSLSINRDNLSSLANTLQHMNLSHNNLAGGFFSGDSIQMFRNLMVLDLGNNGLMGQLPSFGSMPNLKVLRLPNNQLYGSIPDELLLGLVPLEELDLSGNGFSGSIEIVNSTTLKTLNLSSNFLSGFPSSIRNCLVVDLSSNNLSGDVSAIESWEANLEVLDLSSNQLTGSLPNLTSQFQQLTFLSIRNNSIRGNLPFSLVASSRLAIVDLSANELDGTIPASFFASSTLMNLNLSGNHLTGSIPLGGSHSSELLVLPSFPQLETLDLSSNSLTGYLPPDISNLGRLKLLNLGKNKLAGEIPSELSKLGGLEYLDLSNNNFKGGIPNNLPSNLRVFNVSYNDLNGTIPENLRRFPETSFRPGNSLLLLPKNLPPGNGIPVPLPSGSKSHNSKSSIKVAIIVASVGAAIMLAFVLLAYRRAKHQNFQSQRGFGNQPAGRDVKLGIFNRPSLFNFHGSSEPPPTSFSFSNDHLLTSNSRSLSGKIESTMEIVENVLPEGVTTGSGHIQSSTLDNHPATSGQKSSPDRLAGELFFLDGSIAFTAEELSRAPAEVLGRSSHGTLYKATLDNGYVLTVKWLRVGLVKDKKEFAKEVKKIGSIRHPNAVPLRAYYWGPREQERLILADYIPGDSLALHLYETTPRKYSPLSFNKRLNIAVEVARCLAFLHEKGLPHGNLKPTNIILVGGDYSARITDYGLHRLMTTSGIAEQILNLGALGYRAPELANATKPILSFKADVYAFGVILMELLTRRSAGDIISGQPGAVDLTDWVWLCNQEGRGMDCIDRDIAGGEEHSKAMDELLAISLRCILPVNERPNIRQVIEDLCSICV >itb03g16690.t1 pep chromosome:ASM357664v1:3:15561465:15562166:-1 gene:itb03g16690 transcript:itb03g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMNLPMKRGREFDSITAAMANCLMLLSRQHAAAAAAGDFSSAPAPAVAGGRVFECKTCNRQFPSFQALGGHRASHKRPRLMGDLSLQPPASPPKPKTHECSICGVEFALGQALGGHMRRHRAVMNNNNDSHSSGHGDAPAAAESGGRRALCLDLNLTPLENDLEFTFGKVAAHHHVVDCSL >itb07g15920.t1 pep chromosome:ASM357664v1:7:18992716:18993210:-1 gene:itb07g15920 transcript:itb07g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRDGDGASRSSRGGGAEKKKQQPKQKNPYKGIRMRKWGKWVAEIRDTNTRLSLGSYYTLMAAARAYDVALFYLRGPTAKLNFPDCVVSDGQHRQLMPKEIQNRATVVGYRMQFRGGGLHISSTQMSVNFVDHRPDSHVYVTPDLNKYRHLDYSYLDLPTN >itb05g25060.t2 pep chromosome:ASM357664v1:5:29656339:29658350:1 gene:itb05g25060 transcript:itb05g25060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASQAILLSRFTELSLSSYHRTQPPKLPPFSHRLLIRPLLPSISTYRRRVSSFSSISCLISGVDGGGVSDDFVSTRKSGFGREFAVIASMLKRIEPLDTSVISKGVSDHAKDSMKQTISTMLGLLPSDQFSVTIKVSKHPLHRLIVSSIITGYTLWNAEYRVALRRNFDISADDSKCLKVAGESHNLSAKTENVGIREIQSSANGCHEVSQTSTSQNLWGLSPETLSYIHQLEMELSKVKQELHAEKQESLQMEYRSNNDLLEYLRSLEPDMVIELSKPSSFEVEEVIHQLAQNILQGFFKEEIECESKQNDTEYCWTVGTSRDYLAKLLFW >itb05g25060.t3 pep chromosome:ASM357664v1:5:29656339:29657738:1 gene:itb05g25060 transcript:itb05g25060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASQAILLSRFTELSLSSYHRTQPPKLPPFSHRLLIRPLLPSISTYRRRVSSFSSISCLISGVDGGGVSDDFVSTRKSGFGREFAVIASMLKRIEPLDTSVISKGVSDHAKDSMKQTISTMLGLLPSDQFSVTIKVSKHPLHRLIVSSIITGYTLWNAEYRVALRRNFDISADDSKCLKVAGESHNLSAKTENVGIREIQSSANGCHEVSQTSTSQNLWGLSPETLSYIHQLEMELSKVKQVIILLLVHGRLLKMILCSYMNF >itb05g25060.t1 pep chromosome:ASM357664v1:5:29656339:29658577:1 gene:itb05g25060 transcript:itb05g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASQAILLSRFTELSLSSYHRTQPPKLPPFSHRLLIRPLLPSISTYRRRVSSFSSISCLISGVDGGGVSDDFVSTRKSGFGREFAVIASMLKRIEPLDTSVISKGVSDHAKDSMKQTISTMLGLLPSDQFSVTIKVSKHPLHRLIVSSIITGYTLWNAEYRVALRRNFDISADDSKCLKVAGESHNLSAKTENVGIREIQSSANGCHEVSQTSTSQNLWGLSPETLSYIHQLEMELSKVKQELHAEKQESLQMEYRSNNDLLEYLRSLEPDMVIELSKPSSFEVEEVIHQLAQNILQGFFKEEIECESKQNDTEYCWTVGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >itb11g02920.t1 pep chromosome:ASM357664v1:11:1492891:1493831:-1 gene:itb11g02920 transcript:itb11g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTYLSVFLFIFLFQCTAILSQSPAPAPAPPGPTNITAILEKASQFTTFIRLLKSTQTADRITSELNGTNQGLTVFAPTDSAFSNLKTGTLNSFDDEQKSELINFHMLPMFFSVSQFQTASNPLRTQAGGTSDREFPLNITTSGNSVNITTGIVNATVANTIYTDNQLAVYQVDKVLLPLKFFVPPAPASAPAPSKPKKGLRSAASSSGSDDDAPADSSGVTCVIQKVLQAIAFVFAAFFLYL >itb12g26350.t1 pep chromosome:ASM357664v1:12:27299238:27303991:1 gene:itb12g26350 transcript:itb12g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSQPQFRYTQPPSKVLHLRNLPWECSEEELIELGKPFGKVVNTKCNVGANRNQAFIEFAELNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAVTATSAKDALDGRSIPSYLIPELGPCSLRITYSAHTDLTVKFQSHRSRDYTNPLLPVAPSAIDANSQFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHTVFAAFGPVLKIAMFDKNGGLQALIQYPDVQTAVVAKEALEGHSIYEGGYCKLHITYSRHTDLSIKVNNDRSRDYTIPNAPMLNAQPSNMGGQQPPPSVGGPGGHPYNGNQYAPAHDGHAAPQPSASWNSGNVGGPPPMGMQMHNSPYMPSASGPQVYNQSGLPHSGAMPPYQPR >itb14g20080.t3 pep chromosome:ASM357664v1:14:22543621:22547816:1 gene:itb14g20080 transcript:itb14g20080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTSLSTRSATLHRAPSETLLLLRRVKFPASNQCYASFLRIKRAIRPSVLSIPKGNHSQVCCQRKQIPVIDAECMDDIYDALAEHLVPTAAAASNPNLKHIVGLAGPPGSGKSTLASEVVRRVNMLWPQKSCSFDSQVETPATAIVLPMDGFHLYRRQLDAMEDPDEAHARRGAPWTFDPNLLLKCLKALRDQGSVYAPSFDHGVGDPVEDDIFVSVHINALPIVLGLAVTKW >itb14g20080.t2 pep chromosome:ASM357664v1:14:22543621:22547816:1 gene:itb14g20080 transcript:itb14g20080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTSLSTRSATLHRAPSETLLLLRRVKFPASNQCYASFLRIKRAIRPSVLSIPKGNHSQVCCQRKQIPVIDAECMDDIYDALAEHLVPTAAAASNPNLKHIVGLAGPPGSGKSTLASEVVRRVNMLWPQKSCSFDSQVETPATAIVLPMDGFHLYRRQLDAMEDPDEAHARRGAPWTFDPNLLLKCLKALRDQGSVYAPSFDHGVGDPVEDDIFVSVHHKVVIVEGNYLLLEEGVWKDISSIFDEKWFIDVDIEKSMQRVLKRHIATGKPPDVAKWRVTFI >itb14g20080.t4 pep chromosome:ASM357664v1:14:22543621:22546621:1 gene:itb14g20080 transcript:itb14g20080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTSLSTRSATLHRAPSETLLLLRRVKFPASNQCYASFLRIKRAIRPSVLSIPKGNHSQVCCQRKQIPVIDAECMDDIYDALAEHLVPTAAAASNPNLKHIVGLAGPPGSGKSTLASEVVRRVNMLWPQKSCSFDSQVETPATAIVLPMDGFHLYRRQLDAMEDPDEAHARRGG >itb14g20080.t1 pep chromosome:ASM357664v1:14:22543621:22547816:1 gene:itb14g20080 transcript:itb14g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTSLSTRSATLHRAPSETLLLLRRVKFPASNQCYASFLRIKRAIRPSVLSIPKGNHSQVCCQRKQIPVIDAECMDDIYDALAEHLVPTAAAASNPNLKHIVGLAGPPGSGKSTLASEVVRRVNMLWPQKSCSFDSQVETPATAIVLPMDGFHLYRRQLDAMEDPDEAHARRGAPWTFDPNLLLKCLKALRDQGSVYAPSFDHGVGDPVEDDIFVSVHHKVVIVEGNYLLLEEGVWKDISSIFDEKWFIDVDIEKSMQRVLKRHIATGKPPDVAKWRIDYNDRPNAELIMKSKKNADLVIKSIDSSR >itb14g20080.t5 pep chromosome:ASM357664v1:14:22543621:22545475:1 gene:itb14g20080 transcript:itb14g20080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTSLSTRSATLHRAPSETLLLLRRVKFPASNQCYASFLRIKRAIRPSVLSIPKGNHSQVCCQRKQIPVIDAECMDDIYDALAEHLVPTAAAASNPNLKHIVGLAGPPGSGKSTLASEVVRRVNMLWPQKSCSFDSQVETPATAIVLPMDGFHLYRRQLDAMEVGFDNSRFFWCVITMLYLWYT >itb02g25130.t1 pep chromosome:ASM357664v1:2:25852815:25855324:1 gene:itb02g25130 transcript:itb02g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSPHFRSSSPSSTLANRQPITSSDNQNPVNGVKSKHKNTTNGTVSNMKKRSSPVAAMDGGWLGNAHFMTWKAGDLLGVVRHHPLPCVFFASLLFFMGVEYTLRMIPSTSPPFDLGFIATVPLNRILASSPALNTLLAGLNTVFVAMQSAYIVWAFLIEGRPRATISALFMFTCRGILGYVTQLPLPEDFLGSGADFPVGNVSFFLFYSGHVAAAVIASLDMRRMQRRGMAFAFDLLNVLQVVRLLSTRGHYTIDLGVGVGAGMLFDSLAGKYLECKKLN >itb09g27650.t1 pep chromosome:ASM357664v1:9:27895465:27898820:-1 gene:itb09g27650 transcript:itb09g27650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFEDMANMGFCENLDFLSEPPGCTEMAPEVEAKAPGVEDDDYSDDDMDVEELERRMWRDRMLLRRLKEKNKTKDGADNAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAVAKYQAENNIPGKIEESSVVVSTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPSGNEEWWDQLGMPKDQGSPPYKKPHDLKKAWKVGVLTAVIKHLSPDIAKIRKLVRQSKCLQDKMTAKESATWIAIINQEESLARKLYPDSYPQGPSVIGNGSHLSSDASDYDVEGVYDKSPIDVECKPHDMNILNMGMGGPKARNLVPLLAPIKGEVIDLSSDFLQKRKHPSSEEHVDVKIYTCEYSQCPYNNYQMGFQDRTSRNNHQINCQYRFASAQRLGMQNFQINNDGPAVFSAAPAPKPTAAPSSVNAPSFGLPEDGQRMISELMSFYDNNFQQNGNNFNSVNLNAVEDHNLLQLDDDFFKPGAPVGGGPTFQDTNMPITQSGFPSTETQYGQCKFQYESPFNGNTSDPGEFRFGSPFNLAAAVDYSMDQLPKQDVSTWYL >itb09g27650.t2 pep chromosome:ASM357664v1:9:27895465:27898820:-1 gene:itb09g27650 transcript:itb09g27650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFEDMANMGFCENLDFLSEPPGCTEMAPEVEAKAPGVEDDDYSDDDMDVEELERRMWRDRMLLRRLKEKNKTKDGADNAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAVAKYQAENNIPGKIEESSVVVSTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPSGNEEWWDQLGMPKDQGSPPYKKPHDLKKAWKVGVLTAVIKHLSPDIAKIRKLVRQSKCLQDKMTAKESATWIAIINQEESLARKLYPDSYPQGPSVIGNGSHLSSDASDYDVEGVYDKSPIDVECKPHDMNILNMGMGGPKARNLVPLLAPIKGEVIDLSSDFLQKRKHPSSEEHVDVKIYTCEYSQCPYNNYQMGFQDRTSRNNHQINCQYRFASAQRLGMQNFQINNDGPAVFSAAPAPKPTAAPSSVNAPSFGLPEDGQRMISELMSFYDNNFQQNGNNFNSVNLNAVEDHNLLQLDDDFFKPGAPVGGGPTFQDTNMPITQSGFPSTETQYGQCKFQYESPFNGNTSDPGEFRFGSPFNLAAAVDYSMDQLPKQDVSTWYL >itb01g02690.t2 pep chromosome:ASM357664v1:1:1747960:1750176:-1 gene:itb01g02690 transcript:itb01g02690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTIAVWGVAILCFVILMIVTPTIPQSQEYHDFADQREFFGIPNALNVISNFPFFVIGLIGLVLCYHMNYFKLSLQGELWGWTCFYIGVAAVAFGSAYYHLEPNDARLVWDRLPMTVAFTSIIAIFIIERVDERKGTLSIIPLLLVGVVSILYWRYFDDLRPYAAVQFVPCIAIPLMAILMPPMYTHSVYWLWAAAFYLIAKIEEAADKPIYNWTYHIVSGHTLKHLCAAMVPVFLTLMLAKRDVQPQKY >itb01g02690.t1 pep chromosome:ASM357664v1:1:1747529:1750234:-1 gene:itb01g02690 transcript:itb01g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTTIAVWGVAILCFVILMIVTPTIPQSQEYHDFADQREFFGIPNALNVISNFPFFVIGLIGLVLCYHMNYFKLSLQGELWGWTCFYIGVAAVAFGSAYYHLEPNDARLVWDRLPMTVAFTSIIAIFIIERVDERKGTLSIIPLLLVGVVSILYWRYFDDLRPYAAVQFVPCIAIPLMAILMPPMYTHSVYWLWAAAFYLIAKIEEAADKPIYNWTYHIVSGHTLKHLCAAMVPVFLTLMLAKRDVQPQKISLLQTWRLSWTKVKENGNKVESFEYTYTNVPIEEQL >itb11g06770.t1 pep chromosome:ASM357664v1:11:4101832:4108622:-1 gene:itb11g06770 transcript:itb11g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MHRLSRRSLSAALRAGGSRYRNAAAPISSSDLLNQPAGENDAKVRCYSAFALERHGSMRTVKPFNARGGLFLGSRHESTSAASDKQGAVAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLGVTEPELLKDAVDLDIRIQTDKDNGIITIIDTGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKDAGADSNLIGQFGVGFYSAFLVSERVEVSTKSPKSDKQYVWEGEANSSTYSIREEIDPAKHIPRGTRLTLYLKRDDKGFAHPERIEKLVKNYSQFVSFPIYTWQEKGYTKEVEVDDDQAEAKADGQDEPAEKKKKTKTVVEKYWDWDLTNETQPIWLRNPKEVTTEEYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSVLYVPSVSPMGKDDIINAKTKNIRLYVKRVFISSDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFEMIQGIALSDNREDYEKFWENFGKYLKIGCIEDRENHKRLAPLLRFFSSQSDEEMISLDEYVENMKPDQKEIYYIASDSVASAKNTPFLEKLLEKDLEVLFLVDPIDEVAIQNLKSYKEKDFVDISKEDLDLGDKNEDQEKEMKQEFGPTCDWIKKRLGDKVASVQISNRLSSSPCVLVTGKFGWSANMERLMKAQSVGDTSSLAFMKSRRVFEINPNHPIIRTLNEASKNSPDDEEALRAIDLLYDAALVSSGFTPDNPAELGGKIYGMMSMALSGKWGASDGFQQYANPQTQIPETVEAEVVEPAQVSSQK >itb01g20290.t1 pep chromosome:ASM357664v1:1:26547770:26548051:-1 gene:itb01g20290 transcript:itb01g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLGIWILVVICIIALIAIQNILHAFFIALRKKKNKKAAALVGAATGVAVAGAAAGAASAADESSEDKSNCGIEIDVGAAVELIVACFCSGE >itb08g04010.t1 pep chromosome:ASM357664v1:8:3215881:3218409:1 gene:itb08g04010 transcript:itb08g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHKGKFFLFSLLIASDFLHICWVYADSDSDPSSLIEKEDKNESIIDALDVLKRHQVQLEKLEGLVKDLSELVARLDSRLSEYPEVKTSDSAKPSIGRLSKYDLEEKYAGKGRDSDLILEKTGENDGLRNGISGGDKVGAVSVTKYSPFWSERFQFVSAVRLDSDATCINVLPFRDFDGMSKYVAVGDARGQLYVFSRNGDVLIEFNTLLDAPITALASFLTLYKNESVLVTGHENGVILKHRVWEVMQNGDEWPLLHMEFVGKFASPEIGEGGSSIISLEVHHMGRNRFVLSTDFNGNLRVFRENGSVYGLAVPKSRPLAFLKQRLLFLTETGAGSLDLRTMKIRESECEGLNNSVAKSYVFDAIEWSKAYGFTSDGDMIHVLLLGDIMNFKCRVRSKKKLEMGMTEPLTFQAIKGYLLIANLEKVWVYNVSSQHYVRAGGPKLVSSARLDEVMNSFLNYQSVDSNPNKGMLTPLLASDREKLVILGTGNGYIGMYRSNLPVFKNEFNTMLWTSPVLLFILFLFGAWHFFANKKEALTSWGPDDPFGSTSVTDGAPLGSGKGDISFADSSSRNADIMDLRNSSLRGGSSGRFVSQPQYPGGSTTPYRPSAATDANSRPSSVDPNFRAAPELKYRGPNLDTAGFPKRRESLFGNSQVVDDNS >itb10g19440.t1 pep chromosome:ASM357664v1:10:25288568:25289008:1 gene:itb10g19440 transcript:itb10g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFILAILFLLGTCAFEATSRTLEEASLLQRHEKWMAHHGRSYRDEVETANRFRIFKQNLEYIESFNKAGNRSYKLGLNKFSDLSHEEFRSMFLNEDNIFFHPNRFPRRNPTGNGSHVGEASDSLDWRKKGAVTQVKDQSQCGK >itb01g03770.t1 pep chromosome:ASM357664v1:1:2461647:2462200:1 gene:itb01g03770 transcript:itb01g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTRESPVRPCYTYLKPGALAQIRNSKINAKSRFQDAQSLILLLQQNQNSVSEASSPPPPPPAMDGLPCFNLRIRNPRPRCLLNKRLFAVTPVFSESHS >itb09g13500.t1 pep chromosome:ASM357664v1:9:8810301:8814824:-1 gene:itb09g13500 transcript:itb09g13500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAPLAEVPNDEEMEELSNNCSKKSHTWRNWLRTHLSSLLFIHKKSDHRILLSVLGCPLFPLSFHPKLPISQVSSSAEYIIQHFMAATGCRKVEGMVKNMYATGKVVMAVVDELGSTGSPAGSGSSVSQKGCFFMWQMVPGKWLIELVVGGHKIIAGSDGNVAWRHTPWLGAHAAKGGIRPLRRALQGLDPLAISAVFSGAEYIGEKHILGVDCFVLKLSASHKDLAERSDSTAEMIKHIVFGYFSQRSGLLIYLEDSYLTRIQSPGSFATYWETTMCTRIEDYRPVEGVMIAHSGYSSVIITTFGDNLRTGPATTRMQETYTIDDLAFNVPGLSIDSFIPPEELQKDCSEENLDCRYPLQQQ >itb12g07170.t1 pep chromosome:ASM357664v1:12:5368766:5372820:-1 gene:itb12g07170 transcript:itb12g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSTPRGCAGFGCLNLRKPRRRRRVARGRSLSNNKLSKVEPSGSANSSYCNPTFQGNNESWFDPETGGCSDGDDDFYSVQDVLSQSGGGSMSTAVSPRFADLAYYNGDSFADAKPCEISVGSSDANPVKEVAVQEKTSNDSHLISCDPPKEDKDLDHVNKDSNQQIGQSDSPGKRVETETFHSCGILQNRCMSCLACTASPGEKRKTLVPTSPGTKRKSSFTHKFSFKWRESLESHSLLPPNAVLRRPIAGSQVPCSPLEKNISECWSSIEPNTFKVRGLSYIRDKKKESAPNSAAFYPFGVDVFLSPRKINHIARFVELPAIDSSGEIPPILIVNLQIPLYSAAIFQNEYDGEGVSFVFYFKLSENYSKELPVHFQENIRKLIHDEKEKIKGFPIDTSAPFRERLKILGRVVNIEDLHLSATEKKLMNAYNEKPVLSRPQHEFYLDKNYLEIDLDIHRFSYIARKGFETFHDRVKNFVLDFGLTIQGNKAEDLPEHLLCCLRLKEIDYSKYCQLEI >itb12g07170.t3 pep chromosome:ASM357664v1:12:5368766:5372820:-1 gene:itb12g07170 transcript:itb12g07170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSTPRGCAGFGCLNLRKPRRRRRVARGRSLSNNKLSKVEPSGSANSSYCNPTFQGNNESWFDPETGGCSDGDDDFYSVQDVLSQSGGGSMSTAVSPRFADLAYYNGDSFADAKPCEISVGSSDANPVKEVAVQEKTSNDSHLISCDPPKEDKDLDHVNKDSNQQIGQSDSPGKRVETETFHSCGILQNRCMSCLACTASPGEKRKTLVPTSPGTKRKSSFTHKFSFKWRESLESHSLLPPNAVLRRPIAGSQVPCSPLEKNISECWSSIEPNTFKVRGLSYIRDKKKESAPNSAAFYPFGVDVFLSPRKINHIARFVELPAIDSSGEIPPILIVNLQIPLYSAAIFQNEYDGEGVSFVFYFKLSENYSKELPVHFQENIRKLIHDEKEKIKGFPIDTSAPFRERLKILGRVVNIEDLHLSATEKKLMNAYNEKPVLSRPQHEFYLVS >itb12g07170.t2 pep chromosome:ASM357664v1:12:5368766:5372820:-1 gene:itb12g07170 transcript:itb12g07170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVSPRFADLAYYNGDSFADAKPCEISVGSSDANPVKEVAVQEKTSNDSHLISCDPPKEDKDLDHVNKDSNQQIGQSDSPGKRVETETFHSCGILQNRCMSCLACTASPGEKRKTLVPTSPGTKRKSSFTHKFSFKWRESLESHSLLPPNAVLRRPIAGSQVPCSPLEKNISECWSSIEPNTFKVRGLSYIRDKKKESAPNSAAFYPFGVDVFLSPRKINHIARFVELPAIDSSGEIPPILIVNLQIPLYSAAIFQNEYDGEGVSFVFYFKLSENYSKELPVHFQENIRKLIHDEKEKIKGFPIDTSAPFRERLKILGRVVNIEDLHLSATEKKLMNAYNEKPVLSRPQHEFYLDKNYLEIDLDIHRFSYIARKGFETFHDRVKNFVLDFGLTIQGNKAEDLPEHLLCCLRLKEIDYSKYCQLEI >itb10g05640.t1 pep chromosome:ASM357664v1:10:5910437:5912278:1 gene:itb10g05640 transcript:itb10g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPLYFLSIFILCIIAWTPLCSCNDTIFKCLNRRSNPSHPISSVIYTPNNSSYSHVLEQYLRNLRFNESYIRKPLFIVAATYITHIQSSILCAKEHDLQMKIRSGGHDFEGLSYVSDMPFFILDMFNFRSVNVNIEDETAWVEVGAILGEVYYAIANKSNVHGFPAGVCPTVGVGGHISGGGYGNMMRKYGLTVDNIIDAKLVDVNGHVLDRKSMGEDLFWAITGAGASSFGVVISYKIKLVRVPPRVTVFRVTRTHDQNATSIVYCHQRVSSQLDKNLFIRLSLGVANSTSHPGDKTISATFIALFLGDSKTLLSTMNEDFSELGLTKDDCKEMTWIESVLFYTQFPPGTPKEALLNRSPQRNEYTNTYFKIKSDYLQTPMPKEGIEFIFKKIVELERVALTFNPQGGRMAEIPSTAKPFPHRLGNIALIQYAINWNKEEQKAAGHYIELTRQLYEHMTPFVSRNPRRAFLNYRDIDLGINHNGPESYSEGKEYGLKYYNENYERLVNIKSKVDPHNFFRNEQSIPTLPSQRK >itb04g07610.t1 pep chromosome:ASM357664v1:4:5200604:5204152:-1 gene:itb04g07610 transcript:itb04g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFHTTYPTVAARAAVKKHQLEFPFSFSTKDFPAGRNSRKSSRIIRVSDGGGDLSYLDMWKTAMDRERKSVEFQRIVENSRKSSDDEEDGADEEDSPEAMERKSSEFQKILEFSPEERDRIQRMQVIDRASAAISAARALLKENPRPQMEVSGEIEFQSEENEENRQGGLQSGSLSVPQSTNITNATPGPNFWAWTPPPDDDDNHLKSDTKASPLSNLSSPVMEKERSADFLTIPFETAVLGSKIGPPLPPLQSLVEVEDLGTSSSTLEMPHQEEEHELSVQFSAHAAEAALALDQVVEASLYGVNADGSRWWRETGTEQRPDGVICKWTLTRGVSADKTIEWENKYWEAADEFGYKELGSEKSGRDAVGNVWREYWRESMGQSSGLVHMEKTADKWAKNGIGEEWQEQWWEHYDASGQAEKWAHKWCSIDPNTPLDAGHAHVWHERWGEKYDGHGGAMKYTDKWAERCEGDGWSKWGDKWDENFDLNAHGVKQGETWWEGKSGDRWNRTWGEGHNGSGWVHKYGKSSSGEHWDTHAEEETWYERFPHYGFYHCFENSVQLKEVKRPSEWP >itb01g04280.t1 pep chromosome:ASM357664v1:1:2904567:2904935:-1 gene:itb01g04280 transcript:itb01g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVIWFCLLMSLITRGSSETAQYWCPNTTSYSPNSTYKANLDSLLSNLYSNATRDNGFYHTTVGRSGSNDTVHGLFLCRGDVSTDVCRNCVGDISKRVLEDCTNGTTAVIWFENCMLRYSE >itb10g20900.t2 pep chromosome:ASM357664v1:10:26305766:26311066:1 gene:itb10g20900 transcript:itb10g20900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTSTTTTLSLLFLSLLVRFCSSTDTITFNQPLKDGDVLISNAKSFFLGFFTPGNSVGKRYVGIWYQNIPNQRVVWVANRDSPVNGTSGVLFIDRTGNLVIQDKKTNVSVWNTSLSYPPTGTRTYSAQLQDTGNLVLFHDPDRRNITWQSFDYPTNTRLPLMKLGVDKKTGLNRFLTSWKSLDDPGTGPYGFGLELKPVPEVFLFQYNNSGAAPGAPVWRSGSWNGIGLSGVAKQSNSYVANLHYVENADEVTMMHTLKDPSMYLTLVVNESGNLDLITWQDADKKWVTFTSAPKDVCDHYAHCGAFSNCNPYNSGFFECTCLPGFEPRSDREWRAGNGTDGCRRNNTDVCGNGEGFMKLTNFKIPDTNISRVNRTIGLEECEEICLRNCSCSGYASANISGGGTGCITWYGDLIDMRGFASGGQDLYVRVSASDLELLRKSKGLPGKTFIVILVPVAAAVLVVLLLCCLLVKMRKGKRQQQRNNSTRNNPSNHYEGSEMGKHVDETGSSDLSIFDLKTIQSATDNFSAENKLGQGGFGSVYKGTLQDGQLVAVKRLSRTSGQGIDEFKNEVTLIARLQHRNLVRLLGYCIQEGEKMLVYEYLPNRALDSFIFDNTNAVLLDWKKRFEIILGVARGLLYLHQDSRLKIIHRDLKAGNILLDASMHPKISDFGMARIFGGDQIEANTNRVVGTYGYMSPEYAMEGHFSEKSDVYSFGVLLLEIVTGKKNKNPNNEKSMNLITNVWDFWKENRALDIVDPSLGEWYDERQVLRCIHVGLLCVQSYSDDRPIMSEVIFMLSNETELPQPNQPGFIFKHGSGFLPSTSTSTLENQSINDMSITKIEGR >itb10g20900.t1 pep chromosome:ASM357664v1:10:26305766:26309593:1 gene:itb10g20900 transcript:itb10g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTSTTTTLSLLFLSLLVRFCSSTDTITFNQPLKDGDVLISNAKSFFLGFFTPGNSVGKRYVGIWYQNIPNQRVVWVANRDSPVNGTSGVLFIDRTGNLVIQDKKTNVSVWNTSLSYPPTGTRTYSAQLQDTGNLVLFHDPDRRNITWQSFDYPTNTRLPLMKLGVDKKTGLNRFLTSWKSLDDPGTGPYGFGLELKPVPEVFLFQYNNSGAAPGAPVWRSGSWNGIGLSGVAKQSNSYVANLHYVENADEVTMMHTLKDPSMYLTLVVNESGNLDLITWQDADKKWVTFTSAPKDVCDHYAHCGAFSNCNPYNSGFFECTCLPGFEPRSDREWRAGNGTDGCRRNNTDVCGNGEGFMKLTNFKIPDTNISRVNRTIGLEECEEICLRNCSCSGYASANISGGGTGCITWYGDLIDMRGFASGGQDLYVRVSASDLELLRKSKGLPGKTFIVILVPVAAAVLVVLLLCCLLVKMRKGKRQQQRNNSTRNNPSNHYEGSEMGKHVDETGSSDLSIFDLKTIQSATDNFSAENKLGQGGFGSVYKGTLQDGQLVAVKRLSRTSGQGIDEFKNEVTLIARLQHRNLVRLLGYCIQEGEKMLVYEYLPNRALDSFIFDNTNAVLLDWKKRFEIILGVARGLLYLHQDSRLKIIHRDLKAGNILLDASMHPKISDFGMARIFGGDQIEANTNRVVGTYGYMSPEYAMEGHFSEKSDVYSFGVLLLEIVTGKKNKNPNNEKSMNLITNVWDFWKENRALDIVDPSLGEWYDERQVLRCIHVGLLCVQSYSDDRPIMSEVIFMLSNETELPQPNQPGFIFKHGSGFLPSTSTSTLENQSINDMSITKIEGR >itb01g12000.t1 pep chromosome:ASM357664v1:1:11385342:11387679:1 gene:itb01g12000 transcript:itb01g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLKEFFPKIYRRKQEHLQETDYCKYDNQILTLFTSSLYFAALISTFGASYVTRYKGRKASILWGATSFFVGAVINAFAINIAMLIVGRCLLGIGIGFGNQAVPLYLSEMAPAMIRGAVNQLFQLTTCLGIFVANFINYGTEKIHPWGWRISLGLATLPAVLMFVGGLFLPETPNSLVEQGRLEEARAVLEKVRGTSKVDAEFEDLMDASRAAQAVKHPLRNLLKRKNRPQLVIGALAIPAFQQLTGMNSILFYAPVIFQSLGFGSGASLFSSTITSGALVAAALISMAFVDRFGRRFFFLEAGAEMICVLVAVAITLALKFGEGEDLPKGIGIFLIIIICVFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCVNMIFTALIAQCFLASLCHLKYGIFLVFAGLIVVMSCFILLLLPETKQVPIEEVYLLWQKHWFWKNYCPLLENNNGPELQENP >itb07g01600.t2 pep chromosome:ASM357664v1:7:962263:967701:-1 gene:itb07g01600 transcript:itb07g01600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESSKCLSCCFSEENGPVHEVQNPDEEEINEDSDLPAFCEFTFEQLRIATSGFAVENIVSEHGEKAPNVVYKGKFENQSQIAVKRFNRSAWPDSRQFLEEARAVGQLRNDKLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDNDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRIASRCLQSEPRERPNPKSLVAALIPLQRETEVPSHALMGIPGESEPVSLSPLGEACLRKDFTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLNSKKKGDTAFRHKDFRAAIQCYTQFIDVGTVVSPTVYARRCLSYLSSDMPQEALNDAVQAQVISPVWHIASYLQAAALFALGRENEAQTALKEGTALEEERNTTS >itb07g01600.t3 pep chromosome:ASM357664v1:7:962263:967382:-1 gene:itb07g01600 transcript:itb07g01600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESSKCLSCCFSEENGPVHEVQNPDEEEINEDSDLPAFCEFTFEQLRIATSGFAVENIVSEHGEKAPNVVYKGKFENQSQIAVKRFNRSAWPDSRQFLEEARAVGQLRNDKLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDNDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRIASRCLQSEPRERPNPKSLVAALIPLQRETEVPSHALMGIPGESEPVSLSPLGEACLRKDFTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLNSKKKGDTAFRHKDFRAAIQCYTQFIDVGTVVSPTVYARRCLSYLSSDMPQEALNDAVQAQVISPVWHIASYLQAAALFALGRENEAQTALKEGTALEEERNTTS >itb07g01600.t1 pep chromosome:ASM357664v1:7:962263:967701:-1 gene:itb07g01600 transcript:itb07g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESSKCLSCCFSEENGPVHEVQNPDEEEINEDSDLPAFCEFTFEQLRIATSGFAVENIVSEHGEKAPNVVYKGKFENQSQIAVKRFNRSAWPDSRQFLEEARAVGQLRNDKLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDNDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRIASRCLQSEPRERPNPKSLVAALIPLQRETEVPSHALMGIPGESEPVSLSPLGEACLRKDFTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLNSKKKGDTAFRHKDFRAAIQCYTQFIDVGTVVSPTVYARRCLSYLSSDMPQEALNDAVQAQVISPVWHIASYLQAAALFALGRENEAQTALKEGTALEEERNTTS >itb14g13840.t1 pep chromosome:ASM357664v1:14:16146831:16150924:-1 gene:itb14g13840 transcript:itb14g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIKKKPLDRRFPFHLITNPIKQFSSSSSASSDVHAQKLDSKLRSLCVKPCPNSVLVEAVRLFEHAVNDLGQIPYSCTCNFLVATLAKNKAYGLAFDVYDKITHQFTPSFLTLAALVEIFVHNHKPELAISVFGLILKRGFVINVYLFNLLLKGFCENGMVHKGVELFCCLDRKMVSPDIVSYNTLIKGLCKARNLQEALELKAGMENANLAPNSLTYTILMDGLCMEGRVDEAMGLVEEMRTKGLEPNVFVYSIMINGLCSRGNVKEGKELFNVMLGKGVSPNVVTYTSLINGFCKLGQLEETMTTFNAMLEHGVQPDVVTFTGIIDGLCKDGRAEKALKLFNVMLGKGIVPGNITYNVLLRGLCDKGLLADAFNILQLMIEKGERPNLVTYNTVLRGLCNNGKVDDAMTLFHSMLTDKSYVEPDVRTMTLLIHGLCQENRLDLAADIHNMMIENNKLVDVQTFTVLIGAYLKADNFGKAMYIWKKMIELGFTPDSLAYSALIDGFCKLNMLNMAKGIFVIMRDRGCSPTVFDYNSVMAALCKESSLEQARRLFQEMLNGNYEPDSVSYNIIIDSALKSGNLESAEELLNHMLQRGLNPDAFTFSILINRFSNLGRIEEAKKLFNKMVASDFTPDISVYDSLLKGFSLKGKTDEIIQLLQQMAVKGIVLDSKVTSTILRCLCDTSEDLKLVELLPNFTEEPSEENCVLCDELLLKIHESLSKLQSCST >itb14g13840.t2 pep chromosome:ASM357664v1:14:16146831:16150924:-1 gene:itb14g13840 transcript:itb14g13840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIKKKPLDRRFPFHLITNPIKQFSSSSSASSDVHAQKLDSKLRSLCVKPCPNSVLVEAVRLFEHAVNDLGQIPYSCTCNFLVATLAKNKAYGLAFDVYDKITHQFTPSFLTLAALVEIFVHNHKPELAISVFGLILKRGFVINVYLFNLLLKGFCENGMVHKGVELFCCLDRKMVSPDIVSYNTLIKGLCKARNLQEALELKAGMENANLAPNSLTYTILMDGLCMEGRVDEAMGLVEEMRTKGLEPNVFVYSIMINGLCSRGNVKEGKELFNVMLGKGVSPNVVTYTSLINGFCKLGQLEETMTTFNAMLEHGVQPDVVTFTGIIDGLCKDGRAEKALKLFNVMLGKGIVPGNITYNVLLRGLCDKGLLADAFNILQLMIEKGERPNLVTYNTVLRGLCNNGKVDDAMTLFHSMLTDKSYVEPDVRTMTLLIHGLCQENRLDLAADIHNMMIENNKLVDVQTFTVLIGAYLKADNFGKAMYIWKKMIELGFTPDSLAYSALIDGFCKLNMLNMAKGIFVIMRDRGCSPTVFDYNSVMAALCKESSLEQARRLFQEMLNGNYEPDSVSYNIIIDSALKSGNLESAEELLNHMLQRGLNPDAFTFSILINRFSNLGRIEEAKKLFNKMVASDFTPDISVYDSLLKGFSLKGKTDEIIQLLQQMAVKGIVLDSKVTSTILRCLCDTSEDLKLVELLPNFTEEPSEENCVLCDELLLKIHESLSKLQSCST >itb10g16740.t13 pep chromosome:ASM357664v1:10:23025391:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMVIDIDILLCFFFLVLSLLCCSG >itb10g16740.t4 pep chromosome:ASM357664v1:10:23024696:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t11 pep chromosome:ASM357664v1:10:23025391:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMVIDIDILLCFFFLVLSLLCCSG >itb10g16740.t12 pep chromosome:ASM357664v1:10:23025391:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMVIDIDILLCFFFLVLSLLCCSG >itb10g16740.t9 pep chromosome:ASM357664v1:10:23024696:23028576:-1 gene:itb10g16740 transcript:itb10g16740.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t1 pep chromosome:ASM357664v1:10:23024798:23028629:-1 gene:itb10g16740 transcript:itb10g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t3 pep chromosome:ASM357664v1:10:23024798:23028495:-1 gene:itb10g16740 transcript:itb10g16740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t5 pep chromosome:ASM357664v1:10:23024846:23028576:-1 gene:itb10g16740 transcript:itb10g16740.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t7 pep chromosome:ASM357664v1:10:23024794:23028629:-1 gene:itb10g16740 transcript:itb10g16740.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t2 pep chromosome:ASM357664v1:10:23024798:23028629:-1 gene:itb10g16740 transcript:itb10g16740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t14 pep chromosome:ASM357664v1:10:23024798:23028495:-1 gene:itb10g16740 transcript:itb10g16740.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVCNSFPHYEHAPLLLCIYNLTGFPAFLGLSLEAAWRLSPFHPYCLC >itb10g16740.t6 pep chromosome:ASM357664v1:10:23024696:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRVSPSKTPVRPRAPRLQMT >itb10g16740.t8 pep chromosome:ASM357664v1:10:23024696:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRLLSLLISCLPGFRSKSHQNENFL >itb10g16740.t10 pep chromosome:ASM357664v1:10:23024696:23028507:-1 gene:itb10g16740 transcript:itb10g16740.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVENASQETAVINGDGDQLESNGGNNPMAADSHGLYMKVHPFQAHGSNKQLHKLDDQPPVNDGGSSSGGEVEVNGSDSGEEGLKRDMRELEEMFSKLNPMAEEFLPPSMANNGGFFFMNSGKGVSNGRRKNNYNNQGKRRVNSRTTMAQREDVIRRTVYVSDIDHQITEEQLAGLFLGCGQVVDCRICGDPKSILHFAFIEFTDEEGAHAALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRNGDEREMCARTVYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFTMAESAIAALNCSGVILGSLPIRLLSLLISCLPGFRSKSHQNENFL >itb13g25870.t1 pep chromosome:ASM357664v1:13:31183839:31187685:-1 gene:itb13g25870 transcript:itb13g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSITLQGVHIFQISPYVQVRRRSPAVFKFNVSHFPQPSPRRLSILRSMKYPKFEVVRCSIREGRVLELEDAALIVSTCITRTLPLALTLEQGLEKIEEAVEELKANPPACAFGMLRFQVAVPPSPKSLNWFCCQPESSAVFPIIFLSKERDHPTYKSLALGRTHGVFGIGSAINFKGLSSTTAGELSESGRYVSIDPKLIVAYGFFGIDSDKLLSFMKHEAGSDYFFVPQITLDEVGGTAILAVQLAWNDSSMCNFEEALQTYDVSLLQAKHSCCTKSGGCSSKHISSTLGKFNTIEDENIQMVCTPFQLVRNYLGPSNVELKEVTCCSSQFFVRLSPSLAIANNMCHCHHLNEVEYIKQKWANINFLWASLIIEECNRLGLTLQAQGSQRPAVVITSSGTAVSNLHPAIVEASQEFVPLLVLTADRPPELQ >itb13g25870.t2 pep chromosome:ASM357664v1:13:31183839:31187685:-1 gene:itb13g25870 transcript:itb13g25870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSITLQGVHIFQISPYVQVRRRSPAVFKFNVSHFPQPSPRRLSILRSMKYPKFEVVRCSIREGRVLELEDAALIVSTCITRTLPLALTLEQGLEKIEEAVEELKANPPACAFGMLRFQVAVPPSPKSLNWFCCQPESSAVFPIIFLSKERDHPTYKSLALGRTHGVFGIGSAINFKGLSSTTAGELSESGRYVSIDPKLIVAYGFFGIDSDKLLSFMKHEAGSDYFFVPQITLDEVGGTAILAVQLAWNDSSMCNFEEALQTYDVSLLQAKHSCCTKSGGCSSKHISSTLGKFNTIEDENIQMVCTPFQLVRNYLGPSNVELKEVTCCSSQFFVRLSPSLAIANNMCHCHHLNEVEYIKQKWANINFLWASLIIEECNRLGLTYFCIAPGSRSSPLAIAASTHPTTTCIACIDERSLSFHAVGYAKGSQRPAVVITSSGTAVSNLHPAIVEASQEFVPLLVLTADRPPELQ >itb03g24370.t1 pep chromosome:ASM357664v1:3:23090798:23091905:1 gene:itb03g24370 transcript:itb03g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDVFHVHHVKQKEDLRSIPKGCLAIMVGQGEEQQRFVIPVIYINHPLFLQLLKDAEEEYGFKHDGPINIPCHVEQFRHIQGIIDKDTSHHQHHHHHGHAHHHHHHHHSWCFKIRA >itb03g21510.t1 pep chromosome:ASM357664v1:3:19390972:19394029:-1 gene:itb03g21510 transcript:itb03g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMPTIQYNLPHHHFTTHSLSLFPSLPLSFILSSPSQSFSSSSPSSSNLSAQMREQEAMESKCGCWAVLRRSVRGACRSSDSNNSPNTIPRTSLVYDAATETRYLNASNREMCAPDEARDSSDNPQSNQAPEVKNKVSRKLLEFSFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVHFLGQLHHPNLVKLIGYCSEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSSRIKIALGAAKGLAFLHGGTEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVTWARPYLADKRKVYQVVDPRLELNYSIKGVQKVSQIAHICLSRDSKSRPSMDEVVKALTPLQDFNDLAILSNNARIIQSGRHKKKPEGVQQMNYKQCRSIRESPLHSAGRHPCK >itb06g20370.t1 pep chromosome:ASM357664v1:6:23363032:23364030:1 gene:itb06g20370 transcript:itb06g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMIKGVGCAGREFSHSGAVCPATHHWIDALALLVYCDMVDALLTTKQHAWGEWSQSTMKSSPPAISHSSFIVFPSSMVGIFEQFEGDSLLVLL >itb01g28650.t1 pep chromosome:ASM357664v1:1:33045916:33046552:1 gene:itb01g28650 transcript:itb01g28650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKAASQKAVVIFSKSTCCMCHAIKRLFYEQGVSPLIYELDQDFCNGREMERALLRLGCNPAVPAVFVGGRFVGSANTVMTLHITGALKKMLKDAGALWL >itb03g04630.t1 pep chromosome:ASM357664v1:3:2951150:2952907:-1 gene:itb03g04630 transcript:itb03g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASFLLLSLFFLNFVSSFASFSASFPLTSVPIARGSSARNVFLSSMRARGKMVRRGSTAAKAAMPSLDYKSSFKYSMALVVTLPIGTPPQNQQMVLDTGSQLSWIQCHKKVPRKPPPTAAFDPSLSSSFSVLPCSHPMCKPRIPDFTLPTTCDQNRLCHYSYFYADGTLAEGNLVREKFSFSNSQTTPPLVLGCAAESAEAQGILGMNTGRLSFASQAKIQKFSYCVPVRQGAGAGAGRGIIPTGAFYLGRNPNSPAFQYINLLTFSQTQRRSPNLDPLAYTVGFTGIKIGGKKLNISAAVFRPDAGGSGQTIIDSGTQYTFLVDEAYNKVREEVVRLAGPKLKKNYVFAGALDMCFDVSPTEIGRLIGDMTFEFENRVDLLVNRDRISDNVEGGVHCLGIGRSESLGVASNIIGNFHQQNLWVEFDLIRRRVGFGKADCSKAV >itb04g34140.t3 pep chromosome:ASM357664v1:4:36194804:36199101:1 gene:itb04g34140 transcript:itb04g34140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKLSPSKLKKLLSPLCFSAYTSTDPISNCLPNIQTFQPIHIQNPAKTLVPSFSLRTFCALSSPASLLSRDGNYNEATSEALPICPGCGVTMQNSEPKQPGYFINPSTKSPNYKAPIDRNPIADEVDISNSLKSGLLKELVEPENEENVERLTLMPPKKPVVCARCHSLRHYGKVKDPSVENLLPDFDFDHTVGRRLMSVTGARTVVLMVVDASDFDGSFPRIVAKLVSKTIEENSRAWKEGKSGNVPRIVLVVTKIDLLPSSISPTGLEHWVRTRAREGGAIKLTSVHLVSAIRNWGVNNLVNDVVALSGPRGHVWAVGAQNAGKSTLINAIGKCAGRKVTHLTEAPVPGTTLGILRVEGVLPKNAKLFDTPGLLHPHQISTRLTREEQKLVHMSKELKPRTYRIKVGYSVHIGGLMRLDVEELSVDSIYITVWASPLIPLHMGKTENASEMLEEHFGHQLQPPIGGDRVAKLGEWVKKEFRVSGNVWDSSSVDIAASGLGWFAIGLRGEAQLGVWTYDGVDVVVRNALLPYRAHNFEVAGFSVSEIVSRADRTKNKQHSNEKKRRLSDTMATEFAPSSTVAADTAPNST >itb04g34140.t2 pep chromosome:ASM357664v1:4:36194959:36199101:1 gene:itb04g34140 transcript:itb04g34140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKLSPSKLKKLLSPLCFSAYTSTDPISNCLPNIQTFQPIHIQNPAKTLVPSFSLRTFCALSSPASLLSRDGNYNEATSEALPICPGCGVTMQNSEPKQPGYFINPSTKSPNYKAPIDRNPIADEVDISNSLKSGLLKELVEPENEENVERLTLMPPKKPVVCARCHSLRHYGKVKDPSVENLLPDFDFDHTVGRRLMSVTGARTVVLMVVDASDFDGSFPRIVAKLVSKTIEENSRAWKEGKSGNVPRIVLVVTKIDLLPSSISPTGLEHWVRTRAREGGAIKLTSVHLVSAIRNWGVNNLVNDVVALSGPRGHVWAVGAQNAGKSTLINAIGKCAGRKVTHLTEAPVPGTTLGILRVEGVLPKNAKLFDTPGLLHPHQISTRLTREEQKLVHMSKELKPRTYRIKDIQFTLVG >itb04g34140.t4 pep chromosome:ASM357664v1:4:36194959:36199101:1 gene:itb04g34140 transcript:itb04g34140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKLSPSKLKKLLSPLCFSAYTSTDPISNCLPNIQTFQPIHIQNPAKTLVPSFSLRTFCALSSPASLLSRDGNYNEATSEALPICPGCGVTMQNSEPKQPGYFINPSTKSPNYKAPIDRNPIADEVDISNSLKSGLLKELVEPENEENVERLTLMPPKKPVVCARCHSLRHYGKVKDPSVENLLPDFDFDHTVGRRLMSVTGARTVVLMVVDASDFDGSFPRIVAKLVSKTIEENSRAWKEGKSGNVPRIVLVVTKIDLLPSSISPTGLEHWVRTRAREGGAIKLTSVHLVSAIRNWGVNNLVNDVVALSGPRGHVWAVGAQNAGKSTLINAIGKCAGRKVTHLTEAPVPGTTLGILRVEGVLPKNAKLFDTPGLLHPHQISTRLTREEQKLVHMSKELKPRTYRIKVGYSVHIGGLMRLDVEELSVDSIYITVWASPLIPLHMGKTENASEMLEEHFGHQLQPPIGGDRVAKLGEWVKKEFRVSGNVWDSSSVDIAASGLGWFAIGLRGEAQLGVWTYDGVDVVVRNALLPYRAHNFEVAGFSVSEIVSRADRTKNKQHSNEKKRRLSDTMATEFAPSSTVAADTAPNST >itb04g34140.t5 pep chromosome:ASM357664v1:4:36195853:36199101:1 gene:itb04g34140 transcript:itb04g34140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKLSPSKLKKLLSPLCFSAYTSTDPISNCLPNIQTFQPIHIQNPAKTLVPSFSLRTFCALSSPASLLSRDGNYNEATSEALPICPGCGVTMQNSEPKQPGYFINPSTKSPNYKAPIDRNPIADEVDISNSLKSGLLKELVEPENEENVERLTLMPPKKPVVCARCHSLRHYGKVKDPSVENLLPDFDFDHTVGRRLMSVTGARTVVLMVVDASDFDGSFPRIVAKLVSKTIEENSRAWKEGKSGNVPRIVLVVTKIDLLPSSISPTGLEHWVRTRAREGGAIKLTSVHLVSAIRNWGVNNLVNDVVALSGPRGHVWAVGAQNAGKSTLINAIGKCAGRKVTHLTEAPVPGTTLGILRVEGVLPKNAKLFDTPGLLHPHQISTRLTREEQKLVHMSKELKPRTYRIKVGYSVHIGGLMRLDVEELSVDSIYITVWASPLIPLHMGKTENASEMLEEHFGHQLQPPIGGDRVAKLGEWVKKEFRVSGNVWDSSSVDIAASGLGWFAIGLRGEAQLGVWTYDGVDVVVRNALLPYRAHNFEVAGFSVSEIVSRADRTKNKQHSNEKKRRLSDTMATEFAPSSTVAADTAPNST >itb04g34140.t1 pep chromosome:ASM357664v1:4:36194959:36199101:1 gene:itb04g34140 transcript:itb04g34140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKLSPSKLKKLLSPLCFSAYTSTDPISNCLPNIQTFQPIHIQNPAKTLVPSFSLRTFCALSSPASLLSRDGNYNEATSEALPICPGCGVTMQNSEPKQPGYFINPSTKSPNYKAPIDRNPIADEVDISNSLKSGLLKELVEPENEENVERLTLMPPKKPVVCARCHSLRHYGKVKDPSVENLLPDFDFDHTVGRRLMSVTGARTVVLMVVDASDFDGSFPRIVAKLVSKTIEENSRAWKEGKSGNVPRIVLVVTKIDLLPSSISPTGLEHWVRTRAREGGAIKLTSVHLVSAIRNWGVNNLVNDVVALSGPRGHVWAVGAQNAGKSTLINAIGKCAGRKVTHLTEAPVPGTTLGILRVEGVLPKNAKLFDTPGLLHPHQISTRLTREEQKLVHMSKELKPRTYRIKVGYSVHIGGLMRLDVEELSVDSIYITVWASPLIPLHMGKTENASEMLEEHFGHQLQPPIGGDRVAKLGEWVKKEFRVSGNVWDSSSVDIAASGLGWFAIGLRGEAQLGVWTYDGVDVVVRNALLPYRAHNFEVAGFSVSEIVSRADRTKNKQHSNEKKRRLSDTMATEFAPSSTVAADTAPNST >itb12g01400.t5 pep chromosome:ASM357664v1:12:962906:967300:1 gene:itb12g01400 transcript:itb12g01400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNGIIYSSPKSPQHPKIYAKTQVIEHSKQSNGYLSMLRLKLSNKGFWRAYRAPSGYIATRGSSLRCHSAETRHAETEECVREYRDSSDTSSMQGENKDPASLGKSVTASPGLAEACKFVYNDAKFVNERAKNDIVLLSRGIMRLDARARQDVAFLGSEFLKLDARARENTEKIDNDVKRKAERLHHVATILKNKAQSRLKNAADQHWSDGALEADLRRADFAAKQRAMEDALMALEFVKNIHDMMVSKMCNLKRSSLNPNKMTERITLEKNGKMLNFLPGEVSAERISAIQEAYWDIAAALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALSAAPSMWTLGNAGMGALQRLAQDNNPAIAAAASKTINELKRQWEIEEGDSWRFMVNEKSPEDDDVDS >itb12g01400.t4 pep chromosome:ASM357664v1:12:962864:967300:1 gene:itb12g01400 transcript:itb12g01400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNGIIYSSPKSPQHPKIYAKTQVIEHSKQSNGYLSMLRLKLSNKGFWRAYRAPSGYIATRGSSLRCHSAETRHAETEECVREYRDSSDTSSMQGENKDPASLGKSVTASPGLAEACKFVYNDAKFVNERAKNDIVLLSRGIMRLDARARQDVAFLGSEFLKLDAFLLVARARENTEKIDNDVKRKAERLHHVATILKNKAQSRLKNAADQHWSDGALEADLRRADFAAKQRAMEDALMALEFVKNIHDMMVSKMCNLKRSSLNPNKMTERITLEKNGKMLNFLPGEVSAERISAIQEAYWDIAAALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALSAAPSMWTLGNAGMGALQRLAQDNNPAIAAAASKTINELKRQWEIEEGDSWRFMVNEKSPEDDDVDS >itb12g01400.t2 pep chromosome:ASM357664v1:12:962906:967300:1 gene:itb12g01400 transcript:itb12g01400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNGIIYSSPKSPQHPKIYAKTQVIEHSKQSNGYLSMLRLKLSNKGFWRAYRAPSGYIATRGSSLRCHSAETRHAETEECVREYRDSSDTSSMQGENKDPASLGKSVTASPGLAEACKFVYNDAKFVNERAKNDIVLLSRGIMRLDARARQDVAFLGSEFLKLDAFLLVARARENTEKIDNDVKRKAERLHHVATILKNKAQSRLKNAADQHWSDGALEADLRRADFAAKQRAMEDALMALEFVKNIHDMMVSKMCNLKRSSLNPNKMTERITLEKNGKMLNFLPGEVSAERISAIQEAYWDIAAALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALSAAPSMWTLGNAGMGALQRLAQDNNPAIAAAASKTINELKRQWEIEEGDSWRFMVNEKSPEDDDVDS >itb12g01400.t3 pep chromosome:ASM357664v1:12:962899:967300:1 gene:itb12g01400 transcript:itb12g01400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNGIIYSSPKSPQHPKIYAKTQVIEHSKQSNGYLSMLRLKLSNKGFWRAYRAPSGYIATRGSSLRCHSAETRHAETEECVREYRDSSDTSSMQGENKDPASLGKSVTASPGLAEACKFVYNDAKFVNERAKNDIVLLSRGIMRLDARARQDVAFLGSEFLKLDARARENTEKIDNDVKRKAERLHHVATILKNKAQSRLKNAADQHWSDGALEADLRRADFAAKQRAMEDALMALEFVKNIHDMMVSKMCNLKRSSLNPNKMTERITLEKNGKMLNFLPGEVSAERISAIQEAYWDIAAALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALSAAPSMWTLGNAGMGALQRLAQDNNPAIAAAASKTINELKRQWEIEEGDSWRFMVNEKSPEDDDVDS >itb12g01400.t1 pep chromosome:ASM357664v1:12:962862:967242:1 gene:itb12g01400 transcript:itb12g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNGIIYSSPKSPQHPKIYAKTQVIEHSKQSNGYLSMLRLKLSNKGFWRAYRAPSGYIATRGSSLRCHSAETRHAETEECVREYRDSSDTSSMQGENKDPASLGKSVTASPGLAEACKFVYNDAKFVNERAKNDIVLLSRGIMRLDARARQDVAFLGSEFLKLDARARENTEKIDNDVKRKAERLHHVATILKNKAQSRLKNAADQHWSDGALEADLRRADFAAKQRAMEDALMALEFVKNIHDMMVSKMCNLKRSSLNPNKMTERITLEKNGKMLNFLPGEVSAERISAIQEAYWDIAAALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALSAAPSMWTLGNAGMGALQRLAQDNNPAIAAAASKTINELKRQWEIEEGDSWRFMVNEKSPEDDDVDS >itb12g01400.t6 pep chromosome:ASM357664v1:12:962838:967304:1 gene:itb12g01400 transcript:itb12g01400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNGIIYSSPKSPQHPKIYAKTQVIEHSKQSNGYLSMLRLKLSNKGFWRAYRAPSGYIATRGSSLRCHSAETRHAETEECVREYRDSSDTSSMQGENKDPASLGKSVTASPGLAEACKFVYNDAKFVNERAKNDIVLLSRGIMRLDARARQDVAFLGSEFLKLDARARENTEKIDNDVKRKAERLHHVATILKNKAQSRLKNAADQHWSDGALEADLRRADFAAKQRAMEDALMALEFVKNIHDMMVSKMCNLKRSSLNPNKMTERITLEKNGKMLNFLPGEVSAERISAIQEAYWDIAAALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALSAAPSMWTLGNAGMGALQRLAQDNNPAIAAAASKTINELKRQWEIEEGDSWRFMVNEKSPEDDDVDS >itb03g23450.t1 pep chromosome:ASM357664v1:3:21622243:21628610:-1 gene:itb03g23450 transcript:itb03g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRSIQSSFPSPSCGSLNRRVEKLKPAGFGSTLLSRSGRSKSSKAVRINAPVITAKLSARAEPQVLPVSPADVSQDEEQIQYLQTVQQLGNTSVGMWSKPIVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPITLKTDCVSVNYDDFINDVEVGDMLLVDGGMMSLMVKSKTKDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAAVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEDVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAAKVMHTVSLRTEATIVGGETSPNLGQAFKNHMSEMFAFHATMMERVQQRLALYQGVCPIYMEFSKDAEETFTNALSMLQKQGMVKEGEQVALVQSGTQPIWRLQSTHNIQLRKV >itb03g23450.t2 pep chromosome:ASM357664v1:3:21622243:21628610:-1 gene:itb03g23450 transcript:itb03g23450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRSIQSSFPSPSCGSLNRRVEKLKPAGFGSTLLSRSGRSKSSKAVRINAPVITAKLSARAEPQVLPVSPADVSQDEEQIQYLQTVQQLGNTSVGMWSKPIVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPITLKTDCVSVNYDDFINDVEVGDMLLVDGMPVVEVGDMLLVDGGMMSLMVKSKTKDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAAVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEDVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAAKVMHTVSLRTEATIVGGETSPNLGQAFKNHMSEMFAFHATMMERVQQRLALYQGVCPIYMEFSKDAEETFTNALSMLQKQGMVKEGEQVALVQSGTQPIWRLQSTHNIQLRKV >itb13g24730.t1 pep chromosome:ASM357664v1:13:30342806:30345498:-1 gene:itb13g24730 transcript:itb13g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTVFSPALEGMKHVKSENGDLLTKQFLDVCKLILPILDKFGAAMAVVKSDIGGNISRLESKYGTNPTRFNYLYSFVQAEIETKTAKSSSSCTNGLLWLTRAMDFIVELFRNLALHQDWSMSQACTDSYSKTLKNFHGWLASSSFSVAMKLAPDRKKFMEVMGGSGNINGEMEKFCTTFSPILQEIHKFLASVGMDEMKAS >itb09g15460.t1 pep chromosome:ASM357664v1:9:10723680:10725893:-1 gene:itb09g15460 transcript:itb09g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDEGGWSAMGRFGRRIIAGRWFMVFSCLLILSVSGGTYIFGLYSEDIKKTLGYDQTTLNLISFFKELGANIGIISGLINEVTPPWVVLLLGAVMNFSGYFFIWLAVTGHISNPQVWWMCLCICVGANSQTFANTGALVTCVKNFPESRGIVIGLLKGYVGLSGAIISQIYHALYGDDGKSLILLIAWLPAVVSGVFLRTVRVMKVNVGQENELKVFYNLLFVSFGLAGVLMAVIIVQNSVTFNRLDYAICVSVIVVFLFSPIILVIREEMMVWKRKKEMLGDPLTLSIRGGEARGPGEVGDTRKNEEAIEAKTKKLVFWYQDVFRPPERGEDYTILQAVLSVDMLILFAVTITGAGGTLTAIDNLGQIGKSLGYPDKSITTFVSLVSIWGYLGRVASGFASEIFLAKYKFPRPLMLTLVLLLSCSGHLLIAFGVPNSLYAASVLIGLCYGALWPLIFSIISELFGLKHYSTLLNFGGAASPVGAYIFNVRVAGVLYDKVGNKQMEDQGIIRQPGEDLSCTGVECFKLAFLIIAVSSFAGCIVSLILVARTRKFYRSDIYKKFREQAEQVVEVESTTGRRAD >itb02g06250.t2 pep chromosome:ASM357664v1:2:3884257:3889194:-1 gene:itb02g06250 transcript:itb02g06250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEIAHPPMEQLQDLEYCIDSNPPWPETILLAFQNYILVLGTSAMIPTLLVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIVYIISDSSLQRISEPHIRFIQTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGIAPVVGLVGLGLFQRGFPALGNCVEIGLPTLLLVIGLSQYLKHVKPLRDFPLFERFPILICVTIIWIYSVILTASGAYRGKPLHTQLSCRTDRANIISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGAVFASIPFVIYAALYCVLFGLVGTLLPIYIYHISLLSPLKVSFFCRFCRLVVSSVHKHELYAQSLHHGPFTLPRNLDSSVLQ >itb02g06250.t1 pep chromosome:ASM357664v1:2:3884257:3889194:-1 gene:itb02g06250 transcript:itb02g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEIAHPPMEQLQDLEYCIDSNPPWPETILLAFQNYILVLGTSAMIPTLLVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIVYIISDSSLQRISEPHIRFIQTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGIAPVVGLVGLGLFQRGFPALGNCVEIGLPTLLLVIGLSQYLKHVKPLRDFPLFERFPILICVTIIWIYSVILTASGAYRGKPLHTQLSCRTDRANIISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGAVFASIPFVIYAALYCVLFGLVGSVGLSFLQFTNMNCMRNLFITGLSLFLGISIPQFFNEYWSQGRHGLVHTNAGWFNAFMNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >itb02g06250.t4 pep chromosome:ASM357664v1:2:3884274:3887299:-1 gene:itb02g06250 transcript:itb02g06250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGIAPVVGLVGLGLFQRGFPALGNCVEIGLPTLLLVIGLSQYLKHVKPLRDFPLFERFPILICVTIIWIYSVILTASGAYRGKPLHTQLSCRTDRANIISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGAVFASIPFVIYAALYCVLFGLVGSVGLSFLQFTNMNCMRNLFITGLSLFLGISIPQFFNEYWSQGRHGLVHTNAGWFNAFMNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >itb02g06250.t5 pep chromosome:ASM357664v1:2:3884257:3889194:-1 gene:itb02g06250 transcript:itb02g06250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEIAHPPMEQLQDLEYCIDSNPPWPETILLAFQNYILVLGTSAMIPTLLVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIVYIISDSSLQRISEPHIRFIQTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGIAPVVGLVGLGLFQRGFPALGNCVEIGLPTLLLVIGLSQYLKHVKPLRDFPLFERFPILICVTIIWIYSVILTASGAYRGKPLHTQLSCRTDRANIISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGAVFASIPFVIYAALYCVLFGLVL >itb02g06250.t6 pep chromosome:ASM357664v1:2:3884257:3889194:-1 gene:itb02g06250 transcript:itb02g06250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEIAHPPMEQLQDLEYCIDSNPPWPETILLAFQNYILVLGTSAMIPTLLVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIVYIISDSSLQRISEPHIRFIQTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGIAPVVGLVGLGLFQRGFPALGNCVEIGLPTLLLVIGLSQYLKHVKPLRDFPLFERFPILICVTIIWIYSVILTASGAYRGKPLHTQLSCRTDRANIISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGIVLCCVVLETRLECSLILHSLQGNSELFLRLYLS >itb02g06250.t3 pep chromosome:ASM357664v1:2:3884257:3887747:-1 gene:itb02g06250 transcript:itb02g06250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLLVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIVYIISDSSLQRISEPHIRFIQTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGIAPVVGLVGLGLFQRGFPALGNCVEIGLPTLLLVIGLSQYLKHVKPLRDFPLFERFPILICVTIIWIYSVILTASGAYRGKPLHTQLSCRTDRANIISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKFGAVFASIPFVIYAALYCVLFGLVGSVGLSFLQFTNMNCMRNLFITGLSLFLGISIPQFFNEYWSQGRHGLVHTNAGWFNAFMNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >itb09g08760.t1 pep chromosome:ASM357664v1:9:5223024:5225384:-1 gene:itb09g08760 transcript:itb09g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNVSPLFKTLNPRISHSQNLPKTPTFLPLSCPLPSTLPSLIPCALTLQRLPPLRAIGDGEYSSRRGGNDERETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCQYPTYQPKQANRPKSKSKAYVRRRDGPAPASAPAPERRRQEATPESSS >itb15g06670.t1 pep chromosome:ASM357664v1:15:4454017:4455520:-1 gene:itb15g06670 transcript:itb15g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFFPDLILVESTRKPNVPESYPRLMFGWRNIVSSISKLQSSISISASFSRFYFYPVEMNVLLSHRDFELWLSLVICPLQ >itb15g06670.t2 pep chromosome:ASM357664v1:15:4453838:4455520:-1 gene:itb15g06670 transcript:itb15g06670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIITESTRKPNVPESYPRLMFGWRNIVSSISKLQSSISISASFSRFYFYPVEMNVLLSHRDFELWLSLVICPLQ >itb15g03850.t1 pep chromosome:ASM357664v1:15:2430776:2431938:-1 gene:itb15g03850 transcript:itb15g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEHLHATTLAAALPRATSCKSSKKIIPANHYQRSTSVYHDTSEDFSDRLLVRKGGAGGGSPVELRRLGKTRSGGVSALLRSLLSIISFPAILPTCRWLNIPTQLTLTAPALGRKVTGTLFGHRRGHVSFAVQDDPRSEPAVLIELAVSTSALVKEMSSGLVRIALECEKSAPPPPSRAGGGGGRHVKLFQEPVWTMYCNGRKCGYAQSRTCSPSDWHVLSTVQSVSVGAGVIPVVEDAEKNGGASEGELLYMRARFERVVGSRDSEAFYMMNPDGNGGPELSIFLLRI >itb04g09060.t1 pep chromosome:ASM357664v1:4:8373986:8379377:-1 gene:itb04g09060 transcript:itb04g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MMDNSNSKTIVWFRRDLRIEDNPALATAARDGSVFPVFIWCPKEEGQFYPGRVSRWWLKQSLIHLDQSLRSLGAELVLIKADTTLDALLECIAAVKATKVVFNHLYDPISVVRDHSIKQKLGELGYSVQSYNAELLNEPWEVYDDDGKAYTRFDAYWEKCLNQNKEPVSHLPPWRLIAAAGSVKKFSIEELGLENEAEKSSNALLGRGWTPGWSNADKALTEFIEHHLLDYSKSRIKVGGNSTSLLSPYLHFGELSVRKVFHSVHMKRILWAREGNSTGEESANLFLRAIGLRDYSRYICFNFPFTHEQSLLTNLKYFPWNADQARFKAWRQGRTGYPLVDAGMRELWATGWVHNKIRVIVSSFFVKFLLLPWQWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELERLDSPEIQGFNFDSEGEYVRHWLPELARVPTEWIHHPWDAPSTVLKAAGVELGLNYPKPIIDVDVARERLTEAIFIMRGTESAATARNSNGAGEVVFDNSDCNASLAIPKAIPKEKAPPCPSSSSHDQKVPSIRNGAMTKKRPKPEEEERPVKDGIRCQKNEGVTSKMDDELCSTAESSSMKKQNTATSSHSFSVPEAISITSSVKSFEDNESYDIKLQRLKDCEIDETSSKIGAFGGRE >itb11g22490.t1 pep chromosome:ASM357664v1:11:24457272:24460592:1 gene:itb11g22490 transcript:itb11g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAPQRKLKIVAKTQPLLAPTTLKRCSEVEDEVEEEHSCTGSSSSVTDHYHEQRNCRLIGVEEGNREHQIMEQKLVLGLRGVGMHAAIESIEKNEFSSSVMRKAKLQAFGLFAKAVEEKSGFGNGNMQFAWLGASKKELTSILQHGFDPSMNKDGSFGHGVYLCPDHFPLGCLNSTMADENGMRHVLYCRVILGKTELVRPGSTQWHPSSQEFDCGVDDLFFPKKYIVWSTNINTHILPLYIISFTISSLNAHNFSGVQRNTVSPKKPNSPRITFPSLVAELEKILPPQIMQSITTYVKDHREGKITRLEMVKRLRRLAGDELIIKIVKAQKDMETNTSLGNKTGVRRNS >itb11g22490.t2 pep chromosome:ASM357664v1:11:24457272:24460183:1 gene:itb11g22490 transcript:itb11g22490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAPQRKLKIVAKTQPLLAPTTLKRCSEVEDEVEEEHSCTGSSSSVTDHYHEQRNCRLIGVEEGNREHQIMEQKLVLGLRGVGMHAAIESIEKNEFSSSVMRKAKLQAFGLFAKAVEEKSGFGNGNMQFAWLGASKKELTSILQHGFDPSMNKDGSFGHGVYLCPDHFPLGCLNSTMADENGMRHVLYCRVILGKTELVRPGSTQWHPSSQEFDCGVDDLFFPKKYIVWSTNINTHILPLYIISFTISSLNAHNFSGVQRNTVSPKKPNSPRITFPSLVAELEKILPPQIMQSITTYVKDHREGKITRLEMVKRLRRLAGDELIIKIVKAQKDMVCDFVIHDYIIIYIVNNNNSII >itb11g22490.t3 pep chromosome:ASM357664v1:11:24457272:24460592:1 gene:itb11g22490 transcript:itb11g22490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAPQRKLKIVAKTQPLLAPTTLKRCSEVEDEVEEEHSCTGSSSSVTDHYHEQRNCRLIGVEEGNREHQIMEQKLVLGLRGVGMHAAIESIEKNEFSSSVMRKAKLQAFGLFAKAVEEKSGFGNGNMQFAWLGASKKELTSILQHGFDPSMNKDGSFGHGVYLCPDHFPLGWVILGKTELVRPGSTQWHPSSQEFDCGVDDLFFPKKYIVWSTNINTHILPLYIISFTISSLNAHNFSGVQRNTVSPKKPNSPRITFPSLVAELEKILPPQIMQSITTYVKDHREGKITRLEMVKRLRRLAGDELIIKIVKAQKDMETNTSLGNKTGVRRNS >itb02g12570.t1 pep chromosome:ASM357664v1:2:8668214:8670856:1 gene:itb02g12570 transcript:itb02g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRVVVAVASAATGTVILAGICFWFFYRFMMARQRRKNMLGSSFRREDSGSVTLQEFRGAGAVKGVIVDDNGLDFIYLRRLDNGQVTSCFSKIWVNPMEEEKEEEKRVDGRGEKPTSVSKHIQETPLLQHQSNLDFAKEKKALEESPLRVKNVLPLPPPPPPPPPPPPPPPPPTPPLSPPKRIAKPPATPARTKPSAPPPLPESLKPPGEKASNHGKEQASTEGNTTQNCEIQVKLKPLHWDKVITNADHSLVWNDINTGSFRFDDKLMEALFGYNGADSQKSPQGTSSGGSKPPPSQIFILDPRKSQNTAIVIKSLAISREEILDALHEGKGLCADTLEKLTKICPTEEETVKILQFHGDPSKLADAESFLYHILKAVPSAFTRFNAMLFRSNYDPEILGLKETLQTLELACKELRSRGVFMKLLEAILKAGNRMNEGTARGNAQGFNLNSLRKLSYVKSNDGKTTLLHFVIEQVIRSEGERCCTNQKITVSGHDQPGNDTEQQNSSRDWEYLALGLPALKSLSTEFLNVKKAAAIDYNAFMTTCSTIAVRVNDICELLTHCRDGERTEFMQVMKQFVEESNEELKVVREEQRRVMELVKRTTEYYQAGSSKDKEMQSPLQLFIIVKDFLETVDTVCVDITKKLQRKKVTGAESSPPLSPRLGTPMMFKNLDAFFTPNNQGTLSCESDDDFR >itb06g15160.t1 pep chromosome:ASM357664v1:6:19531017:19535768:-1 gene:itb06g15160 transcript:itb06g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLFLLPISLVLIYCYILPSLKHSHTSPPGPPGLPILGNLLQFSSAVPHTFLWQLSQKYGPLMGMKLGSKEVVVVSSAKMAMEALKTHDSAFSSRPSFIGQQRLSYNGLDIAFSPYNDYWREIRKISVLHLFGLNRVKQFHPIREDEVSRMINRISELVFSSEQININETATGLTRSIICRAAFGKRYDEEGQESRRFHRLLCKAQEVLMGGSFVADFLPWFGWVDKITGKAALLESVFKELDSFYEELIKQHLNPDRPKSMDGDLLDILISLMEENSSSIKITWDNIKAVLMNVFIAGTDTSSAVIIWTMTALLKDPRVMNLVQSEIRNLVGKKGKVDEEDIQKLPYFKAVIKESLRLYPPSPILVNRESLSKCTIDRYEIKPKTLIIVNAWAIARDPEYWKNSDKFYPERFLDNNVDYKGQNFEFIPFGAGRRTCPGITLGVASSELALSNLLYAFDWELPSWLKKEDVDTNVLPGLTMHKKTPLCLIAKKV >itb08g07460.t1 pep chromosome:ASM357664v1:8:6386558:6391057:1 gene:itb08g07460 transcript:itb08g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQRDIENPGDDGGNEAQGPYHQIICKAAKRGDWESAKQILDINVKYACDRITKGGDRALHIAAAGKHSEFVKQLVMRLNPEDMELKNDRGCTAFCYAVVSGVVANAKVMIIKNRNLSTIRAYDDMIPIHQAALLGHKEMVSFLCTVTPFQELIYQERIELLEATIRNDMYVQNSTQEQSSPTSCKARKLLEEMWEEYSNLTEKFAHLIRPQQILHCAAKEGNDQFLDMILHTNPDLLWELNEKRQSILHVAVLHRQEKVVRLICNIHGYKDFITLLEDKDRNNVLHLAAMTQTTFCRDNNENPLPDEQLTQRAKEQEKIMPQSLLRLSTAALQFDREISWFKDMENMMPPSLCDMLNDDDRTPKQLFSKEHMSLKIEGEKSIRDTAKSCMLVATLIATVTFSAIFTVPGGNHHEGAGAKKKTVFTVSDAVAMIYSMVSIVNFLSILILRYTEDNFHVALKRLFMGLAALGVSIAGMLVAFTAAFFLVYNKALVPILIAVFSGVPVALFWFLNTKLWFESTASMFRNLYRFIQQ >itb13g03490.t1 pep chromosome:ASM357664v1:13:3374950:3378978:-1 gene:itb13g03490 transcript:itb13g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVEKTRPESEREESCSAKPMKQGEGLRQYYMQHIHDLQLQVRQKSHNLNRLEAQRNELNSKVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRWDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >itb04g25840.t1 pep chromosome:ASM357664v1:4:30300905:30302670:-1 gene:itb04g25840 transcript:itb04g25840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >itb06g18590.t1 pep chromosome:ASM357664v1:6:22210922:22220754:-1 gene:itb06g18590 transcript:itb06g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLQVKQAFRSTAVDLPPEESKAPYHSITLPETFELDDFELPDNDIFQGNYVDHHVSSREQITLQDTMEGVAYSTSKFGLDERFGDGDTSGLDLEEELFLDKVAATGDASVSADPQPSVEPMTPLKQDELRDVMAANSESMIDGAEGDADFMEYAQAPCTPGLAEEPNLSNIQETSACDDHLETEYQHLTESVMKEGLQNISEGADVHDGSSLQGHMPSPNDANRDAVLDLPSEENGCHSGNEQFPPPEVVFGCVPSDDHALASGASAPVDPVNAPLLELTDETAKASDDPIWEENLENQIFSNEKVNISSHAGFHEGCPSPIGTTLEENAHISPGLTSIDLPPLVGVTQNNPTSPNIQESETCQEQSNSGNIMASAQIHILKPCNAQDQSDTSMPCCERLDPELPSDGFGLYHPENSQETLHASDPSTVPGEKHPSSDNLEQISDENHTKVPTSHEDNQTSQKSNVQVDEVISGDCPVENLCNSTLPDLPAPEILLSVPGGVVEIPRGVLVEDTPGDSGRINETDARNSSKLVSGRKRSYTESTLTEQSMNSFESSRMMHSRTTEFVPDDDDLLSSILVGKRSSALKLKPTPPFEITSVKRARFARSSTLKRKVLMDETMVLHGDTIRQQLTDTQDIRRVRKRAPCTCPEISVIQKQFMEDEIFSESILTGVSMELGCLHKQTFDLMGIKVLQNDQSGTQRDDENSKNLDTHREPLESATEPLGTSVNDENSKNLDTQIDDKFSGPDLTRENGIEGSDEPSVTGDDDNVKAVLVENKQGESYNLTLDDNENATQIPMSTTASVEEANASQLEPSENAVEKTNISLDDAENSAPAGVGSLYPNNGALGDVGDNSTGLLITAPCDESKDMDAPMLMDEFCMSTDHVMGDQDVPMTDMSNDKLNASNLQEDNTVDITAIDSEPRAEGSVLFEAAQVDTAVEVKTYEDIRDVEYDPQVLTNGICGEQPTLDSSYSAGTQVPVLDFAIDGGEIPFQQETPLPSMFDAEVCGTELHDPDASNYFGGVNDTEFLNVDDDDDDEAADDYMPDTEGARIADNSGWSSRTRAVAKYLQTVFTKETEYGRKAPLSIDSLLVGKTRKEASRMFFEALVLKTKDFVHVEQPIPFNDITIKPRGQLMKSDF >itb12g02710.t1 pep chromosome:ASM357664v1:12:1772144:1774469:1 gene:itb12g02710 transcript:itb12g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDVWKEINLSSLYDHRFNHDPNLQGMGLHDFLGRPFAKDTPPRPAPPPPATTRLNLNSVPEFHFCGTVQNSALQPPRGPVSPKASFEGLASSPAGSNGSGRKRGHNSCNSSGDNRHKRMIKNRESAARSRARKQESYFLYSHS >itb09g20060.t1 pep chromosome:ASM357664v1:9:16677593:16678651:1 gene:itb09g20060 transcript:itb09g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTIFAKAGQFVATVRVRKVPKEYSSTLSSLQDQAVPCPFESIKEVLIYNLGPNLSDIFFSFDEEPFAAASIAQVHHALLRDHQEVAVKVQYPGLEYQMKFDLATMSFLSKSVAWV >itb13g20480.t2 pep chromosome:ASM357664v1:13:27293783:27297705:-1 gene:itb13g20480 transcript:itb13g20480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSSSVTVDDEFEKLVLRMNPPRVTVDNISDKKTTLIKVDSANKRGSLLEVAQVLTDLNLIVRRAYISSDGGWFMDVFHVTDQYGNKLTEDNVAERIQQSLGPRSYSFRSLTRSVGVQPAVMHTTIELSGRDRQGLLSEIFAVLTDHKCNVVAAEVWTHNSRMASVLYITDEQNGLAIDDPDRLTKIKQLLLYVLMGDRDRTGANTAVSVGSTHKERRLHQLMYADRDFDKDDTGGIPSHHCEPVVTVYNCAEKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHGTIIAEGPEAYQEYYIRHMDGCPISSEAERQRLIHCLEAAIKRRTSSGIRLELCGEDRVGLLSDVTRIFRENGLSVSRAEVTTRGKQAVNAFYVTDASGCPVKSETIEAVRKEIGQTILRVKDDMYANSPPPQQQTGRFSLGNLFKSRSEKFLYNLGLIKSCSSSAVC >itb13g20480.t1 pep chromosome:ASM357664v1:13:27293783:27297803:-1 gene:itb13g20480 transcript:itb13g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSSSVTVDDEFEKLVLRMNPPRVTVDNISDKKTTLIKVDSANKRGSLLEVAQVLTDLNLIVRRAYISSDGGWFMDVFHVTDQYGNKLTEDNVAERIQQSLGPRSYSFRSLTRSVGVQPAVMHTTIELSGRDRQGLLSEIFAVLTDHKCNVVAAEVWTHNSRMASVLYITDEQNGLAIDDPDRLTKIKQLLLYVLMGDRDRTGANTAVSVGSTHKERRLHQLMYADRDFDKDDTGGIPSHHCEPVVTVYNCAEKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHGTIIAEGPEAYQEYYIRHMDGCPISSEAERQRLIHCLEAAIKRRTSSVRNLNIINSEIPKHHIEQLFVCVFFFFFFFLFRG >itb13g20480.t3 pep chromosome:ASM357664v1:13:27293783:27297036:-1 gene:itb13g20480 transcript:itb13g20480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDQYGNKLTEDNVAERIQQSLGPRSYSFRSLTRSVGVQPAVMHTTIELSGRDRQGLLSEIFAVLTDHKCNVVAAEVWTHNSRMASVLYITDEQNGLAIDDPDRLTKIKQLLLYVLMGDRDRTGANTAVSVGSTHKERRLHQLMYADRDFDKDDTGGIPSHHCEPVVTVYNCAEKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHGTIIAEGPEAYQEYYIRHMDGCPISSEAERQRLIHCLEAAIKRRTSSGIRLELCGEDRVGLLSDVTRIFRENGLSVSRAEVTTRGKQAVNAFYVTDASGCPVKSETIEAVRKEIGQTILRVKDDMYANSPPPQQQTGRFSLGNLFKSRSEKFLYNLGLIKSCSSSAVC >itb04g13670.t1 pep chromosome:ASM357664v1:4:13809034:13811572:1 gene:itb04g13670 transcript:itb04g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKSAISDFQPLSVAICLPQSLTVTGTALRQLLQGYRFQIYVVAPSPSLPRSRSALYCRPCPSTYLHLLVFSFTRSRSRNRESILQQRLLGFVSTQQSAIRRYWPEPSVYSSVKRQQVRNPNS >itb10g13330.t1 pep chromosome:ASM357664v1:10:19529791:19530452:-1 gene:itb10g13330 transcript:itb10g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTRKERQPPTRLPAQPQGRQGEISGQRGHATSARNNSAIPGSRYALLDSESEDPTTEQTSDGMERETSNRTQQRPTSQPRNPNLQPHATPSLGRRSTGSTSRRAAKEDEHVVIRGENGGNVINSTTVTTGVPSAAAAPAGDHPVPEHHDDPPDGFDVEGDVVMEIEDNSESTHGEGTGAVVPV >itb01g30260.t1 pep chromosome:ASM357664v1:1:34330919:34331839:1 gene:itb01g30260 transcript:itb01g30260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSFPQYSSSYSADLDFQDRSNTYNFNGPSTKGDGFAPSNHPEMKRKKRIASYNTFTTEGKVKATVRESFKWIKTKLNDIRYGL >itb05g16940.t1 pep chromosome:ASM357664v1:5:24031786:24036493:-1 gene:itb05g16940 transcript:itb05g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIASSFTDDHPNLHKQIGCMNGIFHLFERHHFRRAGSHNNKRLLTGASHNKEDAAQLKLESKESSKILPLSQSPSTLSSLDRNKAVQLESPSSTQSNFPETPKAIAKKQHDLRGVVKDSMYREARGVSIKTVAKEEGRVHVVKHIDSPRPLQPSIPGKRSDGSTRGLAKLQEVPMYSKEQDDSIMQRAKDPPRFSYDGRESREKLKTAMKLKELPRLSLDSKVRSIQASAAESRSNFLLGDTTQEFGNHKRSSSVVARLMGLEEFPYSTAINKGEVIKDRVFPAEDSVSRMKNRVTCSPQVSRKDSSSPRLEPAYSIIKPGSGSRIPLELAPWQQPDSGRALQKTLQKSKEASTSPLRVPSVYGEIEKRITELEFKKSGKDLRALKQILEAMHKRKTRLESENQEQALQTNRYSTDDVSYDQSPRLSVQQQIRNCAAKKEPSSPRRPAESSIQIMKSAKLNDKIRRASSPICNREDSVAMAPRRNHVNDPNRHLLPEDRKANGRSSKAVHGSRASEQIRGGHSHTAERSLGTVSPRLQEKRHGMEKQSHLPPSEPSRVRRNQNKQTKELGLPNRRYKHKSGNRQQGGDDKSEVSSDTRNFSEQGDTASVLSENDISMVSFLDTEITSKYQYTEIGGKQRRNCKEMDPSVRLSEDSPKAELQIATIEQPSPVSVLDAKFYIEDSPSPVKKISTAFRDYDAADDNEVEWHTQDLDHLPVSTRQNLGSEFNHKKFETIEPPVHKLSLLNSRPHEDNVNQIEFFCQSDNPDHQYVNKILLASGLLRDVDRASTATCLHPTGHLLNPMLFDVLEQTEESTRLANEGSTKEIFQLKFDQKTHRKIVFDTVNEIIVHKLSPEGFLMPGRRCFSGHQFLKEVHTEMKYLQPKSDSSLDSEEDELDSILNVDMKHELEDWVESRCEIPALVLDIERLIFKDLITEVISDEATGLQDRSRGHCRQLFAK >itb06g07720.t1 pep chromosome:ASM357664v1:6:11465423:11470824:-1 gene:itb06g07720 transcript:itb06g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRGSQSGFYTRTCNNVDMSAQEDKLPFLESSKAKHFGFLMQNLEMLEHIFADSDGVRLERDILVQLERIGALELFHTCLERTLKSSTSSNLTDVPIELIEEIQEDGLVNVNVDKSVVSSGKRQERESRRKRQPKNTRGIKVELLPKRFGDPRQPKLSSGKKLGNSRNTRQNIAKNEIEMSIGIKVVAELERLRSVLEEETGRVASSSGWAKAAGLSQKILQQRLHFGWYCRDELLRSTRSLIIYLARNYRGFGVAFDDLIQAGNMGVLEGAVRFDHTRGYKFSTYAQYWIRRSMSRTVAKHARGIRIPIKLRKSINEIQKARNALSSSHGKFLSESIIAEFTGLSTERIALANKRLRVVGSVDQKVGDCISVKFMEFTSDTSTMSPEEAVMREYMVNKMYLLLKDLMPIERQILIFRFGLGNIPRKSLEEIGRLFGVSKEWIRRVESRALAKLRNENCLQDFSRYLHV >itb06g07720.t3 pep chromosome:ASM357664v1:6:11465494:11470766:-1 gene:itb06g07720 transcript:itb06g07720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRISIFKGRETLYNSLRTSPSFINGENDASHNDPLKVWTCLSDAQHCENDDSVTAVKMAIGRGSQSGFYTRTCNNVDMSAQEDKLPFLESSKAKHFGFLMQNLEMLEHIFADSDGVRLERDILVQLERIGALELFHTCLERTLKSSTSSNLTDVPIELIEEIQEDGLVNVNVDKSVVSSGKRQERESRRKRQPKNTRGIKVELLPKRFGDPRQPKLSSGKKLGNSRNTRQNIAKNEIEMSIGIKVVAELERLRSVLEEETGRVASSSGWAKAAGLSQKILQQRLHFGWYCRDELLRSTRSLIIYLARNYRGFGVAFDDLIQAGNMGVLEGAVRFDHTRGYKFSTYAQYWIRRSMSRTVAKHARGIRIPIKLRKSINEIQKARNALSSSHGKFLSESIIAEFTGLSTERIALANKRLRVVGSVDQKVGDCISVKFMEFTSDTSTMSPEEAVMREYMVNKMYLLLKDLMPIERQILIFRFGLGNIPRKSLEEIGRLFGVSKEWIRRVESRALAKLRNENCLQDFSRYLHV >itb06g07720.t4 pep chromosome:ASM357664v1:6:11465466:11470787:-1 gene:itb06g07720 transcript:itb06g07720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPLKWGLSVQPPFSTKSSSYWLSSISFKGRETLYNSLRTSPSFINGENDASHNDPLKVWTCLSDAQHCENDDSVTAVKMAIGRGSQSGFYTRTCNNVDMSAQEDKLPFLESSKAKHFGFLMQNLEMLEHIFADSDGVRLERDILVQLERIGALELFHTCLERTLKSSTSSNLTDVPIELIEEIQEDGLVNVNVDKSVVSSGKRQERESRRKRQPKNTRGIKVELLPKRFGDPRQPKLSSGKKLGNSRNTRQNIAKNEIEMSIGIKVVAELERLRSVLEEETGRVASSSGWAKAAGLSQKILQQRLHFGWYCRDELLRSTRSLIIYLARNYRGFGVAFDDLIQAGNMGVLEGAVRFDHTRGYKFSTYAQYWIRRSMSRTVAKHARGIRIPVCDFLRYQIT >itb06g07720.t2 pep chromosome:ASM357664v1:6:11465423:11470824:-1 gene:itb06g07720 transcript:itb06g07720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPLKWGLSVQPPFSTKSSSYWLSSISFKGRETLYNSLRTSPSFINGENDASHNDPLKVWTCLSDAQHCENDDSVTAVKMAIGRGSQSGFYTRTCNNVDMSAQEDKLPFLESSKAKHFGFLMQNLEMLEHIFADSDGVRLERDILVQLERIGALELFHTCLERTLKSSTSSNLTDVPIELIEEIQEDGLVNVNVDKSVVSSGKRQERESRRKRQPKNTRGIKVELLPKRFGDPRQPKLSSGKKLGNSRNTRQNIAKNEIEMSIGIKVVAELERLRSVLEEETGRVASSSGWAKAAGLSQKILQQRLHFGWYCRDELLRSTRSLIIYLARNYRGFGVAFDDLIQAGNMGVLEGAVRFDHTRGYKFSTYAQYWIRRSMSRTVAKHARGIRIPIKLRKSINEIQKARNALSSSHGKFLSESIIAEFTGLSTERIALANKRLRVVGSVDQKVGDCISVKFMEFTSDTSTMSPEEAVMREYMVNKMYLLLKDLMPIERQILIFRFGLGNIPRKSLEEIGRLFGVSKEWIRRVESRALAKLRNENCLQDFSRYLHV >itb10g01290.t1 pep chromosome:ASM357664v1:10:941740:944601:-1 gene:itb10g01290 transcript:itb10g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALSNSLVLSKSKPLHLSSGFCLKSVDQSVCPTTLSFNPRRARNSSVSGSSFVVQAKYSENDGGNSTAFVGGFILGGLIVGTLGCVFAPQISYALAGADRIDKKDLMKKLPKFIYDEEKALERQRKKLAEKIEQLNTAIDDISSQMKHGDSDEAVVNSEVGAEVGL >itb15g12480.t1 pep chromosome:ASM357664v1:15:10369219:10377410:-1 gene:itb15g12480 transcript:itb15g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKTVLDKGWLAARSTEVALTGVQLTTSDPPSIADPNLPWMEAAVPGTVLGTLLKNKLIPDPFYGLQNEAILDIADSGREYYTFWFFTSFECKLSNNQHVDLNFRAINYSAEVYLNGHKEVLPKGMFRRHSIDITDILNPDGQNLLAVIVYPPDHPGKIPPEGGQGGDHEIGKDVAAQYVEGWDWMTPIRDRNTGIWDEVSITVTGPVKIVDPHLVSSFFDDYKRVYLHTTTELVNKSAWEAECSLNIQVSTELEGNMCLVEHLETQKVSLSPGAHVQYTFPQLFFYKPSLWWPNGMGKQSLYNVEITVDVNGYGESDMWSHHFGFRKIQSTIDSSTGGRLFKVNGQPIFIRGGNWILSDGLLRLSKERYKTDIKFHADMNFNMMRCWGGGLAERPDFYYYCDLYGLLVWQEFWITGDCDGRGDPVSNPDGPLDHELFLFCARDTVKLLRNHPSLALWVGGNEQVPPPDINEALKNDLQLHPHFENSNGGETSKELTPVTKEPSEYLDGTRVYVQGSMWDGFADGEGDFTDGPYEIQNPEDFFKDDYYEYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFRKLSDGYVEEVPNPIWEYHKYIPYSKPEKKVHDQVLLYGASNDLDDFCLKAQLVNYVQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRCAAEPVHVQLNLDTYFIEVVNTTSEQLSEVAIEVSVWDLDGECPYYKVSEKLTVPPKKTMPVFEMEYSKQENAKPVYFLLLKFYNVSDNGILSRNFYWLHLSGGDYKLLEPYREKKIPIKITSLTFINGSSYEMRLHVQNTSKKPDSRTTLLENNFVTINDGCDSDAASEPADYLTGGRNEISSFKKLWRSIVGDHSNAKVSEINGTEAGVAFFLYFSVNAAKKSVKEGEDTRILPVHYSDNYFSLVPGESMKITLSFELPPGVTPQVTLHGWNNQALQIVH >itb15g12480.t2 pep chromosome:ASM357664v1:15:10369229:10375784:-1 gene:itb15g12480 transcript:itb15g12480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCLHLFQSNNQHVDLNFRAINYSAEVYLNGHKEVLPKGMFRRHSIDITDILNPDGQNLLAVIVYPPDHPGKIPPEGGQGGDHEIGKDVAAQYVEGWDWMTPIRDRNTGIWDEVSITVTGPVKIVDPHLVSSFFDDYKRVYLHTTTELVNKSAWEAECSLNIQVSTELEGNMCLVEHLETQKVSLSPGAHVQYTFPQLFFYKPSLWWPNGMGKQSLYNVEITVDVNGYGESDMWSHHFGFRKIQSTIDSSTGGRLFKVNGQPIFIRGGNWILSDGLLRLSKERYKTDIKFHADMNFNMMRCWGGGLAERPDFYYYCDLYGLLVWQEFWITGDCDGRGDPVSNPDGPLDHELFLFCARDTVKLLRNHPSLALWVGGNEQVPPPDINEALKNDLQLHPHFENSNGGETSKELTPVTKEPSEYLDGTRVYVQGSMWDGFADGEGDFTDGPYEIQNPEDFFKDDYYEYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFRKLSDGYVEEVPNPIWEYHKYIPYSKPEKKVHDQVLLYGASNDLDDFCLKAQLVNYVQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRCAAEPVHVQLNLDTYFIEVVNTTSEQLSEVAIEVSVWDLDGECPYYKVSEKLTVPPKKTMPVFEMEYSKQENAKPVYFLLLKFYNVSDNGILSRNFYWLHLSGGDYKLLEPYREKKIPIKITSLTFINGSSYEMRLHVQNTSKKPDSRTTLLENNFVTINDGCDSDAASEPADYLTGGRNEISSFKKLWRSIVGDHSNAKVSEINGTEAGVAFFLYFSVNAAKKSVKEGEDTRILPVHYSDNYFSLVPGESMKITLSFELPPGVTPQVTLHGWNNQALQIVH >itb06g14310.t3 pep chromosome:ASM357664v1:6:18885260:18888937:1 gene:itb06g14310 transcript:itb06g14310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDLGKLFIGGISWDTDEDRLKEYFRSYGEVVEAVIMRDRNTGRARGFGFVVFADPAVAERVVKEKHMIDGRTVEAKKAVPRDDQNIINRSNSSIQGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRALYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRPNNFLNNYAQGYNLGSVGGYGVRMDNRFSPVASGRTGFSQFANPAYGMSMNLDPALNPSFAVGSNFSSNVGYGRVLSPYLSSNSSRYNTPIGYSPSSNRGDSFLSSPTRNLRGNGGLNTSMTLGGSGSFLASGGFGVFGNNGANWGSSVPAQVGGNSSGYAGGNFGFRSGDNSYGLGSGGIGRNNAAAIATTSSFTTPIGAYEGSYGDLYRSASMYGDPTWQAASSDPDGSGSFGYGLGNPGAATAKDSEGYIGGYNIANRQSNRGIAA >itb06g14310.t1 pep chromosome:ASM357664v1:6:18885260:18888937:1 gene:itb06g14310 transcript:itb06g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDLGKLFIGGISWDTDEDRLKEYFRSYGEVVEAVIMRDRNTGRARGFGFVVFADPAVAERVVKEKHMIDGRTVEAKKAVPRDDQNIINRSNSSIQGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRALYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRPNNFLNNYAQGYNLGSVGGYGVRMDNRFSPVASGRTGFSQFANPAYGMSMNLDPALNPSFAVGSNFSSNVGYGRVLSPYLSSNSSRYNTPIGYSPSSNRGDSFLSSPTRNLRGNGGLNTSMTLGGSGSFLASGGFGVFGNNGANWGSSVPAQVGGNSSGYAGGNFGFRSGDNSYGLGSGGIGRNNAAAIATTSSFTTPIGAYEGSYGDLYRSASMYGDPTWQAASSDPDGSGSFGYGLGNPGAATAKDSEGYIGGYNIANRQSNRGIAA >itb06g14310.t2 pep chromosome:ASM357664v1:6:18885217:18888907:1 gene:itb06g14310 transcript:itb06g14310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDLGKLFIGGISWDTDEDRLKEYFRSYGEVVEAVIMRDRNTGRARGFGFVVFADPAVAERVVKEKHMIDGRTVEAKKAVPRDDQNIINRSNSSIQGSPGPGRTKKIFVGGLASTVTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRALYKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRPNNFLNNYAQGYNLGSVGGYGVRMDNRFSPVASGRTGFSQFANPAYGMSMNLDPALNPSFAVGSNFSSNVGYGRVLSPYLSSNSSRYNTPIGYSPSSNRGDSFLSSPTRNLRGNGGLNTSMTLGGSGSFLASGGFGVFGNNGANWGSSVPAQVGGNSSGYAGGNFGFRSGDNSYGLGSGGIGRNNAAAIATTSSFTTPIGAYEGSYGDLYRSASMYGDPTWQAASSDPDGSGSFGYGLGNPGAATAKDSEGYIGGYNIANRQSNRGIAA >itb14g00120.t1 pep chromosome:ASM357664v1:14:86281:89629:1 gene:itb14g00120 transcript:itb14g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGSSKKMIATQAEMVEAKVPLGYRDQCAHLLIPLNKCRQAELYLPWKCENERHSYEKCEYELVMERMLQMQKIRQQEAAHLKHGGAQQSIPLAPKTANA >itb01g00900.t1 pep chromosome:ASM357664v1:1:426904:428520:1 gene:itb01g00900 transcript:itb01g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKNQDEKKLKLNQPILSVRRSGKDDKRKIDDSSSLHSVPQAALYRSESKLDPVRSPGVVPFVWEESPGKPKDGAKLQNDTTGRPRVVPRLPHGRIMAKTNQQCFDSEISEDEAYAESSKSKVFFVDCKSGSFKGSDEPDRTSSETRDFMIGLFLPGAKTMASETPIYVPGKQSVVHELPRPRKNVAKADRPPRLQLEPSGGWHCYQSHDCEDDEHKHETLFPAKACGVVPRLCVRSSIFILNPVPAMSVKTRVHTSPGSRMQRYSFARSCTGLNDEIIFSQRPMSGLTKQKSVANAHSELPQTHEMKAVLPTQGLRANPRLDSLRSCKKFSRKLQELLADHSGSMREDSCCRVESPVRGSDSPVTKMKPQHKPILDFSFRDKTKPFVQETVEFDLLTSDYEFSAKSGRKADKRYELTITALDSDSEEEDERSIKQEALTRKLKQSQEMMHLEVPESPPLPNTPSDSWLFRTLPSLSIKTSPLRPCLSENPRDPSSEVQSGDPHC >itb14g01430.t1 pep chromosome:ASM357664v1:14:1101699:1107632:1 gene:itb14g01430 transcript:itb14g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCIRALVEAIHSTPTQAILYISGGASQALGWLMSVPGASNTVLEAVVPYSRMSMIQLLGKVPAQSASQQTAEDMALLAYNRALKLSKPGYPALGVGFTGALASLQPKRGDHRFHLSTRTSERLLVSTVTLSKGLRTREQEDTVSSQFLLKAIANACKVSENFVPELIDSEIPIEHGWLFNEDQQLEQLISGQVSFKVYPFSSDAVNEERKIILSGSFNPLHDGHLKLLEVASSICGGGYPCFELSAVNADKPPLTVSEIKDRVKQFEKVGKTVIISNQPFFYKKAELFPGSAFVIGADTAVRLINPKYYGNDYGKMLEILIGCKNTGCTFLVGGRNVDGAFRVLDDFEIPEELKDMFISIPAEKFRVDISSTEIRKNRGM >itb14g01430.t2 pep chromosome:ASM357664v1:14:1101699:1107632:1 gene:itb14g01430 transcript:itb14g01430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCIRALVEAIHSTPTQAILYISGGASQALGWLMSVPGASNTVLEAVVPYSRMSMIQLLGKVPAQSASQQTAEDMALLAYNRALKLSKPGYPALGVGFTGALASLQPKRGDHRFHLSTRTSERLLVSTVTLSKGLRTREQEDTVSSQFLLKAIANACKVSENFVPELIDSEIPIEHGWLFNEDQQLEQLISGQVSFKVYPFSSVNEERKIILSGSFNPLHDGHLKLLEVASSICGGGYPCFELSAVNADKPPLTVSEIKDRVKQFEKVGKTVIISNQPFFYKKAELFPGSAFVIGADTAVRLINPKYYGNDYGKMLEILIGCKNTGCTFLVGGRNVDGAFRVLDDFEIPEELKDMFISIPAEKFRVDISSTEIRKNRGM >itb09g28420.t1 pep chromosome:ASM357664v1:9:29031299:29031757:1 gene:itb09g28420 transcript:itb09g28420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGQHHRGKLEVSSPVATVFGIGFAFVLLAILHLIYVGWCKNTGNNNGSNNGTRTRDHRQREPSPPPLPSSSSLNSSGGTAVQLVVTVRYGKERKEDECVVCLSQFVQGEEVRVLVQCGHVFHVSCIETWLRSHPTCPICRVNTVAGGR >itb03g24010.t1 pep chromosome:ASM357664v1:3:22483961:22503426:-1 gene:itb03g24010 transcript:itb03g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTPPNRVRKRPLSDVYSEPPKKRISDEDGGASGGYKKQVYSEMAKRKQHQAVMEDEDTSGVQKGQTFSFRVLLPNGTTLEMKIREPGRDMSVDQLVEAIRAEYCALKCRTESHRRQINWKSQNLYILDPFDRKLKGLYFRKLNPRKSYLLRLQDGSVEAERFENMWDLTPDTDLLKELPEEYSFESALADLIDNSLQAVWSNDENERRLISVKLFKNKITIFDSGPGMDGDAETSIAKWGKMGASLHRSSKGKAIGCKPPYLKTSGGLRDPSDYEIRESPHGSFTKCDEISETGQTTMATEFQVNETNLAEVLGGEVAITNIFSCNGPDFTLQLHFTLDSCSIQGIGHQEANARLKCVYFPVTQGKESIERIIENLKDEGYENLQNFESFSRVTVRRLGRLLPDAHWPRLPFMEPKQRKGETHKRCCFRVKCFVDTDSGFNPTPAKTNLAHCNPFTIALKNFGNKTPEKDVHVEIYKDGKELSFSQLDKQYHEWISKMHEKYDLEIESGDDQPTLVLGYENRKELGTSSDVLRVHKNFKRNGVTWKSGQRIKILKGACAGFHRTNTFATLEFIILEGWEGDVGGDARIICRPLEVPTEDGCQLSFDKGSANLDLRGSKSLPFSVIVSKKCIPVNDAEWESQLQKHHHETTPSSIEVLDANHSLDLDVSEMLPADIVDAGHNPPEEILAIVRPASFNSAVASMKLDQKYILKESFEMSLSIKFSASDGNDKNASHVYSNRIKPSLHKGLIGVYIFPLRSKLPELFHKAGVYSFLLSLGLLIETSELDKIGPNYETTLSICSEDELFSVAIPVQVLPGPLHHISVHPLNYGQKLLPGLVVKELKLEMLDEYNNHIQKDEEIKLQMDGFCAYDQGCLMCKVDENGFINLGGVLKVTAGYGKKASLSVFSCDKAVFKQEFQIEARELRIASEIPEDCVPGSHLENIIFEVINSEGEVDESIHDDEKNGQPHTLTIKSELLKIDETVRYSFCHGRCTVRSITLPEDEGKFHFVAVHSRHMELQLCIEVNTKKAVEPDSGYFQSQSPEMQILNEEVENFQYQCAQKQIFSYENSSPYKVPKLEHDESEADRKKLEDELCHYAQLSSQCETDLQILESKQSNIQTEIFNLEESLGLYSSPNCCCTQESIWEKISGRGDSAAAIACKLIEKPSSVELHHEFSKEILGVVALLGFAESYELSRVLAEYLGEKQMLAVVCNAHASSAGASKMHGKHGHLCSADALSTLANNLGTSINGGYDMICLNDIRPYTGELSIDPQRMLAMVKPALPNGESPPGFLGYAVNMIHISAKHLQFRTASGHGLRETLFYRLLGELQVYANRDCMSMASSCSNKHPAVSLDGVIMRGNGVTTHVFG >itb15g15960.t1 pep chromosome:ASM357664v1:15:15072845:15073285:1 gene:itb15g15960 transcript:itb15g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQLTDRSDVYSFGVVLLELITARPPIERGKHIVRVVSEAMDDQKDSSKLDQVVDRILTPHRDPDGLQKFINLGMSCVQESAAERPSMGEVVREIENIIQMAGKVLTSSSSFGEGSNHGIRNSNSYDNSKAFDYSGTFLSWAIDM >itb07g07780.t4 pep chromosome:ASM357664v1:7:6021655:6027865:1 gene:itb07g07780 transcript:itb07g07780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRSIPASNKVTADLIAVKLYLFAIKILAQLEAAFALLSATASEPLMVGGFEEPRGIGVDVSMEDIEDHS >itb07g07780.t3 pep chromosome:ASM357664v1:7:6021700:6027627:1 gene:itb07g07780 transcript:itb07g07780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRSIPASNKVTADLIAVKLYLFAIKILAQLEAAFALLSATASEPLMVGGFEEPRGIGVDVSMEDIEDHS >itb07g07780.t2 pep chromosome:ASM357664v1:7:6021655:6027865:1 gene:itb07g07780 transcript:itb07g07780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRSIPASNKVTADLIAVKLYLFAIKILAQLEAAFALLSATASEPLMVGGFEEPRGIGVDVSMEDIEDHS >itb07g07780.t5 pep chromosome:ASM357664v1:7:6021655:6027865:1 gene:itb07g07780 transcript:itb07g07780.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRSIPASNKVTADLIAVKLYLFAIKILAQLEAAFALLSATASEPLMVGGFEEPRGIDGDMEFNSFF >itb07g07780.t1 pep chromosome:ASM357664v1:7:6021700:6027627:1 gene:itb07g07780 transcript:itb07g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRSIPASNKVTADLIAVKLYLFAIKILAQLEAAFALLSATASEPLMVGGFEEPRGIGVDVSMEDIEDHS >itb07g07780.t6 pep chromosome:ASM357664v1:7:6021655:6027865:1 gene:itb07g07780 transcript:itb07g07780.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRSIPASNKIKILAQLEAAFALLSATASEPLMVGGFEEPRGIGVDVSMEDIEDHS >itb05g21030.t1 pep chromosome:ASM357664v1:5:26937038:26950309:-1 gene:itb05g21030 transcript:itb05g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVDELSGETCVENASSFSGNDVLPASKSKKDFALEPKMEVSESLEDHVASLADKEGIDKVVGTTGLDLIASQIHQMEESDDSEIVEVDVKVCDICGDVGREYLLAICSRCTDGAEHTYCMQKMLEKIPEGDWLCEECKFDQEMKNKHANSGRVNEKEKTSPSRRAIIAKSDHPLKMEQKTSDFWGDKAIEERSYLKTSGKRCTDDSEVSSPTKRQRLELRSPTAQIPNRVTSLSRESSFKNLEKKKVKLVNQLSYGSDFSSATMKRALEPILGSPKAQMQTKVPSLHRESSFKNLEKGKVKLVNPLPNESGVSSVAKKRALEPILCSPKAKIPNRVASLSHESSFKNLERGKVKPLNPFSSGSFAAKVPSSTAPQPQPPRGIFSKSNSFSSSSAKPKVKLVDQVSPVKQKSVRETAFINSKEGAVRSLGKSMSFKPPKSTQLNDVESKVKMLSPRSSHDRDMEGPKKVKDKSLCENSLRSEHTSCVAISSSRSDKKSSPHGDPSLQSTVKVTDSKLPGETKKQASHVDGASVANRFKNNEEKPNQISTKGDSSSSSYIAVRTVCSSEKVVQDGLSQPMESKECGQRARENSGSHSRQASTASGKNVSCQKGKGSGHAVQFSTVNGTELSLDAPAVKNSGEVTNWSGDVKAAIEAAMLKKPGKFWKNKVSDRIKDLLALNKNNDISFPDQLPSPSYRRNMKCSKETHEELKRLQQSTACSSKQETKNNAEQLILHSAEVPIGTGDAGPEAPSDGKSSRINVKVCDICGDVGREYLLAICSRCTDGAEHTYCMQKMLEKIPEGDWLCEECKFDQEMKNKHANSGRVNEKEKTSPSRRAIIAKSDHPLKMEQKTSDFWGDKAIEERSYLKTSGKRCTDDSEVSSPTKRQRLELRSPTAQIPNRVTSLSRESSFKNLEKKKVKLVNQLSYGSDFSSATMKRALEPILGSPKAQMQTKVPSLHRESSFKNLEKGKVKLVNPLPNESGVSSVAKKRALEPILCSPKAKIPNRVASLSHESSFKNLERGKVKPLNPFSSGSFAAKVPSSTAPQPQPPRGIFSKSNSFSSSSAKPKVKLVDQVSPVKQKSVRETAFINSKEGAVRSLGKSMSFKPPKSTQLNDVESKVKMLSPRSSHDRDMEGPKKVKDKSLCENSLRSEHTSCVAISSSRSDKKSSPHGDPSLQSTVKVTDSKLPGETKKQASHVDGASVANRFKNNEEKPNQISTKGDSSSSSYIAVRTVCSSEKVVQDGLSQPMESKECGQRARENSGSHSRQASTASGKNVSCQKGKGSGHAVQFSTVNGTELSLDAPAVKNSGEVTNWSGDVKAAIEAAMLKKPGKFWKNKVSDRIKDLLALNKNNDISFPDQLPSPSYRRNMKCSKETHEELKRLQQSTACSSKQETKNNAEQLILHSAEVPIGTGDAGPEAPSDGKSSRINVQSHSPVEKALLKATIPEHQYIWQGGFEIHRSGKTLILCDGIQAHLSTRASPKVLDAANKFPQKLMLNEISRLSYWPIQFQECGVREDNIALFFFPVDIESYEKSYKILLGDMMMHDLALKGNFGGIELLIFPSNQLPEKFHRWNMMFFLWGVFKGNKENCLHALGAEKLLTQDISRIILPLPENTALVREEEDIASDCRADAQHISAPTDERVRNPTMSGQLSVQCAATKPYRHQPLHCFREVPAAVQDVASVRSSLMKCSDEDDVHLGDMPLADGSTNEEAALVREMMPSHSNMNLINRRADSVETVLQSAAPGTTHGLPNYSHDSLVSVHHNRKGKSLIDEFSGHNDQASHSSYGFLSGLHRAASVPSPQEKAHHEASSEMSILGRSGRAETFFFPVAPLPADEIGFDGSMMQRKVHPLEEDLLHDKAPNLELALVTEAKSITPSPPLFLGGNVGKKHELPTDDAATSVMEEEVSTSLSLSLSFPYPDKEQGSSSKTEPGRRHVNTTLFLF >itb05g21030.t2 pep chromosome:ASM357664v1:5:26937038:26950309:-1 gene:itb05g21030 transcript:itb05g21030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVDELSGETCVENASSFSGNDVLPASKSKKDFALEPKMEVSESLEDHVASLADKEGIDKVVGTTGLDLIASQIHQMEESDDSEIVEVDVKVCDICGDVGREYLLAICSRCTDGAEHTYCMQKMLEKIPEGDWLCEECKFDQEMKNKHANSGRVNEKEKTSPSRRAIIAKSDHPLKMEQKTSDFWGDKAIEERSYLKTSGKRCTDDSEVSSPTKRQRLELRSPTAQIPNRVTSLSRESSFKNLEKKKVKLVNQLSYGSDFSSATMKRALEPILGSPKAQMQTKVPSLHRESSFKNLEKGKVKLVNPLPNESGVSSVAKKRALEPILCSPKAKIPNRVASLSHESSFKNLERGKVKPLNPFSSGSFAAKVPSSTAPQPQPPRGIFSKSNSFSSSSAKPKVKLVDQVSPVKQKSVRETAFINSKEGAVRSLGKSMSFKPPKSTQLNDVESKVKMLSPRSSHDRDMEGPKKVKDKSLCENSLRSEHTSCVAISSSRSDKKSSPHGDPSLQSTVKVTDSKLPGETKKQASHVDGASVANRFKNNEEKPNQISTKGDSSSSSYIAVRTVCSSEKVVQDGLSQPMESKECGQRARENSGSHSRQASTASGKNVSCQKGKGSGHAVQFSTVNGTELSLDAPAVKNSGEVTNWSGDVKAAIEAAMLKKPGKFWKNKVSDRIKDLLALNKNNDISFPDQLPSPSYRRNMKCSKETHEELKRLQQSTACSSKQETKNNAEQLILHSAEVPIGTGDAGPEAPSDGKSSRINVKVCDICGDVGREYLLAICSRCTDGAEHTYCMQKMLEKIPEGDWLCEECKFDQEMKNKHANSGRVNEKEKTSPSRRAIIAKSDHPLKMEQKTSDFWGDKAIEERSYLKTSGKRCTDDSEVSSPTKRQRLELRSPTAQIPNRVTSLSRESSFKNLEKKKVKLVNQLSYGSDFSSATMKRALEPILGSPKAQMQTKVPSLHRESSFKNLEKGKVKLVNPLPNESGVSSVAKKRALEPILCSPKAKIPNRVASLSHESSFKNLERGKVKPLNPFSSGSFAAKVPSSTAPQPQPPRGIFSKSNSFSSSSAKPKVKLVDQVSPVKQKSVRETAFINSKEGAVRSLGKSMSFKPPKSTQLNDVESKVKMLSPRSSHDRDMEGPKKVKDKSLCENSLRSEHTSCVAISSSRSDKKSSPHGDPSLQSTVKVTDSKLPGETKKQASHVDGASVANRFKNNEEKPNQISTKGDSSSSSYIAVRTVCSSEKVVQDGLSQPMESKECGQRARENSGSHSRQASTASGKNVSCQKGKGSGHAVQFSTVNGTELSLDAPAVKNSGEVTNWSGDVKAAIEAAMLKKPGKFWKNKVSDRIKDLLALNKNNDISFPDQLPSPSYRRNMKCSKETHEELKRLQQSTACSSKQETKNNAEQLILHSAEVPIGTGDAGPEAPSDGKSSRINVQSHSPVEKALLKATIPEHQYIWQGGFEIHRSGKTLILCDGIQAHLSTRASPKVLDAANKFPQKLMLNEISRLSYWPIQFQECGVREDNIALFFFPVDIESYEKSYKILLGDMMMHDLALKGNFGGIELLIFPSNQLPEKFHRWNMMFFLWGVFKGNKENCLHALGAEKLLTQDISRIILPLPENTALEEDIASDCRADAQHISAPTDERVRNPTMSGQLSVQCAATKPYRHQPLHCFREVPAAVQDVASVRSSLMKCSDEDDVHLGDMPLADGSTNEEAALVREMMPSHSNMNLINRRADSVETVLQSAAPGTTHGLPNYSHDSLVSVHHNRKGKSLIDEFSGHNDQASHSSYGFLSGLHRAASVPSPQEKAHHEASSEMSILGRSGRAETFFFPVAPLPADEIGFDGSMMQRKVHPLEEDLLHDKAPNLELALVTEAKSITPSPPLFLGGNVGKKHELPTDDAATSVMEEEVSTSLSLSLSFPYPDKEQGSSSKTEPGRRHVNTTLFLF >itb12g11180.t2 pep chromosome:ASM357664v1:12:9382603:9393669:1 gene:itb12g11180 transcript:itb12g11180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRLFQKATHHLSQHNAGSCLTSSELDLRISVHYGIPSTASILAFDPIQRLLAIGTLDGRIKVIGGNNIEALLVSPQQLPYKYLEFLQNQGFLVSITNENDIQVWNLRNRSLACSLVWDSNITAFSIISGSHFMYVGDEYGSVSVLKFSIDDGQLLQLPYHISLASLAEGAELSLPNNQPIVGVLPQPFSSGNRLLIAYDNGLIILWDVIEARILIVKGDKYLQLKDDMIDSSRNSDTSLVDDSSQHQLEEKEISALCWASSDGSILAVGYIDGDILFWKISTAAPTKGQKSGLQNNVIKIQLSSAEKRLPIIVLHWWAKSKSRNGVDGQILIYGGDEIGSDEVLTILSLEWSSGMDTLKCVGRVDLSMSGSFADVILLPSAGIKGTDQNAALFVLTSPGQLKAFECTSLSPTESQEEKKISVSDKDYPAELPIVDPSMTVAKLFQIPEDGNLSDALLEENLFKKFCSVETFSGAKKWALTGGVSNHLTLGNANRIERVYIAGYQDGSVRMWDATHPVLSLLCIFESEVRGQNMTISSASVSKIDFCFKTLRLVVGDECGLVRLYELKDNGGTSFISVDQTKQEVHKFAQCQGPHCRAVIKLLASQIQALNFVNSGAKLTVGYENAQVAVLDMTSLSLSFITDSISGGISQLVSVIPMAYEHDCSHIKSPKHSELSENYTDEIMFILTKDARIYAIDGGTGKMISTRPLHLKKDSTAISMYVIESDTTVSSSSNHLQSSNNEEVSKEHLLETTAKRSDKSETCSSDDISSRKKNIKDSLVLLCCKDTLRIYATKSVVQGDRKSIHKVKHEKPCCWTATFNMNGKVSGLILLFQTGEIEIRSLPELDLVMATSLMSVLRWNFKANMERTMSSVESGHIAMVNGSEVAFLSLAASENDFRIPESLPSLHDEVLAAAAETAINFALNQKKKQVPGSNLLGNVVKGFKGWKPNHTMDTAFSSESKFDHLEGIFMKNPFPESSPIMQDIQEEPELNIDDIEIDEPTPMASTSSHEVQNTERGKNACNCSQLLELGWK >itb12g11180.t1 pep chromosome:ASM357664v1:12:9382603:9393669:1 gene:itb12g11180 transcript:itb12g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRLFQKATHHLSQHNAGSCLTSSELDLRISVHYGIPSTASILAFDPIQRLLAIGTLDGRIKVIGGNNIEALLVSPQQLPYKYLEFLQNQGFLVSITNENDIQVWNLRNRSLACSLVWDSNITAFSIISGSHFMYVGDEYGSVSVLKFSIDDGQLLQLPYHISLASLAEGAELSLPNNQPIVGVLPQPFSSGNRLLIAYDNGLIILWDVIEARILIVKGDKYLQLKDDMIDSSRNSDTSLVDDSSQHQLEEKEISALCWASSDGSILAVGYIDGDILFWKISTAAPTKGQKSGLQNNVIKIQLSSAEKRLPIIVLHWWAKSKSRNGVDGQILIYGGDEIGSDEVLTILSLEWSSGMDTLKCVGRVDLSMSGSFADVILLPSAGIKGTDQNAALFVLTSPGQLKAFECTSLSPTESQEEKKISVSDKDYPAELPIVDPSMTVAKLFQIPEDGNLSDALLEENLFKKFCSVETFSGAKKWALTGGVSNHLTLGNANRIERVYIAGYQDGSVRMWDATHPVLSLLCIFESEVRGQNMTISSASVSKIDFCFKTLRLVVGDECGLVRLYELKDNGGTSFISVDQTKQEVHKFAQCQGPHCRAVIKLLASQIQALNFVNSGAKLTVGYENAQVAVLDMTSLSLSFITDSISGGISQLVSVIPMAYEHDCSHIKSPKHSELSENYTDEIMFILTKDARIYAIDGGTGKMISTRPLHLKKDSTAISMYVIESDTTVSSSSNHLQSSNNEEVSKEHLLETTAKRSDKSETCSSDDISSRKKNIKDSLVLLCCKDTLRIYATKSVVQGDRKSIHKVKHEKPCCWTATFNMNGKVSGLILLFQTGEIEIRSLPELDLVMATSLMSVLRWNFKANMERTMSSVESGHIAMVNGSEVAFLSLAASENDFRIPESLPSLHDEVLAAAAETAINFALNQKKKQVPGSNLLGNVVKGFKGWKPNHTMDTAFSSESKFDHLEGIFMKNPFPESSPIMQDIQEEPELNIDDIEIDEPTPMASTSSHEVQNTERDKKTERERLFDFEGTDTKPRLRTHEEILATYRKGGDASSAAGQARNKLLERQEKLERISKRTEELSSGAEDFASLANELVKAMENRKWWHI >itb04g03250.t1 pep chromosome:ASM357664v1:4:2003840:2007682:1 gene:itb04g03250 transcript:itb04g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLFYFKEKGKGRGQRSAPVLKEESKSDVSGSERAAISSCSASSHLSFSEVYEGKAQNLRVFSFSELRQGTNNFSRLLKIGEGGFGCVYKGKIKPVDGNGEPVVVAIKKLNRDGYQGHKQWVAEVQFLGVVEHPNLVKLIGYCGVDGERGIQRLLVYEFMQNKSLEDHLFSKAYPALTWQRRLQIVLGAARGLAYLHEELEVQVIYRDFKSSNVLLDNDFKPKLSDFGLAREGPTGLHTHVSTAAVGTYGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSIERSRPSSEQKLLSWIKHYPAESRKFSMIMDPRLENQYSESAARRIAKLADSCLSKSPKARPKMSQVVETLQQIIQSCCENSPSFEHFQSAAEDSADDEQEPEQNGPTESEKRRMAHLAKLSERVGGLSRKGFMIMQRAKVT >itb03g20890.t1 pep chromosome:ASM357664v1:3:18716245:18720291:-1 gene:itb03g20890 transcript:itb03g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRLQGAGNNEEVPFLNSGIGFLWCPQDEGYHPGGLFASVGQMGMGYGISPNPPNSTNTGLNLSPTNLFGPYKLPEMGFKESGLPELVSGEVLEVEEEGVVKKKKKKIGLKLKIKVGNPSLRRLISGAIAGAISRTAVAPLETIRTHLMVGNYGHSTTEVFQNIMQNEGWKGLFRGNLVNVIRVAPSKAIELFAYDTVKKELTPKPGEEPKLPIPASLISGAVAGISSTLCTYPLELLKTRLTIQRGVYKNLLDALVKIVKEEGPAELYRGLTPSLIGVIPYAATNYFAYDTLRKAYKQIFKEEEIGNIATLLIGSAAGAISSSATFPLEVARKHMQAGALNGRQYQNMLHALVSVLEHEGIPGLYRGLGPSCVKIVPAAGISFMCYEACKRILVEREEGQ >itb12g06790.t1 pep chromosome:ASM357664v1:12:5011028:5012424:-1 gene:itb12g06790 transcript:itb12g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKLGLKKGPWTPEEDHKLLAYIQEHGHGSWRALPPKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQSIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDVTLFPRDAAAATLSHMAQWESARLEAETRLARRSTLACSENVAQISTAAAKIGNSSASDFHGGIFPDNAAWTTEDSVRTANTQHIPTPTGNFVDTFTDLLLSRASGDRRFCDGGSTDSINGGGSNYYEDNKNYWNSILNLVNSSPSDSPMF >itb11g10470.t1 pep chromosome:ASM357664v1:11:7471066:7476953:1 gene:itb11g10470 transcript:itb11g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVIGYDEGTIMVKMGREVPIASMDNSGKIIWAKHNEIQTVNIKSVGSDYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFIWSSDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSADRIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWAESGDLLAIASDASFYILKYNRDVVSAHFDSGRSVDDQGIEDAFELLYEISERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEEIALVAHSESKWKQLGELAMSSGMLDLAEQCLKQANDLSGLLLLYSSLGDAEGITELASLSKEHGKNNVSFLCLFMLGKVEECIQLLVDSNRLPEAAFMARSYLPSKVSEIVEIWKKDLNKINQKAAEALADPKEYPNLFEDWQVALAVESSVAETRSIINLVEAFRNMRMDEEQPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSMDGAVLINGNGTEEQWGMNNEGEELA >itb15g10970.t1 pep chromosome:ASM357664v1:15:8723451:8724789:1 gene:itb15g10970 transcript:itb15g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMGKASRWIRNFLMGKKEKDNKDEGSVSAASLGASPAIVPSSSKVKRRWSFKRSSSSDSMTHNSIRSFDSALTAQLKAQASLLEFEILQNHAKAFLAARNEAAKTAAVEVEAAIRIQAAFRSYLARKALRALRSLVKLQALVRGHLVRKQTADMIRCLHSLMAIQLRARVQRAHIADETEFPFKKRTIHKDSAPRSQLINRTSNTEYMSSHEKESISRRRSLHKNRGHGTNGNGLTSSQTGRHSISEREHQRLQSICPSPSTFSEISTITFDRPLEELSDRLSQRGLLQSPKPGRTKQPIPRSESMNYMFPDSRNASYMSNTESSRAKARSQSEPRQRPKWGIKKKHIRTPSVEGLQHDSEIEGTPSNMQGCNDDFII >itb10g24140.t1 pep chromosome:ASM357664v1:10:28129761:28133010:-1 gene:itb10g24140 transcript:itb10g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREARENREGEFWRGDDGNFAVEGNNFQKLYSPEPTRPTYINRLTVTLHLTGSFRYPNNSKPAYLSSLHFLSSPKSRVLLNRLCLSAVFRGMADSDRVKGPWSTEEDDLLRKLVEQHGARNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFTREEDEVIAKAHAQVGNKWATIARMLNGRTDNAIKNHWNSTLKRKHSAVIGGGEPRPGRILKRADSADVTAPPPPGLRVSRDSSSESDISYSSNNDHDPAAAKSVRMALPLQAVEPRVVSEKENDPSTVLTLSLLAPGANDGVSSLQRDQPSYRRGDSTEAAAAPPVKSENTLTLSPELLSLMQEMIRKEVENYYSALVLKDGVRNAEVKRIGIGKTN >itb02g14980.t3 pep chromosome:ASM357664v1:2:10870056:10872617:1 gene:itb02g14980 transcript:itb02g14980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEFWVAVAAALLMWSAGGAYGIRFVIDREECFSHKVELGETVHFSFVVIKSENSWHYSEEGVDLVVKGPSGEQIQDFRDKISEKSEFIAHHDGVHRFCFTNKSPYHETIDFDLHAGHFMYHDEHAKDEHLTPLFESIGKLEEALYNIQFEQHWLEAQTDRQAIVNEGMGRRAIYKAFCESLALVAASVLQVILLKHLFERKLGQSRV >itb02g14980.t1 pep chromosome:ASM357664v1:2:10870056:10872617:1 gene:itb02g14980 transcript:itb02g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLSSEFWVAVAAALLMWSAGGAYGIRFVIDREECFSHKVELGETVHFSFVVIKSENSWHYSEEGVDLVVKGPSGEQIQDFRDKISEKSEFIAHHDGVHRFCFTNKSPYHETIDFDLHAGHFMYHDEHAKDEHLTPLFESIGKLEEALYNIQFEQHWLEAQTDRQAIVNEGMGRRAIYKAFCESLALVAASVLQVILLKHLFERKLGQSRV >itb02g14980.t2 pep chromosome:ASM357664v1:2:10870056:10871538:1 gene:itb02g14980 transcript:itb02g14980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLSSEFWVAVAAALLMWSAGGAYGIRFVIDREECFSHKVELGETVHFSFVVIKSENSWHYSEEGVDLVVKGPSGEQIQDFRDKISEKSEFIAHHDGVHRFCFTNKSPYHETIDFDLHAGHFMYHDEHAKDEHLTPLFESIGKLEEALYNIQFEQHWLEAQTDRQAIGIIWKVNNCFDSVLLPKLLCCFHP >itb02g14980.t4 pep chromosome:ASM357664v1:2:10870056:10871538:1 gene:itb02g14980 transcript:itb02g14980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEFWVAVAAALLMWSAGGAYGIRFVIDREECFSHKVELGETVHFSFVVIKSENSWHYSEEGVDLVVKGPSGEQIQDFRDKISEKSEFIAHHDGVHRFCFTNKSPYHETIDFDLHAGHFMYHDEHAKDEHLTPLFESIGKLEEALYNIQFEQHWLEAQTDRQAIGIIWKVNNCFDSVLLPKLLCCFHP >itb02g13510.t1 pep chromosome:ASM357664v1:2:9650363:9659781:-1 gene:itb02g13510 transcript:itb02g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLETDFSLQYKFAPDLIMLDAYETVESWMTTKNLNPRKLIPAMMRYSSEPHAMNETHEVIKYLEYCVHRLQNEDPGVHNLLLSLYAKKEDESALLRFLQCKFGRGRPGGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMIAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNEQIEKLKQEMNDATRGADNIRNDINALAQRYAVIDRDEDCGGCGRKILNVGGDFRMARGYTAVGPMAPFYVFPCGHAFHAQCLIAHVTRCTTPTQAEYILDLQKQLTLLGSEPRKESNGALSEDQPIASLTPTEKIRSQLDDAIASECPYCGDLMIREISLPFILSEEVQEVGSWEIKPHNPGSQPQRSLSLSA >itb05g03350.t1 pep chromosome:ASM357664v1:5:2784604:2791807:-1 gene:itb05g03350 transcript:itb05g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDNYTKMMSDMGMLASGSDFGEELELLLREQQRRQLEEANDLERELSIYRSGSAPPTVEGSLSAFGRLLGGGFSGVSEEELRSDPAYISYYYSNVNLNPRLPPPLLSKEDWRSAQRLQGGGGGGGSNGGGGGSGSPLGLGGVGDRPRVRMGGEGAKEKSLFSMQVGFNGKHEENVTESTDDWGSNGLIGLPGLGSGSRQKSFAEMVQDDIGYRTSTSRHPSRPASRVLNDFVESSDSQFTDLQHELSSLDALHSQANVPDVSSLQNIGSSCSHTYSSVLGASLSRSTTPDPQLIARAPSPRILPVGVGTKSSFENKNANVINSSVDASPVMAEPADLVSALSGLNLSANTMVDEENHLKSRIHHEIDNQQNFMHIQNGKNHIRQHSFLNKSGVLSTGSHLKGPYSPTLSSAGSLPAQHPNIDSPNSVSFGYGMGGYGMNPPSPSMLENQLESGHLPYLSDNVGAGTAIGASGIDSRSMGGGLTLAPNLLAAAAEMQNLHRLRNSSLGNSVQNPLMDPLYLQYLRSTDLSAANLTALNNPNINRESLGNSYMDYLELQKAHLGLLASQKSQYGFPSFSKTGGINHGYYGNSTLGAGISYPGSPLAGSILPNSPFGPGSPVRYGERNMHFTSGMRNLGAGVMGAWHSDSPSNLGQSFASSLLDEFKSSKTKCFELSEIDGHVVEFSADQYGSRFIQQKLETATVEEKNMVFHEILPHALNLMTDVFGNYVIQKFFEHGSASQIRELADKLTGHVLTLSLQMYGCRVIQKAIEVVDLDQKTKMVMELDGQIMRCVRDQNGNHVIQKCIECVPENSIQFIVTTFYDQVMTLSTHPYGCRVIQRVLEHCHSSETQSIVMHEILQSVCMLAQDQYGNYVVQHVLEHGKPEERSAIINKLKGQIVQMSQQKFASNVVEKCLTFGTPEERQILVDEMLGSDENEPLQIMMKDQFANYVVQKVLETCDDQQLELILNRIKDHLNALKKYTYGKHIVARVEKLVAAGERRIGILSSYSA >itb04g21100.t1 pep chromosome:ASM357664v1:4:26202106:26204370:-1 gene:itb04g21100 transcript:itb04g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITICAWDILLVKQGHGARRSFPYSMTISKVIIAIYRSGFKCKKCNKTYSSKNIYVDLTVTAGTKEFNEFKPARTELFSITGQRLVALPSIGVCLFPSCMREAGVKILTEAVFSVLMKRWVEEITLMVSRL >itb15g23040.t1 pep chromosome:ASM357664v1:15:25811948:25818002:1 gene:itb15g23040 transcript:itb15g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWLLETIEMLSAKLCILQAFLEERKMWNGWFDDNVAGIYDEYEIKYKLGLVYSEAKCGHLIWTLKEMVREIEEVEEWMLIVKKETGYEGNNIKVWDTYRSALDPEDEVMVGIHSDIETIVNRLCYSHFMRSVFTILRNSNIHKFQKYVENPVLKLQVISLVGEGGIGKTTLAKRVYGNPTTIASFHIRAWVVLSKDAKFKEILIGLLRCISPITSENYDMDYVQIGKQLYKSLMGKKYLIFLDDIWDIVPWIVIQEYFPENLNGSRILVTTRSKEVAEELSANLYNVKHQTLEDHWELFSRKVFGQSHCVPSEYEKIGKRIVRGCGGLPLVVVLTSGLLMTAKGSLEIWRDVARTLDGVGIYDDRISKIVSLSYKYLPSHLKACFYYFCVFPEDSEILVKKLINLWVAEGFIKQHNNMSLEEVGESYLHDLINRSLVQINELSIDGKVKSCNIHDRVHEVCVRQAIDGNTLWIIKDYYAPKACHWLSCQTSHWPITRASYGNCGPDEIHSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSLVKCSQGMPHEITDLVHLRYLALNTIGSLYKFRFFKLKNLVTLIVTSWMEKRHLQLPCDILDLPQLRYLHVDKRCSQFLPCLVKNDLQTLYWLKVSSSDEKPNFRMVPNLMELGIYIEGQLAPSHLGSLVHLHLLEKLKFEVGRVERFCLPTGSPPNLKKLTLCYTYLPWKEMDTIGKLPHLEVLKLKDFAFCGPTWEPSEHDFRELKALLISRSNLKHWNASSINFLVLERLVLSYCWELKQVPINFAKITTLNLIVLECCYSSLVTSAMQISSAKSKALKGKANCPLRVRKSSITFCSSKSCSCCCLSISESEAATTSWTTWERSRISEEWTFNDQALAVMSPQPEATITTTT >itb11g18710.t1 pep chromosome:ASM357664v1:11:19187978:19189863:-1 gene:itb11g18710 transcript:itb11g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRICEKKCKVAQAAILAMEILLLPTGLISTFLILKDSSTNHNNPFCFLHSLFCNLRDHCLRCFSLSFLSSPFFICLFINSIVLLILLSSSKFHHRKTQPYHDLFSYDAADNIPAAVNAPPLPLSPVGTLAFDDEDTMSGEGVDVKEHQNPEINEEEEAEEEEEEDTIEATWEAISGGGGEEKQSSKLKKCETWPRPPPQPTTTAPEPLVPSAVAAATSWKELRKSVTFNDAVSACRRGGLARDLLRSPEEMNKRFDDFIRKVNHELRLQRQESEQRFLEAINRGL >itb14g15780.t1 pep chromosome:ASM357664v1:14:19120196:19120883:1 gene:itb14g15780 transcript:itb14g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQLSQRRVLLPPSGAIKMEFTLVFAFLLCSADISTTWVEEVAPAPVVFPRKPSRSHCPKLETIKEEDNNGAHLQDKLRCTSILPLIIVIISVSLAMIYIRTGFDKIEVNL >itb02g11030.t1 pep chromosome:ASM357664v1:2:7207215:7211744:-1 gene:itb02g11030 transcript:itb02g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MNLAALNSLGCRYGIGYTTISSCNHLRRSRATPFEARYCEKQREMIEGRISCEALQEDAESFVKTHWLAGFTSAVAVANLIVTDTANATSMENIMDFPASLYTLADGGIGDWFGGFVYSAGQQANEAVQGQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAAVVGNSVAFALGLATTLALLGIAAAFAGKAYGQIGRGLPVAASSLAIIMGLNLLEVIELQLPSFFNNFDPREAAASLPSSVQAYLAGLTFALAASPCSTPVLASILGYVAASKDPVVGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPVSGALLLGGGLYTFLDKLFPVTMAM >itb07g14550.t1 pep chromosome:ASM357664v1:7:17224989:17225991:-1 gene:itb07g14550 transcript:itb07g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQIAPSTNSSPRGCSKAQNSLLNILRIISTFPPEETPESEYDEKKEVKVFGIDLNVGFRAPPESEGDGNGGAAADCGGGDEAFGTEKLCERSELSGGKGKGEEGFMGLLIEAARLIFGEFNDKKYGGGGVSRAEQEPEKNTSESQNSDETKITAVDPSSPGRRVKRRPPCWAVELYGNAEEEEQNGGGEASPVVRSKRGRIQVLPCKYRDSVLEPLTRFTRTRSTTILANRRRSR >itb13g26090.t1 pep chromosome:ASM357664v1:13:31447865:31449279:1 gene:itb13g26090 transcript:itb13g26090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMWIQISSICFFIWLVIANAQLAPAAAPSTPTTTTTPPPTTAPPVTTPAPKVAPQLPPKPPVAAPAKPPALPPPTQPPPAPALPPAVPPPKVAPAPAKNPPAPAPATKPPAPAPVPPPPMPSPVPAPPPATPPPVPTPISVPTPAPAPGKHHRKKWRHKHKHHHAPAPAPTVKSPPAPPTVQESVDVTPAPSPSLNLNGGVSFVLQGGRSRMWTSAGLALTIFLAIIA >itb02g17170.t1 pep chromosome:ASM357664v1:2:13152377:13152715:1 gene:itb02g17170 transcript:itb02g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMMRQCSKLGTAVDGEEYRCGSDGLSLCSSDPVGIFHGSPILLFAGLHACRIRCSVAPVSTAQFLRWPNLRNTGSFLPAIKISFKIFTFLISFWTAILILIKCPQFVTFL >itb01g24850.t1 pep chromosome:ASM357664v1:1:30356271:30358988:1 gene:itb01g24850 transcript:itb01g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLWTPLTEAIFHYTGLSPTAFFTIAALMVVTYKVVCGMFGADDDDMYVKKAKEAASRQPLDMGDMTEEELLAYNGSDPKKPLLMAIKRQIYDVSSSRMFYGPGGPYAMFTGKDASRALAQLSFDPREINGNLEGLSEDELEILLDWEYKFMEKYAKVGQLVPNKTVGELPEGKENGNKVVARSINDENHEHDKLQGSKNSNEDDEAQPETR >itb15g05400.t1 pep chromosome:ASM357664v1:15:3464122:3467064:1 gene:itb15g05400 transcript:itb15g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILSKPLRPVSVSSFRNPASPTTGSVKQLPLSFILTQIPFSQLGFLKLRPSKPRIFEIDCSRSANVERMKAFQFETVPEFAEN >itb11g05540.t1 pep chromosome:ASM357664v1:11:3282061:3283736:-1 gene:itb11g05540 transcript:itb11g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADLRGNPTSFLFQNPNLFVASSQPSSDLTGALRGCSSLGSLDGACTEKLLLHCASALEANDVTLAQQVMWVLNNVASPTGDPNQRLTSWFLRALVSRASRVCPNAPVTGFGGGNGVHTRLMTVTELAGYVDVIPWHRFGFCAANSEILRAVQGQNRVHILDFSITHCMQWPTLIDALAKRPEGPPFLRISVMSGRPPVPPLLNASCEQLGVRLANFAKFRDVPFEFNVIGSESDYIHQEFPDFHHNFILSCLTPSCLNLRPDEVLAVNCQNWLRYLPSRDTFIDAIKGLNPSIVTIVDEDCDLGGSSLVSRITTCFNYLWIPFDALETFLPKDSPQRIEYEADIGHKIENIIGFEGDQRIERLESGMKLSQRMKNNGFLSAPFCEDTIREVKSLLDEHASGWGMKVEDEMLVLTWKGHSAVYATTWVVSPQTQVDIEIKD >itb08g06170.t1 pep chromosome:ASM357664v1:8:5281395:5283417:-1 gene:itb08g06170 transcript:itb08g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAFTPLSWWLWSGKHRELKKVSKGSSINTLPEMEVLEVDKMKLQRQNIASASRRGVKRKWQSREERKIDKEHDIVLVASDGGCVSGSESDDTDWSIGWVEPHGSGFNSDGGSDDSFAVLVPCYGRGCLNFENDSKDKLLGTIGNITDIYAAENKNYMEQWLSSLRSI >itb02g22280.t1 pep chromosome:ASM357664v1:2:21449010:21452013:-1 gene:itb02g22280 transcript:itb02g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEKAKEKREKRRQEISLLRTIPYSDHQRWWTSDTIAVVTGANRGIGFEIAHQLGMHGLTVVVTSRETGVGEEAVKVMQEGGLNVAFHQLDIVDPSSLKSFSEWLKETYGGLDILINNAGVNFNVGTSNSVENAETVIKTNYYGTKNMTKTMIPLMRSTAAGGHIVSVTSRLGRLSKLQNDELRKKLEDVDKLSEELIDQTVESFLKQVKEGTWESGGWPQTFTDYSVSKLAVNAFTRLMARELSDRPDGQKIYINCYCPGWVKTALTGWAGSISPEEAADTPVWLSLIPDKFVTGKFFAERREINF >itb02g00060.t1 pep chromosome:ASM357664v1:2:49058:59012:-1 gene:itb02g00060 transcript:itb02g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKHRVKKEIIENHGSQPPSTATMPMSVIDLSSSDSDSDSEDGRPRKKQKSAETSAVALPLGFLDPLHEPVEPPLLLPPPVPAETSSGRAVPTCIVETSSKQFWKAGDYEGAPRGDWVSSSGGMDHVRVHPKFLHSNATSHKWVLGAFAELLDNSLDEVINGATYVNIDMVKSRKDGSRMLMIEDNGGGMDPDKIRQCMSLGYSAKSKIADTIGQYGNGFKTSTMRLGADVIVFSRCPGNSGKSPTQSIGLLSYTFLRSTGMEDIVVPMLDYENNEKEWSQIIRSSVEDWNRNIETIVRWSPFSSEADLLRQFNQMKDHGTRIVIYNLWEDDQGLLELDFDADPRDIQIRGVNRDDKSIQMAKQYPNSRHFLTYRHSLRSYASILYLRVPPSFRIILRGKDVEHHNIVNDMMMTQEVTYRPQTGADGVPKDSNMVAVVTIGFVKDAKAHIDVQGFNVYHKNRLIKPFWRLWNPAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEAKLVQMQKTYWTQNCHKIGYSIRYKKKAVQEREDYSATPAPQHKNKSAAKSDKSHDTRHLNGKQSAGTRLSGRTHVESSVPIEDDSDDDDVQVSVEKNTANGFSPKSTPSKNSGKATGKTMQSPPGFTYGEANKDGSPGRQNYVTRNSEFKRDIGNVSGGSHSSVSLLESINQLKKENCELKERLKRKEEDILGDLLNDLQNERERCESVEAQVEELKQKIEELNKDQERLIDIFSEERQRRDMEEENLRKKLKDASNTVQELLDKIMMLERKSKSSNGGLGVAEI >itb02g00060.t2 pep chromosome:ASM357664v1:2:49058:59012:-1 gene:itb02g00060 transcript:itb02g00060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKHRVKKEIIENHGSQPPSTATMPMSVIDLSSSDSDSDSEDGRPRKKQKSAETSAVALPLGFLDPLHEPVEPPLLLPPPVPAETSSGRAVPTCIVETSSKQFWKAGDYEGAPRGDWVSSSGGMDHVRVHPKFLHSNATSHKWVLGAFAELLDNSLDEVINGATYVNIDMVKSRKDGSRMLMIEDNGGGMDPDKIRQCMSLGYSAKSKIADTIGQYGNGFKTSTMRLGADVIVFSRCPGNSGKSPTQSIGLLSYTFLRSTGMEDIVVPMLDYENNEKEWSQIIRSSVEDWNRNIETIVRWSPFSSEADLLRQFNQMKDHGTRIVIYNLWEDDQGLLELDFDADPRDIQIRGVNRDDKSIQMAKQYPNSRHFLTYRHSLRSYASILYLRVPPSFRIILRGKDVEHHNIVNDMMMTQEVTYRPQTGADGVPKDSNMVAVVTIGFVKDAKAHIDVQGFNVYHKNRLIKPFWRLWNPAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEAKLVQMQKTYWTQNCHKIGYSIRYKKKAVQERDYSATPAPQHKNKSAAKSDKSHDTRHLNGKQSAGTRLSGRTHVESSVPIEDDSDDDDVQVSVEKNTANGFSPKSTPSKNSGKATGKTMQSPPGFTYGEANKDGSPGRQNYVTRNSEFKRDIGNVSGGSHSSVSLLESINQLKKENCELKERLKRKEEDILGDLLNDLQNERERCESVEAQVEELKQKIEELNKDQERLIDIFSEERQRRDMEEENLRKKLKDASNTVQELLDKIMMLERKSKSSNGGLGVAEI >itb02g23790.t3 pep chromosome:ASM357664v1:2:24068194:24070872:-1 gene:itb02g23790 transcript:itb02g23790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKVPLWCGVLISGFSTLVLLLLQQYGVRKLEIFIAFLVFTIVGCSCVELGYAKPKSSEVLEGLFVPKLKGTGATKIVISLLGVMVMLHNLFIHSALVLSRKIPRSLNGIKEACTFYLIESGIALIVAFFYLIESGIALIVAFVINVYVISVSGAVCNSPNLSEEYQESCSDLDLNKASFLLKNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQAI >itb02g23790.t4 pep chromosome:ASM357664v1:2:24068387:24070872:-1 gene:itb02g23790 transcript:itb02g23790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKVPLWCGVLISGFSTLVLLLLQQYGVRKLEIFIAFLVFTIVGCSCVELGYAKPKSSEVLEGLFVPKLKGTGATKIVISLLGVMVMLHNLFIHSALVLSRKIPRSLNGIKEACTFYLIESGIALIVAFFYLIESGIALIVAFVINVYVISVSGAVCNSPNLSEEYQESCSDLDLNKASFLLKNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQMILSFELPFALSSLIKLPREPNSVFVLQMILSFELPFALIPLLKFTSSKTKMGLHANSKIISAITWIIGLLIMGINIYYLAEKLVTSLKNSDLKMVGKVFCGILGFTGLLVYLGSIAYLVIRENKERTHLLALTAVDGSSNGNEPSLPREDIRSMQLPEKRSTSDVE >itb02g23790.t1 pep chromosome:ASM357664v1:2:24068177:24069374:-1 gene:itb02g23790 transcript:itb02g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFELPFALSSLIKLPREPNSVFVLQMILSFELPFALIPLLKFTSSKTKMGLHANSKIISAITWIIGLLIMGINIYYLAEKLVTSLKNSDLKMVGKVFCGILGFTGLLVYLGSIAYLVIRENKERTHLLALTAVDGSSNGNEPSLPREDIRSMQLPEKRSTSDVE >itb02g23790.t2 pep chromosome:ASM357664v1:2:24068886:24070872:-1 gene:itb02g23790 transcript:itb02g23790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKVPLWCGVLISGFSTLVLLLLQQYGVRKLEIFIAFLVFTIVGCSCVELGYAKPKSSEVLEGLFVPKLKGTGATKIVISLLGVMVMLHNLFIHSALVLSRKIPRSLNGIKEACTFYLIESGIALIVAFFYLIESGIALIVAFVINVYVISVSGAVCNSPNLSEEYQESCSDLDLNKASFLLKNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQAI >itb13g07390.t1 pep chromosome:ASM357664v1:13:9163023:9163660:-1 gene:itb13g07390 transcript:itb13g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAARSFNSSTTTTASQIPIARVIPVWGLRHESKRPRIWSRVLRSDAIGHPRRPCTLPIVIVLRSLLQTSEDLQSSQKQHGAASPRKPTFKQWQDSIPTPPVSLQRQQLDRVEPRIGKPCRKPQESVLRSREREFAEVGRFYQFRVDGRMLVELTQS >itb04g22710.t1 pep chromosome:ASM357664v1:4:27970169:27971403:1 gene:itb04g22710 transcript:itb04g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKKICVFCGSNPGNRKIFSAAALHLGRELVERKIDLIYGGGSIGLMGLVSQTVRDGGCNVTGIIEQISGHAVGEVLIVSDMHERKAEMARRADAFVALPGGYGTMEEMLEMITWSQLGIHDKPVRPSAS >itb09g10790.t1 pep chromosome:ASM357664v1:9:6659897:6665701:1 gene:itb09g10790 transcript:itb09g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNCRIVSVILSLVLLSMAPESLAYRPGDIVPMSKMGQYHSSRTVWHDMIGKHCPMFAVNREALIPIPKPTGYTGADPYKFSFQVGREKFFVPWLLVVNRKSTEVPMIDMHLRYSGGDFLGVTAKVIDMPHSYVEFHPDIRKQFWDPQHWPKHVLVRYTWEEQSEIDVASGFYVLFGSGLMLTFILSIYVLQSSRDKLARFVKETVVESSVPGGGMAKVE >itb02g11230.t1 pep chromosome:ASM357664v1:2:7462109:7467359:1 gene:itb02g11230 transcript:itb02g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSKVYSSASDEDEDGNGKAEQKPLTWHPQKRAKFEPFNQNFKPVPVFQPNIDPNPPLGGPIPGRYISKRERSTLASASMVSNPIQPPSPITPVLGTISVSDLPQNILSALRRQFNINTHLGQMPKGLSVTLDGHTKSVNAVQWSRSHAHLLASAGMDQTICIWNVWSKDNKKACMFNYHGAAVKDVKWSHHGLFVLSCGYDCSSRLIDVEKGIQSQIFKEDQVVGVVKFHPNNSNLFISGGSRGGLKLWDIRTGKVVHQYVRNLGPILDVEFTVDAKQLISSSDVSRSNISENSIIVWDVSREIPLSNQVYGEAYTCPSIRCHPSEPYFIAQSNGNYIAIFSTTHPFRLDKYRRYESHSVSGFPIKCNFNLDGSIVASGSSDGYIYFYNTKTCQLNKKLKAHDQACIDAVFHPVMPNVVASCSWNGEVSVFE >itb01g23690.t1 pep chromosome:ASM357664v1:1:29616701:29622758:-1 gene:itb01g23690 transcript:itb01g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MATFPNSLDIFRLDSKASQCKTKLTPFQTGPGTSVIYCRKSKNEDAFVEKKQAAVDYDTGTHNVSVRIDGLRKSDLPKRNLLRVDGDRFQKDWPLSEVVANIMKLNHWEDIDGLLNRWAGRFARKNFPVLIKEITQLGSVEHSVHVFNWMKNQKNYCARSDIYNMMIRLHARHNQVDQARGLFFEMQKWRCQPDAETYNALINAHGRAGQWRWAKNIMEDMLRAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGCGPDLVTHNIVLSAYKTGAQYSKALSYFELMKGTNIRPDTTTLNIVMHCLVKLGQYDKAVEIFNSMREKKAECRPDIVTFTTIMHMYSVCGQIENSKAVFNSILAEGVRPNIVAYNTLLGAYAKHGMSQKALLVFDEMKCSGVRPNVVSYTSLLNAYGRSQQPEKAMEIFETMKRKNLKPNLVTYNALIDAYGSNGDLAKAVQVMREMEQNGVQANVVTISTLLAACGRCGQKVKIDSILSAAEMRGIQLNAVAYNSAVGSYMNIGEYEKALDLYHSMRKKKVMPDSVTYNVLISGCCKMSKYSEALKFLDEMMEMKIPLSREVYSSAICAYSKQDQLARAESMFSMMKTAGFQPDVIAYTTMLHAYSVAGNLEKVFEVFKEMESNNVIPDSIACSSLMRAFNKGCQPAKVFIVAEFMRERKIPFIDAVFFEMVSACSILRDWKMTTEIISMMEASLPGISVGILNQLLQCIGKSGKIETMIKFYYKIVTSGAEINLTTYSILLKNLLSAGNWRKYIEVMQWMLDGGIQPSKEMYQNILFFAQRSVGTEYATIIKARVEAMRTKYEDQTTSLPGSLHTDALRELKILA >itb01g23690.t2 pep chromosome:ASM357664v1:1:29616701:29621610:-1 gene:itb01g23690 transcript:itb01g23690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MEDMLRAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGCGPDLVTHNIVLSAYKTGAQYSKALSYFELMKGTNIRPDTTTLNIVMHCLVKLGQYDKAVEIFNSMREKKAECRPDIVTFTTIMHMYSVCGQIENSKAVFNSILAEGVRPNIVAYNTLLGAYAKHGMSQKALLVFDEMKCSGVRPNVVSYTSLLNAYGRSQQPEKAMEIFETMKRKNLKPNLVTYNALIDAYGSNGDLAKAVQVMREMEQNGVQANVVTISTLLAACGRCGQKVKIDSILSAAEMRGIQLNAVAYNSAVGSYMNIGEYEKALDLYHSMRKKKVMPDSVTYNVLISGCCKMSKYSEALKFLDEMMEMKIPLSREVYSSAICAYSKQDQLARAESMFSMMKTAGFQPDVIAYTTMLHAYSVAGNLEKVFEVFKEMESNNVIPDSIACSSLMRAFNKGCQPAKVFIVAEFMRERKIPFIDAVFFEMVSACSILRDWKMTTEIISMMEASLPGISVGILNQLLQCIGKSGKIETMIKFYYKIVTSGAEINLTTYSILLKNLLSAGNWRKYIEVMQWMLDGGIQPSKEMYQNILFFAQRSVGTEYATIIKARVEAMRTKYEDQTTSLPGSLHTDALRELKILA >itb01g23690.t3 pep chromosome:ASM357664v1:1:29616701:29622047:-1 gene:itb01g23690 transcript:itb01g23690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MKNQKNYCARSDIYNMMIRLHARHNQVDQARGLFFEMQKWRCQPDAETYNALINAHGRAGQWRWAKNIMEDMLRAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGCGPDLVTHNIVLSAYKTGAQYSKALSYFELMKGTNIRPDTTTLNIVMHCLVKLGQYDKAVEIFNSMREKKAECRPDIVTFTTIMHMYSVCGQIENSKAVFNSILAEGVRPNIVAYNTLLGAYAKHGMSQKALLVFDEMKCSGVRPNVVSYTSLLNAYGRSQQPEKAMEIFETMKRKNLKPNLVTYNALIDAYGSNGDLAKAVQVMREMEQNGVQANVVTISTLLAACGRCGQKVKIDSILSAAEMRGIQLNAVAYNSAVGSYMNIGEYEKALDLYHSMRKKKVMPDSVTYNVLISGCCKMSKYSEALKFLDEMMEMKIPLSREVYSSAICAYSKQDQLARAESMFSMMKTAGFQPDVIAYTTMLHAYSVAGNLEKVFEVFKEMESNNVIPDSIACSSLMRAFNKGCQPAKVFIVAEFMRERKIPFIDAVFFEMVSACSILRDWKMTTEIISMMEASLPGISVGILNQLLQCIGKSGKIETMIKFYYKIVTSGAEINLTTYSILLKNLLSAGNWRKYIEVMQWMLDGGIQPSKEMYQNILFFAQRSVGTEYATIIKARVEAMRTKYEDQTTSLPGSLHTDALRELKILA >itb15g03860.t2 pep chromosome:ASM357664v1:15:2445449:2450936:1 gene:itb15g03860 transcript:itb15g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGRHEIDLASRKAEEAALRRYQAIHWLDYLEGPLGIPSQASEREFLACLRNGLILCNAMNKVKPGSVPKIVDNHLPSQSIIWDSQPLPAFQYFENIRNFLVAVEELKLPAFEASVFNRDNIEAGSSTKVVDCILALKAYNEWRQLTGGNGFYKPPRSPLAISSVGRINARAPVVVNSDSRRRLDMSVGSQKELTAEVDTKNLEGLIVKCLAERMVDMKENVNDDIFTSFHRGSVNPIDIFSKILSCCVEEKLQNKFPQQLDSDSSNCCDETRNSQAPSVYTPLQEITIPENQKVCRACLRKGKCNHWNQITVHEKELSNLKVLLSSAKSELKDLQCQLQSDLKLLGDQVLEMSTSALGYKKLMKENRNLYNMVQDLKGSIRVYCRIRPSFLADAKSAIDFIGEDGSLVVVDPLKSQKDGRKSFQFNRVFGPTATQEEVFIDIKPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSVRSIKELGINHLALNDLFQLSYERKEIMNYNISVQMVEIYNEQIRDLLAEDLSTAKYPFPHLQKIRSCVSDTGLVLPDATLHPVASSEDVLSLMQLGEVNRAVGCTAMNTRSSRSHSVLIVHVHGEDTSGNKLRSCLNLVDLAGSERVDKSEVTGDGLKEAQYINKSLSSLGDVITALALKNSHIPYRNSKLTLLLQNSLGGQAKMLMFAHVSPEEESFGESLSTLKFAQRASTVELGAARSNKESSEVIELKAQVESLKKALASKGALGSQAMKPREARTPPPLQKPRAGHERTTPQTRRLSTENCIAAKVEKTTTPLVVSTRPRSRRLSLEGPRGVGAPRSPIGGSAVKKDVTPPPRSPIGGSAVKNQLTPPRSPISVSALMAPQSPLDSAVKRQVPPPRSPTSSASNILFAPPRSPTSAAFKNRGAKAATTQLPKTPEPAVSSRNEASRGILSERTVSSSLQTPALTVRKTSQIRKSLRTIGKLINGSDKRSQRSKMEETAPVSPLKNAIRSSLKDAKSPIASSAARTLRRQSLTGILPPENSRRSSLGWK >itb15g03860.t3 pep chromosome:ASM357664v1:15:2445449:2450936:1 gene:itb15g03860 transcript:itb15g03860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGRHEIDLASRKAEEAALRRYQAIHWLDYLEGPLGIPSQASEREFLACLRNGLILCNAMNKVKPGSVPKIVDNHLPSQSIIWDSQPLPAFQYFENIRNFLVAVEELKLPAFEASVFNRDNIEAGSSTKVVDCILALKAYNEWRQLTGGNGFYKPPRSPLAISSVGRINARAPVVVNSDSRRRLDMSVGSQKELTAEVDTKNLEGLIVKCLAERMVDMKENVNDDIFTSFHRGSVNPIDIFSKILSCCVEEKLQNKFPQKQLDSDSSNCCDETRNSQAPSVYTPLQEITIPENQKVCRACLRKGKCNHWNQITVHEKELSNLKVLLSSAKSELKDLQCQLQSDLKLLGDQVLEMSTSALGYKKLMKENRNLYNMVQDLKGSIRVYCRIRPSFLADAKSAIDFIGEDGSLVVVDPLKSQKDGRKSFQFNRVFGPTATQEEVFIDIKPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSVRSIKELGINHLALNDLFQLSYERKEIMNYNISVQMVEIYNEQIRDLLAEDLSTAKYPFPHLQKIRSCVSDTGLVLPDATLHPVASSEDVLSLMQLGEVNRAVGCTAMNTRSSRSHSVLIVHVHGEDTSGNKLRSCLNLVDLAGSERVDKSEVTGDGLKEAQYINKSLSSLGDVITALALKNSHIPYRNSKLTLLLQNSLGGQAKMLMFAHVSPEEESFGESLSTLKFAQRASTVELGAARSNKESSEVIELKAQVESLKKALASKGALGSQAMKPREARTPPPLQKPRAGHERTTPQTRRLSTENCIAAKVEKTTTPLVVSTRPRSRRLSLEGPRGVGAPRSPIGGSAVKKDVTPPPRSPIGGSAVKNQLTPPRSPISVSALMAPQSPLDSAVKRQVPPPRSPTSSASNILFAPPRSPTSAAFKNRGAKAATTQLPKTPEPAVSSRNEASRGILSERTVSSSLQTPALTVRKTSQIRKSLRTIGKLINGSDKRSQRSKMEETAPVSPLKNAIRSSLKDAKSPIASSAARTLRRQSLTGILPPENSRRSSLGWK >itb15g03860.t1 pep chromosome:ASM357664v1:15:2445449:2450936:1 gene:itb15g03860 transcript:itb15g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGRHEIDLASRKAEEAALRRYQAIHWLDYLEGPLGIPSQASEREFLACLRNGLILCNAMNKVKPGSVPKIVDNHLPSQSIIWDSQPLPAFQYFENIRNFLVAVEELKLPAFEASVFNRDNIEAGSSTKVVDCILALKAYNEWRQLTGGNGFYKPPRSPLAISSVGRINARAPVVVNSDSRRRLDMSVGSQKELTAEVDTKNLEGLIVKCLAERMVDMKENVNDDIFTSFHRGSVNPIDIFSKILSCCVEEKLQNKFPQLDSDSSNCCDETRNSQAPSVYTPLQEITIPENQKVCRACLRKGKCNHWNQITVHEKELSNLKVLLSSAKSELKDLQCQLQSDLKLLGDQVLEMSTSALGYKKLMKENRNLYNMVQDLKGSIRVYCRIRPSFLADAKSAIDFIGEDGSLVVVDPLKSQKDGRKSFQFNRVFGPTATQEEVFIDIKPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSVRSIKELGINHLALNDLFQLSYERKEIMNYNISVQMVEIYNEQIRDLLAEDLSTAKYPFPHLQKIRSCVSDTGLVLPDATLHPVASSEDVLSLMQLGEVNRAVGCTAMNTRSSRSHSVLIVHVHGEDTSGNKLRSCLNLVDLAGSERVDKSEVTGDGLKEAQYINKSLSSLGDVITALALKNSHIPYRNSKLTLLLQNSLGGQAKMLMFAHVSPEEESFGESLSTLKFAQRASTVELGAARSNKESSEVIELKAQVESLKKALASKGALGSQAMKPREARTPPPLQKPRAGHERTTPQTRRLSTENCIAAKVEKTTTPLVVSTRPRSRRLSLEGPRGVGAPRSPIGGSAVKKDVTPPPRSPIGGSAVKNQLTPPRSPISVSALMAPQSPLDSAVKRQVPPPRSPTSSASNILFAPPRSPTSAAFKNRGAKAATTQLPKTPEPAVSSRNEASRGILSERTVSSSLQTPALTVRKTSQIRKSLRTIGKLINGSDKRSQRSKMEETAPVSPLKNAIRSSLKDAKSPIASSAARTLRRQSLTGILPPENSRRSSLGWK >itb15g06180.t1 pep chromosome:ASM357664v1:15:4061150:4065392:1 gene:itb15g06180 transcript:itb15g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKISRFWAGFILLLLYAHSSLTDVSASVHDYRNERFIPKSNAFFFHGGTEGLFASKLNHSPANSSIDKPLKGKSFIRFEDVTFVRTKESIDSKSKMQSKNGLIEAIILEVKDRESIGDAFSKSNAICCTKQLSEDKFCTVGEVIIKINSDNPEWPKRIQIFFEGDSQEAKMDPQSVEINTTGMYYLYFMYCDPQLQGTVIRGRSVWKNPDGYLPGKMVPLMTFYGIASLAYLVLGLFWFLRFVQYWKDVIQLHSHITVVIALGMVEMALWYFEYTNLNSTGNRPMAITLWAVTVSAVKKTLSRVLLLVVSMGYGVVKPTLGGVTSKVYLLALVYFIALEALELVEHLGNVNDFSKKTRLYLVLPVAFLDAWFILWIFSSLSKTLEKLQVRKSIAKLDLYRKFTNSLAIFVLLSIAWVGYELYFNASDPLSELWRFSWIIPAFWTVLAYCLLVVICVLWAPSRNPTRYAHSGDSTDDFDEEAIALTSVVRVVGDTGTKLERKEKKGSASTDHLTEREDLEEDKRE >itb13g12550.t1 pep chromosome:ASM357664v1:13:18798441:18804048:1 gene:itb13g12550 transcript:itb13g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDSHHPQPQFHHQQPPQPGPTMMVAPPQQANSYGMPNSAMIQQQQQQQQQNHRFPFSPMVGTGSSQAPPPPPTAVDYSDGSSPRAAASGFGIELPAKKKRGRPRKYSPDGGIALGLSPTPVSPISSMGPAHADPAGGTGTSNAAMTHSSSENQPKKARGRPPGSGKRQLDALGSTGIGFTPHVITVQAGEDIAKKIMTFSQQGPRTVCILSASGAICNATLCQPSSSLGGTVTLEGRFEIISLSGSFSPSESNGNGSRTCSLSVSLAGADGKVVGGGVAGMLKAAGPVQVIVGSFIADGKKPKYKPPSSSTPSSNMLNFGAPVTGASPPSEDGSSESSDENGGSPLNHGPPPYGNAGQPIQTMPMYGNMGWPNSTV >itb13g17990.t1 pep chromosome:ASM357664v1:13:24966231:24971690:1 gene:itb13g17990 transcript:itb13g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAEGAADEVIATLEKKGIKSSAKESTAAKLKKKKLKTTAVRIEIPASTPTQTVAALTSMRWGDLVSDEANNKKDNGSSRDYIRKKKIQCAEKIIRGAFVELYRGLKLLKLYSSLNMVAFVKILKKFDKVSKQQASATYLSQVKRSHFISSDKVVGLMDEVETIFTQHYADNDRKKAMKFLIPQRLHKDSHMVPFFAGLFTGCFMTLFTVYGILAHLSGMFSPGTESTYLETVYPVFSIFGLLSLHLFMYGCNLYLWKKTRINYNFIFEFQPNTALKHSDAFLICTSLMTVVMGAMLLHLLLFSRGFSPNQVNAIPGFIFLCFLVLVACPLNIFYRSTRFCFLRVMRNIVCSPLYKVLMVDFFMADQLTSQIPLLRHMETTVCYYVAGSFKSYNSQACKSGKLHGEFAYIISFAPYFWRALQCGRKWFDDNDVNQLANLGKYVSAMVAVCARLTYARHPESQIWLAIVLATSVIATIYQLYWDLVKDWGFFSHKSKNPYLRDNLILKNKIVYYVSIALNVVLRLAWVETVMRFNVGMLESRLLDFSLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKEVPLPFYET >itb11g01980.t1 pep chromosome:ASM357664v1:11:990004:992734:-1 gene:itb11g01980 transcript:itb11g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKICFVLFFCLVLGVSALNAPLEGFLPNGNFEEGPKPSDIKKTVLQGKNALPRWETSGLVEYISGGPQPGGMFFPVAHGVHAVRLGNEAAISQTIPVKKGAIYALTFAASRTCAQEEVLRVSVPPLSGDIPLQTLYSSNGGDVVSFAFRAASEAAKVTFHNPGKQEDPTCGPLIDAVAIKQLLPVLPTRENLVRNNGFEEGPHRLRNASNGVLLPPKQQDMVSPLPGWLIESLKAVKYIDAAHFNIPFGRAAVELVAGRESAIAQIIRTIPNKVYTLAFTVGDAKNGCHGDMMVEAFAGKSAFKVPFRSVGKGHSKSVSFKFTAISIRTRLTFFSSFYHTRINDYGTLCGPVLDEVKVSPAA >itb09g20080.t1 pep chromosome:ASM357664v1:9:16685963:16690023:-1 gene:itb09g20080 transcript:itb09g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGRPRARRLKCNFLGRIQFSLFFCIVGVAFISFLATFFLPNPPPLGRLEDFSRNYLEDNARFEPYKRLDKFRRENAMFYTLRSVKLKLGLVFTHLLVDPYQDLMISFASALKEIGHEFEVLTLEHGPMGSVWRQEGISVTTVETTDGNLKVDWLNYDAVFLNSFEAMDILSCLMQEPFKSVAVIWTVGEGELAARLKKYNSTGQKMLVDRWRKVFKRANAVMFPNYNLPIAYSLCDTGNYFVIPELPIEAQDDYFLSDSKIYAKMGCGPECFIIALVGSQPLVLLPVLQTVENSKNHPLKIIVFAGPSSIINQTSYLWEANFTFAGDEDAERILRLSDIVIYASFSEEQSFPYILLKAMLFGKPIVAPDLSIIKKHVVDGVNGFLFPKKDVKVLTKIMGRVVFNGKLSRVARNVAFTGQHIALNLMVSKSIEGYALVLENIIKIIVPPGGVAAMYQAPKFLLPRRKWLLSETVNKYVSRSFRTHSFLNKFEKQFNPIQTESSMDEMDHIIMNHFWDEEKDLQIANSIKEAEEEELEGRNVQRKRGTWEEISKLLKQAEHFRKVLHEREYGELERRGQPLCIYEPYYGEGTWPFLHNSSLYRGLGLSTKGRRPEFDDIDAPSRLSLLRDPYYRDVLGEYGGFFAIANRTGRIHKHPWIGFQSWRATARKESLSKIAETSLLEAIEALRYGDALFFWACMDRDPRNPQRQDFWSFCDAINAGNCQDAFSKAMKQMYGINLQNFSAVPPMPAVGGNWSVRHSWVLPTRSFLEFAMFSRMFVDALDSQLYDQHHQSGYCYLSVRKEDKHCYSRMLELVINVWAYHSARRMVYVEPKRGRIREEHKLETRRGKMWVKWFHYSTLKQMDEELAEVADIDPPGKRWLWPSTGEVVWQGILEREKLQMEKERELRKKQKEDKRSRRRKRGHREHFQEPLGGKYVKPPPETQNSTHNRLNH >itb11g10160.t1 pep chromosome:ASM357664v1:11:6897548:6902564:-1 gene:itb11g10160 transcript:itb11g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYSGKLQFSEEIKGTIECCSGGRGRILFGCDDGTVSLLDRGLKFNYSFQAHASSVLFLHQLKQRNFLLSVGEDEQISPKVSATCLKVFDLDKMQAEGTSTSTPECVQILRIFTNQFPEAKITSFLVIEEAPPILFIAIGLDNGCIYCIQGDIARERIKRFKLQVHNHANKSQASITGLGFRGDGPALQLFAVTPGSVSLFNFQAQPPSRQTLDQIGSSIPSVAMSDRSEFIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCIIADQRTGRNTFNVYDLKNRLIAHSVVVNDVSHMICEWGNIILIMMDKSALCIGEKDMESKLDMLFKKNLYTIAINLVQTQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDFEKLNAFIKCQDSVGEHKFDVETAIKVCRAANYYEHAMYVAKKTGQHDWYLKILLEDLCRYDEALNYINSLEPSQAGVTVKEYGKILIEHKPKETIEILMKLCTEEGKAGKKAATRVTYVSMLPSPIDFISIFVHYPQSLLEFLEQYTSKVKDSPAEVDIHNTLVELYLSRDLDFRSISQTSIGGNENAKAERPSVSKAGFNGRANLNRTDVNEEKSLRERQMKGLSLLKSAWPSEEEQPLYDVDLAIILCEMNGFREGLLFLYEKMKLYKEVIACYMQAHDHDGLIACCKRLSDLGKGGDRSLWAELLKYFGELGENCSNEAKQVLTYIEKDDILPPIIVLQTLSRNPCLTLSVIKDYMVRKLEQESRLIEEDRTAFEKYQEETSIMRKETEDLRTNARIFQLSKCTACTFTLDLPTVHFMCMHSFHQRCLGDNEKECPECAPEYRALVESKRSLEQGSKSQDQFFQQVKSSKDGFSVVAKYFGKGIISKTSNNGIANKPSNSSDDEF >itb12g04550.t1 pep chromosome:ASM357664v1:12:2981956:2987074:1 gene:itb12g04550 transcript:itb12g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAVFAGIQSTLTHLLRSSPIAIKLFHPSFNGRTQYCPLPLKLQKQSRLLASYRNDSSDYNEGTILRVDSHASNATPELADIDWDNLGFSLLPTDYMYIMKCSQGESFSKGELLRFGNIELNPSSGILNYGQGLLEGLKAYRKGDGKILLFQPKENALRLKIGAERMCMPSPTVEQFMEAVKLTVLANERWIPPPGKGSLYIRPLLMGSGPVLGLAPAPEFTFLIYASPVGNYFKEGLAPINLIVETEMHRATPGGSGNVKTIGNYAPVLKAQSVAKAKGYSDVLYLDSVHNKYLEEVSSCNIFIVKGNTIATPALKGTILPGITRKSIIDVASSLGFQVEERSVSVEELFEADEVFCTGTAVVVSPVGSITYLGKRIAYGSNGSVGIVSRQLYSALTSLQMGVTEDKMGWIVELN >itb12g04550.t2 pep chromosome:ASM357664v1:12:2981956:2987074:1 gene:itb12g04550 transcript:itb12g04550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAVFAGIQSTLTHLLRSSPIAIKLFHPSFNGRTQYCPLPLKLQKQSRLLASYRNDSSDYNEGTILRVDSHASNATPELADIDWDNLGFSLLPTDYMYIMKCSQGESFSKGELLRFGNIELNPSSGILNYGQGLLEGLKAYRKGDGKILLFQPKENALRLKIGAERMCMPSPTVEQFMEAVKLTVLANERWIPPPGKGSLYIRPLLMGSGPVLGLAPAPEFTFLIYASPVGNYFKEGLAPINLIVETEMHRATPGGSGNVKTIGNYAPVLKAQSVAKAKGYSDVLYLDSVHNKYLEEVSSCNIFIVKGNTIATPALKGTILPGITRKSIIDVASSLGFQICWYYCLYICIF >itb12g04550.t3 pep chromosome:ASM357664v1:12:2981956:2987074:1 gene:itb12g04550 transcript:itb12g04550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METGAVFAGIQSTLTHLLRSSPIAIKLFHPSFNGRTQYCPLPLKLQKQSRLLASYRNDSSDYNEGTILRVDSHASNATPELADIDWDNLGFSLLPTDYMYIMKCSQGESFSKGELLRFGNIELNPSSGILNYGQGLLEGLKAYRKGDGKILLFQPKENALRLKIGAERMCMPSPTVEQFMEAVKLTVLANERWIPPPGKGSLYIRPLLMGSGPVLGLAPAPEFTFLIYASPVGNYFKEGLAPINLIVETEMHRATPGGSGNVKTIGNYAPVLKAQSVAKAKGYSDVLYLDSVHNKYLEEVSSCNIFIVKGNTIATPALKGTILPGITRKSIIDVASSLGFQVHYIYLPAMRSSFFVSNGSSPLGYLTVFVKLFV >itb05g17620.t1 pep chromosome:ASM357664v1:5:24474619:24482653:1 gene:itb05g17620 transcript:itb05g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPRQGPASLGSFVNELKKQRDEGMDAHEPLFSRVSSLPASANNQRRRKTITKTKSAPCRPARQSSFSRDIGHAAAETYLITRLSFKLLSYLGVGYRWITRLLALGLYAMLLMPGFVQVGFYYFFSPQVRRSVVYGSQPRNRDGSKPVVVFVTGGAWIIGYKAWGCLLGKQLAERDIIVACLDYRNFPQGTISDMVDDVSQGISFICNNIAEYGGDPDRIYLMGQSAGAHISSCALVRQAIKESKGETVSWSASAIKAYFGSSGGYHLPSLVDHFNGRGLYRSIFMSIMEGEKSLKNFSPEMLVQDPSSKAALSLLPNFILFHGTDDRSIPSNASKTFADALLRVGGRAEVILYDGKTHTDLFLQDPLRGGQDDLFDYVVALIHADDKEALANDANAPPRRRLVPEILLQLARLPLYSNPPFISGQESFRNAAHKLNRPLVGDDGRVYACSGRNFFAFESNGSIAWSLALNYTCSAKIAPVNGEDRVLKINPLNIGGSESAVQVFFGPKQPGAETPGEIVGVAVSISSSRVLIAVKKRGLFAYRLHGKLVWSAGPVLYQHGFRLGCRKSVADCYFSSAPVIDHCEASIYILNNWGELYALSTTTPHFLWIQDLSSFGNISAITPGNNGLVYITVPARALILALDVSRGNISWQGSVGPLSTADYAPVVDSNGWISVGSLDGFVYSFSPHGVVKKFPRVADRASVIQVSPILDCSGYALYVSQTEMDGKVSQIIGDYTFITAMNPTTVAFTMLVPATGSLLFSEKYPGPFSSKLVESDLRRFVCDEQIVLAFFAASSQKFAVSCSQITPKSINIYTGNERAVVLFLSFETTLLVVLVALVRFCCVFWNKKKLQSRELGKFLEKRHSLRLQKKAFDRTITELEQKAANEVARAEVIEQLGDLVREREGVERKLSTTYSLGRDETALQGSLLLPLSDRTTRSYSFRDANKESITVFHTATDVSSAADSTESDLGEAWSCSDDDGDDDEEEEEIGEEEYEASSSSSGSSSNRGSSASFDGMEDAGSVPAAKAVIK >itb09g18880.t1 pep chromosome:ASM357664v1:9:14948081:14950308:1 gene:itb09g18880 transcript:itb09g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVESGGDCFEDSTLVEAEDVGFSQNPSFSIEEEEEEEAHPYEQNYCGAMDMELIQQQLNNVEQCYNNTNDGNNGRLVQELGPDLMFPLSVLPPQPPLLRNYYLFHSLPHGGFAIGGSSSSYSSLFDERDHQVPNNNNVNVNVAFYQDVNGIGRGFEFTADMNDLGKNRDGKDSKHFATEKQRRVHFNDKFQALRKLIPNPTKNDRASILGDAIEYINELKSTASKLKDLVEKKRCSCRERIKRPSRRQKTTTENDVKFELEDMLQCCNGVSLSSSSSWHRTKSKNNNTEVDVRILDDEVTVKLIVLQKKKKKGTNCLLLVSKLLDELQLHLYHVAGGLIGDYYSFLFNSKIFQGTTVYASDIANTLVEAMEKDVVQYTAAIAPPALQLSTS >itb05g24730.t1 pep chromosome:ASM357664v1:5:29393506:29396858:1 gene:itb05g24730 transcript:itb05g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRACDDKVHMCNKLASRHVRVGLANPIDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHSRYLLMRQRVEFPGDKAGQTEEPPRPTSDPADNKRGYNQQNHAAAAAVPVSDNTKDAHAKRENKMIDLNMKPTRIHGQTSNNQE >itb05g24730.t2 pep chromosome:ASM357664v1:5:29393560:29396754:1 gene:itb05g24730 transcript:itb05g24730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKLASRHVRVGLANPIDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHSRYLLMRQRVEFPGDKAGQTEEPPRPTSDPADNKRGYNQQNHAAAAAVPVSDNTKDAHAKRENKMIDLNMKPTRIHGQTSNNQE >itb01g01740.t1 pep chromosome:ASM357664v1:1:1037595:1038792:-1 gene:itb01g01740 transcript:itb01g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLLALSLLILPNPTHSIRNNPIRLPTAAHVALAASDTPVLDTDGMELQAGGVYSIHNAGTLRGVRLVGSGQDTECPSDVIFSMNTSADPIIFTPADPTATKVLESTLLNFKFNVSSSPQCENNGFWQVQYDGNLQQQIVKTGDVVRSLFKIERTSPPDVVPAYYGISYCPFDVCYGLIAYYDQPNDALHFALGNSSGLPVVFQKFTPPVLDTDGNQLQARGVYNIISPDEPEGEVGLVKLDDTRECPSDVIIQNDNSGAGGEDRIMFTPPGFPNPLVRESTYLSIKFSPVDPTPLCQNNVSWEIEYDPKSGQEIVKTGDIVRQLFKIERVPSPPEIPLYKLTYCPVTTLCYNVSHYKDTSVNAIRLALSNDNFLPVVFKKATDISTL >itb05g25640.t1 pep chromosome:ASM357664v1:5:29941170:29941724:-1 gene:itb05g25640 transcript:itb05g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSPSSSSSSSYSNPPCAACKFLRRKCLPDCIFAPYFPAEEPQQFANVHRIFGASNVGKTLSAIPPHQRQDAVNSLAYEAEARTKDPVYGCVAAISVLQRHVIRLQKELDDANADLMRYATAKPLPHHHQGYPGMEFVTMNQTAAYRDNNQQRASDNEFGMPPPAAGDRSPYYPEVVDADC >itb03g01600.t1 pep chromosome:ASM357664v1:3:887895:892092:1 gene:itb03g01600 transcript:itb03g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYIFSGHPIYISTRHRHRHRQLGRMSHPSFAAAPPCSLSSYRLSQPPNRRLLRFPGRAPNTDNLFTAATSAPRRSKHVPHHHRNIVKSHQSTQTFTYRVKTDIPLYESPEASFDQYLEDKSRVLKALCPHEKRKPEQINEEEWRIYMAPIDFMFLSATPVVHMRLRCKTKGIGYPSGIPANTSKLLELKVVKSELRGDLDSLIKHTQFNLGVEGVVYPDRKGPRTRIKGYVLMSITFSPPPALALIPQHVHNEVTQLILRTIGEGMERNVNNGLLADYGKFKREKR >itb03g08240.t2 pep chromosome:ASM357664v1:3:6175224:6178560:-1 gene:itb03g08240 transcript:itb03g08240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGVPAAGTGGALLRLRCAVRNYDWGRIGRESGVARLYSRNTGDEIQEGKPYAEFWMGTHESGPSYVVVGGAPPGRIAENGLSNGVAKQKFVVTLKDWIRRNPSVLGDKVLNKWGPDLPFLFKVLSVAKALSIQAHPDKDLAACLHKEQPLVYKDSNHKPEMTLALTDFEALCGFVSFGELKVVLQTVPEIGEVVGNAYVEQLINLNEQDGAENAKLTLRLLFTKLMSSSKDVISKVISKLISRLNKKNERNLCEKEQLVLRLEKQYPGDVGVLAAFLFNYVKLKAGEALYLGANEPHAYLLGECIECMATSDNVVRAGLTPKHLDVETLCSMLTYKQGFPEILKGNALNPYTTRYLPPFEEFEVDRCTLPPAAKVVFPAVPGPSIFVVMAGEGTMTTPSSNEIVAEGDVLFSPANTNIAVATTLGLSLFRAGVNSRFFAEKS >itb03g08240.t4 pep chromosome:ASM357664v1:3:6175263:6178541:-1 gene:itb03g08240 transcript:itb03g08240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGVPAAGTGGALLRLRCAVRNYDWGRIGRESGVARLYSRNTGDEIQEGKPYAEFWMGTHESGPSYVVVGGAPPGRIAENGLSNGVAKQKFVVTLKDWIRRNPSVLGDKVLNKWGPDLPFLFKVLSVAKALSIQAHPDKDLAACLHKEQPLVYKDSNHKPEMTLALTDFEALCGFVSFGELKVVLQTVPEIGEVVGNAYVEQLINLNEQDGAENAKLTLRLLFTKLMSSSKDVISKVISKLISRLNKKNEQRNLCEKEQLVLRLEKQYPGDVGVLAAFLFNYVKLKAGEALYLGANEPHAYLLGECIECMATSDNVVRAGLTPKHLDVETLCSMLTYKQGFPEILKGNALNPYTTRYLPPFEEFEVDRCTLPPAAKVVFPAVPGPSIFVVMAGEGTMTTPSSNEIVAEGDVLFSPANTNIAVATTLGLSLFRAGVNSRFFAEKS >itb03g08240.t3 pep chromosome:ASM357664v1:3:6175263:6178541:-1 gene:itb03g08240 transcript:itb03g08240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGVPAAGTGGALLRLRCAVRNYDWGRIGRESGVARLYSRNTGDEIQEGKPYAEFWMGTHESGPSYVVVGGAPPGRIAENGLSNGVAKQKFVVTLKDWIRRNPSVLGDKVLNKWGPDLPFLFKVLSVAKALSIQAHPDKDLAACLHKEQPLVYKDSNHKPEMTLALTDFEALCGFVSFGELKVVLQTVPEIGEVVGNAYVEQLINLNEQDGAENAKLTLRLLFTKLMSSSKDVISKVISKLISRLNKKNEQRNLCEKEQLVLRLEKQYPGDVGVLAAFLFNYVKLKAGEALYLGANEPHAYLLGECIECMATSDNVVRAGLTPKHLDVETLCSMLTYKQGFPEILKGNALNPYTTRYLPPFEEFEVDRCTLPPAAKVVFPAVPGPSIFVVMAGEGTMTTPSSNEIVAEGDVLFSPANTNIAVATTLGLSLFRAGVNSRFFAEKS >itb03g08240.t1 pep chromosome:ASM357664v1:3:6175224:6178560:-1 gene:itb03g08240 transcript:itb03g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGVPAAGTGGALLRLRCAVRNYDWGRIGRESGVARLYSRNTGDEIQEGKPYAEFWMGTHESGPSYVVVGGAPPGRIAENGLSNGVAKQKFVVTLKDWIRRNPSVLGDKVLNKWGPDLPFLFKVLSVAKALSIQAHPDKDLAACLHKEQPLVYKDSNHKPEMTLALTDFEALCGFVSFGELKVVLQTVPEIGEVVGNAYVEQLINLNEQDGAENAKLTLRLLFTKLMSSSKDVISKVISKLISRLNKKNERNLCEKEQLVLRLEKQYPGDVGVLAAFLFNYVKLKAGEALYLGANEPHAYLLGECIECMATSDNVVRAGLTPKHLDVETLCSMLTYKQGFPEILKGNALNPYTTRYLPPFEEFEVDRCTLPPAAKVVFPAVPGPSIFVVMAGEGTMTTPSSNEIVAEGDVLFSPANTNIAVATTLGLSLFRAGVNSRFFAEKS >itb15g15740.t1 pep chromosome:ASM357664v1:15:14801573:14801999:1 gene:itb15g15740 transcript:itb15g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESAEPPSFEYTPTWVVAVFCSVIVLLSLLAERGLHKLGLFFKRKDLEALFEALQKLKEGLYIYIYIYIYIIICSYEQILHCNRISSTQYIYIYIYILI >itb06g11900.t1 pep chromosome:ASM357664v1:6:16446420:16446719:1 gene:itb06g11900 transcript:itb06g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDEDNEDYDEHPLQRREGDRGVEAGFLRAVPEVVQLDLRQNQLPVLLPTKCPSALRDDSFGCSYSPMRQSRRGRCCAGIDVVGEWNQIAERVDLLVA >itb11g19760.t1 pep chromosome:ASM357664v1:11:20583499:20584107:1 gene:itb11g19760 transcript:itb11g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTPLLFLSIFLFSVLLCEAREAPNPVLDINGKILRASDKYYVVPLQQDQGGGLDLTSRRSQRCPWSVVQDPAYWWGNTIQFYPVNSKKGVIREWTDLNIEFPDISTGCPESNVWTITGDLSSYDGSHYITTGGEIGNPGEQTLGNWIKIVKTTNAYKLMFCPDVCNYCSYVCRDVGISVEGGHKRLVLSDVPLEITFRKA >itb06g09210.t5 pep chromosome:ASM357664v1:6:13374647:13379510:-1 gene:itb06g09210 transcript:itb06g09210.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGKAMVVSLGGYGTVSQTSSSSSTMNANWSLLDGAVHNSASQCSFSFCSQETPCTSMLSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKGAQATPFTSMPSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKAPLPVSAWSPLGGAQATPFTSMPSVNSPFSAQTPYASTKEPEGNFHSISSMPVYTSKSHEELRFEDYSLSIKDGQCATTQTGNGFQLNNSFYPSLFPSVKPTQTGFISPENTQSIPSNSPGLFTPSTSATTQENTFASSSAFDFPKSPNIAPPLPSFVSNSSVSQVSTTESTLCSNCNHAKTQSLQPGLVGPSTNLSPNQLKPSSIQQTVQELGKDDAVPVSSSHPGSVISVQYGISSLSVSDKAAPTRRRSLLIVRHPSLNRTKMLCPRYSLDSNASRVPFFMAGEEKATEGVAKTNSAIFPRENPRSWIHPPTKESPQSHNPNTLPEMEHTSINVYGNGNVTLQVHRAKEKQTDTSEGVINYKHDTIDVDGIMPKLKNDDYYTEPSIQELAAREKEEPGFCHRVKDFVVGRRGFGSIKFTGETDVEGLELDSLVQFNYHEVVVYMDDSKKPEVREGLNKPAEVTLLNVKCINKKTGEEYKDGHLVDKYKNLLIRKSAEQGAEFVSYDPVKGEWKFKVMHF >itb06g09210.t3 pep chromosome:ASM357664v1:6:13374647:13380062:-1 gene:itb06g09210 transcript:itb06g09210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNANWSLLDGAVHNSASQCSFSFCSQETPCTSMLSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKAPLPVSAWSPLGGAQATPFTSMPSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKAPLPVSAWSPLGGAQATPFTSMPSVNSPFSAQTPYASTKEPEGNFHSISSMPVYTSKSHEELRFEDYSLSIKDGQCATTQTGNGFQLNNSFYPSLFPSVKPTQTGFISPENTQSIPSNSPGLFTPSTSATTQENTFASSSAFDFPKSPNIAPPLPSFVSNSSVSQVSTTESTLCSNCNHAKTQSLQPGLVGPSTNLSPNQLKPSSIQQTVQELGKDDAVPVSSSHPGSVISVQYGISSLSVSDKAAPTRRRSLLIVRHPSLNRTKMLCPRYSLDSNASRVPFFMAGEEKATEGVAKTNSAIFPRENPRSWIHPPTKESPQSHNPNTLPEMEHTSINVYGNGNVTLQVHRAKEKQTDTSEGVINYKHDTIDVDGIMPKLKNDDYYTEPSIQELAAREKEEPGFCHRVKDFVVGRRGFGSIKFTGETDVEGLELDSLVQFNYHEVVVYMDDSKKPEVREGLNKPAEVTLLNVKCINKKTGEEYKDGHLVDKYKNLLIRKSAEQGAEFVSYDPVKGEWKFKVMHF >itb06g09210.t2 pep chromosome:ASM357664v1:6:13374259:13380062:-1 gene:itb06g09210 transcript:itb06g09210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNANWSLLDGAVHNSASQCSFSFCSQETPCTSMLSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKGAQATPFTSMPSVNSPFSAQTPYASTKEPEGNFHSISSMPVYTSKSHEELRFEDYSLSIKDGQCATTQTGNGFQLNNSFYPSLFPSVKPTQTGFISPENTQSIPSNSPGLFTPSTSATTQENTFASSSAFDFPKSPNIAPPLPSFVSNSSVSQVSTTESTLCSNCNHAKTQSLQPGLVGPSTNLSPNQLKPSSIQQTVQELGKDDAVPVSSSHPGSVISVQYGISSLSVSDKAAPTRRRSLLIVRHPSLNRTKMLCPRYSLDSNASRVPFFMAGEEKATEGVAKTNSAIFPRENPRSWIHPPTKESPQSHNPNTLPEMEHTSINVYGNGNVTLQVHRAKEKQTDTSEGVINYKHDTIDVDGIMPKLKNDDYYTEPSIQELAAREKEEPGFCHRVKDFVVGRRGFGSIKFTGETDVEGLELDSLVQFNYHEVVVYMDDSKKPEVREGLNKPAEVTLLNVKCINKKTGEEYKDGHLVDKYKNLLIRKSAEQGAEFVSYDPVKGEWKFKVMHF >itb06g09210.t1 pep chromosome:ASM357664v1:6:13374259:13380062:-1 gene:itb06g09210 transcript:itb06g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANWSLLDGAVHNSASQCSFSFCSQETPCTSMLSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKAPLPVSAWSPLGGAQATPFTSMPSVNSPFSAQTPYASTKEPEGNFHSISSMPVYTSKSHEELRFEDYSLSIKDGQCATTQTGNGFQLNNSFYPSLFPSVKPTQTGFISPENTQSIPSNSPGLFTPSTSATTQENTFASSSAFDFPKSPNIAPPLPSFVSNSSVSQVSTTESTLCSNCNHAKTQSLQPGLVGPSTNLSPNQLKPSSIQQTVQELGKDDAVPVSSSHPGSVISVQYGISSLSVSDKAAPTRRRSLLIVRHPSLNRTKMLCPRYSLDSNASRVPFFMAGEEKATEGVAKTNSAIFPRENPRSWIHPPTKESPQSHNPNTLPEMEHTSINVYGNGNVTLQVHRAKEKQTDTSEGVINYKHDTIDVDGIMPKLKNDDYYTEPSIQELAAREKEEPGFCHRVKDFVVGRRGFGSIKFTGETDVEGLELDSLVQFNYHEVVVYMDDSKKPEVREGLNKPAEVTLLNVKCINKKTGEEYKDGHLVDKYKNLLIRKSAEQGAEFVSYDPVKGEWKFKVMHF >itb06g09210.t4 pep chromosome:ASM357664v1:6:13374647:13380062:-1 gene:itb06g09210 transcript:itb06g09210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNANWSLLDGAVHNSASQCSFSFCSQETPCTSMLSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKGAQATPFTSMPSVNSPFSAQTQTNQVTGFASTQSNATSHFPLVHSNMFSAQTQHSGVTPFSPFSSRSNANSPAFKKQKNANSPFPSVHSNPFISPQTQSTAATKLSPFAPFSGHSNTNSPFQYVFSSNAFSGQTQSSGVTGFAPFSSQSNTNSPFPSVSSNAFSGQTNSSGLTGFAPFCSQSNANSYLSNYSNPFTSQSRSFNSTLSTTIPISGSRVAPYASAKEPEGNFHSISSMPVYTSKSHEELRFEDYSLCNKAPLPVSAWSPLGGAQATPFTSMPSVNSPFSAQTPYASTKEPEGNFHSISSMPVYTSKSHEELRFEDYSLSIKDGQCATTQTGNGFQLNNSFYPSLFPSVKPTQTGFISPENTQSIPSNSPGLFTPSTSATTQENTFASSSAFDFPKSPNIAPPLPSFVSNSSVSQVSTTESTLCSNCNHAKTQSLQPGLVGPSTNLSPNQLKPSSIQQTVQELGKDDAVPVSSSHPGSVISVQYGISSLSVSDKAAPTRRRSLLIVRHPSLNRTKMLCPRYSLDSNASRVPFFMAGEEKATEGVAKTNSAIFPRENPRSWIHPPTKESPQSHNPNTLPEMEHTSINVYGNGNVTLQVHRAKEKQTDTSEGVINYKHDTIDVDGIMPKLKNDDYYTEPSIQELAAREKEEPGFCHRVKDFVVGRRGFGSIKFTGETDVEGLELDSLVQFNYHEVVVYMDDSKKPEVREGLNKPAEVTLLNVKCINKKTGEEYKDGHLVDKYKNLLIRKSAEQGAEFVSYDPVKGEWKFKVMHF >itb05g01600.t3 pep chromosome:ASM357664v1:5:1297632:1300737:1 gene:itb05g01600 transcript:itb05g01600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHILLAAVASVFVLPGIVADLDSDGQALLEFSASVPHRRKLNWNSTLPICRSWTGIKCSKDGTRVVAIHLPGVGLYGSIPPNSIGKLDALRVLSLRGNRLNGNLPSDILSIASLQSVYLQQNNFTGNIPTSLSPQLGVLNLSFNSFTGEIPLAVKNLSRLSVLNLQYNLLSGVVPDLDSSRLKNLNLSHNMLNGSIPDSLKKFPLSSFMGNSRLCGEPLTQCLAPSPLSSPPIPEKQTPTASKKPSIAAIIIITVGACSVLFLLALAIVFFCLKRKISDGSGEVKERVAYGGKSEKPEDFGSGVQDAEKNKLVFFQGCSYSFDLEDLLRASAEVLGKGSYGTAYKAVLDEATIVVVKRLREVCVGKREFEQHMEIIGRIAQHPNIVPPRAYYFSKDEKLLVCDYLPAGSLSAALQSNRGTGRNQLDWESRLKIAIGAAKGIAHIHSEGGAKFTHGNIKSSNVLLTTSMDGCISDIGLCPLMNNAATTSPRRPGYRAPEVFIETRRVTQKSDVYSFGVVVLEMLTGKSAVPVAGHDDVVDLPRWVRSVVREEWTAEVFDVELMKYQNIEEEMVQMLQIALSCVAKAPDMRPTMAEVVRAIEGIRGPAETETEPQPSSDDNRSKDSNAGTPE >itb05g01600.t4 pep chromosome:ASM357664v1:5:1297340:1300737:1 gene:itb05g01600 transcript:itb05g01600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHILLAAVASVFVLPGIVADLDSDGQALLEFSASVPHRRKLNWNSTLPICRSWTGIKCSKDGTRVVAIHLPGVGLYGSIPPNSIGKLDALRVLSLRGNRLNGNLPSDILSIASLQSVYLQQNNFTGNIPTSLSPQLGVLNLSFNSFTGEIPLAVKNLSRLSVLNLQYNLLSGVVPDLDSSRLKNLNLSHNMLNGSIPDSLKKFPLSSFMGNSRLCGEPLTQCLAPSPLSSPPIPEKQTPTASKKPSIAAIIIITVGACSVLFLLALAIVFFCLKRKISDGSGEVKERVAYGGKSEKPEDFGSGVQDAEKNKLVFFQGCSYSFDLEDLLRASAEVLGKGSYGTAYKAVLDEATIVVVKRLREVCVGKREFEQHMEIIGRIAQHPNIVPPRAYYFSKDEKLLVCDYLPAGSLSAALQSNRGTGRNQLDWESRLKIAIGAAKGIAHIHSEGGAKFTHGNIKSSNVLLTTSMDGCISDIGLCPLMNNAATTSPRRPGYRAPEVFIETRRVTQKSDVYSFGVVVLEMLTGKSAVPVAGHDDVVDLPRWVRSVVREEWTAEVFDVELMKYQNIEEEMVQMLQIALSCVAKAPDMRPTMAEVVRAIEGIRGPAETETEPQPSSDDNRSKDSNAGTPE >itb05g01600.t2 pep chromosome:ASM357664v1:5:1297706:1300737:1 gene:itb05g01600 transcript:itb05g01600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHILLAAVASVFVLPGIVADLDSDGQALLEFSASVPHRRKLNWNSTLPICRSWTGIKCSKDGTRVVAIHLPGVGLYGSIPPNSIGKLDALRVLSLRGNRLNGNLPSDILSIASLQSVYLQQNNFTGNIPTSLSPQLGVLNLSFNSFTGEIPLAVKNLSRLSVLNLQYNLLSGVVPDLDSSRLKNLNLSHNMLNGSIPDSLKKFPLSSFMGNSRLCGEPLTQCLAPSPLSSPPIPEKQTPTASKKPSIAAIIIITVGACSVLFLLALAIVFFCLKRKISDGSGEVKERVAYGGKSEKPEDFGSGVQDAEKNKLVFFQGCSYSFDLEDLLRASAEVLGKGSYGTAYKAVLDEATIVVVKRLREVCVGKREFEQHMEIIGRIAQHPNIVPPRAYYFSKDEKLLVCDYLPAGSLSAALQSNRGTGRNQLDWESRLKIAIGAAKGIAHIHSEGGAKFTHGNIKSSNVLLTTSMDGCISDIGLCPLMNNAATTSPRRPGYRAPEVFIETRRVTQKSDVYSFGVVVLEMLTGKSAVPVAGHDDVVDLPRWVRSVVREEWTAEVFDVELMKYQNIEEEMVQMLQIALSCVAKAPDMRPTMAEVVRAIEGIRGPAETETEPQPSSDDNRSKDSNAGTPE >itb05g01600.t1 pep chromosome:ASM357664v1:5:1297004:1300744:1 gene:itb05g01600 transcript:itb05g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHILLAAVASVFVLPGIVADLDSDGQALLEFSASVPHRRKLNWNSTLPICRSWTGIKCSKDGTRVVAIHLPGVGLYGSIPPNSIGKLDALRVLSLRGNRLNGNLPSDILSIASLQSVYLQQNNFTGNIPTSLSPQLGVLNLSFNSFTGEIPLAVKNLSRLSVLNLQYNLLSGVVPDLDSSRLKNLNLSHNMLNGSIPDSLKKFPLSSFMGNSRLCGEPLTQCLAPSPLSSPPIPEKQTPTASKKPSIAAIIIITVGACSVLFLLALAIVFFCLKRKISDGSGEVKERVAYGGKSEKPEDFGSGVQDAEKNKLVFFQGCSYSFDLEDLLRASAEVLGKGSYGTAYKAVLDEATIVVVKRLREVCVGKREFEQHMEIIGRIAQHPNIVPPRAYYFSKDEKLLVCDYLPAGSLSAALQSNRGTGRNQLDWESRLKIAIGAAKGIAHIHSEGGAKFTHGNIKSSNVLLTTSMDGCISDIGLCPLMNNAATTSPRRPGYRAPEVFIETRRVTQKSDVYSFGVVVLEMLTGKSAVPVAGHDDVVDLPRWVRSVVREEWTAEVFDVELMKYQNIEEEMVQMLQIALSCVAKAPDMRPTMAEVVRAIEGIRGPAETETEPQPSSDDNRSKDSNAGTPE >itb02g10730.t1 pep chromosome:ASM357664v1:2:6966184:6967363:1 gene:itb02g10730 transcript:itb02g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSIRQFLEFTVISIISVLIHGINGQTNRWINGHATFYGVNQDPSTFGGACGYDNPYHAGFGAHTTALSAALFRNGEACGACYQVRCNYRVAPKWCLPTRAFVTVTATNFCPPNGNGGWCDSPRHHFDMSLPAFLRIARQANEGVVPVLYRRVGCRRRGGVRFTLKGQSNFNMVMISNVGGSGDIKSAWMRGSGTRTWVPMIRNWGANWSTRFDIRSQTLSFRVTLTSGKTMAFYNVVPSSWKFGQTFASRNQF >itb13g05970.t1 pep chromosome:ASM357664v1:13:7052316:7054880:-1 gene:itb13g05970 transcript:itb13g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRMFLILSVLCFCSTATIASKLETYIVRVESPDSRISTQSSSSSSVDLEHWYKSFLPTTVAGGGYGESRMVFMYRNVMKGFAAKLSAQEVKEMGNKDGFISAAPERILPLHTTHSPGFLGLQQNMGIWRDSSYGKGVIIGVLDTGIARDHPSFSDEGMPPPPARWKGVCELNFTACNNKVIGARSFSEGGRSVFDEDGHGSHTAGTAAGNFVRGANVNGNANGTAAGVAPLAHLAIYKVCNEIGCPDSQILAAMDTAIEDGVDILSLSLGGMSDSFHEDNIALGAYSAMEKGIFVSASAGNEGPSIGSLSNEAPWMLTVGASTLDRKLSAAVVLGNRQEFHGETAFQPKDFNSTLLPLVFPGQNSTDESSSPYCDTESLRNADVRGKIVVCVTGGFIDRRGKGEAVKNASGRGMILIEDEEYGITTSATAHVIPAIDITYADGEKILAYINSTANPVATFAFKGTITGDKNAPIVAAFSSRGPNRESPGILKPDIIGPGVNILAPWPTSVDNKPNSKSTFNIISGTSMSCPHLSGVAALLKSSHPDWSPAMIKSAMMTTADIVNHGQNPIQNQRLVAASVFSIGAGHVNPSKANDPGLVYDTKPEDYLPYLCGLNYTNREVGVILQRRVNCSAVMSIPEAQLNYPSFSIILESTAQKYTRTVTNVGEARSFYTVEILEPHGVKVNVEPRELQFSKVNQKLTYNVTFSLSKAARLKVPAIVQGALRWKSAKHNVRSPIATKLI >itb15g22590.t1 pep chromosome:ASM357664v1:15:25259405:25259932:1 gene:itb15g22590 transcript:itb15g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCEETDDSSWSPLHYAVKIHNVKAAHMILDRKPSVAYIYAGSNKEWTTTFHIAARNDNVKIMNEISNRYPDCWEMVNSKGQNVLHEAILGKNVNVIRHIDESSNQFENLVTHKDEDGNTPLHLLDIRARGINDQSYDRIMVQFIIERRLLNYFAFNKKHQAVFGDEFFEVSTL >itb07g02180.t2 pep chromosome:ASM357664v1:7:1335613:1336038:-1 gene:itb07g02180 transcript:itb07g02180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMWGHGSQYRKGPESLRGTQPTAMLRLPCFCCAAGCRNNIDHPRPKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRAFGNGHTACGIDCFDEEDEAASEIEQDT >itb06g04060.t1 pep chromosome:ASM357664v1:6:6584134:6586296:1 gene:itb06g04060 transcript:itb06g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPAPPRVYGGDGIYRSPRPPINLPEHPKTSIVSFFFRHLPSLSQTTALIDSETGQTLTFSQLKTQVFKLSQALLNLNISKSDVVLIFSPNSILFPVSFLAVVSIGAIVTTVNPLYTISELTNQVKDSNPKLIITVDDLYHKVKNFNLPCIFLSSKTSSSHDRLPSKSSIFYYSDLVSSPYVTVPMPETGQNDVAALLYSSGTTGKSKGVVLTHKNFIATALMVTSDQDFYGAYNSVYLCFLPLFHIYGLASIMYAQLQRGDTVVLMANYSLEKVLQSIEKYRVTHLSVVPPVILAFAKHRETVERYDVSSLREIGSGAAPLGKDVMEECAKCFPKAGIFQGYGMTETCGIISMENTRTARRHSGSTGMLVAGLESKIVDMDTMKPLPPLQKGYFNNQTATDETLDGEGWVHTGDLGYFDDEGQLHVVDRLKELIKCKGFQVAPAELEDLLVTHPEISDAAVIPFPDREAGEVPIAYVVRSCGSSITEEEVKSFIAGQVVFYKRLQKVIFTENIPKSAAGKILRRELIQRIQSKL >itb07g11970.t1 pep chromosome:ASM357664v1:7:13550531:13551109:-1 gene:itb07g11970 transcript:itb07g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQADEISNLIRERIEQYNREVNIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLMIQEGSSVKATARIAQIPVGDAYLGRVINALANPIDGRGEISASGFRLIESPAPGIISRRSVYGPSSNRAYCYRFDDPYRTWSARINYSSSIEVIGFAPMETINFIHNRGI >itb14g19700.t1 pep chromosome:ASM357664v1:14:22298350:22301562:1 gene:itb14g19700 transcript:itb14g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFDCWNYVALIFLVLPCFFALETKPPASQAEAEALLKWKSNMSLPSSSSLNSWSLSNLKNICNWTGIACNGGGAISEINLSHAGLSGDLTQLNFTSFPTLKSFNISSNEFSGAIPPAIGDLSNLVLLDLASNSFDGSIPPQIGNLTELQYLSLGGNILTGVLPHQIGNLQKVWFLDLKGNYLEAPDWSKVKSFPRLRHLTLSWSMFGPKFPSFIPGCRNLVFLDLAMNSFESIPESLFTNLEKLEYLDLSRNQLSQPLSPNISKLSKLKILYLFESSIQGEIPSSIGKLKNLQVLNIKNNRLNLSIPSELGHCTNLIELNLFSNSLTGPLPSSLSSLTNLKTLMLHYNYLNGSIPFEIDQTEKIKNKKKKKLASMLTWLLSDDKYSRQRMLLLKK >itb07g01020.t1 pep chromosome:ASM357664v1:7:637506:644553:-1 gene:itb07g01020 transcript:itb07g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKDAAITVSKVSGNLVFAVNGERFELPSADPSTTLLHFLRSHTRFKSPKLGCGEGGCGACVVLLSKYDPLSERVESYTVSSCLTLLCSINGCSVTTSEGFGNNKDGFHPIHQRFAGFHASQCGYCTPGMCVSLFSALVNADKLNRPEPPPGFSKLTASEAERAIAGNLCRCTGYRPIADACKSFAADIDIEDLGFNSFWRKGDNPEAKVSKLPFYDPSSDICTYPEFLKTECKSTVHLDSERYPWNTPVSLEELQGLLGSYMAENGTSVKLVVGNTGMGYYKELEGYDKYIDLRYIPELSLIKRDHSGIEVGAAVTISKLISNLKEGNKINSGSSGELVSEKIADHLEKIASGFVRNSASIGGNLVMAQKNQFPSDIATLLLAVGSSVTIMIGQRCEKLTLEKFLERPALDSRTVLLSVWVPFRTPKSKLLFETYRAAPRPLGNALPYVNAAFLADVSPTENGYLVNYVKLAFGAFGTKHAIRATKVEDYLTGNILSANVLYEALKLVKATVVPEPGTSHSEYRSSLAASFIFKFFHGFIGVDPTISRGLPANITTFIEENSKVSNDNYFCPSEKRALLSSAKQVVEFNKEYYPVGEPIIKAGAAIQASGEAVYVDDLPSPPNCLYGAFIYSTQPLARVKGIKFESNSLPNGVVDVITFKDIPTGGQNVGSKTLFGTEPLFADDLTRCAGDRIAFVVADSQRHANAAASIAIVEYDTENLDPPILTVEDAVKKSSFFEVPPFLYPKNVGDFSKGMAEADHKVLSAKISLGSQYYFYMETQTSLSVPDEDNCMLVYSSSQCPEFAQSVIASCLGVPEHNIRVITRRVGGGFGGKAIRAMPVSTACALAANKLQRPVRIYLDRKTDMIMAGGRHPMNVTYSVGFMSSGKITALHLDILIDAGISVDISPIIPSNLIGVLKKYDWGALSLDVKVCKTNHFSKSAMRGPGEVQGSFIAEAVMEHVASVLSMEVDCVRSKNLHTFESLSVFYGNIAGELVDYTLPAIMDKLAISSNLLERTKMIEQFNEKNVWKKRGISRVPVVIHLMHRPTPAKVSILMDGSIVVEVGGIELGQGLYTKVKQMAAYGLGLIQCNQTQDLVDKVRVIQSDTLSLVQGGFTAGSTTSESSCEAVRLCCNVLVERLTPLKTKLQEQTGSVDWNTLILQAHVTAVNLAANSYYVPGLSSMSYLNYGAAVSEVEIDILTGESRILQTDIIYDCGQSLNPAVDMGQIEGAFVQGIGFFMLEEYLTNEDGLVVSDGTWTYKIPTIDTIPEQFNVDVLNSGHHQNRILSSKASGEPPLLLAASVHCATRAAIKEARKQLKGWGKLDGLDSDFHLEVPAVLPVVKTHCGLDYAEKFLEFLLGH >itb07g01020.t2 pep chromosome:ASM357664v1:7:637506:644549:-1 gene:itb07g01020 transcript:itb07g01020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKDAAITVSKVSGNLVFAVNGERFELPSADPSTTLLHFLRSHTRFKSPKLGCGEGGCGACVVLLSKYDPLSERVESYTVSSCLTLLCSINGCSVTTSEGFGNNKDGFHPIHQRFAGFHASQCGYCTPGMCVSLFSALVNADKLNRPEPPPGFSKLTASEAERAIAGNLCRCTGYRPIADACKSFAADIDIEDLGFNSFWRKGDNPEAKVSKLPFYDPSSDICTYPEFLKTECKSTVHLDSERYPWNTPVSLEELQGLLGSYMAENGTSVKLVVGNTGMGYYKELEGYDKYIDLRYIPELSLIKRDHSGIEVGAAVTISKLISNLKEGNKINSGSSGELVSEKIADHLEKIASGFVRNSASIGGNLVMAQKNQFPSDIATLLLAVGSSVTIMIGQRCEKLTLEKFLERPALDSRTVLLSVWVPFRTPKSKLLFETYRAAPRPLGNALPYVNAAFLADVSPTENGYLVNYVKLAFGAFGTKHAIRATKVEDYLTGNILSANVLYEALKLVKATVVPEPGTSHSEYRSSLAASFIFKFFHGFIGVDPTISRGLPANITTFIEENSKVSNDNYFCPSEKRALLSSAKQVVEFNKEYYPVGEPIIKAGAAIQASGEAVYVDDLPSPPNCLYGAFIYSTQPLARVKGIKFESNSLPNGVVDVITFKDIPTGGQNVGSKTLFGTEPLFADDLTRCAGDRIAFVVADSQRHANAAASIAIVEYDTENLDPPILTVEDAVKKSSFFEVPPFLYPKNVGDFSKGMAEADHKVLSAKISLGSQYYFYMETQTSLSVPDEDNCMLVYSSSQCPEFAQSVIASCLGVPEHNIRVITRRVGGGFGGKAIRAMPVSTACALAANKLQRPVRIYLDRKTDMIMAGGRHPMNVTYSVGFMSSGKITALHLDILIDAGISVDISPIIPSNLIGVLKKYDWGALSLDVKVCKTNHFSKSAMRGPGEVQGSFIAEAVMEHVASVLSMEVDCVRSKNLHTFESLSVFYGNIAGELVDYTLPAIMDKLAISSNLLERTKMIEQFNEKNVWKKRGISRVPVVIHLMHRPTPAKVSILMDGSIVVEVGGIELGQGLYTKVKQMAAYGLGLIQCNQTQDLVDKVRVIQSDTLSLVQGGFTAGSTTSESSCEAVRLCCNVLVERLTPLKTKLQEQTGSVDWNTLILQAHVTAVNLAANSYYVPGLSSMSYLNYGAAVSEVEIDILTGESRILQTDIIYDCGQSLNPAVDMGQVTLHILDLCISILICMHLLLCLASNLYGSKMLSVAD >itb03g24170.t1 pep chromosome:ASM357664v1:3:22781242:22782731:1 gene:itb03g24170 transcript:itb03g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQGIGVVENVVETARVAEKKPRPEKEKALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNRRSSSSSSLLSSSSTSSKKLPDHSTPSPCFPLNPNAGQDLNLAYPPPNTSNPGILHHLNPFHIPIPVSDPSTAVFSSGLLFPLHDVKPAALDGFGNGFVNHVEDSTGAARVFFPNLEDLKSGFEQYRAQGETTNGYWNGAHGGSAAGSGGGW >itb14g15340.t2 pep chromosome:ASM357664v1:14:18644291:18648809:1 gene:itb14g15340 transcript:itb14g15340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSHSIRTASYSNEEDKLLCSIFMDIAQDARKGSDRSVPELWEHIAQEYKQRLPAHISTNRPWRSLQTRWANISIAVSKLRGCIQQIEKMNPSGASEQDILDRAKELLRQDPKYKKGFKFDHVWHIVKDMHKFCKTRSSLNVRGRKRTMEGSQPQSLGTEKSIDSCSFAVDLNDDFGTDDHCNEMNNVDECPTRRKKEQMKKQISDERNQFISAMEHAMEQQTAQFKGMFEHNPELLQKQYELDLFKAQTAAKKVALAKEKLALAKRKEENKILITNLNLIEDPIVREFIRRQQLQIVGERNQEHGG >itb14g15340.t1 pep chromosome:ASM357664v1:14:18644291:18648809:1 gene:itb14g15340 transcript:itb14g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPSHSIRTASYSNEEDKLLCSIFMDIAQDARKGSDRSVPELWEHIAQEYKQRLPAHISTNRPWRSLQTRWANISIAVSKLRGCIQQIEKMNPSGASEQDILDRAKELLRQDPKYKKGFKFDHVWHIVKDMHKFCKTRSSLNVRGRKRTMEGSQPQSLGTEKSIDSCSFAVDLNDDFGTDDHCNEMNNVDECPTRRKKEQMKKQISDERNQFISAMEHAMEQQTAQFKGMFEHNPELLQKQYELDLFKAQTAAKKVALAKEKLALAKRKEENKILITNLNLIEDPIVREFIRRQQLQIVGERNQEHGG >itb02g23400.t2 pep chromosome:ASM357664v1:2:23335578:23339398:-1 gene:itb02g23400 transcript:itb02g23400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKQPKHNVGAPKGRKNFISSVSPLKACFLHLICGLGLASALWAARNIYSADLVSNPAQTLRLILASESPVVILLYSLFRHDSDRCSYFKALGRGLLGLPVGAAMIAVGAIILGAPVGFQYLGMTLYWSLVMSVFTFVPAATVFGSSWTDWHRIFAQTKPAKNIDYMVFFPAHGAIIGAWFGAWPMPLDWERPWQEWPICVTYGAMVGYLVGMAVSMGFILFHNRPQHIKGE >itb02g23400.t1 pep chromosome:ASM357664v1:2:23335522:23339764:-1 gene:itb02g23400 transcript:itb02g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKQPKHNVGAPKGRKNFISSVSPLKACFLHLICGLGLASALWAARNIYSADLVSNPAQTLRLILASESPVVILLYSLFRHDSDRCSYFKALGRGLLGLPVGAAMIAVGAIILGAPVGFQYLGMTLYWSLVMSVFTFVPAATVFGSSWTDWHRIFAQTKPAKNIDYMVFFPAHGAIIGAWFGAWPMPLDWERPWQEWPICVTYGAMVGYLVGMAVSMGFILFHNRPQHIKGE >itb11g13900.t1 pep chromosome:ASM357664v1:11:10956639:10959660:-1 gene:itb11g13900 transcript:itb11g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSPSVPATPLLKDELDIVIPTIRNLDFLEQWRPFFQPYHLIIVQDGDPSKTIRVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLGFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHMGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVTLPKDCTTVQSCYLEISKQVKAKLGKVDEYFIKLADAMVTWIEAWDELNPSGDAAKKPNGPSK >itb05g26280.t1 pep chromosome:ASM357664v1:5:30250115:30252725:1 gene:itb05g26280 transcript:itb05g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVMRAAAAAKVVGITAANGGFRGFALDHPVSAAVRPVAASAISSACDDVRGVRSATDIPAAAEGISVPKPILEFDDWGFSDEEESPPARLVFGSAPTLQEAKDATSDLKEAIDNLFLLPNHFGSSQATGGDLSSSFHILETKSCDNSSVTVSTPPTPRNALQAFRLLSESAAAQSVVASIASDPKVFAAVLENPELMQFIEAHKSSASSTGSNDLDVEDCASDNGSSYLPPPRSTDKPAFRTRFADFFTKYLPSPKSSNDKSESRSKVAGFYNKTKAAVTDMMSSLADYFQSIFGGDEVYVNEDGSAKIGAVEKTLGTSFLGLAIMVIMVFVLKQV >itb04g18210.t1 pep chromosome:ASM357664v1:4:21586244:21589779:-1 gene:itb04g18210 transcript:itb04g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSKRNDGGALVAKPPAADDRKSASPPASSITVSKKVIIKSADMKDDMQKEAVDIAIAAFEKNSVEKDVAEHIKKEFDKKHGPTWHCIVGKNFGSYVTHETNHFVYFYLDSKAVLLFKSG >itb04g31790.t1 pep chromosome:ASM357664v1:4:34511161:34511895:1 gene:itb04g31790 transcript:itb04g31790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWMQIPLRLGKFGRTTWNRSSNSSATSSTTIPTSPWIRSFLGWCFKPESRRRGPLSAPDRSADSYRLLKSNVDALNLIQLGLTLSDASGNLPVLGSDGHRFIWQFNFADFDVQRDLYAPDSVELLRRQGIDFDKNRDCGIDSARFAELMMSSGLVCNESVSWVTFHSAYDFGYLIKILTRRSLPGDLEDFLEILKIFFGDRVYDVKHLMKFCHSLYGGLDGWPARWLWTGSSENAIRPVQIAC >itb12g25140.t1 pep chromosome:ASM357664v1:12:26417954:26421928:-1 gene:itb12g25140 transcript:itb12g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEEQGINSSAATVKGDGGSESFQVGPRNENHSQLSGSPAAAAATTTAATPGSVAGASGGGEGKKKRGRPRKYGQDGSVAMALSPMPISASIPLTGDYAAWKHSTNRPTEPSKKKKKHKLELENPGDRMAYSLGGNFTPHVITVSAGEDISMKIISFAQQGSRAICVLAANGAISNVTLRQPNSSGGTLTYEGRFEILSLTGSFMPSDNGLTKSRSGGMSVSLSGPDGRVLGGGLAGMLVAAGPVQVVVGSFLPGHHLEQKPKKQRVQQTPVYNPIPSNPISDEKSDGAHSGQSPNLATSAATFHRDNLPSLNSMQGSRISAIDENNNICFSREDAPRDHQSPSKGEVSY >itb14g03880.t1 pep chromosome:ASM357664v1:14:3503270:3506233:1 gene:itb14g03880 transcript:itb14g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTMSTINTSLWISNTDPSVHEDCGEEMMKGGNCNEECEGCFYQGEKKRRLAPEQVRFLERSFEVENKLEPERKVQLANQLGLQPRQVAIWFQNRRARCKTKQIEKDYDSLKATYDKLKADHDSLSKQNQTLRNEVLLLTDKLLIQEQQQGNPKSETNDATGPLKQPSCGGVVSPAKKGENFPMVVSKQENGSSDSSHVLDSDFSQDNEDDDSLKRSLFQPFLKLENHGFQTQPSSCNLGFSLEDHTTSSWLWP >itb13g21030.t1 pep chromosome:ASM357664v1:13:27702909:27703619:1 gene:itb13g21030 transcript:itb13g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKGGD >itb09g29620.t1 pep chromosome:ASM357664v1:9:30369357:30372282:-1 gene:itb09g29620 transcript:itb09g29620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVIGHGSSIATRGILVQTGESDEFRILVISWIIQGIRKISLYKRIIFNDPKPIFWHTREVSSRSEKYFACFLLRRRRLMEGFASFFNSESRNRWSYDSLKNFRQISPVVQNHLKQVYLALCCALVASAAGVYLHILWNIGGLLTTIGCIGSIVWMLSCPPYQEQKRVALLMAAALFEGASIGPLIEFAIDFDPSILVGAFVGCALVFGCFSAAAMVARRREYLYLGGLLSSGLSLLFWLQFASSIFGGSMALFKFELYFGLLVFMGYIVVDTQEIIEKAHYGDLDYAKHALTLFTDFVAVFVRILIIMLKNASEKEEKKKKRRN >itb12g28010.t1 pep chromosome:ASM357664v1:12:28125847:28127230:-1 gene:itb12g28010 transcript:itb12g28010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSCIDFRVDFITESVVKTVGLTPKPYILTLSNFDLLSGRFPVTYFYFFRSPNQFHSSSHKITENLKASLAQCLSLFYPFAGRIVANPKTDEPEILCDNHGVLVVEAQANIHLKELELTLQSQQVRGEGKASPLPPRRLRDHRRFLLRPRYNTSLDQTFVSCSLDDILNMRPLSSSTIMLKRLYYIDASSIQKLQKLASEDGMSRKRTKIEAFSAYIWKVMVNAVDKSHNKCKMGWLVDGRTRIAGTGDNNHLVMSDYIGNVLSLTFGELTMDELKHGSISEIASHVHAAISTATNAEHFLDLIDWIECHRPGLMLSKMVLGRDGPTAALVVSSGRRFPVGELDFGFGSPVLGTVCSTIERIGVGYMNQRPSGRGDGSWTVSAILWPEMVAALESHSECIFQPITAHNLHLS >itb12g04360.t1 pep chromosome:ASM357664v1:12:2863191:2864280:1 gene:itb12g04360 transcript:itb12g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWESREFISHISLNIRRLFDFLLHFEVTTKTKLSSLNEKLHTLERRLQLLELQVSAATSNPALFNT >itb15g06390.t1 pep chromosome:ASM357664v1:15:4209700:4213998:1 gene:itb15g06390 transcript:itb15g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK5 [Source:Projected from Arabidopsis thaliana (AT3G51630) UniProtKB/Swiss-Prot;Acc:Q9SCU5] MHKTGRLNDILGGGAKLEYVEMDPSERYGRFRDILGKGAIKTVYRAFDEVLGMEVAWNQIKLNNVFRSPEELQRLYSEVLLLKDLDHTSIMRFHASWIDTDRRTFNFITEMFTSGTLREYRQRYTTVNIRAIKNWVRQILEGLAYLHSHDPPVIHRDLKCDNIFVNGHLAQVKIGDLGLAAMLRGSHAHSVIGTPEFMAPELYEEEYDELVDIYSFGMCVLEMLTSEYPYSECTNPAQIYKKVTSGKLPAAFYRIDNAEAQRFVGRCLEPASKRPSAHDLLMDPFLAADDDQEHESAKLPSQKLIPNGKLLEVEPCFVDQAKSSDMTITGTLNPEDDTIFLRVQISDKDGKARNIFFPFDISSDTALEVATEMVKELEITDWMPLDIAEMIDEEISALVPTWTSTQYQKQHSFNYIDDDDEDDDDDNVGISTTRHPFYSLSSQSSSQASLPDLLEKINHDWLQNDDTSSRSSMNSHDCSNMCWATESDGDLSAKGGRESLLIQKTNTGTRLCPETSSRSNNKKGCCGSNHQRPKMTRVRSLVDLRSQLLHRTLVEEVNKRRLFKTVGAVENIGYHEPVESRKGNGSFQPFGW >itb01g02530.t1 pep chromosome:ASM357664v1:1:1652145:1663661:-1 gene:itb01g02530 transcript:itb01g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MGSAADASAGLLSELQSAYEFSGKTYGKFSKHLHDFSTLPKPNKSSKSSENFTAIRALCRFLPFLNKSLSLLPKRLSETSKISKESATHLLDIYRLCLNCLDLISSQLEGKPYIVEIQKGRFIRCLGAWKRHQEAECEALSVLESLRVKMSKSKSAASKRRELLPPLNGASVDQEYAVLVMEAVLTLVKCASVKQSREIADYDRVLDLVNEASSWIKALEASACEKIHHMLLKYLREIALFLAMDAAHLGGHLVCKFCKQIFDLYKGSSLRDDIWEFAHSMCSSLFPQQASDIVDVLKYILETMSAESKVGKESTIIKFLKLVCYSAKKCRIATSVSNAVAMYFEELALNHRRQLNKPVDMNQGMSTAGLIIGIYATGLFISQFTTNSTIQGNTNDGSGFRLLLSQKDIIQKLEALLNLLKCHFGIGDKQSLYSQKQILYLPSYFDAMKFLCHPLARFIISEKNEVFTETESLAVVQDALSQYCHLLCQCQSAPDSKQDVCDDNNRSILVAVAAFTLSFRTKHNIQKCAKFIEYLIESDTQPYGLKYLFTSLYNLAVVLFNSKQMREALEALKLCSKASWAHVLRSCEMFPHRSDEFQSELSEDAVMGFINDACAKIAKLLDVLYPSCSSNEADEILTDCLKSWSVTKALFGTLPNPIYIVKQFVKIRLKLSKDVDMEEGATLLHPILSSSEEFKGSVGLLMEEELRAYKDLNVVNPSLCQKMRLKIISILLEKVYTTEDDYLPKSRILIAKCEELRAKGLCCECIPCLSEAISTLDKYFAAKERGGEKLSCMDPACHVVAYAYCIRALCTIEIEPNSKLPYEDIRAALRVWMHPHQCHSSGQCNMLSGAMLNMLYQIIDLLSIKGNLDLHAEIFEMMIRLYKWKDITLETLLSLLWKHRRLGHALCALPINEFSVQALSKHFGEVTDSFEYWTNYLKESQPLLVGFQQSFHMIFALSSQRPCNHKAFLPPDISDDKVKLTASDLISNVPLSSGSAFLSAYLYYDLSERLILSGQLTEALSYAKEAYRLRHALLNRNFLCHTEQQIENCRLVSFKICDSVATESWFCGDVSSDFESCKLTPWNVLQCYLGSMLQVGTINEMLGNGNVAKHLLQLGKDISCTQSLPLFIVSFSCILGQIYCKEQLWELAEKEAHEAKKALVDNSIVVSCKCRALYEVSIDQLFGDIYRKQFCRNPENSTSQELACVKGKYKSAVDKLALSEWNNFSGGPKAVGSEHAKHESCSLSYSATDHMVLEEVPSRNRKHENAVETIMARKTKEPQPGIRMTRSRYRSSQKKCETAGEQTDTAADLNCTCYRLKCWHHLPNEAIQSGCMSHFIHLKWELVRRQLLQRMLIRLGKCLCLCNENHEAHKIFLQSVSLVTRDPSCPQYSSLPFVSLIDQIGKDSWAKLLAIDHVELLYNICWSALKSNHCKATRKFCCKNCDFSSIKISKIVSWLKLAFIQSTEIPFHFQKVSRLLAAVYVLSTSVKTFALPSSKVISESHWASFFHQASIGAHFNLQLFSTLMKHQNGLNLLDSEGSKEIGQGKCSTLRLAPGAVDNLEDFVLQYFKSLPSSTVVCISFIIGALASLLSQLLSCPSPIQAWILLSRMSSVNRPVVVIIPVHSILTEVSDFEELCSSFSFQANDFTKQWRCPWASGVIDDVAPIFRDILEKNHLSSSHCTIEDTPESRSLWWKRRRQLDQCLAKFLQDLEDFWLGPWRYLLLGELSECELQDSLLMDLADHMKLMNKEDVDMGLLNIVLGGANYAAKKGDCNLQLVLTNGSCIGGGQGGYMPQPLSNSCTDSDTSYEPVHKIILDFADKLEDSGCINRKPTILVLDFNLQMLPWESLPILRDQEVYRMPSVGAINATLFRRGLNQEPVENGAPLNAIPFIDPLDSYYVLNPSGDLSRTQAEFENWFKDQNFEGKSGTAPTIEELTGALKSHDLFLYFGHGSGGQYIPGQDIKELETCAASLLMGCSSGSLTSNGCYIPQGAPLYYLSAGSPIIVANLWEVTDKDIDRFGKKMLDAWFRERSGASAGCDQCSTVSEQFRSLNITEGEGKRKVKSQKERGMYTFAEHCRHRPRAGSFMGEARKACFLRYLIGAAPVCYGVPTGITRKLD >itb12g04780.t1 pep chromosome:ASM357664v1:12:3165893:3168563:1 gene:itb12g04780 transcript:itb12g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDIMLFQELAFTVGLSVLIYFILSKFHSNASLARHKNMGFCCVDATEKAERLEVNKGFVDEGIVGGGDDESVEKVAHQGGVEDKDDEIVDQVVDESPERGKVQEIEVEGPVEEDFREIHIEHGEIEGPRKMEGDEVSEENEILREEGVFDDEWEGIERTDLEKAFGTAVVFVDSKANADQLDNGVKMQLYGLHKVATDGPCCRTQPMALKLSARAKWNAWQRLGDISREEAMEQYIALLSRSITDWKDEK >itb12g04780.t2 pep chromosome:ASM357664v1:12:3165915:3167043:1 gene:itb12g04780 transcript:itb12g04780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDIMLFQELAFTVGLSVLIYFILSKFHSNASLARHKNMGFCCVDATEKAERLEVNKGFVDEGIVGGGDDESVEKVAHQGGVEDKDDEIVDQVVDESPERGKVQEIEVEGPVEEDFREIHIEHGEIEGPRKMEGDEVSEENEILREEGVFDDEWEGIERTDLEKAFGTAVVFVDSKANADQLDNGVKMQLYGLHKVATDGPCCRTQPMALKLSARAKWYSPLHNLSMLLMLEIEIK >itb03g16990.t1 pep chromosome:ASM357664v1:3:15741953:15744233:1 gene:itb03g16990 transcript:itb03g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIVAENISPARDSVPFYDRRQQGGDDLEMSASVGAAAPAVSPTLGHLLKCVGDVRKEVTGDETPVHRVLDVSDPGGLEQRALPFVLSFNNLTYNVKVRRKMAVPAVFQSRSRMSAAAAGGETVVARTKTLLNDISGEARDGELMAVLGASGSGKSTLIDALANRIAKESLKGSITLNGEPLDSRLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRTLSKAKKKMRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRIVGLLDRVLFLSRGQTVYSGSPSNLPHFFSDFGHPIPDSENKTEFALDLIRELEGSPGGTKSLVEFNRTWQNTKRNATGIPEPNPVHGLSLKEAISASISRGKLVPGATNDASTTSLVPTFANPFWTEMVVLSKRSFKNSSRMPALFGTRLGAVMVTGFILATMFWRLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFIQERYIFLRETAYNAYRRSSYCLSHALVSFPSLVFLAFAFAALTFWAVGLDGGSSGFFFYFGIIVASFWAGNSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFMNRDRIPPYWIWFHYLSLVKYPYEAVLQNEFDDPTKCFVRGIQMFDNSPLSAVSTPLKERLLSTMSSTLNIKITANTCVTTGADILAQQGVTDLSKWGCLWVTIAWGFFFRILFYFCLLLGSKNKRR >itb12g00550.t1 pep chromosome:ASM357664v1:12:421315:422942:1 gene:itb12g00550 transcript:itb12g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWTAFAVLAAISILKVLFTKNKGKRLPPGPRGLPILGHFHLVGKNPHKDLQKLAKTYGPIMHLRFGFVDNIVASTPAAAKMFLKTHDLNFATRPLSEAAKYISNGQKGLSFGQYGPYWRNVRKLCTLEFLSNLKINSFQPMRREELCLLVESFKQAAQNGEAVNLSAKVSSLSVNMSCRMVFGKKYEDKDIGEKGFKAVIQEAVHLTALPNLGDYFPFIGKLDVQGLTKRMKAVGKLFDEFLEKIIDEHEQGKTKGNTQTTKDFVGTMLEIMKSGETSFQFTREHVKSVMLDMLIASLDTSSSVIEWTMSELLKHPEVMRTVKEELESQVGLDRMVEEKDLEQLKYLEIVIKESLRLHPVVPLLLPHASIEDCDVDGFHVPKNSTVTVNVWAIGRDPSAWSDAEKFIPERFNKNSIEYKGQDFEFLPFGSGRRSCPGMQLGITIVRLVVAQLVHCFDWNLPNGLLPKDLDMTEEFGLVLSRANHLMAIPTYRLSI >itb04g30720.t1 pep chromosome:ASM357664v1:4:33768806:33774655:1 gene:itb04g30720 transcript:itb04g30720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAVDGGSRSSEPLRFFLHFVRGRWFSLFASFLIMAGAGATYLFGVYSKEIKSSLGYDQTTLNLLGFFKDLGANVGVLSGLLAEVSPTWFVLLVGAGLNFAGYFVIWLSVTGKIAKPAVWQMCLYICIGANSQNFANTGALVTSVRNFPESRGAMIGLLKGFTGLSGAIMTQLYLAVYGNDSKSLILLIGWLPAALSVVFVYTIREMKVIRDKSELKMFYICLISTIVLALFLMVITIFQKVASFSAAGYDASAAVSCLLLFVPLVFFVKHELALWQKRKTANEENPTTKITVQTPPPPTSEGNEANRKDPKVSWLANIFFHKPKRGEDYTILQALLSTDMLILFVATFCGMGSSLTAVDNMGQIGESLGYPTKTIKTFVSLLSIWNFFGRIFSGFFSESLLVKYKFPRPLMMTLVLLLSCIGLLLIAFPTPDSLYIASIVIGFSFGAQLPLLFTIISELFGLKYYSTLFNCGQLASPIGTYVLNVMITGHLYDKEARNDLAKRGLTRADVKELTCIGPHCYRLAFIILACVTFFGAISSLILVARTREFYRGDIYKKFREQAEQEVEMPVTTSDASKR >itb04g30720.t2 pep chromosome:ASM357664v1:4:33771999:33774655:1 gene:itb04g30720 transcript:itb04g30720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAVDGGSRSSEPLRFFLHFVRGRWFSLFASFLIMAGAGATYLFGVYSKEIKSSLGYDQTTLNLLGFFKDLGANVGVLSGLLAEVSPTWFVLLVGAGLNFAGYFVIWLSVTGKIAKPAVWQMCLYICIGANSQNFANTGALVTSVRNFPESRGAMIGLLKGFTGLSGAIMTQLYLAVYGNDSKSLILLIGWLPAALSVVFVYTIREMKVIRDKSELKMFYICLISTIVLALFLMVITIFQKVASFSAAGYDASAAVSCLLLFVPLVFFVKHELALWQKRKTANEENPTTKITVQTPPPPTSEGNEANRKDPKVSWLANIFFHKPKRGEDYTILQALLSTDMLILFVATFCGMGSSLTAVDNMGQIGESLGYPTKTIKTFVSLLSIWNFFGRIFSGFFSESLLVKYKFPRPLMMTLVLLLSCIGLLLIAFPTPDSLYIASIVIGFSFGAQLPLLFTIISELFGLKYYSTLFNCGQLASPIGTYVLNVMITGHLYDKEARNDLAKRGLTRADVKELTCIGPHCYRLAFIILACVTFFGAISSLILVARTREFYRGDIYKKFREQAEQEVEMPVTTSDASKR >itb13g22850.t1 pep chromosome:ASM357664v1:13:29045494:29047105:-1 gene:itb13g22850 transcript:itb13g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFSGDDPATAMAGLRLLDFESLEAVLHAVQCTVVAAVGNRFFDSYVLSESSLFVYPSKIIIKTCGTTQLLKSVRPMIQLAGNIGLRLSECRYTRGNFIFPKAQPFPHSSFQEEVFYLEEELPGNLCYRKASIMPSKISSHSWHVFSARDHPPAILNGQDDGLYTVEICMTELDPVLARKFFSEPDTLGKDMTDATGIRYINPNAVAVCDFAFHPCGYSMNGVDGDRYSTIHVTPEDGFSYASYECVGGSGNAADDLHRVLTKVVQVFRPGKMCVALTCNGNNAGAWKRVAAKAVEALGMKCRSCAVDEFPATAGTVTFQTFTPRRK >itb06g12780.t1 pep chromosome:ASM357664v1:6:17349688:17350374:1 gene:itb06g12780 transcript:itb06g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLRVSAAICVFLLASLSVRCDDDEDHLLQGINSYRQSKSLPALAKHDKANCLAGEIADEIEDEPCPRPGAPSSPPRVANLQKHLDKCGIDGNSTKDGMVLPVCVRKRVPTLVLTNYTQSQNAKYLNDSKYTGAGIGTEDDWTVLVLTTNTQTGMFAAAAATSVTNPLFCLLLGVSLFLLRQN >itb01g08140.t1 pep chromosome:ASM357664v1:1:6496322:6497026:1 gene:itb01g08140 transcript:itb01g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSIMTSLVSKRGAPMVRRPAATCVDVFINHRGVDTKRTVASLLYDRLARFRIRSFLDNKNMKPGDKLFEKIDGAIAKCKVGVAVFSPRYCESYFCLHELALFMESKKKVIPIFCDVKPSELRVVDNGTVPVHEIDRFNSALEEAKYTVGLAFNSQEGNWSDVVTNATDIVIESLIEIEGEKQMAKRITGM >itb14g18810.t1 pep chromosome:ASM357664v1:14:21707880:21709755:1 gene:itb14g18810 transcript:itb14g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFISFLLLSLLASSSFSGAEITRRIGVCYGQLGNNLPSPAKSVELLNGLKAKRVKIYDANPKILKSLQSSQLQVSIMVPNGLIPNISSNQTLADQWVRINVVPFYPKTMIRYLLVGNEILSNQPNTTWFQLVPAMRKIRRSLRKFRLRKIKVGTPLAMDMLESSFPPSNGSFRSDLSARVFRPLLQFLNHSRSFFFFDVYPYFAWAAQPKAISLEYALMEPTNVTYSDPGSGFTYTNLLDQMIDAVHFAMKRLGFPNLRLFIAETGWPNSGDADQIGANIYNSATYNRNVVRKFTAKPAAGTPARPGAVVPTMIFALYNENQKPGPGTERHFGLLHPNGTNVYEIDLSGKTPESEFKPLPKPTNDIPPEGKFWCVVAPAANKTAVIDALSYACGQGKGTCDPIQPGGKCYQPNNLVPHASYAFSSYWAQFNSIGGTCYFNGLAIKTTKDPSHGSCKFPSVTL >itb15g23570.t1 pep chromosome:ASM357664v1:15:26400756:26403609:-1 gene:itb15g23570 transcript:itb15g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKRKGKAVVVGGSIAGISCAHALIGAGWDVVVLEKTSAPPTGSPTGAGLGLDPLARKLVQSWLPQPDLLHRSTLPLTIDQNKATDAEKKISWTLTRDEDFNFRAAYWADLYSLLYNALPQNIVFWGHSFLSFSVSDDKSYVSVETKVLNTGDTISIVGDLLVAADGCLSSIRRSFLPDFKLRYSGYCAWRGVLDFSNNESSEAIIGIKAAYPDLGRCLYFDMGSGTHSVLYELLNKRMNWIWYINQPEPELKGTSVTMKVSNDMVRNLHEEAEKVWAPELARLIRETKDPFLNVIYDADPLQQIVWDNVVLIGDAAHPTTPHGLRSTNMSVLDAAVLGKCLEKWGLENLSSALEEYQSIRLPVASEQVLHSRRLGRIKQGLRLPDRHTFDPKNATPDECEELQQKKMPYFSYVPSLLSM >itb07g19000.t2 pep chromosome:ASM357664v1:7:23444281:23449940:-1 gene:itb07g19000 transcript:itb07g19000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYDVYYRVEKTYHVSMISITLSEKELNKNSPGYQAPSHVEQGQQYNNNYLSQQPYRQSHEVYSSDNEDSCGQGNGCGRGKGCGLNIGGYGNYQGNHPGNCQDEEEGSGETKVDTGYSRGGRSEDDDSDDCVILEEDPDKPVKVVNEKVDNDSDDLLVVSEKGQVACRDYPHPRHLCVKFPFATTPHESYCDKCHCYVCDTIAPCLYWGNDNFMTHCNATDNIAFWRLQRENRKTTFAHLLPLLRSGVYSGP >itb07g19000.t3 pep chromosome:ASM357664v1:7:23444281:23449949:-1 gene:itb07g19000 transcript:itb07g19000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMTASSHSTSTQHRATMDGYRKVEKQKLKSPLSENEIRVTSHGLVRNYFYYATTLLQKRFPGLHQDTAISSVSITDVWEPIDEVGLETVEKTYHVSMISITLSEKELNKNSPGYQAPSHVEQGQQYNNNYLSQQPYRQSHEVYSSDNEDSCGQGNGCGRGKGCGLNIGGYGNYQGNHPGNCQDEEEGSGETKVDTGYSRGGRSEDDDSDDCVILEEDPDKPVKVVNEKVDNDSDDLLVVSEKGQVACRDYPHPRHLCVKFPFATTPHESYCDKCHCYVCDTIAPCLYWGNDNFMTHCNATDNIAFWRLQRENRKTTFAHLLPLLRSGVYSGP >itb07g19000.t1 pep chromosome:ASM357664v1:7:23444281:23449940:-1 gene:itb07g19000 transcript:itb07g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMTASSHSTSTQHRATMDGYRKVEKQKLKSPLSENEIRVTSHGLVRNYFYYATTLLQERRTKGIVLKAMGRAITKTVTIAELIKKRFPGLHQDTAISSVSITDVWEPIDEVGLETVEKTYHVSMISITLSEKELNKNSPGYQAPSHVEQGQQYNNNYLSQQPYRQSHEVYSSDNEDSCGQGNGCGRGKGCGLNIGGYGNYQGNHPGNCQDEEEGSGETKVDTGYSRGGRSEDDDSDDCVILEEDPDKPVKVVNEKVDNDSDDLLVVSEKGQVACRDYPHPRHLCVKFPFATTPHESYCDKCHCYVCDTIAPCLYWGNDNFMTHCNATDNIAFWRLQRENRKTTFAHLLPLLRSGVYSGP >itb01g29790.t1 pep chromosome:ASM357664v1:1:33945949:33949983:1 gene:itb01g29790 transcript:itb01g29790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITGCHFKVRCVSPDSYVSKQISTRSGDRSSRVICRHSHYLSFPRGRTHHLSSLFCPYQCIYNAYRPLIASARKRNSKSEPILSPSIVEQVLKNDDEDGDEFLAEDFEDEELLEDDNDDDFLYDESFEEDAKLFFGDGSGGGGIALAGTPWDKKSLEIAEEVALSFDGELGIYAFKTQKNAHIQVRVERLTNKSGSPSMADIEAFSSAYRERLDEAELSGSIPNNLSLEVSSPGVERVVRVPEDLDRFNERPMYVKYVSEGIKTGMPTEQDGVFRLVSFDLETSVCTWGLADVRVNREKAGKGRPLSKKQREWRLDTPFDSLRMVRLHSEM >itb07g16100.t1 pep chromosome:ASM357664v1:7:19390731:19391986:1 gene:itb07g16100 transcript:itb07g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFRLSDMIPNAWFYKLRDMSRKTRTSKTQNQTSSKPGFRNPNVSQPRQSYYYTRDSVAKGYKLYNSPANTKSSGNSHFLDPPRRSSRRRSRRKTVYRPSPAPAAPASVCTCGGGRDGWSPLAEFDDLLQSPTSEFDSDAGEVPKSFNGLASWSSSGSCTDIVLDMNEKSRTVELAGGGYELDLRPILTKLPAGNSRNSDALDEEGSMRKESRPRKSFSQSTGVKLRVNSPKIGSRKIQSHARKSVSSGNRSKAAAAKKSFSGSFAVVKASLDPEKDFKESMMEMIVENNIKTSKDLEELLACYLSLNSDEYHALIIKAFQQIWFNLSHLHL >itb06g12790.t2 pep chromosome:ASM357664v1:6:17350561:17357580:-1 gene:itb06g12790 transcript:itb06g12790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFPIPSENDETLKLQLKDVSLEFSWPVARIRDAFPNLDSSVATSPSSCSLETLKSIASLVEEQKIPEANIGLVSGVSAFLWLYTSIHGCKPAKAVVHSELPMGAGLGSSAALCVAFSAAMLSLSDSVSMDFSHQAWQVFRDTELQLINKWAFEGEKIIHGKPSGVDNTVSTYGNLIKFKSGDLTRLKTNMPMKMLITNTRVGRNTKALVASVSERALRHPSAMASVFDAVDSISNEVATIIQSPVGDDLAITEKEEKLGELMEMNQGLLQCMGVSHSSIETVLRTTEKYKLCSKLIGAGGGGCVLTLLPTLLSGTVVDKVIAELETCGFQCLIAGIGGNGVEVSFTGSSS >itb06g12790.t5 pep chromosome:ASM357664v1:6:17350561:17356086:-1 gene:itb06g12790 transcript:itb06g12790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFPIPSENDETLKLQLKDVSLEFSWPVARIRDAFPNLDSSVATSPSSCSLETLKSIASLVEEQKIPEANIGLVSGVSAFLWLYTSIHGCKPAKAVVHSELPMGAGLGSSAALCVAFSAAMLSLSDSVSMDFSHQAWQVFRDTELQLINKWAFEGEKIIHGKPSGVDNTVSTYGNLIKFKSGDLTRLKTNMPMKMLITNTRVGRNTKALVASVSERALRHPSAMASVFDAVDSISNEVATIIQSPVGDDLAITEKEEKLGELMEMNQGLLQCMGVSHSSIETVLRTTEKYKLCSKLIGAGGGGCVLTLLPTLLSGTVVDKVIAELETCGFQCLIAGIGGNGVEVSFTGSSS >itb06g12790.t3 pep chromosome:ASM357664v1:6:17350561:17357521:-1 gene:itb06g12790 transcript:itb06g12790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFPIPSENDETLKLQLKDVSLEFSWPVARIRDAFPNLDSSVATSPSSCSLETLKSIASLVEEQKIPEANIGLVSGVSAFLWLYTSIHGCKPAKAVVHSELPMGAGLGSSAALCVAFSAAMLSLSDSVSMDFSHQAWQVFRDTELQLINKWAFEGEKIIHGKPSGVDNTVSTYGNLIKFKSGDLTRLKTNMPMKMLITNTRVGRNTKALVASVSERALRHPSAMASVFDAVDSISNEVATIIQSPVGDDLAITEKEEKLGELMEMNQGLLQCMGVSHSSIETVLRTTEKYKLCSKLIGAGGGGCVLTLLPTLLSGTVVDKVIAELETCGFQCLIAGIGGNGVEVSFTGSSS >itb06g12790.t1 pep chromosome:ASM357664v1:6:17350561:17357580:-1 gene:itb06g12790 transcript:itb06g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFPIPSENDETLKLQLKDVSLEFSWPVARIRDAFPNLDSSVATSPSSCSLETLKSIASLVEEQKIPEANIGLVSGVSAFLWLYTSIHGCKPAKAVVHSELPMGAGLGSSAALCVAFSAAMLSLSDSVSMDFSHQAWQVFRDTELQLINKWAFEGEKIIHGKPSGVDNTVSTYGNLIKFKSGDLTRLKTNMPMKMLITNTRVGRNTKALVASVSERALRHPSAMASVFDAVDSISNEVATIIQSPVGDDLAITEKEEKLGELMEMNQGLLQCMGVSHSSIETVLRTTEKYKLCSKLIGAGGGGCVLTLLPTLLSGTVVDKVIAELETCGFQCLIAGIGGNGVEVSFTGSSS >itb06g12790.t4 pep chromosome:ASM357664v1:6:17350561:17356295:-1 gene:itb06g12790 transcript:itb06g12790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRARAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFPIPSENDETLKLQLKDVSLEFSWPVARIRDAFPNLDSSVATSPSSCSLETLKSIASLVEEQKIPEANIGLVSGVSAFLWLYTSIHGCKPAKAVVHSELPMGAGLGSSAALCVAFSAAMLSLSDSVSMDFSHQAWQVFRDTELQLINKWAFEGEKIIHGKPSGVDNTVSTYGNLIKFKSGDLTRLKTNMPMKMLITNTRVGRNTKALVASVSERALRHPSAMASVFDAVDSISNEVATIIQSPVGDDLAITEKEEKLGELMEMNQGLLQCMGVSHSSIETVLRTTEKYKLCSKLIGAGGGGCVLTLLPTLLSGTVVDKVIAELETCGFQCLIAGIGGNGVEVSFTGSSS >itb11g09160.t1 pep chromosome:ASM357664v1:11:6062623:6069839:1 gene:itb11g09160 transcript:itb11g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQPVVQTRSSANGFGRRRTDRETGTKLQSAKTNSSRLTSVGQKGDSEIPSRDRLVYITACLIGHQVEVQMLDGSVFSGILHATDTVQDFGIVLKMARLIKDSSHGPKSNSQSLSKTPSKTFIIPSKELVQITAKDVPVTSDGFKYDLQQEAQLDLMTDSCISQSRHVEVGRELERWVPDSDAPECPELDNIFDGPWNRGWDQFQANETLFGVKSTFDEELYTTKLERGPQTRELEREALRIAREIEGEETRDLHLAEERGQQHHGHLEIDEETRFSSVFRGVDDSGNDDCEEILLDSRNDETFQGISNSVTGKLFIGISSKESDNGAQCLSRTSTMDEAQSSLSSTSRDVYWTGNDDQAKQLSADHVPITEERRAHEIQPSEQEGTSSFDEDNVKQMLAEEGEKLKPEDVSALHVSAESEKMNSSAKSDGAVPSKTQGIKSGSCAWPSADAGGTAPLSTGTELSRSSSVSSLSSEKSTLNPHAKEFRLNPNAKSFVPSQTPLRPASPVSDGSLYYPANVAAAPHMQGMTVGIGIAPPFTGYQPYIYNQQVTPAPQPYYHPNGPQYGQQMLLGHPRQVMYMPSYPHEMPHKGRDF >itb10g03340.t1 pep chromosome:ASM357664v1:10:3069943:3081559:-1 gene:itb10g03340 transcript:itb10g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVILFLFLLLLFALNSQLTMGEGTVTTRGPISKICTEILNLADKQVKPLGTEDESAITKLLRCETRTSSSICKIQSPDTVTCDCSLDKDVCRVTEIDIAYRNLDGKLPEIIGNLTNLTSLSLYSNNFFGEIPTSYANLKNLKNLDLDGNNLEGSIPLFLGDMKLELLDLSENSFGGPIPPQLASLKNLTTLDLSGNSFGGPIPTQLASLKYLTFLDLTGNLFSGSFPSHLISLVNLQSLFLADNLFDGPIPPQLNSLVNLVNLDLSNNGFSGPLPDKLENLLLLEYLNVQGNNLSGRISDFTGQLQNLTQLILLGNNFEGPLTAAAFSNLTNLVELMVSDLVGGGESQFPNFTNMDSLKYLTLRNCSLAGPIPDIIWTLTNLSLLDLSFNSLFGQIPNHSTLIPPMHIFLRGNKLNGTIPKWIINSTMKIDVSENLFTNNVAEIQNLHSNSSNMNFFSSLNSSVGGTHWEHVGYSCNSDLKYQLKDHLFINCGGESMPINGSNYEGDLNSNGSSTFFLSSSLTWGYSSMGYLSMNDEYIMNNTCTVGVGDEPLYSTARVSPISLKYYGFCLKDGEYTVRLHFAELVGHNYKTPYLNKSSRVFNVDIQGMNVLNDFNIEKAAGGVGKAYTKETENVIVNNSRLEIHLYWLGKGSMWYQGPLISAISVYPCKSYLFILFLYLS >itb10g03340.t4 pep chromosome:ASM357664v1:10:3067898:3081469:-1 gene:itb10g03340 transcript:itb10g03340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTVTTRGPISKICTEILNLADKQVKPLGTEDESAITKLLRCETRTSSSICKIQSPDTVTCDCSLDKDVCRVTEIDIAYRNLDGKLPEIIGNLTNLTSLSLYSNNFFGEIPTSYANLKNLKNLDLDGNNLEGSIPLFLGDMKLELLDLSENSFGGPIPPQLASLKNLTTLDLSGNSFGGPIPTQLASLKYLTFLDLTGNLFSGSFPSHLISLVNLQSLFLADNLFDGPIPPQLNSLVNLVNLDLSNNGFSGPLPDKLENLLLLEYLILLGNNFEGPLTAAAFSNLTNLVELMVSDLVGGGESQFPNFTNMDSLKYLTLRNCSLAGPIPDIIWTLTNLSLLFLRGNKLNGTIPKWIINSTMKIDVSENLFTNNVAEIQNLHSNSSNMNFFSSLNSSVGGTHWEHVGYSCNSDLKYQLKDHLFINCGGESMPINGSNYEGDLNSNGSSTFFLSSSLTWGYSSMGYLSMNDEYIMNNTCTVGVGDEPLYSTARVSPISLKYYGFCLKDGEYTGMNVLNDFNIEKAAGGVGKAYTKETENVIVNNSRLEIHLYWLGKGSMWYQGPLISAISVYPYKAKESGPSPPKMAAISLSVLILLVVLIVYFWKMEDNSHEGMVELYPGGLYNFQKVKAAAKNFKHKLGNGAFGTFYEATLGNGMVVAVEKASATKDIIRAFRENDSTISLKEHPNFVKLMGFIAEKNQLLLIYEDIGHNSLQNALFGSDRSRLDWTKRRNICLGIAEGLAFLHEGKQKNVHGNIKPTTIFLDKQDNVKISDFRFSRLHDQGKLREEGTVVYMAPEFAKYDLLTTKADVYSFGVVVLIVVSGKKEKISMSSSGADTEYLPDLVVREKQEQGHFMNVVDKSISNTVDWNEADTMLELALMCLDQYPDQRPTMSQVVKVLKEQLPLKDVKGSLKQLSSVRDPQPHGEISTIEHSTHHSKFRSTSRGGMMTDTSTGSNNA >itb10g03340.t2 pep chromosome:ASM357664v1:10:3070515:3081559:-1 gene:itb10g03340 transcript:itb10g03340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVILFLFLLLLFALNSQLTMGEGTVTTRGPISKICTEILNLADKQVKPLGTEDESAITKLLRCETRTSSSICKIQSPDTVTCDCSLDKDVCRVTEIDIAYRNLDGKLPEIIGNLTNLTSLSLYSNNFFGEIPTSYANLKNLKNLDLDGNNLEGSIPLFLGDMKLELLDLSENSFGGPIPPQLASLKNLTTLDLSGNSFGGPIPTQLASLKYLTFLDLTGNLFSGSFPSHLISLVNLQSLFLADNLFDGPIPPQLNSLVNLVNLDLSNNGFSGPLPDKLENLLLLEYLNVQGNNLSGRISDFTGQLQNLTQLILLGNNFEGPLTAAAFSNLTNLVELMVSDLVGGGESQFPNFTNMDSLKYLTLRNCSLAGPIPDIIWTLTNLSLLDLSFNSLFGQIPNHSTLIPPMHIFLRGNKLNGTIPKWIINSTMKIDVSENLFTNNVAEIQNLHSNSSNMNFFSSLNSSVGGTHWEHVGYSCNSDLKYQLKDHLFINCGGESMPINGSNYEGDLNSNGSSTFFLSSSLTWGYSSMGYLSMNDEYIMNNTCTVGVGDEPLYSTARVSPISLKYYGFCLKDGEYTVRLHFAELVGHNYKTPYLNKSSRVFNVDIQVCVCIYIYI >itb10g03340.t3 pep chromosome:ASM357664v1:10:3071075:3081559:-1 gene:itb10g03340 transcript:itb10g03340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVILFLFLLLLFALNSQLTMGEGTVTTRGPISKICTEILNLADKQVKPLGTEDESAITKLLRCETRTSSSICKIQSPDTVTCDCSLDKDVCRVTEIDIAYRNLDGKLPEIIGNLTNLTSLSLYSNNFFGEIPTSYANLKNLKNLDLDGNNLEGSIPLFLGDMKLELLDLSENSFGGPIPPQLASLKNLTTLDLSGNSFGGPIPTQLASLKYLTFLDLTGNLFSGSFPSHLISLVNLQSLFLADNLFDGPIPPQLNSLVNLVNLDLSNNGFSGPLPDKLENLLLLEYLNVQGNNLSGRISDFTGQLQNLTQLILLGNNFEGPLTAAAFSNLTNLVELMVSDLVGGGESQFPNFTNMDSLKYLTLRNCSLAGPIPDIIWTLTNLSLLDLSFNSLFGQIPNHSTLIPPMHIFLRGNKLNGTIPKWIINSTMKIDVSENLFTNNVAEIQNLHSNSSNMNFFSSLNSSVGGTHWEHVGYSCNSDLKYQCKYINDKRLSWVAQLILGW >itb04g07460.t1 pep chromosome:ASM357664v1:4:5047071:5053803:1 gene:itb04g07460 transcript:itb04g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGMALSPSAVVALDLKDARSWWDHVNESPLWQDRIFHALAILYGFVAAVALVQLIRIQMRVPEYGWTTQKVFHFLNFLVNGVRSLVFAFRRDIQKLHPEIMQHVLLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPTFFTINGVVYAIQILLWLVLWWKPMPVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRQKKLQEVGYVTTICFSCFLIRCIMMCFDAFDEAADLEVLEHPILNLVYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >itb04g07460.t2 pep chromosome:ASM357664v1:4:5047244:5053276:1 gene:itb04g07460 transcript:itb04g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGMALSPSAVVALDLKDARSWWDHVNESPLWQDRIFHALAILYGFVAAVALVQLIRIQMRVPEYGWTTQKVFHFLNFLVNGVRSLVFAFRRDIQKLHPEIMQHVLLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPTFFTINGVVYAIQILLWLVLWWKPMPVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRQKKLQEVGYVTTICFSCFLIRCIMMCFDAFDEAADLEVLEHPILNLVYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >itb07g14090.t1 pep chromosome:ASM357664v1:7:16517638:16523438:-1 gene:itb07g14090 transcript:itb07g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGTGGGGGDGRGRSQRGGGPGGRGGGRGGGGPGGRGGGRGGGGPGGRGGGRGGGGPGGRGGDRVAGHVETGGKGRGSGGPSPSYSVTSPFPEIPASSSLSPLTAQSLSSDMEQKLTLQPSPSKQPEVESPVLPPASTKAIRFPVRPGTGTIGQRCVVRANHFLVQIAERDLHHYDVSISPEVTSKTVCRLIISKLVTDYQQSYLGNRMLAYDGGKSAYTAGELPFLSKDFVVKLDDEDGGSRRREREFKVSIKFATKHSLYHLQQFLQRKQPDCPQETIQVLDVALRTKLSSIYQVVGRSFFSHEFEEGRLGDGLDYWKGFYQSLRPCQMGLSLNIDVSARAFYEPIFVSEYVKKFVRDLSRPVTDQDRIKIKRDLKGVKVEFEHQGQIRQRRKISGFSSQPPHQLMFELDGGEKISVAQYFKQKYNIVLKYPLLPAIQSGNDARPVYLPMEVCRIAKGQRYTRKLNERQVTQLLRATCKRPAEREALIGGILRKNDYNKDKLVSNEFGMHLVPELTAIDARVLPPPKLKYHESGRESLVDPRVGQWNMINKKMVDAARVEFWTCVNFSRCHDPERFCDELLSMCCSKGMAFNPDPLVPIRSAHSSRIDKTLIDIHNECTARIASMKPGGSLQLLIVILPDVSGSYGKIKRICETELGIVSQCCQPRQAAKTGNKQYLENVALKINVKVGGRNCFLELAVQRKIPYLTDRPTIIFGADVTHPQPGEDSSSSIAAVVASMDWPQVTKYRGLVSAQQHREEIIQGLYKTYQDPQRGTVHSGMIRELLIEFRKSTGRKPERIIFYRDGVSEGQFSQVLLEEMDAIRKACCSLEAEYLPPVTFVVVQKRHHTRLFPANNRMTDRSGNILPGTVVDTQICHPTEFDFYLCSHAGIQGTSRPAHYHVLFDENRFTADALQNLTNCLCYTYARCTKSVSIVPPAYYAHLAAFRARYYVEDEMSDGSSGISGGRATRDKDANVKPLPIIKDNVKAVMFYC >itb01g11010.t1 pep chromosome:ASM357664v1:1:9630732:9631010:1 gene:itb01g11010 transcript:itb01g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPMSFHFFNIFIVNNVDDILHESRRRSKEENDGRWVYGIVVSEEVRMALRRRRMKRWTADRRRLEWWRCRCKVTVVISSVWGDGWQCDLM >itb03g08890.t1 pep chromosome:ASM357664v1:3:6739918:6742846:1 gene:itb03g08890 transcript:itb03g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQEVDDAAFVNEWMDTLDNNFVHFCPNDPFFPPACSTGNNGFYGTENNDGGVIRKPAVMNSVQCQPSAISASPLITAVSCNPSGGSENPVVKVKMKMKSEMDHRESSGKTMISFMPSSSSHQDYYCSGRGNDEHRESLIRTIEFGAGKGKTGSAVRSPLQAQDHVLAERKRRENLTQRFISLSALIPGLKKLDKVSVLGDAIKYIKELQERVRTLEEVDQEQTKRLENKELKKERVSGHDEDSSSLSDSDGNCETGTAIALPEIEVRASGQNLLIRVQCNNLHNHGGVIKEIFSEIERLHLSINTSHVMPFGNTTYITIAAQMDQHFSMEAKDVADIIGSTIVRLIRHGKLR >itb01g14250.t1 pep chromosome:ASM357664v1:1:16002983:16006844:-1 gene:itb01g14250 transcript:itb01g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCWSNSQVQLLLDRIERCGKAIWNWGKNFARDFQKRLSYWRKLMERTKHRRDTHGITLFKMAQGEYLRVLNQQNAYWKQIAKQFWLQEGDTNSSFFHNSARRRKQNNSIARLKDDNDQWVTKVIDQLKDITVDSLMNIQKTDWELEILKDLFIDEDAQQIMKIPVAESKVEDRVIWRGDAKGLFTVRSCYNMLQQNYQNVAIPLWTKMWNLKLPPKVKVFFWKVNSNALPTCCMLRTKQVQIPTVCQLCNMEDESSLQLFVHCSLARSCWDNLGGINYANFSSFQDWVGNCFNSLEDGALCKLIITCWRIWEARNDKVWNNRSTTSSQITD >itb01g14250.t2 pep chromosome:ASM357664v1:1:16002983:16006844:-1 gene:itb01g14250 transcript:itb01g14250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCWSNSQVQLLLDRIERCGKAIWNWGKNFARDFQKRLSYWRKLMERTKHRRDTHGITLFKMAQGEYLRVLNQQNAYWKQIAKQFWLQEGDTNSSFFHNSARRRKQNNSIARLKDDNDQWVTKVIDQLKDITVDSLMNIQKTDWELEILKDLFIDEDAQQIMKIPVAESKVEDRVIWRGDAKGLFTVRSCYNMLQQNYQNVAIPLWTKMWNLKLPPKVKVFFWKVNSNALPTCCMLRTKQVQIPTVCQLCNMEDESSLQLFVHCSLARSCWDNLGGINYANFSSFQDWVGNCFNSLEDGALCKLIITCWRIWEARNDKVWNNRSTTSSQITD >itb01g14250.t4 pep chromosome:ASM357664v1:1:16003117:16006811:-1 gene:itb01g14250 transcript:itb01g14250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCWSNSQVQLLLDRIERCGKAIWNWGKNFARDFQKRLSYWRKLMERTKHRRDTHGITLFKMAQGEYLRVLNQQNAYWKQIAKQFWLQEGDTNSSFFHNSARRRKQNNSIARLKDDNDQWVTKVIDQLKDITVDSLMNIQKTDWELEILKDLFIDEDAQQIMKIPVAESKVEDRVIWRGDAKGLFTVRSCYNMLQQNYQNVAIPLWTKMWNLKLPPKDWVGNCFNSLEDGALCKLIITCWRIWEARNDKVWNNRSTTSSQITD >itb01g14250.t3 pep chromosome:ASM357664v1:1:16002983:16006844:-1 gene:itb01g14250 transcript:itb01g14250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCWSNSQVQLLLDRIERCGKAIWNWGKNFARDFQKRLSYWRKLMERTKHRRDTHGITLFKMAQGEYLRVLNQQNAYWKQIAKQFWLQEGDTNSSFFHNSARRRKQNNSIARLKDDNDQWVTKVIDQLKDITVDSLMNIQKTDWELEILKDLFIDEDAQQIMKIPVAESKVEDRVIWRGDAKGLFTVRSCYNMLQQNYQNVAIPLWTKMWNLKLPPKVKVFFWKVNSNALPTCCMLRTKQVQIPTVCQLCNMEDESSLQLFVHCSLARSCWDNLGGINYANFSSFQDWVGNCFNSLEDGALCKLIITCWRIWEARNDKVWNNRSTTSSQITD >itb01g14250.t5 pep chromosome:ASM357664v1:1:16002983:16006811:-1 gene:itb01g14250 transcript:itb01g14250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCWSNSQVQLLLDRIERCGKAIWNWGKNFARDFQKRLSYWRKLMERTKHRRDTHGITLFKMAQGEYLRVLNQQNAYWKQIAKQFWLQEGDTNSSFFHNSARRRKQNNSIARLKDDNDQWVTKVIDQLKDITVDSLMNIQKTDWELEILKDLFIDEDAQQIMKIPVAESKVEDRVIWRGDAKGLFTVRSCYNMLQQNYQNVAIPLWTKMWNLKLPPKDWVGNCFNSLEDGALCKLIITCWRIWEARNDKVWNNRSTTSSQITD >itb14g03040.t1 pep chromosome:ASM357664v1:14:2749157:2750126:-1 gene:itb14g03040 transcript:itb14g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASLQHAFSFLVFLVLCTYTFKKMLRKFPQSTVLNYVVFLLTQLKGSWDHLLRQSFCQSPCANLGASPEVGVRVFEGDGVEAVECAVCLCGIEEGEEIGDLMRCGHIFHRACLDRWLGTGRMTCPLCRCHVKGFAAAAGRLLNDLHEEVIVFDFFSNYRDRCTWWLR >itb08g08710.t1 pep chromosome:ASM357664v1:8:7697075:7698488:1 gene:itb08g08710 transcript:itb08g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSKSLPSALRLLTCCAARRVVAGPVVQFSSTDHSSGLLSDLPVDEGLRTSSKKSMKLNGNQKLNGVESMII >itb07g00130.t1 pep chromosome:ASM357664v1:7:109576:112226:-1 gene:itb07g00130 transcript:itb07g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQITVDSRSGFCTSNSTFYSKRKPIPLPSPESIDVTTFISSRAHQGKIAFIDAATGRQLSFSDVWRAVESVATSLSVDMGIRKGHVVLLLSPNSIFFPIICLAVMSLGAVITTTNPLNTPREIGKQISDSKPVLAFTVTELLPKLADSNLPVVLIGGNSSCTPKTNLRIVSRLEEMMRKPPSQTRFKERITQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVVGRFRLDDDAETQTFICTVPMFHIYGLAAFATGLLACGSTIVILSKFEMDEMLSTIHKYRASYLPLVPPILVALINFADSIKKKYDLSSLKKVLSGGAPLSREVIEGFVDKYPGVTILQGYGLTESTGIGASTDSLEESRKYGTAGLLSPSMEARIVDPDSGELLPVNRTGELWLRGSCIMKGYFSNEEATASTLDSKGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPEVADVAVIPFPDKDVGQFPMAYVVRKTGSRISENAIMDFVGKQVAPYKRIRRVAFVASIPKNPSGKILRKDLIKLATSKL >itb07g00130.t2 pep chromosome:ASM357664v1:7:109552:112226:-1 gene:itb07g00130 transcript:itb07g00130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQITVDSRSGFCTSNSTFYSKRKPIPLPSPESIDVTTFISSRAHQGKIAFIDAATGRQLSFSDVWRAVESVATSLSVDMGIRKGHVVLLLSPNSIFFPIICLAVMSLGAVITTTNPLNTPREIGKQISDSKPVLAFTVTELLPKLADSNLPVVLIGGNSSCTPKTNLRIVSRLEEMMRKPPSQTRFKERITQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVVGRFRLDDDAETQTFICTVPMFHIYGLAAFATGLLACGSTIVILSKFEMDEMLSTIHKYRASYLPLVPPILVALINFADSIKKKYDLSSLKKVLSGGAPLSREVIEGFVDKYPGVTILQGYGLTESTGIGASTDSLEESRKYGTAGLLSPSMEARIVDPDSGELLPVNRTGELWLRGSCIMKGYFSNEEATASTLDSKGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQV >itb07g00130.t4 pep chromosome:ASM357664v1:7:109552:112226:-1 gene:itb07g00130 transcript:itb07g00130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQITVDSRSGFCTSNSTFYSKRKPIPLPSPESIDVTTFISSRAHQGKIAFIDAATGRQLSFSDVWRAVESVATSLSVDMGIRKGHVVLLLSPNSIFFPIICLAVMSLGAVITTTNPLNTPREIGKQISDSKPVLAFTVTELLPKLADSNLPVVLIGGNSSCTPKTNLRIVSRLEEMMRKPPSQTRFKERITQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVVGRFRLDDDAETQTFICTVPMFHIYGLAAFATGLLACGSTIVILSKFEMDEMLSTIHKYRASYLPLVPPILVALINFADSIKKKYDLSSLKKVLSGGAPLSREVIEGFVDKYPGVTILQGYGLTESTGIGASTDSLEESRKYGTAGLLSPSMEARIVDPDSGELLPVNRTGELWLRGSCIMKGYFSNEEATASTLDSKGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQV >itb07g00130.t3 pep chromosome:ASM357664v1:7:109552:112226:-1 gene:itb07g00130 transcript:itb07g00130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQITVDSRSGFCTSNSTFYSKRKPIPLPSPESIDVTTFISSRAHQGKIAFIDAATGRQLSFSDVWRAVESVATSLSVDMGIRKGHVVLLLSPNSIFFPIICLAVMSLGAVITTTNPLNTPREIGKQISDSKPVLAFTVTELLPKLADSNLPVVLIGGNSSCTPKTNLRIVSRLEEMMRKPPSQTRFKERITQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVVGRFRLDDDAETQTFICTVPMFHIYGLAAFATGLLACGSTIVILSKFEMDEMLSTIHKYRASYLPLVPPILVALINFADSIKKKYDLSSLKKVLSGGAPLSREVIEGFVDKYPGVTILQGYGLTESTGIGASTDSLEESRKYGTAGLLSPSMEARIVDPDSGELLPVNRTGELWLRGSCIMKGYFSNEEATASTLDSKGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQV >itb07g17600.t1 pep chromosome:ASM357664v1:7:21834066:21835992:1 gene:itb07g17600 transcript:itb07g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGCSMGGLRSIRTSCIVLNSAMKVKKYMDVSMFPTAINLQVMKLYELSFCCGKQITVAMQLLQKCPNLCELGFMVDEESNRWVDDKEVASRLLEDPDGCFLIQELKMLNTIKIESFRESALIMPFMKMLLSKSPALKRVVILKPWDMNDSEVSKILRELECFPRASPNAQIVCTGKYCMRDYYEREDYLDLYPWF >itb08g01310.t2 pep chromosome:ASM357664v1:8:968589:971381:-1 gene:itb08g01310 transcript:itb08g01310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLQLPVIDLCSPDRTATAREIRRACIDYGFFYLINHGVEQTLFRQVFEQSRRFFSLPIEEKMKLDRKQNRGYTPLYAEKLDTSSSCKGDLKETFHIGALEHSDLNQWPSEGVLPSWKATMEDYYERVLNAGKKLVSLIALALNLDEDFFDKAVAFNPPDGFLRLLHYPAVPSPLESPDQVIYGASAHSDYGMITLLATDGVPGLQVCREKFRQPQIWEDVPNLSGAFIVNIGDMTERWTNCLFQSTLHRVVPTGSERYSVTKISSHFWLSFHIFALPYYDLHMFSKARVTWLSQTKKEKFSASVSIYMKRPKLRTQCGFFLLGMDFHFICDALHTSPLHTSHFTVVCLQQ >itb08g01310.t1 pep chromosome:ASM357664v1:8:965967:971381:-1 gene:itb08g01310 transcript:itb08g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLQLPVIDLCSPDRTATAREIRRACIDYGFFYLINHGVEQTLFRQVFEQSRRFFSLPIEEKMKLDRKQNRGYTPLYAEKLDTSSSCKGDLKETFHIGALEHSDLNQWPSEGVLPSWKATMEDYYERVLNAGKKLVSLIALALNLDEDFFDKAVAFNPPDGFLRLLHYPAVPSPLESPDQVIYGASAHSDYGMITLLATDGVPGLQVCREKFRQPQIWEDVPNLSGAFIVNIGDMTERWTNCLFQSTLHRVVPTGSERYSVAFFIDPNPDCLVECLESCCSKSSPCRFPPIRSGDYLEERYRLTYGS >itb14g01810.t1 pep chromosome:ASM357664v1:14:1467527:1468193:1 gene:itb14g01810 transcript:itb14g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKRKIDGGGGSLAPRKRARMKEGSAAAAAAADGQMQPPSEVGDEEVEEFFAILKRIRAAVKYLGKGDGESGSGRKMTTEVIERECSAEANGGDAAAEKKETAIKKLVVIDLNMVPNPDDNSG >itb10g23420.t1 pep chromosome:ASM357664v1:10:27795518:27796630:-1 gene:itb10g23420 transcript:itb10g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGDDDDIFDDSDNELGGGTAIGPKKFTYRELARATNNFSQQEKLGEGGFGSVYKGYLRELDSYIAVKRVSRGSKQGIKEYVSEVKTISRTRHRNLVQLIGWCHRNKDLLLVYEFMSNGSLDSHLYKGQSLLTWPLRYKIVQDLAAALLYLHEECQKCIVHRDIKSSNIMLDSNFNAKLGDFGLARLVDHEKGSRTTALAGTMGYIALECIVSGKASKETDIYSFGIVTLEIACGRKPIDSTAEECHVNIVDWVWKLYGTGQLLDAVDPNLSGEFNGKEVEQMMIIGLWCAHPDCSFRPSIRQVIQVLNFEASLPNLPETLPVATFWPQRNVQHSSSSSYYGSDFSQTSQTKSLVSSSNTDSSCSFAI >itb12g17120.t1 pep chromosome:ASM357664v1:12:18982284:18988017:-1 gene:itb12g17120 transcript:itb12g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTKSFRKGKKAWRANISTEDIEDFFDNSTKDALSGGSLADVPSESLFYVDKSRDLSAKRKIEKKRDKVLHFESLLQKNAFVQPVPSSTTKKSKKKSKDAKKAKDAAEECQKDVAATGSGLVDIWNEKGEKIVKTKRKSVTSIIPAVEVDPPGCSFNPPSESHQDALASAVADEMQKVYRDELGPEPVPLTVPGEIVDEENMYFLDADDGSDDDDENLIEDGNLDVEKRLQKTKLVTKVEKNRRARRKEQLRTEAEAKKVENLSKEIDSLSDIIQEIAKEDEEKQKRHLRRVVAKQERLKSCPPRLGKRKFQPAPVQVLLSEEITGSLRQLKGCSTLARDRFKSLEKRGLVVPSKKTSRK >itb13g17080.t1 pep chromosome:ASM357664v1:13:24020729:24022528:-1 gene:itb13g17080 transcript:itb13g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFCVSKAMEFPSLLYNNIVIPTLACTLVLLFFTCKLFLSPKNHKRLAPEVPGAWPIIGHLHLLAGRKTPTHLILASMADKYGPIFRMRLGSQPVVVVSDSIVAKECFKEKDKELATRPKFMAAEIMGYNYSMVAIAPYGEYWREIRKIVLLEMLSNRRIEMLRKVRESHVRKAINRTFDHWSHNKDPTSGAVVVDMSQWFSRLIMNISIAMLFGEEEVAEESQLLKSIRSFLELFGEMPVSDFIPWLRWMDLGGYEKAMRKTAEEMDSAADRWLKEHRRKRNLKSKEEEDFMDAMLSLFDASSNQTHPLGLDNDVIIKSTCLTLLVAATDTTSITLIWALSLVLNNYDVLRMIQGELDTKIGKHSGIEESDTNQLIYFQAVVKETLRLHPPGPLFIPHEAIEDCTINGYHIQKGSRVIPNLAKIHRDPKVWVDPNEFRPERFLTTHKDIDVWGNNFEIIPFGSGRRMCPGITLGLHIVHLTLASLIQSFDMKRPSIEPIDMTESPGLTSPKATPLHVLLIPRLASDLYD >itb06g22020.t3 pep chromosome:ASM357664v1:6:24461946:24471471:1 gene:itb06g22020 transcript:itb06g22020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEQPLKKRKLYEQLKEPSPPAPPSPPLLPPPQPAPPPTPPQISQDEVALRRRNQEEIRNVYECYNRIKFCIAQKDTRLKPDLERAYIALVTASTGCSSVQRLTAEFIPKYASYCPSAFESAARVLINMHNWSLAVISRGEDADGVALDTAKACIFGLADICVSAAAAAQMSPVIQGICTTVFRDAVTFFISSFEGKNIFQIVDKEILKTDDVLDSFSQYQQKFLALDDSVLLKLSKFRALSLLRIFFTCSKNSIATCFELFDSTAAEETHKEGYYFLRQLTDRFDETLSCSSKVECNGSIPSTSSMSTSCSGNDSTDDGSATRGKNTLKHAKAVPRNCLLGLVLNNDQSLKNWVISRYKKLSKSGFSEVVSVITSILDEVFDSFLDQVKAEEVKKESEDDLCSREYADQFSIPRVCSQGQTSEVSRSVTGSQLADCRPNINNFGSRPMVVDSSEQGDLAYNRSSRSGELLNQQIPSPRTRPMYLRSCSFDSGSCSSPTEKNLIPNMDHPLPALRTSSGVAVGSTPSPRPNLPLHQTSTNQVTWYCDGDPAALDIYPASKHLWLGSLDPGVSEGLLRHQFEKFGPLNNFSYFPLKGFALVEFRNIRDAVKARELMRRSSPWGNALNIKFLDAGYGTRGAINGVAVGSSCHVYIGNVQNQWVKDEIIHELRKVIYKAPVMVTDLSSEVALLMEFETPEEAAMAMNHLRQCRNSKNSSPRPLNVGPVNVTMRVEGSRLVSTSSVNNMVVPSHAQTMVEQQPNARTSQPGLHHAPVSAKPDNGFLEHTSPRMKPEHGAMTASGHHGFPSNWRPIVCQGMPEVGAGEADKMAVDPSHRGGSISDSGDPMWVYGKSERDLQSGVGGISRIPPPTQGPAMGPSMSIQGPPAAPQPVQTPPVAPPQPGQALPFAPPQVALGPPVGPPQPIHTHLVPPPPQPIHPPTVGPSQPVQTPPFIRPVYFTPTGWDAHALNHNMPPNPTSSSVMPSSIHHNAIAPPYLPASVTPIAQIQASSMPQFSHTFYMNNRPPMTSFPAPQPELTPPFTTQPVLQPPLPSSPPHPRYPEPPIAPPPPSSPPPPPPPPSESSNSENLRQCPQHQWQGTLNKSGVHYCKIYAQRVDSGICKYSSAVAEPTEWPAKLDMTKRTDFQHVMSTFSNTPINKREICWLLPTSQEDHKGFQDFVSYLQQRECAGVIKMPAVKSMWARLLFILPQSSETCSMLSLEPNPFPCLIGLVLPKEMNFEWV >itb06g22020.t2 pep chromosome:ASM357664v1:6:24461946:24472251:1 gene:itb06g22020 transcript:itb06g22020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEQPLKKRKLYEQLKEPSPPAPPSPPLLPPPQPAPPPTPPQISQDEVALRRRNQEEIRNVYECYNRIKFCIAQKDTRLKPDLERAYIALVTASTGCSSVQRLTAEFIPKYASYCPSAFESAARVLINMHNWSLAVISRGEDADGVALDTAKACIFGLADICVSAAAAAQMSPVIQGICTTVFRDAVTFFISSFEGKNIFQIVDKEILKTDDVLDSFSQYQQKFLALDDSVLLKLSKFRALSLLRIFFTCSKNSIATCFELFDSTAAEETHKEGYYFLRQLTDRFDETLSCSSKVECNGSIPSTSSMSTSCSGNDSTDDGSATRGKNTLKHAKAVPRNCLLGLVLNNDQSLKNWVISRYKKLSKSGFSEVVSVITSILDEVFDSFLDQVKAEEVKKESEDDLCSREYADQFSIPRVCSQGQTSEVSRSVTGSQLADCRPNINNFGSRPMVVDSSEQGDLAYNRSSRSGELLNQQIPSPRTRPMYLRSCSFDSGSCSSPTEKNLIPNMDHPLPALRTSSGVAVGSTPSPRPNLPLHQTSTNQVTWYCDGDPAALDIYPASKHLWLGSLDPGVSEGLLRHQFEKFGPLNNFSYFPLKGFALVEFRNIRDAVKARELMRRSSPWGNALNIKFLDAGYGTRGAINGVAVGSSCHVYIGNVQNQWVKDEIIHELRKVIYKAPVMVTDLSSEVALLMEFETPEEAAMAMNHLRQCRNSKNSSPRPLNVGPVNVTMRVEGSRLVSTSSVNNMVVPSHAQTMVEQQPNARTSQPGLHHAPVSAKPDNGFLEHTSPRMKPEHGAMTASGHHGFPSNWRPIVCQGMPEVGAGEADKMAVDPSHRVLISGGSISDSGDPMWVYGKSERDLQSGVGGISRIPPPTQGPAMGPSMSIQGPPAAPQPVQTPPVAPPQPGQALPFAPPQVALGPPVGPPQPIHTHLVPPPPQPIHPPTVGPSQPVQTPPFIRPVYFTPTGWDAHALNHNMPPNPTSSSVMPSSIHHNAIAPPYLPASVTPIAQIQASSMPQFSHTFYMNNRPPMTSFPAPQPELTPPFTTQPVLQPPLPSSPPHPRYPEPPIAPPPPSSPPPPPPPPSESSNSENLRQCPQHQWQGTLNKSGVHYCKIYAQRVDSGICKYSSAVAEPTEWPAKLDMTKRTDFQHVMSTFSNTPINKREICWLLPTSQEDHKGFQDFVSYLQQRECAGVIKMPAVKSMWARLLFILPQSSETCSMLSLEPNPFPCLIGLVLPKEMNFEWV >itb06g22020.t1 pep chromosome:ASM357664v1:6:24461946:24472168:1 gene:itb06g22020 transcript:itb06g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEQPLKKRKLYEQLKEPSPPAPPSPPLLPPPQPAPPPTPPQISQDEVALRRRNQEEIRNVYECYNRIKFCIAQKDTRLKPDLERAYIALVTASTGCSSVQRLTAEFIPKYASYCPSAFESAARVLINMHNWSLAVISRGEDADGVALDTAKACIFGLADICVSAAAAAQMSPVIQGICTTVFRDAVTFFISSFEGKNIFQIVDKEILKTDDVLDSFSQYQQKFLALDDSVLLKLSKFRALSLLRIFFTCSKNSIATCFELFDSTAAEETHKEGYYFLRQLTDRFDETLSCSSKVECNGSIPSTSSMSTSCSGNDSTDDGSATRGKNTLKHAKAVPRNCLLGLVLNNDQSLKNWVISRYKKLSKSGFSEVVSVITSILDEVFDSFLDQVKAEEVKKESEDDLCSREYADQFSIPRVCSQGQTSEVSRSVTGSQLADCRPNINNFGSRPMVVDSSEQGDLAYNRSSRSGELLNQQIPSPRTRPMYLRSCSFDSGSCSSPTEKNLIPNMDHPLPALRTSSGVAVGSTPSPRPNLPLHQTSTNQVTWYCDGDPAALDIYPASKHLWLGSLDPGVSEGLLRHQFEKFGPLNNFSYFPLKGFALVEFRNIRDAVKARELMRRSSPWGNALNIKFLDAGYGTRGAINGVAVGSSCHVYIGNVQNQWVKDEIIHELRKVIYKAPVMVTDLSSEVALLMEFETPEEAAMAMNHLRQCRNSKNSSPRPLNVGPVNVTMRVEGSRLVSTSSVNNMVVPSHAQTMVEQQPNARTSQPGLHHAPVSAKPDNGFLEHTSPRMKPEHGAMTASGHHGFPSNWRPIVCQGMPEVGAGEADKMAVDPSHRVLISGGSISDSGDPMWVYGKSERDLQSGVGGISRIPPPTQGPAMGPSMSIQGPPAAPQPVQTPPVAPPQPGQALPFAPPQVALGPPVGPPQPIHTHLVPPPPQPIHPPTVGPSQPVQTPPFIRPVYFTPTGWDAHALNHNMPPNPTSSSVMPSSIHHNAIAPPYLPASVTPIAQIQASSMPQFSHTFYMNNRPPMTSFPAPQPELTPPFTTQPVLQPPLPSSPPHPRYPEPPIAPPPPSSPPPPPPPPSESSNSENLRQCPQHQWQGTLNKSGVHYCKIYAQRVDSGICKYSSAVAEPTEWPAKLDMTKRTDFQHVMSTFSNTPINKREICWLLPTSQEDHKGFQDFVSYLQQRECAGVIKMPAVKSMWARLLFILPQSSETCSMLSLEPNPFPCLIGLVLPKEMNFEWV >itb05g22310.t1 pep chromosome:ASM357664v1:5:27741707:27748012:-1 gene:itb05g22310 transcript:itb05g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MALHTSLSLPLSLLSPPNAKLSATSAAALFAPPPRGFNRRGPLLAVSFQNGAVTTAVGDDLPLDYSDWLPNRYSTTVDRRRAGVLLHPTSFPGPYGIGDLGPQAFRFLDWLHDAGCSLWQVLPLVPPGRRANEEGSPYSGQDANCGNTLLISLEELVDDGLLMKEELPEPLVMDRVDFATVAEIKDPLIAKAAERLISSQGQLKYELEQFRKDRSIASWLEDAACFAAIDSTFNTFSWYIWPEPLKNRHLAALEEIYQTKKDFIDIFIAQQFLFQRQWQKLRNYAQKKGISIMGDMPIYVGYHSADVWANTKQFLLNRKGFPLLVSGVPPDAFSETGQLWGSPLYNWKAMEKDGFSWWVRRIRRAQDLFDEFRIDHFRGFAGFWAVPSEAKIAMVGRWKVGPGKPLFDSISRAVGKISIIAEDLGVITEDVVQLRKSIGAPGMAVLQFGFGSDAENPHLPHNHECNQVVYTGTHDNDTIQGWWDGLPQEEKSNVLKYLPSIDEREISWGLIQAALSSVAQTTIIPMQDILGLGTSARMNIPATQFGNWSWRIPHSISFDSLDNEAKKLRDMLDTYGRL >itb10g01450.t1 pep chromosome:ASM357664v1:10:1097970:1098817:-1 gene:itb10g01450 transcript:itb10g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSTIRSKRSATRPPSEQLALLQGGGRGGVPDSGVRQSKRLLSCHDSDQLVGYSGGGSMALRACTLSNRVASGRPTLEPDQPVGSTDSTSSDDDFQTAPRGFVEADRRGTGVDVGMAKDGRAPVGVFKEFFTIKTRCRPKPLVCAIKDFNSRQCEAVREIGFGGVQQPCV >itb03g14150.t1 pep chromosome:ASM357664v1:3:13997431:14001753:1 gene:itb03g14150 transcript:itb03g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MNSWDLWVEQALSRLDSQKLLRSLRPILPSKANHPGSQQTCPSNSSFSGDALEVFDGLRHWDRASVEVMISETTYQKWLKDVPSSGDDTECDKVWTENEVGACGGKFRKLILFSGNDYLGLSSHPTIIKAATEAVQRHGMGPRGSALICGYTYYHRLLETSLAALKKKEDCLLCPTGFAANMAFVTAVGSISLLLAAGGKPSLDDRVAIFSDALNHASIIDGIRLAEKQKGVAVYVYRHCDMFHLNELLTSCRMKKKVVITDSLFSMDGDFAPMVELVKLRKKHGFLLAIDDAHGTFVCGATGGGAAEKFDCEKDVDICVGTLSKAAGCHGGFIACSKKWKQLIQSRGRSFIFSTSTPVPVAAAAHAAIVVAKKEIWRRRAIWNRVQDFRDLTGIPITSNIISLIVGSEAMALQASRHLLDLGFHISAIRPPTVPHNLCRLRITVSAAHTLEDIRKLTAALSQCINFREIGFYSSSCSTAKL >itb11g15650.t1 pep chromosome:ASM357664v1:11:13494829:13496609:1 gene:itb11g15650 transcript:itb11g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLDVRIPNCSVVSSFGKSQRRLLACHGAQCHALALSNEPSKIRFSSRADSVAHRMNKFAQGIREHVKLGNKITETVKGKLSLGKKILQVGGVSKIFKWNFNAKEGEELLKTCQCYLSTTSGPIAGLLFISTDKIAFCSERSIKLSSSSSNSSGKSLRVRYKVMIPLRKIKIAHESRNVKKPSQKYIQVVTEDKHEFWFMGFLNHQRTLKHLKEAIYQTQC >itb07g12490.t1 pep chromosome:ASM357664v1:7:14372023:14378492:-1 gene:itb07g12490 transcript:itb07g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLSVSEISGDDDSQLPRNPELDATPKDLCSKSTFTSPIKALKVSTDPETPSCSFFKDGSNKENLSTSKSEVQKLSMEPLKMKRKKTGGYNLRKSLAWNKAFFTEEGVLDPMELSMISGGGLSPIEERKTPQSTKSLRNVAPSSMKSIKKNVCKEISATSSKEDREKSSHKVFATPNGRTSSMGGGCPRPLFSTSLKRPANINVSKAAIKESKLPKFQVSKPGSCSMTTNSKSTMPGPCPLQNKKISDPVSVQRKYWLKSSLRNAESGQKKAKDGSIPPDLSLAAHLKGDSDISTLNINLSNSSSLLPIRKNGNTSLKVIPDPVAAYSSIPSSQGQDGSAPPAVSRLQNTFTSVRSLQHAQIQTIKPSGLRMPSPSLGFFRQPKASETHRLSQRNAESSVQKLYKSGDLRPPLVVGGQKINDTIATMDGRNISTRLGCSGASDSQKAIKACLDDDDALKNRLKARCDYGNPKLLSDKNEKHNVSDVDGKVNDHGEVRNEKIGSQQDTESWMNGKELLNTGECRQTLEKDDPKVIGSQGSRGSSRSDLEDYQLVSQQNSLAHAMDTFRAETAVSFDGSFSDSESEDMDICGDEEMISNCPVSGSQDVGGLPEEQSDKKSCAFEADRILIGHNYATVTEHCLNKLSREFQNYGSQDSADPNLIGLTCTKVETKNSAFPTDNLHIQSSEENATRNEGSVKSDLGDSVLHTSKEILSAHSFKNTIHECLRAVKAEEEKVSKTVSSIELNGKDATDYNEFDVQRARIIAEIDTVMIAGQVFHDDVLLHPAQDSHSVESPDIFDKASPHLKFALSNETLKLVVPGTPESLNGSIKLNNDVCVAKNDFSAKEIDKCYDNPNNGTQIVKINDSELLEDSQKCERVNFSESVGQKNHSEYISKGSSEGLLLEVEHSQSLDGKTSAELCNVLTSSALPIQHYSAISAEKLEQSDNPILPGQGTGTVSDEESERNQVLDCISQLSDDSRSEAVSSSALNLEPTMGGIDTKIDSFDSDLRIEDKPSDYVGNVSLPEGCELTNSVLLLDTLPNGKSACLDIDSAPQTSKNCISRESAACIDLVESEQESSRSNEGLDQNILGDESEMKTSEAINSHASELCFELDNAKCFTNNENATLSIKRPEDGKAKSKLTLIPPRNAVPFSDEWLAAIEAAGEDILAMKGGAVQNSPPDKSLPEPSPWSPVRRKNNQAGPFDCTKFTRNRGAEPS >itb07g12490.t2 pep chromosome:ASM357664v1:7:14372023:14377581:-1 gene:itb07g12490 transcript:itb07g12490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMISGGGLSPIEERKTPQSTKSLRNVAPSSMKSIKKNVCKEISATSSKEDREKSSHKVFATPNGRTSSMGGGCPRPLFSTSLKRPANINVSKAAIKESKLPKFQVSKPGSCSMTTNSKSTMPGPCPLQNKKISDPVSVQRKYWLKSSLRNAESGQKKAKDGSIPPDLSLAAHLKGDSDISTLNINLSNSSSLLPIRKNGNTSLKVIPDPVAAYSSIPSSQGQDGSAPPAVSRLQNTFTSVRSLQHAQIQTIKPSGLRMPSPSLGFFRQPKASETHRLSQRNAESSVQKLYKSGDLRPPLVVGGQKINDTIATMDGRNISTRLGCSGASDSQKAIKACLDDDDALKNRLKARCDYGNPKLLSDKNEKHNVSDVDGKVNDHGEVRNEKIGSQQDTESWMNGKELLNTGECRQTLEKDDPKVIGSQGSRGSSRSDLEDYQLVSQQNSLAHAMDTFRAETAVSFDGSFSDSESEDMDICGDEEMISNCPVSGSQDVGGLPEEQSDKKSCAFEADRILIGHNYATVTEHCLNKLSREFQNYGSQDSADPNLIGLTCTKVETKNSAFPTDNLHIQSSEENATRNEGSVKSDLGDSVLHTSKEILSAHSFKNTIHECLRAVKAEEEKVSKTVSSIELNGKDATDYNEFDVQRARIIAEIDTVMIAGQVFHDDVLLHPAQDSHSVESPDIFDKASPHLKFALSNETLKLVVPGTPESLNGSIKLNNDVCVAKNDFSAKEIDKCYDNPNNGTQIVKINDSELLEDSQKCERVNFSESVGQKNHSEYISKGSSEGLLLEVEHSQSLDGKTSAELCNVLTSSALPIQHYSAISAEKLEQSDNPILPGQGTGTVSDEESERNQVLDCISQLSDDSRSEAVSSSALNLEPTMGGIDTKIDSFDSDLRIEDKPSDYVGNVSLPEGCELTNSVLLLDTLPNGKSACLDIDSAPQTSKNCISRESAACIDLVESEQESSRSNEGLDQNILGDESEMKTSEAINSHASELCFELDNAKCFTNNENATLSIKRPEDGKAKSKLTLIPPRNAVPFSDEWLAAIEAAGEDILAMKGGAVQNSPPDKSLPEPSPWSPVRRKNNQAGPFDCTKFTRNRGAEPS >itb06g08350.t1 pep chromosome:ASM357664v1:6:12350887:12351982:1 gene:itb06g08350 transcript:itb06g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVHPSSATKPNANGGAPAPTAAAASGGGGGGNPAKPKVYNPNRIPYRAGPGANLPTRRCSCRRCFCLCCFWAVLLLCILLLLAAILGAAFYVLYRPHHPVFSVSSLKISQFNLTTAPSDGTTRLSTRLNLTLSAKNPDAKMIYTYDPISLSAFSGLILLANGTFPSFISNPDNITIIHSTLSMPTPQVLDSDSFSGLKSDLKRKTGLPVKILMDTMVLVKLEKMKSKKVGIRVTCEGIHAPIPKGRAPGLGTTSHARCTVDLRFTLWKFSSN >itb01g25200.t1 pep chromosome:ASM357664v1:1:30678678:30681236:-1 gene:itb01g25200 transcript:itb01g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGDALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQKHIRVGRQVVNVPSFMVRVDSQKHIDFSLSSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDEE >itb13g15570.t1 pep chromosome:ASM357664v1:13:22429638:22436438:-1 gene:itb13g15570 transcript:itb13g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCKPKIEDDGREDGTRGTPETVKSLTAQIKEIAVKVSGAYKCKSSIPTGSCRKGQRPNPDFDALTSEAIPFPYQPGSSGSTPAWDFTNAGHSQTPRPGSRFAGARAHGSVDPVIQFGGVEEWTAQVEPGIQITFVSLPQGGNDLRRIRFSRDMYDKWEAQRWWGENYDRIMELYNVQKFNQQEAPSTPSQSENGRDSCYSRLGSSSRESPIRMMTPALRNCNNNKPPPPPIALPDHGGSQHHKNGEMCCMDASRTTTESRDDADASISISNASEMESEWVEQDQPGVYITIRQLADGTRELRRVRFSRERFGEMHAKSWWEQNRERIQAEYL >itb13g15570.t2 pep chromosome:ASM357664v1:13:22429638:22436438:-1 gene:itb13g15570 transcript:itb13g15570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCKPKIEDDGREDGTRGTPETVKSLTAQIKEIAVKVSGAYKCKSSIPTGSCRKGQRPNPDFDALTSEAIPFPYQPGSSGSTPAWDFTNAGHSQTPRPGSRFAGARAHGSVDPVIQFGGVEEWTAQVEPGIQITFVSLPQGGNDLRRIRFSRDMYDKWEAQRWWGENYDRIMELYNVQKFNQQEAPSTPSQSENGRDSCYSRLGSSSRESPIRMMTPALRNCNNNKPPPPPIALPDHGGSQHHKNGEMCCMDASRTTTESRDDADASISISNASEMESEWVEQDQPGVYITIRQLADGTRELRRVRFSRERFGEMHAKSWWEQNRERIQAEYL >itb03g03430.t1 pep chromosome:ASM357664v1:3:1996798:2002823:1 gene:itb03g03430 transcript:itb03g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPPSKHKLVILGDESVGKTSITTRFICRKFNTTYKATIGIDFFSKTVYLEDRTVRFQFWDTAGQKRFKSLIPCYVRDSSVAVIVYDVANRQSFLNTSKWIEEVRTNRGNDVIIVLVGNKIDLIDKRQVSIEEGDAKAREFGLMFIETSAKDGFNIESLMAQVALRIPVVKLESTLEAERRNTIIDLKEEQDQSSALQLETDFVSPTGPSESGVTGEQDQSSALQLETNFVSPTSSALQLETNFVSPTGPSESGVTGVVSPTGPSESGVTGEQDQSSALQLETNFVSPTGPSESGVTGEQDQSSALQLETNFVSPTGPSESGVTGEQDQSSALQLETNFVSPTGPSESGVTGVPQSLMAHAKLCQKFKLLSDSVEAIQWGLAYHAKQMEGPAYHAKQIEVMNLQINQLKSTLDTERYNAERLMLEDLNLRIDQLKSTLELERKNAERLMLEVATSRQAALDEYKGLAIF >itb07g00380.t1 pep chromosome:ASM357664v1:7:266799:267929:-1 gene:itb07g00380 transcript:itb07g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLVISRVVGDVVDHFSQSVKMSVVYNSNKHVYNGHELFPSSVTTKPKVEVHDGDLRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDSSFGREIVSYETPKPNIGIHRFVFLLYKQKRRQSVTVSASPSRDRFNTRKFADDNDLGSPVAAVFFNCQRETAARRR >itb01g27800.t1 pep chromosome:ASM357664v1:1:32448959:32451429:1 gene:itb01g27800 transcript:itb01g27800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPDVIAVPYPFQGHINPMLQFCSSLSARGVRVTLVLTHGVAKSMPQSTLSQPFHTVECISDGTDIATSPRNLEENSRFPGAVFEGVAAIIQNQKKASGGAATKVLVHDAMMPWLLETVLVLHSFSTDLNLEQSFSIHVGIAYTRWAIHGETAPSNSHPQISGVGNDFLVVHNGVIINYEALKETPIRLDFTFESEIDTEVIPKLAKFVFDKAKEGRGDGQVAEGREG >itb07g14930.t1 pep chromosome:ASM357664v1:7:17602226:17602708:-1 gene:itb07g14930 transcript:itb07g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRNALAADCIVISCCCQCLILQLIIFILLRLPSRMIRKTKRYVKRRLRSRKRAEKVVQIEVCGYGDGSSRRCGGSPARVLQVGDDGFPFSDCSFGCCMDEVDRVLEEFSQKGEFGFGSFWGGDVSKRVFSYCETKQEVDYDCFHHHLLETFGSFNFKQ >itb10g22130.t2 pep chromosome:ASM357664v1:10:27076769:27090873:-1 gene:itb10g22130 transcript:itb10g22130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MEFIKTSRMSPRTRFRFGLCAVIVAVLCVHLSSYSVSAANSKPKNVQVALRAKWPGTSILLEAGELLSKEWKGLYWDFIDMWLHSADGDTDCKSAQDCVKKIGKYGKSFLSESLASVFELSLTLRSASPTIVLYRQLAEESLSSFPLVDDISSDSTSEELSEPSEITETKKAEPFLSGVDQQSRKDKCCWVDTGGSLFFDVPELLLWLKSPKAVAGDTFQQPELFEFDHVHPDSSIGNPITILYGSLGTECFKEFHHILVNAAREGKAYYVVRPVLPSGCESKSGPCGSVGTRDSLNLGGYGVELALKNMEYKAMDDSTVKKGVILEDPQTEDLSQEVRGFIFSRILERKPELKSEIMAFRDYLLSSAISDTLDVWELKDLGHQTAQRIVHAADPLLTMQGINQNFPSVVSSLSRMKLNESIKDEIIENQRMIPPGKSLMALNGALINIEDIDLYLLIEMAHQELSLADQYSKLKIPPTTVKKLLSTLPPSDGSSLRVDFRSDHVHYLNNLEADAMYRRWRSNINEILMPVFPGQMRYIRKNIFHAVYVLDPSSTHGLETIDMIISLFENHMPIRFGVILYSAKLINEIESNDGELLFSQGKDDSQSQEDISSLIIRLFIYLKENHGAATAFQFLSNINKLRVESGAEDAPEMDHVEGAFIETVLPQAKSPPQDTLLKLQKENTFKEVSRESSMFALKLGLSKLQCSLLMNGLVNEPTEDALMNAMNDELPRIQEQVYYGHIGSHTDILEKFLSESGVQRYNPLIISDGKAKPKFVSLSAATLEKESVLNDISYLHSPETIDDLKPVTHLLAVNITSKKGIRLLREGIRYLMEGTKNGRLGVLFSATQDTHWPSILFMKVFKITASSYSHKKRVLEFLDQLCSFYEREYINLPTLVTENNQAFIDKVFEMASAKGLPSKGYESALSGFTDDNIKTYLSKVAKFLYRQVGLESGGAVVITNGRVVHVVEGTTFLSHDLQLLESLEFKQRIKHIVEIVEEVKWEDVDPDMLTSKFISDIIMSISSSIATRERTSEGARFELLSATYSAVVLENENATIHIDAVIDPLSPSGQKLASLLYLLSKSVHPSMRLVLNPMSSLVDLPLKNYYRYVAPTMDDFSRTDFAVYGPQAFFANMPPSKTLTMNLDVPEPWLIEPVVAVHDLDNILLENLGETRTLQAVYELEALVLTGHCSEKDHDPPRGLQLILGTKSTPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYIMKQDGDGGQNTILSKRITINDLRGKLVHLEVVKKKGKEHENLLVPTDSDSHSRASKKELGRGTRHGKTINIFSVASGHLYERFLKIMILSVLKNTNRPVKFWFIKNYLSPQFKDVIPHMAREYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLALEKVIFVDADQIVRADMGELYDMDLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLLKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNTTKPKAKTIDLCNNPMTKEPKLQGAKRIVAEWPELDLEARTFTAKILGEDISPQEQPPAPPVQPAKTVDEDLESKAEL >itb10g22130.t1 pep chromosome:ASM357664v1:10:27076744:27090873:-1 gene:itb10g22130 transcript:itb10g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MEFIKTSRMSPRTRFRFGLCAVIVAVLCVHLSSYSVSAANSKPKNVQVALRAKWPGTSILLEAGELLSKEWKGLYWDFIDMWLHSADGDTDCKSAQDCVKKIGKYGKSFLSESLASVFELSLTLRSASPTIVLYRQLAEESLSSFPLVDDISSDSTSEELSEPSEITETKKAEPFLSGVDQQSRKDKCCWVDTGGSLFFDVPELLLWLKSPKAVAGDTFQQPELFEFDHVHPDSSIGNPITILYGSLGTECFKEFHHILVNAAREGKAYYVVRPVLPSGCESKSGPCGSVGTRDSLNLGGYGVELALKNMEYKAMDDSTVKKGVILEDPQTEDLSQEVRGFIFSRILERKPELKSEIMAFRDYLLSSAISDTLDVWELKDLGHQTAQRIVHAADPLLTMQGINQNFPSVVSSLSRMKLNESIKDEIIENQRMIPPGKSLMALNGALINIEDIDLYLLIEMAHQELSLADQYSKLKIPPTTVKKLLSTLPPSDGSSLRVDFRSDHVHYLNNLEADAMYRRWRSNINEILMPVFPGQMRYIRKNIFHAVYVLDPSSTHGLETIDMIISLFENHMPIRFGVILYSAKLINEIESNDGELLFSQGKDDSQSQEDISSLIIRLFIYLKENHGAATAFQFLSNINKLRVESGAEDAPEMDHVEGAFIETVLPQAKSPPQDTLLKLQKENTFKEVSRESSMFALKLGLSKLQCSLLMNGLVNEPTEDALMNAMNDELPRIQEQVYYGHIGSHTDILEKFLSESGVQRYNPLIISDGKAKPKFVSLSAATLEKESVLNDISYLHSPETIDDLKPVTHLLAVNITSKKGIRLLREGIRYLMEGTKNGRLGVLFSATQDTHWPSILFMKVFKITASSYSHKKRVLEFLDQLCSFYEREYINLPTLVTENNQAFIDKVFEMASAKGLPSKGYESALSGFTDDNIKTYLSKVAKFLYRQVGLESGGAVVITNGRVVHVVEGTTFLSHDLQLLESLEFKQRIKHIVEIVEEVKWEDVDPDMLTSKFISDIIMSISSSIATRERTSEGARFELLSATYSAVVLENENATIHIDAVIDPLSPSGQKLASLLYLLSKSVHPSMRLVLNPMSSLVDLPLKNYYRYVAPTMDDFSRTDFAVYGPQAFFANMPPSKTLTMNLDVPEPWLIEPVVAVHDLDNILLENLGETRTLQAVYELEALVLTGHCSEKDHDPPRGLQLILGTKSTPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYIMKQDGDGGQNTILSKRITINDLRGKLVHLEVVKKKGKEHENLLVPTDSDSHSRASKKGNRNSWNSNFLKWASGFIGGSDQSKKTESAPELGRGTRHGKTINIFSVASGHLYERFLKIMILSVLKNTNRPVKFWFIKNYLSPQFKDVIPHMAREYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLALEKVIFVDADQIVRADMGELYDMDLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLLKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNTTKPKAKTIDLCNNPMTKEPKLQGAKRIVAEWPELDLEARTFTAKILGEDISPQEQPPAPPVQPAKTVDEDLESKAEL >itb15g06540.t1 pep chromosome:ASM357664v1:15:4345780:4346913:-1 gene:itb15g06540 transcript:itb15g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYFLGTILLFSFATMAFSQSLSPFFYQRVCPQALPTIRRIVFDAVRQERRMGASLLRLHFHDCFVNGCDASILLDSTSSIDSEKNSLANDKSARGFEVIDRIKSAVDNVCNGPVVSCADILAVVARDSVFALGGPSWTVQLGRRDSTTASRTDADNNLPSPFMDLKALIDNFSKQGLDVKDLVALSGGHTLGLAQCRTFRDRIYKETNIDQGFAAQRQATCPQVGGNFTLAPLDPSPAYFDTRYFSNLVMNKGLLHSDQVLFNGGQTDNLVNTYSGNIRAFAKDFAQSMIKMGNIKPLTGNNGQIRVNCRNVN >itb07g00630.t1 pep chromosome:ASM357664v1:7:404995:408057:1 gene:itb07g00630 transcript:itb07g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRSENGSLSSEILQRMGSDNGKELSSSARKLAASLWEIKGIAALGKEENLQERNGGEMGRKERSLKSSKHGSSKASRLSDPLYSPVSERMERSKVEIGHRRIASYGTQKVMQNDYFLQDDNNMQTGGSSQTKHLLKDVSHSLTTSKQLLKVLSRVWSIEEQKSTCISLFSTLKSELDRACIQVTKLIQERRHNHSEVDALLKWFEEQKAVWRMKEQCKIQSALTSVVGELKTEKRLRKQAERLNKKLGRELSDTKASLNMAVKEIESQKRAMEVLERVCEELAKGEAKLEELKRESSKAREEVEKEREMLQFADILREERVQMKLSEAKYEYEEKTAVLDRLKSELEACLSSKSVSQSPNNDNKIKALQKHFRETLPAGSCRFPDNKDEREDSENSADEDEDEDSELHCIELNMDETSKSYEWRNNNNSSNPSTSQERQSSDPPIEWEFISNGGLFETSGSEAWRNDFEDEIERYHMIKDLRDHFVSASKIPPPSQDFVSPT >itb06g15850.t2 pep chromosome:ASM357664v1:6:20106218:20109429:1 gene:itb06g15850 transcript:itb06g15850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MIDGHEEVKWRLVFKFRIPKGPKYRSNNPTSPRYTPTAPPSQFSRDCNFSLIFQMASVIARLPPHPAVFINGRRPLFGLSPITTLRTFSEKPVSSTIAAKATSEGSESSTSISFKSIRNVWDKSEDRIALIGLGFAGIVGVWAAINLVAAIDKLPIVPSTLEFIGILFSSWFVYRYLLFKPDREELFRSVNKSISDVLGQ >itb06g15850.t1 pep chromosome:ASM357664v1:6:20106218:20109429:1 gene:itb06g15850 transcript:itb06g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASVIARLPPHPAVFINGRRPLFGLSPITTLRTFSEKPVSSTIAAKATSEGSESSTSISFKSIRNVWDKSEDRIALIGLGFAGIVGVWAAINLVAAIDKLPIVPSTLEFIGILFSSWFVYRYLLFKPDREELFRSVNKSISDVLGQ >itb07g17360.t1 pep chromosome:ASM357664v1:7:21502137:21506575:-1 gene:itb07g17360 transcript:itb07g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLATRRSPRLAGGNKAADPVAVNVEMQVSTPQKRRFGSDSSLTNGLVSSTPLPVERSPAKLKSPRRCLIDSPSSPAKGIGENTGDKAVKMSKSPVKRRLMESFIEKPLWNSRDPEQLNAVKEALHVSTAPSTIMCRDAEQNRVLEFCKQCVEQGKAGSLYVSGCPGTGKSLSIEKVKEALVDWAKKVNFQQPDILTINCTSLTKTSEIFNKILEKIQPKKKLSGSTTPLQLLQNLFSLKQQAGTSMMLIIADELDYLITQDRAVLHDLFMLTTFPSSRCIVLGIANAIDLADRFLPKLQSLNCKPMVITFRAYSKDQIIMILRQRLAALPYIVFQPQALELCARKIASASGDMRKALSICRSAIEVLETEIRDSINSLNLTSLETGVSDAPCNILRMPDNNIVRVDHVARALSNAYKSPAVDTIQSLPQHQQIILCSAVKLFRGGKKDGTIGELSKYYIDVCRSTLVPAVGVMELSSMCRVLADQGLLKMGQSREVKLRRVTLKVDEADITFALQGIRFFRNCLQ >itb01g26010.t1 pep chromosome:ASM357664v1:1:31250653:31252134:1 gene:itb01g26010 transcript:itb01g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANIELVFVPAPAMGHLVPAVGTAKLLLQRLPQLSITVLIMKMPLSPDAKINSYIDSLIADEKQDMNPRLKLILLPENLDALKGHTDRVSFFHAFLDSQKTRVRDYCLNEFHKSSSARRLAGFVVDVFCTDLIMDVGEEFGVPTYVFYASGAALLGLHLHFQSLKDDHGIDASAFKDSDPDLNIPTYFNPFPVKLLPNLPFFDLSTRIREAKGVIVNTFFDLERHAIESLSNHKRFPPVYPVGPILNLNPPHQKKSNTRESEEKQILEWLDDQPVSSVVFLCFGSGGTFPEPQVKEIAYALESSGQRFLWALRKPPCPGSVLPTEYSNPEEVMPKGFLERTKSIGKIIGWAPQSAVLAHPAVGGFVSHCGWNSTLESIWFGVPIATWPMSVDQQANAFQLVREIGTAVDIKMDYRVDSRDPKINSPILPEIVNAKEIEIGIISLMDHYSTPNSVRTKAKEVQEKSRKALEEGGLSFNFVESFFKNVMNNLK >itb04g25910.t1 pep chromosome:ASM357664v1:4:30334613:30336707:-1 gene:itb04g25910 transcript:itb04g25910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSSTPILGSLLSSHGDSGGAQLHHHHQLPESITPNQTPSSAHHKKLISVNHAHIGFQSFTKLSFNSSPNISPAVSLPFSGNGFRRAQSEGNLEGLTADALCNNADEFSLAVPLRKFARKPRASFLEAIPSFSLRSHGEDEEESDEYEEADEEWEAFGSANSGLSEELKSCLSKFPALEVAGVGFGSGGYPVGGSHGGRGGVDGGDSHGISTEEHYRKMVEENPSNPLLLRNYAQFLYQTKKDAKKAEEYYSRAILADPGDGEVLSQYAKLIWEVHRDAENATSYFERAVQAAAGDSHVEAAYANFLWEVEDNEEEEDDDGVHMPTITHQRPMASASAC >itb04g25910.t2 pep chromosome:ASM357664v1:4:30334401:30336707:-1 gene:itb04g25910 transcript:itb04g25910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSSTPILGSLLSSHGDSGGAQLHHHHQLPESITPNQTPSSAHHKKLISVNHAHIGFQSFTKLSFNSSPNISPAVSLPFSGNGFRRAQSEGNLEGLTADALCNNADEFSLAVPLRKFARKPRASFLEAIPSFSLRSHGEDEEESDEYEEADEEWEAFGSANSGLSEELKSCLSKFPALEVAGVGFGSGGYPVGGSHGGRGGVDGGDSHGISTEEHYRKMVEENPSNPLLLRNYAQFLYQVGIYITIYITFSFLQLQLYTYT >itb09g00850.t1 pep chromosome:ASM357664v1:9:529566:532956:-1 gene:itb09g00850 transcript:itb09g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQENRRQRMDCYRGGHPQWSMVSQQMKEQNAFLMNMKMLFAERDAAIEERDRALAEKKAAIEERDMVIQQRDAAIAERDNALRERDNAIAALQFQENNMSSALGYRISGTKHPSHLTTHRGNNFQSSGNTRDTPVTDAVPISAVSSEVVKAQQRKQAKVSRSNSTKSLDSPRKTKRVSEDLNRHVTTDGSKAEWDAQNLGFINQINFDESTMPTPVCTCTGVPRQCYKWGNGGWQSSCCTTSLSEYPLPQLPHKRHGRIAGRKMSGSVFSRLLTRLSSGGHDLSTPLDLKNYWAKHGTNRYITIK >itb09g00850.t5 pep chromosome:ASM357664v1:9:529566:532922:-1 gene:itb09g00850 transcript:itb09g00850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQENRRQRMDCYRGGHPQQMKEQNAFLMNMKMLFAERDAAIEERDRALAEKKAAIEERDMVIQQRDAAIAERDNALRERDNAIAALQFQENNMSSALGYRISGTKHPSHLTTHRGNNFQSSGNTRDTPVTDAVPISAVSSEVVKAQQRKQAKVSRSNSTKSLDSPRKTKRVSEDLNRHVTTDGSKAEWDAQNLGFINQINFDESTMPTPVCTCTGVPRQCYKWGNGGWQSSCCTTSLSEYPLPQLPHKRHGRIAGRKMSGSVFSRLLTRLSSGGHDLSTPLDLKNYWAKHGTNRYITIK >itb09g00850.t3 pep chromosome:ASM357664v1:9:529566:532956:-1 gene:itb09g00850 transcript:itb09g00850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQENRRQRMDCYRGGHPQWSMVSQQMKEQNAFLMNMKMLFAERDAAIEERDRALAEKKAAIEERDMVIQQRDAAIAERDNALRERDNAIAALQFQENNMSSALGYRISGTKHPSHLTTHRGNNFQSSGNTRDTPVTDAVPISAVSSEVVKAQQRKQAKVSRSNSTKSLDSPRKTKRVSEDLNRHVTTDGSKAEWDAQNLGFINQINFDESTMPTPVCTCTGVPRQCYKWGNGGWQSSCCTTSLSEYPLPQLPHKRHGRIAGRKMSGSVFSRLLTRLSSGGHDLSTPLDLKNYWAKHGTNRYITIK >itb09g00850.t2 pep chromosome:ASM357664v1:9:529566:532956:-1 gene:itb09g00850 transcript:itb09g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQENRRQRMDCYRGGHPQMKEQNAFLMNMKMLFAERDAAIEERDRALAEKKAAIEERDMVIQQRDAAIAERDNALRERDNAIAALQFQENNMSSALGYRISGTKHPSHLTTHRGNNFQSSGNTRDTPVTDAVPISAVSSEVVKAQQRKQAKVSRSNSTKSLDSPRKTKRVSEDLNRHVTTDGSKAEWDAQNLGFINQINFDESTMPTPVCTCTGVPRQCYKWGNGGWQSSCCTTSLSEYPLPQLPHKRHGRIAGRKMSGSVFSRLLTRLSSGGHDLSTPLDLKNYWAKHGTNRYITIK >itb09g00850.t4 pep chromosome:ASM357664v1:9:529566:532922:-1 gene:itb09g00850 transcript:itb09g00850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQENRRQRMDCYRGGHPQQMKEQNAFLMNMKMLFAERDAAIEERDRALAEKKAAIEERDMVIQQRDAAIAERDNALRERDNAIAALQFQENNMSSALGYRISGTKHPSHLTTHRGNNFQSSGNTRDTPVTDAVPISAVSSEVVKAQQRKQAKVSRSNSTKSLDSPRKTKRVSEDLNRHVTTDGSKAEWDAQNLGFINQINFDESTMPTPVCTCTGVPRQCYKWGNGGWQSSCCTTSLSEYPLPQLPHKRHGRIAGRKMSGSVFSRLLTRLSSGGHDLSTPLDLKNYWAKHGTNRYITIK >itb11g21420.t1 pep chromosome:ASM357664v1:11:23124659:23125729:-1 gene:itb11g21420 transcript:itb11g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGESCFVLFSFSIHLCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb12g02250.t1 pep chromosome:ASM357664v1:12:1491932:1492751:1 gene:itb12g02250 transcript:itb12g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLIKAGFICHPDFVSPYKFVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFVEQEAIQEALQLNESELHGRQLKVMPKRTNVPGMKQFRPRRFNPYMGGYRFRRPYVPPYFYSPYGYGKVPSSGGSSPYTPY >itb02g02220.t1 pep chromosome:ASM357664v1:2:1231060:1233751:-1 gene:itb02g02220 transcript:itb02g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLRHYIRSPKMYRTAAKRLFPALRRCNDQLKISPVPVLAESQPPRFFNSAASETIPNQKPTVSGSASPSSASSSRSQEERDLRREKEEKPDCGTTKTRPRAHYQDEQARVLSASLPHVIKLGWTEAAMIAGAREAGLSPSIVGSFPRKEAALVEFFMDECLERLIDVIESRVDLKTMIPSERVAALVRTRLELQAPYISTLAQALSVQAQLANIPTSFKQRAMLVDEIWHAAGDDASNIDWYVKRTVLGGIYSTTELYMLTDHSPDFHDTWVFLNDRIKDAFDLRKTAQEAKYLAEAVGAGMGGSLQGLIKSVFRG >itb11g18360.t1 pep chromosome:ASM357664v1:11:18609843:18621057:1 gene:itb11g18360 transcript:itb11g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKKSLFHSLNQNSSLVRSFSGYENRESSKTHNSSDWSRSTIHQLPNIDDRKEENSLYHTKVVPSTTWGRGFTSYNSWEFPSLGSRTVRAWFVSPLPIRLVLDNQHYSSTAAAGQPDFGSGNDKKEEEAAIKKKKEPSPEECDQAVEGLSSVKAKAKAKQVQESHKVVKPVLRRIWAMLLGIGPALRVIASMSREDWAKKMRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNSRSGEIKKTAEDLDEFMNKVRRGAQVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRHMLRQKLQKIKNDDKLIQLEGVESLSEEELRQACRERGLLGLLSVDEMRQQLRDWLELSLNHSVPSSLLILSRAFSVSGKVKPEEAVQATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEFLGMQEELIKEEEEKEEEEQARMKESAAKQKDVALEEMTIPTAREAEELENAKSLDKQEQLCEISRALAVLASASSVSQEREEFLRLVKKEIDLYNSVVNQEGTDGEEETKKAYRAAREDIDDTTETTVNDKVSAALINRVDAMLHKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAANYLKDTLAKEGIQELISNLSKDREGKILVEDIVKLASKTGDSETSEEAKA >itb10g20590.t1 pep chromosome:ASM357664v1:10:26106335:26109031:1 gene:itb10g20590 transcript:itb10g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEAQQAGEALAGKSRIRRALDLISTLISASHSIRTFAVKWQLIRNKLEELLSSLSAVENCDDYSGENSQLFAAVNAISGTLESCLGLASRCADLSYGGKLQTQSDLDIVSAKLDGHIKNLAEIYARGLLSQGYAIVVSKPGLSASRDDMKFYISDLLSRLKIGCKEMKKQALIAFNEVIQEDDRYVKVAIEIDNFVGVLVNFLDFHEDDIIQEEASKAVSVIAGFQAYRGVLIRIGIIGPLIRAVESGSDLSREYATRCLQKVTENSDNAWSVSAQGGVTVLLKMCSNSDCRGELVALACGVLRNLVGVEEIKRFMVEEGAIPRFIKLVRLKDEVTQICAIEFLQCVASGDESTRQMVIREGGVGALVNVLDPKSLFSSKTREVALRGIMNLCSSSASFVTVLLSYGFVDHVLYFLRYGEGSIQEVALKAAFWLCGTSEESKKAMGDAGVMPELVRFLDSESYEVREMAAETLSSLVVVPGNRKRFVQNDQNVVLLLQMLDPQEARFGNKKLLLSILLPLTSCNTARKKIVNSGYLNHIEKLAEADVSDAKKIVRKLSTSRFKNILSGIWHS >itb11g12310.t1 pep chromosome:ASM357664v1:11:9167708:9169833:1 gene:itb11g12310 transcript:itb11g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTSPCGRDDNPLPAKLRFMCFYGGHIIPRPHHKSLSYVGGEKRIVAVDRGVSLAELRRHLSRTLLNGLQRFTLKYQLPHEELDSLVSIANDEDLENMVQEYDRMIASPVRLPRLRFFLFPDRPETAASVGCLLADAKSEQWFVDAINDSGLVSRAFSDPAIEINTGDIDGISVSKVDSCLNISNQDVQSTPDSVASESNSASSWKHIMYQDATPPVTNWETTTRPSAIMDLSSSQVLMHHPLQQPPQLLHYIHHPTPDPVPLPVSYYPIYAPPQQQLDQQPPLYVLPVAAQAPASYNFPANIPDAAAAAPPPNVTIIPPICAANATTLYGPSAAAAAAAATPTTVVQVPAGNQLQAAQQYLGISQVQHITAPGSQVYYAAAQCPAAVAPLPSQHQTVTPATAVLISQATAAQLPGENSMQQILTSQPL >itb09g16910.t1 pep chromosome:ASM357664v1:9:12057327:12059521:1 gene:itb09g16910 transcript:itb09g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLPICLTKQKNTLFITILLLSCITIKLDLCFSSVPSSLKALQLYGHFSFENNELAARDFGNRYHHLPLAVLHPKSVADISTTIKHVWQMGPGSELTVAARGHGHSLQGQAQAHQGIIINMESLRGGDIMRVHEGRFPYVDVPAGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVVTCSEEQNADLFHGVLGGLGQFGIITRARISLEPAPKMVKWIRVLYSDFFTFSRDQERLISAENTFDYIEGLVIKNRSGLMNNWRASFDPKDPVQAKQFVSDGRTLFCLELTKNFDPNNAESVSKEIESLLSQLSYEPSTLFMSEVSYVEFLDRVHASEKKLREKGLWDLPHPWLNLLVPRSKIQYFASEVFGNILRDTSNGPVLIYPVNKSKWDNRTSFVPPEEDIFYLVAFLSQANPTSTGTDGLAHILTQNQRVLDFCEDAHLGVKQYLPHYKTQEQWRAHFGPRWEVFVQRKSTYDPLAILAPGQGIFRKAISVL >itb15g02110.t1 pep chromosome:ASM357664v1:15:1294023:1295231:1 gene:itb15g02110 transcript:itb15g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKVAELIKHDRTVWDLELIREILTERDAEVISRMPLAEVRTEDEWYWRWDDSGLYRVRGAYRLLQSEKQMVQFDTPFTHWAKIWKIDVPPRLAHLLWRCVHGILPTRGALARRRVELVNVCALCGEQNESMEHLFQFCHCVGGVWALFPGDLPPMGNDGFQRWMQQVFEGMAQTIELVAWFIDGIWLARNNLIWHGELWDTTAVFNAVMAKMKRWNERSAWGGQNVQNDMQIVDSSPSAWMPPPIGMMKVNVDAALFPEEGKVGFGFIVCDHDKQFVAASRGTLNCINNPQVAEAMAIKEVLTWIMDNMPTQRFIVETDCMVMVAKLKQKQCDTTYLGVVVRSILELMRSCEHVVVTFVKRDINSWAHRLARSVRVNLSVDPEYWSDAPPDCIHGLFVH >itb03g19110.t1 pep chromosome:ASM357664v1:3:17256985:17258632:1 gene:itb03g19110 transcript:itb03g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAWILAAVLLQAIAIEAAVSVGGGGFGVGVGVGIGGGGGGGVWIGGGGVGSSSPSGSGGSESKLQKAYTALQAWKSAISNDPLGILSSWVGSNVCNYKGVFCSPSQDFSSTESEAVVAGIDLNHANLQGILVKELSLLTDLSLLHLNTNRFSGTIPETLRDLTSLTELDLSNNQFSGPFPTTLLFIPNLLYLDLRFNAFSGPIPDELFNKNLDAIFLNNNQFSGELPQNLGNSPASVINLANNKFSGEIPFSVGYMGSRAKEILFLNNQLTGCIPEGIGIWADLQVLDVSYNSLMGHLPDSISCLGDIEVLNLAHNKLTGELADLVCSLRSLVNLTLAYNFFSGLSQECNQLSLRNVGFDFSLNCIPGKQMQRPQPDCSIVPGGSLSCLRIPAVKPIVCGTLLGDQETSNQSPPSH >itb02g13870.t1 pep chromosome:ASM357664v1:2:10124911:10125553:1 gene:itb02g13870 transcript:itb02g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSHIVLFGSFLVFLMGFMCSSQAYTFNVGGNDGWVLQPCDRLNHWAGENRFQVNDTILFKYKKGEDSVLVVHKRDYYHCNKTSPIHNLTDGHSRLKLTRPGEFYFISGQADNCDKGQKMVIVVLSPRPPHSDSPAPSPGPSPALVPPAPNKSAATSSVVIGSVGLMLWGFGLVVGTLFIGLV >itb15g07420.t1 pep chromosome:ASM357664v1:15:5080625:5083904:-1 gene:itb15g07420 transcript:itb15g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTRPQFVLFGSSIVQFSFVQEGWGALLADIYSRKADVVLRGYSGWNSRCALQVLDQVFPKNADEQPSLVIVYFGGNDSMEPHPSGLNAHVPLPEYVENMRKIAIHLKSLSDKTRIIFLSCPPINEARAIEVFGPLGRSNELGRKYSEACIELCKELDVKVIDLWTALQQRDDWLTTCFTDGIHLTSEGSEIVVKEILKVLKEADWEPSLHWGSLANEFSEISPIIFMGPNKTPINTSELALYWQTQWD >itb03g18870.t2 pep chromosome:ASM357664v1:3:17068835:17071052:-1 gene:itb03g18870 transcript:itb03g18870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQDIAIGENFPLFSRNKKRSHKPKTTNSAADPPSTAAADQNPKKLDKEADSNPTASNHISFSDLGLAEWALQTCKELGMKKPTPVQRHCIPRILAGQDVLGIAQTGSGKTAAFALPLLHLLAQDPYGVFALVVTPTRELAYQLAEQFRALGSCLNLRCVEVVGGMDSINQAKTLMRRPHVVIATPGRIKTLLQQNPDIPSIFSKTKFLVLDEADRVLDVGFEEELKVIFQCLPKNRQTLLFSATMTSELQTLLELSVNKAYFYEAYEGFKTVESLKQQYLLTPLNIKEVSLYCVLSKMEDMGIRSAIVFVSTCRTCHFLSLLLEELDLEAAALHSFKSQSLRLSALHKFKSGKVPILLATDVASRGLDIPTVDLVINYDLPRL >itb03g18870.t3 pep chromosome:ASM357664v1:3:17069504:17071052:-1 gene:itb03g18870 transcript:itb03g18870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQDIAIGENFPLFSRNKKRSHKPKTTNSAADPPSTAAADQNPKKLDKEADSNPTASNHISFSDLGLAEWALQTCKELGMKKPTPVQRHCIPRILAGQDVLGIAQTGSGKTAAFALPLLHLLAQDPYGVFALVVTPTRELAYQLAEQFRALGSCLNLRCVEVVGGMDSINQAKTLMRRPHVVIATPGRIKTLLQQNPDIPSIFSKTKFLVLDEADRVLDVGFEEELKVIFQCLPKNRQTLLFSATMTSELQTLLELSVNKAYFYEAYEGFKTVESLKQQYLLTPLNIKEVSLYCVLSKMEDMGIRSAIVFVSTCRYNLFGALILSFYLSSYCL >itb03g18870.t1 pep chromosome:ASM357664v1:3:17067995:17071052:-1 gene:itb03g18870 transcript:itb03g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQDIAIGENFPLFSRNKKRSHKPKTTNSAADPPSTAAADQNPKKLDKEADSNPTASNHISFSDLGLAEWALQTCKELGMKKPTPVQRHCIPRILAGQDVLGIAQTGSGKTAAFALPLLHLLAQDPYGVFALVVTPTRELAYQLAEQFRALGSCLNLRCVEVVGGMDSINQAKTLMRRPHVVIATPGRIKTLLQQNPDIPSIFSKTKFLVLDEADRVLDVGFEEELKVIFQCLPKNRQTLLFSATMTSELQTLLELSVNKAYFYEAYEGFKTVESLKQQYLLTPLNIKEVSLYCVLSKMEDMGIRSAIVFVSTCRTCHFLSLLLEELDLEAAALHSFKSQSLRLSALHKFKSGKVPILLATDVASRGLDIPTVDLVINYDLPRFPRDYVHRVGRTARAGRGGLAASIVTEYDVELLHEIEAVLGKQLEEFECKDKDSNDNITKVFKARRVAIMKMMDDGFEEKAKSRKAQKLKMLEEKGLLKNKKRKRDRTGMH >itb10g24860.t1 pep chromosome:ASM357664v1:10:28441621:28443468:1 gene:itb10g24860 transcript:itb10g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDDPPELQKSDVVPPTASAYLDPKYWDERFAQEDHYEWFKDYSHFRHLILQHIQPESSVLELGCGNSQLCEELYRDGINELTCIDLSPIAVEKMKNRLLSKGYKEIKVLEADMLDLPFEDQCFDIVIEKGTMDVLFVDSGDPWNPHPATVDKVMAMLKEVHRVLKPDGIFISITFGQPHFRRRFFNDPEFTWSIEWRTFGETFHYFFYILKKGQRSSESYEPVKASEKPSMSLFHEELEGEDFIFRTNIDEM >itb07g00640.t1 pep chromosome:ASM357664v1:7:410286:414045:1 gene:itb07g00640 transcript:itb07g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHASCSRNSDNNNSTEIPLATASAMFPGFRFSPTDEELISYYLKKKLEGSDRCVEVISEVEIWKHEPWDLPVKSVIQSDNEWFFFSPRGRKYPNGSQSRRATESGYWKATGKERNVKSGSNVIGTKRTLVFHTGRAPKGQRTQWIMHEYCMNGRSQPQDSVVVCRLRKNNEFHLNEAPRNQMNNSNANNSTTALSGADPAGSSGGVNAGESCSKECSSSFNSHSVEQIDSDSDSDEKLVNEISLHHPPGHQKDFFDAEDWFADIMKDDIVKLDDSSLNPCLDALPAATTTKPEPEPQQNQATAAQGFTPDLFPFQGTANRRLRLRKEAARGEPFQLDKQQLLPRRLVSMMSRGRIKHCSIYVCFLSLIILLFCCSGLLLRLENLMNK >itb03g13380.t1 pep chromosome:ASM357664v1:3:13549977:13550381:1 gene:itb03g13380 transcript:itb03g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative non-specific lipid-transfer protein 14 [Source:Projected from Arabidopsis thaliana (AT5G62065) UniProtKB/Swiss-Prot;Acc:Q9FIT2] MKIVKLEEIVAVMMMVILLVVSLSTRRRCSGAMIIEEQQQGGGGIDCATVKALVSACSSFVMYGSPDPIPGSPCCVAMLSLSNLADSTDNRQIVCRCVMDLITTYNPNATSIATLPGFCGVSLGFIIDPNTDCE >itb03g20370.t1 pep chromosome:ASM357664v1:3:18283004:18286788:-1 gene:itb03g20370 transcript:itb03g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILNSRFLLWFAMAVALMSHDHRVNSEPTQDKQALLAFLSRVPHASRVQWNASASACTWVGVQCDDNSSFVFALRLPGVGLVGNIPANTLGRLSRLRVLSLRSNRLTGPIPQDFSNLKLLRSLYLQNNLFSGGFPEGITGLTRLIRLDMSSNNFSGPIPFAVNNLGNLTRLYLQNNGFNGTIPSINPLGLTDFNVSNNRLNGSIPTTLSKFPASSFAGNLQLCGGPLPSCSPFFPSPTPSPSIQPNIPTEHKKSKKLSAAAIIGIVVGSVAAFLLLLLILFLCLRKSRRKEAEKTQKPSEVTRAAAAAAAGEAGTSSSKDDVTGGSMEGERNKLVFFDGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSRKEFEQQLEGLGKLKNENVLPLRAFYFSKDEKLLVFDYMPAGSLSALLHGSRGSGRTPLDWDTRMKIALCAARGLAYLHAGGKVVHGNIKASNILLKQDNHEACLSDYGLNPLFSSSTPVNHRVAGYRAPEVLETRKVTFKSDVYSYGVLLLELLTGKSPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNAEEEMVQLLQIGMACVAMVPDQRPSMPDVVRMMEEINRGGETDDGLRRQSSDDPSKGSDTQTPPQEPRASPP >itb03g20370.t2 pep chromosome:ASM357664v1:3:18284333:18286785:-1 gene:itb03g20370 transcript:itb03g20370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILNSRFLLWFAMAVALMSHDHRVNSEPTQDKQALLAFLSRVPHASRVQWNASASACTWVGVQCDDNSSFVFALRLPGVGLVGNIPANTLGRLSRLRVLSLRSNRLTGPIPQDFSNLKLLRSLYLQNNLFSGGFPEGITGLTRLIRLDMSSNNFSGPIPFAVNNLGNLTRLYLQNNGFNGTIPSINPLGLTDFNVSNNRLNGSIPTTLSKFPASSFAGNLQLCGGPLPSCSPFFPSPTPSPSIQPNIPTEHKKSKKLSAAAIIGIVVGSVAAFLLLLLILFLCLRKSRRKEAEKTQKPSEVTRAAAAAAAGEAGTSSSKDDVTGGSMEGERNKLVFFDGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSRKEFEQQLEGLGKLKNENVLPLRAFYFSKDEKLLVFDYMPAGSLSALLHGIPFISLSHFLSNISQIFTIFAS >itb03g15750.t1 pep chromosome:ASM357664v1:3:14988949:14991851:-1 gene:itb03g15750 transcript:itb03g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMKTSGRFFTIGLVGAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPVQTIRSRVQFLKIAALSLVFCTSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVVIASGGEPSFHLFGFIVCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATIIMEENVVGITLALARNDTKIVWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVFGVVLYSEAKKRSR >itb03g15750.t2 pep chromosome:ASM357664v1:3:14988949:14991851:-1 gene:itb03g15750 transcript:itb03g15750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMKTSGRFFTIGLVGAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPVQTIRSRVQFLKIAALSLVFCTSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVVIASGGEPSFHLFGFIVCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATIIMEENVVGITLALARNDTKIVWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLFLDFF >itb09g07360.t1 pep chromosome:ASM357664v1:9:4260411:4260980:1 gene:itb09g07360 transcript:itb09g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLLISELLKHESIDTLLSSSSSSGAKSCAAMVATNSQSHGYNRNSKNSSTGFNGHNNNKAEEVQEELPRISVDLVWP >itb10g20160.t1 pep chromosome:ASM357664v1:10:25808928:25810124:-1 gene:itb10g20160 transcript:itb10g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAAGPSSKAKVGASQPIDNSLKRKRGMFQKDLQHMMYGFGDDANPLLETMSLVEDIVTEYVTDMVHKAQDVATKRGKLLTEDFLFLIRKDLPKLHRCTELLSMNEELKQARKAFEVDEEKLASLE >itb07g18610.t1 pep chromosome:ASM357664v1:7:23086653:23090485:1 gene:itb07g18610 transcript:itb07g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTCNSIVGGSDLARVSRPRFFNPKNPVFTTRRNAVVSGASLRTSPIMAVSTQEPKISEAGAAEQSPANQLRLGSLAADGFSFKEKFIIRCSEVGVNKTATIEAIANLLQEVSCNHAQSVGFSADGFATTHTMRKLHLIWVAARMHIEIYKYPAWSDVIEIETWIASEGRIGTRRDWVIKDYASGEVIGRSTSMWLMMNHDTRRLQKVIDDVRNELMPYCPKTPRFSFPEDNNSSSKKIPKLGDPAEYSVLRLVPRRADLDMNHHLNFVTYIGWVLESMPQEIIDTRELQTITLDYKRECQHDDVVDSLTSLEPVDESATAINNDNECFLHLLRLSSNGVEINRGRTEWRKKL >itb01g34580.t1 pep chromosome:ASM357664v1:1:37199124:37199564:1 gene:itb01g34580 transcript:itb01g34580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAWTAKQNKRFENALAVYDKDTPDRWRNLARAVGGKTEEEVKRHYEMLVEDINHIESGKVPLPKYEAYAAQGSSSSYALMQQEKKLQYMKLQ >itb02g04770.t1 pep chromosome:ASM357664v1:2:2818784:2822517:-1 gene:itb02g04770 transcript:itb02g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIPPSSPIPSLGFKAHSFPFFLKSTSARTALRISRSSSASSTMATDAQRKLPILLFDVMDTLVRDPFYQDVPAFFRMPMKELLEIKHPTAWIEFEKGLIDEAELERKFFKDGRSFDMEGLKNCMRKGYSYIEGVEELLSVLKANGYEIHAFTNYPIWYNMIEEKLKLSKYLSWTFCSCIMGKRKPELDFYLDVLKHLNVKPASCIFVDDRQKNVEAAIKAGFRGLQFKSVDVLRKDLSRLGVDIQTSESKDLAECS >itb03g15670.t1 pep chromosome:ASM357664v1:3:14941408:14946915:1 gene:itb03g15670 transcript:itb03g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGEEKQSLNDLEMDDVEESVGGHAVEGTETDGAATEGGANRGSSGLAERLTEILVEDGDGDLLLQRSDREGSVLQWLQALDMQVMGACRADERLKPLLKMNVSTGAAEDCLLAYLSQHFDPAEVGMLARCLCIPLVSVRVGKIKKQGTQLCPTPIRGSLSLSLLPTSGLRISFIGDDGSTDRLATLCSILDCSTVEIEGISADQSGRSFLIKIPDGEIFYFWCSEKSKLLGDDMLRKMKDILKKKPSLGELTGIRESRLKCFAIHLRAHLVGSTLTNGHTNSMLSTTPLFDSSVDSSTSGPSAHPSASSQKSIRARHYSSQGSKTSPFHQGGLSPRSSSFKESLLKNSSSLKNVARDKLRRRGDSASSVDDGCIVRSLSMKNPSTSTCSNDKPSEETVLKLLRPTNVLESLAADTPFLGPAPTVPSLDSTLLSPYYCWCPPPVSALQYSVGTPQLPILSTEPFSLPPFSSLSIAKPSVLLAPKQPLNLADVSPLDLPPLLPDPLVRLPLTLPVSQQIPTFTPLICDPIVHIPFIDVCSSGQGYLVSAGPGMSTGIPPLHPNLVSPLIPEAESVVEKSARETLRLLMNSSNQPHAPLMSVLPSFLPSSEEKLSVLAGGSRGLYSGTIDIDVGGSRGLYSGTIDIDVGGSRGLYSGTIDIDVTTVSVAKISKRDIGEKHLVEATEMPSTSDGTMFGDDGFSHSEDGKSSA >itb13g13690.t1 pep chromosome:ASM357664v1:13:20204256:20208093:-1 gene:itb13g13690 transcript:itb13g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVSQLAAKFAFFPPSPATYEVKKRDDGRLAAVSTASSMPISDDPCLDVLLLDTKRGNKIVAFYLKNPYARLTMLYSHGNAADLGHLYDLFLQLKANLRVNLMGYDYSGYGASNGKPSEFDTYADIEAVYECLQTEYGVSQEDLILYGQSVGSGPTLHLAARLPRLRGVVLHSGILSGLRVLCHVKFAFCCDIYKNVNKIRKVKSPVLVIHGTEDDVVNWLHGDRLCKMARDPYEPLWIKGGGHCNLELYPDYIRHLCRFVQEMENMNTKAQLQKIRQTLPPQNRSNTAPTCCCNIKCPKPRCTKCSCWPKCPEFSKPSCTKCLECSKPSCTKCWCWPKCLECSKPSCTKCWCWPKWRLKCPKWKLKCGCLQPSCIKCSCWWSKCSCRQPKCWCW >itb05g22860.t1 pep chromosome:ASM357664v1:5:28154782:28161438:1 gene:itb05g22860 transcript:itb05g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGFSDTARRNRPSEKLPYMEAGYCYRPRQPYKNQWKSHLSNRRDRPPEPPKTQPKFVIQFRSSSRGLKRIELDELIEKLPSPPQSSHVFERGCVVGTLFYEQWSEAVEVVVELWRIRLDGGLPMTPSLVENAEVSSKKEELKDRVREVFVEKLEGLMEGEVVQKCWKKLEFLEAEIEKVSKLLGRPKRIALANELLNKKEAFMAERDLIAKRIAEFKNGVSCILLHLEGKYSGEDVYGDSMAVFTFETEEFNWDHIHHLIKRECRRLEDGLPIFASREEILKQIDSEQATVLIGETGSGKSTQLVQFLADSGVAGNGAVVCTQPRKLAAISLADRVKEESIGCYQEKSIACYPSYSSIHQFDSKVIFMTDHCLLQHYMRDKSLCKISCIIIDEAHERSLNTDLLLALLRNLLHQRHDLRLIIMSATADADQLTNYFFGCRTFYVSGRTFPVDIKYVPSECEGSFVSGSDTVPSYVSDVVRTVTDIHKTEGEGTILAFLTSQMEVEWAIETFQIPSAIALPLHGKLSYEDQRRVFQNFPGKRKVIFTTNVAETSLTIPGVKYVVDSGRVKESRFEPGTGMNVLKVCPVSKSSANQRAGRAGRTEPGRCYRIYSENDFENMPCHPEPEIRKVHLGIAVLRILALGIKDVQSFDFVDAPSPKAIEMAIRNLIHLGAIACVDDGYELTADGHYLVKLGIEPRLGKIILSCFHRRLGKEGLVLAAVMANSNSIFCRVGTEVDKLKSDCLKVQFSHPDGDLFTLLSVYKEWDAVSQEKRNAWCWNNSINAKTMRRCQETVEELETCLQNELSIVVPTYWHWDPHMHTEHDESLKHIILSSLSENVAMFSGSDQLGYEVALAGKHVKLHPSCSLLNFCQRPTWVVFGDILASAKEYLVCVTAFDFRDLATLFPPPLFDFLKMDAQKLQKKSLTGFGSMLLKRLCGKSNCHINRFVSRMRSLCMDERIGIEVNVDRNEIAMYASSRDMERVFENLNEAVDYEYKLLKNECLEKCLYNGGSASSVPTALFGAGAEIKHLELEKRCLTFDVFLSKGNSFDDKELLMFLERNAGDICVVHRFSGVGQDSEEMERWGRVTFVTPNAAEHASALNSVPLSGGVVKVVPSKTMHDGDQNMISSHLLKAKVHWPRRFSKGVAIVKCHPKDITFMVDVFSSVVIGGSIVRCERSIKCSDNIVIKGLDRELSEAEIFEMLTAMTDRKILDLFLLRGNAVEGPPLVACEEALRREISSFMPKRNPYGNSTRVQVFPPEPKDTFMKAAIAFDGSLYLEAARALEEMNGKVLPGCLPWQKIQCQHLFSSSVSCPAAVYHVIKTQLDTLLASFRHRKGVECNLLRNENGSYRVTICAGATKIVTEVRKPLEQLMKGKIIDHDGITPTVLQHLFSREGISLMRSIQQETGTYILFDRHTHTLRIFGCSNKIDMAEKKFVDLLLSLHENKQLEVHLRGEALPPNLMKSVVQRFGADLNGIKQMFPDGNFSLNVRHHCISISGPKEVKQKVEDVIYEMAQTSSPQNQRNDDEADCPICLCEVEESFKLENCFHVFCRSCLVEQCESAIRSREGFPMCCMHKGCKAPILVADLKSLLSIEKLEELFRASLGAFVAASGGSYRFCPSPDCPSVYRAADPSATTGEPFICGACYAETCTSCHLEHHPFISCARYREIKDDPDYNSLKAWKKGKENVKNCPVCSFTIEKVDGCNHIECKCGKHVCWVCLEFFATSDDCYNHLRSVHSTII >itb01g03250.t1 pep chromosome:ASM357664v1:1:2141200:2144914:-1 gene:itb01g03250 transcript:itb01g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGWGLTLKSSESVEIFIEKRAKTLNEVDFFSEKKPPPPAAVLVKKEMPDLNVNTGLELVMANNGGKENQSTVDDCAAPELERRPAKSEVGRLQGELERMKDENQRLKGMLSQATDTYGALHMYFLTLVQQQTYEVGDGKRTVTARQFLDTAAAGGQTDEASHNSRTLSGERTESESPGKKVEAAVSRSHEGSSREESADSANKVPRLLNPPSKPLDDDDAAASASAAATMRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCSDDRAVLKTTYEGTHNHPLPPAAMAMASTTSAAANMLLSGAVPSGDVMMNPNFYGRAIFPSGSIATISASAPFPTITLDLTHQPLNSLPNYTRPPIAQFPFSNAPRNPQHYVSPPQVFGQAGLYNNHSKFSGLQVSNTLQHPSFAHGGATTAAAITADPNFTAALAAAISSIINGSQHIPAHNNPSSNSNQTSSFLPAK >itb13g23310.t1 pep chromosome:ASM357664v1:13:29344461:29350701:-1 gene:itb13g23310 transcript:itb13g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase 1 [Source:Projected from Arabidopsis thaliana (AT4G14940) UniProtKB/Swiss-Prot;Acc:O23349] MKHDFTTLAVKASICSGREDKLTMVPCFTIFTIIFLLPLSAIASPQQNYHPLNSLSLFELQRVQTILKAQIPHKNLSLHYVGLDESNKPLVLSWLSSRSSSSSSSSTVPPRLAYIIARADGRTREITVDLSANSITSNKIYHGHGYPILSIQEQEAANSLPQTYAPFIASVQKRGLKLEHVLCESSTVGWYGETRENKRVVKVVCYYLDGTVNFFMRPIEGIVVTVDLDVMKIVGYLDRMRVPVPKSEGTDYRESNTRPLYDSHINGFRMFQPDGPSFSIDGQEVRWADWKFHVSFDMRAGLIISLATVYDHEKGEHRSVMYRGFVSEVFVPYMDLSEGWYFRTFLDSGEYGFGLCAVELQPLRDCPENAKFMDGYFTNQDGTPGKQKNVICIFERYAGNVMWRHTETGIAGEKDSTIPEFLFLNLDFVTMASEMKDWEFKQTGIIEVNVGLSGILEVRGSAYTHKDQIQNTEAYGTLLAENTIGSRHDHFLSFYLDLDVDGHTNSFVKNTLKTTRASNMVLPRKSYWTVVRETAEKESDARIQLGSSAAELLIVNPSKKTKMGNEVGYRLIPRSPAGPLLSDNDYPQIRGAFTKYNVWVTPYNKSEKWAGGQFADQNRGDDGLAVWSLRNRKIEKKDIVLWYTVGFHHVPCQEDFPVMPTLSNGFELRPSNFFEYNPVLKTKPPKQNSLISDHHFTVKMTMQMQRTVHLFAAIFLFFVASTSTSISHPLDPLSPKEINQIRSIIHKSNLGSLPNLTFHFVDLEEPEKSDVLDWLLSSKTHSFPNRQARAVVRANGETREVIVDLITSSVVSDKVYSGHGFPPFTLEENLQAIRLTLRNPRFQDSVLRRGLNISEITCIPVSTGWYGEIISRRVLRVTCYSRGGTTNFWSRPIEGISTLVDVETMQIIEYADRAKYPLPRAKDTAFQSSQDSPTVTCNVTKNRIKIEGNVVRWENWEFHVGFNARAGLVVSTASVFDAATKSYRRVLYRGYASETFVPYMDPTPDWYYKTFMDIGEYGFGRSASSLVPLLDCPANAVYIDGFIAGADGQAQVVPGAICIYEHYSGHVSWRHTNIGVPGRLTTSGEQEVNLVARMVATVGNYDYVLDWEFKQSGSIKIGVSLTGVLEMKAVPYARSDEIKEDVYGTFVAENTVAVNHDHFVTYYLDVDIDGSSNSFVKAKLKPNRVKNPHISPRKSYWRVIKETVKTENQARIQLGLDPADLLIVNPNKRTKMGNHIGYRLITGHPVSSLLWDDDYPQTRAAYTKYQVWVTSYNKSEKWAGGFYADKSHGDDGLAIWIHRNRPIENKDIVLWYTVGFHHAPVQEDFPVMPTLSDGFELRPANFFARNPLLRQ >itb01g35240.t1 pep chromosome:ASM357664v1:1:37542431:37544942:1 gene:itb01g35240 transcript:itb01g35240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAMKALLNWPRWHHHVCSRAVMIRFLHSPMPFSPASFCRRPPFSSTPLASRHLSSRPGAIRLRDAPVPPEPSESGSESDTPLKKSRNEKKREAQRGVRWGMELAKFQPPQIKRILRVAALEREVYEAIMLVKRLGRDVREGKRRQFNYIGRLLRDVDPELMDGLIEATKDGDQNKFQALSGSITWSTEDENDDEVDETEDEDEDEDEDDDNEESIELAERWFDGLINKDVEVSNEIYSLREVEFDRQELRSLVRKVQSIQRPEVSEEGKESEVDITFINAKKSLTRFLVRIAKQLPVE >itb10g17450.t1 pep chromosome:ASM357664v1:10:23643120:23644607:1 gene:itb10g17450 transcript:itb10g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEATHLNLFSAQQLIPNRGFVSSAKGNGFGFGYNAQIGSGVPYGSVAMPESFLPVNRSLFCEAVQGKTSINTDSGVSYNLAMDGSRKRSREEQFIGSGEDVTPLLQQYQSEIYRIVSNHTKKLRMELEERQKQEARILVAAIGEDVMKKVREKDEQIQTLGKLNFALQERVKSLYLENQLWRDLAQTNEATANSLRSNLEQVLAHVADDRLLAGAAAAAPAEDAESCCDSNEIAAAQPERSTAGADPEQNSGSRKCRSCGERESSVLLLPCRHLCLCTVCGSTLHRTCPVCNSNMNATVHVNMSS >itb05g02790.t1 pep chromosome:ASM357664v1:5:2301204:2302418:-1 gene:itb05g02790 transcript:itb05g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGARPPAKSYDVDVTVVSAKHLKNVNWRHGDLKPYVILWIDPDRRLATKSDDSGSTKPVWNERFVLPLTLPPQESLLTVEIFHSVPSETPKPLVGTLRIPLKDLVDSGESTQLRNFELRRPSGRPQGKIRLKIAVRKIQEYQIPPPASYYYSSAPPPPATRDYGAYNPAPYSSHAPPLPPTTSPPPPLPPPHTYPYGGYSDPYYPCYYSQPPPPPRPWQSAAPGPSAPVDYAPYDHKRSGKMGAGAGLAAGAVAGALGGLALNEGLKYEEEKIAERTESDIAAMDDYGKYQHTK >itb15g11040.t1 pep chromosome:ASM357664v1:15:8812370:8813805:-1 gene:itb15g11040 transcript:itb15g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQENKDLASDDEVIGQFGVGFYSTFLIAKKDLATFSGDDAHNMNEAKCDLLDIPAFAQLE >itb15g11040.t2 pep chromosome:ASM357664v1:15:8812370:8813652:-1 gene:itb15g11040 transcript:itb15g11040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKDLASDDEVIGQFGVGFYSTFLIAKKDLATFSGDDAHNMNEAKCDLLDIPAFAQLE >itb02g24650.t1 pep chromosome:ASM357664v1:2:25291852:25292220:-1 gene:itb02g24650 transcript:itb02g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYYEATVVGQLTGDVYIVEYKNLVTDDFSAPLRENVPLAQIRLQPPQVQSTFFNMYQVVDAFDNDGWWVGQITGEYRNRYYVYFEHFGEETLYHRDNIRIHQDWVQQSWVSNETRIQPLF >itb02g09790.t6 pep chromosome:ASM357664v1:2:6274485:6285546:-1 gene:itb02g09790 transcript:itb02g09790.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKLARVTGSSN >itb02g09790.t8 pep chromosome:ASM357664v1:2:6274301:6283716:-1 gene:itb02g09790 transcript:itb02g09790.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb02g09790.t4 pep chromosome:ASM357664v1:2:6274301:6285818:-1 gene:itb02g09790 transcript:itb02g09790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb02g09790.t7 pep chromosome:ASM357664v1:2:6274301:6283681:-1 gene:itb02g09790 transcript:itb02g09790.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKPVSQGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb02g09790.t1 pep chromosome:ASM357664v1:2:6274301:6285818:-1 gene:itb02g09790 transcript:itb02g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKPVSQGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb02g09790.t9 pep chromosome:ASM357664v1:2:6274301:6283681:-1 gene:itb02g09790 transcript:itb02g09790.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKVMRFIL >itb02g09790.t5 pep chromosome:ASM357664v1:2:6274301:6285546:-1 gene:itb02g09790 transcript:itb02g09790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb02g09790.t10 pep chromosome:ASM357664v1:2:6274301:6283681:-1 gene:itb02g09790 transcript:itb02g09790.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb02g09790.t3 pep chromosome:ASM357664v1:2:6274301:6285818:-1 gene:itb02g09790 transcript:itb02g09790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKVMRFIL >itb02g09790.t2 pep chromosome:ASM357664v1:2:6274301:6285818:-1 gene:itb02g09790 transcript:itb02g09790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS1 [Source:Projected from Arabidopsis thaliana (AT1G78580) UniProtKB/TrEMBL;Acc:A0A384L3B9] MPGNMYNGNSVVPPTRLERLLRDRELRKSTRASQSNDSVDSNRGTVLSEHDLRQREADISGNYKVEHYLEEAALAHNEGWEGHNGRPIRQRLLVVANRLPVSAVRRGEESWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEDGDVVWCHDYHLMFLPECLKNYNRKMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGFEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALETQDVQNNIKELQDRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENQHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGSLSAVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQESKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIKQALNMSAEEREKRHLHNFTHVTTHTAQEWAETFVSELNDTVIEAQQRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb08g02060.t1 pep chromosome:ASM357664v1:8:1645878:1650361:1 gene:itb08g02060 transcript:itb08g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRQNNYQKKKDDGNPIVVKPEDDPIRNPLTPQPESSGSSYPANSQLNHPEVVPKDQSSQKNRRNSRNRRGRGIKQQFVQKVPAPAACLTKCGGEEDPGVPAPATCLTKCGGEEDPGVEGGGAIEVGVRSDCGEGTSGTKEKEENGGVGVGVEGFDDVGRRLEELRLGVEEAELSGEQIRVNDQAQEDELLVLESIYGDDIFVLDRQNGLRTFQIHIHIEVPKELTVSVNLKTSALETQNDDSPEFLYSFKVEHLPPIILTCLLPKSYPSHLPPQFTISVQWLKSAKISHLCHMLDSIWNEQSGQEVIYQWVDWLQSSSLSHLQFDQEIKLSPYNERDIGDRRAISGSVSPDIDISSLKRYNDEQRHENFRKNIHECCICAGEFPGTDFARLPCQHFFCLNCMRTFSNMHVKEGTVTKLQCPSPKCGSMIPPGLLKRLLGEEEFEHWESLMLQKTLESMSDVCYCPRCETICIEDEDNHALCAKCYFSFCTLCRDKRHVGVECMTPEMKLRILQERQNSSQLKDGQRQRENELINELLSVKEINRSAKQCPSCKMAISRTEGCNKMVCNNCGQYFCYRCNKAIDGYDHFRDGQCELFPQEEIQRWEERINARQVVGQIQAELFADRGHSCPNCGQINAKVGNNNHIFCWACQNHYCYLCRKMVKRSSQHFGPKGCKQHTVG >itb08g02060.t2 pep chromosome:ASM357664v1:8:1645878:1650361:1 gene:itb08g02060 transcript:itb08g02060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRQNNYQKKKDDGNPIVVKPEDDPIRNPLTPQPESSGSSYPANSQLNHPEVVPKDQSSQKNRRNSRNRRGRGIKQQFVQKVPAPAACLTKCGGEEDPGVPAPATCLTKCGGEEDPGVEGGGAIEVGVRSDCGEGTSGTKEKEENGGVGVGVEGFDDVGRRLEELRLGVEEAELSGEQIRVNDQAQEDELLVLESIYGDDIFVLDRQNGLRTFQIHIHIEVPKELTVSVNLKTSALETQNDDSPEFLYSFKVEHLPPIILTCLLPKSYPSHLPPQFTISVQWLKSAKISHLCHMLDSIWNEQSGQEVIYQWVDWLQSSSLSHLQFDQEIKLSPYNERDIGDRRAISGSVSPDIDISSLKRYNDEQRHENFRKNIHECCICAGEFPGTDFARLPCQHFFCLNCMRTFSNMHVKEGTVTKLQCPSPKCGSMIPPGLLKRLLGEEEFEHWESLMLQKTLESMSDVCYCPRCETICIEDEDNHALCAKCYFSFCTLCRDKRHVGVECMTPEMKLRILQERQNSSQLKDGQRQRENELINELLSVKEINRSAKQCPSCKMAISRTEGCNKMVCNNCGQYFCYRCNKAIDGYDHFRDGQCELFPQEEIQRWEERINARQVVGQIQAELFADRGHSCPNCGQINAKVRISFFSYVVFLISV >itb12g00300.t1 pep chromosome:ASM357664v1:12:298715:300404:1 gene:itb12g00300 transcript:itb12g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQPVACVSGDDVQNPTDNDVDDHLLRNINDDDYFRLLPPGYVFNPTDEELIGEYLDKQVKNLPLPRNRINSVNLYSFNPEALCQMFKDYCGNKEWYFFTPRSRKYRNGKRPNRAAGNGYWKATGADRVILASESNTKVGCRKALVFYMGKPPNGQKTPWIMHEYRVEDGPECDRSVDAMKMDKSSKNNNNNNNSSSSNKEEEKPKPEEKDEDDEEDEEEDKDAEIDDDVQENTTIATSDDQNHFEASPSYDHQLLPIHIITPPLRATSPYNPHMWNQPMIPPQTSTSNNNVIQIQMTSFPNQNQQQIISGLQWRQQPPPHPYEENLQHNNVLVHHHHNNPLPQNYFHPENTTFQALQPSINANLHHLPYQPHNWQEPHPSFNGDHHLYYHHNSSAPITADNNIPYQHQNSELLEAPQPGINGDTNNPHQQQNYSQAPQPSTNNGNDTTKTQTTDDDDDANYDTNQFLAD >itb01g33650.t1 pep chromosome:ASM357664v1:1:36677128:36682184:1 gene:itb01g33650 transcript:itb01g33650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIFIGRNDAEEALQKLKGLVIGKQTVRLSWGRNPANKETRGEFMSQWTGPYYGGHFYNGYGYAFPSPHDPSMYAAAAATPYGAYPMYGSHRQQIRTGNDAIQRGKDVWAIHMTVIVMSPLSLYSLVPPPPPPSSSASLQLHHSEGFLSRLKFEAAFASLPLSASSSQQPKVVVTRERGKNRKLIDALVKHGIDCLEFPLIHHMHLPDLNRLPTVLNQNMFDWIVITSPEAGQVFLDAWEAAGTPNVKVGVLGAGTASIFDDVTKSSKSYLDVAFAPSKATAEVLALELPKCKNKKCTVLYPASAKASGEIEEGLSKRGFEVTRLNTYTTASVQHVDQDLLKEALSAPVIAVASPSAISAWANLIPALELWDNAVACIGKTTASAAKKIGFKNIYYSRNPGLEGWVKCILEALNEHE >itb03g09030.t1 pep chromosome:ASM357664v1:3:6887006:6890904:1 gene:itb03g09030 transcript:itb03g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVFAGLQPTPAHHHRLPRPSRGSVQLPSVSLTDKKLRCPLPLKLQNQLHFGFDANTSSDNHRNAPPRVASPMSSVTNEVVDLDWDNLGFGFMPTDYMYIMKCTQDKTFTKGELQRFGNIELSPSAGILNYGQGLFEGLKAYRKHDGHILLFRPEENALRMQMGAERMCMPSPTVEQFLEAVKATVLANERWIPPAGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLAPINLVVETEMHRATPGGTGSVKTIGNYAAVLKAQSAAKAKGYSDVLYLDSVHNKYLEEVSSCNVFMVKGNMIATPAIKGTILPGITRKSIIDVAVNQGFQVEERAVSVDELLDADEVFCTGTAVVVSPVGSITHLGKKVTYGSNGVGLVSQQLYSTLTQLQMGITEDKMGWVIEVK >itb03g09030.t2 pep chromosome:ASM357664v1:3:6887024:6890904:1 gene:itb03g09030 transcript:itb03g09030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVFAGLQPTPAHHHRLPRPSRGSVQLPSVSLTDKKLRCPLPLKLQNQLHFGFDANTSSDNHRNAPPRVASPMSVTNEVVDLDWDNLGFGFMPTDYMYIMKCTQDKTFTKGELQRFGNIELSPSAGILNYGQGLFEGLKAYRKHDGHILLFRPEENALRMQMGAERMCMPSPTVEQFLEAVKATVLANERWIPPAGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLAPINLVVETEMHRATPGGTGSVKTIGNYAAVLKAQSAAKAKGYSDVLYLDSVHNKYLEEVSSCNVFMVKGNMIATPAIKGTILPGITRKSIIDVAVNQGFQVEERAVSVDELLDADEVFCTGTAVVVSPVGSITHLGKKVTYGSNGVGLVSQQLYSTLTQLQMGITEDKMGWVIEVK >itb13g07330.t1 pep chromosome:ASM357664v1:13:9097525:9099393:-1 gene:itb13g07330 transcript:itb13g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHSSELNTIKDQLTRHPFGERKVISILGMGGIGKTTLARRLYEDPSVISHFEVCAWTTVSQEVNLRRILCDLLLQSFSFSSTQVNTNGSTGELAQQLQRRLKCTRYLVVVDDVWETSVWDYLTRCFPESGGSRVLLTTQLKEIADYTTTSGTYLHNLHFLDSNQSWDLFCNNVFCKQPLPSEFETIGRNIVDKCRGLPLAIVVVAGLVSKLNNTLDDWKNVEREISCLASTDLGEQCSRILNLSYNHLPQHLKACFLYLGVFYENSEIPVKKLVRLWVAEGFVKLVSDKRLEEVGVEYLQDLVSRSLVLIHKCKPNGQIKTCRMHNLLHGLCIREARKLNLLYIKDDGYDHVGACRWLSFRSPKPKNYDIIRNNYKKARSVLCLYNDDEIAMVNDPKPVRFSLLRVLDLTSPLYTKGVYVPFTDLSDLVLLKYLAWFVGSEGLEIILSKNQKLQTLLVSHSAAEWEEDSSLLPSTIWGSPQLRHLEFKNSLRVEPPSMVKEKLQTLYWLSISHCTEEVFSMIPNINTLGILCKRGSISHSNGTYSLKFLQCLDQLEDLTIESDYPIFEHLPPRCIDVFPRKLRKLKLSGTHLPLEDIEAIALLPSLEVLKLKTDSLLGPE >itb07g23150.t1 pep chromosome:ASM357664v1:7:27630041:27631321:-1 gene:itb07g23150 transcript:itb07g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLSLELVVDILARLRVKSLMRFKCVCKFFYDLITSDRHFQHKHYGISKAKTDCVLLEVGYDTREYYLLYKGSEIECIYLDIPIIPSAQWVKCCQGMLCLISTRKDMINLGPSDDLIYDILIWNPSTRKIKAIGPITVPYKLSYPAYAINEFGFGICNNMTWKVVMRLEIHSLYGDGRDIADYITMVYSQVRGDSWSLSQTNSPLSFYTFQWEHCLEGISEDFYLKGRYYWGYKSPSNDPYLLWFDMDEEVFGTIELPSNVIIASFTIMNETIALLGYSTVGDSNFIEIWLMIENDNNTYWHKQAIIDCVVNVDNNERWRALGIWNVDYDLLVFLDTTLHWDPFFDEMEVPYFISIDLVTQERKMFFISKERKSITVASDQVDGYVQVYNERNNIDITEEWKLNCFMREGIYARVYGESLHSL >itb12g05080.t1 pep chromosome:ASM357664v1:12:3360035:3361313:-1 gene:itb12g05080 transcript:itb12g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIGTNTRLILLHPYIQKQGGSSRLWILAFVSFFTIACLLAFIYTRDYSIITATTSSFVAAAAAGGGGGGANPALSKSIVRALVHYASISNNTDRMSYADIKQISDVLRQCSSHPCNFLVFGLTHETLLWRTLNHHGRTVFIDESRYYAAYVEEKYPEIEVYDVQYSTKLSEMKELISAVKEQAGNECRPVQNLLFSECKLGLNDLPNQLYEVEWDVILVDGPRGYWPEAPGRMSAIFTAGVLARSKKGGNPKTHVLVHDYNQESDRIASEEFLCRENLVETKGMVGHFVVEVMDANNFHFCRSHNASSSSSSSSS >itb13g21990.t1 pep chromosome:ASM357664v1:13:28424466:28425193:-1 gene:itb13g21990 transcript:itb13g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANYYSKKELAKIGVEGFAILDDHNYGRGRNPRRPQFPNYGGGHATYFVTSRPLPPPPSAVAAAPFVAGNHYSAASSSGGGYSRRHQYQSYNYYHRYSPAESHVGWAPAADMTAETATVVRGGYEDGNTEMLMNNYKY >itb09g12400.t1 pep chromosome:ASM357664v1:9:7853572:7858477:-1 gene:itb09g12400 transcript:itb09g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRASASIRKQGLNTGSIRICFATSELSNRCFEEFDTGINTITPVVSDRCLSVNRFYRMGHSAPMSFKGSCGFSSQAAGTRSSGEEDDKLDGIPELESPTASGSIQDGNANNDNGLVSEPEHIDEDSDDEGAEGSQSELGDTMTRISQKRPPYSEIVKAIFAALPLSVSSVMDKWVEEGKELTRADIKMAMLGLRKMRMYVVALKLSEWLKSSKHIDFTDRDYASQVDLIAKVYGLRNAEALVQKLPKSFRSEVVYRTLLANCVSVFDVNKSEEVFKKMKDLKLPISCFTCNQLLLLYKRTDKKKIADVLLLMEKENVKPNRSTYKILMDAKGESSDITGMEQVFETMKAEGIKPDIRTKGSLARHYISGGLQEKAEAVLKEMETDDVAGNSLAYTILLPLYAAIGKADEVRRIWQLCESNPRLSHYEAAIEAWGKLKKVDEAEAVFDMMLNKYQNLSSKRYSALLNVYANNNMLGKGMELVKQMTENGCHIGPLTWDSIIRLYIQAGEVEKAESVLNKAAKHARVKPMFRSYIVIMDQYAKSGDIHNTEKVFHWMRQAGFASLFRLYQALIRAYMNAKAPAYGMNERMKADKVFPNKELAAMLSQVDPFRKTAVSEILE >itb09g12400.t2 pep chromosome:ASM357664v1:9:7853776:7856680:-1 gene:itb09g12400 transcript:itb09g12400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRASASIRKQGLNTGSIRICFATSELSNRCFEEFDTGINTITPVVSDRCLSVNRFYRMGHSAPMSFKGSCGFSSQAAGTRSSGEEDDKLDGIPELESPTASGSIQDGNANNDNGLVSEPEHIDEDSDDEGAEGSQSELGDTMTRISQKRPPYSEIVKAIFAALPLSVSSVMDKWVEEGKELTRADIKMAMLGLRKMRMYVVALKLSEWLKSSKHIDFTDRDYASQVDLIAKVYGLRNAEALVQKLPKSFRSEVVYRTLLANCVSVFDVNKSEEVFKKMKDLKLPISCFTCNQLLLLYKRTDKKKIADVLLLMEKENVKPNRSTYKILMDAKGESSDITGMEQVFETMKAEGIKPDIRTKGSLARHYISGGLQEKAEAVLKEMETDDVAGNSLAYTILLPLYAAIGKADEVRRIWQLCESNPRLSHYEAAIEAWGKLKKVDEAEAVFDMMLNKYQNLSSKRYSALLNVYANNNMLGKGMELVKQMTENGCHIGPLTWDSIIRLYIQAGEVEKAESVLNKAAKHARVKPMFRSYIVIMDQYAKSGDIHNTEKVFHWMRQAGFASLFRLYQALIRAYMNAKAPAYGMNERMKADKVFPNKELAAMLSQVDPFRKTAVSEILE >itb13g11050.t1 pep chromosome:ASM357664v1:13:15963404:15964482:-1 gene:itb13g11050 transcript:itb13g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVIRLSEPRGLSVETSTNNRRFLMVFPLLTAALSTPPDIWCQIVARFLISLIIEFAIFVASIVQLLFPHLRISGAKLSRFLISLIIEFAIFVASIVQVREEGWTSGMRESGSIDKNKRFHRYAPGTCNCDPFVRTKGSFCRNLHEQSSFFDGFSALTAALSTPPDIWVQIVARFLISLIIEFAIFVASIVQFVKRAGRVE >itb10g13020.t1 pep chromosome:ASM357664v1:10:19104450:19110550:1 gene:itb10g13020 transcript:itb10g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAETPRPKPTWVLPYRTHPLRQLYTIGKKLGQGQFGTTHLCTEKATATLYACKTIPKKKLICKEDYEDVWREIQIMHHLSEHRNVVRIKGTFEDALYVHIVMELCAGGELFDRIVEKGHYSEREAAKLLKTIVGVVEACHSLGVMHRDLKPENFLFLSSDEDAALKATDFGLSVFYKPDETFSDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAETEMGIFRQILQEKLDFESEPWPGISDSAKDLIRKMLDRNPRRRLTAHEVLCHPWIVDDKIAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMIDTDNSGTITFDELKEGLRRVGSELMESEIKDLMDAADIDNNGTIDYGEFLAATVHLNKLEREENLVSAFSFFDKDGSGYITIDELQQACKQFGLSELNLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRNTLNLGEALGLVEGKE >itb08g08910.t2 pep chromosome:ASM357664v1:8:8150284:8152406:1 gene:itb08g08910 transcript:itb08g08910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYFLLACLLIQNTKFQFVVLLACPIKVVGMSLRNELRGPLQNVDVWYKYVEKGAKTINSANPNLLVIISGLSYDLDFRFLKQRSLKLKTMKKKVVYETHRYAFTEGQSKAWVAGPLNKVCHDITREMEEKEGFLVRGGNPLFVSEFGINQMGNSEADNRHLPCMLSYLADLDLDWSVWALQGSYYLRDRHHGPDETYGMFTANWTALRNPNFLSKLQFLQQKLQDPKSSEPTYHILYHPLSGRCARVIDDQIHMSDCLGASRCIQEADGKPIQMIGSLKCLAVVGEDLPLTLSTICNNDGQSNNTWSLASNSMFQLANRGDNGLVLCLDFDSSYSSSTILTRKCVGLEEGDMRNPQTQWFKLIASNTK >itb08g08910.t1 pep chromosome:ASM357664v1:8:8148861:8152406:1 gene:itb08g08910 transcript:itb08g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLTILLLSFASLSNSLPLSASSRWITDDQSGERVKLACASWAGHLEPMLPEGLDRRPLSQIATHVSTMGFNCVRLTWATYMFTRYANMTVGQSFGDLGLTEAISGLASNNPNLLSLNLVDARKAVVEEIGRHGIMMVLDNHVSKPMWCCGDRDGNSFFGSVYFDPDEWLQGLAIVANIFKDTPMVVGMSLRNELRGPLQNVDVWYKYVEKGAKTINSANPNLLVIISGLSYDLDFRFLKQRSLKLKTMKKKVVYETHRYAFTEGQSKAWVAGPLNKVCHDITREMEEKEGFLVRGGNPLFVSEFGINQMGNSEADNRHLPCMLSYLADLDLDWSVWALQGSYYLRDRHHGPDETYGMFTANWTALRNPNFLSKLQFLQQKLQDPKSSEPTYHILYHPLSGRCARVIDDQIHMSDCLGASRCIQEADGKPIQMIGSLKCLAVVGEDLPLTLSTICNNDGQSNNTWSLASNSMFQLANRGDNGLVLCLDFDSSYSSSTILTRKCVGLEEGDMRNPQTQWFKLIASNTK >itb10g13130.t1 pep chromosome:ASM357664v1:10:19216065:19220158:-1 gene:itb10g13130 transcript:itb10g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLNSVFLSLAKLYLLVAFVSAGVIFEERFDDGWESRWVKSDWKKDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEFNNKGKTLVFQFSVKHEQKLDCGGGYMKLLSGDIDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNQLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQSGSLYTDWNLLPPKKIKDPEAKKPEDWDDKEYIDDPEDKKPEGYDDIPKEIPDLDAKKPEDWDDEEDGEWTAPTVANPEYKGPWTPKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTLFDNVLVSDDPEYAKKLAEETWAKNKDGEKAAFDEAEKKKAEEESKDDPVDSDAEDEDDDADDTDGDDADSKSESKQDESKESKEDDVHDEL >itb12g20580.t2 pep chromosome:ASM357664v1:12:23055942:23060252:1 gene:itb12g20580 transcript:itb12g20580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRQKSGKDRSLDVGKRLVLVAVKVSKDIPRAALIWALTHVVQPGDCVKLLVVIPNHSSSKRLWGFQRFGSDCTAAHWKSFSGTRLDQKDYITDSCTQIMLQLSNLFDPDKIRMKIKVVSGLDYGVVAAEAKKTQVHWVVLDKRMKKEAKSCMQELECNVVMMKKSRPKVLRLNFIGSPSTDAEIIPGSQISRKSLAESSGTCNDIRVPNVTPASSPEHISFTTSDARTSSISSADLGASPLAISEINWGLKKEGFSPCGVSYLFDESDSDTDSENLSSPSTSISSKQWTADTFSSPSEHSKSLKKGSQRSKNNILNFTVEEDLQEKFFKLDQEFEVGAKGRLAMELSKNVRKLVSLSKNAPPEPPPLCSICQHKAPVFGKPPRWFTFAELETATRGFSRTNFLAEGGYGSVHRGVLPDGQVVAVKQYKSASSQGDQEFCSEVEVLSCAQHRNVVLLIGFCIEDGRRLLVYEYICNGSLDSHLYGRNKDPLSWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDLGVETRIIGTFG >itb12g20580.t1 pep chromosome:ASM357664v1:12:23055942:23060252:1 gene:itb12g20580 transcript:itb12g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRQKSGKDRSLDVGKRLVLVAVKVSKDIPRAALIWALTHVVQPGDCVKLLVVIPNHSSSKRLWGFQRFGSDCTAAHWKSFSGTRLDQKDYITDSCTQIMLQLSNLFDPDKIRMKIKVVSGLDYGVVAAEAKKTQVHWVVLDKRMKKEAKSCMQELECNVVMMKKSRPKVLRLNFIGSPSTDAEIIPGSQISRKSLAESSGTCNDIRVPNVTPASSPEHISFTTSDARTSSISSADLGASPLAISEINWGLKKEGFSPCGVSYLFDESDSDTDSENLSSPSTSISSKQWTADTFSSPSEHSKSLKKGSQRSKNNILNFTVEEDLQEKFFKLDQEFEVGAKGRLAMELSKNVRKLVSLSKNAPPEPPPLCSICQHKAPVFGKPPRWFTFAELETATRGFSRTNFLAEGGYGSVHRGVLPDGQVVAVKQYKSASSQGDQEFCSEVEVLSCAQHRNVVLLIGFCIEDGRRLLVYEYICNGSLDSHLYGRNKDPLSWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDLGVETRIIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDINRPKGQQCLTEWARPLLEKHAIADLLDPFLRNRYLEKQVYSMMRCAALCIQRDPLSRPRMSQVLRMLECDV >itb01g22210.t2 pep chromosome:ASM357664v1:1:28130847:28139908:1 gene:itb01g22210 transcript:itb01g22210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRVLLRSLSPPNSTLASSTLFARSPFSFSKRPPFFANHLRNPRSTFRNRLLFASTAALSSLHLRTRLSPLSVRAVHTSSPQSSPELSGAEDDVVRKLGFEKVSEQFVDECKSRAVLYKHKKTGAEIMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKKPFVELLKGSLNTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNILGRKSRQALFPDNTYGVDSGGDPKVIPKLTFDEFKDFHQKYYHPSNARIWFYGNDDSTERLRILSEYLDMFDASSAPQESRVEPQKLFTEPIKIVEKYPAGEGGDLKKKHMVCLNWLLSDKPLDLETELTLGFLNHLLLGTPASPLRKILLESGLGDAIVGGGVEDAFLQPQFSIGMKGVSENKILQVEELIISTLKNLAEEGFNLDAVEASMNTIEFSLRENNTGRYPRGLVLMLRSVGKWIYEMDPFEPLKYQKPLETLKTRIAEEGSKAVFAPLIEEFILNNPHRVTVEMQPDPEKASLDEATEKEILNKVKESMTKEDLAELARATLELRLKQETPDPPEALKSIPSLSLQDIPRTPTHVPIEVGEINGTKVLRHDLFTNDVLYAEVAFNLNLLEPELLPLVPLFCQSLLEMGTKDLNFVQLNQLIGRKTGGISVYPFTSSVRGKVEPCSHMIVRGKAMSGRTGDLFELVNCILQDVQLTDQKRFKQFVSQRKARMENQLRGSGHRIAATRMDAKLNISGWISEQMGGISYLDYLRSLEEKVDKDWPEICSSLEEIRRSIFSKDGCLVNLTADEKTLMFAEKHVSKFLDLLPSNSLTKSTAWEGRLSPTNEAIVIPTQVNYVGKAANIYAAGYELNGSAHVISKYIGTTWLWDRVRVSGGAYGGFCDFDTHSGIVVSCEV >itb01g22210.t1 pep chromosome:ASM357664v1:1:28130847:28139951:1 gene:itb01g22210 transcript:itb01g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRVLLRSLSPPNSTLASSTLFARSPFSFSKRPPFFANHLRNPRSTFRNRLLFASTAALSSLHLRTRLSPLSVRAVHTSSPQSSPELSGAEDDVVRKLGFEKVSEQFVDECKSRAVLYKHKKTGAEIMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKKPFVELLKGSLNTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNILGRKSRQALFPDNTYGVDSGGDPKVIPKLTFDEFKDFHQKYYHPSNARIWFYGNDDSTERLRILSEYLDMFDASSAPQESRVEPQKLFTEPIKIVEKYPAGEGGDLKKKHMVCLNWLLSDKPLDLETELTLGFLNHLLLGTPASPLRKILLESGLGDAIVGGGVEDAFLQPQFSIGMKGVSENKILQVEELIISTLKNLAEEGFNLDAVEASMNTIEFSLRENNTGRYPRGLVLMLRSVGKWIYEMDPFEPLKYQKPLETLKTRIAEEGSKAVFAPLIEEFILNNPHRVTVEMQPDPEKASLDEATEKEILNKVKESMTKEDLAELARATLELRLKQETPDPPEALKSIPSLSLQDIPRTPTHVPIEVGEINGTKVLRHDLFTNDVLYAEVAFNLNLLEPELLPLVPLFCQSLLEMGTKDLNFVQLNQLIGRKTGGISVYPFTSSVRGKVEPCSHMIVRGKAMSGRTGDLFELVNCILQDVQLTDQKRFKQFVSQRKARMENQLRGSGHRIAATRMDAKLNISGWISEQMGGISYLDYLRSLEEKVDKDWPEICSSLEEIRRSIFSKDGCLVNLTADEKTLMFAEKHVSKFLDLLPSNSLTKSTAWEGRLSPTNEAIVIPTQVNYVGKAANIYAAGYELNGSAHVISKYIGTTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLKKTLDVYDGTSDFLRNLEMDNDALTKAIIGTIGKVDAYQLPDAKGYSSLLRYLLGVTEEERQRRREEILSTRLADFKEFADALDAVRDKGVVVAVASPDDVEAANKEHSNLFEVKKAL >itb05g17930.t1 pep chromosome:ASM357664v1:5:24792698:24796453:1 gene:itb05g17930 transcript:itb05g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MAFSFTTQPPQQPSIFQTPASHTQPSPFSQVTPFSQPTQPQFNFQPQQPQPQPQQQLQQQQQQPQQQQQQQLFLFTNSKTPATYGTKWADLHPDSQKILLQIEEKILEYRDESQRLDQCSRLYDSSVSNDGFELDASHNIQELVGISTSMERQKSILHELMTVVKDMLRNTEVAVRSFMMLRPRFLHQNPPASSSATPSQAPGAIVAPTSSAQATTIPNFPVFDFYSGIPKKPSPFLQQTVARFEKHLAECRQWVEELEQLILDSDRKSLNSSVSLLQSLPQVMSNVHDFFVHVAAKVESIHQYIESMKTAYLTDQRRRGEVSDPFLEADRRETAKQEAAARRVHPTLHLPAAPQPATQVTGLFSSSAAPGTSTAPQTSAIVSTSSAASGSSLFSTPVIAASSSSLFSTPTASAPAVSLFGSGASPQTSLFGSLSTSSPAFSTPNLFGSTPSSGVTNFSTPFATGAATGSGSSFGTLSKARAKSRPGRR >itb09g10990.t1 pep chromosome:ASM357664v1:9:6877091:6882352:1 gene:itb09g10990 transcript:itb09g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQNGIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSENLLDGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYNRDAAS >itb12g15290.t1 pep chromosome:ASM357664v1:12:15659475:15660291:-1 gene:itb12g15290 transcript:itb12g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFDPLFLSSSPGSGSGKGQRKDLTSKQSLEFSLIRTEPHVRVPPHTAQVAKALSFALGKRFAVVKLTASLPPRAKLRPRLLVASGRLIPSPEIQVSTGKDLLIPRGRAGLEASYLLPISPPFFLLVDPMAARKGDLVLYSGDLFPTETRKLPSCPRSHSVNRKGKPTHLSAPLVDRCGFTKRLSTVHSR >itb13g21770.t1 pep chromosome:ASM357664v1:13:28300780:28305992:-1 gene:itb13g21770 transcript:itb13g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERHGSKRGGGYVGGFLQLFDWNAKSRKKLFSSKSDSPEHSKQKKRCDGNLPMTRYHLIDEDEINASSSFKGSSSYSCASSVTDEEECGVKAPGVVARLMGLDPLPASNFSEFYSTPFSDTQSRQGSYWHSRNLEYHHSRQLVQSEATARSALDSKHQKVISRPIEKFQTEVLPPKSAKSIPITHHKLLSPIKSATFIPPENAAHIMEAAARIIEPGPHQVTAKTRMPSVGSSSVPLKVKDLKEKVEASQKPTRLGETSRRPSETNATKYLKAHSANRSSISNTSRERVPSDSEESFGGTKSKGKSISLALQAKANVQKREGLNPSGSGNSLGQKESSDIISDQFFKSQPVTQRNIHKKPSAVNAPNVLRQNNQKQNCFADRGKSPSKPLVSSSQGKRTLSGDSSFSRQKGLTKNSENSKLSSRRLSLELNNDRKEEVFSGKKSVVRKKRPIDGDFHSDKNPSLGNVPIHKSGKLFQSGGLMDREGSCAESSKWKGTDIVSFTFNAPLARSMASVDTSRGMEKKSTDFNADYRSKKMHHSPDRLNSLKLPLGHDSIGGNDLSTLLEQKLRELTEKVEPSPQTTGRIGTTVSTFQVPTPGQSALTPTTILYDNSQQDAMDIDDPVSQHHFVFSSTDSQELTMKHKRQAVEGMDQYSSNRGEMKKLFDRRFPSPVSVLEHSYFSESCNYSDTDSNTTPSSKQSSSIQAQEVLGISPLKRFHSREADAELLDSASSTSSVIEDGKHRSTVSSLHLGKSAEWEVEYVKEILSNIDLMFEGFATGRDSKIISPCLFDQLESRKVENGWGREQKLQRKVLFDCVSEWLDSTCTSYVAGGYEMWVKGVSVITRKERLAEEVYREISGWSCMRDSMVDELVDKDMSTHRGKWMDFEIEAFELGLQVENRLLNSLLDEVISDLLVL >itb13g21770.t2 pep chromosome:ASM357664v1:13:28300806:28304707:-1 gene:itb13g21770 transcript:itb13g21770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERHGSKRGGGYVGGFLQLFDWNAKSRKKLFSSKSDSPEHSKQKKRCDGNLPMTRYHLIDEDEINASSSFKGSSSYSCASSVTDEEECGVKAPGVVARLMGLDPLPASNFSEFYSTPFSDTQSRQGSYWHSRNLEYHHSRQLVQSEATARSALDSKHQKVISRPIEKFQTEVLPPKSAKSIPITHHKLLSPIKSATFIPPENAAHIMEAAARIIEPGPHQVTAKTRMPSVGSSSVPLKVKDLKEKVEASQKPTRLGETSRRPSETNATKYLKAHSANRSSISNTSRERVPSDSEESFGGTKSKGKSISLALQAKANVQKREGLNPSGSGNSLGQKESSDIISDQFFKSQPVTQRNIHKKPSAVNAPNVLRQNNQKQNCFADRGKSPSKPLVSSSQGKRTLSGDSSFSRQKGLTKNSENSKLSSRRLSLELNNDRKEEVFSGKKSVVRKKRPIDGDFHSDKNPSLGNVPIHKSGKLFQSGGLMDREGSCAESSKWKGTDIVSFTFNAPLARSMASVDTSRGMEKKSTDFNADYRSKKMHHSPDRLNSLKLPLGHDSIGGNDLSTLLEQKLRELTEKVEPSPQTTGRIGTTVSTFQVPTPGQSALTPTTILYDNSQQDAMDIDDPVSQHHFVFSSTDSQELTMKHKRQAVEGMDQYSSNRGEMKKLFDRRFPSPVSVLEHSYFSESCNYSDTDSNTTPSSKQSSSIQAQEVLGISPLKRFHSREADAELLDSASSTSSVIEDGKHRSTVSSLHLGKSAEWEVEYVKEILSNIDLMFEGFATGRDSKIISPCLFDQLESRKVENGWGREQKLQRKVLFDCVSEWLDSTCTSYVAGGYEMWVKGVSVITRKERLAEEVYREISGWSCMRDSMVDELVDKDMSTHRGKWMDFEIEAFELGLQVENRLLNSLLDEVISDLLVL >itb04g04610.t1 pep chromosome:ASM357664v1:4:2865056:2867887:1 gene:itb04g04610 transcript:itb04g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECTVVFVLDKITVLLEAKVVLLHGLKDEIEYIKDELERVIAFLGVADTVEDGDAEIKVWVKQVREVAYDIEDTIDEFLLLVPAEQQSSRFCGFLWRFFFSIRNLKSQQRVASEIQSIKSRVGNIAKGHQRYRYRYHVADQVSSTSYAYEIANDHRSDALLLEEDEIVGIEKPRKELISLLLKVDPTLKVISVVGMGGSGKTTLVKMVYDDVKKHFQSHAWITVSQTFKVEEILKDMIRQLFSEVKQPVPEGMTSMNANKLKVIVKEFLLRRKYVLVFDDVWTARVWEAIKHVLPKEKHGCRVMISTRLMDVASSSSIDTNGYIYEMTPLSEEVSWALLCRKTFHASSCPSHLREILKQILKRCGGLPLAIVAIGGVLATKNKTGINEWGVLYHSLGPDFEGNDQFESMRIILLLSFNDLPQYLKLCFLYLSIYPEDHLIEHNTLIYQWTMEGFVKQKEGRTAEEVAEGYLFELINRSLILPVKLNDDGSMKQGRIHDLYREIILSKSTDHNFVTTTDEETAAWPEKARHLSVHGTLGNAQMKRQGTKLRSLLTFDVTDSQFSSYIVQILSSCRFIKVLDLRNTSLEIVPEEIFQLLHLRYLSLRSTKVKVLPRSIKKLRMLEILDLKYTFVTELPVEILKLQHLRHLIVYTHASFAYLPYNCSPGFKAPKGLGKLRSLEKLVYVEANPGSGTIEEIGKLNELKRLCVLKLRTEDGKAVCSSIEKLLKLRSLNLKSIEEDVILDVNYLSSPPPLLQRLYLTGYMGKMPHWIKSLHNLVKIYLRWSKLKDDPLEHLQDLPNLVHLEFLVGYTGEYMHFNAGKFQSLRLLNLDKLEELRNVVIGEGAMPHLEKLVIQRCNLLASVPAGIECLFNLRYLEFFDMTDDFISTFLPGKRGEDYCKVSHISEVYYTYWKDGCWEVNSVQETSGGPGTAVKISGHRNFL >itb01g14070.t1 pep chromosome:ASM357664v1:1:15438852:15439118:-1 gene:itb01g14070 transcript:itb01g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYFTWNPSLLLTTIDSLSGSSHAALPRARRRRVSLPSHTSQPPSVASVSHRRRRLAGRAHRKRQRQSSSFSGLQQTPTNDPPLPSA >itb07g16050.t1 pep chromosome:ASM357664v1:7:19298749:19299720:1 gene:itb07g16050 transcript:itb07g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDRISELPVGILDNILGLLPIQDAARTAILSSSWKDIWFSLTKLNFDYGFFDYLCLKYSGAEDIEARCRVPNCIFSCPTLKRLELSNVSVELINAYCVLPNVTSLRLQYVDFKPRTCSDYVVYLPMLEDLSFFDCDKIFYFNIVAPKLGSLKILLPECCDYFEEFGLLPPNLDFRSISSLNLECSTCCFEVFIEELNRVNTENASHFKVYPF >itb05g19970.t1 pep chromosome:ASM357664v1:5:26223261:26225183:1 gene:itb05g19970 transcript:itb05g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYESTARSWTQKYAMG >itb15g00430.t1 pep chromosome:ASM357664v1:15:260962:263027:1 gene:itb15g00430 transcript:itb15g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLPCSPLHLSDLLTGVCQFKLSSMAANFLIFPFLPLAVLLIFLAPPSCHGGYWPPSPGYYPGSKFRSYTFNQGFKNIWGPNHQSVDNNGLRIWLDTSSGSAFKSIKPFRSGYFGASIKLQPGYTAGVITAFYLSNADAHPGFHDEVDIEFLGTTFGKPYTLQTNVYVRGSGDGNIVGREMKFHLWFDPTQDFHNYAIVWNPREIIFLVDDVPIRWYPRRSAETFPLRPMWIYGSIWDASSWATEDGKYKADYRYQPFIGQFRNFKVGGCTAWASRWCQVATAGPYRSGGLTGQQRGAMRWVQSHYLVYNYCKDSRRDLSKTPECLS >itb02g06510.t1 pep chromosome:ASM357664v1:2:4051064:4055516:-1 gene:itb02g06510 transcript:itb02g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPKPHPFPLYEIQFGNNRISRTIEIIILFLLLSLISYRLFSLGSHIDHIPWLLALICESWFTFVWIIVVNSKWNQIQTKTYPQRLLQWLGDGKSEFPAVDMFVTTADPELEPPIITVNTVLSLLAVDYPANKLAVYVSDDGASPLTFYSLIEASKFAKLWVPFCKKYNVAVRTPFRYFNSTNPIFQEDSSLDFQHEWKKMKDEYSKLSRKIEEASQDSLPQELTGEFSIFANIDRRDHPTIIKVIWENKKHVANGDGVPHLIYISREKRPKRSHHYKAGAMNVLTRVSGVMTNAPFMLNVDCDFYVNDPKVVLHALCFLLGAEDERDVGFVQFPQTFIGGLKDDPYGNQLKVIMKYLGRGISGIQGPFYQGTGCFHRRKVIYGMSPNVANASGKATDKDRWEMFGKSKPFAISTTQILSGSLYPEIPIFPNTLEAAKEVASCAYESGTAWGQKVGWMYGSATEDMLTGLTIQSKGWKSVFCVPDPPGFLGSAPTSGPGVLTQQKRWTTGLMEVVISKKSPIILTLFGRLQFRQCLAYLWSMGWPFRSIFEICYALLPAYCIINNSHFQPKINEAAIIIQASILIIYNLYTLSEYIRTGESLRAWWNNQRMWRVYASGSWLLGFLSGVVKVFGLSETVFEVTKKDHSSDETLDKDEDSNVGRFTFDESPLFVPGTTILLVNLAALFIGFLDIKQNNRSRSWSLGETICSVWVILMYWPFLKGLFGKGKYGIPLSTVVKSVGLAMLFVHACKRAN >itb13g25490.t1 pep chromosome:ASM357664v1:13:30859867:30860883:1 gene:itb13g25490 transcript:itb13g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNDFSISNTTVANQFTEEDSYPQDLRESIISLPKQKGWLTPNIYNYKGVWLGSFHLHGALRSQQHFQAQDSDIMLCTLKKSGTTWLKALLFALITRKQFLVSQEIHPLLTTNPHTLIPGMEYEYASEETPDFAMSNGRRLLSTHLPQSLLPKSVWESKCKVVYLCRNQKDTIVSFWHFMNKLRGDVQGLGDIPFPEAFDRYCRGESLYGPFWDHLLGYWKESLENPRKVLFLKYEEIKDRPHVHLKRIAAFLDCPFSEEEECGVVDGILRLCSFERLSNLEVNKTGKTVFMQAGNNLFFRKGKVGDWRNYLTDEMASRLDQIVDEKFKGTGLKL >itb11g16090.t1 pep chromosome:ASM357664v1:11:13998421:13999571:1 gene:itb11g16090 transcript:itb11g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSKCKPKNSYLAEVEKDDGEHVKQQAISPAEKPPVSPSPSSASLSSSFAASNSSYSFSSSSCSSKDRSFSNEFLRSCAKENQHVILMKPSKGNLERTSSLRPSLLSSPNAMPLKPSSPRMHVGGSTPKKRPRSNSPTLVRQKSFRKDNMNASAACHFSNRALKSPSPSRRFLAVDGQGKMDISPFRHGGAAFNGVGKHNFKPVSPNCQPRGVDSGSTKGNIVNSKRDGEVQSSQEMEDINNPLIALDCFIFL >itb04g01570.t1 pep chromosome:ASM357664v1:4:881364:908999:1 gene:itb04g01570 transcript:itb04g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDPKEHDKNDGEGAGSDEEGHDRNESVGIPQTENDGEHNSVVSGGVKAEVVVVNDDLLEHASLQDQHKGRPDSNDSQFSGSNNVRLSLGGSRGNFELSSVNVPSRLSSPAVPEIRHDHVSSPGLDMQSASGDDIRQSCTSVSIDSAGYSYGDTECVAVDSPQKSKSKQVTPNVSPELLHLVDSAIMGKPESLDELKNIVSGAQSFGDGEDADAIAFLVIDSLLGTMGGVESFEEDGDNNPPSVMLNSRAAIVAGEIIPWLSSTGDIAGLMSPRTRMVRGLLAILRACTRNRAMCSSAGLLGVLLRSAEKIFVQDGATTEEMKWDGTPLCYCIQHLAGHSLTARDLRSWYEVITRTVATKWASCLMLSLEKAMAGKESKGPSCTFEFDGESSGLLGPGDSRWPFTNGYAFATWIYIESFADTLNTATVAAAIAAAAAATSGKSSAMSAAAAASALAGEGTVHMPRLFSFLSADNQGMEAYFHAQFLVVECGSGKGRKASLHFTHAFKPQCWYFIGLEHSYRQGLLGKAESELRLYIDGSLYESRPFDFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPIYIFKEPIGPDRMARLSSRGGDVLPSFGHASASPWLATNDYVQRVAEESALLDAEIAGSLHLLYHPNLLSGRYCPDASPSGVAGLHRRPAEVLGQVHVATRMRPAESLWALAYGGPMSLLPLAISNMDENSLEPQQGDISLSLATTALAAPIFRIISLAIQHSGNNDELSRRRGPEVLSRILNYLLQTLSSLDVGKRDGVADEELVAGVVSLCQSQKHNHTLKVQLFSTLLLDLKIWSLCSYGLQKKLLSSLADMVFTESSVMRDSNAIQMLLDGCRKCYWTIRESDSVNSFSIAGESRPIGEINALVDELLVVIELLVVAASPSFAMDDIKCLLGFMVDCPQPNQVARVLHLIYRLVVQPNTFRAQSFAEAFLSCGGIESLLFLLQREAKAGDHDDLDYPSDPDDNTVSAQETELDSEGRVLESTQADETVGAKEGERILHEMASKPGSFSSTGLNASARSNIGKTQSLPENAFLKNLGGINFSISAENARNNVYNIDRGDGIVFGIINLLGTLVSSGYLKFGMQSHPDVTNNTLALFEGGGTMFDDKVSLLLFALQKAFQAAPNRLMTSSVYRALLGASMNVSLTDEGLNFYDSGHRFEHIQLLLVLLRSLPYASMALQGRALQDLLILACSHPENRSTLTKMAEWPEWILEILISNHETGASKNANSSSLQDVEDAIHNFLIIILEHSMRQKDGWQDIEATVHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGGLLEFAARELQVQSEAIAKAAAGIAAEGLSPRGAKIGAENAAQLSVILVENAIVILMLVEDHLRLQSKLYRASRFPPGSVSPLSIVMPVVNQSTRSSASDTGGIPVDVLASMADANGQISASVMERLTAAAASEPYQSVSSAFISYGSCAVDLAEGWKYRSRLWYGFGLPSNAPVFGGGGSGWEPWNSALEKDSNGNWVELPLIKKSVVMLETLLLDESGIGGGLGIGGGSGTGMGGMAALYNMLDSDQPFLCMLRMVLISLREEDDGKDHMPKSCIDADGSSEALGGETSDMALLDNSASISSRKPRTSVLWSVLSPILNMAVSESKRPRVLVASCILYSEVWHAVARDRTPLRKQYLEAILPPFVAVLKRWRPLLARIHELATADGVNPLAVDDPALAADALPIEASLAMVTPSWAAAFASPPAALALSMMAAGAGGGETAAPGATPQLRRDSSFFEQKRPKLHTFSSFQKPLEAPSKSLTVPRDNAAAKAAALAVARDLERSAKIGSGRGLTAVAMATSAQRRSRSDTERVKRWNVSEAMGVAWLECLREVDTKSVHGKDFTALSYKFIAVLVGSLALARNMQRSEVERRKQVDVIAQHRLYCGIREWRKLIHSLIETKCLFGPSSLHLYNNQHVYWKLDNMETSSRMRRCLRRNYRGSDHFGAAANYDDQKPRLEEVSAISPSKASLLVAEAISMEDVNEDYEQETSNSEGRVDDTQQHGEIQNQQSATAEQPLQTSKESGNPLLAAEPDPTTVHSPSVVAPGYIPSEHDERIVLELPSSMVRPLKVLRGMLQITTRRMNFIIDNAESSAAVNGLDCKTEERVQEKDRTWPISSLHHIYSRRYLLRRSALELFMVDRSNFFFDFGSAEARRNAYRAIVQTRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSTTLDLANPSCYRDLSKPVGALNADRLEKFQERYSSFDDPVIPKFHYGSHYSTAGSVMYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIAATWKGVLEDMSDVKELVPELFYLPEALTNENSIDFGTTQVGEKLDFVRLPPWAESPVDFIHKHQMALESEHVSEHLHEWVDLIFGYKQRGKEAILANNVFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTVPHIKRMPLKDVLHLQTIFRNPTVVKQYTVPYPERCNLPAAAIHASADSLVIVDIGAPAAHIAQHKWQSNTPDGQGSPFLFQHGRPSVSSAGGAFMRMFKGPTVSGSEEWNFPLSMAFPTSGIRSSAIVSITSDKEIITGGHVDNSVRLISADTAKTLEIARAHCAPVTCLATSPDSNYLVTGSCDAVVLLWRLHKVSTSQAPNVSDSTGSSTPAGNMTANTSMERRKHRIEGPIHVLRGHLGEISCCCINSDLGIVVSCSNSSDVLLHSIRRGRLIRRLVGVEAHMVCLSSDGVVMAWNRDLKTLSTFTLNGILIARTCFPTSNVGCMEVSADGQNALVGLNPSLDYDGRFSDNEKNLKLSGAEDLGDANDGHRLGIPLPSICFVDIYSLKVFHTMELGEGQDITALALNKDNTNLIVSTADKQLMIFTDPALSLKVVDHMLKLGWEGDGLSPLVK >itb04g29200.t1 pep chromosome:ASM357664v1:4:32673049:32675246:1 gene:itb04g29200 transcript:itb04g29200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVEQKMKPMSATAVSKTSHRKTRRTVKTLNPNTFQHSPSSPYQETLGAPPLSAAMTDVELSRSEKKKKKSKSKEETEALPDNAGLTQADGDYLIKPQSFTPSIDTSQWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVLNLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSDVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWISCEAGTYVRTMCVHLGLLLGVGGHMQELRRVRSGISGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIADGKLDKHGKPNEKTPAEWLRNVVLPTGGDSMVAGLAAAADPATPAAEITGSVAEVEKKKKKHKEGEEDGHKRKLDEIDGSPASHAAKKPKVLEGEGKDGEAAEEVIEVKSEKKEKKKKKDKVKDEAASEEVEKSEKKKKKKKDKDVDNGEAAAAAVGSDEEANKSEKKKEKKKKKNKDAKEEL >itb01g31600.t1 pep chromosome:ASM357664v1:1:35262774:35267506:-1 gene:itb01g31600 transcript:itb01g31600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQHYRSPFGDTTYTKVFVGGLAWETPTDVMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACDNPNPVIDGRRANCNIASLGRPRPSPPRGRAQGGSPYQANVVPPQGASSSSYSGVAAPLPPPPPPPPPVIYPPYGYAAYPPDYAYHQGIYNPQFQQAAAAAQYYPHHHVYGSSSSGMGSPYGYGYSLPASRGTFSATPQRFHGGPSYLYYPTPQMDAAAVAAAAASFSPTAYPPSPLPPLLHPAPRHPFPASSSEPQTPQQTSTETTEVGAVTSESPKTY >itb11g06340.t1 pep chromosome:ASM357664v1:11:3770058:3778901:1 gene:itb11g06340 transcript:itb11g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFKSTSRRAPFGGSDEVSAAASSSSSSSSANRAHRRSRSLSRFSRSLPFGEDPSYGVEATPRRKFVNTVRGSEFPEISLDDLAIELFSQRDEDPGSSDHDRNRSSARRGSEIGRWASETASSQRRGRSVSRQSSKTSGDRKSVVSDRSRVNTGLPESNSRRRRSVSVVRYQISDSESDADHSRKQANLITMKSLGNGKNDIPSHKATAANGSGLRRPLNQKDLLRLQDGYSSHSSVLTDDEIKDHPCKNGNEKTIKAVYSQKKAEHPTEDDVNSGLYEAMKKELRYAVEEIKTEIEQGIIRKNGNHYPLDSSVRSSYATKLEESEKRKQDLLAEILLEDQKGRELSRIVKELLPDTNKSTAGIKQSRTRKKSNDRSRMSKQLTVEAEKYLEDFISNVEDTDISSFDGERSDGSSTLGGIIRARDGVVCAETESYHIPVGSDFRPVEMDGVKLPWLKWETSNDGSSKTEIKTPPSQKSVIWDAKQETALEYDQSCYSNSSQGSYSPGIMKYHEMIKLNKSGGAEEDSNGDYEVCPRFDMQEYLRLQQSEDLLFERETSKKKDVKADLNNWLPVTSSRNGKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVAALAISWVMTLYTLWQMVEMHEPEPGKRLDRYHELGQYAFGEKVGLYVVVPQQLMVQIGVNIVYMVTGGTSLKKFHDTICPSCTPIRRTYFIMIFGSVHLVLSHLPSFNSVTVISVAAAVMSFSYSTIAWVASANKGVIADVQYTPRASTDVGRVFQFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSNKPMMKGVWVAYAVVFLCYFPVAIIGYWVFGNSVEDNILVSLQKPACLIAAANMFVVIHVIGSYQPPAADDRKSASLSASSITVSKKIIIKSADMKDDMQKEAVDIAIAAFEKNSVEKDVAEHIKKEFDMKHGPIYPTAMAARLGSTTQT >itb04g31180.t1 pep chromosome:ASM357664v1:4:34122300:34127477:1 gene:itb04g31180 transcript:itb04g31180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLAYGLHNKMEIYRPHKAYPIELAQFHTADYVEFLHRITPDTQHLFGSDLKRYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDVAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDKFFPGTGDMKDIGERDGKFYAINVPFKDGIDDSSFIRLFRTIISKVVETYIPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNIPLLVTGGGGYTKENVARCWAYETGILLDSELPNEIPDNDYIKYFGPDYSLKIPGELE >itb04g31180.t4 pep chromosome:ASM357664v1:4:34122488:34127477:1 gene:itb04g31180 transcript:itb04g31180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRLCMTHHLVLAYGLHNKMEIYRPHKAYPIELAQFHTADYVEFLHRITPDTQHLFGSDLKRYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDVAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDKFFPGTGDMKDIGERDGKFYAINVPFKDGIDDSSFIRLFRTIISKVVETYIPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNIPLLVTGGGGYTKENVARCWAYETGILLDSELPNEIPDNDYIKYFGPDYSLKIPGGHIENLNSKSYLGTIKTHVLENLRYIQHAPSVQMQEVPPDFYIPDFDEDTQNPDERLDQHTQDKQIQRDDEYYEGDNDNDHSMDDA >itb04g31180.t3 pep chromosome:ASM357664v1:4:34122488:34127477:1 gene:itb04g31180 transcript:itb04g31180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRLCMTHHLVLAYGLHNKMEIYRPHKAYPIELAQFHTADYVEFLHRITPDTQHLFGSDLKRYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDVAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDKFFPGTGDMKDIGERDGKFYAINVPFKDGIDDSSFIRLFRTIISKVVETYIPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNIPLLVTGGGGYTKENVARCWAYETGILLDSELPNEIPDNDYIKYFGPDYSLKIPGELE >itb04g31180.t2 pep chromosome:ASM357664v1:4:34122300:34127477:1 gene:itb04g31180 transcript:itb04g31180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLAYGLHNKMEIYRPHKAYPIELAQFHTADYVEFLHRITPDTQHLFGSDLKRYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNQLCDVAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDKFFPGTGDMKDIGERDGKFYAINVPFKDGIDDSSFIRLFRTIISKVVETYIPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNIPLLVTGGGGYTKENVARCWAYETGILLDSELPNEIPDNDYIKYFGPDYSLKIPGGHIENLNSKSYLGTIKTHVLENLRYIQHAPSVQMQEVPPDFYIPDFDEDTQNPDERLDQHTQDKQIQRDDEYYEGDNDNDHSMDDA >itb04g05710.t2 pep chromosome:ASM357664v1:4:3709622:3712384:-1 gene:itb04g05710 transcript:itb04g05710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILWTYDPPERDARLVNKALKLRKEGIEELQVIVEIACASSPDHLVAVKRAYCSLFDCSIEQDIMRSISLPLKKVLVGLVISYRYDKEVVDIPTANLDAAKLHEAIQTQQLDNDDVIFILSVRNFFQLRASFLCYQQNYRYSIDQHIKTCGEGPLESILKLAIRCIDSPAKHFAEVVRTSVLGLGTHGDSLTRTIVTRAEIDLAKVRGEYDKITTSSSLDQAVADDTSGDYRDFLMTLLGAEV >itb04g05710.t1 pep chromosome:ASM357664v1:4:3709622:3712780:-1 gene:itb04g05710 transcript:itb04g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRLPELIPSPTQDCETLMKAFKGLGTVEKEIIRVLGHRNASQRKQIREAYQQLYNKSLIDDLRSELSGDFMEAVILWTYDPPERDARLVNKALKLRKEGIEELQVIVEIACASSPDHLVAVKRAYCSLFDCSIEQDIMRSISLPLKKVLVGLVISYRYDKEVVDIPTANLDAAKLHEAIQTQQLDNDDVIFILSVRNFFQLRASFLCYQQNYRYSIDQHIKTCGEGPLESILKLAIRCIDSPAKHFAEVVRTSVLGLGTHGDSLTRTIVTRAEIDLAKVRGEYDKITTSSSLDQAVADDTSGDYRDFLMTLLGAEV >itb04g05710.t3 pep chromosome:ASM357664v1:4:3709622:3711550:-1 gene:itb04g05710 transcript:itb04g05710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISLPLKKVLVGLVISYRYDKEVVDIPTANLDAAKLHEAIQTQQLDNDDVIFILSVRNFFQLRASFLCYQQNYRYSIDQHIKTCGEGPLESILKLAIRCIDSPAKHFAEVVRTSVLGLGTHGDSLTRTIVTRAEIDLAKVRGEYDKITTSSSLDQAVADDTSGDYRDFLMTLLGAEV >itb04g02060.t1 pep chromosome:ASM357664v1:4:1270868:1276031:-1 gene:itb04g02060 transcript:itb04g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSFSDSETNSHSSEYKNFRQVTRERLLYEMLRASKTDDPRSAWRVLIMDKVTLKVMSCSCKMADITDEGISLVEDLFRRRQPLPAMDVIYFIQPTKENLIMFLSDMSGREPLYRKAYVYFSTPVPKDLVARIKSDSSVIPRIGALREMNLEYFPMDSQAFLTDQDRAFHELYGEGAENQRRFTACLSTMATRIATVFASLKEFPVVRYRAPKGNDDSPRRKQDLIPSMLASAIFECISTNKSTIPNYPQKETCDLLIVDRPVDQIAPIIHEWFYDGMCHDLLEMTGNKYVHEVPSKTGGEPEKKEVLLEDHDPVWLELRYAHIAEASEKLHDKFSNFVSKNKAAQLQQRDGSELSTRDIQKMVQALPQYNEQMEKLSLHVAIAGKINTITREQCLRDLGQVEQDLVFGDAGSKEVINVLRTASDSPAENKLRLLMIYAMVNPDMFEGQAAKLLQLAKLTADDMKVINNMKILEGSADKKKTSDANFSLKFDGQKKKNNAARKDRAAADEEQWALFRFFPVIEELVEQMNKGELPKDEYHRKSNEGPSGQGGSGDTKGASAKTASKTPETTTGPRSMRSRRTPNYARRHSDDGYSSDSALRSASVDFKNMGQRIFVFIVGGATRSELRACHKLTTKLRREVVLGTTGMDDPPQYLMKLKSLFEPDTDPSNDMKALGLGL >itb04g17040.t1 pep chromosome:ASM357664v1:4:19307736:19311734:-1 gene:itb04g17040 transcript:itb04g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRSRSRSYSPVRNNRYDDRRDRRGDRRSPAPSGLLVRNISLKSSGRRSSRRHSPSRSPRRRYRSYSRSPTPTRQDLRDRDRHGRGDYSSPRRSRSLSRSLSPRGDSLRVQERIHAVDQSLILDPTVLFDSCFILAIPPHSLC >itb04g27210.t1 pep chromosome:ASM357664v1:4:31270450:31271302:-1 gene:itb04g27210 transcript:itb04g27210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGGSIAALSSFRSSSSRLSRKAKSGGTCVPVICRVVFPQRNIACFAVQESSSATVAAETKKKEAEEAVEEETAAAEKPKRKPAGKAPAKPLPQMMEEDVIPSLKSTLQAQDDITELELSFNDNKLEGSFLKKGYPYSFWAFFPNGLTGPKGFSLSSYGNGVSTVEPFLVDEKKITEKHIVFWVEKRLAAQGIIPVWKE >itb02g18630.t1 pep chromosome:ASM357664v1:2:15026327:15027451:1 gene:itb02g18630 transcript:itb02g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLPPPPQPQEQLLPLPSDVIRTRRKPSSRGHPRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAARAYDQAARTLRGANARTNFDLPESDSGEGGCSLLPENLEPFSFEDACRSEEPDGGLVGALKAKLFSSESSRLFIQSNASNATPSTIAKPQDENINNNLKNNDGGDKISSMAAAAAQFSSTNGLIDATSTSYLPNVVGAEKPKFNLDHYTPQTEQNTNIHHNYNIDHISLLTPSDHPMNTPTWLNPSHTIAATSSMMMQWPNNDQTVGWIDTLQTARGGHEMEPCSWLPISGLSSSSYPENSLDILNTPMIVSQIGGGGALPAETQIVQCENEYWNSSSAAAAVAGASGGAWDPFMLSSVLG >itb03g03010.t3 pep chromosome:ASM357664v1:3:1694288:1697806:-1 gene:itb03g03010 transcript:itb03g03010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVDFRLKELDVEHFEIREVLRCILHTVLFHRALGLVRPKDIDLELFDITYVQCGDVEVEKKIDEKIEHFIERVEKHPNKKNEICLSFYEVKNKQATWFSNKVERLYWEQWYINLNVAQHPKAHSSKSHHSKAVVDPGGITFGLYYCFIDLLNYNIEITNGIIGVCRGIYTLLISATITESASEERNARRAALELSLREVLFQIIKFVNEKKDHVPSVPNLEGVSFPYEITISSSSDSAFGMEMFKRMLQTGHPSILS >itb03g03010.t1 pep chromosome:ASM357664v1:3:1694288:1697806:-1 gene:itb03g03010 transcript:itb03g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVDFRLKELDVEHFEIREVLRCILHTVLFHRALGLVRPKDIDLELFDITYVQCGDVEVEKKIDEKIEHFIERVEKHPNKKNEICLSFYEVKNKQATWFSNKVERLYWEQWYINLNVAQHPKAHSSKSHHSKAVVDPGESASEERNARRAALELSLREVLFQIIKFVNEKKDHVPSVPNLEGVSFPYEITISSSSDSAFGMEMFKRMLQTGHPSILS >itb03g03010.t2 pep chromosome:ASM357664v1:3:1695162:1697806:-1 gene:itb03g03010 transcript:itb03g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVDFRLKELDVEHFEIREVLRCILHTVLFHRALGLVRPKDIDLELFDITYVQCGDVEVEKKIDEKIEHFIERVEKHPNKKNEICLSFYEVKNKQATWFSNKVERLYWEQWYINLNVAQHPKAHSSKSHHSKAVVDPGESASEERNARRAALELSLREVLFQIIKFVNEKKDHVPSVPNLEGVSFPYEITISR >itb08g03110.t1 pep chromosome:ASM357664v1:8:2596803:2599547:1 gene:itb08g03110 transcript:itb08g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFWKDITLSPSHHPISAAAVAAVSASGDDVSPTTILQEFLALPTDGGGRPPHGCTTSGHRNGPPPATALSLNTHHHPDPITPPPHHFHTRKRPPETDDGDDLRHHERLIKCRESAARCRARKRAYTNQLEMKIAHLKRENDKLRRQKEKLSMEVSAMPSKKKNKLYRTLTAPF >itb08g03110.t3 pep chromosome:ASM357664v1:8:2596803:2598228:1 gene:itb08g03110 transcript:itb08g03110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFWKDITLSPSHHPISAAAVAAVSASGDDVSPTTILQEFLALPTDGGGRPPHGCTTSGHRNGPPPATALSLNTHHHPDPITPPPHHFHTRKRPPETDDGDDLRHHERLIKCRESAARCRARKRAYTNQLEMKIAHLKRENDKLRRQKEKLSMEVSAMPSKKKNKLYRTLTAPF >itb08g03110.t2 pep chromosome:ASM357664v1:8:2596803:2599547:1 gene:itb08g03110 transcript:itb08g03110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFWKDITLSPSHHPISAAAVAAVSASGDDVSPTTILQEFLALPTDGGGRPPHGCTTSGHRNGPPPATALSLNTHHHPDPITPPPHHFHTRKRPPETDDGDDLRHHERLIKCRESAARCRARKRAYTNQLEMKIAHLKRENDKLRRQKEKVILNSSNYILDSINTYVIGSYEKEYNQTPLCR >itb06g15720.t1 pep chromosome:ASM357664v1:6:20006689:20010104:1 gene:itb06g15720 transcript:itb06g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRRSFDLRNRPAKRRYSKLGWLLLFAFLTFLFFTLFRHKNPSPSTPSLLQGYNRQSKILEGLNVTEEMLSPYSVTRQINDQISLAKALVIIARESNNLQLAWEISAKIRKSQVLLSTSATRKTPLTMKESEAVIRDMALLICQAQQLHYDSATMIMRLKANIQSLDEQVRSFNEKSSKYGQIAAEEVPKGLYCLGIRLTVDWFRDPQLQREQTRNGLVAGKLENTNLYHFCVFSDNILATSVVVNSTASNAENPSLIVFHIVTDEINYAPMKAWFSMNSFHGVTVEVQKFEDFTWLNASYVPVLKQLQDSDTQNYYFSGSHGDDKTPIKFRNPKYLSMLNHLRFYIPEVFPKLEKVVFLDDDVVVQKDLSPLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNIFDLVQWKKRNVTGIYHYWQEKNIDRTLWKLGTLPPGLLTFYGLTHALDPSWHILGLGYTNVDSQLLEKGAVLHFNGNSKPWLKIGMEKYKPLWNKYVDYSHPILQQCNVH >itb07g20650.t2 pep chromosome:ASM357664v1:7:25025927:25031644:-1 gene:itb07g20650 transcript:itb07g20650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLVNNVVRLGFKLSEEDQGSINSITLFVSLLCACIVIGHLLEENRWINESITALVIGVCTGGVILLTSNGASSRILEFNEGLFFIYLLPPIIFNAGFQVKKKQFFRNFIIIMMFGAIGTLISFCIISFGAKELFEKIGIGYLDLRDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQKFDISNIDVQVTLKFAGNFLYLFSASTLLGVVVGLLSAYLIKKICFGRHSTDREIALMILLAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTASSQVTTRHAFATLSFIAEIFIFLYVGMDALDMEKWNFVKSNPGKYIGVSAVLLGLVLVGRACFVFLLSLLSNCTKRTMTDRINFKQQVIIWWAGLMRGSVSMALAYNQFTRFGHTQQPANAITITCTITVVLFSNVVFGLLTKPLVRWLLPQSQHLGGLLSPEQSALLPLLTSEQGLDVGEMGNVSLRRPSSLCMLLSQPTNTIHYYWRKFDDSWMRPVFGGGGGEGGGAPPGSPKGGGDILH >itb07g20650.t1 pep chromosome:ASM357664v1:7:25025927:25031644:-1 gene:itb07g20650 transcript:itb07g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLVNNVVRLGFKLSEEDQGSINSITLFVSLLCACIVIGHLLEENRWINESITALVIGVCTGGVILLTSNGASSRILEFNEGLFFIYLLPPIIFNAGFQVKKKQFFRNFIIIMMFGAIGTLISFCIISFGAKELFEKIGIGYLDLRDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQKFDISNIDVQVTLKFAGNFLYLFSASTLLGVVVGLLSAYLIKKICFGRHSTDREIALMILLAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTASSQVTTRHAFATLSFIAEIFIFLYVGMDALDMEKWNFVKSNPGKYIGVSAVLLGLVLVGRACFVFLLSLLSNCTKRTMTDRINFKQQVIIWWAGLMRGSVSMALAYNQFTRFGHTQQPANAITITCTITVVLFSNVVFGLLTKPLVRWLLPQSQHLGGLLSPEQSALLPLLTSEQGLDVGEMGNVSLRRPSSLCMLLSQPTNTIHYYWRKFDDSWMRPVFGGGGGEGGGAPPGSPKGGGDILH >itb07g20650.t3 pep chromosome:ASM357664v1:7:25025927:25030993:-1 gene:itb07g20650 transcript:itb07g20650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLILRKSIGVWQGVCTGGVILLTSNGASSRILEFNEGLFFIYLLPPIIFNAGFQVKKKQFFRNFIIIMMFGAIGTLISFCIISFGAKELFEKIGIGYLDLRDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQKFDISNIDVQVTLKFAGNFLYLFSASTLLGVVVGLLSAYLIKKICFGRHSTDREIALMILLAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTASSQVTTRHAFATLSFIAEIFIFLYVGMDALDMEKWNFVKSNPGKYIGVSAVLLGLVLVGRACFVFLLSLLSNCTKRTMTDRINFKQQVIIWWAGLMRGSVSMALAYNQFTRFGHTQQPANAITITCTITVVLFSNVVFGLLTKPLVRWLLPQSQHLGGLLSPEQSALLPLLTSEQGLDVGEMGNVSLRRPSSLCMLLSQPTNTIHYYWRKFDDSWMRPVFGGGGGEGGGAPPGSPKGGGDILH >itb07g20650.t4 pep chromosome:ASM357664v1:7:25025927:25031644:-1 gene:itb07g20650 transcript:itb07g20650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLVNNVVRLGFKLSEEDQGSINSITLFVSLLCACIVIGHLLEENRWINESITALVIGVCTGGVILLTSNGASSRILEFNEGLFFIYLLPPIIFNAGFQVKKKQFFRNFIIIMMFGAIGTLISFCIISFGAKELFEKIGIGYLDLRDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQKFDISNIDVQVTLKFAGNFLYLFSASTLLGVVVGLLSAYLIKKICFGRHSTDREIALMILLAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTASSQVTTRHAFATLSFIAEIFIFLYVGMDALDMEKWNFVKSNPGKYIGVSAVLLGLVLVGRACFVFLLSLLSNCTKRTMTDRINFKQQVIFKSFVRLYDESQLMVLTETVIIPQCR >itb05g05290.t1 pep chromosome:ASM357664v1:5:5028875:5031031:-1 gene:itb05g05290 transcript:itb05g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLRKAISHYILIRYYSSVFGVASSSNNIALKLSSNKSYALKYMTSKLYFSYECDKHSENWCSKPLSANIKPERLCWEGSSRAVLLRRFEIALMHHKLDEAWDTYKDFKRLYGFPDHSLVSRLVTELSYSSDSKWLRKASNLVISIQKDKFGLLRPDLMTKLSLSLARAQMPIRASAVLRQMLLKRRLPPSNILEMIFLHLVKTKTGMVLASNVLVELCDMFQQFSTNKAACMKCTKPDTVIFNLVLDACARFGSSFKGQSIIELMAQVGVIADAQTIGIISLIYEKNGMRDELNKFKEHIDKVSTYKPMCHYQQFYESLLSLHFKFDDIDAAFELLLDMYRPLVSAQISDDRMEPVKPCLIPLGSHHLRMGLTLRVLPDLLKQDTVVNVGCNHRFITCKDGRLVLSSKALAKLMLHYKRCGRISELSKLLSRIQKASPCSSNILHDVVDACICLGWLETAHDILDDLEMEGNRLSSSSYMSLFAAYHNLKMFREADVVLKQMGKAGGLSNAAEQMVSASMSEIENEGTYDLKKLTSTGKSDLTDFIAREMREEEKEAPSVVYNFNSSIYFFMKAQMIGDARRAYRKMQKMKIQPTVSTFINLVHGYSSMGMYREITILWGDIKRNIENGIQLRDSDLYELLLLNFLRGGYFERVMEIIGLMKEISMYLDKWIYKYEFLKLHKDLYRHMKVFDARNEVQKKRIEYVEEFKKWAGMD >itb03g15570.t1 pep chromosome:ASM357664v1:3:14877727:14880888:-1 gene:itb03g15570 transcript:itb03g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MYSDSKMAMRASVFSRRTLRHGAIVSAVGSVRIKLLLSCCVLFTLIALASRAAWFMGWKHQNSSPLHQPSLHRKGYTILINTWKRNDLLMQSISHYSSCPGLDSIHIVWSEPDPPSVSLVDYLKNVIHSRLRHGRRIELKFDINAEDSLNNRFKELTDSKTDAVFSIDDDVIFPCSSVEFAFTIWRSAPDTMVGFVPRVHWIDQSKGNADKYIYGGWWSVWWMGTYSMVLSKAAFFHRKYLSMYTYQMPASIREYVTKNRNCEDIAMSFLVANATNSPPIWVQGKIFEIGSTGISSLGGHSERRTHCVNRFVAEYGRMPLVSTSVKAVDSRSIWFW >itb03g15570.t2 pep chromosome:ASM357664v1:3:14877861:14880888:-1 gene:itb03g15570 transcript:itb03g15570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MYSDSKMAMRASVFSRRTLRHGAIVSAVGSVRIKLLLSCCVLFTLIALASRAAWFMGWKHQNSSPLHQPSLHRKGYTILINTWKRNDLLMQSISHYSSCPGLDSIHIVWSEPDPPSVSLVDYLKNVIHSRLRHGRRIELKFDINAEDSLNNRFKELTDSKTDAVFSIDDDVIFPCSSVEFAFTIWRSAPDTMVGFVPRVHWIDQSKGNADKYIYGGWWSVWWMGTYSMVLSKAAFFHRKYLSMYTYQMPASIREYVTKNRNCEDIAMSFLVANATNSPPIWVQGKIFEIGSTGISSLGGHSERRTHCVNRFVAEYGRMPLVSTSVKAVDSRSIWF >itb03g15570.t3 pep chromosome:ASM357664v1:3:14877727:14880887:-1 gene:itb03g15570 transcript:itb03g15570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MYSDSKMAMRASVFSRRTLRHGAIVSAVGSVRIKLLLSCCVLFTLIALASRAAWFMGWKHQNSSPLHQPSLHRKGYTILINTWKRNDLLMQSISHYSSCPGLDSIHIVWSEPDPPSVSLVDYLKNVIHSRLRHGRRIELKFDINAEDSLNNRFKELTDSKTDAVFSIDDDVIFPCSSVEFAFTIWRSAPDTMVGFVPRVHWIDQSKGNADKYIYGGWWSVWWMGTYSMVLSKAAFFHRKYLSMYTYQMPASIREYVTKNRNCEDIAMSFLVANATNSPPIWVQGKIFEIGSTGISSLGGHSERRTHCVNRFVAEYGRMPLVSTSVKAVDSRSIWF >itb02g16460.t2 pep chromosome:ASM357664v1:2:12322786:12326301:1 gene:itb02g16460 transcript:itb02g16460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRYAMVCSSNQNRSMEAHSLLKREGFDVGSYGTGQHVKLPGPSLREPNVYDFGTPYKHMFDELRRKDPELYKRNGILPMLKRNLGVKHAPQRWQDNAEDGPFDVVLTFEEKVFDMVIEDLHNRDQFLMKSVLVINLEVKDNHEEAAIGGRLALALCQEIDGVENWEDTIDDIINNFERKNRRKLLYSISFY >itb02g16460.t1 pep chromosome:ASM357664v1:2:12322786:12326301:1 gene:itb02g16460 transcript:itb02g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRYAMVCSSNQNRSMEAHSLLKREGFDVGSYGTGQHVKLPGPSLREPNVYDFGTPYKHMFDELRRKDPELYKRNGILPMLKRNLGVKHAPQRWQDNAEDGPFDVVLTFEEKVFDMVIEDLHNRDQFLMKSVLVINLEVKDNHEEAAIGGRLALALCQEIDGVENWEDTIDDIINNFERKNRRKLLYSISFY >itb06g22890.t1 pep chromosome:ASM357664v1:6:25067316:25073723:1 gene:itb06g22890 transcript:itb06g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSELVRSLESALGVSLGNDTVVVLLTTSLAVIVGLLVFIWKRSGDRSKEVKPVVVPKAMMDEPEDDAVVDPGKVKVTVFFGTQTGTAEGFAKALAEEIKARYEKAVVKVVDLDDYAADDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGEERSPWLQQLTYGIFGLGNRQYEHFNKIGKVIDDKLSEQGAKRLVPLGLGDDDQSIEDDFASWRELLWPELDKILMDEDDVNSASTPYTAAIAEYRVVVHDPATKTYEDKHTSMQNGNAVYDVHHPCKVNVAVQKELHTPESDRSCIHLEFDISGTGIVYETGDHVGVFAENCDDTVEEAARLLGQPLDLLFSLHTDKEDGTPLGGSLQPPFPGPCTLRVALARYADLLNPPRKATLVALAAHATEPSEAERLKFLASPQGKDEYSQWVVASQRSLLEVMAGFPSARPPLGVFFAAVAPHLQPRYYSISSSPKFAPERVHVTCALVYGPTPTGRIHKGVCSTWMKNAIPLERSNNCSSAPIFIRPSNFKLPADPSIPIVMVGPGTGLAPFRGFLQERAALKENGAQLGPALLFFGCRNRRMDFIYEEELKNFVDQGIISELIVAFSREGPQKDYVQHKITERASQVWSLISQEGYLYVCGDAKGMARDVHRTLHTIVQEQEKVDSSKAEAIVKKLQMNGRYLRDVW >itb03g02090.t1 pep chromosome:ASM357664v1:3:1172690:1175272:1 gene:itb03g02090 transcript:itb03g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPVTLKLNEAMLSLIIFVVLHLITTASGGSPPYTPINHILHNCGAPSEQDFKGRTWKTDDNYPECLPANEIDISVTQTAAVVGAEVPYGTVHIFRKQCTRSYPVSPGLMFIRLHFNPTNYTVPGIITFQPEDYFFSVTANEYTLLANFSAFLFASVDNPIVEKEYVVNVNADRLNITFTPSPNSVAFVNGIEIVSIPTGYYIRGEGAGDDDNQIKRVGADSNYYYLFNNTALETLHRLNVGGQTLSPVNDTGMDRTWYSDDEYLIGYGYFTPHLDVNITYTSSTPAYSAPEIVYTSSRTIANYSNAVNWTFPVDSGFLYLFRLYFCEFAIEIDGGNERVFSVDIANKTAESHVDVFLMAGGSKIPIFRDYLMNVSDMDGRRNKQNVSFTIRPNMTTRPVWANALLNGLEIFKLNNTQGSMAVPNPERLQISVNPAPPAGRPGWSRKNPKNKRFAAVAGGAAGGVAVVVILVFLVNLQRKRATRDSAKNLTRSSSSNAVNDSSPEQSTESCRHFSLDELKSATADFDESYVIGKGGFGKVYRASINQSDCSIEDGENCSIVAVKRLNKAESKQGENEFWMEIKMLSRLRNQNLVSLIGYCNEDQEMLLVYEFMARGTLADHLYKVNDDNRPSLSWEQRLNICLGAARGLHYLHARKVIHRDVKTSNILLDQDLVAKISDFGLSKTGPGNDSFTHITTDVKGTFGYLDPEYFLTKRLTTKSDVFAFGVVLFEVLSGRPALDMKLVEEQHSLATWAVECIRKGEIDQMFEGCLVGKMSPACWKVFVEIAGRCLRREPRQRPDMADVVKNLELAVALQQRAAELALNVSIIGSDNPYPSKGWRSYLLRSHLAIPTLSKGDSN >itb14g14310.t1 pep chromosome:ASM357664v1:14:16829381:16833874:1 gene:itb14g14310 transcript:itb14g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESIQSLVVQNPPGEDFSSADLNWTKFGSAEHQDEVALIPYDRVDAFIIGECSNVECPTRFHIERGRKRVEGSLKEYRPDEYLEYRMYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYACPSLALLIFNNRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCNSDAKVNSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVERNKKSVFIYQDPSEKDPFILGIQTEWQLQQMIRFGHRSIIAADSTFGIKKLKYPLCTVLVFDSRQHALPVAWIITQSIAKLDVSKWMKALFSRVHTTDPAWKANGFLIDDAAIETDPIREAFSCPLIFSLWRIRRSWLRNICKKCGTIEVQREIFKRLGDIVYSIWGGGDPVVALEELTRDFVDQTAFMQYFTSTWVPKIEMWLTTMKTFPLASQESSGAIEAYHVKLKAKLYDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYIASTSWYRALQIPDAAVSFESRQQSQHHFAKVLSQKDNNVTRLVWNPGSEFAHCDCEWSMQGNLCKHAIKVNMICGSIQGFRPSMSFQSFQEILMNLLKKPMDDSVALDLSTAWTQQMLDQIQKLVELNSSNNIGNIVNNMPLRWISRKHRTSRGKPTSALTLPPSSQNDNVAGVLCFISHAARFWGSLIALDALAPCKVIPLISYLVQSTNIKP >itb11g04550.t1 pep chromosome:ASM357664v1:11:2400789:2401172:-1 gene:itb11g04550 transcript:itb11g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSSSVRRCLRREEEQLETEEGDAATVRRRGVHAAATVDLLNLPKIPNSLLLPCVVETRGGKLSRSCLDHRNGSLALPLECSASLGKGRSGDAIVRAAAVTLFVPKLRRGRNIPCWRERYRETRG >itb07g13230.t2 pep chromosome:ASM357664v1:7:15368064:15373535:-1 gene:itb07g13230 transcript:itb07g13230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIYEIDNDKVVGFENEAATIINQLIHGSNEKEVILITGMGGLGKTTLAKRVYEEKSVVNYFDKHAWCTVSQEYDYCKNLYKIYSQVCDDTEVKIDNVAEKLRKSLMGWRYLIVLDDIWSEKAWEELNRVFPSCDKGSRIVLTSREKSVVSDAKHICHLPFFTTDESWELLQVKLFKGKECPKQIKSVGREISKKCGGLPLIVGLIAGLLGGVEKSEQTWQEFLNNILSSQVAFRGGMQSNDVIELSYKHLSHHLKQCLLYFSAFQEDVEIEVSYLIELWISEGFIEIMEEKRVEDTAKHYLNHLVGSNLVMISKRNYDGDILCCAVHDLVHDFCLAKAKEENFLHIIKMEDKLDPTLKFTPHRISFHRYSCDGEIPNELVPWNSPIGTILGYPKIYTINYWNAYDGSWLGKKFEHLTILNFEFMSVCESILSEMNSLVHLKYLALYLYGSGSISPLSLKNLQCLVTLKLSSDKDLHLPKYFLNLKSLRHMTISHYDCDSCPTEPTPAGGIETISGLEVLQSLDLETFLCIRRDEHLLRKLSHLKYLNCAVSPYPFAEEIGMLHHLEFLRLHELRYRGGHKLYSHMNPHLLKDLKLSKFPPNIKEINLESITLSSSAISIIAQLSNLEALILVACKFEKEEWNVDEETLFCRLKYLELINPGISIWNISSAECFPCLEQVILNSCWNLQTVPCSLADIFTLKLIRVHQCTASCARSVKKIEKDVQEMGNDEQLKIILRRMANDSDISFENMTGPIKRRHDRYYLNLQGNK >itb07g13230.t1 pep chromosome:ASM357664v1:7:15367164:15373535:-1 gene:itb07g13230 transcript:itb07g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIYEIDNDKVVGFENEAATIINQLIHGSNEKEVILITGMGGLGKTTLAKRVYEEKSVVNYFDKHAWCTVSQEYDYCKNLYKIYSQVCDDTEVKIDNVAEKLRKSLMGWRYLIVLDDIWSEKAWEELNRVFPSCDKGSRIVLTSREKSVVSDAKHICHLPFFTTDESWELLQVKLFKGKECPKQIKSVGREISKKCGGLPLIVGLIAGLLGGVEKSEQTWQEFLNNILSSQVAFRGGMQSNDVIELSYKHLSHHLKQCLLYFSAFQEDVEIEVSYLIELWISEGFIEIMEEKRVEDTAKHYLNHLVGSNLVMISKRNYDGDILCCAVHDLVHDFCLAKAKEENFLHIIKMEDKLDPTLKFTPHRISFHRYSCDGEIPNELVPWNSPIGTILGYPKIYTINYWNAYDGSWLGKKFEHLTILNFEFMSVCESILSEMNSLVHLKYLALYLYGSGSISPLSLKNLQCLVTLKLSSDKDLHLPKYFLNLKSLRHMTISHYDCDSCPTEPTPAGGIETISGLEVLQSLDLETFLCIRRDEHLLRKLSHLKYLNCAVSPYPFAEEIGMLHHLEFLRLHELRYRGGHKLYSHMNPHLLKDLKLSKFPPNIKEINLESITLSSSAISIIAQLSNLEALILVACKFEKEEWNVDEETLFCRLKYLELINPGISIWNISSAECFPCLEQVILNSCWNLQTVPCSLADIFTLKLIRVHQCTASCARSVKKIEKDVQEMGNDEQLKIILRRMANDSDISFENMTGPIKRRHDRYYLNLQENLRIGCMAYLAAKKFLNDKGEC >itb03g18660.t1 pep chromosome:ASM357664v1:3:16937645:16939617:1 gene:itb03g18660 transcript:itb03g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPYSENSSSSPPFPFKLSNDEDHDHHHQNHNLNFTTPNYHVSSSSSAHSQPSHHLFLNSSSWDQPQLYYDSDPQFFYPQHPKVDNHAANGSGSYDQGPKTKASLWSTNNCEDSNDHHRTQDGVIPVKWMSSKMRVMQKMKSSNRACQGPTMASTTTTTVAATTETTMMKIIEDEKRGSSCLESEHSSNSSSNHSSSNVVPIRVCADCNTTKTPLWRSGPKGPKSLCNACGIRQRKARRAMAAAAVTAAATEATASSLKINKAKPTKEKPTAAAKNPTGVNHHHHHDVTPFKKRYKIVTGGRPSDQQAKKTKKKMNGFEDFLIKLSKNSAYHRVFPQDEKDAAILLMALSCGLVHS >itb04g15000.t1 pep chromosome:ASM357664v1:4:16117057:16121342:-1 gene:itb04g15000 transcript:itb04g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQHYTCLPPFTNIKIRIYLEPTGHSRSYYLLSGLYSLLPSHSPPPMLPYATIQEAEAAVGRSLTVAETIWFNYSATKSDYFLYCHNILFLFLIFSMVPLYYLFLEFFLEKYIHSYKIQPKVNLTLSDSFRCYKSVMRMFILVVGPLQLVSYPSIKMIGIRTSLPLPSIWEIAAQLIVYFFVEDYTNYWIHRFLHCKWGYEKIHKVHHEYTAPIGFAAPYAHWAEILILGIPSFLGPAMVPCHIITFWLWIGLRQIEAIETHSGYDLPWTPTKYIPFYGGPDYHDYHHYVGGLSQSNFASVFTYCDYIYGTDKGYRYQKKVLQQLREGSKTNGEQNGFSHISGEDIKVD >itb02g08970.t1 pep chromosome:ASM357664v1:2:5667359:5669332:-1 gene:itb02g08970 transcript:itb02g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTQGGGSASPAPFLAKTYELVDDRATNSVVSWSRGGRSFVVWNPPEFARDLLPKYFKHNNFSSFIRQLNTYGFRKVDAEQWEFANDEFIRGQTHLLKNIHRRKPIHSHSAQPNSGVPLSESERRELEEEIESLKQENTSLRVELDRREQENRECRFEFQSLNSRLQSIDERQRQVVALIAQQISLKPDLMHRLEVVHNKKRKPSVSNGQLELVNNLDSSITVCHNILNGISNGEEAYGNFETLPLQASPVIVTDFQAPSGDSRFSSPSTQTDTPEISSFHTNAACAVDVDRLKDRLEGRSSTAAVPVGGNDVFWQQFLTEEVESERREIGMRNGRECMIVFAEQMGHFTPATGI >itb06g22670.t1 pep chromosome:ASM357664v1:6:24921103:24925366:1 gene:itb06g22670 transcript:itb06g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAANCLLLFQFHFRNYTDSTSIALTPNLRSRTSTHLRLQLRRSSSPVVSLPSRSRSAAFRCSSSLSSVSDMDASGGQSVYPLHRCKTIHLVRHAQGFHNVEGEKDHNAYLFRHLFDANLTPLGWQQVDNLRKHVNSSGLSKRVELVVTSPLLRTIQTAVGVFGGEGLADDIQGLPLMVTNAGNSSRPAISSLNCPPFLAMELCREHIGVHWCDKRRNISEYKPQFPAIDFSLIEHDDDFLWKEDIRETDEEIATRGMKFLNWLLTRKEKEIAVVTHSGFLIHTLKQFGNDCNPNVKNEICTPFKNCELRSMVIVDRCMIGSDTPTTDYPGKIPSGSDVPSD >itb07g11620.t1 pep chromosome:ASM357664v1:7:13097009:13101831:-1 gene:itb07g11620 transcript:itb07g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFLALSQSAILLPHSRVQAWNRRQHSFDHYQPFPTMPLSLRALTRSPTYSPHICTRQNRFMWGITAGVVSDSSIPTTFAADSAGREYSVAPDSGGDGNGPSDANGNGDGGDGGNNDNNNGGGNEGGSDDGKDHSKRKAALSMSQKLTLGYAFLVGAGGVMGYLKSGSHKSLIAGGVSASLLYYVYTVLPTNPVFASSVGFVLSAGLLGVMGSRFMKSKKVFPAGIVSFVSLVMSGGYLHGILRSAH >itb05g21760.t1 pep chromosome:ASM357664v1:5:27397148:27400182:-1 gene:itb05g21760 transcript:itb05g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTFLPPGFRFHPTDVELIVYYLKRKIMGKKLHFFEAISEINIYRFSPWDLPDKSCLKSKDLEWYFFCPRERKYNSGARMNRSTETGYWKTTGRDRPVIYNERTVGMVKTLIFHEGHAPKGNRTDWVIHEYRIEDLHMEEETLKDSYVLCKVFKKSGLGPKNGAQYGAPFSEEDWEDDFENCDEPIPFSIPTHPINQNCSSVTSMIDPGCSSRQPSSEPGCASHQPSAEPGPYATPPTKLADPINQNCSSVTGGMIDPGCSSHQPLAEPDPSAVPPAKHEDPINQNCTSVADMIDAGCSSRQPSNEPGPSLSRLHADEMLPTNQNCSVFMGTVDPGNTCSQSLAKPGPSLAVPHSSEVPPDDDISHLFASFLEDTDLLPIENNENVNCCNQGLNVDMMPCMDGNDIYTAFGGRVNFADLSGGRSYLSGSLVADHPPNSLFPQDDASFLELNDLLMPVDHCTRTSEAHPLVEENLYSSNASFLGMEHFNYGGNFSGGNQFIPTQSQLQVLPQHYTQQVTGIGFNASQGYNTMQVTGMEFNASQGYDAMQVSGIEFNAGQGYNTLYNYGFDTTMHQHGMITSHAADRDQHRG >itb01g32110.t1 pep chromosome:ASM357664v1:1:35694807:35697963:1 gene:itb01g32110 transcript:itb01g32110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MSASESFQGVSNCYVFKSRLQEYAQKVGLPTPVYETIKEGPSHEPTFRSTVILSDTRYDSLPGFFNRKAAEQSAAEVALIELSRSGERKECISQPVHETGLCKNLLQEFAQKMNYAIPLYECRKDEVTGRPALYSCTVEIGGVKYIGAAARTKKEAELKAARTALLAIESDPSKSNNRPTDYSSYTVVPLKKKVADLGISHQETAAALKPKKGRAARLKKKSRKKRKLLPKSDITDCCTGSIDVTTDEAGLKLGTNDATALEVTDYGVSHMEMKLELIHTYGENNLGGTAAILGVGEVNPVEISPTLCQVELLSGMNVMEEVASVMDASQGMMTASMDA >itb09g16030.t2 pep chromosome:ASM357664v1:9:11328610:11330407:1 gene:itb09g16030 transcript:itb09g16030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDNIDRNLGKKMMGEEVMRTVEFLRGRLLAERVASRKAKEAAQLMGNKLVYLETKLKEETKSRNKAEKRLKFLKKKLECMNVSYVSDESENSSYEVSSIPSTSTPYSSTKDSANCCQEMEKVKTGNDSSESLSGKCETKEAGDAIEEENMMSSLGKANLVESSKLEFNGNHDLSMANGNRRSSQEGKEMDAENNLVREENVDDSLAIVPVEMLKIKQTIDPDVLDETVREVLGSLRHAKEKLQNQMDRRRLKQCLLPDLPFPNP >itb09g16030.t3 pep chromosome:ASM357664v1:9:11328592:11330407:1 gene:itb09g16030 transcript:itb09g16030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEEVMRTVEFLRGRLLAERVASRKAKEAAQLMGNKLVYLETKLKEETKSRNKAEKRLKFLKKKLECMNVSYVSDESENSSYEVSSIPSTSTPYSSTKDSANCCQEMEKVKTGNDSSESLSGKCETKEAGDAIEEENMMSSLGKANLVESSKLEFNGNHDLSMANGNSRRSSQEGKEMDAENNLVREENVDDSLAIVPVEMLKIKQTIDPDVLDETVREVLGSLRHAKEKLQNQMDRRRLKQCLLPDLPFPNP >itb09g16030.t1 pep chromosome:ASM357664v1:9:11328592:11330407:1 gene:itb09g16030 transcript:itb09g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDNIDRNLGKKMMGEEVMRTVEFLRGRLLAERVASRKAKEAAQLMGNKLVYLETKLKEETKSRNKAEKRLKFLKKKLECMNVSYVSDESENSSYEVSSIPSTSTPYSSTKDSANCCQEMEKVKTGNDSSESLSGKCETKEAGDAIEEENMMSSLGKANLVESSKLEFNGNHDLSMANGNSRRSSQEGKEMDAENNLVREENVDDSLAIVPVEMLKIKQTIDPDVLDETVREVLGSLRHAKEKLQNQMDRRRLKQCLLPDLPFPNP >itb02g04330.t1 pep chromosome:ASM357664v1:2:2563287:2564693:-1 gene:itb02g04330 transcript:itb02g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDTPSLYSFPSANVSEEDFKLFHSIDRKLFARLVVGLGRDPSESLQLMAFWLWLENAGNDMFLVKKVLSLPAQLMSEVAEETILCLKCVKNENFPFMEVDLALLPNLVKKNGLTSPVYLHQNRVAVMRGLKKMVTKVCFRAFDDILVHLANQTAVSRPGNVGTTYEQAGTEGPHPSFYHSYAPATQFYPNSPYTSSLGQMSYPPPAVVSADFPPLVPRPKAGPPPLAAAPEQLPLPPQMSTEMLEMFNRSMRIPAAEEVHPDDRTIFLTFSKGYPITEQEVKDFFSRKFGDSIEAIHMQEVGSGEQVLYARLVARSLAALEAIVIGGKAKYNINGKHVWARKYVKKQNSKALSSSPPSSSSSLSSSPAATSSKP >itb05g14760.t1 pep chromosome:ASM357664v1:5:22047634:22050981:-1 gene:itb05g14760 transcript:itb05g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVRAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFLSPSENDQTLKLQLKDVPLEFSWPVARIRDAFPNLDSSVASSPSSCSLETLKSIASLVEKQKIPEANIGLASGVSAFLWLYTSILGCKPAKAVVHSELPMGAGLGSSAALCVALSAAILSLSDSISIDFSQKGWQTFRDSELELVNKWAFEGENIIHGKPSGVDNTVSTYGNLIKFKSGDLTHLQTNSPIKMLITNTRVGRNTKALVAGVSEKTLRHPSAMISVFNAVDSISNELATIIQSPVADDLAITEKEEKMGELMEMNQGLLQCMGVSHSSIETVLRTTAKYKLYSKLIGAGGGGCVLTLLPTLLSGTVINKVIAELEACGFQCLIAGIGGSGVEVSFTGASW >itb05g14760.t2 pep chromosome:ASM357664v1:5:22048079:22050981:-1 gene:itb05g14760 transcript:itb05g14760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCTDPPPWRLPLIFIPMSLSASFLPPKIPEANIGLASGVSAFLWLYTSILGCKPAKAVVHSELPMGAGLGSSAALCVALSAAILSLSDSISIDFSQKGWQTFRDSELELVNKWAFEGENIIHGKPSGVDNTVSTYGNLIKFKSGDLTHLQTNSPIKMLITNTRVGRNTKALVAGVSEKTLRHPSAMISVFNAVDSISNELATIIQSPVADDLAITEKEEKMGELMEMNQGLLQCMGVSHSSIETVLRTTAKYKLYSKLIGAGGGGCVLTLLPTLLSGTVINKVIAELEACGFQCLIAGIGGSGVEVSFTGASW >itb05g14760.t4 pep chromosome:ASM357664v1:5:22048079:22050981:-1 gene:itb05g14760 transcript:itb05g14760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVRAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFLSPSENDQTLKLQLKDVPLEFSWPVARIRDAFPNLDSSVASSPSSCSLETLKSIASLVEKQKIPEANIGLASGVSAFLWLYTSILGCKPAKAVVHSELPMGAGLGSSAALCVALSAAILSLSDSISIDFSQKGWQTFRDSELELVNKWAFEGENIIHGKPSGVDNTVSTYGNLIKFKSGDLTHLQTNSPIKMLITNTRVGRNTKALVAGVSEKTLRHPSAMISVFNAVDSISNELATIIQSPVADDLAITEKEEKMGELMEMNQGLLQCMGVSHSSIETVLRTTAKYKLYSKLIGAGGGGCVLTLLPTLLSGTVINKVIAELEACGFQCLIAGIGGSGVEVSFTGASW >itb05g14760.t3 pep chromosome:ASM357664v1:5:22048079:22050981:-1 gene:itb05g14760 transcript:itb05g14760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRVRAPAKIILAGEHAVVHGSTAVAAAIDLYTYVSLSFLSPSENDQTLKLQLKDVPLEFSWPVARIRDAFPNLDSSVASSPSSCSLETLKSIASLVEKQKIPEANIGLASGVSAFLWLYTSILGCKPAKAVVHSELPMGAGLGSSAALCVALSAAILSLSDSISIDFSQKGWQTFRDSELELVNKWAFEGENIIHGKPSGVDNTVSTYDDLAITEKEEKMGELMEMNQGLLQCMGVSHSSIETVLRTTAKYKLYSKLIGAGGGGCVLTLLPTLLSGTVINKVIAELEACGFQCLIAGIGGSGVEVSFTGASW >itb01g24400.t2 pep chromosome:ASM357664v1:1:30066389:30066904:-1 gene:itb01g24400 transcript:itb01g24400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKPGKGKCIGQMGMHTKDIGNGVPKENGTFRWEDGSYYVGNWSRDPIEQNGNHYPSELSIDWDPRADKVLILPSQKKLGVWRSAKHGIRPKRMSVDVRLGAGVDRDFTRGGGGSSHALSTSSTFHEEGYPRGSPIRIPNSKDVKKQGEVICKGHKNYELMLNLQLGIR >itb01g24400.t1 pep chromosome:ASM357664v1:1:30064720:30066904:-1 gene:itb01g24400 transcript:itb01g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKPGKGKCIGQMGMHTKDIGNGVPKENGTFRWEDGSYYVGNWSRDPIEQNGNHYPSELSIDWDPRADKVLILPSQKKLGVWRSAKHGIRPKRMSVDVRLGAGVDRDFTRGGGGSSHALSTSSTFHEEGYPRGSPIRIPNSKDVKKQGEVICKGHKNYELMLNLQLGIRHAVGRPGPSPSLDLKPSAFDPKEKVWTSFPPEGSKSTPPHQSCKFKWKDYCPKVFSALRLLFNVDPADYMLSICGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLKMLNAYFNHVRAFGNTLVTKYFGLHCVKLTGKAQKKVRFMIMGNLFCTGHTIHRRFDLKGSTFGRLTDKPESDIDATTTLKDLDLNYIFRLHKEWFEEFRKQVDRDCELLEHERIMDYSLLVGIHFKELGNSGEGSEPESSQADMDQLPQDPTCSGDMKLGISMPARVERTERRDDPEPQLVGEPTGEYYDVVLFFGIIDILQHYDITKKLEHAYKSFQHDSNSISAVDPKAYSRRFRDYIFKAFAEDS >itb11g05360.t1 pep chromosome:ASM357664v1:11:3171657:3173024:-1 gene:itb11g05360 transcript:itb11g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCDVSGLKKGTWTVEEDKKLAAYISQYGCWNWRQLPKYAGLSRCGKSCRLRWMNYLRPNIKRGNYTQEEDQLILKMHRQLGNKWSAIAAHLPGRTDNEIKNHWHTSLKKLTEQGYASSSSSPTQQPRKKPSAGRTKRSRKQQPIPSASAPYSSSSNVSAHEILESSQWSSSQQAFSSSSPSSSSPSIGTSSPNAGNTPEACADQNQTPPQIVGSTSEDESFWNEPFLLDNAFASGDDFLDYRPASPFSQYGQFSSSCNLFDELVNELMDYL >itb11g07350.t2 pep chromosome:ASM357664v1:11:4506150:4508443:-1 gene:itb11g07350 transcript:itb11g07350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRFSNTVIGFLNLLTLLASIPIIGAGLWLARSSTTCEKFLQTPLLIIGFIILVVSLTGFIGACFHVAWALWLYLFVVLLIVLALLGFTIFGFVVASPGGAAAVPGRAYREYHLGKYSAWLKDRVRDPRSWTTIRACILGSKTCASVANWTPYDFLFRDMSPIQSGCCKPPTSCNYAGAVMAEDPDCYRWSSDANLLCYECDSCKAAVLEDVKRDWQKVSVLNIVVLVFLIGIYSIGCCAFQNAKRAETEYEHGPNRMSKVRPRWDFHWWRWLHYKRHRLS >itb11g07350.t1 pep chromosome:ASM357664v1:11:4506151:4508443:-1 gene:itb11g07350 transcript:itb11g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRFSNTVIGFLNLLTLLASIPIIGAGLWLARSSTTCEKFLQTPLLIIGFIILVVSLTGFIGACFHVAWALWLYLFVVLLIVLALLGFTIFGFVVASPGGAAAVPGRAYREYHLGKYSAWLKDRVRDPRSWTTIRACILGSKTCASVANWTPYDFLFRDMSPIQSGCCKPPTSCNYAGAVMAEDPDCYRWSSDANLLCYECDSCKAAVLEDVKRDWQKNAKRAETEYEHGPNRMSKVRPRWDFHWWRWLHYKRHRLS >itb01g03940.t1 pep chromosome:ASM357664v1:1:2610604:2613082:-1 gene:itb01g03940 transcript:itb01g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFFIWLHIYILGLSYFGRTFWTKLGRTLFIREDFSYYADICFRYFGDRVKHWATFNEPNIVAIRGYRSGIYPPSRCSGSFGSCEAGDSETEPFVAAHNMILSHAAATSIYKTKYQGTQGGSIGIVMYTAWFEPFSNSSEDKSAAKRAQSFLTNWFLNPIIFGKYPAEMRQILGSGLPTFSKDDLRMMRNGVDFIGINHYTSFYAKDCIFSACEQGPGVTKTEGRYLRTPSKDGFGDLDNNPNSSTVVASSLNDFKRVEYMKGYLTSLAEAIRKGADVRGYFAWSLLDNFEWSDGYTLRFGLFHVDYSTLRRIPKLSASWYKGFISKHLRILQTQSA >itb11g22830.t1 pep chromosome:ASM357664v1:11:24709871:24713243:1 gene:itb11g22830 transcript:itb11g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSASDEAGASKTKGSGKEGKKEKISVSAMLASMDQKPDKPKKASSSRPKAPPKVSSYTDAMDLPPSDDEDEEEGLVENDEQNDNHKQTGRRSKKDTDTLEISVTDKELKKREKKDVLAAHAAELAKKEALKDDHDAFTVVIGSRASVLDGQDDADANVKDITVDNFSVSARGKELLKNCSVKISHGKRYGLVGPNGKGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVSANEELIKTRQEAASLQDLAASVGENEDDDDGNDVGEKLAELYDKLQIMGSDAAEAQASKILAGLGFTKAMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCTEIIHLHDMKLHFYRGNFDDFESGYEQRRKEMNKKFEVYDKQLKAAKRSGSRAQQDKVKDRAKFAAAKESKKKAKDRVDEDEPLAEAPQKWRDYTVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDETAVQYLLRLHPDQEGPSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKSRVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEVSEIWVVENGTVMSFPGTFDEYKEELQREIRAEVDD >itb02g19380.t1 pep chromosome:ASM357664v1:2:16474500:16480885:1 gene:itb02g19380 transcript:itb02g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNETIARLFYNASSSFQLLLFFSYVTSIFLAKLFYFLGGNIFSFFQRNQEAYEFAGFSDDDNEEVEDEKCYTYHHQSTLLVSKAIHGGGGGDEGMGFVHQKAFDGGDAVLPEECSGSEAVTACSSPAPVEPCDDDEAKIPTAQHYFDSSNNSYDVSGGNNKLGPTLYFHRNIKNGVFDTSSDIDNFLEDCYKGVKKEKRGMVRNFMDVEEDFFVFAPSKLESKKKFEFEEKENMNKKEEGFGLGCTVGSCTSSESEWRSSIKYSEDPFSSSSRRSCPTWESYAVFHKYDEEMLFLDRISVQKLHETESLRSSLQSCPRSISERIACKLTRNKTSSDFRHINNPYHELEAAYVAQICLTWEALNWNYNYFQRLRASFGGRVTGDDHGCPSTVAQQFQQFQVLLQRYIENEPYEHGRRPEIYARMRSLAPKLLQVPEYRDSDEKGEEGAGSRVPSESFLRIMEEGMRTFMNFLKADKENHCRIIASFFRKNRRGSADPTLLLLLKKVNKKKKSKVKEVKRAGKCLRKKWLKEEEEMEILMAEIDLKVVSRVLRMTELNDEQLHWCEDKMSKVKISDGKLFRDSSTLFYPASCTTFIDPIQTPN >itb03g01640.t1 pep chromosome:ASM357664v1:3:920688:925934:-1 gene:itb03g01640 transcript:itb03g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQARSDVFPRRDSFSGARNGAAGNRSRSSSSSSQLLSGLDKVKSGLLVSKEEPEKDKPEEPAGAGFSSSAGSRRQSGNLTVLKKENSKNNDASFGVNVARRLTEGEFVAAGWPAWLSSVAGEAIDGWLPLRADMFQRSEKIGQGTYSTVYRARDNESGKMVALKKVRFDNFQPESVRFMAREITILRRLDHPNIMKLMGIVTSRLSCSIYLVFEYMEHDLAGLLSCPEISFSESQIKCYMKQLLSGLEHCHSTGVIHRDIKVSNILVSNEGVLKIADFGLANFMSARNKQPLTSRVVTLWYRPPELLLGSTNYGETVDLWSAGCVFAELFSGRPFLKGRTEVEQLHKIFKLCGSPSEDYWKKSKLPLATVFKPQHPYESVLRERCREFPKDAVDLLETLLSVEPYNRGTASSALDSEYFNSPPYACDPSSLEKYPPNKEMDAKLRDEERRKKAVTAAMGSAAAAAAAPASKNPRKGRKVLQESSSFSRVIPPEEVEVSVHFSRRNTTNNGGGGPKGAATAATKVSSSKPSCDDTRSDVSLAPTELSQADTVCSAPPETAARTTKNQKPDSSSNLRTHNNYPNSRNQKPNNIGAEPYSSAVQSKRVSFDSSDRSPENMAEKRASRKQRLKAGRMQSFDSSEIYQARKSSEDNEDQQGRTGLIGPLFHQTNKYDARHQDTKTRQAARDRRSRFSRENF >itb01g22080.t1 pep chromosome:ASM357664v1:1:28016256:28026362:-1 gene:itb01g22080 transcript:itb01g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPNRPPGKIEDGEDDGEGDTRRLSPKLAVIVRLGPPRTTASHPKHLDGIFKHQMRSPVRKETTGTHKLPYISINNYIVFNSTALKGAPSHSSNRACSTVSRYKERSQRELEEEEEGLRDTQKEEDFIFRSIFERMASRTVVQHQNRGDLQLRELWSMQKTCTSSTRKLRQITYA >itb14g18170.t1 pep chromosome:ASM357664v1:14:21281711:21284671:-1 gene:itb14g18170 transcript:itb14g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELESVAKAKRELEEIYLGVPDDSVNLTFQDFVRLTIQEQPPFNLSVSSLGSDDNKLEIDEPIYATTHARLPSLDFKQALEALSYRYPTHNHNHSHNSRSPQQNRTLQEQLNLPIENPVKSAKSHHSPSSRFPQQDRPLQEKSNLPTENTVKSTKSHNPNFRSPQHDSPLQEKSNLSTDNPVTPAKSHSYHGHHDHHDTRVHAHETINARHHHQHRKQILQRGHGGEAHGNNYATDMHDVSRQHHAIPCHYDTVRRTSEMSTGSGVSATATPPHQGRRRRPGTPHSNICTLCSTHAYIFRHRCLVCGRVYCRPCVRVGMGDMSEGRKCVECLGRRFSQRYIQRAGQMGCCMGYPSLVKQQELKWAEKGPKRSGDSRPERSGAISRSRSPAQTSPIITHSPGPTPSFGIESPYSPYTPTRHYPLPF >itb04g07930.t1 pep chromosome:ASM357664v1:4:5487362:5487703:1 gene:itb04g07930 transcript:itb04g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICEGKESWPELVGEQALVAKTIIEKENPIVTAIISYPGCPRILNFRCDRVFVSVDCNNVVQTTPIIG >itb13g18500.t1 pep chromosome:ASM357664v1:13:25443290:25448397:1 gene:itb13g18500 transcript:itb13g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLVEEMNIIGPVSNSDDSSKHTDVMVPVAHSERSPVLLLMGGGMGAGKSTNAVVVEADAFKETDVIYRALSSRGHHQDMLQIAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWKPFVEQTIAMARDVHQHRYRMGEGYKIAEDGTVIERYWEQVEEEGESPKVKRPYRVELVGVVCDAYLAVVRGIRRAIATGRAVRVKSQLKSHKRFATAFKSYSELVDNAKLYSTNDVDGPAKLIAWKDGDNKLLVDQDEIRWLDLVKSLNDEAESIYEVYSNPDAISKPGSIWFDKIMSPTRAPLQLSDFASTTMADAEHDVAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFARGLKRKPMALIKKLRKAKREAPPGEKPEPVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEVKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >itb06g24550.t1 pep chromosome:ASM357664v1:6:26082119:26082937:1 gene:itb06g24550 transcript:itb06g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSLAHKLLQPLHISPTFTKPSTIQARRKNPIVSSLHIKTRRAIALTIAASTPLLFLLSRREAIAGFDLRLTEPEQTLEEALSTIEDHAKSLLQVKELLEEDESSWREAQRALRNRSALLKQDIYTIIQGKPGTERPLLRKMYSTLFNSVSRLDYAARDKDVTRVWESYDNIVMALDDILPKLLPSKS >itb09g26990.t1 pep chromosome:ASM357664v1:9:27527425:27528288:1 gene:itb09g26990 transcript:itb09g26990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGLRRSSSRTNRESEVPKGHFAVYVGEREKKRFVIPISCLKCPSFQGLLSQAEEEFGFDHLMGGITVLF >itb01g07270.t1 pep chromosome:ASM357664v1:1:5487553:5490279:-1 gene:itb01g07270 transcript:itb01g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASQDHHHHDHDHDHHHHHSHEDSWVGADGKVYHSHDGLAPHSHEPIYSPGYFSRRAPPLSNRDFNERAFTVGIGGPVGTGKTALMLALCKLLRDRYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDIAPAIGADLSVMERDALRMRDGGPFVFAQVKHGVGVEEIVNHVLQAWEVATGNKRH >itb01g07270.t2 pep chromosome:ASM357664v1:1:5487553:5490015:-1 gene:itb01g07270 transcript:itb01g07270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MLALCKLLRDRYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDIAPAIGADLSVMERDALRMRDGGPFVFAQVKHGVGVEEIVNHVLQAWEVATGNKRH >itb09g30980.t1 pep chromosome:ASM357664v1:9:31509939:31515969:-1 gene:itb09g30980 transcript:itb09g30980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLEDDDRSALAGLSNSPPHRKAYSYSQQLRTNTGTHHKRHHQFRKHSLDDDRIPQKIGSTAYAAYDNSSSDDDFYPYSISNNTIGGSDRYEFHALSQRLDTIPADDSDDLPYQQSMPEFVGAGGTGIFKVPSRAAVHPGRPPCLELRPHPLRETQVGRFMRTMTCTETQLWAGQECGVRVWKFSDAYEPGLGIGGRAKRGDEDASPFYESVNTSPTLCLLVDQGSRFVWSGHKDGRVRSWKMDQRYDDAPFKEGLSWQAHRGPVLAMVISSYGDIWSGSEGGFIKVWPWEVIEKSLTLSPEERHMASLLVERSNIDLKSQVTVNGVCNIPSSEIKCMLSDHARAKVWAATSQSFSLWDARTRELVKVYNIDGQVENRVEIPTQDQAVEDEMNVKFVSKTKKEKSTFLQRSRNALLGAADAVRRVATKGAGAFNEDAKKTEALVLAPDGMIWAGSSGGLLVQWDGNGNRVQDFHHHPCAVLCFCTHGSRIWVGYVSGMVQVLDLEGNVLAGWIAHNGPVMKMAVGNGYVFSLANHGGIRGWSIASPGPTDSIVRPALAEKEDMYTTLEQIRILIGTWNVGQGKASQESLTSWLGSTVSDVAIVVIGLQEVEMGAGFLAMSAAKETVGLEGSSLGQWWQDAIGKALDEGSTFERVGARQLAALLITIWVRKTLRTHVGDLDVAAVACGLGRAIGNKGGVGLRLRVFDRIMCFANCHFAAHLEAVNRRNADFDHIFRTMSFSRSSNTNAVAPVSSAAQMLRGPNAAAVNPDEGKPDLAEADLVALCGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREAIIRFPPTYKFERGKPGLGGIISLF >itb05g04850.t1 pep chromosome:ASM357664v1:5:4512433:4515441:-1 gene:itb05g04850 transcript:itb05g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRAPKKSDNTKYYEILGVPKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCPKCKGKGSKSGASMTCGGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETISDKDRCPQCKGEKVVQEKKVLEVLVEKGMQNGQRITFPGEADESPDTITGDIVFVLQQKEHAKFKRKGDDIFVEHTLTLTEALCGFQFVLTHLDNRQLLIKSQPGEVVKPDQFKAINDEGMPMYQKPFMRGKLYIHFTVDFPETLTPDQCKALEAVLPPRPATKITDMELDECEETTLYDVNIEEEMRRKQQQQAQEAYEEDEDMHGGAQRVQCAQQ >itb08g07320.t1 pep chromosome:ASM357664v1:8:6249138:6253496:1 gene:itb08g07320 transcript:itb08g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MATASSLSLLRGPLTVRALGFVRASNTRLPHSLPLFHRAIHYRRSYVSAATDEEASARLAAATADTGAPTIFDKIIAKGIPSTIVYEDDEVLAFRDINPQAPVHVLIIPKLRDGLTQLSKAEERHTEILGRLLYAAKIVAEKEGILDGFRVVINNGPQACQSVYHLHLHVLGGRQMKWPPG >itb08g03070.t1 pep chromosome:ASM357664v1:8:2570643:2572624:1 gene:itb08g03070 transcript:itb08g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVDIVIAGGGIAGLATSLGLHRLGLQSLVLESSESLRAGGFGLMLWNNAWRALDSLGVADSLRRHSLPIKDFHISQSMSISCFIRNIECRCLRRKMLIETLEKELPQGTVRYSSKVVSIEESGPFKLVHLADGSLIRTKVLIGCDGVKSVVAKWLGLEKPVVSKRIGIRGFVEYPEKHGFEPKFFLLIGVGVRFGILPCNDNALYWFYNFTPSIAGFDQSAKKDPVKLKEFVLGKIKNASNEVKGVVERTPLDCISCVKLKLRLPWNVLVADIVRNNVCVVGDALHPMTPDIGQGACSALEDSVVLARRLGEAFLLKPGGGVGDEEFMRIRNGLDRYGKERRFRSFLLISCSYLIGFIQESDNRVVSFLRENFLARYTLAISLGMADFDCGKLVFS >itb08g03070.t3 pep chromosome:ASM357664v1:8:2570643:2572624:1 gene:itb08g03070 transcript:itb08g03070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSSFHISQSMSISCFIRNIECRCLRRKMLIETLEKELPQGTVRYSSKVVSIEESGPFKLVHLADGSLIRTKVLIGCDGVKSVVAKWLGLEKPVVSKRIGIRGFVEYPEKHGFEPKFFLLIGVGVRFGILPCNDNALYWFYNFTPSIAGFDQSAKKDPVKLKEFVLGKIKNASNEVKGVVERTPLDCISCVKLKLRLPWNVLVADIVRNNVCVVGDALHPMTPDIGQGACSALEDSVVLARRLGEAFLLKPGGGVGDEEFMRIRNGLDRYGKERRFRSFLLISCSYLIGFIQESDNRVVSFLRENFLARYTLAISLGMADFDCGKLVFS >itb08g03070.t2 pep chromosome:ASM357664v1:8:2570643:2572624:1 gene:itb08g03070 transcript:itb08g03070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSSFHISQSMSISCFIRNIECRCLRRKMLIETLEKELPQGTVRYSSKVVSIEESGPFKLVHLADGSLIRTKVLIGCDGVKSVVAKWLGLEKPVVSKRIGIRGFVEYPEKHGFEPKFFLLIGVGVRFGILPCNDNALYWFYNFTPSIAGFDQSAKKDPVKLKEFVLGKIKNASNEVKGVVERTPLDCISCVKLKLRLPWNVLVADIVRNNVCVVGDALHPMTPDIGQGACSALEDSVVLARRLGEAFLLKPGGGVGDEEFMRIRNGLDRYGKERRFRSFLLISCSYLIGFIQESDNRVVSFLRENFLARYTLAISLGMADFDCGKLVFS >itb06g15500.t1 pep chromosome:ASM357664v1:6:19838238:19841354:1 gene:itb06g15500 transcript:itb06g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEGLRHYFCSILLSLLTFCTTANIITTDQPITDGRTIVSAGGKFELGFFSPGSSKKRYIGIWYSNIPTKDVVWVANRETPLNNTSGKLMLKDNGILVLLDGSNEEIWSSNSSTSLKNPVARLLDTGNLVVREGNDHSSNNSAWQSFDYPGNTLLPGMKLGQNLATGHVWSLTCWKSADDPALGEYTEMVDINGYPEIFQFRGTNKSPISRSGPWNGEMFTGVPSIKDNPYYTFEFIMNDREIYYTYELKDSSVPSRVVITPTGMINRLIWIERTKSWIVYLTAQTDNCERYGMCGAFGKCNINSSPPCDCLKGFIPKYPQDWDATDWSNGCVRRTQLDCGDADRFYIYTGVKMPDTRRSWYDKSIGLEECKRLCLKNCSCTAYSNVDVRDGGSGCLLWFGDLTNIREFDQVDQDLYVRIAASDSGAKICKEDCDLPIFTLETVVSATNNFSSDNFIGEGGFGPVYKVLNCTKLGLFNHVEESKTVLHALVKLLQGKLPTGTEIAVKKLSEYSGQGAQEWENEVSIIAKLQHRNLVTLQDESRKGILTWQKRFEIAVGISRGLLYLHQDARLRIIHRDLKASNILLDAELNAKISDFGLAKIVGEDDALARTKRIVGTYGYMSPEYVIDGNFSVKSDIFSLGVILLELVSGRKNRKFHHLDHHHSLLGHAWLLWNDGDPIELMDNCVRDSYVEYQVLRCIHVALLCVSKLPEDRPTMALVVSMLENEEVALPQPKEPGFFLERNSTEASSTNEETSHSEVAKMTFSILEPR >itb02g17840.t1 pep chromosome:ASM357664v1:2:14076108:14076974:-1 gene:itb02g17840 transcript:itb02g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLNMNSKRQRRPNVRLWEVGDLPAAFTCGVSQRIKQRRWKDDSIRNNELENNGNSGFPQQFPHEFGFLEFGIPAEVPADNSENKNPNSLKLVPEISGQDEISGSKAELCFGSITRKSRLMKRRRRTPDSLFLDPWGSKVHLARNVGDDFTLKCGFDESSGRETTWTNEDACAMAMETAEPNSSVQMVQSSNEHCDEAEIAFPLSTGEGDKGETREEYTINGVGEWLEEVGFGKYAELFEMHEVDEETLPLLTLDDLKEMGIFAVGVRRKLLNAIKRAKGRQFQISA >itb05g20530.t1 pep chromosome:ASM357664v1:5:26583414:26585821:-1 gene:itb05g20530 transcript:itb05g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGGGSWLGLVKKAFRSPSKDTEKSSSSRRREEESEQEEEEKKRGKRRWIFRKVPAVHETTVHHIKVESTATTRQTNLGVKNSLNLSGGNQGKRCLSSEDNAAEPNQRQTIAVAMAAAAAAAEAVAATAQAAVEIIRLTRPSLLVKEQHAAIAIQTAFRGYLARKALRALKGVVKLQAIVRGHNVRKRAKMTLQCMQSLVRVQTQVCDRRRRLSSEGSLNSMFNGPNTTTEPHFSHRRSTSKTDLRATALQRESVLAYAFSQQMWSSSKEEEDTNSNEELEDYQRSYNRTILRRASFDQPRDPIRTVEVDTAQSYSNSAPSFRRLQNQYSQDHQQKLCSYIAPVPSPLHRMHQNHSSPCTPPCKIKPILVHSITPQFTREERNHPTPETPNSLSSYFHHRSSVSTATRTPTSQPNYMASTASAMARSQSAPRQRPLTPDREKNPSAKKRLSFPVPDACNNDTITNGYSSDHTLMSSTQKTTYH >itb15g23550.t1 pep chromosome:ASM357664v1:15:26393112:26393832:-1 gene:itb15g23550 transcript:itb15g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQCKLACAVVLLGMVAFAPYAEAGVSCSTVYGDLMPCLGYIMGSGKQVPAACCSGINSLYNAAATTADRQAVCSCIKSAAKGVSLDVNRAAGVLSKCGVSVSYKISADMDCSKVE >itb02g08000.t1 pep chromosome:ASM357664v1:2:5008445:5011420:-1 gene:itb02g08000 transcript:itb02g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIHISAPSFSAISVSAKSTLNSSPSRSNFKPRIYCSVGGTVAEPKAINATEPLLLDAVRGKEVERPPVWLMRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWKVFRPDGVILFSDILTPLSGMNIPFDIIKGKGPVIFDPMQTTADVEKVREFDPEESVPYVGEALNILRKEVHGEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKKLAFSQPKVLHALLQKFATSMAKYIRYQADNGAQVVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKQTHPDLPLILYASGSGGLLERLPSTGVDVVSLDWTVDMADGRRRLGPNVAVQGNVDPGVLFGSKEFITNRINDTVRKAGRGKHILNLGHGIKVGTPEENVAHFFEVAKGLRY >itb05g20740.t1 pep chromosome:ASM357664v1:5:26767485:26769975:1 gene:itb05g20740 transcript:itb05g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELIVGQFYFGGWRFKPLLSSCAIAFLVVLPVLHIFHARSFPHIPLMAAFTLFFLLLFVASPDSVLSRDGVINVTKHISFPDFSPKNPRIPQDVSLLGSAAISSEQSCLQIPKPSQSTDLKHLAGRAIYSSPIRLFDPVTQTPASFQTTFSFQFQAPPNTSVKTQQLDPDDVINGGHGLTFIIVPDEFTVGRSGPWLGMLNDVCDDNYKSVAIEFDTRRNPEFGDPNDNHVGINLGSIVSTAAVNASDVGIDLNDGSVHRAWISYDGHLRFIEIRLGTDHNGYPSKPVYSGSLDLSPYLNEYMFVGFSASTGNHTQIHNVMTWNFTSTSKASLKIPSSETCENKIIQQRTDSEEEKVRHEKTPTSFLIFMAVFVLILAVLLNLYFNRKKKKDDKSNDLANKPEKKQKLGPPNKSRRFTFSEISAATRSFSELQILGSDARSITYKGTPWHGCHVAVKRYSAKFFGAGGFDRRRMHKEIKGVAKIRHPNLVPIRGWCFDNQETIVVYDYIPNGSLDKWLFGGGVLPWTRRFKVVKDVAESLSYLHSRQLAHKNVKASSVFLDVSFRAVVGDFGFVLSSVESTRFESVVSQTADVFEFGVLVLEIVGGRFRKSKPGELDLLDMAWALHEDGEKQKLVDRRMGAVVNTDQAVRVLEIGLLCTLNQNKGRPSMEEVVEFLNVEKPIPELPASRPVSLFPYNSTTGLCHGYACSPFK >itb13g02320.t2 pep chromosome:ASM357664v1:13:2153364:2157650:-1 gene:itb13g02320 transcript:itb13g02320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALTSLKTTIELHFLLQPIPRVSLHYLYLYDVQTPITCFYEDLSSLQAFLENKSSGGGSAAAIKYFETILRDFALKVEDEIEIQVSNCVKNDDAVHQKAAFQQLCLILQQALEKTTDVVEIINSLNRQNDDLNYLKRIIDDHHMKSIESKATRVVYDQIQTLFKSLIQSRLSCVRQLFRHIHSPAAIKDWETKIRDLLAVDRMKLQLSSFLLAKDTVYEEEAFQELCQTLQQAQENIVELEEIISKEEERRKIDECCNYLNSLKGRLMSLQSNTRAPDDVETTLGSLKQTLFYLKKFLLRSDFGGSRGEIQIRHFVFKAKEDIEKQIRNFCVAKEEHSLSLPKQASEQHFQTLHQLTENAAQLLSTIGRNTRNEANHTHSEFEFSRCYPKLEEGRRMVGRQNDVSMIKNQLFSSFHGVKVIPIIGMPGIGKTTLATKIFEDQSVALHFHVQGWVTVTQNYNETKVLRDLLQSISQNREIKKEAPLQGQVRECLKEKRYLIVLDDIWSTQHWDELEYLFFNSAVNGSCILLTTRFYGVADYACTIRGTPHVMNLLNPNESWDLFCTIFPLQRYSRAPSFGKFRSDLFHVVEICEGLPLSIVVVAKRLSECKNNLQHELKKIEKEIELLGILDYSALILMYNQLPEYLKGCFLYLGVFPKCSEIQVKILLRLWIAEGFVKPSKNKELERIAYCYLKDLIDRSLVLISKQTFDGKIKTCRLHSVMHSICFREAQKEGILCAVNTRQLPKWSLNAFANSCRWLTLCKHRFDYYVLYSLNNPRSIFFFQENTEIFVSFKLLRVLAFVPSSFLQRVPMHVGDLVFLRYLSVTQWFEGLSDVVSSNVNLQTLIVSGSDSKSQLGAPTLHLPSTIWELPQLRHLELGTSYAVNPPSMVKQNLQTLSWVDPTHCRNRVYSKFPNIKILKIFYKVDSEASQISGSSSNCFILDELDYLGRLKSLTISVSVGCIVTLPERCIFPSQLKKLKLSGINLCGWDLVVIGRLQWLEVLKLENVFHEKVWEVAKRGFYRLRLLVLKDKKLERLEANTDSFPCLEHLVLRCCDCLEEIPSSFGEIFCFKSIEMDRFSHRPSIVASARDIQEKLKKNFGKENFEIKIQGHGQGPEECFSEDVEKANSEIKMEEGESSAGGRW >itb13g02320.t3 pep chromosome:ASM357664v1:13:2152764:2157650:-1 gene:itb13g02320 transcript:itb13g02320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALTSLKTTIELHFLLQPIPRVSLHYLYLYDVQTPITCFYEDLSSLQAFLENKSSGGGSAAAIKYFETILRDFALKVEDEIEIQVSNCVKNDDAVHQKAAFQQLCLILQQALEKTTDVVEIINSLNRQNDDLNYLKRIIDDHHMKSIESKATRVVYDQIQTLFKSLIQSRLSCVRQLFRHIHSPAAIKDWETKIRDLLAVDRMKLQLSSFLLAKDTVYEEEAFQELCQTLQQAQENIVELEEIISKEEERRKIDECCNYLNSLKGRLMSLQSNTRAPDDVETTLGSLKQTLFYLKKFLLRSDFGGSRGEIQIRHFVFKAKEDIEKQIRNFCVAKEEHSLSLPKQASEQHFQTLHQLTENAAQLLSTIGRNTRNEANHTHSEFEFSRCYPKLEEGRRMVGRQNDVSMIKNQLFSSFHGVKVIPIIGMPGIGKTTLATKIFEDQSVALHFHVQGWVTVTQNYNETKVLRDLLQSISQNREIKKEAPLQGQVRECLKEKRYLIVLDDIWSTQHWDELEYLFFNSAVNGSCILLTTRFYGVADYACTIRGTPHVMNLLNPNESWDLFCTIFPLQRYSRAPSFGKFRSDLFHVVEICEGLPLSIVVVAKRLSECKNNLQHELKKIEKEIELLGILDYSALILMYNQLPEYLKGCFLYLGVFPKCSEIQVKILLRLWIAEGFVKPSKNKELERIAYCYLKDLIDRSLVLISKQTFDGKIKTCRLHSVMHSICFREAQKEGILCAVNTRQLPKWSLNAFANSCRWLTLCKHRFDYYVLYSLNNPRSIFFFQENTEIFVSFKLLRVLAFVPSSFLQRVPMHVGDLVFLRYLSVTQWFEGLSDVVSSNVNLQTLIVSGSDSKSQLGAPTLHLPSTIWELPQLRHLELGTSYAVNPPSMVKQNLQTLSWVDPTHCRNRVYSKFPNIKILKIFYKVDSEASQISGSSSNCFILDELDYLGRLKSLTISVSVGCIVTLPERCIFPSQLKKLKLSGINLCGWDLVVIGRLQWLEVLKLENVFHEKVWEVAKRGFYRLRLLVLKDKKLERLEANTDSFPCLEHLVLRCCDCLEEIPSSFGEIFCFKSIEMDRFSHRPSIVASARDIQEKLKKNFGKENFEIKIQGHGQGPEECFSEDVEKANSEIKMEEEGEYDE >itb13g02320.t1 pep chromosome:ASM357664v1:13:2152764:2156915:-1 gene:itb13g02320 transcript:itb13g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLSSFLLAKDTVYEEEAFQELCQTLQQAQENIVELEEIISKEEERRKIDECCNYLNSLKGRLMSLQSNTRAPDDVETTLGSLKQTLFYLKKFLLRSDFGGSRGEIQIRHFVFKAKEDIEKQIRNFCVAKEEHSLSLPKQASEQHFQTLHQLTENAAQLLSTIGRNTRNEANHTHSEFEFSRCYPKLEEGRRMVGRQNDVSMIKNQLFSSFHGVKVIPIIGMPGIGKTTLATKIFEDQSVALHFHVQGWVTVTQNYNETKVLRDLLQSISQNREIKKEAPLQGQVRECLKEKRYLIVLDDIWSTQHWDELEYLFFNSAVNGSCILLTTRFYGVADYACTIRGTPHVMNLLNPNESWDLFCTIFPLQRYSRAPSFGKFRSDLFHVVEICEGLPLSIVVVAKRLSECKNNLQHELKKIEKEIELLGILDYSALILMYNQLPEYLKGCFLYLGVFPKCSEIQVKILLRLWIAEGFVKPSKNKELERIAYCYLKDLIDRSLVLISKQTFDGKIKTCRLHSVMHSICFREAQKEGILCAVNTRQLPKWSLNAFANSCRWLTLCKHRFDYYVLYSLNNPRSIFFFQENTEIFVSFKLLRVLAFVPSSFLQRVPMHVGDLVFLRYLSVTQWFEGLSDVVSSNVNLQTLIVSGSDSKSQLGAPTLHLPSTIWELPQLRHLELGTSYAVNPPSMVKQNLQTLSWVDPTHCRNRVYSKFPNIKILKIFYKVDSEASQISGSSSNCFILDELDYLGRLKSLTISVSVGCIVTLPERCIFPSQLKKLKLSGINLCGWDLVVIGRLQWLEVLKLENVFHEKVWEVAKRGFYRLRLLVLKDKKLERLEANTDSFPCLEHLVLRCCDCLEEIPSSFGEIFCFKSIEMDRFSHRPSIVASARDIQEKLKKNFGKENFEIKIQGHGQGPEECFSEDVEKANSEIKMEEGESSAGGRW >itb15g08580.t1 pep chromosome:ASM357664v1:15:5999200:6000195:-1 gene:itb15g08580 transcript:itb15g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSDGTDFILDILIWNPFTRETKNLPSVKVPGIEKCVNYEMNEGFGFGLSKNMSWKIVMLWYYECRLQRTDSYEFVMVCSQIGDGSWGWRQIDEVPHVPVHFDQSFYLKGRYYWRSGGPTIDWRPGDPIMGRLVWFDFSDEIFGIIEFPSYCKVASVTIMNDEIALLSYSGYAKVGDCIEIWLMNENDGNIDWHKHASIECTRSIEYHKYWSLKGNWDEVWKPIGIWKLGGRDHLLVCPGYEGNRSENKDKGFIAYVISIDLVTQEWKFVYLTRDGRTINILSNPDGFVQVCSETNNINSALGPGDISPNVTTYARAFSESLKLLQ >itb04g08120.t1 pep chromosome:ASM357664v1:4:5717905:5720523:1 gene:itb04g08120 transcript:itb04g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQLLGPPEIDRAKLSSVADHGGEANTPPVDTHGSDSSTKPQGVQPDPNPLMGFTEKVQPDPNPLMGFTENGSPDPNPLMGFTENGSATFLSTGNPCLDFFFHVVPHMPPQDLIGRLDVSWAHNPLTTLKLICNLRGVRGTGKSDKEGFYLAALWLHYNHPKTLSGNLKALAEFGYFKDFLEILYRILEGPYVRKFEKEQRENSSRRRSRFRRGRRRFFYPENDDENDDEVYSDEVYGSEENDHDENHDEGNEEEEKIKKDSEELMSEKDEIKKDSEELMSEKDEIKKDSEELMSEKDEIKKDSEELMSEKDEIKKDSEGLMSEKDEIKKDSEELMAEKDEMEKDWEEELLAEAEKEKIKKNLEKLKPKKEKIKKTPEELMAEKEKARAEKEKARVLRKQRELNKAVKGLQTYITDEKYRLLHDKISDLFAEMLEADLEKLKSGNLGDISLAAKWCPTIDSSYDKTTLICESIARKLFPREKYTEYECLKEAHYVYKVRDRLRKQVLVPLHKALELPEVYMSAKQWNVLPYKRVASVAMKNYTKKFAKHDNERFTEYLRKVKSGEAKIAAGALLPHEIIKSLERADPEETEVAEVAELQWKRMVDDLANKGKLSNCIAICDVSGSMSGIPMDVSVALGILVSELSEEPWKGNLITFSADPQLHKIKGETLLEKTAFVKTMDWGMNTNFQKAFDRILEIAVEGKLSQEQMIRRVFVFSDMEFDEVRRVFDFSDMEFDEVSENPLEVSENPLEVSENPLEVSENPLEVSENPLEGSENPLEGSENSWETDYEVIQRKFREKGFTNVPEVVFWNLRASRSTPVVANQSGVALVSGFSKNLLTIFLENGGIVNPEEVMELAISGEEYKKLAVLD >itb01g33260.t1 pep chromosome:ASM357664v1:1:36445261:36447069:1 gene:itb01g33260 transcript:itb01g33260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVMGKNIIVLILSVFLVLHSTSTLVNGGKKKLAVSGLGERELVFADQRLAAVYPIIQNFKNIITSDPLGVTKTWVGPNICNYTGFFCGIPPDNKSAIALASVDFNGFRLTAPSLDGFIDKLPDIALFHANSNSFSGTISPDIAKLPYLYEIDISNNLFSGQFPTAILGMKGLTFLDIRFNSFTGSVPPQLFTEEFDALFLNNNNFMQRLPDAFSTHIYFLTLANNNFFGPIPRTISKALSGVSEVLLLNNKLSGCLPYELGFLNDAVVFDAGNNHLTGPLPFSLGCLDKVEVLNFAGNLLYGMVPDVICALGNLANLSLANNYFNAIGPNCFGLIRSGVLDVRNNCIPGLPFQRSIPECVQFFAVPRFCHNMPPYTYIPCSHPNFKSAEEMAPSPF >itb03g06400.t1 pep chromosome:ASM357664v1:3:4682760:4683903:1 gene:itb03g06400 transcript:itb03g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYSFRRMVSLSSSRSHNRYHVRSISFPGRSHPSTIKIEQVLNKIKTWEASSSCSKAEKVYKVLPGLVELYECIEELLALPITQRDLLQHHQHENLVNGLLERSVRFIDICSNARETVMMSKEAIRELQSALRRSKAGDHLNIESGLAAYACSRRKIQKEIEKSLAMLKQIETCRMASNSDSVIVGVLTEASLVSISTFQSLLLFLSVPILKPKPSRWSLVSKLVQKGAFANDPAKRDNLSDLEKVDIALNDLLLNSHGKQEAEKLELAQSRLETLDTSNEILENGLEHLFKHLIRTRVSLLNNLSE >itb01g25890.t1 pep chromosome:ASM357664v1:1:31191933:31194133:-1 gene:itb01g25890 transcript:itb01g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTVNIPFKSLFVLHHRQLLFRTSIAAAASIAQSQPPIPTAPVNEAHLLRVCTILYQQQHSSVEKLHNSLHKTPFHLTHEFFLRVCNNFPYSWRPVYKLHEFAVTRPGFAHTPTTLNKMLDVIGKSRNIDLFWEFLQEIGRRRSVTPKTYVIALKTLASARELKKCVETFHVMNGFGYEYSVETLNKVVEALCRDKLVEEAKHVVMKLKDSINPSVVTYRYLIYGFCDVGDTIEACKIWNLMIDAGFEADIESIETMMERLFKNNDYGQALKLLQSIRVSRMDGLGLSTYRLAINWLCKKGKIGESYAVFDEMSKRGINPDNSTSASIIYGLLCRRRVREAYGVLDGIEKPDISVYHGMIKGLLRLKRPREATQVFREMIARGCEPIMHTYIMLLQGHLGRRGRKGWDPLVNFDTIFVGGLVKAGKTLEATKYAERLKFRKVEVPRFDYNKFLHYYSNEEGVVMFEVMGKKLREVGSFDLADIFLRYGEKMATRDRRRNRFVIEPGDEQNEEIKMSH >itb09g03540.t1 pep chromosome:ASM357664v1:9:1962229:1962824:1 gene:itb09g03540 transcript:itb09g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQKTAAFLRFLPILLIIMASIAGSAMTASAARSLLQIVDTPDTLPVPPPLVDELPPLPEGVPDVVPPPITVDDFPLGPVQPVADEIVEPPIIA >itb14g18160.t1 pep chromosome:ASM357664v1:14:21270142:21271844:-1 gene:itb14g18160 transcript:itb14g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMAFSYAKLTLLAIFLLRLQSTAVADYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTQTAALSTALFNNGLSCGECFELRCDGDSRSCLPGTITVTATNFCPPNYALPNNDGGWCNPPLQHFDMAQPAFLHIAKYRAGIVPVVYRRVPCVRKGGIRFTINGHAFFNLVLVTNVAGPGNVYQVLIKGSKTGWQTTSRNWGQNWQSNSNFNGQSLSFRVTAGDGRTLTSYNVAPTNWQFGQTFEGAQF >itb09g17240.t2 pep chromosome:ASM357664v1:9:12483002:12486567:1 gene:itb09g17240 transcript:itb09g17240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEELVDPALKGTLNVLRSCAKASSAKRVVITSSMATIMVTGKPVSPDVIMDETWCSDPKHCEETKQWYALSKTLAEEAAQRFTKENGIELVTLHPGLVIGPILHPTLSLSSEAIFNIIKEGKEAMPNGIYRFVDVRDVALAHIKAFENPSASGRYCLVEVVTYSHVALNIVHKLFPSLPIPDKGKQELPFVAPYQVSRERAEALGVSLTPLEISLKDTVESFREHNLLSF >itb09g17240.t1 pep chromosome:ASM357664v1:9:12483002:12486567:1 gene:itb09g17240 transcript:itb09g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKVVCVTGASGYIASWLVKLLLEHGYTVKATVRNLNNPNKVAHLLALEGAKERLHLFEANLLEEQSFDPAIDGCECVFHTASPVSLTASKEELVDPALKGTLNVLRSCAKASSAKRVVITSSMATIMVTGKPVSPDVIMDETWCSDPKHCEETKQWYALSKTLAEEAAQRFTKENGIELVTLHPGLVIGPILHPTLSLSSEAIFNIIKEGKEAMPNGIYRFVDVRDVALAHIKAFENPSASGRYCLVEVVTYSHVALNIVHKLFPSLPIPDKGKQELPFVAPYQVSRERAEALGVSLTPLEISLKDTVESFREHNLLSF >itb07g11870.t1 pep chromosome:ASM357664v1:7:13428477:13429852:1 gene:itb07g11870 transcript:itb07g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSLWSYQESMDELKQKLLYTSFELQRLKVESREEMRKNKEYVKQLVQLVNTACRERDEARNQIQKVLSSFSQVVQTDQSPLVKPARANSGLTESNSLSDAHNHYLSHGSSPVESLLDPVSSPELSSMNGGDSKAMVFVSLNQPPLVLDCNGSVPRVPEVVDQGTLVIDSLVKGKPLPEKGKLLNAVLEAGPLLQTLLLAGPLPRWRNPPQLKHFHIPQVSIRGCDADAVPHNPAAAPQFLNAQQPYFEMSCGSSQMLSTSMLNFGTVPSAGCVGNQMLISSGSNIDCYGHMGKRQRLH >itb06g16620.t1 pep chromosome:ASM357664v1:6:20713915:20714892:1 gene:itb06g16620 transcript:itb06g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRIFFFFLFLLAFPVFTANAAVNDFCVASPRARDTPSGFGCKNPARVTAADFAYSGLAKSGNTTNLIKATVTPAFVAQFPGVNGLGVSIARLDLAPGGVIPMHTHPGASEILNVIEGTILAAFISSGNKVYEKTLYPGDVMVFPQGLLHFQVNAGNSTALAFASFGSANPGLQILDFALFANDLATETIAGTTFLDAATIKKLKSVLGGTN >itb01g08960.t2 pep chromosome:ASM357664v1:1:7220111:7225947:-1 gene:itb01g08960 transcript:itb01g08960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWLSPISLYGDFLHHRLSAVDLSPQTLDIDDQTTMHFWGPSPSPSPSPSPPPKPSLVLIHGFGPHGVWQWLPQILFFAAHYRVYVPSLLFFGGSTTKSPDRSPVFQAKCVSALLEKLGVREFSVVGTGYGGFVAYEVGRMWGDGRVQKVVIASCAVNMKRKDEEELVKRAKVEKIEDLVLPVTAGKLRRLLSFSTFRRLPYLPDFVFNHLLQKLYVENREEKLKLLEGLSHGRDDTVDKSPLQQAFG >itb01g08960.t1 pep chromosome:ASM357664v1:1:7220111:7225947:-1 gene:itb01g08960 transcript:itb01g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWLSPISLYGDFLHHRLSAVDLSPQTLDIDDQTTMHFWGPSPSPSPSPSPPPKPSLVLIHGFGPHGVWQWLPQILFFAAHYRVYVPSLLFFGGSTTKSPDRSPVFQAKCVSALLEKLGVREFSVVGTGYGGFVAYEVGRMWGDGRVQKVVIASCAVNMKRKDEEELVKRAKVEKIEDLVLPVTAGKLRRLLSFSTFRRLPYLPDFVFNHLLQKLYVENREEKLKLLEGLSHGRDDTVDKSPLQQEVLILWGEHDQIFLLEKALELKELLGKKVRLEVIRSASHLPQLEHGTRFNNIVNHFLHCSE >itb12g00590.t1 pep chromosome:ASM357664v1:12:437028:438649:-1 gene:itb12g00590 transcript:itb12g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMPWFWTAFAVLASISICNSFLKSRRKRLPPGPRRLPILGHLHLVGKTPHQDLQKLAKIHGPIMHLRLGFVDNIIVSSPQAAELFLKTHDLNFASRPPSEAAKYMGYGQKDMVFGEYGPFWRNMRKLCTSELLSNAKINSFQSMRREELCLLIESFKQAAMKGEAVDLSAKVSSMGADMSCRMVFGRKYEDNDIGEKGFKAVIQESLHLTGLPNLGDYFPYLGKLDIQGLTRRMKAVAKLFDQFFERIIDEHELAQKGGNTQTTKDFVDIMLEIRRSGETLFEFTREHVKSMMVDLLVTSMDTSSTAIDWTMSELIRHPEIMKKVKKEIEGQVGLGRMVEEEDLEHFEYLDLVIKESLRLHPVVPLLVPHASIEDCIVDGFHIPKKSRIIVNAWAIGRDPNVWTDPEKFLPERFKGSNVDYRGKHFEYLPFGSGRRSCPGMQLGITTVRLVVAQLIHCFDWNLPNGTLPEDLDMTEHFGVVISRAKNLMAIPKYQLRV >itb03g19570.t1 pep chromosome:ASM357664v1:3:17619772:17622048:1 gene:itb03g19570 transcript:itb03g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVLSRLSSRLQPVALKINKSASLSHDASFLKPASQSSVKRFSRISRLPVELSGVETMMPLYSAIASARLKSSLSIESHSWSLVPQGNSLPL >itb04g08590.t1 pep chromosome:ASM357664v1:4:6996576:6997229:1 gene:itb04g08590 transcript:itb04g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSEHVHNPPLPVIPINTYIDYNEPAHKNSYTPRHNQSLPTTQSPLGSHRLTPAFTTDNHNGIHDGVHDRDEDDDIQEETELEIEHVQPRRSHRPRRTPSRLQDYHCESVITKGSSPHLLSNVISYDTLTDTHLYGNNGY >itb13g24770.t1 pep chromosome:ASM357664v1:13:30364858:30367117:-1 gene:itb13g24770 transcript:itb13g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFLDKIKKQASCFLHDKYKTARLYLTDATQAELLAEDATGNDVGTPDNITMTMIAEASYGPEDYWKIVDVLHRRLDEIDWMQWRQLYKSLVLLEFLIIHGPEDLGAEFLCDVEIIRELGSFKYKDERGIDWGLMMQRKSERILNLLQDDNALKQARLKSQRISGEIHGFGSSTPPPPPSPSSTSSSSSSWPSSFGSNSTTSSSFSDDTEDPNKDSLDDTDLTAVSPTPIIGRPDEKPSPSWTHCYNRKEKESLLVNVEDEDGEEEGDKEDANLLSKLCSRLGVSPRGHQYRNHSFKRLDDVREKRMLLRQFSRR >itb13g16200.t1 pep chromosome:ASM357664v1:13:23113281:23121278:1 gene:itb13g16200 transcript:itb13g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MTEVTSSVVHEVLGRRLQSVDEPIVDYIINVLADEDFDFGLDGDGAFEAIGDLLVDSDCVGDYSECRTVCSKLSEKLEKHGLVKPKPAVRSLLAPLRMFDGMDEEETPKKKPEPVDGPLLTERDKIKIERRKRKEERQREAQYQEHMKEMEAVRAGMPVVCVNHDGGEGAAVKDIHMENFNISVGGRDLIVDGTVTLSFGRHYGLVGRNGTGKTTFLRYMALHAIDGIPKNCQILHVEQEVVGDDTPVLQCVLNSDLERTQLFEEEAHLLALQRDAELEGEVGKSNGEVNGDADKNAIAKRLEEIYKRLELIDAYSAESRAASILAGLSFSPDMQKRATKTFSGGWRMRIALARALFIEPDMLLLDEPTNHLDLHAVLWLETYLVKWPKTFIVVSHAREFLNTVVTDIVHLQNKKLTTYKGDYDTFERTREEQLKNQQKAFEANERARAHMQTFIDKFRYNAKRASLVQSRIKALDRMGHVDEVFNDPDYKFEFPSPDDRPGAPIISFSDASFGYPGGPILFKNLNFGIDLDSRVAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPELKLRAHLGSFGITGNLALQPMYTLSGGQKSRVAFSKITFKKPHILLLDEPSNHLDLDAVEALIQGLVLFQGGVLMVSHDEHLISGSVEQLWSVSQGRVTPFDGTFQDYKKLLQS >itb09g16650.t1 pep chromosome:ASM357664v1:9:11808453:11811305:-1 gene:itb09g16650 transcript:itb09g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSITAPTKNSANGLTMAVSDDQKILTKAFSGLGVDEKAFVSVLAKWNPKHRQSYRKSTHQFFRDDERQFERWDDHHVLQLRQEFLRLKDAVVLYMMHPWERDARLFKEALLKEPQFDLLIETACTRPSEELLGARRAYHSLFDHSIEEDIAFHIQTPERKLLVALVSSYRYEGPKVHEDVAKSEAKALANAIKHAGNKNLVDDEEIVRILSTRSKLHLMALYKHYKEITGKFLDEDLHAPLLKQTVQCLCMPQVYFSKILDASLRLGVDEAARDSVTRVIVTRADEDMKHIKHEFKTKYGVALSDRIGEVANGSYKELLLTLVAKSE >itb09g16650.t2 pep chromosome:ASM357664v1:9:11808453:11811305:-1 gene:itb09g16650 transcript:itb09g16650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSITAPTKNSANGLTMAVSDDQKILTKAFSGLGVDEKAFVSVLAKWNPKHRQSYRKSTHQFFRDDERQFERWDDHHVLQLRQEFLRLKDAVVLYMMHPWERDARLFKEALLKEPQFDLLIETACTRPSEELLGARRAYHSLFDHSIEEDIAFHIQTPERKLLVALVSSYRYEGPKVHEDVAKSEAKALANAIKHAGNKNLVDDEEIVRILSTRSKLHLMALYKHYKEITGKFLDEVT >itb02g02980.t1 pep chromosome:ASM357664v1:2:1714787:1718025:-1 gene:itb02g02980 transcript:itb02g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKLILLLLMLGTIFIAISMAPVGGENASAIKVDVGVILDSDPVSGRMRQACMSLALSDFYANRNHSARIVPHFRASISDDVDAASAAIDLLKNVEVQAILGPQASTQADFITDIGKKVKVPVISSATSPAISPSQNPYFIRVAYCACWQVKAIAAIVEAFGWRRVVFIYENSNFGSGILPHLTDAMVEINVSVPYRSVVSPSADHEQIMVELLKLKTKQTRVFIVHLHPTLASKFLLRVEEAGMMSSGYVWIITDAFTSTLVSKDSSVLDSLQGVIGVKPYVPNSVELKNFIGRWKSKAFRQQNPDMDGVEHNVFGLWAYDSVTALATALEKVGTSGLKLNRTINSENSTDLDAIGTSQFGPLLLESLRNIKLKGLSGDFHIVEGELQPSAFQIVNVIGKEKGVGFWTEKYGISKNPNPNHTTVYSANKDDLGAIIWPGDSTAVPRGWEIPTDEKKLRVGVPDKGGLEQLVKVTIDPQTNTTVATGFCVDVFEQVMKSMPYYVPIEYVQIKQSQNHPDYDDFVNQKLDAVVGDITILSRRSKLVDFTLSFTEPGVITVVPVQQDDKKSAWIFLKPMSKELWMMTASFFVFIGTVIWVLEHQENNEFQGPRHKQLGMIFWFSFSTLVFAHREKVISNLSRFVIIVWVFVVLVLTSSYTASLTSMLTVQQLQPTVTDVKDLIKNGEYVGCRDGSFVAGMLRSKFGNIKLRNYTTLEEFDEALTRGSKNDGVAAIVDELPYLRLFLGKYCGKYTIVGPTYKTAGFGFTFPKGSPLVPDVSREILSLTESDTLTRITDKWFGNETDCSKQDATLVGSDSLALGSFKGLFIIAGVSALSALLLFFCSFMNQNKSILTSDISVLQKLSALAKAFHEEKDSSRVSQKPIEGNEDLASSPEAISELYPQSPEISIPNSPWQGVFVLDEGFALTELGSTVRDSLAITNAER >itb05g19450.t1 pep chromosome:ASM357664v1:5:25938852:25940096:1 gene:itb05g19450 transcript:itb05g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEDAKSMKFSDPSDFSIFNNLDEGLLVEILARLPSSRAAIQLKLVCKSWCSLISSRYFITVFNHRRHDPIHPSSSSSGCFIFQSVVDPNWRMLRWGYTHPHDAAAGDFHSPDFSFLPCPQSSIRLNTSCADLILCSTLNSTSHIFQPVFYYVCNMLTKQWAALPPAPQLQLERTTDVTFSTGILFVPAPCSLCSPQCVVGQNYGNNFMVARIGVIPATLIHPQFEFKAQLFSSEEGEWKSVVISSPRAIRFRARNFATLVPYKGMLHWLVSGCVLVYDPYNCPERFCRVIDTPAGVGEREQRSRTIGLFQDRLRVTHVWGFIYYIWELEDYNMGKWSLVHKISCRATPISGVWTLPNHPPDLDPNTRDTGFLYIDGNTVCWTHSSQWWIAKGIVHLITHPCWPTPVPPLTA >itb15g09920.t1 pep chromosome:ASM357664v1:15:7162935:7171295:-1 gene:itb15g09920 transcript:itb15g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERVAKRVPGSHQSRGGTLFQILQAIQNEGLGGLYSGLKPSLLGTAASQGVYYYFYQVFKNKAVAIAAANKKKGSGDGSVGMFSWLVVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIVEAKRETLLKEASENDLLRSSLPEKLAELDSLKPHPYGTFHAALEVYNESGIIGFWKGVIPALIMVSNPSIQFMIYETSLKHLRTKRNKRNTETVSALEVFLLGALAKLGATISTYPLLVVKSRLQAKQEIGGNTSLRYSGTLDAIIKMIRYEGLKSFYQGMSTKIVQSVFAASVLFMVKEELVKAHTVLANKAGKLKQIR >itb14g09010.t1 pep chromosome:ASM357664v1:14:9035673:9037384:1 gene:itb14g09010 transcript:itb14g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAFAIILFLLAIIFLVIRSVSKLKPLCKCEICKTYLTSSWAMEHSNLSDWYSCLLNKSPTGTIHIHVLGNVITANPANVEYMLKTKFENFPKGKQFASILGDLLGRGIFAVDGDLWKFQRKMASREYGSVSICTYAFEIVAAEVRTRLFPLLSFYGEKQEVLDFQDVLRRFSFDNICKFSFGMDPGCLSLSLPASKFAAAFDLASKLSAERAMTASPAVWKVKRLLNIGSEKMLGEAIGLINELAEEMIEQKRKCSGGDASDQSDLLSRFMGIIDDDKLLRDIVISFLLAGRDTIASALTSFFWLLSRHPEVTDRIRAESGRMMEPDQDLPSYQQIRDMNYLTAAIHESMRLFPPVQFDSKFCLDDDTMPDGTFVRKGTRVTYHPYAMGRMDSIWGLDCREFKPERWLEDGVFKSENLFKYPVFQAGPRVCLGKDMALVEMKSVAVALIRAFDIEVACEPCHTPRYAPGLTATVRGGLPVRITDRRPRKD >itb11g00480.t1 pep chromosome:ASM357664v1:11:209628:216725:-1 gene:itb11g00480 transcript:itb11g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELAVEPQSLKKLSFKSLKRALDLFSPLHGHFPPSDPESKKIRISHKLNIEYAAIASKAAQPHSNSKAAAQNQAQKASAPSNALALPGPENARDPQKGVPKNELAVGPSLQPKSTTDGFAGRSTAVIPSQHTSSERNLSTAAIMERIPSKWPRPAWHPPWKNYRVISGHLGWVRSVAVDHSNSWFCTGSADRTIKIWDLATGTLKLTLTGHIEQIRGPQMDSELAVEPQSLKKLSFKSLKRALDLFSPLHGHFPPSDPESKKIRISHKLNIEYAAIASKAAQPHSNSKAAAQNQAQKASAPSNALALPGPENARDPQKGVPKNELAVGPSLQPKSTTDGFAGRSTAVIPSQHTSSERNLSTAAIMERIPSKWPRPAWHPPWKNYRVISGHLGWVRSVAVDHSNSWFCTGSADRTIKIWDLATGTLKLTLTGHIEQIRGLAVSNKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRSKMQIHALSGHENTVCSVFTRPTDPQVITGSHDSTIKFWDLRYGKTMATLTHHKKSVRAMALHPKEDAFASASADNIKKFNLPKGEFLHNMLSQQKTIINAMAVNDEGVMATGGDNGSLWFWDWRSGHNFQQAQTIVQPGSLESEAGIYALTYDVTGTRLITCEADKTIKMWKEDDNATPETHPLHFKPPRDMRRF >itb06g15120.t1 pep chromosome:ASM357664v1:6:19509528:19510205:-1 gene:itb06g15120 transcript:itb06g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFLLPIALIFTINYILPTIRKSNNYAPGPPGLPIIGNLHQLDSAEPHVFLWKLSKKYGPLMRMKLGYREVVVISSAKMAKEALKTHDLEFCSRPSFIGRQKLSYNGLEIAFTPYGDYWREMRKISVLHLFSLKRVKHFQPIREDEVSRMMNRISELAFSSQLVNLSEIAMSLTCNIICRSAFGKRYDEERPGKWGFHKLLAESQAMMVGGSLIADFLPSFN >itb03g11440.t1 pep chromosome:ASM357664v1:3:9635913:9640930:1 gene:itb03g11440 transcript:itb03g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHYNLAKQSGVIIKSPPFQAKPTTTLLPTSSPTDEFDQFAHRRSCCRRGLRLPCDSRRPVRPPPLPPACRVQTTTNQRPFFAAAFVLHETEAAGAVNQRPELHRPLLPSTVNQQN >itb07g03060.t1 pep chromosome:ASM357664v1:7:2083945:2086818:-1 gene:itb07g03060 transcript:itb07g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYLLVLVVFLNFFASLCCPDDQQGFLLEFKSAFLKSVNSSSSNEIFVYGLETWNSTSDCCSWERVICKPRGNSRTVTGLHLDSLVATTPSEEPGVVVNAAVLTPLCSIRSLMLLDLSSNQIQGEIPGKALGNLTNLVHLDLMQNNFSGSIPPQIFHFRYLQYLDLSGNQLTGELSPEIGSLGNLQALKLDDNLLGGNISMEIGNLKKLQILSLRNNKVSGAIPVSILNLKELQVLDLRENHMTDEIPAKIGSLSNLTTLALSKNKFTGIIPSSIHNLSRLQTLRLENNNLTGEVPSWLFGIKSLQDLFLGGNSLKWNNNARIVPECKLLQLSLQSCGLEGEIPDWISTQKTLGYMDLSRNNLSGTFPQWLAEMEVGSIILSENDFTGSLPPQLFNSRSLSILDLSRNRFSGKLPDNIGNATAIMLLILGNNQFSGTIPVSLSNIYRLLLLDLSKNRFSGDTFPVFDPSGLLAYVDLSSNDLSGEIPLSFPQETRILALGNNRFSGSLPRNLTNMSMLEHLDLHDNKISGELPEFITQMSSLRSLNLRNNLLRGSVPTGLSRMEKLQILDLSDNSFTGKIPQEVGNLMGMINTPTTFSSLLDLFTFKIEYTDLNVIWKRTIQGISSGAASDIYSWLDLSSNRFSVKIPPSLGNLKGLKMLNMSFNSLSGTIPSSFGDLESIESLDLSHNTISGSIPQSFVKLQALGTLDVSNNRLTGKIPAGGQMDTFTDPAYANNSGLCGFQIQVPCPQDLPPSSPEEPETMNVWFVWEGMLIGFPFGLFLSVFILFLTGFFIPKEPTDSSQRLQRTPTQNRSIFSRMSV >itb07g03380.t1 pep chromosome:ASM357664v1:7:2268293:2271529:1 gene:itb07g03380 transcript:itb07g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAANDETHEIVIAGGGLCGLATALALHRKGLKSLVLERSDTLRLEGGGLCILPNGWRALDQLGVSHHLRNLGFQLDNGKGEARCLKRSDLITTIAEALPVGTLRFGCQIVSVQTDPLTKFPRIQLSNGKYIGTKILIGCEGSRSIVADSIGLKPPSSFGLGAIRGLTIYPHGHSASHELVRMTKGKTVVGRIPVNDKLIFWFVVFPAPIQPDVKFPHEPELIWQKAVEKTKDFPAEVQEMMERCSVELLTFTHLKYRSPWEILLGKFHKGTITVAGDAMHVMGPFLAQGGSAGIEDAVVLGRSLAKIKDDLSKSESEEVASKVGEAFDEYVKERRMRVAGLSTQTYLIGVLWEERSPLVKLGAMIARAVLFSNRGAHVNYDCGPL >itb07g14870.t1 pep chromosome:ASM357664v1:7:17536075:17538512:1 gene:itb07g14870 transcript:itb07g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTTTKSDVISYRIASSPASPSKGMCNPFRHNYFGTPEDPRRVAIETGVFVSCSAGNRGPDPISLMNVLPWIVTVGANTIDMDFLPRSSFTLQRYEKSEFVGTKAVPSNLRWKQFL >itb02g01100.t1 pep chromosome:ASM357664v1:2:623265:627482:1 gene:itb02g01100 transcript:itb02g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPTLWLRYTLYVGDLHPETTEADLETVFSMIGPLDSFGIRRDVVSGKSLCYGFVSFFCISHALKALEFLNHTTLRGKPMRIMWWQRDIVLIKSGIGNVFVKNLPPSVTSVQLESLFSKYGIILSCKVAEENGKSKCFGFVQFETHNSAISAISSLHGAVFEGKKLYVSIFKKKEERMMDLFNEQKFTNVYVKNFGYNMTEELLREMFSVYGNINRAVIMRDEEGNSRGFGFVNFDFDEDARKAVEVINGQIMAAVPALSLLGMSETFWRPTLYVGDLHPETTEADLEAAFSYIGPLDSFRICRDAVSGKSLCYAFVNYFCISHASKALACLNHKTLRGKPMRIMWWQRDPVLIKSGIGNVFVKNLAPSVTSDQLESMFSKYGTILSCKVAEENGKSKCFGFVQFETQNSAISAISSLHGAVFEGKKLYVSTFKKKEERMMDGFKEQKFNNLYVKNFDCNLTEDLLREKFSRYGKVNSAVIMRDEEGNSRGFGFVKFDSDEDAKKAVEALNGEVIGSKRLFVGRAQKKAERERLLRSVCEEDKLALRDQKQEASRLYVGNLSESINERKLDELFRSFGKVVSVNVIRSNGISKGFGFVNFSSPGDAKAAMNSLNGMVYYGKPLSVALAYPREEHSSKSLTSYAPDRPQPFFTSSHKSLYNAPPSYSLPMSVAHFNPYQSSVHQDFGRQLSAFYLAGMHNFQGNKFTYPMKSAPSVSIPVLKCGAQKQPRTCWEPKNKNMKGATSVTATTKEPSATSIAGIHNEKRRSLMV >itb14g12770.t1 pep chromosome:ASM357664v1:14:14528598:14531543:1 gene:itb14g12770 transcript:itb14g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHSIMLVLIFHVLICKFEYCYSNDAGNCVEGERISLLQFKESLIDISNRLSSWSGLDCCEWKGISCSSTTGHVLKLDLANYYNGLPSNYSNSCLGGEINHSLINLTHLNYLDLSLNNFSKIRIPEFFGSFKNLRYLNLSSSCFVGNIPTHLGNLSSLEYLDLGAFVRVPSYNDLATDNLKWLDSLSSLKSLDISGISIRHSEDWLRTINKLVSLSSLNLAHCDLNTTSPLSHVNSTFLISLDLTENSLDSAFLPWLSNLTRLEHLNIGPNSINSSMLEIFEPLTSLKVLDLSANYFTGTLVPLCKLHNLIFMNLYLNNFQCSIPSCLGNLTSLTSLDLSDNIFTGSIPNTIGSLCRLQVLDFSENKLTNSIADLPDCLIDSLKYLDLGYNNFIGQLPTQLYKYKNMEFLSLSSNSFFGPIIESLGNLSMLRTLYIHSNKFSSSIPSSFGELSNLEDVDISDNSFVGVLSEIHFSKLSNLGDLYISRNLFVFNISSNWVPPFQLFEISMESVKIGPHFPHWLRTQRNVETLFMSNASISSAIPDWFGKFFWNCDALDLHENHLSGELPFEPHVEGYMKALIRYLSLSTNYLSGGIPKWLCSLKYLEILALSTNKLYGEIPSCLGKLKNLKILDLGKNNLSGLIPNSLGSLQELYSLHLQNNKLEGKLPSSMQNLTSLEILDLSENEFMDVIPPWIGKNLLSLKYLVFYTNKFYGDIPLQLCQLHDLRLLNLANNNISGYIPWCIGNLTGMVSNGNLTDYGDRSAYGGNYDDEIDQVIKGLALQYTKTLQFLRSIDLSGNHIVGKIPIEIMSLHALENLNISRNNLSGTIPRTIGDLSKIESLDLSRNELSGPIPPSLSSLNFLSHLNLSFNNLYGRIPTGHQLQTLNDPSIYMDNAGLCGAPLPKDCPGDVPSFANQSTKTSSDDDHEFFMWFYAGLGPGFFVGFIGVLSTLLFARSWSYAYFKFLEMAYNKILYYIH >itb03g07840.t1 pep chromosome:ASM357664v1:3:5831442:5832041:-1 gene:itb03g07840 transcript:itb03g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSETNQRLFHSSSSSLLPHRHFDVFLSFRGEDTRKSFTDHLFAALCRVRVYTFRDAEELHKGEDISTDLLKAIEESSISIIVFSKTYAFSHWCLEEVAKIVECKKKINQVVLPIFYDVDPSEVRKQTGKFGDAMALHQHRLGLKS >itb13g13050.t1 pep chromosome:ASM357664v1:13:19520528:19525737:1 gene:itb13g13050 transcript:itb13g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEGAFSIVSSSYPSSTSPKFQCFSKPLPLLRLQISPNYSTLSLKSLPSLGSRKLKTSHILRCSAVEEQAVAAVEAEQEKTVKTPNPKLRRKLFVLNLPWSLAVSDVKELFGQCGTVEDVEFIKTKEGQNRGFAFVTMASGEEAEAAIQKFDSYELSGRIIRVEYSRRFKKPIRSPPPPPPRDGRYKLYVSNLAWKTRSTHLRELFSANFNPISARVVFENASGRSAGYGFVAFGTKEEAEAAISSIDGKELLGRPIRLKMSEKNDDPEEEETAEEQPEES >itb13g13050.t2 pep chromosome:ASM357664v1:13:19520506:19525239:1 gene:itb13g13050 transcript:itb13g13050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEGAFSIVSSSYPSSTSPKFQCFSKPLPLLRLQISPNYSTLSLKSLPSLGSRKLKTSHILRCSAVEEQAVAAVEAEQEKTVKTPNPKLRRKLFVLNLPWSLAVSDVKELFGQCGTVEDVEFIKTKEGQNRGFAFVTMASGEEAEAAIQKFDSYELSGRIIRVEYSRRFKKPIRSPPPPPPRDGRYKLYVSNLAWKTRSTHLRELFSANFNPISARVVFENASGRSAGYGFVAFGTKEEAEAAISSIDGKELLGRPIRLKMSEKNDDPEEEETAEEQPEES >itb04g09290.t1 pep chromosome:ASM357664v1:4:8593634:8596122:-1 gene:itb04g09290 transcript:itb04g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:Projected from Arabidopsis thaliana (AT3G23490) UniProtKB/TrEMBL;Acc:A0A178VD28] MDKHSSQSSLVASLQDVRRDSGKTYSQIAAETGLTNVYVAQLLKRQAQLKPETVPKLREALPCLVDHQIQEMMRPPMRSYDPNLIQEPTIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVVTLDGKYLPYTEQKSEHMMSRQHPGGN >itb12g06510.t1 pep chromosome:ASM357664v1:12:4867446:4870538:1 gene:itb12g06510 transcript:itb12g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPKIEVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILMHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGNKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVANKKVAILGFAFKKDTGDTRETPAIDVCKGLMGDNALLSIYDPQVNEDQIQRDLSMKKFDWDHPLHLQPMSPTTVKKVSVVWDAYEATKDAHAICILTEWDEFKNLDYKRIYDNMQKPAFVFDGRNIANMEKLREIGFIVYSIGKPLDAWLKDMPAVA >itb02g25600.t2 pep chromosome:ASM357664v1:2:26363247:26369528:1 gene:itb02g25600 transcript:itb02g25600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPEDGNLGPLPPAQLTEKDIDELKLVNEEDKSKSNSAPTSVATHTRTIGIIYPPPDIRSIVDKTAQFVVKNGPEFEKRIIQSNAGNAKFNFLSASDPYHAYYQHRLSEGRAQNQDSAQQQPSQPPDSDVPESTPAAPAADAVDTTAKSDPSAQFRTVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKTFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLRKSATDMTTVLERCLNRLEWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIDFADDEDHDLPPPMTLEEVIRRSKMSGVEEEEFVEPGKEVEMEMDEEEVQLVEEGMRAATLEENAVQNSEVMAITEDQEPPMRIVKNWKRPEERIPAERDPTKYVVSPITGELILISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKEEPKQVIWDGHTGSIGRTASQAMSQNNAEDQYDFANDARNLPGPQAPPPPRPGVPSVRPLPPPPGLALNILRPPNSFPYPTPGNPGVMPPPLPRPPVVNTVPQVQPPPPNIPPMPGQHLMVNRPPMHPSMSMNAPNISLPPPPGSQFTPLGVPRAFVPHPMSQPGMNIVPPPPMPHGMPPPPPPEEAPPLPEEPEPKRQKLDESVLIPEDQFLAKHSGLACISIAVPNVDEGNLKGQVLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKAGFLKDNLSLAYYNVAPGETLNLSLRERGGRKR >itb02g25600.t3 pep chromosome:ASM357664v1:2:26363247:26367600:1 gene:itb02g25600 transcript:itb02g25600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPEDGNLGPLPPAQLTEKDIDELKLVNEEDKSKSNSAPTSVATHTRTIGIIYPPPDIRSIVDKTAQFVVKNGPEFEKRIIQSNAGNAKFNFLSASDPYHAYYQHRLSEGRAQNQDSAQQQPSQPPDSDVPESTPAAPAADAVDTTAKSDPSAQFRTVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKTFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLRKSATDMTTVLERCLNRLEWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIDFADDEDHDLPPPMTLEEVIRRSKMSGVEEEEFVEPGKEVEMEMDEEEVQLVEEGMRAATLEENAVQNSEVMAITEDQEPPMRIVKNWKRPEERIPAERDPTKYVVSPITGELILISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKEEPKQVIWDGHTGSIGRTASQAMSQNNAEDQYDFANDARNLPGPQAPPPPRPGVPSVRPLPPPPGLALNILRPPNSFPYPTPGNPGVMPPPLPRPPVVNTVPQVQPPPPNIPPMPGQHLMVNRPPMHPSMSMNAPNISLPPPPGSQFTPLGVPRAFVPHPMSQPGMNIVPPPPMPHGMPPPPPPEEAPPLPEEPEPKRQKLDESVLIPEDQFLAKHSGLACISIAVPNVDEGNLKGQVLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKAGFLKDNLSLAYYNVAPGETLNLSLRERGGRKR >itb02g25600.t1 pep chromosome:ASM357664v1:2:26363247:26369534:1 gene:itb02g25600 transcript:itb02g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPPEDGNLGPLPPAQLTEKDIDELKLVNEEDKSKSNSAPTSVATHTRTIGIIYPPPDIRSIVDKTAQFVVKNGPEFEKRIIQSNAGNAKFNFLSASDPYHAYYQHRLSEGRAQNQDSAQQQPSQPPDSDVPESTPAAPAADAVDTTAKSDPSAQFRTVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKTFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLRKSATDMTTVLERCLNRLEWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIDFADDEDHDLPPPMTLEEVIRRSKMSGVEEEEFVEPGKEVEMEMDEEEVQLVEEGMRAATLEENAVQNSEVMAITEDQEPPMRIVKNWKRPEERIPAERDPTKYVVSPITGELILISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKEEPKQVIWDGHTGSIGRTASQAMSQNNAEDQYDFANDARNLPGPQAPPPPRPGVPSVRPLPPPPGLALNILRPPNSFPYPTPGNPGVMPPPLPRPPVVNTVPQVQPPPPNIPPMPGQHLMVNRPPMHPSMSMNAPNISLPPPPGSQFTPLGVPRAFVPHPMSQPGMNIVPPPPMPHGMPPPPPPEEAPPLPEEPEPKRQKLDESVLIPEDQFLAKHSGLACISIAVPNVDEGNLKGQVLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKAGFLKDNLSLAYYNVAPGETLNLSLRERGGRKR >itb06g23420.t1 pep chromosome:ASM357664v1:6:25386701:25387252:1 gene:itb06g23420 transcript:itb06g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVTRLCAYTSRLVAIFFYTWLPQALRITILAFFVALNRCGRAYARYQYRAMVRREGRTFVYRRKSLFSRPEAAPECAICLSEFVEGEVGRELERCRHVFHAGCVEKWLLHGEGHGSCPLCRSPVVVPGADVGESWKDERGEGCASRKTWLVYYSLGCPRSAPLVRTLSSSNIHILTRYSD >itb10g11130.t1 pep chromosome:ASM357664v1:10:16580311:16583052:-1 gene:itb10g11130 transcript:itb10g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKKMNLVAAIVLAAVATAALGGVSGDREVSKTPPTVVKKVKGTKLCAKGWECNHLSQYCCNLTITDFFETYQFENLFSKRNSPVAHAVGFWDYRSFILASASFQPLGFCTTGGKSMQMKELAAFLAHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSQDYCDDYYKLTYPCSPGAQYYGRGALPLYWNYNYGAAGKALKVDLLSHPEYIEQNATLAFQAAIWRWMTPVEKGQPSAHDAFVGNWKPSKNDTLSKRFPGFGTTMNILYGDLVCGEGDIDSMNTIISHYLYYLDLMGVGREEAGPHDVLTCAEQKPFNPSSPSATS >itb05g25900.t1 pep chromosome:ASM357664v1:5:30048080:30054260:-1 gene:itb05g25900 transcript:itb05g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MARIAAFFVVAAATAVALISGVWAQSQEAPWRIHTLFSVECQNYFDWQTVGLMHSFRKAGQPGPITRLLSCTNEEKKSYRGMDLAPTLEVPSMSRHPKTGDWYPAINKPAGVVHWLKHSKEAENVDWVVILDADMIIRGPIIPWELGAEKGRPVAAYYGYLVGCDNVLAKLHTTHPELCDKVGGLLAMHIDDLRALAPMWLSKTEEVRGDRAHWATNYTGDIYNSGWISEMYGYSFGAAEVGLQHKINDNLMIYPGYIPREGIEPILLHYGLPFSVGNWSFSKLKHHEDNIVYDCGRLFPEPPYPREIKEMEVEPMQKRALFLNLECINTLNEGLLLQHAAFGCPKPKWSKYLSFLKSKTFADLTRPKLLTPRSRQTMELHNEVSQATNEPEKPHPKIHTIFSTECSPYFDWQTVGLVHSFYQSGQPGNITRLLSCTEEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVKVDAEYIVILDADMIMRGPITPWEFNAARGRPVSTPYDYLIGCDNVLAKLHTRHPEACNKVGGVIIMHFDDLRRFAMLWLHKTEEVRADRSHWSKDITGDVYESGWISEMYGYSFGAAELNLRHTISNEILIYPGYIPVPGVKYRVFHYGLEFKVGNWSFDKANWRHVDLVNKCWAKFPDPPDPKTLVQTDNDVLQRDLLSIECGSKLNEALRLHHERKKCPDPNSLATTKETRRQTVKPNQTKPTTDSAIPGKFGKVDESANEIQVMKRDSVVPKNNSQESSQPEVTNGTFNSMRFWIIVLWAVSILGFVAVMSMMLSRRKGLKRRGKSYKPKRRASHSGFWDANGNDRHLRSTETA >itb05g25900.t2 pep chromosome:ASM357664v1:5:30048080:30053500:-1 gene:itb05g25900 transcript:itb05g25900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MTRKRYPAINKPAGVVHWLKHSKEAENVDWVVILDADMIIRGPIIPWELGAEKGRPVAAYYGYLVGCDNVLAKLHTTHPELCDKVGGLLAMHIDDLRALAPMWLSKTEEVRGDRAHWATNYTGDIYNSGWISEMYGYSFGAAEVGLQHKINDNLMIYPGYIPREGIEPILLHYGLPFSVGNWSFSKLKHHEDNIVYDCGRLFPEPPYPREIKEMEVEPMQKRALFLNLECINTLNEGLLLQHAAFGCPKPKWSKYLSFLKSKTFADLTRPKLLTPRSRQTMELHNEVSQATNEPEKPHPKIHTIFSTECSPYFDWQTVGLVHSFYQSGQPGNITRLLSCTEEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVKVDAEYIVILDADMIMRGPITPWEFNAARGRPVSTPYDYLIGCDNVLAKLHTRHPEACNKVGGVIIMHFDDLRRFAMLWLHKTEEVRADRSHWSKDITGDVYESGWISEMYGYSFGAAELNLRHTISNEILIYPGYIPVPGVKYRVFHYGLEFKVGNWSFDKANWRHVDLVNKCWAKFPDPPDPKTLVQTDNDVLQRDLLSIECGSKLNEALRLHHERKKCPDPNSLATTKETRRQTVKPNQTKPTTDSAIPGKFGKVDESANEIQVMKRDSVVPKNNSQESSQPEVTNGTFNSMRFWIIVLWAVSILGFVAVMSMMLSRRKGLKRRGKSYKPKRRASHSGFWDANGNDRHLRSTETA >itb14g01530.t1 pep chromosome:ASM357664v1:14:1163035:1166284:1 gene:itb14g01530 transcript:itb14g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSFPIFFFVCHLLLFHSSLASNKTHNDCPEEFPCGGLNTTRFPLTQSSRPDCGLLMVDCDAKPYPKLELRRDVYDIQYQDVPFLQLSDQKLSGLLQNRSCQSFNRNISFPNSPSVTYRVSPIITLLKCSNISSNKTGDQFLGYESYGCEGFSVFYRNPNNFTGFNVPPHCSVIQLPLKQNETSNNATDLFAMLGSEFFISWFLTENCSECHYRLGGQCVTDKKTNQFRCVHSSSSSPWKGILAAVLASGFVISLGIIFTIWFFKKRTKFFSHKNSRNTSSDATMDVYSEEHSNYFGAPMFSYSELQEATNNFDASKELGDGAFGTVYHGKLRDGREVAVKRLYEFNPKRTELFMNEVEIISRLRHPNLVTLYGRCTSRHSHGLLVYEYVCNGTLADHLHGQRAKEGLLSWPIRMNVAIETAGALAYLHATDVIHRDVKTSNILLDSNFGVKVSDFGLSRLFPDNVTHVSTAPQGTPGYVDPEYNECFQLTDKSDVYSFGVVLIELLSSMPAVDITRHRHEINLANFAMTKILRHEIGELIDPFLGVETDNNILHMTTKVAELGFQCLQPEKEMRPSMDEVLATLIDIQNGEFRDWKEDNHEVLIAPSSEDAILLKKTKSPASTDSLTDNWINSSSTSSTSGGYPNK >itb11g09220.t1 pep chromosome:ASM357664v1:11:6136644:6139311:-1 gene:itb11g09220 transcript:itb11g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNREAEDQDPTPKGNIPPENENEVSIDSLARKVQESLSLAKRHKFWETQPVGQFKDLGDTSLHEGPIEPPTPLSEVKQEPYNLPAPYEWITCDMDSEEMCVEVYNLLTHNYVEDDENMFRFNYSKEFLSWALRPPGFYRSWHIGVRVKSSKKLVAFITGIPARIRVNDSIVNMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDQTTTPGFRKMEPHDVPAVTRLLRNYLKQFVVAPDFDENDVEHWLLPKEDVVDSYLVESPETHEITDFCSFYTLPSSILGSQNHSTLKAAYSYYNVSTKTPLVQLISDALIVAKQKDFDVFNALDVMHNETFLKELKFGPGDGKLHYYLYNYRVKHVLRSSELGLVLL >itb11g09220.t2 pep chromosome:ASM357664v1:11:6136644:6139108:-1 gene:itb11g09220 transcript:itb11g09220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNREAEDQDPTPKGNIPPENENEVSIDSLARKVQESLSLAKRHKFWETQPVGQFKDLGDTSLHEGPIEPPTPLSEVKQEPYNLPAPYEWITCDMDSEEMCVEVYNLLTHNYVEDDENMFRFNYSKEFLSWALRPPGFYRSWHIGVRVKSSKKLVAFITGIPARIRVNDSIVNMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDQTTTPGFRKMEPHDVPAVTRLLRNYLKQFVVAPDFDENDVEHWLLPKEDVVDSYLVESPETHEITDFCSFYTLPSSILGSQNHSTLKAAYSYYNVSTKTPLVQLISDALIVAKQKDFDVFNALDVMHNETFLKELKFGPGDGKLHYYLYNYRVKHVLRSSELGLVLL >itb07g23400.t1 pep chromosome:ASM357664v1:7:27809265:27811920:-1 gene:itb07g23400 transcript:itb07g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDYYKVLKVSKSASEEDLRRSYKRLAMKWHPDKNNENMQEAEAKFKQISEAYDVLSDSQKRRIYDLHGEKALKSGQFDHPASPTRTSSGRAGRAFNYTPRDAEDIFAEIFGGSDAGYKGSPVSCGARGTPRKAAAVENQLPCSLEELYNGSTRKMQISRIVLDDTGKPTTVEEVLAIEIKCGWKKGTKITFPEKGDYKFGAAPGDLIFVVDEKPHPVFKRHGNDLVVHNRISLLDALTGKTIKLTTLDGRDLAVPIRNVVKPGQEHVIPNEGMPISKEPGKKGNLRIKFDIKFPSRLSADQKSDLKRILGRPAE >itb02g19940.t1 pep chromosome:ASM357664v1:2:17432291:17432608:1 gene:itb02g19940 transcript:itb02g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKRQRNRSKLMTRNGWRIIKSPRKRAGSTSSRNEVSWIARATGITSEGDNPLYYYGMSINGVLVTPALLCWSSSLAGVGAPPFVSRNTCFNPQTRQRLSMDE >itb04g06470.t1 pep chromosome:ASM357664v1:4:4198737:4201007:1 gene:itb04g06470 transcript:itb04g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPHHHALMLFIILLFSFLNYSQSLTTHGDDERGILLSLKQQYWGNPSSLEQWNSTSSPCDWPYISCNFNRSVTGISLSRMNIRGSFSASTIICQLNNLNSINFSSNSLWGTIPANLSSCSKLETLDLSTNYLTGKIAGELFSLKMLRSLNLQHNMFSGEISTPMVAYSLESLDLSYNLLNGSIPKDIGNFYNLSYLNLSMNSLSGPIPATLLQLHQLSYLSLASNNLTGEIPARLFDLLSLSSIDLSHNHLSGSIPRGFGELQQLQNIDVSYNKFSGDICETVSHMWDARLTLRICKNHFSGRIPYELVKLKFVERCFDDVNLCSDQQLFSEQIDMELPICPSQRFSDYTIPLHINCTSSNELSKSEKTQPLKPNHTMIVTCVVIAAAAVLIIGIVILLLAFRHAGERRKQNDGEEWSIVPFQRLEFNKWEILGGLTDENLIGNGGSGKVYRVITKKGQRVAVKSIRHEQKQGHGLMEKQFLAEVKILGRIWHNNIVKLLCCIRGKTTKLVVYEYMDKQCLHKWLHGKKKGLTTQVLHWETRLKFAIGAAQGLCYMHHDCSPPIVHRDIKSSNILVDSNFNVKIADFGLAKMLASEGDPETASAVVGTFGYIDPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVTGNEDMNLAQWAHKHQREGNSAADALDEEIKDPRYMEAMITVFKSGLACTLSSSSSRPSMKDISQILQRCSENNHMSSES >itb14g20010.t1 pep chromosome:ASM357664v1:14:22496825:22501638:-1 gene:itb14g20010 transcript:itb14g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPAVVIETTIESVEQQIEAEPVKEEPENPPAKSTKSKKAKEPKAKKPSAPRKRNPPAHPPYFEMVQEAIVTLKERTGSSQYAIQKFIEEKQKTLPSNFRKLLLFHLKKFVAADKLVKVKNSYKLPSARSSVSKPAVTAPAKKSSTVSKAKATKEKKAAAKPKSKPKTAAKPKAAAKPKPKPKPAAKAKPASKPAAKPKAVAKPKPKSPAKTKAAAPKPKLKEKPAKVARTATRSNPGRKAAAPKAAPKKATPTKKAPAKSVKPKTVKSPAKKAAAKRGKK >itb12g15930.t1 pep chromosome:ASM357664v1:12:16445454:16446236:1 gene:itb12g15930 transcript:itb12g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVLALRQTRVKYIADLGALAKTNPILAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRFPRVSKFGDRRQFSVHRTRSLPNQLRHGWECMLRKIGSSLIQKPSTQYPCTSPQSLHEMNLSLVN >itb08g08230.t1 pep chromosome:ASM357664v1:8:7128232:7129684:-1 gene:itb08g08230 transcript:itb08g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQWPQELVMRPMEEIVPIIGNTSRGERKGSIRFQKDQVLKCPRCNSANTKFCYYNNYSLSQPRYFCKTCRRYWTAGGSLRNIPVGGGSRKNKKPSSSLKKKVADLVPPVPISGDHQSSSKIHGGEGHHQDLNLGGQVSAMELLTGFTSIGLSTAPFISDPNLLYSQAGIFLPQSKQCLKICLDGIGNGNRQHHHHLQPMQDTTTNTRFLLPSEDLRSTASDQDRDWNNGVLRGGGGGESW >itb14g10680.t1 pep chromosome:ASM357664v1:14:12110556:12114344:-1 gene:itb14g10680 transcript:itb14g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDRNGGDESETSDYTSEDEGTEYYRSGGYHAVRIGDAFKQGRYVVQSKLGWGHFSTVWLAWDIQTSKFVALKIQKSAHHYTEAAMDEIAILKQIAEGDPEDEKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHMVKKICFHVLVGLDYLHRQLSIIHTDLKPENILLLSSIDPNKDPRKSGAPLVLSSSRSKIVPDAGTSSGAKTSNSDLTRNQKKKIRKKAKQAAQRCAGKDAPGEVEQDNEANSPKDSFHDAKADRDSAECQTDNISYKNESCNLEGASDRLVETEKHKRVSRSVRQKLSVEVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGIKYSTPVDLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIKRLRFWPLSKVLKEKYDFSEQDARDMADFLVPLLDFVPEKRPTAAQCLNHPWITGGPQHHPVPSASSSLLTPTEDGSSEKEEKNEREAMEVRMRNINIASH >itb14g06830.t1 pep chromosome:ASM357664v1:14:6222540:6224388:-1 gene:itb14g06830 transcript:itb14g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLPGITRVPVHGQPEDIYEQISNIIMEYITPEESIILNVLSASVDFSTCESIRMSQKVDKTGERTLAVVTKADRSPEGLLEKVTADDVHIGLGYVCVRNRIGEESYEEARMEEAKLFQSHSFLSKIEKSMVSIPVLAQKLVRIQANIISKCLPEIVRKINDKLSLNIADLNRLPQNLGTVAEALKAFMRITSSAKESLRKILVRGEFDEYPDEKEMHCTARLVEMLNEYADELRATGLSFDCKNFLMEEILALEEAKEIGLPNFLPRRVFFSVLQKRVKVISTRPQDFVAKLWDYIEKIVVTVLMQHADSYPQLQCSMRRASQNLIAKMKQKSVDWVVEIIGMEMMADYSCNPEYSATWNKLMEKQGEFMEILNDISKGSKFNVDGIEIEIGHLRGYVDVVNQAFDMRMRIIAYWKIVLMRLVDSMALHILFSIQKLVNRELEDEVVADLMAPHGGGIERMLEESPIVAEKRYRLTKSVKLLRESKDVVASIMDRIGLARV >itb01g07580.t1 pep chromosome:ASM357664v1:1:5969908:5971637:-1 gene:itb01g07580 transcript:itb01g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFLDSLPLQSSPNLSMIIEPGELLDETWFFGNLLDGKPRMLRCYSDPCPSSKTSSSSDDYDDDDDDVFPGKSMEETFSSINRRIPEGKRALSLRVPGTGLMKTPSLPAKQQPDSADTNNPRIQEPWRNSLLRAPSLPSFAEKEEFQDEESEFSMGNLIRQASLNQTKVLTRHESLSQPKVLIRQGSLNLNQSNPLIRQGSLNQSKPLTRQGSLNQSNPLIRQGSLNQSKPLTRQGSLNQPKVLPPRQTAKGVTRSCSISTIPKQNPRKKSEPESINPILDCNSGETKPRFASNQPKIRRSHSSVDTEELKGLKNLGLKVKDEEKVSRRRGDTTSEAWLAKSFGAPLQSGAAASKKSAEDMKAQIRFWARAVASNVRQE >itb09g08250.t1 pep chromosome:ASM357664v1:9:4940579:4943928:-1 gene:itb09g08250 transcript:itb09g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSGAEQPPSPAEILDRITVLLSQLLPSSLSIKSFTSRWQVLRSKLGSIKSLLSEISESPHWSDNALLPTLLPDLLSTLLRVDTLCRQCGDPSFTPGKLLMQSDLDMASGWLSKQINHLDLLCRSGVLRQSTAIVLSHPTSTSTKEDLILFIRDLFTRLQIGGVEFKKKALESLVQLLAEDEKSAGLVAKEGHVGYLINLLDLNADPATRELAVLAVSMLVSTSDQARKCVFEEGGLGPLLRVIESCSVTVKERAAMAVECITTDPENAWAISAYGGVPVLVELCKSGSASAQIHGVGAIRNVSVNEDVRIALADEGAIPVLVQLLVSGKASAQEKAANCIAILASSGKYYRDLLLKEKGLQKLLQLLHESSSSDTLEHVLRATHSLSTCELTSKHLSSSTTFIIQLAEIIKHGNTMIQYISASLLANLSISESDKRAIAGCMGALVKLMESAKPDGLQEVAANALVSLLTVKSNRKDLVRDEKSVMKLSQMLDPKNDLVSKKFPVAVVAAIMAGGSKGCRKLLVAAGVNGHLQTLTEMEVVGAKKALQRLSMSRLKSILTRTWRSE >itb01g33750.t1 pep chromosome:ASM357664v1:1:36736078:36743308:-1 gene:itb01g33750 transcript:itb01g33750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAIAIARHHLISSLTTSSHFPPSSLKLSIRVKRIAVNKHTRHIYCKRMTSASPAVNKITAPYGSWKSPITADVVSSSTKRLGGFAADSLSHLIWLESRPTESGRGVIVKEPEKPGDSPTDITPKDYAVRTVAQEYGGGDFSIYGDTVIFSNYKDQRLYKQSISSRDSAPTPITPDYGGPQVCYADGVFDSRFNRFVAVREDSRESSTNSITTIVSIDLSSDTIQEPKELVGGNDFYAFPRIDPRGERMAWIEWGHPNMPWDRSELWVGYISDNGDVVKRICVAGGDKNILESPTEPKWSPQGELYFVTDRKNGFWNIYKWVESTNEVLPMYTIDGEFTRPLWVFGFQSYDFLQNHDQKTLVACSYRKNGESHLGILDVIHGKLTLLGIPFTDINNITAGINCLYVEGASTVHPSSIAKVTLDDQMTKVVEFKIMWSSSSMSLVYESYISVPELVEFPTDVPGQNAYAYFYPPTNAEYQAGQDEKPPLLLKSHGGPTAEARGSLNLSIQYWTSRGWALVDVNYGGSTGYGREFRERLLRNWGIVDVNDCCSCAKFLVDSGRVDGERLCITGSSAGGYTTLAALAFKDVFKAGCSLYGIGDLKLLVDGMPKFESHYIDNLVGDKNAYFERSPINFVDKFSCPIILFQGLDDKVVPPEQARKIYQALKAKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFQVADPITPIKIDNFA >itb01g33750.t2 pep chromosome:ASM357664v1:1:36737245:36743308:-1 gene:itb01g33750 transcript:itb01g33750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAIAIARHHLISSLTTSSHFPPSSLKLSIRVKRIAVNKHTRHIYCKRMTSASPAVNKITAPYGSWKSPITADVVSSSTKRLGGFAADSLSHLIWLESRPTESGRGVIVKEPEKPGDSPTDITPKDYAVRTVAQEYGGGDFSIYGDTVIFSNYKDQRLYKQSISSRDSAPTPITPDYGGPQVCYADGVFDSRFNRFVAVREDSRESSTNSITTIVSIDLSSDTIQEPKELVGGNDFYAFPRIDPRGERMAWIEWGHPNMPWDRSELWVGYISDNGDVVKRICVAGGDKNILESPTEPKWSPQGELYFVTDRKNGFWNIYKWVESTNEVLPMYTIDGEFTRPLWVFGFQSYDFLQNHDQKTLVACSYRKNGESHLGILDVIHGKLTLLGIPFTDINNITAGINCLYVEGASTVHPSSIAKVTLDDQMTKVVEFKIMWSSSSMSLVYESYISVPELVEFPTDVPGQNAYAYFYPPTNAEYQAGQDEKPPLLLKSHGGPTAEARGSLNLSIQYWTSRGWALVDVNYGGSTGYGREFRERLLRNWGIVDVNDCCSCAKFLVDSGRVDGERLCITGSSAGGYTTLAALAFKDVFKAGCSLYGIGDLKLLVDGMPKFESHYIDNLVGDKNAYFERSPINFVDKFSCPIILFQGLDDKVVPPEQARKIYQALKAKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFQVADPITPIKIDNFA >itb10g01950.t1 pep chromosome:ASM357664v1:10:1585231:1586154:-1 gene:itb10g01950 transcript:itb10g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDSSVEGKWWGNKQLQQINGFWFMPLAIPGVQRVLAEFNPRPNDVILASFPKTGTTWLKALLYSIINPSSLDSLVKHNPHDLVPFLELRVFNEGSEPYVMPPPDAKTKIFDTHIPYQLLGKTFESSGCRVVYVSRNPKDTLNSLWHFVNKWKVAEEEASGLEEAVEKFCQGIIPYGSYYEHVLGYKMASLKNPKKVFFVTYEELKNDPKTHVKRLAEFLNSPFAEEDNKVEEIVKSCSFEVLSNHEVNKSEHSRTVATMTIASKALFREATIGDHKKYLSDESIKRIDTLTKEKFQNSGIAYEI >itb12g18930.t1 pep chromosome:ASM357664v1:12:21238803:21239351:1 gene:itb12g18930 transcript:itb12g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLTPIILFFFLLLLASCSEMADSTTIKADKPCKRLVLYYHDILFNGTNVFNATSAAIVKEPNLGSHHFGLMVVFDDPITKDRHLLSPPIARAQGFYFYDMKSTYNAWFAYTLVFNSTQYNGTINIMGADMMGEKTRDLSVVGGTGDFFMTRGIATFFTDELEGNDYFRLRMDIKLYECY >itb03g19980.t1 pep chromosome:ASM357664v1:3:17913828:17920010:-1 gene:itb03g19980 transcript:itb03g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MANRFKEDEKNERIIRGLLKLPDNRRCINCNSLGPQYVCINFSTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQGGGNASAKEIYLKELDPQRNSLPDGSNVERLRDFIKHVYVDRRYTGERGVPRGKGETEDLNGNRRVDTYQGSSQSSGGRSPGYDQDNRQYSDYKRSPSGEVINDWRREDRFGNGRRLEESRNSDGGSKVEVKSPDRRSDMDTPSPPMVRPVRDILGENVSPLRVIEPPKANSIRPIDAPVQTQRTASSTSLASSNGNPAETKTETSLIDFETVPEPPPTAAVQQTQQLATAIPVSQPTPSGADNWANFESVSVKAPSNTNPLDVLSELMAPAPPTSTHLGGSPASAAPVGTLPASSGSAIPAAVPPLSSFGPTAFPPGGVSAAASGPASLLQVPFTHVTGAQWSSMQPQHPGSQPLPGNQPQHATSAAFPGTGSPSLPRHSIPVVGGPSGNQPWNPVAAPGLVNTANAQGPQIVTERPLEATSGIAPIAGGRKELPADLFTATYPPMYAPMQGWYAAPPHGIGFSMQYNMQVQTPTLVQPIKSSNPFDATSEPSALQASTFPSMASLQGALPNMGAPTGLHRTSSLGTPTPQPYRMPQQPPSYVSTLPPNAYMGQNLAGSMPQRPRDQALGFGLDGAAFGAMHTSQQLGGLHAAPAAQNTFSPPAGNPFG >itb03g19980.t2 pep chromosome:ASM357664v1:3:17913828:17919760:-1 gene:itb03g19980 transcript:itb03g19980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MANRFKEDEKNERIIRGLLKLPDNRRCINCNSLGPQYVCINFSTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQGGGNASAKEIYLKELDPQRNSLPDGSNVERLRDFIKHVYVDRRYTGERGVPRGKGETEDLNGNRRVDTYQGSSQSSGGRSPGYDQDNRQYSDYKRSPSGEVINDWRREDRFGNGRRLEESRNSDGGSKVEVKSPDRRSDMDTPSPPMVRPVRDILGENVSPLRVIEPPKANSIRPIDAPVQTQRTASSTSLASSNGNPAETKTETSLIDFETVPEPPPTAAVQQTQQLATAIPVSQPTPSGADNWANFESVSVKAPSNTNPLDVLSELMAPAPPTSTHLGGSPASAAPVGTLPASSGSAIPAAVPPLSSFGPTAFPPGGVSAAASGPASLLQVPFTHVTGAQWSSMQPQHPGSQPLPGNQPQHATSAAFPGTGSPSLPRHSIPVVGGPSGNQPWNPVAAPGLVNTANAQGPQIVTERPLEATSGIAPIAGGRKELPADLFTATYPPMYAPMQGWYAAPPHGIGFSMQYNMQVQTPTLVQPIKSSNPFDATSEPSALQASTFPSMASLQGALPNMGAPTGLHRTSSLGTPTPQPYRMPQQPPSYVSTLPPNAYMGQNLAGSMPQRPRDQALGFGLDGAAFGAMHTSQQLGGLHAAPAAQNTFSPPAGNPFG >itb03g19980.t3 pep chromosome:ASM357664v1:3:17914587:17919986:-1 gene:itb03g19980 transcript:itb03g19980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MANRFKEDEKNERIIRGLLKLPDNRRCINCNSLGPQYVCINFSTFICTTCSGIHREFTHRVKSVSMAKFTSQEVSALQGGGNASAKEIYLKELDPQRNSLPDGSNVERLRDFIKHVYVDRRYTGERGVPRGKGETEDLNGNRRVDTYQGSSQSSGGRSPGYDQDNRQYSDYKRSPSGEVINDWRREDRFGNGRRLEESRNSDGGSKVEVKSPDRRSDMDTPSPPMVRPVRDILGENVSPLRVIEPPKANSIRPIDAPVQTQRTASSTSLASSNGNPAETKTETSLIDFETVPEPPPTAAVQQTQQLATAIPVSQPTPSGADNWANFESVSVKAPSNTNPLDVLSELMAPAPPTSTHLGGSPASAAPVGTLPASSGSAIPAAVPPLSSFGPTAFPPGGVSAAASGPASLLQVPFTHVTGAQWSSMQPQHPGSQPLPGNQPQHATSAAFPGTGSPSLPRHSIPVVGGPSGNQPWNPVAAPGLVNTANAQGPQIVTERPLEATSGIAPIAGGRKELPADLFTATYPPMYAPMQGWYAAPPHGIGFSMQYNMQVQTPTLVQPIKSSNPFDATSEPSALQASTFPSMASLQGALPNMGAPTGLHRTSSLGTPTPQPYRMPQQPPSYVSTLPPSTNFCLYSCFAYICFTHVD >itb05g27880.t1 pep chromosome:ASM357664v1:5:31053858:31055743:-1 gene:itb05g27880 transcript:itb05g27880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPQHQYCSDHMFPSQFPTPMILSDGIAAARGGATAYDTTNNAVGIHLNMMRPIMDAAISTFPHHHHHHNPLGDVSDQPPTAMLLSPSPPPCGIIGPREFSRTPAPRGGYGCLFESGDECNNGLLDSNFWSLYPMRPPPSYNIEGIQGEAISPKLKEQPAMKIGRYSEEERKDRILRYLKKRNQRNFNKTIKLACL >itb05g27880.t3 pep chromosome:ASM357664v1:5:31054403:31055743:-1 gene:itb05g27880 transcript:itb05g27880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPQHQYCSDHMFPSQFPTPMILSDGIAAARGGATAYDTTNNAVGIHLNMMRPIMDAAISTFPHHHHHHNPLGDVSDQPPTAMLLSPSPPPCGIIGPREFSRTPAPRGGYGCLFESGDECNNGLLDSNFWSLYPMRPPPSYNIEGIQGEAISPKLKEQPAMKIGRYSEEERKDRILRYLKKRNQRNFNKTIKLACL >itb05g27880.t4 pep chromosome:ASM357664v1:5:31054403:31055743:-1 gene:itb05g27880 transcript:itb05g27880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPQHQYCSDHMFPSQFPTPMILSDGIAAARGGATAYDTTNNAVGIHLNMMRPIMDAAISTFPHHHHHHNPLGDVSDQPPTAMLLSPSPPPCGIIGPREFSRTPAPRGGYGCLFESGDECNNGLLDSNFWSLYPMRPPPSYNIEGIQGEAISPKLKEQPAMKIGRYSEEERKDRILRYLKKRNQRNFNKTIKYACRKTLADKRVRVRGRFAKNNEICGDGDGDDDIVIKSRDNIVHHQTKDLYYDHPFQV >itb05g27880.t2 pep chromosome:ASM357664v1:5:31053841:31055743:-1 gene:itb05g27880 transcript:itb05g27880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPQHQYCSDHMFPSQFPTPMILSDGIAAARGGATAYDTTNNAVGIHLNMMRPIMDAAISTFPHHHHHHNPLGDVSDQPPTAMLLSPSPPPCGIIGPREFSRTPAPRGGYGCLFESGDECNNGLLDSNFWSLYPMRPPPSYNIEGIQGEAISPKLKEQPAMKIGRYSEEERKDRILRYLKKRNQRNFNKTIKYACRKTLADKRVRVRGRFAKNNEICGDGDGDDDIVIKSRDNIVHHQTKDLYYDHPFQAKQDEEEEWLEEAITSLMYIPYIGGSYDAQFVNFS >itb03g03600.t1 pep chromosome:ASM357664v1:3:2118396:2120075:-1 gene:itb03g03600 transcript:itb03g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKDEASSSSHVLHIPREETPLLCKNQQHHLSSQTKTFANVFIAIVGAGVLGLPYTFRRTGWAMGVLILFSVAFLTYYCMMLLVNSRRKLESHPKKAFKISSFGDLGFAVCGPVGRVAVDVMIVLSQAGFCVSYMIFIASTLANIFNHETEANPDPKIIGISPIVVYVWSCFPFQLGLNSIKTLTHLAPLSIFADVVDLGAMGTVMVEDVMVYLQNRPVLQAFGGFTVFFYGLGVAVYAFEGIGMVLPLESEMRDKEKFGRVLGFSMALISVMYGAFGILGYMAFGDETNDIITSNMGRGIVSSVVQIGLCINLFFTFPLMMNPVYEVVERRLCHGQTCLLLRWAMVFGVSLMALLVPNFADFLSLVGSSVCIVLGFVLPALFHLIVYKQELGSVGLVCDGALTVFGIIFAVYGTWSSLLEIFATKA >itb12g16670.t1 pep chromosome:ASM357664v1:12:18100157:18100998:1 gene:itb12g16670 transcript:itb12g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSSPLSAPPLNPEAPIVPSTSIPKNRDFLIHLEAYLAKRDGVDKLLKISRYATKFILASSVLPSNLPLTDRLKSFESSVGVSRKAFRLGKFLQDVNAFRGLSFTSPAHFFLALIAYGGEGLYYFVEQFVWLGKAGLIDKKHLSQLQRISACVSLKVKELQRISEDEAGVVSNIETAAVGGLARLADGEKLRKLREKKVLKRLSIAQDLADALMAVADIRDGKGLLSGKLLLSSAGLLSALISTHKNWVSC >itb04g00030.t1 pep chromosome:ASM357664v1:4:21819:24850:1 gene:itb04g00030 transcript:itb04g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTNRVERSEIKPGDHIYTYRAVFAYSHHGIYVGGSKVVHFTRIENSSDTADDEVLNLSSSCPTFPDCGFRQPSSGVVLSCLDCFLKNGSLYYFEYGVTPSVFLAKVRGGTCTTAASDSPETVIHRAMHLLQNGFGNYDVFQNNCEDFALYCKTGLLTLDRLGAGRSGQASSVIGVPLAALISSPLKLLIPSPVGVATVTAGMYCMSRYATDIGVRTDVIKVAVEDLAMNLGLGSSNEGAVVVAQPQASNRQVAG >itb01g07360.t2 pep chromosome:ASM357664v1:1:5729231:5733992:-1 gene:itb01g07360 transcript:itb01g07360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTFLLLLGFIVILTTPLQAQTPVHIVPISWVQNAIVKGAVCLDGTPAAYYYEQGQGDGANNWLVFLQGGGWCVNQTDCFNRAIYGKSSGSTKNISLTFPFGDFLSNNQTNNPDFYNWNRVYVPYCDGSSFTGDVEAPDSVTNVTYKGARIFRATMDDLLSRGMNGAQNALLTGVSAGGLAAMIHCDRFRGLLPWFARVKCLPIAGYFVHEENLPGSKQFEVAFDALIHLHGSAGMLPPQCTSIMTPSLCLFPQYLLQYVTTPVFIAMSSFDQVQIKWNLFKEDEVCLVSQNCTHDQKQAIQGLP >itb01g07360.t1 pep chromosome:ASM357664v1:1:5729231:5733992:-1 gene:itb01g07360 transcript:itb01g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTFLLLLGFIVILTTPLQAQTPVHIVPISWVQNAIVKGAVCLDGTPAAYYYEQGQGDGANNWLVFLQGGGWCVNQTDCFNRAIYGKSSGSTKNISLTFPFGDFLSNNQTNNPDFYNWNRVYVPYCDGSSFTGDVEAPDSVTNVTYKGARIFRATMDDLLSRGMNGAQNALLTGVSAGGLAAMIHCDRFRGLLPWFARVKCLPIAGYFVHEENLPGSKQFEVAFDALIHLHGSAGMLPPQCTSIMTPSLCLFPQYLLQYVTTPVFIAMSSFDQVQIKWNLFKEDEVCLVSQNCTHDQKQAIQELRSDVLAALPKAPPLSRGIWMTSCIAHEMPYYSTLTIIGNKTFAEVFIDWYFGFNYLQVIDTQIEPQNCSLYGIGPKQL >itb09g30910.t1 pep chromosome:ASM357664v1:9:31468997:31470091:-1 gene:itb09g30910 transcript:itb09g30910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLGLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGCCLMQMQNVSSRVEKLYA >itb15g20190.t1 pep chromosome:ASM357664v1:15:22723788:22724171:-1 gene:itb15g20190 transcript:itb15g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIYKGISCGIEACKPIASGFPVGSGFDRLLDQLTQIEANWLGRMEDPPASKAAIESMPTIEILDCHIEIEPHCAICKEPFELGNEAREMPCNHLYYSGCILPLSLRNSCPVAATTSSPTPQTPAT >itb08g00920.t1 pep chromosome:ASM357664v1:8:658108:659820:1 gene:itb08g00920 transcript:itb08g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPRIPPLLSLLILAAAVVGAAAVPQDSDLEPDCAGRWIHIRHLPPRFNLDLISNCSQYPIFDDFCPYLPNHGLGQKTHSSSHSWYRTDPYMLELVFHRRMLEYPCLTADPSRAAAVYLPYYAGLDSLRYLFGREANSSFRHGLDLYDYISRVDSPGVWARNLGHDHFLVMARPAWDFTQPLTNDPPVFGTSFLEIPAFYNLTALTLEARAYPWQETAIPYPTSFHPPNLAFYESWVNRVKRSRRTALMLFAGGGGISANPNIRRSIRLECDNSTNFNINGTGYHKVCDFVDCSNGICEHDPIKFMKPMLQATFCLQPPGDTPTRRATFDGILAGCIPVFFDDLTAKKQYKWHLPVEKYDEFSVFIPKEEVVFNGLSIVDVLMSIPRAKVRRMREKVIEMMPRVMYRKHGSSLGLRNKKDAIDIAVEGTLERIRSKLQEFPAQ >itb11g20480.t1 pep chromosome:ASM357664v1:11:21555361:21555723:1 gene:itb11g20480 transcript:itb11g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDVVGRTQYRLRRPSSAQPQQPPPAIPTRAGIVATRTSGTTSLQRQRLPSSHHCHQKASATPTTLWRGGIRSSDGRSQCRLRRRLQRRTGDPAHLRRLGLVAAATSATVLNRIAARRR >itb10g19870.t2 pep chromosome:ASM357664v1:10:25544218:25544487:-1 gene:itb10g19870 transcript:itb10g19870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLSGVVADNERLGKEVQRLREELSRTQEMLFSLNAAAYSSLSSSGDEVGSCSDLLHDSKELYACLIDDHEGQIGKSADAHNFFRLA >itb10g19870.t1 pep chromosome:ASM357664v1:10:25543705:25544780:-1 gene:itb10g19870 transcript:itb10g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRIPHISSSHQTSRMDYFNSQQARKSLLKCHNKRLTQDQVRLLEISFKANSKLDPDRKSQLAQELGLPPRQVAIWYQNKRARWKSQSLEVDHKAMQQRLSGVVADNERLGKEVQRLREELSRTQEMLFSLNAAAYSSLSSSGDEVGSCSDLLHDSKELYACLIDDHEGQIGKSADAHNFFRLA >itb09g01280.t1 pep chromosome:ASM357664v1:9:760828:761277:-1 gene:itb09g01280 transcript:itb09g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFGLSKNASTAPEQNHVSTSVKGSFEYLDPEYFKKQQLTDKSNVYSFGVVLLEVLCARQAINLQLPRDQMNLVEWAIDPTLVGQINPESMRKFAEAAEKCLAEYGADRPTMGDVFWNLEYALQLQEASAMGKPTKKTSRLQRQIRRL >itb15g15850.t1 pep chromosome:ASM357664v1:15:15007901:15011847:-1 gene:itb15g15850 transcript:itb15g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSEGSRTEQTVVDTPPWSLLPVSVAATAVWARATISSLWRLSPLFPSXLPKSKPSGRQQNRANGRRHSTLVSPSRQRSSDGGLGEGDYQQPLAIVSPVSFFPSXYPSQNLAKISSPLIVPLSLVRSSGRQQNRANGRRHSTLVSPSRQRSSDGGLGEGDYQQPLAIVSPVSFFPSTMAASGGWSSEISTTSRLPRRRWQRSASPVSREFRAAVVLFLSVDGGRR >itb13g22260.t1 pep chromosome:ASM357664v1:13:28587985:28589960:1 gene:itb13g22260 transcript:itb13g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPLDNALPVISERPKKLAKIAAPTKNPNQKQPDLLGVKNDENMAPVPQPSAGDAAIDYILSENLEAVADPDAKIQDLVGGLESKDWLKVCESLNDVRRFALFHPTLLEPILDKVILILVKAMKNPRSALCKTSIMASSDIFKAFGDKLFEPATSDAFDHVLLQLLLKASQDKKFVCEEADRTLKAMVECMTPLPLLQKLQAYVKHSNLRVRAKAAISISECFSKMELEGMKEFGLVLLIQTAVNLLNDRLPEAREAARSIVVSLYEALTANEEQKQEAWQSFCQSNLPAIHAQSLMKLVSS >itb05g05720.t1 pep chromosome:ASM357664v1:5:5540470:5551964:-1 gene:itb05g05720 transcript:itb05g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHMNLGRQFFMPRENGVVDNGDPWIPVTPQRQISTRPNLNPADTQGNWQERVLTAPHLVPSEIQGNQMNPREPQTPILPGPPNLVPAEMQLGNQIERVNRQEELQRPILPGPNGIPAEMQGNQMEKAANWKDLIGIYEHLLQDSAEDTGKTQNVNSVERMDNTRAFQNTNSAVPKNLYNRELNHNWNNNLVESRSYSQNIDSYGQNLGHSSPAWNNCNTLAEVFGMRNLQTAHCSNATPDKNTYVTGKPVSSNSCSPLEGGSYRQCNTASPMLQKQAHSMSSSAASDGYNRQYRPHGGFSVLHPTSCKLYTPTPGTVASSSTASFYPLAPITPDKVQQIMMHPLDTIEEFSTPDKDKQERNVGLIQNTPDSSSAAISPLQKECNSVSGGNGDIDLNKTPQLKPQKRRKHRPKVVVEGKPKRKQRAPSTKGSTPKETPSGKRKYVRRKGLKSPSTEQSNIVDEAAASNPENHANSCRRALNFNLGNEVTGESHDRNEVTKVEAQKHTDQSFDLNLDDQNTTVSLGLHNALTASIQHELQNGGQQAETTSNCIYTAPETPLRPSTSSATSKSHTLNAIARNLSMRNPILYQNSSQNGYNQVHQLTPEEGARSQIGFQTRTIQGKTDDTSQSTLQSISQFVRNTANINEKRGCKRDYSQSSEPIALLRSQFLHHKMSQTGQPNFHCSTLEIGSEAHKKRKSEGTLYEIITSMPSCLTSNKGGSTHVQQSSFTSHANDRLSNSNLHGTITCKKAENRMNGILSNRHTDPLALGLHYLRQRASHQLHLDTEKQCITSHLPQSKEIVAKHISREGTEVHGRKAIVASSNWNYQYPSLSLSGSLQRHESRISPSMISSSTWELGRASSNQTSSPKKPPRRKSKNTQSDQQASTKARGLHEEGKYSVTVDILAVRLERMVISDKDVVPNEQNALVPYKGDGAIIPFEGYDLTKKRKPRPKVDLDPETSRLWDLLMGKEGSESTQTMDKDKEKWWEEEREVFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPVKSRSIKETNCQNGVSAWIEEPEIQVIDPDGTITYHQNIIKQPRCGQSSLTSSEASEHVIENLVKGKVHLANEHPRKTEEEVISSQNSSDSFILQANEEIRSSSGSNSEAEDHLSEHSPKNNQSHLLFPQPTELTAPFQKHQNNSMGSPLFETMSSMLEYQQSANPVYNRQNVTVDRHGTTFDYQILSDIQCQQNSGTTSGDFWMPMKEYLGSGETTSDSVRKATFLHLTANNVSRAKTSDYSGKSMGHMAGHISTSITQETASPINQPPGLDKNAFINKMSAHQVNLQPDSHSANIKLSTSRDQQETSKIIQLETTITADSNPTEANAKRQSEWKIHSSSKQSTGNDISAANARKRKSEGDRKVVFDWDSLRRQVQSKGERKERSKNTMDSIDYEAIRCAAVKDISDAIRERGMNNMLAERIKDFLNRIVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLETIQKYIWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKGMVSASLPVSANGNPAAAFKQMPLLPGRSEGVSVVGLPGAVEAGMIPAFLNKPMPQPPQITSVNREVEELITSNCEPIIEEPASPEPLPEVSTSDIEDAFYEDPDEIPTIELNMKEFTTNLQAILQGQNLGMQDGDLSKALITLKPDAASIPTPKLKNISHLRTEHQVYELPDVHPLLEGLDRREPDDPSPYLLAIWTPGETANSIQPPETNCNAQASGRLCNEKTCYSCNSVREADSQTVRGTLLIPCRTATRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRRMIWYLPRRTVYFGTSVSTIFKGLSTEQIQHCFWKGFVCVRGFDQKTRAPRPLMARLHFPASRLVKNKNETRKKDVSAAERIDK >itb05g05720.t2 pep chromosome:ASM357664v1:5:5540470:5551964:-1 gene:itb05g05720 transcript:itb05g05720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHMNLGRQFFMPRENGVVDNGDPWIPVTPQRQISTRPNLNPADTQGNWQERVLTAPHLVPSEIQGNQMNPREPQTPILPGPPNLVPAEMQLGNQIERVNRQEELQRPILPGPNGIPAEMQGNQMEKAANWKDLIGIYEHLLQDSAEDTGKTQNVNSVERMDNTRAFQNTNSAVPKNLYNRELNHNWNNNLVESRSYSQNIDSYGQNLGHSSPAWNNCNTLAEVFGMRNLQTAHCSNATPDKNTYVTGKPVSSNSCSPLEGGSYRQCNTASPMLQKQAHSMSSSAASDGYNRQYRPHGGFSVLHPTSCKLYTPTPGTVASSSTASFYPLAPITPDKVQQIMMHPLDTIEEFSTPDKDKQERNVGLIQNTPDSSSAAISPLQKECNSVSGGNGDIDLNKTPQLKPQKRRKHRPKVVVEGKPKRKQRAPSTKGSTPKETPSGKRKYVRRKGLKSPSTEQSNIVDEAAASNPENHANSCRRALNFNLGNEVTGESHDRNEVTKVEAQKHTDQSFDLNLDDQNTTVSLGLHNALTASIQHELQNGGQQAETTSNCIYTAPETPLRPSTSSATSKSHTLNAIARNLSMRNPILYQNSSQNGYNQVHQLTPEEGARSQIGFQTRTIQGKTDDTSQSTLQSISQFVRNTANINEKRGCKRDYSQSSEPIALLRSQFLHHKMSQTGQPNFHCSTLEIGSEAHKKRKSEGTLYEIITSMPSCLTSNKGGSTHVQQSSFTSHANDRLSNSNLHGTITCKKAENRMNGILSNRHTDPLALGLHYLRQRASHQLHLDTEKQCITSHLPQSKEIVAKHISREGTEVHGRKAIVASSNWNYQYPSLSLSGSLQRHESRISPSMISSSTWELGRASSNQTSSPKKPPRRKSKNTQSDQQASTKARGLHEEGKYSVTVDILAVRLERMVISDKDVVPNEQNALVPYKGDGAIIPFEGYDLTKKRKPRPKVDLDPETSRLWDLLMGKEGSESTQTMDKDKEKWWEEEREVFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPVKSRSIKETNCQNGVSAWIEEPEIQVIDPDGTITYHQNIIKQPRCGQSSLTSSEASEHVIENLVKGKVHLANEHPRKTEEEVISSQNSSDSFILQANEEIRSSSGSNSEAEDHLSEHSPKNNQSHLLFPQPTELTAPFQKHQNNSMGSPLFETMSSMLEYQQSANPVYNRQNVTVDRHGTTFDYQILSDIQCQQNSGTTSGDFWMPMKEYLGSGETTSDSVRKATFLHLTANNVSRAKTSDYSGKSMGHMAGHISTSITQETASPINQPPGLDKNAFINKMSAHQVNLQPDSHSANIKLSTSRDQQETSKIIQLETTITADSNPTEANAKRQSEWKIHSSSKQSTGNDISAANARKRKSEGDRKVVFDWDSLRRQVQSKGERKERSKNTMDSIDYEAIRCAAVKDISDAIRERGMNNMLAERIKDFLNRIVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLETIQKYIWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKGMVSASLPVSANGNPAAAFKQMPLLPGRSEGVSVVGLPGAVEAGMIPAFLNKPMPQPPQITSVNREVEELITSNCEPIIEEPASPEPLPEVSTSDIEDAFYEDPDEIPTIELNMKEFTTNLQAILQGQNLGMQDGDLSKALITLKPDAASIPTPKLKNISHLRTEHQVYELPDVHPLLEGLDRREPDDPSPYLLAIWTPGETANSIQPPETNCNAQASGRLCNEKTCYSCNSVREADSQTVRGTLLIPCRTATRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRRMIWYLPRRTVYFGTSVSTIFKGLSTEQIQHCFWKGFVCVRGFDQKTRAPRPLMARLHFPASRLVKNKNETRKKDVSAAERIDK >itb05g05720.t3 pep chromosome:ASM357664v1:5:5540479:5551950:-1 gene:itb05g05720 transcript:itb05g05720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHMNLGRQFFMPRENGVVDNGDPWIPVTPQRQISTRPNLNPADTQGNWQERVLTAPHLVPSEIQGNQMNPREPQTPILPGPPNLVPAEMQLGNQIERVNRQEELQRPILPGPNGIPAEMQGNQMEKAANWKDLIGIYEHLLQDSAEDTGKTQNVNSVERMDNTRAFQNTNSAVPKNLYNRELNHNWNNNLVESRSYSQNIDSYGQNLGHSSPAWNNCNTLAEVFGMRNLQTAHCSNATPDKNTYVTGKPVSSNSCSPLEGGSYRQCNTASPMLQKQAHSMSSSAASDGYNRQYRPHGGFSVLHPTSCKLYTPTPGTVASSSTASFYPLAPITPDKVQQIMMHPLDTIEEFSTPDKDKQERNVGLIQNTPDSSSAAISPLQKECNSVSGGNGDIDLNKTPQLKPQKRRKHRPKVVVEGKPKRKQRAPSTKGSTPKETPSGKRKYVRRKGLKSPSTEQSNIVDEAAASNPENHANSCRRALNFNLGNEVTGESHDRNEVTKVEAQKHTDQSFDLNLDDQNTTVSLGLHNALTASIQHELQNGGQQAETTSNCIYTAPETPLRPSTSSATSKSHTLNAIARNLSMRNPILYQNSSQNGYNQVHQLTPEEGARSQIGFQTRTIQGKTDDTSQSTLQSISQFVRNTANINEKRGCKRDYSQSSEPIALLRSQFLHHKMSQTGQPNFHCSTLEIGSEAHKKRKSEGTLYEIITSMPSCLTSNKGGSTHVQQSSFTSHANDRLSNSNLHGTITCKKAENRMNGILSNRHTDPLALGLHYLRQRASHQLHLDTEKQCITSHLPQSKEIVAKHISREGTEVHGRKAIVASSNWNYQYPSLSLSGSLQRHESRISPSMISSSTWELGRASSNQTSSPKKPPRRKSKNTQSDQQASTKARGLHEEGKYSVTVDILAVRLERMVISDKDVVPNEQNALVPYKGDGAIIPFEGYDLTKKRKPRPKVDLDPETSRLWDLLMGKEGSESTQTMDKDKEKWWEEEREVFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPVKSRSIKETNCQNGVSAWIEEPEIQVIDPDGTITYHQNIIKQPRCGQSSLTSSEASEHVIENLVKGKVHLANEHPRKTEEEVISSQNSSDSFILQANEEIRSSSGSNSEAEDHLSEHSPKNNQSHLLFPQPTELTAPFQKHQNNSMGSPLFETMSSMLEYQQSANPVYNRQNVTVDRHGTTFDYQILSDIQCQQNSGTTSGDFWMPMKEYLGSGETTSDSVRKATFLHLTANNVSRAKTSDYSGKSMGHMAGHISTSITQETASPINQPPGLDKNAFINKMSAHQVNLQPDSHSANIKLSTSRDQQETSKIIQLETTITADSNPTEANAKRQSEWKIHSSSKQSTGNDISAANARKRKSEGDRKVVFDWDSLRRQVQSKGERKERSKNTMDSIDYEAIRCAAVKDISDAIRERGMNNMLAERIKDFLNRIVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQYPVLETIQKYIWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKGMVSASLPVSANGNPAAAFKQMPLLPGRSEGVSVVGLPGAVEAGMIPAFLNKPMPQPPQITSVNREVEELITSNCEPIIEEPASPEPLPEVSTSDIEDAFYEDPDEIPTIELNMKEFTTNLQAILQGQNLGMQDGDLSKALITLKPDAASIPTPKLKNISHLRTEHQVYELPDVHPLLEGLDRREPDDPSPYLLAIWTPGETANSIQPPETNCNAQASGRLCNEKTCYSCNSVREADSQTVRGTLLIPCRTATRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRRMIWYLPRRTVYFGTSVSTIFKGLSTEQIQHCFWKGFVCVRGFDQKTRAPRPLMARLHFPASRLVKNKNETRKKDVSAAERIDK >itb05g05720.t4 pep chromosome:ASM357664v1:5:5540479:5551950:-1 gene:itb05g05720 transcript:itb05g05720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHMNLGRQFFMPRENGVVDNGDPWIPVTPQRQISTRPNLNPADTQGNWQERVLTAPHLVPSEIQGNQMNPREPQTPILPGPPNLVPAEMQLGNQIERVNRQEELQRPILPGPNGIPAEMQGNQMEKAANWKDLIGIYEHLLQDSAEDTGKTQNVNSVERMDNTRAFQNTNSAVPKNLYNRELNHNWNNNLVESRSYSQNIDSYGQNLGHSSPAWNNCNTLAEVFGMRNLQTAHCSNATPDKNTYVTGKPVSSNSCSPLEGGSYRQCNTASPMLQKQAHSMSSSAASDGYNRQYRPHGGFSVLHPTSCKLYTPTPGTVASSSTASFYPLAPITPDKVQQIMMHPLDTIEEFSTPDKDKQERNVGLIQNTPDSSSAAISPLQKECNSVSGGNGDIDLNKTPQLKPQKRRKHRPKVVVEGKPKRKQRAPSTKGSTPKETPSGKRKYVRRKGLKSPSTEQSNIVDEAAASNPENHANSCRRALNFNLGNEVTGESHDRNEVTKVEAQKHTDQSFDLNLDDQNTTVSLGLHNALTASIQHELQNGGQQAETTSNCIYTAPETPLRPSTSSATSKSHTLNAIARNLSMRNPILYQNSSQNGYNQVHQLTPEEGARSQIGFQTRTIQGKTDDTSQSTLQSISQFVRNTANINEKRGCKRDYSQSSEPIALLRSQFLHHKMSQTGQPNFHCSTLEIGSEAHKKRKSEGTLYEIITSMPSCLTSNKGGSTHVQQSSFTSHANDRLSNSNLHGTITCKKAENRMNGILSNRHTDPLALGLHYLRQRASHQLHLDTEKQCITSHLPQSKEIVAKHISREGTEVHGRKAIVASSNWNYQYPSLSLSGSLQRHESRISPSMISSSTWELGRASSNQTSSPKKPPRRKSKNTQSDQQASTKARGLHEEGKYSVTVDILAVRLERMVISDKDVVPNEQNALVPYKGDGAIIPFEGYDLTKKRKPRPKVDLDPETSRLWDLLMGKEGSESTQTMDKDKEKWWEEEREVFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPVKSRSIKETNCQNGVSAWIEEPEIQVIDPDGTITYHQNIIKQPRCGQSSLTSSEASEHVIENLVKGKVHLANEHPRKTEEEVISSQNSSDSFILQANEEIRSSSGSNSEAEDHLSEHSPKNNQSHLLFPQPTELTAPFQKHQNNSMGSPLFETMSSMLEYQQSANPVYNRQNVTVDRHGTTFDYQILSDIQCQQNSGTTSGDFWMPMKEYLGSGETTSDSVRKATFLHLTANNVSRAKTSDYSGKSMGHMAGHISTSITQETASPINQPPGLDKNAFINKMSAHQVNLQPDSHSANIKLSTSRDQQETSKIIQLETTITADSNPTEANAKRQSEWKIHSSSKQSTGNDISAANARKRKSEGDRKVVFDWDSLRRQVQSKGERKERSKNTMDSIDYEAIRCAAVKDISDAIRERGMNNMLAERIKDFLNRIVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQYPVLETIQKYIWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPGPEEKGMVSASLPVSANGNPAAAFKQMPLLPGRSEGVSVVGLPGAVEAGMIPAFLNKPMPQPPQITSVNREVEELITSNCEPIIEEPASPEPLPEVSTSDIEDAFYEDPDEIPTIELNMKEFTTNLQAILQGQNLGMQDGDLSKALITLKPDAASIPTPKLKNISHLRTEHQVYELPDVHPLLEGLDRREPDDPSPYLLAIWTPGETANSIQPPETNCNAQASGRLCNEKTCYSCNSVREADSQTVRGTLLIPCRTATRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRRMIWYLPRRTVYFGTSVSTIFKGLSTEQIQHCFWKGFVCVRGFDQKTRAPRPLMARLHFPASRLVKNKNETRKKDVSAAERIDK >itb10g25450.t1 pep chromosome:ASM357664v1:10:28779431:28781505:1 gene:itb10g25450 transcript:itb10g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSSGFKMPAVGLGVWRMEGKDIRDLIINAIKIGYRHFDCAADYKNEAEVGEALAEAFKMGLVKREELFITTKLWNSDHGHVLEACKDSLNKLRLDYLDLYLVHFPIATKHTGVGTTSSAIADDGVLDIDTTITLETTWHAMEDLVSKGLARSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGAVANTEWFGSVSCLEDPVLKGIAEKYKKTVAQIVLRWGIQRNTVVIPKSSKVERLEENFNVFGFELSKEDMELIKSVDRNHRTNQPAKFWGIDLYA >itb13g23520.t1 pep chromosome:ASM357664v1:13:29487259:29489334:-1 gene:itb13g23520 transcript:itb13g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRTGKLSAGRMLKLLLLLQSSANVFLLVIMISCSLEKGNASRGIVDGLEAHHYQTLPLSSMLPPFFCKQTSSKGEGRKTSMKVVHRFGPFSARGGDSPSMREILLRDESRVQSMQAQPRLRSFRLAKNNINSEMGARELETVDLPAVNQSPSGVGNYIVTVGLGTPAQDVELEFDTGSDLTWTQCQPCGEAECYNQRLPIFDPDASTTYSYVPCDSQACADLEASTGYPVDQCDEEEDEDSTECSYTTSYLDGSFSIGELATDKLTLTSTNVVDGFIFGCGEDNDFTYGSDNGGIMGLGTAPLSIVSQTSQQFGNYFSYCLPTPTGSDGHLTFGKNNKNTNNLNYTPFLPSSGYSIEVLAISVNGHQLSISPAVFQNPGTFIDSGTVITRLPTPAYNALRDAFKQHMTMYPEVETDSILDTCYDFTNYPNPTIPEIRFTFGDDVEVELDPRGVMAPLDYDRSAVCLAFANSTDGGIFGNYQQQTFEVVYDVAGGRLGFASGGCS >itb06g12270.t2 pep chromosome:ASM357664v1:6:16908048:16913366:1 gene:itb06g12270 transcript:itb06g12270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGRWAVSNVGLPSCSSSPKYITYSLSQFTNTLDSELFKTARLSAGSLRYFGLGLENGNYTVTLQFAESVILKPNPPYWKTLGRRVFNIYIQGNLKEKDFDIQKEAVESFSAISRKYKGTLEDGRIVAVKQLSVASRQGKSQFVAEIATISAVQHRNLVKLYGCCYERDKRLIVYEFHENKSLDQALFGCSNLFLDWPKRFDICLGIAKGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRIAGTLGYLAPEYAMLGHLTEKADVFRFGVVALEKVSGRPNYDSSLEEDKMYLLESAWNLLEKKREVDLVDEKANSMRMK >itb05g00670.t1 pep chromosome:ASM357664v1:5:576736:580508:1 gene:itb05g00670 transcript:itb05g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPENEFCSVCHGNFHIPCQANCSHWFCGNCILQVWYHGSALQPCKCPLCRRQITLLVPSEASSRQRQSPEVSDVFTRIETYNRLFGQHSTGLLQKLQDLPFLLRRLLREITDPQRSLPFVIRARVYLAAFLSVLYVLSPIDIIPEGFLGIIGFLDDLIIVFICFLHVAALYRSLLVFRHGSPS >itb15g18670.t1 pep chromosome:ASM357664v1:15:20456526:20458135:1 gene:itb15g18670 transcript:itb15g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEVLRPQDALQKQFDYRDSGFVNSPMKSKRNFSSNPNPNPSPNPKPGRGFVKSDRRKRSPQKKSNCSGNGNGGVSFSSLTPETKGNFMVGQVRILKRGEGLGDTAPLKKIGEKVERVESESVFGKQPETTPKKFNIADFYAGSACDSSPPPSSLPVPGFFKKKTILVENNDYATCDLRRLLRLDLS >itb04g09900.t1 pep chromosome:ASM357664v1:4:9140413:9140805:-1 gene:itb04g09900 transcript:itb04g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVGHILILVMAMALSIPSSFAGSPVVNFIDEMDGSAQPPTVNFNCKQGGISRAQFNLVPGQKHSFEATLNGVYACAVFWGCLFGSVEGFNPARDKGQNTVNWKVDKQGLSLSYDLKRWELVEPWETD >itb01g28170.t1 pep chromosome:ASM357664v1:1:32699611:32701819:1 gene:itb01g28170 transcript:itb01g28170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTTLTFPCLAAFSSSSPNSPNSPLFFSAPSQFLLRKKPNQTRLRLISCRSANPNNNSDDNAPSLSRNIDRRNVLLGIGGLYGVANLAGTTIASAADTAIQAPDVTKCHYPTDLPDNADVPDTCCPPVPAVTISDYQLPADGAMRTRVPAHLASDEFIAKYEAALSAMRNLDPSHPHSFTQQANIHCAYCNAGYQQVDFPSEVIQVHNSWLFFPFHRWYLYFYEKILGKLINDPTFALPYWNWDNESGMTMPNMFMTSTSSLYDANRNANYFPPAIIDLNYAGSDRGLTDDELIKENLVAMYRSMVTNATTSDLFMGQKYVAGDAPDPGQGSMENIPHTPVHRWVGDSVNQPNGEDMGNFYSAGRDPLFYSHHANVDRMWVIWQQQLGGKNFTDDAWLDASFLFYDENENPVRVYVKDCLDNAKMGYEYQPSALPWTNSKPAASSTAGLAAASTALSVADVFPVTLDKAVQVKVARSKTSRTEDEKGQEEEVLVIGGIEVSADQYVRFDVYVNDDEQPAGGKDKPQYVGGFAHLPQKQQSSTKTTTSLRLGLNEVLADLGAEDEDTILVTLVPTVGGGVVNVSSIAIEYSAK >itb04g05570.t1 pep chromosome:ASM357664v1:4:3620986:3623237:1 gene:itb04g05570 transcript:itb04g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKRVYEDPSVASRFDIRAWVVASQYHNKLQMLTDLLKSMGCVGSGTQEDQLAQQLYKKLMHQRYFVVIDDIWSVDAWNSVKACFPDNANDSRVLLTTRSAQVATFIGSNNDFSHQMQLLQEGESLNLFHEKTSKSLGSEFDMIGRQIVKKCKGLPLAIVVAAGLLSKLHTLDEWKNVAEVLNSSATTTIDEECSTILSSSYNHLPHSLKACFLYLSVFPEDEAIHVKTVVKLWVAEGLVKASKDMSFDAVARKHIQELKDRNLILLKKKTFCMLSMRMMSPLPLLNISDGSSYLERWEASASHFPNLEHLILSWCEELEKIPAEFAEIPNLKSIKLYGCLQFAVDSANEIQREQHEQGNDNMVVIEENTIKEVFLWKNHKGNY >itb11g18670.t1 pep chromosome:ASM357664v1:11:19104526:19115284:1 gene:itb11g18670 transcript:itb11g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPVISLASVSMTLGELLLRVGDSAREKPNNSGADNDHRVLQLYLDFQASAMNTTAYTCRPIGNLLKELSTKCERLVVVNDALMVNTVKDRLSIQSSCFPLPLSHRRRIEIETMKVILHLSSLTRTPFSSPSLPHSKPISVAAKTKPLSISFQHEEAEKRSLELRVCTNRTCRRQGSLESLQVLSGIAPPHVSVTACGCLGKCGAGPNVVVLPDAVFIKHCGTPARAAEMMSFLCLGRDGTDIETETNKCLEALALRKRAEDEMDKGNFSQAFHLLSRAIYLKPFGGVHISYRNRSVARLAMEDPAGALQDAKEALNIAPTYSDAYLCQGDALMALDQIEAAENSYSVALDLDPSIRRSKSFKARIAKLKEKLAPAI >itb13g06010.t3 pep chromosome:ASM357664v1:13:7078475:7084462:-1 gene:itb13g06010 transcript:itb13g06010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMEDMVEYTFTVIEIDLDYEFDAPRYFDFSCEESPADSRCAESWFESAGTYPPSPFVRKLIMSEEMWSENINISPKPKDADNMSLSESGSDIEVEQDAFATEASNSGNDTEVAPIFYNNNAWKFQNQPQVLPSGLTFYNHIVKDNSKAKNKSAGKPSYPRTSTLMKPTASHLAKQNPSHLVGGSRFLNSVLEKNETNTTISETQANKRQKLEGGLLRKVADAKPQTNFLHKAPKRDGTAVGNYLLAKPRITIPREPDLETALRAQKQRPKPQKEAENGTSVVYRFKARPLNRKIFEGPSLLPKRSTPQLPQFQEFHLKTSERAMQHNSTVLTSNIDKVLQNSNYSSTVECGNREPRRPNIVDPPKQDESVPTHNFKALPLNKKILSSRGDMGVFRNIKKEITVPMEFNFHTEKRVHQHNPPIELFNKLSLTSGTKSSADAHTKPLQPACIPTKGSKENRWAYFQQNCEIKHMEKGKSQGLPAKEQIQCFADGVTTGIAHGACNNYRYIMYAYILFDALI >itb13g06010.t1 pep chromosome:ASM357664v1:13:7078436:7084462:-1 gene:itb13g06010 transcript:itb13g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMEDMVEYTFTVIEIDLDYEFDAPRYFDFSCEESPADSRCAESWFESAGTYPPSPFVRKLIMSEEMWSENINISPKPKDADNMSLSESGSDIEVEQDAFATEASNSGNDTEVAPIFYNNNAWKFQNQPQVLPSGLTFYNHIVKDNSKAKNKSAGKPSYPRTSTLMKPTASHLAKQNPSHLVGGSRFLNSVLEKNETNTTISETQANKRQKLEGGLLRKVADAKPQTNFLHKAPKRDGTAVGNYLLAKPRITIPREPDLETALRAQKQRPKPQKEAENGTSVVYRFKARPLNRKIFEGPSLLPKRSTPQLPQFQEFHLKTSERAMQHNSTVLTSNIDKVLQNSNYSSTVECGNREPRRPNIVDPPKQDESVPTHNFKALPLNKKILSSRGDMGVFRNIKKEITVPMEFNFHTEKRVHQHNPPIELFNKLSLTSGTKSSADAHTKPLQPACIPTKGSKENRWAYFQQNCEIKHMEKGKSQGLPAKEQIQCFADGVTTGIAHGACNNYSKLNQEVT >itb13g06010.t2 pep chromosome:ASM357664v1:13:7078436:7083028:-1 gene:itb13g06010 transcript:itb13g06010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAFVRKLIMSEEMWSENINISPKPKDADNMSLSESGSDIEVEQDAFATEASNSGNDTEVAPIFYNNNAWKFQNQPQVLPSGLTFYNHIVKDNSKAKNKSAGKPSYPRTSTLMKPTASHLAKQNPSHLVGGSRFLNSVLEKNETNTTISETQANKRQKLEGGLLRKVADAKPQTNFLHKAPKRDGTAVGNYLLAKPRITIPREPDLETALRAQKQRPKPQKEAENGTSVVYRFKARPLNRKIFEGPSLLPKRSTPQLPQFQEFHLKTSERAMQHNSTVLTSNIDKVLQNSNYSSTVECGNREPRRPNIVDPPKQDESVPTHNFKALPLNKKILSSRGDMGVFRNIKKEITVPMEFNFHTEKRVHQHNPPIELFNKLSLTSGTKSSADAHTKPLQPACIPTKGSKENRWAYFQQNCEIKHMEKGKSQGLPAKEQIQCFADGVTTGIAHGACNNYSKLNQEVT >itb09g30260.t1 pep chromosome:ASM357664v1:9:30901802:30903259:-1 gene:itb09g30260 transcript:itb09g30260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSSIHLHMISRSCASTMVVTGNTAINIIPADCVNQLVLENIADDIPKPPPKTRFQWPPEHHSIFMGIVTNLGGIYKAVPSKIWKQMVAYVPGLTRANVSSHLQKVRLLDTQLKKRGRPKRWNKTAKSHQKWYNRCCLSFAENEGWNYPSSENHVLIAAAGNEDNSSLLYSPLHLAHGGEEQLLMSSQFFDDIICQEINADQMQFASEPFGDNISGEDSLYSSVGSCLARSGQDNEWVNYGGDDDFYYQLGTNQCPPNQGQAIGEVISGQEQAGTFQQNVNKQEFYIPTQVCPSFNLFVFRR >itb09g15560.t1 pep chromosome:ASM357664v1:9:10797314:10800240:-1 gene:itb09g15560 transcript:itb09g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPDSKVACETCSKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFISADVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKKPEEIGAGDQGHMFGYASDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDNGAMIPLRVHTVLISTQHDETVTNEQIAKDLKEHVIKPVIPAKYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCLVQVSYAIGVAEPLSVFVDTYKTGKIPEKDILALIKENFDFRPGMITINLDLKRGGKFRYQKTAAYGHFGREDPDFTWETVKILKPKA >itb09g18930.t1 pep chromosome:ASM357664v1:9:15010380:15013957:1 gene:itb09g18930 transcript:itb09g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVIRNLRKLKYIKNFHQFDIHFKTHCKEGKLPNYVVVEQRYFDLKILPGNDDHPSHDVFEGQKFVKEVYEALRSSPQWNEMLFLIVYDEHGGFYDHVPTPVTGVPNPDGLIGPQPYNFQFDRLGVRVPVIMVSPWIERGKVVHGPTSGPFPNSEYEHSSIPATVKKIFNLNQFLTERDAWAGTFETVITRTTPRTDCPETLAQPVKLRSAEAKEDANLTEYQKEMVQMAAVLCGDHMKEDYYPHKLVENMSVAKAADYSHQAFNKFLNDCENARQRGIHEDHSIVALSKEPSSPKTTPRKPKSFASKFLSCIACGR >itb04g28530.t1 pep chromosome:ASM357664v1:4:32246302:32248641:1 gene:itb04g28530 transcript:itb04g28530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDDTGRYHGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEECDYAE >itb09g08570.t1 pep chromosome:ASM357664v1:9:5099393:5100843:-1 gene:itb09g08570 transcript:itb09g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTVLNVVILCVLVFCPSGVIPGTVPIPAQKSQVESWFKANVKPLPGREGVDPALVKAEAAPVYLKVGNGGQFKTIQAAINSIPARNTKRHIIFISGGFYHERVKIDYNKPFVTLFGDPKNRPTLVAAATAAQLGTIYSATLYVLSDYFSAVNIDVRNSAPRPTGKKDQQAVAMTITGDKASFYSCRFYGFQDTLCDHNNRHFYKDCYVEGTVDFVFGDAKSIFLNTQLRVIPGDQMAMVSAHGRNTAKEDTGFSFVHCQVTGSSKIAVLGRGWFPYSKTIYAYTYIGNAIKPEGWMGMRANPKDGGTCYFGEYNNTGPGAKMDGRPKFVKRLTGAEVKPYITLDYIQASKWLLPPIIKAVQ >itb04g00570.t1 pep chromosome:ASM357664v1:4:305251:307368:1 gene:itb04g00570 transcript:itb04g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARRVYEDSSIALHFDHQAWIVASQHYNKRQMLEDLLNLKGNAESDSDEELALRIYQGLKGQRYLIVMDDVWSKEAWDAIKACFPDDKNGSRVLLTTRLAEVANYTCSSSAFSLQVRLLDQSESWNLFCQKTCKVHSGEFETIGRRIVEKCKGLPLAIVVVAGLFSKLNTLNEWENIAKALNSCETATIARACSRILSLSYNHLSYNLKACFLYLGVFPEDEEIYTNNLASLWVAEGLVKTFENESFEVVAQRHIQELVDRNLILVSKQSSSGKKVKTFRIHDLLHAFCVREAQNENLLKIVDEGVLFKHLINNQIALLKNLKKLSFLYTRFQWDQINILSRLPRLEVLKLGSLACEGQRWRLREEEKFCQLISLKLNKVDLVHWEISGDHFPNLESLFICWCDLQEIPSSFAEIATLKSIELIECLPSAVASAKQIREEQHDQGNYNMVVIEKDTIPTGHSIIRLYER >itb09g07260.t1 pep chromosome:ASM357664v1:9:4196929:4199918:1 gene:itb09g07260 transcript:itb09g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLSTLLNPNWKSAGQNALKRFYGSASSMQPRYGSERLYRRISPVGDPNVSVLPILDQWIEEGKTADKVELQRIIKELTRFRRYKHALEVSKWMTDKRYIPMTSLDVAARMNLIFKVHGMEQVEEYFGNVHQRFKHHVLLTALLNCYAQEKSLEKAEATMQKLRDMGWAKTPLPYNIMMNLYYSMGRWEKVDGIMKEMDEKGLYHDRYTVGIRLSAYAAAGDSAGIDKIVEVIESNPKITLTWNTYGVIVEGYLKVGQLDKALAMMKKLEGKIPSSNNSKNKNSGYTYLLTNYAELGKKEEVYRVWDLFKQKEKKINNTGYMSMMGSLSKLDNIEGMEKIFEKWESRVLSYDFRVPDHLIEGYCRKGLLGKAEAVLERGIAKGGVPSAATWCYMAGGYLLDGQEPKAMEALNKAVSICPPDFKPSKDTLIKCVECLEKHGNVENAEELIKSLEDIRGFSPILREKLSCLIKDPSIDS >itb15g10860.t1 pep chromosome:ASM357664v1:15:8630200:8632744:-1 gene:itb15g10860 transcript:itb15g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSATPTISLSRASKLTSSSSSYSSSTVALSSSSSLFLRGNGAGLRLVSGQRAALRGRSSGGRKRFSCNCLFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTFKGFQQAAKEFETELKKEPDSLAQAPSEGFEEINQEEKRDATVSSTKEDS >itb15g10860.t2 pep chromosome:ASM357664v1:15:8630200:8632744:-1 gene:itb15g10860 transcript:itb15g10860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSATPTISLSRASKLTSSSSSYSSSTVALSSSSSLFLRGNGAGLRLVSGQRAALRGRSSGGRKRFSCNCLFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTFKGFQQV >itb01g27610.t1 pep chromosome:ASM357664v1:1:32336670:32336987:-1 gene:itb01g27610 transcript:itb01g27610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFSPEVQEAAVVELNRIISKGVVDSTRAVSAEEGIFPLVKLIENGTERAVDAGLAILYNLSIDSENHAAIIAAGAIPILRRIVLSQRSHWTRALRLLRNLPN >itb04g17950.t1 pep chromosome:ASM357664v1:4:21204935:21205555:-1 gene:itb04g17950 transcript:itb04g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGRESRLWMDSWIHEQPLFTFVTAQNQGRINNAKDAAWETLWKIKVPSKMKDFLWLAMHGQVLGNAERKRRGMTGDGRCNICPEREESMEHILRDCKQAKEVWKTMLGHARLNAWSQPSCKQALDCGKYLWGFPC >itb12g02070.t1 pep chromosome:ASM357664v1:12:1394246:1395929:1 gene:itb12g02070 transcript:itb12g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQRIGLPEDDLVPKSRKKKAAAQKKALSVQVGQKASKRGQTNEASMLFHKSEKPKQNSLAVDASTSGDEYRVLRRKYLLLEEESCTLQKELMLVEDETKALEEEKLSLLDDLVVLEGLVDPSEIQSRRQRL >itb12g02070.t2 pep chromosome:ASM357664v1:12:1394246:1395929:1 gene:itb12g02070 transcript:itb12g02070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQRIGLPEDDLVPKSRKKKAAAQKKALSVQVGQKASKRGQTNEASMLFHKSEKPKQNSLAVDASTSGDEYRVLRRKYLLLEEESCTLQKELMLVEDETKALEEEKLSLLDDLVVLEGLVDPSEIQSRRQRL >itb10g12830.t1 pep chromosome:ASM357664v1:10:18929990:18930703:-1 gene:itb10g12830 transcript:itb10g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISREYLGIAQAPAGIEDFYFVRHGATDLNEKQKDLRGEKHWGVQGGGTDVSLNANGKRQAALAGNVLRELPISSVVCSPLLRAIQTAFIANIGCARFEIDENLKERGFGEHEGGYGPLKMFEENYPDCEDTQIFSLRVATALNHAVHTENTLFVSHGGVLRVIAALLQVSLSKEHTDNGRVLHFKRARGVSNWTVEIHQSPAILVSGPIIRKAITEKGGRADQIENRGHGSAQEP >itb02g06740.t2 pep chromosome:ASM357664v1:2:4226110:4230795:1 gene:itb02g06740 transcript:itb02g06740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGVVALVLSLVSRIGIVECEKPAVVNVGSVFTFNSIIGRAAKAAMDIAVSDINGDPSILAGTKLNLIQVDSNCSGFLASIGAFQLIEKEVVTIIGPQSSAIAHMISFIANDLHVPLVSFAASDPTLSAFQFPYFVRMTQSDSYQMTALADIICAYGWKESIAIYVDDDYGRNGIAYLGDELARRQCKIHFKLPLSINFDLSDLTRVLNGSKNLGPRVYIVHIYPDSKLRFFSLVQKLNMMTEDFVWLVTDWLSSSLESFSMQNQSSLGILDGVVGLRPYIPKSTKQSDFVSRWRKMQQKDLVQLTTYGMYAYDTVWAVAHSIDKLLREGINISFSFRNDLHAMGQSSSQLNNLKVFEGGESLLKILTDINFTGITGKINFDGERNLIGSGYEVINIAQGEIHPVGYWSNLSALSVSPVKSLKRKTQSCSDKKLGHITWPGGLTSRPRGWVLANAERPYQIAVPRRTVFTEFVRELNDSQKIEGYCIDVFYAARDKLPYDIHFTFKAVGDGHSNPNYDDLVKMVADDVYDAAVGDIAIVTNRTRMVDFTQPYVSTGLVILAPVDRFKSSAWVFLKPFTPAMWGVTAISFLVIAVVIWILEHRVNDDFRGPPKRQLITMLLFSFSTLFKTNNENTVSTLGRMVMVVWLFLLLVITSSYTASLTSILTVQQLSSSTITGMESLVTTNWPIGYQVGSFAYSYLINNYDIHPSRLVKLRSPEEYEIALRKGPTARGGVAAVVDELPYVELFLANRTEFGTIGQQFARNGWGFVCHIYSLFDALFISKLNMNACLKTLCGNL >itb02g06740.t3 pep chromosome:ASM357664v1:2:4226175:4231536:1 gene:itb02g06740 transcript:itb02g06740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGVVALVLSLVSRIGIVECEKPAVVNVGSVFTFNSIIGRAAKAAMDIAVSDINGDPSILAGTKLNLIQVDSNCSGFLASIGAFQLIEKEVVTIIGPQSSAIAHMISFIANDLHVPLVSFAASDPTLSAFQFPYFVRMTQSDSYQMTALADIICAYGWKESIAIYVDDDYGRNGIAYLGDELARRQCKIHFKLPLSINFDLSDLTRVLNGSKNLGPRVYIVHIYPDSKLRFFSLVQKLNMMTEDFVWLVTDWLSSSLESFSMQNQSSLGILDGVVGLRPYIPKSTKQSDFVSRWRKMQQKDLVQLTTYGMYAYDTVWAVAHSIDKLLREGINISFSFRNDLHAMGQSSSQLNNLKVFEGGESLLKILTDINFTGITGKINFDGERNLIGSGYEVINIAQGEIHPVGYWSNLSALSVSPVKSLKRKTQSCSDKKLGHITWPGGLTSRPRGWVLANAERPYQIAVPRRTVFTEFVRELNDSQKIEGYCIDVFYAARDKLPYDIHFTFKAVGDGHSNPNYDDLVKMVADDVYDAAVGDIAIVTNRTRMVDFTQPYVSTGLVILAPVDRFKSSAWVFLKPFTPAMWGVTAISFLVIAVVIWILEHRVNDDFRGPPKRQLITMLL >itb02g06740.t1 pep chromosome:ASM357664v1:2:4226110:4231535:1 gene:itb02g06740 transcript:itb02g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGVVALVLSLVSRIGIVECEKPAVVNVGSVFTFNSIIGRAAKAAMDIAVSDINGDPSILAGTKLNLIQVDSNCSGFLASIGAFQLIEKEVVTIIGPQSSAIAHMISFIANDLHVPLVSFAASDPTLSAFQFPYFVRMTQSDSYQMTALADIICAYGWKESIAIYVDDDYGRNGIAYLGDELARRQCKIHFKLPLSINFDLSDLTRVLNGSKNLGPRVYIVHIYPDSKLRFFSLVQKLNMMTEDFVWLVTDWLSSSLESFSMQNQSSLGILDGVVGLRPYIPKSTKQSDFVSRWRKMQQKDLVQLTTYGMYAYDTVWAVAHSIDKLLREGINISFSFRNDLHAMGQSSSQLNNLKVFEGGESLLKILTDINFTGITGKINFDGERNLIGSGYEVINIAQGEIHPVGYWSNLSALSVSPVKSLKRKTQSCSDKKLGHITWPGGLTSRPRGWVLANAERPYQIAVPRRTVFTEFVRELNDSQKIEGYCIDVFYAARDKLPYDIHFTFKAVGDGHSNPNYDDLVKMVADDVYDAAVGDIAIVTNRTRMVDFTQPYVSTGLVILAPVDRFKSSAWVFLKPFTPAMWGVTAISFLVIAVVIWILEHRVNDDFRGPPKRQLITMLLFSFSTLFKTNNENTVSTLGRMVMVVWLFLLLVITSSYTASLTSILTVQQLSSSTITGMESLVTTNWPIGYQVGSFAYSYLINNYDIHPSRLVKLRSPEEYEIALRKGPTARGGVAAVVDELPYVELFLANRTEFGTIGQQFARNGWGFVFQKGSPIAVDLSTEILKLAENGDLYKIHKKWFCGSGCPSERGIKAEAYQLHLSSFWGLYLLCGAVTVIALIIFLFRAVFQYMRYKRKQMDPSSPSNTRCSQAVYSFFDFIDEKEEAIKHFFTQHESSQTQVNNEAGKAADSSRGLENLS >itb13g13410.t1 pep chromosome:ASM357664v1:13:19933667:19938765:1 gene:itb13g13410 transcript:itb13g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCELNTTVCVMDASSYLGSLLVRRLLHRGYTVHAAIQTHVGGEEALAPLNGVLEWENNEKVKVFELDLFDYHSIVDALKGCSGLFYSFQPPLDHSTYDEYMAEVEVRAAHNVVEACAQTDTIDKVVFTSSATAILWTSTHADKKSSDLDERHWSDINFCRNFKLWHALSKTVAEKSAWALAMDRGVNMVSVNAGLVVSPDLTITNPYLKGAAEMYEDGVFVTVDLDFLVDAHVCVYEDVSTYGRYLCFNHTINHTAEAAKLAQTLNPSPSHLPRCEDETKIIEQRISNKKLNKVMVDFDRRSHVQVE >itb13g22340.t4 pep chromosome:ASM357664v1:13:28627569:28631116:1 gene:itb13g22340 transcript:itb13g22340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MHSIPLSVVFVLSLSLQLVHGIPSTLDGPSEPVTVALDQTFRGHAIDLPDTDPRVQRTVEGWKPEQISVSLSSTHQSVWISWITGEFQIGDDIKPYDPSTVASVVQYGKVKSKLDCSAIGQSLIYSQLYPFVGLQNYTSGIIHHVQLTALEPDTLYYYRCGDPSLRAMSKIYHFKTMPISSPKSYPKRIALVGDLGLTYNTTSTISHLRSNDPDLVILVGDVTYANLYLTNGTGSDCYSCSFADTPIHETYQPRWDYWGRYMQPLVSKVPIMVVEGNHEIEEQVENKTFAAYSSRFAFPSKESGSSSPFYYSFNAGADQYKWLERDLANVDRKVTPWLVATWHPPWYSSYVAHYREAECMKVAMEELLYKAGVDLVFNGHVHAYERSNRVFNYTLDPCGPVYITIGDGGNREKMAISHADEPGNCPEPSSTPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAFRESSFGHGILEVKNETHALWTWHRNQDMYNETGDQIYIVRQPEKCLVEPKVTKCSDHQSKQKVIQKENISSLR >itb13g22340.t1 pep chromosome:ASM357664v1:13:28627526:28631411:1 gene:itb13g22340 transcript:itb13g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MHSIPLSVVFVLSLSLQLVHGIPSTLDGPSEPVTVALDQTFRGHAIDLPDTDPRVQRTVEGWKPEQISVSLSSTHQSVWISWITGEFQIGDDIKPYDPSTVASVVQYGKVKSKLDCSAIGQSLIYSQLYPFVGLQNYTSGIIHHVQLTALEPDTLYYYRCGDPSLRAMSKIYHFKTMPISSPKSYPKRIALVGDLGLTYNTTSTISHLRSNDPDLVILVGDVTYANLYLTNGTGSDCYSCSFADTPIHETYQPRWDYWGRYMQPLVSKVPIMVVEGNHEIEEQVENKTFAAYSSRFAFPSKESGSSSPFYYSFNAGGIHFIMLGGYVDYNKTSDQYKWLERDLANVDRKVTPWLVATWHPPWYSSYVAHYREAECMKVAMEELLYKAGVDLVFNGHVHAYERSNRVFNYTLDPCGPVYITIGDGGNREKMAISHADEPGNCPEPSSTPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAFRESSFGHGILEVKNETHALWTWHRNQDMYNETGDQIYIVRQPEKCLVEPKRNESLRHHQWSRWSILASYTKKMQM >itb13g22340.t3 pep chromosome:ASM357664v1:13:28627580:28631408:1 gene:itb13g22340 transcript:itb13g22340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MRSVINKGVEMCGILFAGEFQIGDDIKPYDPSTVASVVQYGKVKSKLDCSAIGQSLIYSQLYPFVGLQNYTSGIIHHVQLTALEPDTLYYYRCGDPSLRAMSKIYHFKTMPISSPKSYPKRIALVGDLGLTYNTTSTISHLRSNDPDLVILVGDVTYANLYLTNGTGSDCYSCSFADTPIHETYQPRWDYWGRYMQPLVSKVPIMVVEGNHEIEEQVENKTFAAYSSRFAFPSKESGSSSPFYYSFNAGGIHFIMLGGYVDYNKTSDQYKWLERDLANVDRKVTPWLVATWHPPWYSSYVAHYREAECMKVAMEELLYKAGVDLVFNGHVHAYERSNRVFNYTLDPCGPVYITIGDGGNREKMAISHADEPGNCPEPSSTPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAFRESSFGHGILEVKNETHALWTWHRNQDMYNETGDQIYIVRQPEKCLVEPKRNESLRHHQWSRWSILASYTKKMQM >itb13g22340.t5 pep chromosome:ASM357664v1:13:28627580:28631167:1 gene:itb13g22340 transcript:itb13g22340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MRSVINKGVEMCGILFAGEFQIGDDIKPYDPSTVASVVQYGKVKSKLDCSAIGQSLIYSQLYPFVGLQNYTSGIIHHVQLTALEPDTLYYYRCGDPSLRAMSKIYHFKTMPISSPKSYPKRIALVGDLGLTYNTTSTISHLRSNDPDLVILVGDVTYANLYLTNGTGSDCYSCSFADTPIHETYQPRWDYWGRYMQPLVSKVPIMVVEGNHEIEEQVENKTFAAYSSRFAFPSKESGSSSPFYYSFNAGGIHFIMLGGYVDYNKTSDQYKWLERDLANVDRKVTPWLVATWHPPWYSSYVAHYREAECMKVAMEELLYKAGVDLVFNGHVHAYERSNRVFNYTLDPCGPVYITIGDGGNREKMAISHADEPGNCPEPSSTPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAFRESSFGHGILEVKNETHALWTWHRNQDMYNETGDQIYIVRQPEKCLVEPKVTKCSDHQSKQKVIQKENISSLR >itb13g22340.t2 pep chromosome:ASM357664v1:13:28627569:28631370:1 gene:itb13g22340 transcript:itb13g22340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MHSIPLSVVFVLSLSLQLVHGIPSTLDGPSEPVTVALDQTFRGHAIDLPDTDPRVQRTVEGWKPEQISVSLSSTHQSVWISWITGEFQIGDDIKPYDPSTVASVVQYGKVKSKLDCSAIGQSLIYSQLYPFVGLQNYTSGIIHHVQLTALEPDTLYYYRCGDPSLRAMSKIYHFKTMPISSPKSYPKRIALVGDLGLTYNTTSTISHLRSNDPDLVILVGDVTYANLYLTNGTGSDCYSCSFADTPIHETYQPRWDYWGRYMQPLVSKVPIMVVEGNHEIEEQVENKTFAAYSSRFAFPSKESGSSSPFYYSFNAGADQYKWLERDLANVDRKVTPWLVATWHPPWYSSYVAHYREAECMKVAMEELLYKAGVDLVFNGHVHAYERSNRVFNYTLDPCGPVYITIGDGGNREKMAISHADEPGNCPEPSSTPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAFRESSFGHGILEVKNETHALWTWHRNQDMYNETGDQIYIVRQPEKCLVEPKRNESLRHHQWSRWSILASYTKKMQM >itb13g22340.t6 pep chromosome:ASM357664v1:13:28627526:28631167:1 gene:itb13g22340 transcript:itb13g22340.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MHSIPLSVVFVLSLSLQLVHGIPSTLDGPSEPVTVALDQTFRGHAIDLPDTDPRVQRTVEGWKPEQISVSLSSTHQSVWISWITGEFQIGDDIKPYDPSTVASVVQYGKVKSKLDCSAIGQSLIYSQLYPFVGLQNYTSGIIHHVQLTALEPDTLYYYRCGDPSLRAMSKIYHFKTMPISSPKSYPKRIALVGDLGLTYNTTSTISHLRSNDPDLVILVGDVTYANLYLTNGTGSDCYSCSFADTPIHETYQPRWDYWGRYMQPLVSKVPIMVVEGNHEIEEQVENKTFAAYSSRFAFPSKESGSSSPFYYSFNAGGIHFIMLGGYVDYNKTSDQYKWLERDLANVDRKVTPWLVATWHPPWYSSYVAHYREAECMKVAMEELLYKAGVDLVFNGHVHAYERSNRVFNYTLDPCGPVYITIGDGGNREKMAISHADEPGNCPEPSSTPDEFMGGFCAYNFTSGPAAGKFCWDRQPEYSAFRESSFGHGILEVKNETHALWTWHRNQDMYNETGDQIYIVRQPEKCLVEPKVTKCSDHQSKQKVIQKENISSLR >itb09g27610.t1 pep chromosome:ASM357664v1:9:27846124:27848587:-1 gene:itb09g27610 transcript:itb09g27610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTNKYVNAQVVHTPTATVAAAASTQEKGLRLGMIEAKENTRDVAAAAKIGKLLGERLRVKGVPAVSIFFKRDQRYHGKVKAVIDSIRGEGIELV >itb10g18930.t1 pep chromosome:ASM357664v1:10:24711466:24718390:-1 gene:itb10g18930 transcript:itb10g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G18960) TAIR;Acc:AT4G18960] MEFQSDQSREMSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIDRYKKACTDSTNTGSISEANTQFYQQEASKLRQQISNLQNQNRNYMGEGLGGYNLRELKNLESKIEKGISKIRAKKNELLFAEIDYMQKRQEIDLHNNNQYLRAKIIETERAQQQMNLMPGSSSYHELAPPQQFDARNYLQLDGFQSTSSYSKQDHLPLQLV >itb10g18930.t2 pep chromosome:ASM357664v1:10:24711476:24718282:-1 gene:itb10g18930 transcript:itb10g18930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G18960) TAIR;Acc:AT4G18960] MEFQSDQSREMSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIDRYKKACTDSTNTGSISEANTQFYQQEASKLRQQISNLQNQNRNYMGEGLGGYNLRELKNLESKIEKGISKIRAKKNELLFAEIDYMQKRQEIDLHNNNQYLRAKIIETERAQQQMNLMPGSSSYHELAPPQQFDARNYLQLDGFQSTSSYSKQDHLPLQLV >itb12g22060.t1 pep chromosome:ASM357664v1:12:24271904:24272761:-1 gene:itb12g22060 transcript:itb12g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWWAGQVGLTGIETSTSAGGSSALKKPDLGISMNDGGREEEDERENSDEPREGAIEVATTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVANGADVAESIAQFARRRQRGVCVLSASGTVTNVTLRQPSAPGALMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGGVVGGSVVGSLVASGPVMVIASTFSNATYERLPLEAEEEEAGGGAGQGPLGAGGSPPGMGGAQGGGAGAAVGDPSMGVYNLPPNMLPNGGQLNHDAFAWAHGRPPY >itb10g10040.t2 pep chromosome:ASM357664v1:10:13748367:13749109:-1 gene:itb10g10040 transcript:itb10g10040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMDLQLGLALPRTGFELNCWEVDEANKNCRQKMMKSRRFDEASKEESGAENDVEVIPTLPLLVWDKNPHHTNNEDSDSTNTNREDGDEEGVVGWPPINSVKRKLYHLQRHRCCEVNVENGGGGHWRRLNNSLFVKVKMEGVGIARKIDLTAHHSYQTLTNTLIALFGRSKSINYILSLPSILLV >itb10g10040.t1 pep chromosome:ASM357664v1:10:13746901:13749113:-1 gene:itb10g10040 transcript:itb10g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMDLQLGLALPRTGFELNCWEVDEANKNCRQKMMKSRRFDEASKEESGAENDVEVIPTLPLLVWDKNPHHTNNEDSDSTNTNREDGDEEGVVGWPPINSVKRKLYHLQRHRCCEVNVENGGGGHWRRLNNSLFVKVKMEGVGIARKIDLTAHHSYQTLTNTLIALFGRSEEEVEAYTLTYEDKEGVWVVAGDVAWRTFIQSVHRLKLVKKGE >itb07g09910.t1 pep chromosome:ASM357664v1:7:10029816:10031284:-1 gene:itb07g09910 transcript:itb07g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGCSRRSRLSGLDSAVASRDDGVQLNVGVSNGAFVPPKYGGTIINIVSRDTRTHEQQAEALDDVKNTVLAIVLKVVIGYEHLHL >itb06g12120.t2 pep chromosome:ASM357664v1:6:16694919:16696444:-1 gene:itb06g12120 transcript:itb06g12120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTTEVFELTMNKWRMEVDEMDREIKSMNETLESMWVDVKERDKKLEELDKKGKEGDKKLEEFRKDVEELRKKLDKALEESDKKFEELGKNIKELDKMHEEMQEENGKMIEECWKDIEEEFGEMLKSIQ >itb06g12120.t1 pep chromosome:ASM357664v1:6:16694919:16696444:-1 gene:itb06g12120 transcript:itb06g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTTEVFELTMNKWRMEVDEMDREIKSMNETLESMWVDVKERDKKLEELDKKGKEGDKKLEEFRKDVEELRKKLDKALEESDKKFEELGKNIKELDKMHEEMQEENGKMIEECWKDIEEEFGEMLKSIQ >itb06g12120.t3 pep chromosome:ASM357664v1:6:16694919:16695613:-1 gene:itb06g12120 transcript:itb06g12120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTTEVFELTMNKWRMEVDEMDREIKSMNETLESMWVDVKERDKKLEELDKKGKEGDKKLEEFRKDVEELRKKLDKALEESDKKFEELGKNIKELDKMHEEMQEENGKMIEECWKDIEEEFGEMLKSIQ >itb01g06430.t1 pep chromosome:ASM357664v1:1:4616695:4619306:-1 gene:itb01g06430 transcript:itb01g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAKRGWRVLSGSENWEGLLEPNLDSDLRRYLIHYGSMIGAVYDSFITEPTSKYTGLSRYAKKNLLQKTGLDNKANPFKYTVTNYFYTPSNKIGGAQGGYHVQSVRADAVLKESNWGGYVAVATDKGKEVLGRRDILVVWRGTETMSEWIEDFKIVMVKPAIIFADNGSLVHKGWYEMYTSTNQDSPLNSKSARDQVREEVAKLLEQYKNEEVSITVTGHSLGASLATLNAVDLAANPLNNTEVLVTAFLFASPKVGNEGFKNAFSQQKNLRALRVVNQDDLVPKVPFMSVEAGTILPLIAYKDVGVQFDINASKSDYLAPDKPSILMWHGLMIYLHGIDGYQGPQGGFKPQGFFDIPQVNKYGGMLKVEKCPVPTEWWIEKNKGMVQKEEDGTWILDDHEADDVVFA >itb05g14480.t1 pep chromosome:ASM357664v1:5:21595917:21600326:1 gene:itb05g14480 transcript:itb05g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGALLRVAICKALLFFSVCFAADQVVNYELEYKYIIASPLGVPQQVIAVNGKFPGPVINVTTNEYVVVNVRNKLDEDLLVTWPGLHMLQTSWQDGVLGTNCPIPSKWNWTYQFQVKDQIGSFFYFPSLKMQRASGGFGSFIITNRPVISLPFGFPDGDIVVFIGDWYTRNHTALRDDLDNGKKLGRPDGVLINGKGPYRYNSSLVPDGIDYETINVNPGKTYRVRVHNVGVSTSLNFRIQNHNLLLVETEGTYTSQQNFTSLDIHVGQSYTFLVTMDQNASTDYYIVASVRFVNESVWQKVTGVAVLHYSNSKGKATGPIPDPPNDVYDKSYSMNQALSIRQNVSASGARPNPQGSFRYGSVNVTDAYILRSIRPGVIGGELRATYNGLSFDHPKTPIRLADLFHVPREYKLDFPHNPVDRPPRKGKSIINGTYKGFIEIILQNNDTNVQSFHMDGYSFFVVGMGYGEWAENSRGSYNRWDAISRCTTQVFAGGWTAILAYLDNVGVWNLRTENLDRWYLGQETYIRITNPEDTDNKTEDPAPDNVLYCGALAYKQKY >itb12g01540.t1 pep chromosome:ASM357664v1:12:1043589:1044455:-1 gene:itb12g01540 transcript:itb12g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGIDPLRKGLITVTRNLLKPFMSIVPFCLFLLMDIYWKYETRPTCESPDSCSPSEHLRHQKSIMKSQRNALLIASALVFYWLLYSVTSLVVRINQLNNRLEKMKNRD >itb14g20260.t2 pep chromosome:ASM357664v1:14:22702277:22705280:-1 gene:itb14g20260 transcript:itb14g20260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTGEYAVLSFALLLASATVALSKEEHQCLAVGEHHAGSCGRRHGGEEQYPFVFQREEFETQAASGESIFRMLPRFSDRSDLFEGIKDYRLAFLLVESQTFVVPYHEDAEFICTVVLGRGSVAVIRQDGKESFNIYEGDVLRIPPGTTTYIVNTDNVKKVPLEFFILIRSISTPGRFEFFSGAAGKKPMSFFKGFSRETLEAALNTKWETIEGVLFGEAQEEGPFLKVTEEQLRSLSQEGDEGGIRPFRGDETSKDRTINVFPHKTVSNQFGVIYEVGTKHFKPLDEVDVNAAFVNITEGGMLGPFFNTRATQVAVVLEGEGWLEIGCPKNNYQTVRSKLKTGMVVVIPPGYPYVSVATSNPSKSLQFGWFDINGRGNERVTLAGTNNLFIKLGKTAKKLSFAGSDEEAVNVVFGSQPLELFFKAPPSSLNPDQ >itb14g20260.t1 pep chromosome:ASM357664v1:14:22702277:22702678:-1 gene:itb14g20260 transcript:itb14g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPFFNTRATQVAVVLEGEGWLEIGCPKNNYQTVRSKLKTGMVVVIPPGYPYVSVATSNPSKSLQFGWFDINGRGNERVTLAGTNNLFIKLGKTAKKLSFAGSDEEAVNVVFGSQPLELFFKAPPSSLNPDQ >itb02g19390.t1 pep chromosome:ASM357664v1:2:16509080:16509566:1 gene:itb02g19390 transcript:itb02g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIKCTCSKLYKIRRHQTKHNPRTNRVNPYRSSPTLLPPMNTPRTTPNFSLFFATQKNLSASDFLSPPQAPALPSDPSLSLSPPSLRSWICEGIG >itb10g03660.t2 pep chromosome:ASM357664v1:10:3422896:3424874:-1 gene:itb10g03660 transcript:itb10g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSQLAMKESSLSLQELAKVPMLTIPNRYICVNQEPTISSSKSNPSIPTIDLQALLMEETKDLGLQKLHSACKDWGIFQLVNHGVNPSVLAKLKNGIEEFYSLPLEEKMLYKFRPGEAEGYGQTIVFSDDQKVDWADRFYMITNPIHKRKPHLLPKLPSSLREALEAYILQLQDLSRTLLGFIAQTSKIGKREMEDMAEDGMQSMRMTYYPPCPKPELVTGITPHSDATMITILHQVNGVDGLQVKSEDGNWIPVEFHPDAFVVNVGDILEVFPT >itb10g03660.t1 pep chromosome:ASM357664v1:10:3416829:3424874:-1 gene:itb10g03660 transcript:itb10g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSQLAMKESSLSLQELAKVPMLTIPNRYICVNQEPTISSSKSNPSIPTIDLQALLMEETKDLGLQKLHSACKDWGIFQLVNHGVNPSVLAKLKNGIEEFYSLPLEEKMLYKFRPGEAEGYGQTIVFSDDQKVDWADRFYMITNPIHKRKPHLLPKLPSSLREALEAYILQLQDLSRTLLGFIAQTSKIGKREMEDMAEDGMQSMRMTYYPPCPKPELVTGITPHSDATMITILHQVNGVDGLQVKSEDGNWIPVEFHPDAFVVNVGDILEMFSNGLYKSAEHRVMVDPTKERISIAMFFNAKLEAEIGPARCLINEENPAAFRRMKMEEYVKEFFSRKLDGKSFLDRMRIQKVNAKPDIIGRNSIS >itb03g14440.t1 pep chromosome:ASM357664v1:3:14156422:14159024:-1 gene:itb03g14440 transcript:itb03g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05000) UniProtKB/Swiss-Prot;Acc:Q38906] MAAQVVREWAGIQQFPAATQTKLLELLGKLKQENVSTLTILVMGKGGVGKSSTLNSILSERVVATSAFQAEPPRPIMVSRARAGFTLNIIDTPGLVEAGYVNDQVLDLIKRFLLNKTIDVLLYVDRLDAYRVDNLDLQIVKAITDSFGKQIWRRGIVALTHGQMSPPDGLSYDEFASKRSAAILKVVRQGIGMRKSEFQKTPIPLVLVENSGRCNKNESDEKILPNGEAWIPGLVHKITEVVLNGSQSILVDKKLVDGPNPNERGKLFIPLILAFQYFFVVKRIENWIRTDIRKERRSKRF >itb15g11970.t2 pep chromosome:ASM357664v1:15:9830149:9836626:-1 gene:itb15g11970 transcript:itb15g11970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGACIKPNFDQTATYLRHPINLHHPRHRISYSSVFYRINAATSMRCRATPRMQSNNSSIEAVQEVKAMSADYSHDTELSSLTALCPLDGRYWDKVKDLVPFMSEYGLIRFRVLVEVKWLLKLSQIPEISEVPDFSQGAKSYLQGLIDGFSWSDAIEVKEIEKVTNHDVKAVEYFLKQRCQSHEEISKVLEFFHFACTSEDINNLAHALMLKEAINSVILPVMDEIITAITNLATKNAHVPMLSRTHGQPASPTTLGKEMAIFAYRLYTERQDISKIEMRGKFAGAVGNYNAHLVAYPDINWPQIAEEFVTSLGLSFNPYVPQIETHDYMAKLFHSIIQFNNILIDFNRDIWGYISLRFFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANAGLSHLSTKLPISRWQRDLTDSTVLRNMGVGLGHSLLAYRSALRGIAKLQVNEAALAEDLNQSWEVLAEPIQTVMRRYNVPEPYEKLKELTRGRTVTKESIREFIEGLEIPVNAKTDLLNLTPHTYIGAAAQLANNIESITHLVDKS >itb15g11970.t1 pep chromosome:ASM357664v1:15:9830149:9836780:-1 gene:itb15g11970 transcript:itb15g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGACIKPNFDQTATYLRHPINLHHPRHRISYSSVFYRINAATSMRCRATPRMQSNNSSIEAVQEVKAMSADYSHDTELSSLTALCPLDGRYWDKVKDLVPFMSEYGLIRFRVLVEVKWLLKLSQIPEISEVPDFSQGAKSYLQGLIDGFSWSDAIEVKEIEKVTNHDVKAVEYFLKQRCQSHEEISKVLEFFHFACTSEDINNLAHALMLKEAINSVILPVMDEIITAITNLATKNAHVPMLSRTHGQPASPTTLGKEMAIFAYRLYTERQDISKIEMRGKFAGAVGNYNAHLVAYPDINWPQIAEEFVTSLGLSFNPYVPQIETHDYMAKLFHSIIQFNNILIDFNRDIWGYISLRFFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANAGLSHLSTKLPISRWQRDLTDSTVLRNMGVGLGHSLLAYRSALRGIAKLQVNEAALAEDLNQSWEVLAEPIQTVMRRYNVPEPYEKLKELTRGRTVTKESIREFIEGLEIPVNAKTDLLNLTPHTYIGAAAQLANNIESITHLVDKS >itb14g07650.t1 pep chromosome:ASM357664v1:14:7049508:7050033:1 gene:itb14g07650 transcript:itb14g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVCMAAFMLLLISSSLISGSGPPPSPSSSSLGEGVVDTKTEPLHPPPKGWEGNMKGENEGNSDEDDVIGNSYEDVVYDNDKDDIKSR >itb10g01200.t1 pep chromosome:ASM357664v1:10:889022:889981:-1 gene:itb10g01200 transcript:itb10g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTMLAETEGLSGSLIGWKYTSGLIAPNLNCATLDMNWIGVDIYLLQRLVKPYHNWADDMMQQYTGQIDHIPNNVLH >itb04g04100.t1 pep chromosome:ASM357664v1:4:2539804:2541055:-1 gene:itb04g04100 transcript:itb04g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MRPSNQTLALCVVLFLVAAPVSQATVTCGSVLSTLKPCISYLQGSGGPSPPGDCCGGVKSLAGAASSKPDKQTACGCLKTAAQKLKVKTNRAQTLPQDCGVSLSVPISPSVDCSTIS >itb01g27730.t2 pep chromosome:ASM357664v1:1:32399179:32401909:-1 gene:itb01g27730 transcript:itb01g27730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTESALSVIGPRPMELSVPFSGPQAPGPNGKQRTSGLESPIMLLTGHQSAIYTMKFNAAGTVIASGSHDKEIFLWNVYGECKNFMVLKGHKNAVLDVQWMTDGSAIISASPDKTLRAWDVETGKQIKKMVEHSSFVNSCCPARRGPPLIVSGSDDGSSKLWDMRQRGAVQTFPDKYQITAVSFSDASDKIYTGGIDNDVKVWDLRRSEVTMTLQGHQDTITGMSLSPDGSYLLTNSMDCSLRIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCSWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNECVFHPTEPIIGSCSSDKQIYLGEI >itb01g27730.t1 pep chromosome:ASM357664v1:1:32399179:32401909:-1 gene:itb01g27730 transcript:itb01g27730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTESALSVIGPRPMELSVPFSGPQAPGPNGKQRTSGLESPIMLLTGHQSAIYTMKFNAAGTVIASGSHDKEIFLWNVYGECKNFMVLKGHKNAVLDVQWMTDGSAIISASPDKTLRAWDVETGKQIKKMVEHSSFVNSCCPARRGPPLIVSGSDDGSSKLWDMRQRGAVQTFPDKYQITAVSFSDASDKIYTGGIDNDVKVWDLRRSEVTMTLQGHQDTITGMSLSPDGSYLLTNSMDCSLRIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCSWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNECVFHPTEPIIGSCSSDKQIYLGEI >itb01g27730.t3 pep chromosome:ASM357664v1:1:32399179:32401388:-1 gene:itb01g27730 transcript:itb01g27730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSQHLDSCNLLIFSSVLFAVSSPADRYCFSNTEDRIEEKRRMQTESALSVIGPRPMELSVPFSGPQAPGPNGKQRTSGLESPIMLLTGHQSAIYTMKFNAAGTVIASGSHDKEIFLWNVYGECKNFMVLKGHKNAVLDVQWMTDGSAIISASPDKTLRAWDVETGKQIKKMVEHSSFVNSCCPARRGPPLIVSGSDDGSSKLWDMRQRGAVQTFPDKYQITAVSFSDASDKIYTGGIDNDVKVWDLRRSEVTMTLQGHQDTITGMSLSPDGSYLLTNSMDCSLRIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCSWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNECVFHPTEPIIGSCSSDKQIYLGEI >itb01g22030.t1 pep chromosome:ASM357664v1:1:27979035:27990978:-1 gene:itb01g22030 transcript:itb01g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MFPWNIARSAEAMFSRWAMKRVCKFVLKKKLGKFILGDIDLNQLDVQLSAGTIQLSDLALNVDYLNQKFGSTSAVEMKEGSIGSLMVMMPWKGDGCSVEVDELELVFAPRSCHDSGNGSGACTSSREPQDHYVSHGSPNLEHDTVDNAGRSTSLDVHEGVKTIANMVKWLLTKFHVKIRKVIVAFDPSFAAEKDKGLSRILVLRLTEIDCGTCISESASSDCEVMAGNLLGLSQMTNFVKFQGVVLEFLHMNGIDDKTPYPCASGTTVGEWSSGPYRNVTIPVITGERGGFSGSLKLYIPWNNGSLDIHKVEADAFIDPLQLKFQPTSVRSFIHLWEIFKDMGDKNRNSIISKATESDHCNEQLNYGLSNTDSCRLAEEVLPRHKYFSAEYLPQIDEDPVTQALLSESHLIPDWLRSQKDKIEEPDFGASVDQFFECFDGLRNSQSALGSSGMWNWTCSVFSAITAASTLASGSLHIPSDQLHVETNLRATISKISIIFFFTDEDDKHCSSAEAAQITTGSSVHYMSAHFNDLSLVLQVRRHEMNFEAKVQHVGLVDCFSSGDVMLNDGKDIEEIQGAVQNAIPHIPRSSEKGKLNNLTGATRDVSMLSDYRCTHPQTFRGPDDVVQVKLLETVGDIQCQIRIDSSGDSFIGPMSFSLKLPYFIFWVNIDLVCEIFELLKQIGDSFERTSIVAPCEDYQFSSKTEEMKSSFAQENLMGNVFIPNARIILCFPFGKGGGLRSYSSWEHLIALDLSSSIPTGNKRDAMNQTSIACSKNMYSLQTSRSLHLSFGGLNIYLITLASEENVDSSPDAEHKQRYLAQNILSISCGTQHSVVSLFWQESPVVASGDMVKKAKLLASSDINGKRDRFRGKDYEFASVSTVKDSEDFDGIQQKMIESSEFFIHARISPLVATLSKSQYVCIHHLLSQLIDRLSQISSVSADTKETSSASQASVLIECESLTISIGVEVVENVKGSLQYELPGYWHSLRLEVKKFGFYSASNVGRISNTSFLRVLHIDGNLWGHISGVAREEVLLISCNDASMGRGDGDGSNVIFSKRSGSEIIHLLDPQSLSNYTSITVRCGTIVAVGGRLDWLDRITSFFSFPSPETEQCDDSSQKQCCKESLPFECSFVLNLVDIGLSYEPYLMFNKGSDFKLNSGNGNEVVDKQHVACLLAASSLRISNTSFADSAVRDYKISVRDLGLLLSVVGEPGSVGHIYGVEHLRKIGYVKVAQEANIEALLRINCENGNLWEVECSGSQIFLNTCHDTTSGLICLATQLQQLFAPDMEESVVHLQTRWDNVQQENGSKELWTFEGNSPTSTSTVLTSIADVECKASIINIMDEICEDAFQVEGISDAQADTCESPVHVSLNDSCIGEACYYSNIEAQHFCKSLPLTESSPAAGLENGESSFSEEKLPEFIEDYVLSDLCPRSEVSLKSNSPNDILKCKTSSLKNGDDQQESSGWYDDSSLRILEDHVSKASGHDDSLQYVECESSSSQTETDENGKIKGRIVFNDVNVTWRLYAGSDWQNIEKSVQCSAGTCVRDITSYLELILSGVGFKYDVFPDGGIHVSRLSIAVQDFFLNDRRNDAPWKLVLGYYQAKHCPRKSSSKAIKLDLEAVRPDPAIPLEEYRLRIAFLPILLHLHQSQLDFLISIFGGINSASNSSQGASQNLCESKTISQQKTVIGGHVITMEALLPYFQKFDIWPTLVRVDYSPCRVDLAALRGGKYVELINLVPWKGVEMNLKHVHAVGVYGWGFVCETIIGEWLEDISQNQIHKILKGLPPIRSLVAVGSGATKLVSLPVKSYKKDHKLLKGMQRGTFAFLRSISLEAIGLGVHLAAGAHEILLQAEYILTSIPPSMPLPAQNRGNSVRSNQPVDARQGIQQACDSISVGFSKSASALVRTPLKRYQRGAGVGNALMTAVKGAPAAAIAPASATARALHCALVGVRNSLDPDRKKESLDKYLGTSPPQQFM >itb01g22030.t3 pep chromosome:ASM357664v1:1:27979035:27990963:-1 gene:itb01g22030 transcript:itb01g22030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MFPWNIARSAEAMFSRWAMKRVCKFVLKKKLGKFILGDIDLNQLDVQLSAGTIQLSDLALNVDYLNQKFGSTSAVEMKEGSIGSLMVMMPWKGDGCSVEVDELELVFAPRSCHDSGNGSGACTSSREPQDHYVSHGSPNLEHDTVDNAGRSTSLDVHEGVKTIANMVKWLLTKFHVKIRKVIVAFDPSFAAEKDKGLSRILVLRLTEIDCGTCISESASSDCEVMAGNLLGLSQMTNFVKFQGVVLEFLHMNGIDDKTPYPCASGTTVGEWSSGPYRNVTIPVITGERGGFSGSLKLYIPWNNGSLDIHKVEADAFIDPLQLKFQPTSVRSFIHLWEIFKDMGDKNRNSIISKATESDHCNEQLNYGLSNTDSCRLAEEVLPRHKYFSAEYLPQIDEDPVTQALLSESHLIPDWLRSQKDKIEEPDFGASVDQFFECFDGLRNSQSALGSSGMWNWTCSVFSAITAASTLASGSLHIPSDQLHVETNLRATISKISIIFFFTDEDDKHCSSAEAAQITTGSSVHYMSAHFNDLSLVLQVRRHEMNFEAKVQHVGLVDCFSSGDVMLNDGKDIEEIQGAVQNAIPHIPRSSEKGKLNNLTGATRDVSMLSDYRCTHPQTFRGPDDVVQVKLLETVGDIQCQIRIDSSGDSFIGPMSFSLKLPYFIFWVNIDLVCEIFELLKQIGDSFERTSIVAPCEDYQFSSKTEEMKSSFAQENLMGNVFIPNARIILCFPFGKGGGLRSYSSWEHLIALDLSSSIPTGNKRDAMNQTSIACSKNMYSLQTSRSLHLSFGGLNIYLITLASEENVDSSPDAEHKQRYLAQNILSISCGTQHSVVSLFWQESPVVASGDMVKKAKLLASSDINGKRDRFRGKDYEFASVSTVKDSEDFDGIQQKMIESSEFFIHARISPLVATLSKSQYVCIHHLLSQLIDRLSQISSVSADTKETSSASQASVLIECESLTISIGVEVVENVKGSLQYELPGYWHSLRLEVKKFGFYSASNVGRISNTSFLRVLHIDGNLWGHISGVAREEVLLISCNDASMGRGDGDGSNVIFSKRSGSEIIHLLDPQSLSNYTSITVRCGTIVAVGGRLDWLDRITSFFSFPSPETEQCDDSSQKQCCKESLPFECSFVLNLVDIGLSYEPYLMFNKGSDFKLNSGNGNEVVDKQHVACLLAASSLRISNTSFADSAVRDYKISVRDLGLLLSVVGEPGSVGHIYGVEHLRKIGYVKVAQEANIEALLRINCENGNLWEVECSGSQIFLNTCHDTTSGLICLATQLQQLFAPDMEESVVHLQTRWDNVQQENGSKELWTFEGNSPTSTSTVLTSIADVECKASIINIMDEICEDAFQVEGISDAQADTCESPVHVSLNDSCIGEACYYSNIEAQHFCKSLPLTESSPAAGLENGESSFSEEKLPEFIEDYVLSDLCPRSEVSLKSNSPNDILKCKTSSLKNGDDQQESSGWYDDSSLRILEDHVSKASGHDDSLQYVECESSSSQTETDENGKIKGRIVFNDVNVTWRLYAGSDWQNIEKSVQCSAGTCVRDITSYLELILSGVGFKYDVFPDGGIHVSRLSIAVQDFFLNDRRNDAPWKLVLGYYQAKHCPRKSSSKAIKLDLEAVRPDPAIPLEEYRLRIAFLPILLHLHQSQLDFLISIFGGINSASNSSQGASQNLCESKTISQQKTVIGGHVITMEALLPYFQKFDIWPTLVRVDYSPCRVDLAALRGGKYVELINLVPWKGVEMNLKHVHAVGVYGWGFVCETIIGEWLEDISQNQIHKILKGLPPIRSLVAVGSGATKLVSLPVKSYKKDHKLLKGMQRGTFAFLRSISLEAIGLGVHLAAGAHEILLQAEYILTSIPPSMPLPAQNRGNSVRSNQPVDARQGIQQACDSISVGFSKSASALVRTPLKRYQRGAGVGNALMTAVKGAPAAAIAPASATARALHCALVGVRNSLDPDRKKESLDKYLGTSPPQQFM >itb01g22030.t2 pep chromosome:ASM357664v1:1:27979157:27989208:-1 gene:itb01g22030 transcript:itb01g22030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MFCFQCNNCCIHSCFWIITYSFCAEAAQITTGSSVHYMSAHFNDLSLVLQVRRHEMNFEAKVQHVGLVDCFSSGDVMLNDGKDIEEIQGAVQNAIPHIPRSSEKGKLNNLTGATRDVSMLSDYRCTHPQTFRGPDDVVQVKLLETVGDIQCQIRIDSSGDSFIGPMSFSLKLPYFIFWVNIDLVCEIFELLKQIGDSFERTSIVAPCEDYQFSSKTEEMKSSFAQENLMGNVFIPNARIILCFPFGKGGGLRSYSSWEHLIALDLSSSIPTGNKRDAMNQTSIACSKNMYSLQTSRSLHLSFGGLNIYLITLASEENVDSSPDAEHKQRYLAQNILSISCGTQHSVVSLFWQESPVVASGDMVKKAKLLASSDINGKRDRFRGKDYEFASVSTVKDSEDFDGIQQKMIESSEFFIHARISPLVATLSKSQYVCIHHLLSQLIDRLSQISSVSADTKETSSASQASVLIECESLTISIGVEVVENVKGSLQYELPGYWHSLRLEVKKFGFYSASNVGRISNTSFLRVLHIDGNLWGHISGVAREEVLLISCNDASMGRGDGDGSNVIFSKRSGSEIIHLLDPQSLSNYTSITVRCGTIVAVGGRLDWLDRITSFFSFPSPETEQCDDSSQKQCCKESLPFECSFVLNLVDIGLSYEPYLMFNKGSDFKLNSGNGNEVVDKQHVACLLAASSLRISNTSFADSAVRDYKISVRDLGLLLSVVGEPGSVGHIYGVEHLRKIGYVKVAQEANIEALLRINCENGNLWEVECSGSQIFLNTCHDTTSGLICLATQLQQLFAPDMEESVVHLQTRWDNVQQENGSKELWTFEGNSPTSTSTVLTSIADVECKASIINIMDEICEDAFQVEGISDAQADTCESPVHVSLNDSCIGEACYYSNIEAQHFCKSLPLTESSPAAGLENGESSFSEEKLPEFIEDYVLSDLCPRSEVSLKSNSPNDILKCKTSSLKNGDDQQESSGWYDDSSLRILEDHVSKASGHDDSLQYVECESSSSQTETDENGKIKGRIVFNDVNVTWRLYAGSDWQNIEKSVQCSAGTCVRDITSYLELILSGVGFKYDVFPDGGIHVSRLSIAVQDFFLNDRRNDAPWKLVLGYYQAKHCPRKSSSKAIKLDLEAVRPDPAIPLEEYRLRIAFLPILLHLHQSQLDFLISIFGGINSASNSSQGASQNLCESKTISQQKTVIGGHVITMEALLPYFQKFDIWPTLVRVDYSPCRVDLAALRGGKYVELINLVPWKGVEMNLKHVHAVGVYGWGFVCETIIGEWLEDISQNQIHKILKGLPPIRSLVAVGSGATKLVSLPVKSYKKDHKLLKGMQRGTFAFLRSISLEAIGLGVHLAAGAHEILLQAEYILTSIPPSMPLPAQNRGNSVRSNQPVDARQGIQQACDSISVGFSKSASALVRTPLKRYQRGAGVGNALMTAVKGAPAAAIAPASATARALHCALVGVRNSLDPDRKKESLDKYLGTSPPQQFM >itb03g09930.t2 pep chromosome:ASM357664v1:3:7728248:7730711:1 gene:itb03g09930 transcript:itb03g09930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1C [Source:Projected from Arabidopsis thaliana (AT2G23310) UniProtKB/Swiss-Prot;Acc:Q9ZWI7] MESSSAAGSDTAAAAAADGGGGSFNPSSEEVTRFTVVLSRRYQHLLDKTLPHFLYRWIAFLALALVYVVRVFLLQGFYVVSYALGIYILNLLIGFLSPQVDPEHDFSNGPTLPTRESDEFRPFVRRLPEFKFWYSITKAFCIAMVMTFFNVFDVPVFWPILLFYWIVLFTLTMRRQILHMMKYKYLPFSFGKQRYDGKKAPSTPSSESENV >itb03g09930.t1 pep chromosome:ASM357664v1:3:7728255:7730711:1 gene:itb03g09930 transcript:itb03g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1C [Source:Projected from Arabidopsis thaliana (AT2G23310) UniProtKB/Swiss-Prot;Acc:Q9ZWI7] MESSSAAGSDTAAAAAADGGGGSFNPSSEEVTRFTVVLSRRYQHLLDKTLPHFLYRWIAFLALALVYVVRVFLLQGFYVVSYALGIYILNLLIGFLSPQVDPEHDFSNGPTLPTRESDEFRPFVRRLPEFKFWYSITKAFCIAMVMTFFNVFDVPVFWPILLFYWIVLFTLTMRRQILHMMKYKYLPFSFGKQRYDGKKAPSTPSSESENV >itb09g11050.t1 pep chromosome:ASM357664v1:9:6904514:6908075:-1 gene:itb09g11050 transcript:itb09g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKATGQLVALKKTRLEMDEEGIPPTALREVSLLQMLSNSLYIVRLLCVEHVDKKGKPLLYLVFEYLDTDLKKYIDSHRKGPNPRALPPTLIQSFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKDKGILKIADLGLGRSFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRKQALFPGDSEFQQLLHIFRLLGTPTEQQWPGVSSLRDWHVYPQWEPQSLARAVPTLGPDGVDLLTNMLKYDPADRISAKAALDHPYFDSLDKSQF >itb09g11050.t2 pep chromosome:ASM357664v1:9:6904514:6908075:-1 gene:itb09g11050 transcript:itb09g11050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKATGQLVALKKTRLEMDEEGIPPTALREVSLLQMLSNSLYIVRLLCVEHVDKKGKPLLYLVFEYLDTDLKKYIDSHRKGPNPRALPPTLIQSFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKDKGILKIADLGLGRSFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRKQALFPGDSEFQQLLHIFRFQY >itb10g01590.t1 pep chromosome:ASM357664v1:10:1213774:1215475:-1 gene:itb10g01590 transcript:itb10g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSNDCCIISEVFLAFLCFSCNLGGSTDNLRPGESVTGNRTLVSAGGNFALGFFRPGNSSSSFLGIWYNTINNTVIWVANRESPLRQDSEPVFTLGYDGNLQLLDDSGRNIIWSTNISGGGGGSTAAQLQDTGDFIVKQGESILWESFDGDSDTLMPVMRLKVNKKTGKGNVIRCWSSSDDPRPGKFSWGMDPKGSPQFLIWKEDKPYYRSNLYQDGFTYNIYFPSGGYVSYTYATENDEEYFSYRYVDTSIQARVILSPDGHLQFLSRQKTSEKWEMLWQAPTNECELYARCGSFGSCEIYDSRPVCSCLKGFKPKSQRDWDKGKYDAGCERSIALGCGEADTFMRLPLRKWPDHSSSLGNMTFQECQMECSRSCNCTAFAYSKSTSDSAVNCINWFGDLVDLAHNYSPAGDFGQDLYVRVHASELINADGSSGNDDSPHRNIRRLVAIIVASVSSLFLLTVLVYILTRGRKGWVSKKSTESSDAPLLGKEDIELLYLSFRRIMDATNNFDEANKLGEGGFGPVYKVTIS >itb09g10750.t1 pep chromosome:ASM357664v1:9:6638362:6640862:1 gene:itb09g10750 transcript:itb09g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPESFPPRKRRPSAGAGSFVAPNNLSDHRLLHSLLTITLEISCMQPVRFLLKKNTCSMIRRAKLMSLLFEELLRSPAAAFPPTAVLCFDELYIALQRIRSLLEDCRNSSKMWLLMQSEAISNSFHELAVELSTLLDILPAEDLKLSEDVEELLCLIRKQSSEKEAYVDSKDETLRAEILHMLDRIKREIVPDHTKLGQIFDRLTLRDSTSCRDEIESLEEEILSQTDEKSKSDIVALISLVRYAKCVLYGASTPRGGGGRRRKTAADVTVPADFRCPISLDLMRDPVVASTGQTYDRSSIALWIESGHNTCPKTGQTLTSPELIPNLALKNLIAMWCREQRIPFESTESNVKSHGAVTNKAALEATKMTVSFLVNKLKASRSVDAANRLVHELRVLAKTDSDSRACIAEAGALPLLVKLLGSDYPSLQVNAVTTILNLSILEANKTRIMEMDGVLNGVIEVLRSGATWEAKGNAAATVFSLTGVTAYRKSLGRKTRVIKGLVNLAREGPTNSKKDAMVAILNLAGDRETVGKLIEGGVVEMVGDLMDTLPEEAVTVLEVVVKRGGVAAIAAAYPIIKKLTKILRDGTDRARESAAATLVNMCRKGGSEMVAELAAAHGIERVIWEIMGMGTGRARRKAATLLRILRRWAAGLNEDVPSTAYSTVNNNDNNTTASTTIVLPG >itb09g10750.t2 pep chromosome:ASM357664v1:9:6638931:6640646:1 gene:itb09g10750 transcript:itb09g10750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLMQSEAISNSFHELAVELSTLLDILPAEDLKLSEDVEELLCLIRKQSSEKEAYVDSKDETLRAEILHMLDRIKREIVPDHTKLGQIFDRLTLRDSTSCRDEIESLEEEILSQTDEKSKSDIVALISLVRYAKCVLYGASTPRGGGGRRRKTAADVTVPADFRCPISLDLMRDPVVASTGQTYDRSSIALWIESGHNTCPKTGQTLTSPELIPNLALKNLIAMWCREQRIPFESTESNVKSHGAVTNKAALEATKMTVSFLVNKLKASRSVDAANRLVHELRVLAKTDSDSRACIAEAGALPLLVKLLGSDYPSLQVNAVTTILNLSILEANKTRIMEMDGVLNGVIEVLRSGATWEAKGNAAATVFSLTGVTAYRKSLGRKTRVIKGLVNLAREGPTNSKKDAMVAILNLAGDRETVGKLIEGGVVEMVGDLMDTLPEEAVTVLEVVVKRGGVAAIAAAYPIIKKLTKILRDGTDRARESAAATLVNMCRKGGSEMVAELAAAHGIERVIWEIMGMGTGRARRKAATLLRILRRWAAGLNEDVPSTAYSTVNNNDNNTTASTTIVLPG >itb08g15990.t1 pep chromosome:ASM357664v1:8:18159260:18160110:1 gene:itb08g15990 transcript:itb08g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRLKNLLASVAIEGVAEARARIFGHVLNPTGERSAHKILRKKLIGDKVSQWYPHDIRKDDPLVMAREEQERLSKLEMLKRRGKGPPKKGQGKQAKKRNK >itb03g05060.t1 pep chromosome:ASM357664v1:3:3357399:3362409:-1 gene:itb03g05060 transcript:itb03g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MAEIRSSGKPIDQLLEKVLSMNILSSDYFRDLLRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYLADPKTLWSWYEPYLKDDEEFSPGSSGRMTTMGVYVRDLLLGQYYFDTLLPRIPVPVMRTVVSSLENMKLPTKPSGSTGDSTRGSEETARRPPSVKASLSVSFGQRAPHRASTRDSSPIRRTLTSSPYDRNGDDAKRSPSYRRSQSRDIPDRDRDKDLDRERDRQHDRDRDRERDRDRDRERGRDRDRERRYDYDRERDRDRDRERGRRHDYDRERERDRDRRYGGDYDRRGSRESRRDHHERSRSRSRSRSKSQSTHERGGSLDHRRSPPAQDGSKERTSVSSNLAKLKDLYGDLGSSQKEDTSNNRGARDSGMEEVIRLGGSTWR >itb04g25980.t1 pep chromosome:ASM357664v1:4:30380741:30382237:1 gene:itb04g25980 transcript:itb04g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTCSAVHLTPLLSGAANATAAAQYICDQFNGVEDKFGDAGHAVDTTFLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVIDAAAGGLFYFLFGFAFAFGGPSNGFIGRHNFGLTSLPSESFDYGYFLYQWCFAIAAAGITSGSIAERTQFTAYLLYSSLLTGFVYPVVAHWFWSGDGWASPARSDGKLLFGTGVIDFAGSGVVHLTGAIAGLWGAVIEGPRIGRFDHSGKPVAIRGHNGALVVLGTFLLWFGWYGFNPGSFITIFQAYGKSGAYYGQWSAVGRTAVTTTLSGCTAALTTLFGKKVLIGNWNLTDVCNGLLGGFAAITSGCSVVDPWAAVVCGFVAAWVLIACNKLAEKLQYDDPLEAAQLHGGCGAWGIIFTALFAKSKYVDEVYPGLPGRPHGLILGGGPKLLAAHAVQIVVIIGWTTVTMGPLFLFLHKLELLRISHDDEMAGMDLTSHGGYAYNDDDFEESKRRRELAMVQQQEEEVV >itb03g00040.t1 pep chromosome:ASM357664v1:3:25852:29232:1 gene:itb03g00040 transcript:itb03g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYHQCMMNYFPEEVLEHVFDFLTSYKDRNAVSLVCRSWYRVEKFSREKVFIGNCYAISPERMIARFSRLRSLTLKGKPHFADFNLVPHEWGGYVYPWIEALSNASVNLEELRLKRMVVSDEGLELLSRSFPNFKSLVLVSCEGFTTDGLAAIASYCRYLRELDLQENEVEDHRGQWLSCFPDSCTSLVSLNFACLKGEVNLAALERLVARCKNLKSLRVNHAVPLDALQRILVHAPQLTDLGAGSFVSDPDTEAYKKLKNALLKCSSIRSLSGFLEVASRCLPSIYPICSNLTSLNLSYAPGIYGSELIKLIRHCRKLERLWILDTIGDKGLFVVASTCKELQELRVFPSDLNGAGYSVTEEGLVAISAGCPKLNSLLYFCQQMTNAALIAVAKNCPNFIRFRLCTLNPTVPDAVTMQPLDEGFGAIVQSCKGLKRLSVSGLLTDQVFLYIGMYAEQLEMLSIAFAGKSDKGMLYVLNGCKKLKKLEIRDSPFGNAALLADVGKYETMRSLWMSSCEVTLGACKILAKKMPRLNVEIINESEQAEASHDDGQKVEKMYLYRTLAGHRKDAPGFVWTL >itb14g19420.t1 pep chromosome:ASM357664v1:14:22079966:22080478:-1 gene:itb14g19420 transcript:itb14g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTLELMDSGGGGGVVSGGSMSTVSSSCSHPDESELELGLGLSLGGSKSAPWGQYGRILTAEDFPSGVSKSSSSSSCSSATKANNASCGTKRAADSSSPPRSGVRRPSHNLTALANSRKNEKATKSKSF >itb01g17040.t1 pep chromosome:ASM357664v1:1:21693629:21696575:1 gene:itb01g17040 transcript:itb01g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLKLKEVKNGRLAMLATLGYFIQDSFRCNCTFSLSLFPDLSRRRRRRRTSFIAVAQIPYRRAEPRIVSPGWVMAPLLENEMAGFERSLKHGASKAKQPVEDTNVSCSLLGSTDMYSDGEYAFACILAC >itb05g18920.t1 pep chromosome:ASM357664v1:5:25589180:25591013:1 gene:itb05g18920 transcript:itb05g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLPLLTLQHSDDQSFNSSTTSQQQQCLFTPVFMDNNEDDFSSSSYNPHHFSDHSHHQTPIDHHFSFSPGLDPGSDFSGADILLHAARAVADKNAPRVRQLIWVLNEISSPYGDTDQKLAYYFLQALFSRVTDSGKRNCRKSASASERNCSFESTRKTALKFQEVSPWATFGHVACNGAIMDAVDGESKIHIVDISNTFCTQWPTFLESLATRTDDPPRLRLTTVVVRKPGGGGGPPPAVQTVMEEIGSRMEKFARLMGVPFKFNVVYHTGDLSEFDILALDVEEDEALAINCVGALHSVPNSRRGHLISTFRRLKPRVLTVVEEEANLDVGVDGSEFLTGFQECLRWFRVYFECLEESFPKTSNERLMLERQAGRAIVDLVACPPSESVERRETAARWSHRLHAGGFSPASYGDEVCDDVRALLRRYREGWSMGQCSGESSAGIFLSWKNQPVVWASVWKPELSDGDGR >itb03g02450.t1 pep chromosome:ASM357664v1:3:1394721:1395065:-1 gene:itb03g02450 transcript:itb03g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEMMETNGAGSPQSNTFWEWKSPLPYVFISLGLAFGIILVAIIILACSLHKQSSGAGAGAGDGDEKSGSSPVSRSAEMSPSFIVVMAGDAKPTHIAIPLPSSFINKAPCCDH >itb05g23120.t1 pep chromosome:ASM357664v1:5:28300415:28301242:1 gene:itb05g23120 transcript:itb05g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SKIP34 [Source:Projected from Arabidopsis thaliana (AT5G65495) UniProtKB/Swiss-Prot;Acc:Q8GWU7] MCYGGQRLPSRDDLTAPTRARAANENAAVVENLRDRLAETEARLERARAREAELNRKLEEMKRFVCVMEILENYLKRRYREQQDQYLRLYSSPLKRMKGTKEAYYDLWGRCRALNLPLSRIEFFLRV >itb05g12290.t1 pep chromosome:ASM357664v1:5:18797123:18797455:-1 gene:itb05g12290 transcript:itb05g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRATWTWDEERMFQIALSTYVEGTPNRWKEIAKLILEKSAKDVKEHYPILKANIEAMESSFTQLQTNTNNNASSSQRREENEEVGSVWDLDELVSCSQVYHVLHTIL >itb11g09960.t1 pep chromosome:ASM357664v1:11:6791435:6793124:1 gene:itb11g09960 transcript:itb11g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEFKGEENHWNLGYEEQKKIKLPRRLSFGNDEHDSHLASGRTSCASATSFPLWAMSPGTPWARSPLHESPSPPLIYHCLASLHRREGNIFSIAVSQELIFTGSESSRIHAWKIPDCIEMGHIKAKAGGIHALLAYDKFLFTTHSDYKIRVWDVQVKENFQPKKITTLPRGRSFFLLPGRNIQQHKDYISCIAYNHYEKILYTGSWDKTVKVWKISKKHCVDSFVAHEGPVNAIVINQEDGCVFTCSSDGTTKIWRRVYGESSHILTMTLKFQPSPINALALYLSATDCFLYSGSSDGLINFWEKEKMSGRFNHGGFLQGHHFAVLCLASVGELILSGSEDATIRVWRRDGNSFHSCLAVIDGHHGPVRCLVATVETQDIMTGLLVYAASLDQTFKVWRVKVSPSEKVNSERSVVTQNSEIKECEMMSPVLSPSWVEKKVQGIHF >itb10g04750.t1 pep chromosome:ASM357664v1:10:4621009:4622640:-1 gene:itb10g04750 transcript:itb10g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAFLFTIFLLSIGAWAPLCSCPATILECLNDRSDPSHPISPVIYTPNNGSFSSVLQEYIRNRRFNESYTKKPLFIVTPKHLSHIQASILCAKEHGLQMKTRSGGHDYEGVSYVSDVPFFILDMFKFRAINVSIEDETAWVEAGATIGEVSYRIAKKSNVHGFPVGVCPTVGVGGHFSGGGYGNMMRKYGLTVDNIIDAKLIDVNGRLLDRKSMGEDLFWAITGGGASSFGVVLSYRINLVRVPPNVTVFLVKRTYDQNATNLVYRHQRVAHKLDHNLFIRLTLDVVNSTTQPRQKTIRATFRALFLGDTKTLLSTMNESFPELGLTQSDCTEMSWIESVLNYTDFKFGTRPEKLLSRKPQVQVHLKRKSDYLQTPMPRKGIEFIFKKMVKLKTPKLTFNPYGGRMAEIPSTAKPFPHRAGNIAKIQYATDWNESGYEFAQHYLKTTRQLYEYMTPYVSKNPREAFLNYRDLDLGKNHNKDPRRSYLEGKTYGLKYFKENYNRLVKIKTKVDPDNFFRDEQSIPTFPTWRK >itb05g14030.t1 pep chromosome:ASM357664v1:5:21082478:21086702:-1 gene:itb05g14030 transcript:itb05g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPMEYYNSLPPVAKTYAVICFMTAATYQLELYNIWNIALFYSDVFRRFQVWRLVTNFFFIGAFSFTFAFRLLIILRYGVQLERGPFDKRTADYVWMFLSGAIALLVMSIFPFLWSPFMGASLVFMIVYIWGREFPNERINVYGLVQFKGFYLPWYMLGIDMILGNPLKPDMLGIAAGHIYYFLTVLHPLASGKNYFKTPRWVHKLVAYWGKGLQVNSPFRSDPSAGTAFRGRGRRLNGSRSSSSSSRDQTTTTSETPTYSNSNEGGGRGEGGVAFRGRSYRLGGR >itb06g09880.t1 pep chromosome:ASM357664v1:6:14073102:14076023:-1 gene:itb06g09880 transcript:itb06g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGFLVSMFICLFLPKICMDSVPNKGRLKLGFKGSPTSWIDYSGTFLVSNNSNFRFGFQFTNNITFSLLVVMHQSTDTVVWSANRASPVLVSDYFLLDETGNAYLQSGGSTIWATNTGGRGVSAMELKDSGNLVLVGNDSSIIWQSFSHPVDTLLSNQEFIEGMKLVSSPNTNNLSYSLEIKSGDVLLSASFKPPQQYWAMGNDNRKTINKFGRSVVSATLDTNSWKFYDRSKALIWQFIFSENSDVNATWVAVLGEDGFLTFSVLGSERAETSPTKIPQDECGRPAACDPYFVCFEGVQCHCPSSLPSCKPGTASLCNKPESVELVEAGDSLGYSALGFTSPSSTTDLNGCKASCLKNCSCVAMFFDNRTEHCFLFNQIGSLQGSVSDGGFSSYIKDLSSSGNGRNGGNGGSGKKHSAVIMFSVIITVLVILGLVYTGFRYHKKKMKKLPESPEDSSEEDNFFQGLSGMPTRFTYKDLKDATNNFRDKLGHGGFGSVYLGVLRDGTQLAVKKLESISQGKKEFRAEVSIIGSIHHLHLVRLKGFCAEETHKLLAYEYMANGSLDSWLFRDNNEFLLDWDTRFSIALGTAKGLAYLHEECDVKIMHCDIKPENVLLDDHFHAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWIKNCAISEKSDVYSYGMVLLEIIGGRKNYDSSQSSEKFNFPTYAFKKMEEGKLKDIIDMKLRIDENDERVVRAIRVALWCIKEDMSLRPSMTKVVQMLEGLCPVFEPISEEGTSSGASAPPDYNTDTSMSAVLLSGPR >itb07g04090.t2 pep chromosome:ASM357664v1:7:2752055:2753083:-1 gene:itb07g04090 transcript:itb07g04090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGTIGRSVATAALHSEDIELIAVNDPYISCEAMAYMLKYYSMRCQWERLDVKVHDEKTLLFGEKAIKVFGCRNPEEIPWGEIGAEYVVETTGVSTDKDKAAAHLKV >itb04g24830.t1 pep chromosome:ASM357664v1:4:29572691:29579046:1 gene:itb04g24830 transcript:itb04g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-copalyl diphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02780) UniProtKB/Swiss-Prot;Acc:Q38802] MSSQCFLPASLLRLTPSTRHFPSSHPPPSPPPLNPFLNAGIWCLGKQRVNLNLQCNAISKPRTEEYTEVLPNDLPVIKWDGVVEEDIEKQTQEVSTLNKIKEGVETVRSMLRSMDDGDISVSAYDTAWVALVKDINGGESPQFPTALDWIANNQLPDGSWGDRFIFLAHDRILNTLACVIALKSWDMHPEKCEQGMMFLRENMKKLGEENAEHMPIGFEVAFPSLIEMAKKLGIEFPDESPVVQDIYALRNLKLKKIPRELMHQVPTTLLHSLEGMEDLEWQKLLRLRCDDGSFLFSPASTAFALMQTKDDNCLRYLANAVRKFNGGVPNVYPVDMFEHIWAVDRLQRLGISRYIMPEIAECIDYIHRNWSDKGICWARNTRVEDIDDTAMAFRLLRLQGYEVSPDVFKNFEKGGEFFCFVGQANHAVTGMYNLYRASQLRFPGEEILNDTKNFALGFLQQKRASNELLDKWIIIKDLPGEVGYALDVPWYASLPRVETRLYLEQYGGEDDVWIGKTLYRMPIVNNNMYLEVGKLDYNYCQAIHQLEWKIIRKWYKDCNLAQYGVSERSLLLGYYLAMASIFEPERSKERLAWAKTSALIEAITTKFQDGRAEIVRDQIRAFITEFTSNVSIDDYQGNNKTREVTLVETLRETLNQLSLDALLAHGRDIHQYLHQAWGKWLLTWEKDGGMREGEAELIVRTLNLFSGRSVSEELLLSHPKHQQLMEITNRVCHRLSLFRQSKSQPLESPLENTTTEIESNMQYLVKMVLTKDSDEDLNSDVKQTFLTVTKTFYYTAYCDPGTINFHIAKVLFERVP >itb08g01010.t1 pep chromosome:ASM357664v1:8:753321:757179:1 gene:itb08g01010 transcript:itb08g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEADNHSHLNLLCYAPTMITTHGIWQGDNPLDYSLPLFILQLTIIVVTTRILVFILKPLRQPRVISEILGGIILGPSLLGRNKTFAFMVFPLRSVLILETIANLGLLYFLFLVGLEMDLTVIRRTGKQALAIAISGMVLPFLIGVSFSFRITKETQVIRQGTFVLFLGVSLCITAFPVLARILAELKLLNTDLGKIAMSSALINDVCAWVLLAFAITLAENDTIPLATLWVFLSCAAFVCFCIFVVRPLIEMVIQQTPEGETVNEIYICLILSGVTISGFVTDAIGTHAVFGAFVFGLVIPNGPLSLSLIEKLEDFVSGLLLPLFFATSGLKTEITAIKGVGTWSILAVVIILSCAGKVAGTLLVALYYKMPFKEGLTLGLLMNAKGLIEMIVLNVGKDQQVLDSEAFAIMIVVALIMTAIISPLVTVVYKPAKKFIPYKRRSVQRTKPNSEFRISVCVHTPRNVPTIINLLEATNPTKRSPICVYVCHLVELTGRASAMLIVHNNRNANRQPLNCTQAQSDHIINAFENFEQHADGGVSVQPHTVMSPYSTMHEDICSFAEDKRVAIVIIPFHKQQTVDGGMESTNPAYRAINQNVLANAPCSVGILVDRGLSGSTRLAASEVSHHVAVLFFSGPDDREALAYAWRMSDHPGISLTVMRFLPGDSAVEPSESDSMRNNNTKKSGVLTVVTDTDKDREKQLDEDYINQFRAKTADNETVAYTEVVVNNGEETVAAIRSIDSMHDLFIVGRGQGNISQLTAGLTDWSECPELGAIGDLLASTDFASTVSVLVVQQYVEIGNDADPLSTPDTPTNQNVPFDGGSFANRRTPTGSASLAYHPQP >itb02g15080.t1 pep chromosome:ASM357664v1:2:10959402:10960581:1 gene:itb02g15080 transcript:itb02g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGQRGNSESSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTVNGDDLLWAMTTLGFEDYVEPLKVYLQRFRDMEGERTAMAGRQESGGGGNGGYGGEYGMMMGQGQHHGHIYGSGAYNPMGGGGSGNPGSGYIGSGAPPAADRPSRLGS >itb11g04420.t1 pep chromosome:ASM357664v1:11:2308842:2313433:-1 gene:itb11g04420 transcript:itb11g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAVSSVGKALSSDHIPYVEPDDYYYPPAPSAWDSLWGCPSVTRCISMCVEYLKGHQDTPSSECCLNMRFLVSLGVRVGFHRICDCTKDDLRRSFDQRRAQEEIYTLCHNYELDYQIPLPIAEGQFSSVGNALSSYHIPYVEPDDYYNQSTPVWDDDPTPAQVWDDDPTWGCPVVTREISTCLEYLKGHQDTPSPACCKSVRYLVALSIAVGSQEGICYCTKDDLRRSFNQRRAQEEIYKLCNFSNDARRISIAPGQCPSVGKALSPDHIPYVEPNDYYNQPPPVWDDDPTPAQTPVWDDDPTSTQVWDDDSTPAQVWDDDPTPGCPAVTREISTCLEYLKGHQDTSSSACCKSVRYLIALSITVGSQEGICYCTKDDLRRSFDQRRAQEEIYKLCNFSNDVQRISIAPGQCPRYLLAPAYCPDVIRLISTCQEYLNGHQDSPSQTCCDNLDDLDYITVEVGDDTVCHCMEDLQPSFHQDRAEEIFQKCGFDYSFLRITKQQCFPWYY >itb05g23820.t2 pep chromosome:ASM357664v1:5:28858145:28861528:1 gene:itb05g23820 transcript:itb05g23820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGIDQQEPAPAPAPTPAEFKGTGDGSLFQTILAMSLWLGSIHLNCIVVLLSFVFFPFSKAIAVVGVLLIFAVIPIDEKSKWGRRLARFICKHACGYFPVHLHVEDIKAFDPNQAYIFGYEPHSVWPIGVVSLADLTGFMPLPRIKVLASTAVFFTPFLRHIWTWLGLSPATRKNFKSLLSSGYSCIIVPGGVQEAFYMDHSSEIAFLKARKGFVRIAIETGKPLVPVFCFGQSGVYKWWKLNNKLFLEFSRAIKFTPLIFWGIMGSPIPFRQPLHVVVGKPIEVKRNPQPTVGEVVAVHDRFVEALQDLFEKHKKKFGHDDRELRIL >itb05g23820.t1 pep chromosome:ASM357664v1:5:28858006:28861528:1 gene:itb05g23820 transcript:itb05g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGIDQQEPAPAPAPTPAEFKGTGDGSLFQTILAMSLWLGSIHLNCIVVLLSFVFFPFSKAIAVVGVLLIFAVIPIDEKSKWGRRLARFICKHACGYFPVHLHVEDIKAFDPNQAYIFGYEPHSVWPIGVVSLADLTGFMPLPRIKVLASTAVFFTPFLRHIWTWLGLSPATRKNFKSLLSSGYSCIIVPGGVQEAFYMDHSSEIAFLKARKGFVRIAIETGKPLVPVFCFGQSGVYKWWKLNNKLFLEFSRAIKFTPLIFWGIMGSPIPFRQPLHVVVGKPIEVKRNPQPTVGEVVAVHDRFVEALQDLFEKHKKKFGHDDRELRIL >itb03g15130.t1 pep chromosome:ASM357664v1:3:14561104:14564185:-1 gene:itb03g15130 transcript:itb03g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSIAEFLAAAEARFSTSKTSNGPFVSSALPPLSGSFHGYKIPSISQKNALRLSSSISLQDFSAFHSRDPEDGDPNPGATRNSTDARRANFFLRKTDGSSFSKDKGSPGIPSTRKKWRRVILVILCLLFAFVLCASLFYYFNLSEGASEFYVVLDCGSTGTRVYVYQASITHKNSDNLPISLRSIPGDLQRKPKSQSGRAYNRMETEPGLDKLVHNISGLRTAIKPLIRWAEKQIPRHAHKTTSLFLYATAGVRRLPSSDSEWLLDNAWSILKYSPFLCKREWVKIISGNDEAFYGWIALNYHNDVLGSRPTKETFGALDMGGSSLQVTFESKEIANEETTLKLSIGPVNHRLTAYSLPGYGLNDAFDKSVSHLLSRLPMLSEADMVNGNIEIKHPCLHSGYKEQYICSDCASHHQKMKNPSIVNRLVNKGGKPGVPIQLIGAPKWDECNALARAAVNLSEWSDQNPALDCEVQPCALKKTDPYPSGKFYAISGFYVVYQFFNLTSDATLDDVLEKGQNFCEKTWDVAKNSVVPQPFIEQYCFRAPYIVFLLREGLHIIDSNVIIGSGSFTWTLGVALVEAGKGVSMRLEFQRYNILQRKISPIILIAILFASLVVLLCVLSFVGKWIPKFICRSYLPLFRNNASPTTSIINVPNPFRLQRWSPINTGEGKVKMPLSPTIADTQRRSFDTAFGFRGSNNHPGESSLYPSSSNVAHSYSSSNLGQMQFDNSNNMGSFWSSHRSQQLQSRRSQSREDLNSSLAEGPMFKI >itb09g02300.t1 pep chromosome:ASM357664v1:9:1344881:1346241:1 gene:itb09g02300 transcript:itb09g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGIHGRLLELTVVSCSRLKNTEWISRQDPYVCLDYATTKYRTKTCTDGGKSPIFQEKFVFTLIEGLREMNVAVWNSNTLSSDDFIGSGKILLHKVISQGYDDSSWPLLTKSGKNAGEVRLIMHYSNASTSPFSSAPAAPPLYSPFQPHSTPYTSSLAPYPPPPYAASSSYYLTPYPPPFQHHSSSSLYPPAPYTGSYPPPHYYG >itb10g19120.t4 pep chromosome:ASM357664v1:10:24870820:24879636:1 gene:itb10g19120 transcript:itb10g19120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGIILVAGATGGVGRRVVDILRSKGLSVRALVRNEEKARKMFGEDVDLIVGDITKASTLLPEYFKGVRKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGSSPEMVEYIGMKNLITAVKDGVGLRKGKLIFGFEGNLSQTREWGALDDVVMGGVSESTFLIDPTGGENGEPTGIFKGVVTTANNGGFASTRTKNFSVPEDLSAYDGLELRLRGDGYRYKLIIRTSRDWDTVGYTASFDTVAGQWQSIRLPFSSLRPIFRARTVPDAPPFDASQIISLQLMFSKFEYDGKLNPTFKEGPFQLPLSQIRAYIKDPITPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLVRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYDVYFKTLKEGITGKESLEPTPVAA >itb10g19120.t2 pep chromosome:ASM357664v1:10:24870805:24879636:1 gene:itb10g19120 transcript:itb10g19120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCGVNKTLPSCSAVLNFRGFSSVGVGYSEKSLLPPFPLSKPCHQLKIKAQPFLRKQSPLHLSFTTYKGPFAQSRQQPWDFGRFVSTLYYFNGPPSPSKFFEFLIEKLSGPSPSKPVKSMDSSGIILVAGATGGVGRRVVDILRSKGLSVRALVRNEEKARKMFGEDVDLIVGDITKASTLLPEYFKGVRKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGSSPEMVEYIGMKNLITAVKDGVGLRKGKLIFGFEGNLSQTREWGALDDVVMGGVSESTFLIDPTGGENGEPTGIFKGVVTTANNGGFASTRTKNFSVPEDLSAYDGLELRLRGDGYRYKLIIRTSRDWDTVGYTASFDTVAGQWQSIRLPFSSLRPIFRARTVPDAPPFDASQIISLQLMFSKFEYDGKLNPTFKEGPFQLPLSQIRAYIKDPITPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLVRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYDVYFKTLKEGITGKESLEPTPVAA >itb10g19120.t3 pep chromosome:ASM357664v1:10:24870805:24879636:1 gene:itb10g19120 transcript:itb10g19120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCGVNKTLPSCSAVLNFRGFSSVGVGYSEKSLLPPFPLSKPCHQLKIKAQPFLRKQSPLHLSFTTYKGPFAQSRQQPWDFGRFVSTLYYFNGPPSPSKFFEFLIEKLSGPSPSKPVKSMDSSGIILVAGATGGVGRRVVDILRSKGLSVRALVRNEEKARKMFGEDVDLIVGDITKASTLLPEYFKGVRKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGSSPEMVEYIGMKNLITAVKDGVGLRKGKLIFGFEGNLSQTREWGALDDVVMGGVSESTFLIDPTGGENGEPTGIFKGVVTTANNGGFASTRTKNFSVPEDLSAYDGLELRLRGDGYRYKLIIRTSRDWDTVGYTASFDTVAGQWQSIRLPFSSLRPIFRARTVPDAPPFDASQIISLQLMFSKFEYDGKLNPTFKEGPFQLPLSQIRAYIKDPITPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLVRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYDVYFKTLKEGITGKESLEPTPVAA >itb10g19120.t1 pep chromosome:ASM357664v1:10:24870805:24879636:1 gene:itb10g19120 transcript:itb10g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGIILVAGATGGVGRRVVDILRSKGLSVRALVRNEEKARKMFGEDVDLIVGDITKASTLLPEYFKGVRKVINAVSVIVGPKEGDTPDRAKYSQGIKFFEPEIKGSSPEMVEYIGMKNLITAVKDGVGLRKGKLIFGFEGNLSQTREWGALDDVVMGGVSESTFLIDPTGGENGEPTGIFKGVVTTANNGGFASTRTKNFSVPEDLSAYDGLELRLRGDGYRYKLIIRTSRDWDTVGYTASFDTVAGQWQSIRLPFSSLRPIFRARTVPDAPPFDASQIISLQLMFSKFEYDGKLNPTFKEGPFQLPLSQIRAYIKDPITPRFVHVGSAGVTRPERPGLDLSKQPPAVRLNKELGFILTFKLKGEDLVRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYDVYFKTLKEGITGKESLEPTPVAA >itb10g20710.t1 pep chromosome:ASM357664v1:10:26164861:26166278:-1 gene:itb10g20710 transcript:itb10g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMKQLSLVLSFIALALAGCAVYQNTQTAMKDQLKVTPTWLDRTIKSTNLLSLGLGKPSGGKLSDEACVFSAVKEVVDAAIDAEARMGASLIRLFFHDCFVDGCDAGLLLNDTANFTGEQTAGGNNNSVRGFGVIEQAKQNTINKCPDTPVSCADILSIAARDSFEKFTGETYTVTLGRFDARTANLTGANIQLVGPSEDLASQISKFAAKGFNETEMVALLGSHTIGFARCPLLCVSAFINPARVSTLNCNCSGTVNTTGLVGLDPTPTTWDQRYYSDVANGQGLLFSDNELITGNTTSAAVHRYRDAMDAFLNDFAAAMVKMSNLPPSPGVALEIRNVCSEVNANTVASM >itb05g18910.t1 pep chromosome:ASM357664v1:5:25581797:25585442:1 gene:itb05g18910 transcript:itb05g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEAKVLGRMAAKEAAKRGGDELGFVETIYEEEDEDRIYEDSAASSISALSPELSSPSPVTPLLSCVDSWRRAAGRETDVVICVQNLRFHLHKEPLTTRSGYLKRQLKKVSELTLPPPLKITPETFTMLVEFCYDGDLVITPFNVAALRTAAELLEMTGGEGLATKAENYFRRAVAIKHEYTLILLRSSLSLLPVSETTACLASRCVEALWVMDEDHGVTSCMGDIKELKPKDFLVILESLNQRLSCSHDLLYKLVDLYLKEYNGKMTEEQKMGICNNVNCAMLSPKVVMHAVQNPRMPLRFVVQAMFVEQHNTRRSIVSAAGHHNHAENRTKTSSMTLGAVLERDAALRQAAHLKEAMHATVSRIRSLEKELSDMRKFLAEPNDVATDNSCRSASCRLAAGSLQNKIGKGERGSVSSGSFRLNSESNRIDKAERERVCSSSFRIVSDKDRSNMAASSSSEWSQDEIPGVAKYNLPRRFIRGLVSTFRISKKKPKETAGKLDGGKAGNAKEVDGDGNVDIVVIKKV >itb07g18620.t1 pep chromosome:ASM357664v1:7:23090680:23093602:-1 gene:itb07g18620 transcript:itb07g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLCAEGAVAFLLMVKIGPLRELVMKGLDQVKMRKGTVLTIAGTFSAILLSNFINIVKIQNKGAKLGTMTPMDQVLWRTNLLEATLMGFSLFLGFLIDRMHHYLRKLVGLRSSVGTSKNEVEKLEKDKLSFKEKAEKAEEEIKRLQREISSLKDSLKKAKVECEEKGKEVETAEAHVAALQKQAADLLLEYDRLLEDNQNLQAQALGGHRN >itb08g11860.t1 pep chromosome:ASM357664v1:8:11856737:11858791:1 gene:itb08g11860 transcript:itb08g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQSSSPPLRSIPCMKMRKSNSERYRSFSMKTTRSVGNIIPHSFSTGELYAMQERGNRNGGDASNGSGEENFDLLFQDVDCFAAILSSVENKSNPPEIPDVVDRFSDVIESKVAEYKSAGECRDRTGREDDVPRLVEAVKRLAELRDGFAEFPDLTPSLNRTSTVLHLAIAFLEDEFRYLLEDSRNRISNNKQVDEEANGEESEASGDDEEYPEDVVTRMKMIAGAMVSTGYETECRQVYSIARWNLFSEQMKTLEFEELNMEDVQRMAWDLLEGEITRWIRVVKNCSKILLPAERKLGESVFSDHPSISRTLFSNLARTVAIRLLDFAEAVAMTKRSTEKLFKFLDVFETAQELIPVVSDDYQNEVKSEIEAALERLGEAAVNIFTDLESSIRNDVARTPVPGGAVHPLTRYVMNYLKYACEYKDTLEHIFQQHGKVDRSLVHDGEFSNGGSAETENGDNSPHNILATYPAGITITTTPFSIQVITIMDLLDANLDAKSKLYRDPALCSIFLMNNGRYILQKVKGSTEIHKVMGNNWCRRRSTVVRQFHKNYQRETWAKVLQILSHEGLQGNAKALKPVLKERFKSFSTIFDEIHRTQSTWVVSDEQLQSELRVSISAVIIPAYRSFLGRFRQYFDNTKQAEKYIKYQPEDIETLIEGLFDGNTASMARRRL >itb03g23060.t1 pep chromosome:ASM357664v1:3:21174214:21184444:1 gene:itb03g23060 transcript:itb03g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQVKVGSEEEISEEAVVRTLRRGLRFYSTIQADDGHWPADYAGPLFLLPFLVIGLSVMGAINAILHEEHQKEILRYIYNHQNVDGGWGLHIEGHSTMFCTALNYVSLRILGEESDGGNGAIKEARKWILDHGGATFIPSWGKLWLSEDLYYPHPAIQDILWDGLHNIVEPLLNKWPFNKLRKKALTLAMDHIQQEDETTNYICIGPVNKVLNMICRWIEEPDSFAIKHHLSRIKDYLWLAEDGMKMTGYNGSQLWDVGFAVQAIVATNLCDEYASMLRKAHFFINASQIKEDSGMKLRSWYRHSTKGGWPFSTPDNGWPVSDCTAEALKAALMLSRMPNDVVGEAIEPSRLYAAVDLILSLQMLNPSETFGEIIIDYQYVECTSAAILGLKYFTKLHPDYRRKEIEACINKALTFIQTNQLPDGSWYGSWGVCYTYGTWFGIRGLVVGGNTYETCHGIRKACDFLLSKQLPSGGWGESYLSCQDKVYTNIEGNKWHIVNTAWAMLALIEAGQAKRDPTPLHQAAKVLTNSQMENGDFPQQGIMGVFNKNCMISYSAYRNIFPIWALGAYLNQVLLPPKT >itb06g06270.t1 pep chromosome:ASM357664v1:6:8937472:8938513:-1 gene:itb06g06270 transcript:itb06g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRKNLKRAAKEKTAILQQGQSIMQVIDLRGSNLIEVMDANGEKSLAIFPAKFQKSMWIKRGNFVVVDESGREEAMESGRKVGCIVTQVLFYDQVREYQKSPEWPEIFKSAAVETSRENFVSHTSQTQDTENSSDDDGLPPLEANTNRLKPFQSESETESDSEADS >itb12g21400.t1 pep chromosome:ASM357664v1:12:23766245:23774250:1 gene:itb12g21400 transcript:itb12g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLSLKLKNPGSATLVGDSQWSLEGVEDVHGRTESVVASSTEVGDWREAEGVEAVGRRLCPDGIDDRQRGLRNRRRRVQGYVDEYFRLKDTYEKTFFIDLVSTFLMESAVVIDDMIDTLSLWTLQAPFLRPPPGFVDEYFRMSYGLKDDTSEKTFFIDLVSMFLMETAATIDDMIDTLIGACQLSTGCINLIHAIVNKYMNECRRIVEKLNMDKVALEMKLVEVMKLEHEIVAGSE >itb09g06150.t2 pep chromosome:ASM357664v1:9:3530809:3533643:1 gene:itb09g06150 transcript:itb09g06150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEASHLSIQGEDILEEAARFSRESLMANMTSLDDCQAIIVKKTLQYPHHKSLAKFTAKTIIENMRFENEWEASLVNLATMDYSITQSLYQEEFRQILRWWKGLGLMDELAKSQQLKWYTWTLALTFDPSMSRERVELTKPIALVYIIDDIIDVYATFDQTIQFVNAINRWEISVAEGLPDYMKICLSVLFDTTNDISNFILDKYGWDPIDHLKKGWTSLCDAYIIEAKWFATRNSPTADEYLQIGIITTGVPMVLIMCFFLLGHGASTGTTAIEDIITLVGAILRLLDDLDATQGEKQDGNDASYVEYYVKENQDVSLSDGKQHVINMVSEQWKLLNKLCLTPTPIPASFRKACLNITRMVPMMYNYSDTHCLPILQKQIMSMFSIINGESAGLGNDKDI >itb09g06150.t1 pep chromosome:ASM357664v1:9:3530809:3533643:1 gene:itb09g06150 transcript:itb09g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASHCKDELLMEEGRSLLYKFQEDGLLVLPVIDAMQLLGIDYYFQELIGVILERQYHKINKFLFDETADLYDISLCFRLLRQEGYYISSDVFTKFTNEEGKFKEELGKDIKGLMALHEASHLSIQGEDILEEAARFSRESLMANMTSLDDCQAIIVKKTLQYPHHKSLAKFTAKTIIENMRFENEWEASLVNLATMDYSITQSLYQEEFRQILRWWKGLGLMDELAKSQQLKWYTWTLALTFDPSMSRERVELTKPIALVYIIDDIIDVYATFDQTIQFVNAINRWEISVAEGLPDYMKICLSVLFDTTNDISNFILDKYGWDPIDHLKKGWTSLCDAYIIEAKWFATRNSPTADEYLQIGIITTGVPMVLIMCFFLLGHGASTGTTAIEDIITLVGAILRLLDDLDATQGEKQDGNDASYVEYYVKENQDVSLSDGKQHVINMVSEQWKLLNKLCLTPTPIPASFRKACLNITRMVPMMYNYSDTHCLPILQKQIMSMFSIINGESAGLGNDKDI >itb10g23830.t4 pep chromosome:ASM357664v1:10:27971674:27976976:-1 gene:itb10g23830 transcript:itb10g23830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTGGAKEDEFGPHPVKDQLPGVDFCVNSNPSWAEAIILGFQHYLVMLGTTVIIPTIIVPQMGGTHREKAELVQTLLFVSGVNTLLQSWFGSRLPVVIGGSYRFIIPRFKHTLRGMQGALIVSSILPILIGFLGIWRILVRFLSPLSAVPLVTLVGLGLYEHGFPLLAECVEVGLPELIVLILFSQYIPQWWKSNRPVVDRYAVLFSVGIVWTFAALLTVAGAYKNRPLQTQFSCRVDRSGLISGASWIRFPYPWQWGTPTVNAGETLIMLAAAFVALIESTGSFIAAARFGSATHPPASVISRGIGWLGMGILFDGLWGTGVGSTVSVENVGLVALTRVGSRRVIQISAIFMLFFSILGKFGAVVASIPLPIVGALYCVLFAFMSSAGLGLLQFCNLNSFRTKFILGFSFFMGLSVPQYFNGYVITTNHGPVRTHSAWFNEMMQVVFTSPATVAGMVALFLDLTLARGHAQTRRDSGRHWWARFKYFERDARSAEFYSLPYNLSNYFPSV >itb10g23830.t3 pep chromosome:ASM357664v1:10:27971674:27976976:-1 gene:itb10g23830 transcript:itb10g23830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTGGAKEDEFGPHPVKDQLPGVDFCVNSNPSWAEAIILGFQHYLVMLGTTVIIPTIIVPQMGGTHREKAELVQTLLFVSGVNTLLQSWFGSRLPVVIGGSYRFIIPRFKHTLRGMQGALIVSSILPILIGFLGIWRILVRFLSPLSAVPLVTLVGLGLYEHGFPLLAECVEVGLPELIVLILFSQYIPQWWKSNRPVVDRYAVLFSVGIVWTFAALLTVAGAYKNRPLQTQFSCRVDRSGLISGASWIRFPYPWQWGTPTVNAGETLIMLAAAFVALIESTGSFIAAARFGSATHPPASVISRGIGWLGMGILFDGLWGTGVGSTVSVENVGLVALTRVGSRRVIQISAIFMLFFSILGKFGAVVASIPLPIVGALYCVLFAFMSSAGLGLLQFCNLNSFRTKFILGFSFFMGLSVPQYFNGYVITTNHGPVRTHSAWFNEMMQVVFTSPATVAGMVALFLDLTLARGHAQTRRDSGRHWWARFKYFERDARSAEFYSLPYNLSNYFPSV >itb10g23830.t2 pep chromosome:ASM357664v1:10:27971658:27977047:-1 gene:itb10g23830 transcript:itb10g23830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTGGAKEDEFGPHPVKDQLPGVDFCVNSNPSWAEAIILGFQHYLVMLGTTVIIPTIIVPQMGGTHREKAELVQTLLFVSGVNTLLQSWFGSRLPVVIGGSYRFIIPVIYVALSSRFNAYLDPRERFKHTLRGMQGALIVSSILPILIGFLGIWRILVRFLSPLSAVPLVTLVGLGLYEHGFPLLAECVEVGLPELIVLILFSQYIPQWWKSNRPVVDRYAVLFSVGIVWTFAALLTVAGAYKNRPLQTQFSCRVDRSGLISGASWIRFPYPWQWGTPTVNAGETLIMLAAAFVALIESTGSFIAAARFGSATHPPASVISRGIGWLGMGILFDGLWGTGVGSTVSVENVGLVALTRVGSRRVIQISAIFMLFFSILGKFGAVVASIPLPIVGALYCVLFAFMSSAGLGLLQFCNLNSFRTKFILGFSFFMGLSVPQYFNGYVITTNHGPVRTHSAWFNEMMQVVFTSPATVAGMVALFLDLTLARGHAQTRRDSGRHWWARFKYFERDARSAEFYSLPYNLSNYFPSV >itb10g23830.t1 pep chromosome:ASM357664v1:10:27971635:27977047:-1 gene:itb10g23830 transcript:itb10g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTGGAKEDEFGPHPVKDQLPGVDFCVNSNPSWAEAIILGFQHYLVMLGTTVIIPTIIVPQMGGTHREKAELVQTLLFVSGVNTLLQSWFGSRLPVVIGGSYRFIIPVIYVALSSRFNAYLDPRERFKHTLRGMQGALIVSSILPILIGFLGIWRILVRFLSPLSAVPLVTLVGLGLYEHGFPLLAECVEVGLPELIVLILFSQYIPQWWKSNRPVVDRYAVLFSVGIVWTFAALLTVAGAYKNRPLQTQFSCRVDRSGLISGASWIRFPYPWQWGTPTVNAGETLIMLAAAFVALIESTGSFIAAARFGSATHPPASVISRGIGWLGMGILFDGLWGTGVGSTVSVENVGLVALTRVGSRRVIQISAIFMLFFSILGKFGAVVASIPLPIVGALYCVLFAFMSSAGLGLLQFCNLNSFRTKFILGFSFFMGLSVPQYFNGYVITTNHGPVRTHSAWFNEMMQVVFTSPATVAGMVALFLDLTLARGHAQTRRDSGRHWWARFKYFERDARSAEFYSLPYNLSNYFPSV >itb10g23830.t5 pep chromosome:ASM357664v1:10:27971658:27976976:-1 gene:itb10g23830 transcript:itb10g23830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MTGGAKEDEFGPHPVKDQLPGVDFCVNSNPSWAEAIILGFQHYLVMLGTTVIIPTIIVPQMGGTHREKAELVQTLLFVSGVNTLLQSWFGSRLPVVIGGSYRFIIPVIYVALSSRFNAYLDPRERFKHTLRGMQGALIVSSILPILIGFLGIWRILVRFLSPLSAVPLVTLVGLGLYEHGFPLLAECVEVGLPELIVLILFSQYIPQWWKSNRPVVDRYAVLFSVGIVWTFAALLTVAGAYKNRPLQTQFSCRVDRSGLISGASWIRFPYPWQWGTPTVNAGETLIMLAAAFVALIESTGSFIAAARFGSATHPPASVISRGIGWLGMGILFDGLWGTGVGSTVSVENVGLVALTRVGSRRVIQISAIFMLFFSILGKFGAVVASIPLPIVGALYCVLFAFMSSAGLGLLQFCNLNSFRTKFILGFSFFMGLSVPQYFNGYVITTNHGPVRTHSAWFNEMMQVVFTSPATVAGMVALFLDLTLARGHAQTRRDSGRHWWARFKYFERDARSAEFYSLPYNLSNYFPSV >itb05g16450.t1 pep chromosome:ASM357664v1:5:23658596:23663507:1 gene:itb05g16450 transcript:itb05g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSSHGKRSYSQSEYADNGANKRRNSGGGKDHLSIEPEDTVYRYLCPGKKIGSILGRGGEIVKQLRAETKSKIRIGETVRDCDERVVTIHSSSEETNDFEDTEDQVCPAQDALFKVHDRIVGDDMVIEDSEDAPRVTARLLVPSDQIGCIIGKGGQIVQDLRSETGAQIRILKDNHLPACALSSDELVQISGEAHVVRKALHQIAARIHNNPSRSQHLFASAASTVYPSGGSLTGAPLVGLAPLMGPYGGYKGDGGEWSRPLYATPRDQTSSKEFSLCMVCPNANIGGVIGKGGVIINQIRQESGAAIKVDSSAAEGDECLITISAKEFFEDSYSPTVDAALRLQPRCSEKVERDSGLISFTTRLLVPTSRIGCLLGKGGSIINEMRKVTKANIRILSKENLPKVAAEDDEMVLISGDIDVSKDALLQVTSRLRANLFGREDARRHGLGHSYPGDYGGLSDLPRSDGYRRYGGPLNSSNSSIRHGAYEGQPMGRSGGSGLSGSKHEPRRKSYGY >itb05g16450.t5 pep chromosome:ASM357664v1:5:23658538:23663600:1 gene:itb05g16450 transcript:itb05g16450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSSHGKRSYSQSEYADNGANKRRNSGGGKDHLSIEPEDTVYRYLCPGKKIGSILGRGGEIVKQLRAETKSKIRIGETVRDCDERVVTIHSSSEETNDFEDTEDQVCPAQDALFKVHDRIVGDDMVIEDSEDAPRVTARLLVPSDQIGCIIGKGGQIVQDLRSETGAQIRILKDNHLPACALSSDELVQISGEAHVVRKALHQIAARIHNNPSRSQHLFASAASTVYPSGGSLTGAPLVGLAPLMGPYGGYKGDGGEWSRPLYATPRDQTSSKEFSLCMVCPNANIGGVIGKGGVIINQIRQESGAAIKVDSSAAEGDECLITISAKEFFEDSYSPTVDAALRLQPRCSEKVERDSGLISFTTRLLVPTSRIGCLLGKGGSIINEMRKVTKANIRILSKENLPKVAAEDDEMVLISGDIDVSKDALLQVTSRLRANLFGREGAVSAYVPVLPYLPMSTDTSNTYESKDARRHGLGHSYPGDYGGLSDLPRSDGYRRYGGPLNSSNSSIRHGAYEGQPMGRSGGSGLSGSKHEPRRKSYGY >itb05g16450.t2 pep chromosome:ASM357664v1:5:23658552:23663600:1 gene:itb05g16450 transcript:itb05g16450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSSHGKRSYSQSEYADNGANKRRNSGGGKDHLSIEPEDTVYRYLCPGKKIGSILGRGGEIVKQLRAETKSKIRIGETVRDCDERVVTIHSSSEETNDFEDTEDQVCPAQDALFKVHDRIVGDDMVIEDSEDAPRVTARLLVPSDQIGCIIGKGGQIVQDLRSETGAQIRILKDNHLPACALSSDELVQISGEAHVVRKALHQIAARIHNNPSRSQHLFASAASTVYPSGGSLTGAPLVGLAPLMGPYGGYKGDGGEWSRPLYATPRDQTSSKEFSLCMVCPNANIGGVIGKGGVIINQIRQESGAAIKVDSSAAEGDECLITISAKEFFEDSYSPTVDAALRLQPRCSEKVERDSGLISFTTRLLVPTSRIGCLLGKGGSIINEMRKVTKANIRILSKENLPKVAAEDDEMVLISGDIDVSKDALLQVTSRLRANLFGREGAVSAYVPVLPYLPMSTDTSNTYESKDARRHGLGHSYPGDYGGLSDLPRSDGYRRYGGPLQQQHQAWSI >itb05g16450.t3 pep chromosome:ASM357664v1:5:23658538:23663538:1 gene:itb05g16450 transcript:itb05g16450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSSHGKRSYSQSEYADNGANKRRNSGGGKDHLSIEPEDTVYRYLCPGKKIGSILGRGGEIVKQLRAETKSKIRIGETVRDCDERVVTIHSSSEETNDFEDTEDQVCPAQDALFKVHDRIVGDDMVIEDSEDAPRVTARLLVPSDQIGCIIGKGGQIVQDLRSETGAQIRILKDNHLPACALSSDELVQISGEAHVVRKALHQIAARIHNNPSRSQHLFASAASTVYPSGGSLTGAPLVGLAPLMGPYGGYKGDGGEWSRPLYATPRDQTSSKEFSLCMVCPNANIGGVIGKGGVIINQIRQESGAAIKVDSSAAEGDECLITISAKEFFEDSYSPTVDAALRLQPRCSEKVERDSGLISFTTRLLVPTSRIGCLLGKGGSIINEMRKVTKANIRILSKENLPKVAAEDDEMVLISGDIDVSKDALLQVTSRLRANLFGREGAVSAYVPVLPYLPMSTDTSNTYESKDARRHGLGHSYPGDYGGLSDLPRSDGYRRYGGPLNSSNSSIRHGAYEGQPMGRSGGSGLSGSKHEPRRKSYGY >itb05g16450.t4 pep chromosome:ASM357664v1:5:23658596:23660780:1 gene:itb05g16450 transcript:itb05g16450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSSHGKRSYSQSEYADNGANKRRNSGGGKDHLSIEPEDTVYRYLCPGKKIGSILGRGGEIVKQLRAETKSKIRIGETVRDCDERVVTIHSSSEETNDFEDTEDQVCPAQDALFKVHDRIVGDDMVIEDSEDAPRVTARLLVPSDQIGCIIGKGGQIVQDLRSETGAQIRILKDNHLPACALSSDELVQISGEAHVVRKALHQIAARIHNNPSRSQHLFASAASTVYPSGGSLTGAPLVGLAPLMGPYGGYKGDGGEWSRPLYATPRDQTSSKEFSLCMVCPNANIGGVIGKGGVIINQIRQESGAAIKVDSSAAEGDECLITISAKEFFEDSYSPTVDAALRLQPRCSEKVERDSGLISFTTRLLVPTSRIGCLLGKGGSIINEMRKVTKANIRILSKENLPKVAAEDDEMVLVNMLADCN >itb02g18860.t1 pep chromosome:ASM357664v1:2:15453392:15456261:1 gene:itb02g18860 transcript:itb02g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METAICGRISLSPNHFSHPKPGDKNHFQKQWAIRNVMAMPIAGVGKGGGLLDQPVIEKTTPGRESEFDLRKSRKMSPSYRVLLHNDDYNRREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICPQVDAEDHCMQLRGNGLLSSIEPANGGC >itb09g01360.t1 pep chromosome:ASM357664v1:9:850454:850993:1 gene:itb09g01360 transcript:itb09g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQSRSGPRRFNILAILVSRFLVLSVNVAFDTISRFRSQSPSLLADILSLFSFFPLLLSSPRGTTYLILISTVCAFFL >itb10g19180.t1 pep chromosome:ASM357664v1:10:24939251:24942409:-1 gene:itb10g19180 transcript:itb10g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYMAVLGFDTLAPHERATARSGALAWHERAVPVRNISSRWCFMHWRRTVEKQERFASQSSKEVVDLVTPKWSSLAGGGSWHLAVKMSFVTLSRRRDKEKESGDKMEEADRKLATPAGGQTVAETVIVIEPDNGKDESSVAGDEAAKAKGRLKEDGFSCCIDVQMDSQRVCRICHLNGKEDEGKTKDLIELGCGCKGELGFSHLGCAEAWFKLKGNRFCEICGETARNVTGAGSRSFMEEWSDERPSSGHSGSSGERGSRCCRERQPLCNFLMGCLVIAFVLPWFFRVNL >itb01g33450.t1 pep chromosome:ASM357664v1:1:36590899:36592775:1 gene:itb01g33450 transcript:itb01g33450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVGADNAEAIITRIEHKSRKIESLLKQYKPVEALKTALEGSPPKTKDERCKSANWIVVHRAIMAIKDLDSLFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTEKAGLGCILRCLADTENTV >itb04g24000.t1 pep chromosome:ASM357664v1:4:28856072:28856573:-1 gene:itb04g24000 transcript:itb04g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASFFISCLLIASLFTVSVDAGGDLDVAGWMPAKAAEGGGCQGSIAECMASGEEFEMDTESNRRILATSNYISYGALQRGNTPCSRRGSSYYNCQPGAQANPYTRGCSAITRCRSS >itb07g19110.t2 pep chromosome:ASM357664v1:7:23566114:23569862:1 gene:itb07g19110 transcript:itb07g19110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRIVSAFRAMKVLGISEEKVKPALKRLLKLYNKNWDLIEEENYRPLIDAIFEYEEAEAAKREKSSKNAEEDNLEEEEVAHEEPVRPLKRSRLRPEASLSSPSSLGGTSQVRHDVEGAELLGQHNSSNGHMDSEPQPASCQYIARNKGKQPVSPNATSQETYSLPQLSGADKSQPSSCKAGTGLGSERGKEVLSPQIMSAEKPSSEPSNAQSSKKKFHALIKPKEEPVTEDMHQEVPVSGTHAGSAKKGGSSNANGLIGNEDHPSDPKMQSGATLDLALSSEARANDNLEVASSTSGDMKVILNCSAILGKPNFEMPSLDAVVKLMEDKCLSKYKDVDPKFSVIKVMQDVCECFLELRAQSSISASEATRDE >itb07g19110.t3 pep chromosome:ASM357664v1:7:23566117:23569831:1 gene:itb07g19110 transcript:itb07g19110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRIVSAFRAMKVLGISEEKVKPALKRLLKLYNKNWDLIEEENYRPLIDAIFEYEEAEAAKREKSSKNAEQEDNLEEEEVAHEEPVRPLKRSRLRPEASLSSPSSLGGTSQVRHDVEGAELLGQHNSSNGHMDSEPQPASCQYIARNKGKQPVSPNATSQETYSLPQLSGADKSQPSSCKAGTGLGSERGKEVLSPQIMSAEKPSSEPSNAQSSKKKFHALIKPKEEPVTEDMHQEVPVSGTHAGSAKKGGSSNANGLIGNEDHPSDPKMQSGATLDLALSSEARANDNLEVASSTSGDMKVILNCSAILGKPNFEMPSLDAVVKLMEDKCLSKYKDVDPKFSVIKVMQDVCECFLELRAQSSISASEATRDE >itb07g19110.t1 pep chromosome:ASM357664v1:7:23566114:23569862:1 gene:itb07g19110 transcript:itb07g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRIVSAFRAMKVLGISEEKVKPALKRLLKLYNKNWDLIEEENYRPLIDAIFEYEEAEAAKREKSSKNAEQEDNLEEEEVAHEEPVRPLKRSRLRPEASLSSPSSLGGTSQVRHDVEGAELLGQHNSSNGHMDSEPQPASCQYIARNKGKQPVSPNATSQETYSLPQLSGADKSQPSSCKAGTGLGSERGKEVLSPQIMSAEKPSSEPSNAQSSKKKFHALIKPKEEPVTEDMHQEVPVSGTHAGSAKKGGSSNANGLIGNEDHPSDPKMQSGATLDLALSSEARANDNLEVASSTSGDMKVILNCSAILGKPNFEMPSLDAVVKLMEDKCLSKYKDVDPKFSVIKVMQDVCECFLELRAQSSISASEATRDE >itb05g26860.t1 pep chromosome:ASM357664v1:5:30529897:30538563:1 gene:itb05g26860 transcript:itb05g26860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MQSITNAAPIHLSNNAIFNSTFQRSNSKTHPQFVLIQSHGRILNRVALRTRGKWRCSAAEQPQPQGQKQRPPKQKRNPSDDENGIDPVGFLSKYGITNKGFAQFLRERHKLLKDLKDEIFNRHLNLKEMASGFDMMAMHRNPQHRVDFLEWAPGARYCAIVGDFNGWSPTENCAREGHFGHDDYGYWLIILDDKLRPGEEADTLFFQQYNYVDDYDKGDSVTAEEMFKKANDEYWEPGEDHYSKSRLEIAAKLFEQIFGPNGPQTEEELEEIRPDPDPEKRYKAWQEQHKNDPPSNLPPLDVIDSGKKEYDIFNIVSDPVSREKFRAKSPPIAYWLESRKGRKAWLKKYTPGIPHGSKYRVYFNTPDGPLERVPAWATYVAVDAVGNQAFAVHWEPPPESEYKWKHKLPPKPKSLRIYECHVGIAGQEQKISSFSDFINKVLPHVKEAGYNAIQFIGVVEHKDYFTVGYRVTNFFAVSSRFGTPEDFKHLVDEAHGLGLLVFLDIVHSYAAADEMVGLSRFDGTNDCFFHTGKRGHHKFWGTRMFKYGDHDVLHFLLSNLNWWVEEYHVDGFHFHSLPSMLYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPSIITIAEDATLYPGLCEPTSQGGLGFDYFVNLSATEMWLSLFEKKPDHQWKMSEIVSTLVGNKQNADKMLLYAENHNQSISGGHSFAEILLGESVKDSSVSQESLLRGCSLHMMIRLITFTIGGPAYLNFMGNEFGHPQRVEFPMPSNNYSFSLANRRWDLLADEVHNQLFSFDKDMMHLDKNERVLSRGLPNIHHVNDTMMVISYLRGPFLFVFNFHPKDSYERYSIGVEEAGEYKVILNTDENKYGGRGLIGDEQYVQRTCNRRVDGMRFCLEVPLPSRSAQVYKLTRILRV >itb05g26860.t2 pep chromosome:ASM357664v1:5:30529897:30538558:1 gene:itb05g26860 transcript:itb05g26860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MQSITNAAPIHLSNNAIFNSTFQRSNSKTHPQFVLIQSHGRILNRVALRTRGKWRCSAAEQPQPQGQKQRPPKQKRNPSDDENGIDPVGFLSKYGITNKGFAQFLRERHKLLKDLKDEIFNRHLNLKEMASGFDMMAMHRNPQHRVDFLEWAPGARYCAIVGDFNGWSPTENCAREGHFGHDDYGYWLIILDDKLRPGEEADTLFFQQYNYVDDYDKGDSVTAEEMFKKANDEYWEPGEDHYSKSRLEIAAKLFEQIFGPNGPQTEEELEEIRPDPDPEKRYKAWQEQHKNDPPSNLPPLDVIDSGKKEYDIFNIVSDPVSREKFRAKSPPIAYWLESRKGRKAWLKKYTPGIPHGSKYRVYFNTPDGPLERVPAWATYVAVDAVGNQAFAVHWEPPPESEYKWKHKLPPKPKSLRIYECHVGIAGQEQKISSFSDFINKVLPHVKEAGYNAIQFIGVVEHKDYFTVGYRVTNFFAVSSRFGTPEDFKHLVDEAHGLGLLVFLDIVHSYAAADEMVGLSRFDGTNDCFFHTGKRGHHKFWGTRMFKYGDHDVLHFLLSNLNWWVEEYHVDGFHFHSLPSMLYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPSIITIAEDIVSTLVGNKQNADKMLLYAENHNQSISGGHSFAEILLGESVKDSSVSQESLLRGCSLHMMIRLITFTIGGPAYLNFMGNEFGHPQRVEFPMPSNNYSFSLANRRWDLLADEVHNQLFSFDKDMMHLDKNERVLSRGLPNIHHVNDTMMVISYLRGPFLFVFNFHPKDSYERYSIGVEEAGEYKVILNTDENKYGGRGLIGDEQYVQRTCNRRVDGMRFCLEVPLPSRSAQVYKLTRILRV >itb05g26860.t3 pep chromosome:ASM357664v1:5:30529897:30538563:1 gene:itb05g26860 transcript:itb05g26860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MQSITNAAPIHLSNNAIFNSTFQRSNSKTHPQFVLIQSHGRILNRVALRTRGKWRCSAAEQPQPQGQKQRPPKQKRNPSDDENGIDPVGFLSKYGITNKGFAQFLRERHKLLKDLKDEIFNRHLNLKEMASGFDMMAMHRNPQHRVDFLEWAPGARYCAIVGDFNGWSPTENCAREGHFGHDDYGYWLIILDDKLRPGEEADTLFFQQYNYVDDYDKGDSVTAEEMFKKANDEYWEPGEDHYSKSRLEIAAKLFEQIFGPNGPQTEEELEEIRPDPDPEKRYKAWQEQHKNDPPSNLPPLDVIDSGKKEYDIFNIVSDPVSREKFRAKSPPIAYWLESRKGRKAWLKKYTPGIPHGSKYRVYFNTPDGPLERVPAWATYVAVDAVGNQAFAVHWEPPPESEYKWKHKLPPKPKSLRIYECHVGIAGQEQKISSFSDFINKVLPHVKEAGYNAIQFIGVVEHKDYFTVGYRVTNFFAVSSRFGTPEDFKHLVDEAHGLGLLVFLDIVHSYAAADEMVGLSRFDGTNDCFFHTGKRGHHKFWGTRMFKYGDHDVLHFLLSNLNWWVEEYHVDGFHFHSLPSMLYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPSIITIAEDATLYPGLCEPTSQGGLGFDYFVNLSATEMWLSLFEKKPDHQWKMSEIVSTLVGNKQNADKMLLYAENHNQSISGGHSFAEILLGESVKDSSVSQESLLRGCSLHMMIRLITFTIGGPAYLNFMGNEFGHPQRVEFPMPSNNYSFSLANRRWDLLADEVHNQLFSFDKDMMHLDKNERVLSRGLPNIHHVNDTMMVISYLRGPFLFVFNFHPKDSYERYSIGVEEAGEYKVILNTDENKYGGRGLIGDEQYVQRTCNQLTE >itb02g04980.t1 pep chromosome:ASM357664v1:2:2973910:2979487:-1 gene:itb02g04980 transcript:itb02g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRSALRAARRLSAGYFRRRSPLIVGRSADRVDSKVSDKFCYHSFRPCSADGFKYSSSVLFSTASTSTSAENGLDDNNCGAAADGVQLINSESPAESLSTTSSISDAYYAIELALDSVVKIFTVSSSPSYFLPWQNKSQRESTGSGFVIHGKRILTNAHVVADHTFVLVRKHGSPTKYRAKVQAVGHECDLAILVVENEEFWEGTNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLLAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIRHFISGVEENGSYVGFCSMGLSCQPTENLQLREYFQMGPELTGVLVSKINPLSDAYKVLRKDDIILSFDGIPIANDGTVHFRNRERITFDHLVSMKKPNETAVLKVLRNGEKHEFCINLFPLKPLVPVHQFDKLPSFFIFAGLVFIPLTQPYLHEYGEDWYNTSPRRLCERALRKLPTKPGEQLVILSQVLLDDINTGYERLAELQVNKVNGVEVENLKHLRKLVEDNSKERIRFDLDDERVIVLNYDLGKVATSRILKRHRIPYAMSSDLINDENAPELELACSN >itb07g14490.t1 pep chromosome:ASM357664v1:7:17124516:17130454:-1 gene:itb07g14490 transcript:itb07g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAMGRVERRGRKRRRNDVQSVEADQDGKKRMVGTRSKVLVGRYVSKEFEGSGTFLGKIMSYDSGLYRVNYEDGDCEDLDSSELKGVLIEEDALDRDWLERKKNLDKLVSSKEVTTTDCHVENAILPADVAVQTTAPPWSNLGSADPYKVEAGQVDDNAESMSDLSEEDEVLDLISNVETPVIPPPELPPSSGNIGVPEEFVSYLFSVYSFLRSFSIQLFLSPFALDDFVGSLNCPSPNTLLDSVHVALMRVLRRHIEKLSLDGSGRASKCLRNLDWSLLDSMTWPIYLVDYLMIMGYINGPVWKGFSAHVLEREYYSLSVGQKLMVLQILCDDVLDSEEVRAEMDIREESEFGMDSDGTAVAPMSGPRRVHPRYSKTSAFKEQESMEIIAKDHDMRSSHNASSLSSKVSSTDAGADVDHDGNGDECRLCGMEGTLLCCDGCPSSYHPRCIGVCKMYIPDGNWYCPECRINELRPTPIRGTSLKGAELFGVDSHGQIFMGSCDHLLVLKDSANSESCFRYYNENDISRVLQALNTNVQHNALYSEICKGIREFWGIPFNVLPHTRVTEIGAEITNRIEDSGCSVPSLAKLACCASEISLENTHFHKYPKELMLNEASGRIVHPDNGNFTVRQASEHMNSVPLKQIPGRPTVCAGSVSQQVDFPRQIQKDNTVLIETASCTSRNSSNCTGYDSVHGLGAVPSERSFKNPTEGGLYTGTSFKAQGYVNNYLHGDFAASAAANLAILSSEENQCSESLSLEKRRKLMSVDIQLQTKAFSSAATRFFWPNIEKKLIEVPRERCSWCLSCKAPVVSKKACLLNAAASNATKGAMKILATVRPAKSGDGNLPGIATYIILMEESLRGLTVGPFLSAAFRKNWRKLAEEATSCYSIKSLLLELEENIRTVALSADWVKLVDGSSESSVSQNASSVTGSTHKRKPGRRGRKPSAVSVVAADEGQGVLTDYTWWRVGMLSKFMFQRGTLPQSLVNKAARQV >itb07g14490.t2 pep chromosome:ASM357664v1:7:17124516:17130254:-1 gene:itb07g14490 transcript:itb07g14490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAMGRVERRGRKRRRNDVQSVEADQDGKKRMVGTRSKVLVGRYVSKEFEGSGTFLGKIMSYDSGLYRVNYEDGDCEDLDSSELKGVLIEEDALDRDWLERKKNLDKLVSSKEVTTTDCHVENAILPADVAVQTTAPPWSNLGSADPYKVEAGQVDDNAESMSDLSEEDEVLDLISNVETPVIPPPELPPSSGNIGVPEEFVSYLFSVYSFLRSFSIQLFLSPFALDDFVGSLNCPSPNTLLDSVHVALMRVLRRHIEKLSLDGSGRASKCLRNLDWSLLDSMTWPIYLVDYLMIMGYINGPVWKGFSAHVLEREYYSLSVGQKLMVLQILCDDVLDSEEVRAEMDIREESEFGMDSDGTAVAPMSGPRRVHPRYSKTSAFKEQESMEIIAKDHDMRSSHNASSLSSKVSSTDAGADVDHDGNGDECRLCGMEGTLLCCDGCPSSYHPRCIGVCKMYIPDGNWYCPECRINELRPTPIRGTSLKGAELFGVDSHGQIFMGSCDHLLVLKDSANSESCFRYYNENDISRVLQALNTNVQHNALYSEICKGIREFWGIPFNVLPHTRVTEIGAEITNRIEDSGCSVPSLAKLACCASEISLENTHFHKYPKELMLNEASGRIVHPDNGNFTVRQASEHMNSVPLKQIPGRPTVCAGSVSQQVDFPRQIQKDNTVLIETASCTSRNSSNCTGYDSVHGLGAVPSERSFKNPTEGGLYTGTSFKAQGYVNNYLHGDFAASAAANLAILSSEENQCSESLSLEKRRKLMSVDIQLQTKAFSSAATRFFWPNIEKKLIEVPRERCSWCLSCKAPVVSKKACLLNAAASNATKGAMKILATVRPAKSGDGNLPGIATYIILMEESLRGLTVGPFLSAAFRKNWRKLAEEATSCYSIKSLLLELEENIRTVALSADWVKLVDGSSESSVSQNASSVTGSTHKRKPGRRGRKPSAVSVVAADEGQGVLTDYTWWRVGMLSKFMFQRGTLPQSLVNKAARQV >itb14g13300.t3 pep chromosome:ASM357664v1:14:15245420:15255195:-1 gene:itb14g13300 transcript:itb14g13300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MQQSSMSPSNFTSNVSSHGNAILFQTENGHSESLSLLNSERAVQELIEQPLVDGIDDHLIEFSEALRTVAKTLRRAAEGKASAQAEATEWKRRYELERERNQQLEQKEKHNAEHKDGRLKQLADQPVQSDGTAEKSERCCAENGICSHEVLRNGEPDCDTNVLPRKMMRKASFKLSWCCKGEKSNQHKHDIVSFEKGNITTAERSSKQISLKWESPPQTVLILTKPNSAAVRMLCLEMVRWLKEQKNLNIFVEPRVRAELLSELSYYNFVQTWEDDEEILLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVSFSLGSLGFMTPFYSEHYRQYLSSILRGPINITLRHRLQCHVIRDTAKNEFETEGPMLVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVVVPFNSRGHAWASFDGKDRKRLAPGDALICSMAPWPVPTACQVDSTTDFLRSIHDGLHWNLRKTQSFDGPRESL >itb14g13300.t2 pep chromosome:ASM357664v1:14:15245400:15255247:-1 gene:itb14g13300 transcript:itb14g13300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MQQSSMSPSNFTSNVSSHGNAILFQTENGHSESLSLLNSERAVQELIEQPLVDGIDDHLIEFSEALRTVAKTLRRAAEGKASAQAEATEWKRRYELERERNQQLEQKALFSEKHNAEHKDGRLKQLADQPVQSDGTAEKSERCCAENGICSHEVLRNGEPDCDTNVLPRKMMRKASFKLSWCCKGEKSNQHKHDIVSFEKGNITTAERSSKQISLKWESPPQTVLILTKPNSAAVRMLCLEMVRWLKEQKNLNIFVEPRVRAELLSELSYYNFVQTWEDDEEILLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVSFSLGSLGFMTPFYSEHYRQYLSSILRGPINITLRHRLQCHVIRDTAKNEFETEGPMLVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVVVPFNSRGHAWASFDGKDRKRLAPGDALICSMAPWPVPTACQVDSTTDFLRSIHDGLHWNLRKTQSFDGPRESL >itb14g13300.t1 pep chromosome:ASM357664v1:14:15245400:15255247:-1 gene:itb14g13300 transcript:itb14g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MQQSSMSPSNFTSNGNAILFQTENGHSESLSLLNSERAVQELIEQPLVDGIDDHLIEFSEALRTVAKTLRRAAEGKASAQAEATEWKRRYELERERNQQLEQKALFSEKHNAEHKDGRLKQLADQPVQSDGTAEKSERCCAENGICSHEVLRNGEPDCDTNVLPRKMMRKASFKLSWCCKGEKSNQHKHDIVSFEKGNITTAERSSKQISLKWESPPQTVLILTKPNSAAVRMLCLEMVRWLKEQKNLNIFVEPRVRAELLSELSYYNFVQTWEDDEEILLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVSFSLGSLGFMTPFYSEHYRQYLSSILRGPINITLRHRLQCHVIRDTAKNEFETEGPMLVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVVVPFNSRGHAWASFDGKDRKRLAPGDALICSMAPWPVPTACQVDSTTDFLRSIHDGLHWNLRKTQSFDGPRESL >itb14g13300.t4 pep chromosome:ASM357664v1:14:15245484:15255195:-1 gene:itb14g13300 transcript:itb14g13300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MQQSSMSPSNFTSNGNAILFQTENGHSESLSLLNSERAVQELIEQPLVDGIDDHLIEFSEALRTVAKTLRRAAEGKASAQAEATEWKRRYELERERNQQLEQKEKHNAEHKDGRLKQLADQPVQSDGTAEKSERCCAENGICSHEVLRNGEPDCDTNVLPRKMMRKASFKLSWCCKGEKSNQHKHDIVSFEKGNITTAERSSKQISLKWESPPQTVLILTKPNSAAVRMLCLEMVRWLKEQKNLNIFVEPRVRAELLSELSYYNFVQTWEDDEEILLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVSFSLGSLGFMTPFYSEHYRQYLSSILRGPINITLRHRLQCHVIRDTAKNEFETEGPMLVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVVVPFNSRGHAWASFDGKDRKRLAPGDALICSMAPWPVPTACQVDSTTDFLRSIHDGLHWNLRKTQSFDGPRESL >itb03g23840.t1 pep chromosome:ASM357664v1:3:22136167:22142252:1 gene:itb03g23840 transcript:itb03g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEVTRASHEEIERLERLIVKDLQTEPATNKDRLHQSHRVRNVIEQILESTHKLVDIYEDKDGARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDTSDEYEQLLKEEPQIEFSGEEGFGRYLDLHELFGEYINSKFGEPIEYAAYLDVFYKPHKISRKLKSTRQYMEYLQKLLEYLIYFFERTEPLQDTDRIFSKVVADFEEQWANGNFEGWEDDSQENGSDPMDHTVIDLDYYSTVEELMEVGPDKLKEALAALGLKSGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSRGPERNGGGAPLQLNEDAKEVALMEAKIRKLCDLLEETIVRTKENVEKKQALTYEEMEAEREEEEVQAESESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKQLWERIQERQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >itb03g23840.t2 pep chromosome:ASM357664v1:3:22136167:22142252:1 gene:itb03g23840 transcript:itb03g23840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEVTRASHEEIERLERLIVKDLQTEPATNKDRLHQSHRVRNVIEQILESTHKLVDIYEDKDGARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDTSDEYEQLLKEEPQIEFSGEEGFGRYLDLHELFGEYINSKFGEPIEYAAYLDVFYKPHKISRKLKSTRQYMEYLQKLLEYLIYFFERTEPLQDTDRIFSKVVADFEEQWANGNFEGWEDDSQENGSDPMDHTVIDLDYYSTVEELMEVGPDKLKEALAALGLKSGGTVQQRAERLFLTKHTPLEKLDKKHFAKGSRGPERNGGGAPLQLNEDAKEVALMEAKIRKLCDLLEETIVRTKENVEKKQALTYEEMEAEREEEEVQAESESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKQLWERIQERQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >itb04g28770.t1 pep chromosome:ASM357664v1:4:32378398:32380069:1 gene:itb04g28770 transcript:itb04g28770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MPRTNGQVHSDTREITAFDRFFHALSEPYTELIGKYTDGRALRLGKVLHAHLIINGLARRTHFASKLIAFYAECKQLADARKLFDRIPKTNVRRWIVLAGAYSRNGIHEEAMALFNEMLKEVKRPNKFVLPSILKACGHLSDQRTGETLHAVVLRNEFEFDAYVVSALIDMYSKCGKVEKAKRVFDGMVNKDLVALNAMVSGFVQHKSEREALSLIEEMKPLGLKPNVVTYNTLIAGFSQADDRAMVSKILRFMHDEGVVPDVVSWTSVVSGLVQNFHNSEAFDTFKQMLNVGFCPSSATISSLLPACATFADLMRGKAIHGYAIVMGIEKDVYVRSALIDMYAKCGYIYEARKLFYNMPERNTVTWNSMIFGYANHGHCNEAIELFDKMAREEERKLDHLTFTAALTACSHAGMVEYGESIFKTMQEKYGIKPRLEHYACIVDLLGRAGKLNKAYDFVLNMPIEPDLFVWGALLGACRQHGNVDLAEVAAKELAKLEPDSAGSGVLLSSLYADASKWGNVAKMKMSIKKRKLKKFPGCSWVEVA >itb04g21060.t1 pep chromosome:ASM357664v1:4:26087638:26088207:1 gene:itb04g21060 transcript:itb04g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNKLVILLPFLLVCGIVLIRVDGRYDGKVCAQVCEQRVATMKCPPGNKPTRPSCVNCCTAKIGCKLYRSDGSLLCTGT >itb06g00610.t1 pep chromosome:ASM357664v1:6:1406882:1415301:-1 gene:itb06g00610 transcript:itb06g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKWRFVRAVMCIWWSFLLASLVLVLADEGSGSLKNKEAALQSINVSDANNSSDHLSTFLIKSSRETKESGHGHTHVWPELKFGWKIVVGSIIGFLGAAFGSVGGVGGGGIFVPMLTLILRFDPKSATAISKCMITGAAVSTVYCNLRLRHPTMEMPIIDYNLAVLIQPMLMLGISIGVSFNVILADWMVTVLLIILFLGLSTMAFFRGVASWKKETMLKKEAVQRLANGAIDGEGGTFPGGPSSGIQKRAKVSVLENVYWKEFGLIFFVWVVCLGLQISKNYTTTCSTVYWGVNLLQIPVCLGVSSFEAFGLYKGWRKISSKTADSSSKLRAGQLIVFCFFGLVAGTVGALLGLGGGFIMGPLFLELGVPPEVSTATSTFVMMFTSSIAVVEYYLLKRFPVPYAVCLTAVATVAAFVGQHAVRRVIAALGRASLIIFTLAFIIFISAISLGGVGISNMTKKIQHHQNMGFESLCKYRV >itb15g13450.t3 pep chromosome:ASM357664v1:15:11433243:11438761:-1 gene:itb15g13450 transcript:itb15g13450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSACDGAAASVRSLKFSEISEEDTVRITVDLVAAARRNLGFLKFIAESPFLHQTPAILESIRRYDQLWMPLIYELTNGSTPPMILPPVDIEWVWFCHSLNPVSYRQYCESRFSKVIGKATIFNEENEDYALNRCREIWLCRFADSCSKFVPTSDILLMWLTHQSYPTVYAFDTKEIEKELTKVAGTWEVAKKLEIEETKKLWERTFEQPYEKAGGTAIGKDVMVKSPIQWEVTGTDVNTKYKSMLPRFLLELCVMVKLRTKTEIVQWNMSKEFLRLRCVRCHKELKMDTPVSNFTSDTWHKAWHLYCEFGTKGVILELRSRGGRCIKGSKLTEGATFLWNDLLRAPSLSLVTELDQKVRIAASITPPVQASYLLKCVPDRVTDDSGAMISDVILKLNHYRPQEGRWLSRTVLDHAGRECFIIRLRIGGGIWRRGAETPSAVKWEDRIIEVREGCWSYVAGSIGRAPVQRKLWGLLHQRNHQKDAMLYGTSLQAMSC >itb15g13450.t1 pep chromosome:ASM357664v1:15:11433243:11438761:-1 gene:itb15g13450 transcript:itb15g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACDGAAASVRSLKFSEISEEDTVRITVDLVAAARRNLGFLKFIAESPFLHQTPAILESIRRYDQLWMPLIYELTNGSTPPMILPPVDIEWVWFCHSLNPVSYRQYCESRFSKVIGKATIFNEENEDYALNRCREIWLCRYPSEPFENECDSKVENQVSSIQEDLLEEVSKQRFLYTKFTEPYYFEIVYLIAARQRYKGFLYMVHRFADSCSKFVPTSDILLMWLTHQSYPTVYAFDTKEIEKELTKVAGTWEVAKKLEIEETKKLWERTFEQPYEKAGGTAIGKDVMVKSPIQWEVTGTDVNTKYKSMLPRFLLELCVMVKLRTKTEIVQWNMSKEFLRLRCVRCHKELKMDTPVSNFTSDTWHKAWHLYCEFGTKGVILELRSRGGRCIKGSKLTEGATFLWNDLLRAPSLSLVTELDQKVRIAASITPPVQASYLLKCVPDRVTDDSGAMISDVILKLNHYRPQEGRWLSRTVLDHAGRECFIIRLRIGGGIWRRGAETPSAVKWEDRIIEVREGCWSYVAGSIGRAPVQRKLWGLLHQRNHQKDAMLYGTSLQAMSC >itb15g13450.t4 pep chromosome:ASM357664v1:15:11433243:11438761:-1 gene:itb15g13450 transcript:itb15g13450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSACDGAAASVRSLKFSEISEEDTVRITVDLVAAARRNLGFLKFIAESPFLHQTPAILESIRRYDQLWMPLIYELTNGSTPPMILPPVDIEWVWFCHSLNPVSYRQYCESRFSKVIGKATIFNEENEDYALNRCREIWLCRYPSEPFENECDSKVENQVSSIQEDLLEEVSKQRFLYTKFTEPYYFEIVYLIAARQRYKGFLYMVHRFADSCSKFVPTSDILLMWLTHQSYPTVYAFDTKEIEKELTKVAGTWEVAKKLEIEETKKLWERTFEQPYEKAGGTAIGKDVMVKSPIQWEVTGTDVNTKYKSMLPRFLLELCVMVKLRTKTEIVQWNMSKEFLRLRCVRCHKELKMDTPVSNFTSDTWHKAWHLYCEFGTKGVILELRSRGGRCIKGSKLTEGATFLWNDLLRAPSLSLVTELDQKVRIAASITPPVQASYLLKCVPDRVTDDSGAMISDVILKLNHYRPQEGRWLSRTVLDHAGRECFIIRLRIGGGIWRRGAETPSAVKWEDRIIEVREGCWSYVAGSIGRAPVQRKLWGLLHQRNHQKDAMLYGTSLQAMSC >itb15g13450.t2 pep chromosome:ASM357664v1:15:11433243:11438761:-1 gene:itb15g13450 transcript:itb15g13450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSACDGAAASVRSLKFSEISEEDTVRITVDLVAAARRNLGFLKFIAESPFLHQTPAILESIRRYDQLWMPLIYELTNGSTPPMILPPVDIEWVWFCHSLNPVSYRQYCESRFSKVIGKATIFNEENEDYALNRCREIWLCRYPSEPFENECDSKVENQVSSIQEDLLEEVSKQRFLYTKFTEPYYFEIVYLIAARQRYKGFLYMVHRFADSCSKFVPTSDILLMWLTHQSYPTVYAFDTKEIEKELTKVAGTWEVAKKLEIEETKKLWERTFEQPYEKAGGTAIGKDVMVKSPIQWEVTGTDVNTKYKSMLPRFLLELCVMVKLRTKTEIVQWNMSKEFLRLRCVRCHKELKMDTPVSNFTSDTWHKAWHLYCEFGTKGVILELRSRGGRCIKGSKLTEGATFLWNDLLRAPSLSLVTELDQKVRIAASITPPVQASYLLKCVPDRVTDDSGAMISDVILKLNHYRPQEGRWLSRTVLDHAGRECFIIRLRIGGGIWRRGAETPSAVKWEDRIIEVREGCWSYVAGSIGRAPVQRKLWGLLHQRNHQKDAMLYGTSLQAMSC >itb08g08380.t1 pep chromosome:ASM357664v1:8:7358456:7362811:-1 gene:itb08g08380 transcript:itb08g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MRVGLSQYEGRNTVPVRTKPVKEQFLGLALSLYAILQLQLLSRPSIFQNQKYKSSSEYKLLNKIMDAETETTISETVLEILKSSNMDEITEFMVRKSASEKLGMDLSQPIRKKFVRKVVESYLAEQQAKAEQKDDEEGEEEAEEESEDEKKPRHGDDGSTKEYDDDGDRIICRLNKKRRVTITDFRGKTLVSLREYYWKDGKELPTSKGISLTAEQWASFMTNLPAIDKAIKKMESRI >itb08g08380.t2 pep chromosome:ASM357664v1:8:7358866:7362795:-1 gene:itb08g08380 transcript:itb08g08380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MRVGLSQYEGRNTVPVRTKPVKEQFLGLALSLYAILQLQLLSRPSIFQNQKYKSSSEYKLLNKIMDAETETTISETVLEILKSSNMDEITEFMVRKSASEKLGMDLSQPIRKKFVRKVVESYLAEQQAKAEQKDDEEGEEEAEEESEDEKKPRHGDDGSTKEYDDDGDRIICRLNKKRRVTITDFRGKTLVSLREYYWKDGKELPTSKGISLTAEQWASFMTNLPAIDKAIKKMESRI >itb09g02890.t1 pep chromosome:ASM357664v1:9:1645049:1646202:-1 gene:itb09g02890 transcript:itb09g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARSPAVSDPPPSPSNLPRDILAEILKRLRAKTLMKFKCVSKDWFNLIRDSSFAESHLNHARTRPDSSHLLFAFFAIDDQIHTIYPTNENLSLTSQLPSHTFDEDFQPCSNVANGLICVCSIRSTQPRIWVLNLTTKQKKPLPHPNLANTYSIPNFCLSIFYLGCDPVSNQYKILHHYKQAYEVLTLGTETWRPVPGNPTAEIDLLKAREGFIYQGMGHEGVASINGRIYIRNLEAEVMSFFDLKDEKFGEVDFPESIEGVCRYTADLIDFGGRLAIVVGKYEIVRQLRIWILEDEDNNCVEKRLTLPKRNNLYVEFIGVIGNGKVIVNCKDYCSFDVSPSYFKYYDLKTLEVTSNSVIKIQPHRDPLSYNYVENIVPL >itb11g05370.t1 pep chromosome:ASM357664v1:11:3181823:3183099:1 gene:itb11g05370 transcript:itb11g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKFSLCFRPVAVEAEDAVVVSHDHHVCDDDSVSTKIVPGGNNQLVSSSTSESSSSSVSVRKNRKLIRRKRVAFKHFPSLFKAIVFPDPMKNIHQLELQLKSKGPVKNRKPFHVLKNEPGKPETIAESENQPEEKSAIDLLTLSSSSSTSESKTLRSSHEKPIASSSSWMSCFCTIVLALLATIFLGKIGAIVSISLGLSGFHWLIGYFRPPLDMMKSETAAPRENLKI >itb12g21870.t1 pep chromosome:ASM357664v1:12:24076377:24081477:-1 gene:itb12g21870 transcript:itb12g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSETNQLLLPSSSSSLPRCWHYDVFLSFRGEDTRKSFTDHLFAALCRAGVHTFRDAEELRKGEDISTDLIKAIQESKISIIVFSKTYASSRWCLEEVVKIVECKEMANQVVFPIFYDVSPSEVRKQTGGFADAFSQHQQRFKPEKVSQWKTALNKVADFSGWNLQNYADGYESKFIDKIVENVLQVVNRTYLNVAKYPVGIHARIRNILSFLQSEANDDVSMIGIYGLGGVGKTTLAKAVFNQIYRTFDGSCFLGDVGKEYVNEGHLGLKRLQEQLLCRILNRKRLKVDHVDEGISLIKERLGLKKVLIVVDDVDHESQLDSLVGDRNWFGSGSAIVVTTRNANLLNGFGKDCEKYNVAMLSHEESLQLFSWHAFKNPNPVEPFIELSNMIVSYAGGLPLALTVLGSHFRARSSVQEWSNDFEKLRRIPHNDILKILKISYDALDDDTQGIFLDIACIFTGEYFDTEEIVKILNGCGFFAQSGISTLIDRCLISEDLYMHGLVRDVGREIVRGESPRQPEKRSRLFLRDEVMDVLVNKKGTKAIETMIIDLPNEVHLSTKVFSKMTRLRVLKILSMNVKGPLKYLSNELRLLYWKNCPLRGISSDLCLKRLVSLSMIESNIEEFQPNLQHFRCLEILRLDDCVRLEKGPNFTGAHSLKTLSLNYCSNLVELAQSIGDLENLVNLYMTGCINVRELPSSICKLKSLRCLVLRGCSKIKELPTDLGKLEQLRYLNARGTSVTHLPSSCGSLRYLSHLELRQGREVFKSMERIGHSTSSDAILCSLQTLDAPYHSLQHLDLQTGLGSSSSLKCLDLSYSNFDALPFNLFHLSQLRWLKLNNCQNLRVIQNLPITLYHLSAKKCPLLENVQDLSGLGLSVLDLSNCNSLVEFRGVENLVYLDKLDLSHLSQLRHLRLDNCQNLRVIRDLPISLHELSAKNCPLLENIQDLCELLELRHLYLSNCSNLVELPGLENLIDLEYIDIRNCSALSSKSWCVNFFKARFKNPTQFTMKLSKDMVPQYLCSNEVVGCSSSYTLPLFLKKKQILFIVVMISCLFGGWCYVKYKTTAGKELTIGKMLQVHPPHVNDMGLECEVYGYFAEPNEVKKLEVLIEFYLLEHGEEEKLSIETCIVYEEEGVGEVYFLPINLNKAIKFHPHRYRGAREKTAVVTITHC >itb12g21870.t2 pep chromosome:ASM357664v1:12:24076377:24081425:-1 gene:itb12g21870 transcript:itb12g21870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIYGLGGVGKTTLAKAVFNQIYRTFDGSCFLGDVGKEYVNEGHLGLKRLQEQLLCRILNRKRLKVDHVDEGISLIKERLGLKKVLIVVDDVDHESQLDSLVGDRNWFGSGSAIVVTTRNANLLNGFGKDCEKYNVAMLSHEESLQLFSWHAFKNPNPVEPFIELSNMIVSYAGGLPLALTVLGSHFRARSSVQEWSNDFEKLRRIPHNDILKILKISYDALDDDTQGIFLDIACIFTGEYFDTEEIVKILNGCGFFAQSGISTLIDRCLISEDLYMHGLVRDVGREIVRGESPRQPEKRSRLFLRDEVMDVLVNKKGTKAIETMIIDLPNEVHLSTKVFSKMTRLRVLKILSMNVKGPLKYLSNELRLLYWKNCPLRGISSDLCLKRLVSLSMIESNIEEFQPNLQHFRCLEILRLDDCVRLEKGPNFTGAHSLKTLSLNYCSNLVELAQSIGDLENLVNLYMTGCINVRELPSSICKLKSLRCLVLRGCSKIKELPTDLGKLEQLRYLNARGTSVTHLPSSCGSLRYLSHLELRQGREVFKSMERIGHSTSSDAILCSLQTLDAPYHSLQHLDLQTGLGSSSSLKCLDLSYSNFDALPFNLFHLSQLRWLKLNNCQNLRVIQNLPITLYHLSAKKCPLLENVQDLSGLGLSVLDLSNCNSLVEFRGVENLVYLDKLDLSHLSQLRHLRLDNCQNLRVIRDLPISLHELSAKNCPLLENIQDLCELLELRHLYLSNCSNLVELPGLENLVCLEHIHISHNYFDQPQPFNLCLFSQLQDLKLNHCQNLRAIQDLPPSLHILSALNCPLLENIQDLSSLLELGALNLSNCSNLIELPGLENLIDLEYIDIRNCSALSSKSWCVNFFKARFKNPTQFTMKLSKDMVPQYLCSNEVVGCSSSYTLPLFLKKKQILFIVVMISCLFGGWCYVKYKTTAGKELTIGKMLQVHPPHVNDMGLECEVYGYFAEPNEVKKLEVLIEFYLLEHGEEEKLSIETCIVYEEEGVGEVYFLPINLNKAIKFHPHRYRGAREKTAVVTITHC >itb12g21870.t4 pep chromosome:ASM357664v1:12:24076377:24081477:-1 gene:itb12g21870 transcript:itb12g21870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSETNQLLLPSSSSSLPRCWHYDVFLSFRGEDTRKSFTDHLFAALCRAGVHTFRDAEELRKGEDISTDLIKAIQESKISIIVFSKTYASSRWCLEEVVKIVECKEMANQVVFPIFYDVSPSEVRKQTGGFADAFSQHQQRFKPEKVSQWKTALNKVADFSGWNLQNYADGYESKFIDKIVENVLQVVNRTYLNVAKYPVGIHARIRNILSFLQSEANDDVSMIGIYGLGGVGKTTLAKAVFNQIYRTFDGSCFLGDVGKEYVNEGHLGLKRLQEQLLCRILNRKRLKVDHVDEGISLIKERLGLKKVLIVVDDVDHESQLDSLVGDRNWFGSGSAIVVTTRNANLLNGFGKDCEKYNVAMLSHEESLQLFSWHAFKNPNPVEPFIELSNMIVSYAGGLPLALTVLGSHFRARSSVQEWSNDFEKLRRIPHNDILKILKISYDALDDDTQGIFLDIACIFTGEYFDTEEIVKILNVRDVGREIVRGESPRQPEKRSRLFLRDEVMDVLVNKKGTKAIETMIIDLPNEVHLSTKVFSKMTRLRVLKILSMNVKGPLKYLSNELRLLYWKNCPLRGISSDLCLKRLVSLSMIESNIEEFQPNLQHFRCLEILRLDDCVRLEKGPNFTGAHSLKTLSLNYCSNLVELAQSIGDLENLVNLYMTGCINVRELPSSICKLKSLRCLVLRGCSKIKELPTDLGKLEQLRYLNARGTSVTHLPSSCGSLRYLSHLELRQGREVFKSMERIGHSTSSDAILCSLQTLDAPYHSLQHLDLQTGLGSSSSLKCLDLSYSNFDALPFNLFHLSQLRWLKLNNCQNLRVIQNLPITLYHLSAKKCPLLENVQDLSGLGLSVLDLSNCNSLVEFRGVENLVYLDKLDLSHLSQLRHLRLDNCQNLRVIRDLPISLHELSAKNCPLLENIQDLCELLELRHLYLSNCSNLVELPGLENLVCLEHIHISHNYFDQPQPFNLCLFSQLQDLKLNHCQNLRAIQDLPPSLHILSALNCPLLENIQDLSSLLELGALNLSNCSNLIELPGLENLIDLEYIDIRNCSALSSKSWCVNFFKARFKNPTQFTMKLSKDMVPQYLCSNEVVGCSSSYTLPLFLKKKQILFIVVMISCLFGGWCYVKYKTTAGKELTIGKMLQVHPPHVNDMGLECEVYGYFAEPNEVKKLEVLIEFYLLEHGEEEKLSIETCIVYEEEGVGEVYFLPINLNKAIKFHPHRYRGAREKTAVVTITHC >itb12g21870.t3 pep chromosome:ASM357664v1:12:24076377:24081477:-1 gene:itb12g21870 transcript:itb12g21870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSETNQLLLPSSSSSLPRCWHYDVFLSFRGEDTRKSFTDHLFAALCRAGVHTFRDAEELRKGEDISTDLIKAIQESKISIIVFSKTYASSRWCLEEVVKIVECKEMANQVVFPIFYDVSPSEVRKQTGGFADAFSQHQQRFKPEKVSQWKTALNKVADFSGWNLQNYADGYESKFIDKIVENVLQVVNRTYLNVAKYPVGIHARIRNILSFLQSEANDDVSMIGIYGLGGVGKTTLAKAVFNQIYRTFDGSCFLGDVGKEYVNEGHLGLKRLQEQLLCRILNRKRLKVDHVDEGISLIKERLGLKKVLIVVDDVDHESQLDSLVGDRNWFGSGSAIVVTTRNANLLNGFGKDCEKYNVAMLSHEESLQLFSWHAFKNPNPVEPFIELSNMIVSYAGGLPLALTVLGSHFRARSSVQEWSNDFEKLRRIPHNDILKILKISYDALDDDTQGIFLDIACIFTGEYFDTEEIVKILNGCGFFAQSGISTLIDRCLISEDLYMHGLVRDVGREIVRGESPRQPEKRSRLFLRDEVMDVLVNKKGTKAIETMIIDLPNEVHLSTKVFSKMTRLRVLKILSMNVKGPLKYLSNELRLLYWKNCPLRGISSDLCLKRLVSLSMIESNIEEFQPNLQHFRCLEILRLDDCVRLEKGPNFTGAHSLKTLSLNYCSNLVELAQSIGDLENLVNLYMTGCINVRELPSSICKLKSLRCLVLRGCSKIKELPTDLGKLEQLRYLNARGTSVTHLPSSCGSLRYLSHLELRQGREVFKSMERIGHSTSSDAILCSLQTLDAPYHSLQHLDLQTGLGSSSSLKCLDLSYSNFDALPFNLFHLSQLRWLKLNNCQNLRVIQNLPITLYHLSAKKCPLLENVQDLSGLGLSVLDLSNCNSLVEFRGVENLVYLDKLDLSHLSQLRHLRLDNCQNLRVIRDLPISLHELSAKNCPLLENIQDLCELLELRHLYLSNCSNLVELPGLENLVCLEHIHISHNYFDQPQPFNLCLFSQLQDLKLNHCQNLRAIQDLPPSLHILSALNCPLLENIQDLSSLLELGALNLSNCSNLIELPGLENLIDLEYIDIRNCSALSSKSWCVNFFKARFKNPTQFTMKLSKDMVPQYLCSNEVVGCSSSYTLPLFLKKKQILFIVVMISCLFGGWCYVKYKTTAGKELTIGKMLQVHPPHVNDMGLECEVYGYFAEPNEVKKLEVLIEFYLLEHGEEEKLSIETCIVYEEEGVGEVYFLPINLNKAIKFHPHRYRGAREKTAVVTITHC >itb02g17920.t1 pep chromosome:ASM357664v1:2:14229106:14236804:-1 gene:itb02g17920 transcript:itb02g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAKRKLLLILYASQTGNAIDAAERLGREAERRGCPAAVLSVDEFDPISLPNEEIVVFVVSTTGQGDTPDSMKVFWRFLLQRNLSQIWLKGVNYAVFGLGDSSYQKYNFVAKKLDKRLLDLGATPIIERGLGDDQHPSGYEGALDPWMSSLWNVLHQNNPMLFPNGPEYETSNIELLDQPKIKITYHDAYDTDAGNQQFSMPLVADLNFIEKQIVRARSMFPGTHSGKNQPDCFLRMVKNDPLSKVGCGKDVRHFELEPVSSSIQYEVGDVLEVLPGQSAASVDAFIKRCNLNPDSYIRVQPRDKDQSPADNTISTVMLPVRLRTFVELTMDIASASPRRYFFEIMSYFATAEHEKERLKYFASSEGRDDLYQYNQKERRTVLEVLDDFPSVQMPFEWLVQLVPPLKTRAFSISSSLLAHPNQVHLTVSIVSWTTPYKRKRTGLCSSWLAGLDPQQGVLIPAWFHKGSLPPPPPPLPLILVGPGTGCAPFRGFVEERALQSKSGTTTAPIIFFFGCRNEENDFLYRDFWQSHAQNGGVLSEEKGGGFFVAFSRDQPQKVYVQHKMKEQSTKVWNLLAEGAAVYVAGSASKMPSDVLSAFEEIVSKESGVSREAAARWLRALERACKYHVEAWS >itb02g17920.t2 pep chromosome:ASM357664v1:2:14229448:14234773:-1 gene:itb02g17920 transcript:itb02g17920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAKRKLLLILYASQTGNAIDAAERLGREAERRGCPAAVLSVDEFDPISLPNEEIVVFVVSTTGQGDTPDSMKVFWRFLLQRNLSQIWLKGVNYAVFGLGDSSYQKYNFVAKKLDKRLLDLGATPIIERGLGDDQHPSGYEGALDPWMSSLWNVLHQNNPMLFPNGPEYETSNIELLDQPKIKITYHDAYDTDAGNQQFSMPLDLNFIEKQIVRARSMFPGTHSGKNQPDCFLRMVKNDPLSKVGCGKDVRHFELEPVSSSIQYEVGDVLEVLPGQSAASVDAFIKRCNLNPDSYIRVQPRDKDQSPADNTISTVMLPVRLRTFVELTMDIASASPRRYFFEIMSYFATAEHEKERLKYFASSEGRDDLYQYNQKERRTVLEVLDDFPSVQMPFEWLVQLVPPLKTRAFSISSSLLAHPNQVHLTVSIVSWTTPYKRKRTGLCSSWLAGLDPQQGVLIPAWFHKGSLPPPPPPLPLILVGPGTGCAPFRGFVEERALQSKSGTTTAPIIFFFGCRNEENDFLYRDFWQSHAQNGGVLSEEKGGGFFVAFSRDQPQKVYVQHKMKEQSTKVWNLLAEGAAVYVAGSASKMPSDVLSAFEEIVSKESGVSREAAARWLRALERACKYHVEAWS >itb11g02210.t1 pep chromosome:ASM357664v1:11:1107215:1109395:-1 gene:itb11g02210 transcript:itb11g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVLTFGNKKWVGPYASKVGSKWLLDLSCKSPQKPTFYRARFTSQSDRIYGIYRPSLLDYHSTQSKLLTISAQTLSRKKKKFLKAIMVCIRKATIDDLLAMQACNLMCLPENYQMKYYFYHILSWPQLLYVAEDYNGKIVGYVLAKMEEETSECHGHITSLAVLRTHRKLGIATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFKLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKKHHQHQHHHHHHHHHHHHGGGCCSGHVTEEGKNGPPEQKAE >itb15g11730.t1 pep chromosome:ASM357664v1:15:9564287:9565816:1 gene:itb15g11730 transcript:itb15g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMHLQDSPIRIVSEKLKNVKHLSSEACIFKVYKRLRRTNPDAYTPLTISIGPYHHGKPELRKMERFKELYTQSLLERAEGVSVEECWKKLKELEGRAESYYGDEIEEEVSGDEFVTMLMLDGCFIVEFVIRSFLRVLDGGQEYKDDPIYKMMISGMESKILRDILLLENQLPFFVLKAIYDMINPGNLEFSEMVKIAFRSKIPKMNIISLLNTQVNPHEVKHLLQIVHILYQPPPKNNGQIQQQKTCSSSCCFWKQPQGQGGSDIEPQPHNLRNGQDRRMNFACFLCMFGKQPQGGGASDIESNSLSKDEDEELLCSIRTASELQEAGVDFKKVGKIRSTSSDQTISLFDIKFNHGVLEIPSFALYDPTETFFRNLIAYEQHSPYLRSKYFTEYAVFMDDLINTEKDVNLLRLKDVFINKLGNDKEVTQLFNNLGKEVTYSTYDYYYNDVCKELNRHCKKPWNAAMAKLRRNYFNSPWAGISTFAAILLLSLAIAQTVLSALQLCP >itb04g27580.t2 pep chromosome:ASM357664v1:4:31574634:31578786:1 gene:itb04g27580 transcript:itb04g27580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDFAKALQLCNAAIEGELEKAIFNAGGIKDTNFGNLYKIGWARSSRTDKGVHSLATVISLKMEIPEHAWKDDPNGMDLATCVNSYLPESVRVFSILPLQKTFDARKECNVRRYSYLLPAEIIGIKNNSTSSEVDCHLSDFNNILNAFEGEHPFHNYTIRSKYRKQLPTKTKKKNNRGKPSQKKAMSESEESDVDESFATQNVVTTVEERTQNTPPGIHDNLNNLDDQDPILPIRARWLYETDTRDKLSSSHFRKILHCNCGNLEQLHGMNYVEICVCGESFMLHQIRKMVGTAIAIKRNLLPLDVLKLSLNKFSRIVLPLAPSEVLILKSNSFASRTLPGNIARPEVLRLLESEGILEAVDQFYHSTMLPQISRFLDPLASPWREWVALLDANTSIPECQLEEVRTGWKLQMKSKKKPLSTLD >itb04g27580.t1 pep chromosome:ASM357664v1:4:31573970:31578786:1 gene:itb04g27580 transcript:itb04g27580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSLRLSISLRPPTPFRSLQFTSPQKRLTLFVCFCSSSSVAPLPLEPCTTAEVVAPPPSNAPHSISAAKWEPFRKKKVVMRVGYVGSDYRGLQMQRDVHALSTIEGELEKAIFNAGGIKDTNFGNLYKIGWARSSRTDKGVHSLATVISLKMEIPEHAWKDDPNGMDLATCVNSYLPESVRVFSILPLQKTFDARKECNVRRYSYLLPAEIIGIKNNSTSSEVDCHLSDFNNILNAFEGEHPFHNYTIRSKYRKQLPTKTKKKNNRGKPSQKKAMSESEESDVDESFATQNVVTTVEERTQNTPPGIHDNLNNLDDQDPILPIRARWLYETDTRDKLSSSHFRKILHCNCGNLEQLHGMNYVEICVCGESFMLHQIRKMVGTAIAIKRNLLPLDVLKLSLNKFSRIVLPLAPSEVLILKSNSFASRTLPGNIARPEVLRLLESEGILEAVDQFYHSTMLPQISRFLDPLASPWREWVALLDANTSIPECQLEEVRTGWKLQMKSKKKPLSTLD >itb14g00360.t1 pep chromosome:ASM357664v1:14:232352:234475:1 gene:itb14g00360 transcript:itb14g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTTFNIMLLLLFLLVIFRFEFCYSNNNSAAGCLEGERISLLQFKKSLVDRSNRLSSWTGLDCCKWEGVSCSSTTGHVLKLDLHNLQATYDDYIGKYCNNCLEGEINQSLINLTHLDYLDLSLNNFSGIKIPEFLGSFKNLKYLNLSSSGFVGSIPTHLGNLSSLEHLNLGTSLLGSYNALTTDNLNWLASLFSLKSLDMSGISICRDENWLSTINNLMSLSSLNLENCDLNTTNPPSHVNSTSLISLDLNRNSLDSTVLPWLSNLTGLEHLNLFGNQFIGKQILLCKLLNLVSLDLGANHFNGLIPNCFGNLTSLTSLSLRENSFTGSIPNSLSHLCSLQFLDVSENKLTGFIRVPSDCPFYRLKDLILQDNNFKGEIPNWLYKCKNLESLSLYSNSFSGPIADSIGNLSMLNGLFIGGNKFTGSVPPSIGELSNLVGLDISDNLFTGVVSESHFSKLSKLIQLNISSNLFVLNLSSNWVPPFQLQGIFMEFVKIGPHFPHWLRTQRRVQFLIMYNASISDVIPDWFERFFWNCDDIDLSKNDISGELLTKSNGEGYKKTLIWFLHLSTNHLTGEIPKWLCSLKDLQLLDLSTNKFYGEIPPCLGKLQNLEVLDLANNNLYGQIPNLFGSLYSLKSLSLRNNRLEGELPLSLQNLRDLILLDLSENELVDVIPAWIGEKLSKYKLSYTSCKSFLWGYSATTLSIT >itb06g12160.t1 pep chromosome:ASM357664v1:6:16727822:16729401:-1 gene:itb06g12160 transcript:itb06g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFGRSNRRGRFELLSDFWIALQLSAYKSCPLCESTSGSVSMASSGVPFDVIISTKELHKQRVEEQKELVCSLLKNLEDLKLTLRTKDQNLEELKTKNIELDAELQEHKLNFDRLSKNFQDMLLEIEKEFEQPMSRLGGLQRMGYEQEIKRNSQKQHVRLSKRKITENFKRIKDIESKIAAARSLLMHAVRIVK >itb01g11980.t1 pep chromosome:ASM357664v1:1:11358396:11364843:-1 gene:itb01g11980 transcript:itb01g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNFSASSQKKKRFSDPFFESEGGDNKRRRKFAGDDDIESSDDDKEYLSGGEEDEEDNEVEETAAEKKKRLADAYLEKIRAIASRDEEDTQSGDERDREEIEGKRDSLVAKILQQEQLEESGRIQRAIASRIQTPEPGEGFRVLLKHRQAVTAVALSENDLKGFSASKDGTIVSWDVESGKKEKYSWPSDEVLKSHGAKDPQGRASKHSKHVLALAISSDGRYMASGGMDRHVHLWDVRTREHIQTFPGHKGPVSCLTFRQGSSELFSGSFDRSVKIWNVEDRAYVNTLFGHQAEVLTIDSLRKERVLTVGRDRTMHLFKVPEESQFIFRASASSLECCCFINNDEFLSGSSDGSIEHWTAKKKKPIHIVKNAHTSLIEQRSTGMLSNGDIGNVALNPESVSSSALSWVSSVAVCRSSDLAASGAGNGLIRLWKVEGESKGICPLFEIPLAGFVNSLAFAKSGRFLVAGVGKEPRLGRWGRIANAQNGVAIHSFKHM >itb14g16750.t1 pep chromosome:ASM357664v1:14:20087819:20088560:-1 gene:itb14g16750 transcript:itb14g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb01g06000.t1 pep chromosome:ASM357664v1:1:4212101:4216104:1 gene:itb01g06000 transcript:itb01g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFLSLPKSAAICILVFLLFAPGGNATGCYESIISFGDSLADTGNLVRMWNSFNISALLPYGETFFHRPTGRFSDGRLIIDFIAQSLGLPFLRPYLSAEDDGGEEINFEKGVNFAVAGATALDISFFEERGIYNAMTNTSLGTQLDWFQESFCTNTHSADCKEKLQSSLVLMGEIGGNDYNYAFMQGIPREEISSFVPKIISTISSAITKLIEFGAQSVVVPGNFPIGCLPLYLFFFKGSNQSEYDSKTGCINWLNEFSMYHNEQLKRELSRLRELHPNTTIIYADYYNAALDLYISPSNYGFNNTLSACCEGCMLSKSSTVCDDPSSFVNWDGIHFTEAAYEAIAQGLLHGPYTSPNVYEFCILKGSRGQTSSDHLRMNI >itb14g17740.t1 pep chromosome:ASM357664v1:14:20947906:20950186:1 gene:itb14g17740 transcript:itb14g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCTVAISSSPVFSPSRVSISSSLPYKAAAGSSSSPDALTRIQSPSGAASSSSSPSSPLRILRLQKPPPSGLNRASSECSAPTSPTVLKRKRPARLDIPIVSMGFGNVPPTPAAMESAVEFESDEFSVCCKRGRRGLAMEDRYSAKVNLHHDSKQAVFGVFDGHGGAKAAEFAAENLDMNIVDEVEKRGDDNTEEAVKWGYLNTDSEFLKQDLRGGACCVTALIRKGNLVVSNAGDCRAVVSSGGIAEQLTSDHRPSRTDEMGRIEASGGYVDYCHGVWRIQGSLAVSRSIGDCYLKQWVIAEPETRILPLTPELEFLILASDGLWDKVSNQEAVDAVRPLCTGSEKPQLLSACRKLVELSVSRGSFDDVSVMLVQLQKFQ >itb14g17740.t2 pep chromosome:ASM357664v1:14:20948018:20950186:1 gene:itb14g17740 transcript:itb14g17740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCTVAISSSPVFSPSRVSISSSLPYKAAAGSSSSPDALTRIQSPSGAASSSSSPSSPLRILRLQKPPPSGLNRASSECSAPTSPTVLKRKRPARLDIPIVSMGFGNVPPTPAAMESAVEFESDEFSVCCKRGRRGLAMEDRYSAKVNLHHDSKQAVFGVFDGHGGAKAAEFAAENLDMNIVDEVEKRGDDNTEEAVKWGYLNTDSEFLKQDLRGGACCVTALIRKGNLVVSNAGDCRAVVSSGGIAEQLTSDHRPSRTDEMGRIEASGGYVDYCHGVWRIQGSLAVSRSIGDCYLKQWVIAEPETRILPLTPELEFLILASDGLWDKVIDLELDLSHRISMIYLVTSLLIWISGLN >itb08g14910.t1 pep chromosome:ASM357664v1:8:16807597:16810696:-1 gene:itb08g14910 transcript:itb08g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYWNNERGWEWENMSPLPVTIKQHLQLINLNASDGEDENYWMRESTGKFSVSSTYSLTHRRLLQRCMGETETADHILRHCKEAEELWSAFVPRNGRRSWRWMDFKSWIQSNITGTPTGYDNTDWPNQFTTIVWWLWRWRCDRVFNGRHVPMHHKKYWVKEAVEEIDRAFAHSTLARGIRIAELRWCASSDHRFTLNVDGSVKAGHNRASFGGVIRNQRESGEYRLDLIVSYGEVPVIVPQVARVHMLLDSFEPIHGVLLCEGEDLDPSLYEAEARATDLSPEEMEEIWRLHASDTALTKKKRKRHERAPVGRGLPEDIKTPLWLMFFPSPKQNSFICSL >itb12g11220.t1 pep chromosome:ASM357664v1:12:9421886:9425853:1 gene:itb12g11220 transcript:itb12g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAISRNSSQLRNLCRFFVSSYSSSNSFNTWRSTSNSSRLFHGVSDAPRHVQTLLPFSHLDVGLGQSEAGFSCYSSRIRMLCTTAPSSNQSNSGSSEGSSGKSESSDGSQKTSEQGKPVRGSPVSWMSFLLLLGTGAGLVYYYDREKKRHIEGINSASNAVKQGPSVGKAAIGGPFNLIDHNGKPVTEKDFLGKWIMLYFGFTHCPDICPDELQKLAEAIDKIKSKSGLEVVPVFISVDPERDTVEQVREYVKEFHPNLIGLTGNPEEIKKAARAYRVYYMKTEEEGSDYLVDHSIVMYLMDPKMEFVKFFGKNNDVDSLADGVIKEIKQYKKVKT >itb01g24290.t1 pep chromosome:ASM357664v1:1:29955664:29962482:1 gene:itb01g24290 transcript:itb01g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSLINMRAFDWKAGQTIMVSLLVMIGSFYTGTLFSNTGSSSSPLYTLQQLPQQEQQIAVSSNPNSSQTPSGSPTFTNKVALTYRMVPLTIPETGVNVCPLKFNEYIPCNDASYVKELLPKLDRSRREELERHCPPLKRRLFCLVPPPIDYKIPIRWPISRDYVWRSNVNHSHLAEVKGGQNWVHEKDKLWWFPGGGTHFKNGASEYIQRLGNMTTNEKGDLPSAGVFQVLDVGCGVASFSAYLLPLNIETMSFAPKDGHENQIQFALERGIGAVIAALATKQLPYPSNSFEMVHCSRCRVDWHENDGILLKEVNRLLRSNGYFVYSAPPAYRKDKDFPDIWNKLVTITSAMCWKLIAQQVQTAIWVKQENNSCLQHSAEQHLVNLCDSADDSKPSWKTPLRNCVTLSNTKSNSKKLPPRPQRLSEYSQSLVQIGVDREKFLSDTIYWQDQVRHYWRLMGVEENQIRNVMDMNAFLGGFAVALNTWPIWVMNVVPVTMNNTLSAIYDRGLVGTFHDWCEPFSTYPRSYDLLHANHIFSHYTNYEEGCLLEDIMLEMDRMIRPQGFIIIRDEEALVSRIKDLAPKFLWDAQLHFLEDNKRKMEQVLICRKKFWAIV >itb09g26080.t1 pep chromosome:ASM357664v1:9:26224869:26227078:-1 gene:itb09g26080 transcript:itb09g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKKEVVAAARPLQEHYLPHSNLDLLFPPVDVGVFLCYQKPAAASDQTTTDEHYATFGWMVNTLKAALSEALVSFYALAGEVEQNLAGEPELICNNRGVDFVQAFADVELRKLNLYSLDDSVEGKLVPKKKHGVLVVQATELKCGGIVVGCTFDHRVGDAYSTNMFLVSWSEIAQAKQPSQLPCFRRSLLFPRRPGHYDLSVANMHLQSQANDYSDNDAADKLKHPRCTKVEAFCVFLWKTVASGESRRNFKNFRLGIVVDGRTSLSSGDQNKGKCFEGYFGNVLSIPFGEKRIEELGENPLAWVASAVHEFLEEAMTSEHFLGLIDWVEAHRPEPAVAKVCARSGDGAALIVSSGQRFPVRQIDFGWGKPAFGSYHFPRGGQSGYVMPMPSPKGNRDWIVYMLLEKEQVALIETYASHHVFKPFTSNHLNFN >itb10g17480.t1 pep chromosome:ASM357664v1:10:23677524:23681093:-1 gene:itb10g17480 transcript:itb10g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPLTTHTQVVSGWAAHDPSGKIVPYTFKRRENGPNDVTIKVLYCGICHTDLHFAKNDWGITVYPVVPGHEITGIVTVVGSQVTNFKIGDRVGVGCMAATCLECDFCKQSQENYCDKMQLTYNGIFWDGSITYGGYSKSLVADYRFVVHVPESLPMDRAAPLLCAGVTVFCPLKDNNLVGNPGKRIGIIGMGGLGHLGVKFGKAFGHHVTVISTSPSKEKEAKERLGADDFILSTDADQMQAKKRSIDFILDTVSAKHSLGPYLELLKVNGTLVLVAAPDNPVDLPSFPLIFGKRTVKGSVIGSMEETQEMLNICAKFNILCDIESVTPDKINVAFDRLVKNDIKYRFVIDIAGNPTSSL >itb09g18740.t1 pep chromosome:ASM357664v1:9:14735336:14739407:1 gene:itb09g18740 transcript:itb09g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHYLQNIKPLMHSIARSHQSSAPEHPLNDPNMVENQQKQLEKMSHLFDQVKEDSLKGLVLIDTMQRFGMDHYFEDQIHESLKQQYQDSQTLAYNNEDELYAVSLRFRLLRQQGFHVPADVFNSFKGENMKFKYALSEDIRGLMALHEASHLSMEDEDILDEASIFTTHFLTEKLPHLDDRHALIAQNTMHYPYHKSLARFTTMNYLKNQDLKNEWEKLLADFAIMDFNLVQYIYHEEILQVFKWWKGLGVSEELKLARNQPLKWYIWSMAVVKDPCLSKQRIEITKPISLVYLVDDIFDIYGTLDELILFTEVINRWEFSAADKLPSYMKMCLKIIHDTTHEISNVVYQEFGWSPMDYLKEAWASLCNAFLTEAKWFASGDSPKAEEYLKNGIISSGVPMVLTNLFFLLGYGESTGTRDIEDIISSVAAILRLLDDLGTAKDEEQEGKDGSYVEYYIKEQQDWSLGDGRQHVIDMVSQQWKLLNKHCISPTPIPTPFRTACLNAARLVPMMYTYNDKHRLPVLEEHVKFMFSNIMEDLMW >itb15g15410.t1 pep chromosome:ASM357664v1:15:14313373:14322187:-1 gene:itb15g15410 transcript:itb15g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTVNLKHLQRCDNCSSGRTCYLLLQERSLQDDKFSITTYLCYLFYAPLYIAGPIISFNAFASQLDTPQKTYRLQDVVWYGFRWVFSLLLMELMTHFSYFNAFAVSGMWRYLSPMDVFIIGYGVLNFMWLKFFLIWRYFRFWSLVNGIEPPENMPRCINNCYSLETFWKNWHASFNKWLVRYMYIPLGGSGRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPEMIVKSTANAFKVESAFGEFFYYELNAVAGAVTITCLMVANLVGFVIGPSGINWLTSAFLQKEGLPVLFGMFVTFYVGTKLMLHISDSKKRRHEK >itb15g15410.t3 pep chromosome:ASM357664v1:15:14313373:14322187:-1 gene:itb15g15410 transcript:itb15g15410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTVNLKHLQRCDNCSSGRTCYLLLQERSLQDDKFSITTYLCYLFYAPLYIAGPIISFNAFASQLDTPQKTYRLQDVVWYGFRWVFSLLLMELMTHFSYFNAFAVSGMWRYLSPMDVFIIGYGVLNFMWLKFFLIWRYFRFWSLVNGIEPPENMPRCINNCYSLETFWKNWHASFNKWLVRYMYIPLGGSGRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPEMIVKSTANAFKVESAFGEFFYYELNAVAGAVTITCLMVANLVGFVIGPSGINWLTSAFLQKEAYVTYL >itb15g15410.t2 pep chromosome:ASM357664v1:15:14313298:14322187:-1 gene:itb15g15410 transcript:itb15g15410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTVNLKHLQRCDNCSSGRTCYLLLQERSLQDDKFSITTYLCYLFYAPLYIAGPIISFNAFASQLDTPQKTYRLQDVVWYGFRWVFSLLLMELMTHFSYFNAFAVSGMWRYLSPMDVFIIGYGVLNFMWLKFFLIWRYFRFWSLVNGIEPPENMPRCINNCYSLETFWKNWHASFNKWLVRYMYIPLGGSGRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCIFFIPEMIVKSTANAFKVESAFGEFFYYELNAVAGAVTITCLMVANLVGFVIGPSGINWLTSAFLQKEGLPVLFGMFVTFYVGTKLMLHISDSKKRRHEK >itb13g08350.t1 pep chromosome:ASM357664v1:13:10594512:10602006:-1 gene:itb13g08350 transcript:itb13g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPFVYQSPQDAVTPFQILGGEAQIVQIMLKPQEKIIAKPGSMCYMSGSIQMENIYIPENEVGLTQWLFGKSVTSIVLHNTGTTDGFVGIAAPSLARILPIDLAMFGGEILCQPDAFLCSINDVKVNNTVDQRARYMVATAEGFLRQKISGQGLAFIVGGGSVVQKNLEVGEVLCVDMSSIAAVSATVNVQVKYNGPMRRVVFGGDNLVTAVLTGPGIVFIQSLPFHRLSQRIARAVTSPNMRDNPKFFLQIAIFFFLAYVVVVSSLILTDI >itb13g06530.t2 pep chromosome:ASM357664v1:13:7838506:7839711:-1 gene:itb13g06530 transcript:itb13g06530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMRNGFQEAMIKMASEDKDKVEITKEHPLYINAQGALGTEFAAIVRSRGGKCKPINFDEVDVNEEWPTEVGTSSTLLDDSWLENFPLKCRDTLRPLGRQSPEAVEEDRFFC >itb13g06530.t1 pep chromosome:ASM357664v1:13:7838506:7839711:-1 gene:itb13g06530 transcript:itb13g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMRNGFQEAMIKMASEDKDKVEITKEHPLYINAQGALGTEFAAIVRSRGGKCKPINFDEVDVNEEWPTEVGTSSTLLDDSWLENFPLKCRDMPIFQGVMSLVGLASDTLRPLGRQSPEAVEEDRFFC >itb06g14890.t1 pep chromosome:ASM357664v1:6:19315835:19317411:-1 gene:itb06g14890 transcript:itb06g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPQRSQPFRALRRAPSRCNSGRFPVSLRIYCSTTTTTTTTKPPGQPRPLVVEPPVVGLEPRLSTNAAEFVSTAKTLLNDPSLKSTWSHRAWVACGCTTVLVSLAKSVAGATVSHAWFEPILAGYIGYVLADLGSGVYHWGIDNYGSAKTPVFGTQIDAFQGHHKWPWTITRREFANNLHALAKAVTFAVLPMDLLCNDPVFHGFVGVCSGCIMFSQQFHAWAHGTKSKLPPLVVALQDAGILVSRSQHAAHHRPPYNNNYCIVSGAWNAVLDELKVFEALELVLFFRLGVRPRSWSEPDSEWTEEEEPEPASLLT >itb05g21420.t1 pep chromosome:ASM357664v1:5:27177483:27178665:-1 gene:itb05g21420 transcript:itb05g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLVAIGVMALASSFANASDPSPLQDFCVAIDDPKDALFVNGKFCKNPDEVNADDFFFRGLNKPGDTSNPLGSKVSAVNVANLTGLNTLGISLARIDFAPYGLNPPHTHPRATEVIAVLEGTFYVGFVLSNPAPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGKTPAVLFAALSSQNPGVITIANAVFGSDPPINPYVLTKAFQVDDKVIKYLQSQFWYDNN >itb08g09160.t1 pep chromosome:ASM357664v1:8:8400116:8402357:1 gene:itb08g09160 transcript:itb08g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLITFILSNGQCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSQDEEQLVIDLHARLGNRWSKIASKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLIKDTTNPTSDKGNGQLQVQVVPEGTPPTAPNLLTSEDLSSSCSTSENSSITSTNDESQLVLDTMSVNDPLLSSLLENNAPPVDLTWNLSDDHMIFDNLTIPKLDENFAWLMDGQDFDIHDFGYECSNNLDVNILSTVNT >itb13g23580.t10 pep chromosome:ASM357664v1:13:29526743:29530494:-1 gene:itb13g23580 transcript:itb13g23580.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t4 pep chromosome:ASM357664v1:13:29526743:29531410:-1 gene:itb13g23580 transcript:itb13g23580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t2 pep chromosome:ASM357664v1:13:29526743:29531410:-1 gene:itb13g23580 transcript:itb13g23580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t5 pep chromosome:ASM357664v1:13:29526743:29531330:-1 gene:itb13g23580 transcript:itb13g23580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t6 pep chromosome:ASM357664v1:13:29526743:29531270:-1 gene:itb13g23580 transcript:itb13g23580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t3 pep chromosome:ASM357664v1:13:29525863:29531410:-1 gene:itb13g23580 transcript:itb13g23580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t9 pep chromosome:ASM357664v1:13:29526743:29531410:-1 gene:itb13g23580 transcript:itb13g23580.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t1 pep chromosome:ASM357664v1:13:29525863:29531270:-1 gene:itb13g23580 transcript:itb13g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t11 pep chromosome:ASM357664v1:13:29526743:29531336:-1 gene:itb13g23580 transcript:itb13g23580.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t8 pep chromosome:ASM357664v1:13:29526743:29531410:-1 gene:itb13g23580 transcript:itb13g23580.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb13g23580.t7 pep chromosome:ASM357664v1:13:29526743:29531336:-1 gene:itb13g23580 transcript:itb13g23580.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPADIKEREVEDLFYKYGRILDIELKIPPRPPCYCFVEFENALDAEDAIRGRDGYNFDGCRLRVELAHGGRGPPSSSDRRGGGGYGGGGGGGGGGGGGGGRYGVSRHSEYRVIVRGLPDSASWQDLKDHMRKAGDVCFAEVTNDSEGTFGLVDYTNYEDMKYAIRKLDDSEFKNPWTRTYIRVREYKRGSSRSRSRSRSPRRKRSKSADRSRSRSPRPRSRSASPIKSSRPISRSRSRSRSGSRPRSGSASPHQARANSG >itb01g34610.t1 pep chromosome:ASM357664v1:1:37212499:37214298:1 gene:itb01g34610 transcript:itb01g34610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALTGEDKEIRMSDSLAGYNPLEDLDQAAVAAAQDLQHGHDSKYGGATNISPAAAAGGGGVFKSKLSTTAGAPRARYRECLKNHAASIGGNVTDGCGEFMPSGEEGTLEALKCAACNCHRNFHRKERAGGGDGILVVHPLQLPGAPPMPSPSSINHHHHRNSPWGAPTSLAAQPVKMAFGSGGGGGSAATDSSSEELNFNAYQSSSAIPSQPGLILAKKRYRTKFSQEQKDKMLEFAEKLGWRIPREDDTQLQTFCAEVGVKRQVFKVWMHNNKNSAKKNTPQQEQDHQQPPGIAGI >itb01g04580.t2 pep chromosome:ASM357664v1:1:3095882:3098485:1 gene:itb01g04580 transcript:itb01g04580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFLEFCRQDNERGDRSYGSMVQEDLNYGVQQTLTVIPSSTQELSTSNFPITSYNYPPNLLKSMFDDADPHHHQQCLISNQPVISYAPPTTAAMTNYGQNLSDFSPSPPKFPSLLAPTNHHLQFPSSISLWNSPLTSCSFSSPTFTDKKPVITAKSNGINKEPRDSSCLANKSSTAEPDFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLITPYKKNGSPSDQHCQQNTEEVLDEEGLSKQDLRSRGLCLVPMSSTFPVAAEATTDFWTPTFGANFR >itb01g04580.t1 pep chromosome:ASM357664v1:1:3095395:3098485:1 gene:itb01g04580 transcript:itb01g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFSTAAVCGGGWWNPTRNMFGSSLCSASMNDIGSFAWPPSNHGAEVTAEVKGRPIDLLGLSPSITETPATDDWNQVLMQDNERGDRSYGSMVQEDLNYGVQQTLTVIPSSTQELSTSNFPITSYNYPPNLLKSMFDDADPHHHQQCLISNQPVISYAPPTTAAMTNYGQNLSDFSPSPPKFPSLLAPTNHHLQFPSSISLWNSPLTSCSFSSPTFTDKKPVITAKSNGINKEPRDSSCLANKSSTAEPDFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLITPYKKNGSPSDQHCQQNTEEVLDEEGLSKQDLRSRGLCLVPMSSTFPVAAEATTDFWTPTFGANFR >itb12g21470.t1 pep chromosome:ASM357664v1:12:23824937:23825461:-1 gene:itb12g21470 transcript:itb12g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLCLDLKRIPEMGILKVAVTAMQPLGCIPSVAFSTGKYPTCDENSNTITRFHNLMFKQKVEKLNDQTEGSPFVIVDLYAAFTSAMNIQHNHPGKSSFPHPLLPCCFGKCGGVDENGKKEYGLCDDPKMAFFWDSEHPSQQGWFAVYSALKSSLPHLFQHRPQIWGCFELYFV >itb05g24540.t2 pep chromosome:ASM357664v1:5:29293036:29294708:-1 gene:itb05g24540 transcript:itb05g24540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLSSEAGLRIDNVDVHAAQDLLTRGHRYLDVRTEEEFKEGHVKNALNIPYMIINKSLGRVKNPKFMNQVLSAIGKEEKLVVEFKHVCNMEGGYDAWVKKGLPVHYPNKTEL >itb05g24540.t4 pep chromosome:ASM357664v1:5:29293036:29294451:-1 gene:itb05g24540 transcript:itb05g24540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSWISGVALLVALFCSSEAGLRIDNVDVHAAQDLLTRGHRYLDVRTEEEFKEGHVKNALNIPYMIINKSLGRVKNPKFMNQVLSAIGKEEKLVVEFKHVCNMEGGYDAWVKKGLPVHYPNKTEL >itb05g24540.t1 pep chromosome:ASM357664v1:5:29293036:29294708:-1 gene:itb05g24540 transcript:itb05g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLSSEAGLRIDNVDVHAAQDLLTRGHRYLDVRTEEEFKEGHVKNALNIPYMIINKSLGRVKNPKFMNQVLSAIGKEEKLVVGCKSGVRSMHATKDLRNEEFKHVCNMEGGYDAWVKKGLPVHYPNKTEL >itb05g24540.t3 pep chromosome:ASM357664v1:5:29293036:29294506:-1 gene:itb05g24540 transcript:itb05g24540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSWISGVALLVALFCSSEAGLRIDNVDVHAAQDLLTRGHRYLDVRTEEEFKEGHVKNALNIPYMIINKSLGRVKNPKFMNQVLSAIGKEEKLVVGCKSGVRSMHATKDLRNEEFKHVCNMEGGYDAWVKKGLPVHYPNKTEL >itb15g10750.t1 pep chromosome:ASM357664v1:15:8475857:8483638:-1 gene:itb15g10750 transcript:itb15g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWPKPVQNIPSNLRLSTPNNSKEHGNKTPVKSPRTPAGKTPPAASPARNGEGGGAANKMMREDGGGDAPAAASGKTVTPSLKMFTLAELKSATRNFRPDTVLGEGGFGRVFKGWVDEKSLAPSKVGVGMPVAVKKSNPDSEQGIREWQAEVKFLGKFSHPNLVKLIGYCWEEKLFLLVYEHMQRGSLESHLFRKGGEILPWELRMKIALGAARGLAFLHTTEKQVIYRDFKAANILLDGDYNAKLSDFGLAKSGPEEGATHVTTRVVGTYGYAAPEYMATGHLYVRSDVYGFGVVLLEIITGLRVMDLNRPGGQHNLVDWAKPILPDKKKLRKIIDPRLEGQYPSKAVFQISELIMKCLEPDPKVRPHMEEVLGNLEEINAIKMRSRDHKPNQSTSHHRHGADHRSPLHPKKTISGNAIGRQECRLPAINRSY >itb12g03850.t1 pep chromosome:ASM357664v1:12:2520355:2524631:1 gene:itb12g03850 transcript:itb12g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPSSEDSASDGSIWGWIVLKGTAASFLCISLFALLVRIAVSLHSYSGAGTPPRYGDYEAQRHWMEITINLPVKEWYRNSTVNDLMYWGLDYPPLTAYQSYIHGLFLRFFDPQSVALYTSRGYESYIGKLLMRWTVLSSDALIFFPAVLYFINVYYYGKPVQRKSSLAWHIAMLLLNPCLILIDHGHFQYNCISLGLTMAAISAIFSDRDLVSSVLFVLALNHKQMSAYFAPAFFSYLFGKCLRQRNPLLEISKLGLVVLGTMAVIWWPYLYSKEAPLEVLSRLAPFERGIYEDYVANFWCTSSVLVKWKILFSSQALRLLSLAATISSCIPSMMMLILVPSKQNFLYGLLSSALSFYLFSFQVHEKSILLPLLPASILAWEKPFIFRWLTSFAMLSMFPLLRRDELILPYGALYGLFVILYYAPGGKLHRSETNTFHATLKSLLLVCSLILHIVYLMVTPPNRYPFLFEAIIMLLCFSQFVFIFVYSNTIQYTMLKLNSQVDNDKKNL >itb05g27890.t2 pep chromosome:ASM357664v1:5:31059241:31062459:-1 gene:itb05g27890 transcript:itb05g27890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSIESSRTVATGADFLLSDEVLSVIPTDPYEQLDLARKITSMAIASRVTKLESEVGRLREMLHHKDRAIVELEDKVSNLEQAYQETELRLKITREDNMKLLKEKNSLTLTAKKLGRDLEKLETFKRQLMQSLNDDNSTQSETVDIGTYDQSVAKPYHAKDEAVNCYITQHPYNGSKESIGVTDDASKQAIQRFSVTPYITPRLTPTGTPKVASASVSPRRYSAAGSPHRTSGTTSPTKFQYEGRGSMSSFFPSSQQSSAANSPPRVRPPMSAQTPRIDGKEFFRHARSRLSYEQFSAFLANVKEFNSHRQSREDTLRKAEEIFGKDNKDLYLLFQGLLNRSAR >itb05g27890.t3 pep chromosome:ASM357664v1:5:31059241:31062467:-1 gene:itb05g27890 transcript:itb05g27890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSIESSRTVATGADFLLSDEVLSVIPTDPYEQLDLARKITSMAIASRVTKLESEVGRLREMLHHKDRAIVELEDKVSNLEQAYQETELRLKITREDNMKLLKEKNSLTLTAKKLGRDLEKLETFKRQLMQSLNDDNSTQSETVDIGTYDQSVAKPYHAKDEAVNCYITQHPYNGSKESIGVTDDASKQAIQRFSVTPYITPRLTPTGTPKVASASVSPRRYSAAGSPHRTSGTTSPTKFQYEGRGSMSSFFPSSQQSSAANSPPRVRPPMSAQTPRIDGKEFFRHARSRLSYEQFSAFLANVKEFNSHRQSREDTLRKAEEIFGKDNKDLYLLFQGLLNRSAR >itb05g27890.t1 pep chromosome:ASM357664v1:5:31058940:31062467:-1 gene:itb05g27890 transcript:itb05g27890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSIESSRTVATGADFLLSDEVLSVIPTDPYEQLDLARKITSMAIASRVTKLESEVGRLREMLHHKDRAIVELEDKVSNLEQAYQETELRLKITREDNMKLLKEKNSLTLTAKKLGRDLEKLETFKRQLMQSLNDDNSTQSETVDIGTYDQSVAKPYHAKDEAVNCYITQHPYNGSKESIGVTDDASKQAIQRFSVTPYITPRLTPTGTPKVASASVSPRRYSAAGSPHRTSGTTSPTKFQYEGRGSMSSFFPSSQQSSAANSPPRVRPPMSAQTPRIDGKEFFRHARSRLSYEQFSAFLANVKEFNSHRQSREDTLRKAEEIFGKDNKDLYLLFQGLLNRSAR >itb05g00050.t1 pep chromosome:ASM357664v1:5:22153:22765:1 gene:itb05g00050 transcript:itb05g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQQNVKPSLAGLPRREGAIHCPYYTKTGTCKYGATCKFNHPPPGVVICIPSQQSRGFGLLPLLALTTL >itb13g07930.t1 pep chromosome:ASM357664v1:13:10164269:10165475:-1 gene:itb13g07930 transcript:itb13g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPANIKHQLQLITVNDTNGEDESFWIGEPIGKISVRSVYSLLHGFSSNLQGKTWSTLWKLKVPNKMKTLLWVSLHDRVMGNAERKRRGITNDGSCGICMDEMESADHILRSCKQAEDLWMAFVPRSERRRWRQMEFKRWIETNITRSMDGYEGNDWARHFAILTWWIWRWRCDRVFTGREVMVHHKIAWIKEAVEEIDRVFDRSSLTRGIRTIHLRWSASPDHRFTLNVDASVRTGYNKAGVGGVIRNCKGEWVEGSPPPFQM >itb09g06680.t1 pep chromosome:ASM357664v1:9:3833097:3837441:1 gene:itb09g06680 transcript:itb09g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGETVLSDRVRINGIETPVTLTAAGQLRWSARSLWIEKEVLGFSVEGSRIKIRAVVISEAGICCCENKTVLLRKTFTLEPFSEESLLLWSQKLQEFIDSLDRPKRLFIFLNPYGGKKSASKIFLDEVKPLLEDANIEYALQETKYQLHAKEVIRNLDLSKYDGVVCVSGDGILVEVVNGLLEREDWKTAIKISLGVIPAGTGNGMVKSLLDSVGEPCSASNATLAIIRGHKRALDVATISQGQTKFFSVLMLAWGLIADIDIESEKYRWMGSARIDFYGLQRLFSLRRYNGCIRFVPAPGHEVHGEPTEHVEVYSNGGPKSGYSGPSDVDAQKSNWRKIDGPFVSVWLHNVPWGSEDTMAAPDAKFSDGYLDLILVKDCPKLALLSVMTELNNGGHVKSPYVFYFKVKEFVLEPGARAEDPSKDGIIDVDGEVVARGRGTYKCEERTLMAYDKLHIKMDQGLATIFSPI >itb09g06680.t2 pep chromosome:ASM357664v1:9:3833466:3837422:1 gene:itb09g06680 transcript:itb09g06680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGETVLSDRVRINGIETPVTLTAAGQLRWSARSLWIEKEVLGFSVEGSRIKIRAVVISEAGICCCENKTVLLRKTFTLEPFSEESLLLWSQKLQEFIDSLDRPKRLFIFLNPYGGKKSASKIFLDEVKPLLEDANIEYALQETKYQLHAKEVIRNLDLSKYDGVVCVSGDGILVEVVNGLLEREDWKTAIKISLGVIPAGTGNGMVKSLLDSVGEPCSASNATLAIIRGHKRALDVATISQGQTKFFSVLMLAWGLIADIDIESEKYRWMGSARIDFYGLQRLFSLRRYNGCIRFVPAPGHEVHGEPTEHVEVYSNGGPKSGYSGPSDVDAQKSNWRKIDGPFVSVWLHNVPWGSEDTMAAPDAKVICFHLFSLKTQRVLVNYLLSQP >itb01g34030.t1 pep chromosome:ASM357664v1:1:36920854:36921180:1 gene:itb01g34030 transcript:itb01g34030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKLNEKKPEEVVLTGQNGSFKVKIHECKDERLCFTEGWDEFVRQHGIALKDFAVFKHIGSFHFTVILFDQTCCQKDVHVNPETSDDSGLSFSASLTFAHIFTANQN >itb15g16610.t1 pep chromosome:ASM357664v1:15:16280705:16283341:-1 gene:itb15g16610 transcript:itb15g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEALPDDHEKNLFLDIACFFAGKDKDYTVQILDGCDYYTTAGIQNLVERCLLAITPENKLIMHQLLQETGKDIVCRESITNAGNRSRIWQHNDSLTVLREETGTEFVEGLVLKLDELKDYNADKVGINSKRPHCNDVSDPSSTLVFGGNLSKRHCLGFCSWIPVRSALATATIRANEGDLTTRALLRMHDLRLLELYNVRLSGVYDGFPKKLRWLCWHGFQLNSMPNSIPLDNLVVLEMQNSSMQQIWEGTKSLRSLKVLDVSHSHFLLKTPDFSGLPSLEKLILEDCTSLVKVHDSIGGLHKLSVLNLSGCRSLRMLPKRIGELKSLEVLKLSRCSELGFAKWTDSFRLTYFWSWTWVSLRPKSGSDILELLQHNLRVLDLSDCNLTEKTIPNDLSVLSSLQYLNLSKNPISKLPESIRSLTMLLSLMLSSCTRLQSIPKLPSRLRILNANGCRSLERIANLPNFLKSLDLKLEDCGKLVEVEGIFMLKHIQDMDEMFNIWSLCDIKPEAEGSAVGVELHNSLTSTTTKAPPVQGLYEFGIFSMFLPGSKVPRKFNKKSIGSPISFSIPSGCSVEMHGLNICVVYEQCSEELCGESLYITVSNKSKGIKWIYSPVLSAVTGPRNTVVWLSHWKFGNQMERGDEVIVSPSVKCVVREFGVEVSYSESEEKWIQQHYSSHLHHAVDGDLSVFEMNPDVYLLSLKS >itb04g00850.t1 pep chromosome:ASM357664v1:4:460005:464187:-1 gene:itb04g00850 transcript:itb04g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGAADHGGHGHSNIRGIPIHGGRYVQYNVYGHLFEVPRKYVPPIRPVGRGAYGIVCAAMNSETGEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHDNVMSIKDIIRPLNKENFNDVYIVSELMDTDLHQIIKSNQPLTDDHCRYFLYQILRGLKYVHSANILHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSENARRYVRQLPQYPKQQFSSRFPNSSPGAVDLLEKMLVFDPSKRITVDGALCHPYLAPLHNINEEPVCPMPFNFDFDQPSFTEENIKELIWLEALKFNPDPTH >itb01g33760.t1 pep chromosome:ASM357664v1:1:36749893:36750903:1 gene:itb01g33760 transcript:itb01g33760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFKNQNLKQTIPIPYTSPFHHMENPDLLSLLQRTTNSNNNIIHTHHHTNRNSDEKNSNHAGRKRKPSGGGAGGLLRMFKLLPMLTTGCKMVALLGKQRRPAMLTDSASTTGTLFGYRKGRVSLAIQEDPHRPPVFMIELPMLTAVFHKEMANDVVRLALESETKTHKKKLLEEFVWAVYCNGRKMGYSIRRKNMTDDETHVMQHLRGVSMGAGVLPGPSSEKDTADGEMTYMRARFDRRVGSKDSEALYMINPDGVSAQELSIFFVRVR >itb04g14150.t1 pep chromosome:ASM357664v1:4:14486032:14486514:-1 gene:itb04g14150 transcript:itb04g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYRIERVIGEKDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERD >itb06g24840.t1 pep chromosome:ASM357664v1:6:26243046:26244438:-1 gene:itb06g24840 transcript:itb06g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFSAASMESTAKIFRKSVFCFLQKFQFFTTTAVVLAFPYAASVLVFQSFVPSSPFLAMIHARIHTLFDAAGFPSSSEFFAILNLKLSQTVAISFLALPFTLSFFLFSKACVIQALGDQELPKRSVFSCLARTHSPLLLTQLCNSLLVLSANATCFILLLFAFNLADEFGFSSPRFELFLSVAGALICSIILANTFTICNLALVLSGREGIGGFTAILKACVLIKGRTGTALSLALPINMAMAAVEALFQYRIVRVYYHVKAGISSVALEGMFIAYMYAILLVLDTIVVCFFLRSCEGDFHIDQYRRLPSHVEIEEDNNAFRTVKIVEDLLP >itb07g02050.t2 pep chromosome:ASM357664v1:7:1222292:1224862:1 gene:itb07g02050 transcript:itb07g02050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATVVILPLGLLFIISGLTVNVFQALLFVLVRPISKSIYRRINKEVTELLWMQFVWLFDWWANIKVELYIDPETYELLGKENAVIISNHRSDIDWLVEFILAQRAGCLGNTLALAKKPLFYIPILGWSMWFAGFIVIEGNWAKDERKLQSGFKELNDFQKPFWLVVFLEGTRFTRENLLAAQEYAASAGLPVPKNVLIPRTKGFVTTVSHLRTFVPAIYDLTVAIPKTEEQPTMLRIFRRHSSVVHVHIQRHLMQDLPESGSGISQWCKDVFVEKDALLEQHLAIGKFTDKQCHDIGRPRKSLLVSHSLATPPSLLQPYCCSKR >itb07g02050.t1 pep chromosome:ASM357664v1:7:1222292:1225579:1 gene:itb07g02050 transcript:itb07g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATVVILPLGLLFIISGLTVNVFQALLFVLVRPISKSIYRRINKEVTELLWMQFVWLFDWWANIKVELYIDPETYELLGKENAVIISNHRSDIDWLVEFILAQRAGCLGNTLALAKKPLFYIPILGWSMWFAGFIVIEGNWAKDERKLQSGFKELNDFQKPFWLVVFLEGTRFTRENLLAAQEYAASAGLPVPKNVLIPRTKGFVTTVSHLRTFVPAIYDLTVAIPKTEEQPTMLRIFRRHSSVVHVHIQRHLMQDLPESGSGISQWCKDVFVEKDALLEQHLAIGKFTDKQCHDIGRPRKSLLVFVVWSCLVLLGIVAFFVWCPLSWGEAALCAVFLVVAMIFMRVLILTSQSDCSSTFKAAFKRNNGECLLPR >itb12g00130.t1 pep chromosome:ASM357664v1:12:158160:159024:1 gene:itb12g00130 transcript:itb12g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKKNKDSVKFKVRCSKYLYTLCVSDFEKADKLKQSLPPGLSVQDL >itb07g18410.t1 pep chromosome:ASM357664v1:7:22884643:22886898:-1 gene:itb07g18410 transcript:itb07g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQSANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKGPAKKETAEEGNEGAAVEETKKSNHVQRKLEKRQKDRKLDSHLEEQFGSGRLMACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGSGAAA >itb04g14570.t1 pep chromosome:ASM357664v1:4:15231992:15235299:1 gene:itb04g14570 transcript:itb04g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIKNGWRDSSGGLSKLTVEKRILPALNEKLGCQRSYAQYQSRLKWFKNRFNNFSELMRHSSGFGWDPITKRFTASDEVWEDYLKGSSYGKALLCRPWSRLPTSLVTAATFSSAATSGASKAVDKSSSPDPIRSNPSPV >itb02g05670.t2 pep chromosome:ASM357664v1:2:3482398:3492869:1 gene:itb02g05670 transcript:itb02g05670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAGPTPGGDDRQLNHTATSEDGCGTALEMRKKKARRVSFAEMTSVHFFDRDEEETPRDSLAMAGEGNAVEENELSFPQFVNLKANDDGEDGEDGNVSDDDEDGELAMRRSFLRPMESPSPGSTIGSATSNDDHFFGPVSPNFIRPGRLSDSAASDDNHDITMDSTAFSMHFRSLARSESGVDLKTPTGIHLSFEEKTPTCTRGNSMVLTETKKPISSSSFPAVIASGTSDSSDMSLVGEHLQKYEYGRLPPDLDALLAEGEMELDIAPRSDNISASTLPTNEKPNHLTLEEDGSGLMDINENGKQEAGATAFNNMPSETLDRMLDKANGALGLAPLSHDTYGSSFPVSNPPDSGISVDNGTLLSNQLSKDKLIHNNMEASFDASEDWRSEKLSSVNCDTLLSPDSRLHVHGRTHKLKSPLTGFVSPSPAKRRLILTGSSAQKYRSRVISAQDDSFIGKENMISLVSSASIQRSISKLERLKASAFSSAMGDRIDDVLVRSLEFIKTPPISSNLEKVNEDLKEKLADIPIICAEEQFSDVAQIEGQERQSFGMDSKSFKALAHDEGKNYSPLISEDPKGKMLFTSRIDSLQAEFIGEKQATGTPQQIHFSPGKSSEKLSSTLQKFLCSPNGKSRLLDQQKVSSVLNETCETATHSKNDLSMGVVDCVLYDPASCLIERNSNGPSLETPESLTHGSGRKDLQPQELNNSKPFSVTQDLQLATHNMLDGSSHNSAQERGNNKLLVEHRESQDEIITLQRSPKLQKYQSVDISSRPDEVCSGNLIVGTELKYLSDIKSKFMENVDQWASLSIGKLNVHELQIDMLQEFIPYMQKSKTYETLHHELLSQKTSAPQNLKEKRIAEARLLMCRVMYEKAKLHLMRVKQEKLQEKFQTISSGVQECKSLKLNSLPQLSTKFAKDLHIDVLCLQRSFVNLNGMQEVACNKVSTMMQALEVSDKKITNLNKYFHTHFKMKGELNCNDTIALVKDYLVKRKCCRFFGQEMQMGVIHNMWSSAGHHTVVLNYLGILIQSLKIVAGSTSSIIISNELNDMAITKSFPNMDACSVFAFVLPGEISRKYVGARSLAQEVQMTQSLLGNLVDVVEEVQLARIELCNLIDTRFCSPTAGDLDLQLHFISFKSGIKVKVTLGLSFLNRGIYPWAIIPCVCAAGADGTDEAIVGDVENALKSVKPGYMRIIRLCRCISSAIRSASW >itb02g05670.t1 pep chromosome:ASM357664v1:2:3482269:3493194:1 gene:itb02g05670 transcript:itb02g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAGPTPGGDDRQLNHTATSEDGCGTALEMRKKKARRVSFAEMTSVHFFDRDEEETPRDSLAMAGEGNAVEENELSFPQFVNLKANDDGEDGEDGNVSDDDEDGELAMRRSFLRPMESPSPGSTIGSATSNDDHFFGPVSPNFIRPGRLSDSAASDDNHDITMDSTAFSMHFRSLARSESGVDLKTPTGIHLSFEEKTPTCTRGNSMVLTETKKPISSSSFPAVIASGTSDSSDMSLVGEHLQKYEYGRLPPDLDALLAEGEMELDIAPRSDNISASTLPTNEKPNHLTLEEDGSGLMDINENGKQEAGATAFNNMPSETLDRMLDKANGALGLAPLSHDTYGSSFPVSNPPDSGISVDNGTLLSNQLSKDKLIHNNMEASFDASEDWRSEKLSSVNCDTLLSPDSRLHVHGRTHKLKSPLTGFVSPSPAKRRLILTGSSAQKYRSRVISAQDDSFIGKENMISLVSSASIQRSISKLERLKASAFSSAMGDRIDDVLVRSLEFIKTPPISSNLEKVNEDLKEKLADIPIICAEEQFSDVAQIEGQERQSFGMDSKSFKALAHDEGKNYSPLISEDPKGKMLFTSRIDSLQAEFIGEKQATGTPQQIHFSPGKSSEKLSSTLQKFLCSPNGKSRLLDQQKVSSVLNETCETATHSKNDLSMGVVDCVLYDPASCLIERNSNGPSLETPESLTHGSGRKDLQPQELNNSKPFSVTQDLQLATHNMLDGSSHNSAQERGNNKLLVEHRESQDEIITLQRSPKLQKYQSVDISSRPDEVCSGNLIVGTELKYLSDIKSKFMENVDQWASLSIGKLNVHEIDMLQEFIPYMQKSKTYETLHHELLSQKTSAPQNLKEKRIAEARLLMCRVMYEKAKLHLMRVKQEKLQEKFQTISSGVQECKSLKLNSLPQLSTKFAKDLHIDVLCLQRSFVNLNGMQEVACNKVSTMMQALEVSDKKITNLNKYFHTHFKMKGELNCNDTIALVKDYLVKRKCCRFFGQEMQMGVIHNMWSSAGHHTVVLNYLGILIQSLKIVAGSTSSIIISNELNDMAITKSFPNMDACSVFAFVLPGEISRKYVGARSLAQEVQMTQSLLGNLVDVVEEVQLARIELCNLIDTRFCSPTAGDLDLQLHFISFKSGIKVKVTLGLSFLNRGIYPWAIIPCVCAAGADGTDEAIVGDVENALKSVKPGYMRIIRLCRCISSAIRSASW >itb04g31310.t1 pep chromosome:ASM357664v1:4:34209203:34210310:-1 gene:itb04g31310 transcript:itb04g31310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFVEKILRVQPNVKKLYLLLRAADTMAAMQRFESEVMAKDLFRVMKEKYGEKLNSIISEKVSVVAGDVTIENMGVKDSHLLDQMHREVDVVVNLAAITDYYERCMCLIC >itb02g05910.t1 pep chromosome:ASM357664v1:2:3672661:3676367:1 gene:itb02g05910 transcript:itb02g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCMARLLQFSPSSSSSSAAMISHSVFHRDTFCYSRRKFAVSPRRIHSLNWVSGICGQIETRKKHFEIMSSNGYSLNAVSLQDGSTAMLPEEFPLFELAKEESMLSITVVGASGDLAKKKIFPALFALFYEDCLPENFVVFGYARTKMNDEELRDMISTTLTCRIDKSSEDHFAELDRKLKEKEGGRVSNRLFYLSIPPNIFVDVVKCASGKASSTSGWTRVIVEKPFGRDSESSRELTRCLMKYLTEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMRPLQLEDMVIGQYKGHSKGLKSHPGYTDDPTVPKDSITPTFAALALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKNNFGTDMDKATNELVLRLQPDEAIYLKVNNKIPGLGMRLDRSDLNLLYRAKYPTEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELEEKKIAPELYPYGSRGPVGAHYLAAKHNVRWGDLSGED >itb03g16640.t1 pep chromosome:ASM357664v1:3:15520436:15522426:-1 gene:itb03g16640 transcript:itb03g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAILPQVSSQIYSFGCSEAAGFSDIPSQIESELNVFSGDGFCIDSNLYTNQDNLGGVLAFCSESQIIYQSEPPSSMAVKRLGCPLGLDRGSSLPASSPVGFCEFYQDVHITKKTRKRSSPESAESIAERAGKNVLIEETTPRIRRGRVPARRSQKLTDKITALQKLVSPYGKTDTASVLQEACISIKALQDQIQNLCIASHGHSDQKTGDENEAGLRNKGLCLVPVSLLQTVADQDLFSQMLGSMSCFSA >itb08g05000.t1 pep chromosome:ASM357664v1:8:4084433:4085739:-1 gene:itb08g05000 transcript:itb08g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDPKVWGEPREFKSERFEAVIGDGELGLNYKFVPFGMGRRACPGNNMGLMTVSLALGAFVQCFEWENIGEDKMFAARLSREALQRAEQWIPASQETHFTFSPAPFPTPKRSDNSLSLLVRLSRVPKRSTKMRIQKAMKIGLNMYDRKFLVLSVIMYWLAISAQAVVVAGSEKKPPALVQTQPAPETDPQHNHSSDVFYPTKRRVPNALNPLHNR >itb10g13970.t1 pep chromosome:ASM357664v1:10:20246481:20251078:1 gene:itb10g13970 transcript:itb10g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLSLTDPLLAGAADAPPLAAAAPVVPEMPNLAAAPVDSQPPFAEMIMAAITALNEPGGSSSRAIAKYIERVYSNLPPGHPSLLTQHLKRMKSGGELVMVKHSYMLPGSAPPPDFTPAPGPKRKPGRPPKGKSEAQPETWAPDGAAESVFVSVGLDGGPDVPVPVPPPAAAANVVVPARRGRGRPKKLNSDGKTTGILPKPQNLNQNGGRRPGRPPKTGFVPALGVSGRPRGRPKRIAPSTGVGKLRGRGRPKAIAAGIAKKLGRPRGRPAKNTLLLGGPTVGAINVPIIDGEARNGIDNNVGATNGVLLPPKRRGRGRPPKLPTRTAVGPAMRRGQIPRSAVNGIRKPRKLSGKPLGRPRKNAALIAAKALDSQQLAAFQDLKIKFENLKTKVRETASIIKPCLNSEAMPVTAIAALQELEALAAGDANPV >itb02g11690.t1 pep chromosome:ASM357664v1:2:7826569:7828212:-1 gene:itb02g11690 transcript:itb02g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSAIQLTLLASRPTLSSSSPSLPKLNSRFLGGVRAKRLGWCRPSGLGPGCGSRTTCWFKFGKNGVDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGSYDKMEALLSQNIHPVDILLLMAASEGDKPKIEELLRAGADYTVKDADGRTALDRANEETKDFILNFSVQKA >itb13g06510.t1 pep chromosome:ASM357664v1:13:7783741:7785722:1 gene:itb13g06510 transcript:itb13g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDLIRAFHDSLQAATFSYALALLGVVWFAWVFIFNKSGNGQPPLPPGSRPLPLVGNLLSLEPELHTYFTALSKVHGPIYTLWLGKKPGIIISSPAIAREVLKDQDATFANRDVPVVGREATYGGKDIVWTPYGPEWRMLRKVCVREMLSNSTLDSVYDLRRREIRQTMKFFYDHAGKTVNIGEQMFLTILNVITGMMWGGTVKGKERESLALEFREIVAEMIELLGLPNVSDFYPGLAKFDLQGLQGKMKRVANKLDKIFQSVIDQRSKVDEKKSKDFLQVLLQLKDEGDEKTPFNITHLKALLTDMVVAGTDTTSNAIEFAMAEIMNKPQVINKLQEEVDAVVGKDNIAEESHIHQLPYLYAVMKETLRLHPTLPLLVPHCPTQTCLVGGYTVPKGSRVFVNVWAIHRDPSIWENPLEFRPERFLDSKWDFSGKDFNYFPFGSGKRICAGMASAERMFMYALASMIHSFDWKMAQGEKLELSEKFGIVLKKRIPLVAIPTPRLSHPALYQ >itb11g05790.t1 pep chromosome:ASM357664v1:11:3431380:3439356:1 gene:itb11g05790 transcript:itb11g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVLVLLSWLLLLISLSHTPLSHGAQTISGNTYLTQTTTLSSLNERFELGFFRPGKSSNYYVGIWYKNISPQTVVWVANRISPVPSAAISAAKLRILDGNLVIVDGAGNLIWSTNITTTVKQSSVVAILGDDGNLVLSDGSKKLTKPTLWQSFDHPTDTNLAGSKFGYNKRTKTTFGLTAWKSADDPAPGPYSIFYVPTEILHVWNGTEGYGAGLRKFANGTLVAFRPNPVINFTLVDNENETYFTYFLLDQSIIARHVIDIDGREKELTWSETAKTWMATYVNPKNQCDVYAYCGPFGICSENSVPVCDCLHGFRRKSETEWGISKFFGGCLRNTSLKCGVNYQEEDMDKFKTYPNVRLPRHPQNTTAKNQADCESTCLRNCSCTAYAYDDRNGRCSVWAGELLDLKQFGKDDVGNESTIYIRLAASEFPNIKETSSKHWKLEAIIASLGVAATALLACSICYVCYKKRRTTLKITDQTRRLLLDWNKRFDIILGIARGLVYLHQDSRLRIIHRDMKTNNILLDEEMAPKISDFGLARMMAGNEFEANTKKIAGTYGYLSPEYALSGLFSIKSDVFSFGVIILEIVSGKKNTAFYKHEDVSNLLGYAWKLWNEGNIMDLVDDSLLKCCKESEVLKCINVGLLCVEEDPNVRPSMPSVLLMLSDESTVLPKPNQPAFVTRKHTSLECGIMIESEKPCSNQLTFSTQEACLCFFVLHLQVLQCPFNFLGFFISPSMAPCPLREADEEFCANKWESLFIDREGKA >itb04g20610.t1 pep chromosome:ASM357664v1:4:25472998:25477175:1 gene:itb04g20610 transcript:itb04g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAATHVQLPFSHRKISHKTSVFGQRISFASPKRRYHNNHRAVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFVGRKMSEVDEESKQVSYRVVKDDNGNVKLECPAIGKQFAPEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLADDFRKQEGIDLLRDKQALQRLTETAEKAKIELSSLTQTNISLPFITATTDGPKHIDTTLTRAKFEELCSDLLDRLKTPVETALRDAKLSFNDLDEVILVGGSTRIPAVQNLVRKLTSKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVKDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVEEAEKFAKEDKEKREAIDTKNQAESVVYQTEKQLKELGDKVPADVKSKVEAKLKELQDAISGGSTQTIKDAMAALNQEVMQLGQSIYSQPGAGTPTPDDVKPGGESSSSGKTDGDGDGDGEVIDADFSESK >itb09g30460.t1 pep chromosome:ASM357664v1:9:31090501:31092009:1 gene:itb09g30460 transcript:itb09g30460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVSEIIHDPGRGAPLARVTFRHPFRFQHQKELFVAAEGMYTGQFVYCGKKANLIVGNVLPLRSIPEGAVVCNVEHKVGDRGVFARCSGDYVIVISHNPDNGTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADKA >itb12g06150.t1 pep chromosome:ASM357664v1:12:4571368:4571742:-1 gene:itb12g06150 transcript:itb12g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDILPSGHVVTPNTKILLSFYSMGRMDAIWGEDCMEFKPERWISPDGGGIKHNPSYKFPAFNAGPRSCIGRDMGFTIMKMVAASTICRFECQLIEPHSPLLLTDSILLELKHGLKVKLIKRK >itb12g17900.t1 pep chromosome:ASM357664v1:12:20146641:20150042:-1 gene:itb12g17900 transcript:itb12g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPVANWGFVVAGLVDTQKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNECVQLYQLSRWAKGQGYLQQKQEDKAQ >itb12g17900.t2 pep chromosome:ASM357664v1:12:20147129:20150042:-1 gene:itb12g17900 transcript:itb12g17900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPVANWGFVVAGLVDTQKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNECVQLYQLSRWAKGQGYLQQKQEDKAQ >itb11g23370.t1 pep chromosome:ASM357664v1:11:25378789:25386282:-1 gene:itb11g23370 transcript:itb11g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MADNTEVDDRVDLDDDNYIEEDYDVEEQMDDEAAGDGGEENNEEQPEDIGSGDSGEEQSPEIDKGDAVAEAIDDEEKPGASLNGDERKKFAELLDLPPHGSEVFIGGLPKDISEEDLRDLCEPMGEIFEIRIMKNRDTGESKGFAFVAFGTKDVAQKTIEELHNKEFKGRRLRCSLSETKYRLFIGNVPKSLSDEEFKKIIDETGPGAENIELIKDPQNPSRNRGFAFVEYYNNACADYSRQKMSNANFKLDGNTPTVTWADPKITPDNSAASTQVKALYVKNIPENTPTEQLKELFQRHGEVTKVVMPPARSGGKRDFGFVHYAERSSALKAIKETEKYEINGQVLEVVLAKPQTEKKFDMSNPHNPMPHPNYIPHAGYGGFPVSPYGSVTTGYGATAGFQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGGVQMPSARPRRNDRSNGGGGGPQGRGGSSSSNDDANRSRRYRPY >itb11g23370.t3 pep chromosome:ASM357664v1:11:25378789:25386275:-1 gene:itb11g23370 transcript:itb11g23370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MADNTEVDDRVDLDDDNYIEEDYDVEEQMDDEAAGDGGEENNEEQPEDIGSGDSGEEQSPEIDKGDAVAEAIDDEEKPGASLNGDERKKFAELLDLPPHGSEVFIGGLPKDISEEDLRDLCEPMGEIFEIRIMKNRDTGESKGFAFVAFGTKDVAQKTIEELHNKEFKGRRLRCSLSETKYRLFIGNVPKSLSDEEFKKIIDETGPGAENIELIKDPQNPSRNRGFAFVEYYNNACADYSRQKMSNANFKLDGNTPTVTWADPKITPDNSAASTQVKALYVKNIPENTPTEQLKELFQRHGEVTKVVMPPARSGGKRDFGFVHYAERSSALKAIKETEKYEINGQVLEVVLAKPQTEKKFDMSNPHNPMPHPNYIPHAGYGGFPVSPYGSVTTGYGATAGFQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGGVQMPSARPRRNDRSNGGGGGPQGRGGSSSSNDDANRSRRYRPY >itb11g23370.t2 pep chromosome:ASM357664v1:11:25378961:25386241:-1 gene:itb11g23370 transcript:itb11g23370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MADNTEVDDRVDLDDDNYIEEDYDVEEQMDDEAAGDGGEENNEEQPEDIGSGDSGEEQSPEIDKGDAVAEAIDDEEKPGASLNGDERKKFAELLDLPPHGSEVFIGGLPKDISEEDLRDLCEPMGEIFEIRIMKNRDTGESKGFAFVAFGTKDVAQKTIEELHNKEFKGRRLRCSLSETKYRLFIGNVPKSLSDEEFKKIIDETGPGAENIELIKDPQNPSRNRGFAFVEYYNNACADYSRQKMSNANFKLDGNTPTVTWADPKITPDNSAASTQVKALYVKNIPENTPTEQLKELFQRHGEVTKVVMPPARSGGKRDFGFVHYAERSSALKAIKETEKYEINGQVLEVVLAKPQTEKKFDMSNPHNPMPHPNYIPHAGYGGFPVSPYGSVTTGYGATAGFQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGGVQMPSARPRRNDRSNGGGGGPQGRGGSSSSNDDANRSRRYRPY >itb11g23370.t4 pep chromosome:ASM357664v1:11:25378789:25386267:-1 gene:itb11g23370 transcript:itb11g23370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MADNTEVDDRVDLDDDNYIEEDYDVEEQMDDEAAGDGGEENNEEQPEDIGSGDSGEEQSPEIDKGDAVAEAIDDEEKPGASLNGDERKKFAELLDLPPHGSEVFIGGLPKDISEEDLRDLCEPMGEIFEIRIMKNRDTGESKGFAFVAFGTKDVAQKTIEELHNKEFKGRRLRCSLSETKYRLFIGNVPKSLSDEEFKKIIDETGPGAENIELIKDPQNPSRNRGFAFVEYYNNACADYSRQKMSNANFKLDGNTPTVTWADPKITPDNSAASTQVKALYVKNIPENTPTEQLKELFQRHGEVTKVVMPPARSGGKRDFGFVHYAERSSALKAIKETEKYEINGQVLEVVLAKPQTEKKFDMSNPHNPMPHPNYIPHAGYGGFPVSPYGSVTTGYGATAGFQQPMIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGGVQMPSARPRRNDRSNGGGGGPQGRGGSSSSNDDANRSRRYRPY >itb08g15750.t2 pep chromosome:ASM357664v1:8:17924475:17930106:-1 gene:itb08g15750 transcript:itb08g15750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGEVIDVVKGCGFRGEVALKTLATRSLIKVVENDKLWMHDSIRDMGRQVVVQQGLLDQGKRSRLWDHDDVLSVLQDRKGTREVEGIILDLEKKKKISKIISAKRIASTQLQTTPNFTYALTYLREVFKQQGFLRNDSNNVIVRSESFDPMVNLRLLQFSDVKVEGNVGKLPNSLRWLQWRNCPLKTFPSNFYPRELAVLDLSESPVLSVGNWKWSWNSRKETNRLKVMNLRGCYNITALPDLSAHKALEKLTLELCTSLKSIHQSIGDLKALRHLNLGRCSELIEFPGDVSGLKNLEILILSRCSRLKHLPENIGWMNSLRELMVDGTAVEMLPESIFRLTKLERLSLANCQSLKMLPRCIGNLCSLEHLSLYGSGVELIPDSIGSLGNLETLNLQWCRSMAVIPCSVGNLKSLANLYLNGSAIQFLPESIGSLYYLRALKIGSCKDQITFPDSIKGLSSLVDLELDSTEITGLPSEIGALKSLERLEIRNCKNLCLIPNSIGNLLALKTLIITSSAINELPESLGMLENLVMMRLNQCRQLSSLPSSIGHLKNLCHLIMDHTSVSALPETFGMLSNLMVLRMGKKPFEPISHTTNTTTLPFSFSKLSVLEEFDARGWRISGKISDDFGQLSSLETLNLSHNHISGLPSSMRGLCVLKMLVISHCKLLIALPSLPSSLVELNAANCIALETIFDLSNLKNLQELNLTNCERLVDVPGIENMNSLRMLHMSGCTSCSSVIATKLHKDVVKKLYSFSIPGSGIPNWFTQGEVCFSSRRNIGIKGVIVSIIVSVNHQIPDDLRDELPVIPNIHAKILRVNEPIYTTAMHLIGVPRKPEDNLYLCKFPDCHPLVSVLEDGDIIKVGISSGLPIQGIELKKCGIHLVFENDDDYGGTEESLEENQQSVSEKLTRTCCAS >itb08g15750.t1 pep chromosome:ASM357664v1:8:17924265:17936293:-1 gene:itb08g15750 transcript:itb08g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGDDATVSAPAPAPALRLKWDVFLSFRGEDTRDSITDHLYAALYSAGVRVFRDNDGLNEGDEIAAALVEAIEDSAAAIVILSPNYASSRWCLEELARVCELRRPVLPVFYRVDPSDVRRQRGPFEEGFRRHEGRFGVENVERWRKAMERVGGTKGWVFHDRICDSEEQELIELLVKKVLREVSNSPMAVTPFLVGHDFRMKEIMEILDVKGNGIRVLVLHGIGGVGKTTLSKALYNKLAKDFQHRIFIENVRETASKHGGVLLLQEKLVNHLSQGKLSIDDLTAGVAEIKRRLRGKRVFLILDDVDDMNLLNSLAGDREWFFEGSRVIITTRNKQILQSEIKGEVIDVVKGCGFRGEVALKTLATRSLIKVVENDKLWMHDSIRDMGRQVVVQQGLLDQGKRSRLWDHDDVLSVLQDRKGTREVEGIILDLEKKKKISKIISAKRIASTQLQTTPNFTYALTYLREVFKQQGFLRNDSNNVIVRSESFDPMVNLRLLQFSDVKVEGNVGKLPNSLRWLQWRNCPLKTFPSNFYPRELAVLDLSESPVLSVGNWKWSWNSRKETNRLKVMNLRGCYNITALPDLSAHKALEKLTLELCTSLKSIHQSIGDLKALRHLNLGRCSELIEFPGDVSGLKNLEILILSRCSRLKHLPENIGWMNSLRELMVDGTAVEMLPESIFRLTKLERLSLANCQSLKMLPRCIGNLCSLEHLSLYGSGVELIPDSIGSLGNLETLNLQWCRSMAVIPCSVGNLKSLANLYLNGSAIQFLPESIGSLYYLRALKIGSCKDQITFPDSIKGLSSLVDLELDSTEITGLPSEIGALKSLERLEIRNCKNLCLIPNSIGNLLALKTLIITSSAINELPESLGMLENLVMMRLNQCRQLSSLPSSIGHLKNLCHLIMDHTSVSALPETFGMLSNLMVLRMGKKPFEPISHTTNTTTLPFSFSKLSVLEEFDARGWRISGKISDDFGQLSSLETLNLSHNHISGLPSSMRGLCVLKMLVISHCKLLIALPSLPSSLVELNAANCIALETIFDLSNLKNLQELNLTNCERLVDVPGIENMNSLRMLHMSGCTSCSSVIATKLHKDVVKKLYSFSIPGSGIPNWFTQGEVCFSSRRNIGIKGVIVSIIVSVNHQIPDDLRDELPVIPNIHAKILRVNEPIYTTAMHLIGVPRKPEDNLYLCKFPDCHPLVSVLEDGDIIKVGISSGLPIQGIELKKCGIHLVFENDDDYGGTEESLEENQQSVSEKLTRFIGSSSSSVVNGNHTSSSTLGGWTLLGFLQDMLCFLKKLWDGFRSPGPDFRACKMCNRTGSDFGAPCPAQ >itb05g27410.t1 pep chromosome:ASM357664v1:5:30790524:30793626:1 gene:itb05g27410 transcript:itb05g27410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKHLGASLLRCCDLDLYRQTRGLDDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >itb05g27410.t2 pep chromosome:ASM357664v1:5:30790524:30793626:1 gene:itb05g27410 transcript:itb05g27410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKHLGASLLRCCDLDLYRQTRGLDDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >itb15g04250.t1 pep chromosome:ASM357664v1:15:2691212:2694927:-1 gene:itb15g04250 transcript:itb15g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTGSDEMPKGDKLVLRGLKFYGYHGVKPEERTLGQKFVVDVDAWMDLRAAGKSDQLSDTLSYTDIYSIVKEVVEGSPHNLLESVAEQISSTTLSKYHQISLITVGPALLLTPNSEHHTPSASLTSHLVNGKRAHKHYPSRLDSHRKFHVTLPHRHVSMHATPWSDVAPSRHAFLPIPPCVSSMLRNSDRTF >itb04g23400.t1 pep chromosome:ASM357664v1:4:28476518:28479393:1 gene:itb04g23400 transcript:itb04g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALFNGKPKLPILQNATWTLFNFCKGKPEPPFEELKLALPILARLILSTDWEVLTDACWALACLSNGTDDKIQAVIEAGVCPRLVELLLHPSPRVLIPALRTVGNIVTGDDIQTQVIIDHQALACLLSLLTQNYKNNIKKAACWTISNITAGNKDQIQLIFGLFGRFLVAHGCIKPLCDLLACHDPTIVTVCLRGLENILKVGETEKAADAHSVNIYAQLIDEADGSDKIESLQNHDNNEIYEKAVQLLETYWSEDEDDQVTSGDAPQPGFDFGGDELNVPSGGFKFSSRCDSLLHVYIFSVML >itb13g07910.t2 pep chromosome:ASM357664v1:13:10125692:10130025:-1 gene:itb13g07910 transcript:itb13g07910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLRSPFVWSRRPNERRIATGGGVENSLLTSLFLLSSLENLNSELVRKYYREAEDVLKILKPILNAIVYTQIASDRLLQNVFSELGRAIDELMELFETCQPWSSKIYFVLQVESLIAKVQSCSLKILQLLISSYRCLPGESSLRLLEHCVLEIKSMDYELLSTTISETIKDQVECSGASSDSLEKIADRLCLKSNQDLLIEILALEKLKENSEQDEKSEEVEHIEQIIGLVTHMREALVMMKQSENSTSVSIPADFCCPLSLELMRNPVTVASGQTYEWACIRKWIALGLRVCPNTRQTLAHTNLIPNCSLKAQITDWCETNSVNLPVPVKALSLSQPSFVVLHGESGAPIDNHIVSTSWDCNYGSPESPCSSRKVSISSSAILREAASPSQSCLSSEESCCGAAIYGLRLDVETTSLKSSVGGLQKSEDRSINSVSYYSMQLSMNSVSSTVESFLRGHSQTAYATPDSIHSLGSPTSYPSPYASQRETYSQSLVCSASDASLSRVGSYGLGVDVDMVSLNGSEDWMAYSSYGSMNSIKYSMWLSMNNAPSVDGGSHLSHNRTTSASSTLSNLKLSRVTSGVASGSYTASTLSTSRGEFGFPSPLKERPCPPSLWHRLFERFNPRIVSSTVPETMAGLSEVEKEVRKLVVDLKMTSIDLRRNAAAELQLQAKHSMENQIMLRLSGASNLVHKVQENAVTALLKLSNNDNNKYTIVSAGAVEPLIDVLETGSRVAKKNSAATLFTLSVIEENKIKIGRSGVITALVNFLGNGTPRGKKNAAKALFNLSILHKNKASMVQAGAVKHLIKLMQPADRMVDKAVAILSKLATIHDGRILIDQEGGIPVLVNVVKIGSARSKEYAAAALNQLCFNTTSLSIGMDNKVKIAKLGAIKPLVDLLKNGTSRGKKDAASALFSLSLIDENKAQILHAGAVKYLIELLDPALWMADDAVAFLYNLATIHKGRTAIVQEGGIPVMVEVVNSGSARGKENAAATLLLLCTNSNSLRNIILHEGAIPSLEALLQSGNTRAREKV >itb13g07910.t1 pep chromosome:ASM357664v1:13:10124738:10130025:-1 gene:itb13g07910 transcript:itb13g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLRSPFVWSRRPNERRIATGGGVENSLLTSLFLLSSLENLNSELVRKYYREAEDVLKILKPILNAIVYTQIASDRLLQNVFSELGRAIDELMELFETCQPWSSKIYFVLQVESLIAKVQSCSLKILQLLISSYRCLPGESSLRLLEHCVLEIKSMDYELLSTTISETIKDQVECSGASSDSLEKIADRLCLKSNQDLLIEILALEKLKENSEQDEKSEEVEHIEQIIGLVTHMREALVMMKQSENSTSVSIPADFCCPLSLELMRNPVTVASGQTYEWACIRKWIALGLRVCPNTRQTLAHTNLIPNCSLKAQITDWCETNSVNLPVPVKALSLSQPSFVVLHGESGAPIDNHIVSTSWDCNYGSPESPCSSRKVSISSSAILREAASPSQSCLSSEESCCGAAIYGLRLDVETTSLKSSVGGLQKSEDRSINSVSYYSMQLSMNSVSSTVESFLRGHSQTAYATPDSIHSLGSPTSYPSPYASQRETYSQSLVCSASDASLSRVGSYGLGVDVDMVSLNGSEDWMAYSSYGSMNSIKYSMWLSMNNAPSVDGGSHLSHNRTTSASSTLSNLKLSRVTSGVASGSYTASTLSTSRGEFGFPSPLKERPCPPSLWHRLFERFNPRIVSSTVPETMAGLSEVEKEVRKLVVDLKMTSIDLRRNAAAELQLQAKHSMENQIMLRLSGASNLVHKVQENAVTALLKLSNNDNNKYTIVSAGAVEPLIDVLETGSRVAKKNSAATLFTLSVIEENKIKIGRSGVITALVNFLGNGTPRGKKNAAKALFNLSILHKNKASMVQAGAVKHLIKLMQPADRMVDKAVAILSKLATIHDGRILIDQEGGIPVLVNVVKIGSARSKEYAAAALNQLCFNTTSLSIGMDNKVKIAKLGAIKPLVDLLKNGTSRGKKDAASALFSLSLIDENKAQILHAGAVKYLIELLDPALWMADDAVAFLYNLATIHKGRTAIVQEGGIPVMVEVVNSGSARGKENAAATLLLLCTNSNSLRNIILHEGAIPSLEALLQSGNTRAREKAEAVLSYLKQDNEKASG >itb13g15090.t1 pep chromosome:ASM357664v1:13:21797029:21797930:-1 gene:itb13g15090 transcript:itb13g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAQEFIQFVNAVSELAQGASVPSTLPIWERGLLTARPTPTITYEHNKFKDFNLSKTTSKHIIHSLLVKRSFTFGSRELQAIKDQCPSSTTFEALSACLWKCRTIALRLDHNSTMLLTIAVNIQERMHDPKLPLGYYGNAVVLASAVTTTKLLCSNPISYAAKLIREAKNMVNDDYVKSTTDLMVTRGRPMGTILRNFIITDNSRFGYDEVDFGWGKPVCGGVYGVVYGVGFLVPHKRMEDTKGKLVALALPPLIMGKFQNELRKMTRA >itb08g02440.t1 pep chromosome:ASM357664v1:8:1921191:1925625:1 gene:itb08g02440 transcript:itb08g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKDNKEEDHRISLFKPLSQACMDWLTTRQPNSVVYISFGSLACLTEEQMAEFATALAESNHNFLWVVRESEETKLPKDFKSKTSEKGLIVKWCPQLQVLSHGTIACFMTHCGWNSALEALMLGVPMIAVPQWADQQMNAKYVVDVWETRVRPKANQKGIVTKDEIKGCIREVMEEEKGKRLKRNAVKWKGLAEEALLEAQLVSGIISGEDAKLRLQEMSSEGVNILAVPFPVQGRLNPMVQFCTHLATKGVKVTLLLTQTATKSLQIPTATASLIAVELIPDVLPDRAADTPPQNTETTIAQLRATFSQGLPHVIEKLKRNAESPKVVLYDSVLPWILGLAKGFGLQGAALFTQPCCVCAVFYHIFNGDVEIPSEKSAVLSLPGMPLMGTNDLPFFGYLNDDSKYVQSLLANQFLNIDQVDWIFFNSFDKLEEKILKWMRSKWAVKTIGPLIPSVNLKDSKEEDHRISFFKPHSQACMDWLSMRDSNSVIYVSFGSLASLTQEQMAEIATALAEINHHFLWVVRESEETKLPKDFKSKTSEKGLIVKWCPQLLVLSHGATACFMTHCGWNSALEALMLGVPMIAVPQCADQHMNAKYVVDVWETGVRPKANQKGIVTKEEIKACIREVMEEEKGKRLKRNTVKWKGLAEEAVLEGGSSHTTIQNFISRVSSI >itb03g21470.t1 pep chromosome:ASM357664v1:3:19337300:19340253:-1 gene:itb03g21470 transcript:itb03g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPFCITTRRSPRRYYFILVFILVPICVIGILNHVQKISYFFRPLWDSPPPPFEHLPHYYAENVSMEHLCRLHGWTARTEPRRVFDAVIFSNELDLLEIRWQELHPYVTKFVILEANTTFTGLPKSLIFAQNQNRFSFAAEKIVHSVFPGRVASHRSHEDPFKLEAEQRVAMNQLLRRAGISNGDLLIMSDTDEIPSHHTIKLLQWCDGVPPVLHLELRHYLYSFEFPVDYSSWRATVHIYSPWTQYRHSRQTDRILSDAGWHCSFCFRYLKDFVFKMTAYSHADRVRHREFLDHTRIQNLICQGADLFDMLPEEYTFKELIKKMGSVPPSASAVHLPAYLIENAEIFRFLLPGGCMRPPA >itb08g12870.t1 pep chromosome:ASM357664v1:8:13378903:13382292:-1 gene:itb08g12870 transcript:itb08g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLSLAKMPSTTAVLSAYTSFTASVFLIRTFVSEFQSIANQVLPEEIRAKIWSKLGGLFGNFFFTAQMSVVIDEQNGFNINNEIFEASEAYLRTKLSPSSTMDRLVVFKAPQEKSFSFIISRGEKIIDKFEGVEFIWELKVSESQKPGYDHDGNRCSEGSERRAFELIFNQKCREMVFSSYIPFVLEKSKAIQEENKIIKIYSLGNWYGEINLEHPSTFETLAMDPELKKELIEDLDRFVRRKDYYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLDLSSLNGSYDIQSLLVTTKNRSIIVIEDIDCNIQLQNRNADEEEEYSSPPYNLQGDKSKVSLSSLLNFIDGLWSCCGDERIIVFTSNYKDRLDPALLRPGRMDMHIHMSYCTPGGFRVLASNYHDLKDHFKFAKIDQLLGQVDVTPAEIAEELMKSDEADVALKGLVKFMKKKKIAHDHDHDHDDADADDAAADEELKVMGGSDSGGISGGISDVSKTIAEKSVKKNNNKKKRKAKKVGRNYNHS >itb07g22690.t3 pep chromosome:ASM357664v1:7:27229785:27232227:-1 gene:itb07g22690 transcript:itb07g22690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLRALKPFKLTESICVRCYSYSPATNIHTGGRKMVKRAYDGVLLDAAGTLLQLGNPVEETYAAIGKKYGLTTTPAEIKQGFKRAFSASWPEDLRYKGDGRPFWKLIVSEATGCDDDSYFEEVYQHYANGDAWRLPAGASDTLSILKDAGGFNSLYVLLVA >itb07g22690.t1 pep chromosome:ASM357664v1:7:27228369:27232230:-1 gene:itb07g22690 transcript:itb07g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLRALKPFKLTESICVRCYSYSPATNIHTGGRKMVKRAYDGVLLDAAGTLLQLGNPVEETYAAIGKKYGLTTTPAEIKQGFKRAFSASWPEDLRYKGDGRPFWKLIVSEATGCDDDSYFEEVYQHYANGDAWRLPAGASDTLSILKDAGVKLAVVSNFDTRLRKLLKDLNVVDLFDAVIVSAEVGYEKPDVKIFGAALDQICVEKAKAVHVGDDPEADKEGANAAGIDCWLWGTDVKSFADIQSRVLIKP >itb07g22690.t4 pep chromosome:ASM357664v1:7:27228369:27232230:-1 gene:itb07g22690 transcript:itb07g22690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLRALKPFKLTESICVRCYSYSPATNIHTGGRKMVKRAYDGVLLDAAGTLLQLGNPVEETYAAIGKKYGLTTTPAEIKQGFKRAFSASWPEDLRYKGDGRPFWKLIVSEATGCDDDSYFEEVYQHYANGDAWRLPAGASDTLSILKDAGVKLAVVSNFDTRLRKLLKDLNVVDLFDAVIVSAEVGYEKPDVKIFGAALDLCREGEGSSCWRRSGG >itb07g22690.t2 pep chromosome:ASM357664v1:7:27228369:27232227:-1 gene:itb07g22690 transcript:itb07g22690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPLLRALKPFKLTESICVRCYSYSPATNIHTGGRKMVKRAYDGVLLDAAGTLLQLGNPVEETYAAIGKKYGLTTTPAEIKQGFKRAFSASWPEDLRYKGDGRPFWKLIVSEATGCDDDSYFEEVYQHYANGDAWRLPAGASDTLSILKDAGVKLAVVSNFDTRLRKLLKDLNVVDLGWIRETRRKDIWSGFRSDLCREGEGSSCWRRSGG >itb15g23680.t1 pep chromosome:ASM357664v1:15:26461810:26464598:-1 gene:itb15g23680 transcript:itb15g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSGYKVEVMNTSEVPISWHTAEVLSGNDHTYTVRYDSCSMFRSPSRKVKIPRKLIRPCPPPVRSGENWVVGDLVEVCLSNEEYSWKVACVLKVLRGDHYLVRLLGCSEEFSIHRSQMRSRQYWQDGKWVLLPKGSGNFKALKAHKLSSYNCHKKLNFQSPSSDHWANVRGGKECFASEDITRLQDSHVVSSRSLKRESPYYSSTVESYPGNSQKLRALETNCKRQRVVAPSQSLEKVDAVAYPRETLGEKYMHTSVNVISNGYNEMYEEKLHGVFCHSSSTTSEFSDSDSGSSVGSCSVASNSQINPGFHFVPVHRKVSDALVSDAESVCPLGDEDSSHSLLPDDGLGETIHRLELQAYRCTLQALYASGPLTWEKEALLTNLLTELHISDDEHLTELKNLISAKAPYDN >itb08g09680.t1 pep chromosome:ASM357664v1:8:8971539:8972330:-1 gene:itb08g09680 transcript:itb08g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCQEKCGNLTIPYPFGIGKPGCYLDEPFRVKCNSSTKVATLPYFYDVTIYNISSDSIIINAYGSSVVYNSSSGKNLEKGDVETVGYELLQPHFSISHTKNKFVALGCDIFSFLKDFRSGSIITGCASFCVNKDRLESQPNFSSCSGYGCCQTPIQTKLGDYFVSGAHTMNTDNTTWSRNRCNYIMIVEKSFDKFDEIKCRPDFTIPSAVDWSIGNLSCAKAKQRICGHNAYCANSTREGYLCRCSQGYQGNPYLPSGCQGA >itb10g16090.t2 pep chromosome:ASM357664v1:10:22360408:22364746:1 gene:itb10g16090 transcript:itb10g16090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKRSDSAVSTIVNLAEEAKIASEGVKAPTHAALLSICKSLAAGGIAGGVSRTAVAPLERLKILLQVQNPHSIKYSGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWFYRKQTGNEEAELTPILRLGAGACAGIIAMSATYPMDMVRGRLTVQIPYVGLNFSVYESLKDWLVKTRPFGLVQDSELSVTTKLACGAAAGTVGQTVAYPLDVVRRRMQMVGWKGAASVVTGDGKSKAPPQYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEMRISD >itb10g16090.t1 pep chromosome:ASM357664v1:10:22360400:22364962:1 gene:itb10g16090 transcript:itb10g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKRSDSAVSTIVNLAEEAKIASEGVKAPTHAALLSICKSLAAGGIAGGVSRTAVAPLERLKILLQVQNPHSIKYSGTVQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWFYRKQTGNEEAELTPILRLGAGACAGIIAMSATYPMDMVRGRLTVQSDKSPTQYRGIFHALRTVFVEEGPRALYRGWVPSVIGVIPYVGLNFSVYESLKDWLVKTRPFGLVQDSELSVTTKLACGAAAGTVGQTVAYPLDVVRRRMQMVGWKGAASVVTGDGKSKAPPQYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEMRISD >itb15g00320.t1 pep chromosome:ASM357664v1:15:197792:198323:1 gene:itb15g00320 transcript:itb15g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFILPKRCNLIQSSPQKMIPLLLPGSGFRNLYENLCSLHRNHEVIDAASSIFASSFTHPLLDLKIRYLYKNLRRLHSSNVSQMLGIMDSLTLPLIFTSLMNHGALKFNSAVYLSM >itb15g22350.t1 pep chromosome:ASM357664v1:15:25110751:25113930:1 gene:itb15g22350 transcript:itb15g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRAWKWYQNCLAVHPVKTQVISSGVIWGLGDISAQAVTHYTARSPRNLPSDEEKEFKINWRRVATTSLFGFAFVGPVGHYWYEGLDRYIRLRLRLQPKSLRFVATKVALDGIIFGPVDLFVFFSYMGFASGKTAPQVKEDLKRDFLPALILEGGVWPIVQVANFRFVPVRYQLLYVNFFCLLDSCFLSWIEQQQDAAWKQWFTSFMNLKEQEGQGG >itb09g05100.t1 pep chromosome:ASM357664v1:9:2895260:2895758:-1 gene:itb09g05100 transcript:itb09g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHVPKFGNWDGDNVPYTACFDNARRDKAAGGKMINPNDPEENPDAFGFMSPEKQARDGYHRSAEKSMSPSEESFADSKSGSGHSAKGHRRKRSEVKKNGSSNSFISAASPKTPRNGRSNPYDDLVSIFLAA >itb01g25840.t1 pep chromosome:ASM357664v1:1:31153518:31158440:-1 gene:itb01g25840 transcript:itb01g25840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKGIAFSPPLLCTLVFFLLGVQICVAQNISRASFPNGFVFGTASSAYQFEGAVKEDGKGSTIWDKFAHSFGKIIDFSNADVAEDQYHRYQEDVELMKDMGMDAYRFSIAWSRIFPNGTGEINQAGVDHYNNLIDALLAKGVTPYVTLYHWDLPQALDDRYGGWLDPQIIKDFATYAETCFKKFGDKVKHWITVNEPHTVALRGYGTGIHAPGRCSIRFAGFCKAGNSSTEPYIVGHNLLLAHATIVDIYRNSYQKKQQGSIAITIDSFWYEPMSNSTADIEATQRAIDFYLGWFLEPVITGDYPNSMRSRVGERLPIFSATESALVKGSYDFIGINHYTTWYATNDTGLIGLISSDVNDSGALTLPFKGLQPIGDKANSIWLYIVPRGIRSLVNHIKHKYGNPIILITENGMDDPKDASLNDTKRINYHNDYLTNLLAAIKEDGCNVKGYFAWSLLDNWEWNAGFSSRFGIIYVDYKNKLKRIPKQSFKWFKNFLTTQKNTVAHI >itb08g07700.t1 pep chromosome:ASM357664v1:8:6601135:6601422:-1 gene:itb08g07700 transcript:itb08g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MLDQGCDPDLVTCNIFLTILNEKMNPPQSGGEFLDELVLRLHKRQRILGASNIIEVMLRKALYPKLSTLEKIVRELCKPKKVQVAINKCWNDLFL >itb08g07700.t2 pep chromosome:ASM357664v1:8:6601135:6603141:-1 gene:itb08g07700 transcript:itb08g07700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MPFNASATKHLSSRLSPNPCKFFCHFNLIPRCFSLLPKPSEPEHEPEKDQTLIQDSPKSDCYGTRREQPPIADKLFKLAPKSGSYKLGDSTFYSLIENYANSGDFGSLEKVFDRMKREKRVFVEKSFILVFRAYGKARLPDKAVELFERMVDEFQCRRTVRSFNSVLNVIIQSGLYHLALKFYSDVVDRRGVEPNVLTFNLIVKAMCKVGMVDRAVEVFREMPEWECKADVYTYCTLMDGLCKDNRIDEAVTLLDEMQIEGCFPIPVTFNVLINGLCKKGDLGRAAKLVENMFLKGCVPNEVTYNTLIHGLCLKGKLDKAISLLHRMVSNKHVPNDITYGTIINGLVKQGRAVDGACIFRAMEERGHRANEYVYSSLISGLFKEGKSEEALKLWNEMIEKGSKPNTIVYSALIDGLCREGRPNEAQEILSQMINMDCTPNAWTFSSLMKGFFKIGKSNEAILVWKDMVDQNILPNEVCYSVLIHGLCGDGKLKEAMMVWKQMITNGWNPDVVAYTSMIYGLCNAGSVEQGLKFFHEMLCKESNSQPDVITYNILFNALCKQDRISRAIDLLNNMLDQGCDPDLVTCNIFLTILNEKMNPPQSGGEFLDELVLRLHKRQRILGASNIIEVMLRKALYPKLSTLEKIVRELCKPKKVQVAINKCWNDLFL >itb09g05530.t1 pep chromosome:ASM357664v1:9:3153793:3155577:-1 gene:itb09g05530 transcript:itb09g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSRALFFLAILSFSALSAIAEDSHETSAGLAMNYYKDSCPQAEDIIKEQVKLLYKRHKNTAFSWLRNIFHDCFVESCDASLLLDSTRRVLSEKEADRSFGMRNFRYIETIKEAVERECPGVVSCADILVLSARDGIVALGGPYIPLKSGRRDGRKSRANILEQYLPDHNDSMSLVLERFSNIGINTPGVVALLGAHSVGRTHCVKLVHRLYPEVDPQLNPDHVPHMLKKCPDPIPDPKAVQYVRNDRGTPMKLDNNYYRNILDNKGLMLVDHQLATDKRTKPYVKKMAKNQDYFFKEFSRAITILSENNPLTGTKGEIRKQCNLANKLH >itb07g17840.t4 pep chromosome:ASM357664v1:7:22147824:22150597:-1 gene:itb07g17840 transcript:itb07g17840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGLINIAGHANPTKELLWLFSVSVGIIMCKIVYDLTGAISPFLFKGFVKLDDKTRLEWNNRGFSTFHALIVVAASLYLLVGSDLFHDSSRDELVINRTSAFSDTVLGISTGYFLTDLAMIIYYFPALGGLEYVLHHGLSMLSIVQSLVSGQGLIYILMVLFSECTTPFVNLRW >itb07g17840.t5 pep chromosome:ASM357664v1:7:22146914:22150439:-1 gene:itb07g17840 transcript:itb07g17840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGLINIAGHANPTKELLWLFSVSVGIIMCKIVYDLTGAISPFLFKGFVKLDDKTRLEWNNRGFSTFHALIVVAASLYLLVGSDLFHDSSRDELVINRTSAFSDTVLGISTGYFLTDLAMIIYYFPALGGLEYVLHHGLSMLSIVQSLVSGQGLIYILMVLFSECTTPFVNLRWYLDVAGQKSSRFYLFNGVALFFGWLVRPSRFELLFLFVFFFFFLLLWTQTLILVAISSSI >itb07g17840.t3 pep chromosome:ASM357664v1:7:22146914:22150439:-1 gene:itb07g17840 transcript:itb07g17840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGLINIAGHANPTKELLWLFSVSVGIIMCKIVYDLTGAISPFLFKGFVKLDDKTRLEWNNRGFSTFHALIVVAASLYLLVGSDLFHDSSRDELVINRTSAFSDTVLGISTGYFLTDLAMIIYYFPALGGLEYVLHHGLSMLSIVQSLVSGQGLIYILMVLFSECTTPFVNLRWYLDVAGQKSSRFYLFNGVALFFGWLVARIVLFIYFFYHMFTHFDQVKQVYPMGFYTLLTVPPVLTMMNLFWFTKIAKGMVKTLVKSWHRR >itb07g17840.t2 pep chromosome:ASM357664v1:7:22146914:22150702:-1 gene:itb07g17840 transcript:itb07g17840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPDLNGTTGLLICSGFSTFHALIVVAASLYLLVGSDLFHDSSRDELVINRTSAFSDTVLGISTGYFLTDLAMIIYYFPALGGLEYVLHHGLSMLSIVQSLVSGQGLIYILMVLFSECTTPFVNLRWYLDVAGQKSSRFYLFNGVALFFGWLVARIVLFIYFFYHMFTHFDQVKQVYPMGFYTLLTVPPVLTMMNLFWFTKIAKGMVKTLVKSWHRR >itb07g17840.t1 pep chromosome:ASM357664v1:7:22146914:22150702:-1 gene:itb07g17840 transcript:itb07g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGLINIAGHANPTKELLWLFSVSVGIIMCKIVYDLTGAISPFLFKGFVKLDDKTRLEWNNRGFSTFHALIVVAASLYLLVGSDLFHDSSRDELVINRTSAFSDTVLGISTGYFLTDLAMIIYYFPALGGLEYVLHHGLSMLSIVQSLVSGQGLIYILMVLFSECTTPFVNLRWYLDVAGQKSSRFYLFNGVALFFGWLVARIVLFIYFFYHMFTHFDQVKQVYPMGFYTLLTVPPVLTMMNLFWFTKIAKGMVKTLVKSWHRR >itb10g18130.t1 pep chromosome:ASM357664v1:10:24161945:24173694:1 gene:itb10g18130 transcript:itb10g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCEEEIATIEGLDDAFCEPVEIYNLIDYRASMGQPLFLHRCLSYKQQERFKGRLQMKVVLPVSFNTKVINEGVFPVCVLLAKEVTDKATTEDYSVHLSEILTQSVYRFGRARILYSDTTRGNHVQASFMLPKMEKLSELDTASLSVMFISSAKLTPDCMDLSSFPSNVEGDCLVGKVPIDYLSWTWTDSASWSLGETADMLLAVDLDPYYLKSSRSEAGIPSFGLTPTPGASDTLIKRLQVGVSAWEIGVTERNVYDSYAEHAIPSGCPNLIRLRSGNVIFNYTQRDKKLLTTEVTEDFACPLCFVKCVNFKGLECHLTASHDMFNFEFLVTKECQSVNVSPKSDTWSPKIIGHGVDPQNQAFLFCAKSLRRRKSQTVVRNATKTHRPVLDLKVSERQCKTDGHSLTHSDPGCLQSFPGSTDAPPTTPQDDCTDKPVGSDCVQSVPRSCLPSPERLRHDNAYCGQDLNDYPDSVESAPELQFPPPAKIQHAQTRKVESVADDSMKYGY >itb13g20140.t1 pep chromosome:ASM357664v1:13:26962798:26965153:-1 gene:itb13g20140 transcript:itb13g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSIARRKASSLLSSMSMASIARRKASSLLSSRNLYYNSFSLSRGFASGSDEENEDVVIGGGPGGYVAAIKAAHLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATHSFANHGLEFSSLKFLSPSEITVDTIDGGETVVKGKNIIIATGSDVKGLPGITIDEERIVSSTGALALKEIPKKLVVIGAGYIGIEIGCVWGRLGSEVTVVEFAPHIVPSMDGEVRRQFQRTLEKQKMKFMLKTKVVSVDSSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTAGLLLDKIGVETDKIGRILVNERFATSVPGVYAIGDVIPGPMLANKAMEEGAACVEFIAGKEGPHVDYDMVPGVSSHTLRAKAIDDAEGLVKILAEKETDKILGVHIMAPKAGEYIHEAVLALQYGGSSEDIARTWHVHPTMSEAVKDAAFATYDKPIHI >itb03g19730.t1 pep chromosome:ASM357664v1:3:17717829:17718957:1 gene:itb03g19730 transcript:itb03g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSISTTKKFFQKTLDTFKSFLSSGYQKLPKSPPCTPFPCPDNAPHYKDLERFYSDFTAQWDETEKGKCRKRTKKKDPPDHSKAHQTQGDQKGSSQANFNPNNHQGKKGEDQMAQRRKKIVYNGKKYQEFSRLREERSYVVAQKLKELKMIDNSNEEHMLDVEEILHYYSRLTCPAYLDIVDKFFMEMYSELFSIIQSSPHHHHPYNSRSDNVTSFHELY >itb13g00990.t1 pep chromosome:ASM357664v1:13:931797:932782:1 gene:itb13g00990 transcript:itb13g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVFYFFLLVLVFEFQTSFGQGTVNGAYWLADAGVPASDIDSTLFTHLFCAFAELDPQTNKVTLPSTSFSQFTATVRLKNPSVKTLLSIGGGNIADPNTFPAMASQPSSRKSFIDSSISVARANGFSGLDLNWEYPRTTNQMADMESLLKEWRAAVAAEAASSSRSPLLLTTAINNAPRIKELSYPIQAIQKNLDWVNVMAYDFYDLSYSKVTRPHSTLYDPAGRFNGSNGIQNWLVEALAVNLLGEISIIVFKP >itb06g09430.t1 pep chromosome:ASM357664v1:6:13711544:13712824:1 gene:itb06g09430 transcript:itb06g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVKNLLCGSRVLTVKQNGMMRLSLVWYHCSEESERGSLPSEWYEKAFLKLTKLSCFLKTVDLINGRPVNVIDESRVHDDILLENLHHFKSLARDFIGCPSVQETMKKNMIVALGSNAECKEPLCFSKASERESIRVDSLTKVAKFLDISAQQRKVVRAAICPQVTRHQIFTGALEEILDLLKSEIEYSDSRCPSNQVKMAKQIVVSCLKVLDIATSYNPESTSWMRVAPVKDADSPTTSHKWEDILEMFIDLVDCLSEETKLALDVKKAEVMKEGLYQIRDVRIDKNIGYRETRHQENLVQKILSKTLGHSSRCLFTLLTYYLYGNIQDIEVEVCGGLYEIGQGNKFRLCMGKILTSDEEKILWSGVRQLDKALGLFKFIWETAGMKGDLELQGHLWCIGTDSRSLTYRGNTFLLHGIDHFR >itb09g28220.t1 pep chromosome:ASM357664v1:9:28861498:28862199:1 gene:itb09g28220 transcript:itb09g28220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSPPNIISRHSRVVRNCYRSRSLQADEFRTPSHPYPLLVQSYHTSSTPTPYKKVLEITKHLPHSTIPSPSILLTLAPPNHFFKIQKHFSLSPLFLSLPPLYNPSPFHFSTPTPTQDNTKLSSFPIFFLFSGERSTLPTSSVSAASSPHQSAADHAGRTDSRPAAGRRRNRSSPLSPRPHPEPPLSTPRRPDEPEQLPSTPGHHRAPRAVTPTPKSEFSPCFTSSFHLVPS >itb04g14160.t1 pep chromosome:ASM357664v1:4:14557356:14562083:1 gene:itb04g14160 transcript:itb04g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKIVECKICHEEDLESNMETPCSCRGSLKYAHRGCVQRWCDEKGDTVCEICHQRFKPDYTAPPGVDRYGRLIRGYRVASFYPTYAEDYADNAFCRSATVISSNHSSLSRGVFLVFGNVAASKNRWNCSPRWKVVTSWLYRQQEQTPRGVQGRMSVGACTTVVGSSSGSTTPYRGSTRVHGGRWYVVARTVVAGCAWRERGVGGGMCGLWRLLL >itb14g03470.t1 pep chromosome:ASM357664v1:14:3075384:3078078:-1 gene:itb14g03470 transcript:itb14g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHKLERVYLQINSFSGSIPWDIFNISTLLELNLGINSLSGNLPPRMGSRLPNLVGINFNINDLTGAIPSYISNCSKLEVIFFGTNSFSGPIPNSLGELRLLKVLNMEYNKLTSESFTIGSNIISSIAANCRYLRKLILDHNPLNIVLPTSFGNLSTSLDTFSVNGCNIKGNIPDGIGNLSSLIELDLSKNDLFGVVPRAIKGLEMVQLFDSFENRLSGSLPSSICNLKYLGRLNLGKNQIWGSIPECIGNVTSQREIYLYSNRLSFNIPSSLWDLKDLLYLDLSSNFLNGSLPPKIGNLKIATYINLSVNHISGYIPITIGGLQQLATISLENNLLQGPIPDSFANMINLERLDLSNNNLTGRIPKSLETLRYLRLMVIQITTSLIAPIRAGAILAQLLEKNIVKTEDQERIIEVSCRERVYHF >itb15g19670.t1 pep chromosome:ASM357664v1:15:22143743:22147187:-1 gene:itb15g19670 transcript:itb15g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMTKWSPWPPSSLPQATRKFHVKLTRFRLEGIENIFERKTHASCLALKVKWKGEPRKFGLHHLVSSKQRCREDLSRVKMLGEGQEGVTWDDDEFENTCCLAAVSGHGRRQFGPWRISFHVLLGKSEAKLVAIGKGSVNVSEVAARMVSDIEWEIPVILRAAGGFKEASLIVNFTIAEIRDSPVLARIVPDSIESINRTNEKQMELNEPGTSLATRSNSSWAELDQDKKAAGWFSWKRHRMSVRPVQSKDEPLIKKTRSWNFGNIVDPVFPNSNQDKIGEYSEPSDEETIGAWESKELVSRDGETKLKSDVFFATFDQRSDKAAGESACTALVAVISHWLHSNADATPTRPEFDDLIVQGSSEWRNLCDDASYLNDFPNKHFDLETVLHAGVRRVAITRDSSFVGFFNPEKFASLSGAMSFDEIWQKIVANNDLPRVYIISWNDHFFVLKIDRDAYYIIDTLGERLFEGCEKAFVLRFDDSSLMVRKENGDDEDDDEKIICSGKECCREFIVRFLAAIPLEELEEEEKKEAVSYFSLHQRLQIEFNLTCMLSSPFSSLSSSPFSSSAVSTPSRL >itb12g11330.t1 pep chromosome:ASM357664v1:12:9539881:9540687:-1 gene:itb12g11330 transcript:itb12g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFSPCNSTAHFHESSSSFGLPDYPCKQHKNHGDARGGTMKKKSSSSSSSSSGRAAKLSTDRQSVAARERRHRISDRFKILQSLVPGGSKMDTVSMLEGAIHYVNFLKAQIRLHETIIDSVSGDHNYHNYVPNNHNGFLVGTSGDCYYYDDPSGGYSQFLGDATAAIHEDEWLQAHAAVAPPCPPSQLAFVEGGAPFQAEETMYYDESMYY >itb01g19580.t4 pep chromosome:ASM357664v1:1:25726666:25729398:-1 gene:itb01g19580 transcript:itb01g19580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLNRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGEDPGSLGKLLRSALAFRWQ >itb01g19580.t3 pep chromosome:ASM357664v1:1:25726666:25729398:-1 gene:itb01g19580 transcript:itb01g19580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLNRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGEDPGSLGKLLRSALAFRWVMSNIVEPAPGSSFVGNFFGYNFDRLNNPNICFWVSLSIGIYVLY >itb01g19580.t2 pep chromosome:ASM357664v1:1:25726666:25729398:-1 gene:itb01g19580 transcript:itb01g19580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLNRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGECFFIYYGLRAFAQVYFMLT >itb01g19580.t1 pep chromosome:ASM357664v1:1:25726666:25729398:-1 gene:itb01g19580 transcript:itb01g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLNRIFVRSLSRRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGEDPGSLGKLLRSALAFRWQ >itb11g18580.t1 pep chromosome:ASM357664v1:11:18952974:18956268:1 gene:itb11g18580 transcript:itb11g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEPLINMNLSMYYYLFPLFISILFLSKFLFSSRRDRKNLPPSPPKLPLLGNILQLGSLPHRTLQQLSTHYGPLMLLHFGSVPVLVASSPDAARAIMKDHDLVFSDRPASSIASRLFYGYKDVASAPYGEYWRQMRSICVLHLLSNTRVQSFRNVREEETGLMVEKIRQSCGSVINLSNIFFELTNDIVCRVALGRKYSKKGGNGEKDFKLLLAEFLELLGTFSVGDYIPWLSWVNRINGLDRRVEKNAKDLDEFIDGVVEEHVGLKKEEGDGLDFVDILLDIQRENKIGFPIQRDSVKALILDMFSAGTHTVYTLLEWTMTELIKNPEVMKKLSSEVRRLKTSDDLETMQYLKAVIKETLRLHPPIPLLVPRKAFHDVKVMDFHVATGTQVIVNSWAIGRDPIIWENPEEFKPERFLYSNVDYKGMHFELIPFGAGRRGCPGVAFTANMIEFALATLVHEFEFASTKDDLDMSEGIGLTANKKIPLTVIATPRVG >itb10g08140.t1 pep chromosome:ASM357664v1:10:10274032:10275609:1 gene:itb10g08140 transcript:itb10g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNQNPRGWAENDVANQSLDSENDKAALQTLLTNLQERLPAVETKMEEVEPRISGVENATGNLREQVNVVHALQQLMFRGGQGRRTGKLSPWIRPVFNISKDSRLSNRIQAIAMSSCFTKASRLIDHYFQLHNLAVPPSHTSLDVARHLESFNTATPAGLGADPALNLLLELHNPSSELYIESTRLLLSWL >itb15g03260.t1 pep chromosome:ASM357664v1:15:2093879:2097751:-1 gene:itb15g03260 transcript:itb15g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRFLGWLDQMVGMKAVSVNVGMLHYVLDHVYGAVVHRTNISPPFFSRGWGGSKLELLERMIKQLFPDVEGQNWPPTLMQPSWKTVWESKSACLREGMFKTPCDEQLLSALPQESHTGRVAFLVPKCVPPDRMSCVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGKRRPLLQRGAKLLCVSDLLLLGRVTIEEARSLLHWLDYEAGFGKMGVCGLSMGGVHAAMVGSLHPKPIAIFPFLSPHSAVVAFCEGILKYGTAWEALRQDLGVQDNATTLEEVRERMRNVLSLTDVTRFPIPKNPSSAIFVAATDDGYIPRHSVLELQKAWPGSEVRWVTGGHVSSFLLHNGEFRRAIVDGLNRLPWKESSPV >itb12g27100.t2 pep chromosome:ASM357664v1:12:27684584:27688929:1 gene:itb12g27100 transcript:itb12g27100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIVKSSNLSKLRQLLQSAPPSLAARAISTASSPIIGQSSVLANRLSPRIPSPFSYTAVRHFRNARDPSSRYEIPPPMNWGVRIVPEKKAFVIERFGRYVKTLTPGIHVLIPLVDKIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVMAINEAAKDWGLKCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKNAVILESEAAKMDQVNRAQGEAEAIIAKAQATAKGIAMVSQSLKDHGGSEAASLRIAEQYIQAFGNVAKEGTTLLLPTSASDPASMIAQALNIYKGLVTKNYSSGSTEVSANKAKQNVSTGLLDDQSSTTPISNDEDQASDPVFSLQTPKHRD >itb12g27100.t1 pep chromosome:ASM357664v1:12:27684584:27688929:1 gene:itb12g27100 transcript:itb12g27100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGVRIVPEKKAFVIERFGRYVKTLTPGIHVLIPLVDKIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVMAINEAAKDWGLKCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKNAVILESEAAKMDQVNRAQGEAEAIIAKAQATAKGIAMVSQSLKDHGGSEAASLRIAEQYIQAFGNVAKEGTTLLLPTSASDPASMIAQALNIYKGLVTKNYSSGSTEVSANKAKQNVSTGLLDDQSSTTPISNDEDQASDPVFSLQTPKHRD >itb09g04750.t2 pep chromosome:ASM357664v1:9:2648126:2655498:1 gene:itb09g04750 transcript:itb09g04750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKDKDDDENPTEEMIAEDWCFACKDGGELLLCDHRKCVKAYHPDCVGKDESALTSDKRWTCRWHSCLVCDRSSKYHCYCCPNAVCRGCTGEARFVRVNKKYGFCHDCTKLALLVEENKDVDSEGESVDLKDRDTYEGLFREYYEIIREKEGFDADTVRKAKIRLDKDIGKDKSDSDFNESNEGEEDLCFSSDSDENGYDSGGQKHGHRRKKLKIQKTNSHKNESHKKEKSKKMVFDSWGSVALIRFLKSIGEDTKEELSLDDVERIILRYVKENKLFHPTKKRKIICDSQLQSILRRKEVNKNSVPKLLECHFAINLEESEDDEICEDIEDGDEKTVETCEVERKQKSDTDEQKSDTDKQKSDSDKQKSGSDKHKSNNDKQKSSNNKQKSGKGTSKKEGLMNMFQSRYAAVVPENIKLVYLKKSLVHELSKQPQEFQNKVVGSFVRVKSNPSDIRRRSGLFQLEQVTGISSASVAEENSEVMLRVSNMPNDISLGMLSDGEFSEEECAQLQQKVKAGLLKRPILVELQEKATSLHEDIIKHWIPRERVRVQTLIDLANERGWRRDLYEYLAMRKNLDDPSGVSRLLQKLPVVIPDIELPDNTPEDINSERKENGGASQESPPIVVPKENEPSKTGQPLQSTANKESNSLETCQLEGALPGIKKPNSQSDEMQMQQTDVNVENEETRGQAMANLTESRDNERSGGGVCGDLNLNQPMDVKLDVENSDKQTTGEVIEAGGDEKSKKAVTCDGKTQNQPMEVKRETENIATATVTKPGAGEKPAIVTYTHRKQMQQTHVNLKNKEIPKQAILANLTESRDQERSGGDKNQNQPMDAKQDAENSHNKQTIEAGTDEKPKIVTYYGKKHNQPMKPKLETGKSLKLGTDDIKESNAAAAAADDEKVEVATENPEALVWHILGMNKRNEKYNLSFLKKWSETNRLAHKSKVFKEGQCEENAIPLMDAVKLAFPRATR >itb09g04750.t1 pep chromosome:ASM357664v1:9:2648148:2655498:1 gene:itb09g04750 transcript:itb09g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKDKDDDENPTEEMIAEDWCFACKDGGELLLCDHRKCVKAYHPDCVGKDESALTSDKRWTCRWHSCLVCDRSSKYHCYCCPNAVCRGCTGEARFVRVNKKYGFCHDCTKLALLVEENKDVDSEGESVDLKDRDTYEGLFREYYEIIREKEGFDADTVRKAKIRLDKDIGKDKSDSDFNESNEGEEDLCFSSDSDENGYDSGGQKHGHRRKKLKIQKTNSHKNESHKKEKSKKMVFDSWGSVALIRFLKSIGEDTKEELSLDDVERIILRYVKENKLFHPTKKRKIICDSQLQSILRRKEVNKNSVPKLLECHFAINLEESEDDEICEDIEDGDEKTVETCEVERKQKSDTDEQKSDTDKQKSDSDKQKSGSDKHKSNNDKQKSSNNKQKSGKGTSKKEGLMNMFQSRYAAVVPENIKLVYLKKSLVHELSKQPQEFQNKVVGSFVRVKSNPSDIRRRSGLFQLEQVTGISSASVAEENSEVMLRVSNMPNDISLGMLSDGEFSEEECAQLQQKVKAGLLKRPILVELQEKATSLHEDIIKHWIPRERVRVQTLIDLANERGWRRDLYEYLAMRKNLDDPSGVSRLLQKLPVVIPDIELPDNTPEDINSERKENGGASQESPPIVVPKENEPSKTGQPLQSTANKESNSLETCQLEGALPGIKKPNSQSDEMQMQQTDVNVENEETRGQAMANLTESRDNERSGGGVCGDLNLNQPMDVKLDVENSDKQTTGEVIEAGGDEKSKKAVTCDGKTQNQPMEVKRETENIATATVTKPGAGEKPAIVTYTHRKQMQQTHVNLKNKEIPKQAILANLTESRDQERSGGDKNQNQPMDAKQDAENSHNKQTIEAGTDEKPKIVTYYGKKHNQPMKPKLETGKSLKLGTDDIKESNAAAAAADDEKVEVATENPEALVWHILGMNKRNEKYNLSFLKKWSETNRLAHKSKVFKEGQCEENAIPLMDAVKLAFPRATR >itb09g04750.t3 pep chromosome:ASM357664v1:9:2649316:2655498:1 gene:itb09g04750 transcript:itb09g04750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMKCVKAYHPDCVGKDESALTSDKRWTCRWHSCLVCDRSSKYHCYCCPNAVCRGCTGEARFVRVNKKYGFCHDCTKLALLVEENKDVDSEGESVDLKDRDTYEGLFREYYEIIREKEGFDADTVRKAKIRLDKDIGKDKSDSDFNESNEGEEDLCFSSDSDENGYDSGGQKHGHRRKKLKIQKTNSHKNESHKKEKSKKMVFDSWGSVALIRFLKSIGEDTKEELSLDDVERIILRYVKENKLFHPTKKRKIICDSQLQSILRRKEVNKNSVPKLLECHFAINLEESEDDEICEDIEDGDEKTVETCEVERKQKSDTDEQKSDTDKQKSDSDKQKSGSDKHKSNNDKQKSSNNKQKSGKGTSKKEGLMNMFQSRYAAVVPENIKLVYLKKSLVHELSKQPQEFQNKVVGSFVRVKSNPSDIRRRSGLFQLEQVTGISSASVAEENSEVMLRVSNMPNDISLGMLSDGEFSEEECAQLQQKVKAGLLKRPILVELQEKATSLHEDIIKHWIPRERVRVQTLIDLANERGWRRDLYEYLAMRKNLDDPSGVSRLLQKLPVVIPDIELPDNTPEDINSERKENGGASQESPPIVVPKENEPSKTGQPLQSTANKESNSLETCQLEGALPGIKKPNSQSDEMQMQQTDVNVENEETRGQAMANLTESRDNERSGGGVCGDLNLNQPMDVKLDVENSDKQTTGEVIEAGGDEKSKKAVTCDGKTQNQPMEVKRETENIATATVTKPGAGEKPAIVTYTHRKQMQQTHVNLKNKEIPKQAILANLTESRDQERSGGDKNQNQPMDAKQDAENSHNKQTIEAGTDEKPKIVTYYGKKHNQPMKPKLETGKSLKLGTDDIKESNAAAAAADDEKVEVATENPEALVWHILGMNKRNEKYNLSFLKKWSETNRLAHKSKVFKEGQCEENAIPLMDAVKLAFPRATR >itb12g03150.t1 pep chromosome:ASM357664v1:12:2092570:2095966:-1 gene:itb12g03150 transcript:itb12g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSVKSTLSTLAFGNVMAAAARDYQKEILSKDKAQTSSSVNEDIDLDELMDDPELEKLHADRIAALKKETEKRQELKKQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKSLAPRHFDTKFLKLDAENAPFFVTKLGIKTLPCVILFRKGIATDRLVGFQDLGGKDDFPTKTLETYLLKKGIIKENKPDDDNDDGDYDNGRRAVRQSVHSDSDSD >itb09g11580.t2 pep chromosome:ASM357664v1:9:7214309:7216751:1 gene:itb09g11580 transcript:itb09g11580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAANQSRRQLHFIVFPVMAPGHMLPMIDVARMLARCDGVMVTIITTPVNANRFRSMLDRDRECGFNIGALELRFPCKEVGLPEGCENADLVPKGKNLEMNFLAAVGMLRPHVEAAMKRCEPPASCIVSDMLLIWTAEIAEGLNIPRIVFNGSCCFSYWCSNKITDSEILGGVKSDTEMFTVPDLPHKIQVCKAQVKGVTFDPNILNPDLAKLVAEKLRQSINAAYGAIVNSFDELEPDYVKEYKKMYGGRVWCVGPVSLCNQEYEDQALRGATSAAEKKIDEQQERLMKWLDLQEAGSTIYVSLGSLARLTPRQMTELAVGLESSKRPFIWVLGKKDMHLDAFEDWNVSTGFEERNKGRGVLIREWAPQVLILSHPSVGGFLTHCGWNSTLEAISLGVPMLTWPLFAEQFLNEKLVVEVLGIGVSLGLKVSVNWDGSEDKGNIVVVKSEEIKEGIDKLMDKEAGKERRRKVKELGEKAKKAVQKGFLDYPSASSSIPSPKDSIFSSLLDSKPDPFQNLFGAFKKWDSQVGCAQFREKHGGDFRNGSGLISSPSLQDVDEGKLECGELKMNHVSILVKGWTWIPDGMKNYLDSS >itb09g11580.t1 pep chromosome:ASM357664v1:9:7214238:7216091:1 gene:itb09g11580 transcript:itb09g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAANQSRRQLHFIVFPVMAPGHMLPMIDVARMLARCDGVMVTIITTPVNANRFRSMLDRDRECGFNIGALELRFPCKEVGLPEGCENADLVPKGKNLEMNFLAAVGMLRPHVEAAMKRCEPPASCIVSDMLLIWTAEIAEGLNIPRIVFNGSCCFSYWCSNKITDSEILGGVKSDTEMFTVPDLPHKIQVCKAQVKGVTFDPNILNPDLAKLVAEKLRQSINAAYGAIVNSFDELEPDYVKEYKKMYGGRVWCVGPVSLCNQEYEDQALRGATSAAEKKIDEQQERLMKWLDLQEAGSTIYVSLGSLARLTPRQMTELAVGLESSKRPFIWVLGKKDMHLDAFEDWNVSTGFEERNKGRGVLIREWAPQVLILSHPSVGGFLTHCGWNSTLEAISLGVPMLTWPLFAEQFLNEKLVVEVLGIGVSLGLKVSVNWDGSEDKGNIVVVKSEEIKEGIDKLMDKEAGKERRRKVKELGEKAKKAVQKGGSSQCNLMALIQAVATFGATKL >itb09g10530.t1 pep chromosome:ASM357664v1:9:6522487:6523156:1 gene:itb09g10530 transcript:itb09g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVENAEYALISEAENQNKAIVRTLYLAMASGDTRQAAGIIGSDLEWWFHGPQKCHHMMNMLTGKSSAAAADFKFEPRSVDAIGERVIVEGWEGAQAYWVHVWTVKDGVITQFREYFNTWLIVKELRGVSVRSSKSTVWRSHPRDIAKRTLPGLVLAIDATSPIAC >itb09g03870.t18 pep chromosome:ASM357664v1:9:2129120:2134953:1 gene:itb09g03870 transcript:itb09g03870.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t9 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t13 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t10 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t12 pep chromosome:ASM357664v1:9:2129120:2134953:1 gene:itb09g03870 transcript:itb09g03870.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t14 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t11 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t5 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t22 pep chromosome:ASM357664v1:9:2129096:2134841:1 gene:itb09g03870 transcript:itb09g03870.t22 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t1 pep chromosome:ASM357664v1:9:2129096:2134953:1 gene:itb09g03870 transcript:itb09g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t8 pep chromosome:ASM357664v1:9:2129123:2134841:1 gene:itb09g03870 transcript:itb09g03870.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t21 pep chromosome:ASM357664v1:9:2129104:2134841:1 gene:itb09g03870 transcript:itb09g03870.t21 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t24 pep chromosome:ASM357664v1:9:2129123:2134841:1 gene:itb09g03870 transcript:itb09g03870.t24 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVVRIHSLHNSRFVCLI >itb09g03870.t25 pep chromosome:ASM357664v1:9:2129104:2134841:1 gene:itb09g03870 transcript:itb09g03870.t25 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVVRIHSLHNSRFVCLI >itb09g03870.t3 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t7 pep chromosome:ASM357664v1:9:2129120:2134953:1 gene:itb09g03870 transcript:itb09g03870.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t20 pep chromosome:ASM357664v1:9:2129104:2134953:1 gene:itb09g03870 transcript:itb09g03870.t20 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t19 pep chromosome:ASM357664v1:9:2129123:2134841:1 gene:itb09g03870 transcript:itb09g03870.t19 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t23 pep chromosome:ASM357664v1:9:2129286:2134953:1 gene:itb09g03870 transcript:itb09g03870.t23 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVVRIHSLHNSRFVCLI >itb09g03870.t26 pep chromosome:ASM357664v1:9:2129104:2134953:1 gene:itb09g03870 transcript:itb09g03870.t26 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVVRIHSLHNSRFVCLI >itb09g03870.t27 pep chromosome:ASM357664v1:9:2129123:2134841:1 gene:itb09g03870 transcript:itb09g03870.t27 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVSMNRFRGQSQARL >itb09g03870.t17 pep chromosome:ASM357664v1:9:2129104:2134840:1 gene:itb09g03870 transcript:itb09g03870.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t4 pep chromosome:ASM357664v1:9:2129123:2134840:1 gene:itb09g03870 transcript:itb09g03870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t6 pep chromosome:ASM357664v1:9:2129123:2134841:1 gene:itb09g03870 transcript:itb09g03870.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICTYELEDIVWHEFCQSGDHIVPYPSSGSAGEHQLPSDNCKKPRYVTGPKERGFSALNNSRFTMLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t16 pep chromosome:ASM357664v1:9:2129104:2134840:1 gene:itb09g03870 transcript:itb09g03870.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb09g03870.t15 pep chromosome:ASM357664v1:9:2129104:2134840:1 gene:itb09g03870 transcript:itb09g03870.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVESMNRFRGQSQARL >itb09g03870.t2 pep chromosome:ASM357664v1:9:2129096:2134953:1 gene:itb09g03870 transcript:itb09g03870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGSWSDTPNAFTTSHDNHIVNKVSNLSSSEHNRTSSHCFKSNNMDSIGSEFCTNDPILDDQNVGVDGNSYSYPLGQISQTENDLSFLDDNNEEKNSNDLLDYGWPEIGNFEDVDRMFRSCDSTFGLGTSNTDDLGWFSSSDVIEGSEDASRSDFKFSCAAPNGLENILVSEDAAGLNEASGSINNSGMRSQSDDSYRGNLWDLEKDECATLNHLSFVDGSRSSDYEDGSMPERLTNTHKKQLKHKNRSEGKRKGIYLENGASFPFNGNLPEDAKQSTGANSSQSTFTSMDIQQHNHCGSDSFAYLQSNFPYMHTEYNHPSDQRSVRATVPSVKSENNALKSLSPKGSYVPNQLPSIQSSLDISFQVGTTMPNEKKLEKQLSSGFKSENHSDMNGINMKAPMQLDSSILLESSSISSGMDEVSEEAVCFNQLQHVMEQLDLKTKLCIRDSLYRLARSAEHRHRNANFNGGTGDNRDASGALVAHGADRCSGYMDIETDTNPIDRSIAHLLFHQPSDSPVIQGSITSTPVIATEKVGHGDAAIEEDTKAGYE >itb07g11320.t2 pep chromosome:ASM357664v1:7:12787286:12790186:-1 gene:itb07g11320 transcript:itb07g11320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTEGWSWSILMGICLSARIKAESPSCTGLSSRFVSTDGANLNKSGSKNAVPPAPRSEGEILKSTTLKSFNFADLKVATRNFRPDSVLGEGGFGSVFKGWIDENSFSATKPGTGLVIAVKKLNQEGFQGHREWLAEVNYLGQFSHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWTLRLKVALGAAKGLAFLHCAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTRSDVYSFGVVLLEMLSGRRAIDKNRPSGQHNLVEWAKPYLAIKRKVHRVLDNRLEGQYSLDVAQKVAFIALRCLSTDARIRPTMDDVVRELEKLNETQDMENTGKPTGNGSKLRRRSTGDAAGLNSQVSFPKQSASSLLPNMSLKASA >itb07g11320.t1 pep chromosome:ASM357664v1:7:12787283:12790336:-1 gene:itb07g11320 transcript:itb07g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSARIKAESPSCTGLSSRFVSTDGANLNKSGSKNAVPPAPRSEGEILKSTTLKSFNFADLKVATRNFRPDSVLGEGGFGSVFKGWIDENSFSATKPGTGLVIAVKKLNQEGFQGHREWLAEVNYLGQFSHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWTLRLKVALGAAKGLAFLHCAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTRSDVYSFGVVLLEMLSGRRAIDKNRPSGQHNLVEWAKPYLAIKRKVHRVLDNRLEGQYSLDVAQKVAFIALRCLSTDARIRPTMDDVVRELEKLNETQDMENTGKPTGNGSKLRRRSTGDAAGLNSQVSFPKQSASSLLPNMSLKASA >itb13g25200.t1 pep chromosome:ASM357664v1:13:30640045:30643703:1 gene:itb13g25200 transcript:itb13g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRSTKKKSGEMENLALDSNRFSRDLLQRFMGAGAGAAGGGDGLETAEDDGELELNLGLSLGGRFGVDKSSNKLLRSSSIAACLPIVRDDDAVAPPPPAAYSALVRTSSLPVETEEEWRKRKELQTIRRLEAKRRRSEKQRISRGDSAGAGAVAGGAVEEPKRESGVSFRTRLDKEQLLLATKSSFRSGIDIGMFKGKRSCFSSNKLQPSSQGSIESQGANSSSISELESKSLQGSCELSPGSIQSIQEGGNQETGSSRSKTTRDIPGRTASGIETDPPSNKPESTSRPATREAGTASLEDMPCVFTKGDGPNGKRIDGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGSDVAHPLKHIVINRNSSPFQ >itb01g36160.t1 pep chromosome:ASM357664v1:1:38051092:38052014:-1 gene:itb01g36160 transcript:itb01g36160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >itb09g02680.t1 pep chromosome:ASM357664v1:9:1526886:1528194:-1 gene:itb09g02680 transcript:itb09g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALKAAATVAPKMPPPLGEVDVHSFKKRRSKRPRTESPQPESEEEYLAVCLVMLARGEEPRRRRILAGEEAEKTEKTEEKKSEDSPPPPRSPPKAVLETVQEYKCSVCDKAFASYQALGGHKASHRKYAAAAEDDNNHPSTSASVTAAGALNPSGKPHECSICHKSFPTGQALGGHKRRHYEGKLGGGGSRSGTSSDSVVISIRTPLEFDLNELPPSPELDLRLSIDCGSGQPNQPSTGDHEVESPMPAKKPRLSFPETA >itb02g23730.t1 pep chromosome:ASM357664v1:2:23933550:23935408:1 gene:itb02g23730 transcript:itb02g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAEASREEHHGHLNGGNGSIIFGKYEMGRLLGQGTFAKVYYGRNLETSESVAIKVLHKDHVKQEGMMEQIKREISVMRLAKHPNVVELKEVMATKQKIFFVMECVRGGELFAKVAKGKLEEDAARKYFQQLISAVDFCHSRGISHRDLKPENLLLDENGNLKVSDFGLSALPEQQRHDGLLHTRCGSPAYVAPEVLRKKGYDGANADIWSCGVILYVLLSGFLPFRDRNVVYMYTKVFKAEYDFPPWISPEAKRLISRILVVDPEKRITIPAIKRVPWFLKGLTPPISYSVQENEELDSLIKSKSSPPFYNAFELISSMSSGFDLSSLFESKRRRSGSLFTSKFSAPAILEKLESVCKKLNFRIECSKEFKVKMQRSTEGRKGKLSVTAEVFEVAPEVTVVEFSKSAGDTLEYRKFCEEDVRPALRDIVWTWQGEDNCQG >itb11g16530.t1 pep chromosome:ASM357664v1:11:14776274:14777200:-1 gene:itb11g16530 transcript:itb11g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFILVLIGLGGIVMALAISGAWREKKQNVVVGINGFDFVRYFQECLRWFRIYFESLDESFPKSSNEHLMLERQAGRAIVDLVACPLSQSIEHARRQSARETLQRRLEDNY >itb04g23480.t2 pep chromosome:ASM357664v1:4:28514053:28517893:-1 gene:itb04g23480 transcript:itb04g23480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGGFAVSRSHGGDRFYSPPAIRRQHEQMLLQQQQQQFQRLQQQQLQRTVKVEAAAEVGNRIDLDESGTALSKQSVVCSSSPTGPPTNATNLDRLLESVTPFVSAQHNSELNVRGRRTREADANAYFCLEDLWEFFSEWSAYGVGVPLLLEGKDRIIQYYVPFLSGIQLYIDPSKSSSCFRRPGEENDEEPREISGGSSNCEADRQCKSAADVQLNRILSRDKPGMCLSGDEGENGKSNGQLLFEYLEQEQPHHRRPLADKIAILASQFPELKKCRSCDLMPSSWISVAWYPIYRIPIGHTLRDLDASFLTFHPLSTQPIGASLLSVIVLLHHNIVVQEMGKYAAWLMLLQKFLYQLLALLHTNLRAHF >itb04g23480.t1 pep chromosome:ASM357664v1:4:28514053:28518001:-1 gene:itb04g23480 transcript:itb04g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGGFAVSRSHGGDRFYSPPAIRRQHEQMLLQQQQQQFQRLQQQQLQRTVKVEAAAEVGNRIDLDESGTALSKQSVVCSSSPTGPPTNATNLDRLLESVTPFVSAQHNSELNVRGRRTREADANAYFCLEDLWEFFSEWSAYGVGVPLLLEGKDRIIQYYVPFLSGIQLYIDPSKSSSCFRRPGEENDEEPREISGGSSNCEADRQCKSAADVQLNRILSRDKPGMCLSGDEGENGKSNGQLLFEYLEQEQPHHRRPLADKIAILASQFPELKKCRSCDLMPSSWISVAWYPIYRIPIGHTLRDLDASFLTFHPLSTQPIGTVTPQHCGARDGKVCSMVDAASKISLPAFGLASYKLKGSLLSPCGQHESEQENTLLQVADSWLGKLKVILPDYQFFLSHYSQRR >itb12g17930.t1 pep chromosome:ASM357664v1:12:20188303:20191198:1 gene:itb12g17930 transcript:itb12g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MDGNAQNIKKWIVFYPIYINSKKTIAEGRRISVSKACENPTCAEIQDCCNHLKVPSAIEIDKAYPRDFMQRGRVRVLLKKEDGTLYNPAISSRKQLMIHVAELVPRHPNRTKKQEPASSSAAGPSKSGKGGKKRR >itb12g17930.t2 pep chromosome:ASM357664v1:12:20188385:20191118:1 gene:itb12g17930 transcript:itb12g17930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MIGIGKMDGNAQNIKKWIVFYPIYINSKKTIAEGRRISVSKACENPTCAEIQDCCNHLKVPSAIEIDKAYPRDFMQRGRVRVLLKKEDGTLYNPAISSRKQLMIHVAELVPRHPNRTKKQEPASSSAAGPSKSGKGGKKRR >itb10g26250.t1 pep chromosome:ASM357664v1:10:29571561:29574784:-1 gene:itb10g26250 transcript:itb10g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLPLLLTSTLLLLDVSNSVPRSQTLQRICTHQVEHNNATVSTLRTGFFIATMENISAQMGSQGWGFSVNGKGPYTNFGLGQCYGDLSLVDCTLCYVEARSVLPLCFPHNGGRVYLDGCFMRNENFDFFQDNIGPEDTYVCGNGTRKDLLFQERTKRAVLQAVSKAYNNNGYARSDEAPVVYVLANCWRTLNGSACRECLENASRSMLKCLPWSEGRALYTGCFMRYSHTNFLNPIPTTKASSRDSNKGKDLNWERRLNIIIGITEGLIYLHENSQARIIHRDIKASNILLDQRFRAKIADFGLARSFQEDKSHISTAIAGTLLVTIYFYFKVSK >itb03g20200.t2 pep chromosome:ASM357664v1:3:18115940:18119293:1 gene:itb03g20200 transcript:itb03g20200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEVQLEALGGSNPSDTDPLLHAQHVDSSPSSSSSASETPNEIKVEDLESGSLPCCRICLECDGEDDDELISPCMCKGTQQFVHRACLDHWRSVKEGFAFSHCTTCKAQFHLRVAELEDNSWRKVKFRLFVARDVFLVFLAVQTVIAVMGGSAYLMDKDGTFRNSFNDSWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPHMAGCQNCCYGWGILDCFPASMEACFALVIIFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTQEYIVEDLHGCYTPPKLDKEHEERLKMLKLL >itb03g20200.t1 pep chromosome:ASM357664v1:3:18115940:18119293:1 gene:itb03g20200 transcript:itb03g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEVQLEALGGSNPSDTDPLLHAQHVDSSPSSSSSASETPNEIKVEDLESGSLPCCRICLECDGEDDDELISPCMCKGTQQFVHRACLDHWRSVKEGFAFSHCTTCKAQFHLRVAELEDNSWRKVKFRLFVARDVFLVFLAVQTVIAVMGGSAYLMDKDGTFRNSFNDSWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPHMAGCQNCCYGWGILDCFPASMEACFALVIIFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTQEYIVEDLHGCYTPPKLDKEHEERLKMLKLL >itb09g27210.t1 pep chromosome:ASM357664v1:9:27632307:27633054:1 gene:itb09g27210 transcript:itb09g27210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKKWQKFAAVRRKRISFPSLNDDTDCCSTSSAVNKGHFVVYTADQKRFVVPLSYLENEIIRQLLNMSEEEFGLPSDGPITLPCDAAFMNYIISLLSRGLSKELENALLISFTSSRCSIASLHQAGWRNLELLVC >itb13g23180.t1 pep chromosome:ASM357664v1:13:29283540:29286049:1 gene:itb13g23180 transcript:itb13g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDKGRVLFFSEKPKGDDLKAMAVDTSVLGLSQEEAKQKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAREFYIQAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDATKPIYTSRRNLPPSAITNSKIVDSIISHGSFLSDCFVEHSVVGIRSRINSNVHLKDTVMLGADFYETGAEIASLLTEGGVPIGIGENSRIKECIIDKNARIGKNVVIANSEGIQEADRTSEGFYIRSGVTVIFKNSTIPDGLVI >itb13g23180.t2 pep chromosome:ASM357664v1:13:29283540:29286049:1 gene:itb13g23180 transcript:itb13g23180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDKGRVLFFSEKPKGDDLKAMAVDTSVLGLSQEEAKQKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAREFYIQAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDATKPIYTSRRNLPPSAITNSKIVDSIISHGSFLSDCFVEHSVVGIRSRINSNVHLKDTVMLGADFYETGAEIASLLTEGGVPIGIGENSRIKECIIDKNARIGKNVVIANSEVN >itb06g19840.t1 pep chromosome:ASM357664v1:6:23046465:23047171:-1 gene:itb06g19840 transcript:itb06g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAVVGNLTTLYLAAIVAMKVYGSVTGRSFGGGFVLIASTAAVGALLIASLTWDVSRKATYALTRRHRLRHHNQPQQNHHDGHEMCRGGICWHGVAVKSPASQVRFRLPQPQPHNRL >itb15g15750.t1 pep chromosome:ASM357664v1:15:14802294:14817176:1 gene:itb15g15750 transcript:itb15g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MLLGFISLLLTVSQGLTKYMCIPHHLATIMLPCKKRRSEEETPAQHATTMMRRLLTTHDKSIQNCASKGKVPMMSLEALHQLHIFIFIMALAHVIFCVTTMLLGGARIRQWRKWEQSIKRASQEHEGHHRLHIRHLQSFKERAGRYWRRFTVISWLAAFFKQFHGSVTNWYLWVFVVAFLLLNISGWHSYFWLSFLPLILLLVVGTKLEHIITELAQEFAEGNTTSSGGEAVIRPSDELFWFRSPKLVLYIIHFILFQNSFEIAFFVWIMYTYGARSCIMERLSFTIPRLVMGAVVQVLCSYSTFPLYALVTQMGSSFKEGMFNHVLHNTLLHWAGKPATSAHSITEPFESITISQTPPNSSTIQLSH >itb15g15750.t2 pep chromosome:ASM357664v1:15:14804091:14817176:1 gene:itb15g15750 transcript:itb15g15750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MLLGFISLLLTVSQGLTKYMCIPHHLATIMLPCKKRRSEEETPAQHATTMMRRLLTTHDKSIQNCASKGKVPMMSLEALHQLHIFIFIMALAHVIFCVTTMLLGGARIRQWRKWEQSIKRASQEHEGHHRLHIRHLQSFKERAGRYWRRFTVISWLAAFFKQFHGSVTNWYLWVFVVAFLLLNISGWHSYFWLSFLPLILLLVVGTKLEHIITELAQEFAEGNTTSSGGEAVIRPSDELFWFRSPKLVLYIIHFILFQNSFEIAFFVWIMYTYGARSCIMERLSFTIPRLVMGAVVQVLCSYSTFPLYALVTQMGSSFKEGMFNHVLHNTLLHWAGKPATSAHSITEPFESITISQTPPNSSTIQLSH >itb06g20320.t1 pep chromosome:ASM357664v1:6:23343588:23344314:-1 gene:itb06g20320 transcript:itb06g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINNRSRLDPPLSNEYFGNCAQVVRGTTTAGELLEHGLGWAALKLHRAVASHDDAVIREWVNAWIESAFVYHLGQFFDPYSVMMGSSPRFDMYGVEFGLGKAVAIRSGYANKFDGKVSLYPGVEGGRSMDLEICLSPQSMGALESDMAFMDTVA >itb06g17270.t1 pep chromosome:ASM357664v1:6:21157909:21159702:-1 gene:itb06g17270 transcript:itb06g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMFFWFFYPPQEKGHNYSAFLSNKVYGNHEKHYPEMLYATKFYLVPCAKSGQIFLRKSEAPLLPPVPAVCLNPTAGKGKGQPHSLAAAAEEEEEEKAKQISRELFLYSFLNYCYSSVSFFSGRSRESELDNPKISYGASFSFSDSHYVAFICLKSF >itb12g06390.t1 pep chromosome:ASM357664v1:12:4779541:4781527:1 gene:itb12g06390 transcript:itb12g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHVLLIPYPVQGHVIPLMELAQCLARHGINITFALTETTHNRILNSLALNDVALNDGIRLVTVLDGSESNESSNVPGKLSEAIFKIMPGKVEKLIRDINASSESEGEKISCVVADQSLGWALELAKKLGLQTVAFLPAAAANLVLGFNIPKLIDDGIINIEGGGIPAENKTFQFAPTMPFMNTSDFVWVRMGNSTMQKIIFHMMLGNNKSVKSADWLICNSAYNLEPGAFSLSPEIVPIGPLLAPKSLTTPTSPAGSFWPAEPNCLKFLDQHPPRSIIYAAFGSSTAFSEAQFEELAKGLELTNRPFLWVDANRKTYTTERGMVVNWAPQQGVLSHPSVGCFLSHCGWNSTIESAINGVPILCWPYFADQFINQSYICDVWKIGVALKRNNGSDGIIKCEEIKNKVDQLLGDKSFKERALHLKEVTRANIAEGGSSHNNLMNFIKWINANINM >itb07g04430.t1 pep chromosome:ASM357664v1:7:2972274:2975071:1 gene:itb07g04430 transcript:itb07g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLALKPTSHSFFSSFLRISPEPVDLKPERRRFHGKAPAFLASRLESGKRPGKFKSRNPDSNPLFIPSFASNETPLEILNVGDKVRFLASEFKSLLEPIDKVKRLLHYATLLPPFDGSIRVRENRVAGCTAQVWLEAMMDNEGSMRFRVDSDSEITKGFSSCLLWLLDGATPMEVLSVGADDLAEMNVGLPSTGRSRVNTWHNVLTSMQNRTRDCVQNRENLQSLDEFQSLLVRPDLHFPSNGSYKEDKSRVLAPRS >itb06g25370.t1 pep chromosome:ASM357664v1:6:26467168:26470276:1 gene:itb06g25370 transcript:itb06g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHFIIVFLLLNFLHLLLPVFPLPLCSNSEAPLPHKDPLKFCPYNGTSCCNSADDSRIQKQFQVMNISNSACAALVKSVLCAECDKFSAELFRISSVPRQVPVLCNSSTASSVSTQTIKDTNDFCSKVWTACQNASITNSPFAASLKGQVPAPVKSNVTKLMDLWQSEADFCNVFGGASGDGSVCFDGEPVALNSTAPPSPPGGLCLERIGNNAYLNMAAHPDGSNRAFFSNQEGKIWLATIPEVGSGGSLELDEASPFVDLSDEVHFDTQFGLMGIAFHPKFSQNGRFFASFNCDKQKWPGCAGRCACNSDVNCDPSKLPPDSGAQPCQYQTVVAEFTANGTAPQPSEAKSANAHEVRRIFTMGLPFTSHHGGQILFGPDGYLYFMMGDGGGAGSSDPYNFAQNKKSLLGKIMRLDIDNVPSATEVAKLGLWGNYSIPKDNPYTDDKELQPEIWALGFQNPWRCSFDSARPSYFICADVGQDHYEEVDLVTKGGNYGWRVYEGPFRFTPPMSPGGNTSVDSITPIFPVMGYNHSDVNKNIGSASITGGYFYRSTTDPCMYGRYLYADLYGGAIWAGSETPEDSGVFNPTLISFACSHDSPMNCSLVPGSSVPALGYIFSFGEDNNKDIYILASSGVYRVVPPSKCNISCSKENTSAVPSTQGSSGPVSSPPSEATLSAGLYNNLVVLLLSLCLLLVF >itb03g19080.t2 pep chromosome:ASM357664v1:3:17220228:17223649:-1 gene:itb03g19080 transcript:itb03g19080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFSVQPKVKTVNGDDGYVLEDVPHLSDYVPNLPTYPNPLQDNPSYSVVKQYFVDVDDTVAQKVVVHKNSPRGTHFRRAGPRQKVYFKSDEVNACIVTCGGLCPGLNTVIREIVCGLHHMYGVKKVMGIDGGYKGFYSKNLVHLTPKVVNDIHKRGGTILGTSRGGHNTKKIVDCIQDRGINQVYIIGGDGTQKGAEVIFEEIKRRGLQVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAISAAHVEATSTENGIGLVKLMGRHSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKTLKEHEHMVIVVAEGAGQELLSESLLSDANQKDASGNKVLQDIGLWLSQKIKDHFSKQKKMPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGSVNGRHAYIPYNVSKKPKNQKKNTTLYITCS >itb03g19080.t1 pep chromosome:ASM357664v1:3:17220272:17223649:-1 gene:itb03g19080 transcript:itb03g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFSVQPKVKTVNGDDGYVLEDVPHLSDYVPNLPTYPNPLQDNPSYSVVKQYFVDVDDTVAQKVVVHKNSPRGTHFRRAGPRQKVYFKSDEVNACIVTCGGLCPGLNTVIREIVCGLHHMYGVKKVMGIDGGYKGFYSKNLVHLTPKVVNDIHKRGGTILGTSRGGHNTKKIVDCIQDRGINQVYIIGGDGTQKGAEVIFEEIKRRGLQVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAISAAHVEATSTENGIGLVKLMGRHSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIEKTLKEHEHMVIVVAEGAGQELLSESLLSDANQKDASGNKVLQDIGLWLSQKIKDHFSKQKKMPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGSVNGRHAYIPYNRITEGQNHVVITDRMWARLLCSTNQPSFLRTRDVIESKEEEEPPKLLDDAPAATFCL >itb03g03820.t2 pep chromosome:ASM357664v1:3:2278506:2279228:-1 gene:itb03g03820 transcript:itb03g03820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSYPPAATNISTGVTTVDCQKQVRSWRLLRAIMEFLIPSCNCTFVEEDTDDENSSQTSDSYHRRQQPPYITGTLFGYRRGKVSFCIQTNSKSSGASAGGGGGPLLLLELAVSTTTLAREMRGGVVRIALESKRNGAPGTPLLSTPVWNMYCNGRKVGLAVSRRPGKADMEVLQKMRSVGVGAGIIDGQELNRKDDIMYLRGKFERVHGSSESESFHLIDPDGNVGQELGIFFLRSRQH >itb03g03820.t1 pep chromosome:ASM357664v1:3:2278506:2279126:-1 gene:itb03g03820 transcript:itb03g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLIPSCNCTFVEEDTDDENSSQTSDSYHRRQQPPYITGTLFGYRRGKVSFCIQTNSKSSGASAGGGGGPLLLLELAVSTTTLAREMRGGVVRIALESKRNGAPGTPLLSTPVWNMYCNGRKVGLAVSRRPGKADMEVLQKMRSVGVGAGIIDGQELNRKDDIMYLRGKFERVHGSSESESFHLIDPDGNVGQELGIFFLRSRQH >itb09g13940.t1 pep chromosome:ASM357664v1:9:9142098:9150760:1 gene:itb09g13940 transcript:itb09g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLFYCPTSFQFRLYKPRSVARSSFNYNLCTRRVPTLAMSNRPNYQGGRRGGAGRSRSGGGGGGRGGGGGGGRGGGRGGEQRWWDPAWRAERLRQKAAEMEVMNENEWWGKIEQFKRGGEQEMIIKRNFSRDDQGKLSDMAYQLGLHFHAYNKGKALVVSKVPLPNYRADLDERHGSSQEIRMSTEIERKVGNLLSSSQASVSDGNSSSTHSYTANVSSNAVHAATAAAALESDAAKERLNTELKQKQEKLRATDNVKAMMSFREKLPAFKVKSEFLKAVASNQVLVVSGETGCGKTTQLPQFILEEEIASKRGANCNIICTQPRRISAISVAARISSERGENLGETVGYQIRLESKRSAETRLLFCTTGVLLRQLVRDPYITGITHLLVDEIHERGMDEDFLLIILRDLLPRRPDLRLILMSATINAELFSKYFGNAPTIHIPGLTYPVSELFLEDVLEKTRYRIKPEFDNVQGNSRRRHRQQESKKDPLTELFEDVDIDSHYKGYSMTTRQSLETWSGSQLDLGLVEATIQHICCHEGDGAILVFLTGWDDISKLLDKIKVNNFLGDPRKFLVLPLHGSMPTVNQREIFDRPPPNVRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLLHDAMLEYQLPEILRTPLQELCLHIKSLQLGAISSFLNKALQPPDPLSVQNAIELLRTIGALDDAEELTPLGRHLCTLPLDPNIGKMLLMGSFFRCLNPALTIAAALAYRDPFVLPINRKEEADAAKRSFAGDSCSDHIALLKAYEGWKDAKRQGQERAFCWENFLSPITMQMMADMRMQFLDLLSDIGFIDKSKGPQAYNEYSNDLEMVCAILCAGLYPNVVQCKRRGKRTAFYSKDVGKVDIHPASVNASVHLFPLPFLVYSEKVKTSSIFIRDSTNISDYTLLMFGGNLIPSKSGDGIEMLGGYLHFSASKSVLELIKKLRGELDKLLKRKIEEPGLDISTEGKGVVAAVVELLHSQNVRY >itb04g22220.t1 pep chromosome:ASM357664v1:4:27403521:27410967:1 gene:itb04g22220 transcript:itb04g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEWLNGYLEAILDVGTDKNGGRGGEERSRKMESFKRTIFSGKFDEKFMSERLLQAQKEKPELKLFSPTKYFVEEVVNSFDETDLHRTWIKVVATRNSRERNNRLENMCWRIWHLSRKKKQIASEDAQRLVKRRVELEKGRIDAAEDLSELSEGEKEKGEMNRIDSLAYMPRINSDTQIWCDEDKTRQLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALSNMNGVHRVDLLTRQITCPEVDFSYGEPIEMLSCPSDGFGSCGAYIVRIPCGPRDKYIPKESLWPYIPEFVDGALGHIVNMARALGEHVNDGKPVWPYVIHGHYADAAEIAARLSGTLNVPMVLTGHSLGRNKFEQLLKQGRLTKEDINATYKILRRIEAEELALDAAEMVVTSTRQEIEEQWGLYDGFDVQLERKLRVRRQRGVSCLGRYMPRMVVIPPGMDFSSVKAQDLVDCDADLKALIGTDKSQKKRIPHIWSEIMRFFTNPHKPMILALSRPDPKKNVTTLLKAFGECQALQELANMTLILGNRDDIEEMSTNSSTVLTTVLKLIDKYNLYGQVAYPKHHKQHEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIIKALNNGLLVDPHDQQGISDALLKLVGDKNRWLECRKNGLKNIHRFSWPEHCRNYLSHVEHCRNRHPANRLEVITPTDEPMSESLCGVEDLSLKFSMDAEFKPNGELDLANRQQELIKILTPKATSNSKSNIGYFPGRRQGLYVVAADCYNNTGNATEILSLIIKNVKQITGIKSSQTGLVLMTGMSLQETKEAVKNSQVNLEDFDALVCNSGSEIYYPWRDLIVDEDYEAHIEYRWPGENVKSAVTRLAKVDGGTESNDMKCMNPSSSRCYSYSINAGTKTRKVNDIRQRLRMRGFRCNILYTNAGLRLNVIPLCASRAQAIRYLSIKWGIDLSRITVLVGENGDTDYEDLLVGLHKTVILQGCVEYGSDMLLRNEDSFKWQDVVPQDSTTTAIAESYEAHNISTALEKLGSM >itb06g10210.t1 pep chromosome:ASM357664v1:6:14532948:14535147:1 gene:itb06g10210 transcript:itb06g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKCSRVNSTGIDYYMKDYGVTAEEAMDKFLEMVENAWKDTNEDILQPISSAVSTEILICILNFARIDDVVYKNKQDGRLLLPCLKAFTTHHLPRTIADCSHRWYHRNHRLLVPPSSPENCLALCAAAKSRLLPSESRCRSAKDVHSVRGKWFSSVVFLK >itb09g15700.t1 pep chromosome:ASM357664v1:9:10968123:10975051:1 gene:itb09g15700 transcript:itb09g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQDPSISLQVEKELTQEPSYAEAVTFEVPNDPLDAYGGYLLGVVQEAECWSNILQMTKPEFHGLPSHIDEGEMSVEGNCHKFPTSFTSGHIDYLEDVASFFPGSISSNKKDEDMIFTPALTSSGLVCMSTIKQSEEFNLGGQNGGHGTIYLGDSPKMNSSQTSNSDSSHTQRRVRKPTRRYIDESSDLNTRYVKKRKEGSASASKSKSQRVKCNKKPKPESEAIESLSEDSTFNAIQVPFGPLVPEECDKNVASDVVKVKSIEISRLGLKECDRKHASNVKKVKLNQLSSADPKLCKKHSPNAKKVKMNYVSSFGSEISDKKQASLVKMVKSNHISFDSEECRKRHAPNVSKDSLEEMALVVIEDDSAAIMRSDGNCARRKHHRLWTISEVRKLVDGVSQCGVGKWSQIKSLFFASSDYRTPVDLKDKWRNLLKASRLQNQSKKGDKGKQTLSWRPLPKSILNQVCELANVHPYPRNCKSKT >itb15g10410.t1 pep chromosome:ASM357664v1:15:8207459:8210751:1 gene:itb15g10410 transcript:itb15g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSNSLLLSKTTSLHFSSEQSVCPTKVCFNRRHARNPSTSRSSFAIQAQYSDDGRSSSAGIFVGGFVLGGLVVGALGCVFAPEISKALAGADRKDLMRKLPKFLYDEEKVLEKQRKILAEKIEQLNSAIDDISAQLRSEDNPNGAAVNTDEVESAV >itb15g10410.t2 pep chromosome:ASM357664v1:15:8207459:8210751:1 gene:itb15g10410 transcript:itb15g10410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSNSLLLSKTTSLHFSSGSCLNSIEQSVCPTKVCFNRRHARNPSTSRSSFAIQAQYSDDGRSSSAGIFVGGFVLGGLVVGALGCVFAPEISKALAGADRKDLMRKLPKFLYDEEKVLEKQRKILAEKIEQLNSAIDDISAQLRSEDNPNGAAVNTDEVESAV >itb02g05450.t1 pep chromosome:ASM357664v1:2:3271705:3274093:-1 gene:itb02g05450 transcript:itb02g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQILSSPLSYRYLLNHSASKTLAFSRKHLNSNSAPKRNGFSPVGVFRRNLDGWKRKRGGTVYSSAEERNTTTNTLRKRKVIEHICLLQGKEDLSEEQEQNMLDYLYTTQYQMRGIIAISLGRVSGWNSENCTHAVYVRFQRKEDLAMFYENHFYTGVIKDHVMPYCHGIKSVDFESEVEDDILPIFRKGEEFNFGLELLLLIEFVESSLDGAAEDALIALDKLTMEFPSLIVQTTKGSNFNRHSEEYTHGVVIRFRSSEAFEIFMNSSEYNNMWRSKFQPIIRKAIPISFSVDPVGTELM >itb03g22030.t1 pep chromosome:ASM357664v1:3:19984872:19988020:1 gene:itb03g22030 transcript:itb03g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVKDDEVRFRNKGLNDWNNTRVVLLITQGLDISDLMIRFLDLFAVCADTRLLWIPIAQNDDASWTTEDEKQFARLKRRMPYLYWLDKLQKMISPQFITFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIYIWTFTYIEENTLGVQGIYNITVLVKEELKKGTSNIDRVIPEINDMISVLVGDIDDKIVAWGLNIEKRIQNLIEQSTPYNKEREKFMWQQEPNCSLDLVVGTHGRNVYLSNYEIQHWFGTEDHIFLYGGNDINWVREFTTKVSEFASKIQLNVMLAYVGKNKLIRTIIDKEKLSHYVLNESFLVWWFWTRLRSMFLSRIHYLDAINQFGEECNDEILQGLKKLLAYEGKNTRIEGWAVLSKGKKMVVCGHGAKILQVINEYEIWKENIATKGFDEAFKDHHEMLTSSSLKSHSCCALEYSTTLSKIPENEKCPECSYRMHKFVTFTCCHGHDVESDSDED >itb03g22030.t2 pep chromosome:ASM357664v1:3:19984895:19988020:1 gene:itb03g22030 transcript:itb03g22030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMMRCDLETRLLWIPIAQNDDASWTTEDEKQFARLKRRMPYLYWLDKLQKMISPQFITFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIYIWTFTYIEENTLGVQGIYNITVLVKEELKKGTSNIDRVIPEINDMISVLVGDIDDKIVAWGLNIEKRIQNLIEQSTPYNKEREKFMWQQEPNCSLDLVVGTHGRNVYLSNYEIQHWFGTEDHIFLYGGNDINWVREFTTKVSEFASKIQLNVMLAYVGKNKLIRTIIDKEKLSHYVLNESFLVWWFWTRLRSMFLSRIHYLDAINQFGEECNDEILQGLKKLLAYEGKNTRIEGWAVLSKGKKMVVCGHGAKILQVINEYEIWKENIATKGFDEAFKDHHEMLTSSSLKSHSCCALEYSTTLSKIPENEKCPECSYRMHKFVTFTCCHGHDVESDSDED >itb13g01140.t1 pep chromosome:ASM357664v1:13:1075573:1080442:1 gene:itb13g01140 transcript:itb13g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLKTYTCSSSQPRRLSFSLSPSPTCSDVQFNPSASLSPPCVIAAFSIDRKMGGGNNKKGSNKSKTRRPRNAYSGRALFVEGGILSEWQRFDSTPSREKNSRGGNGNGSRNPAIGSKNAAPKSSSGSGSLSRSESKKTRGNTIAYMYPSEDVQEGLASESSGEGKDVKSVGMHPIVLLDSKESKIVAYIDEGSNKEPQNMEHVYDYSTTCTLGERPDVEHQEMECVYDYSTGFSVDENTHRGLGFYNEAEAMHGIGSSSKADEKEDSDFKSSSSDEDMDADVDVDVDGDAADKLSPEENQGFLSIGGLRLYTHDISEEEDEEDDEDDLLEEETSGSSESGESTGSSDSDDSSDSGSEIDDEVAADYLESTGGIDHVVNVDKLVGKIQDGTDDDRLDETLEKLSGIALQEASREYGMKKHQSRRKSQGESKDTPAKYVWSSALDDLMLVKDPRTVSGRKKHAAKFPQSWPLESQKSKNFRRNPGEKKKHRKEMIASKRRERMIRRGVDLQKINAKLEKMVLDGADMLSFEPMHTKDCSQVRRLASVYRLWSGCQGSGKKRFVTVARTHHTCMPSANDRVRVEKLIGANDEDDDFTVNGVPANKPSRGSYTTSTPRDSKSSQIKSLKSLSNSGGNKDSSRKKMNCKTGAYSSQPVSFISSGIMSSEKVELKITESTESSDGCHEKKCVSNYGAFELHTTGFGSKMMVKMGFTGGGLGKDGQGIAEPIQVSQRPKTLGLGADIPETSTANETKTPTPKLVGFGEDFPTRSRKSGQKGSQGQKAQYGSPGFGAFENHTKGFGSKMMAKMGFVEGTGLGKDSQGITAPILATRRPKSQGLGAKR >itb07g00450.t1 pep chromosome:ASM357664v1:7:311401:313508:-1 gene:itb07g00450 transcript:itb07g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMEFEFQRILRDLMNKWEIQAYVMASLGFQMVLVVLAPMRRWSKNNFMFLVIWSVYLLATFIATFALTLINSSDKSDDILAFWAPFLLVHLGGPHTITALAMEDNNLWRRQMLTHIVQVFSVLSVFFTFKIFQSEWRVPTAIVFAVGIVKCIERTHSLHLASLTFLRRSIRKKTRKQGQKLLVEKEYEVDLKGYEVVRKGYEGYQTFRGFIIDHTFVHDDECGKDKEFFSGIDEEDAFKVMEVELNFMYEAMFTKMIAVQYYWDNYLGYIFRFVSHALLVTAAVMFFCHSKQNLRLHDIKVTYYLLGCALALDAIAFAHLIFSEWTMVKIMTSKAPSLQVKKTILGMIYAVKELITAKKRWSGKIRQYSLINHSLNRRWKHVEPILDYVSLKDSIDLCLHTTTAKVEQRLKKLVLYDIKKKEGKQSKHTAEGDEDAQNLAIIMNRIILPAVEHHKNEQNYYAKFVLTLHLATEICYFFTMDDTGEEEDPNAGLCKQISEYLAFLLVMEGNITSAVPGHIGIRFKDICWEEFDHTLKDLDNTFKDADIERREACEYLVNEYREGMHKNENINLSVLPKGVGLARDLIKCRSIYTRDVENQTSDVRINPRVLWASLSRVWVGLLVYASSHCRGDVYYLAKGGEFHTFVRLLMAHFGLRDSLRGERGFEPNDEIN >itb12g05870.t1 pep chromosome:ASM357664v1:12:4385374:4387873:-1 gene:itb12g05870 transcript:itb12g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKDLAQRLFNAYRFGRPSLLDCRISTSAVSVKTRIPPSPEKIAPDPGDDGIFRRFIHRRPMHQPTGLSSDLPAFPIGDKLIENLRGMDISRDRIRLDGLIPPPLKSDSAADPAAGSLSLSDVRKLLRLSQLETVKSRLRATEQNQISYPDFFRICAQVCSNNDQTLELAKMLDDSGTVIVLGNVVFLNPNQVVKAIQSLIPAGPATTGQAEDPGMKELEEMEKKKAAIDRKAEVLVRRELWGGLGYFVVQTAAFMRLTFWELSWDVMEPICFYVTSVYCMAGYAFFLRTSREPSFEGFFHSRFSAKQKRLMKAQGFDLARYNELKKASSPPAAENFNSFCS >itb07g21140.t2 pep chromosome:ASM357664v1:7:25500636:25502763:1 gene:itb07g21140 transcript:itb07g21140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEDAPAKNEEEEFNTGPLSVLMMSVRNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >itb07g21140.t1 pep chromosome:ASM357664v1:7:25500518:25502819:1 gene:itb07g21140 transcript:itb07g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEDAPAKNEEEEFNTGPLSVLMMSVRNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >itb12g12530.t1 pep chromosome:ASM357664v1:12:11239936:11240205:-1 gene:itb12g12530 transcript:itb12g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKKPKVILIGEGLLTTVNKMYTGVGSRGVIEICVVEGGERIGWRINNSEFGGDRIEMGATWIHGISGSPIHRIAQEINSLESEQPRE >itb09g13030.t1 pep chromosome:ASM357664v1:9:8351738:8354284:1 gene:itb09g13030 transcript:itb09g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQLQLALASTSYHEEDDDREEDIRDIHALTPPPVPQPPENTRAARLRSSSSLSVASTEGAPSENFSSMSREFNALVLAGSSFNPNNVNGPEHDGPNNLGRIGEEEPPEQETNPLAIVPDNEAMASSPRRAGAAGGASSVSGGEVTVQRVKKEEVETKISAWQTAKIAKINNRFKREDAIINGWESEEVQKASSWMKKVERKLEEKRAKALEKMQNDIAKARRKAEERRASAEATRGTKVARVLEIANLMRAVGRAPVKRSFF >itb09g13030.t3 pep chromosome:ASM357664v1:9:8351738:8354284:1 gene:itb09g13030 transcript:itb09g13030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQLQLALASTSYHEEDDDREEDIRDIHALTPPPVPQPPENTRAARLRSSSSLSVASTEGAPSENFSSMSREFNALVLAGSSFNPNNVNGPEHDGPNNLGRIGEEEPPEQETNPLAIVPDNEAMASSPRRAGAAGGASSVSGGEVTVQRVKKEEVETKISAWQTAKIAKINNRFKREDAIINGWESEEVQKASSWMKKVERKLEEKRAKALEKMQNDIAKARRKAEERRASAEATRGTKVARVLEIANLMRAVGRAPVKRSFF >itb09g13030.t4 pep chromosome:ASM357664v1:9:8351738:8354284:1 gene:itb09g13030 transcript:itb09g13030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQLQLALASTSYHEEDDDREEDIRDIHALTPPPVPQPPENTRAARLRSSSSLSVASTEGAPSENFSSMSREFNALVLAGSSFNPNNVNGPEHDGPNNLGRIGEEEPPEQETNPLAIVPDNEAMASSPRRAGAAGGASSVSGGEVTVQRVKKEEVETKISAWQTAKIAKINNRFKREDAIINGWESEEVQKASSWMKKVERKLEEKRAKALEKMQNDIAKARRKAEERRASAEATRGTKVARVLEIANLMRAVGRAPVKRSFF >itb09g13030.t2 pep chromosome:ASM357664v1:9:8351738:8354284:1 gene:itb09g13030 transcript:itb09g13030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQLQLALASTSYHEEDDDREEDIRDIHALTPPPVPQPPENTRAARLRSSSSLSVASTEGAPSENFSSMSREFNALVLAGSSFNPNNVNGPEHDGPNNLGRIGEEEPPEQETNPLAIVPDNEAMASSPRRAGAAGGASSVSGGEVTVQRVKKEEVETKISAWQTAKIAKINNRFKREDAIINGWESEEVQKASSWMKKVERKLEEKRAKALEKMQNDIAKARRKAEERRASAEATRGTKVARVLEIANLMRAVGRAPVKRSFF >itb06g18470.t2 pep chromosome:ASM357664v1:6:22111707:22116291:1 gene:itb06g18470 transcript:itb06g18470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDEVENDDAFMSQFTGKKKPKGKKANSMAAAKFALLGSDDDDDERSSLTKDGDGEGGDSEEESENAISFTGKKKSKSKKGAANKFTTSAFDAIGGDEFDENNSDNELQSDNEEEPVISFTGKKKPSKPNKNAGRSVFAASTFDGLDQVVDSDDATKDEDNEISSIAFTGKKPSKSSKKAGGSVFASSAFDGLDDLEQSSDLSKEENDEVPSITFTGKKKKSSKSSKKSNSFHLNEENDEDVSDAKLDTDVFEEDATAQDDDTTGIMFSGKKKSSKKKNKTALNMLDNEARDDSLDAVDSEKPSLADSNREAEETKNKTQVRDDVSGTSKAKSKKKKGGKTVQEEQDEIDKILAELGDGPANTASAPSVPPPEEKLQVQPEPKDKTKKKKGGKTAEEEDEIDKILAELGEGQPSSKPVPSHAPAPSSQEEKDQLEAGEDTAEKETAEGVVESAAAKKKKKKKEKEKEKKAAAAAAAAPVIEQKQEEAKIESKGKVADKKVPKHVREMQERLAKLKEAEEKKKREEEERLRKEEEERRRQEEEARLSEEKKRLKKEREKEKLLKKKLEGKLLTGKQKEEARRLELMRKQILANAGGLPIPTGESGKEGTKRPKYQTKKSRPSHGNEKPAAVTNEITEVKETQHEIVSEVDSVNTEKVDEVESINTEEKSEVADAEENGIEEDEDDEEWDAKSWDDADLKLPGKSAFADEEVDAEPKAVVRKEIKVAQSAARNSGGPSVGSKQAPVTAPVVTKNEDSKKREPESESKLKGSREGKGARGAQKPVELPDQSETNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEQQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCRNAPIQKAMKQQNKDVQFEFNTRLTQIVTQFKEQGMNTELYYKNKDMGKDTFSIVPTSAISGEGIPDLLLLLVQWTQKTMVERLTYSNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGMQGPIATSIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITAQVIPSVDVMPTEC >itb06g18470.t1 pep chromosome:ASM357664v1:6:22111707:22119964:1 gene:itb06g18470 transcript:itb06g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSDEVENDDAFMSQFTGKKKPKGKKANSMAAAKFALLGSDDDDDERSSLTKDGDGEGGDSEEESENAISFTGKKKSKSKKGAANKFTTSAFDAIGGDEFDENNSDNELQSDNEEEPVISFTGKKKPSKPNKNAGRSVFAASTFDGLDQVVDSDDATKDEDNEISSIAFTGKKPSKSSKKAGGSVFASSAFDGLDDLEQSSDLSKEENDEVPSITFTGKKKKSSKSSKKSNSFHLNEENDEDVSDAKLDTDVFEEDATAQDDDTTGIMFSGKKKSSKKKNKTALNMLDNEARDDSLDAVDSEKPSLADSNREAEETKNKTQVRDDVSGTSKAKSKKKKGGKTVQEEQDEIDKILAELGDGPANTASAPSVPPPEEKLQVQPEPKDKTKKKKGGKTAEEEDEIDKILAELGEGQPSSKPVPSHAPAPSSQEEKDQLEAGEDTAEKETAEGVVESAAAKKKKKKKEKEKEKKAAAAAAAAPVIEQKQEEAKIESKGKVADKKVPKHVREMQERLAKLKEAEEKKKREEEERLRKEEEERRRQEEEARLSEEKKRLKKEREKEKLLKKKLEGKLLTGKQKEEARRLELMRKQILANAGGLPIPTGESGKEGTKRPKYQTKKSRPSHGNEKPAAVTNEITEVKETQHEIVSEVDSVNTEKVDEVESINTEEKSEVADAEENGIEEDEDDEEWDAKSWDDADLKLPGKSAFADEEVDAEPKAVVRKEIKVAQSAARNSGGPSVGSKQAPVTAPVVTKNEDSKKREPESESKLKGSREGKGARGAQKPVELPDQSETNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADATLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEQQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCRNAPIQKAMKQQNKDVQFEFNTRLTQIVTQFKEQGMNTELYYKNKDMGKDTFSIVPTSAISGEGIPDLLLLLVQWTQKTMVERLTYSNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGMQGPIATSIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITAQGLEHAIAGTSLYVVGPDDDVDDIKESAMEDMRSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVSIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTPEARELSEELGVKIFCADIIYHLFDQFKAYIDNLKEEKKKEVAEEAVFPCVLKIVPDCVFNKKDPIVLGVDILDGIARIGTPICIPSREFIDIGRIASIENNHKPVDYAKKGQRVAIKIVGSNSEEQQKMFGRHFEMDDELISKISRRSIDILKENFRKDLTVEEWRLLVKLKNIFKIQ >itb08g04570.t1 pep chromosome:ASM357664v1:8:3749769:3750464:-1 gene:itb08g04570 transcript:itb08g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDILKSASSEADQMEMMLMMQMDKLPGDGFSGAGDNYSDVNNVQVMDFSSQGIIDSSFMNAALAGSPPAAYNEPPCFPGDSVGRLRGEFSGGSGYPPQKGSTSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISTDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLERAGASRRPTGIGFPVPVSSTSTYLPTSATQHYGEP >itb01g07630.t1 pep chromosome:ASM357664v1:1:6027564:6031952:1 gene:itb01g07630 transcript:itb01g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLSLWIPNAKSLPFSPQIYRPLRRQTQSGILLRNYSTIICPTKPGKNPFTYRNGEIRHTLSTKLSPIVRAAVTPGSENDGEDMPVAARMSKFLEMAPGLPAGAVLLASTHLLCLFAPFCFSWDAAGVAVGLAVVTGALGITLSYHRNLCHRSFKLPKWLEYLFAYCGVHALQGHPIGWVSTHRHHHQHCDSEKDPHSPIQGFWYSHLGWLLDFNSANERGEMPTNVGDLESQFFYKFIQHTYIIHPILLAAMLYVIGGFPYVVWGMGVRAVLMYHITFLVNSACHIWGTQAWNTCDLSRNNWLVALLTFGEGWHNNHHAFEFSAKHGLEWWQLDITWYIVWILQALGLASDVKLPTETQKRKMALNNHSIVRDFFPSHPS >itb04g04070.t1 pep chromosome:ASM357664v1:4:2529517:2530896:-1 gene:itb04g04070 transcript:itb04g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLGMMSFFEPSGTVVPVTVIGFREGNIVTQVKTDAIDGYSAVQVGYRRVRDRKLTKPEMGHLQKSGVIPLRHLQEFRLQSVDSFEPNQQLVFSELFNEGDLVDVSGTTIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKAKIRKLKIVKIDEELRIVMVKGAVPGKPGNLLRIAPAKIVGKNIPKN >itb12g17720.t1 pep chromosome:ASM357664v1:12:19876518:19879804:1 gene:itb12g17720 transcript:itb12g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHREEISVRKRNSQSNETKSGKSVESLQGPAPTPYPYIKANRVCLSSSIFIHLYTRLSLSFCDSLFDFRLRFTYFTFTSPLCLRLRVGLGWVGRAAPSTDLNKNTEWFTYPGVWSTYILILFFSWLVVLSVFGSSPGTAWTIVNLSHFMITYHFFHWKKGTPFADDQGIYNRLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVFILVVAKFPHMHKVRIFGINADQ >itb13g24820.t1 pep chromosome:ASM357664v1:13:30411144:30411750:1 gene:itb13g24820 transcript:itb13g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPMDTIAGPFGFAGGNFWSFRPVNKINQIVIHYTTGNNPIALTFSSTRDDGSKDTITVGGGGPEVVVNTDTVNIGADEYLVHFSGTLGTFLSNNVVRSIKFTTNLKEYGPFGPNVGEPFMPVNVVPNKIVGFVGRAGYFIDAIGTYNSDK >itb09g22460.t2 pep chromosome:ASM357664v1:9:20856500:20861450:-1 gene:itb09g22460 transcript:itb09g22460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHNLIPKDGKDLSSAFVEIHFDGQKFRTSVKEKDLDPVWNETFYINIPDPNRLHKLTLEALVFNNNKTGRSKSSLGKVCIAGTSFVPYSDAVVLHYPLEKVGVFSRARGELGLKVFITDDPSLRSSNPFSMDFPSHSNNTESITQQVAGSVPESFSNGKKGSRRTFHHLPNRKHEQQQPHSSFVDSRMDQMLSEPHDPKLVRVYSGSSSQPVEHMLKETSPILGGGQVVGGRVLRRDRRATTYDLVEPMEFLFVRVVKARDLHSKDFTGSLDPYVEVRVGNYKGITKHFEKTESPEWHTVFAFPKERMQSSVLNVVVKDKDTVKDDFVGIVHFDLHEIPTRVPPDSPLAPEWYSLENKKGKKNKGELMLAVWIGTQADEAFPDAWHTDEASLIDVSSPSTHIRSKVYHSPRLWYVRVNVIEAQDLVTDEKTRFPDVYVKAQIGSQVLKTRPVRSQTMNALWNEDLMFVAAEPFEEHLILSVEDRVASNKEEILGQVVIPLNTVEKRADNRLVQARWYTLQKSSGSDVEESKKVKFATRVHLRISLDGGYHVFDESTHYSSDLRPTVKQLWKPSIGILELGILNAHSLQLMKTRDGRGTTDTYCVAKYGHKWIRTRTIVDSVNPKYNEQYTWDVYDPATVLTVGVFDNGQLGEDGKRDMKIGKVRIRISTLETNRIYTHSYPLLVLHPSGVKKMGELHLAIRFSCTSTVDMMYIYSRPLLPKMHYVKPLSMAQQEMLRHQAVSVVAARLSRAEPPLRKEVVEYMSDANSHLWSMRRSKANFFRLMSVFNGLFSAAKWFGDVCIWKNPVTTGLVHILFTLLVCFPELILPTAFLYMFVVGLWNYRYRAQYPPHMNTRISFADSAQPDELDEEFDTFPTSRSPDLVRVRYDRLRSVAGRIQTAVGDVATQGERVQALLSWRDPRATTIFIIFCLAAAIVLYMTPFQLFAIMAGFFVMRHPMFRHKLPPAPLNFFRRLPAKTDSML >itb09g22460.t1 pep chromosome:ASM357664v1:9:20856485:20861615:-1 gene:itb09g22460 transcript:itb09g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHNLIPKDGKDLSSAFVEIHFDGQKFRTSVKEKDLDPVWNETFYINIPDPNRLHKLTLEALVFNNNKTGRSKSSLGKVCIAGTSFVPYSDAVVLHYPLEKVGVFSRARGELGLKVFITDDPSLRSSNPFSMDFPSHSNNTESITQQVAGSVPESFSNGKKGSRRTFHHLPNRKHEQQQPHSSFVDSRMDQMLSEPHDPKLVRVYSGSSSQPVEHMLKETSPILGGGQVVGGRVLRRDRRATTYDLVEPMEFLFVRVVKARDLHSKDFTGSLDPYVEVRVGNYKGITKHFEKTESPEWHTVFAFPKERMQSSVLNVVVKDKDTVKDDFVGIVHFDLHEIPTRVPPDSPLAPEWYSLENKKGKKNKGELMLAVWIGTQADEAFPDAWHTDEASLIDVSSPSTHIRSKVYHSPRLWYVRVNVIEAQDLVTDEKTRFPDVYVKAQIGSQVLKTRPVRSQTMNALWNEDLMFVAAEPFEEHLILSVEDRVASNKEEILGQVVIPLNTVEKRADNRLVQARWYTLQKSSGSDVEESKKVKFATRVHLRISLDGGYHVFDESTHYSSDLRPTVKQLWKPSIGILELGILNAHSLQLMKTRDGRGTTDTYCVAKYGHKWIRTRTIVDSVNPKYNEQYTWDVYDPATVLTVGVFDNGQLGEDGKRDMKIGKVRIRISTLETNRIYTHSYPLLVLHPSGVKKMGELHLAIRFSCTSTVDMMYIYSRPLLPKMHYVKPLSMAQQEMLRHQAVSVVAARLSRAEPPLRKEVVEYMSDANSHLWSMRRSKANFFRLMSVFNGLFSAAKWFGDVCIWKNPVTTGLVHILFTLLVCFPELILPTAFLYMFVVGLWNYRYRAQYPPHMNTRISFADSAQPDELDEEFDTFPTSRSPDLVRVRYDRLRSVAGRIQTAVGDVATQGERVQALLSWRDPRATTIFIIFCLAAAIVLYMTPFQLFAIMAGFFVMRHPMFRHKLPPAPLNFFRRLPAKTDSML >itb09g22460.t3 pep chromosome:ASM357664v1:9:20856531:20861501:-1 gene:itb09g22460 transcript:itb09g22460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHNLIPKDGKDLSSAFVEIHFDGQKFRTSVKEKDLDPVWNETFYINIPDPNRLHKLTLEALVFNNNKTGRSKSSLGKVCIAGTSFVPYSDAVVLHYPLEKVGVFSRARGELGLKVFITDDPSLRSSNPFSMDFPSHSNNTESITQQVAGSVPESFSNGKKGSRRTFHHLPNRKHEQQQPHSSFVDSRMDQMLSEPHDPKLVRVYSGSSSQPVEHMLKETSPILGGGQVVGGRVLRRDRRATTYDLVEPMEFLFVRVVKARDLHSKDFTGSLDPYVEVRVGNYKGITKHFEKTESPEWHTVFAFPKERMQSSVLNVVVKDKDTVKDDFVGIVHFDLHEIPTRVPPDSPLAPEWYSLENKKGKKNKGELMLAVWIGTQADEAFPDAWHTDEASLIDVSSPSTHIRSKVYHSPRLWYVRVNVIEAQDLVTDEKTRFPDVYVKAQIGSQVLKTRPVRSQTMNALWNEDLMFVAAEPFEEHLILSVEDRVASNKEEILGQVVIPLNTVEKRADNRLVQARWYTLQKSSGSDVEESKKVKFATRVHLRISLDGGYHVFDESTHYSSDLRPTVKQLWKPSIGILELGILNAHSLQLMKTRDGRGTTDTYCVAKYGHKWIRTRTIVDSVNPKYNEQYTWDVYDPATVLTVGVFDNGQLGEDGKRDMKIGKVRIRISTLETNRIYTHSYPLLVLHPSGVKKMGELHLAIRFSCTSTVDMMYIYSRPLLPKMHYVKPLSMAQQEMLRHQAVSVVAARLSRAEPPLRKEVVEYMSDANSHLWSMRRSKANFFRLMSVFNGLFSAAKWFGDVCIWKNPVTTGLVHILFTLLVCFPELILPTAFLYMFVVGLWNYRYRAQYPPHMNTRISFADSAQPDELDEEFDTFPTSRSPDLVRVRYDRLRSVAGRIQTAVGDVATQGERVQALLSWRDPRATTIFIIFCLAAAIVLYMTPFQLFAIMAGFFVMRHPMFRHKLPPAPLNFFRRLPAKTDSML >itb12g21360.t1 pep chromosome:ASM357664v1:12:23722290:23724072:-1 gene:itb12g21360 transcript:itb12g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQQATLLQSLSLSSSPLGFLADTVWANERWGRNGWKEVWDGDQAQQESTGRTTNGVCNNNPSVPSHRPITRKFAAQISTSQQHYHEFFLSDPDASASYGYGLRSSGDASATSEGYVGGYSIANRGIAA >itb04g21140.t1 pep chromosome:ASM357664v1:4:26232005:26235665:-1 gene:itb04g21140 transcript:itb04g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVEVLDASDLMPKDGQGSADPFVEVSFDGQRQRTQTKNKDLYPQWNEKLVFNVSDPADLHAKTIDVTVYSEGKHGHHSKFLGRVKLSGFSVPFSESEAEIQRYPLDKRGLFSHIKGDIALKLYSLPGLGNPNLGHQAPAAAAPPPEVPQDFKPEPMNTGIGADPREIPTPPPPLQEINPNLFEEEPKIESETKKKKKEPEVRTFFSVGAGGGPPPPPPEKPVTVEPRSDFMKAGPMPPGLMRMQMPGGQRPEFGLVETRPPLAARTGYWGRDKTASTYDLVEQMYFLFVHVVKAKDLPVMDITGSLDPYVEVRVGNYKASTRHFEKNQNPVWNEVFAFAKENMQSNMIEVIVKDKDFVKDDFVGRVGFDILEVPLRVPPDSPLAPQWYRLVGKKGERIHQGEIMLAVWMGTQADEAFPDALHSDAYGVSPHSMAHTRSKVYFSPRLYYLRVHVIEAQDLVPADKGRMPEAYVRLQLGHQARTTRPAQRHINPMWNEEIILVASEPFEDYLIIDVVDRVGPGKDEVIGRMMVPIREIPPRIGETSKLPPPKWFNLLRPSVADEDEKKKEVKFSSKIHVILCMDAGYHVLDESTHFSSDLQPSSKLLRKPSVGILELGILNAQNLQPMKGKDGRLTDAYCIAKYGNKWVRTRTVLNNLNPRWNEQYTWEVFDPCTVITIGVFDNCHIHGKEDARDQRIGKVRIRLSTLETDRIYTHFYPLLVLHPSGLKKHGELHLAIRFTCTAWVNMVAQYGRPLLPKMHYVHPISVRHIDWLRHQAMVLVAARLTRAEPPLRREVVEYMLDVDYHLFSLRRSKANFNRILALFSGISAVYRWYYGICYWKNPFTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRFRPRNPPAMDARLSLADSVHPDELDEEFDSFPSSRPNEIVRMRYDRLRSVAGRVQSVLGDLATQGERALAILSWRDPRATAIFIVFALIWAVFLYVTPFQVIAVLVGLFMLRHPRFRSKLPSVPVNFFKRLPAKSDMLL >itb01g28980.t1 pep chromosome:ASM357664v1:1:33280523:33283190:-1 gene:itb01g28980 transcript:itb01g28980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGTVVSNKMQKSVVVAVDRLFHNKIYNRYVKRTSKFMAHDEKDECNIGDRVKLDPSRPLSKHKHWIVSEILKRARIYVPPSAETLAKAKANMKTEVSANDDSKRKPGVSAPSST >itb02g07170.t1 pep chromosome:ASM357664v1:2:4446353:4449988:-1 gene:itb02g07170 transcript:itb02g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVFFLGLYVIFNSPISLGDDTLTVNDNLSLTTTIVSSNGRFEMGFFTPGKTANYYAGIWYNQIEPQTVIWVANRDTPISFVDMASTTLKISDGNLVLVNVTGGLLWSTNAATSGGSKNSLRATLLNTGNLVLIDGNSTDEAQPLWQSFDHPTDTTMAGAKFGYNKLTGEKQTLRSWKSPEDPSPGLYSLAMDPQIWQFAATWNGTDRYWTSGPANGSLFKLVPEMRPNPIYNFTFVNNANQTFFVYEFFNPDAVISRFVLDISGQLRHYTWLDSSKAWNILFLKPEKQCDVPAVCGPFGMCNDNSTSLCDCLPGFRRKSDKDWGLKVFSGGCVRKADLQCGNATGTANSQEDRFRMITNTRLPRHPRNVTVGSTTECESACLRNCSCNAYAYDDRNGGCSHWDGELLNLYEDNSNGSTIYIRLAASEFFVQDQNQNKVSAGKLKVIIPVTVASLLLVACIFTYICYTRRKGNYSFDNDYYLLRCMDQLKRFPRIKFAIHGFGHQKGEFQDGKEIAVKRLSSESSQGINEFKNEVELIARLQHRNLVRLLGYCIKGKEKILLYEYMQHKSLDTFIFDQERSVLLDWENRFDIILGIARGLMYLHHDSRLRIIHRDMKTSNILLDSEMNPKISDFGLARILEGRKTEAVNTIRVAGTYGYMSPEYASDGLFSIKSDVFSFGVIMLEIITGRRSSGFYHPDGSANLLAYVRQTREFVHESLIPLEPKHEREVLKCIHISLLCVQERPEDRPRMPTVLTMLNNETCESLSRPNQPAFVPRTSMPSTTSTSSSSSGLPHSNNEVTLTVLEGR >itb05g20920.t1 pep chromosome:ASM357664v1:5:26873227:26876104:1 gene:itb05g20920 transcript:itb05g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVKNVLKSIRENGIGSFIRELREEGYTKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYQKLGDTQYGRHRWVEYAQKGRYNASQVPAEWHGWLHFVTDHTGDELLLLKPKRYGVEHKENFSGEGEELIYHSKGHSLNPGQRDWTRYQPWQPTKP >itb08g05350.t1 pep chromosome:ASM357664v1:8:4412053:4414246:1 gene:itb08g05350 transcript:itb08g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVVRKGLALSPRKKKWLIGLGLVGVSSYGAYKVYNMPSVVRKRRRIMKVLGALISMAEMVSESAEVMSVVSNDLKEFLQSDSDEVPKSLKQLSKIAQSEEFVQSVSRVSEAVMIGVLRGYRSEENMGKIDELGSKNFSDKLMDRLTSSAGTGFVSVVVGSFARNLVMGFYSNSQPHEGLNGNHLSNGSYVKSNQSGWVDLLCDQRCKVLIADCIKTFVSTAVAIYLDKTVGVNFYDEIFSGLTNPEHHAKVRDILVSLCNGAVETLIKTSHQVLTTSGSKSDRGLSSPLSIVDHSVGSGQSSDKAFEQVSSGKVKEMRKSMDLQSNRWLNTVSSTLAVPSNRRLVLDVTGRVTFETVKSIVEFFLWKLSDSLRRSVSVLHKEVIERGLDVIRYVGAKSYVILTICFALFLHVLGSTQTLLPA >itb13g15080.t1 pep chromosome:ASM357664v1:13:21787824:21791897:-1 gene:itb13g15080 transcript:itb13g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEAETVDFEPEDDDLMDEDVDTPSSPRAPTPRIKSLVTGGSSSAPRKTKGRGFREDAAEADRNVRMSARFDSLDSDGGPGPERSIEGWIVLVTGVHEEAQEDDLHNAFGEFGEIRNLHLNLDRRTGFVKGYALIEYENIEEAQKAIAEMEGTQLLTQTINVDWAFSKGPFRRRNVRRRSPRSHRSRSPRRRY >itb03g05830.t1 pep chromosome:ASM357664v1:3:4133661:4135583:1 gene:itb03g05830 transcript:itb03g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVRDVELIRTIPVAAVRDVELIRTIPVAANFADVWCWRGGIRDVIFGDYIIDWIPGVKSIGLSDIPTTAWSTDPNDPFIDYMISEVSKSYKASAVIFHTFDELEPEVCKVLSSMFNRVYTIGPIPMLLKGLPETEIDKIECNLWKEDSTCIQWLDTKNPKSVVYVNFGSMAVTNPEKLVELAMGLSNSMQNFLWIIRPELISGDWSTILPPKFMDAVKDRGYIANWCDQE >itb07g01350.t1 pep chromosome:ASM357664v1:7:837042:839160:1 gene:itb07g01350 transcript:itb07g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEAGVKSLDAFLSGKCYISGDQLTKDDVKVYAAVLEQPSADLFPNASKWYHVVSTKLAASFPGKAVGVRIGCQDAPAEAAPAKVVDDDDDDMDLFGEETEEEKKAAEERAAAAKASTKKKESGKSSVLMDIKPWDDETDMKKLEECVRKVQKEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIEEELTVEPCSEYVQSCDIVAFNKI >itb06g01810.t1 pep chromosome:ASM357664v1:6:3116508:3120537:-1 gene:itb06g01810 transcript:itb06g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVLIPYDCFDEDGGWTRTKASKSRTTAPPGPPPLTTAPPPLLRTRLTAAPPDHQSTAVYDSAVCLYATTVLHFYSSDL >itb06g15700.t1 pep chromosome:ASM357664v1:6:19994233:19995511:1 gene:itb06g15700 transcript:itb06g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNKQPMDLLEEYSPLSLEDDDEEYEFPIEDNNAAPSSGLEIETPFILLAKLLSDKPSRFNHLKDTMASIWRPKKGMMAREVSTNLFLFYFVHELDIMKVLEDGPWSYDQNLLLLKRIETKVPPHTVQLSKADFWVQAYNIPASMQTKKTAEMIGSFIGSFIKTDVDDNLDGLSRSFMRIRVQMDVTKPLEKKMKVKPASGDPFYIEFKYERLPTFCFLCGLIGHNDISCDNLFEGSIELTMRNYGPELRATERRSQPNAGQQ >itb10g12630.t4 pep chromosome:ASM357664v1:10:18688633:18692112:1 gene:itb10g12630 transcript:itb10g12630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLVPKRVVELDGLNMNLLDTTSLERLVDSIMRSIKCSIEFASLDEEASILAMENSVDTDSSEASSSALEDHQICIQVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKRR >itb10g12630.t1 pep chromosome:ASM357664v1:10:18688645:18692868:1 gene:itb10g12630 transcript:itb10g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLVPKRVVELDGLNMNLLDTTSLERLVDSIMRSIKCSIEFASLDEEASILAMENSVDTDSSEASSSALEDHQICIQHEAGHFLVGYLVGVLPYRYKVPSVEDLIQDKFAPGNVEFCGFDFLRKVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKRR >itb10g12630.t3 pep chromosome:ASM357664v1:10:18688645:18692265:1 gene:itb10g12630 transcript:itb10g12630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLASILAMENSVDTDSSEASSSALEDHQICIQHEAGHFLVGYLVGVLPYRYKVPSVEDLIQDKFAPGNVEFCGFDFLRKVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKRR >itb10g12630.t7 pep chromosome:ASM357664v1:10:18688645:18692112:1 gene:itb10g12630 transcript:itb10g12630.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLVPKRVVELDGLNMNLLDTTSLERLVDSIMRSIKCSIEFASLDEEASILAMENSVDTDSSEASSSALEDHQICIQHEAGHFLVGYLVGVLPYRYKVPSVEDLIQDKFAPGNVEFCGFDFLRKVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKLDKVLKWLYFREDDADLHLRWAALNSLVMLIGYGEARLRLAEAMSLGRSVGCCIDTIETTLDHLNR >itb10g12630.t5 pep chromosome:ASM357664v1:10:18688633:18692265:1 gene:itb10g12630 transcript:itb10g12630.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLVPKRVVELDGLNMNLLDTTSLERLVDSIMRSIKCSIEFASLDEEASILAMENSVDTDSSEASSSALEDHQICIQHEAGHFLVGYLVGVLPYRYKVPSVEDLIQDKFAPGNVEFCGFDFLRKVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKVSVVL >itb10g12630.t6 pep chromosome:ASM357664v1:10:18688633:18692265:1 gene:itb10g12630 transcript:itb10g12630.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLVPKRVVELDGLNMNLLDTTSLERLVDSIMRSIKCSIEFASLDEEASILAMENSVDTDSSEASSSALEDHQICIQVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKVSVVL >itb10g12630.t2 pep chromosome:ASM357664v1:10:18688633:18692265:1 gene:itb10g12630 transcript:itb10g12630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLLCPWMSFPLRELSAPAPARSRALIWARANDAEGIRRRRALRRVDWELEKGNYKAALSLVKQLQGKPGGLRGFAAAQLVPKRVVELDGLNMNLLDTTSLERLVDSIMRSIKCSIEFASLDEEASILAMENSVDTDSSEASSSALEDHQICIQHEAGHFLVGYLVGVLPYRYKVPSVEDLIQDKFAPGNVEFCGFDFLRKVGASTVSCKKLTKRKQMVEVNTNKVSSKALNRFLCVTLGGLVAEYLEFGYSELLHSDVEKRR >itb04g12250.t1 pep chromosome:ASM357664v1:4:11999872:12002220:-1 gene:itb04g12250 transcript:itb04g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKRVYEDQSIVSYFDKRAWVVASQHHNKRQMLTGFLKSMGYENSGTEEELAEKLYRYLLHQRYFVVIDDIWSDEAWNVVKACFPDNSNHSRVLLTTRFAKVPTRIGSSNDFSHQMQLLDESESWNLFHEKTCKSYDVEFEAIVRPVVKKLKGLALAIVVVAGLFSKLNTLDAWKNIAETLNSFATTSIDEECSRILSSSYNHLPYKLKACFLYLSIFPEDKEIHVRILKKLWAAEGLIKEFESMSIDAIAEKHIQELKRIKYVMNPNNHIGRLENLKKLVVCDFPFGWKAVNSLSKLPKLEVLKLLRCICIDEEEWKLSKNEKFEQLVYLKIDAIYLKCWEASAYHFPNLECLILSCEKLEKIPANFAEISNLKSIKLIGCLTSAVASAKQILEEQHDEGNDDMIVIEKYTIEPYSSDEDGEIEDEEDEDEEIEYEEDEDESDDDESASARLVRSWSPLPLQPPPSLLSPPPPPHSPATTPLHHHHHRQDEKN >itb06g02000.t1 pep chromosome:ASM357664v1:6:3417054:3420708:1 gene:itb06g02000 transcript:itb06g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRTPFKGIAEDFKGRKKCYKDDWMNSFSSGIGILAPTTYIFFASALPVIAFGEQLSRETGVAEPTIIMYTYLYTFAGRSPEMGKELFVAWAGWVCVWTALMLFLLAIFNACTIITRFTRISGELFGMLITVLFYQEAIKGLVSEFEIPKGENAASEEYQFQWLYTNGLLAIIFSFGVLITSLISRDARTWPYGTGWLRSFVADYGVALMVVLWTAMSYAVPGKIPSSIPRRLFSPLPWDSSSLYHWTVAKALYLISFDAKYKIGVYFLSSAFTLKNSCTPTGHHIFQDMARVPTGYIFAAFIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPPAYHYDIFVLGVMTLICGLLGLPPSNGVLPQSPMHTRSLATLKRLLLRKKMVKSAREGMKMQASKSEIYGRMHAVFIEMDASPAPVVDKELENLKEAVLKQGDGEDKNFDPAKHIDAHLPVRVNEQRVSNFLQSLLIGLAIFAMPVIKMIPTSVLWGYFAYMATDSLPGNQFWERILLLFVPSSRRFKVLQSLHASFVELVPFKYIAAFTAFQFVYLLICFGVTWIPIAGILFPLPFFFMISIREHILPRFFPLEYLQELDAAEYEEIAGRSIHSRDTPPKEDDDDDDDYSAAEILDEMTTHRGELKHRSTSVNERHHQVHPDDQSRP >itb09g24590.t1 pep chromosome:ASM357664v1:9:24349764:24350674:1 gene:itb09g24590 transcript:itb09g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHYQTVCAMCGDVGFPDKLFRCSKCLRRFQHSYCSNYYYYSEQSDPIQVCDWCQSEQRRWNNGGAAPSRSDSGTNRPSEYSGDKIKQRDREEGMEKSGGKNPAASSLPRTATRRYKLLKDVMC >itb04g06560.t1 pep chromosome:ASM357664v1:4:4255782:4261896:-1 gene:itb04g06560 transcript:itb04g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEFLLMHSSLQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWELPHGYGRIKPDIVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPESKRKDILNPASVKQALVEGAAKLSGPNMYEQGAGRVNLLESYEILKNYEPRASIFPSALDYSDCPYSWPFCVQPLYAGAMPVIFNATILNGMGVIGYVESPPTWHPSDEDGNLLSIHFTYSDVIWPWTGYLALHMQIKEEGAKFSGDIEGNVTVKVHSPPAPGEKARRSTTCILQLKLKVIPTPPREVRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFDMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEDEYFAEEIEKLRDDVINSGLSLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLSPFGIAFGDKILNGDFVINGEQTRYASGTDIVKIPRGGYLHSFPFLDSSESGATQSGLSSGMTKADTPVLGLLEVGRGRIAVYGDSNCLDSSHMVTNCYGLLKKILDFTSRSVKDPVLFSDSARQDKPLHIDKKQLPSRRTDVNFSTYSAVVGKELICRHDSRFEVWETKGYNLHVRGRNRRLPGYTVIDLGTGLNSSAENSWMKISNTTKTDVGYSQRKEDLNGDDQDVPVPVATHWLLPAGVAIIGLLLLLSLWRFRHRRPRPRKAPGSGRGMYP >itb04g06560.t2 pep chromosome:ASM357664v1:4:4256572:4261892:-1 gene:itb04g06560 transcript:itb04g06560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEFLLMHSSLQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWELPHGYGRIKPDIVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPESKRKDILNPASVKQALVEGAAKLSGPNMYEQGAGRVNLLESYEILKNYEPRASIFPSALDYSDCPYSWPFCVQPLYAGAMPVIFNATILNGMGVIGYVESPPTWHPSDEDGNLLSIHFTYSDVIWPWTGYLALHMQIKEEGAKFSGDIEGNVTVKVHSPPAPGEKARRSTTCILQLKLKVIPTPPREVRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFDMLRDAGYYVETLGSPLTCFDARQYGTLLLVDLEDEYFAEEIEKLRDDVINSGLSLVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLSPFGIAFGDKILNGDFVINGEQTRYASGTDIVKIPRGGYLHSFPFLDSSESGATQSGLSSGMTKADTPVLGLLEVGRGRIAVYGDSNCLDSSHMVTNCYGLLKKILDFTSRSVKDPVLFSDSARQDKPLHIDKKQLPSRRTDVNFSTYSAVVGKELICRHDSRFEVWETKGYNLHVRGRNRRLPGYTVIDLGTGLNSSAENSWMKISNTTKTDVGYSQRKEDLNGDDVRIS >itb08g13380.t1 pep chromosome:ASM357664v1:8:14236203:14239869:1 gene:itb08g13380 transcript:itb08g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQREDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHKELKVKDEKTLLFGEQEVRVFGIRNPEEIPWGETGADYIVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRIEKEASYDEVKEAIKEAANGPLKGIIGYTDEHVVSSDFVGDNRSSIFDAQAGIALNKKFHKLVSWYDNEWGYSSRVIDLICHMASVSK >itb03g22200.t1 pep chromosome:ASM357664v1:3:20286650:20291075:1 gene:itb03g22200 transcript:itb03g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQDSEQVGEGMENLEISETEENSKNWASSHSPGETTNTQIQTSSLSAKRNSFPLDSICEDTAIVDGRQIIMNNFLPALRSGEWSDIGGRHDMEDTHICITDLAKSFGDGILGEEAVSFYGVFDGHGGKGASHFVRDHLPRIIVEDADFPLALEKVVTRSFMETDAAFAKSCSLDSALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGLAIEMSKDHRPCCSNERTRIESLGGFVDDGYLNGQLGVTRALGDWHIKGLKEDDNSGPLSAEPELKLTTLSKEDEFLIIGSDGIWDVFRSQNAVDFARRRLQEHNNVKLCCKEIVDEAKKRGAIDNLTAVIVCFHSEPPPPIGTVPRTRVRRSISAEGLQNLRSFLEG >itb14g03540.t1 pep chromosome:ASM357664v1:14:3123485:3127175:-1 gene:itb14g03540 transcript:itb14g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIKVPKAKLNSGHQMPLLALGTASTSLPKAEQLTSIFIHAIEMGYRHFDTAAVYGSEEALGRAVAAALQRGLVASRDELFITTKLWCSETERHLVVPALRRSLGRLGLDYVDLYLIHWPLRFKDSVEDGKIKTENVVPFDMKGTWEGMEECCNLGLAKSIGVSNFTSTKILQLLQNATIPPAVNQVEMSVAWQQSKLLAFCREKGIQVSAWSPLGANDAPWGNPGLLEIPQLKDVAMAKHKTTAQVALRWIYEQGACPIVKSFNKERMKQNLQILNWELGEEEIGKIQQIPQRRGCRADIFVHPNGPYKSVEELWDGDI >itb06g06910.t1 pep chromosome:ASM357664v1:6:9720721:9721580:1 gene:itb06g06910 transcript:itb06g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSQFHFDDGAAGGLDGDDDGAGGVRSGWRDGVRRWTALGSERRRSEKRGCGWTATASGDGVRRRVGIE >itb01g04500.t3 pep chromosome:ASM357664v1:1:3040927:3043484:1 gene:itb01g04500 transcript:itb01g04500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRCFLLGLGYTLCIFTLFAGVSSQPLGQLCPNTSTYAPNSNYRANLNLLLSALSSNANRQNGFYNATVGGRNASDAAVHGLLMCRGDVSAGVCTTCVGDATVAVLQRCPNQMTATIWYDYCMLRYSDVPLYGRRDQSSVILILRNAQNDSQPERFMQSVRNTLDQVVTLVANDESPDRKFATRETNVTGSESIYCLGQCRPDLSGLDCQTCLSSSIQQLQIPSLGARTITSDCNIRYETYSFYNSTAASAPPPPPPPVPIRPPPPPTGEGNSGNSSSTVIIAIVVPIVAGFILFIAIFCFMRAKKAKKQITTTEQTDVSGISTEDCLQYDLATIQVITDDFSPERKIGEGGYGSVYKGKLPTGQEVAIKRLSRNSGQGAQEFRMEVEVVAKLQHRNLVRLLGFCSEGEEKILIYEFVSNKSLDYFLFDPEKRHLLDWSKRYKIIEGIARGLLYLHEDSRLRIIHRDLKAGNILLDGDMNAKIADFGMAKIFGVDQTQGNTNRVVGTYGYMSPEYAMHGHFSVKSDIYSFGVLLLEIVTGKKNTNFSDPSGVQDLLSYVSTKAFNYMLQNHLHC >itb01g04500.t2 pep chromosome:ASM357664v1:1:3040927:3043182:1 gene:itb01g04500 transcript:itb01g04500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRCFLLGLGYTLCIFTLFAGVSSQPLGQLCPNTSTYAPNSNYRANLNLLLSALSSNANRQNGFYNATVGGRNASDAAVHGLLMCRGDVSAGVCTTCVGDATVAVLQRCPNQMTATIWYDYCMLRYSDVPLYGRRDQSSVILILRNAQNDSQPERFMQSVRNTLDQVVTLVANDESPDRKFATRETNVTGSESIYCLGQCRPDLSGLDCQTCLSSSIQQLQIPSLGARTITSDCNIRYETYSFYNSTAASAPPPPPPPVPIRPPPPPTGEGNSGNSSSTVIIAIVVPIVAGFILFIAIFCFMRAKKAKKQITTTEQTDVSGISTEDCLQYDLATIQVITDDFSPERKIGEGGYGSVYKGKLPTGQEVAIKRLSRNSGQGAQEFRMEVEVVAKLQHRNLVRLLGFCSEGEEKILIYEFVSNKSLDYFLFDPEKRHLLDWSKRYKIIEGIARGLLYLHEDSRLRIIHRDLKAGNILLDGDMNAKIADFGMAKIFGVDQTQGNTNRVVGT >itb01g04500.t1 pep chromosome:ASM357664v1:1:3040927:3044114:1 gene:itb01g04500 transcript:itb01g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRCFLLGLGYTLCIFTLFAGVSSQPLGQLCPNTSTYAPNSNYRANLNLLLSALSSNANRQNGFYNATVGGRNASDAAVHGLLMCRGDVSAGVCTTCVGDATVAVLQRCPNQMTATIWYDYCMLRYSDVPLYGRRDQSSVILILRNAQNDSQPERFMQSVRNTLDQVVTLVANDESPDRKFATRETNVTGSESIYCLGQCRPDLSGLDCQTCLSSSIQQLQIPSLGARTITSDCNIRYETYSFYNSTAASAPPPPPPPVPIRPPPPPTGEGNSGNSSSTVIIAIVVPIVAGFILFIAIFCFMRAKKAKKQITTTEQTDVSGISTEDCLQYDLATIQVITDDFSPERKIGEGGYGSVYKGKLPTGQEVAIKRLSRNSGQGAQEFRMEVEVVAKLQHRNLVRLLGFCSEGEEKILIYEFVSNKSLDYFLFDPEKRHLLDWSKRYKIIEGIARGLLYLHEDSRLRIIHRDLKAGNILLDGDMNAKIADFGMAKIFGVDQTQGNTNRVVGTYGYMSPEYAMHGHFSVKSDIYSFGVLLLEIVTGKKNTNFSDPSGVQDLLSYAWKHWRDGTPLGILDPVLGESYSRNEVIQCIHIGLLCVQEDVDERPTMANVDLMLNSYSATRSATREPAFFYSGRSEPKGEESDKSKSKSMPWSINEMSITELDPR >itb01g04500.t4 pep chromosome:ASM357664v1:1:3041080:3042903:1 gene:itb01g04500 transcript:itb01g04500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFRCFLLGLGYTLCIFTLFAGVSSQPLGQLCPNTSTYAPNSNYRANLNLLLSALSSNANRQNGFYNATVGGRNASDAAVHGLLMCRGDVSAGVCTTCVGDATVAVLQRCPNQMTATIWYDYCMLRYSDVPLYGRRDQSSVILILRNAQNDSQPERFMQSVRNTLDQVVTLVANDESPDRKFATRETNVTGSESIYCLGQCRPDLSGLDCQTCLSSSIQQLQIPSLGARTITSDCNIRYETYSFYNSTAASAPPPPPPPVPIRPPPPPTGEGNSGNSSSTVIIAIVVPIVAGFILFIAIFCFMRAKKAKKQITTTEQTDVSGISTEDCLQYDLATIQVITDDFSPERKIGEGGYGSVYKGKLPTGQEVAIKRLSRNSGQGAQEFRMEVEVVAKLQHRNLVRLLGFCSEGEEKILIYEFVSNKSLDYFLFG >itb06g26210.t2 pep chromosome:ASM357664v1:6:27636530:27638089:-1 gene:itb06g26210 transcript:itb06g26210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFFSSVIVFLQLQVILHMVVSTQSHGHAYSCRSYCGNLTVDYPFALQSGCGHPGYRDLLFCINDVLMLHISSGSYRVLDIDYAYQSLALDDPHMSTCGAIVLGRRGNGFVVEPWRATYLQPTSDNVFMLLGCSAESPLFQGFPGKHLPCRNVSGMGCEDYYGCPGWGASAKSRMGAVYGSGPPECCAVAFETIKAINLSKLDCQGYSSAYSVAPLRVSGADEWSYGIRVKFSVQGDDIFCKACEATGGSCGYEVGDYTNVCMCATWNSTSNCDNSAAAIESASSTTETWSLVDVLAAGLLAFAFFLRIPNVAW >itb06g26210.t1 pep chromosome:ASM357664v1:6:27636530:27638089:-1 gene:itb06g26210 transcript:itb06g26210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFFSSVIVFLQLQVILHMVVSTQSHGHAYSCRSYCGNLTVDYPFALQSGCGHPGYRDLLFCINDVLMLHISSGSYRVLDIDYAYQSLALDDPHMSTCGAIVLGRRGNGFVVEPWRATYLQPTSDNVFMLLGCSAESPLFQGFPGKHLPCRNVSGMGCEDYYGCPGWGASAKSRMGAVYGSGPPECCAVAFETIKAINLSKLDCQGYSSAYSVAPLRVSGADEWSYGIRVKFSVQGDDIFCKACEATGGSCGYEVGDYTNVCMCATWNSTSNCDNSAAIESASSTTETWSLVDVLAAGLLAFAFFLRIPNVAW >itb07g15320.t1 pep chromosome:ASM357664v1:7:18355689:18356000:-1 gene:itb07g15320 transcript:itb07g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYCIIMIIAHCSFNFIARRLRLKTAAEASRDLKSEPPLELKVGKASRDLISELPLELKVGILERLPIRDAARTAVLSTQWNDVWLRLGRLFFNIDFLLWHIR >itb11g12030.t1 pep chromosome:ASM357664v1:11:8916963:8919391:1 gene:itb11g12030 transcript:itb11g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMLRSLLFLSAIFFFFVSVEVSATTMTLYNKCRHPVWPGIQPGAGKPVLARGGFKLPPNKAYTLRLPAGWSGRVWGRHGCSFDASGRGRCATGDCGGALFCNGIGGTPPATLAEITLGNDQDFYDVSLVDGYNLAISITPFRGTGKCSYAGCVSDLNTICPVGLQVRSHDNRSVVACKSACSAFNSPRYCCTGSFGSPNSCKPTAYSRIFKTACPKAYSYAYDDPTSIATCTRGSYLVTFCPHH >itb07g02240.t1 pep chromosome:ASM357664v1:7:1405655:1409968:-1 gene:itb07g02240 transcript:itb07g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVRSKEIVRPSSPTPQSLKNYKLSFIDQLALNVRVPFVFFYDSSSGAYSHDHTNTIDELKKSLSKTLSLMYPLAGRVKEDKVTIECNDEGVEFIVADVAEIMSCLLENPEMEKIKQLIPIGKVYEPQPVGKVLVAVQVNRFSCGGMGFGFFVSHAIADASAVAIFFETWASINRGCAVNGNGFVSDQSSILFPPLKDTSAIERSVKMAAEAIEKEEKHMIVKRFVIPANAIAQLREELICKENNKGSLQCPSRAEALTADSSMEFVGPKIEYFQVRHCVCYVAWNGSLKRVNPCYKLSNGSHIEESRRQCTTQIAAAKRKDS >itb14g06510.t1 pep chromosome:ASM357664v1:14:5742243:5744204:-1 gene:itb14g06510 transcript:itb14g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENYRRDEEDHYENYRVSGREEERRREKERDRRVNNAGEENHKNEDSKVSKQEGNMITQTGRRGGVYIPPFKLARMMKEIQDKSSVEYQRITWDALRKSINGLVNKVNVTNIKNIIPELFAENPNIKNIIPELFAENLIRGRGLFCRSCMKSQMGRGLFCRSCMKSQMASPGFTDVFAALVAVVNIRFPVVGNLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQEVIHELIALELLAVLLENPSDDSVEVAVGFVTECGSMLQDLTPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGYPAVRPELNLVEQVDQLTHEISFQDTIDPEIALDIFKPDSNFLENEKKYEELKTAILGEESEEEGNSHAESGDDDDDEEEEEEEDEEQMKLKDETETNLVNLRRTIYLTIMSTVGFDEAGHKLLKIKLEAGQEMELCIMLLECCSQERTYLRNYGLWGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVALFFAHLLATDALHWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHFGIRLLNDRLNDPTMQESFDSIFPKDNPKNTRFAINFFTQIGLGGITENLRAYLKNVQRLIMQQKKSVSESSSGSSCDESESESSSSSSEEDTRRKRMRH >itb07g21590.t1 pep chromosome:ASM357664v1:7:25976899:25978594:1 gene:itb07g21590 transcript:itb07g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKSFLSPFHQPIFLPPPPPPLRGGGGGGGGFSLNNKVSPSILLIIIILAIIFFISGLLHLLVRYLLRPSSRDPDEVDNVTALQGQLQQLFHLHDAGVDQSFIDTLPIFNYKAIIGLKNQNHFDCAVCLCEFEGEDNLRLLPKCSHAFHMECIDTWLLSHSTCPLCRASLLPDYSMASPIVLVLESGSESSREMGHPEREGILGISQRCGDDDGDLQKSCEILQEKGEGDQEKVVKVKLGKFRNVDSSGEGSSDGGIDSRRCFSMGSFAYIMDENSSLHVPIRMKKQASKKKPLTPGHRVALSECGGCDSRRDFNGFEAFRFSEIQTSGSDGNEITTNKVVKEGKRESFSISKIWLRGNKDNNNPNSAATEGRRAVSFRFPAHSAVAGVKTKSGGGDGGSGASFRFPAHSGVAGTKTKSGGGGGDAPAGSGGASVFEIGIDGWGGGGCDVENDGSSHIVNSSANAPSFGRRTLIWLMGRQNKVVHSTFSSNV >itb03g01900.t1 pep chromosome:ASM357664v1:3:1070751:1073204:-1 gene:itb03g01900 transcript:itb03g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEELRGMGCFSLARDPLNLCVNGGSFPANFDRRIQTKERNGGGAVAGGEEGEIELSLGLSLNGKFGVDPNRAQKLKRCSSISSFALNGEGTGARAFSPYAPPLSRTCSLPAEAETEEEWRRRKEAQSQKRLEAKRKRMEKMKNVRVVREKLDKEDPHFEENGSSNGNGLIPVLSNGNALPSSQGSSVSQGSGSSGVSDFDSQPLQVCGKNVEAPSPSSVHSRELEQRPATIPSSVHSQELEQRPATIPSSLHSRELEQRPATLPSSLHSREFEQRPATVLEPAVNNSGNSGKDCKEVVRNAMFNMPCVTTRGDGPNGKKIEGFLYRYRKGEEVRIVCVCHGSFLSPAEFVKHAGGGDVAHPLKHIIVTPSCLF >itb10g02330.t1 pep chromosome:ASM357664v1:10:1985765:1987407:-1 gene:itb10g02330 transcript:itb10g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSHYFTLVFAALFLVVAWASQATARTLEEPSILEHHGRWMARHGRSYKYHHVKKAKRFKFLETFNNVSSTKVRNPFDPLNNAAAGGETTDPEEEEEEENCDENGKRLWVPFLISGVLFVLLQPGLIVQIPGEKRFIEFRTMKTSGRAILVHTLIFIFICVIIILIFRDRF >itb10g20060.t1 pep chromosome:ASM357664v1:10:25727454:25730413:-1 gene:itb10g20060 transcript:itb10g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREANSWIRRTNYSHTVCHRLDAGRLASLPISIQQGGFPGVKSRPGTTFANPLSSPTVAEILHNPATSKQRAVSPSPEMKLPETFKEAHSSQKRFSTPHPRRKGHDNKGFVGKLRHKSFNENRTRSAKSPHSKSPSNTPGSKSLSNISPLRHFPSVKFHDKTKSRKESAWTKYFDHGGGKVTSVDSVDDYMVDLSKLYLGHKFAHGAHSQLYHGIYNDQPVAVKIIRVPDGDEHHDLSSRLENQFNREVTLLSRLHHENVIKFIGACKKPLVFCIITEYLPEGSLRAYLHKLEHKALPLQKLIGMALDIARGMEFIHSRGIIHRDLKPENILIDEEFHLKVADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYGFGLLLWELVTGCIPYEDMTPVQAAFAVVNKNMRPTIPVDCPPAMKALIEHCWSLHPENRPEFWQIVKILEQFESSLANDGTLSLVHGITFQDHKKGLLHWIQKLGPVHSDSHSVPKPKFA >itb10g20060.t2 pep chromosome:ASM357664v1:10:25727454:25730001:-1 gene:itb10g20060 transcript:itb10g20060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREANSWIRRTNYSHTVCHRLDAGRLASLPISIQQGGFPGVKSRPGTTFANPLSSPTVAEILHNPATSKQRAVSPSPEMKLPETFKEAHSSQKRFSTPHPRRKGHDNKGFVGKLRHKSFNENRTRSAKSPHSKSPSNTPGSKSLSNISPLRHFPSVKFHDKTKSRKESAWTKYFDHGGGKVTSVDSVDDYMVDLSKLYLGHKFAHGAHSQLYHGIYNDQPVAVKIIRVPDGDEHHDLSSRLENQFNREVTLLSRLHHENVIKFIGACKKPLVFCIITEYLPEGSLRAYLHKLEHKALPLQKLIGMALDIARGMEFIHSRGIIHRDLKPENILIDEEFHLKVADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYGFGLLLWELVTGCIPYEDMTPVQAAFAVVNKNMRPTIPVDCPPAMKALIEHCWSLHPENRPEFWQIVKILEQFESSLANDGTLSLVHGITFQDHKKGLLHWIQKLGPVHSDSHSVPKPKFA >itb03g27760.t3 pep chromosome:ASM357664v1:3:27981858:27985322:-1 gene:itb03g27760 transcript:itb03g27760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIYAKLYNKYTKLKKEKDSEMEKLNREQEEKFLNYVAAAEEMIEYLRSEKDKLSKQVNELKSELASIRSTKDEQLIHIQNLLMEENLKNKELSEEIERLQSLEQQRQVNNLSQDYEGENGQANDPGGVSPHAFKSPTIKKTRKRSRQSLLVNENPVDASDAEENLDDLMKEPTNGLCKMIEAPCCRRIMDASGNEVTDAEHLTCMFQDLAGCIVGMKLSPFMENEEYLISALHESSGYSFTLTWINNSSGKPELLYRVSSLGTFERIAPEWMRDVLMFSSSMCPIFFERLSRVIKA >itb03g27760.t2 pep chromosome:ASM357664v1:3:27981539:27985361:-1 gene:itb03g27760 transcript:itb03g27760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIYAKLYNKYTKLKKEKDSEMEKLNREQEEKFLNYVAAAEEMIEYLRSEKDKLSKQVNELKSELASIRSTKDEQLIHIQNLLMEENLKNKELSEEIERLQSLEQQRQVNNLSQDYEGENGQANDPGGVSPHAFKSPTIKKTRKRSRQSLLVNENPVDASDAEENLDDLMKEPTNGLCKMIEAPCCRRIMDASGNEVTDAEHLTCMFQDLAGCIVGMKLSPFMENEEYLISALHESSGYSFTLTWINNSSGKPELLYRVSSLGTFERIAPEWMRDVLMFSSSMCPIFFERLSRVIKA >itb03g27760.t1 pep chromosome:ASM357664v1:3:27981539:27985361:-1 gene:itb03g27760 transcript:itb03g27760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIYAKLYNKYTKLKKEKDSEMEKLNREQEEKFLNYVAAAEEMIEYLRSEKDKLSKQVNELKSELASIRSTKDEQLIHIQNLLMEENLKNKELSEEIERLQSLEQQRQVNNLSQDYEGENGQANDPGGVSPHAFKSPTIKKTRKRSRQSLLVNENPVDASDAEENLDDLMKEPTNGLCKMIEAPCCRRIMDASGNEVTDAEHLTCMFQDLAGCIVGMKLSPFMENEEYLISALHESSGYSFTLTWINNSSGKPELLYRVSSLGTFERIAPEWMRDVLMFSSSMCPIFFERLSRVIKA >itb14g03520.t1 pep chromosome:ASM357664v1:14:3107891:3113006:-1 gene:itb14g03520 transcript:itb14g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIQVPKAKLNSGHQMPLLALGTCSSSPQNPDQLTSILIRAIEMGYRHFDTAVVYGSEEALGRAVAAAVERGVVASRDELFITTKLWCTETEHHLVVPALKRSLGRLGLDYVDLYLIHLPFRAKDSVEDLHFKTENVVPFDMKGTWEGMENCCKLGLAKSIGVSNFTSKKISQLLQNATIPPAVNLVEMSVAWQQSKLLEFCREKGVKVSAWSPLGANGAMWGNPGLLEIPQLKDIALAKHKTTAQDSAEPSVKASAIADEAVRGKGITNKTSQQIWT >itb03g00870.t1 pep chromosome:ASM357664v1:3:465997:476949:1 gene:itb03g00870 transcript:itb03g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWELLAPVGRRVSVETLAGKRLAIDASIWMIQFMKAMREEKGEMVRNAHLLGFFRRICKLMYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKIRKTAEKLLLNHLKAMRLKELAEDLENQRKTNDSKGKSVVSEDTITVQDMPEGNGFSTNIEKQQNRDDLKGKRVVLEDTDALEDILQGDGLSAKSYNNQEEIDEMLAASLAAEDDESFFPDASTSGAGPAKDEDDDEDEEMILPEMQGKIDPSILAALPPSMQLDLLVQMRERLMAENRQKYQKVKKVPEKFSELQIQAYLKTVAFRREIDEVQKSASGKGIGGVKISRIASEANREFIFSSSFTGDKQVLTSAGDNQNKNNQMETSRENNSASMVSDLAAQKSSVVLESFVDEPEKDFHDDVETYLDERGRVRVSRSRALGIRMTRDLQRNLDLMKEIEQERVVQNEDACNLPTVPTFSSENANAHGNACEKIQNLNSSQIDHEGMTCDKVENAESLLKSGTSLEISFEDKQEHNCGDDDLFASLVGGDPIIDFSVNDSPVKKQSTDSASDVDWEEGVTEEKFGMLSSNFPGESEPSLTGGGGLNDESEVVWEEGPSDIHKGAFLCPSEGRNASKGDFEEEADFQEAIRRSLQDLKDQRSVEESSPDELSRKVSGEGNMGTIIESDLQEKYCSKPKLSENEILHQPDILSKAATHFKANDATDGLEIAEVNNCLNTHLGSEFRDNLGQGKILNGKVCSDTADTNNGLETHLTPPIRDSPRQGEILTGSTHSESHLQDLGGSGNLNSKTLEETCIDVEGIGEHMIEVQGIDTINEVAEGNDNSSGVTLLFARLESRLPDSSLSDAQEKGFEAASGDHACDITELGKTCPSIPITDTYNAQRINKEPEHDDGVGKREESFGTPVVGSIQKDHVEFTAESLVEEMLILGEERKELGDEQRRLERNAESVTSEMFSECQELLQMFGLPYIIAPMEAEAQCAYMELVNLVDGVVTDDSDAFLFGARSVYKNIFDDRKYVETYLMKDVENELGLDREKLIRMAMLLGSDYTEGVSGIGIVNAIEVLNAFPEEDGLHKFREWVESPDPTILGKIDGRSHAHHGNDGCILQSVDEGQKIKQIFMDKHRNVSKNWHIPSSFPSDAVVSAYDTPQVDKSTEPFSWGKPDVSVLRKLCWEKFGWTVQKTDELLTPVLKEYNKHETQLRLEAFYAFNERFAKIRSKRIKKALKGMTGNCSLELMDDAAEDMHARRMKQKVNPNDEKGTNLETDSVGVQPAGGDEIKTAKKSSGKQSRKSKGERLQSGGAAKRLKGSKESNARRRPKGQERTVSVGKERKRKNSCSRSNETSSSTDKTNSDEEQETETEKLEVSQVRRSEQPRKVVSYTDLEDDDHNKVEHSFSEEPMKRELVQDPSYAQGSPGDLGKINEDVFKGDSGEEFLMASLEPGGGFGMDGTEMESVNPLSGDQLSKDYLMCGGGFCLEEDDTNTELGDLAPGTIPEENSDFSHQSSIQEEDLETDLDQLDSNQLNKEETMPASETEPYLNEDSPKPKVAIPQENILEDDLITGSVKSLRAMPNLRKKRRKV >itb07g08520.t1 pep chromosome:ASM357664v1:7:6735876:6737863:-1 gene:itb07g08520 transcript:itb07g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVSNKQIILKGYVKGEAKESDMECRTTTVKLEVPAGSDAVVVKNLYLACDPFLRARMIDYEGNYVDAFTPGAPMAGYGVGRVLDSGNPKFKKGDLIWGITGWEEYSMVGLTAYVGLYEIGRPKKGETVFVSSAFGAVGQLVGQFAKLVGCHVVGSAGSKQKVDLLKSKYGFDDAFNYKEEKDLNATLKRYFPNGIDIYFENVGGKMLDAVLLNMKVHGRIIGCGMISQYNNLDKPEGVHNLILIINKRLRFEGFLLADHYDLYPKFLETALQYIREGKISYAEDFSDGIESAPRALVEFLSGKSMGKKVIVVSKE >itb05g17660.t1 pep chromosome:ASM357664v1:5:24504827:24511901:-1 gene:itb05g17660 transcript:itb05g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CROWDED NUCLEI 1 [Source:Projected from Arabidopsis thaliana (AT1G67230) UniProtKB/Swiss-Prot;Acc:F4HRT5] MSTPTRKLWSGWSLTPRKSEEKGKGLDFAENSRKCLDGEESGSINLQEKVAKLETELFEYQYNMGLLLIEKKEWTTKYEEVKHALEEANDSYKREREANSIAISEAEKREDSLRKALGVEKQCVLDLEKALREMRSEYAEIKFTADSKLAEANALVTSVEEKSLEVEAKLHAADAKLAEASRKSSEVERKLHELKTQENALRRERSSFNVERESHESTLSKKREDLREWERKLQEGEERLADGRRLLNQREQRANEADKIMTQKQTELEASQRKIDTANSVLNEKEEDISRRLAGLSLKEKEIDEMRKSLDLKEKKLHELEEKLDAKEREEIQKLVDEHKATLDAKEEEFKLEMKQRRESQDEELKSKVIELEKKEAEIYHMEEKVKKREQALEKKSEKVKEKEKDLESKLKALKEREKSVKVEEKNLANERKQILSDKDELIALQAELENVRADIEKSQLKLNEDQEQLKITEAERLELTRLQSELKQETDKCRLQQDLLLKETEDLKKEREKFEKEWDELDVKRAEIKKELEELNEQKRSFEKLKRSEEERMNKEKIETEYYVNRELEALKVARESFSASMDHEKSVLDEKLQNERSQLIHDFELRKSKLEADMQKKQEEMESGLLEREKLFEDHRERELNNINYLREVARRDMEELKLERSRLQKEKQEISANKEHLEEQQLEMRRDIDALVALSSKLKAQRVAFLKEREQFVEFIKKQENCTSCGKSIGEFELSGLQSLTEVDNFEDPPLPTVAQDYLRENIQGTSEMPGNELSPGIVNSGVSVSGGGTMSWLRKCTSKILKFSPGKKIEPTGLQDMIEESALPENSVKETPNASLLHREIQQDLPLFKGDASEDPILELDNSTREVETGKDIVEDSENSNVKIGQRRSVKRGGVPRGRKTSSGKANGSVEISINTNEESEKESGLLGTGINRNSKKRSRAHASQGTASEQGDDYSEGNSDSVTGGSRRKRRQKAAPLIQNPGQSRYNLRRPKSAAVATTNGSLSHNASRIQETRHSKVAQTSPVEIIDGEVQSVEVVHQTVVETPIEIKDAAADKQDSNAEMANNLVDIGFSEEVNETPEVPKEDYDVDQGYRTDEDHGIGDNDEGDEDEEAEHPGEVSIGKKIWTFITT >itb00g00640.t1 pep chromosome:ASM357664v1:16:4543733:4544110:1 gene:itb00g00640 transcript:itb00g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREEKRRTDRTRALDQCPQKQGVCPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNLQEHSMVLIRGGRVKDSPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSR >itb01g30250.t1 pep chromosome:ASM357664v1:1:34318393:34326194:1 gene:itb01g30250 transcript:itb01g30250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIEGLGPYQERPRTFPNMRNKSHAPLLFRALMRINVRVLLVVLLLCAGAIFYVGASTSPIIVFVFSVCIVSFFLSIYLTKWVLLKDEGPPEMSQISDAIRDGAEGFFRTQYGTISKMALLLGFIILGIYLFRTTTPQQEASGIGRSTSAYITVIAFLFGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVMGVAILYATFYVWLGVDSPGRMKSVDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLIISSFGIFSIRNKRESGVIGAVEDPMEILQKGYSITVVLAVLTFGLSTRWLLYTEQAPSAWLNFALCGLVGIITAYIFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIVSAFWLGRTSGLVDDTGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAALSNAPFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVSIVASASLREMIKPGALAIISPTVIGFVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDCHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >itb08g13520.t1 pep chromosome:ASM357664v1:8:14571784:14572789:1 gene:itb08g13520 transcript:itb08g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGAGLPAELTSAAMAAATTDDASVAGNGGGRLDRLQRLRRRTLIWSESSPSTDSAVRGGSVVDGATSYGVGHLTDLAVMAPGRSVGDGGGPLSPVQRSALAMMEETSDFHASICSLPASLFLPLFADCPISASPVNL >itb09g14810.t1 pep chromosome:ASM357664v1:9:10102283:10103998:-1 gene:itb09g14810 transcript:itb09g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPAHPPSMQYTQQFLSSVLSQRGPAALPYSEELKWMIRQHILALIEAYPSLQPKTGTFTHNDGRTVNLLQVDGTVPMLFQGVTYNIPVIIWLMESYPQHPPLVFVNPTRDMIIKRAHPFVNPSGVVAIPYLQNWLYPSSNLVELGRNLCHYFSRDPPLYSQRRPNPNPSPSPSPNPTPSPSTSANFASIGSHLTSPAPAVRPAIPPRVFPQSPYGSGGGTGRVMDEPSEVFKRNAINKLVENLHSDIAGLRKAREAEMEGLFNAQAALRQREEQLNKGLKEMQDEKEGLEQQLQMVLMNTDLLEGWLKDNEAKLNKLGVVDVNEAFEPFDVLSKQMLDCSASDLAIEDAIYSLDKAAQDGAIPFDQYLRNVRLLSREQFFHRATASKVRAAQMQAHVSNMASKASQYAL >itb01g18740.t1 pep chromosome:ASM357664v1:1:24585403:24586804:1 gene:itb01g18740 transcript:itb01g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCCFCKQTQPMVSCHGGLNISSRRRKDKVVIVMGATGTGKSRLAIDLATRFVAEVVNSDKMQVYKGLDIVTNKVKEEECQGVPHHLLGVVDPNADFTAADFCRQALQAVDAITRKNRLAIIAGGSNSYIKALVKDHVEFRAKYECLFLWIDVEMRVLYEFVSERVEKMVEEGLIEEAREFFDPAGDYTRGIKRAIGVPEMDQYFRIMGGGDEKMRQEVLETGIENIKANTCKLASCQLQNILRLQSQLEGWNIVHRLDATEAFQKRGGSGAEAYEAWERLVAAPSTMIVHDFICQGPTTTFLAPPTSPNSVLTASSVLGTAAAVATATR >itb15g15310.t1 pep chromosome:ASM357664v1:15:14017905:14018689:-1 gene:itb15g15310 transcript:itb15g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSARKATLKKRKKGLIKKVSELSTLCGIDACAIIYSPYENQPEVWPSTAGAQRVVAQFKRMPEMEQSKKMVNQEGFIRQRIAKASEQLKKQHKENREKEITEVMFQCLTGKGLHSLILTDLNDLAWLIDQNLKEINKRIEDLQKAAPVAAPQEAGIDLGQRPPQWFADWMMSNNQQMGFEHGGGATEEMIMPPFNDNHHPIPMWPATGGAFFP >itb13g08420.t1 pep chromosome:ASM357664v1:13:10679695:10683141:1 gene:itb13g08420 transcript:itb13g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKSLRTTVVVLLFKIKSRRLLFVGAILTVVSAIFQIYTLSYPLTGWCFHPLVEVSPTKNLNHERHLEENIKVDHLEAIQHFQSVVPQNCSADQDPLKKSSTRAKKTAHVRKRTRHLEPPSAPSLLPGRNVSNHLLRYIESLSPDEGLAYAKKEIEKAPLVVDDPDLYAPLFRNVSVFKRSYELMELILRVYIYQEGKRPIFHEPYLRGIYSSEGWFMKHMEENWQFITKDPKKAHLFYLPYSAKQLQRALYVRNSHNLRPLSLFLRDHVNMLAAKYPFWNHTRGSDHFLVACHDWGPYTLMDHEELLKNTIKALCNADLSEGIFAAGKDVSLPETTIRNPKRPLRNVGGKRVSQRLILAFFAGRTHGRVRPRLIKYWSDKDEDMRIYAALPNRVSKIMSYPEHMKSSKYCICPMGFEVNSPRIVEAIYYECVPVVIADNFSLPFNEVLNWSAFSVHVAEKDIPKLKEILLAIPMRQYLTMQTNIKKLQKHFLWNSRPTRFDLFHMILHSLWLSRLNQFQIPEQS >itb13g06460.t1 pep chromosome:ASM357664v1:13:7743114:7744200:1 gene:itb13g06460 transcript:itb13g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILISNTDNFFVNPYGTPPVLHPHGTTATSLISVSGANLKPQGILPWLFLVATMLRLINKRPHLMKMNLKIFYMDREEDTMSIASGVTVKASQPEREHRNQRDRRLITRRWIDAHINPAKQFIAFRGYSASHFLGGQWNSGGACDREMEPIKNGTNLKPYVPMMEVLDRVLKDIKTPVSYLNITRMTDFRKDARPSMYRTRNMTAEERKNWFIHQDCSHWCLPGVADNWNELLYAELLVNQYQKQQQSSRS >itb14g07300.t2 pep chromosome:ASM357664v1:14:6700660:6706033:-1 gene:itb14g07300 transcript:itb14g07300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSWWIWKCYLIVLMLLRGSNGVESFVGMNWGRYATHQLVASSAVDLLLQNGISDVRIFAPRPSVLVALEGSSIGVTVTLSRDFILRDKFMSDLQNGSGWVVELILPPIQRKVNITTVIVMQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb14g07300.t3 pep chromosome:ASM357664v1:14:6700660:6706033:-1 gene:itb14g07300 transcript:itb14g07300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb14g07300.t4 pep chromosome:ASM357664v1:14:6700660:6705847:-1 gene:itb14g07300 transcript:itb14g07300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb14g07300.t5 pep chromosome:ASM357664v1:14:6700660:6705847:-1 gene:itb14g07300 transcript:itb14g07300.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSWWIWKCYLIVLMLLRGSNGVESFVGMNWGRYATHQLVASSAVDLLLQNGISDVRIFAPRPSVLVALEGSSIGVTVTLSRDFILRDKFMSDLQNGSGWVVELILPPIQRKVNITTVIVMQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb14g07300.t6 pep chromosome:ASM357664v1:14:6700660:6702972:-1 gene:itb14g07300 transcript:itb14g07300.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFVSPKSIPILWNLTDVFRETRTVIVMQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb14g07300.t1 pep chromosome:ASM357664v1:14:6700471:6706033:-1 gene:itb14g07300 transcript:itb14g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb14g07300.t7 pep chromosome:ASM357664v1:14:6700471:6705848:-1 gene:itb14g07300 transcript:itb14g07300.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFVSPKSIPILWNLTDVFRETRWALDNFNLSHIRTTTSHYIDIIKVAKTPSEGEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKYNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENAARFYKGFIPHMVNKKGTPRRPNKNIDAYFYTLSDENRISVPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGIVKLPLRWCIFNGDLSNPKNAEIHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAESVDDGRQVFYNNVDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb05g23130.t1 pep chromosome:ASM357664v1:5:28302708:28303064:1 gene:itb05g23130 transcript:itb05g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKNCFLFLAITLCLASVKTSSAARHLLQIPGMPQLPTIPSLPQPTLPQMPSIPNMPTTTLPPLPSMPSLNLPNTPLPTLPSAPKLTLPPLPANMPLPTSIPNLPAIPTLSPPPSN >itb03g03480.t1 pep chromosome:ASM357664v1:3:2031171:2033041:-1 gene:itb03g03480 transcript:itb03g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVVIAPINTDSDNGGKEKPLFSECRRFVRRLEIVRFQHVLCEGNKWTDLLINRGHDSKWACCQRLSPTPCESDGRRRQRSSDRRRSEAPRPKLAAQAAASRPPLRIWICSSLLRSPSPM >itb00g00430.t1 pep chromosome:ASM357664v1:16:3726365:3727843:-1 gene:itb00g00430 transcript:itb00g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGLLRFLFPLILILGTIPRESDGQFEDWCIADEQTPDEELQRAVDWACRNGADCSKIEVNQPCYLPNTVKDHASFAFNSYFQKMKHKGGNCYFNAAALLTALDPSHGSCKFEVLP >itb03g21670.t1 pep chromosome:ASM357664v1:3:19650220:19652675:-1 gene:itb03g21670 transcript:itb03g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQALPIVLGSGPKLFSPYKLGRFNLAHRVVFAPCTRCRALDSIPQQAHVEYYSQRATQGGFLLTEGTMIDPTSAGFPNVPGIFTQEQVEGWKKVVDAVHAKGAVFFCQLWHVGRASHQAYQPDGAPPISSTEKQISEHWPLIMPDGSYGHFSKPKALSTNEISKYVDLYRIAATNAIEAGFDGIELHSAHGYLIDQFLKDGINDRTDQYGGSLENRCRFLLQIIEAVATAIGPDRVAVRISPAINHMDATDSDPLALGLAVVERLNKLQADLGSKLAYLHVTQPRFTARDDGDANKFGGEEKEALLMNSLRKGYEGSFMSSGGYTRQLGIEAIEKDEADLVSFGRLFIANPDLVLRFKLDAPLNKYDRSTFYTSDPVVGYTDYPFLDQVADSSVPAQA >itb05g26700.t2 pep chromosome:ASM357664v1:5:30437382:30438381:1 gene:itb05g26700 transcript:itb05g26700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKGGSGWTSFRKEELQEEEIWGGFHERDRFAAVGGGEADVSSPSTALRRVSTAAKMIPRSNREPKMAAHQQQHSAPVNIPDWSKIYGGGGGDGFVRSSWESDGDEDDENGEMMPPHEWLARKYARSQISSFSVCEGVGRTLKGRDISRVRNAVLTRTGFLE >itb05g26700.t1 pep chromosome:ASM357664v1:5:30437386:30438381:1 gene:itb05g26700 transcript:itb05g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKGGSGWTSFRKEELQEEEIWGGFHERDRFAAVGGGEADVSSPSTALRRVSTAAKMIPRSNREPKMAAHQQQHSAPVNIPDWSKIYGGGGGDGFVRSSWESDGDEDDENGEMMPPHEWLARKYARSQISSFSVCEGVGRTLKGRDISRVRNAVLTRTGFLE >itb04g28590.t1 pep chromosome:ASM357664v1:4:32286389:32287026:1 gene:itb04g28590 transcript:itb04g28590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRMTFVWGRSSESPEMYVFNLVSVFVLSLLVECLSHTRFVSSETDNIVAGLVQTGLYGARITMAYLVMLAVMSFNVGVLLVAVAGYTLGFLVFGSRVFDRSEYQKASDLPPLTC >itb04g29900.t1 pep chromosome:ASM357664v1:4:33155190:33158135:1 gene:itb04g29900 transcript:itb04g29900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYMKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIHEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAQKKK >itb15g11010.t1 pep chromosome:ASM357664v1:15:8765365:8777685:-1 gene:itb15g11010 transcript:itb15g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGKTRFARMLFDELSTLNDLVCIWVPVSKWCDRKHKFISIIYQITKSTEDLTTKSEEMLKDTIQTLLKDRKYFIILDDVWERKDWDSLQTAFPKNMNGSRVLVTTRHDNVVDSTWKSHNLRKLSDTDGWSLIKNNVFGTEGCSDKVLKKLGLQIAKKCNGLPLALVVVAGILRKCITSKDWQRVADNPLLEINREDQSYHELVKLSYDDLPHEKLKNCFLYFAYFPMGHEIAIWKLVRLWIAEEFIPIVNEWGYPLDNVEVEAQKYLNDLVDRNLVKVIRRRADGKIKTCRIHDTLHEFCKNEAARKDLFHIMDEGQRLDDENTCSSSTRRLGFHSFTADILDDATEDKSSAGLFLNCYNQRRSSYRFGKHIRSLLLSSTQKSETRLAHEKLATIPNSFPLLRVLNIESINCDLLPNEVYGLYLLKYLAITSNLNLLPESFKNLRELETLVIKTTARTLQIEGGIWNMEKLRHVRTNTSTQLPFPPKTNNSGGKTIRTLSTISPTSCTKEIFSRTPNLQKLGVRGNLSKLLEEKKNICLLNNLGMLKCLENLKLYGQYNKVLTVPILDKFACKLKKLSFSGTLFKWKDLAVLGLLEELEVLKLDDYAFKGKNWELSNNVVFGRLQYLRIGRTNLVTWKLATHISFPVLRGLVLRNCSSLERIPECFGNVHTLKVMELFHMSENAVKSANEIKGRNDEIKLVITSEKREVPMTAAMDMVIEQEVSEAVDRLVQTVAAYNNNNNVKQSWGIPLVVLDSEIQDLTSDIETFNARLVEAYKNPFACVDVLIVKNFQTVVNKAEDAVANYIALKKKMYEDKALRTFPLPINIKKLKSCESEIQSVKTEVDMIRQQHQTDLQSLISMYKTRGVQLSIQREGLMTVIDKVIEDIKVVIEKLVKIVDENLVCYGPLESEQAEIQDMTSLIQGFKEKLVKACKNPYANEHRVLRVVVKKFRLLVNEAWDAVANYFALEKKHQGKHLLQLDNFAYLAKPRVHVSKIQSIRAKMKRIGKDHDQDFLYLLDYKHIDLRPPKGGPVHENKIVGFKNDLETIKDRLLEGSNNFIVIPIVGMGGTGKTTLALMLFKDPEIKQKFTDCRIWVHVSQCFNRRQEFINILSQITKHTEDFSMDSEDELGDKIKNLLKAKRYFIVLDDLWGKEVWDSLKVAFPENLNGSRVLMTTRTHIFLENPTWKSHELRLLGDDDGWLIIENHVFGGMGCSDSSLEAVGKRIAKQCQGLPLAIVLVAGVLRTCTTIDDWQRIADSGIPQEHQSFHELIKLGYKYLPHEMLKNCFLYFAYFPQGHDIDVWKLIRLWIAEEFIPTVDEFGYHLDVEVEAQKYLNDLVDRNLVIVRKRRADGQIKMCRIHDAIHDFCKIEATRKNLFKVIDDEVQKLDDENTSSSSSTRGRLCFHSSTMDILLAAEKNPSHFYLSCYNKRRSRRSPFGKHVHSLSAFSSEWDEIIDVTQEQLATISNTFTLLKVLNIEQQLIRSSLLPNDIYGLYLLRYLAITANNLNFLPKSFKHLGELETLVINTTEPRLQIDGGIWNMEKLRHVHTNTPMQLPSPHKNSSGGTSIRTLCIISPASCTKKIFRKIPNLQKLGVRGNLSELGLLQQQGHQEVCLFNNLLQMLNCLENLKLHANSEKVALKVPMLDKFASKLRKLTLCGTLFQWNDMTVLGSLKTLEVLKLDDNAFSGELWDLSPDVTFKRLKYLRVGRTNLVTWASKNESFPVLERLVLRSCISLQNIPSAFAYVPNLKVMELFRVHERVANSAKEIHHEILADGRVVNLFITPLPPQATEVHEQAYGEQNVNTIGSDIPSTSNPKQEIVRV >itb15g11010.t3 pep chromosome:ASM357664v1:15:8768538:8777685:-1 gene:itb15g11010 transcript:itb15g11010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGKTRFARMLFDELSTLNDLVCIWVPVSKWCDRKHKFISIIYQITKSTEDLTTKSEEMLKDTIQTLLKDRKYFIILDDVWERKDWDSLQTAFPKNMNGSRVLVTTRHDNVVDSTWKSHNLRKLSDTDGWSLIKNNVFGTEGCSDKVLKKLGLQIAKKCNGLPLALVVVAGILRKCITSKDWQRVADNPLLEINREDQSYHELVKLSYDDLPHEKLKNCFLYFAYFPMGHEIAIWKLVRLWIAEEFIPIVNEWGYPLDNVEVEAQKYLNDLVDRNLVKVIRRRADGKIKTCRIHDTLHEFCKNEAARKDLFHIMDEGQRLDDENTCSSSTRRLGFHSFTADILDDATEDKSSAGLFLNCYNQRRSSYRFGKHIRSLLLSSTQKSETRLAHEKLATIPNSFPLLRVLNIESINCDLLPNEVYGLYLLKYLAITSNLNLLPESFKNLRELETLVIKTTARTLQIEGGIWNMEKLRHVRTNTSTQLPFPPKTNNSGGKTIRTLSTISPTSCTKEIFSRTPNLQKLGVRGNLSKLLEEKKNICLLNNLGMLKCLENLKLYGQYNKVLTVPILDKFACKLKKLSFSGTLFKWKDLAVLGLLEELEVLKLDDYAFKGKNWELSNNVVFGRLQYLRIGRTNLVTWKLATHISFPVLRGLVLRNCSSLERIPECFGNVHTLKVMELFHMSENAVKSANEIKGRNDEIKLVITSEKREVPMTAAMDMVIEQEVSEAVDRLVQTVAAYNNNNNVKQSWGIPLVVLDSEIQDLTSDIETFNARLVEAYKNPFACVDVLIVKNFQTVVNKAEDAVANYIALKKKMYEDKALRTFPLPINIKKLKSCESEIQSVKTEVDMIRQQHQTDLQSLISMYKTRGVQLSIQREGLMTVIDKVIEDIKVVIEKLVKIVDENLVCYGPLESEQAEIQDMTSLIQGFKEKLVKACKNPYANEHRVLRVVVKKFRLLVNEAWDAVANYFALEKKHQGKHLLQLDNFAYLAKPRVHVSKIQSIRAKMKRIGKDHDQDFLYLLDYKHIDLRPPKGGPVHENKIVGFKNDLETIKDRLLEGSNNFIVIPIVGMGGTGKTTLALMLFKDPEIKQKFTDCRIWVHVSQCFNRRQEFINILSQITKHTEDFSMDSEDELGDKIKNLLKAKRYFIVLDDLWGKEVWDSLKVAFPENLNGSRVLMTTRTHIFLENPTWKSHELRLLGDDDGWLIIENHVFGGMGCSDSSLEAVGKRIAKQCQGLPLAIVLVAGVLRTCTTIDDWQRIADSGIPQEHQSFHELIKLGYKYLPHEMLKNCFLYFAYFPQGHDIDVWKLIRLWIAEEFIPTVDEFGYHLDVEVEAQKYLNDLVDRNLVIVRKRRADGQIKMCRIHDAIHDFCKIEATRKNLFKVIDDEVQKLDDENTSSSSSTRGRLCFHSSTMDILLAAEKNPSHFYLSCYNKRRSRRSPFGKHVHSLSAFSSEWDEIIDVTQEQLATISNTFTLLKVLNIEQQLIRSSLLPNDIYGLYLLRYLAITANNLNFLPKSFKHLGELETLVINTTEPRLQIDGGIWNMEKLRHVHTNTPMQLPSPHKNSSGGTSIRTLCIISPASCTKKIFRKIPNLQKLGVRGNLSELGLLQQQGHQEVCLFNNLLQMLNCLENLKLHANSEKVALKVPMLDKFASKLRKLTLCGTLFQWNDMTVLGSLKTLEVLKLDDNAFSGELWDLSPDVTFKRLKYLRVGRTNLVTWASKNESFPVLERLVLRSCISLQNIPSAFAYVPNLKVMELFRVHERVANSAKEIHHEILADGRVVNLFITPLPPQATEVSGY >itb15g11010.t2 pep chromosome:ASM357664v1:15:8766551:8777685:-1 gene:itb15g11010 transcript:itb15g11010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGKTRFARMLFDELSTLNDLVCIWVPVSKWCDRKHKFISIIYQITKSTEDLTTKSEEMLKDTIQTLLKDRKYFIILDDVWERKDWDSLQTAFPKNMNGSRVLVTTRHDNVVDSTWKSHNLRKLSDTDGWSLIKNNVFGTEGCSDKVLKKLGLQIAKKCNGLPLALVVVAGILRKCITSKDWQRVADNPLLEINREDQSYHELVKLSYDDLPHEKLKNCFLYFAYFPMGHEIAIWKLVRLWIAEEFIPIVNEWGYPLDNVEVEAQKYLNDLVDRNLVKVIRRRADGKIKTCRIHDTLHEFCKNEAARKDLFHIMDEGQRLDDENTCSSSTRRLGFHSFTADILDDATEDKSSAGLFLNCYNQRRSSYRFGKHIRSLLLSSTQKSETRLAHEKLATIPNSFPLLRVLNIESINCDLLPNEVYGLYLLKYLAITSNLNLLPESFKNLRELETLVIKTTARTLQIEGGIWNMEKLRHVRTNTSTQLPFPPKTNNSGGKTIRTLSTISPTSCTKEIFSRTPNLQKLGVRGNLSKLLEEKKNICLLNNLGMLKCLENLKLYGQYNKVLTVPILDKFACKLKKLSFSGTLFKWKDLAVLGLLEELEVLKLDDYAFKGKNWELSNNVVFGRLQYLRIGRTNLVTWKLATHISFPVLRGLVLRNCSSLERIPECFGNVHTLKVMELFHMSENAVKSANEIKGRNDEIKLVITSEKREVPMTAAMDMVIEQEVSEAVDRLVQTVAAYNNNNNVKQSWGIPLVVLDSEIQDLTSDIETFNARLVEAYKNPFACVDVLIVKNFQTVVNKAEDAVANYIALKKKMYEDKALRTFPLPINIKKLKSCESEIQSVKTEVDMIRQQHQTDLQSLISMYKTRGVQLSIQREGLMTVIDKVIEDIKVVIEKLVKIVDENLVCYGPLESEQAEIQDMTSLIQGFKEKLVKACKNPYANEHRVLRVVVKKFRLLVNEAWDAVANYFALEKKHQGKHLLQLDNFAYLAKPRVHVSKIQSIRAKMKRIGKDHDQDFLYLLDYKHIDLRPPKGGPVHENKIVGFKNDLETIKDRLLEGSNNFIVIPIVGMGGTGKTTLALMLFKDPEIKQKFTDCRIWVHVSQCFNRRQEFINILSQITKHTEDFSMDSEDELGDKIKNLLKAKRYFIVLDDLWGKEVWDSLKVAFPENLNGSRVLMTTRTHIFLENPTWKSHELRLLGDDDGWLIIENHVFGGMGCSDSSLEAVGKRIAKQCQGLPLAIVLVAGVLRTCTTIDDWQRIADSGIPQEHQSFHELIKLGYKYLPHEMLKNCFLYFAYFPQGHDIDVWKLIRLWIAEEFIPTVDEFGYHLDVEVEAQKYLNDLVDRNLVIVRKRRADGQIKMCRIHDAIHDFCKIEATRKNLFKVIDDEVQKLDDENTSSSSSTRGRLCFHSSTMDILLAAEKNPSHFYLSCYNKRRSRRSPFGKHVHSLSAFSSEWDEIIDVTQEQLATISNTFTLLKVLNIEQQLIRSSLLPNDIYGLYLLRYLAITANNLNFLPKSFKHLGELETLVINTTEPRLQIDGGIWNMEKLRHVHTNTPMQLPSPHKNSSGGTSIRTLCIISPASCTKKIFRKIPNLQKLGVRGNLSELGLLQQQGHQEVCLFNNLLQMLNCLENLKLHANSEKVALKVPMLDKFASKLRKLTLCGTLFQWNDMTVLGSLKTLEVLKLDDNAFSGELWDLSPDVTFKRLKYLRVGRTNLVTWASKNESFPVLERLVLRSCISLQNIPSAFAYVPNLKVMELFRVHERVANSAKEIHHEILADGRVVNLFITPLPPQATEVHEQAYGEQNVNTIGSDIPSTSNPKQEIVRV >itb10g14970.t3 pep chromosome:ASM357664v1:10:21301088:21302881:1 gene:itb10g14970 transcript:itb10g14970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKDDSIHIREVWDDNLEEEFALIREIVDSYPYIAMDTEFPGVVLRPVGNFKSSYDYHYQTLKDNVDMLRLIQLGLTFFDEKGNLPKCGTDKYCIWQFNFREFNPNEDVFAIDSIELLRQSGINFEKNIDKGISAKRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKVLTCHSLPDTQVGFFTLINLYFPVLYDIKYLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYTGVLYGLQF >itb10g14970.t2 pep chromosome:ASM357664v1:10:21301124:21303091:1 gene:itb10g14970 transcript:itb10g14970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKDDSIHIREVWDDNLEEEFALIREIVDSYPYIAMDTEFPGVVLRPVGNFKSSYDYHYQTLKDNVDMLRLIQLGLTFFDEKGNLPKCGTDKYCIWQFNFREFNPNEDVFAIDSIELLRQSGINFEKNIDKGISAKRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKVLTCHSLPDTQVGFFTLINLYFPVLYDIKYLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYTGVLYGLQF >itb10g14970.t1 pep chromosome:ASM357664v1:10:21301124:21303091:1 gene:itb10g14970 transcript:itb10g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKDDSIHIREVWDDNLEEEFALIREIVDSYPYIAMDTEFPGVVLRPVGNFKSSYDYHYQTLKDNVDMLRLIQLGLTFFDEKGNLPKCGTDKYCIWQFNFREFNPNEDVFAIDSIELLRQSGINFEKNIDKGISAKRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKVLTCHSLPDTQVGFFTLINLYFPVLYDIKYLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYTGVLYGLQF >itb10g14970.t4 pep chromosome:ASM357664v1:10:21301124:21302881:1 gene:itb10g14970 transcript:itb10g14970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKDDSIHIREVWDDNLEEEFALIREIVDSYPYIAMDTEFPGVVLRPVGNFKSSYDYHYQTLKDNVDMLRLIQLGLTFFDEKGNLPKCGTDKYCIWQFNFREFNPNEDVFAIDSIELLRQSGINFEKNIDKGISAKRFGELLMSSGIVLNDNVHWVTFHSGYDFGYLLKVLTCHSLPDTQVGFFTLINLYFPVLYDIKYLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYTGVLYGLQF >itb09g19840.t1 pep chromosome:ASM357664v1:9:16180549:16185030:-1 gene:itb09g19840 transcript:itb09g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >itb15g24230.t3 pep chromosome:ASM357664v1:15:27073845:27083882:-1 gene:itb15g24230 transcript:itb15g24230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRENSQPESSAAPAEEPPAADTGAADEERRQEAGGEDEEESNENENEALIFKAQALMDKITANAENPNPNTLHALSSLLENEESSYMKDAGYSPSSNGRSSHNIGRLGNLIRENDEFFELLSSKFLSERRYSISVQAAATRLLFSCSLTFMYPHVFEETVLENLKTWVMEETARSGDDHYWRHESGEGKSTDSEMLKTYSTGLLAVCLARILGETNITQRDLVESKTASTSVRTREEGRGRLRQVPESSYLDVPILSEDGFHDDQVMDRDRDRDRDRDRIISRHTHGEERWIDEEPPDRMPADVNSYDAETEGEEKWHIRDLREGKTKHSGRSVREEEVDESAREDSARRRTSRGWARSRVRGRVTEGVMENEQTLTSPNSGNRLGGQSRSRNLTRNYDGRRIPDSKKSSGRNSADGFGMERDENDDCFQECKVGSKDITDLVKKAVVAAEAEAKAANAPTEAIRAAGDAAAEVVKSAALEEFEKANDEEAAILAASRAASTVVDAAIAVEVARSVKSANESQESKLTEKNGEDFDEFFILDSFALAKLREKFCIQCLAILGEYVEVLGPVLHEKGVDVCLALLQRNSKDKEGMKVSLLLPDVLKLIWSLAAHRKFAAVFVDRGGMQKLLAVPKVPPTFFGLSSCLFTIGSIQGIMERVCALPSNIVHQIVELALHLLECPNDQAKKNAALFFAAAFVFRAVLDAFDAQDGLQKMLNLLHDAASVRAGSSSGALTASGSLRSERSPPEVLTSSEKQIAYHTCVALRQYFRAHLLLIVDSIRPNKNVRSAARNVPSVRAAYKPLDISNEAMDAVFRQIQKDRKLGSALVRARWNVVDKFLSSNGHITMLELCQAAPPVERYLHDLLQYALGVLHIVTLVPNSRKLIVNATLSNDRLAVAVILDAANGAGYVEPEIIEAALNVLINLVCPPPSISNKPSLSSQGQQSAPLLPSNGSNIENRDRTAERAVLSSNAHNQSEPRERNGESALVDRGSSAVVGSTQGPHSAVPSGLVGDRRISLGTGAGCAGLAAQLEQCYHQAREAVRANNGIKVLLQLLQPRIVTPPASLDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGNQTPGTEQNKWQAELAQVAIELIGVVTSSGRASTLAATDAATPTLRRIERAAIAAATPISYHARELLLLIHEHLQASGLGETASTLLKEAQLTPLPSLAMPSSLTYQISGQETTSLQTQWPSGRAPGGFMLDKPKAALQDEEFGLKCDPVSSAKRKPLTFSSVRNLHSKYNPCEVSPVASGCKINSSRKSSTPIASVEVQSASAAKLASDTDVQFKTPILLPMKRKLTDTRDSVSGVPPVKRLNTGDNPLRSPTLATPNPARRCGVPSDANLLLTPSSSFKDHHGRSLGSALPADGDDNQSSNSLLSQMSSSQHNLLNDMQASNAERLTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPRRSLDAPSNVTARLTSREFRSMYGGIHGRRKDRQFVYSRFRPWRTCRDDTGALLTCMTFLGDSSQIAAGSHLGELKIFDSNSNTIVESFTSHQNPLTQLQSYLSGDTQLILSSSVQDVQLWDASSVSAGPRHSFEGCKAPRFSKSGTTFAALSTETQREILLYDIQTCQLELKLTDASNIISGRGHVYSLVHFSPSDNMLLWNGVLWDHRGSRAIHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTIITFNASGDVIYAILRRNVEEVTSAFNTRRTKHSLFSAFRTVDAVNYADIATIPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSARVYEIGRRRLTEDDSDPDDADSEEDEDDDDDVDEEDIIGTELDGDGESDGDDLSIDDSLSALDDDEEDDDGEFIMDDMDYDGGAGILEIVTEGEDDDSQLLESFGSEDEDDLL >itb15g24230.t1 pep chromosome:ASM357664v1:15:27073845:27083889:-1 gene:itb15g24230 transcript:itb15g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRENSQPESSAAPAEEPPAADTGAADEERRQEAGGEDEEESNENENEALIFKAQALMDKITANAENPNPNTLHALSSLLENEESSYMKDAGYSPSSNGRSSHNIGRLGNLIRENDEFFELLSSKFLSERRYSISVQAAATRLLFSCSLTFMYPHVFEETVLENLKTWVMEETARSGDDHYWRHESGEGKSTDSEMLKTYSTGLLAVCLASGGQVVEDVLTPGLPAKLMRYLRIRILGETNITQRDLVESKTASTSVRTREEGRGRLRQVPESSYLDVPILSEDGFHDDQVMDRDRDRDRDRDRIISRHTHGEERWIDEEPPDRMPADVNSYDAETEGEEKWHIRDLREGKTKHSGRSVREEEVDESAREDSARRRTSRGWARSRVRGRVTEGVMENEQTLTSPNSGNRLGGQSRSRNLTRNYDGRRIPDSKKSSGRNSADGFGMERDENDDCFQECKVGSKDITDLVKKAVVAAEAEAKAANAPTEAIRAAGDAAAEVVKSAALEEFEKANDEEAAILAASRAASTVVDAAIAVEVARSVKSANESQESKLTEKNGEDFDEFFILDSFALAKLREKFCIQCLAILGEYVEVLGPVLHEKGVDVCLALLQRNSKDKEGMKVSLLLPDVLKLIWSLAAHRKFAAVFVDRGGMQKLLAVPKVPPTFFGLSSCLFTIGSIQGIMERVCALPSNIVHQIVELALHLLECPNDQAKKNAALFFAAAFVFRAVLDAFDAQDGLQKMLNLLHDAASVRAGSSSGALTASGSLRSERSPPEVLTSSEKQIAYHTCVALRQYFRAHLLLIVDSIRPNKNVRSAARNVPSVRAAYKPLDISNEAMDAVFRQIQKDRKLGSALVRARWNVVDKFLSSNGHITMLELCQAAPPVERYLHDLLQYALGVLHIVTLVPNSRKLIVNATLSNDRLAVAVILDAANGAGYVEPEIIEAALNVLINLVCPPPSISNKPSLSSQGQQSAPLLPSNGSNIENRDRTAERAVLSSNAHNQSEPRERNGESALVDRGSSAVVGSTQGPHSAVPSGLVGDRRISLGTGAGCAGLAAQLEQCYHQAREAVRANNGIKVLLQLLQPRIVTPPASLDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGNQTPGTEQNKWQAELAQVAIELIGVVTSSGRASTLAATDAATPTLRRIERAAIAAATPISYHARELLLLIHEHLQASGLGETASTLLKEAQLTPLPSLAMPSSLTYQISGQETTSLQTQWPSGRAPGGFMLDKPKAALQDEEFGLKCDPVSSAKRKPLTFSSVRNLHSKYNPCEVSPVASGCKINSSRKSSTPIASVEVQSASAAKLASDTDVQFKTPILLPMKRKLTDTRDSVSGVPPVKRLNTGDNPLRSPTLATPNPARRCGVPSDANLLLTPSSSFKDHHGRSLGSALPADGDDNQSSNSLLSQMSSSQHNLLNDMQASNAERLTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPRRSLDAPSNVTARLTSREFRSMYGGIHGRRKDRQFVYSRFRPWRTCRDDTGALLTCMTFLGDSSQIAAGSHLGELKIFDSNSNTIVESFTSHQNPLTQLQSYLSGDTQLILSSSVQDVQLWDASSVSAGPRHSFEGCKAPRFSKSGTTFAALSTETQREILLYDIQTCQLELKLTDASNIISGRGHVYSLVHFSPSDNMLLWNGVLWDHRGSRAIHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTIITFNASGDVIYAILRRNVEEVTSAFNTRRTKHSLFSAFRTVDAVNYADIATIPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSARVYEIGRRRLTEDDSDPDDADSEEDEDDDDDVDEEDIIGTELDGDGESDGDDLSIDDSLSALDDDEEDDDGEFIMDDMDYDGGAGILEIVTEGEDDDSQLLESFGSEDEDDLL >itb15g24230.t2 pep chromosome:ASM357664v1:15:27073990:27083882:-1 gene:itb15g24230 transcript:itb15g24230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRENSQPESSAAPAEEPPAADTGAADEERRQEAGGEDEEESNENENEALIFKAQALMDKITANAENPNPNTLHALSSLLENEESSYMKDAGYSPSSNGRSSHNIGRLGNLIRENDEFFELLSSKFLSERRYSISVQAAATRLLFSCSLTFMYPHVFEETVLENLKTWVMEETARSGDDHYWRHESGEGKSTDSEMLKTYSTGLLAVCLASGGQVVEDVLTPGLPAKLMRYLRIRILGETNITQRDLVESKTASTSVRTREEGRGRLRQVPESSYLDVPILSEDGFHDDQVMDRDRDRDRDRDRIISRHTHGEERWIDEEPPDRMPADVNSYDAETEGEEKWHIRDLREGKTKHSGRSVREEEVDESAREDSARRRTSRGWARSRVRGRVTEGVMENEQTLTSPNSGNRLGGQSRSRNLTRNYDGRRIPDSKKSSGRNSADGFGMERDENDDCFQECKVGSKDITDLVKKAVVAAEAEAKAANAPTEAIRAAGDAAAEVVKSAALEEFEKANDEEAAILAASRAASTVVDAAIAVEVARSVKSANESQESKLTEKNGEYVEVLGPVLHEKGVDVCLALLQRNSKDKEGMKVSLLLPDVLKLIWSLAAHRKFAAVFVDRGGMQKLLAVPKVPPTFFGLSSCLFTIGSIQGIMERVCALPSNIVHQIVELALHLLECPNDQAKKNAALFFAAAFVFRAVLDAFDAQDGLQKMLNLLHDAASVRAGSSSGALTASGSLRSERSPPEVLTSSEKQIAYHTCVALRQYFRAHLLLIVDSIRPNKNVRSAARNVPSVRAAYKPLDISNEAMDAVFRQIQKDRKLGSALVRARWNVVDKFLSSNGHITMLELCQAAPPVERYLHDLLQYALGVLHIVTLVPNSRKLIVNATLSNDRLAVAVILDAANGAGYVEPEIIEAALNVLINLVCPPPSISNKPSLSSQGQQSAPLLPSNGSNIENRDRTAERAVLSSNAHNQSEPRERNGESALVDRGSSAVVGSTQGPHSAVPSGLVGDRRISLGTGAGCAGLAAQLEQCYHQAREAVRANNGIKVLLQLLQPRIVTPPASLDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGNQTPGTEQNKWQAELAQVAIELIGVVTSSGRASTLAATDAATPTLRRIERAAIAAATPISYHARELLLLIHEHLQASGLGETASTLLKEAQLTPLPSLAMPSSLTYQISGQETTSLQTQWPSGRAPGGFMLDKPKAALQDEEFGLKCDPVSSAKRKPLTFSSVRNLHSKYNPCEVSPVASGCKINSSRKSSTPIASVEVQSASAAKLASDTDVQFKTPILLPMKRKLTDTRDSVSGVPPVKRLNTGDNPLRSPTLATPNPARRCGVPSDANLLLTPSSSFKDHHGRSLGSALPADGDDNQSSNSLLSQMSSSQHNLLNDMQASNAERLTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPRRSLDAPSNVTARLTSREFRSMYGGIHGRRKDRQFVYSRFRPWRTCRDDTGALLTCMTFLGDSSQIAAGSHLGELKIFDSNSNTIVESFTSHQNPLTQLQSYLSGDTQLILSSSVQDVQLWDASSVSAGPRHSFEGCKAPRFSKSGTTFAALSTETQREILLYDIQTCQLELKLTDASNIISGRGHVYSLVHFSPSDNMLLWNGVLWDHRGSRAIHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTIITFNASGDVIYAILRRNVEEVTSAFNTRRTKHSLFSAFRTVDAVNYADIATIPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSARVYEIGRRRLTEDDSDPDDADSEEDEDDDDDVDEEDIIGTELDGDGESDGDDLSIDDSLSALDDDEEDDDGEFIMDDMDYDGGAGILEIVTEGEDDDSQLLESFGSEDEDDLL >itb08g12560.t1 pep chromosome:ASM357664v1:8:12774938:12777801:-1 gene:itb08g12560 transcript:itb08g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYRIERVIGEKDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALYKAQAETGEIKGHYLNATAVILNTHRSRAHAFTLNIFSKSPYLLP >itb02g09040.t1 pep chromosome:ASM357664v1:2:5730861:5737864:-1 gene:itb02g09040 transcript:itb02g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMEPENSNSNPAEQFKLQANEAFKAHKYSQAIDLYTRAIELNCDNAVYWANRAFAHTKLEEYGSAIQDATKAIEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKKISPNDPDATKKLKECEKAVMKLKFEEAISVPESGKHSVADSIDYHSIEVEPQYTGARIEGDVVTLDFVKKMMEDFKSQKNLHRRYAYQIVLQTRAMLRALPSLVDINVPEGKHFTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLARGNHESKSMNKIYGFEGEVRSKLSDTFVELFAEVFCFLPLAHVINGKIFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFESPDLKPNIVTFTAVPHPDVKPMAYANNFLRMFS >itb15g12950.t1 pep chromosome:ASM357664v1:15:10887535:10891546:1 gene:itb15g12950 transcript:itb15g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLPSSSPWPPLNPTDPCLSSPPFMADNSAPDADDRSPLSDLSDISSAVTSAAAADDDDRRCSQTSPPLTTATTHNVNPNPASPPVDSFPPSLLHLSFNQDHGCFALGTNRGFRIYNCDPFREIFRRDFSNTGGGGGIGVVQMLFRCNILALVGGGPEPQYPMNKVMIWDDHQSRCIGELSFRSEVKSVRLRRDRIVVVLAQKIFVYNFADLKLLHQIETVVNPKGLCEVSHVSGCMVLVCPGLQKGQVRVEHYASKKTKFIMAHTSRVACFALTNDGKMLATASSKGTLVRVFNTFEGSMLQEVRRGADRAEIYSLAFNSTAHWLAVSSDKGTVHVFSLKADLESTEVEKAHDPSETKETTSPGVSQLSFIKGVLPKYFSSEWSVAQFRLQEGLQHIVAFGHQKNTIVILGMDGSFYRCQFNAAEGGEMRLVEHHNFLKPEDNNF >itb09g17650.t1 pep chromosome:ASM357664v1:9:13010354:13011025:1 gene:itb09g17650 transcript:itb09g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCKINQFFFRKYPSPLIYKPSPPSPSITQQQSKHKREKSNWKGQKQIKKQRARCGFSVTPFLEVSCRSHAPSSNVFTSLINSFKFINSRPVISYIIIIMEMKKIAFVAAVAAASMGVAVAAEAAASPAADASAPGPAAAAAVAALPALGSMVGASLVSFFAFYMH >itb05g05130.t2 pep chromosome:ASM357664v1:5:4900918:4904870:-1 gene:itb05g05130 transcript:itb05g05130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MNPKGLIYSFVAKGTVVLAEYTPYSGNFSTIAVQCLQKLPSSSSKYTYSCDGHTFNFLLDSGFVFLVVADESTGRNVPFVFLEKVKDDFKQRYGASIKSDGPHPLADDDDEDDDEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPDEISKLSKLKAQITEVKGIMMDNIEKEKAKD >itb05g05130.t1 pep chromosome:ASM357664v1:5:4900918:4904870:-1 gene:itb05g05130 transcript:itb05g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MNPKGLIYSFVAKGTVVLAEYTPYSGNFSTIAVQCLQKLPSSSSKYTYSCDGHTFNFLLDSGFVFLVVADESTGRNVPFVFLEKVKDDFKQRYGASIKSDGPHPLADDDDEDDDEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPDEISKLSKLKAQITEVKGIMMDNIEKVLDRGERIELLVDKTENLQFQADSFQRQGRQLRRKMWLQSLQMKLMVGGAVIAVIMIIWVIACGGFKC >itb14g02930.t1 pep chromosome:ASM357664v1:14:2661381:2662012:1 gene:itb14g02930 transcript:itb14g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSETMGITYISAENSPPLPLPLPLDLTFMHNTFHFSRFLTNLPNYHTTPLQPISELAQNNPSSCLGNNSTSDESYHKTQLRLMNERRQRRMISNRESARRSRMRKQRHLDELWSQVVRLRSENHSLLDRLNQASEKHGEAVRENARLKEEAADLRRLLAEAQVAGWSFDD >itb02g25500.t1 pep chromosome:ASM357664v1:2:26254508:26257437:-1 gene:itb02g25500 transcript:itb02g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGIILLALLCATFHLAISFTPDGLVPNGNFELGPKPNQMKGTRVVDPHAIPNWEISGFVEYIKSGQKQGDMLLVVPEGIYAVRLGEDAWIKTTVKAVKGTYYSLSFTFARTCAQEERLKVSVSPNSKPNDWGMLPLQTMYSSDGWDSYSWAFLAEAEAVDIVIHNPAAEKDPACGPLIDSVALKALNPPRRRRGNMLKNGNFEEGPYIFSNTTWGVLIPPNVEDDHCPLPGWIIESLKAVKYIDSDHFSVPEGKRAVELVGGRESALAQVVRTKPGKVYDLYFSVGDANNACEGSMVVEAFAGKLTLQVPFQSKGKGGSVKAKHRFTAISTRTRVRFLSSFYHMKSDNSGSLCGPVIDDVRLVSVRNPRIP >itb02g25500.t2 pep chromosome:ASM357664v1:2:26254508:26255909:-1 gene:itb02g25500 transcript:itb02g25500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTRVVDPHAIPNWEISGFVEYIKSGQKQGDMLLVVPEGIYAVRLGEDAWIKTTVKAVKGTYYSLSFTFARTCAQEERLKVSVSPNSKPNDWGMLPLQTMYSSDGWDSYSWAFLAEAEAVDIVIHNPAAEKDPACGPLIDSVALKALNPPRRRRGNMLKNGNFEEGPYIFSNTTWGVLIPPNVEDDHCPLPGWIIESLKAVKYIDSDHFSVPEGKRAVELVGGRESALAQVVRTKPGKVYDLYFSVGDANNACEGSMVVEAFAGKLTLQVPFQSKGKGGSVKAKHRFTAISTRTRVRFLSSFYHMKSDNSGSLCGPVIDDVRLVSVRNPRIP >itb05g12370.t5 pep chromosome:ASM357664v1:5:18911709:18921163:-1 gene:itb05g12370 transcript:itb05g12370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTREINSGHCTTAMLDLPKRYVALKIMYFGQRFYGFASEAQMDPTVESEVFKALDKTRLIFGNKKELQYSRCGRTDKGVSSVGQVIALYLRSNLKQFKGNNGCCGENGESCVGEIDYVRVLNKVLPVDIRVLGWSPVPIDFSARVWFTASRIFRFSCLSRVYKYFFWRGNLDVMAMETASQKFVGEHDFRNFCKMDAANVHNYRRHIISFEMFPCNESFESDQLWVMKIRGSAFLWHQIRCMVAVLFLIGQGFESPNVIDLLLDIERMPRKPQYIMAPEIPLVLQCCEFEGVRFFCSSDAKQALHEHLVRECLSYKVQATIFHEALLSFSSTEYDNNAMKTRTRRKEASYVPLISRPTEPSYEERRTKLDARIQTRE >itb05g12370.t3 pep chromosome:ASM357664v1:5:18911709:18921163:-1 gene:itb05g12370 transcript:itb05g12370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTREINSGHCTTAMLDLPKRYVALKIMYFGQRFYGFASEAQMDPTVESEVFKALDKTRLIFGNKKELQYSRCGRTDKGVSSVGQVIALYLRSNLKQFKGNNGCCGENGESCVGEIDYVRVLNKVLPVDIRVLGWSPVPIDFSARFSCLSRVYKYFFWRGNLDVMAMETASQKFVGEHDFRNFCKMDAANVHNYRRHIISFEMFPCNESFESDQLWVMKIRGSAFLWHQIRCMVAVLFLIGQGFESPNVIDLLLDIERMPRKPQYIMAPEIPLVLQCCEFEGVRFFCSSDAKQALHEHLVRECLSYKVQATIFHEALLSFSSTEYDNNAMKTRTRRKEASYVPLISRPTEPSYEERRTKLDARIQTRE >itb05g12370.t4 pep chromosome:ASM357664v1:5:18911709:18921163:-1 gene:itb05g12370 transcript:itb05g12370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTREINSGHCTTAMLDLPKRYVALKIMYFGQRFYGFASEAQMDPTVESEVFKALDKTRLIFGNKKELQYSRCGRTDKGVSSVGQVIALYLRSNLKQFKGNNGCCGENVGEIDYVRVLNKVLPVDIRVLGWSPVPIDFSARFSCLSRVYKYFFWRGNLDVMAMETASQKFVGEHDFRNFCKMDAANVHNYRRHIISFEMFPCNESFESDQLWVMKIRGSAFLWHQIRCMVAVLFLIGQGFESPNVIDLLLDIERMPRKPQYIMAPEIPLVLQCCEFEGVRFFCSSDAKQALHEHLVRECLSYKVQATIFHEALLSFSSTEYDNNAMKTRTRRKEASYVPLISRPTEPSYEERRTKLDARIQTRE >itb05g12370.t1 pep chromosome:ASM357664v1:5:18911709:18921511:-1 gene:itb05g12370 transcript:itb05g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAVCDTTATSSCEMDLSGALQSEIQYLRNKVKELESQNAKLSALLSNCHCQQGNGASSTLESRGLAEEVGRLNLGCKEVNMRKTREINSGHCTTAMLDLPKRYVALKIMYFGQRFYGFASEAQMDPTVESEVFKALDKTRLIFGNKKELQYSRCGRTDKGVSSVGQVIALYLRSNLKQFKGNNGCCGENGESCVGEIDYVRVLNKVLPVDIRVLGWSPVPIDFSARFSCLSRVYKYFFWRGNLDVMAMETASQKFVGEHDFRNFCKMDAANVHNYRRHIISFEMFPCNESFESDQLWVMKIRGSAFLWHQIRCMVAVLFLIGQGFESPNVIDLLLDIERMPRKPQYIMAPEIPLVLQCCEFEGVRFFCSSDAKQALHEHLVRECLSYKVQATIFHEALLSFSSTEYDNNAMKTRTRRKEASYVPLISRPTEPSYEERRTKLDARIQTRE >itb05g12370.t2 pep chromosome:ASM357664v1:5:18911709:18921511:-1 gene:itb05g12370 transcript:itb05g12370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTAVCDTTATSSCEMDLSGALQSEIQYLRNKVKELESQNAKLSALLSNCHCQQGNGASSTLESRGLAEEVGRLNLGCKEVNMRKTREINSGHCTTAMLDLPKRYVALKIMYFGQRFYGFASEAQMDPTVESEVFKALDKTRLIFGNKKELQYSRCGRTDKGVSSVGQVIALYLRSNLKQFKGNNGCCGENVGEIDYVRVLNKVLPVDIRVLGWSPVPIDFSARFSCLSRVYKYFFWRGNLDVMAMETASQKFVGEHDFRNFCKMDAANVHNYRRHIISFEMFPCNESFESDQLWVMKIRGSAFLWHQIRCMVAVLFLIGQGFESPNVIDLLLDIERMPRKPQYIMAPEIPLVLQCCEFEGVRFFCSSDAKQALHEHLVRECLSYKVQATIFHEALLSFSSTEYDNNAMKTRTRRKEASYVPLISRPTEPSYEERRTKLDARIQTRE >itb15g00680.t1 pep chromosome:ASM357664v1:15:398043:399219:1 gene:itb15g00680 transcript:itb15g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISAPPMFTTNNFFAPMLVSIAGIVSTCVAIFIYHFLVVKYYRRRRHGGRVNGDSGEVHLGVGLDPKLLETVPVMPFLLARKRDDFRDDQRECVVCLGEMEDGETVRLLPDCRHAFHVPCIDRWFVAHSNCPVCRSPVVFRDESVNSPPEGDENNSDENSDDHDHAVSSSLSSSQMQSCGLLRHCASLVLPMERRASPKRLITGLKRSLSMDQSFVIVDMQMETEDDDRRRHCSSSSSKERLTRSISIRQFDIVPSKLVVSLSSRLRIGRPTQILPY >itb12g01810.t1 pep chromosome:ASM357664v1:12:1224071:1227025:-1 gene:itb12g01810 transcript:itb12g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRTAAATDASVHSTFASRYVRTSLPRFQMPQMSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDRLIMASINKNYVDMDEYPVTTELQNRCVNMIAHIFNAPLSEGEAAVGVGTVGSSEAIMLAGLAFKRKWQNKMKTAGKPTDKPNIVTGSNVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKHLNDLLVEKNKATGWDTPIHVDAASGGFIAPFIYPDLVWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCQENAMVLKEALEKTGKFNIISKDMGVPLVAFSLKDNRRHTEFEISDMLRRYGWIVPAYTMPPDAEHVTVLRVVIREDFSRTLAERLVNDIEKVIHELETLPARVGAKFAADGEGAVHKKTEREVTLEITNAWKKFVADRKSKTNGVC >itb08g16390.t1 pep chromosome:ASM357664v1:8:18533993:18534388:1 gene:itb08g16390 transcript:itb08g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIPPCLVESGEDLLMIDRYLVYDQGFEFATTFKLKVYKLDEDEEKWVELASLGNRIVFLGDHCTFSVSVSELNSECKGNCLVFCDHGFNLDGDGAAQIHDLENGCICPVSNTACSQLFRPPPDWFCSD >itb04g23240.t1 pep chromosome:ASM357664v1:4:28389006:28389716:-1 gene:itb04g23240 transcript:itb04g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVLIITYTSTHNHASSSDPEPAAVEEEDNPTIPVPEGGEEAQMEQHRTRLKDNNGYDEEKDSFHYSQSPFNTSQHIIINNYLFTETLGTYSSLLLDGEPLSCRYPHLMDFNSPPPNHKKENYDFYDELEELPHTSSFITHTFFKDTISVNSI >itb12g02150.t1 pep chromosome:ASM357664v1:12:1445258:1448633:-1 gene:itb12g02150 transcript:itb12g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKGDSDLTPAPVHRKRHVSPVLWSAAATQDSWSLWRERGRVLALQQTPNRKLSVTEYGLKSQRTSRELDMTPPRRFLSSNNSSPNPPPPILAAAAPEPPSKVVPEPDIVVIIAALLCALMCVAGLVPLARCAWLRRSRRARAIGGGASRQPTPSNNGLKKKVLRSLPKFTYGPAFAAAGEQFPADCAICLTEYEDGDEIRVLPQCRHGFHVKCIDTWLGSHSSCPSCRQILVVARCRKCSRKEIPSVSAAGAGEDSRSTIVCESGAKQFRHGGTMLRRVLRQFRRLECSSGYSGRVEMQGKKS >itb04g14510.t2 pep chromosome:ASM357664v1:4:15162656:15170353:-1 gene:itb04g14510 transcript:itb04g14510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MLRQEVSPSDDPNLFLQLAFSSTFFAGLFQASLGIFRLGFIIDFLSRATLVGFMAGAAIIVSLQQLKSLLGITHFTQQMGLVPVLSSVFHRTNEWSWQTILMGVCFLVFLLFTRHIGIRRPKLFWISAGAPLLSVILSTLLVFAFKADKHGISVIGKLQEGLNPPSWNMLRFHGAHLGLVIKTGIVTGIISLTEGIAVGRTFAALKNYQVDGNKEMMAIGIMNVVGSSTSCYITTGAFSRSAVNHNAGCKTAVSNIVMAVTVMVTLLFLMPLFQYTPNVILGAIIVTAVVGLIDIPAAYSIWKVDKFDFIVLLCAFFGVTFISVQNGLAIAVGISIFKVLLQITRPKTMMLGNIPGTGIYRDLDHYKEAITTPGFLILSIEAPINFVNTTYLKERISRWIEEYEAEEEQTKKQSELRFVVLDMSAISAIDTSGVSFFKDLRMTLEKKGVELVLVNPLGEVLEKLQRAEETEDIVKSDSLFLTVGEAVSALSSTIKFQVPTDHV >itb04g14510.t1 pep chromosome:ASM357664v1:4:15162598:15170385:-1 gene:itb04g14510 transcript:itb04g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.3 [Source:Projected from Arabidopsis thaliana (AT1G23090) UniProtKB/Swiss-Prot;Acc:Q9SXS2] MEGNGSSGFRVEMGGVEVIHRVEEPPKRSTWQKLKGRLKETFFPDDPFRQFKNQPLKHRLILGAQYFFPILQWGPEYSFRLFKSDVISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPSDDPNLFLQLAFSSTFFAGLFQASLGIFRLGFIIDFLSRATLVGFMAGAAIIVSLQQLKSLLGITHFTQQMGLVPVLSSVFHRTNEWSWQTILMGVCFLVFLLFTRHIGIRRPKLFWISAGAPLLSVILSTLLVFAFKADKHGISVIGKLQEGLNPPSWNMLRFHGAHLGLVIKTGIVTGIISLTEGIAVGRTFAALKNYQVDGNKEMMAIGIMNVVGSSTSCYITTGAFSRSAVNHNAGCKTAVSNIVMAVTVMVTLLFLMPLFQYTPNVILGAIIVTAVVGLIDIPAAYSIWKVDKFDFIVLLCAFFGVTFISVQNGLAIAVGISIFKVLLQITRPKTMMLGNIPGTGIYRDLDHYKEAITTPGFLILSIEAPINFVNTTYLKERISRWIEEYEAEEEQTKKQSELRFVVLDMSAISAIDTSGVSFFKDLRMTLEKKGVELVLVNPLGEVLEKLQRAEETEDIVKSDSLFLTVGEAVSALSSTIKFQVPTDHV >itb03g11070.t1 pep chromosome:ASM357664v1:3:8960578:8964802:1 gene:itb03g11070 transcript:itb03g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHAKYIVSVEKRKDDFESVVMEHLRLNGAYWGLTTLDILGKIDVVDQDEVIPWIMQCQHESGGFGGNIGHDPHILYTLSAIQVLAIFDKLDVLDKDKVSNYIVGLQNEDGSFSGDMWGEVDTRFSYIAINSLALLKRLDKINVEKAVEYIVSCKNVDGGFGCTPGAESHSGQIFCCVAALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKQKLAKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPLDVVNRIIFHK >itb03g11070.t2 pep chromosome:ASM357664v1:3:8962650:8964802:1 gene:itb03g11070 transcript:itb03g11070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRISCLNYGVLNFTIRLDIVGLQNEDGSFSGDMWGEVDTRFSYIAINSLALLKRLDKINVEKAVEYIVSCKNVDGGFGCTPGAESHSGQIFCCVAALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKQKLAKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPLDVVNRIIFHK >itb07g05860.t1 pep chromosome:ASM357664v1:7:4046050:4049303:-1 gene:itb07g05860 transcript:itb07g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNNNVTATNEATPGPSSSSDAALTAAPAPQNGAKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEFCGQGFQREQNLQMHRRRHKVPWKASKRGAETAAAGKKRVYVCPEPSCLHHDARHALGDLVGIKKHYRRKHSNSKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACAAKRNNGTDTQQPLLVLHQPICSSTTPSATSPSSDTNFSTAVPPLPTPTPLTIPPPPPAEPPISDSHHKKHTRLELQLLPSSPAFPALEDNHATGLNLSIGGAGRKSGAAVMSEEVRMAMAEKSYAEETRQDARRQTELAELEFASAKRIREAAKAELEKAQVLKEQAAEKISAIMLEITCRACKQKLSEDNAGFRLRLDG >itb11g01670.t1 pep chromosome:ASM357664v1:11:835753:846679:1 gene:itb11g01670 transcript:itb11g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRTSGGGSKKSSHQNQQQAAAPPPQQQPSQPTKFGIQHFFERHTQNVLSQKSKARLDSRSSGSDTSSAALNDLRIANPSVQRHRNDSISIAENSVNAPDSMTNLRSVGLPNDASIMRSSRDVMESNKMHTNSAAPAPQSASSEPELRNTVVQIRSNSSQRLGKDLSSSSRIVEMENSKNTASLLGSESNNSSQNTPTDNALAVVVGDDDANEAAEVTPEVCKSASVKRFKFSPGMLIKQSQDDGGDEITWRISPVNERLNALSKSEKLPVLADCSRLNLLNFQQCSQKKVKTSPQPSGKVQKWLSSPPPKKAENSLVHPNKTTVTSVNVDQVMDIHAKNGKTNGEKNSAIANSQSPFKTPPSLTYCNDKSGKGSDASEVADLLGSKHNKKALIELLDQVEDVISVESSVSGDNKISSGNDLHVRSDPVLPEARSNLRETSLLFLVLEVSEKHGHLESSGSKCLVKVLRLFNEQSGEERVVQLWDEWLYSVVAPGDTVHVIGEFDDKGTCDVNHEKNFLIVHPDILASGTRVAASFSCSRRAVLDERLKFSEYSAAALIGTLLHQIFQAGLIRESPTKEYLKEHAQVLLHKNLESLYACKVSENEFHKILIEAIPKILNWIHLFRDSEGSKIQNIGLGSEVRDKKIKILEVLDIEEMAWAPKYGLKGMIDVSVQVKVEINNEVTDVIVPLEFKTGKGTSGQAAMEHNAQVMLYTLLMSERYSTMIDYGLLYYLHTDQTQGITVRRSDLIGLLMRRNELANDLLRASTTQQLPPMIQSPNMCKGCRHLNICSVYHKAYGGTTEGSGLGNVFDSLVNHLTTAHAVFLQKWDRLIDLEAKELEFVKKEIWSSHGSETEGSSSCLSPLVLGPSDKLAQKNLCTGNQFIYHFVHQSLPSVGIDQQNTHGSSITNNLECRLRNGDYVILSTEPGRLIIASGVITDTSNSHVSVSFSKRLRLPGSRLRQDLHQQIWRIDKDEFTSSFAIMRFNLTQLFMQNEESIHLRKMIVDLEVPRFDSGCIFSQDPAISYIWSEKTLNGDQRRAILKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLLKLKAQGIDFIRIGRYEAVHEEVREHCLSTTNLCGIEDIKQRLEQIKVVGVTCLGITSPLLANKRFDVCIMDEAGQTTLPVSLGPLMFASKFVLVGDHYQLPPLVQSAEAKENGMAVSLFCRLSEAHPQAISALQSQYRMCKEIMELSNTLIYGNRLRCGSSEVENAKLTYSCSIAGPPWMKEVMNPNKPVIFINTDLLLAFETNDRKSLSNPIEAYIISEVSNKLLNTGISQEDIGIITPYNSQADLIRQAVSTSVEIHTIDKYQGRDKDCILVSFVRSNENPKSSVSTLLGDWHRINVALTRAKKKLIMVGSCATLSKVPLPKLLIETIEQQGGIFSVGKNDIRHCKVGIKRCSQTRSDNGDL >itb15g08740.t1 pep chromosome:ASM357664v1:15:6172780:6176461:-1 gene:itb15g08740 transcript:itb15g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLQQQESAMSLDNPPPGCMWGILHHFHRTKWLNVKKRLPHKRFKETASSGREMQAKTDSKTENNSAALKAGESGSVSKSMRSRLKALIVDEVYKIRGQHHRTSSCPSPTPLTRTTSIHRLDPSSVRLPDDISLADKTPKHNDKTSSSGHCSSTSLFDPLVPRIGDEDRAMAKPEPKRFPGSLDQVDMKRQVFLKFFHARSSSFEHQLHSSQALSKKPVGLTKSVSFPSAGSLLREAFIAQEIEVYAKAEDELQAERPAAATPELCREGSVTKRLLTNPELFDNVPPDGEHKNKHDSKHGKKSFKNLRDKIRYALRESKKEKHRIVMDAVLDKVPHGQKTSKDGTEANDKQHEELDTKYRRSIPRTSSGTSPFGRTDGHYMKRTVSCNDFADRYNRLLESCFKQKVKHHTSERQSYGALGTASSSSPGPKTLERILSLPDLRCYGSNECLYASSSNTPFRNMSGEQKHLDTLVASENLHQSEDNSGEIPRENFQTDGDTYDDFSGLQTHNIKCTSSSDPEIDLQHTTEPAEFSKNEGDSFADQENIPKTENISTAEDSVNDEKGLEDMFMRIQVDDSNKAAFDYVKCVLEVSGLCSGEFLEKWHSAEMPLNPLVFDEVEQIVAQSDCTGSEDDGVYDHLVLFSSVNEALLEIYEKSYLYWPKALTCRSCIKRMPVGYGIVEDVWGDIRRLLRQSNSLDDPVSWDLARGDNWMNLQFEAECVGLELEDLILDDLLDELLYDDLLSY >itb01g30400.t2 pep chromosome:ASM357664v1:1:34435469:34441783:-1 gene:itb01g30400 transcript:itb01g30400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEGQLRRCCSNEAMAEIGDLTPSLTKSRPADGEEIGITDAKGAGDSVGGETKTNGVLVNENVSVRSEERVGISIDIVISEENGKMDSLSEEGDLGRENAEVNAVGESGGGATLEQKKPNRKRGRPPKKGKEQKQPCKRGRPAKNCNRAEEGGDPNESNCAEPMLECGKEDIETGIMGDEKEDPLSSDKDKGNRSARRKSSQIAIEKMGKLKEQMVEWEEAEKYGRRRKNRKIEVVEGSQDEGSGEVGARTLRQRKAKNEDAVSKPRIRKDEDGNEIESNMCHQCQRNDKGRVVRCTNCRTKRYCVPCMTRWYPGMSEEAFAEKCPVCLQNCNCKACLRLEGPIRELKEKKFEVSKEEQDQYSKYILQVLLPFLRQFKAEQIMEKEIEAKIQGVAFSELKLKKAKCAENERMYCDNCKTSIFDFHRSCSNCSYDLCLTCSQELRSGHLKWNKEVVMQYVDKGLAYMHGEISRTNERKKSKRFVETNSVDFAQKSCDNDNTLDPSKSSSGFCHTWKSEESGRIPCPPENMGGCNGGALELKHLLGQNYISSLLAKAEEVMKKTKLDDMPECSQQWCSCLNTIDENDVDKSKVRKAASRVDSHDNYLYCPAAKDIQHEDLKHFRWHWLKGEPVIVSNVLETTSGLSWEPLVMWRACRQTKNLNHPLLLDVIAINCLDWCEVDVNLHRFFNEYMKLQFDSNGWPIILKLKDWPPSDLFEERLPRHGAEFENCLPFKAYTDPRNGYLNLAVKLPKESLKPDMGPKTYIAYGVHQELGRGDSVTKLHCDMSDAVNVLTHAHGTILEPEQLSAIKELKMKHAIQDQKELLKPDELEKSENGIQKLNEESSQKRKRGRPVRNKNGTQKGGNPKGNDCTEFEDSDGGALPVKNNNGTQNGGDPKGNGCAEFEESDGGALPVKNNNGTQHGGDPQGNVCAEFEESDGGALPVKNNNGTQNGGDPKGNDCAEFEESDGGALWDIFRREDVPKLEEYLRKHFEEFRHIYCCQVPGVVHPIHDQSFYLTVEHKKKLKEEYGVEPWTFVQNLGDAVFIPAGCPHQVRNLKSCIKVAVDFVSPENVSECVRLTEVFRTLPPNHRAKEDKLEVKKMTIHAVKNAVEVLTGPKKCVKNSEKPMNESETS >itb01g30400.t1 pep chromosome:ASM357664v1:1:34435469:34441783:-1 gene:itb01g30400 transcript:itb01g30400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQCQRNDKGRVVRCTNCRTKRYCVPCMTRWYPGMSEEAFAEKCPVCLQNCNCKACLRLEGPIRELKEKKFEVSKEEQDQYSKYILQVLLPFLRQFKAEQIMEKEIEAKIQGVAFSELKLKKAKCAENERMYCDNCKTSIFDFHRSCSNCSYDLCLTCSQELRSGHLKWNKEVVMQYVDKGLAYMHGEISRTNERKKSKRFVETNSVDFAQKSCDNDNTLDPSKSSSGFCHTWKSEESGRIPCPPENMGGCNGGALELKHLLGQNYISSLLAKAEEVMKKTKLDDMPECSQQWCSCLNTIDENDVDKSKVRKAASRVDSHDNYLYCPAAKDIQHEDLKHFRWHWLKGEPVIVSNVLETTSGLSWEPLVMWRACRQTKNLNHPLLLDVIAINCLDWCEVDVNLHRFFNEYMKLQFDSNGWPIILKLKDWPPSDLFEERLPRHGAEFENCLPFKAYTDPRNGYLNLAVKLPKESLKPDMGPKTYIAYGVHQELGRGDSVTKLHCDMSDAVNVLTHAHGTILEPEQLSAIKELKMKHAIQDQKELLKPDELEKSENGIQKLNEESSQKRKRGRPVRNKNGTQKGGNPKGNDCTEFEDSDGGALPVKNNNGTQNGGDPKGNGCAEFEESDGGALPVKNNNGTQHGGDPQGNVCAEFEESDGGALPVKNNNGTQNGGDPKGNDCAEFEESDGGALWDIFRREDVPKLEEYLRKHFEEFRHIYCCQVPGVVHPIHDQSFYLTVEHKKKLKEEYGVEPWTFVQNLGDAVFIPAGCPHQVRNLKSCIKVAVDFVSPENVSECVRLTEVFRTLPPNHRAKEDKLEVKKMTIHAVKNAVEVLTGPKKCVKNSEKPMNESETS >itb15g02990.t1 pep chromosome:ASM357664v1:15:1896361:1897203:-1 gene:itb15g02990 transcript:itb15g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb11g05400.t3 pep chromosome:ASM357664v1:11:3197504:3200125:1 gene:itb11g05400 transcript:itb11g05400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSRTKLLLLRPWRAFIAPLSWYPSLRHPFLPSSSSPVHDSLPEYSDRGAKSFLLITCKSFSSNTPLDEAPALIDYRSMLQEDEYHKLANTTIHDILDKLEEFGDLVDIDGYDVDYGNEVLTLKLGSFGTYVINKQTPNRQIWMSSPIRYNSPLPLTLLSLLPIQFFLPSSNPINTQQTHRKRIQSKLTRSKHKAKHSNDY >itb11g05400.t2 pep chromosome:ASM357664v1:11:3197504:3199463:1 gene:itb11g05400 transcript:itb11g05400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSRTKLLLLRPWRAFIAPLSWYPSLRHPFLPSSSSPVHDSLPEYSDRGAKSFLLITCKSFSSNTPLDEAPALIDYRSMLQEDEYHKLANTTIHDILDKLEEFGDLVDIDGYDVDYGNEVLTLKLGSFGTYVINKQTPNRQIWMSSPISGPSRFDWDQNLKAWVYRRTKANLIQVLESELGKLCATRITLS >itb11g05400.t1 pep chromosome:ASM357664v1:11:3197504:3200125:1 gene:itb11g05400 transcript:itb11g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSRTKLLLLRPWRAFIAPLSWYPSLRHPFLPSSSSPVHDSLPEYSDRGAKSFLLITCKSFSSNTPLDEAPALIDYRSMLQEDEYHKLANTTIHDILDKLEEFGDLVDIDGYDVDYGNEVLTLKLGSFGTYVINKQTPNRQIWMSSPISGPSRFDWDQNLKAWVYRRTKANLIQVLENTIPPSPSLFSVFCRYNSSSLPQTQ >itb06g11060.t1 pep chromosome:ASM357664v1:6:15500339:15501069:-1 gene:itb06g11060 transcript:itb06g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRPSGDHHHIALHHHHSSIALLQERFRQLQRVKEMRQERELLKTLSSSSSLSSSPSPSSSPSYPHHHRDQMHYEPPPKHKALFHTDLFFPPGATTKPSSQLSLSLWPPASSPESCSRPGAPQSSAGKRRINDMNLAHNNNSCTLPMVVIKHDHHDPYPDVDTSLHL >itb07g13240.t2 pep chromosome:ASM357664v1:7:15387518:15391138:-1 gene:itb07g13240 transcript:itb07g13240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEPGYLDGIANGVVNGCDFFPDLLNILDFPEESLEGDDSVGDWDASMLQCLGPVPSDALMGLSPVHQANVDDGSLRTALKSKAFVDISPLQKDKLNYVQEITGAALLYPSKYFDAQQPGVFQTQSPVSVLESSISCSGGKTVLIKSDITIPVRTRSKRARSAVNPWILIPPLSKKTWSGKKRRERNSEVCNNGGATSDHHPCSSSSLVKKCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPTLHSNSHRKVVEMRRKAIQEEAPVVEDSLKKGAETRCSDLQDLDSISCRWFARSNTLRG >itb07g13240.t3 pep chromosome:ASM357664v1:7:15387518:15391274:-1 gene:itb07g13240 transcript:itb07g13240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEPGYLDGIANGVVNGCDFFPDLLNILDFPEESLEGDDSVGDWDASMLQCLGPVPSDALMGLSPVHQANVDDGSLRTALKSKAFVDISPLQKDKLNYVQEITGAALLYPSKYFDAQQPGVFQTQSPVSVLESSISCSGGKTVLIKSDITIPVRTRSKRARSAVNPWILIPPLSKKTWSGKKRRERNSEVCNNGGATSDHHPCSSSSLVKKCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPTLHSNSHRKVVEMRRKAIQEEAPVVEDSLKKGAETRCSDLQDLDSISCRWFARSNTLRG >itb07g13240.t1 pep chromosome:ASM357664v1:7:15387518:15391266:-1 gene:itb07g13240 transcript:itb07g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEPGYLDGIANGVVNGCDFFPDLLNILDFPEESLEGDDSVGDWDASMLQCLGPVPSDALMGLSPVHQANVDDGSLRTALKSKAFVDISPLQKDKLNYVQEITGAALLYPSKYFDAQQPGVFQTQSPVSVLESSISCSGGKTVLIKSDITIPVRTRSKRARSAVNPWILIPPLSKKTWSGKKRRERNSEVCNNGGATSDHHPCSSSSLVKKCTHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPTLHSNSHRKVVEMRRKAIQEEAPVVEDSLKKGAETRCSDLQDLDSISCRWFARSNTLRG >itb05g05100.t1 pep chromosome:ASM357664v1:5:4875664:4875936:1 gene:itb05g05100 transcript:itb05g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGDSYSLKELLQVLSFQETQTRLSLQHQSPAPPNQHPLVTDMFGELYFREIITPATSPSSSTSHHHHPFPSVLINTCPINDTIQKD >itb03g27940.t1 pep chromosome:ASM357664v1:3:28576362:28582164:1 gene:itb03g27940 transcript:itb03g27940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSALRLAFRVNPFLLELSYFIVLSLVGFLALKLSKPRPISFKLQDLDVFFTAVSSATVSSMSTVEMEVFSNLQLIFMTFLMFLGGECFTSWLGLHLFKSKVSTRERVLEKNPKVDSLSSNITTTTTATISGQVELGLVTNNYKYYQDPNPEDAKSSVQIEIVENNFGCVRVLASVVLSYILVIHVVGSTLVAMYIGLVPTAKQVLKRKGLELTTFAVFTTVSTFSNCGFVPTNENMIAFNKNPGLLLILIPQILLGNTLYPVCLRLSIWVLERATRRPVFKQILGSPNGLGYGHLFSGRRSGFLAATVFGLIFLQLAVFLALEWGSDSIAGLTGYEKFVGSLFVVVNSRHTGESILDLSALAPAVLALFVVMMYLPPDTTFFPIRDENNNSKTKGCSPKKFSIIEKILLSPLGNLAIFIILICITEREKMKEDPLNFNVLNIVVEVISAYGNVGFSTGYSCARQIKAEGQCEDKQFGFVGRWSNMGKLILIIVMFFGRLKKYHMKGGKAWKLS >itb07g13090.t4 pep chromosome:ASM357664v1:7:15209814:15215768:1 gene:itb07g13090 transcript:itb07g13090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQHHRESSSGSIDRGHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQGVNRKLSALNKLLMEENDRLQKQVSQLVCENGYMRQQLQTAPATTDGSCESAVTTSQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPIKIAEILKDRPCWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSVVICERSLSGTGAGPNATAASQFVRAETLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSVLSCDGAEDVTVAINSTKNFGTSNTLQMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYFAAALKSSSYAYPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHALDNEDAFLSRDIHLLQMCSGIDENAVGACSELVFAPIDEMFPDDALLIPSGFRIIPLESKSNDVQDALPAQRTLDLTSSLEVGLATTNHAVGSVSTSYTARSVLTIAFQFPFENNLQDNVATMARQYVRSVISSVQRVAMAISPSGSGPSLGPKLSAISPEALPLAQWICQSYSYHMGAELLGGDSMGGESVLKNLWNHHDAILCCSVKSLPVFIFANKAGLDMLETTLVGLQDIALDKIFDDSGRKALDSELAKIMQQVWYFRKLYSVFGLNPLNFSGLNIDTCYENPIQ >itb07g13090.t1 pep chromosome:ASM357664v1:7:15209668:15216465:1 gene:itb07g13090 transcript:itb07g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQHHRESSSGSIDRGHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQGVNRKLSALNKLLMEENDRLQKQVSQLVCENGYMRQQLQTAPATTDGSCESAVTTSQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPIKIAEILKDRPCWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSVVICERSLSGTGAGPNATAASQFVRAETLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSVLSCDGAEDVTVAINSTKNFGTSNTLQMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYFAAALKSSSYAYPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHALDNEDAFLSRDIHLLQMCSGIDENAVGACSELVFAPIDEMFPDDALLIPSGFRIIPLESKSNDVQDALPAQRTLDLTSSLEVGLATTNHAVGSVSTSYTARSVLTIAFQFPFENNLQDNVATMARQYVRSVISSVQRVAMAISPSGSGPSLGPKLSAISPEALPLAQWICQSYSYHMGAELLGGDSMGGESVLKNLWNHHDAILCCSVKSLPVFIFANKAGLDMLETTLVGLQDIALDKIFDDSGRKALDSELAKIMQQGYAYMPGGICMSAMGRHVSYEQAIAWKVLLDDENTVHCLAFSFINWSFV >itb07g13090.t2 pep chromosome:ASM357664v1:7:15209668:15216465:1 gene:itb07g13090 transcript:itb07g13090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQHHRESSSGSIDRGHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQGVNRKLSALNKLLMEENDRLQKQVSQLVCENGYMRQQLQTAPATTDGSCESAVTTSQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPIKIAEILKDRPCWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSVVICERSLSGTGAGPNATAASQFVRAETLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSVLSCDGAEDVTVAINSTKNFGTSNTLQMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYFAAALKSSSYAYPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHALDNEDAFLSRDIHLLQMCSGIDENAVGACSELVFAPIDEMFPDDALLIPSGFRIIPLESKSNDVQDALPAQRTLDLTSSLEVGLATTNHAVGSVSTSYTARSVLTIAFQFPFENNLQDNVATMARQYVRSVISSVQRVAMAISPSGSGPSLGPKLSAISPEALPLAQWICQSYSYHMGAELLGGDSMGGESVLKNLWNHHDAILCCSVKSLPVFIFANKAGLDMLETTLVGLQDIALDKIFDDSGRKALDSELAKIMQQGYAYMPGGICMSAMGRHVSYEQAIAWKVLLDDENTVHCLAFSFINWSFV >itb07g13090.t3 pep chromosome:ASM357664v1:7:15209668:15216465:1 gene:itb07g13090 transcript:itb07g13090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQHHRESSSGSIDRGHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQGVNRKLSALNKLLMEENDRLQKQVSQLVCENGYMRQQLQTAPATTDGSCESAVTTSQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPIKIAEILKDRPCWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSVVICERSLSGTGAGPNATAASQFVRAETLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSVLSCDGAEDVTVAINSTKNFGTSNTLQMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYFAAALKSSSYAYPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHALDNEDAFLSRDIHLLQMCSGIDENAVGACSELVFAPIDEMFPDDALLIPSGFRIIPLESKSNDVQDALPAQRTLDLTSSLEVGLATTNHAVGSVSTSYTARSVLTIAFQFPFENNLQDNVATMARQYVRSVISSVQRVAMAISPSGSGPSLGPKLSAISPEALPLAQWICQSYSYHMGAELLGGDSMGGESVLKNLWNHHDAILCCSVKSLPVFIFANKAGLDMLETTLVGLQDIALDKIFDDSGRKALDSELAKIMQQGYAYMPGGICMSAMGRHVSYEQAIAWKVLLDDENTVHCLAFSFINWSFV >itb07g13090.t5 pep chromosome:ASM357664v1:7:15209668:15215768:1 gene:itb07g13090 transcript:itb07g13090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQHHRESSSGSIDRGHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQGVNRKLSALNKLLMEENDRLQKQVSQLVCENGYMRQQLQTAPATTDGSCESAVTTSQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPIKIAEILKDRPCWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSVVICERSLSGTGAGPNATAASQFVRAETLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSVLSCDGAEDVTVAINSTKNFGTSNTLQMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYFAAALKSSSYAYPGMRPTRFTGSQIIMPLGQTIEHEEMLEVIRLEGHALDNEDAFLSRDIHLLQMCSGIDENAVGACSELVFAPIDEMFPDDALLIPSGFRIIPLESKSNDVQDALPAQRTLDLTSSLEVGLATTNHAVGSVSTSYTARSVLTIAFQFPFENNLQDNVATMARQYVRSVISSVQRVAMAISPSGSGPSLGPKLSAISPEALPLAQWICQSYSYHMGAELLGGDSMGGESVLKNLWNHHDAILCCSVKSLPVFIFANKAGLDMLETTLVGLQDIALDKIFDDSGRKALDSELAKIMQQVWYFRKLYSVFGLNPLNFSGLNIDTCYENPIQ >itb03g04130.t1 pep chromosome:ASM357664v1:3:2568908:2571654:1 gene:itb03g04130 transcript:itb03g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGDDGRGYELARKLESLGVWRSWLGDSLYPPFARFLSTPTTWEAFMRTDDSKTKAQIQLQLRVRALLFDKASIALYLRSDQSSSSSSSLVSKLNPNYLQLHGDDLYFTLENSSQDVAATNATSSKVQPKSNFGVGSRYSEKETDFASQQFKVEDLPTSWYDQFFEKFKASKSYKMSFGDREAEKRTPEMMAVYLRVLENHKRKRAAFRGDQSFGFGMLDDGPTKQSNLVLDGSSVLDQETSFFPEIMFSLNCVPDSAILKSSQGEETQRVEFKGVLDTLPQIMTKSPIMIERLGIRPEYMEQGGNQSRGKNGFEGSRKVLGAEQASKLSEKVVAQLLTNVGFEASLEVPLEVLAQLLSCHIGKLGRILKLLSDSYRKQCSAIELLKMFLQTAGHGLV >itb11g23070.t1 pep chromosome:ASM357664v1:11:24943556:24947291:-1 gene:itb11g23070 transcript:itb11g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSSSSSSSSSSSSAQQKKKALHSLLASKLTHLKIPESAPDPDLEFSELFSPLAASSPHFPGDPQVIHSRSHSFLGPSPRFTLPKPLPFPFHQEIDSEGENDTEKDIAAVNNNNNIVENNDLGVRKIGPDDFEILRVIGKGAFGKVFQVRMKGRSATEGDGIFAMKVMRKDTIIKNNHVDYMRAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFINGGHLFYHLYRQGIFSEDQARVYTAEIVSAVSHLHQKGIVHRDLKPENILMDADGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILLSKGHNKDADWWSVGILLYEMLTGQPPFTHSNRKKLQDKIIKEKIKLPPRLTSEAHSLLKGLLQKDPSTRLGSGARGADEIKSHKWFRAINWKKIEGREVRPTFKPDVSSSDCTANFDKCWTTMPPDDSPAATPTAGEHFQGYTYVAPNPWLSSINE >itb15g00910.t1 pep chromosome:ASM357664v1:15:521518:523537:1 gene:itb15g00910 transcript:itb15g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGMYSSMGSGMLGLEMSLHHQIPPQQNPNHIQQQSQPSMVAFDHHHHQSQPAAKPGLYGYGGKPKAQGLTLSDEDEPATADQSSAEDGKRKMSPWQRMKWTDDMVKLLIMVVYYIGDEGGPDGGINDKKGGQGGGHGVLQKKGKWKSVSRAMMERGFSVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQTLLESMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHGAAGSPEPPAPQQNCLHSRESARIQPNLPLKTPENENEEGENKDGESDSSEEEEDDHDLEQSHYEEEEDEADQGLSRKRPKKTGSFTPMLQQLSTELTNLCQDSTRSPLEKRHWIRARMMQLDEQQIRVDSQKLELAKQRLKWEKYSSKKEREMEKEKLTNERKRLENDRMVLLLQQKELELLDHLHHQRTSDPSSVTG >itb14g02950.t1 pep chromosome:ASM357664v1:14:2675411:2679319:1 gene:itb14g02950 transcript:itb14g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASYQRFPKIKIREVKDDYMKFELRETDASMANALRRVMIAEVPTIAIDLVEIECNSTVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEYCSVEFYLRVKCITDQTLDVTSKDLLSSDHTVVPVDYSDSSSGFGNSESKGVIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIYINEDMMDTLSLEEKRSIVESSPTKVFDIDPVTQQIVVVDAEAYTYDDEVLKKIASMDKPGLIEIHAKEDSFIFTVESTGAIKASQLVLNAIDILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >itb12g28120.t1 pep chromosome:ASM357664v1:12:28178002:28180004:-1 gene:itb12g28120 transcript:itb12g28120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLSQPEKPREAGVSDNLLLWEHLRSTLLNLLNLSILVLFFFIESSYLIILQNNLNPFYHLLTFSSLLVLVVSLPYCLRTPSPVYVVDYVCFKPPNFCRIPFSSFLEHTQMMGDSFDSKGVAFMEKIMNLSGLSEQTYLPPGLHFIPPRSGHQEAINEVRMVLFPIFQQLLSNNKLSPKDIDILVVNCSGFCPDPSLASIIVNNFGMRDDVKTFNLTGMGCSANTVAVDMVRNIMKTHRRCNAVILSTEILSTGWYPGKERAFLVLNCIFRMGGAAVLLSNKREAKSVAKYKVLWSLRTQGAFDDRRYNAAIRDEDSQGITGMKLNGDVLYVAGETLRTHIPILGAKFLPITEILRFLASAFKKKFLDDKDSETEIYVPNFKSAIQHFCFPATGKSVVRETAKRLKLGDIDMEAALMTLYRFGNQSSASLWYELAYLEAKERVKNGDKVWQLGMGTGPKCNSLVLQCIRPILGEAQKGPWADSIHKYPILLP >itb04g29340.t1 pep chromosome:ASM357664v1:4:32748945:32751132:1 gene:itb04g29340 transcript:itb04g29340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSYYSGLLYMHVEGDAVALRMTRGEGVHGVQSTRVHHATLEQVYCCHVCHLTTILGSESQSQSHLQKSSAFCDPTVLLFFSIKIRRHLARNCISSSNNDSEFVIQGSCYMSLNYQT >itb14g14270.t1 pep chromosome:ASM357664v1:14:16693614:16694612:-1 gene:itb14g14270 transcript:itb14g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRYRLQLCRYTLPTSTAPTPLPISAADSHPSPVCSTETSLEAEAAIHSHPEAKAEAEAEAEAHSRSEISISAVVSHPTSKSETETSSDDDFFRSSPSSVALPATMADLFFFPAKKKKKKKREGLRGAAG >itb01g18630.t1 pep chromosome:ASM357664v1:1:24281223:24284456:-1 gene:itb01g18630 transcript:itb01g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCSPSTTPICSSQVRKPLAIVSLSVSKSLNQYTSNPKFSSNSFFSPPVTKSSLQNPQLCLSSTSSLDSEDNASPNTIIFIKGLPKSTSEESLKAAFSKFGDVLRVKILLDKKTKQPLSFANVWFSNEESAVSAADEMDGKFFNGRFIRVNVAKPGSCKSRAKTPPYKF >itb04g21610.t2 pep chromosome:ASM357664v1:4:26695436:26700301:-1 gene:itb04g21610 transcript:itb04g21610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MGIFDHLCFIQFTRLYYTYALIGNPPKPYFLDVDTGSDLTWIQCDAPCTSCAKGAHPFYKPAVGNIIRPEDSYCVEVKKDQENIHCETCHQCDYEVEYADRSSSLGVLLRDETRLMVANGTLCTSTIIFGCGYDQQGSLLDTLAKTDGILGLSRAKISLPSQLASQGFIENVVGHCLSSDAAGGGYMFFGNDFVPDGKMAWVPMLDGPYENLYQTEVLKMSYGGKGLSLDNPRGGQGIVIFDSGSSYTYFTGRAYKDLVSILNDVSSKGLVQDPSDTTLPICWKAEFAIRSVSDVSRFFKPLNLQFGSKWWIVSKILQIPPEGYLVISKKGNVCLGILDGSKIDNGFTFILGDVTLRGQLFAYDNVKQRIGWVKSNCASPKKFMSLPFS >itb04g21610.t4 pep chromosome:ASM357664v1:4:26695436:26700581:-1 gene:itb04g21610 transcript:itb04g21610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MTETEGSPPQQLTGVVIITLPPPGNPCLGKTITAYTISDDFSPSTQLQNDPVWQPPPPPPPQPPPQQNQSPQVSVSQFQHQRRVFGRPMGLLSVLGISLVALFAWFSLTQEIVWELADSDDDRRANTTFLLPLHPKTGLSDLEVKLGRFKKLQSDVVMETVEGAGKIRNSVAAVSKLNSTSFSLKGNVYPDGLYYTYALIGNPPKPYFLDVDTGSDLTWIQCDAPCTSCAKGAHPFYKPAVGNIIRPEDSYCVEVKKDQENIHCETCHQCDYEVEYADRSSSLGVLLRDETRLMVANGTLCTSTIIFGCGYDQQGSLLDTLAKTDGILGLSRAKISLPSQLASQGFIENVVGHCLSSDAAGGGYMFFGNDFVPDGKMAWVPMLDGPYENLYQTEVLKMSYGGKGLSLDNPRGGQGIVIFDSGSSYTYFTGRAYKDLVSILNDVSSKGLVQDPSDTTLPICWKAEFAIRYYVSSKWKIIGKAKSLKAIPHRSCWKEKYHFFALNIKSTASYLLLVPWFG >itb04g21610.t1 pep chromosome:ASM357664v1:4:26695436:26700581:-1 gene:itb04g21610 transcript:itb04g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MTETEGSPPQQLTGVVIITLPPPGNPCLGKTITAYTISDDFSPSTQLQNDPVWQPPPPPPPQPPPQQNQSPQVSVSQFQHQRRVFGRPMGLLSVLGISLVALFAWFSLTQEIVWELADSDDDRRANTTFLLPLHPKTGLSDLEVKLGRFKKLQSDVVMETVEGAGKIRNSVAAVSKLNSTSFSLKGNVYPDGLYYTYALIGNPPKPYFLDVDTGSDLTWIQCDAPCTSCAKGAHPFYKPAVGNIIRPEDSYCVEVKKDQENIHCETCHQCDYEVEYADRSSSLGVLLRDETRLMVANGTLCTSTIIFGCGYDQQGSLLDTLAKTDGILGLSRAKISLPSQLASQGFIENVVGHCLSSDAAGGGYMFFGNDFVPDGKMAWVPMLDGPYENLYQTEVLKMSYGGKGLSLDNPRGGQGIVIFDSGSSYTYFTGRAYKDLVSILNDVSSKGLVQDPSDTTLPICWKAEFAIRSVSDVSRFFKPLNLQFGSKWWIVSKILQIPPEGYLVISKKGNVCLGILDGSKIDNGFTFILGDVTLRGQLFAYDNVKQRIGWVKSNCASPKKFMSLPFS >itb04g21610.t3 pep chromosome:ASM357664v1:4:26697762:26700581:-1 gene:itb04g21610 transcript:itb04g21610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MTETEGSPPQQLTGVVIITLPPPGNPCLGKTITAYTISDDFSPSTQLQNDPVWQPPPPPPPQPPPQQNQSPQVSVSQFQHQRRVFGRPMGLLSVLGISLVALFAWFSLTQEIVWELADSDDDRRANTTFLLPLHPKTGLSDLEVKLGRFKKLQSDVVMETVEGAGKIRNSVAAVSKLNSTSFSLKGNVYPDGLYYTYALIGNPPKPYFLDVDTGSDLTWIQCDAPCTSCAKGAHPFYKPAVGNIIRPEDSYCVEVKKDQENIHCETCHQCDYEVEYADRSSSLGVLLRDETRLMVANGTLCTSTIIFGCGYDQQGSLLDTLAKTDGILGLSRAKISLPSQLASQGFIENVVGHCLSSDAAGGGYMFFGNDFVPDGKMAWVPMLDGPYDATLCLLPSRSPILPSRSPIL >itb04g14310.t1 pep chromosome:ASM357664v1:4:14758507:14759680:1 gene:itb04g14310 transcript:itb04g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDHLSDKFEVTSTRKSSRKAMQTVEIMVEMDCDGCEKRVRKAVSSIKGAESVEIDRNESRVTVKGYVEPNKVLRKVQRTGKSAEFWPYVRYDLVAYPYAHEAYDEVAPQGYVRNVPQALLPNPTTERFTTMFSDENPNACSIM >itb07g12040.t1 pep chromosome:ASM357664v1:7:13609080:13611229:1 gene:itb07g12040 transcript:itb07g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRELFCASHASTAICSSMDQRRIVRPRSRPPAPPCSSHLPFHPTSFRKTPKDPSPATSNSPGRSSRHLLTDSTFLDQILSDSNNIQALVPYHPFETAKVQHTSGDNLAVIPSLPPPPKLPPVLCNPSSLTLDSNVKTSSSSTPTHRNHQVVELRVAIHCKGCEGKVRKHLSKMEGVTSFSIDLDSKKVTVIGNVTPLGVLANISKVKNAQFWPSPATSSPSSPRVNLPIR >itb01g31780.t1 pep chromosome:ASM357664v1:1:35409379:35416246:-1 gene:itb01g31780 transcript:itb01g31780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRLSWARLSSKSFVQNILSRHRVVAESAVEKRILRGTVPEFQRTLLQSRSLYSSGIIGKPANFAANECPSSRHFIHSTGVCHSAEKDYYDLLGVSKDASREEIKKAFHALAKKYHPDANKNNPSTRRKFQEIRDAYETLQDPDKRKQYDMMKEHRKTEYSNFDYGGAEGFRHEANFNDFSHSFQKIFSEIFENETETFTDDIQVDLSLSFPEAAKGCTKHLSFDADVPCGSCHGQGHPLNAKARVCPTCEGLGRVTIPPFTALCCSCKGSGRIIKEICRECKGSGAVRGVMDVKVTIPPGVDSGDTIRVQRAGHAGRRGMQPGNLFIKLKVDEDSVFDRDGADIYVDANINFTQAILGGKVEVPTLSGTTSVKIPKGVQPGQLLVLRGKGLPRSGFVVNHGDQYVRFRIRFPTELNNKQRAILEEFAKEEINNGDNMYSQGNWLYQQLCTG >itb01g31780.t2 pep chromosome:ASM357664v1:1:35410280:35416119:-1 gene:itb01g31780 transcript:itb01g31780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRLSWARLSSKSFVQNILSRHRVVAESAVEKRILRGTVPEFQRTLLQSRSLYSSGIIGKPANFAANECPSSRHFIHSTGVCHSAEKDYYDLLGVSKDASREEIKKAFHALAKKYHPDANKNNPSTRRKFQEIRDAYETLQDPDKRKQYDMMKEHRKTEYSNFDYGGAEGFRHEANFNDFSHSFQKIFSEIFENETETFTDDIQVDLSLSFPEAAKGCTKHLSFDADVPCGSCHGQGHPLNAKARVCPTCEGLGRVTIPPFTALCCSCKGSGRIIKEICRECKGSGAVRGVMDVKVTIPPGVDSGDTIRVQRAGHAGRRGMQPGNLFIKLKVDEDSVFDRDGADIYVDANINFTQAILGGKVEVPTLSGTTSVKIPKGVQPGQLLVLRGKGLPRSGFVVNHGDQYVRFRIRFPTELNNKQRAILEEFAKEEINNGDNMYSQGNWWNHVVEHIITPKFAVELSLLILILLLLNKILL >itb02g05090.t1 pep chromosome:ASM357664v1:2:3050676:3057557:1 gene:itb02g05090 transcript:itb02g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYLKPETLHEDLKVTILDSGLIFPSQETTKRTMFLSNIDKVLNFDVKTVHFFPACPGFPPEIVAGKIKNALRRLLVTNTYDFLAGRLKKNKESERVEIECNAAGLGFAVASSEFALEDIGDLVYPNPAFRQLVTMSFDFVAKEDQPLCFVQVTAFKCGGFALGTSRNHISFDGSGFKMFLENLAALAFNDDGPLAVVPCNDRSLLAARSPPQVTFPHPELLKVNLPAGEKMAPPVFSLKEDLSFEIFQLSSADIDGLKDKAKAPDNVKTKVTGFNALTAAIWRCKALSCGGDNNSDRLSTVLFAVDIRWRLNPPLPASYSGNAVLTGYATAKCAELEEGPFWKTVQMVYEGAARMTDEYARSAIDWGELYKGFPHGEFLVSSWWRLGFEGVQFPWGKPKYSCPVVHHRKDIILLFPDIDNGGNSNRGVNVLVALPPKEMEKFKSLFHTFLA >itb04g16160.t1 pep chromosome:ASM357664v1:4:17510914:17511222:1 gene:itb04g16160 transcript:itb04g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMDSNHIALVALLLRSEGFEHYLCDCNISMGMNINNMVKMLKCAGNDDIITNKADDDNNKVTFMFDSPNKFQNFCDFQVFFVLWSSSSFCFHSMSSEAYF >itb03g18200.t1 pep chromosome:ASM357664v1:3:16547558:16548894:-1 gene:itb03g18200 transcript:itb03g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPQRGVDGRVMQTFQKSFVQVQDILEQNRVLISEINQNHESKIPDNLGRNVGLIRELNNNIRRVVDLYADLSTSFTKSMGNSSSDADSSGAKAAHKRNRG >itb03g18200.t2 pep chromosome:ASM357664v1:3:16547558:16548879:-1 gene:itb03g18200 transcript:itb03g18200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPQRGVDGRVMQTFQKSFVQVQDILEQNRVLISEINQNHESKIPDNLGRNVGLIRELNNNIRRVVDLYADLSTSFTKSMGNSSSDADSSGAKAAHKRNRG >itb04g12350.t1 pep chromosome:ASM357664v1:4:12073590:12078644:-1 gene:itb04g12350 transcript:itb04g12350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDLLGSKDNPEYSNDGLALQLYQSLKGQRYLMVMDDVWSIESWNDVKSCFPDDTNGSRVLLTTRLAEVAICIGSNNYFSHQMQFLDQSESWDLFRKKACKSHGVEFETIGRPIVEKCKGLPLAIVVVAGLFSKLNTLDEWESIAHALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYEINVKNLARLWAAEGFVKAFNNESFEGVAKRKNVVPIHLRYLRLFGGWDGSDILLLSQAWNLQTFRADTGRGREILELPQIQYIHCRRFYPDLPKSVHQNLHTISTLEGSDCRQEYLKKIPYLKKVTVTGGTDDCTKNLVCLQQLQSLSISFNTWSYEIPEKMASIINNILLLENVRKLMFECMKFVWKEINVLSKLPRLEVLKLMVSDLGKKWEVPENVKFCHLICLKIWSGNLKHWEAGADNFPKLERLFLNKCYELREIPNSFADIPTLNLIQLERCHPSAVMSAKQIQVEQHDCGNENMVVIEKKTVQPHSLEDDSDEDDAN >itb00g00830.t1 pep chromosome:ASM357664v1:16:5190007:5194022:-1 gene:itb00g00830 transcript:itb00g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAYKLSQLLLLFLTIIRLLPLLSTTTALPFSSPNHLQVPNEVGALLTWKSSLDLRTQSLLTSWVVGSDNPCNWTGIACDGGRSITSLNLTSYGLKGTLRDLSFSSLLHLQVIDLSNNSLHGSISFIKEMSVLKNLTTLYLYQNWFSGHIHQEIGLITSLVDLQLYWNNLTGQIPTSIGNLQNLTTLYLWGNQLSGQIPREIGLLTSLIDLELSNNNLKGQIPTSIGNLQNLTTLYLWRNQLSGNIPQEIRRLTSLVNLGLGLNNLTGQIPTSIGNLQNLTTLYLWGNKFSGHIPQEIGMLKSLVVLVLSSNNLTGQIPISIGNLRSLSTLHLYENQLSGHIPQQIGLLTSLISLELLSNNLTGQIPTSIGNLQNLTTLFLWGNQLSGHLPSIFNNLTRLAMLDLSYNHFIGQLPENLCISRSLKRFVLENNKFIGNIPITLKNCTSLSRVLLQNNQFSGDISKAFGEYPDLYYINLSNNLFHGQLSSSWGNCPKLSALKISYNRISGKLPTNLYNASQLVLLDLSSNELVGMIPKSLEKLVLLTILKLDKNNFSGNISLGIEKLPWLSIFNIAANSFVGLIPEHFESFQRLIVLNLSRNMFVGNVPYGMGKIKLLESLDLSYNALSGQIPQQFEGLTSLQIMNLSHNNLSGYIPSTIAQCLGLLSVDVSYNQLEGPIPNNKAFLEAPYDALINNKDLCGNHSGFEPCSSYNQRNHHKRRNLLLIIILTFGSLFMIISILFLLIIRSKSHVRETPKAITNKDVFAILNFDGKMAYEDIMEATGNFDSIYCIGEGGHARVYRAELSSGQIVAIKRFNNAIGQGVERHKLKSFSNEVRTLTKIRHRNIVKLYGFCASERNSFLIYEYLEEGSLAHILKDGEKAMELGWMKRINVVKAVAKALSYIHHDCLPSIVHRDISAKNILFDCAYEAHVSDFGTARILSLYSSNWTSFAGTFGYAAPGDLITSIFSSLISTAHGILLLKDVLDSRLSTPTKQEAEELILVVKIAIACLNMNPQCRPSMQQVEEVLFMPVRVRLQCKFYWTLNSLLQQGCAAGS >itb03g15850.t1 pep chromosome:ASM357664v1:3:15061086:15063645:1 gene:itb03g15850 transcript:itb03g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPHVLIFPLPLQGPVNCMLNLAEILCIHDDIHVTFLNTDHVHRRLLSYTDADERFRRYPNFRFQTLPDGMPEDSPRTGEQIVELIQSIEKVTCPLFREMVTAGGSASARSEKPPVSCLIVDGIFPFAVDIAKDIGVPLFYFDTISPCAVWIYLLVPKLIEAGELPFQGDDLDAPVPCVPGMENILRRRDLPNFCQSKTILEDPIIQMVLNEAQYLPKAQGLIFNTFQDIDGPILQQFRSISPNVYAVGPLHAHRKARIDSELPKTSNSIWKEDWSCMEWLDKQPQKSVLYVSIGSLAVISEHQFMELWHGLVKSGVRFLWVQRPGSIIRPSNSESQISKASVELSRETEERGCIVSWAPQERVLAHPSVAGFLTHSGWNSTLESIVEGVPMICWPYFVDQQVNSRYVGEVWKIGLDMKDLCSQDVVERMVREVMEGKKDEFIERSEKLANLAKQSVMEGGDSYQDMERLINDIRHMRI >itb05g16210.t2 pep chromosome:ASM357664v1:5:23470443:23471367:-1 gene:itb05g16210 transcript:itb05g16210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVAVEVDENEESETVALLRRHEREKIRANKLLKSLRFKLDSARGGGVDSGSGVVIRKAAEEAAANKVVYDFSDDSDSEIIDHSLHVVTIDDYSSSPSDQSHTDVGNRADHVVDDEEANYYCSENVEKSQVNEAPQSMGRSVSEEVTNYPPPKAAQNEGVEAVMMRSNIGEDENNSRNREVVRMMRLLWERNKAQTRVLNELTYRVDHMEKACSKLYRFFTFLIFILIVFYFWG >itb05g16210.t1 pep chromosome:ASM357664v1:5:23470443:23472444:-1 gene:itb05g16210 transcript:itb05g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSVAVEVDENEESETVALLRRHEREKIRANKLLKSLRFKLDSARGGGVDSGSGVVIRKAAEEAAANKVVYDFSDDSDSEIIDHSLHVVTIDDYSSSPSDQSHTDVGNRADHVVDDEEANYYCSENVEKSQVNEAPQSMGRSVSEEVTNYPPPKAAQNEGVEAVMMRSNIGEDENNSRNREVVRMMRLLWERNKAQTRVLNELTYRVDHMEKACSKLYRFFTFLIFILIVFYFWG >itb04g10030.t1 pep chromosome:ASM357664v1:4:9278651:9280372:1 gene:itb04g10030 transcript:itb04g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIPLPQPTPKLHSTSPSSVTCKILVKPSKPPKTLPGVVTPPLPSVLPPPLVAPVNPVRRRELNPVQKIAASALDMLERSVTKLEKKHELNREVDPAVQLQGNFAPVQECPVQHGLKVVGRIPPCLSGVYVRNGANPLFEPLTGHHLFDGDGMIHAVTLGPGNEASYSCRFTRTSRLVQESALGRPVFPKPIGELHGHLGLARLGLFFARAVIGLVDTSSGTGVANAGLVYFNNRLLAMSEDDLPYCVRIKENGDLETIGRFAFHDQINDPLIAHPKVDPTTGDLYTLSYNVLNRPFLKLFKFDTCGQKSRDIPISLPDPTLVHDFAITQNHVIIPDYQIIFKLSEMIRGGSPVIHDPNKVSRFGVLPKSDADESNIRWVEVPNCFCMHLWNAWEEGEETMVIIGSCMTPADAIFSGGNDPLKTELSEIRLNMKTGGSTRRVIVSGMNLEAGQINKKLVGRKTRYVYMAIAEPWPKCSGVAKVDLESGKVTEFRYGEGIYGGEPCLVATGEEEEEGYLMSFARDERKGRSELVIVKASNMKKVASVKLPRRVPYGFHGTFVSSEELRKQRLD >itb14g04340.t1 pep chromosome:ASM357664v1:14:3897550:3899063:1 gene:itb14g04340 transcript:itb14g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGDRRRGGRRRREVEEPRWCKSEVRGRRRGRWQLSKRAGAASATQAEAATAGKKGESRQLPEEKKEAEKKAREKEDALHGVAELKGIYIGLRALHTKYLVGYESSWQPEKPQP >itb02g17640.t1 pep chromosome:ASM357664v1:2:13791276:13792966:-1 gene:itb02g17640 transcript:itb02g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNSARKYIYDTKTKVIVVVVPFVAQGHLNLLLHLSRLIASYNLPVYFVGLSVDIAAVKRRIEGWAPSEYPTLRFHDVPAPPTYLSNINPTAESQSYMDFVAAAMNAAAYLRRPTGDLLKELSSECERLVVVNDALMVNTVKDAVGPVRNLEIYNFYVGSAFHDASLVWEVLRKALHIPSFLWKLVGRFVLPAGAVIPDLLPTPSTCFSPEFFKFIVDQRKSHAVCNGNIYDSCRAIEGPYLDLLGMVYKLARKGPVWGIGPFNPVVTKQKSNDDCSSSRHKCLEWLDKQPPKSVIFVSFGTQTILSDHQLYELAEGLEQSSQSFIWVVKDLTKGYKNTKIKLPAGFEERVKGRGLIIRDWVPQLEILDHISTGGFLSHCGWNSCMESMCRGVPLATWPIQYDQPRNAILITEVLKTGIPIRDWERRDEIIRSSTIRNAIRRLMASPEGEELRNKAAQVGKAVKESVMEGGISRLEMDSFIAQITR >itb10g23570.t1 pep chromosome:ASM357664v1:10:27855277:27857322:1 gene:itb10g23570 transcript:itb10g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVVIPSQETTNINAHHCFLKSKDWVFSQKTVFVQSVIGPSWPPVSLMEIANMRSLAELGMEDSAYLQHWPICSLDELSAIPVSATFNNSLNPPFSQLHHQPIYGVKRPLELSQACEEDAAMKHPRTNSWSSSENDQAFSSQSVHQSFHVVNSDYTNQIRTRRPKEETISHPSAITFHADHHHILSQDPFVNQNFVFKASQGAKKISNTAQDHIIAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKHLKQLQERVKILEEQTRKKSAQSVVFVKKYEVLADAENSSSDENLSGIDELLPEIEVRISDKDVLVRVHCEKSKGVVDRTVAELEKLHLSVTNSTAMSFGSSALDITIIAQMDEKFPMTVKDIVMNLRSALKMQPL >itb07g22820.t1 pep chromosome:ASM357664v1:7:27337518:27339163:1 gene:itb07g22820 transcript:itb07g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNFQSSFLINFFLLSQCLFVLGATAQDFDFFYFVQQWPGSYCDTKQSCCYPTTGKPASDFGIHGLWPNYNDGTYPSNCDSSNPYVQSKVSDLMSGMQQSWPTLACPSGSGYSFWSHEWEKHGTCSESILDQHGYFKAALNLKSRVNLLQILQAAGIHPDGSFYSLSSIKNAVESGIGYAPGIECNADAEGNSQLYQIYICVDSSGSELIQCPVFPQGKCASSVEFPVF >itb07g07420.t1 pep chromosome:ASM357664v1:7:5623236:5625495:-1 gene:itb07g07420 transcript:itb07g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKLSGNLHQSLRNWMSPSLIAIYIQFNLFTGSIPSSISNASQLVRLDLGQNIFSGHVPLEIENLHQLQYFAIQNNQITNDPSANDLSLLTSLLKCKDLHTIVLSGNPFNTVLPNLLDVGNATSLPLKILYGNYCQFKGRIPSGISNFSNLYDLDLGNNNLLLGSFPKTLGRMQRLQGLKLGNNGLEGSIPKTLCYLNDLSEMDLEMNEFSGEIPSCLGNVSSLRKIYLGYNLFTSAIPLGFWNNKDVLELDLSYNSLSAPLSPEIGSLHSIVTLHLSGNKFSGEIPDAIGNLQNLITLSLSSNRLRGPIPQSFGGLISLQQLDLSNNSLSGGIPKSMEKLKDLVYLNMSFNELSGKIPDDGPFAKFSRESFMGNKELCGASRFHVMECKEDKEKSRNTSIFHKRTKLPRNRGVLLDDDGISSGMHS >itb06g01850.t1 pep chromosome:ASM357664v1:6:3219726:3221597:1 gene:itb06g01850 transcript:itb06g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLFASSINSVSMATAPIPSILVALSMLCVMLAASPEAHRRFFLAVSTHSNVNESTCNVIIARLLHSRSPESTLHVIRALFRQKPEFVPSLMNYNRLMDQFCALSRPRDAHQVFLEMRNKGHCPNVVPYTTLINGYCGVGEIGDAWNLFDEMSERGVSPNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCICREGLFHEVFRIAEDMPQGKCVVEEFAYSQMIDSLCRYGRYNGGARIIYIMKKRGFKPSLEAYNTIVHGICRECDFFRAYQLLEEGIQFGYSPSEFTYNLLIEGLCSVSDLDKAKNVLNIMLNKKVVDRTRIYNIYLKAICLTNNPTELLNTLVTMLQTQCQPDLITLNTVINGFCKMGRVEEALKVLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDEEALHLLRNTMPMNGITLGVVTYNTTLHGLFKMHRADDAMEMFNCMISHDIAADCTTYTIIIDGLFESKRIDEAKRFWDDIVWPSRVHDNYVYSAILKGLCRSGRVEEACDFLYELVDCGVTLCHVNYNIVIDGACRLGLKKEAYQILGEMRKNGLAPDAVTWRVLDKLHRKRGMQFCDSEDLTLQSQEPLVN >itb07g04760.t1 pep chromosome:ASM357664v1:7:3211472:3212546:-1 gene:itb07g04760 transcript:itb07g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNMTTQKAIALVAVAAVLLLSHGADAFLPAFTPGSKINPFCQTATSKRLCTRMVGGASTLHDASANAIKATLKLAKEIESMSGDVVTPSFSKLKSATKDSILKTCHDSFENAVDDLNISLEALDADDHMAVMTRLSAALPSDCGDALQEFGVSFPLQNIVKFYARYLDSALAVVSQQ >itb04g27920.t2 pep chromosome:ASM357664v1:4:31801553:31804717:1 gene:itb04g27920 transcript:itb04g27920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVLMRTSDRSEGTPDNSSSSSDACAVAAAGALKESSAMHKRFQKLSRNVSEAIASFKNSLNLDSVRESPAPSPTGRTETCRKHVWASVVRSLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKEVFLHIKLIEQASMEDHPAIMIQEVSDDELFRLTFACNSSISWPAMSGALDSASICCKKIQIFEKKGFTLGVVLLSVQSGQEKMFRTRIETAIKSALKKPKTMKLPFGLCGCQEQNTRGREVGEIEDDCNQPNYKNGSGNFSPKIQLQTPLPSSSFIVSVDEWQTVQSDGDEIEKWLLSSDILEFSDQTGPCTFKGVCRGKKVGIEKLKGCDKGNAYEFELRQDLLELMTCEHRSILHFYGLCIDENHGLCVVTKLMEGGSVHNLLLKNKKLQPREIMRIASDVAEGLKFMNDHGVAYQDLNTQKILLDKYGNACLGDMGIVAACNSTGEAMEYETDGYRWLAPEIIAGDPENVTESWMSNVYSFGMILWELVTGEVAYSAFSPVQAAVGIAACGLRPEIPKDCPQMLRSLMTKCWNNCPSKRPQFSEILATLTRPSNNSNNNTR >itb04g27920.t1 pep chromosome:ASM357664v1:4:31801551:31805512:1 gene:itb04g27920 transcript:itb04g27920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVLMRTSDRSEGTPDNSSSSSDACAVAAAGALKESSAMHKRFQKLSRNVSEAIASFKNSLNLDSVRESPAPSPTGRTETCRKHVWASVVRSLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKEVFLHIKLIEQASMEDHPAIMIQEVSDDELFRLTFACNSSISWPAMSGALDSASICCKKIQIFEKKGFTLGVVLLSVQSGQEKMFRTRIETAIKSALKKPKTMKLPFGLCGCQEQNTRGREVGEIEDDCNQPNYKNGSGNFSPKIQLQTPLPSSSFIVSVDEWQTVQSDGDEIEKWLLSSDILEFSDQTGPCTFKGVCRGKKVGIEKLKGCDKGNAYEFELRQDLLELMTCEHRSILHFYGLCIDENHGLCVVTKLMEGGSVHNLLLKNKKLQPREIMRIASDVAEGLKFMNDHGVAYQDLNTQKILLDKYGNACLGDMGIVAACNSTGEAMEYETDGYRWLAPEIIAGDPENVTESWMSNVYSFGMILWELVTGEVAYSAFSPVQAAVGIAACGLRPEIPKDCPQMLRSLMTKCWNNCPSKRPQFSEILATLTRPSNNSNNNTR >itb14g05290.t1 pep chromosome:ASM357664v1:14:4639600:4640571:1 gene:itb14g05290 transcript:itb14g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLHGYIFKPTDKELMQYLEGFVLGKPLKYTSDFIALEDLYGEKEPAEIFGSGDPMTRYYFTQLRRKCQGGSRFLRGVENRGTWKGQDAAHPIRVRDKVMGFRKSLKYEMKKSKSKGGLGDRPGEAWLMKEYSLSDDYLRDKNVVLKDVVLCRIRRRVVRSTSRSSESSTLNINENDTPLEIYNWPENDVVSLPPSETQTLDAAAAEAASGVDDANGGVIAMENDRLQLDEWDQLLRTPENESLPPSETSTLAAAEFSSGVDDAHGGVSTMGNDPLVDELDELLKKTPPHDIFEDWSDILTQEDIERINHPGPVPILRPN >itb01g10550.t1 pep chromosome:ASM357664v1:1:9172733:9176353:1 gene:itb01g10550 transcript:itb01g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPTNSYEPMLRESINHFLASYRNGCSNFSAFESIFFRLVQTMPDPPLEITWFYSAMTFHSSRKSTLLNPLTKALVAKDLLQLLISCSKSSYGTKKIALLAPVLYALYDAVCEFSNDGLSFMGEVETIVEKMVNYICLCCDDLEIYNECGDLVICFEDLVGVWTLDRVDKCSNVENMAALFPFLNSGLCRWVNNQRFSIRNLAGVVMFQVFFLSLSLKFQVGFSQGELQRDTLNWAVQTMKRFQNSYFLDLLLRVLLDPSMPVTTILKLDNASLLQKVVYDAVILVDYSFLNSGRWNQILNNHLNELALVWTLVADVAIHFARDMRDEARAESYATAFSESQLPPQLIKWVTDQVYTEQNSSLPKFPMPKALIKWLLLIEDKGHLVFSENELKRHARAAICKPMMVHGLPECKSDSEYSTENLCGKEPRQSQASGDEEMVDLLDNSFPAATFCLEINDNVSNGGRKHKRGVSDVRERRLKLVKYNVYETSNGERLLPFSDEDREVMG >itb05g15750.t1 pep chromosome:ASM357664v1:5:23118113:23121010:-1 gene:itb05g15750 transcript:itb05g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGKRPLNLPSASMDGEKRPTNLPSASNSEDDLTKIIREQALRFLPAKSLFRFKAVCRKWKHHISTPSFHLNQSFRFTDITGPFCQPPQSPPVFIPIHPTSAGVPDPSLSFLPEPIVIRASSNGLLCCQGRNEDDLIDIIRKQALPFLPAKSLFRFKAVCRKWKHHISTPSFHLNQSFCFTDITGLFCQPPQSPPVFIPIHPSSAGVPDPSLSFLPEPVVIRASSNGLLCCQGRNEDRDYYLCNPVTKQCKKLPKPTASHGSKPAFVVILEPSQLTNNVSEFKLVCAFEATDFDDAIGFEIYSSKNNSWDVSGDIFLGAKTATLGFGVHVNGVVYWPVKSGGIVSFDLTKDKSQLLDNVDPKRINCVLGTYYGTLCKVYILLRYTDVRVNVMVHIPMPHKLKMWELLFSKRKMWELLCCAVYRSDTYMPLNTIASRVVAIGREEVVVKCGNQLYSYNFQSKETRTLIKPDESNYEICVPYVNSLVSLSACPLLTSCT >itb05g08420.t1 pep chromosome:ASM357664v1:5:11807430:11808823:1 gene:itb05g08420 transcript:itb05g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSQHLYLHNPLLPTHSPTSVRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRPSFLEKIQEKWSVKPTSSRQNFPWQEQKSELTVEEISEPGLQCFANGTENEKEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEKERINVSGFIETFENGDSDSDSDSRFQDLKALVEEVSSDSEVVETDKFDANFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFNNSVNVRGFNDPNDLLRLPWEGGNKYVGKEKLGMSNTQVAEKSVPELELKRLRNVALRMYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEEDW >itb05g13090.t1 pep chromosome:ASM357664v1:5:19979492:19980955:-1 gene:itb05g13090 transcript:itb05g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVPNPTFGLWTILLVTMVFLLLGTSQSLRPSHFTYQGNGLHKGDQTNNNNHLEDERKGELGMELYPTGSSLPDCSHACGPCFPCKRVMVSFKCSVAESCPIVYRCMCRGKYYHVPSN >itb04g27880.t1 pep chromosome:ASM357664v1:4:31760695:31761377:-1 gene:itb04g27880 transcript:itb04g27880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNISKMLQELPVQQRADAVSSLVYEANARMRDPVHGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQQEPAAILPPHHQLYGVGEDKSSLLVSTTSTAAANINAAFTSNLDTLQQLQYQLAGFTSSSNTAAATATQDPLKRESLWT >itb11g10640.t1 pep chromosome:ASM357664v1:11:7603689:7605243:-1 gene:itb11g10640 transcript:itb11g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPGGMGLFSHNHIVYMVGGYFFKREVCVDRPKVVDVDGLQYFDLVYMFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGHNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTFKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELVDNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIASAPPPLASPDNEDQDKNDRKSKRKRGSRLFVYLFPALLLLLLRHR >itb05g23080.t1 pep chromosome:ASM357664v1:5:28288270:28291780:1 gene:itb05g23080 transcript:itb05g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLEESLQDMEEKVFHMVKLISEDGDSFAKRAEMYYKRRPELINFIEESYRAFRSLAERYDKLSKDLQSANHTLATLCPEQFQMEMDEDEEFGSPKARRNFRPVQRPGTNIPKAPAKKLKVVITTAAVSNKLQPKKPETPEIVKKTTPKSGLTMNQATEEIDKLQKEILKLQTSKEFVKNSFQSGQARYKGMENQIDEMQQRVCKLQDEFGVSKAIEDEEARTLMAETALKSCRETLAELLEKQVRAAREAKEEYKKLEDARKRMNSLEIPDLFNQKTESSEKGTSDLVYKSETSRKEVSEAIESLQEKIKEQLDGNSIESLTVTQLVEKIDGLVNKVVNMETTVSTQTILIDRLRGEAVDFQKKIKNFEEVNKATTTVSKENLTTQVEDIEKRWNDIRNINQDLENHNSTLRTSIAEARCNLDQLSEKLNTVKSDEEDEEEEGTDSPQSEAESLSEFDPKENIEKKAEDPLGSGDHSLTSTSSASSVTGGEQEVKTEAEKQDPNASSVTGGEQEVKTEAEKQDPNAAATVAKKEEEDAASKKIEETLDKAAPKKIEKTLDKFASKKNEKTLDKFASKKNEKTLDKFASKKNEKTLDKLGSKTIEKTLDKLGSKTIEKTLDKLGSKTIEKTGSKAASKKIEKTETSTSPQSGDSDSRKEAEIIMDNEDDADWREALLNGMGDKERAMLKEYKTVLRSYKELKKRLAEMEKKDRDCEFEFTLQIRELKTAIAKRDEEIHQLRQKVNADNKESKEVKPPPPAPVLTAKAPESRTQSSEDEDNDDDEYDDFKIPTMHKPALSPVEEKLRMEIDAILDENLEFWLRFSSSFHQVQKFKTTVQDLQHELSKVKQKKSTQENCVETQDLRTEVRPIFKHLREIHTELTVWVDQSVALKDELKRRSSSLYHIQEEITKALKEGVEGEEIQFSSHQAAKFQGEVLNMKQENNKVSEELQAGVDHVTILQLDVEKTLKRLGQEFGLGGGKPNLTHSTSKNKIPLGSFIFGNKPPKKQKKSVFGSFNPNKKYQGLKELL >itb14g16370.t1 pep chromosome:ASM357664v1:14:19720077:19721922:1 gene:itb14g16370 transcript:itb14g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNSKSYRNLPPRRGLIKMKIFKSLVKSAAELASGRKDDGGGSLSPLSAPSPTGYHSAPAGFLIFRQIPSSLRASDMESGRELPNGNSYRNLPPRRGLIKMKIFKSLLKSAAEFASGRKDDGGGSLSPLSAPSPTGYHSGADSDGSPEARPS >itb04g05510.t3 pep chromosome:ASM357664v1:4:3585866:3596030:1 gene:itb04g05510 transcript:itb04g05510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQVAQVTEEVAWTVLDMYPTLFSLARAYSLLEELLKKQSNNLISAAVSKNIFQLIWGS >itb04g05510.t9 pep chromosome:ASM357664v1:4:3585866:3596030:1 gene:itb04g05510 transcript:itb04g05510.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRFMGMGFSKEQITLAFSKVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQPKDKPVETTRSAVGTEFQMRSELPAVPNTIDVLQTPEADLATAGNFKFTTELLENGSIGFWRRRQDTCYEPTPIEIQVTPFYEGIMTTLHGFLRRLFQLKVQISNSTAIQCCASIARVLACGCCITAYLKVKNIVTSELPARHTSNSLKMPRISDALAVPAGFAFAIQQLGAVNVADTLTERIFIPCFPNEGHSFGIPDDQLVNWNPNAYAEAVEYARTLGMRFHIVNLKKKDGTAWWLFRQHFAEGFFELQCPLPEVNKIDVVTHAQFLNGELANPSRAFVDLAPLGNDSFGVMMRSPHLGINLSCYEAISQEATDVVSNV >itb04g05510.t5 pep chromosome:ASM357664v1:4:3585866:3596030:1 gene:itb04g05510 transcript:itb04g05510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQPKDKPVETTRSAVGTEFQMRSELPAVPNTIDVLQTPEADLATAGNFKFTTELLENGSIGFWRRRQDTCYEPTPIEIQVTPFYEGIMTTLHGFLRRLFQLKVQISNSTAIQCCASIARVLACGCCITAYLKVKNIVTSELPARHTSNSLKMPRISDALAVPAGFAFAIQQLGAVNVADTLTERIFIPCFPNEGHSFGIPDDQLVNWNPNAYAEAVEYARTLGMRFHIVNLKKKDGTAWWLFRQHFAEGFFELQCPLPEVNKIDVVTHAQFLNGELANPSRAFVDLAPLGNDSFGVMMRSPHLGINLSCYEAISQEATDVVSNV >itb04g05510.t7 pep chromosome:ASM357664v1:4:3585866:3596030:1 gene:itb04g05510 transcript:itb04g05510.t7 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRFMGMGFSKEQITLAFSKVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQPKDKPVETTRSAVGTEFQMRSELPAVPNTIDVLQTPEADLATAGNFKFTTELLENGSIGFWRRRQDTCYEPTPIEIQVTPFYEGIMTTLHGFLRRLFQLKVQISNSTAIQCCASIARVLACGCCITAYLKVKNIVTSELPARHTSNSLKMPRISDALAVPAGFAFAIQQLGAVNVADTLTERIFIPCFPNEGHSFGIPDDQLVNWNPNAYAEAVEYARTLGMRFHIVNLKKKDGTAWWLFRQHFAEGFFELQCPLPEVNKIDVVTHAQFLNGELANPSRAFVDLAPLGNDSFGVMMRSPHLGINLSCYEAISQEATDVVSNV >itb04g05510.t8 pep chromosome:ASM357664v1:4:3586378:3596062:1 gene:itb04g05510 transcript:itb04g05510.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRFMGMGFSKEQITLAFSKVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQVAQVTEEVAWTVLDMYPTLFSLARAYSLLEELLKKQSNNLISAAVSKNIFQLIWGS >itb04g05510.t1 pep chromosome:ASM357664v1:4:3585866:3596062:1 gene:itb04g05510 transcript:itb04g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRFMGMGFSKEQITLAFSKVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQVAQVTEEVAWTVLDMYPTLFSLARAYSLLEELLKKQSNNLISAAVSKNIFQLIWGS >itb04g05510.t4 pep chromosome:ASM357664v1:4:3585866:3596030:1 gene:itb04g05510 transcript:itb04g05510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQVAQVTEEVAWTVLDMYPTLFSLARAYSLLDGDLRAQEELLKKQSNNLISAAVSKNIFQLIWGS >itb04g05510.t6 pep chromosome:ASM357664v1:4:3585866:3596030:1 gene:itb04g05510 transcript:itb04g05510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRFMGMGFSKEQITLAFSKVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQPKDKPVETTRSAVGTEFQMRSELPAVPNTIDVLQTPEADLATAGNFKFTTELLENGSIGFWRRRQDTCYEPTPIEIQVTPFYEGIMTTLHGFLRRLFQLKVQISNSTAIQCCASIARVLACGCCITAYLKVKNIVTSELPARHTSNSLKMPRISDALAVPAGFAFAIQQLGAVNVADTLTERIFIPCFPNEGHSFGIPDDQLVNWNPNAYAEAVEYARTLGMRFHIVNLKKKDGTAWWLFRQHFAEGFFELQCPLPEVNKIDVVTHAQFLNGELANPSRAFVDLAPLGNDSFGVMMRSPHLGINLSCYEAISQEATDVVSNV >itb04g05510.t2 pep chromosome:ASM357664v1:4:3585866:3596062:1 gene:itb04g05510 transcript:itb04g05510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METEREVACRENEELAAYMWNMAEDKRGISDNIYKTLHKAYTNVCNSKAPIKTLRELSQIKGVGKWILKLMQGFFETDSEAPGNENLSDKGKKRKGTRRYMPQRNSVAYALIITLYRALADGKEFMRKQELIDAAEASGLSRAPIGPEKGKGKPGIGNSPRDWYSGWSCMKTLVTKGLVVKSSCPAKYMLTEEGKETARECLSRSGIVTSDQSLVNMEEFSNLDGKVMEDEGFSEKDMSDVEIESESSEKQVALPCLQLGGQKKVIDIPPGCLDRFMGMGFSKEQITLAFSKVSETSQGKDISSLWPTVLCQLREDQVYGLSSSTVEERVVKTACRGPSDDPKSSSFADFKQNSTTLLAFPSPALSVGSKGGEYSEAKSSILSMPPLTYGERFEDVYEVILVLDDREQFVSQGSRSRKIVENISMQFKIRIEVRRLPVGDAIWIARHKNTGSEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKMIYVVEGDANVSEAAESIKTACFTTEILEGFDVQRTAGLGDTLRKYGYLTQAIDHYYKSMEDKHKSPEMCPPFKAFIRRCEDLDKMTVSDVFAIQLMQVAQVTEEVAWTVLDMYPTLFSLARAYSLLDGDLRAQEELLKKQSNNLISAAVSKNIFQLIWGS >itb01g12460.t2 pep chromosome:ASM357664v1:1:11980664:11983712:-1 gene:itb01g12460 transcript:itb01g12460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGTVSFEELLGHCNEVYKKNQNDLLSLQDHLRSLGYISSIDIDYDDEEGESEVVMDDVSSNNSIDFTRKIEDDPLLDDDSLNLKNLGLSDACLATLAPEANCMVDEEPFQSVTKILDFAEDEMDSSLMSFEDSTALLCVSLDDYEILPKHMKSLASWEDLLAAVEKINLCLRTKKTKPCAFQLDEVESLGLGHKARSYLLLLIKMNRVVVETAGGLISYRIL >itb01g12460.t1 pep chromosome:ASM357664v1:1:11980621:11983873:-1 gene:itb01g12460 transcript:itb01g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIGKFSKTVAAFCNHLQTSSDALKQSVDRRPIPLDSASTTFVQCLNRRVSSASSDLNLLESMSFGTVSFEELLGHCNEVYKKNQNDLLSLQDHLRSLGYISSIDIDYDDEEGESEVVMDDVSSNNSIDFTRKIEDDPLLDDDSLNLKNLGLSDACLATLAPEANCMVDEEPFQSVTKILDFAEDEMDSSLMSFEDSTALLCVSLDDYEILPKHMKSLASWEDLLAAVEKINLCLRTKKTKPCAFQLDEVESLGLGHKARSYLLLLIKMNRVVVETAGGLISYRIL >itb01g12460.t3 pep chromosome:ASM357664v1:1:11982432:11983873:-1 gene:itb01g12460 transcript:itb01g12460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIGKFSKTVAAFCNHLQTSSDALKQSVDRRPIPLDSASTTFVQCLNRRVSSASSDLNLLESMSFGTVSFEELLGHCNEVYKKNQNDLLSLQDHLRSLGYISSIDIDYDDEEGESEVVMDDVSSNNSIDFTRKIEDDPLLDDDSLNLKNLGLSDACLATLAPEANCMVDEEPFQSVTKILDFAEDEMDSSLMSFEDSTALLCVSLDDYEILPKHMKSLASWEVKCLQSIILFTVVIS >itb09g22300.t1 pep chromosome:ASM357664v1:9:20632816:20635613:1 gene:itb09g22300 transcript:itb09g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESDPPITHTALPHPPETAHLAPPPPPAPPSAPPLSQPPKTKKRPLDVAHLQSTPYFKMRAIVKELRPHVIEVLRSPDFRNCKAATEIRQQLNLLMDLYKETTAEAPPLPKKLKNAAEGHNLLGDTQDGQKPVEKPPPERQSAKPSEDKPVLSENLSPSQQTVDDLDQGTYIVGGSAFGWNFVTYPGTKPIYYGRTKECFRSANPLSQ >itb14g21210.t1 pep chromosome:ASM357664v1:14:23323001:23323844:1 gene:itb14g21210 transcript:itb14g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISNAKFVSAFVLDKVSVSRSYKAAAAAAQGSVSGVAGRSNAMLKKGGDESGKSTTSWIPDPVTGYYRPESHANEVDAAELRQMLLKNTTRRH >itb06g09310.t2 pep chromosome:ASM357664v1:6:13508755:13513588:1 gene:itb06g09310 transcript:itb06g09310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEQSEILRIAAAVEKTASHPLANAILSKAESLNLDIPVTRGQLAEAGSGTMAEVDGLLVAVGKLEWVHERFNQKTSTSDLKNLEQSLMHQTLLNSPSSSHSMTVVYVGREEEGIIGAIAISDNLRDDAESTIKRLQQKGIKTVLLSGDREEAVATVARTVGIKDEFINASLTPQQKSATISSLQASGHRLAMVGDGINDAPSLALADVGIALQVEGQENAASNAASIILLGNRLSQVVEAFELARATMAKVHQNLSWAIAYNAVTIPIAAGALLPKFDFAMTPSLSGGLMALSSIFVVTNSLLLQLHGSGRKSGETSNHKQRVQ >itb06g09310.t1 pep chromosome:ASM357664v1:6:13505078:13513707:1 gene:itb06g09310 transcript:itb06g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIEVPTDDIRVGDSLLVLPGETIPVDGKVVAGRSVVDESMLTGESLPVFKERGLLVSAGTINWDSPIKIEATSTGSNSTISKIVNMVEDAQGREAPIQRLADSIAGPFVYSIMTLSATTFAFWYYLGSKIFPDVLLNDISGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLAGIDYVALDKTGTLTEGKPAVSAIASMDCEQSEILRIAAAVEKTASHPLANAILSKAESLNLDIPVTRGQLAEAGSGTMAEVDGLLVAVGKLEWVHERFNQKTSTSDLKNLEQSLMHQTLLNSPSSSHSMTVVYVGREEEGIIGAIAISDNLRDDAESTIKRLQQKGIKTVLLSGDREEAVATVARTVGIKDEFINASLTPQQKSATISSLQASGHRLAMVGDGINDAPSLALADVGIALQVEGQENAASNAASIILLGNRLSQVVEAFELARATMAKVHQNLSWAIAYNAVTIPIAAGALLPKFDFAMTPSLSGGLMALSSIFVVTNSLLLQLHGSGRKSGETSNHKQRVQ >itb14g05680.t1 pep chromosome:ASM357664v1:14:4937054:4939424:1 gene:itb14g05680 transcript:itb14g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLILWVLISAMGLILQLLDRLSGLRTPPFHRVGIAQSHWMFSLFNSQTSRQDLRNSCKQNDLTAGYKLNMSTEQVKAYVPDLVAQFSNAIKNVYARGGRAFWIHNTCPAGCLPYVMDRFPVTAAQIDEFGCATPFNEVSKYFNLRLKQAVVELRKELPSAAITYVDIYSVKYSLITRATKLGFENPFLTCCGHGGKYNYNRSNKCGSKKIVNGKEIVTAKSCKDPSARVSWDGAHFTEAANKWIFNQIVNGSFSDPPLPLHLACQRME >itb14g05680.t3 pep chromosome:ASM357664v1:14:4937054:4939424:1 gene:itb14g05680 transcript:itb14g05680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKYEAFVCLLLSVALLLPFKTVVCSSSCTFPAIFNFGDSNSDTGGLSAAFGQAPPPNGETFFGAPAGRFCDGRLILDFIAESLRLNRLHAFLDSVGSNFSHGANFATAGSTIRPQNTTISQSGYSPISLDVQSVQFSDFKTRSQEFMQTESIFRNLLPKEDDFSGALYTFDIGQNDLTAGYKLNMSTEQVKAYVPDLVAQFSNAIKNVYARGGRAFWIHNTCPAGCLPYVMDRFPVTAAQIDEFGCATPFNEVSKYFNLRLKQAVVELRKELPSAAITYVDIYSVKYSLITRATKLGTKFSPYKLNSGITPETHEFGNEWQALRTRS >itb14g05680.t2 pep chromosome:ASM357664v1:14:4937054:4939424:1 gene:itb14g05680 transcript:itb14g05680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKYEAFVCLLLSVALLLPFKTVVCSSSCTFPAIFNFGDSNSDTGGLSAAFGQAPPPNGETFFGAPAGRFCDGRLILDFIAESLRLNRLHAFLDSVGSNFSHGANFATAGSTIRPQNTTISQSGYSPISLDVQSVQFSDFKTRSQEFMQTESIFRNLLPKEDDFSGALYTFDIGQNDLTAGYKLNMSTEQVKAYVPDLVAQFSNAIKNVYARGGRAFWIHNTCPAGCLPYVMDRFPVTAAQIDEFGCATPFNEVSKYFNLRLKQAVVELRKELPSAAITYVDIYSVKYSLITRATKLGFENPFLTCCGHGGKYNYNRSNKCGSKKIVNGKEIVTAKSCKDPSARVSWDGAHFTEAANKWIFNQIVNGSFSDPPLPLHLACQRME >itb09g19640.t1 pep chromosome:ASM357664v1:9:15812256:15813361:-1 gene:itb09g19640 transcript:itb09g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLFVNLFGCSSLVPFVHASLLSSSFFGAIHSRLSIEFVGEASFCGDEDSSSDNGGSSGCVMHISPGKTKYWLLICEEVSKPFVGQRFSKLDDGVEFYVRYACTGGFDVRRSTNSKDREGKVLRKYLVCSRQGFKKPAKEVLGKYLVGFIRL >itb09g13810.t2 pep chromosome:ASM357664v1:9:9047611:9051479:1 gene:itb09g13810 transcript:itb09g13810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIYGLCKVFAFLAFFFCPALTGATLQENPPGKGGAANSAAQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPRNEALERDLDPEFKRFLLEPGNLRSLQNLLLFHMIPTRIEAKHWSRAARNHPSLCRAAGDENLAVAQGKNGEREVSAAKVVRADDIVRPDGVIHGIERVLIPQSVQQDFNTRRSLRSISAVLPTGAPEVDPRTHRLKKPAPVPAGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNELADIMVNLTSLATEMGRLVSQGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNAVRRFGKIRYDTLRLPHKVVAEEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPPEATAQPPPPKPTTVAKVVSKPRRGMMEVACSVLGSFGLPTCH >itb09g13810.t1 pep chromosome:ASM357664v1:9:9047611:9051479:1 gene:itb09g13810 transcript:itb09g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIYGLCKVFAFLAFFFCPALTGATLQENPPGKGGAANSAAQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPRNEALERDLDPEFKRFLLEPGNLRSLQNLLLFHMIPTRIEAKHWSRAARNHPSLCRAAGDENLAVAQGKNGEREVSAAKVVRADDIVRPDGVIHGIERVLIPQSVQQDFNTRRSLRSISAVLPTGAPEVDPRTHRLKKPAPVPAGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNELADIMVNLTSLATEMGRLVSQGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNAVRRFGKIRYDTLRLPHKVVAEEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPPEATAQPPPPKPTTVAKVVSKPRRGMMEVACSVLGSFGLPTCH >itb13g26780.t2 pep chromosome:ASM357664v1:13:31905167:31909193:-1 gene:itb13g26780 transcript:itb13g26780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYLTTQLHLPLTKAANTLTNFGGTASLTPLLGAFLADTFAGRFWTITIASIIYQLGMTLLTTSAILPKLRPPACKPDQVCQEANSGQVAILYVSLLLTALGSGGIRPCVVAFGADQFDETDPKQKTKTWRFFNWYYFCMGTSMLVAVTVVVYIQDNIGWGWGFGVPTIAMAFSIFTFIFGYPLYRNLDPAGSPFTRLVQVCVAAYKKRKVPIVSNSTLLYQNDEIDAAISVAGKLLHTKHMQFLDKAAVITEDDDPKSPNLWRLNTVHRVEELKSVIRMGPIWASGIILITAYAQQNTFSIQQAKTMDRHLTKSFQIPAASMSVFTLSSMLCTIVFYDRVFVPLARKVTGLERGISFLSRMGIGFFISILATLVAGFVEMKRKNAAAAHGISDKADAMIPIPVFWLVPQYFLHGMAEAFMSIGHLEFFYDQAPESMRSTASALFWTAISAGNYMSTLLVSLVHKFSAGPGGSNWLPDNNLNKGKLEYFYWLITLLQLFNFVYYLFCAKFYTFKPIQIQKMEGEPKKDGTIQLADNV >itb13g26780.t1 pep chromosome:ASM357664v1:13:31905167:31909193:-1 gene:itb13g26780 transcript:itb13g26780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEMAAKKSGKVERVKGGMITMPFIFANEVCEKLAVVGFAANMIIYLTTQLHLPLTKAANTLTNFGGTASLTPLLGAFLADTFAGRFWTITIASIIYQLGMTLLTTSAILPKLRPPACKPDQVCQEANSGQVAILYVSLLLTALGSGGIRPCVVAFGADQFDETDPKQKTKTWRFFNWYYFCMGTSMLVAVTVVVYIQDNIGWGWGFGVPTIAMAFSIFTFIFGYPLYRNLDPAGSPFTRLVQVCVAAYKKRKVPIVSNSTLLYQNDEIDAAISVAGKLLHTKHMQFLDKAAVITEDDDPKSPNLWRLNTVHRVEELKSVIRMGPIWASGIILITAYAQQNTFSIQQAKTMDRHLTKSFQIPAASMSVFTLSSMLCTIVFYDRVFVPLARKVTGLERGISFLSRMGIGFFISILATLVAGFVEMKRKNAAAAHGISDKADAMIPIPVFWLVPQYFLHGMAEAFMSIGHLEFFYDQAPESMRSTASALFWTAISAGNYMSTLLVSLVHKFSAGPGGSNWLPDNNLNKGKLEYFYWLITLLQLFNFVYYLFCAKFYTFKPIQIQKMEGEPKKDGTIQLADNV >itb01g20420.t1 pep chromosome:ASM357664v1:1:26675924:26676968:-1 gene:itb01g20420 transcript:itb01g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVVQRQNRGVEGVIAGGIKQMNMATEGRNRRVLGDIRNMVTLRGAEGKQQLPQVSRPPHKGFLCTTAG >itb05g15900.t1 pep chromosome:ASM357664v1:5:23225397:23226854:1 gene:itb05g15900 transcript:itb05g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNQSKLHICILSSPGIGHLIPALVLGNRLAGVHDLKVTVLVITTADSPTETQLLKSSKMTSHRHLNTVEIPPVDISALFCANTKMDAQLCLLVRAALPGVRSALCAMKHRLDALVVDLFGTEALPFADELNLPKFVYVPTTAWYTALTVYCPVLDKEIAGQYVDQEKPLEIPGCKPVRPEDVVDPMLDRNDPEYRVYLEEGLGYTRSDGILMNTWEDVDPVSLKALRENEALRKVVGRPVYAIGPLTRRTEKKSPEKNRYMQWLDKQPYESVLYVSFGSGGTLSAKQITELAWGLELSEQRFIWVVRPPSECGSNKSFLTIGQGVDDMPDYLPEGFLTRTHKQGLVVPEWAEQTLILRHLSIGGFLSHCGWNSTLESITNGVPMIAWPLYAEQRQNATLLTEELGVAIRPMKLPSKGVIAREEVKKMVKMVLQYEEGKEMRERVEKLRISAEKAISTGGSSYNSMCEVLNAIQKRRDLKLCK >itb14g00590.t1 pep chromosome:ASM357664v1:14:412854:414265:1 gene:itb14g00590 transcript:itb14g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASNSARRMSTRSRKVAPKMAAALASSDNRTQAILARLDALESDNAVPEPVQLDDDDEASLDDDDQVYQKRQSKGTKRKTRQAKALENKKAPKTFIELLNEANLESLPPHVPTYLRAAAGPPSSTCRRHFCTVCGFSAPYTCVQCGMRFCSIRCRTIHNDTRCLKFVA >itb07g23830.t1 pep chromosome:ASM357664v1:7:28171386:28176384:1 gene:itb07g23830 transcript:itb07g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMILRSIRRFSSGVRYRDHNFYGFVAQRKLWVDSRHRCLYKLSSKPDISMCKHPSSMLSRDLITSAAGVANREVNRGGPLVEYERRIAAGELFDGDACQVGTLQELQRLYDELNEKAVECRLDRYAASDKNGRSRWLWSRFIPQSRYAPVKGLYLYGGVGTGKTMLMDLFFNQLPYDWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEICDEAILLCLDEFMVTDVADALILNRLFRHLFSNGAILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHEIGSSVDYRKLTSAERGFYFIGKGLSTLLMQKFLMLVGEHEAVPQEVEVVMGRKLQVPLGANGCAYSPFDELCDRPLGAADYLALCKNFHTLALDGVPIFGLHNRTAAYRFVTLVDVMYENKARLMCTAEGSPVELFERIVTISDAQQIAPRSSRSMKKDDIDLCVDNELGFAKDRTISRLTEMNSREYLEQHAEMFGGENVTDI >itb07g23830.t4 pep chromosome:ASM357664v1:7:28171386:28176384:1 gene:itb07g23830 transcript:itb07g23830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMILRSIRRFSSGVRYRDHNFYGFVAQRKLWVDSRHRCLYKLSSKPDISMCKHPSSMLSRDLITSAAGVANREVNRGGPLVEYERRIAAGELFDGDACQVGTLQELQRLYDELNEKAVECRLDRYAASDKNGRSRWLWSRFIPQSRYAPVKGLYLYGGVGTGKTMLMDLFFNQLPYDWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEICDEAILLCLDEFMVTDVADALILNRLFRHLFSNGAILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHEIGSSVDYRKLTSAERGFYFIGKGLSTLLMQKFLMLVGEHEAVPQEVEVVMGRKLQVPLGANGCAYSPFDELCDRPLGAADYLALCKNFHTLALDGVPIFGLHNRTAAYRFVTLVDVSHFSSRMASEFFYFVL >itb07g23830.t3 pep chromosome:ASM357664v1:7:28171388:28176368:1 gene:itb07g23830 transcript:itb07g23830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMILRSIRRFSSGVRYRDHNFYGFVAQRKLWVDSRHRCLYKLSSKPDISMCKHPSSMLSRDLITSAAGVANREVNRGGPLVEYERRIAAGELFDGDACQVGTLQELQRLYDELNEKAVECRLDRYAASDKNGRSRWLWSRFIPQSRYAPVKGLYLYGGVGTGKTMLMDLFFNQLPYDWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEICDEAILLCLDEFMVTDVADALILNRLFRHLFSNGAILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHEIGSSVDYRKLTSAERGFYFIGKGLSTLLMQKFLMLVGEHEAVPQEVEVVMGRKLQVPLGANGCAYSPFDELCDRPLGAADYLALCSK >itb07g23830.t2 pep chromosome:ASM357664v1:7:28171386:28176384:1 gene:itb07g23830 transcript:itb07g23830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMILRSIRRFSSGVRYRDHNFYGFVAQRKLWVDSRHRCLYKLSSKPDISMCKHPSSMLSRDLITSAAGVANREVNRGGPLVEYERRIAAGELFDGDACQVGTLQELQRLYDELNEKAVECRLDRYAASDKNGRSRWLWSRFIPQSRYAPVKGLYLYGGVGTGKTMLMDLFFNQLPYDWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEICDEAILLCLDEFMVTDVADALILNRLFRHLFSNGAILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHEIGSSVDYRKLTSAERGFYFIGKGLSTLLMQKFLMLVGEHEAVPQEVEVVMGRKLQVPLGANGCAYSPFDELCDRPLGAADYLALCSDV >itb01g31710.t1 pep chromosome:ASM357664v1:1:35362235:35363575:1 gene:itb01g31710 transcript:itb01g31710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQESGSTKWRKKILLLLNCVILSTGNCGGPLISRLYFLRGGSRIWFSSWLISAAWPIIVLPLAGAYFLRLRAEGRATKLFFMTPKVFAATAAIGVLTGLDNFLYAYGMAKLPVSTSSLLIATQLAFTAGFAFLLVKQRFTAYSVNAIVLLTMGAGVLAFGAGSDRPAGESSKEYIIGFVTTLSAAGLYGLILPAIELMYKLAKQAITYTLVLEIQTVMSFFATIFATIGMIINKDFQLFYLGVVGVVCYSSSLLSGVLIAMLISVTEVLGVVFYGENFRPDKGIALALSLWGFVSYFYGEIQSGKNNKSVKDNQTAQADETTQTHSLLV >itb14g01060.t2 pep chromosome:ASM357664v1:14:804545:806806:-1 gene:itb14g01060 transcript:itb14g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNNLFDMFEISTNKSRNKHKNLQTVEIKVKMDCDGCERRVKNAVSLLKAGVKTVEVNRKQSRLIVTGFVEPKRVLEKVRSTGKRAEFWPYVKVDLVSYPYVAGAYDKKAPSGYVRNVPHAVNPTPDTERFISMFSDDNPNACSIM >itb14g01060.t1 pep chromosome:ASM357664v1:14:804418:806815:-1 gene:itb14g01060 transcript:itb14g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNNLFDMFEISTNKSRNKHKNLQTVEIKVKMDCDGCERRVKNAVSLLKGVKTVEVNRKQSRLIVTGFVEPKRVLEKVRSTGKRAEFWPYVKVDLVSYPYVAGAYDKKAPSGYVRNVPHAVNPTPDTERFISMFSDDNPNACSIM >itb08g15930.t1 pep chromosome:ASM357664v1:8:18114179:18116998:1 gene:itb08g15930 transcript:itb08g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILRVSKNANEEDLKKAYRRLAMKWHPDKNSQNKKEAEAKFKQISEAYDVLSNSHKRQIYDLYGEEVLKSGQFDPASPTGVGGGGGGRGFKYDPRDAEDIFAEFFGRPEGIYKGSPAHDGVNRGTPRKAAPVENKLPCSLEELYKGSKRKMKISRIVLDDAGKPVTVEEVLTIHIKPGWKKGTKITFPEKGDYEAGAAPGDLIFVVDEKPHGVFKRDGNDLVIHHKISLLDALTGKTIKLTSLDGRDLTVEISEIVKPGQEKVIPDEGMPISKEPGKKGNLRIKFDIKFPSRLSADQKCDLRRVLGRHAQ >itb04g27270.t1 pep chromosome:ASM357664v1:4:31306974:31308901:1 gene:itb04g27270 transcript:itb04g27270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTPFILLRHRAAAAARIRPAEVSRWLRPCLDGSGGNRGDGCFCDFRLTAASYCSSAAAGGRGDDKGEGRGNAELVSEAEVKRLMRLVNVEALKKKLGMGNKEVIEYSELLQACEGMGIAKSPDEAAAFARVLDEAGVVLLFRDKVHLHPDRVIDQIRKAVPMALLPEDDSSIEELKKMQERMDELDKLAHRQVRRILWTGLGGAVLLVGLFFRLTFWEFSWDVMEPIAFFTTTAGIVMGYTYFLFTSRDPTYQDLLKRLYLAKQKKLMKKYNFDIHGFVELQKKCKLPLDGPTSIKRQIGIELEELLHDMKRH >itb14g14430.t1 pep chromosome:ASM357664v1:14:17060842:17064342:1 gene:itb14g14430 transcript:itb14g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASRSKSKDKRAAKEAPKTSPKSSSLANAGGGIPTSGYNPLLGTFHSLDSAPVSFNAPTLVNGRFRGIDETDDHIGNLFGNVAEYDSVSNNGSWSGESEDHKEKTSLLIPKQDAVPGADNDKREKIRQKNERKHQRQKERRAQELHEKCSGFLMSRKVEVVAQQLVAMGFSQEQATMALILNEGRVEQSVAWLLEGNEEADKQKEHQISGGGNLKIDIAEELARIADLELRYKCSRQEVERAIVACEGDLEKAEETLRAQKQEPPLVPPKPEENGDPPTMSGTKLPIAGSQNSIRAPAKAVSSTTLQQKRDEKDFNYTKVTATATSSLDPQSLKRVQPKLEWAKPPQLIVPNDKRWTGAGSNPSSSYSLASPLQASTPTAKTEARYVTVGNELKSLQLGSVREPVIVMQRPQSINQKQTPSSSISSSPPGNAAGWYPNSFEPTKTKPNGLMQHVPGTRSLSSNAVSTNQLYNQLHYQQQQQQQQPLVSSNGSLESSGTNRSNSLWNRTGSSQPQTLAAASLGLFSGLGTNPPSGSSSPVDWKNGCSMPQFDYTNIDWSLDCGSSSSRSGGQWPSVTSYMQNNSRTYDSFVSGLGAKKAAAMRPVLSNGVSIPRLQDGVGVAETSGGGLLEWTSPFEEKDLFSLSRQFVYSPSL >itb08g14570.t3 pep chromosome:ASM357664v1:8:16310565:16320059:-1 gene:itb08g14570 transcript:itb08g14570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVKPLCGVYNENPLSYLVSVDGFNFLIDCGWNDHFDTSLLQPLSRVASTVDAVLLSYPDTLHLGALPYAMKHFGLAAPVYATEPVYRLGLLTMYDQYLSRKQVSDFDLFTLDDIDFAFQSVTRLTYSQNYHMSGKGEGVVIAPHVSGHLLGGTVWKITKDGEDVIYAVDFNHRKERLLNGTILESFVRPAVLITDAYNALSNQPSRRQRDQEFLDSIEKTLNAGGKVLLPVDTTGRVLELILLLEQHWHQKGLSYSIFFLTYVASSTIDYVKSFLEWMSDSIAKSFEDRRDNAFVLKHITLLVNKSELEKLPEGPKVVLASMASLEAGFSHDIFVEWATDTRNLVLFTERGQFGTLARMLQSDPPPKVVKVTMSKRIPLVGEELAAYEEEQNRVKEEALKASMVKDEESKASLGVDTLTSDPMVIDSSNVKHTSLNAISLQVGPYKDVLIDGFVLPSSSVAPMFPCYVKSSDWDDFGEVINPDDYVIQEEHTELSLIPVDGDLHGKLDEGSASLIFDTTPSKVVSSELTVQVKCSLVYMDFEGRSDGRSIKSILAHVAPLKLVLVHGTAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEVAWVDAEVGKTDTGMLSLLPLSDPAPPHKTVLVGDLKLSDFKQFLASKGVQVEFAGGALRCGEYVTLRKVGDASQRGGGAAAIQHIILEGPLSEEYYTIREYLYSQFYSL >itb08g14570.t2 pep chromosome:ASM357664v1:8:16310565:16320059:-1 gene:itb08g14570 transcript:itb08g14570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVKPLCGVYNENPLSYLVSVDGFNFLIDCGWNDHFDTSLLQPLSRVASTVDAVLLSYPDTLHLGALPYAMKHFGLAAPVYATEPVYRLGLLTMYDQYLSRKQVSDFDLFTLDDIDFAFQSVTRLTYSQNYHMSGKGEGVVIAPHVSGHLLGGTVWKITKDGEDVIYAVDFNHRKERLLNGTILESFVRPAVLITDAYNALSNQPSRRQRDQEFLDSIEKTLNAGGKVLLPVDTTGRVLELILLLEQHWHQKGLSYSIFFLTYVASSTIDYVKSFLEWMSDSIAKSFEDRRDNAFVLKHITLLVNKSELEKLPEGPKVVLASMASLEAGFSHDIFVEWATDTRNLVLFTERGQFGTLARMLQSDPPPKVVKVTMSKRIPLVGEELAAYEEEQNRVKEEALKASMVKDEESKASLGVDTLTSDPMVIDSSNVKHTSLNAISLQVGPYKDVLIDGFVLPSSSVAPMFPCYVKSSDWDDFGEVINPDDYVIQEEHTELSLIPVDGDLHGKLDEGSASLIFDTTPSKVVSSELTVQVKCSLVYMDFEGRSDGRSIKSILAHVAPLKLVLVHGTAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEVAWVDAEVGKTDTGMLSLLPLSDPAPPHKTVLVGDLKLSDFKQFLASKGVQVEFAGGALRCGEYVTLRKVGDASQRGGGAAAIQHIILEGPLSEEYYTIREYLYSQFYSL >itb08g14570.t1 pep chromosome:ASM357664v1:8:16310565:16320059:-1 gene:itb08g14570 transcript:itb08g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVKPLCGVYNENPLSYLVSVDGFNFLIDCGWNDHFDTSLLQPLSRVASTVDAVLLSYPDTLHLGALPYAMKHFGLAAPVYATEPVYRLGLLTMYDQYLSRKQVSDFDLFTLDDIDFAFQSVTRLTYSQNYHMSGKGEGVVIAPHVSGHLLGGTVWKITKDGEDVIYAVDFNHRKERLLNGTILESFVRPAVLITDAYNALSNQPSRRQRDQEFLDSIEKTLNAGGKVLLPVDTTGRVLELILLLEQHWHQKGLSYSIFFLTYVASSTIDYVKSFLEWMSDSIAKSFEDRRDNAFVLKHITLLVNKSELEKLPEGPKVVLASMASLEAGFSHDIFVEWATDTRNLVLFTERGQFGTLARMLQSDPPPKVVKVTMSKRIPLVGEELAAYEEEQNRVKEEALKASMVKDEESKASLGVDTLTSDPMVIDSSNVKHTSLNAISLQVGPYKDVLIDGFVLPSSSVAPMFPCYVKSSDWDDFGEVINPDDYVIQEEHTELSLIPVDGDLHGKLDEGSASLIFDTTPSKVVSSELTVQVKCSLVYMDFEGRSDGRSIKSILAHVAPLKLVLVHGTAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEVAWVDAEVGKTDTGMLSLLPLSDPAPPHKTVLVGDLKLSDFKQFLASKGVQVEFAGGALRCGEYVTLRKVGDASQRGGGAAAIQHIILEGPLSEEYYTIREYLYSQFYSL >itb01g13100.t1 pep chromosome:ASM357664v1:1:13027685:13028602:1 gene:itb01g13100 transcript:itb01g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHKKKIILSNITVKLGCSSSCSRPKDSTVFQPKPLHRRRRRRHHSKFRGDPNHCSSSSVERSATTFSSGDSDIRALKAVQGFGRIDGEGVAVEKDSSDPYLDFRQSMLQMILERNIYSKDDLKVLLNCFLQLNSPYYHGIIVRAFTEIWYGIFSARQPPPAAAVEGGGGGKECASRDF >itb13g03130.t1 pep chromosome:ASM357664v1:13:3082747:3087285:-1 gene:itb13g03130 transcript:itb13g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLNSGRAGEKLDITSIKRGRYHRHGASIYPGAIPEAAECNNDFQSAIDNLKAIQRCRNWQFQSQWRQQLEASYFGLFKFNLFSKSQSILLRGSTN >itb05g26510.t2 pep chromosome:ASM357664v1:5:30352094:30353124:-1 gene:itb05g26510 transcript:itb05g26510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVFSSSSAPCSKPNTPHSPEESSWTMYFQEFNDNDEHTSFSSSPYEKTDHTLASYASSLAPQELSNYHDNRKKPWRNLIGVAVDDELEDTASSPVNSPKVSHINHQGKGNFSVSKGKGGDSGQNEMDARGRDDGEPTDESDLKKKGLCLVPMSMITKYIG >itb05g26510.t3 pep chromosome:ASM357664v1:5:30352094:30353124:-1 gene:itb05g26510 transcript:itb05g26510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVFSSSSAPCSKPNTPHSPEESSWTMYFQEFNDNDEHTSFSSSPYEKTDHTLASYASSLAPQELSNYHDNRKKPWRNLIGVAVDDELEDTASSPVNSPKVSHINHQGKGNFSVSKVKKTIFGTSKGE >itb05g26510.t1 pep chromosome:ASM357664v1:5:30352094:30353124:-1 gene:itb05g26510 transcript:itb05g26510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVFSSSSAPCSKPNTPHSPEESSWTMYFQEFNDNDEHTSFSSSPYEKTDHTLASYASSLAPQELSNYHDNRKKPWRNLIGVAVDDELEDTASSPVNSPKVSHINHQGKGNFSVSKVKKTIFGTSKGE >itb11g05000.t1 pep chromosome:ASM357664v1:11:2919899:2923683:1 gene:itb11g05000 transcript:itb11g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVHSPPGEQGSSEPKTQSVSEQGKASDPLVEQLLLDVEDYSLSFTNSFLDFDYLNDWIDPRSDPYSMEAGDFGAVNAGGDFSSNGACEQVEYSEGREKMGSLSCLGPEKSVHGSLDKGVEIDCGGEVKVKEEILVSCGDGKVGELGCLIEEEMGKVNLNGVLNVKEEDGVMDRDMNSVGIIEDGGEGIAMNNGNEKGVTSNELVLGEDESSGDSDSGSETESSSSSSSSASSSDDDDEEEEDEGEDNDNSNRRGSEMEEGEILAGSAYDIVAWSADEDDDVDESGPKGPIRSKNELKDLPYVPPVNVTIQPHHQTLPVGNISSIIGAQVIVEGVEKHNPLNEGSILWITESRSPLGIVDEIFGPVKNPYYIVRYNSENDVPVGIQQGTSISFVQEFANHVLNDKNIYKKGYDASGDNDEELSEEAEFSDDEKEAEYKRLMKMKKRGTSEQKFGNKTMDKKKVKNRPVNQKQNQAFAPQASTGNVSGNLSVPVDQSQQCVPPFAASGDQRKNVSTGGQAQGSGNGPWSDPALPQMAQFHSYGAPSSGLGMNGIPCQQPQNAGLPSFPAPTQSLGFVTPPGVLTTGVPPQQQQQRMPFPSVLPAINMQWPQQSHPQPFFQMPFPNMLPLQQQMSAGQMFPSNFMPGGQPGFSTGSVALGQGIFNQPPFGLGLHNQNTPLPMNSGQQVVPPNGPQSGSNNDSWPPPSISTTPDSSWNSNQPRHSHGGRQANRRGGGGGRFRGGRGRRHNN >itb12g09190.t1 pep chromosome:ASM357664v1:12:7225828:7228363:1 gene:itb12g09190 transcript:itb12g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISIFTLLLQILCLLALNTAPLHANKEEAEALLQWKINGLSSSLLDSSWSVNNLTNVCSWEGIICNSDGYVSELNLPHENIRGTLEPLRFSLLPNLTRINLKNNSLSGVIPSAISSLLKLTFLDLSNNNLRGGIPEEIGSITRLQVLKLLNNSFQGKIPPSIESLKHLQFLSLEMNQLNSMIPFELGLCTNLEFLYLDHNFLTGELPPSMSNLSKLVDLDLSSNSLSARKPHVPSQEEKEEDSGFFNGFCWQSVVTGYCCGVCGGYFALRCGKLNWLVRNPTRKGGKGSKRTGPRRHARITTI >itb07g08780.t1 pep chromosome:ASM357664v1:7:7233541:7234791:-1 gene:itb07g08780 transcript:itb07g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPNIVQEALIDLVAKVGKHVYTRNGVLTDVKSFGTVQLGYGIKKLDGRYYQGTLMQMTMMTPPSFNSELHYLNKEDRLLRWLLVKHRDIKPGLEYFGEKDSKLSIKVYQVMGDDKEDDEDSDTADEM >itb10g08960.t1 pep chromosome:ASM357664v1:10:11576692:11581450:1 gene:itb10g08960 transcript:itb10g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTPSSTFQAENFSNPPSKTRSAAVDRARRERTSCRFAGWIIDEGGVQPHFVVVSPPPEEVTITIYDHAVGHRKNSWPPVHQQHSRRPVRSRETIAGERGRRPRRRLTSQLYSHRHLGCFAGGRRKGRRRREEIFSFAEYGFGILKSPNRSCKVQSGTENCTCTGNVEKKRREPGNDALSMELNWVIGVVMLLQIQQQQGDAELMGRLALIQF >itb03g04170.t1 pep chromosome:ASM357664v1:3:2595169:2597397:1 gene:itb03g04170 transcript:itb03g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINDQGRKPLHIVMFPWLAFGHMIPYLELSKLIALKGHKVSFVSTHRNIDRLPKLSGSLASQISFVKLPLPHVKNLPENAEATIDVPYDKVKYLKLGHDGLQESMAKFLEDSCPDWVFLDFDAYWLPPVASKLGVSTAFFSIYIAAFLAFLGPLPSSSLMADYDERKRLEDFTVPPKWVPFESSVAFRLFEISRIFDGATGDDNEENVPDEFRFMSTIEHCDILAVRSCSEFEPEWLKLVEDIHRKPVIPKGEWGVIKGWLDWQATNLVVYIAFGSEAKPSQAELTETALGLELSSLPFFWVLRTKRGEADTELTQLPEGFEERTKDRGIVCTTWAPQLNILSHDSVGGFLTHSGWSSVVEAIQFEKPLVLLTFLADQGLNARVLEEKKMAYSIPRDDKDGWFTRYSVAHSLNLVIVEGGGKIYRNKIKEMKLKDCFVTKLSKRLTSTIY >itb07g05880.t1 pep chromosome:ASM357664v1:7:4060933:4065764:-1 gene:itb07g05880 transcript:itb07g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLDLTGCKKRKRSERVYKFKTFGEHGCPAGFDSHNFEENVRAFLEYAKPENGLCGAMPSWSFQLEVHRHPPSYVFLFVVEEPIELSLNPKCKHCQYIGWGDHLICNKKYHFVFPSKDTMAACFSFCQRQSSSNLYGGGAATNSTSEIQRPNVMMIELEGHTMHGVFHCNGFGHLLCINGLESGSNLAGHHIMDFWDRLCTGLHARSVSLKDSSEKRGMDLRLLYAVGYGEAWFGRWGYKFGHGSFAVNHQMYETAVQTVQNIPLTLLAHHSPNQHELLIILSRYQILSGHSLGTLHDAFHFMLELKSRIPKDETNLGACYPGLLVVDSTCRWSPKRVEMAIRVIVEALKRADYRWVSRQQVRDAARSYIGDTGLLDFVLKSLGNHIVGKYLVRRCLNPVTKVLEYCLEDISNAFPKHEEIQGGVRVRNDSQMKPRYKITRVQLMKDVQFLYKHILTEENGAGVLATIPAASRVILDAKYFLKDYTTPKLSETSDKLVVWCAIKLGFDQERVVTPYECMVLGKGTTFDELRLEVEKTFREMYWGLRVNNFVANLNIAPNGCDSVLEAIKEGSNCKLVFEGFVGGENVDYGGMFEGIDKSSIIVDCPCGTRDEDDGERMVCCDICEVWQHTRCVHIPNNQPIPDIFLCTKCEQHILRFPSLP >itb01g30350.t1 pep chromosome:ASM357664v1:1:34418288:34419884:1 gene:itb01g30350 transcript:itb01g30350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMQGFGYAFCLIVSVLLNILLVANILYGGDGEWRLRLRNNELKWSHDAAAAAEAVAALQCSGHGSAYLDGKIGDDGITPVCECHTCYSGPDCSLLLPDCFADAESGDPLFLEPFWVQNAASSAVVVSGWHRMSYSFPNNPSLFSQQLENHIRKVHAIAGNAITDAKYIVFGVGSTQLCNAAVYALSLENSSSLSPAKVVAKIPYYQLYKTQTNILRQKIMNSKEIHGQLRAPVVGGPFARTIYDRAYYWPHFTAIPAAADEDLSIFTISKLTGHAGSRFGWAIVKDKSVYENMVAYLNAAELGISKETQLRTLSSVCLGEVFKGGRNRLQCSHGSGEDHRASRQRIRRRGSLYAPQPPQEGRRFPTVAGSVEGAGRH >itb10g15580.t1 pep chromosome:ASM357664v1:10:21795213:21796209:-1 gene:itb10g15580 transcript:itb10g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMKDADTPSRPFIVITTFPHVLFGLFLFRPAYKTARLLPTFLRFLLRHLSLSLSHSRKILTLRNDAVTRSLTPADNMGFPVGYTDLFLPKMLVHVFTVLGFIRTCICLIFSVVGLGDFLEPEMAFQNRVETGSEPHSVSATLIRELLPVVKFSELADPPESCAVCLYEFDRDDEIRRLTNCRHIFHRSCLDRWMDHDQKTCPLCRTPFIPEDMQESFNERLWLASGISDFYGEYSPIAAGL >itb06g16440.t2 pep chromosome:ASM357664v1:6:20622367:20625133:-1 gene:itb06g16440 transcript:itb06g16440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGVMIHQPNLQQSPALDNQENMSNVTSAASGEASVSSSNGGVYPHQQHYFGGNTINNQPQPQPQQIKKKRNQPGNPDPDSEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKDVVRKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAINTGNNNAFHHLPQPRSSPAPLSNISLHFQPAPPQFAAPPSYSIKKEQTNAIAAGFNLRPEIPPWLLPCPTGGSPQPQQPPPPAAAIDFTPSIFQEFGGAGLAGFHSAVGSPHISATALLQKAAQMGATMSGGTGKTGAGGGGPTTLVMSGPHLAHVSSDQPANNNNNHHSNADFGLNLSSRGAGGLLLDGLASFGGKTTVSAAPPSASPAPSPSFLHDMMINSFSSNNTPFEDPLFGSFFNNKNPGDGVVAGDDITKDFLGLRPLSRSDIFNITTGGQLVNSPTDHHHNHHHQGGQSQKTWQQQA >itb06g16440.t1 pep chromosome:ASM357664v1:6:20622367:20625348:-1 gene:itb06g16440 transcript:itb06g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGVMIHQPNLQQSPALDNQENMSNVTSAASGEASVSSSNGGVYPHQQHYFGGNTINNQPQPQPQQIKKKRNQPGNPDPDSEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKDVVRKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAINTGNNNAFHHLPQPRSSPAPLSNISLHFQPAPPQFAAPPSYSIKKEQTNAIAAGFNLRPEIPPWLLPCPTGGSPQPQQPPPPAAAIDFTPSIFQEFGGAGLAGFHSAVGSPHISATALLQKAAQMGATMSGGTGKTGAGGGGPTTLVMSGPHLAHVSSDQPANNNNNHHSNADFGLNLSSRGAGGLLLDGLASFGGKTTVSAAPPSASPAPSPSFLHDMMINSFSSNNTPFEDPLFGSFFNNKNPGDGVVAGDDITKDFLGLRPLSRSDIFNITTGGQLVNSPTDHHHNHHHQGGQSQKTWQQQA >itb06g16440.t3 pep chromosome:ASM357664v1:6:20622367:20624545:-1 gene:itb06g16440 transcript:itb06g16440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKDVVRKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAINTGNNNAFHHLPQPRSSPAPLSNISLHFQPAPPQFAAPPSYSIKKEQTNAIAAGFNLRPEIPPWLLPCPTGGSPQPQQPPPPAAAIDFTPSIFQEFGGAGLAGFHSAVGSPHISATALLQKAAQMGATMSGGTGKTGAGGGGPTTLVMSGPHLAHVSSDQPANNNNNHHSNADFGLNLSSRGAGGLLLDGLASFGGKTTVSAAPPSASPAPSPSFLHDMMINSFSSNNTPFEDPLFGSFFNNKNPGDGVVAGDDITKDFLGLRPLSRSDIFNITTGGQLVNSPTDHHHNHHHQGGQSQKTWQQQA >itb04g15260.t1 pep chromosome:ASM357664v1:4:16482518:16483621:-1 gene:itb04g15260 transcript:itb04g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRTNATWKRLRGLNWLNSEECVSKSHILIGLYSYHLIQHIHISHHANSLGIINKHFEFILFSTHSLHSDLFNSMAMAAQASLFASPLSAAKPAADRVAAPWKQSLHCFSVVPRGLNKPAAACRPIRAMAEETTETPTKEAPVGFTPPTLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGREGVGQNMRSIGKNISPIEVKFTGKQVYDL >itb09g12770.t1 pep chromosome:ASM357664v1:9:8072977:8082599:-1 gene:itb09g12770 transcript:itb09g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYLTDFDVPPKHPSEEAQKRWRKAVFLVRNHRRRFRYGPNFEKRSEAKELMDKTREKLRVGFMAYLAALKFLDAGDHGKHPKERIVPPVEDLEDECERGLPEEAKNAGFRFHPDKLATIVGSYDIRTLRKIRGVEGLASRLGVSLSEGVKTSDVPLRQNVYGSNKFTEKPFRSFWTFVWEALHDLTLIILIVCAAVSIGVGLATEGWPKGTYDGLGIILSIFLVVFVTAISDYRQSLQFRDLDKEKKKIFVQVTRDGYRQKVSIYDLVVGDVVHLNIGDLVPADGVFISGYSLLIDQSSLSGESVPVNISEKRPFLLSGTKVQDGSAMMLVTTVGMRTEWGKLMETLSESGEDETPLQVKLNGVATIIGKIGLGFAVLTFLVLVIRFLVEKALKHEFSNWSSADALILLNHFATAVTIIVVAVPEGLPLAVTLSLAFAMKQLMDNKALVRTLSACETMGSATCICTDKTGTLTTNHMVVTKTWMCGKVRIIETGEGGSNNIGSEISENVLAIILQAIFHNTGAEVVTDKDGNRSILGTPTESAIVEYGLLLGGELETQRKDCKVLKVEPFNSEKKKMSVLVELPNGNTRAFCKGASEIVLRMCNSILHGNGEIVDLPERQAENIMDVINEFAGEALRTICLAFKDIEGNHENNIPGSGYTLIAVVGIKDPVRPGVKDAVKTCLAAGIRVRMVTGDNINTAKAIAKECGILTEDGFAIEGPEFRSKTPDEMRQIIPRIQVMARSSPLDKHVLVKNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIVNVAKWGRSVYINIQKFVQFQLTVNVVALIINFVSACISGSAPLTAVQLLWVNLIMDTLGALALATEPPHEGLMNRPPVGRDVSFITKAMWRNIIGQSIYQLAILLVFNFFGIQLLRLEGSDAHAVLNTFIFNTFVFCQLFNEVNSRDIEKLNVFRGLFGSWIFLGVVICTTVFQAIIVEFLGTFATTVPLSWQLWVLSVLIGAASMIISIILKLIPVKKETPKHHNGYDLLASGPDQA >itb09g12770.t2 pep chromosome:ASM357664v1:9:8073048:8077458:-1 gene:itb09g12770 transcript:itb09g12770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLAALKFLDAGDHGKHPKERIVPPVEDLEDECERGLPEEAKNAGFRFHPDKLATIVGSYDIRTLRKIRGVEGLASRLGVSLSEGVKTSDVPLRQNVYGSNKFTEKPFRSFWTFVWEALHDLTLIILIVCAAVSIGVGLATEGWPKGTYDGLGIILSIFLVVFVTAISDYRQSLQFRDLDKEKKKIFVQVTRDGYRQKVSIYDLVVGDVVHLNIGDLVPADGVFISGYSLLIDQSSLSGESVPVNISEKRPFLLSGTKVQDGSAMMLVTTVGMRTEWGKLMETLSESGEDETPLQVKLNGVATIIGKIGLGFAVLTFLVLVIRFLVEKALKHEFSNWSSADALILLNHFATAVTIIVVAVPEGLPLAVTLSLAFAMKQLMDNKALVRTLSACETMGSATCICTDKTGTLTTNHMVVTKTWMCGKVRIIETGEGGSNNIGSEISENVLAIILQAIFHNTGAEVVTDKDGNRSILGTPTESAIVEYGLLLGGELETQRKDCKVLKVEPFNSEKKKMSVLVELPNGNTRAFCKGASEIVLRMCNSILHGNGEIVDLPERQAENIMDVINEFAGEALRTICLAFKDIEGNHENNIPGSGYTLIAVVGIKDPVRPGVKDAVKTCLAAGIRVRMVTGDNINTAKAIAKECGILTEDGFAIEGPEFRSKTPDEMRQIIPRIQVMARSSPLDKHVLVKNLRGMFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIVNVAKWGRSVYINIQKFVQFQLTVNVVALIINFVSACISGSAPLTAVQLLWVNLIMDTLGALALATEPPHEGLMNRPPVGRDVSFITKAMWRNIIGQSIYQLAILLVFNFFGIQLLRLEGSDAHAVLNTFIFNTFVFCQLFNEVNSRDIEKLNVFRGLFGSWIFLGVVICTTVFQAIIVEFLGTFATTVPLSWQLWVLSVLIGAASMIISIILKLIPVKKETPKHHNGYDLLASGPDQA >itb01g17190.t1 pep chromosome:ASM357664v1:1:21932653:21936109:1 gene:itb01g17190 transcript:itb01g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIADPGECSQSTPPSFDEFQRQASLMTSCTLLWKELSDHFTSLEQDLLKKSQALKTKLQTLDSETKHSLEALEKRESSISASISIALQKLNDSSEAALVELKSHAEAKGEGLEQPEVDNSAGLLLKLRSFCVQMDSRSFWSFVIVRKKELEVLRSEIPKALGDCVDPAKLVLEAISEVFPKDRREVKSDKSNDLGWACVLLLESLIPVMMDPLLGSERMLVTPSVREKANEIAETWKKSLDERGGIENVKTPDVHTFLQHLLTFGIVKKEDFDLYRKLVVGSAWRKQMPKLAVSLGLADKMPEMIEELISRGQQLDAVHFSCEVGLVDKFPPVPLLKDFLKDAKKSAAAILEDPSNSGRAAHLAAKKELSAVRSVLKCIEEYKLEAEFPPENLKKRLEELEKSKTEKKKTAATTTVTAAPANKRTRVNNGGPMPPAKAGRSSNAYVSSFPSAPTFVRSPPHTQYPVGVPAYPTSPAMYLHGGNRSPPYVYSPEAAPYPGSPYSPYGGYTNGVAPAYHQAYY >itb11g21400.t1 pep chromosome:ASM357664v1:11:23108391:23109461:1 gene:itb11g21400 transcript:itb11g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGESCFVLFSFSIHLCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb12g28320.t1 pep chromosome:ASM357664v1:12:28286302:28288900:-1 gene:itb12g28320 transcript:itb12g28320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSITRLPLYLHSNLNQNRSFSFLIFQNSPKPFSLLNPVRTPKTLRYSAIPTSKSKSALNLTPEKRISTFISEKLVILALGSFVFLGGLRVGAAVAQPVQESSGVEAGKQKAEAQSGGSEEMIYVKLLEKDPRDVEALKMIVNVMMKKGKTKEAVKYVERLIEVQPREVEWRLLQAFCYEIMGQLTKAKRLFKEILKQEPLLLRALHGLAMVMHKNLEGPAVFEMLNGALEVAHREKRVNKERNIKILIAQMHVVKGDLEEALEKFQILVQENPRDFRPYLCQGIVYSLLDKKKEAEEQFEIYRNLVPEEFPGRGFLDDVMLAAKTESKEQLEKEFKAEYSYSYKS >itb05g19820.t1 pep chromosome:ASM357664v1:5:26141626:26142476:1 gene:itb05g19820 transcript:itb05g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDHFNNWAFVDIWRRLGQFVDVTFMMIMLTIQLKFVLFFKQHVKGFHLRSFCRIAALKCEFAAAFKDADQFVVSDSLNFARCFRKKNLLNFKSCR >itb04g01220.t1 pep chromosome:ASM357664v1:4:669122:671114:1 gene:itb04g01220 transcript:itb04g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVILEVTSYRMKEHLSSHHCSSRVTAIFYACSNFQSLQCECCFSCSWFNVFIEISSLGVQIAVYQETRSARQGCGSALKDCLVLKSVLGIEYGWVSPRLVLTVICKCRTEIHVHPFWIVICDLWYKKGRFSFRFFS >itb02g01410.t1 pep chromosome:ASM357664v1:2:773186:779166:1 gene:itb02g01410 transcript:itb02g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLVMWNVLVIGVVLLGTWISSGNASVSYDKNAFIVNGHRKILISGSIHYPRSTPEMWPDLLQKAKEGGLDVIQTYIFWNGHEPQPGKYYFEDRYDVVKFIKLAQEAGLYVHLRIGPYACGEWNFGGFPVWLKFVPGISFRTDNEPFKSAMQKFTTMIVDMMKTERLYASQGGPIILSQIENEYGPMEYELGEPGKAYAKWAAKMAVDLDIGVPWVMCKQDDAPDPIINSCNGFYCDYFSPNKAYKPKMWTEAWTAWFTEFGGPVPYRPAEDMAYSVAKFIMNGGAFVNYYMYHGGTNFGRTSGGPFISTSYDYDAPLDEFGLLRQPKWGHLKDLHRAIKLCEPALVSGDPTITSLGPYQEARVFKSKSGTCAAFLANHDQHSFAKVAFGEMHYHLPPWSISILPDCKNTVYNTARVGAQTTQMTMTSVDQGFSWQSYNEETPSYDDKTFTVVGLLEQINTTRDASDYLWYMTDVRINPGEGFLKYGNMPWLTVFSAGHALHVFINGQLVGSAYGSLENPKLTFSQGVNLRAGVNKISLLSIAVGLPNVGPHFETWNAGVLGPVTLSGLNEGSRDLTWQKWSYKIGLEGEALNLHSLSGISSVEWVQGSFVSQKQPLTWYKTTFDAPEGDEPLALDMSTMSKGQVWINGNSIGRHWPGNKAPNDGCSFCNYAGWFDERKCLSNCGEASQRWYHVPRSWLRPTGNLLVIFEEWGGNPYGISLLKRETASVCAQMFEWQPQLMNWEMQASGKAKKPLRPKVHLSCGHGGKNISAIKFASFGTPEGICGDFQQGSCHAFHSFDIFEKYCVGWNSCTVPVTPEAFGGDPCPNVMKKVAVEVICS >itb02g01410.t3 pep chromosome:ASM357664v1:2:774735:779082:1 gene:itb02g01410 transcript:itb02g01410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEAWTAWFTEFGGPVPYRPAEDMAYSVAKFIMNGGAFVNYYMYHGGTNFGRTSGGPFISTSYDYDAPLDEFGLLRQPKWGHLKDLHRAIKLCEPALVSGDPTITSLGPYQEARVFKSKSGTCAAFLANHDQHSFAKVAFGEMHYHLPPWSISILPDCKNTVYNTARVGAQTTQMTMTSVDQGFSWQSYNEETPSYDDKTFTVVGLLEQINTTRDASDYLWYMTDVRINPGEGFLKYGNMPWLTVFSAGHALHVFINGQLVGSAYGSLENPKLTFSQGVNLRAGVNKISLLSIAVGLPNVGPHFETWNAGVLGPVTLSGLNEGSRDLTWQKWSYKIGLEGEALNLHSLSGISSVEWVQGSFVSQKQPLTWYKTTFDAPEGDEPLALDMSTMSKGQVWINGNSIGRHWPGNKAPNDGCSFCNYAGWFDERKCLSNCGEASQRWYHVPRSWLRPTGNLLVIFEEWGGNPYGISLLKRETASVCAQMFEWQPQLMNWEMQASGKAKKPLRPKVHLSCGHGGKNISAIKFASFGTPEGICGDFQQGSCHAFHSFDIFEKYCVGWNSCTVPVTPEAFGGDPCPNVMKKVAVEVICS >itb02g01410.t2 pep chromosome:ASM357664v1:2:773187:779166:1 gene:itb02g01410 transcript:itb02g01410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLVMWNVLVIGVVLLGTWISSGNASVSYDKNAFIVNGHRKILISGSIHYPRSTPEMWPDLLQKAKEGGLDVIQTYIFWNGHEPQPGKYYFEDRYDVVKFIKLAQEAGLYVHLRIGPYACGEWNFGGFPVWLKFVPGISFRTDNEPFKSAMQKFTTMIVDMMKTERLYASQGGPIILSQIENEYGPMEYELGEPGKAYAKWAAKMAVDLDIGVPWVMCKQDDAPDPIINSCNGFYCDYFSPNKAYKPKMWTEAWTAWFTEFGGPVPYRPAEDMAYSVAKFIMNGGAFVNYYMYHGGTNFGRTSGGPFISTSYDYDAPLDEFGLLRQPKWGHLKDLHRAIKLCEPALVSGDPTITSLGPYQEARVFKSKSGTCAAFLANHDQHSFAKVAFGEMHYHLPPWSISILPDCKNTVYNTARVGAQTTQMTMTSVDQGFSWQSYNEETPSYDDKTFTVVGLLEQINTTRDASDYLWYMTDVRINPGEGFLKYGNMPWLTVFSAGHALHVFINGQLVGSAYGSLENPKLTFSQGVNLRAGVNKISLLSIAVGLPNVGPHFETWNAGVLGPVTLSGLNEGSRDLTWQKWSYKIGLEGEALNLHSLSGISSVEWVQGSFVSQKQPLTWYKTTFDAPEGDEPLALDMSTMSKGQVWINGNSIGRHWPGNKAPNDGCSFCNYAGWFDERKCLSNCGEASQRWYHVPRSWLRPTGNLLVIFEEWGGNPYGISLLKRETASVCAQMFEWQPQLMNWEMQASGKAKKPLRPKVHLSCGHGGKNISAIKFASFGTPEGICGDFQQGSCHAFHSFDIFEKVPFHDLIGLISSGLSQLKVKSRRIISHYVSTKNQADKYFFLWVTKNPHFMALTGERSQENNQSKLNLPRYSSLQKTIPHCNSNRQRTISLSVFD >itb15g02880.t1 pep chromosome:ASM357664v1:15:1835569:1837467:-1 gene:itb15g02880 transcript:itb15g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLLAMALAISIFLSHSNAQLSSTFYSTTCPNVSSIVSTVIQQALQNDARIGASLIRLHFHDCFVNGCDGSILLDNNGTTILSEKDALPNNNSARGFDVVDNIKTAVENACPGVVSCADILALASESAVSLASGPSWNVLLGRRDSRTANQAGANTSIPAPFESLSNITTKFSNVGLNVNDLVALSGAHTFGRAQCRTFSNRLFNFSNTGSPDPTLNTTYLATLQQVCPQGGSGSTVTNLDPTTPDTFDNNYFSNLQNNRGLLQSDQELFSTSGAATIAIVNSFSANQTAFFQSFVQSMINMGNISPLTGSSGEIRLNCRRPN >itb13g14290.t1 pep chromosome:ASM357664v1:13:20839572:20842480:1 gene:itb13g14290 transcript:itb13g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSIPFLPKLYFDFLANMNLAFTHALCIYLTSFKYWPIPHQKFTLKIQMAYSPPFPNVWAWIQNLPPITQWKNDTISMCICSSPSYQPSLKLSITKTLHSSMHLTILIDYHIPIVLWTSKPTQLTSSKPTTQSISHLLNNFVQDVLNYGPTRSPSRVALRLPRLDDSNTIGDIFNVSFLTLAFIICIYECPQELRASCIHTLKDHFSCPRSRAASTLLMRLMGSNMEEQWMRCVNLAITNWIVELQAAASNALGHTITTPSPLFSYSNSSFGLWKVQLYCPVIAMEVESSSGPSPDEHLRFSLNYHQLEGVIQLNHKVTIRENWIEVMVNIDNIRCDVMRLLDESLMNGRGAGKSEKHFPSRISLKLTPTLQANIISVSVHKSSENPTTEIGMEKTVEASFDPPNLGLRVAGGETVVMSLKPWKFEQSVKGDSTYLNWFLHDSVDGREVFSSKPSKLALVHPKAWFKNRYSSAHRPFTKHGGVIFAGDEYGEKVVWKVDKSTMGRTMEWELKGWVWLTYWPNKHRTFYTETRMAKFTQLLHLTLV >itb02g25760.t2 pep chromosome:ASM357664v1:2:26528731:26532814:-1 gene:itb02g25760 transcript:itb02g25760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSLAGLQDHLKLAREYAIEGLYDTAIIFFDGAVAQINKHVSTVDDPLLRSKWMNVKKAISEETEVVKQLDAEKRSFKEVPAGRRPFSPPISTKSSSFVFQPLDEYPTSSGPMDDPDVWRPPPSRDTTTRRSARAGQVGMRKSPQDGAWARSSTKTGTSGRGGKTGGSIKANSGVRASTTGKKGNGKSAKADSVNGDSEDGKAKKVEYEGPDADLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGTRKIVMVLAATNFPWDIDEALR >itb02g25760.t1 pep chromosome:ASM357664v1:2:26528730:26532814:-1 gene:itb02g25760 transcript:itb02g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSLAGLQDHLKLAREYAIEGLYDTAIIFFDGAVAQINKHVSTVDDPLLRSKWMNVKKAISEETEVVKQLDAEKRSFKEVPAGRRPFSPPISTKSSSFVFQPLDEYPTSSGPMDDPDVWRPPPSRDTTTRRSARAGQVGMRKSPQDGAWARSSTKTGTSGRGGKTGGSIKANSGVRASTTGKKGNGKSAKADSVNGDSEDGKAKKVEYEGPDADLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGTRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAADVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMAKDEISKDPVEMCDFVEALKKVQPSVSAADIEKHEKWSSEFGSS >itb03g27740.t2 pep chromosome:ASM357664v1:3:27886604:27898548:1 gene:itb03g27740 transcript:itb03g27740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGSPLCGETAISGGWDTAALNHSGLLNLCYLPLFIIRASATSLVAVLTLFSSREPVRVAALPKDIIKISAGYHHSCAITVDGELYMWGKNSNGQLGLGKKADKVVSVPRKVESLNGLAIKTASLGFEHSIAVTDNGEALSWGGGGSGRLGHGLHSGISGVLKSNSEYTPRLIKTLDSVKVKEAAAGMLHSACICENGCVYTFGQRAKEKFGFGDANYDMVPSMIYELPFSETIACGGYHTCVVTSGGELYTWGSNENGCLGTGCTDVTHSPERVQGPLLRDPVSKVSCGWKHTAAISGGNVYTWGWGGSHGTFSEDGHSSGGQLGLGNDVDHIEPTMVNFEIGARALQISCGFNHTGAIVEYT >itb03g27740.t3 pep chromosome:ASM357664v1:3:27886604:27898548:1 gene:itb03g27740 transcript:itb03g27740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNVLMLARSLGNTRINTSIGILKIGHGIVGSRLRRWISSAAADGNGKRFAALWGNGDFGRLGHGSIESQWTPKPLLPSAFHNQSLRDIACGGAHTLFLTENGSVYACGLNDFGQLGISDDKSYTTEPVRVAALPKDIIKISAGYHHSCAITVDGELYMWGKNSNGQLGLGKKADKVVSVPRKVESLNGLAIKTASLGFEHSIAVTDNGEALSWGGGGSGRLGHGLHSGISGVLKSNSEYTPRLIKTLDSVKVKEAAAGMLHSACICGGGELYTWGSNENGCLGTGCTDVTHSPERVQGPLLRDPVSKVSCGWKHTAAISGGNVYTWGWGGSHGTFSEDGHSSGGQLGLGNDVDHIEPTMVNFEIGARALQISCGFNHTGAIVEYT >itb03g27740.t1 pep chromosome:ASM357664v1:3:27886587:27898548:1 gene:itb03g27740 transcript:itb03g27740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNVLMLARSLGNTRINTSIGILKIGHGIVGSRLRRWISSAAADGNGKRFAALWGNGDFGRLGHGSIESQWTPKPLLPSAFHNQSLRDIACGGAHTLFLTENGSVYACGLNDFGQLGISDDKSYTTEPVRVAALPKDIIKISAGYHHSCAITVDGELYMWGKNSNGQLGLGKKADKVVSVPRKVESLNGLAIKTASLGFEHSIAVTDNGEALSWGGGGSGRLGHGLHSGISGVLKSNSEYTPRLIKTLDSVKVKEAAAGMLHSACICENGCVYTFGQRAKEKFGFGDANYDMVPSMIYELPFSETIACGGYHTCVVTSGGELYTWGSNENGCLGTGCTDVTHSPERVQGPLLRDPVSKVSCGWKHTAAISGGNVYTWGWGGSHGTFSEDGHSSGGQLGLGNDVDHIEPTMVNFEIGARALQISCGFNHTGAIVEYT >itb04g30840.t1 pep chromosome:ASM357664v1:4:33854239:33855454:1 gene:itb04g30840 transcript:itb04g30840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQQISYVQSPTCSAEEDEDMAANCLILLAQGGCRVKQVAAAGKISSRKFSEMAGGAGVYECKTCNRSFPSFQALGGHRASHKKPKLMDHHEQHHYDHYHYELKKQSPPPPSAAQSSGGSSKLAKIHECSICRAEFSSGQALGGHMRRHRPPAPINTAAAKASVSNSNEEEATESSYGEGENPRGALYSLDLNLPAPQEEEEGCNKFEFSGKQQQSLVFSVPALVDCHY >itb08g06450.t1 pep chromosome:ASM357664v1:8:5478384:5479533:1 gene:itb08g06450 transcript:itb08g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSRKIEESCNFFHWKKKLKKAHPNRPSELTEFSYSQLHAATNGFSKHNLLGQGSHGFVYKAQLLNGRKSFVAAVKINKQSEINGGAAAENELRILSRVYQLRLVNLLGYGLDTAKNILTVVEFMPNGSLYELLHCSTRPPGWDRRVRFALQIARAVRFCHSLDPPVIHRDIKSSNALIDEKLHARLGDFGLALMGMSAPPPPPAGTLGYLDPGYLAPGDVSTKCDVFSFGILLLEIMTGRNAIDVKYSPPSVMDWAVPLINSGDYAEIFDPRIGTPENCSSLRQLAEVAARCVTKAAAERPTMAEVVRCLKAVYKRVRIPXAMPKGGV >itb05g25710.t1 pep chromosome:ASM357664v1:5:29963022:29967181:-1 gene:itb05g25710 transcript:itb05g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRRSSTVRKPNETMRLVVTTFVGIVFGFFLGVTFPALSLTKINLPSSLFPSIDLNYIEDKYSGLSTQALLNVLSSLKGTRGSSFKDNSTKIWVPTNPRGAERLPPDIVTAETDLYLRRLWGLPSEDLITKPRYLVTFTVGYEQKKNIDAAVKKFSDDFTIVLFHYDGRTTEWDEFEWSKKAIHVSVLKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGLENFDAEEYIKLVKKHGLEISQPGLAPNSGLTWQMTKMREDTEVHKETEERPGWCADPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQTVPSLGNQGKAENGKAPWEGVRERCRREWAMFQDRVAAAEKAYFEAMGIDPPNSTTR >itb05g25710.t2 pep chromosome:ASM357664v1:5:29963022:29967181:-1 gene:itb05g25710 transcript:itb05g25710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRRSSTVRKPNETMRLVVTTFVGIVFGFFLGVTFPALSLTKINLPSSLFPSIDLNYIEDKYSGLSTQALLNVLSSLKGTRGSSFKDNSTKIWVPTNPRGAERLPPDIVTAETDLYLRRLWGLPSEDLITKPRYLVTFTVGYEQKKNIDAAVKKFSDDFTIVLFHYDGRTTEWDEFEWSKKAIHVSVLKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGLENFDAEEYIKLVKKHGLEISQPGLAPNSGLTWQMTKMREDTEVHKETEERPGWCADPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQTVPSLGNQGKAENGKAPWEGVCCKSFSRHTFILIHTPSF >itb12g04340.t3 pep chromosome:ASM357664v1:12:2850469:2853697:1 gene:itb12g04340 transcript:itb12g04340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTVIQTSLTRFKMENTTRLQGSIPCSSPRMGLQRMVVKLHKVSIGKYTSQRASGRIFCNPVSLEEEFSALQSPDISRNDESDTDSSNEDDLDRPLSAEELKLLLTDSERLKLLKKLSEANQHNRFLKRQLQSREDELVSFKSDLAVAELEIEALVSLAEEIAKANIPEGTRKINGKYIQSHLLSRLQALKGKLKEQVKDVETAQPKEVPLFWMGVAESVQVMGSFDGWSLGEHLSPEYTGSYTQFSTTLLLRPGRYEIKFLVNGEWQLSPEYPTVGEGLTQNNLLVVE >itb12g04340.t1 pep chromosome:ASM357664v1:12:2850450:2853795:1 gene:itb12g04340 transcript:itb12g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTVIQTSLTRFKMENTTRLQGSIPCSSPRMGLQRMVVKLHKVSIGKYTSQRASGRIFCNPVSLEEEFSALQSPDISRNDESDTDSSNEDDLDRPLSAEELKLLLTDSERLKLLKKLSEANQHNRFLKRQLQSREDELVSFKSDLAVAELEIEALVSLAEEIAKANIPEGTRKINGKYIQSHLLSRLQALKGKLKEQVKDVETAQPKEVPLFWMGVAESVQVMGSFDGWSLGEHLSPEYTGSYTQFSTTLLLRPGRYEIKFLVNGEWQLSPEYPTVGEGLTQNNLLVVE >itb12g04340.t2 pep chromosome:ASM357664v1:12:2850450:2853795:1 gene:itb12g04340 transcript:itb12g04340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTVIQTSLTRFKMENTTRLQGSIPCSSPRMGLQRMVVKLHKVSIGKYTSQRASGRIFCNPVSLEEEFSALQSPDISRNDESDTDSSNEDDLDRPLSAEELKLLLTDSERLKLLKKLSEANQHNRFLKRQLQSREDELVSFKSDLAVAELEIEALVSLAEEIAKANIPEGTRKINGKYIQSHLLSRLQALKGKLKEQVKDVETAQPKEVPLFWMGVAESVQVMGSFDGWSLGEHLSPEYTGSYTQFSTTLLLRPGRYEIKFLVNGEWQLSPEYPTVGEGLTQNNLLVVE >itb12g04340.t4 pep chromosome:ASM357664v1:12:2850469:2853697:1 gene:itb12g04340 transcript:itb12g04340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTVIQTSLTRFKMENTTRLQGSIPCSSPRMGLQRMVVKLHKVSIGKYTSQRASGRIFCNPVSLEEEFSALQSPDISRNDESDTDSSNEDDLDRPLSAEELKLLLTDSERLKLLKKLSEANQHNRFLKRQLQSREDELVSFKSDLAVAELEIEALVSLAEEIAKANIPEGTRKINGKYIQSHLLSRLQGTNSCFTVSPTYVSFMQISKMTSAPKKKKKNN >itb04g25820.t1 pep chromosome:ASM357664v1:4:30290854:30293317:1 gene:itb04g25820 transcript:itb04g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLGCFGGSDDSRKRRKQRENVVSREQRHVVQITPATPQRTISAEAPPANSVSQDSFEEKLSLSARKRVTFDENVRTYEHVSVYESTETLPEKTDMSEKEKEKEKTIHSSSSTEDGSVISSVGSYPCNHRYQNCKESDDEGEEFGDSDLDEDEDFVEDDDGDEYFDNEGKMAVHEIWSEAVLTAPMESSSGKSLARVNSEEVDSPLIPSLAVEEEEERGMAETKGYVRDRSAYVHPVLNPVENLSQWKALKSKAAAQPVKPLKENLPAEQEAPRISFSSEPTLKQNPKFDHSKVHNQEIAVNASLSNWLVSSETTSPATKTSFSGFETVSSANPTSGGSNSARSFEDRPILGALTVEELKQLSASSASPRRSPSRSPDEVPIIGTVGTYWNDYDSGIKNGSKTPSSFKGIPNTTSKYREDKRVNWHSTPFETRLERALNQGAAEA >itb10g23980.t1 pep chromosome:ASM357664v1:10:28039512:28041163:-1 gene:itb10g23980 transcript:itb10g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKRFGEEDDAPPKHQSVALILGVTGIVGNSLAEILPLADTPGGPWKVYGVARRARPAWNADHPIHYIQCDISAEEDAQSKLSLLTDVTHVFYVTWAHRSTETENCEINRKMLRNVLNAVIPNCPDLKHICLQTGQKHYWGPFELSGKLAHDTPHHEDLPRLDAPNFYYALEDLLFDEVKKKEGLTWSVHRPALIHGFSPYSMMNIVGTLCVYAAICKHEGAPLRFPGVKAAWDDYSVCSDADLIAEQEIWAAVDPYAKNEAFNVSNGDVYKWKHFWKILAEQFGVEDGGFEEGERLTLQEMMKGKGAVWDEIVEKNNLRPTKLEDVGVWWFVDVILGICYPLDSMNKSKEHGFLGFRNTQKAFVSWIDKMKAHKIVP >itb13g24790.t3 pep chromosome:ASM357664v1:13:30380110:30384492:-1 gene:itb13g24790 transcript:itb13g24790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRWFSKFSLKEKPKPSKKKETASNGKEGQKPAANDEAPSNATKQKVAAAKQYIEKHYKEQMKSLQERRERRNVLEKKLADAEVSEEEQNNILKYLEKKETEFMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKATSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLHEKDFTAGNNYSGALQSDGRPAPPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAHDLIRRLLCNVEQRLGTKGAHEIKAHPWFTGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDNQIPTAMKSGPWRKVSTPKRNQYLLKLFIR >itb13g24790.t1 pep chromosome:ASM357664v1:13:30378758:30384505:-1 gene:itb13g24790 transcript:itb13g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRWFSKFSLKEKPKPSKKKETASNGKEGQKPAANDEAPSNATKQKVAAAKQYIEKHYKEQMKSLQERRERRNVLEKKLADAEVSEEEQNNILKYLEKKETEFMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKATSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLHEKDFTAGNNYSGALQSDGRPAPPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAHDLIRRLLCNVEQRLGTKGAHEIKAHPWFTGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDNQIPTAMKSGPWRKMLSSKDVNFMGYTYKNFEIVNENEVPGIADLKKKSTRPKRPTVKALFKEDSDSGSVQQNQGSFLNLLPPQLEVSKHKESGHL >itb13g24790.t2 pep chromosome:ASM357664v1:13:30378758:30384505:-1 gene:itb13g24790 transcript:itb13g24790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRWFSKFSLKEKPKPSKKKETASNGKEGQKPAANDEAPSNATKQKVAAAKQYIEKHYKEQMKSLQERRERRNVLEKKLADAEVSEEEQNNILKYLEKKETEFMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKATSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLHEKDFTAGNNYSGALQSDGRPAPPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAHDLIRRLLCNVEQRLGTKGAHEIKAHPWFTGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDNQIPTAMKSGPWRKMLSSKDVNFMGYTYKNFEIVNENEVPGIADLKKKSTRPKRPTVKALFKEDSDSGSVQQNQGSFLNLLPPQLEVSKHKESGHL >itb13g24790.t4 pep chromosome:ASM357664v1:13:30380110:30384492:-1 gene:itb13g24790 transcript:itb13g24790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRWFSKFSLKEKPKPSKKKETASNGKEGQKPAANDEAPSNATKQKVAAAKQYIEKHYKEQMKSLQERRERRNVLEKKLADAEVSEEEQNNILKYLEKKETEFMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKATSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLHEKDFTAGNNYSGALQSDGRPAPPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAHDLIRRLLCNVEQRLGTKGAHEIKAHPWFTGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDNQIPTAMKSGPWRKVSTPKRNQYLLKLFIR >itb09g24650.t1 pep chromosome:ASM357664v1:9:24472831:24478465:1 gene:itb09g24650 transcript:itb09g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGCLFPVKTFQQTSLKKRLFRAIAPRFYVTHTKRAASLKSASNSSLIASASAYRSPSPKMEISGPEKKEQVYQYIVVMRHGDRLDNVDPLWITQSERPWDPPLHQQGKTRAFTIGQRLSQTLGAPINRVFVSPFLRCLQTASEAVRALCTVADPNNVSSDAVSVDLSKIKVSIEIGLCEMLNRQAIRANVAPKDGDFKFNISECEAQLPTGTIDHSAERVYEKLPEWEESAPAARARYMEVVKALADKYPSENLLLVTHGEGVGSVFSDIMNGVIVYDVEYCGYTILKRCIHLGENQSFTAGELTQQSEFGIKFLAAADE >itb09g24650.t2 pep chromosome:ASM357664v1:9:24472853:24478658:1 gene:itb09g24650 transcript:itb09g24650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGCLFPVKTFQQTSLKKRLFRAIAPRFYVTHTKRAASLKSASNSSLIASASAYRSPSPKMEISGPEKKEQVYQYIVVMRHGDRLDNVDPLWITQSERPWDPPLHQQGKTRAFTIGQRLSQTLGAPINRVFVSPFLRCLQTASEAVRALCTVADPNNVSSDAVSVDLSKIKVSIEIGLCEMLNRQAIRANVAPKDGDFKFNISECEAQLPTGTIDHSAERVYEKLPEWEESAPAARARYMEVVKALADKYPSENLLLVTHGEGVGSVFSDIMNGVIVYDVEYCGYTILKRCIHLGENQSFTAGELTQQSEFGIKFLAAADE >itb02g08820.t1 pep chromosome:ASM357664v1:2:5582490:5584879:-1 gene:itb02g08820 transcript:itb02g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTIGIWFAVVSVCSYYVWRLLKWAWFKPRSLEKCLREQGLKGSPYRAPYGNLPDLISSITEAKSRPINLSDDTIPRIMPFILDSIIKYGENCFMWLGPSPMVIVTDTELVKEIYAKYDVFQKILHPNPQTKLLAQGLVSLEEDKWAKHRKLINPAFHSEKLKHMLPAFYMSCTEMLAKWDNVIPEGGSGEVNVWPFLEKMTSEVISRTAFGSSYEEGQKIFELQREQAEHVMEMSRSIYIPGSRFLPTKRNKRMYEIENIVQTSIRGIINKRLKAMEAGEASKEDLLGILLESNSREIEEHGNKDFGMTISEVIDECKLFYFAGQETTSVVLVWTMILLSMNQDWQARAREEVLQLFGKNKPDLDGLNKLKIVTMILLESLRLYPPLATTGRRVHKDTKLGELHLPAGVLLFLPTILLHHDKEIWGENAKEFNPERFSEGVSKATEGKICFFPFSWGPRVCIGQNFAMLEAKMALAMILQRFSFELSPSYAHAPFAIVTVHPQHGAPLILQRL >itb02g09810.t1 pep chromosome:ASM357664v1:2:6302744:6308553:-1 gene:itb02g09810 transcript:itb02g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELESQAISTTCGHLLCPEDARKILSNDAACPICDQVLSKSLMKPVDINPSDEWINMAMVGISPQILMKSTYRSVVFYIGQKELEMQYKMSRVAAQCRQKCEVMQEKFTEKLEQVHTAYQKMGKKCQLMEQEIENLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSAIQPANNFYSRAEPDLFSNTASMMDNRDSLRKGPREDIWPTARHNNSNSGHFEFSSGSPAKEPGLQMEAGNKRIGVRSAFGVGPGAGNPSMALRNLIISPIKRPQLSRGQPQMFTQKRKLDEMYDQLRSEYESVKRSAIQPANNFYSRAEPDLFSNTASMMDNRDSLRKGPREDIWPTARHNNSNSGHFEFSSGSPAKEPGLQMEAGNKRIGVRSAFGVGPGAGNPSMALRNLIISPIKRPQLSRGQPQMFT >itb03g07990.t1 pep chromosome:ASM357664v1:3:5980527:5984517:1 gene:itb03g07990 transcript:itb03g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MENLRAIVFVTLISLLCPILTRSFSPDNPTDRRILVLLDDSSLKSSHSLFFNSLQSRGFVLEFKLADDPTISVQRYGQYLYDGIILFSPSAERFGGALDLAAVMDFVDSGRDLIVAADANASDLIRNIAAECGVDFDEDASAVVIDHSSYAVSETEGDHTLIASDSFIESNVVLGSRKIEAPVLFKGIGHSLNPENSLVFKVLSASPSAYSANPKSELSKPPSLTGSSISLVSVMQARNNARILISGSLAMFSNRFYRSRVLKADSSTKYEKCGNEQFVTELSKWIFHERGHLKAINLKHHKIGENEEPAMYRIKDDLEFSVEIYEWSGTSWEPYVTDDVQVQFYMMSPYVLKNLATDQKGLYHTSFKVPDVYGVFQFKIEYQKLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFSTMAGFFIFSIVYLYHK >itb06g21150.t1 pep chromosome:ASM357664v1:6:23860954:23862847:-1 gene:itb06g21150 transcript:itb06g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDCRGCQKWEEHIYWSRFQAVQFFQILSGCYNEKLDEKPCSHYPEAVPQKFVNNLREKLAGCVSLKGPSGAIWNIGLTACGEKLYFQRGWKEFVEEHSLQENDVLIFKYNGGSQFDVLMFDQQSCCEKEASYFIRKCGHQELINGGKANGNPTPETNSESSVEVIRATKRKKPTKGGALAQTSVKQGTQAKRGARKGSMILPGKSSSASRFQLKSNRRPVTEEEKGNAIQMASAVASCYGFVAIMTPGNVYRGFFLTIPADWARKYLPTTEKNFDLTLRVKENAWTTRCYQKQGHAVVTGTAYRNFVLENNLEEFDVCVFRLASRSDDIVFDVSIFRVVEEVVPPSRVTQAVSSRCYKQRK >itb11g07260.t1 pep chromosome:ASM357664v1:11:4444051:4449993:-1 gene:itb11g07260 transcript:itb11g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQSSKPNGGAGHKAPAPATRGLVAIAIDKDKGSQSALKWTTENLVSRGQTLVLIHIQTMDIILEDADVSKALMQYVYGAAIENLVLGASKHGFLKLSPRRLNVMDVPTCVSKGAPDFCTVYVISKAKISSVRNASRPAPFMSPLYNQINKKNEEQQDNSPATARAAVVPKVNAAATPAVTHHKHTPTLKDKLATFGEKNNRASFMYNSDMEIPFSLGSGFRSKASDTSSFTDFSTSSVDSATELQLWRIEEEKQLEEAQVEDDVSKVSSESGKRSGSEAEEVENGRYEFRYRRYTIEEIEEATERFSESRKIGEGGYGPVFKCILDHTPVAVKVLRPDVAQGMSQFHKEVIDYISIASRPAPFMSPLYNQINKKNEEQQDNSPPTATALVVPKVNAAATPVVTRHKHTPTLKGRGIQGKPFSDFPDNDTDISFVSSGRPSTDTSSMFYDGLHLGRTSRVSTNSESSLGSGFGSKASDTSSFTDFSTSSVDSATEFQLWRIEEEKRLEEAQLEDDVSKVSSGSGKRSGSEAEELENGRYEFRYRRYTIEEIEEATERFSESRKIGEGGYGPVFKCILDHTPVAVKVLRPDAAQGMSQFHKEEAVKQQ >itb08g03510.t1 pep chromosome:ASM357664v1:8:2859895:2862230:1 gene:itb08g03510 transcript:itb08g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb12g27620.t1 pep chromosome:ASM357664v1:12:27912115:27913921:1 gene:itb12g27620 transcript:itb12g27620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVKRFLEGEEGKENESPVVDSMPSKFLEPLICHGLKIELVEPDRILCSFKVPQRLVNSDNILNGGVTAALVDVVGAAAVFVGGSPVTGVSVEINVSYVDVAYTGDEVEIEAKVLRIRGAISVVSVELRNKKTGKVIAQGRHSKYLGRRSKL >itb11g11600.t2 pep chromosome:ASM357664v1:11:8502755:8506536:-1 gene:itb11g11600 transcript:itb11g11600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSKKASSASTTSSTMDLFRSASGKAASKELDRIDQVFYTYANKSSGLIDPEGIEALCSDLEVEHTDVRILMLAWKLQSEKQGYFTLEEWRKGLKALRADTFQKLKKALPELEKEVRRPSNFVDFYAYAFRYCLTEEKQKSIDIESICVLLDLVLGSQFRPQVEALVQYLKTQSDYKVINMDQWMGFYRFCSEISFPDFSNYDPELAWPLILDNFVDWMREKQG >itb11g11600.t3 pep chromosome:ASM357664v1:11:8502755:8506536:-1 gene:itb11g11600 transcript:itb11g11600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSKKASSASTTSSTMDLFRSASGKAASKELDRIDQVFYTYANKSSGLIDPEGIEALCSDLEVEHTDVRILMLAWKLQSEKQGYFTLEEWRKGLKALRADTFQKLKKALPELEKEVRRPSNFVDFYAYAFRYCLTEEKQKSIDIESICVLLDLVLGSQFRPQVEALVQYLKTQSDYKVINMDQWMGFYRFCSEISFPDFSNYDPELAWPLILDNFVDWMREKQG >itb11g11600.t1 pep chromosome:ASM357664v1:11:8502755:8506536:-1 gene:itb11g11600 transcript:itb11g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSKKASSASTTSSTMDLFRSASGKAASKELDRIDQVFYTYANKSSGLIDPEGIEALCSDLEVEHTDVRILMLAWKLQSEKQGYFTLEEWRKGLKALRADTFQKLKKALPELEKEVRRPSNFVDFYAYAFRYCLTEEKQKSIDIESICVLLDLVLGSQFRPQVEALVQYLKTQSDYKVINMDQWMGFYRFCSEISFPDFSNYDPELAWPLILDNFVDWMREKQG >itb04g28630.t1 pep chromosome:ASM357664v1:4:32298019:32299437:-1 gene:itb04g28630 transcript:itb04g28630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNKQAGSASSLTDYLFGAKGSSQPSNSSGIFSSIFPPPTLAGGRKSPTSDLLGSLENHSSAGQAWKKGLPEFQDRVEPCPLSSSLYYGAQEDMYIKSSGAQSLGSLPNYNKKEDGANGNNFSAPGGNLWEGSVFY >itb05g01310.t1 pep chromosome:ASM357664v1:5:1115236:1118389:1 gene:itb05g01310 transcript:itb05g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKAKLKKTSTKPSMNVLPYQTPRLRDHYNLGRKLGQGQFGTTYQCTERATGLEYACKTIPKRKLLVKEDYEDVWREIQIMHHLSEHPNVVRIKGTYEDNVFVHLVMELCKGGELFDRIVAKGHYSERKAAQLMKTIAGVVEACHSLGVMHRDLKPENFLFDSPDEDAKLKSTDFGLSVFFKPGQYMSDVVGSPYYVAPEVLQKHYGTEVDVWSAGVILYILLSGVPPFWAETDNGIFRQILKGKLDLESDPWPSISDSGKDLIKKMLHRDPKKRITAHDVLSHPWIVDDTVAPDRPLGSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLRQLFRMIDTDGSGSITYEELKQGLKKVGSELTEADIQALMHAADIDNSGTIDYGEFLAATLHLNKMEREENLLAAFSYFDKDGSGYITDGRIDYGEFATMMRKGNVGIAARSMRGNLNFNLADAFGTNVPK >itb12g05810.t1 pep chromosome:ASM357664v1:12:4333732:4336658:1 gene:itb12g05810 transcript:itb12g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLLFFYNLYLNFDLWAGRSGIGIGIRFFMGWLSKIFKGFSHGSKHRISQGQHHGRFEDDAFWERPRTSTGERSDFDNEEIDLAIALSLVDEEQKEDQKDYVIEDHKEDDKENDKEDDKEEEKEEDEKEEEKEYEKGKRVIEPEPDIEEDEMLAKAIQESLYVDSPPRRNDYESFFPPYPFFYPPGYRICARCNNEIGHGRFLSCMGAVWHPECFRCHACNIPISDYEFSVSDNRPYHKSCYKEQHHPKCDVCKNFIPTNAAGLIEYRAHPFWLQKYCPSHEHDGTPRCCSCERMEPVDTRYLVLDDGRKLCLECLDSSIMDTHECQPLYLEIQDFYEGLNMKVEQQIPLLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVSTILRRPRIGGHRITDMFTEPYRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYPNLSPEIEEGICQVLAHMWLDSEIIAGSGSSTASTSSSSSSTTSPSSSSSSSSSSSSTSSKKGTRSQFEKKLGEFFKHQIESDTSVAYGDGFREGNKAVLKYGLRSTLDHIRLTGSFPC >itb02g26270.t3 pep chromosome:ASM357664v1:2:27036933:27046934:-1 gene:itb02g26270 transcript:itb02g26270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MEAVSFIHFRLLIYTAVACSANRRRFAHRCYTANAYMHCAGHVQWSRDYETTNYQKISNHRSSLGGCGAISCTLKFGSWLAKEEPSYLIDKRTPFCRLNFCVKMENEVIEFDIGIGGGGGSEDGDGDVILENMDDAETMPNCSPAHSSDALIVSGIYTPTGDLDLEPFDGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRKDGAIIQRSFVCSKEGFRNLNEKRTKDREIKRPRTITRVGCKAALSVKIQDSGKWVVSNFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIIRHEKEVKADYDTMNTLPALKTPSPMEKQASEVYTRKIFMRFQEELVGTLTFMATKVEDEGGVTIYQVAKFGEDHKAYCVRFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSTVTLEERVADAFSGYLESHTVRYNMLRHEAFKFVEDGAESVSSYVVAMAALGEASKKVSLAAKHDGKISLLNGRNQDDSISDGIQENLNIEDQHGTFTKLLSEDDVDRKIHELTCELDCASRRWKLDATVSFHPNLIDVMSS >itb02g26270.t2 pep chromosome:ASM357664v1:2:27036933:27046881:-1 gene:itb02g26270 transcript:itb02g26270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MLRQPPPLRPPLLYCERLHALGMYNGAGTMKQQTIKRSAIIALLSAAAVQCKNSGLGLKTSQKRSCTLKFGSWLAKEEPSYLIDKRTPFCRLNFCVKMENEVIEFDIGIGGGGGSEDGDGDVILENMDDAETMPNCSPAHSSDALIVSGIYTPTGDLDLEPFDGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRKDGAIIQRSFVCSKEGFRNLNEKRTKDREIKRPRTITRVGCKAALSVKIQDSGKWVVSNFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIIRHEKEVKADYDTMNTLPALKTPSPMEKQASEVYTRKIFMRFQEELVGTLTFMATKVEDEGGVTIYQVAKFGEDHKAYCVRFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSTVTLEERVADAFSGYLESHTVRYNMLRHEAFKFVEDGAESVSSYVVAMAALGEASKKVSLAAKHDGKISLLNGRNQDDSISDGIQENLNIEDQHGTFTKLLSEDDVDRKIHELTCELDCASRRWKLDATVSFHPNLIDVMSS >itb02g26270.t1 pep chromosome:ASM357664v1:2:27036933:27046881:-1 gene:itb02g26270 transcript:itb02g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MLRQPPPLRPPLLYCERLHALGMYNGAGTMKQQTIKRSAIIALLSAAAVQCKNSGLGLKTSQKRSCTLKFGSWLAKEEPSYLIDKSRTPFCRLNFCVKMENEVIEFDIGIGGGGGSEDGDGDVILENMDDAETMPNCSPAHSSDALIVSGIYTPTGDLDLEPFDGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRKDGAIIQRSFVCSKEGFRNLNEKRTKDREIKRPRTITRVGCKAALSVKIQDSGKWVVSNFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIIRHEKEVKADYDTMNTLPALKTPSPMEKQASEVYTRKIFMRFQEELVGTLTFMATKVEDEGGVTIYQVAKFGEDHKAYCVRFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSTVTLEERVADAFSGYLESHTVRYNMLRHEAFKFVEDGAESVSSYVVAMAALGEASKKVSLAAKHDGKISLLNGRNQDDSISDGIQENLNIEDQHGTFTKLLSEDDVDRKIHELTCELDCASRRWKLDATVSFHPNLIDVMSS >itb05g15970.t1 pep chromosome:ASM357664v1:5:23294560:23295630:1 gene:itb05g15970 transcript:itb05g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSASNSEDDLSGTIRDKVLPFLPAKSLFRFMAVCHQWKRLILTPSFHLDQSLRFTDITGLFCQTPGNLNPPVFIPIDPTSAGVPDPSLSFLPEPVVIRASSNGLLCCQGRNGDRDYYLCNPAIEHFKKLPKPTASHGSEPALVVIFEPSQLTDVASEYKLICAFEATDFDDAIEFEIYSSKNKSWDVSEVIFFGAKKATLGLGVHVKGVVYWPVKRGGIVSFDLTKDKSELLDTVKPKRANCVLGTYYGTLCKAYIRLRYAEVLVDAMVNFQWPDELQMWELMRCTVYPEDTKMPLDNIASRVVAVGREEVVVKCGNQLYSFNFQTEKTETLTQPDEPYYEICVPYVNNFVYL >itb07g13700.t1 pep chromosome:ASM357664v1:7:16026444:16031842:1 gene:itb07g13700 transcript:itb07g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKESPSNNPGLHANPDEATKGYFLQQTMFRIKDPKVSLQFYSKVLGMSLLKRLDFPEMKFTLYFLGYENTSSAPSDPAERVAWTFGQKATLELTHNWGTESDPDFKGYHSGNTEPRGFGHIGVTVDDVYKACERFERLGVEFVKKPTDGKIQHIAFIKDPDGYWIEIFDTQKIKDATSGAF >itb07g13700.t2 pep chromosome:ASM357664v1:7:16026444:16031842:1 gene:itb07g13700 transcript:itb07g13700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTKVASLLRSTTLTHRFPSLSPLPSRIPLLLAPKPEVLSRPRFVSLSMASGSKESPSNNPGLHANPDEATKGYFLQQTMFRIKDPKVSLQFYSKVLGMSLLKRLDFPEMKFTLYFLGYENTSSAPSDPAERVAWTFGQKATLELTHNWGTESDPDFKGYHSGNTEPRGFGHIGVTVDDVYKACERFERLGVEFVKKPTDGKIQHIAFIKDPDGYWIEIFDTQKIKDATSGAF >itb15g06020.t1 pep chromosome:ASM357664v1:15:3946762:3951914:-1 gene:itb15g06020 transcript:itb15g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] METGALLSSMTTSSSSSSSLYSGVSIACSRFQVRNEISFPCKLKSEFVRGSRNVKVSRLRAGFWDAIKSGFAKNNSLQIVEPPSSIQEEEDPLPEEFVLVEKPLSDGAVEQIVFSSGGDVDIYDLQALCDKVGWPRRPLTKLAAALKNSYIVATLHSRIVSSEEEVNVQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALIEKLIRTLLQRDIGNISLFADSQVVEFYQNLGFEPDPEGIKANSSSSTAASFPPSPTLCEPVILANTTNDTPPRTLFRHLNTITELLNTKGETVLSSTYSIPFSVWYRRLLLTPMWIAQVAAFRMVGGALFGVSKLGSIFFLILISPFERAVPTSPAANPTAANRVPLYTKVPSLLIRP >itb15g06020.t2 pep chromosome:ASM357664v1:15:3948809:3951914:-1 gene:itb15g06020 transcript:itb15g06020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] METGALLSSMTTSSSSSSSLYSGVSIACSRFQVRNEISFPCKLKSEFVRGSRNVKVSRLRAGFWDAIKSGFAKNNSLQIVEPPSSIQEEEDPLPEEFVLVEKPLSDGAVEQIVFSSGGDVDIYDLQALCDKVGWPRRPLTKLAAALKNSYIVATLHSRIVSSEEEVNVQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALIEKLIRTLLQRDIGNISLFADSQVVEFYQNLGFEPDPEGIKGMFWYPRY >itb09g29200.t3 pep chromosome:ASM357664v1:9:29924466:29928175:1 gene:itb09g29200 transcript:itb09g29200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKPDGASPSQPLADSETVVLNLIKGKKNMGIWLADIKREAKLQPAVVDKSLASLVKKNLIKQVKNIQNKARKHYMAVEFEPSEELTGGAWYNEGNLDKELINILKETCLKVINKLQVTTAQGIFDFLKDRKAINCTIGQISEILRSMVLDNAIIEVKSSGLGEYHSIPLGAVCYRIASAATATGPKTVGAMASIPCGVCPRINECTPDGIISPKTCEYYTKWLEF >itb09g29200.t2 pep chromosome:ASM357664v1:9:29923586:29928090:1 gene:itb09g29200 transcript:itb09g29200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKPDGASPSQPLADSETVVLNLIKGKKNMGIWLADIKREAKLQPAVVDKSLASLVKKNLIKQVKNIQNKARKHYMAVEFEPSEELTGGAWYNEGNLDKELINILKETCLKVINKLQVTTAQGIFDFLKDRKAINCTIGQISEILRSMVLDNAIIEVKSSGLGEYHSIPLGAVCYRIASAATATGPKTVGAMASIPCGVCPRINECTPDGIISPKTCEYYTKWLEF >itb09g29200.t1 pep chromosome:ASM357664v1:9:29926027:29928090:1 gene:itb09g29200 transcript:itb09g29200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRKPDGASPSQPLADSETVVLNLIKGKKNMGIWLADIKREAKLQPAVVDKSLASLVKKNLIKQVKNIQNKARKHYMAVEFEPSEELTGGAWYNEGNLDKELINILKETCLKVINKLQVTTAQGIFDFLKDRKAINCTIGQISEILRSMVLDNAIIEVKSSGLGEYHSIPLGAVCYRIASAATATGPKTVGAMASIPCGVCPRINECTPDGIISPKTCEYYTKWLEF >itb12g05820.t1 pep chromosome:ASM357664v1:12:4337542:4339989:-1 gene:itb12g05820 transcript:itb12g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLHWICFLAALISGSLLIQAQQPYIRKDTGCIGSLDNSNSTSAFGYHCNGVNRTCQSYLSFLSQPPYDSVAAISALLGADPVKLSELNLVSQNATFATNKVVLVPVTCSCSGQFYQVNGSYVLRAGDTYTSIEISPLEGLSTCRALRSENSNFPETNLVPGLRITVPTRCACPTKNQSDNGAKYLLSYVIQLGDNVDSISTKFGADTEKTLAANGLSFQDADIYPNTTLLVPLQSQPSISQVAGQSPPPSPPPPPPQDVGKSSSKTWKYVVAGVLGGLGCLGIVGGVVFLLCIRKREKKGEPEIVTKSFEATEKTLKKKLDEEMGMSYGSVSDLVQSVKMYTFEEIKSATENFSPGCLIRGSVYRGTINGDFAAIKKMNGDVSKEINLLSKINHFNLISLSGICFNDGDWYLVFEYAENGALSDAIHRGENDDSTKPLTWAQRVQIGLDVATGLNYLHSYTSPPHVHKDLKSSNVLLDSNSRAKITNFGLSRSADAQEGEFALTRHIVGTKGYMAPEYLEHGLVSPKLDVYAFGVLTLEILTGKDIAELQERENIRLSELLLPVLDEETGKPHLASFLDPSLQGRYPADVAVSLVRLIDSCIKKDASSRPNMDEIVRHFSIAMTTTSSWELSSST >itb01g07420.t1 pep chromosome:ASM357664v1:1:5865193:5867302:-1 gene:itb01g07420 transcript:itb01g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTIDYCWWVDVNHSSDIEYVLRTTINGLYTSVGTKPPAELEKADRNSLQQHILHYLEGKSHDKNIGNGSFLGAAPHYVEVKPLEFDLACSLFCKLALGSRSWPNELEEPGKALVNKCSGLPVALLAMARLMSTKGDDPSKWRDALKSLDYYSQEFEFESGGSLASLNRALLLSYNELPTHLKSCFLYCAMFPKTYGVSVQRLIRMCIAEGFIKDDPHPHSGRTLEQIARDYFLQLKNRSLLQILPSEFNGKELGRIEMHDLYRDVACEVIRREMFAEIIKLEKSTKLEWKQRRSLIILEDQEQNVELDNCYENMKKLRTLIINDCIGIALNSFPQMLQNMKLLRVLVLGWLPNGMKELPNEVGDLIHLRYLALFGFNKMTHLPDSLGRLHNLQTLDLRETLVNSLPKCVSELKELRHLLGSHRLQVPDIVFTFSQLQTLSGILINIIQARELVNIPQLTKLNIIFKEGYECSGAICDSVKKLTNLHFLSIASDELQEFINLSPPFSLEKLQLLGFGKLVNFISTPNYLCVIYIRMCDVDKDFFNSLEKLPSLLELFIQSYSGDQLVCSEGSFPKLRKLERVCRKLTKWEIGKGAMTSLESLSMDECKCLEMLPEGLREVEHLKKLHLVGPSQQLVQRISVEGSDRWKIEHILRVTMQHSYGEKPSLIY >itb09g13510.t1 pep chromosome:ASM357664v1:9:8842415:8843767:1 gene:itb09g13510 transcript:itb09g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQLAELLPGLPEELALECLTRLHYSAHSVASLVCRRWREILLSREFYYHRKKMGHTHKAACLVHARPVPSDSKPVGQPSYGVSMFDPVSGDWAWVDPIPKYRNGLPMFCQIVSTEGKLVVMGGWDPENWDPVKDIFVYDFATRGWTRCSDMPSKRSFFAVGAADGRVFVAGGHDESKNALSSAWVFDIRRDEWAELPRMAEERDECEGVVIGSEFWVVSGYDTESQGRFKSSAESMELSSGEWKRVDDAWGANRCPRACVGIGKDGNLLCWAESDPGIRVGACGIDLGEMTIVTGAPYQGAPHGFFFSGGQNRKLVKIDVPDEFLGFVQSGCAVEI >itb12g26200.t1 pep chromosome:ASM357664v1:12:27201796:27203166:-1 gene:itb12g26200 transcript:itb12g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEQNLRVVMFPWLGYGHISPFLELAKKLSQRNFTIFICSTPATLNPIRKKLGEEFSGAVKFTELHLPFSDDLPSDLHTTNGLPPHLMPALKEAFDAASPSFAKLLEDLRPDLLIYDFLQPWAPRAAADLDIPAVEFISSSSSMTAYMIHRFKRPGVDFPFSNVRFRDYELKRFAKMAAETPPEREQKDKNRVRECFARSCGLVLIKSFEEIEGKYSSYITSLTGKNVVPVGPLVQEPCSEDENSEILGFLNGKEAKSTVFVSFGSEYFLSDEDTCEIANGLAGSNVNFVWVLRFPKGQDREITSVLPEQFSGKVGERGLVVKGWAPQAKILQHPSIGGFVSHCGWSSVMEAMKYGVPIIAVPMHIDQPVNARLAQEVGVAVEVARDGEGKLRREKITAVINAVVTEEDGEGVSSTAAAMREMLAEKGDKEIITVVEELRKLCSKKTHDFTPVF >itb01g24730.t1 pep chromosome:ASM357664v1:1:30303383:30305485:1 gene:itb01g24730 transcript:itb01g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTTSNSVPAAEPIDKSRILDIKPMRCLVPVFPSANGMSASGAPQPSPFACVPPSGPFPPGVAPFYPFLAPNDSGRRTEQTPFGFTQPISPVPLNSFRTPMSNGDTGRTRRTTRIVVEDDGYSDQSDQFDFSVHVNDVEDTSTARKRGRPSRKTRRHAQQGEPHAEVDYEPILHGFLESFKLTEIDMFKKADGDKDLVGRILLVYDLFRRKMTQIEEMKDPSPGSARRPDLKSGTMLMTKGVRTNQTKRVGNVPGIEVGDIFFFRMELCVVGLHSPSMAGIDYMSVKLSTDDEPIAVSIVSSGGYDDEGDDGDVLIYTGQGGVQRRDGQMFDQKLERGNLALEKSLHRANEVRVIRGVKDTWTTGKIYVYDGLYKIQESWAEKNKSGCNVFKYKLVRIPGQPEAYALWKSIQQWKDGISSRTGVILPDLTSGAESQPVSLVNDVDDEKGPAYFTYISTLKNPKPCEMPSPSFSCHCHGGCQPGDSNCSCIQGNGGFLPYNALGVLLTYKALVHECGPSCPCPPNCRNRLSQAGLKVRLEVFKTKNRGWGLRSWDPIRGGCFICEYAGEVIDASRSDEFGIDSEDNYIFDASRNYQPLEKVPADNDDSAKVPFPLVISAKNNGNVARFMNHSCSPNVFWQPVVREGHNGAFYHIAFFAIRHIPPMQELTFDYGMVRSDKTEQMRKKCLCGSLNCRGYFY >itb06g16580.t1 pep chromosome:ASM357664v1:6:20696318:20699941:1 gene:itb06g16580 transcript:itb06g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDFVECCAHLNLTDAPSSGNLFTWTNGRVKAELDRILINIPWLNSGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGSIVRGSKQYILAIRLKAFKRPLRQLNKLEFGHISEKAKRANEEFQAFIQNFEVESARDEDRERLKSLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHRDGAINFVLDHNGIRDNRLDFSGMPKGQLPVKYLGIPLDGQRLKVAQFSPLITAITRLIEKWKGCTLSYAGRLELIISVIQGTISFWIQNFPLPANVIDHVAFLCGKFLWGRRVSLITWDKICFPKEEGGLGIHDFKVWNTSFFSKVLWDIHSKRDSLWIRWVNSVYLNGSDVWDFCPNKRDSALFKKIFEARDKISLAKGGLQNAKEFLHNSVNNSKFQVSQIYDLLREKSQPAFAWRFVWRSYIPRKFSFITWLAVHQRLPTKDRLAFLDINTECSMCVGDKETAQHLFFKCPFSLQVWNQVRMHFGFHKCTNAIKSSIKWINRLHGGARMRSKAITIALICTIYHLWRNRNRVHHDEDRLPIDGLVKNIAKDVYRVIFYLYPIT >itb08g07060.t1 pep chromosome:ASM357664v1:8:6015139:6018913:-1 gene:itb08g07060 transcript:itb08g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARRTAMAFKTYQNHAETLVKNYVLSDPFIPYTSVLGGMFACKMVYDLSQLISTFYFRSYITLTKIQRTEWNNRAISTIHAIFISIMSLYFVFWSDLFSENYPAGLLTFRNSSMSTFTLGISIGYFIADLGMICWLYPALGGIEYVLHHALSGIAVAYSMFTGEGQLYTFMVLISELTTPEINMRWYLDTAGLKRSSAYVINGVVIFIAWLVARILLFVYMFYHVYVHYDEVMQMHPFGSLLVFVVPAILGVMNLVWFGKIVKGLKKTLAKTQ >itb08g07060.t4 pep chromosome:ASM357664v1:8:6015139:6017921:-1 gene:itb08g07060 transcript:itb08g07060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHIIYVAVGFQVLHHALSGIAVAYSMFTGEGQLYTFMVLISELTTPEINMRWYLDTAGLKRSSAYVINGVVIFIAWLVARILLFVYMFYHVYVHYDEVMQMHPFGSLLVFVVPAILGVMNLVWFGKIVKGLKKTLAKTQ >itb08g07060.t3 pep chromosome:ASM357664v1:8:6015139:6017921:-1 gene:itb08g07060 transcript:itb08g07060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPCHLNHPCHLHFDYVFVLCLLVRSFLGKLSSWPSDFSKFINVNLYIRDLYWVLYCRSWNDLLALSCSRWNRVLHHALSGIAVAYSMFTGEGQLYTFMVLISELTTPEINMRWYLDTAGLKRSSAYVINGVVIFIAWLVARILLFVYMFYHVYVHYDEVMQMHPFGSLLVFVVPAILGVMNLVWFGKIVKGLKKTLAKTQ >itb08g07060.t2 pep chromosome:ASM357664v1:8:6015139:6017921:-1 gene:itb08g07060 transcript:itb08g07060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYFVFWSDLFSENYPAGLLTFRNSSMSTFTLGISIGYFIADLGMICWLYPALGGIEYVLHHALSGIAVAYSMFTGEGQLYTFMVLISELTTPEINMRWYLDTAGLKRSSAYVINGVVIFIAWLVARILLFVYMFYHVYVHYDEVMQMHPFGSLLVFVVPAILGVMNLVWFGKIVKGLKKTLAKTQ >itb12g05970.t1 pep chromosome:ASM357664v1:12:4456209:4457511:-1 gene:itb12g05970 transcript:itb12g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSLQFFLKDSQRVMITSEAQALDDTEEAMHTAAVVNELSKEISCILVAHPLNSKWAAGAKNITNVPQFEKIHGLWPCMVAPTKIIAGLGLSLGIDILEAPEATGDYQTILTSKTTAIVKTLSAPLQSCLNIFVPGEDEHKPDRSDGYDFGFLHTKAIDDVGHDKVSVFKVKGLEAVDHSIGQLAKLLWPAESSGKTCPFCIV >itb10g15520.t1 pep chromosome:ASM357664v1:10:21700992:21702335:-1 gene:itb10g15520 transcript:itb10g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] METIQRLTHRLLPIPFTRKCLSKAPFHTRPILGLQRTTKPIFRPDNSYIEPISSSNLSFVRSRGSSPATARAGWFLGMGEKKQTLPDIVQAGDPVLHETAREVNPDEVGSERIQKIIDDMVKVMRKAPGVGLAAPQIGIPFKIIVLEDTKEYISYASPKEIKVQDRRPFDLLVIINPKLKKKGDKTALFFEGCLSVDGFRAVVERHLEVEVTGLDQTGQPIKVDASGWQARILQHECDHLEGTLYVDKMVPRTFRTVDNLYLPLAAGCPKLGSR >itb05g17960.t1 pep chromosome:ASM357664v1:5:24801715:24805244:-1 gene:itb05g17960 transcript:itb05g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQSIVVAVLFAWTFLQCLCLLAKRSSKSVGKKFPPGPFPLPVIGNLHLVGGQPHKFLARLAQKYGPVMNLKLGMINTVVISSSAMAKQALQKQDLAFSTRSIPDSLTALNHHQVSVAWLPVASKWRTLRKIMNSNIFSATKLDNNQQLRVTKILELIIYCQNKSQMGEAVDLGRAAFRTTLNLLSNTVFSKDLTDPYSDSAKEFKELVWNIMEEAGKPNLVDYFPFLEKFDPQGSRRRMTGYFTKVLNLFKDLVDERLEERKVMGTKNVDVLDSLLNISQERPEEIDTTHILHVCLDLFVAGTDTSSTLLEWAMTELFKNPETMKKTQAELADVIGKGKLIQEDDVIRLPYLQCIVKETCRMHPPVSLIPRKVEQDVNLCGYTILKDSQILVNVWAIGRDSSIWERPLTFNPKRFWNLEMDIRGLDFELIPFGAGRRICPGLPMSMRIVPVMLGSLLNSFQWKLDGNLAPQDLDMEEKFGITLAKACPLRAIPIPF >itb10g16730.t1 pep chromosome:ASM357664v1:10:23021544:23024039:1 gene:itb10g16730 transcript:itb10g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLENDCAKSCSIHVDDGSTVGKVITCKAAVVYAPGQPMIVEDILVAPPQKMEVRVKILFSSICHSDLSAWLGKNEAERVFPRILGHEASGVVESVGEGVGDLKPGDHVVPIFNGECGDCAYCKSEKTNLCKDYRVNPLKSTMINDGKCRFSSKDGNPIYHFLNASTFTQYTVLDSACVLKLDPLAPLKTMTLLSCGVSTGLGAVWNTADVQAGDTIAVFGLGGVGLAVVEGARIRGASKIIGIDTNSSKHIKGEAVGITHFINPKELDKPVHEKIHEMTGGGVHYSFECVGHPDVLRDAFLSTSDGWGLTVVLGIHTSPTTLPIHPMELFDGRRVVASVFGDFKGKSQLPHFAKQCMEGVVKLDEFITHEMEFEQINEAFQLLIEGKSLRCLLRL >itb05g01610.t1 pep chromosome:ASM357664v1:5:1301427:1304276:1 gene:itb05g01610 transcript:itb05g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWTRLCGQGNEEHTSGSAELGGGNVHLVTTIEDWDENISEANKDDKIVIVNFSASWCNHSRNAAPIYRQLADKHTSFMFITVDVDELGEFSSSWDIKATPTFFFLKDGRQLDTLVGVEELELQKKLKAVAEQKE >itb09g01680.t1 pep chromosome:ASM357664v1:9:1020013:1023235:1 gene:itb09g01680 transcript:itb09g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRSSIESSRRQLLTPTEVHNALKEGVKPAEELIREIAVLELEVVSLEKYLLSMYRKAFDKRLFALSMKNHKEKEELSRKEMIFSEANKPADCKSTEKRPVIASTSPALQPQGSVNSPPKECSGGTQKLLDSSILRTHSSLSHAGCSFRTSPPIGALAEALDSYHSLPLSMLERAQELSSNVDNLGSAVSDQGHEAPNWLSEEMIRSISAIYCKLANPPLFNDGYTSSPISFSSPTIESFAQGQYDVPEESRESSGHFIAMAEVQGLCRDDQSFKGVEHALKYFRSLVSRLEQVDPSKMRHDEKLAFWINIHNSLVMHAFLIYGIPRSNLKRVSLLLKAAYNIGGKAVSVDMIQSSILGCRMPRPGQWIQSLFFPKQKFKSGDPRRAYSMDHPEPRLRFALCSGCHSDPVARLYTPKRVFQDLEVAKEEYIQTNIRLHKEQKVVLPKNVEAFIKEAGLCSSGLVEMIEPSLSDTLRKNFLQIAAEKGKLWKKIEWIPHNFSFRYLISNELAEGSLSLIFHDQ >itb09g01680.t2 pep chromosome:ASM357664v1:9:1020013:1023235:1 gene:itb09g01680 transcript:itb09g01680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRSSIESSRRQLLTPTEVHNALKEGVKPAEELIREIAVLELEVVSLEKYLLSMYRKAFDKRLFALSMKNHKEKEELSRKEMIFSEANKPADCKSTEKRPVIASTSPALQPQGSVNSPPKECSGGTQKLLDSSILRTHSSLSHAGCSFRTSPPIGALAEALDSYHSLPLSMLERAQELSSNVDNLGSAVSDQGHEAPNWLSEEMIRSISAIYCKLANPPLFNDGYTSSPISFSSPTIESFAQGQYDVPEESRESSGHFIAMAEVQGLCRDDQSFKGVEHALKYFRSLVSRLEQVDPSKMRHDEKLAFWINIHNSLVMHAFLIYGIPRSNLKRVSLLLKAAYNIGGKAVSVDMIQSSILGCRMPRPGQWIQSLFFPKQKFKSGDPRRAYSMDHPEPRLRFALCSGCHSDPVVTFHFKSRIFQQ >itb09g22950.t1 pep chromosome:ASM357664v1:9:21980519:21981634:-1 gene:itb09g22950 transcript:itb09g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQDWSSEEEFEEEDKVDENGESSNEDDGEQVPDGFYLVEAVRKKPVQQGEPQYLIKWVGWPEKSNTWEPMENLASITDMIDEFEETLAKKKQQKKPLPSPSPSPMVTKKKNVCCANAGKTKECSKTMESPTNGQPQDQFGTKLIISEPKEELAVPKTKMASEDKSLQRSQEAQSVGGAKKKKSESGPSAN >itb13g03510.t1 pep chromosome:ASM357664v1:13:3409158:3409558:1 gene:itb13g03510 transcript:itb13g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPRELLYSSSLLLLHTPHMPAMWWSKRIMSTVLKIALDGGLRHRKKQSDGGYEYNAPAASLEGDDDDDGGYDYAPAA >itb08g03890.t1 pep chromosome:ASM357664v1:8:3136255:3140117:-1 gene:itb08g03890 transcript:itb08g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSLSTRLAVLGLLSATTIFFFYKSRRHLLRRLKASTLLPAQTTNRNSTGKIFFLSETGTSKTLANRLRAFLALKDLHFDLIDPRDYEPEDLHKESVVLIVASTWEDGKPPKNGGFLGNWLTESSDDFRVGALLLSKCKFAIFGVGSRSYGETFNAVAKDFSKRLRKLGAAEVLEVCEGDVDEGNVDEVFDEWCKRLARSLKGNCGENGRCCSKGVVEESDGEVSECDDYDSEIEENGEDNGIVDLEDIAGKAPSSKTMVKAKANGKANGETLNGEKEMVTPVIRASLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWRWKMDNPLEIVETAIELHSKMIKQMKGVPGVKADRLAEGLSPRHCALSLVGEPIMYPEINSLVDELHRKRISTFLVTNAQFPDRIMMLKPITQLYVSVDAATKESLKAIDRPLFGDFWERFMDSLKALKEKQQRTVYRLTLVKGWNTEDVDAYSSLFSIGNPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKEFSEALAKKSNGEYEVACEHVHSCCVLLAKVEKFKIDGQWFTWIDYEKFHDLVASGKPFSSGDYMAPTPSWAVYGAEEGGFDPEQSRYKKERHHRSTR >itb04g19360.t1 pep chromosome:ASM357664v1:4:23724188:23726859:1 gene:itb04g19360 transcript:itb04g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTLASCLSRALSSSPSSASRQRVIVPLLLNYHHQLQPQHSVQTRAKTTGPGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPDPKPSEEDMINAYVKTLASVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLYEDGKVTHRPQYRFTERQNNMPRSRPRYDRRRETMQVNRPVQGNTRASN >itb11g22890.t1 pep chromosome:ASM357664v1:11:24764885:24766230:1 gene:itb11g22890 transcript:itb11g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPILLLLLVSSFSIIPSSQSSALTFDYYAKTCPKFEEILTRIVKEKQQERATTAAATLRLFYNDCAVGGCDASLLIRSTAFNTAEMEGDENRSLGGDGFDVVMRVKTALELECPDTVSCADILAAATRNLVNLVGGPYYDVRMGRKDGTQSKAASVEGQLPRANSTVDQMIKIFKSHKFTIPEMVVLTGGGHTIGYAHCKEFADRIFRPDPDPTMNPALTARLRTLCADYKKQTAMAAFLDPITPATFDNTYFKNLQNGLGVLASDQILMSDPRTKPFVEKYAKDAAAFVKDFSFAIEKLSVLKVKTGDEGEIRKRCDLPN >itb04g29820.t1 pep chromosome:ASM357664v1:4:33104080:33106080:-1 gene:itb04g29820 transcript:itb04g29820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >itb01g30780.t2 pep chromosome:ASM357664v1:1:34659343:34666399:-1 gene:itb01g30780 transcript:itb01g30780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEHDVDAKNQENKVSDQINSVSSMNTEVQHGNALNAPLDDKEIGNSSPNYPMEKILVDAHGGKVGENSLGEKNSNFQSVGKNVISELQEGNSETKAHSPSEKMEGEKVSVHREEEVQLPKENKPLNTSEKISGQVVALSTDESNGRGVVGEDEDSGNARGTNKILEGNLYEKDKIVEPVPNGAEAPKTEVNRSSSIHSPGQDLGQQGSGPEKAGPVKHFLKLKSAIAVASVFRRLSGKSNDGQDALGADENSRNCSSSKEVRPTSIETEHQDVSRKNRDRSGWSALRLIGIFNDDDNADDKVGEKEKAIEEALQPVAMKGRILLYTRLDCNDCKQLRKFLYNKRLRYIEINIDVYPSRKLELEKIAGSSDVPRVFFNEVLIGGLSEIKSLDVSGKLAEKIEYVVSETPSSEAPLPPLSGEDDLSSSGSIDELALIVRKMKDSIPVNDRFYKMRRFTKCFLGSEAVDFLSEDQYLEREEAIEFGRKLASNLFFHHVLDENLFEDGNHLYCFLDDDPLVSQCQNIPRGIIEVKPKSIVEISSRLRFLSYAVFEAYTSEDRRHVDYRTIHGSEEFARYLRIVEELQRVDLSNMPREEKLAFFINLYNMMTIHAILVMGHPSGALQRRRLSVDFNYVIGGCTYSLSEIHNGVLRGNQRPPYNLVKPFGAKDKRSMIALPYPEPLIHFAVVGGTRSGPALQCYSPGNIDKELMDAAHNFLRSGLIVDMLAKVVYVSKILKWYSADFGNNELEVLKHAANYLEPAESQSLLELLSTTQLKVIYQPFDWGLNH >itb01g30780.t1 pep chromosome:ASM357664v1:1:34660343:34666399:-1 gene:itb01g30780 transcript:itb01g30780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEHDVDAKNQENKVSDQINSVSSMNTEVQHGNALNAPLDDKEIGNSSPNYPMEKILVDAHGGKVGENSLGEKNSNFQSVGKNVISELQEGNSETKAHSPSEKMEGEKVSVHREEEVQLPKENKPLNTSEKISGQVVALSTDESNGRGVVGEDEDSGNARGTNKILEGNLYEKDKIVEPVPNGAEAPKTEVNRSSSIHSPGQDLGQQGSGPEKAGPVKHFLKLKSAIAVASVFRRLSGKSNDGQDALGADENSRNCSSSKEVRPTSIETEHQDVSRKNRDRSGWSALRLIGIFNDDDNADDKVGEKEKAIEEALQPVAMKGRILLYTRLDCNDCKQLRKFLYNKRLRYIEINIDVYPSRKLELEKIAGSSDVPRVFFNEVLIGGLSEIKSLDVSGKLAEKIEYVVSETPSSEAPLPPLSGEDDLSSSGSIDELALIVRKMKDSIPVNDRFYKMRRFTKCFLGSEAVDFLSEDQYLEREEAIEFGRKLASNLFFHHVLDENLFEDGNHLYCFLDDDPLVSQCQNIPRGIIEVKPKSIVEISSRLRFLSYAVFEAYTSEDRRHVDYRTIHGSEEFARYLRIVEELQRVDLSNMPREEKLAFFINLYNMMTIHAILVMGHPSGALQRRRLSVDFNYVIGGCTYSLSEIHNGVLRGNQRPPYNLVKPFGAKDKRSMIALPYPEPLIHFAVVGGTRSGPALQCYSPGNIDKELMDAAHNFLRSGLIVDMLAKVVYVSKILKW >itb09g30270.t1 pep chromosome:ASM357664v1:9:30957405:30958222:1 gene:itb09g30270 transcript:itb09g30270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYSNSNCTVFVRRMQEKNISPMPIIGLYVALASLICTIGMMMNSSFTLAISLCYGFSTFNIFGLNATSLTLLAVATKLTGDLTSPMWSYDDNISKISSTVFLIVAMAQFLTSLGSMNGTDMLTNLMALSILVFTIIILWIYASNWEPAYLISQYFHKSSWLLYCCSACS >itb01g13300.t1 pep chromosome:ASM357664v1:1:13335631:13336400:-1 gene:itb01g13300 transcript:itb01g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKNASGAAPKLELKLNLSPPRESSPSRRRSVSTSPPSSCMSSESQDDDGGSAEEATSMVLVGCPRCLMYVMLAQAKPNKCPMCHTSVLLDVLFDHTKNART >itb13g12240.t1 pep chromosome:ASM357664v1:13:18395006:18399320:1 gene:itb13g12240 transcript:itb13g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRILIQDFIGKDITSEEYKIVADYWNVNKGWDRQKLHGKIPDEILEELDYFMIDNSPNGKDEIFWDKNGSGLFSVASAYQVARGDSSHEKDKCWMEIWKQKVPNKICVFLWLLKHQRILCNAERKKRGFTVIGDCMHSPGVKECADHIVRKCRKAREIWNCFVPRWQTEKWDRLSFEDWLTCNIKGEFGNPNKGNWSAFFSLTTWWLWRWRNAAVFSKDNWDIHQKVGFLKEKEMETHEAFKINNRDDVRKSPTGNSSIPLQECLHGVLLCR >itb02g07110.t1 pep chromosome:ASM357664v1:2:4433931:4435703:1 gene:itb02g07110 transcript:itb02g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSAKRKRLLNRVRSSPDGDDRLSDLPDAILHHIFFLLPIKTVAQTSVLSKRWRQLWYSFPDLDFTTINPFANAPVKISSTGRKKVHSWIANGADSINQVLALRDRNSPIRALRFRAHVTFSRLNRLMRRAVKLNVQELDIEVATNDYFNFPRSVISCETLRVFKLKSRYPGFRLPPSPIMKGGFQSLHTLSLSYIILYDQPSLLNLFTDSSFPLLKKLNLDGCNGLMHLNVSCRALEELSLENCCQLEHLDIVGSKLERLRVISCFDSYSNDSLVKIDAQRLESIFWSNNTITDKCCLQNLISLHGAFIGFFILFEDLSAVKLRSVSNFLSGIASSQCLILEYQCVEILSKNNHIAGVSLCCFSKLQSLELHTGFNKHNIPGLANLFRSAPAIHTLIIKIINDHNVERRPWNRDLWQLSSTVEERFWESQSQVMKSFLQHLKIVKIHGFSECENEISLVKFLLKHGKVLEEMFLYGGFSKSRDSLHREKIKSQIMGFSRASGNAKIAFD >itb15g01320.t2 pep chromosome:ASM357664v1:15:802303:804214:-1 gene:itb15g01320 transcript:itb15g01320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGPSGVDFAFGGTGVFDTIYPAPNMTTQIDFLQKLINDSVYTNTDLQSSMALVTHSGNDYATYLLKGGTKVGLPIYMITVVKKLGANMKRLHSLGVKKVSVTSLEPIGCLPRATVHSSFRKCNDIENIIAVLHNKLLKKTVARLNRKTPDSPYFILDLYSSFLTVFKQNELKPEGGRRFETPLKACCMGVSEKNKCSDVDDKGMKLYTMCSDPNATFFWDGVHPSQAGWQAVYDVLKPTLHEHLF >itb15g01320.t1 pep chromosome:ASM357664v1:15:802303:804214:-1 gene:itb15g01320 transcript:itb15g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGPSGVDFAFGGTGVFDTIYPAPNMTTQIDFLQKLINDSVYTNTDLQSSMALVTHSGNDYATYLLKGGTKVGLPIYMITVVKKLGANMKRLHSLGVKKVSVTSLEPIGCLPRATVHSSFRKCNDIENIIAVLHNKLLKKTVARLNRKTPDSPYFILDLYSSFLTVFKQNELKPAEGGRRFETPLKACCMGVSEKNKCSDVDDKGMKLYTMCSDPNATFFWDGVHPSQAGWQAVYDVLKPTLHEHLF >itb09g07600.t1 pep chromosome:ASM357664v1:9:4473722:4475762:-1 gene:itb09g07600 transcript:itb09g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVYLKTNRFEVKLEGITFKAKDFEYLCTEDFLFPKGKVWVRPPVVGIDVMHHPRDPSIVLVLLCFGLGCVILRFHSGAEIPGPIHKFLTDERICFVGFGIPEKRELFPFEQLGLTKHKADVGYLAAKFYNSPKYKRCDLGDLARKVLGIRRMVGLADSSSFERHEQIKCGISHLFMSTVIAISLFGAMEKKKVNESPKKSPFPMNLSLLPLTEGWLKMFEGKIREQIDAREKDSNEEDAFGEEIGCQTRDTQVNMGNEFASAKGERMYSSRDYLVRAKVSEAADDLVTGEEDTGNDNDSNMTKKQPLIGIFKRLFPSGMSQFNPCPEPVDPDKETISTPRKPLKGILKCPSSSYVELRSNPSPWSDVSNKEPNYTTAKKPIKGILKCPSSSSMERRFAKPGSPPVTFKEPQNTLKRANSKGHNVRFQCN >itb14g07330.t4 pep chromosome:ASM357664v1:14:6719361:6727748:-1 gene:itb14g07330 transcript:itb14g07330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMVSLPTTPLFLYL >itb14g07330.t7 pep chromosome:ASM357664v1:14:6718717:6728634:-1 gene:itb14g07330 transcript:itb14g07330.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKAQQKLTE >itb14g07330.t6 pep chromosome:ASM357664v1:14:6719361:6728667:-1 gene:itb14g07330 transcript:itb14g07330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMVSLPTTPLFLYL >itb14g07330.t5 pep chromosome:ASM357664v1:14:6719361:6728667:-1 gene:itb14g07330 transcript:itb14g07330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMVSLPTTPLFLYL >itb14g07330.t1 pep chromosome:ASM357664v1:14:6718708:6728667:-1 gene:itb14g07330 transcript:itb14g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKAQQKLTE >itb14g07330.t3 pep chromosome:ASM357664v1:14:6718708:6727748:-1 gene:itb14g07330 transcript:itb14g07330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKAQQKLTE >itb14g07330.t2 pep chromosome:ASM357664v1:14:6718708:6728667:-1 gene:itb14g07330 transcript:itb14g07330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSCFIVRCCSSSSSPAAAVVTDRAADRNRRSSSNSTTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKELGNGEESNNENDYVSISGRIVARRAFGKLAFFTLRDDSGTVQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEMGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVDICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRERLEEQVRQHNEKREAAVSEAAVEGKDDKNDDDADDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKAQQKLTE >itb07g22920.t1 pep chromosome:ASM357664v1:7:27406506:27409062:-1 gene:itb07g22920 transcript:itb07g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSNDENVKEHEPVDDSQRSQVDGNSSVNDPKISELQENPKKPKEEEVIKKKYGGLLAKKPPLISKDHERAFFDSADWALGKQGAQKSKGPAEALRPKLEPTPHQQVRTRLSASVPADDDEADGGNSKPDDESEEKSGTPDASNESTSHTEVQNEQS >itb07g22920.t2 pep chromosome:ASM357664v1:7:27406536:27409000:-1 gene:itb07g22920 transcript:itb07g22920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSNDENVKEHEPVDDSQRSQVDGNSSVNDPKISELQENPKKPKEEEVIKKKYGGLLAKKPPLISKDHERAFFDSADWALGKQGAQKSKGPAEALRPKLEPTPHQQVRTRLSASVPADDDEADGGNSKPDDESEEKSGTPDASNESTSHTEVQNEQS >itb06g10570.t1 pep chromosome:ASM357664v1:6:15015434:15016378:1 gene:itb06g10570 transcript:itb06g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGQADSPTAKGNNRYKKSLSSLSISALFHGHLHRRHEPAAAAAEPSSPEPPPSSTSSSPKANHSRWIWHRHHNDHHHHNHNQNHAGPEIKGKCRNLISNRKGHHRRHTSDLTYDPLSYSQNFEREDDGGDDNDFPWRNTFTARLRAAEKGEEMENPASKEGKKITRRKSFDLNDLMNVAKILEDGQPIQNQENNDHLQTRLQD >itb15g18850.t1 pep chromosome:ASM357664v1:15:20684683:20686515:-1 gene:itb15g18850 transcript:itb15g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYNELQLSKNSRLRYSPETVKRLNGEQMMRGLLNNIIETCKRWMAKDWEVTIKHVYREQNHIADNLAKLACQREDRWSCLREPPAVILRNIEEDTLGIPSVRCGVRVY >itb11g01390.t1 pep chromosome:ASM357664v1:11:644714:645295:1 gene:itb11g01390 transcript:itb11g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 13 [Source:Projected from Arabidopsis thaliana (AT2G44840) UniProtKB/Swiss-Prot;Acc:Q8L9K1] MASWEYDLAILDSMDHYILHHLDADDLPPEFTFSETGSLSFGVTGNNAAATKDDSAPAPETEWKRYRGVRRRQWGKFAAEIRDPAKGGARRWLGTYLTPEEAALAYDRAAFELRGSKALLNFPALASSSGLPEPVRVKRQKPKPRSPSSSSSSEHGSATLKKTKINNVLNSLASATAKLGSQAMLKMFQESSE >itb14g18210.t1 pep chromosome:ASM357664v1:14:21311907:21317975:1 gene:itb14g18210 transcript:itb14g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNSSAATTVVSNGGDHLHSKLNSRQLPSFTQSKNGFTSNGEYCSNDSGRSSCETVNYLSPRGLVAPDPVFPQWKPSERVLLLKLEQIEEIRLRLNVDVAVALDSHPALAPVESFTDMCLHPSIMKDIALHNYTIPTSVQAQAMPVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPPLRRGDGPLALVLAPTRELAQQIETEVKAFSRSLDSFKTAIVVGGTNIGEQRSELRAGVNIVVATPGRLIDHLQQGNTSLSRISFVVLDEADRMLDMGFEPQIREVMHNLPKKHQTLLFSATMPAEIEALAQEYLTDPVRVKVGNVSSPTANVAQILEKVPENEKIDRLLDLLVEESAQAEKSGHPFSLTIVFVERKTKCEEVAEALMKQGLLATALHGGRSQNEREAALRDFRRGPTSILVATDVASRGLDVNGVAHVINLDLPKTMEDYVHRIGRTGRAGSTGQATSFYTDRDMYLVAQIRKAIADVGSGNTVTFATGKTARRKEREAAAAHKEANTALSKLSLMGSTPINVEDKYRHMFAPAMARKEGAADGAWDD >itb12g04610.t1 pep chromosome:ASM357664v1:12:3047110:3048644:-1 gene:itb12g04610 transcript:itb12g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGGIWRRVLVVFVVCAAGMEMGAADPNDEQCLTHLSASLEDPMRNLQNWTRDRFANPCQGFTSYLQGATCNNGRIYKLSLNNLSLRGSISPFLSNCTNLQALDLSSNALSGPIPPELQFLVNLAVLNLSDNALSGSIPQQLALCAYLNVIDLHDNQLSGLIPPQLGLLVRLSVFDVSNNRLSGPIPASLGNRSGNLRQFNASSYEGNKDLYGYPLPYKKNNGLSILAIVGIGLGSGFLSLVLSFTAVCMWLRATEQKMAAEEGKIGHLMPDY >itb01g26940.t2 pep chromosome:ASM357664v1:1:31954630:31961613:-1 gene:itb01g26940 transcript:itb01g26940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g13650 [Source:Projected from Arabidopsis thaliana (AT4G13650) UniProtKB/Swiss-Prot;Acc:Q9SVP7] MLNRILDGFIHSLLKPSANCLKETLHSTCLKSIRLVKLAPFTSSASAISPFIDDFPYEESEGNNSRSSVPCVRKDNGFSNQRYCLSSLDSYTRSGSISEAKELQGKILKTDETIGTPLINSYVGNEDLAGSLQVLNDTPSRSKNVSEWNKLISGFQNDVRIFHMFSRMMNENVRPDGSTFTTVLGACGGAEIASHFHVIEQIHAMIVHYGFAGSCNVCNYLIDLYSKNGSVDSAKKVFEDLHSRDSASWVAMTSGFCQNGHEKDAIFLYKDMRKSGVIPTPYAFSSVLSATTKLLLLELGEQFHSIILKWGYLSDVYVGNALVALYSRCGALLSAEQIFSEMKLRDRVSYNTLISGFSMQGFSERALKLFDKMQIDSIKSDCVTISSLLNACASSGDLQKGRQLHAYATKAGLCSDIIIEGSILDLYVKCSDIKTARDFFLTTQMENIVLWNVMLVAYGQKGDLNESCRLFAQMQSEGLQPNQYTYPSILRTCTSVGDLDLGEQIHSQVIKTGFQPNVYVCSVLIDMYAKHGKLDAAQKIFRRLNEEDVVSWTSMIAGYTQHDLFVEAIRLFHQMQDHHIQSDNIGFASAITACAGIQALNQGRQVHAQSIIFGYASDLSIGNALVCLYARCGKVHDAYVAFNKIDSKDNISWNGLVSGFAQSGYCEEALRVFSRMNHSGVEANMYTYGSAVSAAANTTNIKQGKQIHARMIKTGYDSETEASNVLITMYAKCGNLSDARREFLEMPCRNQVSWNAMITGYSQHGLGNEALDLFEEMKNAGVIPNYVTYVGVLSACSHVRLVDEGLSYFKAMSEDHDLVPRPEHYACVVDILGRAGQLQRAREFVETMPIAPDAMVWRTLLSACTVHKNIEIGEFAGNHLLELEPKDSATYVLLSNLYAVVGRWDHRNCTRQLMKDMGVRKEPGRSWIEVKNKIHAFFVGDRLHPLADEIHTFVEELNKRAAAVGYIQDCHNLWNDLELEQKDATAFVHSEKLAIAFGLLNLPDTIPLCVMKNLRVCNDCHNWIKCVSKVANRAIIVRDAYRFHHFEDVLKDEIKSCNLGLEGVGNLSLCSGYLSSTMVEMSTEVQSPKDINFGTAVGPALPIFLDLLEGFILEDSSSIILIHRMTTPWQQCFGNSIEIYQAPSKIIVLVGSRSCLST >itb01g26940.t1 pep chromosome:ASM357664v1:1:31954630:31961613:-1 gene:itb01g26940 transcript:itb01g26940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g13650 [Source:Projected from Arabidopsis thaliana (AT4G13650) UniProtKB/Swiss-Prot;Acc:Q9SVP7] MLNRILDGFIHSLLKPSANCLKETLHSTCLKSIRLVKLAPFTSSASAISPFIDDFPYEESEGNNSRSSVPCVRKDNGFSNQRYCLSSLDSYTRSGSISEAKELQGKILKTDETIGTPLINSYVGNEDLAGSLQVLNDTPSRSKNVSEWNKLISGFQNDVRIFHMFSRMMNENVRPDGSTFTTVLGACGGAEIASHFHVIEQIHAMIVHYGFAGSCNVCNYLIDLYSKNGSVDSAKKVFEDLHSRDSASWVAMTSGFCQNGHEKDAIFLYKDMRKSGVIPTPYAFSSVLSATTKLLLLELGEQFHSIILKWGYLSDVYVGNALVALYSRCGALLSAEQIFSEMKLRDRVSYNTLISGFSMQGFSERALKLFDKMQIDSIKSDCVTISSLLNACASSGDLQKGRQLHAYATKAGLCSDIIIEGSILDLYVKCSDIKTARDFFLTTQMENIVLWNVMLVAYGQKGDLNESCRLFAQMQSEGLQPNQYTYPSILRTCTSVGDLDLGEQIHSQVIKTGFQPNVYVCSVLIDMYAKHGKLDAAQKIFRRLNEEDVVSWTSMIAGYTQHDLFVEAIRLFHQMQDHHIQSDNIGFASAITACAGIQALNQGRQVHAQSIIFGYASDLSIGNALVCLYARCGKVHDAYVAFNKIDSKDNISWNGLVSGFAQSGYCEEALRVFSRMNHSGVEANMYTYGSAVSAAANTTNIKQGKQIHARMIKTGYDSETEASNVLITMYAKCGNLSDARREFLEMPCRNQVSWNAMITGYSQHGLGNEALDLFEEMKNAGVIPNYVTYVGVLSACSHVRLVDEGLSYFKAMSEDHDLVPRPEHYACVVDILGRAGQLQRAREFVETMPIAPDAMVWRTLLSACTVHKNIEIGEFAGNHLLELEPKDSATYVLLSNLYAVVGRWDHRNCTRQLMKDMGVRKEPGRSWIEVKNKIHAFFVGDRLHPLADEIHTFVEELNKRAAAVGYIQDCHNLWNDLELEQKDATAFVHSEKLAIAFGLLNLPDTIPLCVMKNLRVCNDCHNWIKCVSKVANRAIIVRDAYRFHHFEDVLKDEIKSCNLGLEGVGNLSLCSGYLSSTMVEMSTEVQSPKDINFGTAVGPALPIFLDLLEGFILEDSSSIILIHRMTTPWQQCFGNSIEIYQAPSKIIVLVGSRSCLST >itb02g13770.t1 pep chromosome:ASM357664v1:2:10016659:10024526:-1 gene:itb02g13770 transcript:itb02g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSALFIMDIKGRCLISRDYRGDVSPIQAEKFFNKFVEKEVRITPHSKRARFLWSIYASRQNCNAASLLLFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVAQRPPMAVTNAVSWRSEGVFYKKNEVFLDVVEHVNILVNSNGQLIRSEVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRVEIMVKARSQFKERSTATNVEIELPVPSDATNPNVRTSMGSANYAPERDAVLWKIKSFPGNKEYMLRSEFRLPSVISEDAPPDRKAPIRVKFEIPYFTISGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >itb06g11210.t1 pep chromosome:ASM357664v1:6:15772219:15776193:-1 gene:itb06g11210 transcript:itb06g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQFLRFLKILNLSHSKELIATPNFTGLPNLEHLILNHCSKLASIHDSIGKLEMLILLDLQNCTRLRRLPDSVSALKSLETLDIAGCSSLNTLPAGLKAMESLKLLRADGISMDKVAEPRSTNIIILSWLWKTKKCPRMYLGSLPRSLVTLSLFNCSLSDAAFPREFGDLSSLENLNLGKNMISVLPQGIRRLSRLRMLEVEFCRRLTSLVALPNIQKLRISYCEFLQDITFQEESYNGCRIERGSCHDQIKDESEEVRLELLENVDTEVFYGRSLSDRSSENVIVKMSSICRF >itb07g08580.t1 pep chromosome:ASM357664v1:7:6805206:6806121:1 gene:itb07g08580 transcript:itb07g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKKVDDNVASSTYKSQNSASSFKRLGRKSPFTRYGLPMISLMVLGSFGLGHLLQGSKDIAKVKDDCEWEIIETRKALSKEGPVNAYNPRKINLEDELKALQEKVDINTYEYKRIPKPN >itb10g14280.t1 pep chromosome:ASM357664v1:10:20625574:20633047:1 gene:itb10g14280 transcript:itb10g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVAGGQAAVGGTGTFQNNPADAANDAVDAFLKSRRYHGLYSQIELSLSASNLRDRDVLSKSDPMAIVYSKGRDGMLNELGRTEVVLNSVNPKWIAKFNMTYQFETVQYLVFHVYDVDTQFHNQDLKMLRLDEQDFLGEASCTLSEIVTKSNRCLTLDLLHRSSGPSHSQKLGKLTVCAEESVASKTTIEFIFRCSDLESKDLFLKSDPFLLISKTAESGNVIPICKTEVLKNDHNPIWKPVCLSIQQVGSKDSQLTIECYNFNSNGKHDLLGKVQKSLADLEKLHASGAGVNFFVPTAIGPTPQTKVLKSQLYVEKFTEKIQHTFLDYLASGYELNFMVAVDFTASNGNPRLPDSLHYNDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNSYCEVEGIQGIMMAYMSALFNVSLAGPTLFGPVINAAAQIAGQSAAIKERKYFVLLIITDGVITDLEETKDAIIRASDLPLSILIVGVGGADFKEMEILDADKADRLENSQGKVASRDIVQFVPFREVQSGEISVVQWLLAELPSQFLGYWRAHPTL >itb10g14280.t2 pep chromosome:ASM357664v1:10:20625574:20633047:1 gene:itb10g14280 transcript:itb10g14280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVAGGQAAVGGTGTFQNNPADAANDAVDAFLKSRRYHGLYSQIELSLSASNLRDRDVLSKSDPMAIVYSKGRDGMLNELGRTEVVLNSVNPKWIAKFNMTYQFETVQYLVFHVYDVDTQFHNQDLKMLRLDEQDFLGEASCTLSEIVTKSNRCLTLDLLHRSSGPSHSQKLGKLTVCAEESVASKTTIEFIFRCSDLESKDLFLKSDPFLLISKTAESGNVIPICKTEVLKNDHNPIWKPVCLSIQQVGSKDSQLTIECYNFNSNGKHDLLGKVQKSLADLEKLHASGAGVNFFVPTAIGPTPQTKVLKSQLYVEKFTEKIQHTFLDYLASGYELNFMVAVDFTASNGNPRLPDSLHYNDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNSYCEVEGIQGIMMAYMSALFNVSLAGPTLFGPVINAAAQIAGQSAAIKERKYFVLLIITDGVITDLEETKDAIIRASDLPLSILIVGVGGADFKEMEILDADKADRLENSQGKVASRDIVQFVPFREVQSKLQHQKLWSY >itb02g01470.t1 pep chromosome:ASM357664v1:2:823452:824712:1 gene:itb02g01470 transcript:itb02g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPGPASKPATNGADAAAGGGGGANPAFPATKAQLYNATRPVYRPQPSPRRHKRSFCCSCCLWTTFLVITLIILAAIAGGIFYVLYRPERPTFAVNSLHLSQFNLSATTLTSKLNISVSARNPNKKITYFYDPITISTFSGDIPVGTGSFPAFEHGTKNTTNLKATISTSKQTLDAGDISKLKSKKTLPLTLKLETKVKVKVGGLKTKKVGIRVTCDGIRVSVPAGKSLSKATTSNVDCKVDLRIKIWKWTL >itb05g19170.t1 pep chromosome:ASM357664v1:5:25743406:25746648:1 gene:itb05g19170 transcript:itb05g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQKNKGFKVKHALQICVLVGVCIWLLYQVQHSREKKAALDTTRLSEEVESADSFLKLGRKDLQPEMEEESSEDVKNEEPDEEEGKSFGDESNSTELEHDNKQVGDGESEQGGEENSNEGDAKAEDNSEVESQENGEVAKDGSMEEKDNEAKETSEENRQDDREEQSGSEGERNTEQEDGEKERLENDIQEVAQENNEIGNEDGTGKEENGSEEENLGSKDENASEETNENGGEEKEVQVEENNDSHAVESEETKNGDVEEKDEVNKEGEAENGEEKNAESASDNVVQASQNESDTEKGNEVTLNEEEKNESGENRSQNESGSDSTEGNTNAENNTMNEAHTDEGTGNASNSDDNSNSTEAKTETNEQQQENDNSTQAENESVHSEADSNPTADPTEGNQQQQEHDNSIQEVVPGGDSSETEKNTDDSTKENPDSDSTVGKESGEASSTEQSDANSGSEESVKPSNDDEKSTNPGENKSTDSSSSQNEDSAQNSTQDESSNSIPQEEKDARIDVETLPETGTEGNNQEDAAAKE >itb03g17380.t1 pep chromosome:ASM357664v1:3:16025891:16028268:-1 gene:itb03g17380 transcript:itb03g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFRSSSILGYLFAGNIIMAMGAANKWWSCSWVPSSGVCIVVGVVVLLILGGAVSEAEGRAFFVFGDSLVDSGNNNYLATTARADSPPYGLDYPTHRPTGRFSNGLNIPDIISQQIGSSESPLPYLSPQFNGQRMLVGANFASAGIGILNDTGVQFVNIIRMPQQLDYFRAYQRRVSAMIGAQRTKQLVNQALVLITVGGNDFVNNYYLVPNSFRSRQYSLQDYVPFLISEYKKLLLKFYALGVRRALVTGTGPLGCVPAELAQRSRNGECAAELQRAAALYNPQLNQMLQGLNRQLGRTVFIAANTQQTHNDFVSNPRAFGFVTSKVACCGQGPYNGLGLCTALSNLCSNRDQYAFWDPFHPSEKANRLIVQQIMGGTTKYMNPMNLSTILAMDPDA >itb08g01680.t2 pep chromosome:ASM357664v1:8:1357924:1363344:1 gene:itb08g01680 transcript:itb08g01680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLLGWKDFPKGLSVLLLDEDSNSAAKMRTKLEEMDYIVSTFQNENEALLAIASKSVEFHVAIVEVNTSNSNEVFKFLETAKDLPTILVSNVYCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGGGKDVESLKPVKESLVSMLQLRSTKNEANTANSDETEQSTSVQENNRDTLSSERESSPEQAGKNGNSACSESKDARANANSSECGDPKKPSGVNSSTGTKANKKKVKVDWTPELHKKFVQAVEQLGLDQAIPSRILEVMKVEGLTRHNIASHLQKYRMHRRQILPKENEWKWPLSRDSTQRSCYPRKPVVAFPQYHSAPTVPAGHQFYSAWAHPGSYPGAHVWGSPYHYPGWQPTDDWNWQSNTGVYAQAWGCPVMPPHQGSYPTYPQNTSGHHRSGGAQDRYSMLENTYDIQPAEELIDKVVKEAINNPWLPLPLGLKPPSTDSVLNELSKQGISTIPPRTNGSDLR >itb08g01680.t3 pep chromosome:ASM357664v1:8:1357924:1362941:1 gene:itb08g01680 transcript:itb08g01680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLLGWKDFPKGLSVLLLDEDSNSAAKMRTKLEEMDYIVSTFQNENEALLAIASKSVEFHVAIVEVNTSNSNEVFKFLETAKDLPTILVSNVYCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGGGKDVESLKPVKESLVSMLQLRSTKNEANTANSDETEQSTSVQENNRDTLSSERESSPEQAGKNGNSACSESKDARANANSSECGDPKKPSGVNSSTGTKANKKKVKVDWTPELHKKFVQAVEQLGLDQAIPSRILEVMKVEGLTRHNIASHLQKYRMHRRQILPKENEWKWPLSRDSTQRSCYPRKPVVAFPQYHSAPTVPAGHQFYSAWAHPGSYPGAHVWGSPYHYPGWQPTDDWNWQSNTGVYAQAWGCPVMPPHQGSYPTYPQNTSGHHRSGGAQDRYSMLENTYDIQPVSFFGIRYWSNKPKLADRLTILPNRAYMCSSFLRAFDDLIKPHACRTKCY >itb08g01680.t4 pep chromosome:ASM357664v1:8:1357901:1363589:1 gene:itb08g01680 transcript:itb08g01680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLLGWKDFPKGLSVLLLDEDSNSAAKMRTKLEEMDYIVSTFQNENEALLAIASKSVEFHVAIVEVNTSNSNEVFKFLETAKDLPTILVSNVYCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGGGKDVESLKPVKESLVSMLQLRSTKNEANTANSDETEQSTSVQENNRDTLSSVCDKYPAPSTPTLKQCVRSLDDGECRDQTNLSMEHDSVEHDGESKSVETTYCNSVSETIPVINPPVIKQERESSPEQAGKNGNSACSESKDARANANSSECGDPKKPSGVNSSTGTKANKKKVKVDWTPELHKKFVQAVEQLGLDQAIPSRILEVMKVEGLTRHNIASHLQKYRMHRRQILPKENEWKWPLSRDSTQRSCYPRKPVVAFPQYHSAPTVPAGHQFYSAWAHPGSYPGAHVWGSPYHYPGWQPTDDWNWQSNTGVYAQAWGCPVMPPHQGSYPTYPQILQNTSGHHRSGGAQDRYSMLENTYDIQPVSFFGIRYWSNKPKLADRLTILPNRAYMCSSFLRAFDDLIKPHACRTKCY >itb08g01680.t1 pep chromosome:ASM357664v1:8:1357893:1363589:1 gene:itb08g01680 transcript:itb08g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLLGWKDFPKGLSVLLLDEDSNSAAKMRTKLEEMDYIVSTFQNENEALLAIASKSVEFHVAIVEVNTSNSNEVFKFLETAKDLPTILVSNVYCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGGGKDVESLKPVKESLVSMLQLRSTKNEANTANSDETEQSTSVQENNRDTLSSVCDKYPAPSTPTLKQCVRSLDDGECRDQTNLSMEHDSVEHDGESKSVETTYCNSVSETIPVINPPVIKQERESSPEQAGKNGNSACSESKDARANANSSECGDPKKPSGVNSSTGTKANKKKVKVDWTPELHKKFVQAVEQLGLDQAIPSRILEVMKVEGLTRHNIASHLQKYRMHRRQILPKENEWKWPLSRDSTQRSCYPRKPVVAFPQYHSAPTVPAGHQFYSAWAHPGSYPGAHVWGSPYHYPGWQPTDDWNWQSNTGVYAQAWGCPVMPPHQGSYPTYPQNTSGHHRSGGAQDRYSMLENTYDIQPAEELIDKVVKEAINNPWLPLPLGLKPPSTDSVLNELSKQGISTIPPRTNGSDLR >itb08g01680.t6 pep chromosome:ASM357664v1:8:1357893:1362697:1 gene:itb08g01680 transcript:itb08g01680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLLGWKDFPKGLSVLLLDEDSNSAAKMRTKLEEMDYIVSTFQNENEALLAIASKSVEFHVAIVEVNTSNSNEVFKFLETAKDLPTILVSNVYCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGGGKDVESLKPVKESLVSMLQLRSTKNEANTANSDETEQSTSVQENNRDTLSSVCDKYPAPSTPTLKQCVRSLDDGECRDQTNLSMEHDSVEHDGESKSVETTYCNSVSETIPVINPPVIKQERESSPEQAGKNGNSACSESKDARANANSSECGDPKKPSGVNSSTGTKANKKKVKVDWTPELHKKFVQAVEQLGLDQAIPSRILEVMKVEGLTRHNIASHLQKYRMHRRQILPKENEWKWPLSRDSTQRSCYPRKPVVAFPQYHSAPTVPAGHQFYSAWAHPGSYPGAHVWGSPYHYPGWQPTDDWNWQSNTGVYAQAWGCPVMPPHQGSYPTYPQVHFTQFY >itb08g01680.t5 pep chromosome:ASM357664v1:8:1357893:1363498:1 gene:itb08g01680 transcript:itb08g01680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTANDLLGWKDFPKGLSVLLLDEDSNSAAKMRTKLEEMDYIVSTFQNENEALLAIASKSVEFHVAIVEVNTSNSNEVFKFLETAKDLPTILVSNVYCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGGGKDVESLKPVKESLVSMLQLRSTKNEANTANSDETEQSTSVQENNRDTLSSVCDKYPAPSTPTLKQCVRSLDDGECRDQTNLSMEHDSVEHDGESKSVETTYCNSVSETIPVINPPVIKQERESSPEQAGKNGNSACSESKDARANANSSECGDPKKPSGVNSSTGTKANKKKVKVDWTPELHKKFVQAVEQLGLDQAIPSRILEVMKVEGLTRHNIASHLQKYRMHRRQILPKENEWKWPLSRDSTQRSCYPRKPVVAFPQYHSAPTVPAGHQFYSAWAHPGSYPGAHVWGSPYHYPGWQPTDDWNWQSNTGVYAQAWGCPVMPPHQGSYPTYPQNTSGHHRSGGAQDRYSMLENTYDIQPVSFFGIRYWSNKPKLADRLTILPNRAYMCSSFLRAFDDLIKPHACRTKCY >itb04g25960.t5 pep chromosome:ASM357664v1:4:30373510:30375811:1 gene:itb04g25960 transcript:itb04g25960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCHATDKDEFWKAKRQNTKKNNVVLAAPSGVDARVSVPLPLVNQSPLPPQLVSCNVTQNQAFTHCPIRPCSVSVSPGTPNITRQQGSLPPGFSVPNRLPGYIIPRSKFQDHLVSQQIRNGYRNGISTDRRPNTCQVGPHLIYSRTAFKRTGSASLPLTSPRHGNTSSHNHHRPPLDRSLSLGPRYVDCRSWMAPVTDTNPGSFPIDLGWIPSNPVSSQPQLSVQPSLESESVNYLPSGPQIFSQPQVNGADSLLSESQVFSPSPNYANPMSSQPRVPYQPAVERTFTDCYPSEIRSSSQHVNGVYENSVPSDPQTLFQPYVESNSMDTALHSQASEHPNGVNNFENPMHPRPELAYQAKGPYSNNLAPYEATVDCQLSAAPCMTSNCENTDQLESSAARISLDSQNISHPANQTQNAYVPDIASLDFSREVPFCEINEPHVASADKFLLAPDPNSQLAENSSPSALDLHFDNWMFEDPVVPRVIEVSVPLGLNAYSPEPAPLWD >itb04g25960.t2 pep chromosome:ASM357664v1:4:30372430:30375839:1 gene:itb04g25960 transcript:itb04g25960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCLKRLEFSLVACRDYPHPRHHCAKFPFASTPHDSYCDQCHCYVCDSLAPCGYWGNGSSNMDHCHATDKDEFWKAKRQNTKKNNVVLAAPSGVDARVSVPLPLVNQSPLPPQLVSCNVTQNQAFTHCPIRPCSVSVSPGTPNITRQQGSLPPGFSVPNRLPGYIIPRSKFQDHLVSQQIRNGYRNGISTDRRPNTCQVGPHLIYSRTAFKRTGSASLPLTSPRHGNTSSHNHHRPPLDRSLSLGPRYVDCRSWMAPVTDTNPGSFPIDLGWIPSNPVSSQPQLSVQPSLESESVNYLPSGPQIFSQPQVNGADSLLSESQVFSPSPNYANPMSSQPRVPYQPAVERTFTDCYPSEIRSSSQHVNGVYENSVPSDPQTLFQPYVESNSMDTALHSQASEHPNGVNNFENPMHPRPELAYQAKGPYSNNLAPYEATVDCQLSAAPCMTSNCENTDQLESSAARISLDSQNISHPANQTQNAYVPDIASLDFSREVPFCEINEPHVASADKFLLAPDPNSQLAENSSPSALDLHFDNWMFEDPVVPRVIEVSVPLGLNAYSPEPAPLWD >itb04g25960.t3 pep chromosome:ASM357664v1:4:30371757:30375811:1 gene:itb04g25960 transcript:itb04g25960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNGMMILMKYKLLAKRVACRDYPHPRHHCAKFPFASTPHDSYCDQCHCYVCDSLAPCGYWGNGSSNMDHCHATDKDEFWKAKRQNTKKNNVVLAAPSGVDARVSVPLPLVNQSPLPPQLVSCNVTQNQAFTHCPIRPCSVSVSPGTPNITRQQGSLPPGFSVPNRLPGYIIPRSKFQDHLVSQQIRNGYRNGISTDRRPNTCQVGPHLIYSRTAFKRTGSASLPLTSPRHGNTSSHNHHRPPLDRSLSLGPRYVDCRSWMAPVTDTNPGSFPIDLGWIPSNPVSSQPQLSVQPSLESESVNYLPSGPQIFSQPQVNGADSLLSESQVFSPSPNYANPMSSQPRVPYQPAVERTFTDCYPSEIRSSSQHVNGVYENSVPSDPQTLFQPYVESNSMDTALHSQASEHPNGVNNFENPMHPRPELAYQAKGPYSNNLAPYEATVDCQLSAAPCMTSNCENTDQLESSAARISLDSQNISHPANQTQNAYVPDIASLDFSREVPFCEINEPHVASADKFLLAPDPNSQLAENSSPSALDLHFDNWMFEDPVVPRVIEVSVPLGLNAYSPEPAPLWD >itb04g25960.t4 pep chromosome:ASM357664v1:4:30371861:30375839:1 gene:itb04g25960 transcript:itb04g25960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCLKRLEFSLVACRDYPHPRHHCAKFPFASTPHDSYCDQCHCYVCDSLAPCGYWGNGSSNMDHCHATDKDEFWKAKRQNTKKNNVVLAAPSGVDARVSVPLPLVNQSPLPPQLVSCNVTQNQAFTHCPIRPCSVSVSPGTPNITRQQGSLPPGFSVPNRLPGYIIPRSKFQDHLVSQQIRNGYRNGISTDRRPNTCQVGPHLIYSRTAFKRTGSASLPLTSPRHGNTSSHNHHRPPLDRSLSLGPRYVDCRSWMAPVTDTNPGSFPIDLGWIPSNPVSSQPQLSVQPSLESESVNYLPSGPQIFSQPQVNGADSLLSESQVFSPSPNYANPMSSQPRVPYQPAVERTFTDCYPSEIRSSSQHVNGVYENSVPSDPQTLFQPYVESNSMDTALHSQASEHPNGVNNFENPMHPRPELAYQAKGPYSNNLAPYEATVDCQLSAAPCMTSNCENTDQLESSAARISLDSQNISHPANQTQNAYVPDIASLDFSREVPFCEINEPHVASADKFLLAPDPNSQLAENSSPSALDLHFDNWMFEDPVVPRVIEVSVPLGLNAYSPEPAPLWD >itb04g25960.t1 pep chromosome:ASM357664v1:4:30371757:30375839:1 gene:itb04g25960 transcript:itb04g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKAVIHDISSDEEEATGERSGVGADDYDWLARLLEDDKGLSDDSDDVVVVGEVVLNSRQTVKSSSTVSKDSAKAVDDDDGDCVVLEGDPDKPVTIDNDEERDDDSDEIQVVSEKGQVACRDYPHPRHHCAKFPFASTPHDSYCDQCHCYVCDSLAPCGYWGNGSSNMDHCHATDKDEFWKAKRQNTKKNNVVLAAPSGVDARVSVPLPLVNQSPLPPQLVSCNVTQNQAFTHCPIRPCSVSVSPGTPNITRQQGSLPPGFSVPNRLPGYIIPRSKFQDHLVSQQIRNGYRNGISTDRRPNTCQVGPHLIYSRTAFKRTGSASLPLTSPRHGNTSSHNHHRPPLDRSLSLGPRYVDCRSWMAPVTDTNPGSFPIDLGWIPSNPVSSQPQLSVQPSLESESVNYLPSGPQIFSQPQVNGADSLLSESQVFSPSPNYANPMSSQPRVPYQPAVERTFTDCYPSEIRSSSQHVNGVYENSVPSDPQTLFQPYVESNSMDTALHSQASEHPNGVNNFENPMHPRPELAYQAKGPYSNNLAPYEATVDCQLSAAPCMTSNCENTDQLESSAARISLDSQNISHPANQTQNAYVPDIASLDFSREVPFCEINEPHVASADKFLLAPDPNSQLAENSSPSALDLHFDNWMFEDPVVPRVIEVSVPLGLNAYSPEPAPLWD >itb11g12810.t1 pep chromosome:ASM357664v1:11:9783733:9786705:1 gene:itb11g12810 transcript:itb11g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSFEYLHYSFFLVWLLFFSNIRISNSICLENERQALLSFKHGISDPINLLSSWTGEDDDCCKWKGIRCDNTTVHVVALLLGPEVMCSNGTSCSPHLYCEIGPSLRGEIGPSLLDLSFLNYLDLSCNQFETIIPTFVGSLHNLVYLNLSFNNLFGMVPPHLGNISTLKYLDFGNNLLSMGRTLQWISSLASLEYLNLKFTALYQTLDWLESITKLPLLRTLHLSSYTVPNNLQSLVNLNSSKSLEYLYMEGGILTFSLLNLWLNQSYRMKELELFDSQLYGMVPDVVTKMYSLEHLDISYNFLQGEKTEDLEFLRYLGNMKTLDLSNNLFSFNFSELVLGSEKTIQVMNFRRNKIVGSLHDIKKFSSLRALRMAENQLSGPLPDMSTMLSLESLLISYNQLVGNLTGSNIGYLSNLIELDVSSNFLEEAIHETHFSNFSKLKVLSLSDNNIKLNLSTTWVPPFQLRRLGLRSCKLGPKFPSWIRTQTLYLEILDISDNGISCFIPHCLSNLTCLSHLTISQNFLRGILPNLASTFTVINFSDNMLEGPVPKNYSGATFLSLSKNKLSGTISSFLCTDEFKESNYLDLSDNLFSGNIPECLAQYAGTDLGVLNLANNNFSGEIPSSFGYLNQISSLHLRNTGLFGELPISLKNCTSLRILDLGKNQLSGDIPVWIGESLTQLKVLYLHSNELKGSIPTSICQLQSMRVLDLSSNNLYGPIPTCFSNYSSAMTQMLDEWFLDEAHFEDSPSLYGILNSLHYFFFDFELVTWKGKEAEYRENLKFLKVIDLSNNRLVGEIPVDLTDLHGLISLNLSKNNLTGSIPYRIGEMNSLEILDLSQNQLFGAIPASMANLSFLAVLDLSNNNLSGCIPLGTQLQGFTEAYQGNPQLRGLPLQTKCQSSKQGNGVLTKGNDDDIEEEQWILLDFGFFLSMTLGFILGFWGVCGTLILKRSWRHAFFQFLEDKKDYIYLFIVSHGTKLKRSMGAMWKK >itb15g13410.t1 pep chromosome:ASM357664v1:15:11401295:11404034:1 gene:itb15g13410 transcript:itb15g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKQTKRTAPKSDDVYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPVSLSRLITFMSGKEDKIAVLVGTITDDVRAYEVPALKVCALRFTKTARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGKRNSRGYKV >itb02g09190.t1 pep chromosome:ASM357664v1:2:5848804:5850323:1 gene:itb02g09190 transcript:itb02g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSRSVPTMFLQLTVLLAFIILSASAGNFYQDVQITFGGDQRAKILEGGRLLDLTLDQASGSGFQSKDEYLFGRFDMQLKLVPDNSAGTVTTFYLSSLGAGHDEIDFEFLGNSSGQPYTIHTNVYSQGKGGKEQQFHPWFDPTTSFHTYSILWNPQRIIFLIDNIPIRVFNNDEAIGVPFPKNQPMKVYASLWNADDWATQGGRVKTDWTKAPFTASYRNYNANACVWSAQTSSSSCGSSTQSSTGAQAWQTQSLDAKGRNRLRWVQQKFMIYNYCADVKRFPQGFPPECKGSRF >itb11g22370.t1 pep chromosome:ASM357664v1:11:24289835:24290490:-1 gene:itb11g22370 transcript:itb11g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLLSCVTAASSDRQHQFAESLCKHLRGQRYLIVIDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLMEVAEYASSTNSLLNMPFLDANESWNLYCKVFGKTEFLSVFEQIGRDIVKKCEGLPLAIIVVASLLSKIKEEEEEWKNVAKSVVDSRGIFEGCEACEYGESGH >itb12g04830.t1 pep chromosome:ASM357664v1:12:3203853:3204122:-1 gene:itb12g04830 transcript:itb12g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWCFVATVLMMLLLSMHLHQLECRVLVSSSAAAINGDSESSEISAEKASISSKFQSSSRNGKAHKHRGNQGMFTLASGPYGKGIGHK >itb06g25390.t1 pep chromosome:ASM357664v1:6:26479049:26480599:-1 gene:itb06g25390 transcript:itb06g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGQESKTRSDSSVEVQERGEIFFFFRPKVGKEEAHDTDDVQRLYIVLRPESGERAVEVKQEPSSGKEGAELGAHTDDEKPTRDITSEKEDKSHIEGGHGCQEVDIEKQPLLRFIVMGKKILPDPTKKSNHRPHWGFVEMVTTKIDDVKAALKGEEYDTSTRGHRTVAPARAAGEGVYLILRHNPGKKMHTHLVYKLEFPPADEKNQPQEELNIKREASFLIQIKNPEQRGTGEFRGLQSKRKAIFPAHLQAAIGQLRYHPADPPDYLNYEGCEFLLIPASDNIDDELGLELKTEVEGETAHDESCSDLITTFGETASINPLLKGTWA >itb08g15970.t1 pep chromosome:ASM357664v1:8:18138085:18141542:-1 gene:itb08g15970 transcript:itb08g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKIQKNRKFFSWLPWSTNKSLPVSSPAPKNGHDEASPDTKAATTQRKPGGWRAMPYVLGNETFERLATDGIMGNFTIFLLTVYHLDQVAASNMMSIRSGVTNFIPLLGAYISDSYLGRFWTIAFSSVAQILGMLTLTIITLVPRLRPPPCNDVVSHPQDCKGPTNSQLGYLFLGLGLLAIGTGGIRPCNIPFGVDQFDATTEEGRKGITSFFNWYYTSFTVVLIFSLTVVVYIQSFSWALGFGIPTGFMVFAIVLFFLGRRVYVYVKPQGSVFSGVAQVIVAAFKKRKVKLPDEASGYYDPPFTSPVGRKLPLTNDCRFLNKAAVITEGDLNPDGTPCSKWRLVSIHQMEEVKCIVRIIPIWAAGIIGLVAIGQQGTFTMSQALKMDRHLGPKFHIPPGSLFVIPMIGLSIWLPVYDRLLVPWLRKRTKIEGGITMLQRIGIGLVFSIIGMMVSALVEKKRRAAAVTRGGVDGVAPITVMWLAPQLIVMGFAEAFNIIGQIEFFNKEFPDNMTSVANSLYSITAAGASYLSILLVNVVHKTTARDGHPDWLTKDINSGRLENYYLLIAGLGVLNLMYFLPVSRRYHYKSRIRIGEDDDHNDNIGLKV >itb05g13380.t1 pep chromosome:ASM357664v1:5:20337103:20340549:-1 gene:itb05g13380 transcript:itb05g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSNIPNEIIPHILLQLPVKAVIRCQCVCKQWRSLIDDSDFKLSSTSHDSRLQRHELPFGEAAYPLINRASDKYPVSSLCSCNGFVLLMAERDILLWNPSTRCLTKVLESPYPEESNLVLLGGLCYDSCTRDYKAVLSIRSLIGPLDREFGNPFVISTSLNHEEWPPVQFPYNFNSANGNLEFRNTFYRTLPTCELRQNFSIVGLGVIDDCLSMACMANKQEKINTTSLQVLIMKEYSIKESWMNAFAIQMPQHPYTCAGYDLRFYSQQNNAQEVLFMRTRCWIWGQVYVYDRKKDELREVLMDFLKDNSDFKLRYCGERRVIFLSLGSKSQDYNWNSKFLVRSTSHDSRLQRHELPFGEVAYRLIRASDEYPVRSLCSCNGFVLLMAVRDILLWNLSTRCLRKVLEFPYPEVSVFLGGLCYDSCTRDYKVVLSIGLGLVFGDRFVIYASLNHKEWRFPYSLKSLNYG >itb03g25020.t1 pep chromosome:ASM357664v1:3:23982434:23982859:1 gene:itb03g25020 transcript:itb03g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTLPFAREALSISDSANYSPIVFIFSKLSQKSSKLPLISTNRRRGNHHCRPFTSTSHLFQLQIQSQLQSSDPSSPRSPGYSATSEVRTMISRRPSVHSTPKYPSMWLNKCSSGAGIWGFPLTDSFDIVKRAITFLLTS >itb04g00390.t9 pep chromosome:ASM357664v1:4:250762:257390:1 gene:itb04g00390 transcript:itb04g00390.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVIFYFQ >itb04g00390.t3 pep chromosome:ASM357664v1:4:250735:257542:1 gene:itb04g00390 transcript:itb04g00390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb04g00390.t5 pep chromosome:ASM357664v1:4:251029:258574:1 gene:itb04g00390 transcript:itb04g00390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb04g00390.t2 pep chromosome:ASM357664v1:4:251029:258574:1 gene:itb04g00390 transcript:itb04g00390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb04g00390.t7 pep chromosome:ASM357664v1:4:250762:257390:1 gene:itb04g00390 transcript:itb04g00390.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVIFYFQ >itb04g00390.t1 pep chromosome:ASM357664v1:4:250735:258574:1 gene:itb04g00390 transcript:itb04g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb04g00390.t8 pep chromosome:ASM357664v1:4:250762:257542:1 gene:itb04g00390 transcript:itb04g00390.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb04g00390.t4 pep chromosome:ASM357664v1:4:251029:258574:1 gene:itb04g00390 transcript:itb04g00390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb04g00390.t6 pep chromosome:ASM357664v1:4:250748:258574:1 gene:itb04g00390 transcript:itb04g00390.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1 [Source:Projected from Arabidopsis thaliana (AT2G46340) UniProtKB/TrEMBL;Acc:A0A178VSM9] MRAFRDHMEKLEEQKAANDAAARAEAKRKECDIFPEPPPAVHASSSEGFPHYDGEEIPGGGSLSTYGMSRADPTPPCTSFLLNNNSDEELRMRNNRISNTESVSCSNTHEQMNDRQQIQWNKFYNLAGGSSSSYCNQVLMSTDKGLVTSMPKESLPTTAPDPDEMKPPPIKHNIECSGALSAYLNCDANGISPNNGLFGDNRSKILSTSSFSQFFARKSLKGKDVLSKRPEPSAEFPSAIVMQEKNNPASLSRTATDFLLNQGATFQAPLHCHDGAGPKLSQNEINLREWLCSRGSEINKDHRLHLFRKVVQLVDIAHSQGNVFLDLRPSCLILHSYHDVKYIGSTVQIGCMGLGNQNMMRKRPPELDKHISNSRGTKQQKLHEVQYNSERNYSCGGGSSIEADRLLESDFVRLEKRWYTSPEELDDRVLASSNIYSLGVLLFELLCHFESPKVHSAAMLDLHHRVLPSHFLCENPKEAGFCFWLLHPESSARPKAREILQSESISGSKEIHQRNNEPLAAEKEDDPESELLLYFLVSLKEQKDSQKSKLLQSIECLEADIKEVEKSDTLRTSYWRHQGNLDAQHRPHCKDMKSSFLSKSFSIKTFLEETVMKNISQFENAYFCMRSQFQLTETDLKGRPDRDLLRSREKLSRVQTNNDEPSIERNNVNRVGAFFEGICKFARYSKFEECGTLRSGDLLNSTNVICSLSFDREEEYIAAAGVSKKVKIFEFDSLLNESMDIQYPVIEMSNRSKLSCVCWNNYIKNYLASSDYDGVVKMWDASTGQGFSHYMEHQKRTWSVDFSLVDPTKFVSGSDDCSVKLWNINERNSVATIWSPANVCCVQFSAYSTHLLAFGSADYKIYCYDLRHTRIPWCTLVGHGKAVSYVKFLDSETLVSASTDNTLKQWDLNKTSLEGFSDKACSFTFSGHSNEKNFVGLSVLDGYIACGSESNEVYAYYRSLPMPITSYKFESIDPISGDEIIDNSGQFVSSVCWRRKSNMVVAANSTGCIKLLRWSN >itb15g09830.t1 pep chromosome:ASM357664v1:15:7093942:7096047:1 gene:itb15g09830 transcript:itb15g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain 2 containing protein [Source: Projected from Oryza sativa (Os07g0476900)] MAAITNFLLIKPTPELSSIPRITPFSHDPSATLQGTRRKIKLSANNLRPNRPLFVAKAAGVGAAASGVKKADKNERVQKVHSTEEFDTALRQAGNRLVVVEFAASTSEQSSNIYPFMVNLSRTCNDVDFLLVMGDESEKTQELCRREKIEKVPHFSFYKCMEKIHEEEGIGPDMLMGDVLYYGDSHSAVVQLHSGGDAESLIEKHRKDRKLVVLDVGLKHCGPCVKVYPLVVKLSKQMEDSVVFARMNGDENDSCMNFLKEMDVVEVPTFLFIRDGLICGRYVGSGKGELIGEILRYQGVRVTY >itb11g01070.t1 pep chromosome:ASM357664v1:11:486981:489356:-1 gene:itb11g01070 transcript:itb11g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMKHIDDIPTSPGKFKMEKPPYNRLRLQSSLSRLTLWSIVFLGVIYLLFFRSPSSSPPATSDLFRRSLRTSSYGGAAWEKRIKASAKVRSRNGFTVLVTGAAGFVGTHVSAALKRRGDGVVGLDCFNDYYDPSLKRAREELLERAGIYIVEGDINDVSLLNKLFEIVQFSHVMHLAAQAGVRYAMQNPGSYVHSNIAGLVSLLEVCKSANPQPSIVWASSSSVYGLNTRVPFSELDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILKGKSIPIFEAPNHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKRGAAQLRVFNLGNTSPVPVSDLVGILERLLKVKAKRQIMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVHWYLNYYGDGKKSAQ >itb12g25210.t1 pep chromosome:ASM357664v1:12:26471732:26474966:1 gene:itb12g25210 transcript:itb12g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISVKSIFLFLSICLACTFANGSSPKKTYIVQMDKWAKPDVFTGYGQWYSSLIKSVVFQQEQERESAEEERVIYSYQTAFHGVSAQLTEDEVQRLQKQHGVLAVFPETIYKLHTTRSPMFLGLYKKEAENLWGEKLYSNNVVVGVLDTGIWPESPSFNDTGMAAVPGNWKGACETGRGFAKHHCNKKIVGARMFYHGYEAASGKIREVEEYKSPRDQDGHGTHTAATVAGSPVRQANLFGYAYGTARGMAPGARIAVYKVCWTGGCFSSDILSAVDRAVADGVNVLSISLGGGVSSYARDSLSIATFGAMEMGVFVSCSAGNGGPDPISLTNVSPWIATVGASTMDRDFPATVKLGTGKTITGASLYKGTRNLQKQYPLIYVGNSSSGPTPSSLCLEGTLDRRAVAGKIVICDRGISPRVQKGQVVKDAGGVGMILSNTAANGEELVADSHLLPAVAVGETAGNSIKNYVSGGRNPTATLAFLGTKTGIRPSPVVAAFSSRGPNFLTLEILKPDFVAPGVNILAAWTGDLGPSSLPTDQRRTNFNILSGTSMSCPHVSGIAALLKARHPDWSPAAIKSALMTTSYVHDNTFRSLKDAATRTNSTSYDHGAGHINPVKAANPGLIYDISPQDYFDFLCTQDLEPDQLAVFAKFSNRTCKHAISNPGDLNYPAISMIFPEDTRVSAVTIRRTVTNVGPPVSSYHVAITEFKGATVKLEPTRLNFTRKNQRLSYKITFTRKERQTAPEFGHMIWKDGNHKAEGRRRIIPNSHFPSDLRFAICEQRATATPTSCADDGDQPSAAQRLRPATGNEPSAAQRPPTSPPLRDRRPALPSATLTSDQPSPSLRLRDRDHDH >itb02g15530.t1 pep chromosome:ASM357664v1:2:11290752:11294322:1 gene:itb02g15530 transcript:itb02g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQNQSPPKLSPKIESLTSLFSGSALSSRIFMADTILSALLALLLISSCTHHAESYAEEEGFIWVELSNKGLDFAKNILIHTAESSLVPLELPDIDKSADISLLGTVDMILSDITINAIQVTSSAVSTAHSGVVLSVSGATANLTMNWKYSYSTWLLVPIEVSDSGQASVQVSGMEIGLTLSLKNQQGSLSLSLVKNKCSVEDISINLEGGASWLYQGVVDAFEGKLTSAVEDAISSKISEEVAKLDSLLQSLPKEVPITSIATLNVTFVGNPKISKSSLALAINGLVSARDEDDTLLQNHHRALYHLISSKGPDYMFTIFLHELVIKSVLSVYYEAGKMHWIVDEVPDQFLLNTARWKFILPNLYKQYPNDNMTLNISISSVPNIKIKEQQIDATVTADVIINVLNDTEAVPVAGISVEITGSAFPEISSNDVAGSITLHELGMSLVWSEVGDLNMLAIERVVLTVLRIGVLPYVNFRLGQGFSVPDFYGYTLENTEISCSDSWIMVSSDVAQTNQAYLS >itb13g02500.t1 pep chromosome:ASM357664v1:13:2395583:2396272:1 gene:itb13g02500 transcript:itb13g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLGRGGFLDDAMEMIRSMNLKPDPVIWRALLVACRFHGRAELGEFAFQKLVELEPRNADNYVLLSNVYVHDKKWAEVGELRKLMDRRGIRKVPGCSSIEIENVMYVFKASDSIGPGYVDVHNMLGEMKEKLKFAGYAAETGMVLYDVEEEEKEHNLIYHSEKLALAFGLLNSSSTTLRIMKNLRICQDCHHFFKLVSAVYRRNIIVRDRYRFHHFTGGICSCKDYW >itb15g22070.t1 pep chromosome:ASM357664v1:15:24755526:24757903:-1 gene:itb15g22070 transcript:itb15g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLLSVGKVSFSGKSVSSESSDEKRDISSSAYSLHKNDSFSLPDQNVSSSSISMALTPSYTVPSSPRSFNLNSIPPTASMGAYIVKQRLPQSNVSAYNQPGNSSVKGNLETPVYSISEMNKLLQQRYTFPQSSISRWHSNIDDELLQAKAEIMNAPVRPDGDLYGPLYHNVSMFKRSYELMEQKLKVYIYMDGQEPIFHSSILEGIYASEGWFLRLLEANRHFVTEVASEAHLFFLPFSSRLLELTLYVPHSHSRQNLIDYMKNYVDLLIGKYPFWNRTNGEDHFLAACHDWAPAETRGKMLNCIRGLCNADIRTGFFIGKDVSLPTTYVRSSRNPRNDIGGEPSSRRPILAFFAGYMHGRVRPLLVKYWGKDPDMKIVDRLPRVKGNKNYVDLMKSSKYCICARGFAVHSPRVVESIFYECVPVIVSDNYVPPFLEVLNWESFSVFILEKDVPNMKSILLSIPEEKYLEMKRAVKEVQKHFLWHDEPAKYDLFHMILHSVWYNRVFRTRSS >itb04g30200.t2 pep chromosome:ASM357664v1:4:33379834:33382073:-1 gene:itb04g30200 transcript:itb04g30200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSPRSMAKDLTGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPQD >itb04g30200.t1 pep chromosome:ASM357664v1:4:33379834:33382073:-1 gene:itb04g30200 transcript:itb04g30200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVAVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSPRSMAKDLTGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPQD >itb14g06090.t1 pep chromosome:ASM357664v1:14:5279721:5283760:1 gene:itb14g06090 transcript:itb14g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGPGGAAAAAAAAAAELEKLSVEQLKAVKEQTDLEVNLLRDSLNNIKTATARLGVASTALSDLSLRPQGKKMLVPLTASLYVPGTLDDADKVLVDIGTGYYVEKTMAEGKDYCERKINLLQSNYDQLLDVTTKKKSIADEASVILQAKLRQLASTP >itb02g02870.t1 pep chromosome:ASM357664v1:2:1669804:1672643:-1 gene:itb02g02870 transcript:itb02g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQARTLTPHLPSPLLPAPHFHKTSLLVPKSPKRNHLSWSKNRNPCPRFSIRPPKCSVSVVSEPLQSELANDGRPFPAEVSRTIMELGSLGTLSTLTQDGWPLGIGVRFVVDPEGTPVLCLSDSIAKFSVHNKSSLHVQLEQCGLRTPQCTVQGSLEKPEDLKLLKKLCSVWKRRYGDEVDEHSLYVVSVEQVLQIEDFGEDGVWVTSSDYKLANPDPLRDLAERMIGEINLHNKEDVIRFCNVYVDLDFRVPDARMLWVDRLGFDVRFWSPQKDVFEVRIPFPREVTDEKGAKSSFNCMSQLAWEVEKNFHAPELEKVKKLKKITFKEGSEKVGFS >itb10g25760.t1 pep chromosome:ASM357664v1:10:28948180:28960581:1 gene:itb10g25760 transcript:itb10g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEATSSAPSSSSGPTTRAAKRARLNASASTPTTATATASNSASIATRSRVPTRSRAANRSQDSIAASAPMDSTNESSGSATRSRRGRNPTHTSDKDSSVKGKEKEHEVRSRGRDRDAERSIGLNLESGGGDDDENDSEGGVGILQHNLTSANSALQGLLMKLGAGLDELLPSAAMGLGSSSHQNGRLKKILSGLRADGEEGKQVEALTQLCDMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLVDVLPSSCEAVVRYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASICLTRIAEAFGSSPKKLDVLCNHGLLTQTASLISASNSGGGQASLSTSTYTGLIRLLSTCARGSPLGTKTLLLLGITGILKEILSGYGLLSNVSVPSAFNRPPEQIFEIVNLANELLPSLPDGTISLPVSTNVFVKGSLNKKSIGGDSSKQEDANATSQEVSSREELLKEQPELLQQFGIDLLPVLIQIYGSSVNGLVRHKCLSVIGKLMYFSTADMIQSLNSITSISSFLAGVLAWKDPQVLVPALQIAEILMEKLPGTFAKMFVREGVIHAVDALVLASSGTASQPSSSEKDNDCIPASSSRSRRYRRRGCNSNTDTFSTEDPKSSVPNIGSPPNPREVPTASSSLRMAVGARAKAFKGKYFPSDPASTEAGVTDDLLCLKNLCAMLNATLDGQVIKTKGKSKASGPQLGEISAGKEESLIGLIAEMLGELSKGDGVSTFEFIGSGVVESLLNYFTCGYFSKERISDPHLSRLRQQAIKRYKSFIAVALPVGVDEGNLAPMSVLVQKLQNALSSLERFPVARLSSGLSALSQPFRLRLCRAQSEKSLRDYSSNVVLIDPLATLAAIEDFLWPHVHRMESEQKTSASVGNSETSTMPTGAGAPSPSTSTPASRRHSTRSRSVLNITDAAKGSSQEKNASSLKGKGKAVLKSAQEEGSGPQTRYASHRRAALDKESQVNPVDVNSTSEDEDIPPVEVDSPLVIEDDDISDDEDEDEDEEDHDDVLRDDSLPLCMPDKVHDVKLGDSSDASPVANAPSDSQTNAGGGSCSIAASLRGSDSSEFRSGSSYGSRGAMSFAAAAMAGLTSRGVRGGKDRQGHSLFTSNDSGRLIFSTGGKQLNKHLTIYQAIQRQLVLDEDDDERYGEGDFVLSDGSRIWTNIYTITYQRAGSQAEKGVNGAGTSTSSKSTKASSSVDLSEDSLLHQISLLDSILQGDLPCDLDKSNPTYNILSLLRVLEGLNQLAQRMRVQSVIDNFSEGKICSLDELNVSGVKIPSEKFVNSKLTPKLARHIQDALALCSGSLPSWCYLLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGAEGTGLTNEREVRLGRLQRQKVRVSRNRILDSAAKVMEMYSTQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKAGLGMWRTSLHPNKHAAEMDVDERVNGKPGDTFGLNSDGGDKDLILAPLGLFPRPWPPSAENTEDGKFSKVIEYFRLLGRVLAKALQDGRLLDLPLSTAFYKLVLGQELDLYDILSFDAELGKTIQELQALVCRKQYLESTGGDNRDKSHKTTDLYFHGTPVEDLCLDFTLPGYPEYILKPGDENVDLNNLEEYISLVVDATVKTGIVQQMEAFRSGFNQVFDISTLQIFSPNELDHLLCGRRELWKAETLVDHIKFDHGYTAKSPAIINLLEIMGEFTPEQQRSFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSSTGNVGQNGNGASESADDDLPSVMTCANYLKLPPYSTKEMMYKKLVYAINEGQGSFDLS >itb12g18800.t1 pep chromosome:ASM357664v1:12:21049044:21050905:-1 gene:itb12g18800 transcript:itb12g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGMLGTRVKYSEHKRLTTTVRHLPEMNAGLPRVVRITVTDSDATDSSGDEEEREGGLFWRRRVKKYVNEVTFEAWGRDDGNGNGSCNGVVRSGPTAKRRKKAEMPAGKVENGTKFRGVRQRPWGKWAAEIRDPLSRRRVWLGTFNTAEEAAMVYDNAAIQLRGPDALTNFSAPTAAAAVKSPPEDPKPQQPVDSGYNSGEESHNNQRSPKSVLFFNEEPETSSFLPLPNDAVEMSQDFAVADNTSVPENFPDFSPFDPLFPNDLFKFDNPVPVPVPDVFDVTGLSDKIFEEEWCCDMFIGSSHDTGFGLPALPADDFFLDYGDIFGSDPLVAL >itb04g33320.t2 pep chromosome:ASM357664v1:4:35603086:35606579:1 gene:itb04g33320 transcript:itb04g33320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVACSDNGKIPLFIFFRDARSIFKLDELGVEIARIALPAALALTADPIASLVDTAFIGQIGSVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDTIRKSGSISQDTESLDVGSISAGSESKKLIPENGSEESAFASEPIANAFEVVAPNNEKRYIPSASSALIIGGILGLIQAVLLITGAKPVLKFMGIKSGSPMLKPAQQYLALRSLGAPAVLLSLAMQGVFRGFKDTKTPLFATVVGDLTNIILDPIFIFVFRLGVRGAAISHVISQYIISIILFWRLTEQVDLIPPSLKYLQFGRFLRNGFLLLMRVIAVTFCVTLAASLAARLGSTQMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKEFSRAAATASRVLQLGIALGLILAMFLGVGLHFGARLFTKDANVINLIAVSIPFVAASQPINALAFVFDGVNFGASDFAYSAYSMVTVAIFSIILLFILSSWFGFVGIWVALTIYMGLRALAGFWRIGTGTGPWKFLRS >itb04g33320.t1 pep chromosome:ASM357664v1:4:35602987:35606579:1 gene:itb04g33320 transcript:itb04g33320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVACSDNGKIPLFIFFRDARSIFKLDELGVEIARIALPAALALTADPIASLVDTAFIGQIGSVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDTIRKSGSISQDTESLDVGSISAGSESKKLIPENGSEESAFASEPIANAFEVVAPNNEKRYIPSASSALIIGGILGLIQAVLLITGAKPVLKFMGIKSGSPMLKPAQQYLALRSLGAPAVLLSLAMQGVFRGFKDTKTPLFATVVGDLTNIILDPIFIFVFRLGVRGAAISHVISQYIISIILFWRLTEQVDLIPPSLKYLQFGRFLRNGFLLLMRVIAVTFCVTLAASLAARLGSTQMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKEFSRAAATASRVLQLGIALGLILAMFLGVGLHFGARLFTKDANVINLIAVSIPFVAASQPINALAFVFDGVNFGASDFAYSAYSMVTVAIFSIILLFILSSWFGFVGIWVALTIYMGLRALAGFWRIGTGTGPWKFLRS >itb12g26750.t1 pep chromosome:ASM357664v1:12:27496119:27498143:-1 gene:itb12g26750 transcript:itb12g26750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSPSPTQKPQSQYLLNYPKKFSHYTPLLHRPSSQIRCSAFRDSSNAKEIRNVACGILAAWAISAVSPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLSAALMSDAKFDGADMSEVIMSKAYAVGASFKGTDFSNAVLDRVNFEKADLQGASFKNTVLSGSTFNDANLENVVFEDTIIGYIDLQKLCTNKTIDEEGRANLGCR >itb07g17880.t1 pep chromosome:ASM357664v1:7:22205697:22211733:1 gene:itb07g17880 transcript:itb07g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAEEETTLEFTPTWVVAAVCTVIVAISLAVERLLHFTGKYLKKKNQKPLYEALQKVKEELMLLGFISLLLTVSQSRITKICVSEDIMRHLLPCSLSDEAAHAAPETNSHHRRLLSGGDSIGYCAAKNKVPLLSVEALHHLHIFIFVLAIVHVTFSLLTIVFGGAKIRQWKHWEDAIAKRNYENENVGKPSVTHVHQHDFIKNRFVGLGKRSIILGWLHSFFKQFYGSVTKSDYTALRLGFIMTHCRGNPKFNFHKYMIRALEDDFKIVVGISWYLWVFVVIFLLLNINGWHTYFWIAFIPFVLLLAVGTKLEHVIMQLAHEVAEKHVAIEGELVVQPSDEHFWFGRPQIVLFLIHFILFQNAFEIAFFFWILVQYGFDSCIMGHIKFIIPRLVIGVIIQVLCSYSTLPLYAIVTQMGTHFKRSIFDEHIQAGLVGWAQKVKQRKGLKAAFGGAASTDGSSTGVQMGGLGHKESGSTDGASVRALQLMGGVGRKESGSTDGASVRALQQTGGDGSK >itb10g24750.t2 pep chromosome:ASM357664v1:10:28402967:28404543:1 gene:itb10g24750 transcript:itb10g24750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCOR413-like protein [Source:Projected from Arabidopsis thaliana (AT2G15970) UniProtKB/TrEMBL;Acc:A0A178VY40] MVLFWFCSYLLILDRTNWRTNMLTTLLIPYIFFSLPSLIFSFLRGDVGKWVCLIAVIIRLFFPRHFPDWLEAPAALILLIVVAPGMFADTLRGMWVGVAICLIIGCYLLQEHIRASGGFRNSFTKAHGISNTVGIVLLLVYPVWALVLYIL >itb10g24750.t1 pep chromosome:ASM357664v1:10:28402513:28404543:1 gene:itb10g24750 transcript:itb10g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCOR413-like protein [Source:Projected from Arabidopsis thaliana (AT2G15970) UniProtKB/TrEMBL;Acc:A0A178VY40] MGKKSFLGMETTDSSSVASDLIGSDLKELGMAAKKLANHAIMLAGGLGFGTSFLSWVASFAAIYLLILDRTNWRTNMLTTLLIPYIFFSLPSLIFSFLRGDVGKWVCLIAVIIRLFFPRHFPDWLEAPAALILLIVVAPGMFADTLRGMWVGVAICLIIGCYLLQEHIRASGGFRNSFTKAHGISNTVGIVLLLVYPVWALVLYIL >itb12g16700.t1 pep chromosome:ASM357664v1:12:18147601:18155841:1 gene:itb12g16700 transcript:itb12g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCLMDDFDDAVLNQQTIASLTNDPLHIDASEEGERERSPQRRKKEGDHRRLVGLPEHRRSPLSPATQGRRPQPHQQAEREKERSASENREAQPRHRLLTSTPLCVCRPPSASRHPHPCRKEQQRRPPGNTASPRIRSSVIVYCVKVYRVATISPFLFAVFSPYLICRSGYMICSRNFLSALCAVYSLD >itb03g07680.t1 pep chromosome:ASM357664v1:3:5704661:5708014:-1 gene:itb03g07680 transcript:itb03g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAIPTCFAVAMEAKFFRFLKIVGVGFKARAEFEGRLLYLKLGYSHEVELTVPPAVRVFCFKPNVICCTGIDKQRTHQFAAAIRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >itb04g19600.t1 pep chromosome:ASM357664v1:4:24011051:24011323:1 gene:itb04g19600 transcript:itb04g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPNWILDDVGGAFDCSMVYVRQKEDSWNSIIAGDATGGVLSVRQGLGASANLRLPRPRSHRRGSKDCSEETKRKRRRAAA >itb05g18550.t1 pep chromosome:ASM357664v1:5:25306643:25309412:-1 gene:itb05g18550 transcript:itb05g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYHEKQKLPLLRDEGGGEGEGEGAFDYSQRVHIHGADETEGEEFTGTVPFSWKKLWLFTGPGFLMSIAFLDPGNLEGGLQSGAIAGYSLLWLLLWATGIGLLVQLLSARLGVATGRHLAEACRSEYPKWARLLLWVMAEFALVGADIQEVIGSAIAIKILSRGYLPLWAGVFITAFDCFIFLFLESYGVRKLEALFAFLISVMALSFAWMFVQTKPSGIVVPKISSKTVKQAVAVVGCSIMPHNVFLHSALVQSRDIDTRKIGKVREAIKYYTIESSAALAISFVINVCVTTVFAKSFYGTEVAKNIGLVNAGNALQEKYGGGLFPILYIWAIGVLAAGQSSTITGTYAGQFIMGGFLHMKLKKWQRAMITRSCAIIPTLIVALAFDRSEDSLDILNEWLNILQSIQIPFALIPLLCLVSNKEVMGVFTIGPVLKVPEGTQLHLLQHSPQKFKQRFITPAFAHLILLHISRHKIKHNNVIG >itb11g13290.t1 pep chromosome:ASM357664v1:11:10286934:10287589:1 gene:itb11g13290 transcript:itb11g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFVITEALKSQLEVHGEWQVSKEKLGNDTSLAVERSLRFDKNNVSVSWLHEFEASAVAKTKRSQTFNQATAAYHEPFCLHIYISKGSARASIIHRATSKVVAVAHSISKDMKFELSSTKNRAACAAVGEVLAQRALADDIHNVVYIPRKGKDWRGIADCISGYY >itb12g07360.t1 pep chromosome:ASM357664v1:12:5510331:5512034:-1 gene:itb12g07360 transcript:itb12g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSYTVAGGGFIMIGVWESFVSSSETLKVSPPSPLEQPQPADLSAKSTRISSSVTFVAGSVLSFLFIVNSIISISDAISSEDSIGVVLQLEVISISLLFLLYSVVGLVSKTKDSPQLPCQIPNLISLFAFAEEFLLFYLQRKDPSGVENRYYDLFLVPIAVCAFCTILELKNPQSNHYRLGRGVGLTLQGMWILQMGFSFFSDMMVHGCSLHEKSRGNYTVKCKGSPEYHRGRAIATLQFNCHLALLVAIVSGIFSIVCKKNGIKQESSKYRPLGAEVQQMEMGACSAFTLDSDEDDGEHINGLGMQRAANGLPPHL >itb12g09360.t2 pep chromosome:ASM357664v1:12:7361784:7367982:1 gene:itb12g09360 transcript:itb12g09360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSTSKRGTSTNATNPNSRENPTPASSSSSKPLRRRSLSVSAISRSTSQDASSEFSNKRDNPLFWTSNSPPGDHVGNEKEIGNEKETEKSAVDGFGIGKVASGSSKSRTPNSAAENRGRSTTRSSGGVRNGIGRSVSRVRGRSVSRGHYGSAYESEKEQELILLKNSKQSRELKQVANSFKGSTLVEKNTDTCGRVNRSKIATVRGQGIECSEDDSAYSQQISAWEDGISIGSLSEAEDKIIKASEQLKSFRDGHWVNDTAASGIYETVRAEVRRAISDIQSDLQSVIQRNNVNTISSNGAANIPPNSNPASVELVLNIRREYSRKLEESEERTRQLQADLAIEEHRGQELNRILKEIVPDPKKNSPQRSRIGRRRSNERKKMTQRLSEDAMAYFDHFDECVSISTFDSSDLSAPEDPPHSSIGATSVSRSLFEPQGSMDSFSANKKLQILGGIDLMGGNEGSGLTAAASNSKEPALLQGEQVQFSFTQKSPKPSGDDGIRSCIKRFERDAYKDAIDSEIIVSHYDASEYKLQSQIESLWFDRMLYSSRIESGGLHLCGGGIAVVSFPFGS >itb12g09360.t1 pep chromosome:ASM357664v1:12:7361776:7367982:1 gene:itb12g09360 transcript:itb12g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFKSTSKRGTSTNATNPNSRENPTPASSSSSKPLRRRSLSVSAISRSTSQDASSEFSNKRDNPLFWTSNSPPGDHVGNEKEIGNEKETEKSAVDGFGIGKVASGSSKSRTPNSAAENRGRSTTRSSGGVRNGIGRSVSRVRGRSVSRGHYGSAYESEKEQELILLKNSKQSRELKQVANSFKGSTLVEKNTDTCGRVNRSKIATVRGQGIECSEDDSAYSQQISAWEDGISIGSLSEAEDKIIKASEQLKSFRDGHWVNDTAASGIYETVRAEVRRAISDIQSDLQSVIQRNNVNTISSNGAANIPPNSNPASVELVLNIRREYSRKLEESEERTRQLQADLAIEEHRGQELNRILKEIVPDPKKNSPQRSRIGRRRSNERKKMTQRLSEDAMAYFDHFDECVSISTFDSSDLSAPEDPPHSSIGATSVSRSLFEPQGSMDSFSANKKILGGIDLMGGNEGSGLTAAASNSKEPALLQGEQVQFSFTQKSPKPSGDDGIRSCIKRFERDAYKDAIDSEIIVSHYDASEYKLQSQIESLWFDRMLYSSRIESGGLHLCGGGIAVVSFPFGS >itb09g10640.t1 pep chromosome:ASM357664v1:9:6575448:6577915:1 gene:itb09g10640 transcript:itb09g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATVPSTTFLLLLFSLLLGLTSAQLSANFYSKTCPNALSIIQTAVANAVKSEARMGASLLRLHFHDCFVNGCDASILLDDTANFTGEQSAGPNVNSIRGLNVIDNIKTQLEKSCVGVVSCADIVAVAARDSVVALGGPSWNLLLGRRDSTTASQSAANSLPGPGLSLSQLISTFSNKGFSAREMVALSGSHTIGQARCSLFRSRIYNEKNINASFATSLQANCPQSGGDSNLAALDTTTPTSFDNAYFKNLQSQKGLLHSDQQLFNGGSTDSIVNTYSSNPSTFATDFANAMVKMSNLSPLTGTNGQIRKNCRKTN >itb12g25780.t1 pep chromosome:ASM357664v1:12:26905816:26906867:-1 gene:itb12g25780 transcript:itb12g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALELKLLLRSETDEGIEEICCVYIDSEEENRISFAVITVTEASFKWEFRNFEFSGVEVGLEVRTTQSPDVRVDHTRMSRGKPYCFCRACKGKRREVARELQ >itb03g28430.t1 pep chromosome:ASM357664v1:3:29188616:29190475:1 gene:itb03g28430 transcript:itb03g28430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSSKAIFKPPTAIRGGIPICFPQFSNLGPLEQHGFARNKHWTVEKDPPPLPSESTAFIDLILKPSEDDFKIWPHRYEFRLRVTLGPDGELLLTSRIRNINTDGKPFTFTFAYRNYLSVSDIGEIRIEGLETLHYLDNLQNREQFTEQGAITFEEEVDKTYLGTPRKIAIIDHEKKMTFVIRKDGLPDAVVWNPWDKKAKAMADFGDDEYKRMLCVEADAVEKGVTLKPGEEWKGKQKLCVVPSSYCSGQLDPQKVLHGS >itb15g07000.t1 pep chromosome:ASM357664v1:15:4716251:4717310:-1 gene:itb15g07000 transcript:itb15g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDSPSRKLDFSAPLLSTRRPATPRKRGLILPAGAGENPVPLAVDVSNRIPFSWERIPGKPKETDDQAGSEDFPLPKPPPGRWYPRNGGSRSDCDCCEEGRHCDNYDGDVEDGGNREVQYSDALDVFSLGESSSSSDNNVGDDNNNNVGGDRTTCLAAGGNFEPDLIFLRFLKDAKALAADSLINGCDVNEAASDHHHHHHRPDSCFARALSAGQRYEYSSCSKACGLDIFLPWRMKPKPCGGGVRNSVVAAAASPRLKPPQWGTRKPQDHV >itb09g04050.t1 pep chromosome:ASM357664v1:9:2250646:2254233:-1 gene:itb09g04050 transcript:itb09g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDDRSICKVLHDEVKPAFVMVVVQALFAGVNVAYKIAYAAGMNAAVLTFYRFLFAAGFIAPIAFIAERIAIGMNLSVKIVIYSSATFSAAIANLDPAITFIIAVILRLERLGWQRPAGKAKVLGTIVGICGAIVFTSYKGPEINLWNTNINVLHSSPHLKLGTRPLLGAFLGLACCVCYSLLLIIQGKAADKYPCPYSFTALTLAMGSIQSFVYALCVERNWEEWKLAWNVKLLAITYLGTLGGGVLFAMSTWCVGVKGPVYVSAFTPLWLILMAIVGSLCLEEKLHLGYIFGGVLIMMGLYVVLWGKQEEIKREKRGGDDEAQQSVVEEEGELKNCKSP >itb03g00560.t9 pep chromosome:ASM357664v1:3:276309:280177:1 gene:itb03g00560 transcript:itb03g00560.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFLTVVAHTLNGILLLGDIAMNCLQFPWFRIAYFLIWTGIYVIFQWSVHACVSTWWPYPFLDLSLTSAPLWYVVLH >itb03g00560.t8 pep chromosome:ASM357664v1:3:276309:279108:1 gene:itb03g00560 transcript:itb03g00560.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFVSDCSFI >itb03g00560.t5 pep chromosome:ASM357664v1:3:276395:278935:1 gene:itb03g00560 transcript:itb03g00560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFL >itb03g00560.t2 pep chromosome:ASM357664v1:3:276309:280214:1 gene:itb03g00560 transcript:itb03g00560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFLTVVAHTLNGILLLGDIAMNCLQFPWFRIAYFLIWTGIYVIFQWSVHACVSTWWPYPFLDLSLTSAPLWYLVVALMHIPCYGVVVLLVKLKRSILSKWSPQSSLR >itb03g00560.t1 pep chromosome:ASM357664v1:3:276309:280214:1 gene:itb03g00560 transcript:itb03g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFLTVVAHTLNGILLLGDIAMNCLQFPWFRIAYFLIWTGIYVIFQWSVHACVSTWWPYPFLDLSLTSAPLWYLVVALMHIPCYGVVVLLVKLKRSILSKWSPQSSLR >itb03g00560.t3 pep chromosome:ASM357664v1:3:276309:280214:1 gene:itb03g00560 transcript:itb03g00560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFLTVVAHTLNGILLLGDIAMNCLQFPWFRIAYFLIWTGIYVIFQWSVHACVSTWWPYPFLDLSLTSAPLWYLVVALMHIPCYGVVVLLVKLKRSILSKWSPQSSLR >itb03g00560.t4 pep chromosome:ASM357664v1:3:276309:280177:1 gene:itb03g00560 transcript:itb03g00560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFLTVVAHTLNGILLLGDIAMNCLQFPWFRIAYFLIWTGIYVIFQWSVHACVSTWWPYPFLDLSLTSAPLWYLVVALMHIPCYGVVVLLVKLKRSILSKWSPQSSLR >itb03g00560.t6 pep chromosome:ASM357664v1:3:276309:279108:1 gene:itb03g00560 transcript:itb03g00560.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFVSDCSFI >itb03g00560.t7 pep chromosome:ASM357664v1:3:277088:278935:1 gene:itb03g00560 transcript:itb03g00560.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLMVAADTTTLSYWWNWRTVLCATWVLTSIVVGLVLIWKYESSAGDNSESDGREKWQEKSWLFWFDEAWRPCVKELHPVILMVFRLVAFILLAAALTADVVVHGVDLFFYYTQWTFMLVTIYFLFGSLFSIYGCYLHYKTTENFNDCIRMDEEQSLHIALSGKGYLQGVKTGDFHRKLLVPKSIGLCGYIFQIFFQMTAGSVMLTDILYWTVIFPFLTIKDYDLSFL >itb06g15710.t1 pep chromosome:ASM357664v1:6:20002538:20005542:1 gene:itb06g15710 transcript:itb06g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGDHSKSDGKLWKLCPFWQSGTASSSSTASTQNLNSAHRNGVGSTTTSRSSASVSSVARSFLPARRRLRLDPANNLYFPYEPGKQARSAVRIKNTSKSYVAFKFQTTSPKSCYMRPPGGILAPGEVIIATVFKFVEHPENNEKPPMDQKSKVKFKIMSLKVKKGADYVPELFDEQKEQATVERILRVIFLDPERPSSALDKLKRQLAEADAATEACKKPPVDTGPKVVGEGLVIDEWKERREKYLARQQIEEVESK >itb06g15710.t2 pep chromosome:ASM357664v1:6:20002590:20005537:1 gene:itb06g15710 transcript:itb06g15710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHRFSLFNDLSDEDEPGKQARSAVRIKNTSKSYVAFKFQTTSPKSCYMRPPGGILAPGEVIIATVFKFVEHPENNEKPPMDQKSKVKFKIMSLKVKKGADYVPELFDEQKEQATVERILRVIFLDPERPSSALDKLKRQLAEADAATEACKKPPVDTGPKVVGEGLVIDEWKERREKYLARQQIEEVESK >itb12g22930.t1 pep chromosome:ASM357664v1:12:24829995:24832328:1 gene:itb12g22930 transcript:itb12g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRFLSFLKRSPSTTSEVEESRAKTWGRRIVSGTLICVTGGVALSALDDLSIYHSCSSKALEKASKNKAIIEAIGEPIIRGPWYNASLGVAHQRQSVSCTFPVSGPQGSGIFQLKAVRNGDDTWMSFLRPRDWEILIMEALLHVPGNEEKQQTFRITVSNDLPPPTACVSCPDPKSQKSDSVEKN >itb11g15260.t2 pep chromosome:ASM357664v1:11:12759067:12776563:-1 gene:itb11g15260 transcript:itb11g15260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMKLEDSPMFHKQVRSLEQTTDELRDRCQKLYKGCRKYTDTLGEAHNGDNIFADSLEVFGGGHDDPVSVSIGGPIMSKFVSAFRELATYKELLRSQVEHVLVDRLAEFLTVGLQDVKESRRRFDKATTAYDQAREKFASLKKSARDDVITELEEDLHNSKSAFERSRFNLVNALMNVEAKKKYEFLESFSAIMDAHLRYFKLGHDLLSQMEPFIHQVLTYAQQSKEQTKIEQDRLAKRIQEFRTQSELDRMQASSNISTSTSTFSSNGVGMSSYKNIEAIMQSSEVQTIKQGYLLKRSSSLRADWKRRFFVLDSLGNLYYYRHRGPRSGGSPPPPGTEHNSRVFSRFRARYQKSPSLGEDSLGFRTVDLRTSIIKVDAEDTDLRLCFRIISPSKTYTLQAENEADRLDWMNKITGVIASLLNSHLNQLDSIQSDVKIGIDSYGACINDKSLENIESSLPSMRVGQVDSVSSILREIPGNDKCAECGVSEPNWASLNLGILICIECSGIHRNLGVHISKVRSITLDVRVWEPTVVDLFQTLGNSYCNSVWEERLALPNNETTSTVSVSKPNPADAFHQKEKYILAKVHIFLLKIILYILY >itb11g15260.t1 pep chromosome:ASM357664v1:11:12756190:12776700:-1 gene:itb11g15260 transcript:itb11g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMKLEDSPMFHKQVRSLEQTTDELRDRCQKLYKGCRKYTDTLGEAHNGDNIFADSLEVFGGGHDDPVSVSIGGPIMSKFVSAFRELATYKELLRSQVEHVLVDRLAEFLTVGLQDVKESRRRFDKATTAYDQAREKFASLKKSARDDVITELEEDLHNSKSAFERSRFNLVNALMNVEAKKKYEFLESFSAIMDAHLRYFKLGHDLLSQMEPFIHQVLTYAQQSKEQTKIEQDRLAKRIQEFRTQSELDRMQASSNISTSTSTFSSNGVGMSSYKNIEAIMQSSEVQTIKQGYLLKRSSSLRADWKRRFFVLDSLGNLYYYRHRGPRSGGSPPPPGTEHNSRVFSRFRARYQKSPSLGEDSLGFRTVDLRTSIIKVDAEDTDLRLCFRIISPSKTYTLQAENEADRLDWMNKITGVIASLLNSHLNQLDSIQSDVKIGIDSYGACINDKSLENIESSLPSMRVGQVDSVSSILREIPGNDKCAECGVSEPNWASLNLGILICIECSGIHRNLGVHISKVRSITLDVRVWEPTVVDLFQTLGNSYCNSVWEERLALPNNETTSTVSVSKPNPADAFHQKEKYILAKYVEKLFINKEAFAYNTNDVTSIWEAVKANDLKEVYRFIVVSDVNIVNATYDEVAGMTLYHHVTEHEPQIGFQDSEKKQHDPATCENIKLCLQGCSLLHLACQTDNPTIVELLLQFGANINKRDFHGRTALQHCVSTGNNKLAKFLLRRGARASIKDAGGFSILERTMETGAIKDEELFVLLAESD >itb03g29790.t1 pep chromosome:ASM357664v1:3:30804420:30808497:1 gene:itb03g29790 transcript:itb03g29790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASDFEFTVDHIMDSPKYVYIFLSVQIAIFLLLGLLLLVECRHGGSAESLQFPAINCRKHTAYLTDFGGKGDGNTLNTAAFNSAIANLSKQASDDGGAQLIVPPGKWLTGPFNLTSHFTLYIHNDATILATQDVSQYPLIPPLPSYGRGRDAPGARMISLIFGTNLTDVVITGGNGTIDGQGEAWWVKYREKELKNTRPYLIEIMHSNQIQIFNLTLRNSPSWVVHPVYSSDIIIQHLTILSPIDSANTDGIDPDSCTNVRIWDNYIVSGDDCIALKSGWDEYGIKYGMPTKHLSIKRLTCISPSSATIALGSELSGGIQDVRAEHILSINTESGLRVKTTPGRGGFVKDIYVRNVEYDTMKYVLWMIADYGDNPDDNYDPKALSTISGISYSNIKAKNVTIAGYLIGFGNHHPFTGLCMSNATIEMHQNTELSLEEKKQKPAAWNCSDISGVASSNVTPSPCGQLAKKHDVVCNFPTDQLPIEKVELKTCSAPLKHLE >itb13g21540.t1 pep chromosome:ASM357664v1:13:28133480:28134801:1 gene:itb13g21540 transcript:itb13g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDEGIEIVDSKDLQQQSKAFDKLTDHVEDRQLDSSRVQTAMASIAASREADIQAMRMREKELAAVKINAADVDIIANELEVDKKVAERTLREHKGDAVAAIRHLLNTNTF >itb12g03980.t2 pep chromosome:ASM357664v1:12:2611637:2613676:1 gene:itb12g03980 transcript:itb12g03980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESSSSNGVRASSNSRSAVGPNNFSSGGSSSPHYNCNPFLSPSSPRQLDLTDYSTNCYSSLFAHSCKSPSDTSFDDAEAMATERRLFHASFVLEYQQLYNRYTLCLAHLQESLKEVNALRQENESIRLANADLVQRLSLLSQATIQNCLLSDFSHLGIGVRASPIRDTLTTESKPPNVRPPPGVMEQHRLERRNQEQIPIPKSISVRSSGYLKMKAQDGSAGGQSSHRLNTQPLGQSQRVYVPGVKREEEALEFEVYNQGMLKTELCNKWQETGECPYGENCQFAHGIKELRPVIRHPRYKTEVCRMVLAGDNCPYGHRCHFRHSIAADQDRPSSNHLR >itb12g03980.t1 pep chromosome:ASM357664v1:12:2611553:2613676:1 gene:itb12g03980 transcript:itb12g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESSSSNGVRASSNSRSAVGPNNFSSGGSSSPHYNCNPFLSPSSPRQLDLTDYSTNCYSSLFAHSCKSPSDTSFDDAEAMATERRLFHASFVLEYQQLYNRYTLCLAHLQESLKEVNALRQENESIRLANADLVQRLSLLSQATIQNCLLSDFSHLGIGVRASPIRDTLTTESKPPNVRPPPGVMEQHRLERRNQEQIPIPKSISVRSSGYLKMKAQDGSAGGQSSHRLNTQPLGQSQRVYVPGVKREEEALEFEVYNQGMLKTELCNKWQETGECPYGENCQFAHGIKELRPVIRHPRYKTEVCRMVLAGDNCPYGHRCHFRHSIAADQDRPSSNHLR >itb06g20240.t1 pep chromosome:ASM357664v1:6:23302132:23306329:-1 gene:itb06g20240 transcript:itb06g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISGEESYKPQSNDNGLNANEEKIFVAIRLRPLNERELSKHDVSDWECTNNTTVLFKDNHNVGERSLLPTTYQFGLIALKNGSPASIFAYGQTSSGKTYTMNGITQLAMADIFDYINNKHEERRFTLKFSALEIYNESVRDLLSLDTTPLRLLDDPEKGTVVERLTEVTVRDWRHIIELLSICEAQRRIGETSMNEMSSRSHQILRLTVESCANQPFRSQNNSILSASVDFVDLAGSERSSQTLTANTRIKEGSHINRSLLALGTCIRKLSKGRNGHIPYRDSKLTRILQNSLGGNARTAIICTMSPAHSQAEQSRNTLMFATCAKQVSTNAHVNMVMTDKALVRQLQSEVERLETEVRNLAAYTSPAMKEKEALIEKMDKEIRELMRQRDTAQTWIQDLLHSRPWAEMSEASETPDYQSASDPSEMVGSFISDITSGISHFTDSFDDSFDELPDDLFLSGSTSPSIYIDKYFGPDPCKGWENTGHEHHQSFDDNWKEVQCIETDPNTRTMGSYEASPPEEGHQKCVEAMPLSPRDETDNVNVEATNEPKEDFNGRQEECSRRQEERDETTQLMGATTASQPCFATLLNEESKTMLEKKLCSSTKDAKPNSEKQLYQLLYEAEEIHKEFFAQVAGEGLAIATGSHQTPSYGSIEFEMKMKEVIELWDECYAPLVHRTYFFLLFKGDPTDLVYMEVELRRLYFLKNRWSQGAEVVTDGQVLTKPDSIKTLKQERKMLSRQLRKKLGRKEREALFQKWGIDVKTYHRRRQLSEMLWKDTKDMEHIQESAGLVAKLVGLVEPSAAPKEMFGLSFALQPKKPRKTLLSYGTSWLYGSKE >itb03g19470.t1 pep chromosome:ASM357664v1:3:17574909:17584870:1 gene:itb03g19470 transcript:itb03g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTSLFRQSKAQQQRLHPSGESSSSSPDSLMEEFGYLQSGRKHTPPKDFVCPITGHIFSDPVTLETGQTYERKAIQEWLDRGNSTCPITRQSLHTTRLPKTNYVLKRLVASWQEKNPSSAVSSSDDNDKPESVPNFQGIRCLGFRSNKTIDTSANDIHLAVTCLCTSEILKESELAVLQIEKFWQEGNSGAESLAMLSKPPVINGFVEILFSSVDPYVLKATVFLLAELGSRDKSVIQTLTRVDSDVECIIALFKKGLLEAVVVIYLLMPFPENCLDINVLDSLLAVLDSKEEDLIKMCVNPKTASVLLLGQILRTSREEKTSEIAKMVVSGKAVEAIVGSLEGESTEERISSVVILSRCMQVEGECRNAIADKAELAPILESFVEANDGEKFEIVSFLSELVKLNRRTFNEQILHIIKNEGSYSSMHSLLVYLHNALPHQCPIISGLLLQLDLLVEPRKMSIYREEAIENLVSCLRSSDSPTAQIVAAKTILSLRGRFSYSGEPLTRSFLLKLAGIEKSNKSLVQNDHHHRNPSSDNPCKMEEEKAAEEWERKMAFVLVSHECGLLFEALAESLNTKCADLFSACFVSATWLVYMLSIFPDTGVRKAARVSLLQQFVSIFQSSKDTEDKALSLLALSSFTHDPEGVHDLTVHTKDILQGLRELEESSSLAVEILKTVSGGQESSYELWNRKEIVREDCSANGEVLSIVCSGSKVLSGHSDGTIKAWTLKGGVLHLVQETREHAKAVTSLAILQSAEKFYSGSLDRTVRVWSICNEGIRCEQVHEIKDQVNNLVVSESLSCFIPQGAGIKVHSWGGEFKLLNQAKHARCLALAKSKLYCGCQDNSIQEIDMNTGTIFSIQSGSRKLLGKASPVNALQLHDGLLYSAGSSLDGSSVKIWDTSSHSMIASLPSTMEVRAMAVSSKSVFLGGKGGVVEVWCKKKYIRAGALQSGSTAKVVCLSLDRNDEEEILVVGTSDGKIQRTSVGVYDDRESMAPIAVNDVIPDGTLAYFDEQDQLQSVSIHSLAKGKKVILFAVPGAFTPTCSMKHVPGFIEKSEELISKGVSEILCISVNDPFVMKAWAKTYPDNKHVKFLADGSCKYTQALGLELDLSEKGLGTRSKRFALLVDDLKVTVANIESGGEFTVSGADEILKAL >itb02g02210.t1 pep chromosome:ASM357664v1:2:1229677:1230662:1 gene:itb02g02210 transcript:itb02g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIVGHTSSNGSMSWSGSDHTTFLSSSIASYLDRKILVLLRDGRKLFGTFRSFDQYANVVLEGVCERVIVGNLYSDIPLGLYIVRGENVVLIGELGSSEEELPQHMIHVSVTEIRRAQRAEKEAADLKGSMRTRMEFLDFD >itb15g17160.t1 pep chromosome:ASM357664v1:15:17488032:17490356:1 gene:itb15g17160 transcript:itb15g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPGLDETSKQNAACALLSVPLIDKNKLSIGACGAIPPLVALLINGSNRGKKDSLKLCSVKLNKERAVKPLVELVGVNAEKAMVVLNSLAAIEIGRDGIVEEGGIAALVEAIEDSSDKGEICGAHASAIVYS >itb15g17160.t2 pep chromosome:ASM357664v1:15:17488032:17490356:1 gene:itb15g17160 transcript:itb15g17160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPGLDETSKQNAACALLSVPLIDKNKLSIGACGAIPPLVALLINGSNRGKKDSLKLCSVKLNKERAVKPLVELVGVNAEKAMVVLNSLAAIEIGRDGIVEEGGIAALVEAIEDSSDKGEICGAHASAIVYS >itb05g18110.t1 pep chromosome:ASM357664v1:5:24929199:24931356:-1 gene:itb05g18110 transcript:itb05g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMRNAEKKHHFVLVHGFGHGAWCWYKLIPLLRLSGNHRVTALDLAGCGNHRKQIDEVATVFQYVEPLFNFMSALPRDEKVVLVGHSYGGIPVSLAMQKFPDKISVAVFVSAYMPACKSPPATLIQEYFRRNTADALMDCEVMFERGLENPPTSAIFGPNFMKAILYKHCKEEDLELAKMLMRPNGFYVEDLAKESLLTEGKYGTVRRAYVVCQDDEVMSEEFQKYNVENSPPDEVKSIGGAAHMVMLSKPRELCISLLELAAKYSS >itb04g10860.t1 pep chromosome:ASM357664v1:4:10422748:10423333:-1 gene:itb04g10860 transcript:itb04g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNVFIGNKTPIMAVLMIMSITLAANIFHGDCYSLCNMSDDGLQACKPSVTPPNPVEPTAACCTALSAADLPCLCSQKGSPMLSFLGIDPDLAMALPNKCGLTPPTNC >itb08g15260.t2 pep chromosome:ASM357664v1:8:17341093:17343680:-1 gene:itb08g15260 transcript:itb08g15260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKKKQGGFTKVNQLRPLDTGVSLTVKVVSSKQIAQRGRPQGRFAECLVGDETGMVIFSARNDQVDLMQEGNTIVVTNAKVDMFKGSMRLAVDRFGRVEITEAASFSVPEDINMSLIEFERIDVVV >itb08g15260.t1 pep chromosome:ASM357664v1:8:17341093:17343680:-1 gene:itb08g15260 transcript:itb08g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKKKQGGFTKVNQLRPLDTGVSLTVKVVSSKQIAQRGRPQGRFAECLVGDETGMVIFSARNDQVDLMQEGNTIVVTNAKVDMFKGSMRLAVDRFGRVEITEAASFSVPEDINMSLIEFERIDVVV >itb01g03970.t1 pep chromosome:ASM357664v1:1:2622495:2623349:-1 gene:itb01g03970 transcript:itb01g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALPSILLILALAFTVATTVVANHPSPPSAEAIVMKKCREVLGPRRLIVTFCAHNLLGHRAALLATCDRRKTVAVVIKEVHNKAKAFEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEEPSKESIMMLKRSIPGEIAKTKEKCDSTAPGRQNGLWLELRIKELESITADIVASAFVNNLYSTTH >itb02g05660.t2 pep chromosome:ASM357664v1:2:3478415:3480197:1 gene:itb02g05660 transcript:itb02g05660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPRVIVLFKDLHGFGAAIFHALRPNPNSDLQSREESFDLSLEKYGIKDLKASGQIVHFQAHTGLYEVSVLLLENYEPPILACALSEVLSVLAAGESSAIPTVFVPFIVPATKLKVDSKSSAIMDHALTYGLQFGPSTDVTQALVSKLHTPPPSLQIFHEQLACLLQLVRVLMLPTVVLIRKTESIHSQTSDKQLEVHIIFVLYLVSSLCGLLYSRKKKEEREKTQNSTL >itb02g05660.t1 pep chromosome:ASM357664v1:2:3478415:3481997:1 gene:itb02g05660 transcript:itb02g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPRVIVLFKDLHGFGAAIFHALRPNPNSDLQSREESFDLSLEKYGIKDLKASGQIVHFQAHTGLYEVSVLLLENYEPPILACALSEVLSVLAAGESSAIPTVFVPFIVPATKLKVDSKSSAIMDHALTYGLQFGPSTDVTQALVSKLHTPPPSLQIFHEQLACLLQLVRVLMLPTVVLIRKTESIHSQTSDKQLEAMYEIGEYLASFSSLCLSRERITLDPTKMCRETEEPWRALYG >itb01g11700.t1 pep chromosome:ASM357664v1:1:10777353:10777781:1 gene:itb01g11700 transcript:itb01g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASPILHHHSRMDDLIGWLRSTNPEIHLKALREVKMQIIGNRTKKLSFLKLGAVPAVVSVLSSIASAQVGREVHDSLVIRSAAAIGSFSCDFDVGVKVVLEARVFPLLLRLISHSYDKVVMIFQHLHFSNTSNCFHLIL >itb05g16810.t3 pep chromosome:ASM357664v1:5:23914284:23918464:-1 gene:itb05g16810 transcript:itb05g16810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSSDGGFGDLMKIKLAARHISYRTLFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPKLLGRTDDSGRLVKDFVKILNQVSSEEVPTDLKLPDSFSQLVSEMKNNKHNAKEFVLILKGMMERSQKEIRESKFSELMNKHFAASSIPKGIHCLSLRLTDEYSSNANARKQLPSPELLPSLSDNSLHHFVLSTDNILAASVVVDSAVQSSLKPEKIVFHVITDKKTYPGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVETHSGIRNYYHGNHVAGANLSDTTPRSFASKLQTRSPKYISLLNHLRIYLPELFPNLEKVVFLDDDVVIQRDLSPLWDIDLNGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIAKTLNPDDCAWAYGMNIFDLSAWRKADIRETYHKWLKEVNVLLLHVIHGLYRLMGLEK >itb05g16810.t2 pep chromosome:ASM357664v1:5:23912833:23918468:-1 gene:itb05g16810 transcript:itb05g16810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSSDGGFGDLMKIKLAARHISYRTLFHTILILAFLLPFVFILTAVVTLEDCLGRRLGPKLLGRTDDSGRLVKDFVKILNQVSSEEVPTDLKLPDSFSQLVSEMKNNKHNAKEFVLILKGMMERSQKEIRESKFSELMNKHFAASSIPKGIHCLSLRLTDEYSSNANARKQLPSPELLPSLSDNSLHHFVLSTDNILAASVVVDSAVQSSLKPEKIVFHVITDKKTYPGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVETHSGIRNYYHGNHVAGANLSDTTPRSFASKLQTRSPKYISLLNHLRIYLPELFPNLEKVVFLDDDVVIQRDLSPLWDIDLNGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIAKTLNPDDCAWAYGMNIFDLSAWRKADIRETYHKWLKENLNSNLTMWRLGTLPPALIAFKGNVHPIDASWHMLGLGYQSKTNVENVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILE >itb05g16810.t1 pep chromosome:ASM357664v1:5:23912800:23918490:-1 gene:itb05g16810 transcript:itb05g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSSDGGFGDLMKIKLAARHISYRTLFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPKLLGRTDDSGRLVKDFVKILNQVSSEEVPTDLKLPDSFSQLVSEMKNNKHNAKEFVLILKGMMERSQKEIRESKFSELMNKHFAASSIPKGIHCLSLRLTDEYSSNANARKQLPSPELLPSLSDNSLHHFVLSTDNILAASVVVDSAVQSSLKPEKIVFHVITDKKTYPGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVETHSGIRNYYHGNHVAGANLSDTTPRSFASKLQTRSPKYISLLNHLRIYLPELFPNLEKVVFLDDDVVIQRDLSPLWDIDLNGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIAKTLNPDDCAWAYGMNIFDLSAWRKADIRETYHKWLKENLNSNLTMWRLGTLPPALIAFKGNVHPIDASWHMLGLGYQSKTNVENVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILE >itb05g02590.t1 pep chromosome:ASM357664v1:5:2067308:2069995:-1 gene:itb05g02590 transcript:itb05g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRSLLCKTPKSSPFLCAFSTQSSTDASAAPETLTTSRYDELINKAGRNGDFDAVQSLLEQRGKHGLFCTNYTFKFISRDISKLDDLLAALSRIDQRFARKSAHDCLVARLSKLRHLPHALRVAEIVVREGYGSNAVTFHPILNELTKMRKFKEAWRVVEAMKDLKVRPDLTAYNYILTGYCYVRDLSSAAGVLTNLENEKLGADTRTYDALVLGACRDGKPDAALRLLIRMEDEGISPLYSTHAHIIGSMVRLGFHAQALEFVMTCSGRDLKLDYENFGTLATKLIYRKRFEDAKVVVKEMKQRGIEMGEKLKEFYDMHAIDEHDAAAGKTE >itb12g09690.t1 pep chromosome:ASM357664v1:12:7619917:7631046:-1 gene:itb12g09690 transcript:itb12g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAESGVCVAVLNEGMMIIDMPKVAGLECENQPVAGGTETELYGNEKVEEVAHTDMECFTNNQASESLKNTELESLAEEKGNEGPNVPDLAHCATNEVKEASNDDMFSEVSNPNLSPKGHTSSFQTFSSQLVECTNTNQGVCGEITSSCSGAEESLGMEYPRGYETSGPVSTSCVVLEIPKEFSTTGVRKITLKFSKCKEDKDPLSAPTAPPISKGVIGGFNQLQAWNPVESSGMDVELNSSAIKENMELKMSKKVVPDIYPTNVKKLLSTKILEGAQVKYISTSGQRQLPGIVKDSGYLCGCSFCNFSKVVSAYEFELHAGGKTRHPNNHIYLENGKPIYSIIQELKTTPLNLLDQMVKDVAGSSVNEQYLQAWKATLLQNREATGLNNYAHGKPSGMFHFTASQATEDCIPAPLAYLDKSYLSQHNFRDMAEEQKHVVKKPRSFSGSAVEQKKTSEGGTKKRDNDLHRLLFMPNGLPDGTDLAYYSKGKKILGGYKQGNGILCSCCNTEISPSQFEAHAGWAAKRQPYRHIYTSNGLTLHDIALMLASGQSITTNNSDDMCAVCGDGGELILCDGCPQAFHAACLGLECAPSSNWLCAHCRDKFGPGRKVTGESRPIIIRLTRVVKAPSYESGGCVVCRVPDFSPETFDDRTVMLCDQCEKEYHVGCLRKIGLCDLKELPTDKWFCCYDCNTIYLALQNSVMKGAEAIPPSVSAALTKKHVEKGVANGGANDIQWRILSGKSRYAEHLPLLSKAAAIFRECFDPIVAKTGRDLIPVMVYGRNISGQEFGGMYCVVFMIKSVVVSAGLLRIFGQEVAELPLVATSREYQGKGYFQALFGCIEKLLHSLHVKNLVLPAAEEAESIWTKKLGFRKMTDERYLRYSRDLQLTVFKGTSMLEKEVWQASDAL >itb06g25510.t1 pep chromosome:ASM357664v1:6:26527315:26529115:1 gene:itb06g25510 transcript:itb06g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDSIPKASIPMASSNPKDLAKKKRSHRSAKLKQYKLDARREQWLSQVKNKGPKEELNCLGGTCGSVKGVDERPRSIEKLEIIPRGEEDNEGSVNNYSDLDSPANSPTNHPSIVLGGNDSGTNFSGSSGSSSSSSTSSSGQCWSGSMSEEDEEGNDGCLDDWETIADALASIDENHGSRHNSSLDSHHGRNESVVQSSSQTKLLGVDNSKANLETSRPPANCRAWRPDDAFRPQSLPNLSKQYSFPMNSERHYRGGSVWSCRNLSVPTSCPICCEDLDFTDTSFLPCSCGFRLCLFCHKRILEEDGRCPGCRKQYHCDPDEGEAAVDSGSPAIRLSRSCSMISRS >itb09g11730.t1 pep chromosome:ASM357664v1:9:7315332:7316508:-1 gene:itb09g11730 transcript:itb09g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAGFAYGYGTCFQQREGIIMQSAVNTNSEWSQNSSRSCSTTIPICQCSQPLQLRTSWTNDNPGRRFWVCTKDREMSVGGCGFVSWYDPPMCSRSKSIIPGLLRRLNRNDEEIERLQSKLRATASRDKKSKLNCPCRVVMVWFLLSMMFVMWYYFKCTCGGSVKVVKRLPIA >itb07g07110.t1 pep chromosome:ASM357664v1:7:5391296:5394921:-1 gene:itb07g07110 transcript:itb07g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNLTCPFSLAGHVCLRHRSLPKCKTRVSCSFSPLPSKFKRQYTSVMIVPTGIGAAIGGYAGDALPVARAFSSVVDCLITHPNVLNAAMLYWPMSNVLYVEGYALDRFAEGLWALEPVHQNRVGLVLDAGIEEDLRTRHLQVVDAARASLGLSFVEYTVTDLPLMVEKWVDPKCGQSTGRIQNPDSLLRAVEKLVKGSDVNAVAVVARFPDDDDEDIDDYRQGMGIDLLAGVEAVISHLVVKHFQIPCAHAPALSPPQLSTSVCPKSASEEIGYTFLPCVLAGLGTAPQYLIKGTEVLGKNCISASDVDSVILPIDACGGDGALAFASSKRNRPLIIAVEENETVLNDTPERLGIEAVRVANYWEAIGVVAAHKAGINPDSLRRDRIKNIQNHSLLEYHSRAMLKL >itb07g07110.t2 pep chromosome:ASM357664v1:7:5391317:5394898:-1 gene:itb07g07110 transcript:itb07g07110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTGIGAAIGGYAGDALPVARAFSSVVDCLITHPNVLNAAMLYWPMSNVLYVEGYALDRFAEGLWALEPVHQNRVGLVLDAGIEEDLRTRHLQVVDAARASLGLSFVEYTVTDLPLMVEKWVDPKCGQSTGRIQNPDSLLRAVEKLVKGSDVNAVAVVARFPDDDDEDIDDYRQGMGIDLLAGVEAVISHLVVKHFQIPCAHAPALSPPQLSTSVCPKSASEEIGYTFLPCVLAGLGTAPQYLIKGTEVLGKNCISASDVDSVILPIDACGGDGALAFASSKRNRPLIIAVEENETVLNDTPERLGIEAVRVANYWEAIGVVAAHKAGINPDSLRRDRIKNIQNHSLLEYHSRAMLKL >itb07g07110.t3 pep chromosome:ASM357664v1:7:5391690:5394898:-1 gene:itb07g07110 transcript:itb07g07110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNLTCPFSLAGHVCLRHRSLPKCKTRVSCSFSPLPSKFKRQYTSVMIVPTGIGAAIGGYAGDALPVARAFSSVVDCLITHPNVLNAAMLYWPMSNVLYVEGYALDRFAEGLWALEPVHQNRVGLVLDAGIEEDLRTRHLQVVDAARASLGLSFVEYTVTDLPLMVEKWVDPKCGQSTGRIQNPDSLLRAVEKLVKGSDVNAVAVVARFPDDDDEDIDDYRQGMGIDLLAGVEAVISHLVVKHFQIPCAHAPALSPPQLSTSVCPKSASEEIGYTFLPCVLAGLGTAPQYLIKGTEVLGKNCISASDVDSVILPIDACGGDGALAFASSKRNRVQFLRLSFMTK >itb11g05960.t1 pep chromosome:ASM357664v1:11:3563849:3567694:-1 gene:itb11g05960 transcript:itb11g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSTEQPPSTAETLDKIVLLLSQLLPSSLSIKSFSSRWQVIRSKLATLKSLLAEISESPRWSDNSLLPTLLPSLLSTLQRVDILCRQCSDASFTPGKLLMQSDLDMAAGWLSKQIHHLDLLCRSGVLRQSTAIVLSHPSSASTKEDLVLFIRDLFTRLQIGGGEFKKKALESLVQLLSGDEKLAGVVAKEGRIGYLINLLDLNADPVICEQAVLAVSMLVSSSNQARKCVFEEGGLGPLLRVIDSGSMTMKENAALAVECLTSDPENAWAVSAYGGVPVLTELCKSGSVLAQIHGVGAIRNVCTNEDVRIALADEGAIPVLLQLMVSGKELAQEKAANCVATLASSGEYYRDLLLKEKGLYRLLNVLQESAASETVEHVLRAIHSLSACEQTSRRLSSSTALVVQLSELIKHGTMMLQYISASLLANLSISEGNKRAIAGCMGSLVKLMQSAKPDGLQEVATNALVSLLTVKSSRKDIVRDEKSVMLLTQMLDPKSDLVSKKFPVAVVTAVMAGGSKGCRKRLMAAGVHAHLQRLTEMEVPGARKALQRLSGNRLKKIFTRTWLE >itb14g00970.t1 pep chromosome:ASM357664v1:14:740298:741119:1 gene:itb14g00970 transcript:itb14g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSTVHYWLVDHPAISQYEWKEGHTLGASPLFVAVTVISYLSVTLSLRRFAVLPDLSSAATLRYAAATHNVILCLLSLAMAAGCTLATLRQTPIHGRRWVVCFPAAVTLPRGPVFFWAYVFYLSKILEFRDTLLILLSGSCSRRLSFLHVFHHAGVVVGSYALLATAQSMLPVAVVTNAAVHVLMYAYYFLCALGHRPRWKKLVTDCQIIQFVFGNTMSGLMLYYHFTGSGCSGIWAWFFNTVFVALLLTMFLDFHSTNYSSKKRKDHDN >itb09g04190.t3 pep chromosome:ASM357664v1:9:2336721:2338594:-1 gene:itb09g04190 transcript:itb09g04190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFSTCSSSIALAPLYSGADPSSLPANLSSRLILPASPKLHSGSTSTFHCWSENLKVEQVPQHWKWRTRVSFSFLSRSQDVESLKEELLEAIAPLDRGADATPEDQRLVDQIARKLEAVNKVKEPLKSSLLNGKWELLYTTSESILQTRRPKVFRPNGKIYQAINVDTLRAQNMETWPFFNQATANLVPINAKRVAVKFDSFKIAGVIPINARGSGRGQIEITYLDKDLR >itb09g04190.t4 pep chromosome:ASM357664v1:9:2336721:2338594:-1 gene:itb09g04190 transcript:itb09g04190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFSTCSSSIALAPLYSGADPSSLPANLSSRLILPASPKLHSGSTSTFHCWSENLKVEQVPQHWKWRTRVSFSFLSRSQDVESLKEELLEAIAPLDRGADATPEDQRLVDQIARKLEAVNKVKEPLKSSLLNGKWELLYTTSESILQTRVLKLHPLRLISNK >itb09g04190.t5 pep chromosome:ASM357664v1:9:2335227:2338578:-1 gene:itb09g04190 transcript:itb09g04190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFSTCSSSIALAPLYSGADPSSLPANLSSRLILPASPKLHSGSTSTFHCWSENLKVEQVPQHWKWRTRVSFSFLSRSQDVESLKEELLEAIAPLDRGADATPEDQRLVDQIARKLEAVNKVKEPLKSSLLNGKWELLYTTSESILQTRRPKVFRPNGKIYQAINVDTLRAQNMETWPFFNQATANLVPINAKRVAVKFDSFKIAGVIPINARGSGRGQIEITYLDKDLRITRGNQGNLFILRMVDPSYRVPI >itb09g04190.t2 pep chromosome:ASM357664v1:9:2334014:2338594:-1 gene:itb09g04190 transcript:itb09g04190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFSTCSSSIALAPLYSGADPSSLPANLSSRLILPASPKLHSGSTSTFHCWSENLKVEQVPQHWKWRTRVSFSFLSRSQDVESLKEELLEAIAPLDRGADATPEDQRLVDQIARKLEAVNKVKEPLKSSLLNGKWELLYTTSESILQTRRPKVFRPNGKIYQAINVDTLRAQNMETWPFFNQATANLVPINAKRVAVKFDSFKIAGVIPINARGSGRGQIEITYLDKDLRITRGNQGNLFILRMVDPSYRVPI >itb09g04190.t1 pep chromosome:ASM357664v1:9:2334659:2338579:-1 gene:itb09g04190 transcript:itb09g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFSTCSSSIALAPLYSGADPSSLPANLSSRLILPASPKLHSGSTSTFHCWSENLKVEQVPQHWKWRTRVSFSFLSRSQDVESLKEELLEAIAPLDRGADATPEDQRLVDQIARKLEAVNKVKEPLKSSLLNGKWELLYTTSESILQTRRPKVFRPNGKIYQAINVDTLRAQNMETWPFFNQATANLVPINAKRVAVKFDSFKIAGVIPINARGSGRGQIEITYLDKDLRITRGNQGNLFILRMVDPSYRVPI >itb02g05580.t1 pep chromosome:ASM357664v1:2:3410884:3416561:-1 gene:itb02g05580 transcript:itb02g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPTMKPNYVFILVCCVLSISQATVAAPAASNGFRVRAVNLGGWLVTEGWMKPSLFDAIPNRDLLDGTTVQFKSITLGKYLSAEHGGGTILIANKILARSSETFKLWRINETTFNLKVYNNQFIGLDTTDGVNLVAVKNTPCILETFMILRNSDDPNRVRIKASNGFFLQVKTEELVTADSKGNERWDDDDPSIFIMTALSPYQGEYQITNGYGPVMAPKVMREHWETYIVEEDFKFISSNGLNAVRIPIGWWIAYDPNPPKPFVGGSLKALDNAFKWATKYGLKVLLELHAAPGSQNGFALSGSRDGTLGWGLDSIEPTVAVIEFLAARYAKNPSLYSIGLMNEPSLWVSPETVMSYYGAGYDAVRRHSSTAYVVMATRMWAHNVTEFLPFAAQFERVVLDVHLYNFNHMTVEDVMNGDVYTHCQEVVSAVTTSDGPLSFVGEWTAEWMVPNATKEEYQRFAKAQLEVFDRATFGWAYWTFKHVGIHWSLEWMINNGYINLTASDPISVSSI >itb02g05580.t2 pep chromosome:ASM357664v1:2:3410884:3416263:-1 gene:itb02g05580 transcript:itb02g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRNSDDPNRVRIKASNGFFLQVKTEELVTADSKGNERWDDDDPSIFIMTALSPYQGEYQITNGYGPVMAPKVMREHWETYIVEEDFKFISSNGLNAVRIPIGWWIAYDPNPPKPFVGGSLKALDNAFKWATKYGLKVLLELHAAPGSQNGFALSGSRDGTLGWGLDSIEPTVAVIEFLAARYAKNPSLYSIGLMNEPSLWVSPETVMSYYGAGYDAVRRHSSTAYVVMATRMWAHNVTEFLPFAAQFERVVLDVHLYNFNHMTVEDVMNGDVYTHCQEVVSAVTTSDGPLSFVGEWTAEWMVPNATKEEYQRFAKAQLEVFDRATFGWAYWTFKHVGIHWSLEWMINNGYINLTASDPISVSSI >itb14g05910.t1 pep chromosome:ASM357664v1:14:5166875:5169515:-1 gene:itb14g05910 transcript:itb14g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVSLTPRHQYLFKTILNYTHLKNLRKGKSLHAHLIKSGSSSCIYLSNNIVNFYAKCSLLREAHLAFDDIDDKDTVSWNCLINGYSQLGHRDSSLAVLSLLKQMMLQNTVPNSHTFAGVFSAASHLGDTIVGKQAHCLAVKLRAFDDVFVGSSLVNMYCKAGNIGDAHMVFEEMPERNSVSWATMIYGYASQRLAKEALGLFRLMLEEGEDDINEFALTSVLSAFTLSEFISVGKQIHCLSMKTGLLSIVPVANALVTMYAKCGGLDDACQAFESSNIKEPITWSAMVTGYAQNGNGERALMLFSEMHVCGVMPSEYTLVGVLNACSDVVAVSEGRQVHGYLVKLGFESQMYIMTALVDMYAKCGKIDDARSGFDCLGESDIVLWTSMIAGYVQNGDSESALNMYCRMRGKDIMPNELTMASVLKACSSLAALEPGKQIHAHTVKYGFSLTVPVGSALATMYAKCGSLNDGDLVFRRMPDKDVASWSSMISGLSQNGHGTEALHLFEEMRMVGGKPDYVTFVNILSACSHMGLVEKGWDYFKMMSDEFGIEPGVEHYACMVDILGRAGKLNEAKEFILSATIDHGLCLWRILLSACRNYRNYELGAYAGEKLMELGSLESSAYVLLSSIYSALGRVQDVERVRHLMSLRCVTKEPGCSWIELKTRFHVFVVSDQLHPQIKEIREEARKLSKLMKDEDYELDPDLVLEGL >itb09g17070.t1 pep chromosome:ASM357664v1:9:12291023:12293796:1 gene:itb09g17070 transcript:itb09g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKHLLHRGYTVHATVRSLKDPNKVAHLLSLDGAKERLHLFEADLLDENSFDPVINGCDGVFHTASPISFSPSATKAELVDPAVKGTLNVLGSCVRTPSVKRVVVTSSTASVMYKPNLIISLTGIIDETWFSDKEFAEETKQWYILSKVLAEEAAWKYAGENRIDMVSLHPGLVIGPLLQPILNFSSEMILGTIKEGKDFMSFPINCYVDVRDVANAHIEAFEVPSANGRYLLVGETMHSSQVLKIVGHLYPSLALPEKYKGDLPIVPPFKVSQDKAKSLGINYTSLEVSLKDTIESLKEKNFLSF >itb07g07130.t1 pep chromosome:ASM357664v1:7:5427888:5428539:1 gene:itb07g07130 transcript:itb07g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELARVMLPEIRRRPPPSRRISGAVGQFPLLGSRLSNNAANADTQVESKNIRFSRLAHCDEELVEDAEIGGNCENGVRNHLKDIAGNFWEAASVFSKKLRKWSQKPEGETSLVDSTPHIRTVEIVVIWVIGKDNKVLVESHQELSNGNVRNRYRPLSEKMKPGENVDSVVFCAIKLRAGFHNSQKF >itb08g00750.t1 pep chromosome:ASM357664v1:8:566386:568947:1 gene:itb08g00750 transcript:itb08g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRATTSVLSRGIFRPQISLLTARFAHAPLRPRTFDSSSLLVLRTIYYSPVLCKAHDGSDDDGKKSPARMAQVQQLIYEATERAQSAGNEPIPKITIDHVTLNFARSSGPGGQNVNKVNTKVDMRFNVQNAHWLSERVRERIIQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQAIIDAASYVPPPPSEEQVKRIAKLAAAGERKRLDNKKALSQKKAMRRSRDSWD >itb08g00750.t2 pep chromosome:ASM357664v1:8:566386:568947:1 gene:itb08g00750 transcript:itb08g00750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRATTSVLSRGIFRPQISLLTARFAHAPLRPRTFDSSSLLVLRTIYYSPVLCKAHDGSDDDGKKSPARMAQVQQLIYEATERAQSAGNEPIPKITIDHVTLNFARSSGPGGQNVNKVNTKVDMRFNVQNAHWLSERVRERIIQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQAIIDAASYVPPPPSEEQVKRIAKL >itb06g11660.t1 pep chromosome:ASM357664v1:6:16196553:16200586:1 gene:itb06g11660 transcript:itb06g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSNSDNLIAILSIDGGGIRGIIPATILAFLEAQLQELDGEKVRICDYFDLIAGTSTGGLVTAMLTAPDEDKRPLFAAKDILPFYKEHGPKIFPERYMTCRGGGLFGTMRRSLASLIGPKYDGKHLREVLEEKLGNTRLHQTLTPVVIPTFDINNFQPLAEDRPELDVKLSDICIGSSAAPTYFPAHCFKNSDDKGNMFEFNLVDGGVCANNPKYSADMAAKWGIIGWLTHAGGNPLIDVFSHASSDMVDYHIITSFHSLNVGDNYLRIQEDELEGELASVDVTTEENLKKLEEIGKKLLTKSVSKLDLLTGEYKPVPTRGTNEEALKRFAKMLSENKRNRLNNEVE >itb13g04730.t1 pep chromosome:ASM357664v1:13:5610940:5611805:1 gene:itb13g04730 transcript:itb13g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRTAVEPSTRVTRSSTRLLVNANSDNSVEPPKAKKPKKTAAAAAATKEVKATLPAAPAAEEGVGDGRTIVIEHCKQCNSFKTRALTVKEGLEKGVAGVNVVVNPEKPRRGCFEIRQENGEKFISLLDMKRPFAPMKALDMDKVVSDILDKIK >itb14g13410.t2 pep chromosome:ASM357664v1:14:15380605:15392271:-1 gene:itb14g13410 transcript:itb14g13410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSDGFVMANQIDLKSLDEQLERHLNRAWTMEIGEEQEKNKKKLTQDCLLYLYSFLYFSQRRRVGAVAAAASPGDQGVTFPRSSTSSVCPASSSKSRAANSGISVGESEQRPSAMGDLGGDAPGVGCSDGSWFTRKFSPPLVFVLECVVRGSGGWAVWSSGRLRQCTPLRYDVKVAVAAWDGLLCSQ >itb14g13410.t1 pep chromosome:ASM357664v1:14:15380605:15392271:-1 gene:itb14g13410 transcript:itb14g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSDGFVMANQIDLKSLDEQLERHLNRAWTMEIGEEQEKNKKKLTQDCLLYLYSFLYFSQRRRVGAVAAAASPGDQGVTFPRSSTSSVCPASSSKSRAANSGISVGESEQRPSAMGDLGGDAPGVGCSDGSWFTRKFSPPLVFVLECVVRGSGGWAVWSSGRLRQCTPLRYDVKVAVAAWDGLLCSQ >itb14g13410.t3 pep chromosome:ASM357664v1:14:15379385:15380574:-1 gene:itb14g13410 transcript:itb14g13410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIANSITNFQRRHLCPGLHSPLHRPADESDEDGEAAAVDDRRPPTRALPHDEAAANDKDDEAAIPASALPLLTNPTKLLPKRDPPPLSTRTRLRLRPC >itb11g16740.t2 pep chromosome:ASM357664v1:11:15224135:15230306:1 gene:itb11g16740 transcript:itb11g16740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADESSNAVWTREQDKAFENALVTYPEDSSDRWEKIAADVPGKSLEEVKLHYEILIDDVSRIESGCVPVPCYNSSSDNSASHDGDEGTSKKGGNSGNTNSDSNHGKSMRADQERRKGIPWTEEEHRFFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVNSGDVSVGQGPITGQTNGSAAAAAAAAGGSSGKSNKPQAVAPAPAGVAMYGGVTTIGQPVGVPLVPAVGTPMNLPPQAHMAYGTPSIPGAVVPGMPHTSVHR >itb11g16740.t1 pep chromosome:ASM357664v1:11:15224135:15230306:1 gene:itb11g16740 transcript:itb11g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADESSNAVWTREQDKAFENALVTYPEDSSDRWEKIAADVPGKSLEEVKLHYEILIDDVSRIESGCVPVPCYNSSSDNSASHDGDEGTSKKGGNSGNTNSDSNHGKSMRADQERRKGIPWTEEEHRFFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVNSGDVSVGQGPITGQTNGSAAAAAAAAGGSSGKSNKPQAVAPAPAGVAMYGGVTTIGQPVGVPLVPAVGTPMNLPPQAHMAYGTPSIPGAVVPGMPHTSVHR >itb11g16740.t3 pep chromosome:ASM357664v1:11:15224189:15230261:1 gene:itb11g16740 transcript:itb11g16740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTADESSNAVWTREQDKAFENALVTYPEDSSDRWEKIAADVPGKSLEEVKLHYEILIDDVSRIESGCVPVPCYNSSSDNSASHDGDEGTSKKGGNSGNTNSDSNHGKSMRADQERRKGIPWTEEEHRFFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVNSGDVSVGQGPITGQTNGSAAAAAAAAGGSSGKSNKPQAVAPAPAGVAMYGGVTTIGQPVGVPLVPAVGTPMNLPPQAHMAYGTPSIPGAVVPGMPHTSVHR >itb11g16740.t4 pep chromosome:ASM357664v1:11:15224189:15230261:1 gene:itb11g16740 transcript:itb11g16740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTADESSNAVWTREQDKAFENALVTYPEDSSDRWEKIAADVPGKSLEEVKLHYEILIDDVSRIESGCVPVPCYNSSSDNSASHDGDEGTSKKGGNSGNTNSDSNHGKSMRADQERRKGIPWTEEEHRFFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVNSGDVSVGQGPITGQTNGSAAAAAAAAGGSSGKSNKPQAVAPAPAGVAMYGGVTTIGQPVGVPLVPAVGTPMNLPPQAHMAYGTPSIPGAVVPGMPHTSVHR >itb15g05330.t1 pep chromosome:ASM357664v1:15:3411668:3414166:-1 gene:itb15g05330 transcript:itb15g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRTNNGNEPPEIDDGGGGGNSSRFIPRGHWKPDEDAKLKELVALHGAHNWNFIAHSLPGRSGKSCRLRWYNQLDPKLNRSTFTAEEEERLVEAQKMYGNKWATIARLYFPGRTDNAVKNHWHVLLARNERWWRQQQQQIGEVSAASFTSNCGGYKRMKLTNAINNPSSFNAAATESTVDSKSTCIHLSLSAASFGRNPMPPDFLTPGAVTGSSSSRTEEGKGKQCYSPLSILTTPIATEIGLSITTGSSSSSRVEEGKSRRGGGGWWGGQSESSNSEVSGASESVANLKGTNQKTDQGNQLNKIAFYDFLGMGAT >itb04g34260.t1 pep chromosome:ASM357664v1:4:36283691:36285415:-1 gene:itb04g34260 transcript:itb04g34260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLLQKSPLPSGPADQNEKQPRLAAASDSGFFPTSSTLLRSGEFLTTYDAAVNNGVVAGDEVRLDPPSCRLHRRRLRLP >itb15g20820.t1 pep chromosome:ASM357664v1:15:23489721:23491249:-1 gene:itb15g20820 transcript:itb15g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENVSSTTMPSSIWESMNSWFTPTVLFVLLNLMIGTIAFTSTLTNQKQKNQENRQPQNQQPPMLARSPSVLQRLRSINFYAFRPQETSHFKSPPDSHNLHHTHQPQILESQTHYIFGHTHQESPNLETHHPTHHIFRQENHEQTQTHHQSQQENQTHHIFRQENHQQTQTHHFFRQENQTHHQFQQENQSQFLPNQNKPEETHAHFDAQEEEEETDELQSMDEIYSRLKGKQVNRCKSDTKPSSGEMPARLPAKMKKSASMKSAFNHFGEKAEDVEPRRPATTRERAAPVDHEVDAKADDFINRFKQQLKLQRLDSILRYKEMIGRGAGR >itb07g00290.t1 pep chromosome:ASM357664v1:7:227444:230533:-1 gene:itb07g00290 transcript:itb07g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSTRRNSNTQLLQELEALSESLYQTHTSTTRRTASLVLPRTSLPPTLTAADDDDVSVKNEEKKINPKPRSRRMSLSPWRSRSKQLDDDSSEQKKELTKAATQKEIKKLDDKADTSEKRGLLSWKPIRALSHIGKQKLSCLFSVEVVAVQGLPASMNGLRLSVCVRKKETRDGAVQTMPSRVSQGAADFEETLFIRCNVYFTPGTGTHMKFEPRPFLIYAFAVDADELDFGKSSVDLTQLIQESIEKSFEGTRIRQWDTSFSLSGKAKGGELLLKLGFQIMEKDGGIGIYSQAEGQKTSKNRDYSSSSFARRQSKTSFSVQSPRITSRADGRTPSQKLIPTDLQGIDELNLDEPAVAIPAAQESVKEPDEKAEDLDIPDFDVVDKGVEIQEKDRAEDELSEENSNSDKRSVSSEVVKEIVQDQFYLTRMTELDSIAQQIKALESMLGDESSVKTEEETQSQGLDADEETVTREFLQMLEDSDTNQFKVNRQALQLEEDENSGKKESEVFLPDLGKGLGCVVQTRNGGYLASLNPLNVALARTDSPKLAMQMSKPLVLPSHTSVNGIELFQRMAAAGVEEMSSQILASMPMDELIGKTAEQIAFEGIASSIIQGRNKEGASSSAARTVAVVKSMANAMNSGRKVRISTGIWNVSEDPLTAEEILAFSMQKIEEMTVEALKIQAGIAEEQAPFDVSAINVKEKSHPLAHAVPLEDWVRDNSVATSNDDNGSTENIAIFVVVQLRDPLRQYEAVGGPMIALIQAAHADMGPDNYDEEKRFKVATLHVGGLRVKSGGKKNVWDTEKQRLTAMQWLIAYGLGKMGKKGKHQATKGQELIWSISSRIMADMWLKPIRNPDVKFTK >itb15g17980.t1 pep chromosome:ASM357664v1:15:19324146:19333988:1 gene:itb15g17980 transcript:itb15g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNMKLLVRVIEARNIPGNDPNGLSDPYVKLQLGRQKFRTKVVKKCLNPSWCEEFTFRIDEFKEELVITVLGEDKYFNDDFVGQVKFNLSKVFETEGKSLGTAWYTLQPKQKKSKNRDCGQILLTICFPRNNLLVDTQTGGDLAPLTKKYTDAGSESISRALPLNFPLPGGSLEEASSFKDEKSNPQTLVGRLYQIFNKNGDGVPMPSVKVSDMPDISGSVGTLDNEDTQEEQLSSVSFEEMMKNMEMRENESEIPSNLPGVVVDQIFATAPHELNSLLFSPDSNFLKSLTNMQGSTELNVGPWKFENGSENLKRVVCFIKAPSKLVKALKASEEQTYMKADGKTYAISCTVSTPDAPYGSTFRTEVLYCITSGPELPSGEESSRLVVSWRMNFLQSTMMKSLIENGARQGIKENFEQFSSLLSQNVKPVDAKNIGSEKEQLLASLQVEPQSDRKLAIQYFANFTVISTFLVGSYVLLHILLATPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELVSRFMQARVQRAKGGDHGVKALGNGWLLTVALLEGSDLVAVDSGGFSNPYVVFTCNGRTRASSIKFRSSSPKWNEIFEFDAMEEPPSLLNVEVFYFDGPFHEATSIGHAEINFIKTKISDLSEIWITLQGKLALACQSKLHLRIFLNNTKGSNAAKDYLSKMEKEIGKKIKLRSPQTNSAFQKLFRLPPEEFLINDFSCQLKRKMPLQGRLFLSVRIMGFHADLFGHRTNFFFLWEDIEDIKVIPKTLASIGSPIVIVTLKSGKGFDAKHSAKTHDEEGRLKFHFQSFVSFNVAIRMMMALWKARALSPEQKARIVEEESKAKSLQIPDEDSIDKNLVVDEEIEVKYLQSEEIDLTTGDDDPNMSIAYSSVLSVPTDFFMELFSGSELDRRVMERAGCLNYSCSPWESENPEVYQRQLYYKFEKCISRYRAEVTSTQQKSLLPDKNGWLIEEVLTLHGVPFGDYFNLNVRYQVEDVPSRATGCNVQVYSGVQWSKYSKHQKRITKNITSNLRERLLVMFSALEKEYLSEQQLDRASCQQGS >itb15g17980.t3 pep chromosome:ASM357664v1:15:19324146:19333988:1 gene:itb15g17980 transcript:itb15g17980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNMKLLVRVIEARNIPGNDPNGLSDPYVKLQLGRQKFRTKVVKKCLNPSWCEEFTFRIDEFKEELVITVLGEDKYFNDDFVGQVKFNLSKVFETEGKSLGTAWYTLQPKQKKSKNRDCGQILLTICFPRNNLLVDTQTGGDLAPLTKKYTDAGSESISRALPLNFPLPGGSLEEASSFKDEKSNPQTLVGRLYQIFNKNGDGVPMPSVKVSDMPDISGSVGTLDNEDTQEEQLSSVSFEEMMKNMEMRENESEIPSNLPGVVVDQIFATAPHELNSLLFSPDSNFLKSLTNMQGSTELNVGPWKFENGSENLKRVVCFIKAPSKLVKALKASEEQTYMKADGKTYAISCTVSTPDAPYGSTFRTEVLYCITSGPELPSGEESSRLVVSWRMNFLQSTMMKSLIENGARQGIKENFEQFSSLLSQNVKPVDAKNIGSEKEQLLASLQVEPQSDRKLAIQYFANFTVISTFLVGSYVLLHILLATPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELVSRFMQARVQRAKGGDHGVKALGNGWLLTVALLEGSDLVAVDSGGFSNPYVVFTCNGRTRASSIKFRSSSPKWNEIFEFDAMEEPPSLLNVEVFYFDGPFHEATSIGHAEINFIKTKISDLSEIWITLQGKLALACQSKLHLRIFLNNTKGSNAAKDYLSKMEKEIGKKIKLRSPQTNSAFQKLFRLPPEEFLINDFSCQLKRKMPLQGRLFLSVRIMGFHADLFGHRTNFFFLWEDIEDIKVIPKTLASIGSPIVIVTLKSGKGFDAKHSAKTHDEEGRLKFHFQSFVSFNVAIRMMMALWKARALSPEQKARIVEEESKAKSLQIPDEDSIDKNLVVDEEIEVKYLQSEEIDLTTGDDDPNMSIAYSSVLSVPTDFFMELFSGSELDRRVMERAGCLNYSCSPWESENPEVYQRQLYYKFEKCISRYRAEVTSTQQKSLLPDKNGWLIEEVLTLHGVPFGDYFNLNVRYQVEDVPSRATGCNVQVYSGVQWSKYSKHQKRITKNITSNLRERLLVMFSALEKEYLSEQQLDRASCQQGS >itb15g17980.t2 pep chromosome:ASM357664v1:15:19324146:19333988:1 gene:itb15g17980 transcript:itb15g17980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNMKLLVRVIEARNIPGNDPNGLSDPYVKLQLGRQKFRTKVVKKCLNPSWCEEFTFRIDEFKEELVITVLGEDKYFNDDFVGQVKFNLSKVFETEGKSLGTAWYTLQPKQKKSKNRDCGQILLTICFPRNNLLVDTQTGGDLAPLTKKYTDAGSESISRALPLNFPLPGGSLEEASSFKDEKSNPQTLVGRLYQIFNKNGDGVPMPSVKVSDMPDISGSVGTLDNEDTQEEQLSSVSFEEMMKNMEMRENESEIPSNLPGVVVDQIFATAPHELNSLLFSPDSNFLKSLTNMQGSTELNVGPWKFENGSENLKRVVCFIKAPSKLVKALKASEEQTYMKADGKTYAISCTVSTPDAPYGSTFRTEVLYCITSGPELPSGEESSRLVVSWRMNFLQSTMMKSLIENGARQGIKENFEQFSSLLSQNVKPVDAKNIGSEKEQLLASLQVEPQSDRKLAIQYFANFTVISTFLVGSYVLLHILLATPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELVSRFMQARVQRAKGGDHGVKALGNGWLLTVALLEGSDLVAVDSGGFSNPYVVFTCNGRTRASSIKFRSSSPKWNEIFEFDAMEEPPSLLNVEVFYFDGPFHEATSIGHAEINFIKTKISDLSEIWITLQGKLALACQSKLHLRIFLNNTKGSNAAKDYLSKMEKEIGKKIKLRSPQTNSAFQKLFRLPPEEFLINDFSCQLKRKMPLQGRLFLSVRIMGFHADLFGHRTNFFFLWEDIEDIKVIPKTLASIGSPIVIVTLKSGKGFDAKHSAKTHDEEGRLKFHFQSFVSFNVAIRMMMALWKARALSPEQKARIVEEESKAKSLQIPDEDSIDKNLVVDEEIEVKYLQSEEIDLTTGDDDPNMSIAYSSVLSVPTDFFMELFSGSELDRRVMERAGCLNYSCSPWESENPEVYQRQLYYKFEKCISRYRAEVTSTQQKSLLPDKNGWLIEEVLTLHGVPFGDYFNLNVRYQVEDVPSRATGCNVQVYSGVQWSKYSKHQKRITKNITSNLRERLLVMFSALEKEYLSEQQLDRASCQQGS >itb15g17980.t4 pep chromosome:ASM357664v1:15:19324146:19333988:1 gene:itb15g17980 transcript:itb15g17980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNMKLLVRVIEARNIPGNDPNGLSDPYVKLQLGRQKFRTKVVKKCLNPSWCEEFTFRIDEFKEELVITVLGEDKYFNDDFVGQVKFNLSKVFETEGKSLGTAWYTLQPKQKKSKNRDCGQILLTICFPRNNLLVDTQTGGDLAPLTKKYTDAGSESISRALPLNFPLPGGSLEEASSFKDEKSNPQTLVGRLYQIFNKNGDGVPMPSVKVSDMPDISGSVGTLDNEDTQEEQLSSVSFEEMMKNMEMRENESEIPSNLPGVVVDQIFATAPHELNSLLFSPDSNFLKSLTNMQGSTELNVGPWKFENGSENLKRVVCFIKAPSKLVKALKASEEQTYMKADGKTYAISCTVSTPDAPYGSTFRTEVLYCITSGPELPSGEESSRLVVSWRMNFLQSTMMKSLIENGARQGIKENFEQFSSLLSQNVKPVDAKNIGSEKEQLLASLQVEPQSDRKLAIQYFANFTVISTFLVGSYVLLHILLATPSTIQGLEFVGLDLPDSIGELIVCGVLVLQGKRVLELVSRFMQARVQRAKGGDHGVKALGNGWLLTVALLEGSDLVAVDSGGFSNPYVVFTCNGRTRASSIKFRSSSPKWNEIFEFDAMEEPPSLLNVEVFYFDGPFHEATSIGHAEINFIKTKISDLSEIWITLQGKLALACQSKLHLRIFLNNTKGSNAAKDYLSKMEKEIGKKIKLRSPQTNSAFQKLFRLPPEEFLINDFSCQLKRKMPLQGRLFLSVRIMGFHADLFGHRTNFFFLWEDIEDIKVIPKTLASIGSPIVIVTLKSGKGFDAKHSAKTHDEEGRLKFHFQSFVSFNVAIRMMMALWKARALSPEQKARIVEEESKAKSLQIPDEDSIDKNLVVDEEIEVKYLQSEEIDLTTGDDDPNMSIAYSSVLSVPTDFFMELFSGSELDRRVMERAGCLNYSCSPWESENPEVYQRQLYYKFEKCISRYRAEVTSTQQKSLLPDKNGWLIEEVLTLHGVPFGDYFNLNVRYQVEDVPSRATGCNVQVYSGVQWSKYSKHQKRITKNITSNLRERLLVMFSALEKEYLSEQQLDRASCQQGS >itb02g00370.t2 pep chromosome:ASM357664v1:2:284437:285771:1 gene:itb02g00370 transcript:itb02g00370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDNHHQFEDQFSTSPSIMASSVETISERHSSFSQDDDDDSIFVSSDDNSPSFRTNQDDSAIVPQKKFRRKVRSYAYRIREHVKMSPRLSETVKGKLSLGAKIIKEGGRRQMFRHIFNVSDEEKLLKASQCYLSTTAGPIAGILFVSTEKVAFCSERPIVLPCPTGGVLRTPYKVR >itb02g00370.t1 pep chromosome:ASM357664v1:2:284437:285771:1 gene:itb02g00370 transcript:itb02g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDNHHQFEDQFSTSPSIMASSVETISERHSSFSQDDDDDSIFVSSDDNSPSFRTNQDDSAIVPQKKFRRKVRSYAYRIREHVKMSPRLSETVKGKLSLGAKIIKEGGRRQMFRHIFNVSDEEKLLKASQCYLSTTAGPIAGILFVSTEKVAFCSERPIVLPCPTGGVLRTPYKVIIPVKKIQRANPSANVDKPSQKYIQVVTKDNFEFWFMGFVRYEKAFLNLQKAISSIN >itb05g05790.t1 pep chromosome:ASM357664v1:5:5661387:5665154:-1 gene:itb05g05790 transcript:itb05g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEAFMQGGGGGVTATNLWVVWEQGRAVLIAPFLRVMVWVCLVMSVMILVEKVYLGVVCAFLKLFMRKPEKKYKWEPMKKKKKEEDLEVGGDLDYPMVLVQIPMRNEKEVYHLSIGAACNLSWPADRIIVQVLDDSTDTAIMVIINNNKQALVQQECRRWAGKGVNIKYETRENRKGFKAGSLKQGMKHSYVKLCEYVAVFDADFEPDPDFLCRAIPFLVHNPEIGLVQARWKFVNSDECMLTRMQEMSMDYHFTVEQEVGSAVHAFFGFNGTAGVWRMSALNDAGGWKDRTTVEDMDLGCRAALKGWKFVFLGDVRVKSELPSSFKAYRYQQHRWSCGPAFLFKKMVMEIVTSKNVSVWRKVYLIYAFFLVNKIVAHIVTFVFYCLVLPATVLIPEVKVPLWGAVYIPLAVTLLNALPCPRSFHLVVFWILFENVMSLHRTIATFIGLLEVGRVNEWIVTEKLGNTLKSKTGSKSTLKLPRFQIGDRLHLLEIIVGFFLLFCGSCDFYFGKNRFYVYLFLQGIAFLVIGIGYIGVFVTA >itb14g12230.t1 pep chromosome:ASM357664v1:14:13837553:13854690:1 gene:itb14g12230 transcript:itb14g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFKNLLFPQAMEWFCLSSCGDGNGVESEEAVEMAMASESAASLHNDDRRVMIQAAKMASEESANEMFTFKNLLFPQAMEWFCLSSCGDGNGVESEEAVEMAMASESAASLHNDDRRVMIQAAKMASEESALNFEASVLHFSCPSAPVLCILILCSPVFTSLCVAAANQKMEKYLIPVAPASQNPKPSRRQQWQRILFELNGKVDRKSLQNASALLTQSYSMVMGFPHTYHDNGRPCAAHINWFRGGSFSFNNRNLIGSEAVSALEFDRKGVYLASVTKSGCLTVHDFEDLFYEGKVFPLGFKEDEEKLLLHISTHNRLDVVRWNICNQNEVASTSLKSSEVRIYDIGYISSEPVDVLSKRSTISMHGYSQRGLSDIAFSSSDNSRLYASDFSGVVNVWDRRLSGLPCHELRTNCSDAITSIKLNADNQVMFGASKHGFIYMWDIRGGRSSTAFQNNTEYYSPLVSVKLATELGKITSLKAQSNVHLKEIHSIDINPSCQYQLAFHLDDGW >itb01g12440.t1 pep chromosome:ASM357664v1:1:11958986:11962511:1 gene:itb01g12440 transcript:itb01g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIERVTALFHTWPNPNRSHKLYTFPACDASIPPFPSFKSSTMRTQIIDHGVVNQPTPSMQAYLLWRPTSIDRAGGTNRNLLIAPWTWPITNSCIAVRRRWAPLMASAAIGGRQNHYSVLGVSPTASPADIKKAYRLLALKYHPDVSKDSGADQAFKKIRLAYDVLSDESTRTQYDRALKYQEDTSRTVVGNWDDNFGYEDGSRTYRWAELRRKMQQETYWEQYHKKENFSFYYETEDGSDEESIDDERGPFHEVLRSAFLSLFLMYTVGIRLSLTFSSLMALLDRKLDAGYKIGYVLAWVLGGRGGILLTLCLSFSSWLCGKTSSSVVVLVVVAMWIGSNLARFAPLPQGAILTLLYMSIKLQVDLN >itb02g00890.t1 pep chromosome:ASM357664v1:2:496940:498564:-1 gene:itb02g00890 transcript:itb02g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAFGRFDDSFSATSLKAYLAEFISTLLFVFAGVGSAIAYNKLTADAALDPAGLVAVAVCHGLALFVAVSIAANISGGHVNPAVTFGLAVGGQITVITGLFYWVAQLLGSIVACYLLKIVTGGLAVPTHGVAAGVGAIEGVVMEIIITFALVYTVYATAVDPKKGSLGTIAPIAIGLIVGANILAAGPFSGGSMNPARSFGPAVVSLDFACNWIYWVGPLVGGGLAGLVYANVFMCHEHAPLSSDF >itb07g07440.t1 pep chromosome:ASM357664v1:7:5633141:5637182:-1 gene:itb07g07440 transcript:itb07g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGTIPEEIGGLSFLATFNISANSFHGHIPESIGFLTKLNSLDFSSNNLTGNIPATMYNVSSLQVVDLRKNLLSGTLPEGICDNFRQLQGLYLSANRLSGENPSSLPKCMDLRALDLGYNEFHGSIPPEIGNFFKLEWLKLYGNNLTGDLPWTIFNISSLVVLDISMNEISGILPNDLCYQFPELEYLDISKNQIHGEIPQALSSCWRLQVLSMSKNQLSGRFPTQICNISSLQELYLSRMNLSGYNLLTSTVPIGFWNNKDVLVLELSSNFLGGSLSPEIGSMHNMIKLYLSCNQFSGEIPNTIGKLQNLLILTLSSNRLHGPIPQSFGSLISLQALYLYNNSLSGGIPKSMEKLKDLVHLNLSFNDLSGEIPNGGPFENFSMESFMGNMELCGASRFHVMECKEGKGKPRSIAIFLKETNKGILGLKIHMMLHSRGFHAMKFFVLQKTLMRAT >itb01g26030.t2 pep chromosome:ASM357664v1:1:31258329:31263337:1 gene:itb01g26030 transcript:itb01g26030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVFMVLLPILLALFCLQGVTSHGVQPLARIAVHDAVVALEAQAFIKVTPSLLGSNGENNEWVTVEYGMPNPSGDDWIGVFSPGNFSASTCLAENRMASPPLLCTAPIKYQFANYSNSKYKETGKGSLKLQLINQRSDFSFALFSGGLSKPKLVAVSNTVSFENPNAPLYPRLAQGKTWDEMTVTWTSGYGINEAEPFVKWGPQGGKQSRSPAGTLTFDRSSMCGAPARTVGWRDPGFIHTGFLKELWPNSLYTYKLGHKLLNGTYIWSQSYQFKSSPYPGQNSVQRVIIFGDMGKDEADGSSEYNDFQPGSLNTTKQLIDDLKNIDIVFHIGDICYANGYISQWDQFTSQIEPIASRVPYMIASGNHERDWPDTGSFYGNMDSGGECGVLAQNMFYVPAENREKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSGDFYAVEGSFGEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTNKEQRFYKGTLNGTIHVVAGGGGAGLANFANISTSWSLFKDYDYGFVKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACTVDSCPSTTLAT >itb01g26030.t1 pep chromosome:ASM357664v1:1:31257985:31263337:1 gene:itb01g26030 transcript:itb01g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVFMVLLPILLALFCLQGVTSHGVQPLARIAVHDAVVALEAQAFIKVTPSLLGSNGENNEWVTVEYGMPNPSGDDWIGVFSPGNFSASTCLAENRMASPPLLCTAPIKYQFANYSNSKYKETGKGSLKLQLINQRSDFSFALFSGGLSKPKLVAVSNTVSFENPNAPLYPRLAQGKTWDEMTVTWTSGYGINEAEPFVKWGPQGGKQSRSPAGTLTFDRSSMCGAPARTVGWRDPGFIHTGFLKELWPNSLYTYKLGHKLLNGTYIWSQSYQFKSSPYPGQNSVQRVIIFGDMGKDEADGSSEYNDFQPGSLNTTKQLIDDLKNIDIVFHIGDICYANGYISQWDQFTSQIEPIASRVPYMIASGNHERDWPDTGSFYGNMDSGGECGVLAQNMFYVPAENREKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSGDFYAVEGSFGEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTNKEQRFYKGTLNGTIHVVAGGGGAGLANFANISTSWSLFKDYDYGFVKLTAFDHSNLLFEYKKSSDGKVYDSFRISRDYRDILACTVDSCPSTTLAT >itb02g05320.t1 pep chromosome:ASM357664v1:2:3189879:3191887:-1 gene:itb02g05320 transcript:itb02g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKVEIIKKQTIKPSRPTPHNLRDYKFSLLDQLAVKFYVPVVLFYPTMSSSLFDHLKKSLSMTLTHLYPLAGRVKDVFTLSCNDDGAMFVEARAVGVDLSSVVGHPRMETLCRLLPCNPGEWPSEVNEYAVLAVQVTEFRCGGIAVGACLWHGIADASTAATFLQTWATISRDGDDSKIGGGFVVDCSGIFAPRSVDANQTVPWDGEAAREGQPVTKRFVFEGSKIATLRKKLATSSGGIITRIEALTALIWAAFIAASRERNRDLKLHLLAEASVDECGLRVAESIRRVKDPLVRAVYGGGGAGAPPESLAEAMEKGSEKVGAEEGVGCLITTSWCRFPFYEADMGWGKPAWVANAAVGMNTVVFLDTADGEGVEAWAKLREEDMFRLEQNQDFATLVSLTQTV >itb01g28450.t2 pep chromosome:ASM357664v1:1:32874690:32876998:-1 gene:itb01g28450 transcript:itb01g28450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDSTASDIAPVPAPLSVKENVTPVSSKIAELSESRQELLNRIQNLKQDLQNWRSKLDTQVKVYRDELSELKKSLNVEVEQLRTDFQQLKSTLQQQQEDVTASLRNLGLQDVTEVKEAEGAKSETSDKKAKDLTEENGKETAN >itb01g28450.t1 pep chromosome:ASM357664v1:1:32874690:32876998:-1 gene:itb01g28450 transcript:itb01g28450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDSTASDIAPVPAPLSVKKENVTPVSSKIAELSESRQELLNRIQNLKQDLQNWRSKLDTQVKVYRDELSELKKSLNVEVEQLRTDFQQLKSTLQQQQEDVTASLRNLGLQDVTEVKEAEGAKSETSDKKAKDLTEENGKETAN >itb11g13820.t1 pep chromosome:ASM357664v1:11:10858963:10861518:-1 gene:itb11g13820 transcript:itb11g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQENSLKVRLSKEISDKTRELRRISGEDLEGLSLEELEELEQKLEVGLNRVSETKDKQLRNEIATLQYKGAELMEENNWLKQRVANDRQNRRIAPDMDRMILDEGQTSESMTNNSTTQPPLQAAHCSDTLLKLGLPFH >itb06g05700.t1 pep chromosome:ASM357664v1:6:8368072:8371324:-1 gene:itb06g05700 transcript:itb06g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQGGSFCSGINFQNRRFSEDRPDKNRDCFGKSWGLDCGKGNFQGNRKEEIRNASDDDVADLLPQDPFDMDIDTIEIGFVIDEIGVGKVDDQLFAGFDFVLNGSKMIHSESGAEKMNDNSGVGAMDFGNGEFDGHVFSDCEMEETMDFSYEKYWIFYDEIKREGFPREGFQGEGGAPPDALPLAFYCLGVEDLLSVEQVCKSLRDEVQNNPLLWRNIKIDHPLSDKITDDALLQLTNRAQGHLHCLSLVECLKITDSGLKNVLERNKTLTKLSIAGCTKLSIGGLLSNLKVYKYTGNLGIKCLRIGGLFGVTNQHFEELKLLLGVNNSQLPTARKPRFYQAGQLYLSVDDEDDHALDIETCPKCEQLRLVYDCPAESCQGDQQGTQLCRACTFCIPRCKSCGCCLSNRDYEETFCLENLCSDCIQKFLPKHSFFHPQASYRFFLCG >itb06g25590.t1 pep chromosome:ASM357664v1:6:26553482:26554311:1 gene:itb06g25590 transcript:itb06g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTTPLTLHRRHHYTLHTTFFFFFIHQPHSPHHPLFSSSSSNPSIKEEEEDEDVVEALGFPPPTTQTLALHLQITSSSSV >itb08g06200.t1 pep chromosome:ASM357664v1:8:5301166:5307369:-1 gene:itb08g06200 transcript:itb08g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAQELSVLCDAQIALIVFSATGKLYDFSSSSMKDILGKYKLHSSDNNEKTNLQPSLELQLENSLHVRLSKEIADKTHEFRMLKGEELQGLSIEELQQLEKRLEGGLSRVVETKGERIMNEISTLQRKGAELLEENKQLRQKVAMIGDGKFPASADVECMVAEEGISSESITTNVNSCSSAPPAEDYCSDTSLKLGLPYY >itb06g00650.t1 pep chromosome:ASM357664v1:6:1511518:1519171:1 gene:itb06g00650 transcript:itb06g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRTSATPTDGISNSLQRVRPLHGRTSGPTRRSTKGQWTPEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDLVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQEEELALIRAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLSQFPALPNVNSANQILPTTSSKFQQSSEDRSVCREGKEVDEVSECSQGSALVGCSQSTSDRGNTFVHTREERGVKDESSHEQDPSLSSATCSKNFNAFHEVACSMPEIPNDLNDTSQFVEQTIAHEIGASITRDGHLNPDDIPNMSALDLMQVQAGFFMQFLNSCDSHGVPPLQTAMDLGTISHMGSIAVDSDNQDDMFNLEDGHCGIIYTGPENHQCFPSGNGIRGVEESSDSVILQPSNYQIPEAGMLAPQSCNTISSDNSGPSSYQAFSLPIMFGVDSDQLIENPRQEIITCGDFVYASEPGSSTCGNGMDGQGVSTCNGMDGQGLKDQMDQYIQENECVKLVPVNDFGPVQPASVQTCSVNEQNIVKDEKRDPGTLCYEPPRFPSLDVPFFSCDLIQSGTDMQQEYSPLGIRQLMMSSMNCLTPFRLWDSPSRDSSPDAVLKSAAKTFTCTPSILKKRHRDLVSPLSEKRSEKKLESDIKHEFSDLARNFSRLEVMVDDAGNEKATRSSPLPNQEFISEASIEDKENLNPEFEGTTKEGMEGTRFSGRRDLGRESNNGDADDAIHVKRTVGVLVERGKDDPLFFSPDRFGSKSDRAVTQTTKALGNSCLNRLETAPNQGTVSSSSEAPCLSVCSPRICAIKEGNNLVVATSVQSVPASENAGEGSGNGVGLDNNISIFGETPFKRSIESPSAWKSPWFINSFLSSPRVDTEITLEDFGFLFSPGDRSYDAIGLMKQLSEQTAATFADAQEVLGGETPESILRGRCSKNQKADENTSQFTSNVLTERRMLDFSECGTPGKKAESGKFPGGSSSSISSPSSYLLKECR >itb06g00650.t2 pep chromosome:ASM357664v1:6:1511402:1519171:1 gene:itb06g00650 transcript:itb06g00650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRTSATPTDGISNSLQRVRPLHGRTSGPTRRSTKGQWTPEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDLVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQEEELALIRAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLSQFPALPNVNSANQILPTTSSKFQQSSEDRSVCREGKEVDEVSECSQGSALVGCSQSTSDRGNTFVHTREERGVKDESSHEQDPSLSSATCSKNFNAFHEVACSMPEIPNDLNDTSQFVEQTIAHEIGASITRDGHLNPDDIPNMSALDLMQVQAGFFMQFLNSCDSHGVPPLQTAMDLGTISHMGSIAVDSDNQDDMFNLEDGHCGIIYTGPENHQCFPSGNGIRGVEESSDSVILQPSNYQIPEAGMLAPQSCNTISSDNSGPSSYQAFSLPIMFGVDSDQLIENPRQEIITCGDFVYASEPGSSTCGNGMDGQGVSTCNGMDGQGLKDQMDQYIQENECVKLVPVNDFGPVQPASVQTCSVNEQNIVKDEKRDPGTLCYEPPRFPSLDVPFFSCDLIQSGTDMQQEYSPLGIRQLMMSSMNCLTPFRLWDSPSRDSSPDAVLKSAAKTFTCTPSILKKRHRDLVSPLSEKRSEKKLESDIKHEFSDLARNFSRLEVMVDDAGNEKATRSSPLPNQEFISEASIEDKENLNPEFEGTTKEGMEGTRFSGRRDLGRESNNGDADDAIHVKRTVGVLVERGKDDPLFFSPDRFGSKSDRAVTQTTKALGNSCLNRLETAPNQGTVSSSSEAPCLSVCSPRICAIKEGNNLVVATSVQSVPASENAGEGSGNGVGLDNNISIFGETPFKRSIESPSAWKSPWFINSFLSSPRVDTEITLEDFGFLFSPGDRSYDAIGLMKQLSEQTAATFADAQEVLGGETPESILRGRCSKNQKADENTSQFTSNVLTERRMLDFSECGTPGKKAESGKFPGGSSSSISSPSSYLLKECR >itb04g04160.t1 pep chromosome:ASM357664v1:4:2570599:2573593:1 gene:itb04g04160 transcript:itb04g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKRSTLFLFVTTLPVSAVARPFCRRFMEPHHHHSSAAAAAAAEVRKLHIVYFLSRNGRIEHPHLIRVHHLSRHGVRLRDVKRWMGELRGKELPESYAWSYKRKYKTGYVWQDLVDDDLLTPISDNEYVLKGSEISSSTPTKEHCYREKVVPMQKDSLLEEEEEAKGSKPSAKEETDQIHHQSPRTPSDESRKTSSEIEEESPVFGSETSTLTDDSPAPEPAKLEEEEEEMHGGRRSNAAGEKSSSLRSLFAKKKRGGDEEKNGGTPRSTTSDSTTFGKSRSSSGGAGGSSIFRNLISCGTVDTNDSAVLPMQRRRPVSGSPLLDKDVRTSAEICKPEKVGGSQRIYRTNWNQEHQSKPRKSCSDGVYNGGRRSFSGTYKSPNCSRERFRGIDQEFGFCSLLGSLKV >itb14g14320.t1 pep chromosome:ASM357664v1:14:16856047:16856985:1 gene:itb14g14320 transcript:itb14g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREKEAQELSSDNMPVERKAHVCQVCQRRFISGKALGGHLSAHLQAKKAKNRFTTLEEEEKHFHPDRGAWRAKAEEIQEEEAAHDVVNLLGHKEDKRIKRGGEENNKGKGTGKAHHHVSGSSSEDDTDEYYCNNHMEEIRINGGILNPKQINYRNKESLMEPESESKNKKRRVLEVESAEKEKNKCNCGRGFSSSQPLGRHKEIMQQQHNNNNNNKVKTEIPNTSNDNDENQSIGVHRRVESRWLLQVASPRIPKFLMFDLNEIPADDAANVDDQFN >itb03g24780.t1 pep chromosome:ASM357664v1:3:23612404:23613909:1 gene:itb03g24780 transcript:itb03g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLHKGYITMQLGLRLSGLFALGAGQGLVGWWMVRSGLEEPPSEYVVPRVSPYRLAAHLTSAFVIYCGLFWTGLSVVMPEPPAGSLAWVHGAAKVKRLALPVSILVGVTTISGAFVAGNDAGHAFNTFPKMGDTWIPDDVFSMKPLVRNFFENTATVQLDHRILATATLAAIGGLWWATRKLDIHPAIRSLIGSTVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLLTLMILLSHTVRRPSPSLLKSLPVRVLAKTV >itb11g07390.t1 pep chromosome:ASM357664v1:11:4529968:4532205:-1 gene:itb11g07390 transcript:itb11g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCIARFTGIHKAVVPLPHRRKSRIVFLRLFSTCASPYLSPSAPLIHPCSSLVLTGCVAPSSAPQRSEEWFALRKDKLTTSTFSTALGFWKGKRRYELWHEKVFAPEVQLVESPSRCAMDWGVLMEEVAIGQYKSITGRDVSPYGFAIHSDERFDWVGASPDGLIGCLPEGGILEVKCPYNKGKPEKGLPWSTMPFYYMPQVQGQMEIMNRDWVDLYCWTPNGSTIFRVCRDRSYWELMHGILWEFWWKNVVPAREALSLGREEEANSYTPTSTHNATGLVISRSLKLAKEAKLWCRDIAGHTEFFH >itb11g07390.t2 pep chromosome:ASM357664v1:11:4529968:4531991:-1 gene:itb11g07390 transcript:itb11g07390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCIARFTGIHKAVVPLPHRRKSRIVFLRLFSTCASPYLSPSAPLIHPCSSLVLTGCVAPSSAPQRSEEWFALRKDKLTTSTFSTALGFWKGKRRYELWHEKVFAPEVQLVESPSRCAMDWGVLMEEVAIGQYKSITGRDVSPYGFAIHSDERFDWVGASPDGLIGCLPEGGILEVKCPYNKGKPEKGLPWSTMPFYYMPQVQGQMEIMNRDWVDLYCWTPNGSTIFRVCRDRSYWELMHGILWEFWWKNVVPAREALSLGREEEANSYTPTSTHNATGLVISRSLKLAKEAKLWCRDIAGHTEFFH >itb05g08720.t2 pep chromosome:ASM357664v1:5:12291081:12295716:-1 gene:itb05g08720 transcript:itb05g08720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MDVRMGFSDSNDISMSSSICCVAGANETFSPEPSPLDVTAFKRLSETLESVFDASSSPDFDFFADAKLVAPCGKEIPVHRCILSARSPFFRSVFSGKDKSVKLVLKELMKEYEVSYDAVVTVLAYLYCGKIRASPKDVCVCVDIECSHVACRPALEFMVELLYASFTFQISELITKFQRQLLDILNKAAADDVLMVLSVANTCGKGCEALLATCIDIIVKSDVDIITLEKALPFHIVKQITDSRMELGLQLPESNGFPDKHVKRIHRALESDDVELVRMLLKEGHTNLDDAYALHYAVAYCDAKTTVDLLDLAIADVNHRNLRGYTVLHVAATRKDPKIIVSLLTKGARPSDLTSDGRKALQIAKRLTRAVDYKSAEEGKAPKERLCIEILEQAERRDPLLGEASVSLAMAGDDLRMKLLYLENRVGLAKLLFPIEAKVAMDIAQVDGTSESPLASIINKNMADARRMTVDLNDVPFKLKDEHLNRMRALSKTVELGKRFFPRCSEVLNKIMDNEDLSEIACMGNETPEERQAKKQRYLELQEILTKAFTEDKEEFDKANISSSSSSTSLAAVNSNGKLPFKKPGL >itb05g08720.t1 pep chromosome:ASM357664v1:5:12291081:12295716:-1 gene:itb05g08720 transcript:itb05g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MVELLYASFTFQISELITKFQRQLLDILNKAAADDVLMVLSVANTCGKGCEALLATCIDIIVKSDVDIITLEKALPFHIVKQITDSRMELGLQLPESNGFPDKHVKRIHRALESDDVELVRMLLKEGHTNLDDAYALHYAVAYCDAKTTVDLLDLAIADVNHRNLRGYTVLHVAATRKDPKIIVSLLTKGARPSDLTSDGRKALQIAKRLTRAVDYKSAEEGKAPKERLCIEILEQAERRDPLLGEASVSLAMAGDDLRMKLLYLENRVGLAKLLFPIEAKVAMDIAQVDGTSESPLASIINKNMADARRMTVDLNDVPFKLKDEHLNRMRALSKTVELGKRFFPRCSEVLNKIMDNEDLSEIACMGNETPEERQAKKQRYLELQEILTKAFTEDKEEFDKANISSSSSSTSLAAVNSNGKLPFKKPGL >itb05g15520.t3 pep chromosome:ASM357664v1:5:22854620:22858192:-1 gene:itb05g15520 transcript:itb05g15520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MSANLSFCALSNTLNFPYNPVFNRKPILHFLFPKAKIIASSRLDHRDGIEPKKQETNHSNSAIKAPTAPWMKGPLLVEPNQVLHFSKRRRRRKDSTFIENETPDKALTSKVSGGRGKKAMNKIFHRIEKLQEIVDLEEESWETEEKEKFKFTPGALWGDKDSDLEEIGEGLKFGKGIRRMPWQKEEEGIVIKRMNTEKAMTAAEAILDREFLERLRDKSARMRKWVKVNKAGVTQDVVNQVRLIWRTDELAMLKFDVPLCRNMARAREIVEMKTGGLVVWSKEDALVVYRGHNYQLGFNASPKKRRNLVDDGPNSSLSGGGEEESVSIDGSLYEREADRLLDGLGPRFVDWWYPKPLPVDADLLPEVVPGFRPPLRRCPPDVRSQLTDHELTYLRKLARPLPTHFVLGRNRKLQGLAEAVLKLWEKCHIAKIALKWGVPNTDNEQMASELKRLTGGIILLRNKFLIILYRGKDFLPSQVATLVAEREALLMRHQFHEESARLETTEIFSATYETSVNSDILGTLSEFQLFTDYAKQEVRNDEVKIQMETQKARLEKELRNQEWKLFMLKKKIETSVKVLEKLDSAWEPSEQDEDKEIITEEEKECLRSIGLKMDGSLVLGRRGVYGGVIEGLHQHWKHREVAKVITMQKTFSQVLQTAQFLEVESGGILVSIDKLKEGHAIILYRGKNYKRPKLAPQNLLTKREALFKSLEMQRLGSLKFYANQKEQAILDLKRKLVFLSISNFISEIEVFQISRIQ >itb05g15520.t2 pep chromosome:ASM357664v1:5:22853738:22858192:-1 gene:itb05g15520 transcript:itb05g15520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MSANLSFCALSNTLNFPYNPVFNRKPILHFLFPKAKIIASSRLDHRDGIEPKKQETNHSNSAIKAPTAPWMKGPLLVEPNQVLHFSKRRRRRKDSTFIENETPDKALTSKVSGGRGKKAMNKIFHRIEKLQEIVDLEEESWETEEKEKFKFTPGALWGDKDSDLEEIGEGLKFGKGIRRMPWQKEEEGIVIKRMNTEKAMTAAEAILDREFLERLRDKSARMRKWVKVNKAGVTQDVVNQVRLIWRTDELAMLKFDVPLCRNMARAREIVEMKTGGLVVWSKEDALVVYRGHNYQLGFNASPKKRRNLVDDGPNSSLSGGGEEESVSIDGSLYEREADRLLDGLGPRFVDWWYPKPLPVDADLLPEVVPGFRPPLRRCPPDVRSQLTDHELTYLRKLARPLPTHFVLGRNRKLQGLAEAVLKLWEKCHIAKIALKWGVPNTDNEQMASELKRLTGGIILLRNKFLIILYRGKDFLPSQVATLVAEREALLMRHQFHEESARLETTEIFSATYETSVNSDILGTLSEFQLFTDYAKQEVRNDEVKIQMETQKARLEKELRNQEWKLFMLKKKIETSVKVLEKLDSAWEPSEQDEDKEIITEEEKECLRSIGLKMDGSLVLGRRGVYGGVIEGLHQHWKHREVAKVITMQKTFSQVLQTAQFLEVESGGILVSIDKLKEGHAIILYRGKNYKRPKLAPQNLLTKREALFKSLEMQRLGSLKFYANQKEQAILDLKRKLKECT >itb05g15520.t1 pep chromosome:ASM357664v1:5:22853279:22858192:-1 gene:itb05g15520 transcript:itb05g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MSANLSFCALSNTLNFPYNPVFNRKPILHFLFPKAKIIASSRLDHRDGIEPKKQETNHSNSAIKAPTAPWMKGPLLVEPNQVLHFSKRRRRRKDSTFIENETPDKALTSKVSGGRGKKAMNKIFHRIEKLQEIVDLEEESWETEEKEKFKFTPGALWGDKDSDLEEIGEGLKFGKGIRRMPWQKEEEGIVIKRMNTEKAMTAAEAILDREFLERLRDKSARMRKWVKVNKAGVTQDVVNQVRLIWRTDELAMLKFDVPLCRNMARAREIVEMKTGGLVVWSKEDALVVYRGHNYQLGFNASPKKRRNLVDDGPNSSLSGGGEEESVSIDGSLYEREADRLLDGLGPRFVDWWYPKPLPVDADLLPEVVPGFRPPLRRCPPDVRSQLTDHELTYLRKLARPLPTHFVLGRNRKLQGLAEAVLKLWEKCHIAKIALKWGVPNTDNEQMASELKRLTGGIILLRNKFLIILYRGKDFLPSQVATLVAEREALLMRHQFHEESARLETTEIFSATYETSVNSDILGTLSEFQLFTDYAKQEVRNDEVKIQMETQKARLEKELRNQEWKLFMLKKKIETSVKVLEKLDSAWEPSEQDEDKEIITEEEKECLRSIGLKMDGSLVLGRRGVYGGVIEGLHQHWKHREVAKVITMQKTFSQVLQTAQFLEVESGGILVSIDKLKEGHAIILYRGKNYKRPKLAPQNLLTKREALFKSLEMQRLGSLKFYANQKEQAILDLKRKLKECT >itb05g15520.t4 pep chromosome:ASM357664v1:5:22855405:22858192:-1 gene:itb05g15520 transcript:itb05g15520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MSANLSFCALSNTLNFPYNPVFNRKPILHFLFPKAKIIASSRLDHRDGIEPKKQETNHSNSAIKAPTAPWMKGPLLVEPNQVLHFSKRRRRRKDSTFIENETPDKALTSKVSGGRGKKAMNKIFHRIEKLQEIVDLEEESWETEEKEKFKFTPGALWGDKDSDLEEIGEGLKFGKGIRRMPWQKEEEGIVIKRMNTEKAMTAAEAILDREFLERLRDKSARMRKWVKVNKAGVTQDVVNQVRLIWRTDELAMLKFDVPLCRNMARAREIVEMKTGGLVVWSKEDALVVYRGHNYQLGFNASPKKRRNLVDDGPNSSLSGGGEEESVSIDGSLYEREADRLLDGLGPRFVDWWYPKPLPVDADLLPEVVPGFRPPLRRCPPDVRSQLTDHELTYLRKLARPLPTHFVLGRNRKLQGLAEAVLKLWEKCHIAKIALKWGVPNTDNEQMASELKRLTGGIILLRNKFLIILYRGKDFLPSQVATLVAEREALLMRHQFHEESARLETTEIFSATYETSVNSDILGTLSEFQLFTDYAKQEVRNDEVKIQMETQKARLEKELRNQEWKLFMLKKKIETSVKVLEKLDSAWEPSEQDEDKEIITEEEKECLRSIGLKMDGSLVLGNNKQESFSAVFAYPLLSLN >itb15g06160.t1 pep chromosome:ASM357664v1:15:4046900:4049173:1 gene:itb15g06160 transcript:itb15g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSPENSSANRKRAVDGLILGRNLTCQLREVLRNSSGEHGPPSKVVAEDLVVKILESFNEGISVIGSMDSDEVSQPPCDGRKSEDSSGSCKTTSALKDGRGCYKRRKTCETLIKDSQTLVDDGYAWRKYGQKVILNTPYPRNYYRCTHKFDQKCQATKQVQMIRENPALYRTTYNGNHTCLNFQKYPQIIVDSTAPGDSSFLLCFGQNGQSNKEVQNPTLIKQELNKQEFAENLYHSHIQSSSSGCCLASSDDRPMSSARWGPAVSSGSEYGDVNSSGCTHDDLGMQMMGNVDVDDFTLGFLADF >itb01g21540.t1 pep chromosome:ASM357664v1:1:27644352:27650404:-1 gene:itb01g21540 transcript:itb01g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFGSYAQGKYKFSPVANSPLEQEVYVPSPPHRNDEHASLDDMDLNNNGDDNYYGGASWDDVWRESSXVYVPSPPHRNDEHASLDDMDLNNNGDDNYYGGASWDDVWRESSPTPASWDDVWRESSPTPTPTSTNIPQVIHVEKDDIRRLPQVIHVEKDDIRRRKRAFEVEKDDIRRQPKSIVLYLSTVPTSRAAHPGHRRPHAPHRRRTQDVTPQTVTYIRQFTILIPRQDAVATCQPRLAVRLNHKYPRPARRTQAIAGLTLLTGDGLRTSHLRLSHISANSPSSFLDKTPLPLASPASPFG >itb09g22360.t1 pep chromosome:ASM357664v1:9:20753834:20754241:1 gene:itb09g22360 transcript:itb09g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVIRALLVLLILAISTHSVEPKPQLSGEKAVLTINSFEKGGDGGGPSECDGHYHSDDTLIVALSTRWYNHGHRCHNYITINGNGRSVKAMVVDECDSNGGCANNIVDASKAVWKALGVPKQQWGELKVTWSDD >itb14g00200.t1 pep chromosome:ASM357664v1:14:137263:144136:-1 gene:itb14g00200 transcript:itb14g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSGNAVNSLPEKAGGGGAGVVVHHRGQQQQWYPPQQHHQVDERDAFMSWLRGEFAASNAIIDALCHHLRLLGEPGEYEGVIGCIQQRRCNWNAVLYMQQYFSVAEVVYALQQVESRKQRRGFDGGIKVRRARGAWRNEGGLRDGNGRESEGHNSIAEAQASSKDLNSNGSDKLDGAEREVESKQPAKKEFPERPESNSLTKNLGSSQTGDLQSEADKADRYSTNSDGSCGVENECNSTQVPHGKPNLTITPKTFVGKELCDGKMVNVVDGLKLYEEIISDSEVSELVTLVNDLRVAGKRGQLQGQTFIVSKRPMKGHGREMIQLGVPVTDVPGNEAAAGISKDRKIEPIPVLLQDLIESLMSKQVFPVKPDSCMIDIFNEGDHSQPNMWPHWFGRPICVLFLTECDITYGKIIDSDHRGDYRGSLRLSLAPGSLLVMQGRSADFAKHAIPSLRKQRVLVTLTKSHLEKMTSGDGLSAPSSIGTPSPHWVPRPSKSPNHIHHRPAGPRHYSPVPVPTTGVLPVPAPAAACPQLAPNGIQPIFVSTPVAPPMPFPAAVALPPASVGWAAAPPPRHPPPRLPVPGTGVFLPPGGSGNSPNQSAVSTSASDGLSVDISPSEKENGPGTGTLNGEISSSSPKGKVDDGDVQKLEMNGNTESSGAGKSDGGNED >itb06g10840.t2 pep chromosome:ASM357664v1:6:15347282:15349448:-1 gene:itb06g10840 transcript:itb06g10840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRSSILSYVRVRVSLKDMVCPETPSLLNLLSQRFCTSSASTATGHAQTMERVINLVKKFDKIDAAKVTERADFQKDLSLDSLDRVELVMAFEQEFSIEIPDEEADKLKCCADVARYISVADRKVSENS >itb06g10840.t1 pep chromosome:ASM357664v1:6:15347282:15349448:-1 gene:itb06g10840 transcript:itb06g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRSSILSYVRVRVSLKDMVCPETPSLLNLLSQRFCTSSASTATGHAQTMERVINLVKKFDKIDAAKVNILVTERADFQKDLSLDSLDRVELVMAFEQEFSIEIPDEEADKLKCCADVARYISVADRKVSENS >itb07g21530.t3 pep chromosome:ASM357664v1:7:25891944:25893582:-1 gene:itb07g21530 transcript:itb07g21530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQRSFSIKPTRLFIFSSTVSFSLIFLIFFSVWVFKLAPFTLETHLQFNGSASSRLGFRPFTVQALTGFTKNSFLVGAHFGTAQQDAIRLAEISGFGEIQGEGSENGVAFGKVDLKGNFNAFDANLSSIGSGNGEILTDTKLVKSGIVSDFDVNSSENVEKKNGRVCDVTKGKWVFDESYPLYTHSSCPFIDEGFSCEANGRLDKDYSKWRWQPQDCDIPRFNATNMLELIRRKRLVFVGDSIGRNQWESMLCLLMGAIKDPSKVFETRGRRITKGKGNYSFKFVVTM >itb07g21530.t2 pep chromosome:ASM357664v1:7:25890347:25893582:-1 gene:itb07g21530 transcript:itb07g21530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQRSFSIKPTRLFIFSSTVSFSLIFLIFFSVWVFKLAPFTLETHLQFNGSASSRLGFRPFTVQALTGFTKNSFLVGAHFGTAQQDAIRLAEISGFGEIQGEGSENGVAFGKVDLKGNFNAFDANLSSIGSGNGEILTDTKLVKSGIVSDFDVNSSENVEKKNGRVCDVTKGKWVFDESYPLYTHSSCPFIDEGFSCEANGRLDKDYSKWRWQPQDCDIPRFNATNMLELIRRKRLVFVGDSIGRNQWESMLCLLMGAIKDPSKVFETRGRRITKGKGNYSFKFVDYECTVEFYVTHFLVHESKARVGKKRVQTLRIDAVDKGSSRWRGADILVFNTAHWWSHHKTKAGINYYQEGDLVHPRLDGSTAFQKALSTWASWVERYINPRKTRVFFRSSAPSHFRSA >itb07g21530.t1 pep chromosome:ASM357664v1:7:25890347:25893582:-1 gene:itb07g21530 transcript:itb07g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQRSFSIKPTRLFIFSSTVSFSLIFLIFFSVWVFKLAPFTLETHLQFNGSASSRLGFRPFTVQALTGFTKNSFLVGAHFGTAQQDAIRLAEISGFGEIQGEGSENGVAFGKVDLKGNFNAFDANLSSIGSGNGEILTDTKLVKSGIVSDFDVNSSENVEKKNGRVCDVTKGKWVFDESYPLYTHSSCPFIDEGFSCEANGRLDKDYSKWRWQPQDCDIPRFNATNMLELIRRKRLVFVGDSIGRNQWESMLCLLMGAIKDPSKVFETRGRRITKGKGNYSFKFVDYECTVEFYVTHFLVHESKARVGKKRVQTLRIDAVDKGSSRWRGADILVFNTAHWWSHHKTKAGINYYQEGDLVHPRLDGSTAFQKALSTWASWVERYINPRKTRVFFRSSAPSHFSGGQWNTGGHCREASQPLNENFSTSYPKKNMIVEQIVQKMKTPVTILNITGLSGYRIDGHPSIYGRKPGTSGVQDCSHWCLPGVPDTWNEMLYYHMKLMHKKRAID >itb09g28560.t1 pep chromosome:ASM357664v1:9:29140983:29143896:1 gene:itb09g28560 transcript:itb09g28560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVRFVLGQLSTLTREEYSLLGGIGDDAQDVKNAFDRLTAVLRVADEREEIDPQVKAWVKIVRELAYDAEDVLDEFLFRFGGDRTGGGFYAKIKNIYTSVNNLRARRRLGLQLRRIKARVNNISQEQPKLLTTSDHTVYSYNKWWYDSRGDALLLEESDLVGIENPKHFLVSLLLDVDDNLRIYSVVGMGGLGKTTLVKKAYDDAQVINHFQYRVWITISETFKIEELLKDAIKQLVEQTKQKPTQDFEAMDSNKLKEFIKNILSSQRYIIVLDDIWHINVWMAIKYSFPRQSFGSRIVITTRNSEIGASTCRETHGGVVYPLEPLSFEYSWTLFCRKTFLSDLCPQHLVNIAKNILKRCNGLPLAIVVIAGVLASKNDNIAEWERFQHSLNIQMESNDWMKSMKNLLSLSYYDLPYYLKYCFLYLSIFAEDVIINKNIVIRLWIAEGFVRENNQQQEKEEVAEGYLNELLHRNLIILADKDEVGRIRGFRVHDILREVILSKSVEQNFTIIATGQNTEPSNKFRRLAIHRFDDHILRYTSSKMHLRSLQFFEPLSSSASSLLSKMFTAKYIPLKVLDLRGAKLEEIPEEVFNLFQLKYLSLRRTKLRSVSKSIGRLQNLETLDLKHTNVVELPTELLKLCKVRHLLVYCYPGNWINPWVSSQSFNAPFKIGELLFLQKLCYIQANDTLGIKIVSEIGKLTQLRRLGVTKLRQEDGKELFLSLEKLTNLCALDLMSASEDDILDIQYPLCRVPHSLQRLYLRGRLERVPQWLSSLVGLTTLLLQWNRLAEDPLPFLQDLPMLAYLRLSKSYEGERLCFKAKKFSKLKHLLIMDFEALKWIRIEEGALHHLEQFYLKKCKLLEQVPLGIQHLSNLKRIIIRDMADQLMTTLKPNGENYAKISHIPEIYISQIIDGERKVFSY >itb10g18150.t1 pep chromosome:ASM357664v1:10:24178438:24180923:1 gene:itb10g18150 transcript:itb10g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGSFFHSKSGKPMTLGGVLSDQNSEDEVDYDLEDIEDQRMLADFSDVSKDEKHVMHLWNSFIRRNRIRFMSHINWASKAFTKQHGRDFVQEPARLWCWRLFMIKLWNQGLLDGKTMGTCNIILDEFRG >itb05g21890.t1 pep chromosome:ASM357664v1:5:27501668:27504427:-1 gene:itb05g21890 transcript:itb05g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKKGKNKGNSATESTQSTQSPTSKYPACLRVVAPSSVAITIHAKPGSKFATITDLSDEAVGVQIDAPAKDGEANAALLDYISSVIGVKRRQVSIGSGSKSRDKVVIVEEVNVQTVFDALDKASKSH >itb05g21890.t2 pep chromosome:ASM357664v1:5:27501659:27504435:-1 gene:itb05g21890 transcript:itb05g21890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKKGKNKGNSATESTQSTQSPTSKYPACLRVVAPSSVAITIHAKPGSKFATITDLSDEAVGVQIDAPAKDGEANAALLDYISSLDRLLV >itb01g28630.t1 pep chromosome:ASM357664v1:1:33025983:33033720:-1 gene:itb01g28630 transcript:itb01g28630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNNMFGFSRRRMKLGRLKVQLSDTAQGTKSPIRHPKRFSSSTGEAVGNSINDSEESSCQHSTGASEFNNCTSGSSENWMVLSIAGDKPIPRFNHSAAVVGNKMVVVGGESGSGLLEDVQVLNFERFSWTSVSSKLYLSPTSLPLKIPACKGHSLVSWGKKVLLVGGKSDPGSDKVSVWAFDTETECWSLMEAKGDVPVARSGHTVVRASSVLILFGGEDSKKRKLNDLHMFDLKSLTWLPLHCTGPGPSARSNHIATLYDDKLLLIFGGTSKSRTLNDLHSLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLIFDVLKLEWSVTVVSPSSSIITNKGFSLVLVQHKERDFLVAFGGSRKDPSNQVEVLMIEKNDSSSGRRSTLSKGAGNLLSGNRLSSIVSAAQPCNVAKNNIDSVSKQKLSSAVEHGSGRKSLSESLLSDPNSVTGNVSLRKQFYNEEETSLKMPKSSDDESSSQVMERGTRQLDSGLEHIDSKTIPEEICTIPESGNMATHKKQGSGNFPLDSDDFVFQESDCKFGISAPIGLYQSYEAKLTALLRKNGLLEGQLAAALASREAADKSLSSALKSKQDTEKKLADALKEIDLVKEKLASVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGLLGGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFHV >itb01g28630.t2 pep chromosome:ASM357664v1:1:33025983:33033720:-1 gene:itb01g28630 transcript:itb01g28630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNNMFGFSRRRMKLGRLKVQLSDTAQGTKSPIRHPKRFSSSTGEAVGNSINDSEESSCQHSTGASEFNNCTSGSSENWMVLSIAGDKPIPRFNHSAAVVGNKMVVVGGESGSGLLEDVQVLNFERFSWTSVSSKLYLSPTSLPLKIPACKGHSLVSWGKKVLLVGGKSDPGSDKVSVWAFDTETECWSLMEAKGDVPVARSGHTVVRASSVLILFGGEDSKKRKLNDLHMFDLKSLTWLPLHCTGPGPSARSNHIATLYDDKLLLIFGGTSKSRTLNDLHSLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLIFDVLKLEWSVTVVSPSSSIITNKGFSLVLVQHKERDFLVAFGGSRKDPSNQVEVLMIEKNDSSSGRRSTLSKGAGNLLSGNRLSSIVSAAQPCNVAKNNIDSVSKQKLSSAVEHGSGRKSLSESLLSDPNSVTGNVSLRKQFYNEEETSLKMPKSSDDESSSQVMERGTRQLDSGLEHIDSKTIPEEICTIPESGNMATHKKQGSGNFPLDSDDFVFQESDCKFGISAPIGLYQSYEAKLTALLRKNGLLEGQLAAALASREAADKSLSSALKSKQDTEKKLADALKEIDLVKEKLASVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGLLGGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFHV >itb01g28630.t3 pep chromosome:ASM357664v1:1:33026008:33030237:-1 gene:itb01g28630 transcript:itb01g28630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGESGSGLLEDVQVLNFERFSWTSVSSKLYLSPTSLPLKIPACKGHSLVSWGKKVLLVGGKSDPGSDKVSVWAFDTETECWSLMEAKGDVPVARSGHTVVRASSVLILFGGEDSKKRKLNDLHMFDLKSLTWLPLHCTGPGPSARSNHIATLYDDKLLLIFGGTSKSRTLNDLHSLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLIFDVLKLEWSVTVVSPSSSIITNKGFSLVLVQHKERDFLVAFGGSRKDPSNQVEVLMIEKNDSSSGRRSTLSKGAGNLLSGNRLSSIVSAAQPCNVAKNNIDSVSKQKLSSAVEHGSGRKSLSESLLSDPNSVTGNVSLRKQFYNEEETSLKMPKSSDDESSSQVMERGTRQLDSGLEHIDSKTIPEEICTIPESGNMATHKKQGSGNFPLDSDDFVFQESDCKFGISAPIGLYQSYEAKLTALLRKNGLLEGQLAAALASREAADKSLSSALKSKQDTEKKLADALKEIDLVKEKLASVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGLLGGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFHV >itb09g19190.t2 pep chromosome:ASM357664v1:9:15364083:15370113:1 gene:itb09g19190 transcript:itb09g19190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNIAKMFFIKNQRDEEKASREECTLDGTVDHYGRPAVRSATGGWFAGILLLVNQGLATLAFFGVGVNLVLFLTRVMGQDNADAANNVSKWTGTVYLFSLLGAFLSDSYWGRYKTCAIFQLIYVVGLISLSIFSFIFLVKPKGCGDENTPCRSPSRTHIAVYYVSIYLVALGNGGYQPNIATFGSDQFDGDHAHESVSKVAFFSYFYLALNLGSLFSNTVLVYFEDKGMWTLGFWASTASAVLALLLFLVGTPRYRHFTPKGNPLSRFSQVLVASARKWNVHLPENADHHLYEIQEHDSSNRKILHTEGFKFLDKAALITTKESVCDLEERINPWHLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMRTNIAGFHIPAASMSSFDILSVATFIFIYRRVLDPLVARLKKTHRAQGFTELQRMGVGLIIAIMAMVAAGIVEHLRLKHASNECPRCPNSSSSLSIFWQVPQYMLIGASEVFMYVGQLEFFNGQAPEGLKSLASALCMTSISLGNYVSSLLVSIVMRISTTHRMPGWIPGNLNKGHLDRFYFLLAALTTADFAVYILCAKWYKYIEFEERNSSCLKNIGDSKQQEDHIDLH >itb09g19190.t1 pep chromosome:ASM357664v1:9:15364083:15370113:1 gene:itb09g19190 transcript:itb09g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNIAKMNQRDEEKASREECTLDGTVDHYGRPAVRSATGGWFAGILLLVNQGLATLAFFGVGVNLVLFLTRVMGQDNADAANNVSKWTGTVYLFSLLGAFLSDSYWGRYKTCAIFQLIYVVGLISLSIFSFIFLVKPKGCGDENTPCRSPSRTHIAVYYVSIYLVALGNGGYQPNIATFGSDQFDGDHAHESVSKVAFFSYFYLALNLGSLFSNTVLVYFEDKGMWTLGFWASTASAVLALLLFLVGTPRYRHFTPKGNPLSRFSQVLVASARKWNVHLPENADHHLYEIQEHDSSNRKILHTEGFKFLDKAALITTKESVCDLEERINPWHLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMRTNIAGFHIPAASMSSFDILSVATFIFIYRRVLDPLVARLKKTHRAQGFTELQRMGVGLIIAIMAMVAAGIVEHLRLKHASNECPRCPNSSSSLSIFWQVPQYMLIGASEVFMYVGQLEFFNGQAPEGLKSLASALCMTSISLGNYVSSLLVSIVMRISTTHRMPGWIPGNLNKGHLDRFYFLLAALTTADFAVYILCAKWYKYIEFEERNSSCLKNIGDSKQQEDHIDLH >itb06g09780.t1 pep chromosome:ASM357664v1:6:14010959:14013641:1 gene:itb06g09780 transcript:itb06g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKLTLRDGLMLDMTEDDVAAVLGFPWGTVEIERKKKGVSFPLHTEFKEKIQVGDVEKVTYSGLIEATLECKDGGVWFKRYFMVLVNRVVLFRRHVPRAFPSFIGWTSDLLKDREKEEINHGGLCQGRIEARYAPTATDLALVAGNVQGPSPIDPEVQPQRQASSQVELECPTSSKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSGAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >itb06g20300.t1 pep chromosome:ASM357664v1:6:23330339:23337724:1 gene:itb06g20300 transcript:itb06g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGRCCPTMDLMRSEPMQLAQLIIPMELAHKAISNLGDHGLFQFKDLNAEKSPFQRTYAVQIKRCGEMARRLRFFREQMTKAGFSPSSGSVMCRNVTLDGLEVKLGELEAELVEMNGNSEKLERSYNELLEYRLVMQKAGEFFHSAQSSASAQQRQFEEHNLVEGSIDSPLLLEQTMSADPSKQVKLGYVSGLVSREKSMAFERILFRATRGNVFLKQVVLDDTVIDPVSGEKVEKNVFITFYSGERARNKILKICDAFGANRYPFMDDIGKQYQIITEVSGKLSELKTTIQVGQAHKENLLKAIAFEFEQWNLLVKKEKSVYHTLNMLNFDVTKKCLVGEGWCPIFATSQIQNALHKAALDCNSQVGAVFQVLHTTESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLFLATLYFVLREKKLSSQKLGDIMEMAFGGRYVILMMALFSIYTGFIYNEFFSVPFEIFGRSAYACSDPSCRDAFTTGLIKVRDTYPFGVDPEWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFKNGINIWHQFVPQMIFLNSLFGYLTVLIILKWCTGSQADLYHVMIYMFLSPTDDLGENQMFTGQKYLQILLVLLAVVAVPWMLFPKPLLLKKQHEERHRGQSYAPLQNADDASELETDNHGHGHGHEDFEFSEVFVHQLIHTIEFVLGAISNTASYLRLWALSLAHSELSSVFYDKVLLLAMEFNNIVILIIGIIVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFSPFSFATIGQDDDE >itb14g03590.t1 pep chromosome:ASM357664v1:14:3184005:3186928:1 gene:itb14g03590 transcript:itb14g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCIEMAFFPTNFMLQTPHEDDHQPSTSLNPLLPSCTPQDFHGVASFLGKRSMSFSGVETPNCEEAHGGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYEVLKRQFDAIKSENDALQAQNQKLHAEIMALKNRDHQPTESINLNKETEGSCSNRSENSSEIKLDISRPPAIDSHPPITPNTSRSLFPPNTLSRPAGMAHLFHHNPSSSSRPPSSSDLHPQSVKEETLSSMFCGIDDQSGFWPWLETQHFN >itb10g17940.t1 pep chromosome:ASM357664v1:10:24023957:24025775:1 gene:itb10g17940 transcript:itb10g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFVIVEVQGDNGSVGCEEEERRALLRLRDDVFNYPNGTIALPSWGDEAEKDCCMWERVKCDQSNHHVTQLSLAEIRLWSSDLIFLNTSLFLPFRELQNLRLDSNRIRGFHGVLNLSKLQVLDLSGNWFDEIPSLGLLRSLRILNMDGNEINTWSHFEELTTLKHLELLNFAFNGLRGKIPNALGSLTSLKFLSFRANLLNGSLIDGGFCKLRNLQELDLESNSFEGRIPLCLGNLTFLRALNIQLNFLIGTLPSAIFPTLNLLEYLSLSSNYFEGYFSFNSLGNNSKLDIFELENSHSNLTVNTENPPWMPKPQIKIFRLSNCNLNQPGGNLPSFLLKQRELRVLNLDHTGVTEIFPTWLFINNSNMEFLRLVGNFIIGPLTFDDHQSKNEHLTFLDVSINQIQGVLPYSIGVLFPNLKILDMSMNAIQGGIPPSLGELKQLEWLKLSNNNFWRTAKRICEGMYQSANLGFSKQQFARSSSSYKFKHEQP >itb13g25750.t1 pep chromosome:ASM357664v1:13:31061923:31063679:-1 gene:itb13g25750 transcript:itb13g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSLLYSDCLSASPWNRYSVYASGTPQSGHCRGLQRISFEVSSFSTTTPGHGNVTVQGFHKFGPT >itb04g29020.t1 pep chromosome:ASM357664v1:4:32552508:32553214:-1 gene:itb04g29020 transcript:itb04g29020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMISKTEVLMGNVMKEKEEMLSMHNKELEMIHQEALKQVETILSEQETSTQQLDDHKKELKLREDEIRHREKLNKSEKEMNERAILAQKKAMRQ >itb11g07050.t2 pep chromosome:ASM357664v1:11:4268774:4272196:1 gene:itb11g07050 transcript:itb11g07050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPGNGDHVESFCNSIQVIKKVLSPIESSIRKVAKDFEQKWPGFKVGEVDDSTSVKRNCKSMGGSGEKKKRFAVKVPNDVFVGTFWGWKGLKEKKSGGDCKKGDGDWSLLMNKIGKKLVQKMITDDVCPSENSVKARIVHEVRKQELNDGAVMVSSDERLGRKQGKNLSIELFMGFLFDQLAQNHKKHDSCGFEKGCSHAALEDPVSPAVNQFDHLKALTSVFEGKRADANRFLGNLKFARVGSVPSSADGVCSPVTEDRDNAVNSSSPLENSVGNSPQRLANGLLSIPLSNIEQLRSTLSTVSLSELVELLPQLGRPSQDHPDKKKLFSVQDFYRYTEAEGRRFFRELDRDCDGQVTLEDLEVALNDRKLPKQYAHEFMRHTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGMLQKSEILASLRNSGVPANEDNAVAMMHFLNADREESISYGHFRNFMALLPSDRLQQDPRSVQSEPATEVSVAPPKEMPAENVLKSALAGGISCALSTALMHPIDTVKTRVQASMLTFPQIMSKLPEFGWRGLYKGSTPAILGQFFGHGLRTGIFEASKLLMINIAPTLPNMQVI >itb11g07050.t1 pep chromosome:ASM357664v1:11:4268774:4273136:1 gene:itb11g07050 transcript:itb11g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPGNGDHVESFCNSIQVIKKVLSPIESSIRKVAKDFEQKWPGFKVGEVDDSTSVKRNCKSMGGSGEKKKRFAVKVPNDVFVGTFWGWKGLKEKKSGGDCKKGDGDWSLLMNKIGKKLVQKMITDDVCPSENSVKARIVHEVRKQELNDGAVMVSSDERLGRKQGKNLSIELFMGFLFDQLAQNHKKHDSCGFEKGCSHAALEDPVSPAVNQFDHLKALTSVFEGKRADANRFLGNLKFARVGSVPSSADGVCSPVTEDRDNAVNSSSPLENSVGNSPQRLANGLLSIPLSNIEQLRSTLSTVSLSELVELLPQLGRPSQDHPDKKKLFSVQDFYRYTEAEGRRFFRELDRDCDGQVTLEDLEVALNDRKLPKQYAHEFMRHTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGMLQKSEILASLRNSGVPANEDNAVAMMHFLNADREESISYGHFRNFMALLPSDRLQQDPRSVQSEPATEVSVAPPKEMPAENVLKSALAGGISCALSTALMHPIDTVKTRVQASMLTFPQIMSKLPEFGWRGLYKGSTPAILGQFFGHGLRTGIFEASKLLMINIAPTLPNMQVQSTVSFCSAILGTVVRVPSEVLKQRLQAGLFDNAGEAFIGTWKQDGLKGFFRGTGATLFREVPFYVAGMGLYAESKKAVQRLLGRELEPWETVVVGAVSGGLTAVLTTPFDVIKTRMMTAPQGKAVSMSMVAFSIVRNEGPLVLFKGAVPRFFWVAPLGAMNFAGYELLRKAMG >itb15g06930.t1 pep chromosome:ASM357664v1:15:4684371:4685452:-1 gene:itb15g06930 transcript:itb15g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMLPNPPERSVLLQRLIPGAAATCKQSQPQYQRRTPIPCDSHVPETAARYHAYSVSPNQCCSAVIQYIAAPVSAVWGVVRRFDNPQAYKHFVKSCHVIAGEGGVGTLREVRVVSGLPAATSTERLEILDEERHVISFSVVGGDHRLANYRSVTTLHAGAGGGDGEDEDEDGTVVVESYVVDIPPGNTREETCVFVDTIVKCNLQSLAQIAESSGRRNAASLN >itb05g02030.t1 pep chromosome:ASM357664v1:5:1581123:1583400:-1 gene:itb05g02030 transcript:itb05g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREELFEEGDGMEYGGISTLTRLVSLHLSWNFESDVKLRKPNLESFIMNLNSLKEVYLDYVDLSAQGSNWSQVLSSALPNLEALSMSHCELNGPIHHSFGTLKSLSYLKLDHNNLFSDFPENVFVLPKLKTIDISYNDLLSGQIPEFPNQTSLQIISLSHTNFSGELPKSIGNLQSLRSLDISSCKLSGLIPSSLANLTSIIWLDIGGNRFTGSLPPYHSTSVPNLSYLNMSSNLLTGGIHSSVFTLPSLEDLLLNNNKFNGELQEFSNASSSVLANLDLSGNQLSGVVPKSIYQLPNLIGLALATNNFNGSVKIEMLQNLKMLTLLDLSSNSLTVESVDRSFHLPQLEDVYLQKCNLSDFPIFLKNQVRQLRDLNLAYNHIRGYVPSWLLGSNILEQLDLSGNTIDFLETSAQGNGSFMMLNTLVMRSCNISKFPKFLKGFDALQDLDLSDNKIEGEIPSWIWHNELQVVNISHNLLSVFDEIPTNISSYTFSLLLHGNRIKGSLPSRICNMSYLAFFDASDNNLSGLIPECLVKLETLSVLNLQGNRYHEMPSNFTFATHLSTLNINGNHLKGKLPRSLANCKMLEILDLGNNMISDTFPFWLGKLTSLNVLILRNNMFYVVQIFLAKFECNDYGWGKQSTVQPHWRA >itb06g12100.t1 pep chromosome:ASM357664v1:6:16651407:16654600:1 gene:itb06g12100 transcript:itb06g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPEFKGHARRDYWLTIIREILYAQRFMHKFQITGINREEALMKAIFGILQVQALKDISSTNSLCYDNLLMFNVCDKLPGGDLILETLATKSVMRELESANSLKASTGMHSISALTIASNLGFVLGTSPKVPNEMGIVVGEIAVGEMTSLEKVVRESRSNYKKVVSAQATVDGVKVDGLDTNLAVMKELMSPIHQLWKCLLSLAHWEDSLKSMIFCLVFTCIIIRGWLGYACALLLAFFALYLGLTCFFSQSRASRTLKVIVPPSMNTMEQLFAVQSVVSQAEELIQDGNIVLLKCRAILLSIFPQATEKLVGALLVMALLLAFLPSRYIAVLVFLELFTKYSPLRKPNTERCTRRLREWWFSIPAAPVIVERHKEDKKKR >itb05g17310.t1 pep chromosome:ASM357664v1:5:24290527:24293956:-1 gene:itb05g17310 transcript:itb05g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAMMNHSDEDYDMEFSSRSVDGSSQKVKVEGMSGDRKANAVRSKHSETEQRRRSKINERFQILRDLIPENDNKRDKASFLLEVIQYIQFLHGRIQMYEGGCQDWSQEPSKLMPWRSSSGAVGHSELIRNGSAHEDNGVVDQKILANTHESIESDPSAEALYKTMDDLQRLTNEAISFGMQMQPSFFEGSSVQLSPGSSSIEHLASQSQLFYWPDEQNETQRAAPGCSQNDQEEPETGGGEAGISNEFSQRLMNTLNQTLASLGVDMLQANVSVQLDIGKHTDTSTGADLTRLSSRTTWDQPHKKLRKEQS >itb04g28520.t4 pep chromosome:ASM357664v1:4:32213107:32228202:-1 gene:itb04g28520 transcript:itb04g28520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLLKLNMRRLFVWSCLLLLLLHIDGREVDAASKYLIGLGSYDITGPAADVNMMGYANSEQTAAGVHFRLRSRAFIVAEPQGNRILFVNLDACMASQIVTIKVLERLKERYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEQSIIEAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPSAERNKYKYDVDKEMTLLKFVDDDWGPVGSFNWFATHGTSMGRTNSLISGDNKGAAARFMEDWFSQNSIETEVSNVTRTIKLPRRISNIIPIHEKHHELLELAASFQSSSGKLATRLTSVARRVRSALRLADKPRFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNTSTCNGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFNSASEQLKGKIDYRHTYLNFSNLEVTIPKEGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDQGNAFWRAVRDLLKTPGKEQEECQQPKPILLDTGEMKLPYDWAPSILPIQILRVGQLAILSVPGEFTTMAGRRLRDAVKTVLTSAASEFNSNVHVVIAGLTNTYSQYVTTHEEYEIQRYEGASTLYGPHTLNAYIQEFKKLAKATVTGQSVEAGPNPPDLLDKQLSFLTPVVVDTTPLGTSFGDVVTDVPKNSTVKRGDLVTVVFQSACPRNDLMTEGTFALVEILQGKDTWLPAYDDDDFCLRFIWSRPAKLSPKSEATIEWRIPSSATPGVYRIKHFGAAKSLLGSIKHFTDPIGENLSTDHSGEGSNEAYRLRLYLLITHHNYTLNRSSCTSKHSPRKSTAAGFCFRIMAYEISFAGIFACSAFAACFAEFCTLPFDTSKVRLQLQKGAASGHSGEGGGGGAKYKGLFGTMMTIAKDEGIFALWKGVIPGLHRQFLYGGLRIGLYEPVKAFIIGSDHVGEVSLFSKILAALLTGAIAIAVANPTDLVKVRLQAESKAPVGAPRRYIGAMDAYYTIVKQEGLTALWTGLGPNIARNAIINAAELASYDHVKETILKIPGFTDSALTHILAGLGAGFFAVCIGSPVDVVKSRMMGDSFYKSTFDCFFKTLKNEGPFAFYKGFLPNFTRLGLWNVIMFLTFERVKRLLSLQIVIPLGYAEEIYLLKEHPGMPFCTQEENYHSKRPFEDTLKTSIRSGVYKPSLPVRIQTIRESFISQSLRRPPEEENCAILPKLINMSYSKRSRYSRSPSYKHYSSRSVSRSLSKSPSLSRSPSRSRYSSESENPGNNLYVTGLSTRVREKDLEKHFSSEGKVEDVHLVVDPWTRESRGFAFVTMSKLEDADRCIKYLNRSVLEGRVITVEKARRRRGRTPTPGRYLGLRTVRERRSPSYSRSRSPRYSSERDRSRSRSRSYSPYYRRRRRSYSPHYSRRSRHSYSPYGRGRSYSRSVSRSPSNSPYYSRYRSPDDGYYYRRSSYRSPDRDYYYRRSQSRSISPPRYRRYRRSYSPSVSPRRRRRSYSRSVSPPSKDLRGSYRSISPSPRKSSRRSGYDSCSSRSHSRRSTSASSRSHSRSVMSDSTSPSP >itb04g28520.t1 pep chromosome:ASM357664v1:4:32213107:32228202:-1 gene:itb04g28520 transcript:itb04g28520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLLKLNMRRLFVWSCLLLLLLHIDGREVDAASKYLIGLGSYDITGPAADVNMMGYANSEQTAAGVHFRLRSRAFIVAEPQGNRILFVNLDACMASQIVTIKVLERLKERYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEQSIIEAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPSAERNKYKYDVDKEMTLLKFVDDDWGPVGSFNWFATHGTSMGRTNSLISGDNKGAAARFMEDWFSQNSIETEVSNVTRTIKLPRRISNIIPIHEKHHELLELAASFQSSSGKLATRLTSVARRVRSALRLADKPRFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNTSTCNGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFNSASEQLKGKIDYRHTYLNFSNLEVTIPKEGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDQGNAFWRAVRDLLKTPGKEQEECQQPKPILLDTGEMKLPYDWAPSILPIQILRVGQLAILSVPGEFTTMAGRRLRDAVKTVLTSAASEFNSNVHVVIAGLTNTYSQYVTTHEEYEIQRYEGASTLYGPHTLNAYIQEFKKLAKATVTGQSVEAGPNPPDLLDKQLSFLTPVVVDTTPLGTSFGDVVTDVPKNSTVKRGDLVTVVFQSACPRNDLMTEGTFALVEILQGKDTWLPAYDDDDFCLRFIWSRPAKLSPKSEATIEWRIPSSATPGVYRIKHFGAAKSLLGSIKHFTDPIGENLSTDHSGEGSNEAYRLRLYLLITHHNYTLNRSSCTSKHSPRKSTAAGFCFRIMAYEISFAGIFACSAFAACFAEFCTLPFDTSKVRLQLQKGAASGHSGEGGGGGAKYKGLFGTMMTIAKDEGIFALWKGVIPGLHRQFLYGGLRIGLYEPVKAFIIGSDHVGEVSLFSKILAALLTGAIAIAVANPTDLVKVRLQAESKAPVGAPRRYIGAMDAYYTIVKQEGLTALWTGLGPNIARNAIINAAELASYDHVKETILKIPGFTDSALTHILAGLGAGFFAVCIGSPVDVVKSRMMGDSFYKSTFDCFFKTLKNEGPFAFYKGFLPNFTRLGLWNVIMFLTFERVKRLLSLQIVIPLGYAEEIYLLKEHPGMPFCTQEENYHSKRPFEDTLKTSIRSGVYKPSLPVRIQTIRESFISQSLRRPPEEENCAILPKLINMSYSKRSRYSRSPSYKHYSSRSVSRSLSKSPSLSRSPSRSRYSSESENPGNNLYVTGLSTRVREKDLEKHFSSEGKVEDVHLVVDPWTRESRGFAFVTMSKLEDADRCIKYLNRSVLEGRVITVEKGSCV >itb04g28520.t3 pep chromosome:ASM357664v1:4:32213107:32228202:-1 gene:itb04g28520 transcript:itb04g28520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLLKLNMRRLFVWSCLLLLLLHIDGREVDAASKYLIGLGSYDITGPAADVNMMGYANSEQTAAGVHFRLRSRAFIVAEPQGNRILFVNLDACMASQIVTIKVLERLKERYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEQSIIEAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPSAERNKYKYDVDKEMTLLKFVDDDWGPVGSFNWFATHGTSMGRTNSLISGDNKGAAARFMEDWFSQNSIETEVSNVTRTIKLPRRISNIIPIHEKHHELLELAASFQSSSGKLATRLTSVARRVRSALRLADKPRFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNTSTCNGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFNSASEQLKGKIDYRHTYLNFSNLEVTIPKEGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDQGNAFWRAVRDLLKTPGKEQEECQQPKPILLDTGEMKLPYDWAPSILPIQILRVGQLAILSVPGEFTTMAGRRLRDAVKTVLTSAASEFNSNVHVVIAGLTNTYSQYVTTHEEYEIQRYEGASTLYGPHTLNAYIQEFKKLAKATVTGQSVEAGPNPPDLLDKQLSFLTPVVVDTTPLGTSFGDVVTDVPKNSTVKRGDLVTVVFQSACPRNDLMTEGTFALVEILQGKDTWLPAYDDDDFCLRFIWSRPAKLSPKSEATIEWRIPSSATPGVYRIKHFGAAKSLLGSIKHFTDPIGENLSTDHSGEGSNEAYRLRLYLLITHHNYTLNRSSCTSKHSPRKSTAAGFCFRIMAYEISFAGIFACSAFAACFAEFCTLPFDTSKVRLQLQKGAASGHSGEGGGGGAKYKGLFGTMMTIAKDEGIFALWKGVIPGLHRQFLYGGLRIGLYEPVKAFIIGSDHVGEVSLFSKILAALLTGAIAIAVANPTDLVKVRLQAESKAPVGAPRRYIGAMDAYYTIVKQEGLTALWTGLGPNIARNAIINAAELASYDHVKETILKIPGFTDSALTHILAGLGAGFFAVCIGSPVDVVKSRMMGDSFYKSTFDCFFKTLKNEGPFAFYKGFLPNFTRLGLWNVIMFLTFERVKRLLSLQIVIPLGYAEEIYLLKEHPGMPFCTQEENYHSKRPFEDTLKTSIRSGVYKPSLPVRIQTIRESFISQSLRRPPEEENCAILPKLINMSYSKRSRYSRSPSYKHYSSRSVSRSLSKSPSLSRSPSRSRYSSESENPGNNLYVTGLSTRVREKDLEKHFSSEGKVEDVHLVVDPWTRESRGFAFVTMSKLEDADRCIKYLNRSVLEGRVITVEKFLLQQGSCV >itb04g28520.t5 pep chromosome:ASM357664v1:4:32213107:32228202:-1 gene:itb04g28520 transcript:itb04g28520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLLKLNMRRLFVWSCLLLLLLHIDGREVDAASKYLIGLGSYDITGPAADVNMMGYANSEQTAAGVHFRLRSRAFIVAEPQGNRILFVNLDACMASQIVTIKVLERLKERYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEQSIIEAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPSAERNKYKYDVDKEMTLLKFVDDDWGPVGSFNWFATHGTSMGRTNSLISGDNKGAAARFMEDWFSQNSIETEVSNVTRTIKLPRRISNIIPIHEKHHELLELAASFQSSSGKLATRLTSVARRVRSALRLADKPRFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNTSTCNGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFNSASEQLKGKIDYRHTYLNFSNLEVTIPKEGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDQGNAFWRAVRDLLKTPGKEQEECQQPKPILLDTGEMKLPYDWAPSILPIQILRVGQLAILSVPGEFTTMAGRRLRDAVKTVLTSAASEFNSNVHVVIAGLTNTYSQYVTTHEEYEIQRYEGASTLYGPHTLNAYIQEFKKLAKATVTGQSVEAGPNPPDLLDKQLSFLTPVVVDTTPLGTSFGDVVTDVPKNSTVKRGDLVTVVFQSACPRNDLMTEGTFALVEILQGKDTWLPAYDDDDFCLRFIWSRPAKLSPKSEATIEWRIPSSATPGVYRIKHFGAAKSLLGSIKHFTDPIGENLSTDHSGEGSNEAYRLRLYLLITHHNYTLNRSSCTSKHSPRKSTAAGFCFRIMAYEISFAGIFACSAFAACFAEFCTLPFDTSKVRLQLQKGAASGHSGEGGGGGAKYKGLFGTMMTIAKDEGIFALWKGVIPGLHRQFLYGGLRIGLYEPVKAFIIGSDHVGEVSLFSKILAALLTGAIAIAVANPTDLVKVRLQAESKAPVGAPRRYIGAMDAYYTIVKQEGLTALWTGLGPNIARNAIINAAELASYDHVKETILKIPGFTDSALTHILAGLGAGFFAVCIGSPVDVVKSRMMGDSFYKSTFDCFFKTLKNEGPFAFYKGFLPNFTRLGLWNVIMFLTFERVKRLLSLQIVIPLGYAEEIYLLKEHPGMPFCTQEENYHSKRPFEDTLKTSIRSGVYKPSLPVRIQTIRESFISQSLRRPPEEENCAILPKLINMSYSKRSRYSRSPSYKHYSSRSVSRSLSKSPSLSRSPSRSRYSSESENPGNNLYVTGLSTRVREKDLEKHFSSEGKVEDVHLVVDPWTRESRGFAFVTMSKLEDADRCIKYLNRSVLEGRVITVEKVNCHYLFVTQFSYLHLLYFHIILIIDLSIHHSLLPPSLLSLKVVCLFRLKFLLQQGSCV >itb04g28520.t2 pep chromosome:ASM357664v1:4:32213107:32228202:-1 gene:itb04g28520 transcript:itb04g28520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSLLKLNMRRLFVWSCLLLLLLHIDGREVDAASKYLIGLGSYDITGPAADVNMMGYANSEQTAAGVHFRLRSRAFIVAEPQGNRILFVNLDACMASQIVTIKVLERLKERYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEQSIIEAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPSAERNKYKYDVDKEMTLLKFVDDDWGPVGSFNWFATHGTSMGRTNSLISGDNKGAAARFMEDWFSQNSIETEVSNVTRTIKLPRRISNIIPIHEKHHELLELAASFQSSSGKLATRLTSVARRVRSALRLADKPRFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNTSTCNGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFNSASEQLKGKIDYRHTYLNFSNLEVTIPKEGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFTQGDDQGNAFWRAVRDLLKTPGKEQEECQQPKPILLDTGEMKLPYDWAPSILPIQILRVGQLAILSVPGEFTTMAGRRLRDAVKTVLTSAASEFNSNVHVVIAGLTNTYSQYVTTHEEYEIQRYEGASTLYGPHTLNAYIQEFKKLAKATVTGQSVEAGPNPPDLLDKQLSFLTPVVVDTTPLGTSFGDVVTDVPKNSTVKRGDLVTVVFQSACPRNDLMTEGTFALVEILQGKDTWLPAYDDDDFCLRFIWSRPAKLSPKSEATIEWRIPSSATPGVYRIKHFGAAKSLLGSIKHFTDPIGENLSTDHSGEGSNEAYRLRLYLLITHHNYTLNRSSCTSKHSPRKSTAAGFCFRIMAYEISFAGIFACSAFAACFAEFCTLPFDTSKVRLQLQKGAASGHSGEGGGGGAKYKGLFGTMMTIAKDEGIFALWKGVIPGLHRQFLYGGLRIGLYEPVKAFIIGSDHVGEVSLFSKILAALLTGAIAIAVANPTDLVKVRLQAESKAPVGAPRRYIGAMDAYYTIVKQEGLTALWTGLGPNIARNAIINAAELASYDHVKETILKIPGFTDSALTHILAGLGAGFFAVCIGSPVDVVKSRMMGDSFYKSTFDCFFKTLKNEGPFAFYKGFLPNFTRLGLWNVIMFLTFERVKRLLSLQIVIPLGYAEEIYLLKEHPGMPFCTQEENYHSKRPFEDTLKTSIRSGVYKPSLPVRIQTIRESFISQSLRRPPEEENCAILPKLINMSYSKRSRYSRSPSYKHYSSRSVSRSLSKSPSLSRSPSRSRYSSESENPGNNLYVTGLSTRVREKDLEKHFSSEGKVEDVHLVVDPWTRESRGFAFVTMSKLEDADRCIKYLNRSVLEGRVITVEKFLLQQGSCV >itb05g16190.t1 pep chromosome:ASM357664v1:5:23457514:23460139:-1 gene:itb05g16190 transcript:itb05g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIMLIKSITREMKDVKGGKRRIGQEGRQLQGRSCNHIPWPDPLPIDSAGQGNWEYLPPELLLDIIQRVEKSETSWPGRSAVLACASVCKSWREITKEIVKTPEECGRITFPISLKQPGPREFPIQCFIKRDRSTSTYRLYLGLTPSKDESDKLLLAAKRIRRLTGTDFMISLVADDFSRSSNTYVGKLRSNFLGTKFTLYDSQSPYHSGAQHNNQRRKRFNANKVSPGSSTCVSTIAYKLKILRTKGPRRMHCVMHSIPLSSIQEGGTAPTPTSLPLSFGSKYTPPPVSKVNEPVTDFNSPSLLGSQVSPPSPPSQVPLTLRNKVPKWHEDLQCWYLNFKGRVTVASVKNFQLIAAVDPSHNIAHTDQEKVILQFGKIGKDVFTMDYCFPLSAFQAFAICLTSFDLKPACE >itb10g23770.t2 pep chromosome:ASM357664v1:10:27940521:27943062:-1 gene:itb10g23770 transcript:itb10g23770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSLYPKFHPSPCKTLNPPRFNFQPRIDILPFRIRGTSSSYLQASRRFSTFPQEGDKLADDSRYRSQRRPGIELGGYGFDEDDDDEDEEDEDRSLDLLIKFVQNVFRKISRKARKAVRSVLPVAISSQLVGFSVNGVIILTFLWVLKALLEVIIPFLSGVILIFLALDFQQSFNSVMTGIDPQGATLCSVLLLFTYCLQGFMILNIAVNRYALELHAKSSNFVSVS >itb10g23770.t1 pep chromosome:ASM357664v1:10:27940521:27943062:-1 gene:itb10g23770 transcript:itb10g23770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLSLYPKFHPSPCKTLNPPRFNFQPRIDILPFRIRGTSSSYLQASRRFSTFPQEGDKLADDSRYRSQRRPGIELGGYGFDEDDDDEDEEDEDRSLDLLIKFVQNVFRKISRKARKAVRSVLPVAISSQLVGFSVNGVIILTFLWVLKALLEVICTLGSVVFASILLIRGIWMGISYLQDTRNHRIDDEDHTVWTGAQPAS >itb13g07770.t1 pep chromosome:ASM357664v1:13:9798530:9803653:-1 gene:itb13g07770 transcript:itb13g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVVDEGSGRASSNGYVRHRRSRSASERNLNLPKGGGPDHTQKDFNGSHGLPPSTRSCRASPLHEYSDNTNMDVVPNHRVSLERDIEQLQLRLQQERSMRMLLERAMGRTSSTLSPGHRHFSAQTKELIAEIELLEEEVANREHHVLSLYRSIFEQCTSRPSSEQSSVVTSPAHSKSESRKHPSIISSAFCSSKKFPLWTLQALAAMNDSVKRNSQSKTKHASFLSAKTEEHIQKSCSENAKDQRWAPAVDKARSIRTLKDHLHQCPSKLSVEMVRCMSAVYCWLRKTIPVNPGQKQSTLSSMSSANVIIPRHDIKEDKDWFCKSTIEVSWISTNKNNFSRASYAINNYRLLVEQLERANISQMETNAQIAFWINVYNSLVMHAYLAYGIPQNSLRRLALFHKAAYNVAGHVVSANAIEQSIFCLRTPRIGRWLEAILSTAIRKKSGEERERISSKLGLKKPQPLVCFALCTGAFSDPMLKVYTASKVEEELETAKREFLQANIVIKKLRKVVLPKVLERYAKEACIPSDDLLKWVVENVDKKLHDSIQKCVESRSSKKASQIVEWSPYSSRFQYVISKDLAEKPWWT >itb13g07770.t3 pep chromosome:ASM357664v1:13:9798530:9803644:-1 gene:itb13g07770 transcript:itb13g07770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVVDEGSGRASSNGYVRHRRSRSASERNLNLPKGGGPDHTQKDFNGSHGLPPSTRSCRASPLHEYSDNTNMDVVPNHRVSLERDIEQLQLRLQQERSMRMLLERAMGRTSSTLSPGHRHFSAQTKELIAEIELLEEEVANREHHVLSLYRSIFEQCTSRPSSEQSSVVTSPAHSKSESRKHPSIISSAFCSSKKFPLWTLQALAAMNDSVKRNSQSKTKHASFLSAKTEEHIQKSCSENAKDQRWAPAVDKARSIRTLKDHLHQCPSKLSVEMVRCMSAVYCWLRKTIPVNPGQKQSTLSSMSSANVIIPRHDIKEDKDWFCKSTIEVSWISTNKNNFSRASYAINNYRLLVEQLERANISQMETNAQIAFWINVYNSLVMHAYLAYGIPQNSLRRLALFHKAAYNVAGHVVSANAIEQSIFCLRTPRIGRWLEAILSTAIRKKSGEERERISSKLGLKKPQPLVCFALCTGAFSDPMVKCLSIPLFAVYEM >itb13g07770.t2 pep chromosome:ASM357664v1:13:9798530:9802555:-1 gene:itb13g07770 transcript:itb13g07770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLWVYLCHFSASERNLNLPKGGGPDHTQKDFNGSHGLPPSTRSCRASPLHEYSDNTNMDVVPNHRVSLERDIEQLQLRLQQERSMRMLLERAMGRTSSTLSPGHRHFSAQTKELIAEIELLEEEVANREHHVLSLYRSIFEQCTSRPSSEQSSVVTSPAHSKSESRKHPSIISSAFCSSKKFPLWTLQALAAMNDSVKRNSQSKTKHASFLSAKTEEHIQKSCSENAKDQRWAPAVDKARSIRTLKDHLHQCPSKLSVEMVRCMSAVYCWLRKTIPVNPGQKQSTLSSMSSANVIIPRHDIKEDKDWFCKSTIEVSWISTNKNNFSRASYAINNYRLLVEQLERANISQMETNAQIAFWINVYNSLVMHAYLAYGIPQNSLRRLALFHKAAYNVAGHVVSANAIEQSIFCLRTPRIGRWLEAILSTAIRKKSGEERERISSKLGLKKPQPLVCFALCTGAFSDPMLKVYTASKVEEELETAKREFLQANIVIKKLRKVVLPKVLERYAKEACIPSDDLLKWVVENVDKKLHDSIQKCVESRSSKKASQIVEWSPYSSRFQYVISKDLAEKPWWT >itb13g07770.t4 pep chromosome:ASM357664v1:13:9799497:9803653:-1 gene:itb13g07770 transcript:itb13g07770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVVDEGSGRASSNGYVRHRRSRSASERNLNLPKGGGPDHTQKDFNGSHGLPPSTRSCRASPLHEYSDNTNMDVVPNHRVSLERDIEQLQLRLQQERSMRMLLERAMGRTSSTLSPGHRHFSAQTKELIAEIELLEEEVANREHHVLSLYRSIFEQCTSRPSSEQSSVVTSPAHSKSESRKHPSIISSAFCSSKKFPLWTLQALAAMNDSVKRNSQSKTKHASFLSAKTEEHIQKSCSENAKDQRWAPAVDKARSIRTLKDHLHQCPSKLSVEMVRCMSAVYCWLRKTIPVNPGQKQSTLSSMSSANVIIPRHDIKEDKDWFCKSTIEVSWISTNKNNFSRASYAINNYRLLVEQLERANISQMETNAQIAFWINVYNSLVMHAYLAYGIPQNSLRRLALFHKAAYNVAGHVVSANAIEQSIFCLRTPRIGRVFPYTIYNSALIPNVNKAKEEKEKKNI >itb13g07770.t5 pep chromosome:ASM357664v1:13:9798530:9802138:-1 gene:itb13g07770 transcript:itb13g07770.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLERAMGRTSSTLSPGHRHFSAQTKELIAEIELLEEEVANREHHVLSLYRSIFEQCTSRPSSEQSSVVTSPAHSKSESRKHPSIISSAFCSSKKFPLWTLQALAAMNDSVKRNSQSKTKHASFLSAKTEEHIQKSCSENAKDQRWAPAVDKARSIRTLKDHLHQCPSKLSVEMVRCMSAVYCWLRKTIPVNPGQKQSTLSSMSSANVIIPRHDIKEDKDWFCKSTIEVSWISTNKNNFSRASYAINNYRLLVEQLERANISQMETNAQIAFWINVYNSLVMHAYLAYGIPQNSLRRLALFHKAAYNVAGHVVSANAIEQSIFCLRTPRIGRWLEAILSTAIRKKSGEERERISSKLGLKKPQPLVCFALCTGAFSDPMLKVYTASKVEEELETAKREFLQANIVIKKLRKVVLPKVLERYAKEACIPSDDLLKWVVENVDKKLHDSIQKCVESRSSKKASQIVEWSPYSSRFQYVISKDLAEKPWWT >itb13g07770.t6 pep chromosome:ASM357664v1:13:9798530:9802138:-1 gene:itb13g07770 transcript:itb13g07770.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLERAMGRTSSTLSPGHRHFSAQTKELIAEIELLEEEVANREHHVLSLYRSIFEQCTSRPSSEQSSVVTSPAHSKSESRKHPSIISSAFCSSKKFPLWTLQALAAMNDSVKRNSQSKTKHASFLSAKTEEHIQKSCSENAKDQRWAPAVDKARSIRTLKDHLHQCPSKLSVEMVRCMSAVYCWLRKTIPVNPGQKQSTLSSMSSANVIIPRHDIKEDKDWFCKSTIEVSWISTNKNNFSRASYAINNYRLLVEQLERANISQMETNAQIAFWINVYNSLVMHAYLAYGIPQNSLRRLALFHKAAYNVAGHVVSANAIEQSIFCLRTPRIGRWLEAILSTAIRKKSGEERERISSKLGLKKPQPLVCFALCTGAFSDPMVKCLSIPLFAVYEM >itb02g08100.t1 pep chromosome:ASM357664v1:2:5069481:5071745:-1 gene:itb02g08100 transcript:itb02g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIDLKVLDKQLSFQQDNGSMHVGTSVWPCSLVAVKFAERWHPQSCAAAENPYAELLDFKNKRGVELGAGCGVAAMGLFLLGLNDVLITDIAPVMPALKHNLKRNKQVLNKSLKTAQLYWNNEEQIRALGKPFDIVIATDVVYLEETVGPLISAMESLVKEDGVVLLGYQLRSPEAHKMFWELCVEVFDIEKVPHEHLHPEYAYEETDVYILRKKKKT >itb05g10710.t1 pep chromosome:ASM357664v1:5:16536407:16541174:1 gene:itb05g10710 transcript:itb05g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRNLGAGFALLTIIGRLTFAQDLMPSPAAVCPLRNSVEHSILGLPDFTCPVDEIKPSYSPAVIEGDEMSLQRALYMIHRRSHNYVVFLFYASWCPFSITFRPIFSVLSSLFPSIPHFAIEESAIKPSTLSKYGVHGLPTLFILNSTMRVQYHGPRTLDSLITFYNGVTGVKTSTEDGISLDKIGCSTSHDGYSGSEQESCPFSWARSPENLLRQETYLMLATVFVLMRLLYALFPYIRRFAQFAYRSYNMRISSLWEHPLLYMHRGLQLFNSLKEPCKRSNLQEGAMNAKVWASKSLASVSFGEASSSRVGPVSSTH >itb13g25720.t2 pep chromosome:ASM357664v1:13:31030438:31038566:-1 gene:itb13g25720 transcript:itb13g25720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLLTTVDYAVNIATSSPCGPVHINCPFREPLDNSPKTWKPWCLSGLNVWMSTAKPFTRYFQVEHPFECSYADRDMAEVLQVIQMANKGLLLLGAIHGDDDVWAALLLAKHLSWPVVVDILSGLRLRKYIDHFPGIENKVLFIDHLDHLLLSDSVKDWMQADVIIQIGSRITSKRISQMLESCFPCSYIMVDKHPSRHDPLHIVTHRIQTTITRFANCLLKACVPHTGNQWRGFLQVLNTTAAWEISLLINSENSLTEPYVAHILLEAICSESAIFFGNSMPIRDADMYGWNGPECDHNLAIKMGSGSCHYIQVGANRGASGIDGLLSTAIGYAVGCNKRLLSVIGDVSFLHDTNGLSLLRQKIPRKPMAIVVINNRGGAIFSLLPVANNTARNILDQYFYTTHDVSVRNLCMAHGVKHVQVGSKMDLRDALFASKTQNEDCVIEINSSIDGNASFHSTLRKFTRQAVDHALSSLSRISVSGFLVDGFMLYKVGKMEYSLYRVQLCSPPTSASASNKSSAFFREGFIISLSLADGGTGFGEVAPLEIHKENLFDVEEQLQFLTHSIEGVTIQQFLPLLKGSFSSWLWHTLGIPPSSIFPSVRCGLEMAVLNAIAASEGSSLLNILHPQTVELSGRPLDVKICALLDCIGSPKEIACMAADLVKEGFTALKLKVARHHDPNQDASVVQEIRKKIGGEIELRADANRNWSYEEAIQFAHSVKDYCLQYIEEPVNSEEDIIRFYEATGLPVALDETINNYRENHFEMLSKYTHPGIVAFVIKPSVIGGFENAALVARWAQQLGKNAVISATYETSLGLSAYVQFSRFIDLQNLDILRITSKEENPSIAHGLGTYKWFKEDVSTLPLVTRCNPSYAFMEASVADADRLLKEFQFNQKAIVRSSTNAEVSTYQLKTDLDSVSISINVHEIGESANNNVIVFLHGFLGTGEDWIPIMKAMSGSARCISIDLPGHGRSKLQDRAGNDVMDESTFSIEAIATILCNLLGKLHFKKVILVGYSMGARIALYMALRCNATVEGAVIISGSPGLTNPVERKMRRAKDDFTASFLVSSGLESFIDTWYAGDLWNSLRCHPHFKNIVSIRLQHDNLGTLARVLSDLSIGRQPPLWEDLKHCKLQLLLIVGERDAKFKTIAQKMHDEINQNATVDCPEMIEIPCCGHAAHLENPLRVISAISQFMRKVKNIVD >itb13g25720.t1 pep chromosome:ASM357664v1:13:31030438:31042422:-1 gene:itb13g25720 transcript:itb13g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MNSITLQGVHIFQISPYVQFSRRSPAVFKFNLSHFPQPSPRRFSILCSMKYPNFEVVRCSIREGRVLELEDAALIVSTCITRTLPPALTLEQGLEKIEEAVEELRANPPACAFGMLRFQVAVPPSPKSLNWFCCQPESAAVFPIIFLSKERDHPTYKSLALGRTHGVFGIGSAINFKGPSSTTAGELSESGRYVSIDPKLIVAYGFFGIDSDKLLSFMKHEAGSDYFFVPQITLDEVGGTSILAVQLAWNDSSMCNFEEALQTYDASLLQAKHSCCTKSGGCSSKHISSTLGKFNTLEDENIQMVCTPLQLVRNYLGPSNVELKEVTCCSSQFFVRLSPSLAIANNMCHCNHLNEVEYIKQKWANINFLWASLIIEECNRLGLTYFCIAPGSRSSPLAIAASTHPTTTCIACIDERSLSFHAVGYAKGSQRPAVVITSSGTAVSNLHPAIVEASQEFVPLLVLTADRPPELQDVGANQAINQVNHFGPYVRHFFNLPTPTDDISARMLLTTVDYAVNIATSSPCGPVHINCPFREPLDNSPKTWKPWCLSGLNVWMSTAKPFTRYFQVEHPFECSYADRDMAEVLQVIQMANKGLLLLGAIHGDDDVWAALLLAKHLSWPVVVDILSGLRLRKYIDHFPGIENKVLFIDHLDHLLLSDSVKDWMQADVIIQIGSRITSKRISQMLESCFPCSYIMVDKHPSRHDPLHIVTHRIQTTITRFANCLLKACVPHTGNQWRGFLQVLNTTAAWEISLLINSENSLTEPYVAHILLEAICSESAIFFGNSMPIRDADMYGWNGPECDHNLAIKMGSGSCHYIQVGANRGASGIDGLLSTAIGYAVGCNKRLLSVIGDVSFLHDTNGLSLLRQKIPRKPMAIVVINNRGGAIFSLLPVANNTARNILDQYFYTTHDVSVRNLCMAHGVKHVQVGSKMDLRDALFASKTQNEDCVIEINSSIDGNASFHSTLRKFTRQAVDHALSSLSRISVSGFLVDGFMLYKVGKMEYSLYRVQLCSPPTSASASNKSSAFFREGFIISLSLADGGTGFGEVAPLEIHKENLFDVEEQLQFLTHSIEGVTIQQFLPLLKGSFSSWLWHTLGIPPSSIFPSVRCGLEMAVLNAIAASEGSSLLNILHPQTVELSGRPLDVKICALLDCIGSPKEIACMAADLVKEGFTALKLKVARHHDPNQDASVVQEIRKKIGGEIELRADANRNWSYEEAIQFAHSVKDYCLQYIEEPVNSEEDIIRFYEATGLPVALDETINNYRENHFEMLSKYTHPGIVAFVIKPSVIGGFENAALVARWAQQLGKNAVISATYETSLGLSAYVQFSRFIDLQNLDILRITSKEENPSIAHGLGTYKWFKEDVSTLPLVTRCNPSYAFMEASVADADRLLKEFQFNQKAIVRSSTNAEVSTYQLKTDLDSVSISINVHEIGESANNNVIVFLHGFLGTGEDWIPIMKAMSGSARCISIDLPGHGRSKLQDRAGNDVMDESTFSIEAIATILCNLLGKLHFKKVILVGYSMGARIALYMALRCNATVEGAVIISGSPGLTNPVERKMRRAKDDFTASFLVSSGLESFIDTWYAGDLWNSLRCHPHFKNIVSIRLQHDNLGTLARVLSDLSIGRQPPLWEDLKHCKLQLLLIVGERDAKFKTIAQKMHDEINQNATVDCPEMIEIPCCGHAAHLENPLRVISAISQFMRKVKNIVD >itb10g21990.t1 pep chromosome:ASM357664v1:10:26992539:26997342:1 gene:itb10g21990 transcript:itb10g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKILEKSEVVAPCLKSESITEMADKEMVSRKEGKPSDSVSSIPSLGNAATGVKDGIDQATISKPGVPYPPTGVYDHYYTGYNGTLNDDQGYFNAGIQSDNGSYLYYLPSYNPYSTGFIGSDGKQQPYTSSGYVQQPTAAWCSTYGGDVTNNMVPKTRNFKSTVGTNSSAKSNGFNSSKTNHGLSTKNLHSPLNSESHQSTNSSNFSKYSMQSQPIKQLNKFGSNFQSGGHMNGFHPVGKFPPYINQNQGFMHYGPINYQTNGRVWNGNFRSKSRENFNRNGVIDASTELTRGPRANGKSNPAKPLGENELSAMTIQRSKYNKEDFKTQYDHAKFYVIKSFSEDDIHKCVKYDVWSSTPNGNKKLDTAFCDAERKASETGTKCPVFLFFSVNGSGQFVGVAEMTGKVDFNKKLDFWQLDKWSGFFPVKWHIVKDVPNNRLRHIILENNDNRAVTYSRDTQEISLKEGLEMLNIFKSYSEKSSLVDDFDFYEDREISLKAKRSTTTDSEAGLFQNNNVHNQFKVGDNMSSAEELLKSNDLDTAASSLISLTKNLSLNSQPLESSI >itb12g16990.t1 pep chromosome:ASM357664v1:12:18803156:18803491:-1 gene:itb12g16990 transcript:itb12g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGYGYGGLIGVDMETEERANRLMNLLQNHTQFGFIAVSLGYYETLMSCSGSSTSSEMNTEAKELAGISPGLIRMSVGYTGTLEQKWGQLEMALSRMQQDSSAGLFQKS >itb12g25730.t1 pep chromosome:ASM357664v1:12:26873684:26874741:-1 gene:itb12g25730 transcript:itb12g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIHGVDSIAADLKDQKLTVIGQMDAVAVVKKLKKVAKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKQEEKKEEKK >itb05g19880.t1 pep chromosome:ASM357664v1:5:26165175:26170401:1 gene:itb05g19880 transcript:itb05g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRLFMSALGVGVGVGLGLASGQAINKWTGANSSPAEGLTADQIEQELRRLVVDGKDCKVTFDDFPYYLSERTRVLLTSAAYVHLNHMDMSRHTRNLSLASRAILLSGPAELYLQSLAKALAHHFDAKLLLLDITDFSLKMQSKYGSTKKQPPLKRSISEVTLEHMSSLLGSFSTLPAPESNKGRLSRQTSADKLRITDGNTPPVKHRRNASVSSDMSSISLQSSFSTPAQKRGSGWSFDEKDFLKSLYKVLVSISETKCIILYIRDVDRHLESPRAFKLFDRLLKKLSGSMLVLGSQIIDLYDNTGEVDEGFSLLFPYNIEIRPPEDETHLISWKAQLEKDMRMIQFMDNKNHIAEVLASSDLECEDLGSICPTDTMVLSNYIEEIVVSAISYHLMNNKDPEYRNGRLIISSKSLSHALSIFQEEKTGSRDTLKLETNSESSKPAEGGDGIGSKPEAKSENPVSDSKPGTEKPVPLVKKDEIAAPKAPEVPPDNEFEKRIRPEVIPPNDIGVTFEDVGSLDEIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSAESREKILRTLLAKENVADIDFMELAAMTDGYSGSDLKNLCITAAYRPVRELIQQERVKAMQKKRKAEDGQSSEASSDKKEEATKEKVINLRPLNMEDLKQAKNQVAASFASEGSVMGELKQWNELYGEGGSRKKEQLSYFL >itb01g06050.t1 pep chromosome:ASM357664v1:1:4263542:4265479:1 gene:itb01g06050 transcript:itb01g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPFVPPYIGVKNANKSNFIGGVNFALAGCPAMDPSSLAAMGIEDPFGSDASLGTQLNWFKEMLQTFCNTPSECKKYLQSSLVFLGEIGGNDYNGAFWQGIPIEEIKPLVPKVIATIASAINEVIEFGAETLVVPGNLPIGCSPSYLTYFMSSNESYYDSKTGCLNWLNEFTEYHNKLLQETLNRLRELHPHVTIIYADYKAAMELYNSPSKFGFNSTISACCGVGGPYNYNSNVGCKVPESSVCEHPSSYVSWDGIHLTEAAYKWIAHGLLKGTYMTPRISDACILKAPTISHCKETMKA >itb02g25160.t1 pep chromosome:ASM357664v1:2:25900533:25901693:1 gene:itb02g25160 transcript:itb02g25160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGAVVDEGYIYNEEKHLTVLKTSLFFAGDGFSAYDCSGQLVFRVDTYGPDSRDRTELVLMDAAGKCLLTVRKKRPSLHHRWEGYLGERMEGEKPIFSVRRSSIIGRSGVTVEVYHTPSEEYHIEGCFAQRSCTIYNADKQSVAEIRRKVDASSKTVLGKDVFSLTLNPGFDGAFAMGLILVLDQIDGDDPFLNDHDNRVDVDPTCVEPTISP >itb03g01660.t1 pep chromosome:ASM357664v1:3:930552:933776:-1 gene:itb03g01660 transcript:itb03g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLRITSISRIPRKLLAHRSHHQDCTFQFLISSCSAMHAIPSAQPLPNWRMGMKSRVDETGNYTLEGVRISLVRLEDSIISGLVERAQHRYNPDTYDPNAFVMDGFHGSLVEYIVKETEKIHAKVGRYSDPDEHPFYPLELPEPLLPPLQHPQVLHPNGALVNINAKIWDMYFKKLLPRLVEEGDDGNCGSTAVCDSFCLQVLSKRIHYGKFVAEAKFQASPDLYKAAIRAKDRNRLMQLLTCPEVEELVKKRVEMKVREHFQEVTIDMEGESKSDPKYKINPIFVANLYGDWVMPLTKEVEVEYQS >itb03g00610.t1 pep chromosome:ASM357664v1:3:293112:294525:1 gene:itb03g00610 transcript:itb03g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVLTIHQFSSISRAATVHPKLHFHRPSRAQRRRQKLNTASCHWGKAPEEQGTNKNYYDLLGVSRDSSVQEIKEAYRKLQKIYHPDIAGQKGHEYTLLLNKAYKVLIRDDLRREYNTSGIGRFRSVTGYSSWNGPLRPQALFVHESACIGCRECMHHAPNTFTMDENLGCARVKVQDGDTDKKIEMSVDSCPVNCIYWVDTEELAVLEHLSQPQEKRGHGIYEQGWERPANVFMAAKSLNKQLKQQKEEMKHAEPADVEETPAQAQARANANMKLKIESFSNIWSWLKQMVHK >itb03g00610.t2 pep chromosome:ASM357664v1:3:293112:294525:1 gene:itb03g00610 transcript:itb03g00610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVLTIHQFSSISRAATVHPKLHFHRPSRAQRRRQKLNTASCHWGKAPEEQGTNKNYYDLLGVSRDSSVQEIKEAYRKLQKIYHPDIAGQKGHEYTLLLNKAYKVLIRDDLRREYNTSGIGRFRSVTGYSSWNGPLRPQALFVHESACIGCRECMHHAPNTFTMDENLGCARVKVQDGDTDKKIEMSVDSCPVNCIYWVDTEELAVLEHLSQPQEKRGHGIYEQGWERPANVFMAAKSLNKQLKQQKEEMKHEPADVEETPAQAQARANANMKLKIESFSNIWSWLKQMVHK >itb01g15350.t1 pep chromosome:ASM357664v1:1:17747014:17747916:1 gene:itb01g15350 transcript:itb01g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSRGDGENFRIRRLEIADKSKGFLQLLSQLTVCGAVSDEEFKERFEEVAKYGDDHVICVIEDVRLGKIVATGSVFVERKFIRSCGKAGHIEDVVVDSSVRGLQLGKRVVEYLVNHACSVGCYKVILDCTEDNKPFYEKCGFMKKAVQMVKYFV >itb13g09840.t1 pep chromosome:ASM357664v1:13:14233362:14233562:-1 gene:itb13g09840 transcript:itb13g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVDQYTYQTAVSIGGHVFKGILYDQGPETRYPFGGGAHESSSGSGAAQQPLNLIAGGGGGHHD >itb03g29530.t4 pep chromosome:ASM357664v1:3:30438386:30444162:-1 gene:itb03g29530 transcript:itb03g29530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGDEEGEAVYDVENTVFVAVGKNVKEGKSTLYWAVKSFSRKRICLLHVHQPTQLLSAMDGKLSNTKLKQAAVKACQELERQKMHKLVNQYLVFLDQAGIQGDKMWLEMNDVEKGIVQVIREQNIRWLVMGAAAEKYYSKKLSGLKSNKAIFVCQHAPVSCHIWFICSGCLIYTSPSELSSPRRNGDTVTLDELAKDSDDENADNCQETSTSVKVMNELKSTTSMSVETKEKNSPSDLGNQCQAHMLQSWSPPQLEEKSNRETTDDRSKLEHAITDAENAKQRAFEESLRRWRAEEETMEAIREAEASEKVCAEEVKRRKEIEEMLALQTQELEKLKVQHNQLSEELQMIKEQEPALQDQIEQSSCAEKELEEKIIQAVELLISFKARRDALKMERDTAIRELNILKKLVKEDNVDFLGSRFFEFSFSDITEATKNFDPSLKIGEGNFGSVYKGILRHLKVAIKMLPSNGSISDSEFEYEAEALSRVRHPNLVTLIGYCSESRSVVYEYLDNGNLEYHLFSQARSRLLHWQYRIRIAIEICSALIFLHANSPCLVHGNLKPSNILLDAKFVSKISDLGIIRLISKSGNPFNCTTPSTMDNPEASIYVDPDFVKEGDQTAKSDVYAFGIVILQLLTARPASGIVRDVYCALESGNFTTVLDFSAGDWPIEQAEELAYLGVRCCQTIGSNRPDLVSEVWPMLEPMKYLCTLPPSDSNSSCLGSKTQKRIPSHFVCPIFQEVMEDPYIAADGFTYEADAIKGWLYSGHETSPMTNLTLDHCDLIPNYALYYAIQEWQQQP >itb03g29530.t2 pep chromosome:ASM357664v1:3:30438389:30444162:-1 gene:itb03g29530 transcript:itb03g29530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGDEEGEAVYDVENTVFVAVGKNVKEGKSTLYWAVKSFSRKRICLLHVHQPTQLLSAMDGKLSNTKLKQAAVKACQELERQKMHKLVNQYLVFLDQAGIQGDKMWLEMNDVEKGIVQVIREQNIRWLVMGAAAEKYYSKKLSGLKSNKAIFVCQHAPVSCHIWFICSGCLIYTRHRDLNVGSVLPSPSELSSPRRNGDTVTLDELAKDSDDENADNCQETSTSVKVMNELKSTTSMSVETKEKNSPSDLGNQCQAHMLQSWSPPQLEEKSNRETTDDRSKLEHAITDAENAKQRAFEESLRRWRAEEETMEAIREAEASEKVCAEEVKRRKEIEEMLALQTQELEKLKVQHNQLSEELQMIKEQEPALQDQIEQSSCAEKELEEKIIQAVELLISFKARRDALKMERDTAIRELNILKKLVKEDNVDFLGSRFFEFSFSDITEATKNFDPSLKIGEGNFGSVYKGILRHLKVAIKMLPSNGSISDSEFEYEAEALSRVRHPNLVTLIGYCSESRSVVYEYLDNGNLEYHLFSQARSRLLHWQYRIRIAIEICSALIFLHANSPCLVHGNLKPSNILLDAKFVSKISDLGIIRLISKSGNPFNCTTPSTMDNPEASIYVDPDFVKEGDQTAKSDVYAFGIVILQLLTARPASGIVRDVYCALESGNFTTVLDFSAGDWPIEQAEELAYLGVRCCQTIGSNRPDLVSEVWPMLEPMKYLCTLPPSDSNSSCLGSKTQKRIPSHFVCPIFQEVMEDPYIAADGFTYEADAIKGWLYSGHETSPMTNLTLDHCDLIPNYALYYAIQEWQQQP >itb03g29530.t3 pep chromosome:ASM357664v1:3:30438389:30444162:-1 gene:itb03g29530 transcript:itb03g29530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGDEEGEAVYDVENTVFVAVGKNVKEGKSTLYWAVKSFSRKRICLLHVHQPTQLLSAMDGKLSNTKLKQAAVKACQELERQKMHKLVNQYLVFLDQAGIQGDKMWLEMNDVEKGIVQVIREQNIRWLVMGAAAEKYYSKKLSGLKSNKAIFVCQHAPVSCHIWFICSGCLIYTRHRDLNVGSVLPSPSELSSPRRNGDTVTLDELAKDSDDENADNCQETSTSVKVMNELKSTTSMSVETKEKNSPSDLGNQCQAHMLQSWSPPQLEEKSNRETTDDRSKLEHAITDAENAKQRAFEESLRRWRAEEETMEAIREAEASEKVCAEEVKRRKEIEEMLALQTQELEKLKVQHNQLSEELQMIKEQEPALQDQIEQSSCAEKELEEKIIQAVELLISFKARRDALKMERDTAIRELNILKKLVKEDNVDFLGSRFFEFSFSDITEATKNFDPSLKIGEGNFGSVYKGILRHLKVAIKMLPSNGSISDSEFEYEAEALSRVRHPNLVTLIGYCSESRSVVYEYLDNGNLEYHLFSQARSRLLHWQYRIRIAIEICSALIFLHANSPCLVHGNLKPSNILLDAKFVSKISDLGIIRLISKSGNPFNCTTPSTMDNPEASIYVDPDFVKEGDQTAKSDVYAFGIVILQLLTARPASGIVRDVYCALESGNFTTVLDFSAGDWPIEQAEELAYLGVRCCQTIGSNRPDLVSEVWPMLEPMKYLCTLPPSDSNSSCLGSKTQKRIPSHFVCPIFQVRVMITLFNCSRVETRHLSLRFLVLSMLRIS >itb03g29530.t1 pep chromosome:ASM357664v1:3:30438326:30444193:-1 gene:itb03g29530 transcript:itb03g29530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGDEEGEAVYDVENTVFVAVGKNVKEGKSTLYWAVKSFSRKRICLLHVHQPTQLLSAMDGKLSNTKLKQAAVKACQELERQKMHKLVNQYLVFLDQAGIQGDKMWLEMNDVEKGIVQVIREQNIRWLVMGAAAEKYYSKKLSGLKSNKAIFVCQHAPVSCHIWFICSGCLIYTRDLNVGSVLPSPSELSSPRRNGDTVTLDELAKDSDDENADNCQETSTSVKVMNELKSTTSMSVETKEKNSPSDLGNQCQAHMLQSWSPPQLEEKSNRETTDDRSKLEHAITDAENAKQRAFEESLRRWRAEEETMEAIREAEASEKVCAEEVKRRKEIEEMLALQTQELEKLKVQHNQLSEELQMIKEQEPALQDQIEQSSCAEKELEEKIIQAVELLISFKARRDALKMERDTAIRELNILKKLVKEDNVDFLGSRFFEFSFSDITEATKNFDPSLKIGEGNFGSVYKGILRHLKVAIKMLPSNGSISDSEFEYEAEALSRVRHPNLVTLIGYCSESRSVVYEYLDNGNLEYHLFSQARSRLLHWQYRIRIAIEICSALIFLHANSPCLVHGNLKPSNILLDAKFVSKISDLGIIRLISKSGNPFNCTTPSTMDNPEASIYVDPDFVKEGDQTAKSDVYAFGIVILQLLTARPASGIVRDVYCALESGNFTTVLDFSAGDWPIEQAEELAYLGVRCCQTIGSNRPDLVSEVWPMLEPMKYLCTLPPSDSNSSCLGSKTQKRIPSHFVCPIFQVRVMITLFNCSRVETRHLSLRFLVLSMLRIS >itb05g15890.t1 pep chromosome:ASM357664v1:5:23218538:23220679:-1 gene:itb05g15890 transcript:itb05g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLPGVRSALWATNQCRRLDALIGDFFCTEALPMAVELNVPKFIYVPTSAWSTALTVYCPVLDKEIVGQYVEQEKGLEIPGCKPVRPEDVFDPMLDRNDEQYHVDPVSLKALRENETLSKLVGRSPVYAIGPLLRTIEKGDDDDGMIMQWLDKQPRESVLYVSFGSGGTLSAEQITELAWGLELSEQRFIWVVRPPSKRGPNDAYFTTGQGTDGTPNYLPEGFKTRTEKQGLVVPTWTEQALILKHPSRGRFLSHCGWNSGVPMIAWPLYAEQRQNASLLTEELGVAIRPKKLPTKGIVGREEVKTLVKTMLQSNEGKEMRERVVKLRMSAEKAISIGGSSYNSMCELLNTIEKRRSEI >itb14g05590.t1 pep chromosome:ASM357664v1:14:4870502:4871307:1 gene:itb14g05590 transcript:itb14g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFKEMKVEVNQATTEPEMASPVAATGGLNRQGSMSKNSCLCSPTTHAGSFRCRLHRTPATPGPALHRTKSVESKPNPNENQTGSG >itb02g08800.t1 pep chromosome:ASM357664v1:2:5569746:5571797:1 gene:itb02g08800 transcript:itb02g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLVKQIQTIFYNFSMQKYKLLIIVKIYKTKCLGQSILRPSTSRAFTTVSSRDYAVCSEGYVNTSQIWLNPSLFSHFLQECIRVQSLPLAKQLHSLILTSGCFRDRFVSNHLLNTYAKLGRLDVAHFLFDRIPRRNVMSFNILVGGYIQNGDLDVAAKLFDQMGERNLATWNAVITGFTEFEFNDKAWGLFSEMHVLGFSPDAFTLGSVLRACAGLRDLVRGKQVHGYAVRSGLDGDLVVSNALAHMYMKSGVLEEGERVIREMPFQNVVACNTLISGKAQNQCSEGALDQYNMMKIAGLRPDKITFVSVISSCSELGILGQGQQIHADAIKTGAISVVSVVSSLVSMYSRCGCLEDAIKAFEERQEADAVLWSAIIAAYGFHGRGAEAVEIFDRMELIGLEANDITFLSLLYACSHCGMKDKGLEIFDLMVEKYKLKPRLEHYTCVVDLLGRSGRLEEAEAFIRSMPVEADAIIWKTLLSACKIHKNADMARRMAEEVLQIDPRDSASYVLLSHTQASVRRWQQVSEVRKAMKERGVKKEPGISWVELKNQVHEFIMGSNSHPQSEEIDRYLKELTAELRLSGYVPDTGSVLHDMDVEEKEYNLLHHSEKLAIAFALMNTPEGAQIRVMKNLRVCNDCHVAIKYISKIKGREIVVRDSSRFHHFKNGNCSCGDYW >itb02g08800.t2 pep chromosome:ASM357664v1:2:5569812:5570623:1 gene:itb02g08800 transcript:itb02g08800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYKLLIIVKIYKTKCLGQSILRPSTSRAFTTVSSRDYAVCSEGYVNTSQIWLNPSLFSHFLQECIRVQSLPLAKQLHSLILTSGCFRDRFVSNHLLNTYAKLGRLDVAHFLFDRIPRRNVMSFNILVGGYIQNGDLDVAAKLFDQMGERNLATWNAVITGFTEFEFNDKAWGLFSEMHVLGFSPDAFTLGSVLRACAGLRDLVRGKQVHGYAVRSGLDGDLVVSNALAHMEGTKPVF >itb01g28270.t1 pep chromosome:ASM357664v1:1:32782991:32786209:1 gene:itb01g28270 transcript:itb01g28270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSDNVHGLILALSSSIFIGSSFIIKKKGLMKAAATGKRAGSGGHSYLLEPWWWAGMITMIIGEGANFAAYAYAPAILVTPLGALSIIFSAVLAHFILEERLHIFGVVGCILCLVGSTSIVLHAPLERKITSVKEIWYLATEPGFIVYTCIVIALVLVLVFRYVPRYGQSHMVVYIGICSLTGSLTVMGVKAVGIALKLTFEETNQFKYFQTWFFTIFVVICCIFQLNYLNKALDTFNTAVVSPVYYVMFTTLTILASMIMFKDWDHNNATQIVTELCGFITILSGTFLLHKTKDMGGGTSTTSQAVNSSNENGTRGDNRSQESNV >itb09g05220.t1 pep chromosome:ASM357664v1:9:2975392:2977675:1 gene:itb09g05220 transcript:itb09g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRHGRRELHSYTIRGMYTMNRTVRAGDAVFMRAPETEKDPYVAIIQKIEADNRNNVNVHVRWYYRPEETKRGRQRFHGIRELMLSDHYDVQSAETIERKVTVHTFKDYTELKFISPTDLYWRFEYNAKTGACKPGRVEVFCKCRMPYNPDHYFMLQCDKCNEWFHPRCVGMSNGEANKLDTYVCENCESGKRVRKRPSQRSASQVGPKRQKT >itb05g13410.t1 pep chromosome:ASM357664v1:5:20349299:20349943:-1 gene:itb05g13410 transcript:itb05g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSNIPNEIIPHILLQLPMKAVIRFQCVCKQWRLWIDGSDFKFSYRGHRRVIILSRESWNDSRDFVGSTSHDLRLQRHKWPSGEAFPWTCGRVMDRVTVLCSCNGVVLLVVNGDILLWNPSTRCSTKVFEWPKWPYWGIGNIHTLAGLCYYSCTRDYKAVLLFRYMGYYFGDPFVSSANLNHKEWQQVQFPFVYNSAIGSVEFRNTFHW >itb02g26000.t1 pep chromosome:ASM357664v1:2:26798359:26801903:1 gene:itb02g26000 transcript:itb02g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINSNNRVEDFCEEHANANACNDAVLSIPNDVVAQPLEMHKVCMPPHRSTVQKLRQRVGEIFFPDNPVHRFKNQTWVKKLVLCVQFLFPVLEWGPNYSLTLLRADLVSGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLSEADVPYAQHPTLYLQLAFTATFVAGIFQASLGFFRLGFIIDFLSKATLVGFMGGAAVIVSLQQLKGLLGIVHFTNKMQILPVLSSVFHHTHEWSWQTIVMGLFFLIFLLIARHTSMRKPKLFWISAAAPLASVMLSTAIVAILKSKAHGIQTIGHLAKGLNPPSANMLYFHGSYLALAIKTGIVTGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGLMNIAGSCSSCYVTTGSFSRSAVNYNAGAQTVVSNIIMATAVLITLLFLMPLFYYTPNLILAAIIITAVIGLIDYQAAFRLWKVDKLDFLACMCSFFGVLFISVPLGLAIAVGVSVFKILLNVTRPNTCVLGNIPGTQVYQSLNRYRTATRIPSFIVLSVEAPIYFANSTYLQERLLRWIREEEERAIDNNESAIKCVVIDMSAVTAIDTSGIDTVRELRKVLERRSLKLVLVNPLASVMEKLHRSNVLEELGFSGLYLTVAEAIADISNSNGKPYQPEPSDEF >itb05g20280.t1 pep chromosome:ASM357664v1:5:26438345:26440162:-1 gene:itb05g20280 transcript:itb05g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNKMGQAQQQDPGERFKPNGVSLISPSPGLSVGRMWKNSIHPSFLCSGSSPLSSKLKDSGYLHFLHSAFIVSEVFMSWISLPMDEMVGPRLYCCYKCKNHVSVHDDIISKAFQGRNGRAFLFSHVMNVIVGAKEDRHLMTGLHTVADVFCGDCHELLGWKYERAYEETQKYKEGKFILEKSKIVKENW >itb04g12870.t1 pep chromosome:ASM357664v1:4:12594490:12597659:1 gene:itb04g12870 transcript:itb04g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPIDKFVMFPFSVGCDSKLDVASTTSHSKKKKKNSLASAFSEAKERQEGEEGAAASGERKRNVWGFPKAARLKLIRGLKSLSQVFVYKEESEEDEEEKEMEIGFPTDVKHVTHIGWDGSTTVNPIKGWENLKAPEIVSFPSTISIKEFELAMAAQASGDEHQPKCVNTSSFASL >itb01g33740.t1 pep chromosome:ASM357664v1:1:36734046:36735448:1 gene:itb01g33740 transcript:itb01g33740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AR781 [Source:Projected from Arabidopsis thaliana (AT2G26530) UniProtKB/TrEMBL;Acc:A0A178VPT1] MEVMVPFRGLEMDFDFNSARASPCVTAPSTPRGFGDYYLSAPTSPSHLSQLYRDFDDFLIDDGEGKSAAEFGVPFSWEEKPGKPKKGEDVFDFAFDVGEECETDSVSAEELFDGGMIKRLEPPPPPPCSYGGRGKKSKIGGLSPRHKRKSESFGDEIVSVRERGRERVSSLQSSSRRATRSVSPLRVSQYPWEEEQEKQQDSAKAPPLTSSSSSKGLVKKWRLKDFFLFRSASEGRASDKDHLRKYTAAVVKGSSFRGGNNDSLSRRRRRGPISAHELHYTFNKAISEDLKKKTFLPYKQGILGKLAFNPAVHALANGFGLSRK >itb04g09230.t1 pep chromosome:ASM357664v1:4:8538724:8542408:1 gene:itb04g09230 transcript:itb04g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSVRRLKERGGAGGKFGIPATSAAAGAKNSKSLTPLSEKSGVGGYSAGGESLRRSAWKENPRPTSRVRAATASSMLQQKPTLRAMPRIDKSSASSLDGGDSRAEPRGRRSTSSVPRGRSSSPSEFSKNLSDLRKAPRVSRVSGEHKKITASEKSETEQRTERIEKFASKGSKYHDNKDKDVTVSSAFKRSYSDSSKMQPSLDSDLKTRVLDEVKVKSCVEKSVNSGELVSKDLRLLSKSSSLRDKCVKEEGKSGVGLNKYPSKLHEKLAFLEGKVKRIASDIKRTKEMLDTNNPDASKLILSDIQEKISGIEKAMGNVEEDGKVVVPRSQNDELGKMEEKEEVDGKGFGNGLISEELEARLFPHHKLLRGRTSLIASGSTSKGLQVKDVEMINELNEKEKPTSPIDENPIALEFLASLNKEQPKAATEVENVDLQMTDAQDVDGTVNSTTQDPSFKVLNGKDNLEIMLTSDEKLDEFDDQENMPTMIMEDDDMEDNSMFQLNQIGHKTSTCGWFVSEGESVLLAHDDGSCSFYDIVHCETKAEYKPPVGILPNMWQDCWLVRAPGADGCSARYVVAASAGNSINSGFCSWDFYSKDVRASHIEHDTTTSTRTALAPLSNNTVYRRNALCTVIASENPQWWYKPCGPLIISAASSQKVVRVYDIRDGEEVMDWSLSKPLIAMDYSSPLQWRSRGKVVMAETEGISLWDVNSMSPEALLSVSSSGRKISALHVNNTDAELGGGVRQRVSSSEVEGNDGLFCTADAIHVLDFRHPSGIGQKIPKVGVNVQSVFSRGDSIYLGCTTLKSAVKRQFCSQIQQLSLRKPGVFSTFALPESSAHSHHMALTQVWGNSNLAMGVSGLGLFVFDSIKDDRFQPLGMDQTNDSALRETIGPDDLFSPSFDYLASRVLLISRDRPALWRYMF >itb02g00020.t1 pep chromosome:ASM357664v1:2:3742:6241:1 gene:itb02g00020 transcript:itb02g00020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEKAETNDAVNNEERLGSGDEVGEFQDVNLEPPADMEFESNEEALTFYKEYARTMGFETAIQNSRRSKALGEFIDAKFACSRSGTKRGNGKSAKAPGSSQDPENAEGRSKKTDCNAYMHVKRRSDGRWIIHRFEKEHNHELSPPQAVSESVKNAVKQNEEEFMVKFEECIYRPWTDEEFEERWQNLVDMFELKENELKQDEKGSITYRIKDCEKDQDFMVIVNEDKPEEVSCTCHLFELKGYVCRHVMVVLQIRGISTIPQQYVMKRWTKNAKNRYPLLAESGENKSRVQRYNDLCQRAMLLSEEGSLSQETYTSALHALDEAYGNCVGALTSSTPDLLVEGDNQTSKTNKKKSNPTKKRKVTSEEQDVMIAGGAPDNFAQMDMLTSTPMTLDDYCPQLPQGVQGMLNMMAPNYGSQQTMQEEP >itb15g20020.t1 pep chromosome:ASM357664v1:15:22507843:22509500:-1 gene:itb15g20020 transcript:itb15g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYVAMVLGYGSIRWWHIFKPDYCDVINRFNCFFILPFFNFHFMSQVGPYTMNYPFIASDAVAKAMVVVALVIWANFVKNGGLDWSITTFSLSTLSNTLVIGSCKNPKLRTHRSTFLRKHLTIPTEFLCPISRSLMADPVIVSSGHTFERHCVNACKSLCFTPVLHDGSAPDFSVVIPNLALRSAILNWCESSLLESPASIDFLSAEKLVRALMDSQSRGTEKHSDCEVLNEFSESRTTSSEEESTVAPASGPTTPLTRRPACYSSSSSSCDLEALHANSLDEDEFVAKLKSSTGSNKN >itb15g06840.t1 pep chromosome:ASM357664v1:15:4592379:4595596:1 gene:itb15g06840 transcript:itb15g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase IMK2 [Source:Projected from Arabidopsis thaliana (AT3G51740) UniProtKB/Swiss-Prot;Acc:Q9SCT4] MDKLGKWSHIVLFTVFLSFQVAWSKGWDGVVVTEADFQALSALKHELVDSRGVLRSWNDSGVGACSGWVGIKCVNGQVIAIQLPWKGLGGRISEKIGQFQALRKLSLHDNVLVGPVPTSLGFLPNLRGVYLFNNRLSGSVPASIGRCPLLQTLDLSNNQLSGSIPPSLAQSTRLYRLNLSFNAISGSIPVSLTQSPSLIFLALHHNNLSGSVPDSWGSKVARNTSYKLQFLTLDHNLLSGKIPISLSKLSLLEQISLSHNQISGTIPDELGSLSKLLVLDFSDNAINGSFPSSFSNLTALSTLNLGNNILDNHIPDGISGMKNLSVLNLNNNKFTGQIPDSIANISGLTVLDLSKNNFSGEIPDSLDTLSNLTSFNVSYNNLSGLVPSLLSKKYNSSAFVGNLELCGYSSSTPCPSPPPQNLPSQGPSRPHRRKLSAKDIILIAAGALSIILLLLCCVLLCCLIKKKVSSSPKNGKTSGAAASSARAAKPALSGGAAETEPGGETGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNQVAVKRLREKITKGQKEFEHEVAELGKIRHPSILALRAYYLGPKGEKLLVYDYMSNGSLSSFLHARGPETAIDWATRMSIAMGITRGLCFLHTKENIIHGNLTSSNILLDEKNSPRIGDVGLSRLMTSAGNTNVIATAGTLGYRAPELSKLKNASTKTDIYSLGVIILELLTGKSPSEATDGLDLPAWVASIVKEEWTNEVFDVELMRDAPNIGEELLNTLKLALHCVDPSPAARPESQQVLEKLEEIKPEIAANPSCSGDDGAPAEEKVGDEE >itb01g32550.t1 pep chromosome:ASM357664v1:1:36009532:36012372:1 gene:itb01g32550 transcript:itb01g32550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDFVECCAHLNLTDAPSSGNLFTWTNGRVKAKLDRILINIPWLNSGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWTTHPNFQSILEERWGSIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEEFQAFIQNFEVESARDEDRERLKSLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHRDGAINFVLDHNGIQDNRLDFSGMPKGQLPRDSALFKKIFEARDKISLAKGGVQNAKEFLHNSVNNNKFQVSQIYDLLREKSQPAFAWRFVWRSYIPRKFSFITWLAVHQRIPTKDRLAFLDINTDCSMCVGDKETAQHLFFKCPFSLQVWNQVRMHFGFHKCTNAIKSSIKWINRLHGGARLRSKAITIALICTIYHLWRNRNRVHHDEDRLPIDGLVKNIAKDVYRVIFYLYPIT >itb09g06780.t1 pep chromosome:ASM357664v1:9:3888011:3892982:-1 gene:itb09g06780 transcript:itb09g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDHNPFAEEEEVNPFAGTGSVPPATKSRLSPLPPEPAGFYNNASIDIPLDSTADLKKKERELQAKEAELRRREQELKRKEDAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFTTFLGLFACLLWNIIAVTTAWIKHGDVKIWFLSIIYFISGVPGAYVLWYRPLYRAFRKESAFTFGRFFLFYLLHIGFCIFAAVAPPIVFKGKSLTGILPAVDLIGDNVLVGVFYFIGFGLFCLESVLSIWVIQQVYMYFRGSGKAAEMKREAARGALRAAI >itb10g02680.t2 pep chromosome:ASM357664v1:10:2267048:2271076:-1 gene:itb10g02680 transcript:itb10g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQGVVPSPRGIIKVGDEPDHLLVLVHGIMGSPSDWTYIQAELKRRLGSNFLIYASSCNCYTKTFTGIDGAGKRLADEVMQLVKERESLIKISFLSHSLGGLIARYAISVLYTPSPSSAQSDGMHTSRSGNANPMCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLLGVNILEKLAAPMAPIFVGRTGSQLFLTDGKPNKPPLLLQMASDCEDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRREIELVKPPTRSLDGYKHVVGVESCPPVLSNGPNFPPEAAKAKEAAQNEPSTKNTLEYHEIVEEEMIRGLQQLGWKKVDVNFHSAIWPFFAHNNIHVKNEWLHNSGAGVVAHVADSIKQVDSNPLLAASL >itb10g02680.t1 pep chromosome:ASM357664v1:10:2267029:2272160:-1 gene:itb10g02680 transcript:itb10g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLLQHHYRPRLFPNSQSSDPPSSTSCSSSHYCSASASPSSRCRRGFDWKFISFFGVNNIWKNQNLRAYAMNASQGVVPSPRGIIKVGDEPDHLLVLVHGIMGSPSDWTYIQAELKRRLGSNFLIYASSCNCYTKTFTGIDGAGKRLADEVMQLVKERESLIKISFLSHSLGGLIARYAISVLYTPSPSSAQSDGMHTSRSGNANPMCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLLGVNILEKLAAPMAPIFVGRTGSQLFLTDGKPNKPPLLLQMASDCEDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRREIELVKPPTRSLDGYKHVVGVESCPPVLSNGPNFPPEAAKAKEAAQNEPSTKNTLEYHEIVEEEMIRGLQQLGWKKVDVNFHSAIWPFFAHNNIHVKNEWLHNSGAGVVAHVADSIKQVDSNPLLAASL >itb10g02680.t3 pep chromosome:ASM357664v1:10:2267048:2272146:-1 gene:itb10g02680 transcript:itb10g02680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLLQHHYRPRLFPNSQSSDPPSSTSCSSSHYCSASASPSSRCRRGFDWKFISFFGVNNIWKNQNLRAYAMNASQGVVPSPRGIIKVGDEPDHLLVLVHGIMGSPSDWTYIQAELKRRLGSNFLIYASSCNCYTKTFTGIDGAGKRLADEVMQLVKERESLIKISFLSHSLGGLIARYAISVLYTPSPSSAQSDGMHTSRSGNANPMCSSNKGLIAGLEPINFITLATPHLGLPFLLGVNILEKLAAPMAPIFVGRTGSQLFLTDGKPNKPPLLLQMASDCEDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRREIELVKPPTRSLDGYKHVVGVESCPPVLSNGPNFPPEAAKAKEAAQNEPSTKNTLEYHEIVEGIVQ >itb10g02680.t5 pep chromosome:ASM357664v1:10:2267292:2272160:-1 gene:itb10g02680 transcript:itb10g02680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLLQHHYRPRLFPNSQSSDPPSSTSCSSSHYCSASASPSSRCRRGFDWKFISFFGVNNIWKNQNLRAYAMNASQGVVPSPRGIIKVGDEPDHLLVLVHGIMGSPSDWTYIQAELKRRLGSNFLIYASSCNCYTKTFTGIDGAGKRLADEVMQLVKERESLIKISFLSHSLGGLIARYAISVLYTPSPSSAQSDGMHTSRSGNANPMCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLLGVNILEKLAAPMAPIFVGRTGSQLFLTDGKPNKPPLLLQMASDCEDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRREIELVKPPTRSLDGYKHVVGVESCPPVLSNGPNFPPEAAKAKEAAQNEPSTKNTLEYHEIVEGIVQ >itb10g02680.t6 pep chromosome:ASM357664v1:10:2267048:2272146:-1 gene:itb10g02680 transcript:itb10g02680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLLQHHYRPRLFPNSQSSDPPSSTSCSSSHYCSASASPSSRCRRGFDWKFISFFGVNNIWKNQNLRAYAMNASQGVVPSPRGIIKVGDEPDHLLVLVHGIMGSPSDWTYIQAELKRRLGSNFLIYASSCNCYTKTFTGIDGAGKRLADEVMQLVKERESLIKISFLSHSLGGLIARYAISVLYTPSPSSAQSDGMHTSRSGNANPMCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLLGVNILEKLAAPMAPIFVGRTGSQLFLTDGKPNKPPLLLQMASDCEDGKFLSALGAFRCRIIYANVSYDRILFTSHSLFILL >itb10g02680.t4 pep chromosome:ASM357664v1:10:2267048:2271063:-1 gene:itb10g02680 transcript:itb10g02680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQGVVPSPRGIIKVGDEPDHLLVLVHGIMGSPSDWTYIQAELKRRLGSNFLIYASSCNCYTKTFTGIDGAGKRLADEVMQLVKERESLIKISFLSHSLGGLIARYAISVLYTPSPSSAQSDGMHTSRSGNANPMCSSNKGLIAGLEPINFITLATPHLGVRGNKQLPFLLGVNILEKLAAPMAPIFVGRTGSQLFLTDGKPNKPPLLLQMASDCEDGKFLSALGAFRCRIIYANVSYDHMVGWRTSSIRREIELVKPPTRSLDGYKHVVGVESCPPVLSNGPNFPPEAAKAKEAAQNEPSTKNTLEYHEIVEGIVQ >itb14g18240.t1 pep chromosome:ASM357664v1:14:21337244:21338830:-1 gene:itb14g18240 transcript:itb14g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTRHVSFKEDSTDRSPAPSSFAGYYSDSESQKKKCQPRCNRCCAWISVGVGVVLAALFIWLIVFYSFLVSNMPRFFLSAVETHSIKIRKDKSGDSTLTANVTVRYNATNDNDKISFDYSQMKAAISLDGIHLEWSEVAAFHQTTNNVTKLEMHSVVKNMYVEEADGDDLMSNSQRHLMTVDLTLVGNINYRVGDSRISSCPFKVMCQQAPQTVLDSGVGYGCNIRMSPLRI >itb01g13570.t1 pep chromosome:ASM357664v1:1:14345723:14346265:1 gene:itb01g13570 transcript:itb01g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYRIERVIGEKDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKYGRLSTIKPKLGIETR >itb11g02340.t1 pep chromosome:ASM357664v1:11:1179480:1182702:-1 gene:itb11g02340 transcript:itb11g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKLPTKLDHIMVNSKPLLASLKQSSLLPQHQDAKKKKMKKSGKTTTFENFRSPPARTPPPQKQQRSSPVRASPSSTPNYMKSTSSSDARKERSPHKSSSGAKSGQNPAKASTRTTSLRALTKAPSFKPARAKKCSQVVMCEDLEVQRATCSSTLKDSKFPCYLELSPGGTESQGTSAMKVCPYTYCSLNGHHRLPLPPLKCFVSARRRALKGQRSFKIGCLSPRRSKPSAGEEENMEFSVEIFCKERADEDDEIQENRDLVPASAEEEELRHECLSEEDHGSLGNQSDSEDSDMEGMEDSALFIDAGVSEETDLDKNGCHVIIQNESTLKTDNNNEEEEEETGCIIDENILQKSLDEEEEEKTGFIIDEISDEKSFDEESMSSGAWLSDDDDDDTQSSISCLESSSSCVYVEETTQVSDEEICRENMIPPEDQESNDSNDSICSDCVEEIHNVDEQLMVEVRKTQKMASENQTLDLELPNLRGTAKNRRETEEELGEFNPRGPNFLPLEPDPEAERVSLKHQSMDERKNGEEWMVDYALRQAVSKLAPARRKKVALLVEAFETVSPIPKFESHLSHTTKLFPPPSRSIQACS >itb11g02340.t2 pep chromosome:ASM357664v1:11:1179480:1182702:-1 gene:itb11g02340 transcript:itb11g02340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKLPTKLDHIMVNSKPLLASLKQSSLLPQHQDAKKKKMKKSGKTTTFENFRSPPARTPPPQKQQRSSPVRASPSSTPNYMKSTSSSDARKERSPHKSSSGAKSGQNPAKASTRTTSLRALTKAPSFKPARAKKCSQVVMCEDLEVQRATCSSTLKDSKFPCYLELSPGGTESQGTSAMKVCPYTYCSLNGHHRLPLPPLKCFVSARRRALKGQRSFKIGCLSPRRSKPSAGEEENMEFSVEIFCKERADEDDEIQENRDLVPASAEEAEELRHECLSEEDHGSLGNQSDSEDSDMEGMEDSALFIDAGVSEETDLDKNGCHVIIQNESTLKTDNNNEEEEEETGCIIDENILQKSLDEEEEEKTGFIIDEISDEKSFDEESMSSGAWLSDDDDDDTQSSISCLESSSSCVYVEETTQVSDEEICRENMIPPEDQESNDSNDSICSDCVEEIHNVDEQLMVEVRKTQKMASENQTLDLELPNLRGTAKNRRETEEELGEFNPRGPNFLPLEPDPEAERVSLKHQSMDERKNGEEWMVDYALRQAVSKLAPARRKKVALLVEAFETVSPIPKFESHLSHTTKLFPPPSRSIQACS >itb09g30620.t1 pep chromosome:ASM357664v1:9:31260724:31266311:1 gene:itb09g30620 transcript:itb09g30620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSQSGPSYGMHSEVVKCKGGCNSVVNSMEMFKRNEIFFGLSSSSRSSSKGMIGLRLDCSGAGSRRGHGGLTVAASPPTEDVVTAAEPLTKEDLVGYLASGCKTKEKWRIGTEHEKFGFEFETLRPMKYEQIAELLNGISERFDWDKIMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGLKDIPIMPKGRYEIMRNYMPKVGSLGHDMMFRTCTVQVNLDFSSEADMINKFRAGLSLQPIATALFANSPFTEGKPNGYLSMRSHIWTDTDRNRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMSFRDFMVGKLPPIPGEYPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDDISLQSILDMTADWTMGEREMLRNKVPKSGLNTPFRDGLLKHVAQDVLHLAKGGLERRGYKETGFLNAVAEVVNTGVTPAEKLLELYHGNWGQNVDPVFEELLY >itb08g14930.t1 pep chromosome:ASM357664v1:8:16846470:16847612:-1 gene:itb08g14930 transcript:itb08g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLQPQLNQKDVLLNPSVQPLPIYFSQKESTPPTVTPSSSSGSKISPGVLFFIVILAVIFFISGVLHLLLRFLMKHRPSLSFSQSSRTTQEIPNSGAVQRQLQQLFHLHDSGLDQAFIDALPVFSYKDIMGGLKEPFDCAVCLCEFSDQDGLRLLPLCGHAFHIDCIDTWLLSNSTCPLCRGILFAPDFCIENPVFYFDDSRDEDGVPGTGSAGIAVSSGSKPGEDDNVNAVLTEKRVFSVRLGKFRTTNNVEVQEKEIGETSNSNLDARRCFSMGSFQYVVGDSELQVTLGPGGGAGGGNRQLKAGRGRGGGQNGNFTSEGDSDGKKIINMRSKGESFSVSKIWLWSKKDKFPTSANTHISTSINTTNLPWTHRLEAP >itb11g17170.t1 pep chromosome:ASM357664v1:11:16637781:16641451:1 gene:itb11g17170 transcript:itb11g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYERAKGGRLAFKGGDLAVRSKSIDKKKKKKKKSSADDFVSDEPLSGDAAVAASEMGSASAPDGGDNSIYTIDAAKKMKYDELFPVEAKKFGYDPKAKANSVEQALDDRVKKKADRYCK >itb03g17000.t1 pep chromosome:ASM357664v1:3:15752751:15753754:1 gene:itb03g17000 transcript:itb03g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFSTLPLPKSNPLFSPSSFKPHAHPYLRFVVRATGDDSASETEEEADAQTTGDADDFDSRLSNVRIRYRSGLGKKAERRKARQGKKVASESGSGMYLPPVPLREAVSEGLSVEFGFSPFSERVNGRIAILGITALVLVELATGQGVIKYHTPAIILIQVYFVAAVAALYVKYEKEKVSVWPSEK >itb07g05630.t1 pep chromosome:ASM357664v1:7:3859617:3863712:1 gene:itb07g05630 transcript:itb07g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MASLEISPYNSLVAAVSYGIASMAMVFINKAVLMEYSQSMTLLTLQQLASTLLIYFARVLGYTKAKGFSIETAKRLFMVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGFFMGKARPTTQVILSVLTTAAGVLVAALGDFSFDLFGYSMAFISVFFQTMYLVLVERSGAEDGLSSVEIMFYNSILSLPFLLFLIIATGEFPNSLSILVAKSTSISFFVTLLLSLVMGIVLNYTMFLCTVVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHALNVTGLVINTAGGLWYTYAKYQQKKNKLPKTMNDIEAYRK >itb07g05630.t2 pep chromosome:ASM357664v1:7:3859623:3863706:1 gene:itb07g05630 transcript:itb07g05630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGFFMGKARPTTQVILSVLTTAAGVLVAALGDFSFDLFGYSMAFISVFFQTMYLVLVERSGAEDGLSSVEIMFYNSILSLPFLLFLIIATGEFPNSLSILVAKSTSISFFVTLLLSLVMGIVLNYTMFLCTVVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHALNVTGLVINTAGGLWYTYAKYQQKKNKLPKTMNDIEAYRK >itb15g23340.t1 pep chromosome:ASM357664v1:15:26144616:26152043:1 gene:itb15g23340 transcript:itb15g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPKEIMFSRIAQSGGCSDEEALIKAALQRSPTVFRARNSVFIGQDGEVSVLDVGKLKEQEQEQVLEKMVAAIDEDTELFFRRVRQRFEAVDLEFPKVEVRFQDLKVDAFVHEGSRALPTIPNFIFNMTEAFLRKLRMFPSRRKRLSVLNNISGILRPSRLTLLLGPPSSGKTTLLLALAGRLGPGLKMSGKITYNGHNLNEFVPQRTSAYVSQRDWHIAEMTVRETMEFSGLCQGNGFKHDLLIELLRREKSAGITPDPDLDMFIKAVALGEQTSTVVDYLLKILGLDICANTLVGDEMLKGISGGQKKRLTTGELLVGNSRVLLMDEISTGLDSSTTYQIIKYLKHSTHAFSGTTLVSLLQPDPETYSLFDDVILLSEGQIVYQGPRESALDFFLFMGFRCPPRKNVADFLQEITSEKDQGQYWFLNSQYSYVPTSKFVESFQSFHVGNSLANDLSIPFDKSCSHPAALSARTYGVKRAELLKIGFQWQMLLLKRNAFVLVFKFTQLLLIILIMMSVFFRSTMHHNSLEDGAVYLGALYFAILMVLFNGFLEVPMIIAKLPVLYKHRDLRLYPCWAFTIPSWIVSIPTSLAESIIWVAATYYVVGFDPQITRCLKQFLLYLSLHQMSIGLFRVMASLGRNMVVANTFGSFAMLVVMALGGFVLSRDSIPVWWIWGYWISPLMYAQNAASVNEFRGHSWDKNAEGTTLSLGEMLLKARSLFSEDYWYWIGVGALLGYTVLFNILFTLFLTYLNPIGSQQAVAPKRKQNGQGNGSSSIIQLSEFLQHSHSFTGKKIKKGMVLPFQPLSMSFSDINYYVDTPLELKHEGLVADRLRLLVNVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGHIEGTIYISGHPKKQETFARVSGYCEQNDVHSPCLTVHESILFSACLRLSSQVDLKTQKAFVEQVMELVELTPLGGALVGLPGVDGLSTEQRKRLTIAIELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFESFDELLLMKRGGELIYAGPLGTNSRRLIEYFEAIEGVPKIRAGYNPAAWVLEVTSTAEESRLGVDFAEIYRRSDLFQQNKEMVERLSKPNADSVELNFPRKYSQSFFGQFWACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGSICWKFGSKRDTQQDILNAMGSMYAAVLFIGITNASSVQPVVYIERFVSFRERAAGMYSALPFAFAQVTVEFPYVFIQTLIYSTIFYFMASFEWNAWKFVWYICFMFLTLLYFTFFGMMTTAVTPNHNIAAIIAAPFYMMWNLFSGFMISRMRIPIWWRWYYWANPVAWSLYGLLTSQYGDVNDNVRLPDGVNSLPIKDFLREEFGFRHDFLGVAIVAVCGFCLLFAVTFGFAIKYFNFQRR >itb11g17420.t1 pep chromosome:ASM357664v1:11:17185876:17187944:-1 gene:itb11g17420 transcript:itb11g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRENWMMMTMSNSIACPKPRRVGGLVDELTRPSCLQPFSQQTEACDSEAGIELLEIILSKGGCHVGTPNLQMASSPPFFSGSPPSRAMNPLIQDLHFGHDDFVAVPSPLRTVVLPLPSPPLTPSSTRMNGGGCGMRFGQKPAQVRIEGFNCRQNYSISAVS >itb15g09340.t1 pep chromosome:ASM357664v1:15:6605079:6605732:1 gene:itb15g09340 transcript:itb15g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIQLTKRWQKFVAIRRKQISFPRHNDAESRSVSSTVSKGHFAIYTADQQRFVVPLVFLENEIIRQLLVMSKEEFGLPSDGPITLPCDAVLMEYIISLLSQGVGKELQTALLASVTSNRCSSSTSMHQGLRDQQFLVC >itb06g14340.t1 pep chromosome:ASM357664v1:6:18914165:18919224:1 gene:itb06g14340 transcript:itb06g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRISKGNKVILVPYPAQGHVTPMLKLGSALLSHGFRPVIATPEFIHAKISKKIDANKDGTLCMAIPNDGLDAGKATHDFFAVETAMEEKMPTGFKRLLRREKLIDETACVIVDLLASWAIDVARDLGLKVAGFWPAMLTTYKLIDSIPDMIVAGIISQTGCPLQLERPTCSLPSQPNITTKDLPWLIGNSSSRLSRFKFWTRTMNRSRTLEWILVNTFPAELITSNNNTNGCNDHPTILPVGPLSAHSRIQNNPTFWEEDMSCLDWLNQQATRSVVYVSFGSWVSPIGKDKLMALALALETCKRPFIWVLAASWRDGLPKDYLERVSKHGRIVAWAPQTHVLQHDAVGCYLMHCGWNSTMEAIQFRKPLVCYPVAGDQFVNCAFIVKKWRVGVQIEELGPSDVHEGLRSVMEDDDMSERMKRLNEDMITSAMASSKVMANLTNFISDVTSQCAGQGKP >itb06g10000.t3 pep chromosome:ASM357664v1:6:14251075:14252169:-1 gene:itb06g10000 transcript:itb06g10000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRKDFADITLPAGSCRFDYLLAVCERERLLLEKEEQKGKQQKKGGCYDDDDDDDQYYLGGVDLNQSLIMPSLPDKEEWRKKLMGSFYGCLSWHNTVVVKPVVQSTALSNIPEPVISHSSGSDEVGGEAVAERINNSKKRKRVPKNGPEPPPGLPVEFKNLILQLDGNRAVCVEKLVIQKELTKTDVKSTQNRLSIPASLVREEFLTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKGSLRRWEMKKESGVFSVSYVIANTWNAIRRRNKFESKMIVQLWAIRVDVDLWMALVRLS >itb06g10000.t1 pep chromosome:ASM357664v1:6:14251048:14252995:-1 gene:itb06g10000 transcript:itb06g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPDKEEWRKKLMGSFYGCLSWHNTVVVKPVVQSTALSNIPEPVISHSSGSDEVGGEAVAERINNSKKRKRVPKNGPEPPPGLPVEFKNLILQLDGNRAVCVEKLVIQKELTKTDVKSTQNRLSIPASLVREEFLTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKGSLRRWEMKKESGVFSVSYVIANTWNAIRRRNKFESKMIVQLWAIRVDVDLWMALVRLS >itb06g10000.t2 pep chromosome:ASM357664v1:6:14251048:14252471:-1 gene:itb06g10000 transcript:itb06g10000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPDKEEWRKKLMGSFYGCLSWHNTVVVKPVVQSTALSNIPEPVISHSSGSDEVGGEAVAERINNSKKRKRVPKNGPEPPPGLPVEFKNLILQLDGNRAVCVEKLVIQKELTKTDVKSTQNRLSIPASLVREEFLTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKGSLRRWEMKKESGVFSVSYVIANTWNAIRRRNKFESKMIVQLWAIRVDVDLWMALVRLS >itb01g34430.t5 pep chromosome:ASM357664v1:1:37136701:37140193:-1 gene:itb01g34430 transcript:itb01g34430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKNLSIVRSVKKHGFERLIFGCQSGCQESVEILVAQGRLLLSGHSKLFHSLPSASLAGVNDFVRPGTLAAAQANLHIVNRRKNLSVVGAFSRAISIPSVSGPAFQVCGYHIDQLISDPTKLSSGIESQNTPMAACGSGSSLVDCSLRKISSRHLQPGASVNNAIFNSNRSFENCRKASMSLRSKNQPNSFLLYGYFTYIVAKRSGSVNPHEGFGLNRFHKSSPACFSTGTAPDVSFDNPVQRGQYANSADSSEGKIHIGRNLKLNSGSCYLPHPDKEETGGEDAHFICGDEQAIGVADGVGGWADVGVDAGQYARELMSNSATAVREEPKGSIDPARVLEKAYICTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTVFRSPSQQHDFNFTYQLECGNAGDLPSSGQVFKVPVAPGDVIIAGTDGLFDNLYNSDITAVVVHAARAGLGPQVTAQKIAALARQRAQDKNRQTPFSTAAQEAGFRYHGGKLDDITVVVSYITSDNNV >itb01g34430.t2 pep chromosome:ASM357664v1:1:37136297:37140198:-1 gene:itb01g34430 transcript:itb01g34430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKNLSIVRSVKKHGFERLIFGCQSGCQESVEILVAQGRLLLSGHSKLFHSLPSASLAGVNDFVRPGTLAAAQANLHIVNRRKNLSVVGAFSRAISIPSVSGPAFQVCGYHIDQLISDPTKLSSGIESQNTPMAACGSGSSLVDCSLRKISSRHLQPGASVNNAIFNSNRSFENCRKASMSLRSKNQPNSFLLYGYFTYIVAKRSGSVNPHEGFGLNRFHKSSPACFSTGTAPDVSFDNPVQRGQYANSADSSEGKIHIGRNLKLNSGSCYLPHPDKEETGGEDAHFICGDEQAIGVADGVGGWADVGVDAGQYARELMSNSATAVREEPKGSIDPARVLEKAYICTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTVFRSPSQQHDFNFTYQLECGNAGDLPSSGQVFKVPVAPGDVIIAGTDGLFDNLYNSDITAVVVHAARAGLGPQVTAQKIAALARQRAQDKNRQTPFSTAAQEAGFRYHGGKLDDITVVVSYITSDNNENSSASHRSS >itb01g34430.t3 pep chromosome:ASM357664v1:1:37136322:37140200:-1 gene:itb01g34430 transcript:itb01g34430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKNLSIVRSVKKHGFERLIFGCQSGCQESVEILVAQGRLLLSGHSKLFHSLPSASLAGVNDFVRPGTLAAAQANLHIVNRRKNLSVVGAFSRAISIPSVSGPAFQVCGYHIDQLISDPTKLSSGIESQNTPMAACGSGSSLVDCSLRKISSRHLQPGASVNNAIFNSNRSFENCRKASMSLRSKNQPNSFLLYGYFTYIVAKRSGSVNPHEGFGLNRFHKSSPACFSTGTAPDVSFDNPVQRGQYANSADSSEGKIHIGRNLKLNSGSCYLPHPDKEETGGEDAHFICGDEQAIGVADGVGGWADVGVDAGQYARELMSNSATAVREEPKGSIDPARVLEKAYICTKAKGSSTACIIALTDQQGLHAINLGDSGFMVVRDGCTVFRSPSQQHDFNFTYQLECGNAGDLPSSGQVFKVPVAPGDVIIAGTDGLFDNLYNSDITAVVVHAARAGLGPQVTAQKIAALARQRAQDKNRQTPFSTAAQEAGFRYHGGKLDDITVVVSYITSDNNENSSASHRSS >itb01g34430.t4 pep chromosome:ASM357664v1:1:37136322:37140187:-1 gene:itb01g34430 transcript:itb01g34430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKNLSIVRSVKKHGFERLIFGCQSGCQESVEILVAQGRLLLSGHSKLFHSLPSASLAGVNDFVRPGTLAAAQANLHIVNRRKNLSVVGAFSRAISIPSVSGPAFQVCGYHIDQLISDPTKLSSGIESQNTPMAACGSGSSLVDCSLRKISSRHLQPGASVNNAIFNSNRSFENCRKASMSLRSKNQPNSFLLYGYFTYIVAKRSGSVNPHEGFGLNRFHKSSPACFSTGTAPDVSFDNPVQRGQYANSADSSEGKIHIGRNLKLNSGSCYLPHPDKEETGGEDAHFICGDEQAIGVADGVGGWADVGVDAGQYARELMSNSATAVREEPKGSIDPARVLEKAYICTKAKGSSTACIIALTDQQGLHAINLGDSGFMVVRDGCTVFRSPSQQHDFNFTYQLECGNAGDLPSSGQVFKVPVAPGDVIIAGTDGLFDNLYNSDITAVVVHAARAGLGPQVTAQKIAALARQRAQDKNRQTPFSTAAQEAGFRYHGGKLDDITVVVSYITSDNNV >itb01g34430.t1 pep chromosome:ASM357664v1:1:37136322:37140187:-1 gene:itb01g34430 transcript:itb01g34430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKNLSIVRSVKKHGFERLIFGCQSGCQESVEILVAQGRLLLSGHSKLFHSLPSASLAGVNDFVRPGTLAAAQANLHIVNRRKNLSVVGAFSRAISIPSVSGPAFQVCGYHIDQLISDPTKLSSGIESQNTPMAACGSGSSLVDCSLRKISSRHLQPGASVNNAIFNSNRSFENCRKASMSLRSKNQPNSFLLYGYFTYIVAKRSGSVNPHEGFGLNRFHKSSPACFSTGTAPDVSFDNPVQRGQYANSADSSEGKIHIGRNLKLNSGSCYLPHPDKEETGGEDAHFICGDEQAIGVADGVGGWADVGVDAGQYARELMSNSATAVREEPKGSIDPARVLEKAYICTKAKGSSTACIIALTDQQGLHAINLGDSGFMVVRDGCTVFRSPSQQHDFNFTYQLECGNAGDLPSSGQVFKVPVAPGDVIIAGTDGLFDNLYNSDITAVVVHAARAGLGPQVTAQKIAALARQRAQDKNRQTPFSTAAQEAGFRYHGGKLDDITVVVSYITSDNNV >itb02g10290.t1 pep chromosome:ASM357664v1:2:6619788:6621260:1 gene:itb02g10290 transcript:itb02g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQHSFKSHHHSSKQFPLIRSSSTILVIALFLASLAYLFISLKDLKEPFFWAEESYNNKFSGDLRDAKFAWNKLCFGATNEKLKLAVFSKSWPIGSDPGGMERHASTLYTALAARGHEIHVFTVPSDKWPHENLHDGNLHVYFAPNEYGRLNCTEAFEIFHKENKKRGGFDYVHTESVSLPHWRTKMVPKVAVTWHGIWYEIMHSKLFQELLENPKGHRPAPMLELQEAMPRLIDEIRFFPSYSEHICISDSAGEVLVDIYQVPKRNVHIILNGVDESRFIYNRKSGEEFREKHGVPSNATLILGVAGRLVKDKGHPLLFEAFSSIINRYPGVFLLVAGSGPWGNRYAELEKNVKVLGALDPSELSKFYNALDLFVNPTLRPQGLDLTLMEAMHCGKPVLTPNFPSISRSVVLNEGFGYTFSPNVRSFVEALEFAIADGPEVLQKKGMVCRKYAVSMFTATKMALAYERFFLCMKNSRYCHYPLPTDC >itb11g13960.t1 pep chromosome:ASM357664v1:11:11040961:11042974:-1 gene:itb11g13960 transcript:itb11g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSQSHWDVLTMAAKENDVTALYKSIQDDPEILNKINDIPFVDTPLHVAAANGNTLFAVETMAIMPSFCKKLNGEGLSPLHVALQNGRLETARHMVKLESGLVRVGGRGKMTPMHYLVSVTKNGDAEKLELLIDFLIECPEAVKDVTVDCKTPMHVAIESGSFDAFGVMFGWLWKTGNINLLNKEDVNGNTALRLAAQHSINFPDDESAESGDERPEIIRNNAFKRIRKSSKEILGSAEAKERLNRITFFMSPETPMQKLIRYSAHVHKGLSMEVRNTVLVVAVLVATAVYQATLTPPNSVLQTTDGDDPISSNKITLMMMTHLHKHHFKVFVIMNTLAFNLAIGVMLFVLPFGLSSVFLHLALYFMSVSFLTMMHVTGGAEQKAMATVLMYFSLALFGITYCARFFIASLKTVLWTPWWIGKPYRVMCKLIGCMGKGMQNSFQELQLQIQTVGWSGDPHGSYYRQ >itb09g28690.t1 pep chromosome:ASM357664v1:9:29287943:29293325:-1 gene:itb09g28690 transcript:itb09g28690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNFNDKNAVFRKLRAKSENKMCFDCNAKNPTWASVTFGVFLCIDCSSVHRNLGVHVSFVRSTNLDSWTPEQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQLLSKEVAKSMAEDAGLPSSPVASKDVPSTNECSDSKSSDTPNESSSVKDETCEVSGSPKPSRPVVNTSFKRPAVVKKTGKPGGLGARKLTTKPSESLYDQKPEEMPVQVSPISASDTAIAGSSFTSRFEYTENVQPDEKNSDAPRVLNHVAPPKSSNFFAEYGMDSGFPKKSSSSSNVEETDEARKKFSNAKSISSAQFFGDQNKIGDVESSVTLQKFSGSSAISSADLFGHDTSRSSTDLSASDLINRLSFQAQQDISNLRNIAGETGKKLGSLASSLMSDLQDRIL >itb09g28690.t3 pep chromosome:ASM357664v1:9:29288102:29291133:-1 gene:itb09g28690 transcript:itb09g28690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQLLSKEVAKSMAEDAGLPSSPVASKDVPSTNECSDSKSSDTPNESSSVKDETCEVSGSPKPSRPVVNTSFKRPAVVKKTGKPGGLGARKLTTKPSESLYDQKPEEMPVQVSPISASDTAIAGSSFTSRFEYTENVQPDEKNSDAPRVLNHVAPPKSSNFFAEYGMDSGFPKKSSSSSNVQVEETDEARKKFSNAKSISSAQFFGDQNKIGDVESSVTLQKFSGSSAISSADLFGHDTSRSSTDLSASDLINRLSFQAQQDISNLRNIAGETGKKLGSLASSLMSDLQDRIL >itb09g28690.t2 pep chromosome:ASM357664v1:9:29287943:29293325:-1 gene:itb09g28690 transcript:itb09g28690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNFNDKNAVFRKLRAKSENKMCFDCNAKNPTWASVTFGVFLCIDCSSVHRNLGVHVSFVRSTNLDSWTPEQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQLLSKEVAKSMAEDAGLPSSPVASKDVPSTNECSDSKSSDTPNESSSVKDETCEVSGSPKPSRPVVNTSFKRPAVVKKTGKPGGLGARKLTTKPSESLYDQKPEEMPVQVSPISASDTAIAGSSFTSRFEYTENVQPDEKNSDAPRVLNHVAPPKSSNFFAEYGMDSGFPKKSSSSSNVQVEETDEARKKFSNAKSISSAQFFGDQNKIGDVESSVTLQKFSGSSAISSADLFGHDTSRSSTDLSASDLINRLSFQAQQDISNLRNIAGETGKKLGSLASSLMSDLQDRIL >itb14g20880.t10 pep chromosome:ASM357664v1:14:23144813:23147854:-1 gene:itb14g20880 transcript:itb14g20880.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MISVHGFTSFHWFTIMPYVFLLLRDYIFVYAGSNFDLITLIQGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPVRGTNSDLSPRLTPRNYALSPTSYLRSAYSVPGVQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t4 pep chromosome:ASM357664v1:14:23144813:23149731:-1 gene:itb14g20880 transcript:itb14g20880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQTEQPLKHGEESVKLFVGQVPKQMTEAQLLAMFAEFAIVDEVNIIKDKTTRASRGCCFVICPSREEADKAINACHNKKTLAGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSALFSQYGTIKDLQIIRGSQQTSRGCAFLKYEQKEQAVAAIDALNGNHKMEGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPVRGTNSDLSPRLTPRNYALSPTSYLRSAYSVPGVQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGTDSPV >itb14g20880.t2 pep chromosome:ASM357664v1:14:23144813:23149736:-1 gene:itb14g20880 transcript:itb14g20880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQTEQPLKHGEESVKLFVGQVPKQMTEAQLLAMFAEFAIVDEVNIIKDKTTRASRGCCFVICPSREEADKAINACHNKKTLAGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSALFSQYGTIKDLQIIRGSQQTSRGCAFLKYEQKEQAVAAIDALNGNHKMEGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPVRGTNSDLSPRLTPRNYALSPTSYLRSAYSVPGVQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t5 pep chromosome:ASM357664v1:14:23144813:23147853:-1 gene:itb14g20880 transcript:itb14g20880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t6 pep chromosome:ASM357664v1:14:23144813:23147854:-1 gene:itb14g20880 transcript:itb14g20880.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPVRGTNSDLSPRLTPRNYALSPTSYLRSAYSVPGVQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t7 pep chromosome:ASM357664v1:14:23144813:23147854:-1 gene:itb14g20880 transcript:itb14g20880.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVAGCFHVLGNKYMHCEFRNYIVMRQFRVELFSIVGDSMLSVNLNGLYVTCPFVAGPTEWPRCWHLLSRGVQRSGDSLLGHFYVALHSETGGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t3 pep chromosome:ASM357664v1:14:23144886:23149735:-1 gene:itb14g20880 transcript:itb14g20880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQTEQPLKHGEESVKLFVGQVPKQMTEAQLLAMFAEFAIVDEVNIIKDKTTRASRGCCFVICPSREEADKAINACHNKKTLAGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSALFSQYGTIKDLQIIRGSQQTSRGCAFLKYEQKEQAVAAIDALNGNHKMEGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t8 pep chromosome:ASM357664v1:14:23144813:23147853:-1 gene:itb14g20880 transcript:itb14g20880.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPVRGTNSDLSPRLTPRNYALSPTSYLRSAYSVPGVQYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGTDSPV >itb14g20880.t9 pep chromosome:ASM357664v1:14:23144813:23147854:-1 gene:itb14g20880 transcript:itb14g20880.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MISVHGFTSFHWFTIMPYVFLLLRDYIFVYAGSNFDLITLIQGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb14g20880.t1 pep chromosome:ASM357664v1:14:23144813:23149736:-1 gene:itb14g20880 transcript:itb14g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQTEQPLKHGEESVKLFVGQVPKQMTEAQLLAMFAEFAIVDEVNIIKDKTTRASRGCCFVICPSREEADKAINACHNKKTLAGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSALFSQYGTIKDLQIIRGSQQTSRGCAFLKYEQKEQAVAAIDALNGNHKMEGSTVPLVVKWADTEKERQSRRAQKALFQASNAPDSGQHPSVFGALPMGYMPPYNGYGYQSPGTYGIMQYRLPPMQNQHTFQNMIPPANQVSPYPVPYPGGIVSNRPFSNSPGAQHPGTAYSQSAASSSINSGSGGQIEGPPGANLFIYHIPQEFGDQELASAFQPFGRVLSAKVYIDKATGVSKCFGFVSYDSPEDAQTAINRMNGCQLSGKKLKVQLKRDNKQSKAY >itb11g00440.t1 pep chromosome:ASM357664v1:11:199298:200100:1 gene:itb11g00440 transcript:itb11g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGLVVSLVLILHSSASHSLPSAANTSTPTNHTSYSSAWNPYEFRPPPPPTYNSPWLPPEDFYDNFDPRIADIVRDRVQDILTHWRTINLPPLYHCRYTCEQYILPKIWPAAANENPTPPHDVQENSTLTPTPHDVQEDPTLTPPVHKNPTIPPPPSKSADNCPTMAKVLETCTSRTQHESVNNAYSWDCTYSDECCKETLNVQDECLNLNPTITWLKTICCDSSETPAGGKADA >itb01g26500.t1 pep chromosome:ASM357664v1:1:31658345:31662214:1 gene:itb01g26500 transcript:itb01g26500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKESDPNLGYLTRKETEVKLPRPTRVKNKTPAPIQITAEQILRESRERQEAEIRPPKQKITDSSELADYRLQKRKEFEDQIRRVRWNKGVWVKYARWEESQKDFNRARSVWERALEIFYREPTIWLNYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQVFERWMDWQPDQQGWLSYIKFELRYNEVDRAREIFERFVRCHPKVSAWIRYAKFEMKNGEVSRARSCYERAVDKLADDEEAEQLFVSFAEFEEMCKEAERARCIYKFALDHIPKGRAEDLYKKYVAFEKQYGDKDGIEDAIVGKRRFQYEDEVRKNPHNYDAWFDYIRLEESVGIKERIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDSQDMERTREVYTECLNLIPHQKFSFAKIWLLAAQFEIRQLRLKAARSILGRAIGMAPKDKIFKKYIEIELHLGNIDRCRKLYEKYLEWSPENCYAWTKFAELEKSLDETERARAIFELAIDQPALDMPELLWKAYIDFEISEGEYERTRALYERLLSRTKHLKVWISYAKFEASAVEGDSSDPDQQEVSPEQKKECLQRARGVFERALVYFRTSAPELKEERAMLIEEWLNMESSFGDVGDVGLVRAKLPKKLKKRRQIETEDGPAGYEEYIDYLFPEETQTTNLKILEAAYKWKKQKISTDDD >itb06g22250.t1 pep chromosome:ASM357664v1:6:24621631:24625267:1 gene:itb06g22250 transcript:itb06g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKATDNSHSQESSYFMGWQEYEKNPYDAMDNPSGIIQMGLAENQWLTRNKDAIQMRKDGESIFKELALFQDYNGLPSLKKELAAYMSKLRGDKVKFDPKKLVLTAGSTSANEILMFCLAEPGEAFLVPTPYYPGFDRDLKWRTGVEIVPIHCYSSNSFRITESAMEEAYQAAQNLNLKVKGVFITNPSNPLGTTMTADELDIIVAFAVSKTVHIVSDEVYSGTVFDSPTFTSLMSAVTDKNLENHSVWSRVHIVSSLSKDLGLPGFRIGMIYSNNETVVSSATKMSSFGLVSSQTQFLLANILGDREFTENYVEENGRRLKKRRETIVSWLDGFGIGCLEGNAGLFCWVDMRHLLSFNTFEAEMEIWMKILCDVGLNVSPGGSFHCSEPGWFRVCFANMSEEALVAAMRRIKAFVSSVVGVGEDCRNIHPGKRSLVCTID >itb06g14550.t1 pep chromosome:ASM357664v1:6:19085122:19088762:1 gene:itb06g14550 transcript:itb06g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRPRGRPRGRPRGKGPASGRRELQIDALDSCTMEEVEHTSSSASISSQNGPQLKRHGEPRDVLQDEIGSGSNPVTPRSDAPSGERKRPRGPSRCMTLENKVRQWGKIRIVFSPGEKIPMENDGDFSRGVGFLVKTGARLSGVRKWKDIPDVEKEILFSRLDEYFFIEDQGHPHVKACINDTFQTQYRRWRSRLHIIYKDLVASGQNPRERSPRLEVPLNEWLGVCDLIEDEEFQKLSKINSANRSCVPYSSNAGSRPLARQRKTPIPKKIESQAGKRKDVGGEFGNGEAQLAYDRMVQMEEQDEEGRINPNSLDKIIENVLGQSGGYTTEMGHVVVPPQEEAASESNPQVRSMKDLLAKTEFELQSTKNELRSVKEEIKTTKDELQTIKGELQTTKDELQKTKDEFESVKDELHFNKEQIGNLTVNVQEIRHWFMNMQSIWPRLRH >itb12g20640.t1 pep chromosome:ASM357664v1:12:23092321:23094337:-1 gene:itb12g20640 transcript:itb12g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAYMTSPFPFDLNYSTADHYDDDNNDGDDQNPKLFFASSSNYQAAPAVVASSSSNNNHSSSSSHLFLNYDPQFYQPPALNANDNCGSYDMEAKKRRKEEEKYENQSEKSAEKVMMVPAKMKLVKKVRSSDRANMEVVTNVSATKIEDHHQKGPLLISSSLETDHSSNSSSSNNCGNGNNVPIVRVCADCNTTKTPLWRSGPKGPKSLCNACGIRQRKARRAMAAAAAAAATANGGANGTAPSSPSTLKLKVQKTTAKSNNNYPLKKRCKFVTATAETSAPSSAAAAKNGVEDFLKKLSNSLALHRVFPQDEKDAAILLMAISCGLVHG >itb01g14680.t2 pep chromosome:ASM357664v1:1:16792228:16794095:1 gene:itb01g14680 transcript:itb01g14680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAGENPPLPQASALIPSSLSASSTLRLCLAVAILPPQSSRLPSSASPSPRHHHVVAIQARRVAVASLLCVVAIQARRVTVVSLRGVTEEILGSCNCK >itb01g14680.t1 pep chromosome:ASM357664v1:1:16792228:16794080:1 gene:itb01g14680 transcript:itb01g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAGENPPLPQASALIPSSLSASSTLRLCLAVAILPPQSSRLPSSASPSPRHHHVVAIQARRVAVASLLCVVAIQARRVTVVSLRGVTEEILGSCNCK >itb02g01740.t1 pep chromosome:ASM357664v1:2:963944:964252:-1 gene:itb02g01740 transcript:itb02g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMVAVLLATIAAGMMTSTVKVSQAQTICNMSGKDLMACKPSVTPPKPPPPSPNCCSALAHADMRCLCSFKNSKLLPSLGIDPNLAMQLPDKCKLPHPAHC >itb04g05010.t1 pep chromosome:ASM357664v1:4:3126540:3127570:-1 gene:itb04g05010 transcript:itb04g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISISHSLHSTRVSHLQLYTKRSFISAVSCRTGDPPEPLKPRTHKEKKGKTLAVVEIVGGVEKLGKWLKDSLGPKKKGDWKDLALMSLSFAVYVYISQQIVCAYCAWMSLLKQSL >itb02g18740.t1 pep chromosome:ASM357664v1:2:15260408:15264907:1 gene:itb02g18740 transcript:itb02g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLFELGDAAEVVLCLVKVGCAILVAATCCKSEEETWPSAKPSASSAALPSHQSPPDPSPAAIRTPLSQRRSGTCVPCTPQAFKYGYCNLQSLFGSPRSPVLRWKIIFILMTTLPGTVSSSSSFRHSKIAPSRLFPTAIDPDACKTIMKQTVPSYGGSATRTPAGLLRKFLDKFLPSIEAATMEFGLVRSEFNRPRKVQVVADCVELMQFF >itb14g20330.t1 pep chromosome:ASM357664v1:14:22736670:22737311:-1 gene:itb14g20330 transcript:itb14g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVAQHRNQYYNKSKGHGPGRFGSFGSPPSGSFREINCRTFQTGSGILPTPLKSYSTPITKRSRSTSASFSPKTPSPSVNSQSEDQKNKIRSVRSSAIPINLKLGASSRKGSSFSEDVHFSERWAGPTYSNSPPPSSLPMPKFSLKPKRTVSLELPSSASAIDDFRPIAVSAPASPTRERSPSPGDLFDLDDSATKCLRRILNLDITDD >itb10g05330.t1 pep chromosome:ASM357664v1:10:5438779:5441120:-1 gene:itb10g05330 transcript:itb10g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLPNHISPPQPPLPPSTDDSRPPPPSTSDEPSAEPPRDAPPPPRFDPSRMIGIIRRKALIKDLAAVYHAECLTYCQQLLELQRKFEEPHIEIKPPEDTRKEMARPTKRMKKTR >itb01g24750.t1 pep chromosome:ASM357664v1:1:30310738:30313141:-1 gene:itb01g24750 transcript:itb01g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKASARKMKILCLHGFRTSGNFLRKQISKWNPSIFALHFDMEFPDGIFRAGGESSIEGIFPPPYFEWFQFNEDFTEYTNLEECISYLCQYITSNGPFHGLLGFSQGATIAGLLAGYQAEGKILKDHPPFKLLVSISGSKFRDPTICQVAYKHPIKVKSVHFIGEKDWLKLPSQELATAFHNPLIINHPQGHTVPRLNEETVEKLRNWTKEIVDETLDDDDDNAVIKHETVENNEEADLLQNSKN >itb13g05610.t1 pep chromosome:ASM357664v1:13:6759712:6761100:-1 gene:itb13g05610 transcript:itb13g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIAATSLLRHLSVYGGFKCKDGPNRRRKFDICCLPSSEFLGRKLVCDIRRMRENHLFAGDIRITFLPATSEERAGDIRSSLPAT >itb04g01940.t1 pep chromosome:ASM357664v1:4:1186559:1194698:-1 gene:itb04g01940 transcript:itb04g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTINLQPSTWEYGVFLSFRGEDSFKNFTGHLYSALCNASIRTFIDEEELRKGESLAPELTRAIQNSRVSMIVFSKNYASSRWCLDELLQILQCREKGKQLVYPIFYDVEPSEVRNQSGNYGIALAKHEERFGRSDKIQNWRDALTKVANISGWDLQGPMNGYESKLIDEIVQDVLRIVSPRIMPVAKHVVGLESRVDDVLQFNYGTNNNDVRMIGIYGMGGIGKSTLAKALYNKLLGYFERSYFLEISSEILETKKLQEELLSKLLNKRKIEVGSEGEGKMLIKYWLQAKKCLIVLDNLEHRNQFEALCGERDWFGKGSTLILTTRDAHVLKELNKGECYEAKTLVHEESLQLFRLHAFREPTLPKEDYAEVLDRILAYCEGLPLALEVLGSYLSGRSMEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHTKSLFLDLVCFYGEISEETFNAMGYFSTIEIRNLVDKCLISPWYYSMHSLIREMGREIIRSESPNKPGERSRLWCPSDIHDVLIQQKGTEKIEMIVLNSPMKNMEYKYNTKAFKDMKNLRFLQIDGIHLEGNFKHLPRNVLRFLRWNQCPLKYIPSAYSFERLVKLEMWESNIKEFGVPLKYFQCLEALDLGWCHYLTRTPDFSGAKNLRTLSFWGCSSLEKVHSSIGDLRMLVELDLSCCERLKKIPKKFWHWQLRSVEDPSSSVCYSSKIKELGENSGMLTSLRRLVLSGTNIHFLPYNTSHLLKPAKSISNLRSFFQNNHLKLIQQFPPNIEHISLRNCKNLKMLPQLPQNLLSLCAINCESLETVHLPKMLKIVNLTNCKKLKEIQGWENAQFLRIITLRGVPNIKFSENINQVLKASTLNFPIEFEGNLSNNETLSWIKFEENGSSSSSSISFQWSPLISNLEFLGICIWVVVLVLEPFPTDCYIHYNSRIEKDGFRVWYRSRDSIRLSGVQLLKEGGNVVSFVDFIPRDCFKDIKAGEIFKVISNVEEVIPKFKYVQVGMVKKIRVEALYRDREDGFLQFLPFTKLDSNTTEEGGGGEKDF >itb04g01940.t2 pep chromosome:ASM357664v1:4:1187260:1189592:-1 gene:itb04g01940 transcript:itb04g01940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLNSPMKNMEYKYNTKAFKDMKNLRFLQIDGIHLEGNFKHLPRNVLRFLRWNQCPLKYIPSAYSFERLVKLEMWESNIKEFGVPLKYFQCLEALDLGWCHYLTRTPDFSGAKNLRTLSFWGCSSLEKVHSSIGDLRMLVELDLSCCERLKKIPKKFWHWQLRSVEDPSSSVCYSSKIKELGENSGMLTSLRRLVLSGTNIHFLPYNTSHLLKPAKSISNLRSFFQNNHLKLIQQFPPNIEHISLRNCKNLKMLPQLPQNLLSLCAINCESLETVHLPKMLKIVNLTNCKKLKEIQGWENAQFLRIITLRGVPNIKFSENINQVLKASTLNFPIEFEGNLSNNETLSWIKFEENGSSSSSSISFQWSPLISNLEFLGICIWVVVLVLEPFPTDCYIHYNSRIEKDGFRVWYRSRDSIRLSGVQLLKEGGNVVSFVDFIPRDCFKDIKAGEIFKVISNVEEVIPKFKYVQVGMVKKIRVEALYRDREDGFLQFLPFTKLDSNTTEEGGGGEKDF >itb04g01940.t3 pep chromosome:ASM357664v1:4:1186559:1194562:-1 gene:itb04g01940 transcript:itb04g01940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKHVVGLESRVDDVLQFNYGTNNNDVRMIGIYGMGGIGKSTLAKALYNKLLGYFERSYFLEISSEILETKKLQEELLSKLLNKRKIEVGSEGEGKMLIKYWLQAKKCLIVLDNLEHRNQFEALCGERDWFGKGSTLILTTRDAHVLKELNKGECYEAKTLVHEESLQLFRLHAFREPTLPKEDYAEVLDRILAYCEGLPLALEVLGSYLSGRSMEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHTKSLFLDLVCFYGEISEETFNAMGYFSTIEIRNLVDKCLISPWYYSMHSLIREMGREIIRSESPNKPGERSRLWCPSDIHDVLIQQKGTEKIEMIVLNSPMKNMEYKYNTKAFKDMKNLRFLQIDGIHLEGNFKHLPRNVLRFLRWNQCPLKYIPSAYSFERLVKLEMWESNIKEFGVPLKYFQCLEALDLGWCHYLTRTPDFSGAKNLRTLSFWGCSSLEKVHSSIGDLRMLVELDLSCCERLKKIPKKFWHWQLRSVEDPSSSVCYSSKIKELGENSGMLTSLRRLVLSGTNIHFLPYNTSHLLKPAKSISNLRSFFQNNHLKLIQQFPPNIEHISLRNCKNLKMLPQLPQNLLSLCAINCESLETVHLPKMLKIVNLTNCKKLKEIQGWENAQFLRIITLRGVPNIKFSENINQVLKASTLNFPIEFEGNLSNNETLSWIKFEENGSSSSSSISFQWSPLISNLEFLGICIWVVVLVLEPFPTDCYIHYNSRIEKDGFRVWYRSRDSIRLSGVQLLKEGGNVVSFVDFIPRDCFKDIKAGEIFKVISNVEEVIPKFKYVQVGMVKKIRVEALYRDREDGFLQFLPFTKLDSNTTEEGGGGEKDF >itb15g23760.t1 pep chromosome:ASM357664v1:15:26584387:26586924:-1 gene:itb15g23760 transcript:itb15g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIINFISFAFFLCFLILVHTQWKKKAKSSGKLPPGPWKLPIIGNLLHLMSGSLPAHRVLSDLAKRYGSSSGLMLLKLGEIPAVIVSSRDMAKEFLRTHDLAFASRPELTASKILFYNCWDVALSPYGDHWRQIRKICVTELLNPKIVRSFGSIRSDEIHRLLAHLRSSLGRPVNMSQRIALFMSSLTCRSALGSVFSGREELVELLEEISGLLGSFEFADTFPSWKLLHSICGNKNRMLQVHRKVDPIIENIIKEHEMKLESGELGDDDEGEDIIGVLVKLQKNGGHQLPITHDIIKGVFLDIFAGGTETSSTTIVWALSEMMKNPRVFAKAQAEVREAFRGKEKLEEDDIQQLQYLNSVVKETLRCHPPIPLLIPRECREETVVGGYTIPLKARVHINVWAIGRDPQYWKDPESFIPERFENNSSIDLMGNSFEYLPFGSGRRTCPGLAFGFANSLSPLAHLLYHFDWKLPPGITVDTFDLTETPGISVGKKTDLVLIPATPIK >itb07g05460.t1 pep chromosome:ASM357664v1:7:3761209:3769429:1 gene:itb07g05460 transcript:itb07g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEMENAHTEEMDIEVLSSMWPEDINEAGKQFNIEQPGADLDMLEEVTINEEATTIVDFQRLMELTDYSDKGSSQLAYLVKNWEYKQANAVRLLREELDYLSKQQQESELKKLEILEQHRFEEERYGGDKRPVSILDEDLKYIYQDIPRRKKDVVVQHEKLEIEAEYDSIIYWKQRALHLQKLLAASIERENVLLEKLQESIEKLERQSSPVEELSQVLKRADNYLHFVLQTAPIVIGHQDKELRYRFIYNHFPSLREEDIIGKTDVEIFSGSGVKESQDFKKEVLERGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGVNYMGMEVTDQVRKREKMAKLREEIAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLSGVVSMTEILATTKLEKDQRQLVNVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKLLTLEGFVAEDVPTEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPEPSLGAKQGSHQKQSLDSLKSSSNNWKEDRCLSASHGKHDRTASFSYKDGEGTFENQMHKDGSNHSVSSGALDEDLDAHPDQEEKTVWICCDVYDTGIGIPENALPTLFKKYMQVGADTARKYGGTGLGLAICKQLVELMGGHLTVSSKEHHGSTFTFVLPHKVSPLCESSDENDEMSDMGSHDTSTDANEDDANSGFFQFQPRTLGSLFSSHGSGRAQKLSPNTFGFNTLHSCNGLPKNSYTFPANSVMLKDMGSVEDACSVIDVDILSDPESSFRQSSHSDNPSTLERDKHAHSGSNGQCHHHSSYSTDSTSTRKDEDVKTAVQEKRQPEGNSPCSSGNNQEVSKSAPKPRILLVEDNKINVMVTQSMMKQLGHQIDIVNNGIEAVRAVQRSSYDLILMDVCMPVMDGLQATRLIRSFEETGNWDAARTAGVEEVPSSSLSLKRSDSKSSNGRIPIIAMTANALSESADECFANGMDSFVSKPVTFQKLKECLQQYLPQRHRL >itb13g06240.t1 pep chromosome:ASM357664v1:13:7456440:7459059:-1 gene:itb13g06240 transcript:itb13g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVWEWVLVFFTLLAITILVVYQLTCLADLECDYINPYDSASRINKVVLPEYIMQGVLCLLYLGTGHWIMALLCGPYLYHNVQLYLQRQHLIDVTEIFNQLNREKNRRLWKLGYLVVLVFISLVMLIFSALEYDEHSL >itb10g23170.t2 pep chromosome:ASM357664v1:10:27663468:27664595:-1 gene:itb10g23170 transcript:itb10g23170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDESARLARLFCEKGWPVLAFLDSHSADKLEHPYPPHCISGSDESNLVPALRWLENEPNVRIRHKDCYDGYIGSAEEDGSNAFVDWVKDNKIQVLLVVGVCTDICVLDFVCSTISARNRGFLDPLEDVVVCSKGCATFDFPPSMANNTKDIMPHPQELTHHMGLYMAKQRGAKIAKDVSISQV >itb10g23170.t1 pep chromosome:ASM357664v1:10:27663468:27664810:-1 gene:itb10g23170 transcript:itb10g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTIDLIKNEIPLNEESVVISEAAKTGLVLVDIINGFCTVGAGNLAPREPNKQISEMIDESARLARLFCEKGWPVLAFLDSHSADKLEHPYPPHCISGSDESNLVPALRWLENEPNVRIRHKDCYDGYIGSAEEDGSNAFVDWVKDNKIQVLLVVGVCTDICVLDFVCSTISARNRGFLDPLEDVVVCSKGCATFDFPPSMANNTKDIMPHPQELTHHMGLYMAKQRGAKIAKDVSISQV >itb04g05940.t1 pep chromosome:ASM357664v1:4:3860662:3862561:1 gene:itb04g05940 transcript:itb04g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVLLSFGFFLATYNVMMTIIHYKIYGYGEWIISGLGSQSRGDPVLQMPEKTRGPGMGKPRFHIAVTAESSLYSKWQSRIMYYWYKKQKDLPGSEMGKFTRILHTGAPDNLMDEIPTVVVDPLPNGLDMNYVVLNRPWAFVQWLEIATIEEEYILMAEPDHIFVRPLPNLVFGDYPVGFSFFYIEPSKHERIIRKFFPEENGPITKIDPIGNSPVIIKKDLLEEIAPTWLNLSVRIKYDPEADETFGWVQEMYAYAVASALHGVQHLLREDFMIQPPWDLETRKKYILHFTYDLDYNAKGEMMPYGVTGDWEFKKRSYFFAPPPRNFSLPPPGAPESVVTLIEMINEATANLPDWDSLR >itb07g03630.t1 pep chromosome:ASM357664v1:7:2425346:2425858:-1 gene:itb07g03630 transcript:itb07g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDNRRSRLHEYASESNHPNQLRSEVYSLLIESLLARTSMRLKRRIQPYIRRLTGSILGILGSCHWLLCLDNGDEDSIVLWNPTTWKYLISPLSGSKISRDQNVLYGFGYDNVSDDYKVLRIAESYDCESEVKVFSVKSNSWRKIHCFPHYLKYERAHGVQATGALHWV >itb06g15890.t1 pep chromosome:ASM357664v1:6:20142991:20145029:1 gene:itb06g15890 transcript:itb06g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSSQPPNSPSPTPSFTLRTPAGAAAHPFDDTFLRSISTKAAGANNDFSGELTLPPRSQTFTSQPSSPARLSFATSPAATKLDLSSLNTTYRCISSVLKKDGQILSIAVANAFVYTGSQTNVIRVWKLPEFTECDQLKTRATMVVALQLSNDKVFAAYSDCKIRVWHRSWEGVIKHVRVATIPKPGNYVRSYISGKDKMMKHMGPISSVAINVSDDILYSASLDKTVKVWRISDVKCIETIQAHNEAVNAVVVADDGVLYTASDDATVRVWRRNFCRGDRPHSLTVTLPAKYSPVKTLALSSGDGAVLYGGCSDGYVHYWLKGWFSGQLQYGGALAGHTHAVMCIASVGDYLVSGSADATSRVWVREQDGQHSCVAVLQGHRGPVRCIAAFPAGARAGEETDQEGYTVCTGSLDGVLKMWRVKCGTNIAKGSSQNCDYFDLT >itb02g01070.t1 pep chromosome:ASM357664v1:2:614161:614926:-1 gene:itb02g01070 transcript:itb02g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQAYVDEQLMGDIEGNHLTAAAIIGHDGTVWAQSPSFPSVCSLSTISNLFNSFFSFHYNTIDHVCVKTLLHFMDRILHSIIISIDFPMNSSKLKLKLQYVLQFQVKMEEVTDIMKDFDEPGHLAPNGVHVAGTKYMVIQGEPGAVIRGKKGPGGLTIKKTGQALIIGVYDEPVTPSQCNMVVEKIADHLIDQGM >itb12g22420.t1 pep chromosome:ASM357664v1:12:24518652:24519755:-1 gene:itb12g22420 transcript:itb12g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESPYSCEHAEEDYIDMEVCSHSTIFSHSKSSQPDPREFEFQMFSTSVEKDTTTSPADELFYKGKLLPLHLPPRLEMVEKLLQNPSTYNNNLDAQFDAFEESFRTPLCTTPTNHTPTSNTTPFESCNISPAESCQVSRELNPEEYFFAEVVGDFHADHNPKRTWTRRLRLMKQSSLSSKLKASRAYLKSLFIKSSCSSQSSAAASRMINKGLAPQANEGCKSHAKVAKKEPFGQIQRGGSSLAKSFNKENASGDEGGHGHHRRSFSAAFKRISTAKISSSLTSSSGCSSASSSNNSNGFQELQFFKRSASAYSDIENSIQAAIAHCKRSQQQLHSTNTVTDLGICSMSASKVTCEEQERPALCQS >itb10g11310.t1 pep chromosome:ASM357664v1:10:16800441:16806095:1 gene:itb10g11310 transcript:itb10g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSTGIGYGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSADGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSSGEMYGAAIWQVPELYGQLNSPQLERIASLDAHGSKIRCTLWWPTGRHDKLVTIDEQNLILWGFDTSKKTAQVQAKESAGLLHSLSGGAWDPHDYNAIALTCDSSVQFWDLRNMKKTSSIEHAHIRNVDYDVKKKYMLVTAEDESGINIWDLRMVKFPVLQLPGHDHWTWTVKCNPEYEGLILSAGTDSAVNLWLTSPPSAADLMSESFESNTSRVGHLLNSYSDYEDSVYGLAWSYREPWMFASLSYDGRVVVESIKPHLPRR >itb10g11310.t2 pep chromosome:ASM357664v1:10:16800441:16806095:1 gene:itb10g11310 transcript:itb10g11310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSTGIGYGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSADGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSSGEMYGAAIWQVPELYGQLNSPQLERIASLDAHGSKIRCTLWWPTGRHDKLVTIDEQNLILWGFDTSKKTAQVQAKESAGLLHSLSGGAWDPHDYNAIALTCDSSVQFWDLRNMKKTSSIEHAHIRNVDYDVKKKYMLVTAEDESGINIWDLRMVKFPVLQLPGHDHWTWTVKCNPEYEGLILSAGTDSAVNLWLTSPPSAADLMSESFESNTSRVGHLLNSYSDYEDSVYGLAWSYREPWMFASLSYDGRVVVESIKPHLPRR >itb10g11310.t3 pep chromosome:ASM357664v1:10:16800441:16806095:1 gene:itb10g11310 transcript:itb10g11310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSTGIGYGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSADGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSSGEMYGAAIWQVPELYGQLNSPQLERIASLDAHGSKIRCTLWWPTGRHDKLVTIDEQNLILWGFDTSKKTAQVQAKESAGLLHSLSGGAWDPHDYNAIALTCDSSVQFWDLRNMKKTSSIEHAHIRNVDYDVKKKYMLVTAEDESGINIWDLRMVKFPVLQLPGHDHWTWTVKCNPEYEGLILSAGTDSAVNLWLTSPPSAADLMSESFESNTSRVGHLLNSYSDYEDSVYGLAWSYREPWMFASLSYDGRVVVESIKPHLPRR >itb10g11720.t1 pep chromosome:ASM357664v1:10:17371709:17378775:-1 gene:itb10g11720 transcript:itb10g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGILGRPLKTLNRFILRTLTTSGSRNISGLATAGQPESDGQSSSFTFTSDNGDRNVQNTSSRDDSIYIKGPKKDSSSQLDASSVTIPMSFMTGSIVGKRFYKKVITREADDGIGWTVMLDYRTLKTPAKRPLKCPTHALAKAIAAEWEYQEVDGIRPFTMPLMQLACTAFERVPLTRPKIIENLMKKFNQDLVFCRAPGDNDLTAVVLERQVEKVDPLLHWLESEFGFKPAIYSSFFGGKQEDGLVNAIEDFLKKTNDCELAAIDAIAAAAHSLAIAIGVFRGRLGIEEAIELIRLEEDLQIDKWGLVEGGHDLDIADLQVQVSSAAVFLRLSRSS >itb05g19140.t1 pep chromosome:ASM357664v1:5:25727575:25728798:-1 gene:itb05g19140 transcript:itb05g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKSYIQLHGTGGNWITLPQKIGLKRCGKSCRLRWLNYLRPNIKHGEFTEEEDNIICSLYITIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGTKLQPPQHHRHADLYSLPQQTLPFPTHYYSDIHNQQPSLSCSSSKFHCPDDNDLQPHQNPINAATNTAFSSASSIFSSNSQLGFNSFPVELADDLFYGGYDQTQESYSYSMELHNLHQEMAPPNILEGPTYLI >itb12g18230.t1 pep chromosome:ASM357664v1:12:20468408:20484341:-1 gene:itb12g18230 transcript:itb12g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVCRSVASRAARSILSAYSKQSSRAISGGRAAAAAATVSLRGVVPSLAAYGREESANASRAWISGLFALPAAAYMLQEQEACAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVGIKILVPSKDFAKKHYHDLKERPFFNGLCDFLSSGPVIGMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFKPEELCCLHSDSLPLTLASPTLRPLESRPRLRCSITLGNLRSPFGLRLDLWLMATKPLTSETIALTEKKMDMTLDDIIKMSKNNSNKSKSKRVSNRSQKTFTNATQDKSGKIRKFMDTRSSLRQGALAQRRSSFQGNQFPLATEAAKKAATAPIRSRGFSRNRTGNMNKWRVAAPLVKRNVANGGGFSVKQQQQQQEIKSMSQPKPQTLDSLFANMKEQRMKTSQQTNGARKNGRSQHQAPWIRRRFGN >itb12g18230.t2 pep chromosome:ASM357664v1:12:20468408:20484341:-1 gene:itb12g18230 transcript:itb12g18230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVCRSVASRAARSILSAYSKQSSRAISGGRAAAAAATVSLRGVVPSLAAYGREESANASRAWISGLFALPAAAYMLQEQEACAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVGIKILVPSKDFAKKHYHDLKERPFFNGLCDFLSSGPVIGMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFKPEELCCLHSDSLPLTLASPTLRPLESRPRLRCSITLGNLRSPFGLRLDLWLMATKPLTSETIALTEKKMDMTLDDIIKMSKNNSNKSKSKRVSNRSQKTFTNATQDKSGKIRKFMDTRSSLRQGALAQRRSSFQGNQFPLATEAAKKAATAPIRSRGFSRNRTGNMNKWRVAAPLVKRNVANGGGFSVKQQQQQEIKSMSQPKPQTLDSLFANMKEQRMKTSQQTNGARKNGRSQHQAPWIRRRFGN >itb06g14990.t1 pep chromosome:ASM357664v1:6:19353883:19354341:1 gene:itb06g14990 transcript:itb06g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEVLDLSKNQLSGAIPIGLTRLNFLAVLDLSNNSLSGKIPTGTQLQSFNASFYAGNRDLCGDPLPKCLAHAPTQDTNDDYQEGDDFLDREFYISMVIGFSLTFWGFIVSLVLKHSWRSTYYGFLNDVKDRLYLKMKVYLAKLQQKYRHT >itb13g16320.t1 pep chromosome:ASM357664v1:13:23284761:23286066:-1 gene:itb13g16320 transcript:itb13g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSSPATTLSLSLARSKPTSPSSTTSLSFSSSLSLSSNRAGLKLVTRPRSAPTKRFSCNCMFGLGVPELAVIAGVATLVFGPKQLPEVGRTIGKTFKSFQQAAKEFELEMKKDPGALAEPALEEVKAVSQEEKHQATVSSTREAS >itb07g12170.t1 pep chromosome:ASM357664v1:7:13771942:13774382:1 gene:itb07g12170 transcript:itb07g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTILCYSLPLLYLLFFFIWKILDQKKHQGCYILDYQCYKPGDDRKLSTKFSGEVIRRNKNLGLNEYKFLLKAIVSSGIGEQTYAPRMVFDSREECPTYEDGIVEMEEFFFDSIGKLLDRQGVSPQEIDVLVVNVSMLACLPSLSARIVNRYKMKDNLKVYNLTGMGCSASLISVNIVQNVFKTQRNLLALVVTSESLSPNWYSGNDRSMILSNCLFRSGGCAILLTNKPGLMEKSMFKLKCLVRTHHGAKDESYGCCIQTEDESGRIGFHLGKTLPKAATRAFVDNLKEIAPKILPIKELLRFVILSSHRKLTRGGKPPPPPVINFKTGVDHFCLHTGGKAVIDGIGQNLNLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRVFMISFGAGFKCNSCLWEVVRDLRGEGENVWNDDIHNYPPQTLTNPFLDKFGWLQHEDPNTFTVPDDYVIP >itb10g07360.t1 pep chromosome:ASM357664v1:10:8707405:8712520:-1 gene:itb10g07360 transcript:itb10g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSRGRQQRKSDRVSSNDSDDDRTKDPDYELRLSRRHSNRNTPPIRDANQEPVAQHTASEAPAVPNVRRKRDRSASENRDDETTGEAWRGRFRGNSSKPSSLKPATILSWLIDSQTVEENGEVMVISAMDGNIIKKGKIKREGILCCCCTKTLTPQQFHAHAGGTSSSDDQNPNYDRILISGSRKSMLSCMDEALRHPSERHNRETNFISAEDTHDSGCILCAIGGDLLCCDSCTSTYHQACMDITVSVKLEKTLVGAKNELEEGYSWTLLRQLDHQHGVYIDKDYQRIICDSKLAVAWRLMEDSFGQVFDSYTKINVIKNVIYNCSSNFNRIDFKGFYTAVLETNGEIVCVAALRIHDKKIVEMPFIAAHFAHRRKGMCRKLMIAIESTLCYLNIEKLIIPSTPEKTESWKKKYGFGVLDDETKKQLINYNTLMFHDAVRLQKILLP >itb05g10390.t1 pep chromosome:ASM357664v1:5:15659899:15662496:-1 gene:itb05g10390 transcript:itb05g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPVCRRNCGECRDCKLGRNSVCTKFPVEHYGEMPRDGTSRFRDKDGKIIYHHLWVSSFAEYTVVDITHIVKITPDLPVDKACLLSCCIPTGVGAAWKTAKIEKGSTVAIFGLGAVGLAVI >itb05g23650.t1 pep chromosome:ASM357664v1:5:28707366:28708715:1 gene:itb05g23650 transcript:itb05g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITGEAFEMRLRDFFSSWRMSHEELWGSSDIILVSTHKLQAQAHPNLRSRNFLLWLLGEDVAGTTAVFTRSRIFFLCNTPESFSALHNLRGYVTTMNIGVSVQLTKPKEGVSKLDRIIIESTRRCSFVCCSIIRVGCIDRGGWVGFMIIQSKVLDDFDQYMIVDVDPGVQKLIGIVDETTHKSSRIYKQDILLSTYLRLREDAIHAMDQLENYNQSSVLKEMKNIINSYLDDDEAAGTTSNSNEDEANKLGIEIKQRSILLYSKWRKCRKELWGDSDVLVVTSGSGSDRPVSSNFLLWLLGREFPNTTVVFKDQEIDFICPIENCPKLCVLGLYMAVALEIIVSVEPRRKANDDESQVLNSSTLYPHFDFHRDRGIPVIIGYIDGEVPNSKFLHTCGCVEYGKSFQATNVRSGFVRLLDEVVGEWIFIEITSNSSSLSPSSSPQGREH >itb09g05550.t1 pep chromosome:ASM357664v1:9:3162616:3163947:1 gene:itb09g05550 transcript:itb09g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQNLQAVNSSSLPILPAKRRRGRPRKDGSVAEKVISSSSQSSETRKIIPPGEVKEQGSEVDDMVGRMVSGVIDGCFDAGYFLTVRVANSSALLRGVVFQPGRFSPITAATDVVPQAKMFERRDMPVPVLNNGVIPQSDMAAASEQVLQHPKPTFSPSMVVSQPQSGSGSGSVSVSVPAMSRSNSVGLLAGEKAMGPSLNLYQNHQPQSAAPPPPLENLRMVEQDEVMQVFEISTQPQWLSSKVNAADVSCSEETGSQVSQTYSQRSTEPCFKAYDWDLSNSRLSQNPAENQAVESKMEENKSPNLDQHPAVGAQPHYVPQFQEPHSESKNTGLEFIQALPPVLINSRARAVDFTMENPSAPQNDASNESLKGKPFGYPAENGGGESQPAGVVGTSEENVTHEQPAGRLETQIYSSESCTLPDFRFGLDGIVSPTANPTNTP >itb08g09790.t1 pep chromosome:ASM357664v1:8:9107439:9113807:-1 gene:itb08g09790 transcript:itb08g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKKDKFEDLHGTPKSQKTSPIANPSSPRVSNRTPSSSFSRRPPAATRHLPFPHLRSTAVTRHCLPLAFSSRAPFPASSRVEQPAPSIPPCLAFSRRRPLLHRLSTLNTTSTAADLHGITTVGYWYDHGILISLVSNSSWNVRGQGCLCVYFAVSLRKESRTIEEQFNQMLREWKAKLNEPSPTSSYKVIAATNRADILDPALMRSGRLDCKIEFPHPTEKVRARIL >itb04g13270.t1 pep chromosome:ASM357664v1:4:13318442:13319656:1 gene:itb04g13270 transcript:itb04g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSSIPNEIIRHILLQLPMKAVIRCQCVCKQWRSLIDDLDFKLSYSGKRRVIILSLDSKSQDYSWNSRFLVRSTSHDSRLQRHKLPFGEVAYPLICASDEYRVRSLCSCNGFVLLLAERDILLWNPFTRYLTKVLKVPYPKELNSVILGGLCYDSSTRDYKAVLSIRPLIEPGLQFGYPFVISASLSHKEWRRVQFPYNLDSAKGGVEFRNNTFHCTFHWWASDIKDWEWKMDYFSGGNRNRIVYFDPVCDEFIILPTPELRQNFPIVGLGVINDCLSMACMIHKQKNSNPIMMQVLIMKEYGIQESWMTAFAIQINSQYPYTIASYGLTFYSQKNNAQEVLFLHPMNWFLGKVYVYDRKKDEMREVRLDFPNNDICCVSMCFYVESLASLPLQPHMIN >itb01g11240.t1 pep chromosome:ASM357664v1:1:9906724:9908929:-1 gene:itb01g11240 transcript:itb01g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGQQHQEVVVESTNGQQQHANEDGVGQQQQEEVRLQGPKKRQSNPKLSLDYLSLSRSASPPLTVFLSISLLSLSPFASFLIHGLKITSATTIRSDFWYFSGSNNSNTVLLQLTSTLGILQETTIVENAASKGALCLDGTPPAYHLSEGFGPGKGNWMVYLLGRA >itb11g13860.t3 pep chromosome:ASM357664v1:11:10893246:10896665:-1 gene:itb11g13860 transcript:itb11g13860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERILARYERCSYAESLANPTDQESPGSWSLEYAKLKARIEFLEKNKRHYMGEDIDSLSLKELQNLEHQIDSSMKHIRSRKNQLMLESISELHKKDRALKDENNKLAKKIKEREKEMAEQPNLEQQEKQDTNSSSSYVPSQPLNSFNLGEMYEAAGEDREIEGAPAAQPPPPPNIVMPQWLLPHLTDG >itb11g13860.t2 pep chromosome:ASM357664v1:11:10894130:10897748:-1 gene:itb11g13860 transcript:itb11g13860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRAGLLKKANEISVLCDADVGVIIFSTKGKLFQYASDSRMERILARYERCSYAESLANPTDQESPGSWSLEYAKLKARIEFLEKNKRHYMGEDIDSLSLKELQNLEHQIDSSMKHIRSRKNQLMLESISELHKKDRALKDENNKLAKKIKEREKEMAEQPNLEQQEKQDTNSSSSYVPSQPLNSFNLGYFSAQ >itb11g13860.t1 pep chromosome:ASM357664v1:11:10893184:10897748:-1 gene:itb11g13860 transcript:itb11g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRAGLLKKANEISVLCDADVGVIIFSTKGKLFQYASDSRMERILARYERCSYAESLANPTDQESPGSWSLEYAKLKARIEFLEKNKRHYMGEDIDSLSLKELQNLEHQIDSSMKHIRSRKNQLMLESISELHKKDRALKDENNKLAKKIKEREKEMAEQPNLEQQEKQDTNSSSSYVPSQPLNSFNLGEMYEAAGEDREIEGAPAAQPPPPPNIVMPQWLLPHLTDG >itb09g03250.t1 pep chromosome:ASM357664v1:9:1820650:1822878:-1 gene:itb09g03250 transcript:itb09g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAGRSRPFAFVSLLSRSFRSDAALEALAKASEAKTPNIILYNYPSFSGAFAALFAHLYHDRLNLPHLVLPFSSVEPFRVEDLCVEGVEKCYFLDFIGPKGLALELWRRTSCEVLAFDHRKSVVSRVPLMEECDESLRFQVNLEKSSSCAAYDYFSSKLSLIGSTDASEKGDIMCLLNCEVQDQMDMVLKYIEDGDLRKWSLPDIRAFNLGLSEWRPRLNCITNPLMYEQLMEMRVGDLIAKGKSYISSRQNAANKLLNKVFKLQLGRGLYGSCLGVRADGNSDLSDEIGKELSRKSAAAGLRPIGAVIYMQRNNLKMCLRSTDSTTDTSEVAKAYGGGGSPSSSSFIIRMDEYNEWLAVNKR >itb03g13970.t1 pep chromosome:ASM357664v1:3:13892842:13897045:-1 gene:itb03g13970 transcript:itb03g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKPMAIPRRYPTLRKKLRELEAKVVELLTLPPETPHHDLLSDGVEQRLESLKALVAAEMASRRQSPPPTHLLHITEKLSELEMAFREWDHCSRAAAVGGAGDDCSCGGESSLNDGADDGDINMADLGFKVYDVPKELRREDDGEEVEEGERSLDEKTHHLVRPPYNGGGEEAAVVSDAGKYYRVWATGAVLGAFSMAVFMARWDNDFSVPNVTRSNSFNWLRQLSIYPPNRPATSSSPCHLTSFPQLTQKLSASLSLAPLKPFYGHLSSNLFSEEAMSRFTRFELFEHSPPFFFKDTTLSRNPFYPSFPLENELDIALDLLCPTAYSLPRFDFTSQFDDFDAVTDLIQIERTPFYSNAKRVQRRRVGSGLDTHCLQTLCDRVSALELEFDRLSLEKKKKKKVGDRKYTWTAEIKSPEEDGFDRKYKWVAKINDDGRKRAPEKSYKWTAEIKGKGEDKPISRTYTFEASTGDSGECRIVEKKDKKKEEKKKKKEDGCKRVVEIEEPSDHGAIILRQAFGRRVEKNKGKRKELSLQDAALVIQMGFRAYLIRRSQVLRALRELAIAKTKLRELRTLFNNFSYRRRVARDAEERQRFSEKIIVLLLTVDAIEGADILVRATKKSMVDELEAMLDVVDPQPGGRSLSMKRRTFDMPDGTIQKELAAGVAKVVQMLDEE >itb03g09090.t1 pep chromosome:ASM357664v1:3:6948986:6950341:-1 gene:itb03g09090 transcript:itb03g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVWSRILLLLFLTCAAAADPSDERCLTYLSQSLEDPLRNLQNWTKPNFANPCQGFNSFLQGATCNNGRIYKLSLSNLSLRGTISPYLSNCTNLQALDLSSNSLAGPIPPELQFLVNLAVLNLSANRLAGPIPRQLTLCAYLNVIDLHDNQLSGPIPPELGLLVRLSVFDVANNKLAGPIPASLGNRAGNLARFNASSYEGNKDLFGYPLPPKKSNGLSVLTIVGIGLGSGFLSLVLSFTAVCIWLRVTEQKMAAEEGKISQLMPDY >itb02g04150.t1 pep chromosome:ASM357664v1:2:2485319:2486481:-1 gene:itb02g04150 transcript:itb02g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRGQGGIQLLLAAEQEAQHIVNAAKSAKQARLKQAKEEAEKEIAAFRAHMEAEYQKKLAQSSGDSGANVKRLEAETEAKINHLKTEAARISHDVAHMLLRHVTTVKN >itb12g06080.t2 pep chromosome:ASM357664v1:12:4527769:4529861:1 gene:itb12g06080 transcript:itb12g06080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDRDHLAESLNDLFTNVSKMVKGELQESNNLLELLEKMNIRVAEEYKGFGDVASGLRVFVEQLKSKSGNFDEYVQQIDSIEGQVTELEAVVSMLDKYVSLLESKVQSVYHIPPS >itb12g06080.t3 pep chromosome:ASM357664v1:12:4527769:4529861:1 gene:itb12g06080 transcript:itb12g06080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDRDHLAESLNDLFTNVSKMVKGELQESNNLLELLEKMNIRVAEEYKGFGDVASGLRVFVEQLKSKSGNFDEYVQQIDSIEGQVTELEAVVSMLDKYVSLLESKVQSVYHIPPS >itb12g06080.t1 pep chromosome:ASM357664v1:12:4527769:4529861:1 gene:itb12g06080 transcript:itb12g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDRDHLAESLNDLFTNVSKMVKGELQESNNLLELLEKMNIRVAEEYKGFGDVASGLRVFVEQLKSKSGNFDEYVQQIDSIEGQVTELEAVVSMLDKYVSLLESKVQSVYHIPPS >itb12g27000.t1 pep chromosome:ASM357664v1:12:27624056:27627750:-1 gene:itb12g27000 transcript:itb12g27000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENAAFNGVVSSNGSAAAAAAADHHHHHHHNQSAKTNQRSTEPKDQNFQIMQQEGGNENGVQRMNGVDSRRNGEMDGGDGGGEGFKREKEMRDLEDMLSKLNPMAEEFVPPSLSSAGGNHRPVLLPPGGGHFGYDASNFVALQPHSGLPNGNFIRRKKNGYSNAKRRLNNRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAGLFLNCGQVVDCRVCGDPNSVLRFAFVEFTDEEGARNALSLAGTMLGYYPVRVLPSKTAIAPVNPTFLPQSEDEREMCARTIYCTNIDKKVTHLDVKLFFETICGEVLRLRLLGDHNHSTRIAFVEFVMAESAIAALNCSGAILGTLPIRVSPSKTPVRPRAPPRPAMH >itb07g05180.t3 pep chromosome:ASM357664v1:7:3510678:3513003:-1 gene:itb07g05180 transcript:itb07g05180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSGKRAASGLLVARRGLRDKPNDRDENIQFCPRIGCSGRLNNSRSGCTAKPYSSRQNLSSNSSNGKEVVGSSSRTVTRKLHKDYHRKPASQTKTDQLETRTFRDESVDHGQMESSSTSETGFLSEPRDSEPRKSRVWEAGCSSGLSSVTTQQQKYCNKSKRANQNNLSSNIPPQTRSSRNGVENGYKNPKCNSVSNALPHSSQPRFMKKRSSEGESSSSSIGNRTSRAFSKGGRAPLNNHPFSKEDHSSLNNRGILISESRCGRSWGPSEDNPAVSVRVQRSNMNSRLRTHDQDTGNTSTHTQPSGLISQLPRPQTPNDHILSSTYQFSTDSSSSESSPYNVPGNDGNNISSILPFPSAEFGISQSNNRDALWRYNMDEIAGVFSFFLSRILCFD >itb07g05180.t2 pep chromosome:ASM357664v1:7:3509213:3513041:-1 gene:itb07g05180 transcript:itb07g05180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSGKRAASGLLVARRGLRDKPNDRDENIQFCPRIGCSGRLNNSRSGCTAKPYSSRQNLSSNSSNGKEVVGSSSRTVTRKLHKDYHRKPASQTKTDQLETRTFRDESVDHGQMESSSTSETGFLSEPRDSEPRKSRVWEAGCSSGLSSVTTQQQKYCNKSKRANQNNLSSNIPPQTRSSRNGVENGYKNPKCNSVSNALPHSSQPRFMKKRSSEGESSSSSIGNRTSRAFSKGGRAPLNNHPFSKEDHSSLNNRGILISESRCGRSWGPSEDNPAVSVRVQRSNMNSRLRTHDQDTGNTSTHTQPSGLISQLPRPQTPNDHILSSTYQFSTDSSSSESSPYNVPGNDGNNISSILPFPSAEFGISQSNNRDALWRYNMDEIAGVLLQLERIEHDDELRYEQLLALETNVFLNGMNFYDQHRDMRLDIDNMSYEELLALGERMGTVSTALSDEAMSKCLRRSIYRVTSSEVGVAGLKGDEDDNKCSICQVQHPL >itb07g05180.t1 pep chromosome:ASM357664v1:7:3509213:3513041:-1 gene:itb07g05180 transcript:itb07g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSGKRAASGLLVARRGLRDKPNDRDENIQFCPRIGCSGRLNNSRSGCTAKPYSSRQNLSSNSSNGKEVVGSSSRTVTRKLHKDYHRKPASQTKTDQLETRTFRDESVDHGQMESSSTSETGFLSEPRDSEPRKSRVWEAGCSSGLSSVTTQQQKYCNKSKRANQNNLSSNIPPQTRSSRNGVENGYKNPKCNSVSNALPHSSQPRFMKKRSSEGESSSSSIGNRTSRAFSKGGRAPLNNHPFSKEDHSSLNNRGILISESRCGRSWGPSEDNPAVSVRVQRSNMNSRLRTHDQDTGNTSTHTQPSGLISQLPRPQTPNDHILSSTYQFSTDSSSSESSPYNVPGNDGNNISSILPFPSAEFGISQSNNRDALWRYNMDEIAGVLLQLERIEHDDELRYEQLLALETNVFLNGMNFYDQHRDMRLDIDNMSYEELLALGERMGTVSTALSDEAMSKCLRRSIYRVTSSEVGVAGLKGDEDDNKCSICQEEYVLGDEMGMLGCEHGYHLACVHKWLRMKNWCPICKASAVPSRPSSPL >itb02g15300.t1 pep chromosome:ASM357664v1:2:11131224:11132846:1 gene:itb02g15300 transcript:itb02g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQNNMNMDGSRRFYNQPVQDLESYCLPTTGGLDNQLLAVGDSHGAEFFAQTNSQYHDVMSYGYPGHSYTADSFLSDTSGILQQDSQLYLADVHHHSPDETYHSAASKSSLSDENDLKHKLRELESAMFGHGSDALEAYGSNMGTPDQMSSEAEKLEEMMGITSRGSLKESLVACAKAIEDNDIPRAEWWMSELRTMVSVCGEPIQRLGAYMLEGMVARLASSGSSIYKALRCKEPTSNELFSYMYLLYEVCPYFKFGYLSANGAIVDAMKDEDRVHIIDFQISQGTQWITLIHALAARPGGPPQICITGIDDSTSAHARGGGLEIVGKRLSTLAESCKVPFEFRPVAASCADVKIEHLNVRPGEALAVNFPLVLHHMPDEDVGTQNHRERLVRMVRSLFPKVVTLVEQESDTNKVPFFPRFLETLNYYLAVFESIDVALPRDHKERINVEQHCLAREVVNILACEGVERVERHEVLEKWRSRFSMAGFKPYPLSSSVNATIKTLLENYCKDYTLEERDQALYLGWMNRALVASCAWQ >itb12g04450.t1 pep chromosome:ASM357664v1:12:2914123:2918083:1 gene:itb12g04450 transcript:itb12g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGRLSIESGNGSAGQNGLAKIQTRGPTTGVCHDDSSPPVKVQTIDELHSLQRKKSAPTTPINGVQTAFAPPSEEERHNQQLQSISASLASLTRETGPKVVRGDPARAETPRISHDHHRHVTPAISVSDSSLKFTHVLYNLSPAELYEQALMYEKGSFITSTGALATLSGAKTGRSPKDKRVVRDETTENELWWGEGSPNIEMDAHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEDLENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLSRREMVILGTQYAGEMKKGLFSVMHYLMPNRRILSLHSGCNMGKDDDVALFFGLSGTGKTTLSTDHNRYLIGDDEQCWSDKGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYEDKSVTENTRAAYPIEYIPNAKIPCVAPHPKNVILLACDAFGVLPPVSKLTLVQTMYHFISGYTALVAGTEEGIKEPKATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSSSLLKAKYKKTEMFGLEIPTEVEGVPAEILDPVNAWSDKKAYNNTLMKLAGLFKNNFEVFVNHKIGQDDKLTNEILAAGPSY >itb15g04400.t1 pep chromosome:ASM357664v1:15:2805440:2805856:1 gene:itb15g04400 transcript:itb15g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVILQNIIAYELCNYYRTQFVVGSYINFLKSLIVSPGDVKELREKSIIRSTIGEDKEVVKLLHGINTYDFDNPIILRDVKHKILKYYNSKTKTWMAELAHTYFRTPWTALALFAATLLLVLTFLQTYFTINPRRH >itb09g16310.t1 pep chromosome:ASM357664v1:9:11506664:11513850:-1 gene:itb09g16310 transcript:itb09g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHAAVYRLQSSVEFEGFREFEPPHLATSTHHSTKDSDPFKIFLWAGSHLFQSRFSGNISVVGRIEASTRSAMAKTGLLTTSRAYEVVPMLVITPNRTSVSPRLETILEEDHGVQNDFCDSGSEVNCSALCLRGALSTLKLN >itb08g08640.t1 pep chromosome:ASM357664v1:8:7625882:7626632:1 gene:itb08g08640 transcript:itb08g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSFPIEICRRPNWRVLFCSLKSPILSCEVFDEMPRRVEGVMLELKEMPRRVEGVMLELKEILVLLLLVVLELKEILVLLLLVVEEAATVLLQKAMVEGARLWFAHGRYRRKQGKSLLVVSGAVARVVGVSPLLAKKPSP >itb15g00080.t1 pep chromosome:ASM357664v1:15:61357:64078:-1 gene:itb15g00080 transcript:itb15g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLALQQTVSVWLNRLQIEQLGEFLLPFSLLFARVTTLFGDPIDTPNFGLIGIGTISAALVSTERRLSHIKKEMNDGKQREASPSVSQKSVSDDDEIDYSIKPEFYDSDLDDKDESWVRKKRGGRTSDAILSCPACFTTLCLDCQRHEKNVTQYRAIFVVNCKINDEVMTQLGSKRKWGKRKGEAEAASDNSGTFKHVCCAVCLTKVGVIDEEEVYHFLNVLPSEC >itb03g20330.t1 pep chromosome:ASM357664v1:3:18261495:18264553:-1 gene:itb03g20330 transcript:itb03g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDQLVINSTNGFAALGALRKKKKSNKDSKSKVSSGSSKQEAEPQVFWAPAPLTTKSWADVDDESDDDYYITTAAPKVVWGGATPAEQHSKTKETATPVLEESESEDEGLDEVDDYIEAEQDNEPEPEPEEPVEKEPVAKIPAEVGAPKESERQLSKKELKKKELEELEAMLAEFGYNQPEKKDDSLGATQSKKVENVMNGEDKKDDGTTSESKNAKKKKKKSKEAKEQQDHPNGVEVGNAKEKDESGGGVEKAEDAHNVDVKEKLKKVQSMKKKKSSKEMDAAAKAAANEAAARNAKLAAAKKKEKNHYNQQPQR >itb03g01290.t1 pep chromosome:ASM357664v1:3:713914:716671:1 gene:itb03g01290 transcript:itb03g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSSLSISQPQLLLGNWRSIGGFAMSANIPKKKKQVWIWTENKQVMTTAVERGWNTFVFPKNRHDIAHEWSSTALIWPLFIEEGGVFDHEQKRVAAFAEISSPQQLEHFQQMDELADNVIVNLLDWQVIPAENIVAAFQGSQKTVFAVSKSTTEAQVFLEALEQGLGGVIMKVEDVGAILELKDYFDKRLEAGSLLNLTKARITNIQVTGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYISSRPFRVNAGPVHTYVAVPGGKTSYLSELKTGKEVVVVDQSGMQRTAIVGRVKIETRQLILVEAKVESESHTSYSILLQNAETVALVPSPRGEGRERTAIPVTSLKVGDEILLRVQGGARHTGIEIEEFILEK >itb03g01290.t3 pep chromosome:ASM357664v1:3:714176:716671:1 gene:itb03g01290 transcript:itb03g01290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELADNVIVNLLDWQVIPAENIVAAFQGSQKTVFAVSKSTTEAQVFLEALEQGLGGVIMKVEDVGAILELKDYFDKRLEAGSLLNLTKARITNIQVTGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYISSRPFRVNAGPVHTYVAVPGGKTSYLSELKTGKEVVVVDQSGMQRTAIVGRVKIETRQLILVEAKVESESHTSYSILLQNAETVALVPSPRGEGRERTAIPVTSLKVGDEILLRVQGGARHTGIEIEEFILEK >itb03g01290.t2 pep chromosome:ASM357664v1:3:713914:716671:1 gene:itb03g01290 transcript:itb03g01290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANIPKKKKQVWIWTENKQVMTTAVERGWNTFVFPKNRHDIAHEWSSTALIWPLFIEEGGVFDHEQKRVAAFAEISSPQQLEHFQQMDELADNVIVNLLDWQVIPAENIVAAFQGSQKTVFAVSKSTTEAQVFLEALEQGLGGVIMKVEDVGAILELKDYFDKRLEAGSLLNLTKARITNIQVTGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYISSRPFRVNAGPVHTYVAVPGGKTSYLSELKTGKEVVVVDQSGMQRTAIVGRVKIETRQLILVEAKVESESHTSYSILLQNAETVALVPSPRGEGRERTAIPVTSLKVGDEILLRVQGGARHTGIEIEEFILEK >itb04g12410.t2 pep chromosome:ASM357664v1:4:12124656:12130435:1 gene:itb04g12410 transcript:itb04g12410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRALHCYSIANAKYAYPYRHIPSTRRGRSLGKLKFIEPRLILEPQGIPGSIMSRPTRGISSRIICGVSSVETREGEKKGKKKRSARENLKLKICLDHQVEFGEHIAILGSTKELGSWKKNVMMDWTEKGWVSEMEFKGGEPIEFKFVIVGKDKNLLWETGDNRVLKLPAGGSFEMVCQWNLTDKPLELLPLDSEGEYEAEVLADNGSVVTEDSTELDMQSSAFVEQWQGKAVSFVRSKDQLDMEKNRKWDTSGLAEIPLKLVEGDKNSRNWWRKLEVVRDLIVDNVESAHRLEALTYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERILGRKDATLQEILVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQDIKHTIQNKLHRNAGPEDLVATELMLERITKNPGEYSEAFIEQFKIFHHELKDFFNAASLGEQLESIRESLDEKSLSILSLFSESKKALDEMGEISNVSESGVIALLVNTLQSLNALREVVVKGLESGLRNDAPDAAIAMRQKWRLSEIGLEDYAFVLLSRYILYARAYLKR >itb04g12410.t3 pep chromosome:ASM357664v1:4:12124656:12136486:1 gene:itb04g12410 transcript:itb04g12410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRALHCYSIANAKYAYPYRHIPSTRRGRSLGKLKFIEPRLILEPQGIPGSIMSRPTRGISSRIICGVSSVETREGEKKGKKKRSARENLKLKICLDHQVEFGEHIAILGSTKELGSWKKNVMMDWTEKGWVSEMEFKGGEPIEFKFVIVGKDKNLLWETGDNRVLKLPAGGSFEMVCQWNLTDKPLELLPLDSEGEYEAEVLADNGSVVTEDSTELDMQSSAFVEQWQGKAVSFVRSKDQLDMEKNRKWDTSGLAEIPLKLVEGDKNSRNWWRKLEVVRDLIVDNVESAHRLEALTYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERILGRKDATLQEILVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQDIKHTIQNKLHRNAGPEDLVATELMLERITKNPGEYSEAFIEQFKIFHHELKDFFNAASLGEQLESIRESLDEKSLSILSLFSESKKALDEMGEISNVSESGVIALLVNTLQSLNALREVVVKGLESGLRNDAPDAAIAMRQKWRLSEIGLEDYAFVLLSRFINSLENLGGAQWLVENVDPKNVSKWNNPIVGLMVGIHQLGFSGWKSEECEAIGNELLCWKQRGFLDMEGGEDGRKIWALRLKATLDRCRRLTEEYSEALLQLLPEKVQTLGKALGIPENSVRTYTEAEIRAGVVFQVSKLCTLLLKAVRRTLGSHGWDVLIPGDSYGKLIQVDRIVPGSLPSSETGPVILVVSKADGDEEVTAAGSNIAGVILLQELPHLSHLGEKVVFVTCEDDEEISNLQGLIGKYVRLDASSTGVKLNPSSQENSSSIRSVENSTEGSLTNMAVSNNSSSAVTNLTQAAACAHLASLSSASQKVYSDQGVPASFNVPTGAVIPFGSMEMALEQSKCMETFTSLVEQIETAQVEGGELDRLCNEIQELITSLKPPTNVIETLGSMFPGQTRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPIVFGHCVSRVWASLYTRRAVLSRRAAGVPQKDAAMAVLVQEMLSPDLSFVLHTLSPTDHDQNSVEAEIASGLGETLASGTRGTPWRLSSGKFDDKVQTLAFANFSEEMVVHGTGPADGEVIRLTVDYSMKPLTVDPVFRHQLGQRLGTVGFFLERKFGCPQDVEGCLVGKDIFIVQSRPQPL >itb04g12410.t1 pep chromosome:ASM357664v1:4:12124326:12136683:1 gene:itb04g12410 transcript:itb04g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRALHCYSIANAKYAYPYRHIPSTRRGRSLGKLKFIEPRLILEPQGIPGSIMSRPTRGISSRIICGVSSVETREGEKKGKKKRSARENLKLKICLDHQVEFGEHIAILGSTKELGSWKKNVMMDWTEKGWVSEMEFKGGEPIEFKFVIVGKDKNLLWETGDNRVLKLPAGGSFEMVCQWNLTDKPLELLPLDSEGEYEAEVLADNGSVVTEDSTELDMQSSAFVEQWQGKAVSFVRSKDQLDMEKNRKWDTSGLAEIPLKLVEGDKNSRNWWRKLEVVRDLIVDNVESAHRLEALTYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERILGRKDATLQEILVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQDIKHTIQNKLHRNAGPEDLVATELMLERITKNPGEYSEAFIEQFKIFHHELKDFFNAASLGEQLESIRESLDEKSLSILSLFSESKKALDEMGEISNVSESGVIALLVNTLQSLNALREVVVKGLESGLRNDAPDAAIAMRQKWRLSEIGLEDYAFVLLSRFINSLENLGGAQWLVENVDPKNVSKWNNPIVGLMVGIHQLGFSGWKSEECEAIGNELLCWKQRGFLDMEGGEDGRKIWALRLKATLDRCRRLTEEYSEALLQLLPEKVQTLGKALGIPENSVRTYTEAEIRAGVVFQVSKLCTLLLKAVRRTLGSHGWDVLIPGDSYGKLIQVDRIVPGSLPSSETGPVILVVSKADGDEEVTAAGSNIAGVILLQELPHLSHLGVRARQEKVVFVTCEDDEEISNLQGLIGKYVRLDASSTGVKLNPSSQENSSSIRSVENSTEGSLTNMAVSNNSSSAVTNLTQVASTGGVVPLADADIQSSGAKAAACAHLASLSSASQKVYSDQGVPASFNVPTGAVIPFGSMEMALEQSKCMETFTSLVEQIETAQVEGGELDRLCNEIQELITSLKPPTNVIETLGSMFPGQTRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPIVFGHCVSRVWASLYTRRAVLSRRAAGVPQKDAAMAVLVQEMLSPDLSFVLHTLSPTDHDQNSVEAEIASGLGETLASGTRGTPWRLSSGKFDDKVQTLAFANFSEEMVVHGTGPADGEVIRLTVDYSMKPLTVDPVFRHQLGQRLGTVGFFLERKFGCPQDVEGCLVGKDIFIVQSRPQPL >itb04g04260.t1 pep chromosome:ASM357664v1:4:2629886:2633305:1 gene:itb04g04260 transcript:itb04g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNCRSPVAMCETPNSLESRLPIGGCSVFSGCRVVVGGLLFPSSCVCLVSYGCPSAVDNGGRRPHMALGKRRGRQERASLSPPPLPKCVTSKSSPHHLGSSTAMDSTAAAAAAATTTQHHLQTYWCHECDMSVFLLCPPTPSPARCPHCTSDFLEQMDSFAPPPPQQHSLGTTSPSLAPMFVDPTTTLLPSDENFLLDSPYLHRLIHHLTTTNDVFTTANRPHNPTSNSAIQSLHDLRIDSSILDKDPVIPCPICKDLFVLNLEVKMLPCKHMYHSDCILPWLEMNNSCPVCRFRLPPREDEDSEVEALPRGDNFVGAMRLEELMDDDGELFGFQSTIRRIARSEVESLIQFGRRHQTVMESDGSHGNSEVFLLSPTQIGEAGLGVGVARRATSVETVSSLPCCPGDSACEGEVGVSSSRLGEGDAAVRS >itb12g00400.t1 pep chromosome:ASM357664v1:12:350534:353640:-1 gene:itb12g00400 transcript:itb12g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKPCKILVGISLNVEESKELLSWAVRVLANPNDSVIALHILVADAAKKEERKKWQSVTRYQSTQIRQAKACVISMMGEFTKTCQSKQVNLEARVGFSSHPARGLVKEAKVIPADFLIIGGKKNRTSKFPCVIAKYCCECIPDGCSLVVFGNHKPPSQNINSNSSSNSIHIEELQQPSSRWPRENSVSSEEKQMVCPREKPSPRSVLEETQSTEEESSIFGDSIVSNSPSLSREIKGQAHLRKPMSPLRRISSFFRSPFDSSARKRSHLGFPHKQAQPPALTCFSYNEIANATNNFHPENLVGQGGYSDVYRGDLSNGSTIAVKRLAKDSNDMNKEKEFLMELGIIGHVNHPNTASLVGYCIENGFYLIFKFYPNGTLSSALHGKTCKLEWPVRYRIAIGIARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQISDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMYGIVDEKIDVFAFGILLLEIITGRRPVDSSRQNLLLWAKPLMEGGKLDELADPRLEGDYEMDQLHRMVLTASYCVRQSSMWRPTMTEVLDLLRYGDDSEAARSWRIPKFTSDEMDDCSMVFGYDLPSDLIVEDLY >itb12g00400.t2 pep chromosome:ASM357664v1:12:350534:353640:-1 gene:itb12g00400 transcript:itb12g00400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKPCKILVGISLNVEESKELLSWAVRVLANPNDSVIALHILVADAAKKEERKKWQSVTRYQSTQIRQAKACVISMMGEFTKTCQSKQVNLEARVGFSSHPARGLVKEAKVIPADFLIIGGKKNRTSKFPCVIAKYCCECIPDGCSLVVFGNHKPPSQNINSNSSSNSIHIEELQQPSSRWPRENSVSSEEKQMVCPREKPSPRSVLEETQSTEEESSIFGDSIVSNSPSLSREIKGQAHLRKPMSPLRRISSFFRSPFDSSARKRSHLGFPHKQAQPPALTCFSYNEIANATNNFHPENLVGQGGYSDVYRGDLSNGSTIAVKRLAKDSNDMNKEKEFLMELGIIGHVNHPNTASLVGYCIENGFYLIFKFYPNGTLSSALHGKFSLLTSHPLMEIFLHFFFSLLTGKTCKLEWPVRYRIAIGIARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQISDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMYGIVDEKIDVFAFGILLLEIITGRRPVDSSRQNLLLWAKPLMEGGKLDELADPRLEGDYEMDQLHRMVLTASYCVRQSSMWRPTMTEVLDLLRYGDDSEAARSWRIPKFTSDEMDDCSMVFGYDLPSDLIVEDLY >itb13g23080.t1 pep chromosome:ASM357664v1:13:29198420:29200161:-1 gene:itb13g23080 transcript:itb13g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAGNEVFESKITAYVLACWILAAFGGLMFGYDIGISGGVSGMDDFLIKFFPAVYAKKKKAVENNYCKFDNQMLQLFTSSLYMAALVASFGASKACNLLGRRPTILMASVLFVIGAALSAFAEHKWILILGRIFFGIGVGFGNETVPLFLTEVAPVQHRGAVNILFQLMITVGIFIANIVNYVTSEMHPHGWRVSLGVAAIPAVMLGLGSLVISETPASLVERGKVDQGRAALKKIRGVDNVEPEFETIVAGCEAAAQVKKPLKKLMKKSGQPQLIIAVVLQVFQQFTGINAIMFYAPVLFQTMGFKANGSLLSSIITGLVNVLATFVSIYTVDKLGRRKLLLQGCIQMCISQVAIGVILVTNLKATGSLDKTLSTVVVILVCLYVMAFAWSWGPLCWLIPSEIFPLETRTAGFAFAVSTNMLCTFIIAQAFLSMMCGMQAYIFFFFSAWIVAMGLFVLFFLPETKGVPIDLMVEKVWKQHPVWRKFFRSDVRSYEMA >itb12g08320.t1 pep chromosome:ASM357664v1:12:6504175:6506592:1 gene:itb12g08320 transcript:itb12g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFVAVVLFVLLTPGLLIQIPGRGRIVEFGNFQTSGVSILVHAVIYFALICIFLLAIGIHMKSTGRFLPGIWKINPGARNANSAVAMIGAPQSFIFQINRRNRFDLPSAAANGSLRFLLFPIHTGTIG >itb11g03970.t1 pep chromosome:ASM357664v1:11:2127725:2128180:-1 gene:itb11g03970 transcript:itb11g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLPQRKNPSPPSLRAWHARFTRVDLRPPLFIAAFDSLPITGSSKRGLGGMNRKCRAPDRTRRVKFRERRHSTEKGFWS >itb04g23350.t1 pep chromosome:ASM357664v1:4:28453895:28456480:-1 gene:itb04g23350 transcript:itb04g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNFSPASSSSPAASTSSTPTAKRSRDPEDEVYIDNLHSHKRYLSEIMASSLNGLTVGDNLTDSLVDSPRSESMCYLRDDISLQYSPMSEDLDDLPSYDVPMHVCSSQPESTPTSPVSPYRHQRSLSGLSSVPQTTSSPALTCNLTPVTSQARQRGSDSEGRFPSSPSDICHSADLRRAALLRSVRSVQQMKTQPHVPTPFDLSLSQGHDHEHSLETEDRPCLYMKSLIDESDYQIAECSSFRASESENRDRRSRLLNMHVKGDKSP >itb04g27520.t1 pep chromosome:ASM357664v1:4:31512693:31513554:1 gene:itb04g27520 transcript:itb04g27520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRIILIFLSATLAGFFVLRNLKSQPDAAENDVVDNDSPVKAPSDTSSSNSVPLCSKVCGMIGNGFWTCVDMASGKYLWRHLASSSNMKRTE >itb01g32860.t1 pep chromosome:ASM357664v1:1:36229757:36231000:-1 gene:itb01g32860 transcript:itb01g32860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISGSDEYSSGCESGWTMYLDQHTNNSADQYNSNATGAGVYGGKVAFSGEDEDLSMVSDASSGPPHFHDVEECYVQSDYVCYSSAFELRKGKQKKKKSEEQRGGKNQSYYLDDTASSPTTNFPVENASLYNDRPSKEHVPGISETHFKGKSVLGKHFGFLKSSGKAASKKCDGVKGRNWQ >itb13g19330.t1 pep chromosome:ASM357664v1:13:26330914:26335315:1 gene:itb13g19330 transcript:itb13g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGELWAIFGPGVAGAVFGAGWWFWVDAVVCSAVKISFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAASVGLLIQDALMQTGPSAWTGVAGVLQCVFVLISGLIYWTSHSE >itb12g15710.t1 pep chromosome:ASM357664v1:12:16202174:16203016:1 gene:itb12g15710 transcript:itb12g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFRFSFSFAQSEIDLSVSLQFIPKAGGSAKKNEIIFTSPTGEEFTSKKQLEQYLKSNAGGPPISEFDWGTGETPRRSARISEKAKTAPPPPESEPPKKRGRKSSTPKKDAQEKEGKPEDSAAVDPDNDAKEKEDETEESKNDGTKENEDETRIADDGKAEEDAPSEQEQQTNAGEDVKMVENAEDEEKNAGADGSDVMQKDDEGKQATAEKQDEAGGEKDDKEGSTDDAKPNEAGAEKHEQKDCTIEEQKDSVNNEVNKVEGEVEVTVNGGKAEEPSS >itb01g28840.t2 pep chromosome:ASM357664v1:1:33175445:33178570:1 gene:itb01g28840 transcript:itb01g28840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNERKKIIIDTDPGVDDAMAIFVALQSPDVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITNGTKLRIADFVHGTDGLGNQNFPPPEGKAIEQNATEFLVQQANLYPGEVTVVALGPLTNIALAIQRDPAFVKNIGQIAVLGGAFAVNGNVNPASEANIFGDPDAADIVFTSGADILAVGINVTHQVVLTDADRNRLAESNGKFAKYISKIMDVYFDYHHDAYNIKGLSKSRLNQEMPGCYYYGVVC >itb01g28840.t1 pep chromosome:ASM357664v1:1:33175445:33178570:1 gene:itb01g28840 transcript:itb01g28840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNERKKIIIDTDPGVDDAMAIFVALQSPDVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITNGTKLRIADFVHGTDGLGNQNFPPPEGKAIEQNATEFLVQQANLYPGEVTVVALGPLTNIALAIQRDPAFVKNIGQIAVLGGAFAVNGNVNPASEANIFGDPDAADIVFTSGADILAVGINVTHQVVLTDADRNRLAESNGKFAKYISKIMDVYFDYHHDAYNIKGVYLHDPTTLLVAIDPSLITYTEGAVRVQTTGITRGLTLFYNKQKRFAEVTEWSDKPSVKVAVTVDAPAVVKLVMERLMNS >itb10g14340.t1 pep chromosome:ASM357664v1:10:20697734:20702113:1 gene:itb10g14340 transcript:itb10g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCRLGFVLLLYLASVLGIGAFKFHRSHHTERIEGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDQRCLNHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLIASNWPYWNRTEGADHFFIVPHDFGACFHYQEEKAIERGILRLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQSHLIPPETPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVFVAEEDVPKLDTILTSIRPEEILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDRSVYLEPGEKVLNWTAGPVGDLKPW >itb07g14100.t4 pep chromosome:ASM357664v1:7:16544389:16547456:1 gene:itb07g14100 transcript:itb07g14100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEITILKEIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLSSMIDPSKDPRKSGASLILPNDKSKSVSETGPSKDVKSSNRDLSKNQEKKIQRKAKQAAQKCVGKDATEEAELDTKASSPDNSRHDGKRDQGSTDRERDTKFQKDASIKTDGASDGIQGSQSHKRGNRSTRQKLLAEAELKCKLVDFGNACWTHKQFTSDIQTRQYRCPEVLLGSKYSTPVDLWSFGCICFELATGDVLFDPHSGDNYNRDEDHLALMMEVLGTMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPINKVLMEKYEFSEQDANDMAEFLVPLLDFVPDKRPTAAQCLNHPWITGGPRHLVPSRGYLLHAMENGNVEKVEKDGRDAVEVRMGNISIDGAPKPKASQSTVNRPKQTL >itb07g14100.t2 pep chromosome:ASM357664v1:7:16544883:16547936:1 gene:itb07g14100 transcript:itb07g14100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEITILKEIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLSSMIDPSKDPRKSGASLILPNDKSKSVSETGPSKDVKSSNRDLSKNQEKKIQRKAKQAAQKCVGKDATEEAELDTKASSPDNSRHDGKRDQGSTDRERDTKFQKDASIKTDGASDGIQGSQSHKRGNRSTRQKLLAEAELKCKLVDFGNACWTHKQFTSDIQTRQYRCPEVLLGSKYSTPVDLWSFGCICFELATGDVLFDPHSGDNYNRDEDHLALMMEVLGTMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPINKVLMEKYEFSEQDANDMAEFLVPLLDFVPDKRPTAAQCLNHPWITGGPRHLVPSRGYLLHAMENGNVEKVEKDGRDAVEVRMGNISIDGAPKPKASQSTVNRPKQTL >itb07g14100.t1 pep chromosome:ASM357664v1:7:16544371:16547936:1 gene:itb07g14100 transcript:itb07g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDRNGGDLSETSDYTSEDEGTEDYRRGGYHAVRVGDAFKHGRYVVQSKLGWGHFSTVWLAWDTQKSRYVALKVQKSDQHYTEAAMDEITILKEIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLSSMIDPSKDPRKSGASLILPNDKSKSVSETGPSKDVKSSNRDLSKNQEKKIQRKAKQAAQKCVGKDATEEAELDTKASSPDNSRHDGKRDQGSTDRERDTKFQKDASIKTDGASDGIQGSQSHKRGNRSTRQKLLAEAELKCKLVDFGNACWTHKQFTSDIQTRQYRCPEVLLGSKYSTPVDLWSFGCICFELATGDVLFDPHSGDNYNRDEDHLALMMEVLGTMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPINKVLMEKYEFSEQDANDMAEFLVPLLDFVPDKRPTAAQCLNHPWITGGPRHLVPSRGYLLHAMENGNVEKVEKDGRDAVEVRMGNISIDGAPKPKASQSTVNRPKQTL >itb07g14100.t3 pep chromosome:ASM357664v1:7:16544371:16547456:1 gene:itb07g14100 transcript:itb07g14100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDRNGGDLSETSDYTSEDEGTEDYRRGGYHAVRVGDAFKHGRYVVQSKLGWGHFSTVWLAWDTQKSRYVALKVQKSDQHYTEAAMDEITILKEIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLSSMIDPSKDPRKSGASLILPNDKSKSVSETGPSKDVKSSNRDLSKNQEKKIQRKAKQAAQKCVGKDATEEAELDTKASSPDNSRHDGKRDQGSTDRERDTKFQKDASIKTDGASDGIQGSQSHKRGNRSTRQKLLAEAELKCKLVDFGNACWTHKQFTSDIQTRQYRCPEVLLGSKYSTPVDLWSFGCICFELATGDVLFDPHSGDNYNRDEDHLALMMEVLGTMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPINKVLMEKYEFSEQDANDMAEFLVPLLDFVPDKRPTAAQCLNHPWITGGPRHLVPSRGYLLHAMENGNVEKVEKDGRDAVEVRMGNISIDGAPKPKASQSTVNRPKQTL >itb15g00690.t1 pep chromosome:ASM357664v1:15:400639:402993:-1 gene:itb15g00690 transcript:itb15g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVPPWLEPLLNTAFFAVCRTHGDGARSECNMFCLDCGGAAAAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEIQRVLDITGVQTYVINSARVLFLNERPQPKSSGKAVSHICEICSRSLLDPFQFCSLGCKLVGIKRNGNASFVLDAKNEFGMERGEGISSRRASKEEDELREASQHDIYPATPPPPPTNGRRRKGIPRRAPFLS >itb06g18250.t1 pep chromosome:ASM357664v1:6:21955621:21970385:-1 gene:itb06g18250 transcript:itb06g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MAEEEGEEKEKAEQTQTQQEQQEDEDIEKASSASDSFIDDDGDDESEAAAREEEDLLPEEPLTEQEIEELIAELLEVESKAAEAQEALEEESLAKVEGDVRAELAQTLSADDLESAVAEEMRNFKQEWESQLDELEAESAQLMEQLDGAGIELPSLYKWIESQAPNSCSTEAWKRRTQWVGSQVTSDFSEAVVDAEKYLDIHRPIRRKHGKILEEGASGFLGRKLAENDGSEGLDTSVDWTSFNKMCSDKSSLDNVSFGSKQWASVYLASTPQQAAELGLKFPGVDEVEEIDDIEDEPVGDFVVDDLSEEQKRKFRKVKEEDDVKIDKKLQRHLKQRHRNKRKLETTDQVSECYENGSSYQDLENQACGSSVFEVDKEKCNGDSSIAEARGSKRQLVTDELDAENRKRQVITIDSDAEDLTADRSSPPCGVSDMGDKCNSEGYKTDILHSNSLPVRNDNENFRCTACDEVANEVHRHPLLDVIVCMDCKTLMVAKMKDVDCSECYCRWCGRSSDLLSCRLCKTLFCSLCIQRNLGEEFLSGIKASGWQCCCCSPSILKNLTLALEKAIESQGLAADDSSSDSDTDNSDAESNIHAGTKRKRKKKIRRILDDTELGEETKRKIAIEKERQERLKSLEAQFSSKARMMSFGGSSKKSYENGSVEMLGDAKTGYVVNVVRDEGEEAVRIPPSMSAKLKSHQVAGIRFMWENIIQSIKNVKSGDKGLGCILAHTMGLGKTFQVIAFLYAAMRCVDLGLRTALIVTPVSVLHNWRIEFMKWRPTELKPLRVFMLEDVPRERRAELLQKWRSKGGVFLIGYTAFRNLSLGKHIKDRQIARDICQSLQDGPDILVCDEAHIIKNTRADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTAEDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKIVFVISVKLSPLQRQLYKRFLDVHGFTKDKVTGEKIRKRSFFAGYQALAQIWNHPGILPLMKENRGTAKREDAVENFIVEDCSSDENADNNVIPSEKPNNNSELPLRKDANGFLHGDWWSDLLKENSYKEVDYSGKMVLLLEILTMCANFGDKVLVFSQNLSTLDLIELYLSKLPRPGKKGKLWKQGKDWFRLDGRTESSLRQKIVERFNEPLNRRVKCTLISTKAGSLGINLHAANRVIIVDGSWNPTYDLQAIYRVWRYGQTKPVYAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDEGADVIPDLGELNTTDHVGSFLRQKLPLPNGGVSSDKFMQTLIDRHHPSWIAHYHEHETLLQENEEEKLSKEEQEMAWEVYRRSLEWEEVQRVSPDEPTFERQQPSQNGSAIDRKPAISNKPPPVRENRLTIKLAQIVETARNHAKVRKCTNLSHMLTLRSQGVKTGCTTVCGECAQEISWDKLRP >itb06g18250.t2 pep chromosome:ASM357664v1:6:21959096:21970384:-1 gene:itb06g18250 transcript:itb06g18250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MAEEEGEEKEKAEQTQTQQEQQEDEDIEKASSASDSFIDDDGDDESEAAAREEEDLLPEEPLTEQEIEELIAELLEVESKAAEAQEALEEESLAKVEGDVRAELAQTLSADDLESAVAEEMRNFKQEWESQLDELEAESAQLMEQLDGAGIELPSLYKWIESQAPNSCSTEAWKRRTQWVGSQVTSDFSEAVVDAEKYLDIHRPIRRKHGKILEEGASGFLGRKLAENDGSEGLDTSVDWTSFNKMCSDKSSLDNVSFGSKQWASVYLASTPQQAAELGLKFPGVDEVEEIDDIEDEPVGDFVVDDLSEEQKRKFRKVKEEDDVKIDKKLQRHLKQRHRNKRKLETTDQVSECYENGSSYQDLENQACGSSVFEVDKEKCNGDSSIAEARGSKRQLVTDELDAENRKRQVITIDSDAEDLTADRSSPPCGVSDMGDKCNSEGYKTDILHSNSLPVRNDNENFRCTACDEVANEVHRHPLLDVIVCMDCKTLMVAKMKDVDCSECYCRWCGRSSDLLSCRLCKTLFCSLCIQRNLGEEFLSGIKASGWQCCCCSPSILKNLTLALEKAIESQGLAADDSSSDSDTDNSDAESNIHAGTKRKRKKKIRRILDDTELGEETKRKIAIEKERQERLKSLEAQFSSKARMMSFGGSSKKSYENGSVEMLGDAKTGYVVNVVRDEGEEAVRIPPSMSAKLKSHQVAGIRFMWENIIQSIKNVKSGDKGLGCILAHTMGLGKTFQVIAFLYAAMRCVDLGLRTALIVTPVSVLHNWRIEFMKWRPTELKPLRVFMLEDVPRERRAELLQKWRSKGGVFLIGYTAFRNLSLGKHIKDRQIARDICQSLQDGPDILVCDEAHIIKNTRADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTAEDVKIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKIVFVISVKLSPLQRQLYKRFLDVHGFTKDKVTGEKIRKRSFFAGYQALAQIWNHPGILPLMKENRGTAKREDAVENFIVEDCSSDENADNNVIPSGAFPFCIKEAK >itb12g25570.t1 pep chromosome:ASM357664v1:12:26780969:26781870:-1 gene:itb12g25570 transcript:itb12g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNNCPSHESGCVPRFLGRFSFEPDHENPLFGPSSSTLDKLGATKWYKTVHEGQGWRLVTSMWLHAGIIHLVANMPTLLLIGICLEKQRGFVCTAVIYLLSGFGGNILSSLFIRGSISVRSSGAVFGFLGALLSELITNWSICPNKVAVLVSFVVLLAGNLGIGILPRIDNFGNIGGFLTGFLLGFILLPCPRFGWTGSSTGFVSKYKAHQYALRFVSLTLLIAG >itb12g25570.t2 pep chromosome:ASM357664v1:12:26780969:26781993:-1 gene:itb12g25570 transcript:itb12g25570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNRGSSSSAVRAAVGHPNTGRRWFVPLIVAANVVMFVVTMYFNNCPSHESGCVPRFLGRFSFEPDHENPLFGPSSSTLDKLGATKWYKTVHEGQGWRLVTSMWLHAGIIHLVANMPTLLLIGICLEKQRGFVCTAVIYLLSGFGGNILSSLFIRGSISVRSSGAVFGFLGALLSELITNWSICPNKVAVLVSFVVLLAGNLGIGILPRIDNFGNIGGFLTGFLLGFILLPCPRFGWTGSSTGFVSKYKAHQYALRFVSLTLLIAG >itb03g25770.t2 pep chromosome:ASM357664v1:3:24981429:24982713:1 gene:itb03g25770 transcript:itb03g25770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGETVAGGNVLSGSDDVEMSGGFDNWGIPGSMDQGVWATEDDYNAWNPGSSVETPSNSGLDGRLSQNHSGGEPPNKRSRNSQGGDAHVIVPTRSKAIGKMFFKTKLCCKFRAGVCPYITNCNFAHGIEELRKPPPNWQEIVAAHESELGGGVVVEPPREEHQIPTMSSPDLRSETQRSYKGRHCKKFYTEEGCPYGDSCAFLHDEQSRARESVAISVTPTAGPGFGNSATAANQKPSNWKTRICNKWETTGYCPFGSKCHFAHGAEGKAKSFLFWHNSSFQLLS >itb03g25770.t1 pep chromosome:ASM357664v1:3:24981421:24985367:1 gene:itb03g25770 transcript:itb03g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGETVAGGNVLSGSDDVEMSGGFDNWGIPGSMDQGVWATEDDYNAWNPGSSVETPSNSGLDGRLSQNHSGGEPPNKRSRNSQGGDAHVIVPTRSKAIGKMFFKTKLCCKFRAGVCPYITNCNFAHGIEELRKPPPNWQEIVAAHESELGGGVVVEPPREEHQIPTMSSPDLRSETQRSYKGRHCKKFYTEEGCPYGDSCAFLHDEQSRARESVAISVTPTAGPGFGNSATAANQKPSNWKTRICNKWETTGYCPFGSKCHFAHGAEELHKFGGGLAEGEGKDSPSAPSNINLGGVTENTAAVSAISAPHGDVYHLGLGVPIQRSSCSISQRTGERPIQKWKGPDKISKIYGDWIDDIE >itb05g02880.t1 pep chromosome:ASM357664v1:5:2328050:2329246:1 gene:itb05g02880 transcript:itb05g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLSLRFFVFSLITVLSLCFQSHRASAAAATTRTAIGFTYTPSPGLPPPEQVANYLSSLDVAAVRLVNPTPAAVRAFSYTNISLLLSVPNYLVPSFARNRSSATLWLYDHVLPFHPRSRITLISVGVDVISSASPDVSDPSTYLITALRNLRLALRDLGIHWISVSTTFSFINVVAKTFPPSSGEFQEPVNSIIMRPLLQFLEDTNSSFLVNLNPYNVYKLHAEITVGFALFREGAFNFRDDVITGVRYHNLFDMMVDSVITALTVSGHEDIPIVVTETGWPCSDGGSGSNQQAGATPDASELYAEMYLKGLVSHLKSGLGTPLRREGVAQTYIYQLFDEMTEKGNGKGQQWGVMYPNLTLKYQIDFSSGSQSINHHKISRILKISIFSLLLLMLM >itb03g18670.t1 pep chromosome:ASM357664v1:3:16944347:16947994:1 gene:itb03g18670 transcript:itb03g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKKVKRKNKGGNKGEGSSSSNLIPSPPAKVWQPGVDKLEEGEELQCDPSAYNSLHAFHIGWPCLSFDILRDSLGLVRTEFPHSVYCVAGTQAEKSSWNSIGVFKITNISGKRRELVPKTNNDEDTDMESDSSDSDDEEDEVGGSGAPVLQIRKVFHEGCVNRIRTMTQNPHICASWSGGGHVQIWDFSSHLNALAESQGDVSHGASAVSNQSPLVKFGGHKDEGYAIDWSPLVPGRLVSGDCKNAIHLWEPASETTWNVDSKPFVGHIASVEDLQWSPTEPSVFASCSVDKNIAIWDIRIGKSPAASIKAHNADVNVISWNRLASCMLASGSDDGTFSIRDLRLLKEGDAVVAHFEYHKHPITSIEWSPHEASTLAVTSSDNQLTIWDLSLERDEEEEAEFKAKTKEQVNAPTDLPPQLLFVHQGQKDLKELHWNSQIPGMIISTAADGFNILMPSNMENMLPPANEA >itb05g12670.t1 pep chromosome:ASM357664v1:5:19355895:19357396:-1 gene:itb05g12670 transcript:itb05g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHQSNVTMEAREVGTRLIDWRGIIWPVGPRRIPDPPTIRTTRRSYDPNTALTTWYPRDLFSFGFLPSLHLFFRFRVPVFFHKMVLPNDVDLLNPPVELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >itb13g18110.t1 pep chromosome:ASM357664v1:13:25132731:25133831:1 gene:itb13g18110 transcript:itb13g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNLGKKLVPAKKAWKSFSATFQSKLQKLKLRKAIKRAKKRCVLAFNYIRPLLSRKFYSLMIRRHSSSSCSSSKRPLQRRHHNTLNFPAIYVDELFPEPLISAPPPKRHGEEQPKVGPSTVGESSSSNGGGGGGWRVPSLPPHFRGVDERAEDFISKFRQDMKLEREQSILDFQEMLARGT >itb05g26910.t1 pep chromosome:ASM357664v1:5:30552474:30553898:1 gene:itb05g26910 transcript:itb05g26910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAAPELKQSPAVGEANGSGGSKEIRFRGVRKRPWGKFAAEIRDPWKKTRVWLGTFNSAEAAARAYDAAARNLRGPKAKTNFPLPAPSHLPPYHNLQFNQRPNANDSFVDQQMYMQEHPILSQRPTSSGMSSTVESFSGPRPPRQQTAPPPPSRRHPVVPDDCHSDCDSSSSVVDDMDCENIASSSFRKPLPFDLNLPPAGEYNADAEAYELQCCTALCL >itb09g30890.t1 pep chromosome:ASM357664v1:9:31448068:31449993:-1 gene:itb09g30890 transcript:itb09g30890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKQYCFSLVFMTFLYVTCTLAANAQYNVESYGAKPDGKTDSTKAFMAAWTAACASTSPATINVPSGRFLLGSAITFGGQTCKSKAIRFEIDGTIVAPSDYNVIGKADNWIKFVRVSGVSIVGGTLDAKGAALWSCKSSSKSCPDGAGTLAFYNSNNVVIDGLSSQNSQKFHIRIESCHNAKLQGVKVSAAGNSPNTDGIHVQSSTGVTILNSHIGTGDDCISIGPGNSNLWIENIACGPGHGISIGSLGWKEQEAGVQNVTVKTATFTGTQNGLRIKTWARPSNGFVKNVVFQHAVMSNVKHPIIIDQNYCPNNQNCPHQGSGVKISNIKYKDIHGTSATQVAMTFECSKTQPCRGITLDDVNLTYKNHPAQASCSNAGGTSSGSVTPASCL >itb12g06070.t1 pep chromosome:ASM357664v1:12:4522649:4527604:-1 gene:itb12g06070 transcript:itb12g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 1 [Source:Projected from Arabidopsis thaliana (AT5G11390) UniProtKB/Swiss-Prot;Acc:Q8L7E5] MDVNSGKDERCSSELVEESNNVDSLDVIVSSAETMQDLGSMGEVIAKIEVDLACSSEKLANLGVLKLHVETRENEFETFAAEKEQCSNDSAQKAMEFELLSVLLDSEVRELSVLLSTLQIEIGCSRQIIPSCEDAGELYGVIEEKLNDAESSLKQSQEQILELKVQSSNLQRILLTSMGNVNWEDDDKALESLENAGPLTPNTKIKMQTAEHQRQVLQMLEKSLANELDLENKLSESKQREEELNLTFQQQLFCLEIELEDACGRLFAAENATEVLLGVSKELRGKLQRTHFNLKSALQREEDLKSKLKDSNELVLAKDSLLQKAENTCEELRTKVNSVDKQLKDSDLLQSATISAQKNLEMKIHEMEARINNLIEKSSIAERRAETAEAECKSLRDVNTELNKELSLLRNSSSVTSERIYLLERQLKESDLHLQHAVASAEASQEKQTMLNFTIKDMENLIEGLKSKVSKAESLTESAEEKCIILSEANAGLNDELNFLKSRMEFMEASLHRAEETKKTTARDISLRTKLITDLIMQLALERERLHKQISSLTKEKKALRKQFEQTLMKQLEQKEKVPDAVLGGDDAENTGESMLTEHKSCSANSPKESTKEATEVPLSDNMQDESETCQEIFEDEPKTEHTVSTSKLDSTRDIDARQLKFKYFLTAALLIMIPALLAVLYQHMNSSLG >itb12g06070.t2 pep chromosome:ASM357664v1:12:4522650:4527592:-1 gene:itb12g06070 transcript:itb12g06070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 1 [Source:Projected from Arabidopsis thaliana (AT5G11390) UniProtKB/Swiss-Prot;Acc:Q8L7E5] MDVNSGKDERCSSELVEESNNVDSLDVIVSSAETMQDLGSMGEVIAKIEVDLACSSEKLANLGVLKLHVETRENEFETFAAEKEQCSNDSAQKAMEFELLSVLLDSEVRELSVLLSTLQIEIGCSRQIIPSCEDAGELYGVIEEKLNDAESSLKQSQEQILELKVQSSNLQRILLTSMGNVNWEDDDKALESLENAGPLTPNTKIKMQTAEHQRQVLQMLEKSLANELDLENKLSESKQREEELNLTFQQQLFCLEIELEDACGRLFAAENATEVLLGVSKELRGKLQRTHFNLKSALQREEDLKSKLKDSNELVLAKDSLLQKAENTCEELRTKVNSVDKQLKDSDLLQSATISAQKNLEMKIHEMEARINNLIEKSSIAERRAETAEAECKSLRDVNTELNKELSLLRNSSSVTSERIYLLERQLKESDLHLQHAVASAEASQEKQTMLNFTIKDMENLIEGLKSKVSKAESLTESAEEKCIILSEANAGLNDELNFLKSRMEFMEASLHRAEETKKTTARDISLRTKLITDLIMQLALERERLHKQISSLTKEKKALRKQFEQTLMKQLEQKEKVPDAVLGGDDAENTGESMLTEHKSCSANSPKESTKEATEVPLSDNMQDESETCQEIFEDEPKTEHTVSTSKLDSTRDIDARQLKFKYFLTAALLIMIPALLAVLYQHMNSSLG >itb12g06070.t3 pep chromosome:ASM357664v1:12:4522679:4525663:-1 gene:itb12g06070 transcript:itb12g06070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 1 [Source:Projected from Arabidopsis thaliana (AT5G11390) UniProtKB/Swiss-Prot;Acc:Q8L7E5] MTLWLLLHAKRALQSKFSIKYFLQYNQTCENFINMAGEDDDKALESLENAGPLTPNTKIKMQTAEHQRQVLQMLEKSLANELDLENKLSESKQREEELNLTFQQQLFCLEIELEDACGRLFAAENATEVLLGVSKELRGKLQRTHFNLKSALQREEDLKSKLKDSNELVLAKDSLLQKAENTCEELRTKVNSVDKQLKDSDLLQSATISAQKNLEMKIHEMEARINNLIEKSSIAERRAETAEAECKSLRDVNTELNKELSLLRNSSSVTSERIYLLERQLKESDLHLQHAVASAEASQEKQTMLNFTIKDMENLIEGLKSKVSKAESLTESAEEKCIILSEANAGLNDELNFLKSRMEFMEASLHRAEETKKTTARDISLRTKLITDLIMQLALERERLHKQISSLTKEKKALRKQFEQTLMKQLEQKEKVPDAVLGGDDAENTGESMLTEHKSCSANSPKESTKEATEVPLSDNMQDESETCQEIFEDEPKTEHTVSTSKLDSTRDIDARQLKFKYFLTAALLIMIPALLAVLYQHMNSSLG >itb03g03150.t1 pep chromosome:ASM357664v1:3:1793165:1794215:-1 gene:itb03g03150 transcript:itb03g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIKSIYVLCVSLLAVTVCYKVQFTAGRQLKAFKANDHGKEETLAKVLSVEDSAGVESKTLREALAVGHTVEGSFGDLKDTVPGHSPGIGHSFQTKNNIVFEGRSKDRKVVIPGHSPGIGHALKGSSNDLKAIEPGHSPGIGHALKGSSNDLKAIEPGHSPGIGHALKGSSNDLTAIEPGHSPGIGHAIEESLEATMPDHSPGIGHSFQNKNTFQRSFGDLKDTTPGHSPGIGHSFQNKNTITHTFQRSFDDLKDTTPGHSPGIGHSSQNKNTGPNE >itb09g30170.t1 pep chromosome:ASM357664v1:9:30813308:30815638:1 gene:itb09g30170 transcript:itb09g30170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKPYYPPPPECYRGEDSPMPIIGVYIAAASLLCSIAMFLNSCFTFINSFPNFNVRFSGNFFPLNATWLTLLAVATKLATDLTTPKLSYLDNQAKIMNTVFLTVAMSNFFTHLGSMNNADILTNLTALSILVITVIVDLCLQLSFRVFGSLSLVILFQIALLFCTWITIVCTGLAVPAIKKRAESKYQKLAASDERQMEAGQQHYSVEELRLSITKYWVMAASGSPQLLMKSESFSFAGVVNFDSNRVPSLLSGEPPNCWTLPVVTLTSIAIAIPNIASRHVDWLVSSANEGLRYASLIDVLDRKCGLKSIKNAADVVWVGVELHRKWLDMDLKRKTGEISSVKDIIQDLNDVSERIVMEFSSKENIMIVENPLYWPANVLAANSMYRITRTILLYYEDGECQAEEFLLYYEDGECQAEELFRKLICMIANILAACLTNLPHMIYTKCICLTNLPHMIYTKCISSAIEERLESVRDAAIIFGETEDILKLFGERKLSSTGPSQPLCIDEWRGWIEQQATTISSSATCNGASYVESNEHVVLQMHA >itb08g01440.t1 pep chromosome:ASM357664v1:8:1064017:1069278:-1 gene:itb08g01440 transcript:itb08g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVQFHEHIVTELLEDENGGLVVLSAGLALHKLISSLLLLHHSSQGSLLILSASPSQRHAIVRNLEIALQHESSVPSTSEVPNLPSEITSDLPAHQRLSLYTSGGAFFITARILIVDLLTHRLPTTAVAGILLLNAHSLSDTSTEAFIVRIIRSTNRSLYVRAFSDRPHAMVSGFAKAERTLKCLWLRKLHLWPRFQVYVSQDLERDPPEVVDIRVPMSPYMIGIQKAVLEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEILRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDSLRASESFRSVWIFAESSYKIFEYAKKRVYHFGRSDSGQLAGQSKSSATRKRKAGDDKKDEDSSASTSSRVVLQEVLEEEPKWKVLRDILKEIQEEREKEATSGEVIAAEDNGIVLVVCKDERSCVQLENCITNCPRKVMREEWENYLLSKVELQALPKQKSKKKPKQQKQPKGFGVLDGVVLAASGQKAEISSANKQEHDALLAVASEISKKAKKDTVIEETLPNSMDGGECDNGKGKGKKRRKKKTETSKSDCDSATVDISHSVGSSTNEEQMNGCCPALAGSSADNAVNDASLNEALLQKDEKTFGAESSMKTKQLPPMHFYALESNQHILDILKPSVIIVYHPEIAFVREIEIYKAENPSIKVKVYFLFYEDSTEVQKFEASVRRENGAFESLIRQKSLMMIPVDQDGHCAGLDSSVDTQSILSQNLMTRKAGGRKEAQKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFGSFASGRLFHQVEMMSRYYRIPVLLIEFSQDKSFSFQSASEIGDDVTPNSIISKLSLLALHFPRLRIVWSRSLHATAEIFASLKANQDEPDEVKAIRVGVPSEEGVVENDVRAENYNTSAVEFLRRLPGVTDANYRTIMDGCKSLADLALLPVERLAELMGGQKAAKTLRDFLDAKYPTMV >itb08g01440.t2 pep chromosome:ASM357664v1:8:1064017:1069278:-1 gene:itb08g01440 transcript:itb08g01440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MVQFHEHIVTELLEDENGGLVVLSAGLALHKLISSLLLLHHSSQGSLLILSASPSQRHAIVRNLEIALQHESSVPSTSEVPNLPSEITSDLPAHQRLSLYTSGGAFFITARILIVDLLTHRLPTTAVAGILLLNAHSLSDTSTEAFIVRIIRSTNRSLYVRAFSDRPHAMVSGFAKAERTLKCLWLRKLHLWPRFQVYVSQDLERDPPEVVDIRVPMSPYMIGIQKAVLEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEILRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDSLRASESFRSVWIFAESSYKIFEYAKKRVYHFGRSDSGQLAGQSKSSATRKRKAGDDKKDEDSSASTSSRVVLQEVLEEEPKWKVLRDILKEIQEEREKEATSGEVIAAEDNGIVLVVCKDERSCVQLENCITNCPRKVMREEWENYLLSKVELQALPKQKSKKKPKQQKQPKGFGVLDGVVLAASGQKAEISSANKQEHDALLAVASEISKKAKKDTVIEETLPNSMDGGECDNGKGKGKKRRKKKTETSKSDCDSATVDISHSVGSSTNEEQMNGCCPALAGSSADNAVNDASLNEALLQKDEKTFGAESSMKTKQLPPMHFYALESNQHILDILKPSVIIVYHPEIAFVREIEIYKAENPSIKVKVYFLFYEDSTEVQKFEASVRRENGAFESLIRQKSLMMIPVDQDGHCAGLDSSVDTQSILSQNLMTRKAGGRKEAQKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFGSFASGRLFHQVEMMSRYYRIPVLLIEFSQDKSFSFQVCHFAKHFEGKVAQSYLTFGFAFSLLVKLVMMLLQTASYPSYLCLLSISPAFVLSGHAVCTLLLKYLLL >itb02g25680.t2 pep chromosome:ASM357664v1:2:26461170:26467743:1 gene:itb02g25680 transcript:itb02g25680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINDSRRRSGSFRINSSSLWTNATDAFAKSSRQEDDEAALKWAALQSVPTFDRLKKGLMTGLRGETFEVDINNIGYEEKKKILERLIKVAERDNETFLLKLKDRMERVAIDIPKVEVRFEHLNVGAEAYVGKRALPSVTNFCLNILEGLLSYLYLPTSKKHNSILRDVSGIIKPGRMTLLLGPPSSGKTSLLLALAGQLDPSLECSGRVSYNGHEMNEFVPMRTAAYISQHDLHIPEMTARETLAFSARCQGVGSRYEMLAELSRREKAANIRPDPDVDIFMKAAATDGQKANVLGLEGCEDTIVGNELLRGMSGGERKRLTAGMFFFFFSFFGGGEMLVGPANVLLMDEISTGLDSSTTFQILKSIKHYVHILHGTAVIALLQPAPECYDLFDDIILISEGQIVYQGPCNCVLEFFECLGFRCPERKGVADFLQEVTSRKDQMQYWIHKDKPYRFVTINEFSEAFQSFHIGRNLGDELATPFQKSRSHPAALTTKTYGVSKMELLKSCFSREVLLMKRNCVLHIFEIAWVVLMALITMTLFIRTNMERNSPIGAQIYMSSLFFTLSVFMFSALQELAPTIFRLPIFYKQRDLLFYPAWAYAIPTWILRIPMTFIEVSVWVFSTYYVIGYDPNVGRSAAVVGRSMTNAFVVGSFIMLVLFALGGFILSRDDVKKWWIWGYWISPLMYAQNAIAVNEFLGKSWNTVLPFSSEPFGVLVLKYRGLFPEAYWYWLGVGALIGFTIFSFFVYALALAKLRPLAQSRANISAESHSNEHDVSNGGSIQVSDNSVGQKVSDGISNRKHGMILPFEPHSIAFNEIKYSVDMPQEMKIQGVEDNRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFTKKQKTFARISGYCEQNDIHSPHLTVYESLIFSAWLRLPSEVTSETRKLFIKEVMELVELTSLREALVGLPGVNGLSTGQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFEAFDELLLMTLGGQEIYVGPLGHHSSHLIAYFESIEGISKIRNGYNPATWVLENTTREKEEALGINFNEMYRKSQLYQSRAQDLFNAMGSMYAAVLFIGTLNAFTVEQVVVVERTVFYRERAAGMYSTMPFAFAMIVIELPYILMQAVVYGAIVYSMIGLEWKAEKFLWYLFIMFLTFLYSTFLGMMAVAMTPNVDIASIVATASFGIWNLFSGFLIPRPVS >itb02g25680.t1 pep chromosome:ASM357664v1:2:26461091:26468261:1 gene:itb02g25680 transcript:itb02g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINDSRRRSGSFRINSSSLWTNATDAFAKSSRQEDDEAALKWAALQSVPTFDRLKKGLMTGLRGETFEVDINNIGYEEKKKILERLIKVAERDNETFLLKLKDRMERVAIDIPKVEVRFEHLNVGAEAYVGKRALPSVTNFCLNILEGLLSYLYLPTSKKHNSILRDVSGIIKPGRMTLLLGPPSSGKTSLLLALAGQLDPSLECSGRVSYNGHEMNEFVPMRTAAYISQHDLHIPEMTARETLAFSARCQGVGSRYEMLAELSRREKAANIRPDPDVDIFMKAAATDGQKANVMVDYIIKVLGLEGCEDTIVGNELLRGMSGGERKRLTAGEMLVGPANVLLMDEISTGLDSSTTFQILKSIKHYVHILHGTAVIALLQPAPECYDLFDDIILISEGQIVYQGPCNCVLEFFECLGFRCPERKGVADFLQEVTSRKDQMQYWIHKDKPYRFVTINEFSEAFQSFHIGRNLGDELATPFQKSRSHPAALTTKTYGVSKMELLKSCFSREVLLMKRNCVLHIFEIAWVVLMALITMTLFIRTNMERNSPIGAQIYMSSLFFTLSVFMFSALQELAPTIFRLPIFYKQRDLLFYPAWAYAIPTWILRIPMTFIEVSVWVFSTYYVIGYDPNVGRLLKMWLLIVIFRQLASLLFRSAAVVGRSMTNAFVVGSFIMLVLFALGGFILSRDDVKKWWIWGYWISPLMYAQNAIAVNEFLGKSWNTVLPFSSEPFGVLVLKYRGLFPEAYWYWLGVGALIGFTIFSFFVYALALAKLRPLAQSRANISAESHSNEHDVSNGGSIQVSDNSVGQKVSDGISNRKHGMILPFEPHSIAFNEIKYSVDMPQEMKIQGVEDNRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFTKKQKTFARISGYCEQNDIHSPHLTVYESLIFSAWLRLPSEVTSETRKLFIKEVMELVELTSLREALVGLPGVNGLSTGQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFEAFDELLLMTLGGQEIYVGPLGHHSSHLIAYFESIEGISKIRNGYNPATWVLENTTREKEEALGINFNEMYRKSQLYQSRAQDLFNAMGSMYAAVLFIGTLNAFTVEQVVVVERTVFYRERAAGMYSTMPFAFAMIVIELPYILMQAVVYGAIVYSMIGLEWKAEKFLWYLFIMFLTFLYSTFLGMMAVAMTPNVDIASIVATASFGIWNLFSGFLIPRPHAPVWWRWNFLLSPVAWTLYGLVASQFGDVQDVLETSDTVETFLRTYFGYRRDFLGETAAILSAFVLFFALMFAFCMKIFHFQKR >itb02g25680.t4 pep chromosome:ASM357664v1:2:26461170:26468083:1 gene:itb02g25680 transcript:itb02g25680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEINDSRRRSGSFRINSSSLWTNATDAFAKSSRQEDDEAALKWAALQSVPTFDRLKKGLMTGLRGETFEVDINNIGYEEKKKILERLIKVAERDNETFLLKLKDRMERVAIDIPKVEVRFEHLNVGAEAYVGKRALPSVTNFCLNILEGLLSYLYLPTSKKHNSILRDVSGIIKPGRMTLLLGPPSSGKTSLLLALAGQLDPSLECSGRVSYNGHEMNEFVPMRTAAYISQHDLHIPEMTARETLAFSARCQGVGSRYEMLAELSRREKAANIRPDPDVDIFMKAAATDGQKANVMVDYIIKVLGLEGCEDTIVGNELLRGMSGGERKRLTAGMFFFFFSFFGGGEMLVGPANVLLMDEISTGLDSSTTFQILKSIKHYVHILHGTAVIALLQPAPECYDLFDDIILISEGQIVYQGPCNCVLEFFECLGFRCPERKGVADFLQEVTSRKDQMQYWIHKDKPYRFVTINEFSEAFQSFHIGRNLGDELATPFQKSRSHPAALTTKTYGVSKMELLKSCFSREVLLMKRNCVLHIFEIAWVVLMALITMTLFIRTNMERNSPIGAQIYMSSLFFTLSVFMFSALQELAPTIFRLPIFYKQRDLLFYPAWAYAIPTWILRIPMTFIEVSVWVFSTYYVIGYDPNVGRSAAVVGRSMTNAFVVGSFIMLVLFALGGFILSRDDVKKWWIWGYWISPLMYAQNAIAVNEFLGKSWNTVLPFSSEPFGVLVLKYRGLFPEAYWYWLGVGALIGFTIFSFFVYALALAKLRPLAQSRANISAESHSNEHDVSNGGSIQVSDNSVGQKVSDGISNRKHGMILPFEPHSIAFNEIKYSVDMPQEMKIQGVEDNRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFTKKQKTFARISGYCEQNDIHSPHLTVYESLIFSAWLRLPSEVTSETRKLFIKEVMELVELTSLREALVGLPGVNGLSTGQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFEAFDELLLMTLGGQEIYVGPLGHHSSHLIAYFESIEGISKIRNGYNPATWVLENTTREKEEALGINFNEMYRKSQLYQSRAQDLFNAMGSMYAAVLFIGTLNAFTVEQVVVVERTVFYRERAAGMYSTMPFAFAMIVIELPYILMQAVVYGAIVYSMIGLEWKAEKFLWYLFIMFLTFLYSTFLGMMAVAMTPNVDIASIVATASFGIWNLFSGFLIPRPFGDVQDVLETSDTVETFLRTYFGYRRDFLGETAAILSAFVLFFALMFAFCMKIFHFQKR >itb02g25680.t3 pep chromosome:ASM357664v1:2:26461091:26468261:1 gene:itb02g25680 transcript:itb02g25680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEINDSRRRSGSFRINSSSLWTNATDAFAKSSRQEDDEAALKWAALQSVPTFDRLKKGLMTGLRGETFEVDINNIGYEEKKKILERLIKVAERDNETFLLKLKDRMERVAIDIPKVEVRFEHLNVGAEAYVGKRALPSVTNFCLNILEGLLSYLYLPTSKKHNSILRDVSGIIKPGRMTLLLGPPSSGKTSLLLALAGQLDPSLECSGRVSYNGHEMNEFVPMRTAAYISQHDLHIPEMTARETLAFSARCQGVGSRYEMLAELSRREKAANIRPDPDVDIFMKAAATDGQKANVLGLEGCEDTIVGNELLRGMSGGERKRLTAGEMLVGPANVLLMDEISTGLDSSTTFQILKSIKHYVHILHGTAVIALLQPAPECYDLFDDIILISEGQIVYQGPCNCVLEFFECLGFRCPERKGVADFLQEVTSRKDQMQYWIHKDKPYRFVTINEFSEAFQSFHIGRNLGDELATPFQKSRSHPAALTTKTYGVSKMELLKSCFSREVLLMKRNCVLHIFEIAWVVLMALITMTLFIRTNMERNSPIGAQIYMSSLFFTLSVFMFSALQELAPTIFRLPIFYKQRDLLFYPAWAYAIPTWILRIPMTFIEVSVWVFSTYYVIGYDPNVGRLLKMWLLIVIFRQLASLLFRSAAVVGRSMTNAFVVGSFIMLVLFALGGFILSRDDVKKWWIWGYWISPLMYAQNAIAVNEFLGKSWNTVLPFSSEPFGVLVLKYRGLFPEAYWYWLGVGALIGFTIFSFFVYALALAKLRPLAQSRANISAESHSNEHDVSNGGSIQVSDNSVGQKVSDGISNRKHGMILPFEPHSIAFNEIKYSVDMPQEMKIQGVEDNRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFTKKQKTFARISGYCEQNDIHSPHLTVYESLIFSAWLRLPSEVTSETRKLFIKEVMELVELTSLREALVGLPGVNGLSTGQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFEAFDELLLMTLGGQEIYVGPLGHHSSHLIAYFESIEGISKIRNGYNPATWVLENTTREKEEALGINFNEMYRKSQLYQSRAQDLFNAMGSMYAAVLFIGTLNAFTVEQVVVVERTVFYRERAAGMYSTMPFAFAMIVIELPYILMQAVVYGAIVYSMIGLEWKAEKFLWYLFIMFLTFLYSTFLGMMAVAMTPNVDIASIVATASFGIWNLFSGFLIPRPHAPVWWRWNFLLSPVAWTLYGLVASQFGDVQDVLETSDTVETFLRTYFGYRRDFLGETAAILSAFVLFFALMFAFCMKIFHFQKR >itb08g09510.t1 pep chromosome:ASM357664v1:8:8732254:8737500:1 gene:itb08g09510 transcript:itb08g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVYSLTTPRFLHASFKRPFISAPSASLPSASRLPFIDFAGRKIAVRRRFLVLCPKATTDQPGQVQEDEVEEDSKILPYCNIDRKEKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSADEQRFLEASMAYVAGTPIMSDEEFDKLKMKLKMDGSDIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPAAVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPFILWLSFSITGFIVKDFLILKGPCPNCGTENTSFFGTILSVSSGGSSNTVKCTNCGTDMVYDSSTRLITLPEGSSA >itb04g03830.t1 pep chromosome:ASM357664v1:4:2415909:2417567:-1 gene:itb04g03830 transcript:itb04g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVESSDGDCFDPSVGEGGGVVVIGEDGFPQNPSFPLEEEEEEGNPYHHHHHNHNSSEHDAAAAMEMELQQQLNLEMDQCYDNGHHQGDPHFMQKLGHEGGSVVVNNQGLSNWEELGFSHQFHQGSTELQNNNGHHHHHQPQSFQTHPMPETPAAPDLLNMFPLPRCSPSSLLPNPSFSSTFLTSLGLFGDLPAPDNGASPSTVVCDPSLLPLNLPPQPPLLRELFHSLPQGGYGGFGASRSGSLFGEVDEREVNGAFYQTVDGRDFENGVFELTGDMNVLAKNRDGKGTKHFATERQRRVHLNDKYEALKKLVPNPSKNDRASVVHDAIGYINELKRTVAELKILVEKKRCSRERMKRHKTEGCSSMEGGDLKSVEDVDQSYSGTTLRSSWLQRKSKNTEVDVRIIDDEVTVKLVQQKRINCLLFVSKALDELQLDLHHVAGGLIGDYYSFLFNSKICEGSTVYASAIANKLIEVVDKHYTAIAPTSSY >itb06g15330.t1 pep chromosome:ASM357664v1:6:19681022:19682689:-1 gene:itb06g15330 transcript:itb06g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAGDKDHSKEQVTVCSRNGGLQKDEEEEAASHKKEEEEMIKLNKQEAMQLLKKIEKTENIIKKKENQRKKQKEEKNVDPNRPKKQASSFLLFSKEERKKIVEEKPGTNNTTVNALISLKWKSVFVALLMLLSCVRICSSFDATQLFFNHSCKEFRELTNRLSGGNLASNIPPNDVLVTSIEDIYLKKQLGEYRVAGCIVDVEIGEYRVAGCIVDVESVAD >itb06g03180.t2 pep chromosome:ASM357664v1:6:5433976:5441719:-1 gene:itb06g03180 transcript:itb06g03180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFELQADCQLVKIDIHCHVQGDVVIECITLDDELEGEEMVFRVMFNTAFIRSNILILNRDELDILWDVKDEFSKDFRAEVLFSEMNSASSMITPTFLDIDDQGGGGLPSEAFAKVREIFSNVDWLDPRTDVALDILEIRAANIIQERLENISPRPARKDKLIKSASTLTNEVIENFICQSPTDEDFETSFLELARKLKIGSSTFQDAIEGINLPRSTSKKNNSGKSGALLAELYEGVTDELDSSNIGDSFPSPKNTPMLASKLMANDDETPKSSQLENDTKPQDRQIPLHEPPQSEVTSHPPPPVLPPSRFHGSGGSTVGITALLKESTSSVTMSPRHAILASVPGLLKPLSPRKSLLSPQLPSSSQFPLGTVPSSQAAVSLITSKLASLIPPSVPPPPPPPPDGQDSNKVPESSTTDPPCAPPSSSGIDPVPAASSIPPPPQPCPPPPPPPMSGLDSGHPPPPPAPCPPPPPSVSSANAMPSAPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNNNPPVPPPPIGGTPPGGGGSIPPPPMGAKGGKLQARTGVKNQGQSKRTPLKPYHWLKITRAMQGSLWAEAQRPEEASKAPEFDMSELESLFSAAVPKAAGKSSRRAAGPKSDKVQLIDLRRAYNCEIMLTKVKIPLPDLMSSVLALDDSALDIDQVDNLIKFCPTKEEMEALKNYKGETDNLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRKSLNVVHSTADEVRNSINLKRVMQTILSLGNAMNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLSEKLPEVLDFPNDLESLEAASKLQLKFLAEEMQAVSKGLEKIQQELTASENDGPVSESFCTTLKDFLGYCESEVKSLATLYSGVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFKKAHEENCKQLEFERKKAEKEAAENDKAKLNNDAASKNELGDGKTKMSSSCMGTEQLIQTA >itb06g03180.t3 pep chromosome:ASM357664v1:6:5436441:5444470:-1 gene:itb06g03180 transcript:itb06g03180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKFFYRKPPEGLLEIADRVFVFDCCFTSDVLKDEEYDNYMGVIVHKLCQHLPDSSFMVFNFREGENQSQIEAILKGYDMTVINYPHHYENCPILTMETIHHFLRSSESWLSLGPSNVLLLHCELGGWPVLAFMLAALLIFRKQYSGEQKTLDIIYKQAPRELLQLMLPLNPLPSQLRYLRYVSRRNLGSEWPPLDRALTLDYIILRDIPNADGEGGCRPIFRIYGQDPFMAADRTPKVLFSTPKKSNAVRHYKQADCQLVKIDIHCHVQGDVVIECITLDDELEGEEMVFRVMFNTAFIRSNILILNRDELDILWDVKDEFSKDFRAEVLFSEMNSASSMITPTFLDIDDQGGGGLPSEAFAKVREIFSNVDWLDPRTDVALDILEIRAANIIQERLENISPRPARKDKLIKSASTLTNEVIENFICQSPTDEDFETSFLELARKLKIGSSTFQDAIEGINLPRSTSKKNNSGKSGALLAELYEGVTDELDSSNIGDSFPSPKNTPMLASKLMANDDETPKSSQLENDTKPQDRQIPLHEPPQSEVTSHPPPPVLPPSRFHGSGGSTVGITALLKESTSSVTMSPRHAILASVPGLLKPLSPRKSLLSPQLPSSSQFPLGTVPSSQAAVSLITSKLASLIPPSVPPPPPPPPDGQDSNKVPESSTTDPPCAPPSSSGIDPVPAASSIPPPPQPCPPPPPPPMSGLDSGHPPPPPAPCPPPPPSVSSANAMPSAPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNNNPPVPPPPIGGTPPGGGGSIPPPPMGAKGGKLQARTGVKNQGQSKRTPLKPYHWLKITRAMQGSLWAEAQRPEEASKAPEFDMSELESLFSAAVPKAAGKSSRRAAGPKSDKVQLIDLRRAYNCEIMLTKVKIPLPDLMSSVLALDDSALDIDQVDNLIKFCPTKEEMEALKNYKGETDNLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRKSLNVVHSTADEVRNSINLKRVMQTILSLGNAMNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLSEKLPEVLDFPNDLESLEAASKLQLKFLAEEMQAVSKGLEKIQQELTASENDGPVSESFCTVCMYVMCSL >itb06g03180.t1 pep chromosome:ASM357664v1:6:5433976:5444470:-1 gene:itb06g03180 transcript:itb06g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKFFYRKPPEGLLEIADRVFVFDCCFTSDVLKDEEYDNYMGVIVHKLCQHLPDSSFMVFNFREGENQSQIEAILKGYDMTVINYPHHYENCPILTMETIHHFLRSSESWLSLGPSNVLLLHCELGGWPVLAFMLAALLIFRKQYSGEQKTLDIIYKQAPRELLQLMLPLNPLPSQLRYLRYVSRRNLGSEWPPLDRALTLDYIILRDIPNADGEGGCRPIFRIYGQDPFMAADRTPKVLFSTPKKSNAVRHYKQADCQLVKIDIHCHVQGDVVIECITLDDELEGEEMVFRVMFNTAFIRSNILILNRDELDILWDVKDEFSKDFRAEVLFSEMNSASSMITPTFLDIDDQGGGGLPSEAFAKVREIFSNVDWLDPRTDVALDILEIRAANIIQERLENISPRPARKDKLIKSASTLTNEVIENFICQSPTDEDFETSFLELARKLKIGSSTFQDAIEGINLPRSTSKKNNSGKSGALLAELYEGVTDELDSSNIGDSFPSPKNTPMLASKLMANDDETPKSSQLENDTKPQDRQIPLHEPPQSEVTSHPPPPVLPPSRFHGSGGSTVGITALLKESTSSVTMSPRHAILASVPGLLKPLSPRKSLLSPQLPSSSQFPLGTVPSSQAAVSLITSKLASLIPPSVPPPPPPPPDGQDSNKVPESSTTDPPCAPPSSSGIDPVPAASSIPPPPQPCPPPPPPPMSGLDSGHPPPPPAPCPPPPPSVSSANAMPSAPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNNNPPVPPPPIGGTPPGGGGSIPPPPMGAKGGKLQARTGVKNQGQSKRTPLKPYHWLKITRAMQGSLWAEAQRPEEASKAPEFDMSELESLFSAAVPKAAGKSSRRAAGPKSDKVQLIDLRRAYNCEIMLTKVKIPLPDLMSSVLALDDSALDIDQVDNLIKFCPTKEEMEALKNYKGETDNLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRKSLNVVHSTADEVRNSINLKRVMQTILSLGNAMNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLSEKLPEVLDFPNDLESLEAASKLQLKFLAEEMQAVSKGLEKIQQELTASENDGPVSESFCTTLKDFLGYCESEVKSLATLYSGVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFKKAHEENCKQLEFERKKAEKEAAENDKAKLNNDAASKNELGDGKTKMSSSCMGTEQLIQTA >itb06g03180.t4 pep chromosome:ASM357664v1:6:5433976:5444470:-1 gene:itb06g03180 transcript:itb06g03180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVHKLCQHLPDSSFMVFNFREGENQSQIEAILKGYDMTVINYPHHYENCPILTMETIHHFLRSSESWLSLGPSNVLLLHCELGGWPVLAFMLAALLIFRKQYSGEQKTLDIIYKQAPRELLQLMLPLNPLPSQLRYLRYVSRRNLGSEWPPLDRALTLDYIILRDIPNADGEGGCRPIFRIYGQDPFMAADRTPKVLFSTPKKSNAVRHYKQADCQLVKIDIHCHVQGDVVIECITLDDELEGEEMVFRVMFNTAFIRSNILILNRDELDILWDVKDEFSKDFRAEVLFSEMNSASSMITPTFLDIDDQGGGGLPSEAFAKVREIFSNVDWLDPRTDVALDILEIRAANIIQERLENISPRPARKDKLIKSASTLTNEVIENFICQSPTDEDFETSFLELARKLKIGSSTFQDAIEGINLPRSTSKKNNSGKSGALLAELYEGVTDELDSSNIGDSFPSPKNTPMLASKLMANDDETPKSSQLENDTKPQDRQIPLHEPPQSEVTSHPPPPVLPPSRFHGSGGSTVGITALLKESTSSVTMSPRHAILASVPGLLKPLSPRKSLLSPQLPSSSQFPLGTVPSSQAAVSLITSKLASLIPPSVPPPPPPPPDGQDSNKVPESSTTDPPCAPPSSSGIDPVPAASSIPPPPQPCPPPPPPPMSGLDSGHPPPPPAPCPPPPPSVSSANAMPSAPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNTPPPPPPPGGSTNNNPPVPPPPIGGTPPGGGGSIPPPPMGAKGGKLQARTGVKNQGQSKRTPLKPYHWLKITRAMQGSLWAEAQRPEEASKAPEFDMSELESLFSAAVPKAAGKSSRRAAGPKSDKVQLIDLRRAYNCEIMLTKVKIPLPDLMSSVLALDDSALDIDQVDNLIKFCPTKEEMEALKNYKGETDNLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRKSLNVVHSTADEVRNSINLKRVMQTILSLGNAMNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKVLSEKLPEVLDFPNDLESLEAASKLQLKFLAEEMQAVSKGLEKIQQELTASENDGPVSESFCTTLKDFLGYCESEVKSLATLYSGVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFKKAHEENCKQLEFERKKAEKEAAENDKAKLNNDAASKNELGDGKTKMSSSCMGTEQLIQTA >itb01g11910.t1 pep chromosome:ASM357664v1:1:11282733:11283353:-1 gene:itb01g11910 transcript:itb01g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAACCSKSAAAPPPKSILKTPAPPAPPKEAAPPPPPPEPPKKVDLPPAANNEVAPLVDPRSGHCNGRAFHPSAHPFPPPAHMRGCWEDMEPPLSMIPRLENIDARGYRPPNEFYYGAHYYGYPPPPRDYYGHRHRHGRHYYHYGPPPPMEYDFHHHRRGGPPPPPMEYDFYQHHRGGPPQPPPPHAYYPYGGYFSDENPNGCNVM >itb09g14200.t1 pep chromosome:ASM357664v1:9:9404900:9408702:1 gene:itb09g14200 transcript:itb09g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNRVGMEGLVPKVRKRGCSSSSSASSRVHNYRFKRAIVVGKGRNGLGLGGSRSSTPVPLWKTTSLLRTAVESPAVSQAGTTSRPVSARKLAATLWEMNEVPSPRIAEEMEQRKKKKMAMMMMMKKEKIMRAGHSGSDSVSGSLPPHLCDPSHSPVSERFDRSGTGSYQKRASTTSHRPRPVDHNVGVLDSLSSASLMEMDTRSRASGVSVVGVKNRLKDISNALITSKELLKIINRIWANADPPSSSMSLVSALHTELERARLQVNQLIQEQSSDQSEINYLIKCFTEEKAAWKNKEQAAIESIANELEVERKLRRRCENLNGKLGKELSETKASFVKVVKELENEKRAREMIEQVCNDLARDIGEDRVEVEELKRDSAKVQEEIEKEREMLQIADKLREERAHMKLSEAKHQFEEKSSAVDKLRKQLESFLGKKKSKRKGNVSTEEKMAACSNKTPITSHRNTEKEDDGGEMANAVDYGEESAESDLHSIELNMENTNKNPKAAYPSAINRESKRVSVDEIKVRNSLTGQVPRRSSTILRSISDAVDWGTEAGNIQNPGDGINHGLDWERLHELDKLGKRYAYAEEIQRIKAIAGMKNHTLAVSSPSRLWDQPRSSRDPGGTPVERSGITHESTLIKSRLADMRGEERSVTRSKR >itb04g04430.t2 pep chromosome:ASM357664v1:4:2740846:2746234:-1 gene:itb04g04430 transcript:itb04g04430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKPFMAKEQTGLEGRTIDIGNLKVQVRNIIAEGGFSCVYLARDVIHGSKHYALKHIMCNDEESMELVLKEINVMKSLKGHPNIVTLCAHSIFDMGRTKEALICMEYCEKSLVSVLEGRGSGYFEEKQILTMFRDICNAVFAMHCQSPPIAHRDLKAENLLLGPDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPESPKYSSSIVDLIRDMLQSSPDARPDITQVWFRVNSLLPDGLQKSLPDRSPEMMLQSTDSHEGIPRTASKSNPVPRRTAPPPPTATDVNRNPSPSHNPGMAGAGPGGPLGAFWNTQHAKDAAPDDKTRPKYDEELTSYGSSRNDRTSPSKAVNRARDGSSKDFEINFFPNSSGQNDESHTSKKAEGVPVQGDKFNAFIAEFSSAKQSSGSNSKKSGKEDLLEAEVEKLKEQLTHTNMEKAEISSKFEKLSAICRSQRQEIQELKQALAAKTPSPNRDTLRNQSSPSNQTFSTPQVLSPSLLLFFACSSERLRFLLELIPNLVID >itb04g04430.t3 pep chromosome:ASM357664v1:4:2740846:2746308:-1 gene:itb04g04430 transcript:itb04g04430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGSCVILVVHLPITSGLRSPKKWELRKTILGNTLRLLTEPPRLTYLLALGCLLFRICYFKSAFDGESKLQILNGNYRIPESPKYSSSIVDLIRDMLQSSPDARPDITQVWFRVNSLLPDGLQKSLPDRSPEMMLQSTDSHEGIPRTASKSNPVPRRTAPPPPTATDVNRNPSPSHNPGMAGAGPGGPLGAFWNTQHAKDAAPDDKTRPKYDEELTSYGSSRNDRTSPSKAVNRARDGSSKDFEINFFPNSSGQNDESHTSKKAEGVPVQGDKFNAFIAEFSSAKQSSGSNSKKSGKEDLLEAEVEKLKEQLTHTNMEKAEISSKFEKLSAICRSQRQEIQELKQALAAKTPSPNRDTLRNQSSPSNQTFSTPQRESIEGTVWELQQGMLNQSSSSPDPTPWQAFADDGPKPPPSNTPRSMRTRNNQPPNTQVSEANSTASAWGFGTDSFTAVPSATSQMNASFSDRNNSQRFGDKRVDNKSPSQPAGWAGF >itb04g04430.t1 pep chromosome:ASM357664v1:4:2740846:2746308:-1 gene:itb04g04430 transcript:itb04g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKPFMAKEQTGLEGRTIDIGNLKVQVRNIIAEGGFSCVYLARDVIHGSKHYALKHIMCNDEESMELVLKEINVMKSLKGHPNIVTLCAHSIFDMGRTKEALICMEYCEKSLVSVLEGRGSGYFEEKQILTMFRDICNAVFAMHCQSPPIAHRDLKAENLLLGPDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPESPKYSSSIVDLIRDMLQSSPDARPDITQVWFRVNSLLPDGLQKSLPDRSPEMMLQSTDSHEGIPRTASKSNPVPRRTAPPPPTATDVNRNPSPSHNPGMAGAGPGGPLGAFWNTQHAKDAAPDDKTRPKYDEELTSYGSSRNDRTSPSKAVNRARDGSSKDFEINFFPNSSGQNDESHTSKKAEGVPVQGDKFNAFIAEFSSAKQSSGSNSKKSGKEDLLEAEVEKLKEQLTHTNMEKAEISSKFEKLSAICRSQRQEIQELKQALAAKTPSPNRDTLRNQSSPSNQTFSTPQRESIEGTVWELQQGMLNQSSSSPDPTPWQAFADDGPKPPPSNTPRSMRTRNNQPPNTQVSEANSTASAWGFGTDSFTAVPSATSQMNASFSDRNNSQRFGDKRVDNKSPSQPAGWAGF >itb09g12980.t1 pep chromosome:ASM357664v1:9:8280855:8286694:1 gene:itb09g12980 transcript:itb09g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSPFSLPKTKTDHLSSISQKHYFLHTFLPKKLAQANSKSPKRFQCSAVGNGLFTQTTPEVRRVVPDKTQGLPTVKIVYVVLEAQYQSSLTAAVRGLNKSVDFASFEVVGYLVEELRDESTYKTFCQDLEDANIFIGSLIFVEELALKVKAAVEKERERLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKKSSAGFADQMLKLVRTLPKVLKYLPSDKAQDARMYILSLQFWLGGSPDNLSNFLKMISGSYVPALKGTKVEYSDPVLFLDSGIWHPLAPCMYDDVKEYLNWYGTRRDANEKLKSPNAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERYFIDPITKKPFVNSVVSLTGFALVGGPARQDHPRAIEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIKWAELKRKSKTEKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLKEDGYNVEGLPETSEALIEDIIHDKEAQFNSPNLNVAYKMNVREYQKLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFGADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVNSIISTARQCNLDKDVDLPEEGQEISPKERDLVVGKVYSKIMEIESRLLPCGLHIIGEPPSAMEAVATLVNIAALDRPEDGISSLPGILAETVGRQIEDVYRGNDQGILRDVELLRQITEASRGAITAFVERTTNKKGQVVDVADKLTSILGFGINEPWIQYLSGTKFYRADREKLRVLFQFLGDCLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAAMQSAKVVVDRLLERQKADNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVTPVADTFGRVNRVEPVSIEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEDQNFVRKHALEQAKELNVEVREAASRIYSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMTEKRKVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSTGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIQDEEMLNRLMSKNPNSFRKLVQTFLEANGRGYWETSAENIERLRQLYSEVEDKIEGIDR >itb01g11660.t1 pep chromosome:ASM357664v1:1:10762738:10763408:-1 gene:itb01g11660 transcript:itb01g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRHLNLTFLVLLAAARILAPRGEAAITCDTVYSDLNACLNYVMFGGNVPSGCCSGMKTLVAAAKTTADRQSACSCLKSIASNANASQLSRAAGLPAKCGVNIPFKIGPNIDCSKVKFGF >itb10g02110.t1 pep chromosome:ASM357664v1:10:1713306:1718039:1 gene:itb10g02110 transcript:itb10g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLIRTPLAFLNSSESTRILSLAAPSLRRFSHLRSLAAVSLIPKPPSTQSLRHSRPPLCADAMDSHPLSASGIEDFVHVDGAGAGAGAVADSNFQPEGSEESVVADAAVEEVEPQGYMRKMLPEELSKSVVMLNCDSSAEGGACDVYLLGTAHVSSESCEEVRAAINFLKPQVVFLELCSSRIAILTPQNLKIPTMGEMVEMWKKNHNLFAILYSWFLAKVASKLEVFPGAEFRVAYEEAMKYGGKVILGDRPVQVTLRRTWARMPLWHKTKLVYSLMFQAVFLPSPEDLNRMLKEMDDVDMLTLVIQEMSKQFPTLMETLVHERDQYMASTLLRVAREHNSVLAVVGKGHLLGMQKYWQQPIEVKELLSLPAQKPAVSVKKILTTVGVAVAGAAILSGIYFSSKK >itb03g21200.t1 pep chromosome:ASM357664v1:3:18988279:18992159:1 gene:itb03g21200 transcript:itb03g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLCKKLRFLQTFLEHSQKNNINCPSWRGLETEIRDVAAEAESKIELQLYQLYNEEDAPVEPCESLHQTLQQVTGDIESLQRRIRQIESNLNRNHSVEQPTRNSAIQNIKADSSSKRSSEPNNVMVGCDDEFETIKRKLISDSENLEVISITGMGGIGKTTLAQRVYNDEAVIAYFDILEWTTVSQQHNLREMLCGLLGSNDTNQDVYYLASQLRKKLLGQRYLIVIDDIWSTQAWDDIHRCFSEDFNGSRILLTTRLKQVADYVSSAVVAGLLSSSSNKPSLNQWEDVANLDLLLNTDPEKKLSKMLSLSYNNLSPHLKACFLYFGGFPEDIVIIAKKLIKLWIAEGFLKLELNKSMEKVAYDYLQDLVDRGLVQVDKWSSFGNKIKYCKVHDVLHSFSLREAEKEKLLGVINENNNVHELGVGLAASSLDRKACRWAVSRSSAYGNSVIPPYSKLLRVLSIRYHILNYLPKEIVNLVHLRYLALKISLESIFTIDYQWRKLRCLQTIILDSPCDSFSPNNILGMPQIRHVYFSPGSLGYLDLPKLVQGNLQTLFWLGLPLRLQTEPDFKGIPNVKELGIHLMDYDPYYKMHSSEKPWGLLPHISMEGLLNLHQLENLKFERDQWFPKCDSKVLKAFPPNLKKLTLRRTNFSWEDMAIINTLPNLEVLKLRGDAFCGPEWKATGNGFCKLKYLQVTDHPTLKHWSVDADHFPILERISLHYCPRLVEFPTGFGDINTLQLIELMDCPSRLVASVKSFQEEWRDLGNDKLVLREFYTLPKESIDEADFA >itb03g21200.t2 pep chromosome:ASM357664v1:3:18988279:18992159:1 gene:itb03g21200 transcript:itb03g21200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLCKKLRFLQTFLEHSQKNNINCPSWRGLETEIRDVAAEAESKIELQLYQLYNEEDAPVEPCESLHQTLQQVTGDIESLQRRIRQIESNLNRNHSVEQPTRNSAIQNIKADSSSKRSSEPNNVMVGCDDEFETIKRKLISDSENLEVISITGMGGIGKTTLAQRVYNDEAVIAYFDILEWTTVSQQHNLREMLCGLLGSNDTNQDVYYLASQLRKKLLGQRYLIVIDDIWSTQAWDDIHRCFSEDFNGSRILLTTRLKQVADYVSSAVVAGLLSSSSNKPSLNQWEDVANLDLLLNTDPEKKLSKMLSLSYNNLSPHLKACFLYFGGFPEDIVIIAKKLIKLWIAEGFLKLELNKSMEKVAYDYLQDLVDRGLVQVDKWSSFGNKIKYCKVHDVLHSFSLREAEKEKLLGVINENNNVHELGVGLAASSLDRKACRWAVSRSSAYGNSVIPPYSKLLRVLSIRYHILNYLPKEIVNLVHLRYLALKISLESIFTIDYQWRKLRCLQTIILDSPCDSFSPNNILGMPQIRHVYFSPGSLGYLDLPKLVQGNLQTLFWLGLPLRLQTEPDFKGIPNVKELGIHLMDYDPYYKMHSSEKPWGLLPHISMEGLLNLHQLENLKFERDQWFPKCDSKVLKAFPPNLKKLTLRRTNFSWEDMAIINTLPNLEVLKLRGDAFCGPEWKATGNGFCKLKYLQVTDHPTLKHWSVDADHFPILERISLHYCPRLVEFPTGFGDINTLQLIELMDCPSRLVASVKSFQEEWRDLGNDKLVLREFYTLPKESIDEADFA >itb04g27180.t13 pep chromosome:ASM357664v1:4:31247585:31249467:1 gene:itb04g27180 transcript:itb04g27180.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELRTGNVP >itb04g27180.t2 pep chromosome:ASM357664v1:4:31246842:31249467:1 gene:itb04g27180 transcript:itb04g27180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENSIQYILPQIDNCIQYRKDAF >itb04g27180.t11 pep chromosome:ASM357664v1:4:31246561:31249467:1 gene:itb04g27180 transcript:itb04g27180.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENSIQYILPQIDNCIQYRKDAF >itb04g27180.t12 pep chromosome:ASM357664v1:4:31246561:31249467:1 gene:itb04g27180 transcript:itb04g27180.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENR >itb04g27180.t10 pep chromosome:ASM357664v1:4:31246564:31249467:1 gene:itb04g27180 transcript:itb04g27180.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELRTGNVP >itb04g27180.t8 pep chromosome:ASM357664v1:4:31246564:31249467:1 gene:itb04g27180 transcript:itb04g27180.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENR >itb04g27180.t7 pep chromosome:ASM357664v1:4:31246842:31249467:1 gene:itb04g27180 transcript:itb04g27180.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYWPCSQWKVEKSNPTRYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENSIQYILPQIDNCIQYRKDAF >itb04g27180.t5 pep chromosome:ASM357664v1:4:31246561:31249467:1 gene:itb04g27180 transcript:itb04g27180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELRTGNVP >itb04g27180.t1 pep chromosome:ASM357664v1:4:31246561:31249467:1 gene:itb04g27180 transcript:itb04g27180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELRTGNVP >itb04g27180.t9 pep chromosome:ASM357664v1:4:31246842:31249467:1 gene:itb04g27180 transcript:itb04g27180.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENR >itb04g27180.t4 pep chromosome:ASM357664v1:4:31246561:31249554:1 gene:itb04g27180 transcript:itb04g27180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENSIQYILPQIDNCIQYRKDAF >itb04g27180.t6 pep chromosome:ASM357664v1:4:31246564:31249467:1 gene:itb04g27180 transcript:itb04g27180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYWPCSQWKVEKSNPTRYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENSIQYILPQIDNCIQYRKDAF >itb04g27180.t3 pep chromosome:ASM357664v1:4:31246842:31249467:1 gene:itb04g27180 transcript:itb04g27180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLERPHINILSKLDLVTSKKDINIYLNSKPHLLINLYMALQFQKLNTCFMELVDTHFMINFLPLGLCTENSIQYILPQIDNCIQYRKDAF >itb06g13800.t1 pep chromosome:ASM357664v1:6:18488656:18494198:-1 gene:itb06g13800 transcript:itb06g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPSAEPVSAPGAEAVAQPVPPVPAAQPTPLPGDGERARGAQPRRARGRRRVVLPRSHRVISVNSDADKNQLVVTGNGVDSFELMKCLKRKFRCANIVSIEEVKPPEKKDDKKEEKKKDEKKEEEKDKKDECCKTCRYPCPCPCPIPGYNNPCVQYYPVCQPVYDSNPSCSIQ >itb06g05450.t4 pep chromosome:ASM357664v1:6:8157332:8164045:1 gene:itb06g05450 transcript:itb06g05450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYPVDAAQLLQAATDFAYDPSKSFSALFSFYKEMSSIISAYHHHHRRHPISGPQSDASAQEFLNRFPLLAVLNALQTKSDYPGLEDALFDCLDKVFRTKYGASLIPHFMPSVVAGLGAPSQNVRRLACITVSSLLDNTDETTAVYLILQSGVYPLLLRCLIDGDEQVAAAAMAAIKNLAGFTTGLDIIFPASSTEQTDLGDLAAKCSSLGRVRILALIVKLFSISTTVASKVYNANLLSLLEKEVGNANDTLVTLSALELLYELVEAQHSMEFLSRTTLLYLLSSIISNASADSILRSRAMLITGRVLSNDNAFLFIDEPSYRNVISAIDRRFAFLESQNADEFECAIEALGQTGLCKKGAAALLLSSPAPVRHVIDAAFDCQQHGKRLAALHALGNIVGETRSGNDVLLDGDAEESLRRLIYDTSSKTPKLTPSGLLLSVLQQDSEIRLAGYRVITGLVARPWCLIEIISRQEIVDIVTDKYNETEKIGLYFVHQFCL >itb06g05450.t2 pep chromosome:ASM357664v1:6:8157332:8164045:1 gene:itb06g05450 transcript:itb06g05450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYPVDAAQLLQAATDFAYDPSKSFSALFSFYKEMSSIISAYHHHHRRHPISGPQSDASAQEFLNRFPLLAVLNALQTKSDYPGLEDALFDCLDKVFRTKYGASLIPHFMPSVVAGLGAPSQNVRRLACITVSSLLDNTDETTAVYLILQSGVYPLLLRCLIDGDEQVAAAAMAAIKNLAGFTTGLDIIFPASSTEQTDLGDLAAKCSSLGRVRILALIVKLFSISTTVASKVYNANLLSLLEKEVGNANDTLVTLSALELLYELVEAQHSMEFLSRTTLLYLLSSIISNASADSILRSRAMLITGRVLSNDNAFLFIDEPSYRNVISAIDRRFAFLESQNADEFECAIEALGQTGLCKKGAAALLLSSPAPVRHVIDAAFDCQQHGKRLAALHALGNIVGETRSGNDVLLDGDAEESLRRLIYDTSSKTPKLTPSGLLLSVLQQDSEIRLAGYRVITGLVARPWCLIEIISRQEIVDIVTDKYNETEKIGMEARHKCCEAVYKSFTSSSKLMSDPAHSGLATKLEEAIRSGPYLGRKHAEAQPVVTTEQRF >itb06g05450.t3 pep chromosome:ASM357664v1:6:8157332:8164045:1 gene:itb06g05450 transcript:itb06g05450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYPVDAAQLLQAATDFAYDPSPQSDASAQEFLNRFPLLAVLNALQTKSDYPGLEDALFDCLDKVFRTKYGASLIPHFMPSVVAGLGAPSQNVRRLACITVSSLLDNTDETTAVYLILQSGVYPLLLRCLIDGDEQVAAAAMAAIKNLAGFTTGLDIIFPASSTEQTDLGDLAAKCSSLGRVRILALIVKLFSISTTVASKVYNANLLSLLEKEVGNANDTLVTLSALELLYELVEAQHSMEFLSRTTLLYLLSSIISNASADSILRSRAMLITGRVLSNDNAFLFIDEPSYRNVISAIDRRFAFLESQNADEFECAIEALGQTGLCKKGAAALLLSSPAPVRHVIDAAFDCQQHGKRLAALHALGNIVGETRSGNDVLLDGDAEESLRRLIYDTSSKTPKLTPSGLLLSVLQQDSEIRLAGYRVITGLVARPWCLIEIISRQEIVDIVTDKYNETEKIGLYFVHQFCL >itb06g05450.t6 pep chromosome:ASM357664v1:6:8157332:8161981:1 gene:itb06g05450 transcript:itb06g05450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYPVDAAQLLQAATDFAYDPSPQSDASAQEFLNRFPLLAVLNALQTKSDYPGLEDALFDCLDKVFRTKYGASLIPHFMPSVVAGLGAPSQNVRRLACITVSSLLDNTDETTAVYLILQSGVYPLLLRCLIDGDEQVAAAAMAAIKNLAGFTTGLDIIFPASSTEQTDLGDLAAKCSSLGRVRILALIVKLFSISTTVASKVYNANLLSLLEKEVGNANDTLVTLSALELLYELVEAQHSMEFLSRTTLLYLLSSIISNASADSILRSRAMLITGRVLSNDNAFLFIDEPSYRNVISAIDRRFAFLESQNADEFECAIEALGQTGLCK >itb06g05450.t5 pep chromosome:ASM357664v1:6:8157332:8162691:1 gene:itb06g05450 transcript:itb06g05450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYPVDAAQLLQAATDFAYDPSPQSDASAQEFLNRFPLLAVLNALQTKSDYPGLEDALFDCLDKVFRTKYGASLIPHFMPSVVAGLGAPSQNVRRLACITVSSLLDNTDETTAVYLILQSGVYPLLLRCLIDGDEQVAAAAMAAIKNLAGFTTGLDIIFPASSTEQTDLGDLAAKCSSLGRVRILALIVKLFSISTTVASKVYNANLLSLLEKEVGNANDTLVTLSALELLYELVEAQHSMEFLSRTTLLYLLSSIISNASADSILRSRAMLITGRVLSNDNAFLFIDEPSYRNVISAIDRRFAFLESQNADEFECAIEALGQTGLCKKGAAALLLSSPAPVRHVIDAAFDCQQHGKRLAALHALGNIVGETRSGNDVLLDGDAEESLRRLIYDTSSKTPKLTPSVSLILFLFTTTI >itb06g05450.t1 pep chromosome:ASM357664v1:6:8157332:8164057:1 gene:itb06g05450 transcript:itb06g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYPVDAAQLLQAATDFAYDPSPQSDASAQEFLNRFPLLAVLNALQTKSDYPGLEDALFDCLDKVFRTKYGASLIPHFMPSVVAGLGAPSQNVRRLACITVSSLLDNTDETTAVYLILQSGVYPLLLRCLIDGDEQVAAAAMAAIKNLAGFTTGLDIIFPASSTEQTDLGDLAAKCSSLGRVRILALIVKLFSISTTVASKVYNANLLSLLEKEVGNANDTLVTLSALELLYELVEAQHSMEFLSRTTLLYLLSSIISNASADSILRSRAMLITGRVLSNDNAFLFIDEPSYRNVISAIDRRFAFLESQNADEFECAIEALGQTGLCKKGAAALLLSSPAPVRHVIDAAFDCQQHGKRLAALHALGNIVGETRSGNDVLLDGDAEESLRRLIYDTSSKTPKLTPSGLLLSVLQQDSEIRLAGYRVITGLVARPWCLIEIISRQEIVDIVTDKYNETEKIGMEARHKCCEAVYKSFTSSSKLMSDPAHSGLATKLEEAIRSGPYLGRKHAEAQPVVTTEQRF >itb08g06420.t2 pep chromosome:ASM357664v1:8:5461024:5467166:1 gene:itb08g06420 transcript:itb08g06420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWSYSNCKLLHSIETGHTANIFCTKFVPETSDELVVSGAGDAEVRVFHLSHLSGRGRDVNVVSPSALFQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFRERASCPPAGSSHQECRNILLDLRCGAKKSLADPPKQFFSLKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLSSSQKKFPPPPCVNYFCPMHLSDHNRSSLHLTHVAFSPNGEEVLLSYSGEHVYLMDVIPAHGSSICYSYEDAAKLLNFNPTNSGMELQSSVTGDFLNGSLTRQSAAKLDSCRKLIQAAEKILKEETSFYYGIEACNEVLDGYGDEIGPKLISECLCIRAALLLKRRWKNDAHMAIRDCHRAWRINPTSFRALLLIAEALSQIGKYEKALEFAIGAQSLAPYETEVVDIVDNLRKHIASAEAEKTKKENGESKSEHPRGRLVSLSDILYRSDANSDASQDGPRSDRGDSDDEELELAFETLSGDEGHEIGPNVFHGSLNLRIHRRGDTNSTGRVNGTCGSPTSSCQDEKASYKPEMAVDMKRRYAGHCNIGTDIKQASFLGQRGQYIASGSDDGRWFIWEKKTGRLIKMLHGDESVVNCIQSHPYDCVVATSGIDNTIKIWTPRASVPSIVAGGAAGPETSKVLDAMENNQRRLSRTREAILPLEFLERFRLHEFAEGSMHPFECTQS >itb08g06420.t1 pep chromosome:ASM357664v1:8:5458629:5467166:1 gene:itb08g06420 transcript:itb08g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCFRDGNITDFINSRSLDVHHDIDHRLQMHSSLVRRLSLERELEDHQGCVNTIAWNSRGSLLISGSDDMRMNIWSYSNCKLLHSIETGHTANIFCTKFVPETSDELVVSGAGDAEVRVFHLSHLSGRGRDVNVVSPSALFQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFRERASCPPAGSSHQECRNILLDLRCGAKKSLADPPKQFFSLKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLSSSQKKFPPPPCVNYFCPMHLSDHNRSSLHLTHVAFSPNGEEVLLSYSGEHVYLMDVIPAHGSSICYSYEDAAKLLNFNPTNSGMELQSSVTGDFLNGSLTRQSAAKLDSCRKLIQAAEKILKEETSFYYGIEACNEVLDGYGDEIGPKLISECLCIRAALLLKRRWKNDAHMAIRDCHRAWRINPTSFRALLLIAEALSQIGKYEKALEFAIGAQSLAPYETEVVDIVDNLRKHIASAEAEKTKKENGESKSEHPRGRLVSLSDILYRSDANSDASQDGPRSDRGDSDDEELELAFETLSGDEGHEIGPNVFHGSLNLRIHRRGDTNSTGRVNGTCGSPTSSCQDEKASYKPEMAVDMKRRYAGHCNIGTDIKQASFLGQRGQYIASGSDDGRWFIWEKKTGRLIKMLHGDESVVNCIQSHPYDCVVATSGIDNTIKIWTPRASVPSIVAGGAAGPETSKVLDAMENNQRRLSRTREAILPLEFLERFRLHEFAEGSMHPFECTQS >itb05g26770.t1 pep chromosome:ASM357664v1:5:30471567:30474277:1 gene:itb05g26770 transcript:itb05g26770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFACSHRKMNFLIVFLSFSFFLSCCSFSEAQKVPAMYVFGDSLVDVGNNDHIDSIIRADFPHNGVDYPGRKATGRFSNGNNSADFIAEKVGLPTPPPYLSDKNNVFLKGVSFASGGAGILNSTNNGVISKALHLSDQVRLFSTVQQKLVNQLGAAAAKQQLSKSPFLILIGSNDLINYFNSDSKHPKTTPPQQFVDQMIATLKPYLQQLHGLGARKFVIPAVPAIGCMPLLRHQHPNKTGECLDGANYWANKYNQGLQSMLQSLKSSLNDFNYSYFDTYNYLLTIIQNPSTYGFKEVKAACCGLGRLNAEIPCTPISIYCSNRSDYIFWDRYHPTEAAASVLASAVFSGSKQYANPVNLNQLISL >itb12g27300.t1 pep chromosome:ASM357664v1:12:27768504:27772015:-1 gene:itb12g27300 transcript:itb12g27300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQAIIRDPFMLNAVILVFANKQDMKGAMTPMEVCDGLGLYDLRNRKWHIQGTCALRGDGLYEGLDWLASTLKEHKAAGFSSVGPSTF >itb12g24290.t1 pep chromosome:ASM357664v1:12:25862695:25865371:-1 gene:itb12g24290 transcript:itb12g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Mn] 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10920) UniProtKB/Swiss-Prot;Acc:O81235] MALRNLATRKTLSATAQAFRQQLRGLQTATLPDLPYDYGALEPAISGEIMQLHHQKHHQAYVTNYNKALEQLHEAISKGDASAAVKLQSAIKFNGGGHINHSIFWKNLAPTREGGGEPPKSSLGWEIDNHFGSLDALIQKMSAEGAAVQGSGWVWLGLDKELKHLVVETTPNQDPLVTVNPNLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVVDWKYACEVYQQFTPLPASRD >itb05g14880.t1 pep chromosome:ASM357664v1:5:22196862:22198504:-1 gene:itb05g14880 transcript:itb05g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFLFLLMIPVSNAFLDVNYYDETCPNAEKIIYETVHSASVSDPKVPARLLRMFFHDCFIRGCDASILLDSTPGNQAEKDGPPNLSLGAFYVFDAAKEKLEKACPRTVSCADIVAIAARDVVTISGGPYWSVFKGRKDGTVSRANETINLPAPSFNVTQLIQSFLQRGLGIKDMVALSGGHTLGFSHCSSFESRLRNFSPSQDTDPSMNPEFAETLKKKCPKPNKDKNAGEFLDSTAATFDNNYYKQIVAGNGVFGSDQALYNDYKTKWLVEMFADDQTLFFEEFVASMVMLGNVDIIEEGEVRVNCRVVN >itb06g13060.t1 pep chromosome:ASM357664v1:6:17663223:17665440:1 gene:itb06g13060 transcript:itb06g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNIVSKELIKPSIPTPPENLGYNLSFLDEPTPHFYIPLVLYYHNHTHTNQSEILSRLKSALSETLTKFYPLAGRNKGQTLVSCSDEGVCFVVARGEGMIEEMIGSADGKESEKLVPYGKGDGILAKTEELLGVQVSLFSCGGVAIGVCISHRVADVCSLCAFLQGWAACACGDKIKVSPVFNGSSLFPARGTPDFKPPESCSALQPPPEPLATKRFVFSASAIDEMKAKHTKTTNTNTTPASRVEVVSALLWKRCMASKEHKNGAVSANTFPVNLRRRMAPPLPEYSFGNLYQTASAVADWEEDWPSLVKKLRAANERIDSSYVTKLRGENGFEVLKENFRQIGRLMVESDVVVFRFTSWCGFPVYEMDFGWGNPGWVSTPGFGSIDTVILLDSRYPGGVEAWVTMADQDMSRLEQDRELHSFTC >itb04g34170.t1 pep chromosome:ASM357664v1:4:36204597:36211149:-1 gene:itb04g34170 transcript:itb04g34170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQSGGRRRRRVSLVGAKGPKPDPERKQLQNPQTPKSQGKEVDLVVRSTYIKTRAAKAKAKVEEEEAAKAKVEAEKAKREEEERKAKEKEEEGAEDEMGDESGGLSANNKGTGEDEGNTPPFPDEVQIGQSPVYKVEKKLGKGGFGQVFLGRRVTGGNERIGAGAAEVALKFEHRNSKGCSYGPPYEWQVYNTLGGSHGVPRVHYKGKQGDYYIMVMDMLGPSLWDVWNSSGQSMSAEMVACIAVESLSILEKMHAKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDNSRGQHVDYDQRPDTFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPAPLKEFLDLVINMKFDEEPKYSKLISLFSGLLGPDPAIRPLNTDGAQKVIQVGQKRARLNLDDDEDQPRKKVRIGVPATQWISIYNARVPMKQRYHYNVAEARLSQHVEKGHEDGLYISCVASCSNLWAIIMDAGTNFSSQVYELSPSFLHKEWIMEQWEKNYYISSLAGANNGSSLVVMSKGTQYTQQSYKVSDFFPFKWISKKWKEGFHVTSMATAGSKWAVVMSRNSGFSEQVVELDFLYPSEGIHKRWDRGFRITSTAATWDQAALILSVPKRRPGDETQETLRTSQFPSTHVKEKWAKNLYLACVCYGRTVC >itb13g00480.t1 pep chromosome:ASM357664v1:13:360730:362202:-1 gene:itb13g00480 transcript:itb13g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYSPIFQIRLGAQQVLVVSDSRIAKQCFTTNDRTLAGRPKSIASEIMGYNNAMFGLGPYGEYWRHVRKVVTVKLLSNTRLDVLGRVLESVVKSFNRDIYQTWLRYKNNESEDVKVDMKEWFGKLIINFTMQMLYGKRYEEEGSQTVMTLRRFFDLLATSAVGDYLPWLRWLDIGGHEKAMKETAKEMDTILEGWLQDHKTKRNTKSKEEEDFMDGLLSSFEDDNKDIPKDFDADTTVKATCMVVMAAATDTLTITLIWALSLLLDNNNVLDKIRVEIKTHVGRERHVNQYDLSNLTYLQAGTPVLVNISKAHRDPNFWSDPDVFRPERFMSEHKKIDVRGNYFELIPFGSGRRMCPGISLALRVLELALANFIHSFDLKRISNEAIDMTESAGLVNMKTTPLYAFLTPRLPSHLYD >itb05g18290.t1 pep chromosome:ASM357664v1:5:25096173:25097276:1 gene:itb05g18290 transcript:itb05g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDQEVVDVAGTRGEKRRKIDEGESKLSTALIQLKSHQKSTSVVPENSPSPASFDGPAASPKSDHVEVSCISGHDGCELTEENLKFSDLDEEREDIATSKCNSETGESGETSPLREYQAELGELESTASPRVEAYSLKIKKSTPEKMPSEAEIEDFFAAAEKKIQKRFAEKYNFDIVEDKPLEGRYEWVQLQP >itb06g01750.t1 pep chromosome:ASM357664v1:6:3036322:3037851:-1 gene:itb06g01750 transcript:itb06g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSEPKQEEATSSRKLPDFKQSVKLKYVKLGYHYLITHGMYLFLSPLVVVIAAQLSTFSLKDVYVLWDHLRFNLISVIICSTLLVFLSTLYFLTRPSPVYLVNFSCYKPEEARKCTRQIFMERSRLTGTFSEDNLGFQKKILERSGLGESTYLPEAVLRVPPNPCMAEARKEAETVMFGAIDELLAKTCIKPKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIVSYNLGGMGCSAGLISIDLAKDLLQVHPNTYALVLSMENITLNWYFGNEKSMLLPNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGSDDKCFSCITQLEDENGKVGVSLSKELMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGRKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELSYSESKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIHNFPVEVPKVAKL >itb10g18010.t1 pep chromosome:ASM357664v1:10:24063422:24066078:-1 gene:itb10g18010 transcript:itb10g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVETENGGSESGMRRRGCSCSKDDFLPEESFRSWGNYVNALMQTPARLRDRMFSRSSDQVELGAKSRSQHEMKRNLNWWDLIWFGMGAVIGAGVFVLTGQEANQHAGPNVVLSYAVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRIELGDFVAFIAAGNILLEYVIGGAAVARSWTYYLATLFNKDPESFLIKANGLKEGYNLLDPIAVGICFAICIIAILSTKGSSRLNYIASIINIVVILFIIVCGLIKSDPKNYTPFAPKGARGVFKAAAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTTIYCVMAVTLCLMQPYNSIDVNAPFSVAFKAVGWSWAQYLVALKGMTSVLLVGAVGQARYLTHISRTHMVPPWFSYVDPRTGTPINATAVMMSATAVIAFFTNLSILADLLSISTLFIFMLVALALLVRRYYVSGVTTVANRNKLIGFLLLILSSSIATASYWGANTNEWLVYCVMAPVWFFSTMGLYYFVPRAREPTLWGVPLVPWLPSLSIAVNIFLLGSIGKNSFKRFGLWSVVLLIYYFFFGLHASYDTAKELQEKGATELRKIDLCICSTCCLPSSDPSTFSF >itb15g01960.t1 pep chromosome:ASM357664v1:15:1170341:1173847:1 gene:itb15g01960 transcript:itb15g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGCTVQQTLTPEAATVVKQAMALARRRGHAQVTPLHVANTMLASPTGLLRRACLQSHSHPLQCKALELCFNVALNRLPASSSSPMFGPQSQSPSISNALGAAFKRAQAHQRRGSIESQQQPVLAVKIEVEQLIISILDDPSVSRVMREAGFSSVQVKSNVEQAVSLELSSPPPPSSNPKENTKTRTSTSLFLSQSSPSSSLLLAKNEDVMSVVNCMMNRRRRSIVVVGECLPSLEAVVKGVRERVDNGDVGEPLREVKFISVPLSGFANNRREEVEQKIGELSCLVKSLVSKGVVLYLGDLKWITDYKASSGSHGRIIGGYCSVEHMIMEIGRLICSFGEHGMLWLLGIATFQTFMRCKTGHHSLESVWGLHPITVPAGSLGLSLNPSESDPIAEHRNKKSSENNGSSWMVLENGDDNNNNEEKQLNCCADCSAKFEVEALSLQKTHSMSESTLSSLPPWLRDESIRLHSTTHDQNCVSVKELCKKWNSICSSEHKPLLERNLTFPPPSSSSSSFFSYDQQYPSFRMYIPENMNNPAKTGLFWANPNPNSSSTPASSMETEYYVPKFKELSAENLNILCNALEEKVPWQKDIIPEIAATVLQCRSGIVKRKEKSRNDDVKEETWLFFKGGDVQAKEKIARELAKAVFGSPSNLISIALSAFSSSSEDFRHKRTRDEQSSSYIERFSRAVITNPHRVFMVEDVEQVDYCSQLQLKKAIQRGKISFNGEELSFSDAIIILSCESFSSRSRACSPPVKQKSSSENAFSDDKEEKGTSSSGGEETTSSCGFLDLNISITDDHERLVEEDDCGGGDGIDNLGLVESVDRCVTFKIQNHHEEEDIIFV >itb12g18550.t1 pep chromosome:ASM357664v1:12:20865965:20867920:1 gene:itb12g18550 transcript:itb12g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALGWEFKALINELTQGVECTKRLRASFNSETSFETQNSLLQQIVASYEKSLMILNCGSGRQTQQVPPLSSAPESSISVDGSPRSDEMNKGSKDQEYRDMSKKRKLMPTWTEQVKVGTDNGLEGPPEDGYSWRKYGQKDILGAKYPRSYYRCTYRAMQNCWATKQVQRSDEDPTTFEITYKGAHTCSQAPKSVPPLASPKKQDLKQSIHCKDSLSMQPNQMLMELRSNLKVNTSDLERKETTYPFSFPPTFSGLTDEKPMFQISQVDDNLLGTYSPSFVSPTTPESNYFSVSHQQTSSFGGVQNLHHSESDLTDIFSANTSSTNSPIVGLDYTLDPADFDPNFLFDTSEFFT >itb05g21120.t1 pep chromosome:ASM357664v1:5:27019459:27020568:-1 gene:itb05g21120 transcript:itb05g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSFLLTAFILFPLAFATNSPPLPPFKRIYQFGNSISDTGNLLRLPGATMHYPAYRLPYGETFFHKATGRFSDGRLIVDFIAAALKLPFLDAYLDADGSFAQGVNFAVAGATALDVGFFAKRNISTSNSKPPISKQLEWFDNHLKSTFQSPASRSNCFENSLFIFGEFGGNDYFPFFRQGWSIEEVRTLVPRVVAAIIHGIKRIVELGAKRILVPGPFPFGCMPSQLASSNSSDPSDYDGLGCLKSFNAFSSYHNRFLSRALSILNREVSSEGVVIVYADYEGAFLEILRKSSLYGFDREWLHKACCGAIGEKYRYDYSEPCGTNGTDVCPSPAHAMHWDGVHLTDASYHRISQIIIRQSITKLKI >itb09g31140.t4 pep chromosome:ASM357664v1:9:31639282:31650540:1 gene:itb09g31140 transcript:itb09g31140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MSKEIPSVQSIARYDANSSRFESNENGFDDLLNPVPLPPPRTPLNSIPDPSQCQELEPTPRGKPEVVRSFRSVDKRADASDIHAISYNRNGTPGHANKGKALLETSSAQSTPARRVSNIGASGARSALHTGGKAGNLTSRVSRGIPLVNCETSVEVPHFELVEDPSFWKDHNVQVLIRIRPLSDVEKVSQGYGRCLRQESAQTLVWLGHPETRFTFDHVACETISQLFRVAGLPMVENCMSGYNSCMFAYGQTGSGKTYTMMGEIVQMNGKLNDHSGITPRIFEYLFNRIREEEEKQSTERLKFSCKCSFLEIYNEHITDLLEPSSTNLHLREDLKKGVYVENLTEVSVSSVNDVLKVLLQGAANRKIAATHMNSESSRSHSVFTCNIESCWEKDSMKHFRFGRLNLVDLAGSERLVIMSLVDLAQGKQRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSSCSANETLSTLKFAQRAKLIQNNAKVNEDASGDVSILQQQIQLLKGQLSFLMKHHSSSKHISHLMLSLDQSSLGYLPERFDLSNEMNVHHDDDHRTRGRNSKIEKLKATVLGALRREKLVEMEVRRLEAEIEQMNRLVYQQEEDSQRNKMMLRFREEKIKRFELLEDGLISADKYLINENAALREEIQMLEARIERNPEHTRLVLENNRLLRQLRVFQNFYDHGQRERLVAEISELRDQFLELIEHGGNYWMPKHASREGYQVGSVLDESELVKSTPHPLPVHEEDFLDKSNQRVENALILEYADMKKQLMESRSLMEEMELQQVHLIEGIEVLKEENCRLLGMVDRNEHIRKQPGSLCESCESQVCLEYTDLNLALRGSADITLVGLQAKLEKVSKDLEEACLVNGKCIEEHAQTMTMKHQHELICEEVEMETAKTILLLQEEISQIKSEFQEKLSSMAEEIISLKDTLAAKEEEMKVVCAEWERATLDLTNFLTDGSKSLQDAGWQIESIACSFPNVDLFIGEHVERAAKTYIEKEETILLLKKSLEEAQQTVFQLEEKLLSLKGATIAFAEAQQQVNDASSEEGIQLATGLSDTTSNITFLENKLIHSEVQINEAERCASFDLSAVNSVSDLPELTYVIDIKDQPLISVQNFGMTGFNNEIESTKREVLELENAIKTSCHDAERLFSVIKSDGYNNVLFFKDLIQDIVNDIRELQRHFTELKEKHGRVGVESSNSNEISGCYTVCQMLHKILYELVGTNDSLNHINACFYKLLNPYGYLGAAEESINSDGSTNCSTSSSYPSDGSDGSILSSDLRGSNIVQNLVMNSSQEEILYFLSKEFRSTYNVFAKLKAHFDTSFCRKEIHNCLCSSPCFSNLLELSKSNDHCVMGNESEVIMPEVVPGLEVIMSEAETGCNLVRELKLNDKTSQASRFFNKFEEAYRTIKEADHTLQALTKANEGAKELNCMWKRAGEEFMVERARLDEEIRQLNSDVNGTESKYGKLQNQMHHTFTEIASSISSIEDTFQETQKYVDTLCKSVLSDAFGMVKETMSCICNSRLLLEEIFTNIEGTGLASLVLNRNVVFQPAALQEGFLALSSTGESYETYDNGMLRKVKAPTIKTTGSVEIDLVHANSMNENTELRRELERKEALLKGLLFDFSLLQESASSKKDVKDEIDKLIAALSQVENELRIKNNQHNDMIVQHRTLENQFKEAQSALFFSHSDLLEARRKLEILSKENAELRELLEDIYLKKSETEEELREQMKAVEMLEKEIILLTSSTDNQVNGEKIQLLEQVRSLQDKLDIACALANENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELDGTINILEKKVHEMEEEVEQHHMIRESLELELQALKERLLTVENFTEKLELKNSGSQLTKDQFPRSLSHQKAYDQIKILEIEKAELVKEVKQCKEYISELLLHAEAQALQYQQKYKDLEAMVHEFRTDSSNLENQVSTSDKIEKVSTRIRGSSSPFRCISSLVQQMNSEKDQELSVAKLRIEELEALAAQRQKEVCILNNRLAAAENMTHDVIRDLLGVKLDMTTYADLIDQHQLQKFIVEAHQQSLEYSAMEKEVLNLRRQLNDLIEEREAFILQVKRREAIELATQMSIEQLRERDQLLTAQNEMLKVDKTNLQSKVVEMDEMVKNLLGTQNIQPQNHSLLRLDDADRGKRLVNSKKLALG >itb09g31140.t1 pep chromosome:ASM357664v1:9:31639282:31650540:1 gene:itb09g31140 transcript:itb09g31140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MSKEIPSVQSIARYDANSSRFESNENGFDDLLNPVPLPPPRTPLNSIPDPSQCQELEPTPRGKPEVVRSFRSVDKRADASDIHAISYNRNGTPGHANKGKALLETSSAQSTPARRVSNIGASGARSALHTGGKAGNLTSRVSRGIPLVNCETSVEVPHFELVEDPSFWKDHNVQVLIRIRPLSDVEKVSQGYGRCLRQESAQTLVWLGHPETRFTFDHVACETISQLFRVAGLPMVENCMSGYNSCMFAYGQTGSGKTYTMMGEIVQMNGKLNDHSGITPRIFEYLFNRIREEEEKQSTERLKFSCKCSFLEIYNEHITDLLEPSSTNLHLREDLKKGVYVENLTEVSVSSVNDVLKVLLQGAANRKIAATHMNSESSRSHSVFTCNIESCWEKDSMKHFRFGRLNLVDLAGSERQKSSGADGDRLKEAANINKSLSTLGLVIMSLVDLAQGKQRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSSCSANETLSTLKFAQRAKLIQNNAKVNEDASGDVSILQQQIQLLKGQLSFLMKHHSSSKHISHLMLSLDQSSLGYLPERFDLSNEMNVHHDDDHRTRGRNSKIEKLKATVLGALRREKLVEMEVRRLEAEIEQMNRLVYQQEEDSQRNKMMLRFREEKIKRFELLEDGLISADKYLINENAALREEIQMLEARIERNPEHTRLVLENNRLLRQLRVFQNFYDHGQRERLVAEISELRDQFLELIEHGGNYWMPKHASREGYQVGSVLDESELVKSTPHPLPVHEEDFLDKSNQRVENALILEYADMKKQLMESRSLMEEMELQQVHLIEGIEVLKEENCRLLGMVDRNEHIRKQPGSLCESCESQVCLEYTDLNLALRGSADITLVGLQAKLEKVSKDLEEACLVNGKCIEEHAQTMTMKHQHELICEEVEMETAKTILLLQEEISQIKSEFQEKLSSMAEEIISLKDTLAAKEEEMKVVCAEWERATLDLTNFLTDGSKSLQDAGWQIESIACSFPNVDLFIGEHVERAAKTYIEKEETILLLKKSLEEAQQTVFQLEEKLLSLKGATIAFAEAQQQVNDASSEEGIQLATGLSDTTSNITFLENKLIHSEVQINEAERCASFDLSAVNSVSDLPELTYVIDIKDQPLISVQNFGMTGFNNEIESTKREVLELENAIKTSCHDAERLFSVIKSDGYNNVLFFKDLIQDIVNDIRELQRHFTELKEKHGRVGVESSNSNEISGCYTVCQMLHKILYELVGTNDSLNHINACFYKLLNPYGYLGAAEESINSDGSTNCSTSSSYPSDGSDGSILSSDLRGSNIVQNLVMNSSQEEILYFLSKEFRSTYNVFAKLKAHFDTSFCRKEIHNCLCSSPCFSNLLELSKSNDHCVMGNESEVIMPEVVPGLEVIMSEAETGCNLVRELKLNDKTSQASRFFNKFEEAYRTIKEADHTLQALTKANEGAKELNCMWKRAGEEFMVERARLDEEIRQLNSDVNGTESKYGKLQNQMHHTFTEIASSISSIEDTFQETQKYVDTLCKSVLSDAFGMVKETMSCICNSRLLLEEIFTNIEGTGLASLVLNRNVVFQPAALQEGFLALSSTGESYETYDNGMLRKVKAPTIKTTGSVEIDLVHANSMNENTELRRELERKEALLKGLLFDFSLLQESASSKKDVKDEIDKLIAALSQVENELRIKNNQHNDMIVQHRTLENQFKEAQSALFFSHSDLLEARRKLEILSKENAELRELLEDIYLKKSETEEELREQMKAVEMLEKEIILLTSSTDNQVNGEKIQLLEQVRSLQDKLDIACALANENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELDGTINILEKKVHEMEEEVEQHHMIRESLELELQALKERLLTVENFTEKLELKNSGSQLTKDQFPRSLSHQKAYDQIKILEIEKAELVKEVKQCKEYISELLLHAEAQALQYQQKYKDLEAMVHEFRTDSSNLENQVSTSDKIEKVSTRIRGSSSPFRCISSLVQQMNSEKDQELSVAKLRIEELEALAAQRQKEVCILNNRLAAAENMTHDVIRDLLGVKLDMTTYADLIDQHQLQKFIVEAHQQSLEYSAMEKEVLNLRRQLNDLIEEREAFILQVKRREAIELATQMSIEQLRERDQLLTAQNEMLKVDKTNLQSKVVEMDEMVKNLLGTQNIQPQNHSLLRLDDADRGKRLVNSKKLALG >itb09g31140.t2 pep chromosome:ASM357664v1:9:31642809:31650540:1 gene:itb09g31140 transcript:itb09g31140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MMLRFREEKIKRFELLEDGLISADKYLINENAALREEIQMLEARIERNPEHTRLVLENNRLLRQLRVFQNFYDHGQRERLVAEISELRDQFLELIEHGGNYWMPKHASREGYQVGSVLDESELVKSTPHPLPVHEEDFLDKSNQRVENALILEYADMKKQLMESRSLMEEMELQQVHLIEGIEVLKEENCRLLGMVDRNEHIRKQPGSLCESCESQVCLEYTDLNLALRGSADITLVGLQAKLEKVSKDLEEACLVNGKCIEEHAQTMTMKHQHELICEEVEMETAKTILLLQEEISQIKSEFQEKLSSMAEEIISLKDTLAAKEEEMKVVCAEWERATLDLTNFLTDGSKSLQDAGWQIESIACSFPNVDLFIGEHVERAAKTYIEKEETILLLKKSLEEAQQTVFQLEEKLLSLKGATIAFAEAQQQVNDASSEEGIQLATGLSDTTSNITFLENKLIHSEVQINEAERCASFDLSAVNSVSDLPELTYVIDIKDQPLISVQNFGMTGFNNEIESTKREVLELENAIKTSCHDAERLFSVIKSDGYNNVLFFKDLIQDIVNDIRELQRHFTELKEKHGRVGVESSNSNEISGCYTVCQMLHKILYELVGTNDSLNHINACFYKLLNPYGYLGAAEESINSDGSTNCSTSSSYPSDGSDGSILSSDLRGSNIVQNLVMNSSQEEILYFLSKEFRSTYNVFAKLKAHFDTSFCRKEIHNCLCSSPCFSNLLELSKSNDHCVMGNESEVIMPEVVPGLEVIMSEAETGCNLVRELKLNDKTSQASRFFNKFEEAYRTIKEADHTLQALTKANEGAKELNCMWKRAGEEFMVERARLDEEIRQLNSDVNGTESKYGKLQNQMHHTFTEIASSISSIEDTFQETQKYVDTLCKSVLSDAFGMVKETMSCICNSRLLLEEIFTNIEGTGLASLVLNRNVVFQPAALQEGFLALSSTGESYETYDNGMLRKVKAPTIKTTGSVEIDLVHANSMNENTELRRELERKEALLKGLLFDFSLLQESASSKKDVKDEIDKLIAALSQVENELRIKNNQHNDMIVQHRTLENQFKEAQSALFFSHSDLLEARRKLEILSKENAELRELLEDIYLKKSETEEELREQMKAVEMLEKEIILLTSSTDNQVNGEKIQLLEQVRSLQDKLDIACALANENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELDGTINILEKKVHEMEEEVEQHHMIRESLELELQALKERLLTVENFTEKLELKNSGSQLTKDQFPRSLSHQKAYDQIKILEIEKAELVKEVKQCKEYISELLLHAEAQALQYQQKYKDLEAMVHEFRTDSSNLENQVSTSDKIEKVSTRIRGSSSPFRCISSLVQQMNSEKDQELSVAKLRIEELEALAAQRQKEVCILNNRLAAAENMTHDVIRDLLGVKLDMTTYADLIDQHQLQKFIVEAHQQSLEYSAMEKEVLNLRRQLNDLIEEREAFILQVKRREAIELATQMSIEQLRERDQLLTAQNEMLKVDKTNLQSKVVEMDEMVKNLLGTQNIQPQNHSLLRLDDADRGKRLVNSKKLALG >itb09g31140.t3 pep chromosome:ASM357664v1:9:31639298:31650540:1 gene:itb09g31140 transcript:itb09g31140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MSKEIPSVQSIARYDANSSRFESNENGFDDLLNPVPLPPPRTPLNSIPDPSQCQELEPTPRGKPEVVRSFRSVDKRADASDIHAISYNRNGTPGHANKGKALLETSSAQSTPARRVSNIGASGARSALHTGGKAGNLTSRVSRGIPLVNCETSVEVPHFELVEDPSFWKDHNVQVLIRIRPLSDVEKVSQGYGRCLRQESAQTLVWLGHPETRFTFDHVACETISQEKLFRVAGLPMVENCMSGYNSCMFAYGQTGSGKTYTMMGEIVQMNGKLNDHSGITPRIFEYLFNRIREEEEKQSTERLKFSCKCSFLEIYNEHITDLLEPSSTNLHLREDLKKGVYVENLTEVSVSSVNDVLKVLLQGAANRKIAATHMNSESSRSHSVFTCNIESCWEKDSMKHFRFGRLNLVDLAGSERQKSSGADGDRLKEAANINKSLSTLGLVIMSLVDLAQGKQRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSSCSANETLSTLKFAQRAKLIQNNAKVNEDASGDVSILQQQIQLLKGQLSFLMKHHSSSKHISHLMLSLDQSSLGYLPERFDLSNEMNVHHDDDHRTRGRNSKIEKLKATVLGALRREKLVEMEVRRLEAEIEQMNRLVYQQEEDSQRNKMMLRFREEKIKRFELLEDGLISADKYLINENAALREEIQMLEARIERNPEHTRLVLENNRLLRQLRVFQNFYDHGQRERLVAEISELRDQFLELIEHGGNYWMPKHASREGYQVGSVLDESELVKSTPHPLPVHEEDFLDKSNQRVENALILEYADMKKQLMESRSLMEEMELQQVHLIEGIEVLKEENCRLLGMVDRNEHIRKQPGSLCESCESQVCLEYTDLNLALRGSADITLVGLQAKLEKVSKDLEEACLVNGKCIEEHAQTMTMKHQHELICEEVEMETAKTILLLQEEISQIKSEFQEKLSSMAEEIISLKDTLAAKEEEMKVVCAEWERATLDLTNFLTDGSKSLQDAGWQIESIACSFPNVDLFIGEHVERAAKTYIEKEETILLLKKSLEEAQQTVFQLEEKLLSLKGATIAFAEAQQQVNDASSEEGIQLATGLSDTTSNITFLENKLIHSEVQINEAERCASFDLSAVNSVSDLPELTYVIDIKDQPLISVQNFGMTGFNNEIESTKREVLELENAIKTSCHDAERLFSVIKSDGYNNVLFFKDLIQDIVNDIRELQRHFTELKEKHGRVGVESSNSNEISGCYTVCQMLHKILYELVGTNDSLNHINACFYKLLNPYGYLGAAEESINSDGSTNCSTSSSYPSDGSDGSILSSDLRGSNIVQNLVMNSSQEEILYFLSKEFRSTYNVFAKLKAHFDTSFCRKEIHNCLCSSPCFSNLLELSKSNDHCVMGNESEVIMPEVVPGLEVIMSEAETGCNLVRELKLNDKTSQASRFFNKFEEAYRTIKEADHTLQALTKANEGAKELNCMWKRAGEEFMVERARLDEEIRQLNSDVNGTESKYGKLQNQMHHTFTEIASSISSIEDTFQETQKYVDTLCKSVLSDAFGMVKETMSCICNSRLLLEEIFTNIEGTGLASLVLNRNVVFQPAALQEGFLALSSTGESYETYDNGMLRKVKAPTIKTTGSVEIDLVHANSMNENTELRRELERKEALLKGLLFDFSLLQESASSKKDVKDEIDKLIAALSQVENELRIKNNQHNDMIVQHRTLENQFKEAQSALFFSHSDLLEARRKLEILSKENAELRELLEDIYLKKSETEEELREQMKAVEMLEKEIILLTSSTDNQVNGEKIQLLEQVRSLQDKLDIACALANENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELDGTINILEKKVHEMEEEVEQHHMIRESLELELQALKERLLTVENFTEKLELKNSGSQLTKDQFPRSLSHQKAYDQIKILEIEKAELVKEVKQCKEYISELLLHAEAQALQYQQKYKDLEAMVHEFRTDSSNLENQVSTSDKIEKVSTRIRGSSSPFRCISSLVQQMNSEKDQELSVAKLRIEELEALAAQRQKEVCILNNRLAAAENMTHDVIRDLLGVKLDMTTYADLIDQHQLQKFIVEAHQQSLEYSAMEKEVLNLRRQLNDLIEEREAFILQVKRREAIELATQMSIEQLRERDQLLTAQNEMLKVDKTNLQSKVVEMDEMVKNLLGTQNIQPQNHSLLRLDDADRGKRLVNSKKLALG >itb14g19430.t1 pep chromosome:ASM357664v1:14:22084616:22087468:-1 gene:itb14g19430 transcript:itb14g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCKCLTWTRVPGFFEQQPETFSLPAPIPQWPQGTGFGTGVIRLGELEVCQITRFEFIWGSNLPQGGKQGVSFYKPIGLPEGFFNLGYYAQPNVKPLRGFVLAVREVAKPKPGNPHPHTSKYSPALRNPLDYTLVWSSNDESEDDFAASSYFWSPQPPEGYKALGFVVTNKPAKPELDEVKCVRNDLIDQCETYRMILKTTSKFSQVPLMVWSTRPCHRGMQGKGVPVGTFFCTDYLTLREELDIVCLKNFDPNLHAMPNLDQIHALIRHYGPTVFFHPDEIYLPSSVSWFFENGALLYKAGDVNGKTIDADGSNLPAGGTNDREYWIDLPRDDRLGTIKHGNIRSAKLYVHVKPASGGTFTDIAMWVFCPFNGPATLKIGVMNLSLCKIGQHVGDWEHFTLRLSNFNGELQSIYFSQHSGGEWVDIYDLEFIEGNKAVVYSSKHGHASYPHPGVYIQGSSMLGIGIRNDAARSHYYVDSSTQYEIVAAEYLGHGVVREPGWLQYMREWGPTIVYDSRTELNKAANRLPLTLRFSALNIFSKLPVELSGEEGPSGPKEKNNWIGDERW >itb04g21560.t1 pep chromosome:ASM357664v1:4:26656536:26658971:1 gene:itb04g21560 transcript:itb04g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPKLATGILPIPAQPHRRKLHHLATTATSVHPSAFKISVSTVTGVSRRRRGLSCQAAEVSVAEGPSASGGGGDNEGKTENWVPVVPLGALPKGERRVIIQNGETILLLWYKDDIFAIENRSPAEGAYSEGLLNAKLTKDGCIVCPTTDSTFELRTGEIKEWYPKNPVLRVLTPALRNLFIYPVKTDSENIYISMGGGLKTSDASAEIVFSGKAQPGVTATNVNVEEVRMVVDENQEAFGFTGKNELINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYSVSNAFK >itb15g21990.t1 pep chromosome:ASM357664v1:15:24642594:24646746:1 gene:itb15g21990 transcript:itb15g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERASCKFPETHIATPISDSHQSSEPRATTTLHCQNLEEIEKNYKNPISRKHQKKMAFSSYSSVRVFGSLLYRSSNGVSLKPYYSFTLQSTIVLKTKLSSSSWYSSSSEKLVSQFQLQPGNSMRKIWTSVPLCMGRRSCKIAGRKTAQDAKKTKLYSKFGKEVVSAVKKGGPSPVSNTALATLLEKVKELDIPKDILERNIKRASEKGQEAYIEKVYEIYGYGGVGIIVEVLTDKVNRSIALIRGVVKDCGGKMADPGSIAFKFRRARVVNVKVTDVDKDHLLTIALDAGAEDVIEPSIDEEDTEEDMSRCYKVVTSTENYSEILSKLREEGIKFEPDNGSELLPITPIEVDDEAMDLNKELISKLLELDDVDAVYTDQKC >itb15g21990.t2 pep chromosome:ASM357664v1:15:24642594:24646663:1 gene:itb15g21990 transcript:itb15g21990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERASCKFPETHIATPISDSHQSSEPRATTTLHCQNLEEIEKNYKNPISRKHQKKMAFSSYSSVRVFGSLLYRSSNGVSLKPYYSFTLQSTIVLKTKLSSSSWYSSSSEKLVSQFQLQPGNSMRKIWTSVPLCMGRRSCKIAGRKTAQDAKKTKLYSKFGKEVVSAVKKGGPSPVSNTALATLLEKVKELDIPKDILERNIKRASEKGQEAYIEKVYEIYGYGGVGIIVEVLTDKVNRSIALIRGVVKDCGGKMADPGSIAFKFRRARVVNVKVTDVDKDHLLTIALDAGAEDVIEPSIDEEDTEEDMSRCYKVVTSTENYSEILSKLREEGIKFEPDNGSELLPITPIEVDDEAMDLNKELISKLLELDDVDAVYTDQKC >itb04g14240.t1 pep chromosome:ASM357664v1:4:14676831:14681320:1 gene:itb04g14240 transcript:itb04g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKKWLYALFSIAFVSLLIFLSGISGFRSSYYAIPSHGSFASTAVHRGPGNPPAFAYYITGGVGDADQMFRLLLAVYHPRNRYLLHMGSEGSEKERKKLGLLVESVPAIRAFGNVDLIGKPDAVTYMGSTNTAALLRGAAILLKMESGWDWFITLSALDYPLITQDDLFHVFSTVKRDLNFIDHTSDLGWKEDQRVRPIIVDPGIYLTRKTQIFYATQKRPMPDSFKVFTGSPWVVLSRSFLEFCIYGWDNLPRQLLMYFTNSVLSQEFYFHSVICNSPEFKNTTVNGDLRYFVWDNPPKMEPHFLNASDYDGMVQSGAAFARQFKKDDPALDMIDEHILHRSPNQVTPGAWCTGKKNWLMEQCSKWGDVNVLKPGPQMEKLGSSVNKLLDDWKSQSNQCR >itb13g07900.t1 pep chromosome:ASM357664v1:13:10097430:10105461:1 gene:itb13g07900 transcript:itb13g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFSENGLQGKLPTVLANLKKLQQLTLGSNRLTGGIPPTFGNLSSLQLLGLEMNHLKGRIPHEITRCLGLNFLSLSDNNFTGTLSPSFFNMTSIQAFSLSENSLEGTIPRYIGDTMPNLEIFYFGGNKLHGTIPISFPNASKLKEFEVISSHVLAPVSIPHCRVFAFPLGCRHRHKLAVPWGRILGDILPRFGAGVHPSPSRIRLPYRLSTLAQDSISMAGSSIRRSKRLRRVVTNGVEGYSVLGLWVVFRLAYSVRGLGYVDQGNQNGLVDSPSSDDFVSPPVVLPPSAQPDPPL >itb02g21460.t1 pep chromosome:ASM357664v1:2:20321342:20325464:1 gene:itb02g21460 transcript:itb02g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFFGSFGAPIFWSRHHYLAAPRPPIRFANPHRYCSSAVASDCAHAFPVSSENPANAWNHPWPEWASFVDCLKTKGYLTESRTASSPGDIASEGNGNVFAYADRNILKNACLNFGRDRFDILKMLSTQDMQAVVEKGCPNLFRKAVNSAKRLRVYLQIDEGHACGACNLRGSCDRAYVLLKELEGDARTVDIVRILLLYALDPLVISGGEKPPGRELIDASVRKLLLELIELSETPLDPNLTKPTPKAFLETKRSSDSIVDEGLESNELKNGHLMRREINTHRKVGKDDAKMEKGDWICSRCNFMNFSRNMQCLKCRAEAPERFTDNDIEMKKGDWKCITCTFINFASRSSCKRCAGPRPKRQLNPGEWECPSCDFLNYRNNMVCKKCNHDRPRDLQAKKQYEDQLWKKPY >itb03g09530.t1 pep chromosome:ASM357664v1:3:7351545:7352400:1 gene:itb03g09530 transcript:itb03g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFSLKRILEPSKLEHASNELATIRRRRPEKLGDYTRAFMENPNSIFPPCRKRRMAVSQSPPRPPNEWRCMLLTLFGKKILIGRRNPTDASHRLLGGPLRSRKAARWCWIPELSPSAVDHRDMFVGE >itb02g13430.t1 pep chromosome:ASM357664v1:2:9512630:9517023:-1 gene:itb02g13430 transcript:itb02g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAANRVLGLAPRVAAAERATAGLPRLYHERVVDHYNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDDESGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYETKRAKLSPIEQAEKAADA >itb04g01020.t1 pep chromosome:ASM357664v1:4:546757:551949:-1 gene:itb04g01020 transcript:itb04g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRTVPYYDVRTVAAVVAVLLLSCSSVVQGGDIVHHDDKTPRRPGCDNNFVLVKVATWINGKEEMEFVGIGARFGLTLESKEKRASQTRLALADPPDCCSVPRNKLTGEAILVHRGNCSFTTKANVAEAAGASAILIINNQTELFKMVCEKNETDLDIGIPAIMLPQDAGQSLIENIMNSSLVSVQLYSPKRPAVDVAEVFLWLMAVATILCASYWSAWSAREASIEHDKLLKDGSDEYHGIEATQSSGMVEINILSAILFVVIASCFLIMLYKLMSFWFIEVLVVLFCIGGVEGLQTCLVTLLSCFRWFEHAAETFVKVPFLGAISYLTLAVSPFCIAFAVIWAVFRRVSFAWIGQDILGIALIITVLQIVRIPNLKVGTVLLGCAFLYDLFWVFVSKWWFHESVMIVVARGGRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLSKKTLRTGYFIWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLALGKKRGDLRHLWSKGDPDRACPHVQLQPAE >itb15g04130.t2 pep chromosome:ASM357664v1:15:2619939:2623016:-1 gene:itb15g04130 transcript:itb15g04130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MSSSAISQLSCFSSVTRRAQLRSRFSPSPALRPKVFMSNDGRGTDVSSVEDKSALSYTTDPLKPHGGRPENPLSASEESVNGKNSSDPLQGTNDLAGENITYQPKRAAKIHDFCFGIPFGTFVLSGGLVGFIFSRNITTLGNGVLFGGALLALSTVSLKVWRQGKSSLPFILGQAVLAGFLLWKNVQTYSLVFFHKIMFVILFYQLMLYLIGK >itb15g04130.t1 pep chromosome:ASM357664v1:15:2619397:2623067:-1 gene:itb15g04130 transcript:itb15g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MSSSAISQLSCFSSVTRRAQLRSRFSPSPALRPKVFMSNDGRGTDVSSVEDKSALSYTTDPLKPHGGRPENPLSASEESVNGKNSSDPLQGTNDLAGENITYQPKRAAKIHDFCFGIPFGTFVLSGGLVGFIFSRNITTLGNGVLFGGALLALSTVSLKVWRQGKSSLPFILGQAVLAGFLLWKNVQTYSLTKKVFPTGLYAAISAAMLCFYFYVVISGGNPPPKKMKSSAVAAS >itb13g21220.t1 pep chromosome:ASM357664v1:13:27834122:27834655:1 gene:itb13g21220 transcript:itb13g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPHKLSSFLFAVLVAAVAVTTTVTAGLVDTVCGKTPQPALCAQTLRADPRPKDDLKSLGLVAIDIATNQAKSGQALVQSLLSGATDPKLKEILSSCLEHYSDSVDSLGKCPDLLRSRDFGGLNSQASAALDSPGNCDDEFSEGSAAEPPQLKDTSSKLQEFCSVVLVVSNLSKGA >itb09g01140.t1 pep chromosome:ASM357664v1:9:693269:695945:1 gene:itb09g01140 transcript:itb09g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLAVSASRSAACEEVYKACRIGSTFLELELELDLSGEIPSRFSFLSVYVVHNVVLFVRRHTLPLSISLVSPLMASEEQMSAIKAAKVLMVGAGGIGCELLKTLALSGFQNIHIVDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLKFRPGIKIEPYHANVKDPNFGVDFFKEFNVVLNGLDNLDARRHVNRLCLAAGVPLVESGITGFLGQAAVLVLVSNYSVAK >itb06g22370.t1 pep chromosome:ASM357664v1:6:24679445:24682587:-1 gene:itb06g22370 transcript:itb06g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPVLIILSISNICLGKDIDFISRTQFLNDGDTIISKGGTFVMGFFSPTNSSNRYVGIWYKQIPVQTVVWVANRDAPLANTSSAVLKITLGGQLSLLGDKGQAVWSANTSRSVQNPVAELLDTGNLVVRDADDENPENFLWQSFDYPTDHWLPGMKYGWNLQTGHEVFLTAWKGENDPASGQYTLHLDPAGYPQIIIKNGTSEIFSSGPWNGLYFSVTSVDPSIRSSIYDPHGQVINNKKEVYFWVNPSNDLGLIRFLVTSNGIIKSWVWEDEINQWVSYRSNPSDTCGTYGLCGGNGVCNIQQFRGCECLDKFLPNNNSTESFSRGCHRRKPLNCLNGSSSDGFLKYSNIKLPDTKQSWYNESMSLQECERVCLRNCSCTAYSTLNISNGGSGCLIWYGDLVDMRTVQNGQDMFIRLATSEMPEYAGRGIFSIKSDVFSFGISILEIVSGRRISEFINNDQYMTLPEHAWKLYREGKSIALVDEHIAGSCDVVQVLRSIHVGLLCVQQSPEDRPDMSSVVQMLVNDFALPQAKEPGFFLGKEYPSRTHAKSSLNEVTITTLSTR >itb03g08130.t1 pep chromosome:ASM357664v1:3:6125463:6130772:-1 gene:itb03g08130 transcript:itb03g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKGDVREYDLPNYPGLPNSMRYPNISSPTNLKIPNSTMSSVIGTGGSNIPEHAASRMKLRDPQLGGPEHFAEIHRPMEHWSPPRNNYQGLVSSSGQTSNPQQGAYQSYTVQQGAYSQYSPSGMHYQNVNAYEAPHQSIQAQLPSYPNTNAQGSTNFDIR >itb03g08130.t4 pep chromosome:ASM357664v1:3:6125512:6130772:-1 gene:itb03g08130 transcript:itb03g08130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKGDVREYDLPNYPGLPNSMRYPNISSPTNLKIPNSTMSSVIGTGGSNIPEHAASRMKLRDPQLGGPEHFAEIHRPMEHWSPPRNNYQGLVSSSGQTSNPQQGAYQSYTVQQGAYSQYSPSGMHYQNVNAYEAPHQSIQAQLPSYPNTNAQGSTNFDIR >itb03g08130.t6 pep chromosome:ASM357664v1:3:6125463:6130074:-1 gene:itb03g08130 transcript:itb03g08130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKGDVREYDLPNYPGLPNSMRYPNISSPTNLKIPNSTMSSVIGTGGSNIPEHAASRMKLRDPQLGGPEHFAEIHRPMEHWSPPRNNYQGLVSSSGQTSNPQQGAYQSYTVQQGAYSQYSPSGMHYQNVNAYEAPHQSIQAQLPSYPNTNAQGSTNFDIR >itb03g08130.t3 pep chromosome:ASM357664v1:3:6125512:6130772:-1 gene:itb03g08130 transcript:itb03g08130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKGDVREYDLPNYPGLPNSMRYPNISSPTNLKIPNSTMSSVIGTGGSNIPEHAASRMKLRDPQLGGPEHFAEIHRPMEHWSPPRNNYQGLVSSSGQTSNPQQGAYQSYTVQQGAYSQYSPSGMHYQNVNAYEAPHQSIQAQLPSYPNTNAQGSTNFDIR >itb03g08130.t7 pep chromosome:ASM357664v1:3:6127131:6130772:-1 gene:itb03g08130 transcript:itb03g08130.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKVNSLGGNILVRASGISVCLCIMCLR >itb03g08130.t8 pep chromosome:ASM357664v1:3:6127131:6130772:-1 gene:itb03g08130 transcript:itb03g08130.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKVNSLGGNILVRASGISVCLCIMCLR >itb03g08130.t2 pep chromosome:ASM357664v1:3:6125463:6130741:-1 gene:itb03g08130 transcript:itb03g08130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKGDVREYDLPNYPGLPNSMRYPNISSPTNLKIPNSTMSSVIGTGGSNIPEHAASRMKLRDPQLGGPEHFAEIHRPMEHWSPPRNNYQGLVSSSGQTSNPQQGAYQSYTVQQGAYSQYSPSGMHYQNVNAYEAPHQSIQAQLPSYPNTNAQGSTNFDIR >itb03g08130.t5 pep chromosome:ASM357664v1:3:6125463:6130741:-1 gene:itb03g08130 transcript:itb03g08130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKRNPLKRRPSPQFKKKGGPKRGKWNNPIPRRSSEKAHVSDTVYRILCQSRKIGSVIGKGGSIIKALREETQAKITVEDSVPGSDERVIIVSSPSAQLPEVTEDVDMEPHCAAQDALLKIHEKIVEEDLDGAGDEDNNEISVTTRLLVPNNLVGCVLGRKGDVIQRLRSEIGASIRVLPPENLPSCAMSTDELVQVSGTPSLVKRALYEISTLLHENPRKDKPPMSYPVAHGVQGFRSSDFPMGGMLPPGNSVWPRRNHNLNGMSHLPEVGGYENQPSRYGVENFDIVPPPHGGEPPTEFTMKILCLASKIGGVIGKGGLNVRQLQQETGASIHVEDVSADSDERVIRVSSIEALWDPISQTIKAILQLQSQTSEFSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTKADIRVFSKEEKPKCASKDEELVQISGTFGVAKDALTEIASRLRTRSLRDSNAKVEPAPLRMAPGFGPPGDFPVVSLPPHGGLGTGSSSRFDYLKVNSLGGNILVRASGISVCLCIMCLR >itb04g08370.t1 pep chromosome:ASM357664v1:4:6650406:6650747:1 gene:itb04g08370 transcript:itb04g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSFPIEICRRPNWQVLFCSLKSPILSCEVFDEMPRRVEGVMLELKEILVLLLLVVKEAAIVLLQKAMVEGARLWFAHGRYRRKQGKSLLVVSGAVARVVGVSPLLAKKPSS >itb15g06170.t1 pep chromosome:ASM357664v1:15:4055621:4057567:-1 gene:itb15g06170 transcript:itb15g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVFLDTILVPLSLSLTIGYHAYLCHRLKHKPAATTIGLNMLKRRSWLQDYNQGNDKKGMLAVQSLRNTLMASILTATITVIIIVSLAALTNNAFNAASHLFHGPLLGLHSGRLIVLKLGCAAIFLLASFMCSSMAIANLIDANFLVNAVGADDFSASPPPSHTQTVLERGFKLAVVGNRALCMAFPVLLWMIGPVAVFVSSVALVWALHGLDFVGDFSAIAGKTSLQP >itb09g04180.t2 pep chromosome:ASM357664v1:9:2326493:2329136:-1 gene:itb09g04180 transcript:itb09g04180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASTFGIERITRAERIQHELWPLDEIDPKKAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGTILDFSGSYLINVDNFAFGAVARYYQLDREQCCFPPNLAGHTCKCRYKHIDYGTAITWDEGLLSSVRHFEHQSYNLFTCNSHSFIANCLNRLCHGGSMGWNMVNVAGLVLTKGCWVNGFAILRSFLPFLVVLCFGLAIVGWPFLIALSSFSLLLLGWFLVATYCVKGLLEY >itb09g04180.t3 pep chromosome:ASM357664v1:9:2326493:2328927:-1 gene:itb09g04180 transcript:itb09g04180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASTFGIERITRAERIQHELWPLDEIDPKKAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGTILDFSGSYLINVDNFAFGAVARYYQLDREQCCFPPNLAGHTCKCRYKHIDYGTAITWDEGLLSSVRHFEHQSYNLFTCNSHSFIANCLNRLCHGGSMGWNMVNVAGLVLTKGCWVNGFAILRSFLPFLVVLCFGLAIVGWPFLIALSSFSLLLLGWFLVATYCVKGLLEY >itb09g04180.t1 pep chromosome:ASM357664v1:9:2326646:2329028:-1 gene:itb09g04180 transcript:itb09g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASTFGIERITRAERIQHELWPLDEIDPKKAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGTILDFSGSYLINVDNFAFGAVARYYQLDREQCCFPPNLAGHTCKCRYKHIDYGTAITWDEGLLSSVRHFEHQSYNLFTCNSHSFIANCLNRLCHGGSMGWNMVNVAGLVLTKGCWVNGFAILRSFLPFLVVLCFGLAIVGWPFLIALSSFSLLLLGWFLVATYCVKGLLEY >itb10g03680.t1 pep chromosome:ASM357664v1:10:3434577:3435221:-1 gene:itb10g03680 transcript:itb10g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPQLAWQESSLSLQELVKVPILAIPNRYICVNQEPTISSSNSNPSIPTIDLQTLLMEETKDQGLEKLHSACKDWGIFQLVNHGVNPSVLEKLRNGIEEFYSLPLEEKLLYKVRPGEVEGYGQSSVYCDDKKVDWADRFYMTTNPIYRRKPYLLPKLPSSLR >itb03g20830.t1 pep chromosome:ASM357664v1:3:18667997:18671120:1 gene:itb03g20830 transcript:itb03g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIFFFFFFICHAGLISHVNAKDTLAPGTSLLFYAGNYLESSNTQYRLKFIQQPGGSTFNWYLCIQSARYHFQENPEDITIWVAWQGQSDFPPALYMLEEGQLIIDADKDFIVNIQQPSYVKNTTATLLDNGNLVLRSAGGRTLWQSFDYPTNTWLPGMKLGWFGLKTPRPYQRFLTSWIGKQNPSPGAFTLGVHPNNTKQPQLVLMRRGIVYWQSGAWNGTNFKFLQNDNLNYSYFSDDNQRYFILNQQLHFNLTYTLIHASGEVTVEYQGDTEYSSSSYIYCYNSESETAYLDEGCVRVKKSNCSAGHNDWFNSTTGFIDEWEQFLFNSTFGITDCNEMCAKNCSCNAYASMNAEAGTGCKFSSSPAYHYGSDGEALYIRHNAKPANRTKLSPLHHPALPPFTKTKSHHNKTPTIAALTLPFLVILTMVFIVCGYMSPEYAMNGCFSEKSDVFSFGVMVMEIVSGKRNNDFYNPNHVSNLLGYVWDMWIEGRILDLIDPSMEKTVSINEATRCIQIGLLCVQDNAADRPTMSEVISMLGNESTVLLTPKQPGFSTIIGLKRDDVGNKPKVSTNMITISDIEGR >itb09g25320.t1 pep chromosome:ASM357664v1:9:25301759:25307868:1 gene:itb09g25320 transcript:itb09g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVHHKFSHKDGIFRHPCAKKNEVMIINSNESNADRKALLENALFGDREMFNEETWERGILAIGTLGFDQMNEKHHFGDDEDEVEEEEEEDLEEYYSDNGDYCDDGITSSYEEEEDEEKEELNPLMFNAAIFSCDDSLGVMSDSGNKKRERITLADLFSAETNTGGAQQDHVILVKSSAAGHGGVGEHATAKPPPLPASSLPTKTGISFAKKIIPKLVGDRRPRRPINKLHQLMTRMLRRKIHPEMEGKKSQTKPTSLTTK >itb09g25320.t2 pep chromosome:ASM357664v1:9:25301759:25307868:1 gene:itb09g25320 transcript:itb09g25320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVHHKFSHKVLLTDGIFRHPCAKKNEVMIINSNESNADRKALLENALFGDREMFNEETWERGILAIGTLGFDQMNEKHHFGDDEDEVEEEEEEDLEEYYSDNGDYCDDGITSSYEEEEDEEKEELNPLMFNAAIFSCDDSLGVMSDSGNKKRERITLADLFSAETNTGGAQQDHVILVKSSAAGHGGVGEHATAKPPPLPASSLPTKTGISFAKKIIPKLVGDRRPRRPINKLHQLMTRMLRRKIHPEMEGKKSQTKPTSLTTK >itb14g02220.t1 pep chromosome:ASM357664v1:14:1815556:1816877:-1 gene:itb14g02220 transcript:itb14g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSADESTTSDSKSAPAKTTTKWPAEKLCRMGSGGSVIIDAENGGVEAESSRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEEEAARAYDVAATRFRGREAVTNFNKPLQIFAEGGRNDDVQVAFLNAHSKLEIVDMLRKHTYGEELEQFKAAFGKFRNRSNRKDDNTLDNPNNSSTREPLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQAAAAAAPKGILLNFEDKTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKSLKAGDVVSFQRAAGPEKQLFIDCKTGPAGSSFVQAQHKTAPAQVVRLFGVNIWKAAAGDVNGNGGDISSCGGVKRLREMDLLGVDGGKKQRVIDAL >itb04g32540.t2 pep chromosome:ASM357664v1:4:35038829:35045517:1 gene:itb04g32540 transcript:itb04g32540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAASSLSSSVKFHCRPKASPHNHSNAAYPFHKFTCNWNSKNHSLMLPTWISSNGRRRFDAFKSFSGQQVQKQEDEQVYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTIGAGILAIPAVTQESGFLASAVTCIGCWIFMVTTGLLIAEVNVKTMCELGSGGVSLVSMAMRTLGNTGVQIACWSYIFIHYALLVAYVARSSDILTSFLGIPLWETATLFSLLLGGLCLFGSQRIIGAVNGALVLGIIASFTALVVVASGDLHWEALLKANFEAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRSAIILGTAIPLALFLVWNGVILGTIGAPETGLDKIVDPLQQLRSANGVGVWNLIHHCLIYFSLAS >itb04g32540.t1 pep chromosome:ASM357664v1:4:35038825:35045517:1 gene:itb04g32540 transcript:itb04g32540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAASSLSSSVKFHCRPKASPHNHSNAAYPFHKFTCNWNSKNHSLMLPTWISSNGRRRFDAFKSFSGQQVQKQEDEQVYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTIGAGILAIPAVTQESGFLASAVTCIGCWIFMVTTGLLIAEVNVKTMCELGSGGVSLVSMAMRTLGNTGVQIACWSYIFIHYALLVAYVARSSDILTSFLGIPLWETATLFSLLLGGLCLFGSQRIIGAVNGALVLGIIASFTALVVVASGDLHWEALLKANFEAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRSAIILGTAIPLALFLVWNGVILGTIGAPETGLDKIVDPLQQLRSANGVGPIVDVFSLFAIATSYIGFILGLSDFLADLLKLPSGQNTLPYLLTVVPPLILSLLDPEIFFKALDFAGTYGVLVLFGLLPAAMSWSDRYSESSKSPRLPPLVPGGRLTLSLVLGGSAFVILSEILENFAHA >itb15g15210.t1 pep chromosome:ASM357664v1:15:13829130:13829509:-1 gene:itb15g15210 transcript:itb15g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNLVAVTRRRTEPRSLERTTDHQKRSPEAVTLPLSSTSNGADGTSSGDLEPMPRLQTSDLACEFAPATLNLTEKTPSSLPSDPLFSPSEELQTPGKK >itb05g23780.t1 pep chromosome:ASM357664v1:5:28821108:28830140:-1 gene:itb05g23780 transcript:itb05g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESSKEQSHKSHRKRQSGSSANKKKSKSIDETQKQKNPKAFAFNSTVKAKRLQARATEKEQRRLHVPTIDRSTGEPAPFVVVVQGPPQVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDVAKFADLALLLIDGSYGFEMETFEFLNILQNHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYAKREVHNLARFISVMKFPPLSWRMSHPYIMVDRFEDVTPPEKVQMNNKCDRNVTLYGYLRGCNLKKGAKVHIAGVGDFSLAGVTALADPCPLPTAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKADDANAGVTQKENNHDVGEVLVKSLQNTKYSIDEKLEQSFISLFGKKPNTSSVSQLEAKDLPDQEADIDNMDDSESSDGEGDHSDDVSDEENDIRSEQGTTSNSGFREQADFHDGRMRRKAIFDNDNDLDDAEDNDEDSEELDEEVEGDDSDNSDEEEESEPDVAAENSSEHRDGVELGNASRWKESLAERAHSRQSLNLMQLVYGKTASKSTTASEMEQISEDDDSEDDEFFKPKGEGKKKGKDVLYDDNLDAEDCSKFLSHASEKNWKDENLIEGIRNRFVTRGWSKATAAGVPTDAVSDDNDGDDDDVFGDFEDLETGKKYESQQRNDASAKSDEALAAEERRLKKLALRAKFDAQHDDLESADEGNDNKSGADSRGQASGSGYFDKLKDEIELRKQQNLAELNELDDATRIEIEGFRTGMYLRLEVHDVPFEMVEYFDPCHPVLVGGLALGEENVGYMQVRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPIYAIEDLNGRYRMLKYTPEHMHCLAMFWGPLAPPRTGMIAVQNLSNNQAAFRITATATVLEFNHAARIVKKIKLVGHPCKIFKKTALIKDMFTSALEIARFEGAAIRTVSGIRGQVKKAAGEEIGNMAKKKGGLAKEGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTALQPRGKTWEGMKTVSELRREHNLAVPVNKDSLYKPIERKARKFNPLVIPKSLQAALPFSSKPKDTPSRRRPTLENRRAVVMEPNEKKLQRVLQHLRLIRSEKVKKRKLKDQERKKVAEAEKAKEEQVSKKRRREERRDRYRVQDKMKKRSRRD >itb10g23650.t1 pep chromosome:ASM357664v1:10:27902204:27902656:1 gene:itb10g23650 transcript:itb10g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCNAQNSPQDYLDAHNAARAAVGVGPMTWDTAAAAYARNYANIRSADCSLTHSGSFQFGFGENLAAGGGPLTGKSAVDMWVAEQQYYDYATNSCNAPAGQSCGHYTQVVWRNSVKLGCARVQCSNGAGHFVTCNYSPPGNFNNQKPY >itb11g10140.t1 pep chromosome:ASM357664v1:11:6884997:6889420:1 gene:itb11g10140 transcript:itb11g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKPVFSAFPYKPYPIQLDFMNALYRSLENGGIAMLESPTGTGKTLSIICSALQWLVDRKQLQKDKPENQSGPDDEPDWMRNFVLNTETKASEKKEKRTSFRKNNFNRKEKQEAFRDIETKETKVLKSNNGFEGMDDEEFLMEEYDSEGENGGKLKRKSGEDSASSSSEDDDDDKEEQRLKVYFCSRTHSQLSQFIKELRKTKFASELTSVCLGSRKNFCINEDVLKLGTSTRINERCLELQKSRKKECCKVTKTKNLGLKGRVRRTKASSGCPMLRSRKVEKEFRSEISQQGPLDIEDLVHIGRELGTCPYYGSRNIVPKADLIVLPYQSLLSKSSRESLGLSLKDNVVIIDEAHNLADSLISMYDAKVTLSQLDCLHSHLESYLKRFQNLLGPGNRRYIQTLMVLTRAFLQILCHGKNASNAEPFYPAQGTESSFEFSMAINEFLFSLNIDNINLVKLVYYIQESNIIHKVCGYGDKLAAEKVSASKDSDKSSDGSSLSGFQSLLDMLLSLTNKDSDGRVIVSRTRPSSEGQKGGYLKYVMLSGEKIFSEVLDQARAVILAGGTLQPIEETKERLFPSLQPDKLHFFSCGHIIPSENILPIAVSHGPSGHPFDFSYNTRTSSIMIEELGLLLCNLVTLIPEGIVVFFSSFDYEALVYNSWKELGILARIMKKKRIYREPRKSTEVKLVLREYNDTIEELSHSDPKSHNGAILLAIVGGKISEGINFSDGMGRCIIMVGLPYPSPSDIELIERVKHIESIGCASISKTPKLSAPTQCFNGDAQAGLSILRSCKHRGKQYYENLCIKALNQSIGRAIRHINDYAAILLVDGRFSSNPTERRSSHLTSKLPQWINARLVSSTKNYGEVHRLLHQFFKFHKDKVK >itb05g08180.t1 pep chromosome:ASM357664v1:5:10962828:10964318:-1 gene:itb05g08180 transcript:itb05g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSQCGITGHNSRTCTTVKGCISNTNNNVVVGGLRLFGVQLEISSSPSSLCSRSSSSSSSTNSSVAMKRSLSMDCLSSSPSSSPHSSCRLVSVHENCEIKTSINGYLSDGLIGKAQEKRKEKLGKGDWRGISRKFVSTRTPTQVASHAQKYFLRQACLNHKKRRASLFDMVCILFS >itb03g08280.t1 pep chromosome:ASM357664v1:3:6203073:6204107:-1 gene:itb03g08280 transcript:itb03g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDESVSLFSALDPKSLLLSQFSSADHPQFLQLTTEGFLLERGPRYKAYADLRESKLRMKHMKPPVSEEEELILTPPKKQVKFQGSMATPPRRSKGASVLAQSVPDFSYALRKENRKPPAPLPPVMEKSATPPPPGMKSGRFVGGVGSKSLNSGDKRSGGLMSRKSYANLEEFKGLASAARNAINGDNRAGNGRRGGTGKTVLGYRQL >itb09g05450.t1 pep chromosome:ASM357664v1:9:3115352:3118255:-1 gene:itb09g05450 transcript:itb09g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRADDTLNQTDHPTLESKQATPSFMAGHGGSSELEKVLSDTQLPYFQRLKSASWIELRLLFRLAGPAVMVYLINNSMSLSTRIFSGQLGNLQLAAASLGNQGIQLFAYGLLLGMGSAVETLCGQAFGAQRYDMLGVYLQRATVVLTITGIPLMVVYLFSRQLLLALGEPKTVAYYAALFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSALISLGTLFLHLLLSWVFVYEVGLGLIGASLVLSFSWWVIVGGQFVYILKSDKCKATWTGFRPEAFAGLWEFVKLSAASAVMLCLETWYFQVLVLIAGLLKNPELTLDSLSVCMSVNGLVFMVSIGFNAAASVRVGNELGAGNPKSAAFSVLVVTVVSFAIAVVEAIVVLCLRHVISYAFTTGDVVAEAVSELSPFLAVTLILNGIQPVLSGVAVGCGWQSFVAYVNVGCYYIVGIPLGCLLGFKFDLGAKGIWSGMIGGTAMQTFILLWVTFRTDWNKEVEKSRARLEKWESTKEPLLKS >itb06g16690.t1 pep chromosome:ASM357664v1:6:20749064:20753624:1 gene:itb06g16690 transcript:itb06g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSRIPFLFLVSEISPSQTYHQWSMLQMTPLFTKFVLFFVLISTVCLSHSHRSSAAVTAENEKTLAMIKPDGILGNYSSVIKETINNHGFSITQELFVQLNEDTVKSFYAEHSLKSFFPSLIEYMTSGPVLIMALEKGNAIDDWRALIGPTDPLKAKATHPRSIRAMCGLDVQRNCVHGSDSPHSAAREISFFFNEPSSGNSVADHILEENLEDAASLFVDEIGYMLHSTMASQTTNGLLRDSLDVVPDNLTVSFRFSLSQTLTALSTPNIFKKFLSSSLRKSDLSNNFDLLGLNFHFRVVLEVGNLQ >itb06g11590.t1 pep chromosome:ASM357664v1:6:16137020:16140333:-1 gene:itb06g11590 transcript:itb06g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHERGNQYKVHCLILPYPAQGHINPMLQFSKRLEAKGVKITIAITKFFLTTLQDLSSSHLSIETISDGFDEGGYSQAPSGQVYIEKFREVGSETLAQVIRRLRVGRFPVSCVVYDAFLPWVVDVAGEEGISGAAFFTQSCAVDGIYYYCQQGRFKVPLGGDQVVEIPGLPCLEPKDMPSFIYSPESYPMALEMLMNQFCNIQKADWILVNTFYELELQVIDWMRKLWVVGAIGPTVPSKYLDNQLPDDKEYGLSMFKPMTEVCMKWLDDRQYGSVIYVSFGSMVKLQEEQMEELAWALRSSNRPFLWVVRSEEANKLPKNFLEEIEEETRGLVVSWCPQLQVLAHRAVGCFLTHCGWNSTLEAISLGVPMVAIPQWSDQATNSKLVMDKWKIGVRAKAGENGVVKREEIEECIRRVMEEEEMRANTRKWKQVCREAMEEGGTSDRDIQDFISCLAAKSMTK >itb06g01260.t1 pep chromosome:ASM357664v1:6:2520434:2522823:-1 gene:itb06g01260 transcript:itb06g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVYPFFFGILSSSKLARSLLFSVSFLLEIARQPSAHLAISILASSDSKSTRELSHRPQPSTPAVDVSPRRRVCTGVVKELQISRRPSCTRGRVPRRRVCPASPASPPPSLPPSPLTVSAVGRRFCPGVSGRWSGSNSCVIVLYFEAERGTADIEERLELSQVKIVIKEQKKRKKKKKGLPELAGPTSL >itb05g26640.t1 pep chromosome:ASM357664v1:5:30408742:30411757:-1 gene:itb05g26640 transcript:itb05g26640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGAARSFCMPAIDLGRLHGRAPIAFGAGFASIAGASFRRLSPSPSKPFASLGISTDTSVKEVVQTEKAPAALGPYSQAIKANNLLFVSGVLGLVPETGKFVSDSVEDQTEQFELKCMQVLKNIGEILKAGGVNYSNVVKTTILLADLKDFKTVNEIYAKYFPSPAPARATYQVAALPMDAKIEIECIAAL >itb02g16470.t1 pep chromosome:ASM357664v1:2:12329314:12331153:-1 gene:itb02g16470 transcript:itb02g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MASIALKTFTGLRQSSPPENASISKPLPKPHTNRRVLRINASNSSPRVTGRNLRVAVIGGGPAGGCAAETLAKGGIETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDAYLRERAAGAGATVLNGLFLKMDLPKSPTSPYVLQYTAYNGGGGGERRTLEVDAVIGADGANSRVAKSINAGDYEYAIAFQERIRIPDDKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQLATRVRAAAKITGGKIIRVEAHPIPEHPRPRRVQDRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVDESDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADDYVQKMTFDSYLYKKVAPGNPIEDLKLAVNTIGSLVRANALRKEMDKLSV >itb11g00840.t1 pep chromosome:ASM357664v1:11:367891:371083:1 gene:itb11g00840 transcript:itb11g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKMAECGGGNAVDILSAEDWLLRAQELVPVALETARGVRGFPGRWKMIISKLEQIPSRLSDLSSHPCFLKNALCKEQLLAVTKTLNEAIELAGMCVKEKYDGKLQMQSDLDTLSGKLDLNLRDCGILIKTGVLGEVTLSSAAASTSIRSNLRELLARLQIGHLEAKHRALDSLLEAMKEDEKNVLAVLGHSNIAALIQLLTATSPQIREKTVRIFCSLAESGCCEKLLVSESVLPPLIRLVESGTPLAKEKATVSLQRLSMSTETAQSIIGYGGVRPLIEICQTGDSVSQAAAACTLKNVSAVPDVQRVLAEEGVVKVMISLLNCGILLGSKEHAAECLQNLSSGNDDLKRHIISEGGVQSLLVYLDGPLPQESAVGALKNLVSSISIELLISLSVLPRLVHVLKSGSPGAQQASATAICRICTSAVTKKTVGEAGCIPLLVKTLEAKANSTREVAARALYTLMTVAYNCREVKKDDRSVPNLVQLLDPSPQNSAKKYAVSCLTLLTSSRNCKRLMVSYGAIGYLKKLTEMDVPGANTLLQLLERGKLRSLFSRK >itb11g00840.t2 pep chromosome:ASM357664v1:11:368689:371083:1 gene:itb11g00840 transcript:itb11g00840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKMAECGGGNAVDILSAEDWLLRAQELVPVALETARGVRGFPGRWKMIISKLEQIPSRLSDLSSHPCFLKNALCKEQLLAVTKTLNEAIELAGMCVKEKYDGKLQMQSDLDTLSGKLDLNLRDCGILIKTGVLGEVTLSSAAASTSIRSNLRELLARLQIGHLEAKHRALDSLLEAMKEDEKNVLAVLGHSNIAALIQLLTATSPQIREKTVRIFCSLAESGCCEKLLVSESVLPPLIRLVESGTPLAKEKATVSLQRLSMSTETAQSIIGYGGVRPLIEICQTGDSVSQAAAACTLKNVSAVPDVQRVLAEEGVVKVMISLLNCGILLGSKEHAAECLQNLSSGNDDLKRHIISEGGVQSLLVYLDGPLPQESAVGALKNLVSSISIELLISLSVLPRLVHVLKSGSPGAQQASATAICRICTSAVTKKTVGEAGCIPLLVKTLEAKANSTREVAARALYTLMTVAYNCREVKKDDRSVPNLVQLLDPSPQNSAKKYAVSCLTLLTSSRNCKRLMVSYGAIGYLKKLTEMDVPGANTLLQLLERGKLRSLFSRK >itb11g00840.t3 pep chromosome:ASM357664v1:11:367800:371083:1 gene:itb11g00840 transcript:itb11g00840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYCAASLTTSKLFCYPVTHFFAQKLSYIFTVLCSIGRSLVMIGKMAECGGGNAVDILSAEDWLLRAQELVPVALETARGVRGFPGRWKMIISKLEQIPSRLSDLSSHPCFLKNALCKEQLLAVTKTLNEAIELAGMCVKEKYDGKLQMQSDLDTLSGKLDLNLRDCGILIKTGVLGEVTLSSAAASTSIRSNLRELLARLQIGHLEAKHRALDSLLEAMKEDEKNVLAVLGHSNIAALIQLLTATSPQIREKTVRIFCSLAESGCCEKLLVSESVLPPLIRLVESGTPLAKEKATVSLQRLSMSTETAQSIIGYGGVRPLIEICQTGDSVSQAAAACTLKNVSAVPDVQRVLAEEGVVKVMISLLNCGILLGSKEHAAECLQNLSSGNDDLKRHIISEGGVQSLLVYLDGPLPQESAVGALKNLVSSISIELLISLSVLPRLVHVLKSGSPGAQQASATAICRICTSAVTKKTVGEAGCIPLLVKTLEAKANSTREVAARALYTLMTVAYNCREVKKDDRSVPNLVQLLDPSPQNSAKKYAVSCLTLLTSSRNCKRLMVSYGAIGYLKKLTEMDVPGANTLLQLLERGKLRSLFSRK >itb11g23470.t1 pep chromosome:ASM357664v1:11:25468223:25472089:-1 gene:itb11g23470 transcript:itb11g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQEVHKREIEEEEEECAYAVQLVTCASLPMVLKAAVELDVFEILNGAGSGVQLSPSQIASQMPTKNPDAPPMLDRMLRLLASHNILTCSVVAAGGGDVTEERLYGLAPVAKYFVRNQDGASLGPLLALLQDKVFMHSWYELKDAVLEGGVPFDRVHGTHAFEYPGKDPRFNNVFNKAMLNHTTVVIKSILEQYKGLQHINTLVDVGGGLGVTLSIITSKYPGIKGINFDLPHVIHTAPAYPRVEHVGGDMFESVPKGDAIFMKWILHDWSDSHCLKLLKNCYEALPENGKVIVVEGILPAKPESSASVISVTQTDLIMLTQNPGGKERSEQQFRALAMGSGFKGITLECFACNFWVIEFHK >itb06g06010.t3 pep chromosome:ASM357664v1:6:8688152:8688774:1 gene:itb06g06010 transcript:itb06g06010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITAYIKGSICEDQCLGKCLYIHGVPGTGKTMSVLSVMRNLKSEVDAGSIKPYCFVEINGLKLTPSEHIYSVIYEALNGQRVGWKKALKLLNERFSNGTERGKEDNRPCILLIDELVLLVTVFAYYLSSCLCGTEFVNEI >itb06g06010.t2 pep chromosome:ASM357664v1:6:8688152:8693133:1 gene:itb06g06010 transcript:itb06g06010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITAYIKGSICEDQCLGKCLYIHGVPGTGKTMSVLSVMRNLKSEVDAGSIKPYCFVEINGLKLTPSEHIYSVIYEALNGQRVGWKKALKLLNERFSNGTERGKEDNRPSQQRSGELHFTEMAAAVMSFNLVGAFKGLSLNSSSSSSFVRGDFAPVHVGHKISVSFPLKSPVPLTIESAHKKGAGSTKNGRDSPGQRLGVKIFGDQAAKPGSIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDRKKVSVYPHIAQPENPNSYRARKREAFRLQRERRKARREGNFDVPPQLLVLASADESLDNNPTC >itb06g06010.t1 pep chromosome:ASM357664v1:6:8691249:8693366:1 gene:itb06g06010 transcript:itb06g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVMSFNLVGAFKGLSLNSSSSSSFVRGDFAPVHVGHKISVSFPLKSPVPLTIESAHKKGAGSTKNGRDSPGQRLGVKIFGDQAAKPGSIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDRKKVSVYPHIAQPENPNSYRARKREAFRLQRERRKARREGNFDVPPQLLVLASADESLDNNPTC >itb06g15830.t1 pep chromosome:ASM357664v1:6:20062528:20065173:1 gene:itb06g15830 transcript:itb06g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVEEEKENSKGMSENGGGSTNSPPKAASDKHPSDIAMMKERFAKLLLGEDMSGGGKGVSSALALSNAITNTAASVFGEIKKLEPMPPERKARWRKEIDWLLSVTDYIVELVPSKQKLKDGTVMEIMVTRQRNDLHMDIPALRKLDGMLVACLDSFKDQNEFYYASKDDPDSKGKGRKDDKWWLPIPKVPPNGLSEASKKWIQSQKESVNQVHKAAMAINAQVLAEMEIPESYIESLPKNGRASLGDSIYRCITDEYFDPDYFLTSMDLASEHKILDLKDRIEASVVIWKRKMNAKNEKSTWSSAVSIEKRELFEERAETILLILKHRFPGIPQSSLDISKIQYNRDVGHAILESYSRIIESLAFTVLSRIEDVLHADELATNPPEGEKKGCSTPTESEEGGERASADRTTSMTLLDFMGWGLDQADCDMKKESSEESLAKEDDMAANKPPDIAAANKKGTYVETIGGFGSPIARH >itb02g15050.t1 pep chromosome:ASM357664v1:2:10933825:10936630:1 gene:itb02g15050 transcript:itb02g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMFGKNGLKKVQLLPARNDEHGGVIVELQEPMDSNVFYSMLRASLVQWRLQGKRGVWIKVPIGLANLIDTAVKEGFWFHHAEPSYLMLVYWIPKTPDTIPANATHRVGIGAIVMNEQRELLVVQENSGNFKGTGIWKIPTGVVEEGEDIFEGAIREVKEETGIDTEFVELLAFSQTHKSFFEKSDLFFVCVLRPFSFAIEKQDLEIEAAQWMPFEEYAAQPFIQKHSFFKYINDLWLAKEVGKYRGFVPVPITSFFNDPISYLYVNKRDLNQQNLSKIHPLKE >itb09g08470.t1 pep chromosome:ASM357664v1:9:5059813:5060967:1 gene:itb09g08470 transcript:itb09g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTMLRFLLPLLLVTLIPIKSDGQFEQWCIADEQTPDSELQIALDWACGKGGADCSKIQKGQACFSPDTVRDHASYAFNNYYQKYKHKGGSCYFKGAAMVTGLDPSHTSCHYEYMP >itb06g14170.t1 pep chromosome:ASM357664v1:6:18781504:18785098:1 gene:itb06g14170 transcript:itb06g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIALASLRATLEFEIQQPSPRVLPDELQQMRSILQDLAPLQAFLDKFNIKAGRKDAESRDVELKIKRFALQAEDQIEIHLSNIIVLANIKDATKLKEEDRRRELRGSLGEIRKEIGGLSQIVNNHPDTNDDSSKLPSHHQDIPLQLSANKWISQTHEIEMVGRYSELMKIKSELRERDHYDGLKVLSIWGMGGIDSYIEDEGSVVVTARLVHRRRLLVLFLCRSAARLVLAARDQNFSCPPIRRRSFRARLCCVAAVATLLLLLSDSPSPEDDCTSLLSIEESSHSVEEVELLLEMLIKELLLTAAKLMVFATTSKSLHRRTREETPSELGTYAAEASDLDGKFSVLFSPSFFPSSENPNI >itb11g21510.t1 pep chromosome:ASM357664v1:11:23241156:23244860:1 gene:itb11g21510 transcript:itb11g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGEEGKEWEWEVGAFVKGGLFGKAHHFSLVSATLRSGGPRSSHLTSSTHRRLYVRNSGTQRRPSAPALLHRVTEIQGSRQKRLH >itb10g07640.t1 pep chromosome:ASM357664v1:10:9084585:9086603:1 gene:itb10g07640 transcript:itb10g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQHTDAAAASHLRRRRITPTPPLQHTYVFFPHAAARHHHSSPRRLPHAVQPPPSRIFYARCEAAAQRRQAPQPVAPSTSDASSPIAIQPSSRAVAVQVKGEMSFAYRPSHVDATYDFKYGVRSVKGGGRSSARETIGRVAAGAVAKKILKQYCGTEVGYSLLQGSWNTFRLQL >itb05g24750.t1 pep chromosome:ASM357664v1:5:29400700:29403776:1 gene:itb05g24750 transcript:itb05g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAERLHICIVFFFLFCPFSSVNCSTSNSLTVANNGYMNWVKHIGSRNHSVFQIAENKFQPCKTIKVNKNPKLGDFTTVQAAIDSIPTVNLCRVVISVGRGTYREKIEIPATMGYITLQGECRKKTIIQWNDTADRIGKNGLPLGTYGSATFAVNSPYFIAKNITFKNKAPAPAAGALGKQAVAMRISADTAAFINCKFVGAQDTLYDYRGRHYFKNCYIQGSVDFIFGDGLSLYENCHLHAKTNTYGALTAQKRESLLEETGFSFVNCKVTGSAGALYLGRAWGSFSRVVFAYTYMDKIITPPGWYNWGDKHREMTVFYGQFKCSGPGSGHGGRVRWARELTEEEARPFISLSFIDGNEWVQNL >itb08g07330.t1 pep chromosome:ASM357664v1:8:6255929:6259696:-1 gene:itb08g07330 transcript:itb08g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTSLSSQSVDESIIREQVLSTHNPDGREFDTNFILSMAEKTINLETGTAHQKVMVKELNQLEELDIYKELSLHIRQLSFEIASGAIAKNQHYSTTIHHLLSIVSPYCWEDKLVLMIAAFSIIHGESSLISRLRKGKGLVGKLAHLKQSSHCPIPTPSSDKNQTAEDYCCISSAIELTKCVVQLKQCLSYSPPQSLISALPMAAYWIATTVVDCAAYTCDPHFKIQNEHREITTLMATFSAELAKKKSEESYEALRNALYHGSSDKLEVFKLMFNVNDDDEIIFHRKQGIYGIEASSESSNFRHNVGPKIDSMTTDLVHNIADKINAWKKDVEAIIEEMTAQSTPYDSEKEKILWQQQTWTLHLLAPQSDDHYRHYYTIGMPINDWIREEKYIFLYGGNNIKWIREFLCKVREVASKTQMNFEFAYIGKNKMVRETIAKERMSHCALLNSNGVWWFWARLRSKNATIEGWVLLGKGSEVVVCGHGSKMLQVLNDYEIWKENIANTKSFGQAFKDHHEMLSKDKHSCCTLEYPITLSKIPENERCSECSCRMQKFLTFTCCHDSDE >itb02g04450.t1 pep chromosome:ASM357664v1:2:2646753:2651399:1 gene:itb02g04450 transcript:itb02g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILGAFKPACHIVITFSDGKSRKQVPLKKENGRTVTVPLFQSQENITGKISIEPISGKKIEHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGELYERKTYPFDFSTVEMPYETYNGVNVRLRYVLKVTISRGYAGSIVEFQDFVVRNYSPPPPINNSIKMEVGIEDCLHIEFEYNKSKYNLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGATAHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEVTLYRLAETS >itb02g07360.t1 pep chromosome:ASM357664v1:2:4569815:4571653:-1 gene:itb02g07360 transcript:itb02g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEKRMSSETSSPQRSSDDDDLLARSTKKSKVGDRSTGGGMSTVDVDSPPLAEVGAQVGAEVEAGEEMVAETPLAESQEKTDSESAMQIETNTASQNEGEQVAAVGADKDLPSAVSAGVRPRSYLDSVVGQGSDATPFLAEAIVEQEEGQANTTAAHRSVSHQGENSHGVGTFSRAKPYGPWMIVTRKERRPAGPSTRQNVSTGNGNGGVSALGSRFAPLEGTDDREQVPMAIPNAAPVLENGERSNNVSTRVPQQAQGANAAGSRARRANVIANEKQIMNGPSQSRAVPVATESSSQRPTNRGSRRAAEEDKHTVNRGEQGGAVVSTTRVLNSECDEPALTDSAGPSNEHHGDPPEALDCEGDVVMEIEDQQGLDRAEGVSGPSA >itb13g24440.t3 pep chromosome:ASM357664v1:13:30110357:30114656:1 gene:itb13g24440 transcript:itb13g24440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIKECRTIGTMLRMSVLKLLVLSVHIAIAAADNGFARCNCEYEGFWSIENILECQKVSDFLIAVAYFSIPIELIYFISCSNVPFKLVLFEFIAFIVLCGMTHLLNGWTYYGQHSFQLMLALTVFKVLTAMVSFATAITLISLIPLLLKVKVRELMLKKKAWDLGREVGLIKKQREAGWHVRMLTQEIRKSLDRDTILETTLSELSKTLGLHNCAIWMPNQDRTVMNLTHEVRERNFSDVNDFLIPILDTDVQEIKASDEVKLLEPSSPLAAASSGRSSEPGCVAAIRMPMLRVANFKGGTPELVPACYAILVLVLPSGQGRSWGSQEIEIVKVVANQVTVAISHAAVLEESQHMRDKLAEQNRELQQAQQGALRANQARNAFQMVMSNGMRRPMHSIFGLLSILQEDENLNSEQHLLINATVKTSNVISNLINDVMDCSTKDNRKFPLETRCFELHSMIKEAVCVAKCICAYKGYEFSVEVDKSLPNHVMGDERRAFQVILHVVGNLLKNSNGGCLKFHVVPERSSQGGNDLGWRTWRSNSSRENVFVRFEIGVHGNNSQPEHTTSKVLNPNQKYCGKDFEGSLSFSVCKKLVQLMQGDIWVSPNPMGFDQQVMAVVLGFQLRPSVVIGISEYGDSSNRTHSDSLFPGLNVLLADYDDVNRAVTRRMLEKLGCIVSSVSSGYECLGCLGTTISPFQIVLLDLHLPDLDGFEVTMRIRKFKSRNWPLIVALTSNNDASIRGRCFQVGMNGVICKPLFLQGIADELQKVMLIASRTLS >itb13g24440.t2 pep chromosome:ASM357664v1:13:30110357:30114656:1 gene:itb13g24440 transcript:itb13g24440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIKECRTIGTMLRMSVLKLLVLSVHIAIAAADNGFARCNCEYEGFWSIENILECQKVSDFLIAVAYFSIPIELIYFISCSNVPFKLVLFEFIAFIVLCGMTHLLNGWTYYGQHSFQLMLALTVFKVLTAMVSFATAITLISLIPLLLKVKVRELMLKKKAWDLGREVGLIKKQREAGWHVRMLTQEIRKSLDRDTILETTLSELSKTLGLHNCAIWMPNQDRTVMNLTHEVRERNFSDVNDFLIPILDTDVQEIKASDEVKLLEPSSPLAAASSGRSSEPGCVAAIRMPMLRVANFKGGTPELVPACYAILVLVLPSGQGRSWGSQEIEIVKVVANQVTVAISHAAVLEESQHMRDKLAEQNRELQQAQQGALRANQARNAFQMVMSNGMRRPMHSIFGLLSILQEDENLNSEQHLLINATVKTSNVISNLINDVMDCSTKDNRKFPLETRCFELHSMIKEAVCVAKCICAYKGYEFSVEVDKSLPNHVMGDERRAFQVILHVVGNLLKNSNGGCLKFHVVPERSSQGGNDLGWRTWRSNSSRENVFVRFEIGVHGNNSQPEHTTSKVLNPNQKYCGKDFEGSLSFSVCKKLVQLMQGDIWVSPNPMGFDQQVMAVVLGFQLRPSVVIGISEYGDSSNRTHSDSLFPGLNVLLADYDDVNRAVTRRMLEKLGCIVSSVSSGYECLGCLGTTISPFQIVLLDLHLPDLDGFEVTMRIRKFKSRNWPLIVALTSNNDASIRGRCFQVGMNGVICKPLFLQGIADELQKVMLIASRTLS >itb13g24440.t1 pep chromosome:ASM357664v1:13:30110357:30114656:1 gene:itb13g24440 transcript:itb13g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIKECRTIGTMLRMSVLKLLVLSVHIAIAAADNGFARCNCEYEGFWSIENILECQKVSDFLIAVAYFSIPIELIYFISCSNVPFKLVLFEFIAFIVLCGMTHLLNGWTYYGQHSFQLMLALTVFKVLTAMVSFATAITLISLIPLLLKVKVRELMLKKKAWDLGREVGLIKKQREAGWHVRMLTQEIRKSLDRDTILETTLSELSKTLGLHNCAIWMPNQDRTVMNLTHEVRERNFSDVNDFLIPILDTDVQEIKASDEVKLLEPSSPLAAASSGRSSEPGCVAAIRMPMLRVANFKGGTPELVPACYAILVLVLPSGQGRSWGSQEIEIVKVVANQVTVAISHAAVLEESQHMRDKLAEQNRELQQAQQGALRANQARNAFQMVMSNGMRRPMHSIFGLLSILQEDENLNSEQHLLINATVKTSNVISNLINDVMDCSTKDNRKFPLETRCFELHSMIKEAVCVAKCICAYKGYEFSVEVDKSLPNHVMGDERRAFQVILHVVGNLLKNSNGGCLKFHVVPERSSQGGNDLGWRTWRSNSSRENVFVRFEIGVHGNNSQPEHTTSKVLNPNQKYCGKDFEGSLSFSVCKKLVQLMQGDIWVSPNPMGFDQQVMAVVLGFQLRPSVVIGISEYGDSSNRTHSDSLFPGLNVLLADYDDVNRAVTRRMLEKLGCIVSSVSSGYECLGCLGTTISPFQIVLLDLHLPDLDGFEVTMRIRKFKSRNWPLIVALTSNNDASIRGRCFQVGMNGVICKPLFLQGIADELQKVMLIASRTLS >itb09g00480.t1 pep chromosome:ASM357664v1:9:373330:377611:-1 gene:itb09g00480 transcript:itb09g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLREDKQFFLDHPGAIPITTAQGEELKKLIGAAAYIECSAKTQQNVKAVFDAAIKVVLQPPKQKKKKKRKGQKGCSIL >itb10g11670.t1 pep chromosome:ASM357664v1:10:17320903:17321455:1 gene:itb10g11670 transcript:itb10g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFHSGGFVSGSNNTVANDLFCRRIAKLCDVIVLGVGYRLAPKNRYPAAVEDGLCVLLGVSCGGNIADYVTRKAIEAGKLL >itb07g04510.t1 pep chromosome:ASM357664v1:7:3011441:3014068:1 gene:itb07g04510 transcript:itb07g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGRSYIIVNSSNGKQPKEPRGTLLGMIVGIIFGFLIGASSPWLSLTKLNINGSLDVGSNENIDLTANQNVMDPPKDLTSRPKYLVAFTVGYNQKDNIDAAVKKFSDNFTILLFHYDGRTTEWDEFEWSKRAIHVSVAKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGLENFNAEEYIKLVKKHGLEISQPALEVNGSWISWELTKRQSDREVHKEVVIEKPDSCTDPHLPPCAAFVEVMVPVFSRDAWRCVWRMLQNDLVHGWGLDLKFQRCVEPAHEKIGVVDAQPVLHKRIPTLKDDEINGTPKNGVPLQESNDAISLQRNEVHKRGFLEWGMFENRFADADEAYYKSIGRDSPFQSQTSLESSI >itb01g33300.t2 pep chromosome:ASM357664v1:1:36457727:36466356:-1 gene:itb01g33300 transcript:itb01g33300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPNSGEDCSVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVLIGSHSFTFDLVYGSTGSPPSSMYEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGLIPQVMNALFGKIETLKHQTEFQLHVSYIEIHKEEVRDLLDTSSVSKSETANGHVGKVHIPGKPPIQIRETSNGVITLAGSTERSVRNLKEMAECLEQGSLSRATGSTNMNNQSSRSHAIFTITVEQMRKMNSMVSSDGNTSDCLTEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIINRDPLSNEMLKMRQQLEYLQAELCARGGGSLSDEMQALKDRISWLERTNGELSRELHEYRSKCAASEPCGIDANVSGAFSVRSEGLKRGLQSMKSSDYSMIESAGDPGGLDEEAEKEWTHTLLQDSMGKELNELNRRLEEKESEMKLYGGLEMMALKQHFGKKLLELEEEKRVVEQDRDRLLTEVENLAANSDGHAQKLQDMHAQKLKTLESQIQDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQQKIKQESEQFRQWKASREKELMQLKKEGRRNEYERHKLLALNQRQKMVVQRKTEEAAMATKRLKELLEARKSSARENSVTSNGYGANGQSNEKSLQRWLDNELEVMVNIHEVRYEYEKQSQVRAALAEELAALRQIDELAAKGISPPRGKNGLSRASSISPNSRMARIASLENMLGISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGDAKNLLQYMFNSLADARCQMWENELEIKEMKEQMKELVGLLQQSEIKRKEAEKELKVREQTAAIALATSDSGNSNHDMTGALSPMPVPAQKQLKYTAGIANGLVTESASFVNQSRKMVPMGELSMKKLAIIGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPRTLPLPNIKRSH >itb01g33300.t1 pep chromosome:ASM357664v1:1:36457189:36466356:-1 gene:itb01g33300 transcript:itb01g33300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPNSGEDCSVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVLIGSHSFTFDLVYGSTGSPPSSMYEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGLIPQVMNALFGKIETLKHQTEFQLHVSYIEIHKEEVRDLLDTSSVSKSETANGHVGKVHIPGKPPIQIRETSNGVITLAGSTERSVRNLKEMAECLEQGSLSRATGSTNMNNQSSRSHAIFTITVEQMRKMNSMVSSDGNTSDCLTEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIINRDPLSNEMLKMRQQLEYLQAELCARGGGSLSDEMQALKDRISWLERTNGELSRELHEYRSKCAASEPCGIDANVSGAFSVRSEGLKRGLQSMKSSDYSMIESAAGDPGGLDEEAEKEWTHTLLQDSMGKELNELNRRLEEKESEMKLYGGLEMMALKQHFGKKLLELEEEKRVVEQDRDRLLTEVENLAANSDGHAQKLQDMHAQKLKTLESQIQDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQQKIKQESEQFRQWKASREKELMQLKKEGRRNEYERHKLLALNQRQKMVVQRKTEEAAMATKRLKELLEARKSSARENSVTSNGYGANGQSNEKSLQRWLDNELEVMVNIHEVRYEYEKQSQVRAALAEELAALRQIDELAAKGISPPRGKNGLSRASSISPNSRMARIASLENMLGISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGDAKNLLQYMFNSLADARCQMWENELEIKEMKEQMKELVGLLQQSEIKRKEAEKELKVREQTAAIALATSDSGNSNHDMTGALSPMPVPAQKQLKYTAGIANGLVTESASFVNQSRKMVPMGELSMKKLAIIGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPRTLPLPNIKRSH >itb12g22730.t1 pep chromosome:ASM357664v1:12:24712684:24714191:-1 gene:itb12g22730 transcript:itb12g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNKHATAVARSYATDVTPPLSHARLLQTSRHRHRLPRICLSAGHTTATVSRLHRHRRTSPPSPSHISTADQFQWGLGLGLGGAKHHQKVLWDIIQGITMSAIRRLARRGFAGLIYGKTGKM >itb12g00030.t1 pep chromosome:ASM357664v1:12:12313:13661:-1 gene:itb12g00030 transcript:itb12g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIEDHLLIGKFSRGRPTLEGIRRHFASKFIFKGTIEVGWIDPRHITIEFSSKDDCTDMRMREMILFDGGFAMKLFRWTLGFSTEKESSLAPVWIKLPGLSANCYNMGALRQICKPVGRFLALDQATLNFTRPSYARVKVEVDLLKPRVTEIFVGFSREPGEEDKGYVQQVEYERMPMYCSNCFKHGHTLDFCRAGVLNGFAGTQRFAAGQNMMSRGRSSSRARNGNMRPRSTSKGGKETNQNTKGERQEKEIHDLKKQEEVPEMKGCLYEDPLDISYAPVFSAGRSPMNLGRIEGEWVTVKGKGIGNGSGIKQRAGGSRQGNSEEGLKAFEEGNKYGVLGERKENLDPGELMKTGRESEGVSEEDEEGKIERGRDLDAERERENKAKNLSPRKTRGQISKAQKRGGDPSAAKKKR >itb01g04770.t1 pep chromosome:ASM357664v1:1:3243499:3246123:1 gene:itb01g04770 transcript:itb01g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MSFQLLTTTSTAQATPPPLPPSLAPRTHIPAHVYTHPAAILLELCTSVKELRQMLPVVIKNGLYNELLFQTKLVSLFSKYGSLNEAAKVFEPINPKQDALYHTMLKGHIHHSSLDSSFSFYSRMRSDNVTPVVYNLTYLLKACADNSDIQKGKQIHGQLILNGFSSDRFAMTSVVSLYAKCRLVEDAHKMFERMPERDIVSWNTVIAGFVQNGMPKRALELVVRMHRETSLRPDFVTLVSILPACAATGALRIGKSIHGYVVRNGLESSVNVSTALVDMYAKCDSVDAAKLVFDKMELRSVVSWNAMIDGYAQSGNSDEALMLFEKMLDEGLRPTNVTVMAALHACADSGSLERGRRIHELIDRLGLASDVSVVNSLIAMYSKCKAVDIAAGLFETLPRKTLVTWNAMILGLAQNGQVMQALSLFCKMQLNNIRPDSFTLVSVATALAELSVLRQAKWIHGFAVRTCLDKNVFVMTALVDMYAKCGAVYTARRLFDAMEDRHVTTWNVMVDGYGTHGFGKEAVELFEDMRGSSIEPNDITFLCIISACSHSGFVERGQHYFDVMQEEYGIHPSMDHYGAMVDLLGRAGRLNEAWDFINNMPVEPEINVFGAMLGACRIHKNIELGEKAADRLFELHPDDGGYHVLLANIYASASMWNKVAKVRTLMEKKGIRKTPGCSLVDLRNEVHSFYSGSTTHPQSKQIYAYLETLLKKIKAAGYTPVTDSFHDVEDDVQEQLVSTHSEKLAIAFSLLNTSPGTAIHIRKNLRVCSDCHTATKFISLVTQREIIVRDMHRFHHFKNGTCSCGDYW >itb09g02120.t1 pep chromosome:ASM357664v1:9:1277758:1280335:1 gene:itb09g02120 transcript:itb09g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDYNKDGSMEDLLCREKTGVRSAGCRVGFLYSPRQRRTTRMAAAPAESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELIQPEILRYKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >itb06g25170.t1 pep chromosome:ASM357664v1:6:26364662:26365441:1 gene:itb06g25170 transcript:itb06g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKISMPNADPLLLELDHHSSSTRRKKQKRLLIVVLVLCFTLALAAIISVVAVLKHQIESESPAKNPTLAALSVCSLSDHPNADCFTILSPLSSSSEINPTQILTLAVRSAIAAITELTPLPSSTDPETNSTLRTCEAALNETLSQLAAASTTLGVYPVVAVGNLTTEESLTCLDWLEYDYWTTETGIERFRKSTRYASSSLRILRRANMISETLYPTIKSTLASFLAGWDSTFTVFVFGAQYLYLVVLFCILLRLY >itb13g20240.t1 pep chromosome:ASM357664v1:13:27058996:27061348:-1 gene:itb13g20240 transcript:itb13g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTILFHLLLLISLPLYDANSEGDALYALRRAVRDPNNVLQSWDPTLVDPCTWFHVTCDSDNRVTRLDLGNAKLSGNLVPELGKLERLQYLELYMNNLEGPIPAEFGGLKSLVSLDLYHNNLTGTIPPSLSKLSNLRFMRLNGNTLTGRIPRQLTKLANLKILDLSSNDLCGTFPTTGSFSKFNSESFLNNPRLEGPELVGFVRYDVGGCN >itb13g20240.t2 pep chromosome:ASM357664v1:13:27058996:27061348:-1 gene:itb13g20240 transcript:itb13g20240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTILFHLLLLISLPLYDANSEGDALYALRRAVRDPNNVLQSWDPTLVDPCTWFHVTCDSDNRVTRLDLGNAKLSGNLVPELGKLERLQYLELYMNNLEGPIPAEFGGLKSLVSLDLYHNNLTGTIPPSLSKLSNLRFMRLNGNTLTGRIPRQLTKLANLKIL >itb05g20680.t1 pep chromosome:ASM357664v1:5:26720068:26723654:-1 gene:itb05g20680 transcript:itb05g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKVERPTGLPPLHSLPVGYRFRPTDEELVDHYLKMKITGSEAEVSVIREVDICKHEPWDLPDMSFVESHDNEWFFFCPKDRKYQNGQRLNRATERGYWKATGKDRTISSRKGAKVGMKKTLVYYLGRAPEGKRTYWVIHEYRTTEKAFDGTHSGQAPFVLCRLFKKVELKLDEGAEISNSGEVDPIVSSPTVVKTPTDEQSEGGTPLMKSDHIKTQPLTPGQSSVEEAPAVHFPIDSNSNSCIADTTEDQVLDITSNPADPDLDTLKFYFDPSAGPIFSPTYEQMPEFGSSYFYGDVINCISNNNDVQFQYGTNGFDPNEFLNPAALVSSDGESEEVTQMLAEPVGFENELFATNNQEALLQRQVNYVSNSLVGTFATPTMGSDHQNWNLDLQNNNYLGQSLFSSVSTGTVAPQMVFPQAETVGNISSSGTGITLRTRQPQNQRGDQQSTAQGTAPRRIRFSTKFQVGPVQCTRPQTTPEDNIVSKEDASNVDKPNSTASATAQDPILEGRGEDNTGITTSEKEGDTSACRNETSVKASSKTALCSASSSIYIPKVLVVVSLVVVFVGAWACFVRL >itb05g20680.t2 pep chromosome:ASM357664v1:5:26720068:26723654:-1 gene:itb05g20680 transcript:itb05g20680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKVERPTGLPPLHSLPVGYRFRPTDEELVDHYLKMKITGSEAEVSVIREVDICKHEPWDLPDMSFVESHDNEWFFFCPKDRKYQNGQRLNRATERGYWKATGKDRTISSRKGAKVGMKKTLVYYLGRAPEGKRTYWVIHEYRTTEKAFDGTHSGQAPFVLCRLFKKVELKLDEGAEISNSGEVDPIVSSPTVVKTPTDEQSEGGTPLMKSDHIKTQPLTPGQSSVEEAPAVHFPIDSNSNSCIADTTEDQVLDITSNPADPDLDTLKFYFDPSAGPIFSPTYEQMPEFGSSYFYGDVINCISNNNDVQFQYGTNGFDPNEFLNPAALVSSDGESEEVTQMLAEPVGFENELFATNNQEALLQRQVNYVSNSLVGTFATPTMGSDHQNWNLDLQNNNYLGQSLFSSVSTGTVAPQMVFPQAETVGNISSSGTGITLRTRQPQNQRGDQQSTAQGTAPRRIRFSTKFQVGPVQCTRPQTTPEVKLLPIKPYFPQPLCSILQAFNCI >itb14g20710.t1 pep chromosome:ASM357664v1:14:22995243:22996346:-1 gene:itb14g20710 transcript:itb14g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDPPQTPTKTAKRAPNSVDVWAVQCGQCYKWRTIGTPEEYEEIRRNFTQDPFVCTKKPDQTCEDPADITYDSSRTWVIDKPDLPKTPDGFTKVLTMRKDYSKMDIHYTTPTRKRLRSSIQVASFLKENPEFSHLDVTDFSFKTPKVMEDTIPASAAAASKKASGSISGVK >itb13g04970.t3 pep chromosome:ASM357664v1:13:5873241:5875020:1 gene:itb13g04970 transcript:itb13g04970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDLRSLFGGRRNSPEICFPSILSTITSPVSFASSTLTKVVPDRWEFMNECFRRGEKGLLRDIQRRKISPASAAASTSMAVAANVVTVAVPSAVITVSPANSGDEQVLSSNSSPTATAIAIRQTVLTATPEILEENERLRKENAQLYRELNRLRGLCHNIYNMMSNYAVNPPESTSGLPEGRTLDFLPASQGTAEHETAAAEDEAVKAEDDGTTPRLFGVSIGVKRFRQSEDVEGERQTQSQPHESDMKTEPLDSGSADNGPWLDNRLL >itb13g04970.t2 pep chromosome:ASM357664v1:13:5873241:5875020:1 gene:itb13g04970 transcript:itb13g04970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDLRSLFGGRRNSPEICFPSILSTITSPVSFASSTLTKVVPDRWEFMNECFRRGEKGLLRDIQRRKISPASAAASTSMAVAANVVTVAVPSAVITVSPANSGDEQVLSSNSSPTATAIAIRQTVLTATPEILEENERLRKENAQLYRELNRLRGLCHNIYNMMSNYAVNPPESTSGLPEGRTLDFLPASQGTAEHETAAAEDEAVKAEDDGTTPRLFGVSIGVKRFRQSEDVEGERQTQSQPHESDMKTEPLDSGSADNGPWLDNRLL >itb13g04970.t1 pep chromosome:ASM357664v1:13:5873241:5875020:1 gene:itb13g04970 transcript:itb13g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDLRSLFGGRRNSPEICFPSILSTITSPVSFASSTLTKVVPDRWEFMNECFRRGEKGLLRDIQRRKISPASAAASTSMAVAANVVTVAVPSAVITVSPANSGDEQVLSSNSSPTATAIAIRQTVLTATPEILEENERLRKENAQLYRELNRLRGLCHNIYNMMSNYAVNPPESTSGLPEGRTLDFLPASQGTAEHETAAAEDEAVKAEDDGTTPRLFGVSIGVKRFRQSEDVEGERQTQSQPHESDMKTEPLDSGSADNGPWLDNRLL >itb08g09960.t1 pep chromosome:ASM357664v1:8:9367102:9372692:-1 gene:itb08g09960 transcript:itb08g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQNLPNLLSSFVDTFVDFSISSGLFLPPRPPQSERPLNPKPDRHANSKLDSNGLPRLRTVFSAPGRLIAVGDLHGDLNKTKQAFRLSGLIDEQDRWCGGSTTAVQVGDVLDRGGEELKILYFLEKLRREAGKAGGCVITLNGNHEIMNVDGDFRSVSREGLKEFEDWAFWYRIGQVLKKRCGNGIEDKKNIKDPFDGVPSKFAGVKPEWCKGIRARIAALRPNGPVARRFLSQNHTVVVVGDSVLVHGGLLPKHVDFGLVKANKEVRDWICGVRKNVRKKLNSIVWSRKFSKELAKDCDCSTLKRVLAQIPGAKRMIVGHTIQRSGINSVCDNMAIRIDVGMSRGYIDSLPDVLEIDSGTPNLASPHNASLDRKDKLVKFLKGPFDGISPIKLLKETFKWCKYTIPCNDEGIEPLRLLCDKSSDSKLSIPPIDSGICPRIWFCAKERFIKFCKLPIVFGMFPDKLLFDKSRTYKTSRFPIPEGSAPLKELEDRSSVKRFFRFQIFEDIAMISRPVRLPISSGIVPYNPELSAAKICRVEERLPMDLGRIPCRLLSPTSSDFNFLHFVREVMKLSSGEEGSDASLLLGITRTWRRLRFSREFGMEPMKWLSPRDIIESWEQFAIE >itb05g03580.t1 pep chromosome:ASM357664v1:5:3067078:3068654:-1 gene:itb05g03580 transcript:itb05g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNILSQSRLLLSPFLRRHSGQAVTPSYPQTVKPQFSDSAATVGDSCTQRLQLFVIGPHSPQRLRPHLSPKLKRFGA >itb01g19310.t2 pep chromosome:ASM357664v1:1:25278897:25284231:1 gene:itb01g19310 transcript:itb01g19310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRFRRDDGDYKNQRRRIERDDKGDAELVVYRILCPGIVIGSVIGKSGKVINSIRQETGARVKVVDPFPGAKDRVILIYCYVREKEDVEIDKELSDYRPLCAAQDALLKMHAAIANSIASLGESDRRWKDREDCQLLVPSSQSANIIGKSGVTIKKLRGKTGTHIRVTAKDASDPNHSCALEFDNFVLISGESEAVKKALFAVSAIIYKFAPKEDIPLDTTIPEIPASIIIPSDAPIYPAAGIYPGVDPIVPTRPVSSVLGPHVQEFPGYLDAGSTWPVYSSALPVVSGQTGASRTEELRIRLLCPSHNVGRVIGKGGNSIKSIRQASGAQVEVGDGRAERDECIITVISQESVDDLKSMAVEAVLLLQGKINDEDEDTVTICLLVPSKVIGCLIGKSGSIISEIRKRTKAEVRISKGKKPRCADASDELVEVVGEVSNVRDALIQIVLRLRDDILKDREGGHNSSSGADILHTGGAHLSVPSVLSSIPQVTSLRYEQSTETGAGAGVGMLSSNSVYGHGLLSIGDNSHGLLSSYSPKLYGRLPPPSTLEVVIPAHAVGKVMGKRGTNMDNIRKISGASIEITDSKSSRGDRMALVSGTPDQKHAAENLIQAFIMAT >itb01g19310.t3 pep chromosome:ASM357664v1:1:25278897:25284231:1 gene:itb01g19310 transcript:itb01g19310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRFRRDDGDYKNQRRRIERDDKGDAELVVYRILCPGIVIGSVIGKSGKVINSIRQETGARVKVVDPFPGAKDRVILIYCYVREKEDVEIDKELSDYRPLCAAQDALLKMHAAIANSIASLGESDRRWKDREDCQLLVPSSQSANIIGKSGVTIKKLRGKTGTHIRVTAKDASDPNHSCALEFDNFVLISGESEAVKKALFAVSAIIYKFAPKEDIPLDTTIPEIPASIIIPSDAPIYPAAGIYPGVDPIVPTRPVSSVLGPHVQEFPGYLDAGSTWPVYSSALPVVSGQTGASRTEELRIRLLCPSHNVGRVIGKGGNSIKSIRQASGAQVEVGDGRAERDECIITVISQESVDDLKSMAVEAVLLLQGKINDEDEDTVTICLLVPSKVIGCLIGKSGSIISEIRKRTKAEVRISKGKKPRCADASDELVEVVGEVSNVRDALIQIVLRLRDDILKDREGGHNSSSGADILHTGGAHLSVPSVLSSIPQVTSLRYEQSTETGAGAGVGMLSSNSVYGHGLLSIGDNSHGLLSSYSPKLYGRLPPPSTLEVVIPAHAVGKVMGKRGTNMDNIRKISGASIEITDSKSSRGDRMALVSGTPDQKHAAENLIQAFIMAT >itb01g19310.t1 pep chromosome:ASM357664v1:1:25278685:25285769:1 gene:itb01g19310 transcript:itb01g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRFRRDDGDYKNQRRRIERDDKGDAELVVYRILCPGIVIGSVIGKSGKVINSIRQETGARVKVVDPFPGAKDRVILIYCYVREKEDVEIDKELSDYRPLCAAQDALLKMHAAIANSIASLGESDRRWKDREDCQLLVPSSQSANIIGKSGVTIKKLRGKTGTHIRVTAKDASDPNHSCALEFDNFVLISGESEAVKKALFAVSAIIYKFAPKEDIPLDTTIPEIPASIIIPSDAPIYPAAGIYPGVDPIVPTRPVSSVLGPHVQEFPGYLDAGSTWPVYSSALPVVSGQTGASRTEELRIRLLCPSHNVGRVIGKGGNSIKSIRQASGAQVEVGDGRAERDECIITVISQESVDDLKSMAVEAVLLLQGKINDEDEDTVTICLLVPSKVIGCLIGKSGSIISEIRKRTKAEVRISKGKKPRCADASDELVEVVGEVSNVRDALIQIVLRLRDDILKDREGGHNSSSGADILHTGGAHLSVPSVLSSIPQVTSLRYEQSTETGAGAGVGMLSSNSVYGHGLLSIGDNSHGLLSSYSPKLYGRLPPPSTLEVVIPAHAVGKVMGKRGTNMDNIRKISGASIEITDSKSSRGDRMALVSGTPDQKHAAENLIQAFIMAT >itb01g19310.t4 pep chromosome:ASM357664v1:1:25278897:25285769:1 gene:itb01g19310 transcript:itb01g19310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRFRRDDGDYKNQRRRIERDDKGDAELVVYRILCPGIVIGSVIGKSGKVINSIRQETGARVKVVDPFPGAKDRVILIYCYVREKEDVEIDKELSDYRPLCAAQDALLKMHAAIANSIASLGESDRRWKDREDCQLLVPSSQSANIIGKSGVTIKKLRGKTGTHIRVTAKDASDPNHSCALEFDNFVLISGESEAVKKALFAVSAIIYKFAPKEDIPLDTTIPEIPASIIIPSDAPIYPAAGIYPGVDPIVPTRPVSSVLGPHVQEFPGYLDAGSTWPVYSSALPVVSGQTGASRTEELRIRLLCPSHNVGRVIGKGGNSIKSIRQASGAQVEVGDGRAERDECIITVISQESVDDLKSMAVEAVLLLQGKINDEDEDTVTICLLVPSKVIGCLIGKSGSIISEIRKRTKAEVRISKGKKPRCADASDELVEVVGEVSNVRDALIQIVLRLRDDILKDREGGHNSSSGADILHTGGAHLSVPSVLSSIPQVTSLRYEQSTETGAGAGVGMLSSNSVYGHGLLSIGDNSHGLLSSYSPKLYGRLPPPSTLEVVIPAHAVGKVMGKRGTNMDNIRKISGASIEITDSKSSRGDRMALVSGTPDQKHAAENLIQAFIMAT >itb12g21790.t1 pep chromosome:ASM357664v1:12:24017368:24018448:1 gene:itb12g21790 transcript:itb12g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDTVVGGGSQDDLSEELESVGCLIVLILTVIAYFCYIFVGVWPGNTSRRKRRLGIDEATLEGFQKLLYSQQNEGDSAISSSSGCCICLAEYKDADILRLLPDCGHLFHVNCVDHWLRLRPTCPLCRNSPLPSPKPDAEMHYAFRFCTDDSIGF >itb09g30320.t1 pep chromosome:ASM357664v1:9:30976805:30980771:1 gene:itb09g30320 transcript:itb09g30320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGIKGESGFSASSTAEEVTEGIDGTDLIAIVTGASCGIGAETARVLALRGVHVILAVRNLESGRAVARRIVKEIPNAKVNVMELDLSSMASIRKFVSEYNASGLPLNLLINNAGVMATPFMLSQDNLELQFATNHIGHFLLTNLLLEKMKRTAHECRKEGRIVNVSSNAHQLPYSEGIRFDKINDEKSYNRIYAYGQSKLANILHATELARRLMEQGAQITANSLHPGAIATNLLRHNGFFDGIVNWIGKYIIKNIPQGAATTCYVALHPQVEGLSGEYFCDSNVSLPSSLATDADLAKKLWDFSLNLTSQ >itb09g30320.t2 pep chromosome:ASM357664v1:9:30976701:30980287:1 gene:itb09g30320 transcript:itb09g30320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGIKGESGFSASSTAEEVTEGIDGTDLIAIVTGASCGIGAETARVLALRGVHVILAVRNLESGRAVARRIVKEIPNAKVNVMELDLSSMASIRKFVSEYNASGLPLNLLINNAGVMATPFMLSQDNLELQFATNHIGHFLLTNLLLEKMKRTAHECRKEGRIVNVSSNAHQLPYSEGIRFDKINDEKSYNRIYAYGQSKLANILHATELARRLMEQGAQITANSLHPGAIATNLLRHNGFFDGIVNWIGKYIIKNIPQVRLVSQSFVEE >itb09g21730.t1 pep chromosome:ASM357664v1:9:19800537:19808200:1 gene:itb09g21730 transcript:itb09g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKAMSLPSSPHEFSSQTPQSSLALDHRANEEMVSTWNRVLESPMFQNKPLLPFEEWNIDFSELTVGIRVGIGSIPQFEQPSPPNIEEPVADRRRPPIPAKPRRRSCEAVPPNRSNASNLQKDANLFAYSRAHEFPEGNVITLPPHEFSSQTPQSSLALDHRANEEMVSTWNRVLESPMFQNKPLLPFEEWNIDFSELTVGIRVGIVILFLGACTKPLHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRKRLRMLRDICRGLMGIHRMKIVHRDIKSANCLVNKHWTVKICDFGLSRIVTDAPMQDSTSAGTPEWMAPELIRSEPFSEKCDIFSLEVVMWELCTLSRPWEGVPPERIVGQSLRNGPVVRKF >itb04g22030.t1 pep chromosome:ASM357664v1:4:27214042:27215670:-1 gene:itb04g22030 transcript:itb04g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTVLLLSLTVLSSLCLAVTAASCHPDDEAGLLGFKSGITSDPSGLLSSWVSGSNCCQWSGIRCGTKNRVMTLALYGCEEFNQTLKGTISPSLAKLKYLDTILLQNLKGLTGPFPNFLFKLPRIQTVFIIDNKLSGRIPNTIEKLTQVLYLSFQGNRFTGPIPSSVGELTQLTELNFGGNLLTGTIPDSIRQLKKLTDLILEKNRLSGNLPDFFDSFPELTTLKLSYNRFSGKIPGSISSLSPQLESLELGHNNLRGKIPDFLGTFQAIVTLDLSWNHFSGVVPQSFLNLTTLFYLDLSHNSLEDPFPEMNVAIALTLDLSYNNFHLKDIPSFVTSSPNMCDLRLVKCGLKINLDDWKPQEYLSYYYIDLSENDITGSPVGFLNRTDSMLGFYASGNKLKFDLEKLRINVAELDLSRNMVFGKVPEAIAQLQKVNLSHNRLCGQLPPTNFSASAFAGNACLCGAPLPPCKAA >itb03g06550.t1 pep chromosome:ASM357664v1:3:4766232:4767958:1 gene:itb03g06550 transcript:itb03g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMAAEGKVDGKKLKNTSPPVPPPLPRFLITTKAAVKPKTPSSVTNQEIAKFWRQKRMAEESHFLAAIKAAARIRAQNLSEDEYKAFEESLQEGGSDENAKIIIKPDKTEQEIRVGIKDWWTKSRYAYLNQPAIKSMDKRYSTYISQLTCYTTAPPRATTTFSVF >itb06g25290.t2 pep chromosome:ASM357664v1:6:26416107:26417035:1 gene:itb06g25290 transcript:itb06g25290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MTASSEHPDHPPPQQQPPPPQQKAPQAIRKLVIEVIDARNLLPKDGQGSSSAYVVVDFDGQKRRTSTVCRNLNPVWNEVLEFVVSDPKTMEFEELEIDVYNDKKLSNGNARKNQFLGRVKVYGTQFARRGEEGLIYFQLEKRSVFSWVRGELGLKIYYYDELVQEEEPPPEEQPPPPPPPPPPEEMRKTPTVVMLDEPRPRIIEIPGCSDVAMDAREPSPPIVTIEESPPPMTGPPPEQLQQHYGPPHEEAPPGMNMPPPMEYPPESDYREIRGKIRENDVAV >itb06g25290.t1 pep chromosome:ASM357664v1:6:26415999:26419647:1 gene:itb06g25290 transcript:itb06g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MTASSEHPDHPPPQQQPPPPQQKAPQAIRKLVIEVIDARNLLPKDGQGSSSAYVVVDFDGQKRRTSTVCRNLNPVWNEVLEFVVSDPKTMEFEELEIDVYNDKKLSNGNARKNQFLGRVKVYGTQFARRGEEGLIYFQLEKRSVFSWVRGELGLKIYYYDELVQEEEPPPEEQPPPPPPPPPPEEMRKTPTVVMLDEPRPRIIEIPGCSDVAMDAREPSPPIVTIEESPPPMTGPPPEQLQQHYGPPHEEAPPGMNMPPPMEYPPEVKKMQAGRVGEAVRVLKRQKDEYSPRVITGKFAEKSEKTTSQFDLVEPMLYLYVKIVKARGLAPSESPFAKIRTSTEQRRSRIAMFRPGPPGEPPTNPEWNQVFALGYNKQETANSTLEISIWDGASGHFLGGVCFDLSDVPVRDPPDSPLAPQWYHLEGGGSDDQHKVSGDIQLSVWIGTQADDAFPESWSSDDPSVAHTRPKVYQSPKLWYLRVTVIEAQDLHIAPNLPPLTSPDVRVKAQLGFQSIRTRRGLMNNHSSAFHWNEDLIFVAGEPLEDSLILLVEDRTGKDPMLLGHIIINVGSVEQRLDERLVPAKWHGLEGGGAPGGPYCGRLQLRMCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGILELGILGARGLLPIKSKGPGKGSTDAYCVAKYGKKWVRTRTILDSFDPRWNEQYTWQVYDPCTVLAIGVFDNWRMFADAGEEKPDYQVGKIHIRVSTLENNKVYTNSYPLLVLSRAGLKKMGEIELAVRFSSPSLLPDTCAVYGQPLLPRMHYLRPLGVAQQEALRGAAIKMVAAWLARSEPPLGSEVVRYMLDADSHNWSMRKSKANWFRIVAVLAWLVGLAKWLNDIRRWRNPVTTILVHILYLVLVWYPDLIVPTGFLYVFLIGVWYYRFRPKIPAGMDTRLSQALNVDPDELDEEFDTIPSSRPPEIVRLRYDRLRILAARVQTVLGDFATQGERVQALVSWRDPAATKMFIVVCLVITIVLYSVPPKMVAVALGFYFLRHPMFRDPMPPASLNFFRRLPSLSDRLM >itb15g22630.t1 pep chromosome:ASM357664v1:15:25296639:25298593:1 gene:itb15g22630 transcript:itb15g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHQMTLDLYDAAVNGNHEKYYDVLRPAKAADNGVGCIEVTACGNTVLHVAVLHGHKQFVEKILEKDEDHVASSMLLTSTNKGESALHCAAEKGHADIVSILLSAAEK >itb04g15030.t1 pep chromosome:ASM357664v1:4:16173199:16176800:-1 gene:itb04g15030 transcript:itb04g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVEFQHCIDVAGLREVVYYGNTYTWWNGRRGSQAVWKRLDRCLVSEGWEETIKSYIQHLVKASSDHSPLVLDLAPQVRVGRRPFSFINAWGDHEGLLGVVQKAWEENVDGNVMYTFMTKLKRVKEGLKSWNWEIFGDIFKKVRELEETVRELEGRLQDDPTEGRLAEYKHAQAKLQKQGLSMRPGVKDRPVWKPTGGDFTFKSAKNLMRQQRAAEGLWSIKIWAKGLPWKMSFLAWRVFKCKLPVDDVLIRMGFQIVSKCSCCVHPGSSSLNHIFGLGETARQVWEYFSKSMGLGIQVRSARHVCYEWWLKGIKNRMRKFVADRLPVLILWELWVNHTNCKYGGANPSVQRVIYRVVRDVADCIQRKWPYWDPFPPNWNFILGKMEKFGCERIVQRSSWCRPPVGSIKVNWAVARDGLKCGFFVRNSKGGFCVAGIYLLQDGGSMGELIKNMIRDCWSWCWQKKIRRMILESSDWQWFDDGDLSRFSEEVQVVVNQCTERINCVAGCFVNACTNVNVIYVKKEALPRGIGRVLALEGVPHFVFVPGLNSA >itb04g21700.t1 pep chromosome:ASM357664v1:4:26798254:26800166:-1 gene:itb04g21700 transcript:itb04g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNLYYKGLLRYFSNPNLFSLYTSSPFSKTLKPSSNPFRTSRHTKTICNFDLDLLNNEPSSCSYSSEMLSKCNLDLHSFLNKPTVLSSSSRPPSNCNVDSYPFLKNPSLFSSSYSLLSVSNFYFDSYPLHNRQSISSPTTTCWFYNSSCIGLSRCFSVQRHDGTMIHNKSRESENLKIAKSGESRRNSKNISYPAFDEVTKIIEFIRSDGEDLRSKLSSVAPALDSRPIIRDTFKVLNQLRISGLMFFRILLEMNPKLSKSGDVCSMIINNCGWLGDYEVMLSLLEEFKLENICLTQMAFEFLPVFNPSKNSVMESTRRVVDVLNKVGGSCRNSGITALIEKFCSLNSFQMAKFVIQITEMSVSRYNILIRARCKRGQIEEARAIIQEMVELGFLPDATSYNYLLAYLCKNNRMDEACNVLNEMKEKGFHPNAITFEALIFHSSIRGQLDVAARYLDWMVMGNIEPRRATHSAFLKALFQTNQREKAYKYVNYLSAKFNTSSNMLYNLLAELHLKNGDPMTAKNIINEMMEKDLMPNSSIYFQCEATSKE >itb15g01060.t1 pep chromosome:ASM357664v1:15:581439:585295:1 gene:itb15g01060 transcript:itb15g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOS2 [Source:Projected from Arabidopsis thaliana (AT2G36530) UniProtKB/TrEMBL;Acc:A0A178VT47] MATIQSVKARQIFDSRGNPTVEVDVCLSNGHLARAAVPSGASTGIYEALELRDGGSEYLGKGVSKAVNNVNSIIGPALVGKDPTDQTGIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAAVKNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKESMKMGCEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGKDKTYDLNFKEENNDGKQKISGDQLKDLYKSFVSEYPIVSIEDPFDQDDWETYAKLTTEIGEKVQIVGDDLLVTNPKRVAKAIEGKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGSDAIYAGASFRAPVEPY >itb02g05260.t1 pep chromosome:ASM357664v1:2:3151364:3154056:-1 gene:itb02g05260 transcript:itb02g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEKAETNDAVNNEERLGSGDEVGGDEGDLYSPEQSDVGEFQDVTLEPPADMEFESNGEALAFYKEYARTMGFETAIQNSRRSKALGEFNDAKFACSRYGTKRGNGKSAKAPGSSQDPENAEGRSKKTDCKACMHVKRRSDGRWIIHRFEKEHNHELSPPQAVSEQTRRVYAAMTRHLTEYKNVVAIKSDEGGQNLAMDAGEVSESVKNAVKQNEEEFMVKFEECIYRPWTDEEFEERWQSLVDMFELKENELFLSLHAMVVLQIRGISTIPQQYVMKRWTKDAKNRYPLLAESGENKSRVQRYNDLCQRAMILSEEGSLSQENYTSALHALDEAYGNCVGALTSSTPGLLVEGDNQTRKTNKNKSNPTKKRKVTLEEQYAMIAEGAPDNFAQTDMLTSTPMTLDGYCPQLPQGVQGMLNLMAPNYGNQQTMHEESKVRSSSQLNDNEDPRQA >itb02g05110.t1 pep chromosome:ASM357664v1:2:3073767:3077312:-1 gene:itb02g05110 transcript:itb02g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRDPLVVGRVVGDVLDPFTRSVGLRVIYNNREINNGCELRPSHIVMVDPDAPSPSNPNLREYLHWLVTDIPATTGASFGNEVVCYESPRPSMGIHRFIFVLFRQLGRETVYPPGWRQNFNTRDFAELYNLSSPVAAVYFNGQRESGTGGRRR >itb10g00750.t1 pep chromosome:ASM357664v1:10:542662:546831:1 gene:itb10g00750 transcript:itb10g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDSPVVYLHGDLDLNIVEARSLPNMDFITEQLRRCFTAFDVCRKPFAGRHKQKITSDPYVTVCLAGAKVARTGVISNSENPIWNTHFKIPLAHAVTEVEFQVKDDDVLGADYIGVAVVPAEKMKSGELIDDWFPIIGPRGKPPKTDCALRLKMRFTPFNENPEYRSGIPENHGLNESYFPVRHGCSVTLYQDAHSPDGMLPEIELEETRVFRHEKCWEDICHAILEARHLVYIVGWSVYHKVKLVRESEKPLPDGGNQNLGDLLKYKSQEGVRVLLLVWDDKTSHDNFFIQTAGVMQTHDEETRKFFKHSTVACVLSPRYGSSKLSIFKQQVVGTLYTHHQKCVIVDTQAKGNKRKLTAFIGGLDLCDGRYDTPEHRLFRDLDTVFRDDYHNPTFPAVTKGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKATKWLALGRRLKRKPNWNDDALLKIERISWISSPSSVTSNDDPSLWVSKADDPENWHVQVFRSIDSGSLKGFPKNIHLAESQHLVCVKNVVVDRSIQMAYIQAIRRAQHFIYIENQYFIGSSFAWPSYKSADNLIPIELALKVASKIRNRERFAVYIVIPMWPEGVPSSASMQEILYWQGQTMQMMYELVARELKSAQLEDSHPCDYLNFYCLGNREEQHEEEESNLSTQPLYNDNGVTASQKYKRFMIYVHAKGMIVDDEYVILGSANINERSMDGSRDTEIAMGAYQPHHTWAKRKQHPRGQVYGYRMSLWAEHLGMTEDSFKEPKSLDCVKHVNNIAENNWERYTAEEFTPLQGHLLKYPVQVDTNGKVSPLPGHENFPDVGGKVLGAKTALPDALTT >itb05g14380.t1 pep chromosome:ASM357664v1:5:21484374:21485742:-1 gene:itb05g14380 transcript:itb05g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSIHFVVEDGDGGLFDVYAKNVDLSFDCTCRMFQRVGLLCRRTCRMFQRVGLLCRHVFVLLKDSRLLCRHVFVLLKDSHVDIIPSQYIVARWTRAYDGEVCRGDNISVVTDPNSALTQVWNEFYNCVGRACGNTDRLVELATLLKAHINLQQPSGHHDYTPANTYSTQPPKSVPSPSHRSTPLRVLTHACVAHCGKMKWHKDK >itb02g21860.t3 pep chromosome:ASM357664v1:2:20807422:20813706:1 gene:itb02g21860 transcript:itb02g21860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYVRQWSGGSSSTGSSSPAGSPAHPRSRLPPTAAGMSNIKRSQNVAAKAAAQRLAQVMASSQTPEEDDEDDDLGFRRPSNTFSGNGRSHSNSNSYGSGFSAISVAKPNRSPSPALGRTFMDHTPAVRSTSAGRPSVSVRTSSTTTTTTVMQPTRTSLRTPVSIPPIEPPSNRLREKRFTPEMGRIDLKDSGNQHEASALHDELDMLQEENDIILDKLRRAEEKREEAEARARELEKQVAALGDGVSLEAKLLSRKEAAIRQREAALKAAIHNKGERDEEMASLRAEIDNLKDETANTVEKQREAESEAKALRRMTQRMILTHEEMEEVVLKRCWLARYWGLAVYYGICVDIAVSKHEYWSSLAPIPLEVVNSAGEKAREESWDGGDNSDRGELVRDLNDLTGEGNIESMLSVEMALRELTSLKVSFCSTINSVLYQTFVWDVCVCFIMFSISSFLLL >itb02g21860.t4 pep chromosome:ASM357664v1:2:20807402:20813716:1 gene:itb02g21860 transcript:itb02g21860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYVRQWSGGSSSTGSSSPAGSPAHPRSRLPPTAAGMSNIKRSQNVAAKAAAQRLAQVMASSQTPEEDDEDDDLGFRRPSNTFSGNGRSHSNSNSYGSGFSAISVAKPNRSPSPALGRTFMDHTPAVRSTSAGRPSVSVRTSSTTTTTTVMQPTRTSLRTPVSIPPIEPPSNRLREKRFTPEMGRIDLKDSGNQHEASALHDELDMLQEENDIILDKLRRAEEKREEAEARARELEKQVAALGDGVSLEAKLLSRKEAAIRQREAALKAAIHNKGERDEEMASLRAEIDNLKDETANTVEKQREAESEAKALRRMTQRMILTHEEMEEVVLKRCWLARYWGLAVYYGICVDIAVSKHEYWSSLAPIPLEVVNSAGEKAREESWDGGDNSDRGELVRDLNDLTGEGNIESMLSVEMALRELTSLKVEDAVVLALAQSRRSNIVQQSISGMSSYSETSY >itb02g21860.t1 pep chromosome:ASM357664v1:2:20807402:20813716:1 gene:itb02g21860 transcript:itb02g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYVRQWSGGSSSTGSSSPAGSPAHPRSRLPPTAAGMSNIKRSQNVAAKAAAQRLAQVMASSQTPEEDDEDDDLGFRRPSNTFSGNGRSHSNSNSYGSGFSAISVAKPNRSPSPALGRTFMDHTPAVRSTSAGRPSVSVRTSSTTTTTTVMQPTRTSLRTPVSIPPIEPPSNRLREKRFTPEMGRIDLKDSGNQHEASALHDELDMLQEENDIILDKLRRAEEKREEAEARARELEKQVAALGDGVSLEAKLLSRKEAAIRQREAALKAAIHNKGERDEEMASLRAEIDNLKDETANTVEKQREAESEAKALRRMTQRMILTHEEMEEVVLKRCWLARYWGLAVYYGICVDIAVSKHEYWSSLAPIPLEVVNSAGEKAREESWDGGDNSDRGELVRDLNDLTGEGNIESMLSVEMALRELTSLKVEDAVVLALAQSRRSNIVQQSISDVKSSGDSKFTDAYELSKEEAEDVLFKEAWLTYFWRRAKVHGVEEDIAEDRLQFWISRSASHSGPSGLSPTTHDAVDVERGLTELRKLGIEQQLWEASRKEIDQPSMLDPKAAAAESEASS >itb02g21860.t2 pep chromosome:ASM357664v1:2:20807422:20813706:1 gene:itb02g21860 transcript:itb02g21860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYVRQWSGGSSSTGSSSPAGSPAHPRSRLPPTAAGMSNIKRSQNVAAKAAAQRLAQVMASSQTPEEDDEDDDLGFRRPSNTFSGNGRSHSNSNSYGSGFSAISVAKPNRSPSPALGRTFMDHTPAVRSTSAGRPSVSVRTSSTTTTTTVMQPTRTSLRTPVSIPPIEPPSNRLREKRFTPEMGRIDLKDSGNQHEASALHDELDMLQEENDIILDKLRRAEEKREEAEARARELEKQVAALGDGVSLEAKLLSRKEAAIRQREAALKAAIHNKGERDEEMASLRAEIDNLKDETANTVEKQREAESEAKALRRMTQRMILTHEEMEEVVLKRCWLARYWGLAVYYGICVDIAVSKHEYWSSLAPIPLEVVNSAGEKAREESWDGGDNSDRGELVRDLNDLTGEGNIESMLSVEMALRELTSLKVEDAVVLALAQSRRSNIVQQSISDVKSSGDSKFTDAYGNKIISMYYFLFQK >itb08g02870.t1 pep chromosome:ASM357664v1:8:2444669:2446729:1 gene:itb08g02870 transcript:itb08g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNLVAKPFFFGPKEIKAIRQKLSPHPKLSDQVLTTTPKVSHSTLQDTAQHHQNSQFDLITACIWRARTRALDLNGDQTTSVVCTVNVRDKGPPVLRDGYYGNAVVFPAAVTEAQRLYDNPLDYAVKLIEEAKGRANEDYIRSMVNFMASKGRPPILRSRSSFIVTDLSRLGFSEMDFGWGKPVYGGTMEGERSATIIAHALCRNSDGEDGIVVPVFLPPPAMKRFEEEMKKLIAFMPSEVTEKPSKSLIKSTL >itb12g17960.t3 pep chromosome:ASM357664v1:12:20202391:20204932:-1 gene:itb12g17960 transcript:itb12g17960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESPSSFPLNAPTQTSNSEEIFMQHSLHFTESLKELKSLRKQLYSAAEYFEVSYGKDDQKQLVVDSLKDYVSKAVISTVDHLGSVASKLDTFLDEKVDEFSDTKLRFSCIEQKSRSYQEFINRSGLMQQSLFMITPKYRKRYVNPSRDTMCALRKSDENNSFEKASIYFQDDIHQSQHKQGHCLTSAFLGATTKALPPLPRKRHSKPSSIETSPNSLAFSFTRAASNNEVGKRSHSPLRFSLKRSGSYANRSTSPTAFNKQRVSKIW >itb12g17960.t1 pep chromosome:ASM357664v1:12:20202390:20204986:-1 gene:itb12g17960 transcript:itb12g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESPSSFPLNAPTQTSNSEEIFMQHSLHFTESLKELKSLRKQLYSAAEYFEVSYGKDDQKQLVVDSLKDYVSKAVISTVDHLGSVASKLDTFLDEKVDEFSDTKLRFSCIEQKSRSYQEFINRSGLMQQSLFMITPKYRKRYVNPSRDTMCALRKSDENNSFEKASIYFQDDIHQSQHKQGHCLTSAFLGATTKALPPLPRKRHSKPSSIETSPNSLAFSFTRAASNNEVGKRSHSPLRFSLKRSGSYANRSTSPTAFNKQRCPSEPRRTVHTSINPAKNSTQDMELLSKKSKHLLKALLSINRSQKGVPSRYQDDR >itb12g17960.t2 pep chromosome:ASM357664v1:12:20202391:20204684:-1 gene:itb12g17960 transcript:itb12g17960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSLFMITPKYRKRYVNPSRDTMCALRKSDENNSFEKASIYFQDDIHQSQHKQGHCLTSAFLGATTKALPPLPRKRHSKPSSIETSPNSLAFSFTRAASNNEVGKRSHSPLRFSLKRSGSYANRSTSPTAFNKQRCPSEPRRTVHTSINPAKNSTQDMELLSKKSKHLLKALLSINRSQKGVPSRYQDDR >itb12g17960.t4 pep chromosome:ASM357664v1:12:20202779:20203821:-1 gene:itb12g17960 transcript:itb12g17960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCALRKSDENNSFEKASIYFQDDIHQSQHKQGHCLTSAFLGATTKALPPLPRKRHSKPSSIETSPNSLAFSFTRAASNNEVGKRSHSPLRFSLKRSGSYANRSTSPTAFNKQRCPSEPRRTVHTSINPAKNSTQDMELLSKKSKHLLKALLSINRSQKGVPSRYQDDR >itb13g26130.t3 pep chromosome:ASM357664v1:13:31502050:31507702:-1 gene:itb13g26130 transcript:itb13g26130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGIQNVQMYFSHTEQIDTQLLEVQHQRQDGCCLPVCLAELVGIMYSKETNTPHIRLSSQELIDWVPMVYPEFYQNSRYAIVTGGLYFLHPIHCLDYLKEGGICEAMHYPFTGLRSPLSNRRTRFEGVKYKCGTTGFTKFRPEMVDVLVQVLQQCPLFGVLHLDLPFITLRRGEIYPGPLNIRRNRRMVHAVLITGYGKVNNTPCLEIKNSWGINWCNAGYGLIGLGALEWVGYVGDVYRVEP >itb13g26130.t1 pep chromosome:ASM357664v1:13:31501540:31507702:-1 gene:itb13g26130 transcript:itb13g26130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGIQNVQMYFSHTEQIDTQLLEVQHQRQDGCCLPVCLAELVGIMYSKETNTPHIRLSSQELIDWVPMVYPEFYQNSRYAIVTGGLYFLHPIHCLDYLKEGGICEAMHYPFTGLRSPLSNRRTRFEGVKYKCGTTGFTKFRPEMVDVLVQVLQQCPLFGVLHLDLPFITLRRGEIYPGPLNIRRNRRMVHAVLITGYGKV >itb13g26130.t2 pep chromosome:ASM357664v1:13:31501506:31507702:-1 gene:itb13g26130 transcript:itb13g26130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGIQNVQMYFSHTEQIDTQLLEVQHQRQDGCCLPVCLAELVGIMYSKETNTPHIRLSSQELIDWVPMVYPEFYQNSRYAIVTGGLYFLHPIHCLDYLKEGGICEAMHYPFTGLRSPLSNRRTRFEGVKYKCGTTGFTKFRPEMVDVLVQVLQQCPLFGVLHLDLPFITLRRGEIYPGPLNIRRNRRMVHAVLITGYGKVNNTPCLEIKNSWGINWCNAGYGLIGLGALEWVGYVGDVYRVEP >itb14g12460.t1 pep chromosome:ASM357664v1:14:14090293:14091897:1 gene:itb14g12460 transcript:itb14g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMLPLNPGASKAKTHHGWGTQFDSFWCCYGTGIESFSKLGDSIYFEEQGKVPGIYIIQYISSSLDWESGQITLTQSVDPVGSQDNRLLVTITITSKQALLNGEAVSLPPPGNFLSITRSWASGDKITLELPMSIRTEAIKDDRSEYASVQAIIYGPYLLAGLSNGDWVIKATPSDSPSDWIAPVATENSRLISLTQESGNDVFILTNSNQSINMDKYPETGTDSAIRATFRLISKDTSKTHSDFIGKLVTLEPFDYPGMVVMHQGKDNNLVVASSSDHSGSSAFLLSTGLDGKNGTVSLESTSQKGCYVYSGVDYKPGLGVKLSCMDGSSDAGFKQAASFKLETGISEYHPISFVAKGPERNFLLAPLLSLRDESYTVYFNFQS >itb09g20660.t1 pep chromosome:ASM357664v1:9:17580089:17584689:1 gene:itb09g20660 transcript:itb09g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGENENAKTICSICFEDLKPIVEDLQSISICGHVFHELCLQQWFEYCKNGKKTCPVCKQACKEKNVGRLYFQSVGETTEASFTQKLRDCEQNPEELRREVKRLQGRVFGLDSALEKHQKDLKDITEELLACKEQVKIEVTLKNEALKQSGMAQNLLYVKSKDLERSNSECTRLQERNLSLAKELAALKLVCDLNLEEEDVLKFASLGNEANSKETIDVLKRSLVIRNKSYKELMVKCNSLGRGEARCLSKLEKAKAKINKLKARVQELETAVEAKENEALRTLRTSKRTFEVDAADAFGAEPKFKRCSYEDQNKRHLESRVDLDQGNNIHTDLLCSRKKEKLKVKDSTRRVPSEDTVACSLQENREFSFLKDKDIHETSTCGNEGLYEHLMPTTSNKTTLLDCHLSTVNASMRTISGIQVPQVENKEEMPSSRNSIKNSKYILPETNDANDDDLVLLGDTTLAQPSFRKRKETPSILAGPDISLAAEKEETPSSRSSNKNNNYILPKTNGANDDDLVLLGDTNLAQPAFHIRKETSSSILAEPGDRCFSGGLLGPDGTNWHLGKWCKKVQNKGSTPAFQGSTTVAGDLIAVGADGRGGRVKVLRSSNHKTLDNNNASASAKRIKYGVKASSMQSQGCLQIEHFFSKAGQ >itb04g30420.t1 pep chromosome:ASM357664v1:4:33534976:33536581:-1 gene:itb04g30420 transcript:itb04g30420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTKMAVDASSPARSPAARLSSRTSGFSSRNRNAHSRSSDQPASTSTSAPYDSSSTFSSGFRLDSSVATASVSSSLSSLRQSLPENPQIYDFSEIRAATNNFLSKRYSSTSSSQSWRCMLYGKEVIIFQRIIRRSMEKSGLRSNLSVICRSHNRSIIKLLGASISGDQIYLVYEFVPGSNLALCLRNPRNPSYTVLSTWMSRMQIATDVAQGLDYIHNMTGLGLNLVHKHVKSSGIVIIEPSLNARICHFGAAELCGETEISPIASDEITEEPPSPELRRSRTRNQQFEGVKGYMSPEFQLTGLATQKSDVYAFGVVLMELFSGEEPVKYKFDKSSGEYVRISVVETARDAIAGGGEAAERGLRQWVDRRLNDSFPVSVAEKVIQLALDCVHVEPDKRPDMRRVSGKISKLFLESEMWSKRVKVPTEISVSLAPR >itb09g08240.t1 pep chromosome:ASM357664v1:9:4933584:4936149:1 gene:itb09g08240 transcript:itb09g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLKKAIGAVKDQTSIGIAKVASNNSSNLEVAVLKATTHDDLPMEDRYVQEVLQLVSADKAYAAACARAISKRIGRTRNWIVTLKSLVLVLRIFQDGDPYFPREVLHAMKRGAKILNLASFRDDSNSSPWDFTAFVRTFALYLDERLDCFLTGKLQRRFTHNAPLLSRRPDEPVREMKPVMLLDRIGHWQRLLERAMSTRPTGAAKNDRLVQIALYAVVEESFDLYKDISDGLSLILDNFFNLEHQSGVVAFQTCMRAAKQYEELSEYYDLCKSMGVGRSPEYPNIQKISEELIETLQEFLKDQSSFPVHAKSPNNHPSFLLLPSPTTPHRSRHNSFDALYDQICASRSSRRGTSYGGQSEFSVATDGSSETGSEIGIDDLLNATLTSGKNPAVSNDLETYSEIDDNYMLSDSGSAKSLQASNSFADLLSLDDWNGEDEIGDGEEAAPPPSSSKGATTHNVDEFELNNDAAAGWELVLFETPPQEAAAAPPSDNKFNPPSNFDFESLFDQVSPPLPPANTSPNSLPLDALYNQSQPISAPQRHYNPFLQEEFPTTTAPPPDAFQPLDMFSAPPTFPAPPKTSSQNPSFAPTFSAPNPTATAAHNTDFSSPPTFQATSPPQNDFSPAPTFHATPTPIYSAQTLESTPMHNAYSPPAPTFQTPTIPAQSPTPAPTFQVTPKNSERSPAATAPVQSEFPSTPTFQASVAGTTTTMPQMQMQKEMDPFASLFDDQMFGSSNMSTQNMVQEQQLWLQNQNKIIAKHMS >itb02g01890.t1 pep chromosome:ASM357664v1:2:1046789:1047755:1 gene:itb02g01890 transcript:itb02g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLGFSLVQDDGNQDFPGNEEKEEEETTATNNVGQQGEGEGGCLANLMSLPSHGFFDQAASLYDKNMVESPKLEDFLGTCYTTTTSQSPEINVNVPPNFTTGEHLSSFPPTTAAPPLPFNPAAMYPFLFDNSPSPTATSSSSTSISGLKSWLRQTPPFQPLSLAVTSHPEEPIPSPPLAAVSDARKRPPPAAPRKSVDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGSQTFIIIFPLQFLFKLNITNLTVFQSSFSCKFTNLVISPSNIPTNQSI >itb05g09670.t1 pep chromosome:ASM357664v1:5:14176649:14177248:-1 gene:itb05g09670 transcript:itb05g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHNIALDQLFDMDMALTLLWSPPPAEEETVEKSAKSSSEVYSGHRIQESLPTVNAGDGVCTVCMEGFELGVGGKKLPCDHIFHQNCLSKWLSLHKSCPLCRFKVSGVSSAAAGRPDLTAAPAKD >itb15g19860.t1 pep chromosome:ASM357664v1:15:22350090:22350489:-1 gene:itb15g19860 transcript:itb15g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLSVEQNNLKVGVFHIFDLYSQASHSWSVGFALIDLLGRVGRRGALVNWKTRRELQDKFEAALLPAIGRLDGLEVRSYCDGERRIGNVLLVRDGRE >itb05g01790.t1 pep chromosome:ASM357664v1:5:1415109:1415570:-1 gene:itb05g01790 transcript:itb05g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRPNADHLKISKKQQEMDDNIINTATTSPALLMMTILRGIQLAKDLEPNLAHNLATERDYIARACDDIVRVFSGVRERVSSSSPPQITRLPPSVLDHTWLMSTTAHDPQLFHPHMVAPPPLPAQPAARVHRSGSGGSSPHQPAAQRPRRPR >itb09g01310.t1 pep chromosome:ASM357664v1:9:776057:777654:1 gene:itb09g01310 transcript:itb09g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAHTALVNTLGAPVRLFTTRCVVEELKSEELKRILRDTYPMTLDAASSLLTVRCDHEFPIDSGSCVREVIGRNNPENFYVASRDPYVLEGLRQILGVSIIYPVRKALFLERVTEVEKKRKCSDDEWSDYEWSDDELSDEGASEEEEGHGLENLNPLSLKKKKKSSAESEKRKPRKMMVRTRKKE >itb03g29390.t1 pep chromosome:ASM357664v1:3:30272413:30277513:-1 gene:itb03g29390 transcript:itb03g29390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEPKALKDLTGQVCEICGDEVGLTVDGDLFVACNECGFPACRPCYEYERREGTQHCPQCKTRYKRLKGSPRVEGDEDEEDIDDIEHEFKIDDEKNTNRNIVETMLHGKMSYGRGQEDEDTVQYPPVIAGMRSHPVSGEFPISSHPNGDQQMLGSSLHRRIHPYPMSEPESARWDDKKEGSWKERMDEWKTQQYGNLGAEHDDYADPNMALVDEARQPLSRKVPIASSKINPYRMVIVARLVILALFLRYRILNPVHDAIGLWLTSIVCEIWFAFSWILDQFPKWFPIDRETYLDRLSFRYEREGEPNMLAPVDVFVSTVDPFKEPPLVTANTVLSILAMDYPVEKISCYISDDGASMCTFESLSETAEFARKWVPFCKKFAIEPRAPEMYFSQKIDYLKDKVQATFVKDRRAMKREYEEFKVRINALVAKATKVPPGGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGPDVEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYLNNSKAVREAMCFLMDQTIGRKVCYVQFPQRFDGIDKHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYDPPKRAKRPKMVSCDCCPCFGRRKALENYTKHGVNGDAANVEGFNDDKEVLMSEMNFEKKFGQSAIFVTSTLMVDGGVPPSSSPAALLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSVYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKGGKLKWLERFSYVNTTVYPFTSLPLLAYCTLPAICLLTGKFIMPEISTLASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKVLAGIDTNFTVTSKAVDDEEFGELYTFKWTTLLIPPTTILIINLVGVVAGISDAINNGSQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDVKQCGLNC >itb01g24890.t1 pep chromosome:ASM357664v1:1:30424038:30426450:1 gene:itb01g24890 transcript:itb01g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDISERLLSSEVQKTNDDLKARVYDESRKIWRVALPGVLSRVASFGSIVVTQSFIGHTGELDLAAYALVQTLIVRFVNGILIGMSSATETLCGQAYGAGHYHMMGIYLQRSWIVGFITLTILLPFFIFATPIFKLVGQEESIADAAGYVSYWFIPFNYNYVFSYTIQMYLQAQQKNIIIAWLTVAQFVIHIPLSWLLVYQFEFGVPGAMIALIISSWFVVIGEFVYIFGGWCPNTWRGFTMDAFKDIFPVVKLSISSGLMLCLELWYNAVLVLLAGYMKNAEVAISAFSICLNINGWEFMISLGFLGAACVRVANELGRGDAKATRFSIKVIVSTSIVVGLFFWVLCLSFGSKIGYLFTNEKEVADSVSDLSMLLAFSVLLNSIYPVLSGVAIGAGLQSTVAIINLCCYYLIGIPIGAVLGYVANLEVQGIWLGMICGVVTESIALCYMTWGTDWDNEVTKAKQRLQKFYLKFDESNQLA >itb07g14680.t1 pep chromosome:ASM357664v1:7:17354796:17356549:-1 gene:itb07g14680 transcript:itb07g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGAFKRKSVGLQNLKRKRNQREVKLEEERERRLATLIQRCPNLRVLHQIHGHVLTSPLLSTSSLSFLLAKILTFAALSSRGSLGYAKQLISRIPSPGIYSYNSLIRGFLEKPQTSSPEPILIFKRLLRKNYPRSNTFTLAFVLKSCSVLMAFREGQQVHKHVVQSGHGSSPFVQTSLLNFYAKCEEIELSKKMFDEIPERNVVAWSAMISGYSRLGMANQALDSFREMQKAGISPDRVTLVSAISAWAISGALDLGKWLHAYIDKKGIENDLELNTALVNMYVKCGCVEKARKCLKLCL >itb01g26560.t1 pep chromosome:ASM357664v1:1:31693094:31694800:-1 gene:itb01g26560 transcript:itb01g26560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSECAEHIVMLPFMAHGHMIPFLGLAKKIKQTTGFKLTIVTTPLNVQYLTSYIDDPEIRLVALPFNGADHGLPPGAENTEGLPLHQMINIVRSTVALKEPFRNFISDISAKDGKPPLCIISDVFIGWVREVARSCGTVNVGFSTAGAYGTTCFMSIWRDLPHLAAGDDDGEFTMPAFPDSCRFNVSRLHAFMRAANGEDSWSKVFGSIVAGSLESTGWLCNTVKEIEPLGSDALGKFTKLPVWCIGPFLPPAMINKGSRIIGRRSGKDHGLSPEKCIEWLDMHSEGSVLYISFGSQNTISASQMMALALGLEDSGKPFIWVIRPPIGFDIKGEFKSEWLPEGFTERMAGKKQGLLIHSWAPQLEILCHKSTGAFLSHCGWNSTIESLSQGVPIIGWPMAGEQAFNSKMMMEEMGVCIELTKGVQSTILRSDVKRVIDVVLDSKKGMEMKEKAVGIGELIRAAVREDETSKGSSLQAMDHFISTLRALQKS >itb01g17840.t1 pep chromosome:ASM357664v1:1:22666466:22667257:1 gene:itb01g17840 transcript:itb01g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFWFPSKSGFNLNKYRDRGRLYDLEFLTSPLQLHIPFLSRNSKNLHSTVTAMAINIVDCGSESYDLFDVYFYDDRIKTLVTHEPDKVVDWIAEIEYIHRRRLDRLIVGLDVEWRPNTSRYQNNPAATLQLCVGRRCLIFQLLYCCHIPFELADFLADPDYTFVGVGIHNDVAKLVEDYDLEVNNAVDLCYLAANDSNDRSLRNVGLKDLARIYLGAEMSKPRRVTMSRWDQSWLTEEQIQYACVDVFVSFEIGRLLEASD >itb12g02910.t1 pep chromosome:ASM357664v1:12:1907609:1908031:-1 gene:itb12g02910 transcript:itb12g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPSVNNDPGWCKYFGECGGHLHFIGECGRDGQLLNVFEMENDYSRWVFKYQVDVGYVATFYPFVNDEFAIHLLLTLHEGKEEGEGLVISLPNGKDILWRLQDMSITQLESCNGITQRVYKRGYSTSKHIDTLAFIEP >itb09g30840.t1 pep chromosome:ASM357664v1:9:31402407:31404455:-1 gene:itb09g30840 transcript:itb09g30840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRLVFALVHSFCAIHASQNDIDCLISIKASLEDPLGYLNSWNFDNNNTEGFICNFIGIECWTADENKVLNIRLSEMELKGQFPGGIEKCSSLTGLDLSGNQFYGAIPSDIGKMIEEVTWLDLSSNRFSGEIPVSMANGAYLNVLKLDNNQLTGQIPPQFVLLDRMKMFSVANNSLTGPIPAFGNNNNVRIPADSYANNVGLCGGPLKPCKPVAHKDHSSEDFFITGFVTGWVVFMMLSLYIRLFGFPCSAANSIPIGKRVKMNSTSICSEQEDIDNNNDEILILEKFVSRISFMEMANATSSFRQENVVGFGSLGNVYKATLPNGLILAIKRLHKTQNLDEEFASEILTLSRLKHQNLVPILGFCCQGEEKLLVYKYMPNGSLHEWLHSTQDRARALDWGLRMKIAVGVAKALSWLHYSSGLNVVHNGLSSKCILLDQNFEPRISKFWEATIMNSNGTVSSSCNLLSKAAEYGDNFSPYTKDVYCFGIVLLQLITRKEAYKFMSCSTDIIFDSCTTSPLQIDEVITHTGFDDSISGFLQIAKNCVKFMPNQRPTMLQVYESLTSIAQAWVTNHGSEISMDRYIIENVISIVY >itb12g07380.t1 pep chromosome:ASM357664v1:12:5514148:5519509:1 gene:itb12g07380 transcript:itb12g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MFQKNLLRFIPRTFFCTAASASTLTSKHVFRLTHTGIEEVLASKLAPILHICTSFSDSSSILQQGQQIHAQIIVNGIVSTGVLGTRILGMYVLCNRFLDAKKLFWQLNLCYASPWNWMIRGFTVMGHFNSALIFYFKMLSFGTWPDKYTFPYVIKACAGLHAVKLAKSVHRWICSLGFELDIFVGSALIKLYAENHLVDDARCLFNKIPMRDSVLWNVMLNGSLNCEEAVDDVVGLFGQMRGSDTKPNSVTYACVLSLCASKGMVEFGTQLHGLVVKCGLEMDSPVANTLIAMYMKCHCLIDAKKLFDTVGKNDLVPWNGMIGGYVQNGFMADALNLFHEMIATGVKPDGITFASLLPSVSESGNLYLGRSIHGYIVRHNVTLDVFLKNALIDMYFKCRSVEMACKVFDGSLPVDIAICTSMISGFAVNGMNLDALEVFRWLLVKQMKPNALTLASVLPACTELAALKLGKELHGTVFKHGYEGKCFVGSALTDMYAKCGRLDLAHQVFLSLSEKDTVCWNSMITGYCKNGEPEQTIDLFRKMGVEGAKYDCVSISGALSACANIPALHHGKEIHGFMIKSAFHNDLFAQSALIDMYAKCGYLHTARRAFDLMKTKNEVSWNSIICAYGNHGLLEECLDLFRGMEEDGFQPDHVTFLAIISTCGHAGEVEEGKRYFNCMVQEYGIVPRMEHYACMIDLYGRAGCFEEAFEVIKSMPITPDAGIWGTLLGACRVHGHVELAEMASKYLFNLDPQNSGYYVLLSNLQADSGEWERASKIRSLMKERGVQKIPGAAMSALRASTSYTIGFGISPFSAPPTRRSSSSTHRRHVAFASAEPSEKSVEIMRKFSEQYARKSGTYFCMDKGVTAVVIKGLAEHRDTLGAPLCPCRHYDDKAAEVEQGFWNCPCVPMRERKECHCMLFLTTDNDFAGEEQTISLEEIREATANM >itb02g22370.t1 pep chromosome:ASM357664v1:2:21784304:21786700:1 gene:itb02g22370 transcript:itb02g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSDCWLLSLAILLIVATFSPTSQASIRNENGLKTSTFLSPKIELEPGSVSNKYYYDIDFPKGHIAIKEFTAEVVDEAGNSVPLHETYLHHWVVVRLNRRKGVEKMKYYPSLGFHQPDYVIVSNSGVCRGLSQYFGLGSETRKTDNQIPNPYGIEVGNPEDVPEGYEEGWMLNVHAIDTRGAEDRLGCTECRCDLYNVTEDEYGETIGQDYIGGLRCCYDEMRCRVKEGYNGIKRSLYLKYTVKYVDWDSSIVPVKIYIFDVTDTWRTSDETKGSMKGHHCKIEYDVEPCSVAVANDKCVHTQSVSISLPSGGDVIYGVAHQHTGGIGSTLYGEDGRTICSSFPIYGEGNEAGNESGYIVGMTTCYPQPGSVKIAEGEMLTVASNYSSSHSHTGVMGLFYILVAEPLQTSNSIMHTQHKTGETVNPTYALWALFALCGIAVIAAAIIAVKRSTERDNGYEAIVV >itb04g16540.t2 pep chromosome:ASM357664v1:4:18260942:18263583:1 gene:itb04g16540 transcript:itb04g16540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSSAESELGSFCVQISELNARIEAQSSIESKNSANLANSASAAEIGAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLGSTDFWFITIILLIEGARIFSRSHELEWQHQATWSITNIGINSFRAIKSGSHCIANALCRPVRKASSSNNQRTREVMQSRVWASSEVPLFPYAKWMFISRNVSKFLYWLQIVSATACLVLSLSRLIAQDYGEIAKGDTDRRNRKSALNIFYSLALAEALLFLLEKAYWEWKIMSQKLLEEVNRECELGPYGMAAVRRFFYDAYSKCVNGSIFDGVKMDMVSFGMELLASNARDEHLTGARILRKLATSTRFSDATLQKIGTNISVMERVVEMLNWKNQEDEEIRLSAAEILSKLAGKKQNALRVAAIPGAMESISSLLQINRSSSAAGICDEIYEKGMVSKSEHFNHLGLLILKKLARDHDNCGKIGNIGGGGGGGLLPKIIDFTRDGERLLKGDGMATQTQILTLTRSLQLLKMLAGTTGSVGKELRQQISEVVLTISNIRDILEYGQNFPALQHLGIDILKCLALEADASQRIGATGGVLNHLFNILFKADTNKRLNHVRNAAGEALAMLLLGSETNCHRVLKLKVTGRLIEALEDPLLRINAARILRNLCVYGGTNCCFDHLRSLVPAGVTVSQPSDLHILLLILSQYLILSNAIWPKEIRSSKHLSFKKINMISSLIGLVITIKPKAITSNSATLLTTVTLSMTWLL >itb04g16540.t1 pep chromosome:ASM357664v1:4:18260935:18264197:1 gene:itb04g16540 transcript:itb04g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSSAESELGSFCVQISELNARIEAQSSIESKNSANLANSASAAEIGAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLGSTDFWFITIILLIEGARIFSRSHELEWQHQATWSITNIGINSFRAIKSGSHCIANALCRPVRKASSSNNQRTREVMQSRVWASSEVPLFPYAKWMFISRNVSKFLYWLQIVSATACLVLSLSRLIAQDYGEIAKGDTDRRNRKSALNIFYSLALAEALLFLLEKAYWEWKIMSQKLLEEVNRECELGPYGMAAVRRFFYDAYSKCVNGSIFDGVKMDMVSFGMELLASNARDEHLTGARILRKLATSTRFSDATLQKIGTNISVMERVVEMLNWKNQEDEEIRLSAAEILSKLAGKKQNALRVAAIPGAMESISSLLQINRSSSAAGICDEIYEKGMVSKSEHFNHLGLLILKKLARDHDNCGKIGNIGGGGGGGLLPKIIDFTRDGERLLKGDGMATQTQILTLTRSLQLLKMLAGTTGSVGKELRQQISEVVLTISNIRDILEYGQNFPALQHLGIDILKCLALEADASQRIGATGGVLNHLFNILFKADTNKRLNHVRNAAGEALAMLLLGSETNCHRVLKLKVTGRLIEALEDPLLRINAARILRNLCVYGGTNCCFDHLRSLVPAGVTVLQGIWSEQEKLQEMMIGLAAQVFKFMTPEESTIMLQKAGIQECEVADKLVQILAKHEYPSVKVPRMRRFAIELAIWMMRDDKTSSAVEVLRNLGMERRLECVMETTSELENFDVFSGTVGMNRCPQTMHSLLHTAINLLARSSN >itb12g09730.t1 pep chromosome:ASM357664v1:12:7675935:7677910:1 gene:itb12g09730 transcript:itb12g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLCFLLLTALSLPFLSSISAVTLDDTFYISLKWPKSQCQSKEGCCLPKKGNPSLNDFVINEFQRDFVSYCPNGTKFQPSKIADLVPSLQKYWPSLSCPSRDSKKLWMEEWYKFGTCVEPEHNQHDYFAAAVGAFKQINLLKLLSDAGIKPNGTYYPGDAINNAIEKAGLGEITVTCREDEKGLNAVLDYITLCANIKGKKIKCPGSSFWICDGKNGNKVKFPA >itb12g09730.t2 pep chromosome:ASM357664v1:12:7675935:7677349:1 gene:itb12g09730 transcript:itb12g09730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLCFLLLTALSLPFLSSISAVTLDDTFYISLKWPKSQCQSKEGCCLPKKGNPSLNDFVINEFQRDFVSYCPNGTKFQPSKIADLVPSLQKYWPSLSCPSRDSKKLWMEEWYKFGTCVEPEHNQHDYFAAAVGAFKQINLLKLLSDAGNQLDLVLLQTYVVYC >itb10g14240.t2 pep chromosome:ASM357664v1:10:20595290:20599714:1 gene:itb10g14240 transcript:itb10g14240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLFFRAVRKSKSGRLIINKVTLNSRRRFLCTATQNEVAAEDISRILGLNSWELLLDSSAVPSKLNPDVVYSVIHRNRSVVHPKRLLSFFDWSARKMSIPPSINSFAILTVLLCDSNLNPPASSLLEKMIECRFPVSDILSSLLRCYEECNRSSSSSLVFELLIIAFRNKGMFDEAVSVFLGVDVGFSPSLLCCNSLLNELIKRNKMEMFWSVYNGMVERKISPDVYTFTTVINAYCKSGNVRDAKKVLFEMGEKGCNPNLVTYNVVIRGLCSTRLVDEAVELKRTMAEIGLAPDGYTYSSLIDGLCKQKRCREAKLVLEEMWDMGLNPNHFSYTPLIHGFMREGDVEEALRIKDDMVARGIKLNLVTYNTLLNGLCKIGQMEKATNLKDEMIAMGIFPETWSYNHLLEGYCLQNNMEKACEIVFEMNERKLVPSAYTFGFLINGFCNTGDRHQAILILEKMIGMGIRANSVILTPILKSFVQDGKFEEAQNFLDEMWQKGTSPDVFCYNCIIIGFCKAGRMEEAQMCLTKMEKRELRPNDYTFGAFISGYKEKGEMQMAEKYFCEMLDRGIAPNVVLYTYVIDGHCKIGNITKALSQFRCMLEQGLLPDVKLYTVLIDGLSKAGKFSEAIKVFSELYDRGLKPDVFTYTSIINSFCKHGNLEEAFRLHDEMYENGILPNIATYNALIGGLCKSGNILRARELFDGISIKGLAPNGMTYATIIDGYCRSGNLTEAFHLLEEMQSKGIQPDAFVYNVIINGCFKEEESERALVIFHETVQKGIASTSTFNVMIHGFCKSGRLNDALGLMNDMLDKKIPPNHATYNILIDHLCNMGKTNEAEELLLEMLRRNLMPTNATYRSLILAYGRIGERSKMFSLFDEMMAKGIEPDELVHSALVNALYKDGSLQKAFKLWNELVEKGVLSGHVNETLVESLCENGDISELLTSLNEIGKQGFVPSVTMCSTLVHGLNKAGYSEKLAETLETMVRFAWVPKSMTLSDLISQYQTNENAESIINPMKQAEPACQVQA >itb10g14240.t3 pep chromosome:ASM357664v1:10:20595291:20599764:1 gene:itb10g14240 transcript:itb10g14240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSLFFRAVRKSKSGRLIINKVTLNSRRRFLCTATQNEVAAEDISRILGLNSWELLLDSSAVPSKLNPDVVYSVIHRNRSVVHPKRLLSFFDWSARKMSIPPSINSFAILTVLLCDSNLNPPASSLLEKMIECRFPVSDILSSLLRCYEECNRSSSSSLVFELLIIAFRNKGMFDEAVSVFLGVDVGFSPSLLCCNSLLNELIKRNKMEMFWSVYNGMVERKISPDVYTFTTVINAYCKSGNVRDAKKVLFEMGEKGCNPNLVTYNVVIRGLCSTRLVDEAVELKRTMAEIGLAPDGYTYSSLIDGLCKQKRCREAKLVLEEMWDMGLNPNHFSYTPLIHGFMREGDVEEALRIKDDMVARGIKLNLVTYNTLLNGLCKIGQMEKATNLKDEMIAMGIFPETWSYNHLLEGYCLQNNMEKACEIVFEMNERKLVPSAYTFGFLINGFCNTGDRHQAILILEKMIGMGIRANSVILTPILKSFVQDGKFEEAQNFLDEMWQKGTSPDVFCYNCIIIGFCKAGRMEEAQMCLTKMEKRELRPNDYTFGAFISGYKEKGEMQMAEKYFCEMLDRGIAPNVVLYTYVIDGHCKIGNITKALSQFRCMLEQGLLPDVKLYTVLIDGLSKAGKFSEAIKVFSELYDRGLKPDVFTYTSIINSFCKHGNLEEAFRLHDEMYENGILPNIATYNALIGGLCKSGNILRARELFDGISIKGLAPNGMTYATIIDGYCRSGNLTEAFHLLEEMQSKGIQPDAFVYNVIINGCFKEEESERALVIFHETVQKGIASTSTFNVMIHGFCKSGRLNDALGLMNDMLDKKIPPNHATYNILIDHLCNMGKTNEAEELLLEMLRRNLMPTNATYRSLILAYGRIGERSKMFSLFDEMMAKGIEPDELVHSALVNALYKDGSLQKAFKLWNELVEKGVLSGHVNETLVESLCENGDISELLTSLNEIGKQGFVPSVTMCSTLVHGLNKAGYSEKLAETLETMVRFAWVPKSMTLSDLISQYQTNENAESIINPMKQAEPACQVQA >itb10g14240.t1 pep chromosome:ASM357664v1:10:20595291:20599764:1 gene:itb10g14240 transcript:itb10g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSINSFAILTVLLCDSNLNPPASSLLEKMIECRFPVSDILSSLLRCYEECNRSSSSSLVFELLIIAFRNKGMFDEAVSVFLGVDVGFSPSLLCCNSLLNELIKRNKMEMFWSVYNGMVERKISPDVYTFTTVINAYCKSGNVRDAKKVLFEMGEKGCNPNLVTYNVVIRGLCSTRLVDEAVELKRTMAEIGLAPDGYTYSSLIDGLCKQKRCREAKLVLEEMWDMGLNPNHFSYTPLIHGFMREGDVEEALRIKDDMVARGIKLNLVTYNTLLNGLCKIGQMEKATNLKDEMIAMGIFPETWSYNHLLEGYCLQNNMEKACEIVFEMNERKLVPSAYTFGFLINGFCNTGDRHQAILILEKMIGMGIRANSVILTPILKSFVQDGKFEEAQNFLDEMWQKGTSPDVFCYNCIIIGFCKAGRMEEAQMCLTKMEKRELRPNDYTFGAFISGYKEKGEMQMAEKYFCEMLDRGIAPNVVLYTYVIDGHCKIGNITKALSQFRCMLEQGLLPDVKLYTVLIDGLSKAGKFSEAIKVFSELYDRGLKPDVFTYTSIINSFCKHGNLEEAFRLHDEMYENGILPNIATYNALIGGLCKSGNILRARELFDGISIKGLAPNGMTYATIIDGYCRSGNLTEAFHLLEEMQSKGIQPDAFVYNVIINGCFKEEESERALVIFHETVQKGIASTSTFNVMIHGFCKSGRLNDALGLMNDMLDKKIPPNHATYNILIDHLCNMGKTNEAEELLLEMLRRNLMPTNATYRSLILAYGRIGERSKMFSLFDEMMAKGIEPDELVHSALVNALYKDGSLQKAFKLWNELVEKGVLSGHVNETLVESLCENGDISELLTSLNEIGKQGFVPSVTMCSTLVHGLNKAGYSEKLAETLETMVRFAWVPKSMTLSDLISQYQTNENAESIINPMKQAEPACQVQA >itb04g33750.t1 pep chromosome:ASM357664v1:4:35907929:35909822:1 gene:itb04g33750 transcript:itb04g33750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGGGLRKNEQGITAPIESKLRPKNMGMGFNHYKEIKVPPLQESEAMLSGPPPAQPLKRDKLCMKQASKKKVCITAEELLLAKKKQQQHVVQKVFDMRGPQVRVLTNLKNLNGTEEKATENDILMPERQHSIRLIVDLEEFDIQNRDLRNERETVNSLQKETEKLQAHKKKQLENMEDIMNELDRINEESKEVFPVVRISGTNTWQAMDPEPMLRFLDSWEKLLPRVVLNTILDNIVLPKLSAAVDSWDPRRETIPIHSWVHPWRLLLGQKLETCYQTIHCTLQIKVYFMLGTLVICPHTAYCHLGKLF >itb04g01430.t2 pep chromosome:ASM357664v1:4:802583:811579:1 gene:itb04g01430 transcript:itb04g01430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSYCLYQPNVAHRNEDVAYRILERPNTRLRLNRVGFNCEPIGNLRFMLRSPSTKSLKRVSASRNASANSSRLLFNHCLWNSSTCGDCICLKTYRGKILSQCQGNDSLAYIDGNDRDVQSIENGSDGSSRTETNAIAEISSLNDESVEINEETEEPSLEDLRELLQKALTDLEVAQLNSTMFEEKAQRISEAAIALKDEAASAWNDVNKALNSIQETQNEEAVAKEAIQKATMAVSLAEARLQVAMDSLEVAKRNESLETSGESKEEVPASSKEEEEALLAALEDVEKCRDHLANCEADLRQLENRKEEQQKEIDRLNEVAEQAQMNALKAEEDVANIMLLAEQAVAFELEATQHVNDVEIAIQKAEKNLTISFMDPTEATNGFSRGQALADEIVLEDEVNQRNPANGEKHGEVSVEDGQVTAEPLADTLLDIGGHRSDESHLSDASDQDDSFVPDSSKDGELDSEKSTNIQSKKQEVPKELARDSSPLNAPKALLKKSSRFFSASFFSSSADGDEFTRASVFHGVMESAKKHLPKLVVSSLLLGAGFAFYFNRSERISRLFQQPDTSTTSIHEVSTNTKPLVRQIRKLPKKIKKLLEKLPHQEINEEEASLLDMLWLLLASVIFVPVFQKLPGGSPVLGYLTAGILIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAAVVGMVAHLVAAQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFRAIAEALGLAAVKAIVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIMGVLGLLIGGKTILVALVGQLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQMSSLLFLVVGISMAITPWLAAAGQLIASRFELQDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAHRACAAAITLDTPGANYRTVWALNKYFPNIKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLVEAKLPMSEITATINEFRSRHLAELTELCQTTGSSLGYGFSRVVNKPKAQQSDSSDEGEGALAI >itb04g01430.t1 pep chromosome:ASM357664v1:4:802583:811579:1 gene:itb04g01430 transcript:itb04g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSYCLYQPNVAHRNEDVAYRILERPNTRLRLNRVGFNCEPIGNLRFMLRSPSTKSLKRVSASRNASANSSRLLFNHCLWNSSTCGDCICLKTYRGKILSQCQGNDSLAYIDGNDRDVQSIENGSDGSSRTETNAIAEISSLNDESVEINEETEEPSLEDLRELLQKALTDLEVAQLNSTMFEEKAQRISEAAIALKDEAASAWNDVNKALNSIQETQNEEAVAKEAIQKATMAVSLAEARLQVAMDSLEVAKRNESLETSGESKEEVPASSKEEEEALLAALEDVEKCRDHLANCEADLRQLENRKEEQQKEIDRLNEVAEQAQMNALKAEEDVANIMLLAEQAVAFELEATQHVNDVEIAIQKAEKNLTISFMDPTEATNGFSRGQALADEIVLEDEVNQRNPANGEKHGEVSVEDGQVTAEPLADTLLDIGGHRSDESHLSDASDQDDSFVPDSSKDGELDSEKSTNIQSKKQEVPKELARDSSPLNAPKALLKKSSRFFSASFFSSSADGDEFTRASVFHGVMESAKKHLPKLVVSSLLLGAGFAFYFNRSERISRLFQQPDTSTTSIHEVSTNTKPLVRQIRKLPKKIKKLLEKLPHQEINEEEASLLDMLWLLLASVIFVPVFQKLPGGSPVLGYLTAGILIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAAVVGMVAHLVAAQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFRAIAEALGLAAVKAIVAIAAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIMGVLGLLIGGKTILVALVGQLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQMSSLLFLVVGISMAITPWLAAAGQLIASRFELQDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAHRACAAAITLDTPGANYRTVWALNKYFPNIKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLVEAKLPMSEITATINEFRSRHLAELTELCQTTGSSLGYGFSRVVNKPKAQQSDSSDEGEGALAI >itb03g17990.t1 pep chromosome:ASM357664v1:3:16376903:16387927:-1 gene:itb03g17990 transcript:itb03g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGRRFSVPQENGDPWIPVIPQITDLSRPTLVPADLQGNHILPRHDLIPSGMQGNQTEMTNWQELVGIYGELLQEGDETGAIQNVRSVEQMDGTQVSQSSNSMALMNLKQKELHTWQNGDVQNQSIDQSLVSYGKNLGHLNPGWSNCSSLAKSMATRNMPTPCSMNASPEKSIPPTSQPVIPSSYSQLEGNYTHGESSSPMLPKQKLVLHSSAVSRKRQPHDGFAVPYSPSPGTVVTSGAASIVKFAPITPDKAERLKICHRSEIQNFSTEENSSPEKDNQEQTTWDIREGDNHSVYLIQNAPDSLSAAISPLPKGNNTDSKGNAEIDLNKTPQPKPPRRPKHRPKVVVEGKPKKISKSSAPKNSTPNENPSGKRKRVRRKPPKIPSSKQDDETNGVATSNADKVKSCRRVLNFDLENGAANGKQGRAEGCQVEARGRFDQSFNLNLGARDKLVSLVKDVSTTSMMQEQQSGEQQLVTTSNRFQKPINVPTSPATPKNHKLNVIARNLNVQNTILYQSSRQNGYNQVEEVNNEKGISRNDFQTDTSQAGIEETRQLALQSTSQMLRDIAKMTGNRGFKRDLHSSELTDSQTVNLIGSQLLCHNMSRTGKLNSDCSTLEICSATRKKKKSKDSFCGTITSTPSYVTSVKDCSAHMQTGSSISPDNSRLSNPNLHREINCQNAENVMSGDAANRHLNPLTVGLHYQGQPTLSQLHPNAEKQCTPSHFQSNAGIEAENMSQQHTETDGHKALATVRNWNTKCVTVSVSESVQGHEKQTSPATISAKARELRQASPNQASRSSRKQIPIEKKNAQGPLESGDYTVTVDILALRLECLAISDKRNNLDIEEQGALVPYKGDGALVPYKGDGALVPYEGYDLAKSRKPRPKVDLDPETNRLWNLLMGKEGSECNESMDNDKAKWWEDEREVFQGRADSFIARMHLVQGDRRFSRWKGSVVDSVIGVFLTQNVSDHLSSSAFISLAAKFPQQSVIKETEVQVIDPDGTISYHKSILKQPGHNHSSAASGEASDLIMKNLTRAREIHIPNEKNRRMEEEVISLQNSSASFILQANEEIKSSTGSNSEAEDRLSGSSPKNGQNQPEFSLQTEVTDSFQEFQHYAMYSALLNKTTMPGNQQSKNPVSSRENGTLDRGSTEFDFPINSNNQCIENSAFTFSNPWLLIKEYSDTQETTSDYVWKENASSLHSVANGTNLEKSAYYCCTGVEYIAQNTSTSTSKETEATIVQASGVDQDAFLKKKSEHLVNEQPHPQTGHIQHFASSDQQERSKISQLDNTYKASSNTAKGHAKQQSEGKIHPDKLATENAINVSNAKKRKTEKENNKVSDWDSLRKQVQYKSEKIGRSKDTMDSLDYEALRRAEVKDISAVIKGRGMNNMLAERIKDFLNRLVRDHGSIDLEWLRHAPPDKVKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKLDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEERSIVNSGAPISTDGNSAASFKSMPLLLGKPGDRTVSSADAFEASYMSSLLNKPMPLPPEITSLNREATEFITSSYEPIIEEPATPEPMPEVSESDIEDAFYEDPDEIPTIELNIKEFTANLQTILQEQSMGIQGDMSTALVALNPEAASIPTQKLKNVSRLRTEHQVYELPDSHPLLERMDRCEPDDPSPYLLAIWTPGETANSVQPPETNCDYQASGRLCNENTCYSCNNVREADSQTIRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPIDVPRRLIWYLPRRTVYFGTSVSSIFKGLSTDQIQHCFWRGFVCVRGFDQKTRAPRPLIARLHFPASRVAKNKNENGRKQGSAAGTAK >itb13g14060.t2 pep chromosome:ASM357664v1:13:20603872:20613167:1 gene:itb13g14060 transcript:itb13g14060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIKKTGNKLSKDPLSICANIAAIAAMKKLGMQSIEQEGENSTPMEIRGGGLKEVGEVVDGVTGLDFLRRHYGHWNLDLDTSREKKASRVCEHKIGIKTHNDWKLNLDNLREKKTGALLYVHSLSRCHVNRVYMAIVIVGVKCFGKKRYTRYKLNAMKEDLEQNQNYPKVLIQIPMFNEKEVYKLSIGAVCGFIWPSDRFIVQVLDDSTNDSLRKLVEDECKMWMRRGVNVKYETRNNRNGYKAGALREGLKNQYVDDCEFVAIFDADFQPDNDFLWRTIPYLLENSELALVQARWKFGTAGVWRIQALHDAGGWKDRTTVEDMDLAVRASLRGWKFLYIGDLEITPCREVLDRHRNGWVARVQNCKELRLGSVLLPPCFGDLECHPLDFTMITDQEGFSLPKE >itb14g05760.t1 pep chromosome:ASM357664v1:14:5006858:5009321:-1 gene:itb14g05760 transcript:itb14g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILERKAKGFGSSNKSSTDLAAMGLDGYEGAAAPTTARGLGAGAMDDHYSRSSSSMAASTSIPINSSNIGFQLLKKHGWKEGTGLGISEQGRLEPVEGCVKRNKRGLGADKGKKTSEHTKSEKAGKEVKLPVKKKTKAVSKKMKKILEFEKQLQEKELVREFYREFWPDNV >itb10g19320.t1 pep chromosome:ASM357664v1:10:25178467:25179503:1 gene:itb10g19320 transcript:itb10g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNALRCCIACILPCGVLDVIRIVHTNGRVEEITGDVTAAEIMKMHPKHVLKKPSSRSVVDGDQGACPKIVVVPPEAHLQRGKIYFLIPVLSPAPEKPRAKSSSAKKKRSSSPEVEQKRRSKQNAAAAGVIVSDQYLSEILSEKLSTAQRDRRRGRVGVWRPHLESISETPSES >itb01g06110.t1 pep chromosome:ASM357664v1:1:4317172:4318610:-1 gene:itb01g06110 transcript:itb01g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSVHSSDTWPATSIGIHDVCEFRVMKEMQGAIIFAAAFHEILGYSGIAMIFLNYLKYFCDVQQQIVTYGKQSSKSLKRSKSRHHKKCACFHFVKEGVFFPHHLDSGAEALMLAATKQ >itb03g25760.t3 pep chromosome:ASM357664v1:3:24969824:24973334:1 gene:itb03g25760 transcript:itb03g25760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDFLEKLGLEKGTRKVVNHEERGKILRAMDGCSYKAAAGGSLSNSLVALARLGGQPIGGPALSIAMAGSVGSDPLGEFYRSKLRRANVNFLSAPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTIRYEPFLARAITRTKILVVEGYLFELPDTIKTIAKACEDAHRFGALVAITASDVSCIERHYDDFWEIIANYADIVFTNSDEARAFCHFRSNESPDSAARYLSHFVPLVSVTDGARGSYIGVKGEAVYIPPSPCMPLDTCGAGDAYASGILYGILRGVSDLKDVGTLAAKVASVVVGQQGTRLRVQDASELAEMFEYQRNNSSIWSDIGSDQISSL >itb03g25760.t2 pep chromosome:ASM357664v1:3:24969823:24973334:1 gene:itb03g25760 transcript:itb03g25760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDFLEKLGLEKGTRKVVNHEERGKILRAMDGCSYKAAAGGSLSNSLVALARLGGQPIGGPALSIAMAGSVGSDPLGEFYRSKLRRANVNFLSAPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTIRYEPFLARAITRTKILVVEGYLFELPDTIKTIAKACEDAHRFGALVAITASDVSCIERHYDDFWEIIANYADIVFTNSDEARAFCHFRSNESPDSAARYLSHFVPLVSVTDGARGSYIGVKGEAVYIPPSPCMPLDTCGAGDAYASGILYGILRGVSDLKDVGTLAAKVASVVVGQQGTRLRVQDASELAEMFEYQRNNSSIWSDIGSDQISSL >itb03g25760.t1 pep chromosome:ASM357664v1:3:24969200:24973364:1 gene:itb03g25760 transcript:itb03g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSILSSSCFSLPNPDVSSPPSTVSPLLKKPHFHPCPKSKNFPNYFSLSVNSMSTGGKDALPCRVTSSPSPSFRCNSDEGSGDNVSCANEADGAEDSEDDEHEGVMVHDDVDEEEDSSSPTSVLSKRWDVLGLGQAMVDFSGMVDDDFLEKLGLEKGTRKVVNHEERGKILRAMDGCSYKAAAGGSLSNSLVALARLGGQPIGGPALSIAMAGSVGSDPLGEFYRSKLRRANVNFLSAPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTIRYEPFLARAITRTKILVVEGYLFELPDTIKTIAKACEDAHRFGALVAITASDVSCIERHYDDFWEIIANYADIVFTNSDEARAFCHFRSNESPDSAARYLSHFVPLVSVTDGARGSYIGVKGEAVYIPPSPCMPLDTCGAGDAYASGILYGILRGVSDLKDVGTLAAKVASVVVGQQGTRLRVQDASELAEMFEYQRNNSSIWSDIGSDQISSL >itb13g14430.t1 pep chromosome:ASM357664v1:13:20985421:20991063:-1 gene:itb13g14430 transcript:itb13g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGENIWDASILFQSEDLDSYLDEAIFSYYDSSSPDGEQSPAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIVKDAIDYIQELHEQERRIKSEISELESERSKRNITLDQFDQEGISFNSKPKRTRIDEQCHDSPGSRSPVNVLELRVSSMGEKTLVVSLTCSKRTDTMVKLCEIFESLNIKIISATITAFSGRLLKTVFIEAEEEEKDFLKIRIESAIATLNDPDSPMST >itb09g02880.t1 pep chromosome:ASM357664v1:9:1628525:1630648:-1 gene:itb09g02880 transcript:itb09g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALESVEGRALLQWKKTLFNTDGVLDSWSHSNLKNLCNNWTGITCNATTATTYVSEINVRDYSNRLTGTLDHFDFISFPKLTHFVLSNNSFFGSIPSAIANLSKLTVLDLSYNGFQTSMPLGITMLTKLRYLNLGVNNLNSTIPDQISHLHNLEYFNISWNSFSGQFPESMYSNLTNLQYFICFGNQIQGRFPTNLTKLSNLRILDLSYNNFYGSIPHEISGLRNLNELYLGWNNFSGVIPLQIGKLKNLVSIGLKSNFFSGPIPSSLWNMTNLSMLSLAMNNFSGGSNGSPPRTPAPDGEEDSKKFGGGFGWRSVVIGYFSCMPFGIFVGYVAFLYGRPRWFVRLVVPVRTLRTKK >itb02g08830.t1 pep chromosome:ASM357664v1:2:5586829:5589448:-1 gene:itb02g08830 transcript:itb02g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVHALLAFPFAVVIFLLSWRALNWAWLKPRKLEKCLRQQGFKGNSYRFLFGDLRELNKSLKEAKSKPPLNNLSDDIASRIVPYFIDSIQEYGKNCFIWLGPNPMVFIKDPELIKEVLNKYTVYQKPRFSPLTKLLAEGLAASEEDKWNKHRKIINPAFHVEKIKHMFPAFYTSCAEMLGKWEKVMAEEGCSEIDVWPHLQQLTCDAISRTAFGSNYEEGRKIFKLQMEQAGHVIETSRSIYIPGWRFIPTKKNRRMVEIDRQVQASIGDIVDRRVKAMEAGEAAKDDLLGILLDSNFKEIKQHENKDFGMTINEVIEECKLFYFAGQETSAVLLVWTMVLLSRHQEWQTRAREEVLQLFGRDKPTLEGLNRMKIVPMILNESLRLYPPGPTINRRTNEETKLGNLSLPSGVLISIPIILLHHDEELWGNDAKEFKPERFSEGVSKVTNGQLAFLPFGGGPRICIGLNFTMLESKLALAMILQRFTFELSPSYAHSPTTVITIHPQYGAPLVFHKL >itb01g22350.t1 pep chromosome:ASM357664v1:1:28261946:28267816:1 gene:itb01g22350 transcript:itb01g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFFVHNSFGCEKIIIEGKEAQVKGLEDPQGKTLLVEFEFNMVPQVQVKKEEDEMDAEEENNDVASQYHTHSKGKRCIIERGLKRAASMEKRDARAKKRDVSEEENDARAKKRDVSEEENDARAKKRDVSEEENDASAEKVDVSEEKNDVNVRKKKDVPDHFGVELFSSGHFTQPKNPYFVTKIRPKRRDDLYIPIDVTRDNNIKLPAKVILWDERDKKYEAYLKTWADGRTWLSGGWRKLCRWNLVQQNDSRNFQITLHALNCSLASPSSSSDPASIAAGVLHRTQPPSFPSQHAARSPQVFKVAGCEGRVSSSSKVAGAKNYTKVTGNPEIFLKGRLQNCSSTGPSSPLVGPPLPVFSPSYVQHAAKNLASRTWMAT >itb04g22400.t1 pep chromosome:ASM357664v1:4:27563996:27572552:1 gene:itb04g22400 transcript:itb04g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSEPCSHSGDGTCMGGADPLEVRFTDFCKNELRMDGGALVQATTLFSDSKNILLKNVSAIGIGTPEDAERYWFAFVLFSVKKLNEREADNSNHNTDGFGFNLCQVLRAAKLNMADFFKELPHFILKVGPILSNLYGTDWEKRLEAKELQTNFMHLSHLSKYYKRAFQEFFLTSDANVDNNPTSANSTGSISDYHRFGWLLFLSLRVHVYGRYKDLVTCTNGLLSVLAILIIHVPVRFRRFNFNETTRFVMNGDKVDLFASLCKIYETSEDELRKTIDKVNNLITEKLKKNSCLATECQTDNLKNIDTDGLIFFGDLMEESSLSSNTSILEKDYDNAICNNGELDERIFINEDDCLLALGSLSGGAINMCGIKRKFDSFASPTKTVMSPLSPYRSPVASHKNTIRDSGCSNMAATPVSTAMSTAKWLRTVISPLPAKPSVELEKFFSLCDRDITADVIRRAQIILETVFPCSGPAEHCLAGSLQSTNLMDNTWAEQRRSEALKLYYRVLQAMCIAESEILHAKNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEDSLPRELRRHLNSLEERLLEKMVWEKGSSMYNSLTIARPALSAEINRLGLLAEPMPSLDAIALHSNSSPGSLPPVPSLQKHESCPDQNCDIKSPKRVCIEYRSVLVERNSFTSPVKDRLLALSNLKPKAPLPVLQSAFASPTRPNPSGGGETCAETAINIFFGKIVKLAAVRINGMVERLQLPQHIRENVYCLFQKILNHHTTLFVNRHIDQIILCCFYGVAKISQLNLTFKEIIYNYRKQPHCKPQVFRSVYVDWTSARRNGKMGPDHVDIIAFYNEIFIPDVKPLLVELAPTGAAQKNKNIAEATNSNDGQCPMSPKTSPFPSLPDMSPKKVSATRNVYVSPLRSSKMDTLISQGSKSYYACVGESTHAYQSPSKDLSAINNRLNAGGRKLRGALNFDDVDAGLVSDSLVANSLYLQNGNSGSSPCLAVKSEQPDS >itb08g01920.t1 pep chromosome:ASM357664v1:8:1542533:1543282:-1 gene:itb08g01920 transcript:itb08g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTALCIFFFLALSFGISLAASRSILHFGLLTGGGGGAGGGGGAGAGAGAGAGGSVGLGVGAGVGAGVGAGAGAGGLAGAGAGGAGGGRGGGGAGAGAGGGAYGGGHGHAHLSAGVSGNAHAGAHAGGYGYGHGHGGGYGGAGGYGGGHGDGGGHGGGYGGGPHGGA >itb12g22980.t1 pep chromosome:ASM357664v1:12:24862962:24865926:-1 gene:itb12g22980 transcript:itb12g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPQRRKSGINLPSTMNETSLRLDTFSTPRGASSSLRSKTNLPSPMSMSPRTLSNLSSSPSSKSANCSDRFIPCRSSSRLHAFGLVEKASPGKEGGGGNEAYISLLRSELFGADFGSFSSPAGNIGSPLSPSRNMLRFKTEHSGPNSPYSSSILGMDNGLSGEVSTPPKPPRKVPKTPHKVLDAPALQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPSDSVCSVQWTREGSYISIGTSLGQVQVWDGTQCKKVRTFGGHQTRTGVLAWSSRILSSGSRDRNILQHDLRVPNDFVSRLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNLHSQQPTLRLTEHTAAVKAITWSPHQSGLLASGGGTADRCIRFWNTSNGNQLNSVDTGSQVCNLAWSKNVNEIVSTHGYSQNQVMVWKYPSMSKVATLTGHSLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSAKTPAPVKDTGLWSLGRTHIR >itb03g10750.t1 pep chromosome:ASM357664v1:3:8619047:8622912:1 gene:itb03g10750 transcript:itb03g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKQRRFKNHRGQTSRSHQPLYPPSNEESLPVDLGEEEDKERPSVQLAMWDFGQCDAKRCTGRKLARFGFLKELRVNSGFGGIALSPAGSHCISREDHALIERKGLAVVDCSWARLDDVPFAKLRCAAPRLLPWLVAANPVNYGRPCELSCVEALAAGLIICGEEESAHLLLNKFKWGHAFLSLNRELLKAYSECKTSAEIISAQNEWLSRQRPVPQTLTQPQGENAESHNDDENSSNESDDGLPPLEKNMNHLNFVDSDEESE >itb04g24220.t1 pep chromosome:ASM357664v1:4:28961214:28961522:1 gene:itb04g24220 transcript:itb04g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRELSSKKAAVIFTKSSCFMCHSIKALFYELGASPAVHELDQDVSGREMEWALRSMGCNPSVPAVFVGGHFVGSAKDVISLHLDGSLKQMLINAKAIWF >itb03g04080.t1 pep chromosome:ASM357664v1:3:2518523:2524279:1 gene:itb03g04080 transcript:itb03g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSSSGMGEKVDRRKGQRKPPGSTSGAGAPKIEPFVPRQGYDPRELKSWAKRTGFVSTFSGETETASLSGGDFSGRNLNVANGNSNSNVGFDLERGFDKTESVSPKIELDPILGRTRNRGVEIEPDMRPANRGLRNEALRGENERRKVELDKNLIRVPNGETKDALNGIASHNSNVGVNGNEHVVQPATSAIDPKKEDGNVDYEMEIPDGEDPSSGGWLQSPRIKCGLRENPGYVALIYYGLQHFLSLVGSIIFIPLITVPAMGGTDKDTATAISTMLLVTGLTTVLHSYFGTRLPLVQGSSFVFLAPALVIINSEEYRNLAENKFRHIMRELQGAIIVGSIFQSIFGFSGLMSLFLRLINPVVVAPTIAAVGLSFFSYGFPQAGSCVEISLPQIILALAFTLYLRKISIFGHRVFRIYAVPLSVVMTWAYAFFLTAGGAYNYKGCSSDIPSSNILIDACRKHAYTMQHCRTDVSNAMRTAAWVRIPYPLQWGMPIFRLRTSVIMIIVSLVASVDSVGTYHSASMRMNLKPPTPGIVSRGIGLEGFCSILAGLWGTGTASTTLTENVHTIDVTKVASRRALQLGSVFLILFSFVGKVGAILASIPLALAAAVLCFIWALIVALGLSTLQYTQTSSFRNIMIVGVSLFLGFSIPAYFQQYQPESSLILPGYLIPYAAASNGPVHSGNTQFNFALNALMSLNMVVTLLVAFILDNTVPGTRQERGVYIWSNTEDIAADPSSFSDYSLPSKLGRCFRWAKCLGL >itb02g00690.t1 pep chromosome:ASM357664v1:2:402776:404067:1 gene:itb02g00690 transcript:itb02g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPYKTISTVKPAQVFLKKTIDKTKKFLLKTLETLKSFLFRGYKNADQLPQLKSVNRISDSAIKELDDFYRSWSQRWESEKTESGKKRSGGSGECVIAIQKNVKRTKDGEEERRCDDEVKRSRREAFSQCGNKGSSRSLAKKMEELEMMDMEDVDQVLDIEEVLHYYSRLNCPLYLDIVDTFFMDMYTEFSLPPPTISRVNSSRRSLGPIKL >itb04g20480.t1 pep chromosome:ASM357664v1:4:25237311:25241221:1 gene:itb04g20480 transcript:itb04g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMECCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVITDIDLYRMEPWDIQDRCKLGYEEQSEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVMSKEEQIIGMRKTLEEGWVVCRAFKKPSPNTKPYNDGWNYKYSYRPPSISDDIISSSTNPILLHGINNTFNNNRHQFPNFHLPPHHHQDGLILPPAVSGGAGDNNHLVELPQLDSPTMSTSFATKDGTFVANEDGEVEKQLENYSDEFQNWKNIGVDDPLIPTSSFPNVSMPLIISDDSQHHISHLLQCFPDL >itb07g14180.t1 pep chromosome:ASM357664v1:7:16667309:16667692:1 gene:itb07g14180 transcript:itb07g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRAQEVTKRSSSGGGGRLISKKKVRGLNHYGNNFEECKIRIFESAKDPTQYLKASKKENRKPFYECRLKAEIGNGFLRRGELGPRRGSSNLDLLCFFVFDRAISRLTEGFSFFFLPNKYGVKII >itb13g26330.t1 pep chromosome:ASM357664v1:13:31641574:31644055:-1 gene:itb13g26330 transcript:itb13g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEAQHTTLQKLDLINKIQRLGVCYQFQKEIEATLQHIFEAYDEFNVEEDKNDLYLVSLRFRLLRQGGYPISSKVFEKFTNSEGKFKESLINNVHAMLSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEVSEALRQPFHKRIRRLEAKRYISIYEVDETHDPMLLKLAKLDFNMLQKEHKRELGIFTRWWKDLDVTNNFPFARDRLVEGYFWTVGIYFEPQYSLARKFFLKVIAMSSIIDDIYDVYGTPNELQLFSDAIERWDATAVNRLPEYMKICYMALLDVYAEMEKELAETGELYRIDYAKTEMKILVRTYLKEDKWFRDRYAPKFEEYMKLATETCGVRLLVATSLVGMQEDFVTKEAFDWVSKEALIVQAGSVICRLMDDMAGHEFEQQRGHIASSVECYMKQYKKSKEDTYKELQERIINAWKDINQEYFKPLFPMPILARALNFSRIMDTLYIDGDSYTHSKTKMKDYITSMFVDPVP >itb05g05670.t1 pep chromosome:ASM357664v1:5:5466730:5466969:1 gene:itb05g05670 transcript:itb05g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDDHSHILGQIRKTFLGKYEESEHVVGKGGEIKGQKMGIKGGVMERSFSVGSPRELRLERFKVKTPNVRNGGGSTIG >itb14g05350.t1 pep chromosome:ASM357664v1:14:4686388:4690403:1 gene:itb14g05350 transcript:itb14g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTEATFVAKHSPVSTTANTISHHTTQNAATAQNLDYTKVINLDHGDPAMYESYWRKMGKSCAITFAGDERLSYFSHVKKVCWFMEPKLEEEIRRLHNVVGNATVDNHDDYIVVGNGSSQLIQAALYALSSLHSDHHTRPISVVSAAPYYSSYPEMIEFLQSRLYKWEGDAREFEKDGAYIELVTSPNNPDGVLRRSVVLNKGEGSVVHDLAYYWPQYTAITSPANHPLMLFTVSKCTGHAGSRIGWAIVKNKEVAKKMTKFIEISTIGVSKESQLRAAKILGLVSDSCTTSFNPQFQNFFQYTHTLMYQRWQKLRQVVKASHLFTLQKYPIQYCHFARDFTETLPAFAWMMCKGREEDCEKLLRGHKILTRSGTKFGADPKFVRISMLGNEDDFNIFLQRLSTLHHQTVTAYN >itb10g13220.t1 pep chromosome:ASM357664v1:10:19394367:19399074:1 gene:itb10g13220 transcript:itb10g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLIKTSRSSSIQLCRNRTTLKLYPFTSIFSSLLSESANPPNPTPKTPIFNFNCSFPSKKNDGFSSFSHDKLNFLSGSFSGGDVRFLSSQAGAAVEEPSTSDGLTVEGIIGNNWCILDESESDWKSHASSIAQSIQLIKKRLKWKKLIDRLEVLSAELNKPDIWDDAVRAGNLSREHGSLTGKMEEVKAFEQELLEHIDMIKLAQEENDKDLELESLKALSRVRRSIREKELEALLSGEHDSCSCYIEVQAGAGGTESNDWASMVMQMYKLWAQRRGYGVTVVDEMPGEIAGIKRATIKVEGEHAFGYAKAEVGVHRLVRISPFDSAKRRHTSFAAVAVIPILGDGSTRVKIDESDLRIERFRAGGAGGQHVNTTESAVRITHIPTGITASCQNERSQHQNKSSAMAVLQSRLDQLEMARQAEMNAQHTQSLTDISWGNQIRTYVLQPYRMVKDLRTNYEVSDPDSVLDGDIDDFILNYLSVSFDNDGK >itb12g23250.t3 pep chromosome:ASM357664v1:12:25063937:25066761:1 gene:itb12g23250 transcript:itb12g23250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSASVNHLSQPCIYGHFVSSHAERKSRFMKWLSKIFKGCSSSRGLPGGRQPQLLGDENIALPAPIRSLDDRPRANKEKEELDRAIARSQAEDLKRPNGYRWADNDDLARSLYDGLGSSSFPPYAPPAPPAPYVPRDYYPRDYRVCGGCHREIGYGNYLGCMGTFFHPECFCCYACGYPITEHEFSLSGNKTYHKLCFKELTHPKCEVCHQFIPTNGAGLIEYRCHPFWSQKYCPAHEHDVTARCCSCERLESWDAKYISLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNEAIEGEKHGFHHLPETRGLCLSEEQTVTSILKRPRMAGRGGLVGMRTQPQRLTRKCEVTAILVLYGLPR >itb12g23250.t1 pep chromosome:ASM357664v1:12:25063937:25067560:1 gene:itb12g23250 transcript:itb12g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSASVNHLSQPCIYERKSRFMKWLSKIFKGCSSSRGLPGGRQPQLLGDENIALPAPIRSLDDRPRANKEKEELDRAIARSQAEDLKRPNGYRWADNDDLARSLYDGLGSSSFPPYAPPAPPAPYVPRDYYPRDYRVCGGCHREIGYGNYLGCMGTFFHPECFCCYACGYPITEHEFSLSGNKTYHKLCFKELTHPKCEVCHQFIPTNGAGLIEYRCHPFWSQKYCPAHEHDVTARCCSCERLESWDAKYISLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNEAIEGEKHGFHHLPETRGLCLSEEQTVTSILKRPRMAGRGGLVGMRTQPQRLTRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGFRNLSPEVEEGICQVLSHMWLESEVMPGFRNMPSTSTASSSSSAWPSSSSSSSSKKGGRSGAENKLGEFFMHQIAHDTSPAYGGGFRAANEAVNKYGLRRTLDHIHFTGSFPL >itb12g23250.t2 pep chromosome:ASM357664v1:12:25063937:25067560:1 gene:itb12g23250 transcript:itb12g23250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSASVNHLSQPCIYGHFVSSHAERKSRFMKWLSKIFKGCSSSRGLPGGRQPQLLGDENIALPAPIRSLDDRPRANKEKEELDRAIARSQAEDLKRPNGYRWADNDDLARSLYDGLGSSSFPPYAPPAPPAPYVPRDYYPRDYRVCGGCHREIGYGNYLGCMGTFFHPECFCCYACGYPITEHEFSLSGNKTYHKLCFKELTHPKCEVCHQFIPTNGAGLIEYRCHPFWSQKYCPAHEHDVTARCCSCERLESWDAKYISLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNEAIEGEKHGFHHLPETRGLCLSEEQTVTSILKRPRMAGRGGLVGMRTQPQRLTRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGFRNLSPEVEEGICQVLSHMWLESEVMPGFRNMPSTSTASSSSSAWPSSSSSSSSKKGGRSGAENKLGEFFMHQIAHDTSPAYGGGFRAANEAVNKYGLRRTLDHIHFTGSFPL >itb12g23250.t4 pep chromosome:ASM357664v1:12:25063937:25067560:1 gene:itb12g23250 transcript:itb12g23250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSSASVNHLSQPCIYGHFVSSHAERKSRFMKWLSKIFKGCSSSRGLPGGRQPQLLGDENIALPAPIRSLDDRPRANKEKEELDRAIARSQAEDLKRPNGYRWADNDDLARSLYDGLGSSSFPPYAPPAPPAPYVPRDYYPRDYRVCGGCHREIGYGNYLGCMGTFFHPECFCCYACGYPITEHEFSLSGNKTYHKLCFKELTHPKCEVCHQFIPTNGAGLIEYRCHPFWSQKYCPAHEHDVTARCCSCERLESWDAKYISLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKIDQQIPMLLVERQALNEAIEGEKHGFHHLPETRGLCLSEEQTVTSILKRPRMAGRGGLVGMRTQPQRLTRKCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGKYTFSVIYSRARS >itb10g18380.t1 pep chromosome:ASM357664v1:10:24385667:24387062:-1 gene:itb10g18380 transcript:itb10g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSPSLLISPRPFIYSFPFLSLLPISSQHLLSQSLSLSKIFIATVTSSSSSISIQGANHRQRILFKELIRHSIPRKMRRNCNLELRLSPSSDYNAAAAQQLPTSDHSSPSTLLTKSTISEDIRQQQQLTIFYDGKIVSCDVTEIQARAIILLASQERTKKTTRTVSSEPSSPLLQKQLYSPTALSMKRSLQRFLQKRKNRVEATSPYHR >itb05g22640.t1 pep chromosome:ASM357664v1:5:27983976:27987339:1 gene:itb05g22640 transcript:itb05g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVANRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTTNTNNSEQQGGGCAC >itb04g00650.t1 pep chromosome:ASM357664v1:4:353506:355099:1 gene:itb04g00650 transcript:itb04g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKIIFGFSLLLLSLINICLGGEIAVYWGQNGNEGSLGDTCATNNYNIVNIGFLTVFGNGQTPVLNLAGHCDAASNQCSGLSEEIRACKSQGIKVLLSLGGAAGSDTLTSADDAKNVAQYLWDNFLGGQSPSRPLGDESLDGIDFDIEAGGGEFYDELAKALSAFGQKVYLSAAPQCPFPDQRLQGAINTGLFDCVWVQFYNNPPCQYSGDATNLLNSWNNDWSTIPTGKLFLGLPASPEAAGSGFIPADVLTSQILPAIKATPKYNGYSASIKPAV >itb06g08190.t1 pep chromosome:ASM357664v1:6:12132982:12136401:-1 gene:itb06g08190 transcript:itb06g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIILLSSEMAISLFLSSPPVVSPPFSAKPHCHFHNRRIIIPSASFRNNNSLPETECPVPSEQQPVNEYKALSESFPFAWASGDLVEYCSRLFVTGASFALFVGLPVLWFGSVGPESDPLKPVLGAASSGLFVVTLAVVRMYLGWAYIGNRLLSATVEYEETGWYDGQMWVKTPEILARDRLLGSFSVKPVLGRLKNTLVVLATSLLLCAGLLISAESSQKAGYVPSQEVGGRSVPGVYSDESARTFEGDAFCGEPDLS >itb02g09830.t1 pep chromosome:ASM357664v1:2:6322763:6324099:-1 gene:itb02g09830 transcript:itb02g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGCLGLKSWKRKPVKNTKESAGPRRLTRKEIQKLTMDFSRSRVMAFGGFSTVYLGKFPDSSLGAIKIIDTSTKRLHNMYKQELEILQRIRHDNIVKILGYSDEGYESVEEGVLVFEYVPNGTLQEKLHGKGSVLPWKNRMGIAFQLAQALEYLHEKCDPQIVHGDLKGSNILLDSELNCKLCDYGSAKVGFSSTVQPPNRTRMTMFGSPGYTDPHYLRTGIATKKSDVYSFGVILLELITGLEAVSSDGNVRLISKVGPMLKDESKVAEMVDRRLAGEYEVDEAKAVASIAALCLGDSPSLRPTASDILGIMRSRVPSISFLFSNEKC >itb08g08850.t1 pep chromosome:ASM357664v1:8:7803450:7807173:1 gene:itb08g08850 transcript:itb08g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLEKVVVTNGEYEFIHYRPKSNYKRGNQTRLRRKEPSDNYVYRPIMGSSSRLRTGWGEEFPVLNLAKECQQLNMGGHSSWSDDEFVEGENEKTIFSSVVKDAQESSTASMDIFPIDLQEQILALLPFRNILKARTVCKLWNEIVVSKKFQEYVSGGLSKKPWFYMSGNPDVSDAFLYNPVSSKWYCFQLPFMLKHDSEVASSHGLVCYTDYAGDLLVCNLMTKRHKKLALPLGEKNFEYRGLAFSVNPKSSEYTISVVRSMGCDVVINVYSSETLVWNCQKKTLRDWKGRADCVILNGILYLLVLSTTALAGDQHGDKLAMVGGIGIPGRHGVITGIGIWVLKGTEWEEVVRVPPKLLHAFGELDDVFASCGGGDTVYIHPYGGTNTLVFDMNSREWKWARYPLNKKYPLQIFTGFCFYPRLDVSAG >itb02g08190.t1 pep chromosome:ASM357664v1:2:5130820:5133328:-1 gene:itb02g08190 transcript:itb02g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCMGDKDIELLNSQTHIWNNIFSFIKSSSLKCAVELGIPDIIHKHGRPMTLLELVDAIPINKAKAGHLARLMRTLIHSGFFLQTNIQGSEERKDGYALAPPSTLLLKDNPISLRPFLLSMLDPILTQPWNHAREWFQNDDPTPFDTAHGMTLWDYAGHQPEFNHMFNEAMASDARLVMNVVVKYCKGVFEGLNSLVDVAGGTGTVARTIADAFPDMKCTVFDLPHVIRDLEGTKNLDYVGGDMFVSVPRANALFLKWILHDWNDEECVKILKNCKESVPSKEKGGKVIIIDMVIDNVRKDEKSFETQVCFDTMMLSILRGRERATNDWEKLFSDAGFSDYKIIPILGLRSIIEVYP >itb07g06920.t1 pep chromosome:ASM357664v1:7:5234405:5235105:1 gene:itb07g06920 transcript:itb07g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISFHGDLFHEILAARPHHLPSMTSVVHAVDGQWGTQGCGKTEIGESFMDTIDDEKKMVKYRVVKGDILKSFKSFIITCEVETNGDDNFVTWTIVYEKLKEEIPEPLTFMEYLFTLTKETDAITMPS >itb04g06580.t2 pep chromosome:ASM357664v1:4:4294987:4297521:1 gene:itb04g06580 transcript:itb04g06580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPPEKKTEEAWLAYTQYDQLPNPTCLSRLVSQLSYQNTRVALTRAQSIVRRLRNDRQLHRLDANSFGLLAVAAAKAGQILYATSIIKSMLKSGYLPHVKAWSAVISRLSSSGDDGPTEALSLFTLVTGKVRRISDPAVVNNSRPDTAAYNAVLNACANLGYTRKFLQLFDEMTEFGCEPDVLTYNVMIKLCARADRKDLLVFVLERIIEKGIAVCMTTLQSLVAAYVGFGDLDTAEKLVQAMREGRRDLCKILRDSSLEETSADKSDVFEKLLPNSVNSRDREPPDLPRVFEPNSRMYTTLMKGYMKAGRVTDTVRMLQAMRHQEDTESHPDHVSYTTVISAFVQQGAMEQAQEMLDEMLRVGVPANRITYNILMKGYCQKLQIDKAEELMLEMVNGAGIKPDVVSYNTLIDGCILVDDSAGALSYFNEMRAAGIPPNKISYTTLMKAFALSRQPKIANKVFDEMLKDPRIRVDLAAWNMLVEGYCKLGKIEEAKSIIQRMKESGTFPNVATYGSLANGIALARKPGEALLLWSEIKERCGIGKGVEPDSSPALPPLIPDEGLLDTLADICVRAAFFRRALEIIACMEEHGISPNKTKYKRIYVEMHSRMFTSKHASRARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRLDSAEDEYTSGTA >itb04g06580.t1 pep chromosome:ASM357664v1:4:4294987:4297521:1 gene:itb04g06580 transcript:itb04g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKPPSTFSPFPSTHSFSLRWVSSPFTSSTSTSAAGNPRVTHSVHVQTAGKSSTATSLFIAKPQEQALLTLLQEKKTEEAWLAYTQYDQLPNPTCLSRLVSQLSYQNTRVALTRAQSIVRRLRNDRQLHRLDANSFGLLAVAAAKAGQILYATSIIKSMLKSGYLPHVKAWSAVISRLSSSGDDGPTEALSLFTLVTGKVRRISDPAVVNNSRPDTAAYNAVLNACANLGYTRKFLQLFDEMTEFGCEPDVLTYNVMIKLCARADRKDLLVFVLERIIEKGIAVCMTTLQSLVAAYVGFGDLDTAEKLVQAMREGRRDLCKILRDSSLEETSADKSDVFEKLLPNSVNSRDREPPDLPRVFEPNSRMYTTLMKGYMKAGRVTDTVRMLQAMRHQEDTESHPDHVSYTTVISAFVQQGAMEQAQEMLDEMLRVGVPANRITYNILMKGYCQKLQIDKAEELMLEMVNGAGIKPDVVSYNTLIDGCILVDDSAGALSYFNEMRAAGIPPNKISYTTLMKAFALSRQPKIANKVFDEMLKDPRIRVDLAAWNMLVEGYCKLGKIEEAKSIIQRMKESGTFPNVATYGSLANGIALARKPGEALLLWSEIKERCGIGKGVEPDSSPALPPLIPDEGLLDTLADICVRAAFFRRALEIIACMEEHGISPNKTKYKRIYVEMHSRMFTSKHASRARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRLDSAEDEYTSGTA >itb11g08330.t1 pep chromosome:ASM357664v1:11:5455998:5471313:-1 gene:itb11g08330 transcript:itb11g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAGGFVTRAFESMLKECANKKYPALQTAIQTYLDGTKVLSQQSTPIESNEAASTDSQSSPEKDAGSEMGASAASPNGNITTALAEAGHTLSGAEAELVLSPLKHAFETKNMKVMELALDCLHKLIAYDHLEGDIGFEGGKNVPLFSDILNMVCSCVDNLSPDSTTLQLLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMLSTVFRRIETDLKVGASADSSSSNAEEVPSDDQSQKDLALGDSSGASVEELQNLAGGADIKGLEAALEKAVRLEDGEKATRGVSDSLSVERRDALLLFRTLCKMGIKEDNDEVTTKTRILSLELLQGLLEGVSQSFTKDIHFIDSVKAYISYVLLRASVSHTPVIFQYATGIFSVLMLRFRESLKGEIGVFFPLIVLRPLDGPDLNPKSSVLKMLEKICRDSQMLVDLYVNYDCDLNAPNLFERMVTTLSKIAQGTQNADPNSVAMSQMGSIKASSLQCLVNVLKSLVDWEKNQREADRVNEGKQSSGEGSNREPNDFNRQPGKGIEYLISSGSVERSPASVAQFLRSTSNLDKAMIGDYLGQHEEFPLAVMHAYVDSMNFSGMKFDMAIREFLRGFRLPGEAQKIDRIMEKFAERYCADNPDLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKEDFIRINAMNEAEESAPTELLEEIYDSIVKEEIKMKDDPLAKNSKHKPEPEESGRLVSILNLALPKRKSLTDSKSESEAIIKQTQAIFRNQGGKRGVFYTSHNIELVRPMVEALGWSLLATFAVTMEEGENKSRAVLCMEGLKAGIHITHVLGMDTMRYAFLSYLFRFNLLHAPKDMRSKNVEALRTLLVLCDSDPGALQESWNAVLETISRLEFIISTPFMAASVMQGSNQISRDSLLQSLRELAGKSAEKVFVNSVKLPSESVVEFFTSLCNVSAEELRQLPPRVFSLQKLVEISYYNMARIRMVWARIWSVLANHFIFAGSHPDERVAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVILMRNSQSESIRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDESVQIVENAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKSSHRISLKAIALLRICEDRLAEGLIPGGALKPIDDNADTSSDVTEHYWFPMLAGLSDLTSDPRLEVRNCALEVLFDLLNERGGKFSSSFWESIFHRVLFPIFDHVRHAGQENLNSSRDEWLRESSIHSLQLLCNLFNTFYKDVCFMLPPLLSLLLDCAKKTDQSVVSLSLGALVHLIEVGGHQFSDSDWDTLLQSIRDASYTTQPLELLNNLGFENAKDNTLGDSKVSPVHSPTQMFNGSTPGTVSEGIGADGTAKLHNASDMLDDNQGMVRPVDQDGAEGIPSPSGRAKDSGDLQRSQTFGQKIMGNMMENRFLRSFTSKPRSPTFEVLAPSSSPKISHDVEPDAREEDESSMLATIRSKCITQLLLLGAIDSIQKKYWNKLKAAQKISIMDILLSVLEFASSYNSYTSLRLRMHQIPADRPPLNLLRQELAGTSIYLDILQKTTAVFNSENDELDEKSSSQNGNLVVRTDAKLTGKVEEDKLQGFAEEKLVSFCGQVLREASDFQSSMGENTNMDVHRVLELRSPIIVKVLKGMCTMNRQIFRSHLREFYPLITKLVCCDQMDVRGALSDLFINQLNGLLK >itb14g02730.t2 pep chromosome:ASM357664v1:14:2351694:2356102:-1 gene:itb14g02730 transcript:itb14g02730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMSYAFEGSSGLELAAAAESLTGGSGRLVVNERMNLKSLFSPHHHQIHDHDESPNHEGAAEFMEFGLPQPPVCVDPSLRVSSSDKDLAEMMLSSSSHPPPVTVFGRIPAPDMEVEEAAAAACNWLPRYHNWKTSSLNLMRSEETDNQSLFKGALQTTNFESAAIPVNKRSVDCNKVKSGQNSKEKLGDLSPSMEEAAATAETSSATVVKRARITNLSSSNSHPPICQVHGCFRDLSSSKDYYRRHRVCNEHSKTTKVIVDGIEQRFCQQCSRFHLLSEFDDDKRSCRKSLAGHNERRRKPQFDTHHGPRFFDMTTLQTEQFFLPELLPVNYFCQELENTSSIRSTKTDEKHISFSKLGAPKKSKTHGTQKPDYLIQEFPTGENPSSCAHSLLSSHPEIPANILASDWDLGLCSGVLEMEGRRSGYDAVDGTMMSVYYEGEMDENSESAENGPTIDLEQLSTHLQRVEHQRNLSAQTKQLESNNLFYCFTSG >itb14g02730.t1 pep chromosome:ASM357664v1:14:2351694:2356102:-1 gene:itb14g02730 transcript:itb14g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMSYAFEGSSGLELAAAAESLTGGSGRLVVNERMNLKSLFSPHHHQIHDHDESPNHEGAAEFMEFGLPQPPVCVDPSLRVSSSDKDLAEMMLSSSSHPPPVTVFGRIPAPDMEVEEAAAAACNWLPRYHNWKTSSLNLMRSEETDNQSLFKGALQTTNFESAAIPVNKRSVDCNKVKSGQNSKEKLGDLSPSMEEAAATAETSSATVVKRARITNLSSSNSHPPICQVHGCFRDLSSSKDYYRRHRVCNEHSKTTKVIVDGIEQRFCQQCSRFHLLSEFDDDKRSCRKSLAGHNERRRKPQFDTHHGPRFFDMTTLQTEQFFLPELLPVNYFCQELENTSSIRSTKTDEKHISFSKLGAPKKSKTHEIPANILASDWDLGLCSGVLEMEGRRSGYDAVDGTMMSVYYEGEMDENSESAENGPTIDLEQLSTHLQRVEHQRNLSAQTKQLESNNLFYCFTSG >itb15g02310.t1 pep chromosome:ASM357664v1:15:1399569:1403539:-1 gene:itb15g02310 transcript:itb15g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFHHGKVFTWHHDNYRITPYKERKKNGVFNILHQTTNLTFIHSPTFHSHYTRQKPKAPPHFPTQASHILERETEKKKRGKVEILFNMQAAMDSRVYGLFKLLFSVAVFSFLAVTGAALQEKHTVPSSSAQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPKNEALERDLDPEFKRFLLEPGNLRSLQNLILFHIIPTRIESKRWPEESIENHLTLCRDAGEENLVVSKREVSAAKVFRVDDVVRPDGVIHGIERVLIPKSVQQDFNTRRSLRSIAAVLPTGAPEVDPRTHRLKKPANPVPAGAPPALPIYDAMAPGPSIAPAPAPGPGGHHHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNAVRRFGKIRYDTLRLPHKVAAEEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPPEESKPAAPKAAPVAKVVSKQRRGKLMEVACTMFGTLGFAGCH >itb01g01290.t1 pep chromosome:ASM357664v1:1:719398:737582:-1 gene:itb01g01290 transcript:itb01g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRKPPSHKRATVKPIKPEAVIVISPDTKEEAACGLNIKLPKEKIEDIDAGDVYNELAVVEYAEDIYKFYKEAEGKAKKVVQAQNLWFDILKSQIETGTPYVLYKDPAEVDKPLKIQRELDETINILMLWPGENCNDNCSNTCQAGQGNQELAEDMAITQHSRNRRIKGGTLIVCPMALLGQWKGKAKKVVQAQNLWFDILKSQIETGTPYVLYKDCVEIKQRTLVDMAADRGCYIDQSQSLNIHMDQPNFGKLTSMHFYAWSEFISLHISDLICLCVLIDYLTYTDTLGLKTGMYSLDHVQRLMDLFEIPAPAWMNVSLRMKHVPENDNNLINKKALTLQEGLVGRSVFHMHQRGVQYDGIYRIAKMLAQSWNSGVAIRRELYGTNDFADAEGKAKKVVQAQNLWFDILKSQIETGTPYMLYKAEDLNTEIFETIYYHALKSSSDLAAKEGPL >itb01g36070.t2 pep chromosome:ASM357664v1:1:37965705:37967210:1 gene:itb01g36070 transcript:itb01g36070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRIPNKGVYYVCNPMTREYKCLPRSRERHMTRFYPDGEATLVGLACNLLTQQYNVVLAGNHRAFAHRSENTFICLIYDSASSSWKKFVSVQDYHFTHMNKNQVVFVNGALHWLTETTSCLVVLDLKTAAMWKKIQLPNEVSCRVGNRNYLLELDGCLSVIQISEAWMVVWVMKNYETEEWHMVDRVSLRCIRGMVPCVFPISQTKKYIFLATHKQILVYQRNTKEWKEMYSVKNTSNMPLWFYAHSFRNTLFSCH >itb01g36070.t1 pep chromosome:ASM357664v1:1:37965641:37967210:1 gene:itb01g36070 transcript:itb01g36070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNAYGFFPEEVVLRILARLPVKSLLRTKCVCKLWHKLICEKYFTRIYNEVSVKNPMVLFEANGSSSESKSKSSLICVDSLRGVSEISLDFIKDRVKVRASCNGLLCCSSIPNKGVYYVCNPMTREYKCLPRSRERHMTRFYPDGEATLVGLACNLLTQQYNVVLAGNHRAFAHRSENTFICLIYDSASSSWKKFVSVQDYHFTHMNKNQVVFVNGALHWLTETTSCLVVLDLKTAAMWKKIQLPNEVSCRVGNRNYLLELDGCLSVIQISEAWMVVWVMKNYETEEWHMVDRVSLRCIRGMVPCVFPISQTKKYIFLATHKQILVYQRNTKEWKEMYSVKNTSNMPLWFYAHSFRNTLFSCH >itb09g26650.t1 pep chromosome:ASM357664v1:9:27345017:27349525:-1 gene:itb09g26650 transcript:itb09g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLQITHVEEKIIIEQVKDTHSPNGGIDVDANCLLKFVEAIFNFNTDSKSDEDNSENEDESSYEDSESDEDDPDEEEGSDEDGDEHGKSETVPQEAIQDRLQIQEHIRKEISFVVLQLSFMVTFTCASYDDSHSIAIYLLCLLSKYMWHVKGVMLLACFAIIRGKFKVASQLCHREGGSYNMTALRKSLNSMLPTKNETFLNESIKFMFDLIKLMVDLQHSSSMFLANYWIARGIVAYTHLLILGLRPENEIMTEVSYVSTKIKEILASSLPLLGDIISSLENELRERTSGADRVIDDIDKQIHKFATKVRKKINDWVDDIKTKMNSSFRSYYYTREREQELWIKESWNLNLVVAWSRSWRFERILNEWAHPQHMYGNEAPKTVKRVLEFILKVQEIRSKFQVNMKIAYVGRRTKVIKEVRRARDYEGDYACDYAFRPYEFKCFWARLHSVASSRIQYLSKVGLDERSDEILQGLKKLLSYHYEDECTTIGSWALLGKGKRIIACDMGNKMLGVLNEYEKWKNNANANDFEQAFRDCYEMFNSSSSSNQHSCCVLSITHLI >itb12g02510.t1 pep chromosome:ASM357664v1:12:1646268:1647050:1 gene:itb12g02510 transcript:itb12g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPNHIRSISLPSRSHPSTQRVEEVLNSLKNMEISSMAADTVCNGLVGLGELHRCMDDLLNLPQTLQAISQIHNKKWADDLLEKSVEVLDLCALANDTISQLKQHLREALSSLRRKKGDSSLEATLSRYTSLRKKMNKDVKRSISALKKMGDDQTILDLDSAVIRVLREANAVTISIFQVVLQFLCLPVLRPKQSKWSVVSRLVHKGKVECELSQEENMNNLESLESQLEVIENELGTTFRCLIKSRSSLLNTVSC >itb03g02910.t1 pep chromosome:ASM357664v1:3:1650578:1651862:-1 gene:itb03g02910 transcript:itb03g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNPYPSFLKTYLGEAHYQQRIKIPGDFVKAHKKNLSERSWALKTEGGSRWHMQIIRRGRKYFFRRADWVEFSKHHDLHYGDHMMFFLVGNSEFEVLLYSQTTCCEISPPQPDEHTATESSEETEASGNAKKQKRKPIICGEYEARKKAKGSADTGHKNKTVKVEIISSTESERDEEEESPDSESEKEAAEGVRKARGSIFSTLNLNDKQPSFEIIVQKSHEFFMTVPIVFARHTGILHEKMIKLSYENGMPQKMAIASSGDRVRLINGWKSFCEANKIENGNRCSFTLLNPHTTETMVLLVKKLPKLGMN >itb13g06680.t1 pep chromosome:ASM357664v1:13:8084890:8088468:-1 gene:itb13g06680 transcript:itb13g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSLSLLPMKSIHISSSSSSLKIKTLLAFQSNSTTPIVCRLGTQNDCLGREPKSWRAIVSTALAAAVITFGSGEMSAMADLNKFEAAQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADFSDTLMDRMVLNEANLTNAVLVRSVLTRSDLAGAIIEGADFSDAVLDLPQKQALCKYASGTNPVTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDEDSGLCDAK >itb14g00420.t1 pep chromosome:ASM357664v1:14:284930:290409:-1 gene:itb14g00420 transcript:itb14g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLHSPQRSPTPGAPPRSATDLFGDPTDANPPQWLNPPLFLSDDFDAETYISDLRTFVPLDTLRSELRSHLSSLQRDLVDLINRDYADFVSLSTKLTDVDAAVVRMRAPLLEIRHKISTFREAVESSLSALHSRLKQRADAGEAREVLELLLDTFHVVSKVEKLIKELPSAATNLANGIANYADNGYLSNGVSFQHTEKGSNLRETQSMHLERIASEMNRLKFYIAHAQNMPFIENMEKRIQNASSLLDTSLGHTLVDGLEHRDANAVYNCLRAYAAIDNTKNAEDIFRSTVVAPLIQKVIPHSLGVSGASGDELEQDYKKIKQYIVADCKFLLDISSTENSGLHVSSFLANSILKEIHSTIQKGKPGVFSPGRPTEFLKNYKASLDFLAYLEGYCPSRSEVLKFRSEVAYTDFMKQWNVGVYFSLRFQEIAGALDSALVVASLVQTSSSEEQRPQDLILKQSATLLKCLHSCWSDDVLVLSCSDKFLRLSLQLLSRYSSWLSAGLAARKAGNTSPSPGCEWAISSASDDFVFIIHDLNCLAEEVCGAYLAHVLELLKSCSTEVLDFVKQSILQGAKSLKDLLPLVISAIVETIVERAVEDIRQLKGITATYRMTNKPLPVRHSPYVSGVLRPLKTFLDGERATTYLTKELRYELLQGAAFKITDRYYELAADLVNVARKTESSLQKIRLGAQRRAGASSDVSDHNISDTDKICMQLFLDIQEYARNLSSLGIDAATIPSYRSLWQCVAPSERQNTISL >itb09g18590.t3 pep chromosome:ASM357664v1:9:14514086:14524606:1 gene:itb09g18590 transcript:itb09g18590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDPEIAWIDGEVTEINGADATIVTTNGKTIIASISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLSNLASRYALNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAPFGELSPHLFAVADTCFRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHSKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKRYKVGDPRTYHYLNQSSCYEVANVDDAREYLETRNAMDVVGIGQDEQDAIFRVVAAILHLGNINFIKGKEVDSSELKDEKSLYHLKTVAELLMCDEKALKDSLCKRVIVTPDGNITKPLDPAAAATSRDALAKTIYSRLFDWIVDKINSSIGQDPNAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYRGHKRFSKPKLARTDFTINHYAGDVTYQADHFLDKNKDYVIAEHQALLTASLCTFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNAVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPEILDGCDEKSACIAICERMGLKGYQIGKTKVFLRAGQMAELDARRTEVLAHAARLIQRQIRTYLTRKEFIILRKATIHLQKHWRARLARKLYECMRREAASIHIQKHARALFARKSYKQQQAAAIVIQTAMRAMAARNEYRWRRQNKAACIIQTKWRKYYAQSVYKQKKKATLSLQCLWRARLARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLELEKNLRIDLEEAKGQEIAKLQTALQEMQGQLEEARAAIIHEKEAAKIAIEQAPPVIKEVPVVDTTKVEQLTNENNKLEDEITELRKRVDEFEKSLSEAEKESQARLKEAEESQLKALQLQENIERLELNLSNLESENQVLRQQALTASTNDDLAEEMEVLKSKIKDLESENELLRTQRVVVEHVANSDQADSALKQIENGYQVHEDVKTEEVQQVTKVEQQITKDSYPPISLTKQRSLTDRQQESHDILIKCFMEEKQFDKGRPISACTVYKALLQWRSFEAEKTNIFDRIIHTIRMSVESQDNINDLAYWLSTTSTLLFLLQSTIKASNMPTKATSRNRSSPTTLFGRMAQGFRTPLSSMGISSGYSGMEGNPSARSRIEAKYPALLFKQHLTACVEKIYGMIRDSLKKEISSFLNMCIHAPRSARIRPLRGSSKSIHSSMIAKQQASSIHWQNIVKSLDHTLSILSENYVPSTISRKIFSQVFSYINVQLFNSLLLRRECCSFSNGEYLKAGLLELEHWCVRASEQYVGSSWDELQHIRQAVGFLVSHQKAQKSLEEITTELCPVIPQLSPHPLVLF >itb09g18590.t2 pep chromosome:ASM357664v1:9:14514220:14525347:1 gene:itb09g18590 transcript:itb09g18590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRILKSPGLMEKSQKLMVQMLLLLQQMGKHIYPKDTEAPPAGVDDMTKLAYLHEPGVLSNLASRYALNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAPFGELSPHLFAVADTCFRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHSKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKRYKVGDPRTYHYLNQSSCYEVANVDDAREYLETRNAMDVVGIGQDEQDAIFRVVAAILHLGNINFIKGKEVDSSELKDEKSLYHLKTVAELLMCDEKALKDSLCKRVIVTPDGNITKPLDPAAAATSRDALAKTIYSRLFDWIVDKINSSIGQDPNAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYRGHKRFSKPKLARTDFTINHYAGDVTYQADHFLDKNKDYVIAEHQALLTASLCTFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNAVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPEILDGCDEKSACIAICERMGLKGYQIGKTKVFLRAGQMAELDARRTEVLAHAARLIQRQIRTYLTRKEFIILRKATIHLQKHWRARLARKLYECMRREAASIHIQKHARALFARKSYKQQQAAAIVIQTAMRAMAARNEYRWRRQNKAACIIQTKWRKYYAQSVYKQKKKATLSLQCLWRARLARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLELEKNLRIDLEEAKGQEIAKLQTALQEMQGQLEEARAAIIHEKEAAKIAIEQAPPVIKEVPVVDTTKVEQLTNENNKLEDEITELRKRVDEFEKSLSEAEKESQARLKEAEESQLKALQLQENIERLELNLSNLESENQVLRQQALTASTNDDLAEEMEVLKSKIKDLESENELLRTQRVVVEHVANSDQADSALKQIENGYQVHEDVKTEEVQQVTKVEQQITKDSYPPISLTKQRSLTDRQQESHDILIKCFMEEKQFDKGRPISACTVYKALLQWRSFEAEKTNIFDRIIHTIRMSVESQDNINDLAYWLSTTSTLLFLLQSTIKASNMPTKATSRNRSSPTTLFGRMAQGFRTPLSSMGISSGYSGMEGNPSARSRIEAKYPALLFKQHLTACVEKIYGMIRDSLKKEISSFLNMCIHAPRSARIRPLRGSSKSIHSSMIAKQQASSIHWQNIVKSLDHTLSILSENYVPSTISRKIFSQVFSYINVQLFNSLLLRRECCSFSNGEYLKAGLLELEHWCVRASEQYVGSSWDELQHIRQAVGFLVSHQKAQKSLEEITTELCPMLSIPQIYRIGTMFWDDKYGTQGLSKEVISKMKVLTVEDSSNVPNNTFLLDVDSSIPFSIEEISQSFCGISLSDVDPPPLLRQRSDFHFLLQSTD >itb09g18590.t1 pep chromosome:ASM357664v1:9:14514086:14525409:1 gene:itb09g18590 transcript:itb09g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDPEIAWIDGEVTEINGADATIVTTNGKTIIASISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLSNLASRYALNEIYTYTGNILIAVNPFRRLPHLYDIHMMEQYKGAPFGELSPHLFAVADTCFRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHSKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKRYKVGDPRTYHYLNQSSCYEVANVDDAREYLETRNAMDVVGIGQDEQDAIFRVVAAILHLGNINFIKGKEVDSSELKDEKSLYHLKTVAELLMCDEKALKDSLCKRVIVTPDGNITKPLDPAAAATSRDALAKTIYSRLFDWIVDKINSSIGQDPNAKSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYRGHKRFSKPKLARTDFTINHYAGDVTYQADHFLDKNKDYVIAEHQALLTASLCTFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNAVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPEILDGCDEKSACIAICERMGLKGYQIGKTKVFLRAGQMAELDARRTEVLAHAARLIQRQIRTYLTRKEFIILRKATIHLQKHWRARLARKLYECMRREAASIHIQKHARALFARKSYKQQQAAAIVIQTAMRAMAARNEYRWRRQNKAACIIQTKWRKYYAQSVYKQKKKATLSLQCLWRARLARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLELEKNLRIDLEEAKGQEIAKLQTALQEMQGQLEEARAAIIHEKEAAKIAIEQAPPVIKEVPVVDTTKVEQLTNENNKLEDEITELRKRVDEFEKSLSEAEKESQARLKEAEESQLKALQLQENIERLELNLSNLESENQVLRQQALTASTNDDLAEEMEVLKSKIKDLESENELLRTQRVVVEHVANSDQADSALKQIENGYQVHEDVKTEEVQQVTKVEQQITKDSYPPISLTKQRSLTDRQQESHDILIKCFMEEKQFDKGRPISACTVYKALLQWRSFEAEKTNIFDRIIHTIRMSVESQDNINDLAYWLSTTSTLLFLLQSTIKASNMPTKATSRNRSSPTTLFGRMAQGFRTPLSSMGISSGYSGMEGNPSARSRIEAKYPALLFKQHLTACVEKIYGMIRDSLKKEISSFLNMCIHAPRSARIRPLRGSSKSIHSSMIAKQQASSIHWQNIVKSLDHTLSILSENYVPSTISRKIFSQVFSYINVQLFNSLLLRRECCSFSNGEYLKAGLLELEHWCVRASEQYVGSSWDELQHIRQAVGFLVSHQKAQKSLEEITTELCPMLSIPQIYRIGTMFWDDKYGTQGLSKEVISKMKVLTVEDSSNVPNNTFLLDVDSSIPFSIEEISQSFCGISLSDVDPPPLLRQRSDFHFLLQSTD >itb09g29340.t1 pep chromosome:ASM357664v1:9:30032952:30046862:-1 gene:itb09g29340 transcript:itb09g29340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVNNLYDTASQPDTGNDAYTFLEFNTPGEDFDYPEFQELSQPIRASAWPTPSDSLVSESPDRPRSSDASPSAKSRGGGGGGNGASGSGNSNSLSSNHAAATVDALVAGMSGLNFEETGDDEGFEYGKGNFSVEHACRYCGVTNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQSVLLKYEDAYQYQNIFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTALEEVALELRASQGAPADVCHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEMQMVRNALPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVNSPVEHLTLHYQVRHLDTSEKSELHKLQLLKDEQGELSSSDEKKYKALKRATEREIAQSADVICCTCVGAGDPRVANFRFRQVLIDESTQSTEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNNFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPNQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDFIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSRQPLWNSLLTHFKEHECLVEGPLNNLKQSMVQFQKPKKVYNDRRVFFSGGPGNPSDTFGSPASSSSNGDKRNSRSRGYIAPGTSNGTHKPGVHPAYPMPRAPVPPYHGGPMQPYAIPTRGAVHGPVGTVPHVPQSGSRGFGAGRGNSNTPIGSHLPHQQGSQQPIGSLGSNFNFPALDNPNIQPSAAGPLTQPGYVSNMAVQGPSQTFRDGFSMGGMSQDFLGDDFKSQGSHVPYSASDFSTQASQSGFGVDYATQGPHSGLPGSFVNQNAQTGYSHFNSASEYMSQDYMAHGTQGLFTQAGYNEPSQDDASQKHFGMPNSTPLQSQSLLNPLYSQPFAHYNTPINMQNSQPQPSRGPGSQNQNLHYHG >itb01g02680.t1 pep chromosome:ASM357664v1:1:1739699:1740248:-1 gene:itb01g02680 transcript:itb01g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSERTGEKVFPNGDAYIGMFKGVLPHGKGKYTSSDGTTYDGDWEEGKMTGKAGATYDGDCFGGYLHGFGTFSSSDGSVYKGHWKMNIHYSVGRKEYQNSDGSGYKGHWKMNIHHGVMYMMVVGKREYLMAMVDMHGVMETCILGFGNREHVW >itb03g29240.t2 pep chromosome:ASM357664v1:3:30068857:30082427:1 gene:itb03g29240 transcript:itb03g29240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEQYTEEQIVAHIRRLLDIVACTTSFGGSSSSPKCTGRTGSKDPGSSLSDASETKNTKTKGAEPKKPGSPTSDCQKAKPDGNAGSGAAAAAEAEKGEPSMMCPPPRLRQFYDFFSFSHLTPPIQYIRRSSRPFLEDKTEDDYFQIDVSCFSSSVSCYVVVVTITAEFPEYIDSFASSKGFYPAGKRILLSHTLVGLLQQLSRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPFVAENPSNFPPLPTEDENWGGNGGGQGRDGKHDYRPWAKEFAILAAMPCKTDEERQIRDRKAFLLHSLFVDVSVTKAVAAIKCLVDGVQGSPQNSSSSTWYEERVGDLLISIKKDAQDASRKTDNKNDGTLVLDMPLDELARRNLLKGITADGSATVHDTSTLGVVVVRHCGYTAIIKVAAEVNWGSNPIPQDIEIEDQTEGGAGALNVNSLRVLLHRSSTPQSPSPVQRSHCLDVEDLSTVLVRQVLGESLQKLQQEDTKQTKSIRWELGACWVQHLQSQTSGKTESKKGDEAKVEPVVKGLGKNGGLLKDIKKKSDDQSNKTDSGKEVASTNSSAVNKDELEKHEEEKEILWRKLLPEAAYLRLKESETGLHLKVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDHIADMAGAVASCLNVLLGTPPAVNTDADTSNDVELKWKWATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDAEMKAREAQKKQARAKVKGKTEQNWGTVTEEYQKDEILSPTHPVRENSSDKENKVELDKISDSQPVEPINKKPDEIFMQQTLSEQNEEITQEDSSEEGWQEALPKGRSPMGRKPSGSRRPSLAKINTNFMNASHISRHRDKPANFTSPRRPSNESAASSGSAAPALKKFVKSASFGPKSKSASVPPAGTEKPSNPKSAPASPALNDHVAKSSSIINSVSVQAAGKLFSYKEVALAPPGTIVKAVAEQNSSVTDTAQAALSKISEGEQAKSLVEEKQIEPDEHTNQTVNEVQHCKQGEISDGLKNASSEKKKVEEISSSSQSTEGNSESSDSGSQNDGSSNNSKASPKNEVLEKQVDKCLVTSSDHYVAENTTSPQEKNNLILNEQTPEKEDASQKDDNGDVSSLPIEGDKQGDSSHNTSPQESEKQGDAESVKETSKKLSAAAPPFNPSTIPVFGSIPVPSFKEHGGILPPPVNIPPMLTVNPIRRSPHQSATARVPYGPRLSGGYNRSGSRVPRNKPAFHNGEHNGEASHFNTPRIMNPHAAEFVPGQPWLPNGYPVASNGYVASPNGVPLSPNGYPVSPNGMPVSPNGIPVTQNGLPASPVGSVESPSAVTVEATPENENAAETDENTGLPASPAGSVESPSAVTVEATPENENAAETDGNTAEPSNNVAAADSDVTNTEAQPVAVENTHSDKSGVDEKSDSENGEKHKCAVEPIKETAAKEICSNLAVEEKAAKRWGDYSDGENEIIEVTS >itb03g29240.t1 pep chromosome:ASM357664v1:3:30068857:30082427:1 gene:itb03g29240 transcript:itb03g29240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEQYTEEQIVAHIRRLLDIVACTTSFGGSSSSPKCTGRTGSKDPGSSLSDASETKNTKTKGAEPKKPGSPTSDCQKAKPDGNAGSGAAAAAEAEKGEPSMMCPPPRLRQFYDFFSFSHLTPPIQYIRRSSRPFLEDKTEDDYFQIDVSCFSSSVSCYVVVVTITAEFPEYIDSFASSKGFYPAGKRILLSHTLVGLLQQLSRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPFVAENPSNFPPLPTEDENWGGNGGGQGRDGKHDYRPWAKEFAILAAMPCKTDEERQIRDRKAFLLHSLFVDVSVTKAVAAIKCLVDGVQGSPQNSSSSTWYEERVGDLLISIKKDAQDASRKTDNKNDGTLVLDMPLDELARRNLLKGITADGSATVHDTSTLGVVVVRHCGYTAIIKVAAEVNWGSNPIPQDIEIEDQTEGGAGALNVNSLRVLLHRSSTPQSPSPVQRSHCLDVEDLSTVLVRQVLGESLQKLQQEDTKQTKSIRWELGACWVQHLQSQTSGKTESKKGDEAKVEPVVKGLGKNGGLLKDIKKKSDDQSNKTDSGKEVASTNSSAVNKDELEKHEEEKEILWRKLLPEAAYLRLKESETGLHLKVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDHIADMAGAVASCLNVLLGTPPAVNTDADTSNDVELKWKWATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPDDLRTQNFLQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDAEMKAREAQKKQARAKVKGKTEQNWGTVTEEYQKDEILSPTHPVRENSSDKENKVELDKISDSQPVEPINKKPDEIFMQQTLSEQNEEITQEDSSEEGWQEALPKGRSPMGRKPSGSRRPSLAKINTNFMNASHISRHRDKPANFTSPRRPSNESAASSGSAAPALKKFVKSASFGPKSKSASVPPAGTEKPSNPKSAPASPALNDHVAKSSSIINSVSVQAAGKLFSYKEVALAPPGTIVKAVAEQNSSVTDTAQAALSKISEGEQAKSLVEEKQIEPDEHTNQTVNEVQHCKQGEISDGLKNASSEKKKVEEISSSSQSTEGNSESSDSGSQNDGSSNNSKASPKNEVLEKQVDKCLVTSSDHYVAENTTSPQEKNNLILNEQTPEKEDASQKDDNGDVSSLPIEGDKQGDSSHNTSPQESEKQGDAESVKETSKKLSAAAPPFNPSTIPVFGSIPVPSFKEHGGILPPPVNIPPMLTVNPIRRSPHQSATARVPYGPRLSGGYNRSGSRVPRNKPAFHNGEHNGEASHFNTPRIMNPHAAEFVPGQPWLPNGYPVASNGYVASPNGVPLSPNGYPVSPNGMPVSPNGIPVTQNGLPASPVGSVESPSAVTVEATPENENAAETDENTGLPASPAGSVESPSAVTVEATPENENAAETDGNTAEPSNNVAAADSDVTNTEAQPVAVENTHSDKSGVDEKSDSENGEKHKCAVEPIKETAAKEICSNLAVEEKAAKRWGDYSDGENEIIEVTS >itb08g03520.t1 pep chromosome:ASM357664v1:8:2872390:2874287:-1 gene:itb08g03520 transcript:itb08g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFIVIFFVLFHLHSLLQLQCRRNSLFAAAGGGGKWDLLQQNLGIVAMHMQLLHNDKVVIYDRTDFGLSNISLPDGKCRYDPNDKVLAVDCTAHSVEYDVASNSVRPLTVNTDVWCSSGAVTPDGILVQTGGFNDGDHVVRVFRPCSDNKCDWEEIPGGLIQRRWYSTSQILPDGRQIVVGGRRAYSYEFYPKTAANHVYSLRFLVETNDKNEENNLYPFVFLNVDGNLFIFANNRAILFDYTKNFVVRAYPEMPDGQPRCYPSTGSAVLLPLKNLQSPAISAEVLVCGGAPRGSYINAQNGKFLHALNTCGRIIITDPNPKWVMETMPEARLMGDMVTLPNGNVLIINGAGAGSAGWEFGRGPVLNPVLYRPDNPVGARFELQNPTAIPRMYHSTALLLRDGRVLVGGSNAHELYNFTNVLFPTELRLEAFSPGYLDPAMSLARPTIISPASHSQFGYGKRVPVRFKVAGPVNTNLVTVTLVAPSFNTHSFSMNQRLLVIGSGGVKYVAKSTYQIDAVTPGSAILAPSGYYMLFVVHQEIPSEGIWVHIP >itb05g23250.t1 pep chromosome:ASM357664v1:5:28412407:28413216:-1 gene:itb05g23250 transcript:itb05g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANKGDDQISGDGDKTPAVTRRLKCPRCDSPETKFCYYNNYSQSQPRYFCKTCRRYWTKGGALRTIPVGGGCRRRKMMKSSPAARYYGIGELGYLNGVSRPLGGIKIPSYNTSAAALISQLSGALLAMPSTPPCFNLDQLSPPFSISSPLFDFKFPGTQELGRLAPPIEPVGTANQDLQWNLQQKILEKQILEIPTPPSEATFESTSSRIAEATEWLFDDDDVTFPLPGNPTATNSFGNGNGNASEDDWDELIQAWATNFNEFSALP >itb03g21750.t1 pep chromosome:ASM357664v1:3:19699346:19709451:1 gene:itb03g21750 transcript:itb03g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNTVSPSGSDTSIASGTILGKRKFTTTDLPEYSWPEESHLKNIRRNTHEFASIQRKRDAYAMRYQFPADKFHHNDTTKPRLTGNSDSQRYEIEYSKDMPLSNELQLLKHEEQFRKLEVQTRETPKLPSWILEKGNVCAQSESKGSLSIPSYTSYTEKSQSEPVVQNTALIKTLLATFCNDDLSVTRRAMGTFQQSSSQPDRSNILSDRRANMDEVNEFDTSCPFGQRGQVFQKIESNNAPKSCAEQKDIRTEGQSNVGDSEGFNKENKKNSLVEEFASRYDQNSDKSFKHEKQKLNHGSHRFEEKSMSKLHKSSVAAGKIWVGSLQLNSSIAVPAVAFFKSGEKLLDVNWYELVDVKGKVRLDAFEKYIEELSRSRNRGLMVISVSCNKGSETDIKHMKEVAKGYVKGERVGLARLSQGSDLYLCPRTDTIITILAKHGFFKGLAAIQSTCELFIGCVVWRKNHSVAKKSESNTNSSQSEQLQKSLPDSIDVQAVGKSSISSVGDAQALASAKSSLVAESNDIKDNQNTGGVDSSSSNAISLPMLSLAEQRGPVPSKSESQVTPGKCGNVELPKYSLALPSNTSSQKAQVTISLPMLSLAEKRGPVPSKSESQVTPGQACYTELARGNVELPKYSLALPSNTSSQKAQKKPFNDDDLPEFYFGSAGGVSKSCDGKSSDAHLLNRTRTEDGSGNLTRYLAHSVPVSKPTASNSTPNIDMPPNREASVHGAPLPLSRRMEGRHAHQSHTIPPPKIAIPKIPFADLGKKIFFDDDDDMPEWLPPDLTKQSFAKRSDITTTNPGFKNLPFNHHPPRPLPPPSNPPMFSSLAVPPLLPRPPFNSNVPPPVPSRDRQSYMTGFTFNPVLRPPSGPLAGRSPLQPAGGVWRP >itb11g09770.t2 pep chromosome:ASM357664v1:11:6634072:6637094:1 gene:itb11g09770 transcript:itb11g09770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAIQLFFLFTVTAWAVIAAPHPPYIEQAVEIHRLRPQTGSAGHRIPQIDCLSWRLGVETNNIRDWKMVPSDCENYVGHYMLGEQYRDDCEIVADAAIEYANGLTLSGDGKDIWVFDIDETTLSNLPYYARSDVAFGAIAYNSTKFNEWVSEAKSPAIPASLRLYNVLLSLGIRPVFLTGVREVQREARTTNLLQAGYQNWEKLILK >itb11g09770.t1 pep chromosome:ASM357664v1:11:6634072:6637672:1 gene:itb11g09770 transcript:itb11g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAIQLFFLFTVTAWAVIAAPHPPYIEQAVEIHRLRPQTGSAGHRIPQIDCLSWRLGVETNNIRDWKMVPSDCENYVGHYMLGEQYRDDCEIVADAAIEYANGLTLSGDGKDIWVFDIDETTLSNLPYYARSDVAFGAIAYNSTKFNEWVSEAKSPAIPASLRLYNVLLSLGIRPVFLTGVREVQREARTTNLLQAGYQNWEKLILKGVNESGSGAVFKSGKRTELVNAGYRIVGNMGDQWSDLLGPDAGDRTFKVPDPMYYIG >itb01g25130.t2 pep chromosome:ASM357664v1:1:30618004:30620919:1 gene:itb01g25130 transcript:itb01g25130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNFLRACFGPKADRYVRSSSDAAGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGCLSTQDCGPYGTFVGVYDGHGGPETSRFINQHLFQHLKRFTSEQQSMSVEVIRKAFQATEEGFTSVVSNQWPLKPQIAAVGSCCLVGVICGGTLYTANLGDSRAVLGRIVKATGEVLAVQLSDEHNASVASVRQELQSLHPEDSQIVVLKHSVWRVKGLIQISRSIGDVYLKKAEFNKEPLYAKFRLREPFKKPILSADPSISVRQLESDDQFIIFASDGLWEHLSNQEAVNIVQNNPRNGIARKLVKVALQEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDSNLVSKCSSIKSSNLSVKGGGASLPPNSLAPCATPA >itb01g25130.t1 pep chromosome:ASM357664v1:1:30617366:30621155:1 gene:itb01g25130 transcript:itb01g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNFLRACFGPKADRYVRSSSDAAGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGCLSTQDCGPYGTFVGVYDGHGGPETSRFINQHLFQHLKRFTSEQQSMSVEVIRKAFQATEEGFTSVVSNQWPLKPQIAAVGSCCLVGVICGGTLYTANLGDSRAVLGRIVKATGEVLAVQLSDEHNASVASVRQELQSLHPEDSQIVVLKHSVWRVKGLIQISRSIGDVYLKKAEFNKEPLYAKFRLREPFKKPILSADPSISVRQLESDDQFIIFASDGLWEHLSNQEAVNIVQNNPRNGIARKLVKVALQEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDSNLVSKCSSIKSSNLSVKGGGASLPPNSLAPCATPA >itb07g04480.t1 pep chromosome:ASM357664v1:7:2994623:2995921:1 gene:itb07g04480 transcript:itb07g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVVCLLLIFHIPLMNGEIQNLDVFSESRNVIMFEDFGFSKGGCISISLSSVSISSKSTNPTNSSLMGFFYAPSPAFNALEDALFNHSCILGKPFIFPIVTFNELSNSSLTSINRTIPITSPDLYYVFFLNCAPETFISMQVDLETYNLNPKGNKDFIDEHFIVSPAIGFSLSAVYFIFLMLWIYACYKNSRFVHRIHILMMALLLVKSLELFFRDETQRFVRFTGTPHGWDILWLALTFIRTLLFDIVFVLMGSGWSLLTPCLPDIQKLVLALSVLMQLVVNICFIVLQGMGPLIENYSYWVVTFYAVDFTCSMLIVFPVSHTIDNLRETSKTEGKEEISSFQLTLFCSIYICLQAYVYIEKLGIFILRTFLSYNFWWVSVILEQAIEGGVYMVAFYTFRPNETNDYYVLEEGNGEELAPVSSMPTPVA >itb02g22740.t1 pep chromosome:ASM357664v1:2:22368509:22369891:1 gene:itb02g22740 transcript:itb02g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFEHQRTAAACDEDCGEQRATSCILPPFAPFLAISANNAILINSNHTAGHHCHRRLQWRKKPVFTITVPTTPSSSTSWHHRHCNARDEGLAVVTPLSSHRRRNGEDGE >itb08g00060.t1 pep chromosome:ASM357664v1:8:43227:58167:1 gene:itb08g00060 transcript:itb08g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIPAALDEHGVTAGIPNHQLLVCSYFYLSLLWKLQEDEWQVAIHFLQALTLSPTLIHKELAPQLCKTLCRLSITPAQHKDQLHDDDVAAIANMAKRYKSWLMYYQIMDFRETPQKPRQATATETTLPPDESATTALESVQFEKVHPLDPPEEMVDKAEALNNLFQNHVQAIKQSRTHYSEETITTSSIRCLKDILMESQSDSPISPDLSDSSDTEECFSKENTAVCSLSMPSIVSKSQAYRHWTYGERAENKTSDFYSKRFSSSFPSKKLSALGIRSTKAHVFGKKKEAARQGELQIDDHEFYGYTGGSMSMRDSHFYQVKCQRSSSGRKKGESHHKITKEGHTNMEQVGILEKIISKLCFMEELRNCQEDHAADLTKIYETLNDKAGMKYSLLKDIILDQLLRAISTSKEEQTLSRPQQLTPHGHIIESAIEAAASAVVNLRDYLNELDSKVGDGDCGSNMYRGAMAILEDMKKYYSILCKAAFAELKAKRQTRSCTVHWFAAREAGIAAVSKYGGASAGYRTLLDALILAASTLKERLNGGDNSIEAFIAFADAAVAGAESTKDMQAQAGRSTYIPADILASVPDPGAMAATAWYRATILAIVEKV >itb11g13600.t1 pep chromosome:ASM357664v1:11:10620098:10620592:-1 gene:itb11g13600 transcript:itb11g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFQYAYFNSRSAVIRIKIPMTLNSFKPEVKLVFSNPSQFLPTAMGLFSHNHIVYMVGGYKDDKADEADEADEAYEADEADEDGIDDDDGVEYVVEYGYQYGYVVHKFDPTEFHEIPLENIEPLQNINCEPTVFPDVIRVEDRIYLLSKRDFFLIIIVLT >itb03g10510.t1 pep chromosome:ASM357664v1:3:8334805:8335700:-1 gene:itb03g10510 transcript:itb03g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIDRFLERILDEHEQIGCSDQVTKDFVDIISSIMKSGETEFQFTLEHVKAVLMDMLARSIDTTSCAIDWIMVELLRNPQVLKKVQQELESNVGLDRLVDESDLDDLNYLEMVMKESFRLHPPGPLLIPHEAREDSTIDGFHIPKKARIIVNVWSIGRDPNVWVDPEKFIPERFEGCNIDYRGRNFEFIPFSSGRRSCPGLQLAITMVRMVVAQLVHCFDWELPNGMSAKELDMTEEFGLVMPRAKHLIAVPHCRLHI >itb13g21720.t1 pep chromosome:ASM357664v1:13:28248307:28263426:-1 gene:itb13g21720 transcript:itb13g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSPNENNSLQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEEVGLLVVDEADNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQETTGCGHIWEQICNVQRNMQLNNLNSDRYHSINSELRELPPVEISTLPLILKAVVESGIADQLRLIELMLHDEDFIRKLMSLFRICEDLEDMDSLHIFFKIVKGIIFLNSSQIFEKIFGDELIMDIIGCLEYDPDVPHVHHRNFLKENVVFKEAIPIKDPVVLSKIHQTYRVGYLKDVILPRALDDATGATLTNIIHTNNAYVVSILKDDSTFIHELFVRLKSPAVSDESRENLVRFLHEFCTLSKSLQMVQQLRLFKDLVDEGIFDIVSDVLRSQDKKLVLTGTDILILFLNQDPNLLRSYVTREEGLSLFGLLVKGMLTDFGDDMHCQFLEILRSLLDSYNSGTQRDNIVEIFYEKHLGQLIDAITSSCPPNTTYQSKGTEKQSSVKPEILLNICDLLCFCVMHHPYRIKCNFLLNNVIDKVLFLTQRREKYLVVSAVRFMRTLISRNDEHLMNHIVKNNLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKPETLKVLLKYLVDTFWDQLVRFENFSSIQSLKIKYDQVHDTSGARTVANPVDTRKRVDERALEKEEEDYFNEDSDDEDSTSVSVSNANRVQSRDSRRVVANGSAPSYPAVRSGGLVDYDDDEDDEDYKPPPKKQLDTCDEDGVESFPLKRKLSQKEEPNPKRLQRAAKTSSKSRNSVFAALCSTLSQAVLPSNKAANINIAQDDDSCSGEDSKDSTEASHEKGNFSDSNGSVETENHVDKEEISSPKNFSEGLTNSPDNRQWGDDGPLIQQNSSPEMAVNGS >itb13g21720.t2 pep chromosome:ASM357664v1:13:28248307:28263422:-1 gene:itb13g21720 transcript:itb13g21720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSPNENNSLQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEEVGLLVVDEADNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQETTGCGHIWEQICNVQRNMQLNNLNSDRYHSINSELRELPPVEISTLPLILKAVVESGIADQLRLIELMLHDEDFIRKLMSLFRICEDLEDMDSLHIFFKIVKGIIFLNSSQIFEKIFGDELIMDIIGCLEYDPDVPHVHHRNFLKENVVFKEAIPIKDPVVLSKIHQTYRVGYLKDVILPRALDDATGATLTNIIHTNNAYVVSILKDDSTFIHELFVRLKSPAVSDESRENLVRFLHEFCTLSKSLQMVQQLRLFKDLVDEGIFDIVSDVLRSQDKKLVLTGTDILILFLNQDPNLLRSYVTREEGLSLFGLLVKGMLTDFGDDMHCQFLEILRSLLDSYNSGTQRDNIVEIFYEKHLGQLIDAITSSCPPNTTYQSKGTEKQSSVKPEILLNICDLLCFCVMHHPYRIKCNFLLNNVIDKVLFLTQRREKYLVVSAVRFMRTLISRNDEHLMNHIVKNNLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKPETLKVLLKYLVDTFWDQLVRFENFSSIQSLKIKYDQVHDTSGARTVANPVDTRKRVDERALEKEEEDYFNEDSDDEDSTSVSVSNANRVQSRDSRRVVANGSAPSYPAVRYVAFIQMYVYRHGLLIPSVIEGLGVLLIMMMMRMTRIINRHLRNSWILVMKME >itb13g21720.t3 pep chromosome:ASM357664v1:13:28248579:28263422:-1 gene:itb13g21720 transcript:itb13g21720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSPNENNSLQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEEVGLLVVDEADNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQETTGCGHIWEQICNVQRNMQLNNLNSDRYHSINSELRELPPVEISTLPLILKAVVESGIADQLRLIELMLHDEDFIRKLMSLFRICEDLEDMDSLHIFFKIVKGIIFLNSSQIFEKIFGDELIMDIIGCLEYDPDVPHVHHRNFLKENVVFKEAIPIKDPVVLSKIHQTYRVGYLKDVILPRALDDATGATLTNIIHTNNAYVVSILKDDSTFIHELFVRLKSPAVSDESRENLVRFLHEFCTLSKSLQMVQQLRLFKDLVDEGIFDIVSDVLRSQDKKLVLTGTDILILFLNQDPNLLRSYVTREEGLSLFGLLVKGMLTDFGDDMHCQFLEILRSLLDSYNSGTQRDNIVEIFYEKHLGQLIDAITSSCPPNTTYQSKGTEKQSSVKPEILLNICDLLCFCVMHHPYRIKCNFLLNNVIDKVLFLTQRREKYLVVSAVRFMRTLISRNDEHLMNHIVKNNLLKPIVDAFVANGNRYNLLNSAVLELFEYIRKPETLKVLLKYLVDTFWDQLVRFENFSSIQSLKIKYDQVLACQLSNSQIVFLSRVLIYQLVPSGS >itb14g03170.t1 pep chromosome:ASM357664v1:14:2823290:2826571:-1 gene:itb14g03170 transcript:itb14g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVLCYTSSLQHSIAMAASVWGSRISSFHNPKPLKSSESTNFKTHLTISSLPCHHFFRPNNNSKPLKSTRIGISYQKWEAKKKLFRRPTVSCLVEDTPENLPESGSNDSSAEVAFDLKLPRRSLLATFTCNACGVRSQRLINRLAYERGLVYVQCSGCSKYHKLVDNLGLVVEYNLGDEIGEDS >itb14g03170.t2 pep chromosome:ASM357664v1:14:2823038:2825727:-1 gene:itb14g03170 transcript:itb14g03170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVWGSRISSFHNPKPLKSSESTNFKTHLTISSLPCHHFFRPNNNSKPLKSTRIGISYQKWEAKKKLFRRPTVSCLVEDTPENLPESGSNDSSAEVAFDLKLPRRSLLATFTCNACGVRSQRLINRLAYERGLVYVQCSGCSKYHKLVDNLGLVVEYNLGDEIGEDS >itb07g23700.t4 pep chromosome:ASM357664v1:7:28046633:28050730:-1 gene:itb07g23700 transcript:itb07g23700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGEFSTQPKCCVISRIPISQPRPFALKPPQLHFCSPSNRAIRLGFCSFKWKSRTRFRLHASKNQAKSESESGKFLLDMEDFDGADDFEEDGDGDDDDDDDEVFVPLRNMKEWLQNKPRGFGEGKVYDTSIEDELMEEIEQSRRAQLANINNLKNNPVNQVSMKKQPLQGEVSKDVQVGFRVRLFNLPKKKNILKDLQLAFKGFPGIVNIIPVVSGNSKTRDPVCKGIAFIDLKSEDGAQRFVQTFSGQSIYFGKVQKNIKCEMMSSSSTKLRNAHSDDETSYDPSEPDSDEELEADFEIDAHTSDSWEENDSREYAAEAEHTSAQMDDYDEDMETDSGDEQDNAMEGSATNVSFSTGQKKVVVNEKKKKAKRKKETTPKLNIPGSARRLKIRDKALLTGVLTKYGLNAAEGVKEPS >itb07g23700.t5 pep chromosome:ASM357664v1:7:28046632:28050768:-1 gene:itb07g23700 transcript:itb07g23700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGEFSTQPKCCVISRIPISQPRPFALKPPQLHFCSPSNRAIRLGFCSFKWKSRTRFRLHASKNQAKSESESGKFLLDMEDFDGADDFEEDGDGDDDDDDDEVFVPLRNMKEWLQNKPRGFGEGKVYDTSIEDELMEEIEQSRRAQLANINNLKNNPVNQVSMKKQPLQGEVSKDVQVGFRVRLFNLPKKKNILKDLQLAFKGFPGIVNIIPVVSGNSKTRDPVCKGIAFIDLKSEDGAQRFVQTFSGQSIYFGKVQKNIKCEMMSSSSTKLRNAHSDDETSYDPSEPDSDEELEADFEIDAHTSDSWEENDSREYAAEAEHTSAQMDDYDEDMETDSGDEQDNAMEGSATNVSFSTGQKKVVVNEKKKKAKRKKETTPKLNIPGSARRLKIRDKALLTGVLTKYGLNAAEGVKEPS >itb07g23700.t1 pep chromosome:ASM357664v1:7:28046632:28050768:-1 gene:itb07g23700 transcript:itb07g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGEFSTQPKCCVISRIPISQPRPFALKPPQLHFCSPSNRAIRLGFCSFKWKSRTRFRLHASKNQAKSESESGKFLLDMEDFDGADDFEEDGDGDDDDDDDEVFVPLRNMKEWLQNKPRGFGEGKVYDTSIEDELMEEIEQSRRAQLANINNLKNNPVNQVSMKKQPLQGEVSKDVQVGFRVRLFNLPKKKNILKDLQLAFKGFPGIVNIIPVVSGNSKTRDPVCKGIAFIDLKSEDGAQRFVQTFSGQSIYFGKVQKNIKCEMMSSSSTKLRNAHSDDETSYDPSEPDSDEELEADFEIDAHTSDSWEENDSREYAAEAEHTSAQMDDYDEDMETDSGDEQDNAMEGSATNVSFSTGQKKVVVNEKKKKAKRKKETTPKLNIPGSARRLKIRDKALLTGVLTKYGLNAAEGVKEPS >itb07g23700.t2 pep chromosome:ASM357664v1:7:28046632:28050768:-1 gene:itb07g23700 transcript:itb07g23700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGEFSTQPKCCVISRIPISQPRPFALKPPQLHFCSPSNRAIRLGFCSFKWKSRTRFRLHASKNQAKSESESGKFLLDMEDFDGADDFEEDGDGDDDDDDDEVFVPLRNMKEWLQNKPRGFGEGKVYDTSIEDELMEEIEQSRRAQLANINNLKNNPVNQVSMKKQPLQGEVSKDVQVGFRVRLFNLPKKKNILKDLQLAFKGFPGIVNIIPVVSGNSKTRDPVCKGIAFIDLKSEDGAQRFVQTFSGQSIYFGKVQKNIKCEMMSSSSTKLRNAHSDDETSYDPSEPDSDEELEADFEIDAHTSDSWEENDSREYAAEAEHTSAQMDDYDEDMETDSGDEQDNAMEGSATNVSFSTGQKKVVVNEKKKKAKRKKETTPKLNIPGSARRLKIRDKALLTGVLTKYGLNAAEGVKEPS >itb07g23700.t3 pep chromosome:ASM357664v1:7:28046632:28050768:-1 gene:itb07g23700 transcript:itb07g23700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGEFSTQPKCCVISRIPISQPRPFALKPPQLHFCSPSNRAIRLGFCSFKWKSRTRFRLHASKNQAKSESESGKFLLDMEDFDGADDFEEDGDGDDDDDDDEVFVPLRNMKEWLQNKPRGFGEGKVYDTSIEDELMEEIEQSRRAQLANINNLKNNPVNQVSMKKQPLQGEVSKDVQVGFRVRLFNLPKKKNILKDLQLAFKGFPGIVNIIPVVSGNSKTRDPVCKGIAFIDLKSEDGAQRFVQTFSGQSIYFGKVQKNIKCEMMSSSSTKLRNAHSDDETSYDPSEPDSDEELEADFEIDAHTSDSWEENDSREYAAEAEHTSAQMDDYDEDMETDSGDEQDNAMEGSATNVSFSTGQKKVVVNEKKKKAKRKKETTPKLNIPGSARRLKIRDKALLTGVLTKYGLNAAEGVKEPS >itb03g23720.t1 pep chromosome:ASM357664v1:3:21962043:21966579:1 gene:itb03g23720 transcript:itb03g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRQEKFVRFKDWNSDRSSEGQYPSRSGRRYGRFRIALNSVSDSFHRTLESGYERIKSLKDSLKSYSLNHAHTKDMESTKKILDPQGSFLQRWNKIFVLSCVVAISLDPLFFYIPVVDNDKKCLGLDRKLEITASVLRSFTDIFYILHIVLQFRTGFIAPSSRVFGRGVLVEDTWEIAKRYLSSYFLIDILAVLPLPQVVILIIIPKMRGAQSLNTKNWLKFVVFFQYIPRMLRVYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQRACGNSSPCHHASLYCGDDHTTFKTLLNESCPIDTPNTTRFDFGIFLDALQSGVVESRDFPQKFFYCFWWGLQNLSSLGQNLKTSTFVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTIRLEEMRVKRRDAEQWMAHRLLPEDLRERIRRYEQYRWQETRGVDEENLIHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEHSYIVREGDPVDEMLFIMRGKLFSVTTNGGRTGFFNSDYLKAGDFCGEELLTWALDPNSSSNLPISTRTVEALAEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFLQAAWRRYSRKKLEESLREEENRLQDALAKGSSSSNSPSLGATIYASRFAANALRLLRRNGTKKAARMPERISPMLLQKPAEPDFTAEDK >itb03g23720.t2 pep chromosome:ASM357664v1:3:21962189:21966579:1 gene:itb03g23720 transcript:itb03g23720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRQEKFVRFKDWNSDRSSEGQYPSRSGRRYGRFRIALNSVSDSFHRTLESGYERIKSLKDSLKSYSLNHAHTKDMESTKKILDPQGSFLQRWNKIFVLSCVVAISLDPLFFYIPVVDNDKKCLGLDRKLEITASVLRSFTDIFYILHIVLQFRTGFIAPSSRVFGRGVLVEDTWEIAKRYLSSYFLIDILAVLPLPQVVILIIIPKMRGAQSLNTKNWLKFVVFFQYIPRMLRVYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWQRACGNSSPCHHASLYCGDDHTTFKTLLNESCPIDTPNTTRFDFGIFLDALQSGVVESRDFPQKFFYCFWWGLQNLSSLGQNLKTSTFVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTIRLEEMRVKRRDAEQWMAHRLLPEDLRERIRRYEQYRWQETRGVDEENLIHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEHSYIVREGDPVDEMLFIMRGKLFSVTTNGGRTGFFNSDYLKAGDFCGEELLTWALDPNSSSNLPISTRTVEALAEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFLQAAWRRYSRKKLEESLREEENRLQDALAKGSSSSNSPSLGATIYASRFAANALRLLRRNGTKKAARMPERISPMLLQKPAEPDFTAEDK >itb13g02910.t1 pep chromosome:ASM357664v1:13:2851751:2854557:1 gene:itb13g02910 transcript:itb13g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAVIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDVNLHFVESPALAPPEVQIDIAAQQKHELELQEAANQPLPDDDDDAFD >itb07g14820.t1 pep chromosome:ASM357664v1:7:17504379:17505266:-1 gene:itb07g14820 transcript:itb07g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKGAIYIAQLCVYKIYRLHLLYISAMNTKPFSLVLILLLLTIVSPLTSARKLFAETQVSASGDLGHATGHVVYKPLKKKPICNGSRYSSCFPAPPKKPPCNPYHRNCN >itb15g20500.t2 pep chromosome:ASM357664v1:15:23170889:23172132:1 gene:itb15g20500 transcript:itb15g20500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQASYVTLVVPNGRGGMAVYYVPSSYPLVLYPPSYQSSSPSLPPPPVVRRRIQRLPASSSPSPSRPPPSPATHYGVAQVLHCEKVKVLLQKALQKFSKLLALSSKYN >itb15g20500.t1 pep chromosome:ASM357664v1:15:23170889:23171372:1 gene:itb15g20500 transcript:itb15g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQASYVTLVVPNGRGGMAVYYVPSSYPLVLYPPSYQSSSPSLPPPPVVRRRIQRLPASSSPSPSRPPPSPATHYGVAQVLHCEKVKVLLQKALQKFSKLLALSSKLKL >itb13g11100.t1 pep chromosome:ASM357664v1:13:16008838:16012744:1 gene:itb13g11100 transcript:itb13g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQLILESGALSYRVGFFGGDKIASSQAYPRPDRQLLGFPISPKLPPVFGPSCMRQKLVPRTVRRPSPTPAVRVRLRSTNTKKIQFTQRLPLGSELHMGKERCCLRGLDHLHGPTFHSICGNLMIYKPSLTNDRLMLEHDESLRADLLPIHFPASYENGKLEQFFSLISRKSMKNHEQKNFCLTMFPEKRYFQETTSTTEVAIHTNLFTDLYALIGTGSGRKGGCVQKKEGMGESQKKALLQIDKPKRGKGVAMNNQPAEPLSEA >itb06g06310.t1 pep chromosome:ASM357664v1:6:8963888:8966262:-1 gene:itb06g06310 transcript:itb06g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNEDDKKDHHADHQQASSRLPPDLLKVSQDIDHYIFNLWSSRSGADSKPPDVPICVEQFAVLLEEIIDDYTRTRGHPLKWSQLRDDEAATFLESTNRISKLSKSLCHFSSEYKYAYSISRIGGVLQRAMSYLEDEFKSYLDDCKALKINPDTGPAKQHSIVKRDDDGGHVPEDQEAAPDHSNHHSNHNKELLETNKAAGAGGSGCYSEEIMSNLNKLAKALMFGGYEAECWHAYFVARRNVMEDSLSRMGFERRSIDEVQKTSWECVEREIVAWLRTFKYCTTELFTSERKLTATVFSDHPSMSESIISDLCRFTVIQLLHFSNAVAVTKRSPDKLYKFLDVYEALRDLLPSMDTLLRPQCMEELKAETLITRCRLGEMMINIIQELEITINADPPKNPVPGGGVHPLTRYAVTTIENACCYKETLDQVFLEHLQFQQQSGGGGEVEVDPTGSGAGESHHLSPFGMQIARAMELLDANLEAKSKLYKDPSLSMIFMMNNGRHILQKVKESPGASSLLGSAWIKKRSSDLRQYHKNYQRETWGRLMQCLSVEGLISSSTGKVHKPVLKEKFKSFNAVFDDIHKTQASWVISDEQLQSELRVSITNMVVPAYRSFIARFSQTFTPGRQTEKYIKYQGEDLEKYIDELFDGSAAGKKK >itb09g17580.t1 pep chromosome:ASM357664v1:9:12912038:12913942:1 gene:itb09g17580 transcript:itb09g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLASHINTSKRVTPRLQILNAQKRCLRCNTVFDDKNNSPTACSFHGHTTGEKGLFSFAPPHQGIDGEWTDRSGVIVYKWNEKDNRPNTGRDNWKKRWSCCAEYDENAPPCRRGWHVSYDDGFTLY >itb09g26420.t1 pep chromosome:ASM357664v1:9:27030684:27032747:1 gene:itb09g26420 transcript:itb09g26420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRKSETSLPYSKFQIHSVACPSIRKRITILYNSKTGFSDDASELQILGILWLARREVEEKDNINRSNLRLSSPHHYHSPPTPAAGSSLKKSLQRFLQKRRDRIRAAG >itb10g21000.t3 pep chromosome:ASM357664v1:10:26358487:26360794:1 gene:itb10g21000 transcript:itb10g21000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEDGSNWLLDLGFEDIPLPGGKFPPLEPGYEWHSNAILAPAPPSIRQDESCVKFDVSNRCSARKRMKSGACSGADSKAQREKMRRFQELSCVMDPGKPPKMDKSAILSDAVRMVLQLRDEAQKLKESFEDLQEQVNELKAEKNELKDEKQKLKEEKDKLELQLKALNSRPGFLPHPPATAVPFPVPHQLVGGKLVPFVGYPGIPMWQFAAPAVIDTPEDHSLPPVA >itb10g21000.t1 pep chromosome:ASM357664v1:10:26358487:26360794:1 gene:itb10g21000 transcript:itb10g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEDGSNWLLDLGFEDIPLPGGKFPPLEPGYEWHSNAILAPAPPRCSARKRMKSGACSGADSKAQREKMRRYRLNDRFQELSCVMDPGKPPKMDKSAILSDAVRMVLQLRDEAQKLKESFEDLQEQVNELKAEKNELKDEKQKLKEEKDKLELQLKALNSRPGFLPHPPATAVPFPVPHQLVGGKLVPFVGYPGIPMWQFAAPAVIDTPEDHSLPPVA >itb10g21000.t2 pep chromosome:ASM357664v1:10:26358692:26360788:1 gene:itb10g21000 transcript:itb10g21000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEDGSNWLLDLGFEDIPLPGGKFPPLEPGYEWHSNAILAPAPPSIRQDESCVKFDVSNRCSARKRMKSGACSGADSKAQREKMRRYRLNDRFQELSCVMDPGKPPKMDKSAILSDAVRMVLQLRDEAQKLKESFEDLQEQVNELKAEKNELKDEKQKLKEEKDKLELQLKALNSRPGFLPHPPATAVPFPVPHQLVGGKLVPFVGYPGIPMWQFAAPAVIDTPEDHSLPPVA >itb12g18350.t1 pep chromosome:ASM357664v1:12:20591760:20596153:1 gene:itb12g18350 transcript:itb12g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSSITTTRAKLLYDQQRFAPSISHIHRHDDDRKNPESSSENPRLLTHLRHCYFGNRLSPGVGVAGIPFQDRKFSPPPRWAMAPIWMRNMSTSVGEGMNKIEYMSGVAEVLSDKTVEAVASQAPVVNDVAVAAADSYLPVAALQYLIDYVHCVTGFNWWASIVCTTLLIRWLTLPLMIQQLKATSKLALLRPKLDDIRQEMQNRGMAPAAVNEGQQRMKELFNEHKVTPFAAFRGIFIQGPIFVSFFLAISNMAEKVPSFKEGGAFWFTDLTTPDSMYIFPVLTALTFWITVECNAQEGMEGNPSYGTIKNVSRAMAALSIPFTASFPKAVFCYWITSNIFSLSYGLVIKRPQVKKLLGVPIIPPAPPRADQSPGLPFFEAVKKLAAAKEYEAKQAAVEATKSASQEIQSTAVLSQKVRSLEKEVKGRKKGKKRR >itb12g18350.t2 pep chromosome:ASM357664v1:12:20591760:20596153:1 gene:itb12g18350 transcript:itb12g18350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSSITTTRAKLLYDQQRFAPSISHIHRHDDDRKNPESSSENPRLLTHLRHCYFGNRLSPGVGVAGIPFQDRKFSPPPRWAMAPIWMRNMSTSVGEGMNKIEYMSGVAEVLSDKTVEAVASQAPVVNDVAVAAADSYLPVAALQYLIDYVHCVTGFNWWASIVCTTLLIRWLTLPLMIQQLKATSKLALLRPKLDDIRQEMQNRGMAPAAVNEGQQRMKELFNEHKVTPFAAFRGIFIQGPIFVSFFLAISNMAEKVPSFKEGGAFWFTDLTTPDSMYIFPVLTALTFWITVECNAQEGMEGNPSYGTIKNVSRAMAALSIPFTASFPKAVFCYWITSNIFSLSYGLVIKRPQVKKLLGVPIIPPAPPRADQSPGLPFFEAVKKLAAAKEYEAKQAAVEATKSASQEIQSTAVLSQKVRSLEKEVKGRKKGKKRR >itb12g18350.t3 pep chromosome:ASM357664v1:12:20591928:20596153:1 gene:itb12g18350 transcript:itb12g18350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSSITTTRAKLLYDQQRFAPSISHIHRHDDDRKNPESSSENPRLLTHLRHCYFGNRLSPGVGVAGIPFQDRKFSPPPRWAMAPIWMRNMSTSVGEGMNKIEYMSGVAEVLSDKTVEAVASQAPVVNDVAVAAADSYLPVAALQYLIDYVHCVTGFNWWASIVCTTLLIRWLTLPLMIQQLKATSKLALLRPKLDDIRQEMQNRGMAPAAVNEGQQRMKELFNEHKVTPFAAFRGIFIQGPIFVSFFLAISNMAEKVPSFKEGGAFWFTDLTTPDSMYIFPVLTALTFWITVECNAQEGMEGNPSYGTIKNVSRAMAALSIPFTASFPKAVFCYWITSNIFSLSYGLVIKRPQVKKLLGVPIIPPAPPRADQSPGLPFFEAVKKLAAAKEYEAKQAAVEATKSASQEIQSTAVLSQKVRSLEKEVKGRKKGKKRR >itb01g08430.t1 pep chromosome:ASM357664v1:1:6786890:6790071:1 gene:itb01g08430 transcript:itb01g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISNSISDFMSFYSLWLCRHHYSTNANIATFFSKYVDKNNVFSWNSIIAELARSGDSVEALRAFASMRKLSLRPNRSSFPCAIKSCSALRDLTSGRQVHQHVLIFGYGSDLFASSSLIDMYSKCGKLEDARLLFDEIPQRNVVSWTAMITGYIQSDHARDALLLFKELLVEESESLGEEEVYVDAVALVSVLSACSRVSGKTMTQGVHGFAIKRGLDEHLGVGNTLIDAYAKCSEVGLSRKVFEEMAEKDVISWNSIIAVYAQNGLSSEAIEMFHLLVKDREVEYNAVTLSTLLLACAHAGALHVGKCIHDQVIKMRLEDNVYVGTSIIDMYCKCGRLEMARKAFNRMKEKNVKSWSAMIAGYGMHGRAKEALEVFYKMNRDGVTPNYITFVSVLAACGHAGLVDEGWYWFRAMEHRFHIQPGLEHYSCMVDLLGRAGFLSKAYDLINEMKVAPDFVVWGSLLSACRMQKNVELAEICASKLFELDPNNCGYYVLLSNIYADAGRWRDAERMRMLMKNQGLTKTPGFSLVELKGLVHIFLVGDREHPQHEKIYAYLEELSIKLQALGYTPNMTSVLHDVDEEEKGMVLQVHSEKLAVAFGIMNSVPGATIHVIKNLRICSDCHTTIKLTTKIVDREIVVRDSKRFHHFKDGSCSCGDYCLAGFYGKSLLRSEMEEEEEFQTSAYSVWRSTTTRRRRSCELAVKRRNKNFKPVLSGI >itb11g08720.t1 pep chromosome:ASM357664v1:11:5723267:5725959:1 gene:itb11g08720 transcript:itb11g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYRSASFILDKQQNDDVAKAESRQISPPTPTPAEASSMAGTLQNPNNISAYYQTRAAHHGVVTSDWLAQAQAAVGSGDPEDAISENSSARTDDSGKAFSVIDEFNNWRKQPNLAEAVAAIRALASVIRSSDATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLLERAEKFGEISYKARKIIAMLSQDFIFDGCTILVHGFSRVVLEILKTAAQNRKHFRVLCTEGRPDRTGLRLSNDLLVYIRWIRKTWVLRYVQLTLACQFHPRSKLKHLLGITHRLSISPFSSRI >itb10g21800.t1 pep chromosome:ASM357664v1:10:26850974:26854295:1 gene:itb10g21800 transcript:itb10g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEEFLAVAVDAAKQAGEVIRNGFYQTKHVEHKGTVDLVTETDKACEDLIFNHLKKHFPSHKFIGEETTAACGASELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTGVHGKGAFLNGNPIKVSSQSELVKSLLATEAGTKRDKLNLDACTNKINALLAKVRSIRMSGSCALNLCGIACGRLDLFYELGFGGPWDVAGGAVIVKEAGGVLFDPSGKDFDITAQRVAASNPLVKDAFIEALQKAE >itb10g21800.t2 pep chromosome:ASM357664v1:10:26850974:26854295:1 gene:itb10g21800 transcript:itb10g21800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVEEFLAVAVDAAKQAGEVIRNGFYQTKHVEHKGTVDLVTETDKACEDLIFNHLKKHFPSHKFIGEETTAACGASELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTGVHGKGAFLNGNPIKVSSQSELVKSLLATEAGTKRDKLNLDACTNKINALLAKVRSIRMSGSCALNLCGIACGRLDLFYELGFGGPWDVAGGAVIVKEAGGVLFDPSGKDFDITAQRVAASNPLVKDAFIEALQKAE >itb04g25780.t1 pep chromosome:ASM357664v1:4:30275686:30279992:1 gene:itb04g25780 transcript:itb04g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVRNERSVREPRSRSTYARLRKIMKCLCSGEQLRVDEMIPSSESLATKDYSASIHSSLVGEPEQKPDTGNIEEAESSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDISAVTPKVKVTLAQRAQPHRRRSQNYASTPLSIHAASLLLEAIFLKAKSLQALGRYKEAAQSCTIVLDIVESSLPTGLPENLGADCKLQETLNKAAELLPELWKLASSPRETIASYRRALLHQWNLDTPTIANIQKEFAIFLLYSGGESNPPNLRSQMGSAFVPGNNIEEAILLLIILLRKVSLKRIEWDPEILDHLSYALAISGGLRALANQVEELLPQTIDQSEMYQILALCYYGEGEDQTALNLLRKLLRSTEHPTSDPVLLLLASKICSATPEYAGEGVNLAQRAIANMQGKCDELFGVGNFVLGLSLSAHSKSSAPDSDRVRRQTEALQSLETAGRMTRMNNSNIIYHLCVENAEQRKLDAALYYAKCFLKLEGGSTVKGWVLLAQILSAQKQFLDAETVTNAALEQTGKWDQGELLRSKAKLQIAQGQVGNAIDTYSQLLAVLQVQSKSFGAMAKLKDGQNRCRTLELEIWLDLASIYIKLSQWQDAEICLSKAKTINHYSASRFYTVGLLHQSKGQHKEALREYNNALTVDPNHVPSLVSTAVVLRRSGGRSPQVVRSFLMEALRLDKMSASAWYNLGLLYKETGVGSALEAAECFEAALVLEETAPVESLQMTHQSCI >itb04g25780.t2 pep chromosome:ASM357664v1:4:30275686:30279385:1 gene:itb04g25780 transcript:itb04g25780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVRNERSVREPRSRSTYARLRKIMKCLCSGEQLRVDEMIPSSESLATKDYSASIHSSLVGEPEQKPDTGNIEEAESSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDISAVTPKVKVTLAQRAQPHRRRSQNYASTPLSIHAASLLLEAIFLKAKSLQALGRYKEAAQSCTIVLDIVESSLPTGLPENLGADCKLQETLNKAAELLPELWKLASSPRETIASYRRALLHQWNLDTPTIANIQKEFAIFLLYSGGESNPPNLRSQMGSAFVPGNNIEEAILLLIILLRKVSLKRIEWDPEILDHLSYALAISGGLRALANQVEELLPQTIDQSEMYQILALCYYGEGEDQTALNLLRKLLRSTEHPTSDPVLLLLASKICSATPEYAGEGVNLAQRAIANMQGKCDELFGVGNFVLGLSLSAHSKSSAPDSDRVRRQTEALQSLETAGRMTRMNNSNIIYHLCVENAEQRKLDAALYYAKCFLKLEGGSTVKGWVLLAQILSAQKQFLDAETVTNAALEQTGKWDQGELLRSKAKLQIAQGQVGNAIDTYSQLLAVLQVQSKSFGAMAKLKDGQNRCRTLELEIWLDLASIYIKLSQWQDAEICLSKAKTINHYSASRFYTVGMYI >itb04g25780.t4 pep chromosome:ASM357664v1:4:30276526:30279385:1 gene:itb04g25780 transcript:itb04g25780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVRNERSVREPRSRSTYARLRKIMKCLCSGEQLRVDEMIPSSESLATKDYSASIHSSLVGEPEQKPDTGNIEEAESSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDISAVTPKVKVTLAQRAQPHRRRSQNYASTPLSIHAASLLLEAIFLKAKSLQALGRYKEAAQSCTIVLDIVESSLPTGLPENLGADCKLQETLNKAAELLPELWKLASSPRETIASYRRALLHQWNLDTPTIANIQKEFAIFLLYSGGESNPPNLRSQMGSAFVPGNNIEEAILLLIILLRKVSLKRIEWDPEILDHLSYALAISGGLRALANQVEELLPQTIDQSEMYQILALCYYGEGEDQTALNLLRKLLRSTEHPTSDPVLLLLASKICSATPEYAGEGVNLAQRAIANMQGKCDELFGVGNFVLGLSLSAHSKSSAPDSDRVRRQTEALQSLETAGRMTRMNNSNIIYHLCVENAEQRKLDAALYYAKCFLKLEGGSTVKGWVLLAQILSAQKQFLDAETVTNAALEQTGKWDQGELLRSKAKLQIAQGQVGNAIDTYSQLLAVLQVQSKSFGAMAKLKDGQNRCRTLELEIWLDLASIYIKLSQWQDAEICLSKAKTINHYSASRFYTVGMYI >itb04g25780.t3 pep chromosome:ASM357664v1:4:30276446:30279992:1 gene:itb04g25780 transcript:itb04g25780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVRNERSVREPRSRSTYARLRKIMKCLCSGEQLRVDEMIPSSESLATKDYSASIHSSLVGEPEQKPDTGNIEEAESSLRESGSLNYEEARALLGRYEYQKGNIEAALHVFEGIDISAVTPKVKVTLAQRAQPHRRRSQNYASTPLSIHAASLLLEAIFLKAKSLQALGRYKEAAQSCTIVLDIVESSLPTGLPENLGADCKLQETLNKAAELLPELWKLASSPRETIASYRRALLHQWNLDTPTIANIQKEFAIFLLYSGGESNPPNLRSQMGSAFVPGNNIEEAILLLIILLRKVSLKRIEWDPEILDHLSYALAISGGLRALANQVEELLPQTIDQSEMYQILALCYYGEGEDQTALNLLRKLLRSTEHPTSDPVLLLLASKICSATPEYAGEGVNLAQRAIANMQGKCDELFGVGNFVLGLSLSAHSKSSAPDSDRVRRQTEALQSLETAGRMTRMNNSNIIYHLCVENAEQRKLDAALYYAKCFLKLEGGSTVKGWVLLAQILSAQKQFLDAETVTNAALEQTGKWDQGELLRSKAKLQIAQGQVGNAIDTYSQLLAVLQVQSKSFGAMAKLKDGQNRCRTLELEIWLDLASIYIKLSQWQDAEICLSKAKTINHYSASRFYTVGLLHQSKGQHKEALREYNNALTVDPNHVPSLVSTAVVLRRSGGRSPQVVRSFLMEALRLDKMSASAWYNLGLLYKETGVGSALEAAECFEAALVLEETAPVESLQMTHQSCI >itb05g27000.t1 pep chromosome:ASM357664v1:5:30605557:30606902:-1 gene:itb05g27000 transcript:itb05g27000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMVTSLVFVAVGVIVSLCATICCNRGPSTNLLHLTLIITATVCCWMMWAIVYLAQMNPLIVPILSEGE >itb10g22610.t1 pep chromosome:ASM357664v1:10:27390808:27392862:1 gene:itb10g22610 transcript:itb10g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNHQGNKPSSGQPLYPPPFPSHHSTRKRLLDGSPSNSTSFESSSLDQLPKRITRDLPNLSDCHGCRTRVNHTNPKDRLQTLDSYWRIVLLCKKCIKFVSSAQICSYCFKETLNLDCFTCGRCKRCIHKDCVLRYDHSSPWSYSCKERGPELEFSVCIDCWVPKSLKNSKVCRSIDKSLSKPLSTGTDPKVSLNDNDANSLQKFTTDANAEAKKKNLVSTKEKEKALREVVAAKSVMDMTKNAMGLAANNESNGDINGGLIVSNSISSSSSSSSSTNGASATKNDNDAKLVFQLHRAINSSPRISKDLHLTNTDNFPVPKAMDWKSLISKPVELGKTTQYGEGEKIVVYRRTRLREKISASMSEASVCARDNEIGSSIDLCQTDAKLIAISGASVYAKDKDTCYFDLGRIEHKVKTYKRTRFKRKELHVNDRDDFFVSVVEKCNFGGGSRVVSESQSCQHDDLTQEAHQCELRIPFPVKCDGDVTLQTERCNAKEGRYLLKYSRRCVVSKPGLDHETSMHGDASTRELHLYEVIIPCLVNCDGKVTLQNKSCHEKDDRYSLKYSKRRRIGPIPEFQTKAAVCQATIPCQVKSEGKVNLQNENCNTKEGRYLIKYSKRRTGSKLELDHESNIHNDALHLPEPDPELPRNHFVESRTSSSASMISSVASVQACACINVSSTKDL >itb02g03120.t1 pep chromosome:ASM357664v1:2:1799948:1803670:-1 gene:itb02g03120 transcript:itb02g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGEFRFLIVKPENGGIWDAIRFGVLGDKQSGAKFLQSSSYGVIREELHGVSGGGGGDGDGDGEDPKHIWVIFVSIVVRKLLALFRKPLEWTGYLLEFILNLISLNGTFFAFLYKLFHGQLVMPQRGSETYISAIGHLDGRIDLSKSEGPDLMNVPLEPGNRALMDLCMVASKLAYENEKVIRNVVNLHWRMHFVDFYNCWNDYQQESSTQVFILSDKPKDANLILVGFRGTEPFDVDDWSTDFDYSWYEIPEMGKVHMGFLEAMGLGNREDVSTFQEQLFVKNAKSGSAESSESSSMLLSDSDENNGSHNSKQPNDPGKEEFSAYYTVRMKLKSLLREHENAKFVVTGHSLGGALAILFPTVLAMHKEEEMLKRLLGIYTFGQPRIGNRQLGRYMEEHLNQPAPRYFRTVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSLYIEKRVDDEPNPNYFGIRFLIPMYLNAVWELIRGLMIGYIHGPEYKESWESILWRIVGLFIPGIAAHSPVNYVTSIRLGRERQN >itb02g03120.t2 pep chromosome:ASM357664v1:2:1799948:1803670:-1 gene:itb02g03120 transcript:itb02g03120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGEFRFLIVKPENGGIWDAIRFGVLGDKQSGAKFLQSSSYGVIREELHGVSGGGGGDGDGDGEDPKHIWVIFVSIVVRKLLALFRKPLEWTGYLLEFILNLISLNGTFFAFLYKLFHGQLVMPQRGSETYISAIGHLDGRIDLSKSEGPDLMNVPLEPGNRALMDLCMVASKLAYENEKVIRNVVNLHWRMHFVDFYNCWNDYQQESSTQVFILSDKPKDANLILVGFRGTEPFDVDDWSTDFDYSWYEIPEMGKVHMGFLEAMGLGNREDVSTFQEQLFVKNAKSGSAESSESSSMLLSDSDENNGSHNSKQPNDPGKEEFSAYYTVRMKLKSLLREHENAKFVVTGHSLGGALAILFPTVLAMHKEEEMLKRLLGIYTFGQPRIGNRQLGRYMEEHLNQPAPRYFRTVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSLYIEKVKSRLPLFLHFYFLMLHIYISLSLLPKLFKLCIT >itb02g03120.t3 pep chromosome:ASM357664v1:2:1799948:1803670:-1 gene:itb02g03120 transcript:itb02g03120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGGGEFRFLIVKPENGGIWDAIRFGVLGDKQSGAKFLQSSSYGVIREELHGVSGGGGGDGDGDGEDPKHIWVIFVSIVVRKLLALFRKPLEWTGYLLEFILNLISLNGTFFAFLYKLFHGQLVMPQRGSETYISAIGHLDGRIDLSKSEGPDLMNVPLEPGNRALMDLCMVASKLAYENEKVIRNVVNLHWRMHFVDFYNCWNDYQQESSTQVFILSDKPKDANLILVGFRGTEPFDVDDWSTDFDYSWYEIPEMGKVHMGFLEAMGLGNREDVSTFQEQLFVKNAKSGSAESSESSSMLLSDSDENNGSHNSKQPNDPGKEEFSAYYTVRMKLKSLLREHENAKFVVTGHSLGGALAILFPTVLAMHKEEEMLKRLLGIYTFGQPRIGNRQLGRYMEEHLNQPAPRYFRTVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSLYIEKMNLNTRNNG >itb14g06780.t1 pep chromosome:ASM357664v1:14:6037905:6043874:-1 gene:itb14g06780 transcript:itb14g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSSTRPLSLCFALSSFSSPSCSSFLYSFRPKRFHFLKPRSSLKQTKKQQTLSKTPSGAPQSFSKLLNLGPKEENDSTENGGGEGDGDGDAAVKGTLLAGLLIVGAVGGFGVVGYLYKDQINAFLTQFSSIIDDYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGSFIGTIIVSISGTVAASVAFLIARYFARDRILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDESSIGLGGGNSLVTLGIGLLVTAIAATYVTRLAKDAVKDIE >itb09g15300.t1 pep chromosome:ASM357664v1:9:10559280:10561595:1 gene:itb09g15300 transcript:itb09g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAPLWSSLLIVLVVLPCAFAHTSKWKEAHATYYGSPDGTIGGACGFEEYKKTYGSYTAAVSTALFDKAAGCGACYEVRCVKSKMYCKKGHKSVVVTVTDLCPPGGWCSHTHFDLSQPAFLKIADQVAGHVPVMYRSVPCKRKGGEKFKISGNPFFNLVTVTNVGGEGDVKKLEVKPEGSKKWKPLKRNWGENWECDEKLTGKALTFKVTTVDGKESVSRNVAPKSWKFGQTFEGQNFS >itb02g23150.t1 pep chromosome:ASM357664v1:2:22945722:22946417:-1 gene:itb02g23150 transcript:itb02g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIILFSITFLFSSAAAIDILPHTDNPKNTNSASGNSILASSSPAKSPAASPTKSLAVSPAKSLTASLAKSLAASPAKAPAASPTTTPPASPTTTPPASPTNTPPASPTNTPPASPTNTPPASSTNTPPASSTNTPPASSTNTPPASSTKTPPASPATSAAPSPRKMLSPPTAPPSNTNTPTAGSVSNEPAPASDAPTQDDSSVGTALGVSAGILAATVSSNLFLMLMA >itb13g09630.t1 pep chromosome:ASM357664v1:13:13834057:13835037:-1 gene:itb13g09630 transcript:itb13g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRADCGTVRGISVSPQNGMMPERILIQTLIGRVLADDIYIGPRCIATRNQNIGVGLVNRFITFRAQPISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPSNGKIRFHEDLVHPTRTRHGHPAFLCSIDLYVTIESEDILHNVNIPPKSFLLVQNDQYVESEQVIAEIRGGTSTLNLKEKVRKHIYSDSDGEMHWSTDVYHAPEFTYGNVHLYIYIYTHTHTL >itb10g24590.t2 pep chromosome:ASM357664v1:10:28323346:28325927:-1 gene:itb10g24590 transcript:itb10g24590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPAELSLDCSPTFVPRTIAEFLAGMSTIGDASERVSMIDDYVRRLEEEMRKIDAFKRELPLCMLLVNDAIVALKEEAVQCRRSRMEPVLEEFIPLKKSSDDDEDKIETRRERGSREKVDWMNSVQLWSSGNNHCLSSKNDLKLAIEEEENSSVTNDLLVSGKPMNPGKTSAVPGLLLGTPAREYMVIGGLSSMDCGSRAAASSTASSSVRPQYHHHQQQQQQTSRKQRRCWSQELQKLFVNALHQLGGPHVATPKQIRELMKVEGLTNDEVKSHLQVSVNLQLLCSICSV >itb10g24590.t1 pep chromosome:ASM357664v1:10:28323346:28325927:-1 gene:itb10g24590 transcript:itb10g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPAELSLDCSPTFVPRTIAEFLAGMSTIGDASERVSMIDDYVRRLEEEMRKIDAFKRELPLCMLLVNDAIVALKEEAVQCRRSRMEPVLEEFIPLKKSSDDDEDKIETRRERGSREKVDWMNSVQLWSSGNNHCLSSKNDLKLAIEEEENSSVTNDLLVSGKPMNPGKTSAVPGLLLGTPAREYMVIGGLSSMDCGSRAAASSTASSSVRPQYHHHQQQQQQTSRKQRRCWSQELQKLFVNALHQLGGPHVATPKQIRELMKVEGLTNDEVKSHLQKYRLHIRRSAHTNTSSANRFAPQSGSPQGPLGTSLTRDNNMTEEEEDDDDDKSGRQIPKILTLTSKNGA >itb10g24590.t3 pep chromosome:ASM357664v1:10:28323968:28325920:-1 gene:itb10g24590 transcript:itb10g24590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPAELSLDCSPTFVPRTIAEFLAGMSTIGDASERVSMIDDYVRRLEEEMRKIDAFKRELPLCMLLVNDAIVALKEEAVQCRRSRMEPVLEEFIPLKKSSDDDEDKIETRRERGSREKVDWMNSVQLWSSGNNHCLSSKNDLKLAIEEEENSSVTNDLLVSGKPMNPGKTSAVPGLLLGTPAREYMVIGGLSSMDCGSRAAASSTASSSVRPQYHHHQQQQQQTSRKQRRCWSQELQKLFVNALHQLGGPHG >itb02g19470.t1 pep chromosome:ASM357664v1:2:16601844:16603813:-1 gene:itb02g19470 transcript:itb02g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLATLFRRIKGGTWRPSKTSLPNNSLNIIRAIPIPLSDEQQDSFTWHPSETLCFLSKKLRPGYWIPSGPGIRIGWSFGEVLFTDNDKLWLLVSRAEGGVNGLRRAMPMAFRRGEAALLLPSSPSGVACVGCRCSISLNCAGSFRTTLGTPYKLTGRASD >itb05g01900.t1 pep chromosome:ASM357664v1:5:1480090:1480401:1 gene:itb05g01900 transcript:itb05g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQTPERNKESFKCFTDSPLSRRHILRRILRYRRLLNRLKHSLLQRRRFPFPVISKPHNFTFLIFRFCPFLVSTALFFASTASLKFSVSGHFSLLLFGLHF >itb08g15080.t1 pep chromosome:ASM357664v1:8:17115042:17118025:-1 gene:itb08g15080 transcript:itb08g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFLVFSLVSFLVLLSSNVIPASSNEEAKGLLKWKSRFDHPNNTLDASESVNRENLTTSNITGFKSGDNKDLPHCTIPPEMSSSVEKKSGHKKQILSIVLPIVGALVLVSVFAVLLFTCGKRYRGPDEEQCNSSSRGDEDSDLFSISSFHGKALYLDILKATKEFHEMYRIEEGGFWTVYKAEIPSAKTVAVKKLHSSAEIAYRESFFNEIRALTKIRHRNIVKLHGFCSNVRHEFLVYEYLEMPSLSWMLSREEEAKKLDWKTRVKIVRGIAQGLSYMHHDCSPPILHGNISSSNVLLDAAFEARISDFGTAKLLRQDDSNFSTVAGTYGYIAPEFTSTKKMTENWDIYSFGVLTLEIIMGKHPGDSIDVIKFFSERLDPRLPHPGNEEERALIYIDTIARKCLNPKARPTMQKIAEMLAIVPQ >itb10g20140.t1 pep chromosome:ASM357664v1:10:25796643:25797559:-1 gene:itb10g20140 transcript:itb10g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKRIPVFLVRLLALGGTVTAIVVMITSHDSAQVLGMTFEAKYNNSPTFKYFVVMNAIASGYSIIVLFFPSKNSLARILLVTDTIMTLLLDSSISACLALGQLGKKGNSHAGWLPICGQVPKFCDHVGGALIAGFAATVLYFILVLYSFHNVLNLYSLKA >itb01g33240.t1 pep chromosome:ASM357664v1:1:36424723:36427317:-1 gene:itb01g33240 transcript:itb01g33240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSILLMFVFAAVSLAAEDMSIITYDDRHPTRGQGRTDEEVMALYESWVVKHGKEYNGVGEKEQRFGIFKDNLRFIDEQNSIGNRTYKLGLNRFADLTNHEYRSAFLGTKTDHSRRRISGKKSHRYAPKAGESLPASVDWRTKGAVTEVKDQGGCGGCWAFSAVAAVEGINQIVSGELISLSEQELVDCDISYNKGCNGGLMDYAFEFIIKNGGIDTEQDYPYTAKDGTCDQLKRTVNAVSIDSYEDVPGNDEKALQTAVSNQPVSVAIEAAGMAFQFYESGVFTGPCGTNLDHGVTVVGYGTENGLDYWIVKNSWGSHWGEKGYVRMHRNVNTKAGLCGIAIEPSYPIKKGGNPPHPPPAPPSPPSPVKPPTVCDEYDECPASTTCCCVFTVGDFCFAWGCCPLENAICCDDHYSCCPNEYPVCDVKQGTCSMSKNNPLGVKAMKRIRAQPIGAFSDKGKKSIKASVLEN >itb11g09270.t2 pep chromosome:ASM357664v1:11:6174540:6177031:1 gene:itb11g09270 transcript:itb11g09270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAKWIKSLITLSKSRSKHPEKESVKSRLWKLWRSGSGGFATPSSRAGKGMKYLEDSERSISSFVSDSALAAAMATVVRAPHKDFVLIKQKWAAIRIQTAFRGFLVISADFHFNFTVARRALRALRAVVRIQAIFRGRQVRNQAAVTLRCMQALVRVQARVRAQSAQTPLQSTKTQVDPIKQAENGWRDSPGSVEEVRSKLQMKQEGAIKRERAIAYANSQLKPRTSKKVTPNMVDKSHGDFNWLDRWVATKPWESRSVVDTDSSGSAVESSLNPSRHNSVKIRRNNISTRISAKPPVSCQLTGALSDQCPGYLYDDSTTSHSSMSSNETNAYSTSEEGRNSKPSYMSLTQSIKAKNKQQRTHNSPSKSIQRHSTDDFQLCRKSSPLSSRGLTRRSADNVKSLCKDLYPPMQLYR >itb11g09270.t1 pep chromosome:ASM357664v1:11:6174524:6177031:1 gene:itb11g09270 transcript:itb11g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAKWIKSLITLSKSRSKHPEKESVKSRLWKLWRSGSGGFATPSSRAGKGMKYLEDSERSISSFVSDSALAAAMATVVRAPHKDFVLIKQKWAAIRIQTAFRGFLARRALRALRAVVRIQAIFRGRQVRNQAAVTLRCMQALVRVQARVRAQSAQTPLQSTKTQVDPIKQAENGWRDSPGSVEEVRSKLQMKQEGAIKRERAIAYANSQLKPRTSKKVTPNMVDKSHGDFNWLDRWVATKPWESRSVVDTDSSGSAVESSLNPSRHNSVKIRRNNISTRISAKPPVSCQLTGALSDQCPGYLYDDSTTSHSSMSSNETNAYSTSEEGRNSKPSYMSLTQSIKAKNKQQRTHNSPSKSIQRHSTDDFQLCRKSSPLSSRGLTRRSADNVKSLCKDLYPPMQLYR >itb04g13900.t1 pep chromosome:ASM357664v1:4:14045683:14046363:-1 gene:itb04g13900 transcript:itb04g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITNMSGAPLPYPSHGRLRIRTITRVRMPRSSVALGDNCFEIHFNPLFHLTSLGTAFSFFLGRLAGLSADIVFPIAAFAFDQVRSRNWLYGVSLSVVLETVYVLEEPMRSADFLDGEMILNDDTAAARDYYEQLDDLMLQPFASTGHGMSQQEISMLKTERLSDDEDKDGECCSICLEGFVRGMVITKLPPCSHRFHNACIAQWLHKNPTCPICRRTCTPTVS >itb14g19360.t1 pep chromosome:ASM357664v1:14:22062561:22066891:1 gene:itb14g19360 transcript:itb14g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVTRRLGQQSFNPSSPSISALKSSYLLSDQCYGIGTPRYASNLTAKGTGHIVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHYMAQQLASIAKEHGGVLRFIQLSRLGASPTSPSRMLRAKAAAEEAILQEIPEATILKPAVMIGTEDRILNPWAQFAKNFGFIPLIGDGSTKIQPVYVADVASAVIASLKDDGTSMGKVYELGGPEIYTMHQLAELMYDMIREWPRYVKVPFPIAKAIATPREVLLKKVPFPLPTPSIFNLDLIEALTTDTVVSKDALTFDDLGIVPHKLKGYPVEYLIQYRKGGPQYGSTVSERVSPESYP >itb01g18370.t1 pep chromosome:ASM357664v1:1:23330867:23341822:1 gene:itb01g18370 transcript:itb01g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSSKGVSWKRKMCGMQEIENEIERVSLDEGSSRHNSINTGILPSLGARSNRKVGFHPYIISPFDPRYRAWDTYLVLLVFYTAWVSPFEFGFLENPGLTLSIADNVVNGFFAIDIVLTFFVAYLDKHTYLMVDDPKKIAWRYAKSWLVFDVISTVPSELARSILPDAVKGYGYLNMLRLWRLRRVSAMFARLEKDKHFSYFWVRVLKLISVTMFASHCAACFFYLMAIRNPTNPKHTWLGLVSEGFEEDSIWSNYVTSLYWSITTLTTTGYGDLHAVNTPEMLFVIAYMLFNLGLTAYLIGNMTNLVVHVTSRTRKFRDSIQAASSFAQRNQLPVRMQDQMLAHLCLRYRTDAEGLQQQETLDALPKAIRSSISNYLFYSLVDKAYLFHGVSNDLLFQLVSEMKAEYFPPREEVILQNEAPTDLYILVNGSAEFVIQRNGMHKVYAEMKAGDVCGEIGVLCYRPQLFTVRTKRLSQFLRLDRSSFFNIVKANVGDGTIIMNNLLQHLKDRRDPMMESVLADTEHMLAQGRMDMPLTLCFAANRGDDLLLNQLLKRGMDPNELDNNGRTALHIAASHGYLNCAVLLLDYGADPTQKDSEDNVPIWDAITGKHEAVVKLLNDNGGAIPSSDVGHYACQAVEQGDLELLKYLAKHGGDITLLNSVGTTALHTAISEDNLEMVKFLVDKGADIDKADVHGWTPRALAEYQGQEEIKQLFKTVQDFSGKEAAGVPSEMPSAAPYIKKYASEPFLQCSSVEMPLLHAQDTSLMSNTKWRKRATNYRNSLIGFMSTAETTSNGGLVSSGYGFKSHQQSTPRVTITCPESGEKAGRVILLPRSLKELMEIGANKFGFAADKVLAKDGALIEDIAVIRDGDLLVLASQQHAERQSSPIIAI >itb03g01610.t1 pep chromosome:ASM357664v1:3:895438:901971:-1 gene:itb03g01610 transcript:itb03g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNKHIEMNGVCSEPKGGTLKPSRGALACMVNSEIGAVLAVMRRNVRWGVHYAGNDDQLEHSLIQSFKELRKNIFSWQHQWYTIDPIAYLQPFLDVIHSDETGAPITGVALSSIYKFLSLEILDSTTKNVDKALHRIVDAVTSCRFEVTDSASEEVALMKILQVLLACMKSKASVYLGDHHVCNIVNTCFRLVHQASAKSELLQRIARHTMHELVRCIFSHLPDIESKEDGLAQGIRSHGTLEVGSMMEGQTSGNGQSDSGSVNAESNHKAPSDEGSVNAAPSNASSDPLESKDEKVGQSKEIANGGGVSMMDPYGIPCMVEILHFLCSLLKVMDHMEIGPRSNPIAYDEDVPLFALGLINSAIELGGASFGSHPKLLALIQEELFQTLMRFGLSMSPLILSTVTSIVLNLYHHLRAKLKLQLETFFSGVLLRIAENKHGASYQQQEVALEALVDFCRQHVFMAEMYVNFDCDMSCSDIFEDLANLLSKSAFPVNSPLSAMNTLALDGLIAMVEGMGERIEHGSVSEPASSDSEEYKPFWEEVCQDFNDPTHWVPFVQKMKQIKRKLLIGADHFNQDPKKGLEFVQGMHLLPATLDAVSVASFFRYAPGLDKNLVGDFLGSHDEFCIAVLHEFARTFDFRDMNLDTALRVFLETFRLPGESQKIQRVLEAFAERYYEQSQDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEDDFIRNNRRINGGNDLPREFLSELYHSIRENEIRMMPDAGAGASAMMPSYWIGLVHRSNQTAPFIACDMNPFLDRHLFAILSGPTFAAISVVFDNVEQEDVMKTCVDGFLAIAKISATYNFDEVLDDLVVSLCKFTNLLIPSYFEDFILTYGDDSKARMATTAVFTIANKYGDHIHLGWKNILDCILSLQMYGLLPSRLLSDATDDPESSADVENRSPAVSPSESHVPLLASSTRKSSGLMGRFSQFLYLDIEEPAPQPSEEQIAARQLVLQMIQSCHIDNIFADSKFLQADSLLQLVQALVSAAGKPRKGKQRLEEEGTAVFCLELLIAIALNNRDRIMLLWQRVYDHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLMSLQLVLKLDARVADAYLEQITLEVKHLVKANAAQIRSHTGWHTIISLLSITARHPEASEAGYETISFIMADGAHLLPANYVLCINAARQFAEYRVGDIDQSVKSLDLMAGSLVCLVRWSQKTKEALVEEAALKMSQDIWEMWLRLVQGLRKVCLDSREAVRNHAILALQRCLTEVDGIHIPNDLWLLCFNQVIFTLLDDLLEITHQNSMKDYSIEGAIVLSLKLMSKVFLQRLQDLFQLPSFSALWLRVLDCSERCMKVKLRGKRSGKIPELVPELLKNTLLVMKTSGILSPGDVTGGDNFWHLTWLHVKNIAPSLQPEIFSPIELEQIQKQQHIQPGLRPLPDASILVASSETTA >itb03g01610.t2 pep chromosome:ASM357664v1:3:896207:901971:-1 gene:itb03g01610 transcript:itb03g01610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNKHIEMNGVCSEPKGGTLKPSRGALACMVNSEIGAVLAVMRRNVRWGVHYAGNDDQLEHSLIQSFKELRKNIFSWQHQWYTIDPIAYLQPFLDVIHSDETGAPITGVALSSIYKFLSLEILDSTTKNVDKALHRIVDAVTSCRFEVTDSASEEVALMKILQVLLACMKSKASVYLGDHHVCNIVNTCFRLVHQASAKSELLQRIARHTMHELVRCIFSHLPDIESKEDGLAQGIRSHGTLEVGSMMEGQTSGNGQSDSGSVNAESNHKAPSDEGSVNAAPSNASSDPLESKDEKVGQSKEIANGGGVSMMDPYGIPCMVEILHFLCSLLKVMDHMEIGPRSNPIAYDEDVPLFALGLINSAIELGGASFGSHPKLLALIQEELFQTLMRFGLSMSPLILSTVTSIVLNLYHHLRAKLKLQLETFFSGVLLRIAENKHGASYQQQEVALEALVDFCRQHVFMAEMYVNFDCDMSCSDIFEDLANLLSKSAFPVNSPLSAMNTLALDGLIAMVEGMGERIEHGSVSEPASSDSEEYKPFWEEVCQDFNDPTHWVPFVQKMKQIKRKLLIGADHFNQDPKKGLEFVQGMHLLPATLDAVSVASFFRYAPGLDKNLVGDFLGSHDEFCIAVLHEFARTFDFRDMNLDTALRVFLETFRLPGESQKIQRVLEAFAERYYEQSQDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEDDFIRNNRRINGGNDLPREFLSELYHSIRENEIRMMPDAGAGASAMMPSYWIGLVHRSNQTAPFIACDMNPFLDRHLFAILSGPTFAAISVVFDNVEQEDVMKTCVDGFLAIAKISATYNFDEVLDDLVVSLCKFTNLLIPSYFEDFILTYGDDSKARMATTAVFTIANKYGDHIHLGWKNILDCILSLQMYGLLPSRLLSDATDDPESSADVENRSPAVSPSESHVPLLASSTRKSSGLMGRFSQFLYLDIEEPAPQPSEEQIAARQLVLQMIQSCHIDNIFADSKFLQADSLLQLVQALVSAAGKPRKGKQRLEEEGTAVFCLELLIAIALNNRDRIMLLWQRVYDHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLMSLQLVLKLDARVADAYLEQITLEVKHLVKANAAQIRSHTGWHTIISLLSITARHPEASEAGYETISFIMADGAHLLPANYVLCINAARQFAEYRVGDIDQSVKSLDLMAGSLVCLVRWSQKTKEALVEEAALKMSQDIWEMWLRLVQGLRKVCLDSREAVRNHAILALQRCLTEVDGIHIPNDLWLLCFNQVIFTLLDDLLEITHQNSMKDYSIEGAIVLSLKLMSKVFLQRLQDLFQLPSFSALWLRVLDCSERCMKVKLRGKRSGKIPELVPELLKNTLLVMKTSGILSPGDVTGGDNFWHLTWLHVKNIAPSLQPEIFSPIELEQIQKQQHIQPGLRPLPDASILVASSETTA >itb06g15790.t1 pep chromosome:ASM357664v1:6:20044579:20048084:1 gene:itb06g15790 transcript:itb06g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIAKNALKNEPEEEIYLPDTIDVAGGHQQRSTGCEC >itb03g21420.t1 pep chromosome:ASM357664v1:3:19271157:19275271:1 gene:itb03g21420 transcript:itb03g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFALQIHSVDFPQPLPTATTSAVRVSDASDSIAKLNPAEQRGVAHLFRHLPPSTSTTYASALTGIANPIARTTTLFVVAVPNYLSPDDFLLFCASHVEHFTGILFLRNDVMEDRYSVLITLVSQLAADGFYCSYNGKRFKPTEGEVCHIYFTQSVECTESAEIASIPPLGYTELPTCPVCLERLDQDTSGIQSTLCDHSFHCSCVSKWTYSSCQVCRLCQQQDERPTCSVCGTPKNLWVCLICGFVGCGRYEEKHAIRHWSDTQHHYSLELETQQIWDYVGDKYVHRLNQSKTDGKPATMNSSCAASDGECGMFGYDEDPGLSGALYNSKVEAVVDEYNILLASQLETQRQHYESLLAEERSGKESTISKAVEKALFSKTHELQSRIEKCEEEKKAVTERNKVLMEKQEKLQKNYKEIEKRETLLLKSKDEHIQDLEEQIRDIKVYIEAQKKLAKMGVSDGKDGTVLSVESNQSSSGGSKRRTKQGRRR >itb07g00720.t2 pep chromosome:ASM357664v1:7:446026:450675:-1 gene:itb07g00720 transcript:itb07g00720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGQCFPEEKLLKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKALIKGMLRKNPEHRPSASELLKHPYLQSYVEQYRPSVNAPSARSPEKPGSTGNDSRKNMAESQSSTSSCSDRDSFMSSEKNIHVMGLNCDNKGSDANLAFADDEIECGQAHHGDERGTDTCSEKTEDQEAGNLLQNEKRSNLESKQPRTIRNIMMALKEGKGRENSSPMRGSRAKASSTGTQKAVEVSPKVPKPSSVSPSSRTNVEGSAKAGFDSVKRAQLMPSLKHQLPAIESSPKTKPKHEGVSPGPVKHITEEGLPSRMRQKTPPSLTRRPSFPSRIRQAGNDAPVSVDDNKGQDPEVSPNSTSRGFHIHASKDSKQHSQKSSVGGMSNEMQIDSSNSASSSVSIQGFEICDSTTPFVKLAEQLLQTREQAIDTDSLGSRPSCSVTTSTHSDIPDGSSRDNLEHKIKFEDSPDDIFEERHQQMDAAACSEKSDPIVAVENALPNSESAVGFKDNAPTRTPASCCSDVEQSPAIPMSGGDDKHMVKEFVSSATNGASPTAAPVSSSQNQKNALQSNHISVLKDDDKFTLKELLSSPTDPSNPSPAIIPPVSSTQETLLPENGTFLQDDKPSPSHTTPAFDDVIHVIRHSSFRVGSEQPLIKTLERNADIGKLINMVRDEPDTATLNDPLAPASSMDVKTKEIDEKNLSNPNSSPAPIQDSSEPAKPNNPPAEGTPVKETLDVKSFRQRAEALEGLLELSADLLENNRLEELAVVLKPFGKEKVSPRETAIWLAKSLKGMMLEESAHGS >itb07g00720.t1 pep chromosome:ASM357664v1:7:446016:451756:-1 gene:itb07g00720 transcript:itb07g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVHHKQERKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGQCFPEEKLLKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKALIKGMLRKNPEHRPSASELLKHPYLQSYVEQYRPSVNAPSARSPEKPGSTGNDSRKNMAESQSSTSSCSDRDSFMSSEKNIHVMGLNCDNKGSDANLAFADDEIECGQAHHGDERGTDTCSEKTEDQEAGNLLQNEKRSNLESKQPRTIRNIMMALKEGKGRENSSPMRGSRAKASSTGTQKAVEVSPKVPKPSSVSPSSRTNVEGSAKAGFDSVKRAQLMPSLKHQLPAIESSPKTKPKHEGVSPGPVKHITEEGLPSRMRQKTPPSLTRRPSFPSRIRQAGNDAPVSVDDNKGQDPEVSPNSTSRGFHIHASKDSKQHSQKSSVGGMSNEMQIDSSNSASSSVSIQGFEICDSTTPFVKLAEQLLQTREQAIDTDSLGSRPSCSVTTSTHSDIPDGSSRDNLEHKIKFEDSPDDIFEERHQQMDAAACSEKSDPIVAVENALPNSESAVGFKDNAPTRTPASCCSDVEQSPAIPMSGGDDKHMVKEFVSSATNGASPTAAPVSSSQNQKNALQSNHISVLKDDDKFTLKELLSSPTDPSNPSPAIIPPVSSTQETLLPENGTFLQDDKPSPSHTTPAFDDVIHVIRHSSFRVGSEQPLIKTLERNADIGKLINMVRDEPDTATLNDPLAPASSMDVKTKEIDEKNLSNPNSSPAPIQDSSEPAKPNNPPAEGTPVKETLDVKSFRQRAEALEGLLELSADLLENNRLEELAVVLKPFGKEKVSPRETAIWLAKSLKGMMLEESAHGS >itb02g21700.t1 pep chromosome:ASM357664v1:2:20698837:20701261:-1 gene:itb02g21700 transcript:itb02g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKVDSMCSRTGRDLQRYNVQGCRQVVGCIPYRYREGKSIIDGAPLVDDLEFLLVSSQKSPRMMFPKGGWEQDESLEEAALRETFEEAGIYGEVGSCLGKWFFKSKSLGVLHEGFMLPLIVVEELDDWPEKDVRQRAWVSYREAMEVCFHPWMKEALDLLVSQLTLQQQEDEEEDDDENIEARNGMVTEQMLRNIELEPSIEILAQSVSVQGNSKQEMRMSSVVGESVQKKEDEMLMNDVVAEEEGYWIEVLTTSKEEQSSGSSLAQSLKRKVEEPHHHHQLLIEILVSDEPLSIVAQSLHHRNEDPRTSCCSIEFLRIPQSA >itb04g06270.t1 pep chromosome:ASM357664v1:4:4059703:4060536:1 gene:itb04g06270 transcript:itb04g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDSGEKKSGDDHQSKKEKGIVMYQRKKYSHNKKATALPSKQHAPPPPEVTVTDWADANSGHLLTCACRVCTGGAAVPTPLFRSNIIASPQLADTPLHNLPVPVSQSRRFNPPRPERDCPAGFMPPPQPVVGHFRANKVSKAKVYPSVVIPPSNEPLKTRREIPLPKMEDISFIELLAFGGDLEPRAQSKPAISFMDMLQNGKKVAGGYNFRQHFVEGSNGLNYFMKMVMEEEGGVDDEQLFREVKQELMIREVDAYACLLRKENLDFGKVLMTTN >itb12g27610.t1 pep chromosome:ASM357664v1:12:27907974:27910623:1 gene:itb12g27610 transcript:itb12g27610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMMGMGEVWGSMGSVVVTIMVLWATFDKYCPYEFRGYFKKYATKLRNLVYPYIHITFHEFSSEGFEGSKAYRAIERYLSVNSSAQAKRLKAEDIKDSQTLVLSMDYHEEVADEFRGIKLWWVSGMDVPNRQTISFNGRGGDEAKKYFTLKFHKKYRDVVTGAYLKHVLEEGKAITVKDRQRKLYTNLKNEEGYYGHRRSMWTHVVFEHPATFETLAMDPKKKQEIIDDLLAFSKSKDYYAKIGKAWKRGYLLYGPPGTGKSSMVAAMANFLGYDVYDLELTAVKDNTTLRKLLIDTSGKSIIVIEDIDCSLDLTGQREGNKKDKSGEEKLDPLKKEMERKMEEKKSEVTLSGLLNFIDGLWSAIGGERLIVFTTNFKEKLDPALIRRGRMDKHIELSYCGFEAFKVLAKNYLGIETHELFPTVGRLLTETDMTPADVAENLMPKAAEDDETTCLEKLVEALEKAKEDARLKAEEEEEEKRKAAALEAQENEEKNSEETMAAANGVKENGHVSIKDD >itb06g16970.t2 pep chromosome:ASM357664v1:6:20936941:20942306:-1 gene:itb06g16970 transcript:itb06g16970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAAMAAAVVAVALLFSIVVNGPAFANADTDPNDASVLRAMFSSLNSPSQLTKWSSSGGDPCGESWKGVTCSGNRVTEIKLSSLGLSGSMGFQLDQLKSVTTFDISNNNLGNQLPYQLPQNVQRLNLAGNGFSGGLPYSISQMTSLKYLNVSHNQFQGQVNDMFGSLSSLSILDFSFNSLAGNLPQSFSSLSSMSKMFLQNNQFTGTINALANLPLDNLNVENNRFTGWIPQQLKGINSIQTNGNSWSSGPAPPPPPGTPPASKPNRNHKSGGSNSHSNGGGSDDGSGKSGIGGGAVAGIVISILIIGAIVAFFVVKRKPRRTISDIEKSNNHPFAPLAMQEVQEIKSIQTSPRTPTIAMKTLDTPIAVNLRPPPVDYHKTINEDDESGKPIVPAKKVNIAQIKATRYSIADLQMATDSFSVENRLGEGSFGRVYRAQFEDGKVLAVKKISSSALRNPEDFLDIVSEISRLQHPNVVELVGYCSEYRQHLLVYEFHKNGSLHDLLHLSDEYNNPLTWNSRVKIALGTARALEYLHEVCSPSVVHKTLKSANILLDSELNPHLSHAGLASLIPAGDQALNHNSGSGYGAPEVAMSGQHTIKSDVYSFGVAMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQLEPEFRPPMSEVVEALVRLVQRANMSKRTFGNDQGDNADAKDYGP >itb06g16970.t1 pep chromosome:ASM357664v1:6:20935853:20941746:-1 gene:itb06g16970 transcript:itb06g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVAAMAAAVVAVALLFSIVVNGPAFANADTDPNDASVLRAMFSSLNSPSQLTKWSSSGGDPCGESWKGVTCSGNRVTEIKLSSLGLSGSMGFQLDQLKSVTTFDISNNNLGNQLPYQLPQNVQRLNLAGNGFSGGLPYSISQMTSLKYLNVSHNQFQGQVNDMFGSLSSLSILDFSFNSLAGNLPQSFSSLSSMSKMFLQNNQFTGTINALANLPLDNLNVENNRFTGWIPQQLKGINSIQTNGNSWSSGPAPPPPPGTPPASKPNRNHKSGGSNSHSNGGGSDDGSGKSGIGGGAVAGIVISILIIGAIVAFFVVKRKPRRTISDIEKSNNHPFAPLAMQEVQEIKSIQTSPRTPTIAMKTLDTPIAVNLRPPPVDYHKTINEDDESGKPIVPAKKVNIAQIKATRYSIADLQMATDSFSVENRLGEGSFGRVYRAQFEDGKVLAVKKISSSALRNPEDFLDIVSEISRLQHPNVVELVGYCSEYRQHLLVYEFHKNGSLHDLLHLSDEYNNPLTWNSRVKIALGTARALEYLHEVCSPSVVHKTLKSANILLDSELNPHLSHAGLASLIPAGDQALNHNSGSGYGAPEVAMSGQHTIKSDVYSFGVAMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQLEPEFRPPMSEVVEALVRLVQRANMSKRTFGNDQGDNADAKDYGP >itb13g20330.t1 pep chromosome:ASM357664v1:13:27154806:27156377:-1 gene:itb13g20330 transcript:itb13g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQPSEIPAAAAPPPAAAAASIAPAPPPAESAAAAAAAANSGAAAASLGQGLAPKRQRRPSVRLGEIGESPYDAPPRRGPKTWRFHKDPSLAAKTSKIRPLTNLVNGAVSAGENYDEANAVNEDNNNNNNNFAFDLGNRKPKMKPRPTKRARTNWTASSSKFDAVNGGIEGESFFREEKDHADHEEFRDFEPEGSESPLKEQSPVNSMENLGLQYWDRRANRARLSESRDNDNLNNGGIHTEMNSQDRSSGVRDWLIGLGLGRYSPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMYTAILKLRKGFSQVCCAL >itb06g21670.t2 pep chromosome:ASM357664v1:6:24249255:24253681:-1 gene:itb06g21670 transcript:itb06g21670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQHQSPAMGANGLAAAPSAGGVAAAAATAAVVAPGGVVGNQFLSTSLYVGDLDPNVSDSQLYDLFNQVGQVVSVRVCRDMSTRRSLGYGYVNYTNPQDAARAMEMLNFTSMNNKSIRIMYSHRDPSLRKSGTANIFIKNLDKSIDNKALHDTFSSFGNILSCKIATDSNGQSKGYGFVQFVNEESAQNAIDKLNGMLMNDKQVYVGHFLRKQEREPAISKIKFNNVYVKNLSESTTDDDLKKVFGEFGNITSSVVMRDADGKSKCFGFVNFENADDAAKAVDALNGKRFDDKEWYVGKAQKKSEREQELKSKFEQVAKETVDKYQGLNLYVKNLDDSIDDDKLKELFAEYGTIKSCKVMRDASGISRGSGFVAFSAPEEASRALSEMIGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPVAMAPSLGPRMPMYPPGAPGIGQQLFYGSAPPAIIPPQLVPGMRPGGGPMPNFFVPMVQQGQQAQRQGGRRGAGPGQQAQQPMPLMQQQMLPRGRMYRFPPGRNVPEVPVPGVAGGMLSVPYDMGGILPRDATMGQPVPITALASALANAPPEQQRTMLGENLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVQQQASSPADQLASLSLNENLVS >itb06g21670.t1 pep chromosome:ASM357664v1:6:24249255:24253682:-1 gene:itb06g21670 transcript:itb06g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQHQSPAMGANGLAAAPSAGGVAAAAATAAVVAPGGVVGNQFLSTSLYVGDLDPNVSDSQLYDLFNQVGQVVSVRVCRDMSTRRSLGYGYVNYTNPQDAARAMEMLNFTSMNNKSIRIMYSHRDPSLRKSGTANIFIKNLDKSIDNKALHDTFSSFGNILSCKIATDSNGQSKGYGFVQFVNEESAQNAIDKLNGMLMNDKQVYVGHFLRKQEREPAISKIKFNNVYVKNLSESTTDDDLKKVFGEFGNITSSVVMRDADGKSKCFGFVNFENADDAAKAVDALNGKRFDDKEWYVGKAQKKSEREQELKSKFEQVAKETVDKYQGLNLYVKNLDDSIDDDKLKELFAEYGTIKSCKVMRDASGISRGSGFVAFSAPEEASRALSEMIGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPVAMAPSLGPRMPMYPPGAPGIGQQLFYGSAPPAIIPPQAGFGYQQQLVPGMRPGGGPMPNFFVPMVQQGQQAQRQGGRRGAGPGQQAQQPMPLMQQQMLPRGRMYRFPPGRNVPEVPVPGVAGGMLSVPYDMGGILPRDATMGQPVPITALASALANAPPEQQRTMLGENLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVQQQASSPADQLASLSLNENLVS >itb05g27480.t6 pep chromosome:ASM357664v1:5:30818941:30823706:1 gene:itb05g27480 transcript:itb05g27480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVDDMIEASSGAHYSGFHLQGPNATEVEQPTTSMRGNVPKQPFVIGVAGGAASGKTTVCDLIIEQLHDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLCIMDKLKHGEAVDIPKYDFKSYQNNATRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVDKERDIAMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAETTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQHVSNFFTP >itb05g27480.t4 pep chromosome:ASM357664v1:5:30819520:30823706:1 gene:itb05g27480 transcript:itb05g27480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVDDMIEASSGAHYSGFHLQGPNATEVEQPTTSMRGNVPKQPFVIGVAGGAASGKTTVCDLIIEQLHDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLCIMDKLKHGEAVDIPKYDFKSYQNNATRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVDKERDIAMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAETTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQHLIYEKLPHDIAERQVLLLDPILGTGNSAVEAISLLIKKGVPEANILFLNLISAPQGVHVVCKRFPRIKIVTSEIEMGLNKDFRVIPGMGEFGDRYFGTDDD >itb05g27480.t2 pep chromosome:ASM357664v1:5:30819027:30823662:1 gene:itb05g27480 transcript:itb05g27480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVDDMIEASSGAHYSGFHLQGPNATEVEQPTTSMRGNVPKQPFVIGVAGGAASGKTTVCDLIIEQLHDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLCIMDKLKHGEAVDIPKYDFKSYQNNATRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVDKERDIAMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAETTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQHLIYEKLPHDIAERQVLLLDPILGTGNSAVEAISLLIKKGVPEANILFLNLISAPQGVHVVCKRFPRIKIVTSEIEMGLNKDFRVIPGMGEFGDRYFGTDDD >itb05g27480.t3 pep chromosome:ASM357664v1:5:30819520:30823706:1 gene:itb05g27480 transcript:itb05g27480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVDDMIEASSGAHYSGFHLQGPNATEVEQPTTSMRGNVPKQPFVIGVAGGAASGKTTVCDLIIEQLHDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLCIMDKLKHGEAVDIPKYDFKSYQNNATRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVDKERDIAMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAETTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQHLIYEKLPHDIAERQVLLLDPILGTGNSAVEAISLLIKKGVPEANILFLNLISAPQGVHVVCKRFPRIKIVTSEIEMGLNKDFRVIPGMGEFGDRYFGTDDD >itb05g27480.t1 pep chromosome:ASM357664v1:5:30818941:30823706:1 gene:itb05g27480 transcript:itb05g27480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVDDMIEASSGAHYSGFHLQGPNATEVEQPTTSMRGNVPKQPFVIGVAGGAASGKTTVCDLIIEQLHDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLCIMDKLKHGEAVDIPKYDFKSYQNNATRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVDKERDIAMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAETTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQHLIYEKLPHDIAERQVLLLDPILGTGNSAVEAISLLIKKGVPEANILFLNLISAPQGVHVVCKRFPRIKIVTSEIEMGLNKDFRVIPGMGEFGDRYFGTDDD >itb05g27480.t5 pep chromosome:ASM357664v1:5:30819520:30823706:1 gene:itb05g27480 transcript:itb05g27480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNVPKQPFVIGVAGGAASGKTTVCDLIIEQLHDQRVVLVNQDSFYHNLTPEELTKVHEYNFDHPDAFDTEQLLCIMDKLKHGEAVDIPKYDFKSYQNNATRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVDKERDIAMVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAETTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQHLIYEKLPHDIAERQVLLLDPILGTGNSAVEAISLLIKKGVPEANILFLNLISAPQGVHVVCKRFPRIKIVTSEIEMGLNKDFRVIPGMGEFGDRYFGTDDD >itb12g21180.t1 pep chromosome:ASM357664v1:12:23571029:23574982:1 gene:itb12g21180 transcript:itb12g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFCFTSISVLLLLFHPAPTASATRKTYIVHMKHGDKPSSFETHRDWYSARLQSISGDSASLLYSYSTAYHGFAAALDAEEAAALRRDDGVVGVYEDTVYGLHTTRTPEFLGLDSGFGRWVDRNMQVLNRAAQDVIIGVLDTGVWPESKSFSDEGLPAVPARWRGRCESGPDFDPKVHCNKKLIGARFFSRGFKTATGMVWSGESESPRDNEGHGTHTASTAAGSHVTNASLFGYAAGVARGMAPHARVATYKVCWKSGCFGSDILAGIEQAILDGVHVLSMSLGGGSVPYYRDTIAMGAFSAMERGIFVSCSAGNSGPIRSSVANVAPWIMTVGAGTIDRDFPAYATLGTGKRFTGVSLYSGKGLGKQPIGLVYSSGTDNSGNLCLRGSLDPKLVKGKVVLCDRGSNARVEKGLVVKEAGGVGMIIANTEASGEELVADSHTLPTVAVGMKTGDAIREYAKTAKNPTAVLSFGGTVVNVKPSPVIAAFSSRGPNTVTPQILKPDVIGPGVNILASWSMATGPTGLQEDTRRTPFNILSGTSMSCPHISGLAALLKAAHPKWSPSAIKSALMTTAYTLDSTNSTLRDAEGGLGSSPWAYGSGHVDPHKAINPGLVYDISTTQYITFLCSLDYDTEHIRAMVKRPNVTCDKKFRDPGQLNYPSFSVVFGGSRVVRYTRVLTNVGAAGTAYEVALEVPPQVWATVKPTRLEFKNVGERLRYTVTFVARKGVKAKNAFGSISWNSGENRVKSPVAFSWTEVYGD >itb06g20930.t1 pep chromosome:ASM357664v1:6:23701122:23704036:1 gene:itb06g20930 transcript:itb06g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLKTRHESDKMEAVATVAVNAGDVRLRASMTDATLVNGPSLNGIALAVEKPGFFIVDYNVPKKDFRFQFMRTVRVRDKPLNLNYIHFNGDNRTILDGTFVFDPSNKVSANHVLGSGNCKLKYTYVHKGLTTYEPIYDFAKDTWDFAISRRVYGDDVFKFLCQTSSKNLSLEWSRNSKLNGSFKILASLNLADGVKMPKLSAESTWDFEL >itb06g20930.t2 pep chromosome:ASM357664v1:6:23701122:23704036:1 gene:itb06g20930 transcript:itb06g20930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLKTRHESDKMEAVATVAVNAGDVRLRASMTDATLVNGPSLNGIALAVEKPGFFIVDYNVPKKDFRFQFMRTVRVRDKPLNLNYIHFNGDNRTILDGTFVFDPSNKVSANHVLGSGNCKLKYTYVHKGLTTYEPIYDFAKDTWDFAISRRVYGDDVFKFLCQTSSKNLSLEWSRNSKLNGSFKILASLNLADGVKMPKLSAESTWDFEL >itb06g20930.t3 pep chromosome:ASM357664v1:6:23701097:23704036:1 gene:itb06g20930 transcript:itb06g20930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLKTRHESDKMEAVATVAVNAGDVRLRASMTDATLVNGPSLNGIALAVEKPGFFIVDYNVPKKDFRFQFMRTVRVRDKPLNLNYIHFNGDNRTILDGTFVFDPSNKVSANHVLGSGNCKLKYTYVHKGLTTYEPIYDFAKDTWDFAISRRVYGDDVFKFLCQTSSKNLSLEWSRNSKLNGSFKILASLNLADGVKMPKLSAESTWDFEL >itb04g28540.t1 pep chromosome:ASM357664v1:4:32253046:32256238:1 gene:itb04g28540 transcript:itb04g28540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVEAADVDVEGNGGGGGAGNPHYRRRRRRRRRRRPSMAASSETTTDGSFRFSDSDSDQSWVSAAGGPYEECRCSAATERLRGSRRKSCSVSGALSDDEIDLESGELELKVHNDERDCRICHLSLSGSGGIDGGGGDGELEPSGGGAIELGCSCRGDLGTAHKHCAETWFKIKGNTTCEICGATAVNIAGEQATTEEIDTSGVASAAPVVVSENQNFWRGRRVMNFLLACMVFAFVISWLFHFNILP >itb04g03460.t1 pep chromosome:ASM357664v1:4:2129446:2132251:-1 gene:itb04g03460 transcript:itb04g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRICCFQNIIVLSGLLLLVCSKDHGNSANDLVEIINKNRTAQKLPELGSSPGLGCIALQYAKECLENCSASNTVTCRPSEDDFTEIFAPNCGVELPTFGTISGRIVGCQKDYLDPSEAFSHVLVHDRGTLSLLRNRTHTEVGVGIIKAHKHKGPYFWCVLFSSGKRNSTFILDDLGEGIEQKTGCYSGTNTPCSSAHKHGMHNTIWFTLLLHFITLSALVSPEITPRM >itb13g16230.t2 pep chromosome:ASM357664v1:13:23178766:23184355:1 gene:itb13g16230 transcript:itb13g16230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCIAATIPFSLHRRDPSALSTPRYCRLQFRNGLGVLPLSCGGGAFKPGENADFSLTREFNPDIPIEEAQTPPSSWYTDPSFYSLELTRVFYTGWQAVGYTEQVNESSQFFTGRLGNIEYVVCRDDSGKIQAFHNVCRHHASLLASGSGKKTCFVCPYHGWTYGLDGALLKATRLTGIQKFEVNEMGLIPLKVAIWGPFVLLNFDAAVSPEHESETVSVGNEWLGSSSEILIANGIDSSLSYVCRRVYTIECNWKVFCDNYLDGGYHVPYAHKSLASDLKLESYSTEIFERVSIQQCLGGTTEDEFDRLGSKATYAFVYPNFMINRSELYIYIFTNSSNANQLMNC >itb13g16230.t1 pep chromosome:ASM357664v1:13:23178766:23184355:1 gene:itb13g16230 transcript:itb13g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCIAATIPFSLHRRDPSALSTPRYCRLQFRNGLGVLPLSCGGGAFKPGENADFSLTREFNPDIPIEEAQTPPSSWYTDPSFYSLELTRVFYTGWQAVGYTEQVNESSQFFTGRLGNIEYVVCRDDSGKIQAFHNVCRHHASLLASGSGKKTCFVCPYHGWTYGLDGALLKATRLTGIQKFEVNEMGLIPLKVAIWGPFVLLNFDAAVSPEHESETVSVGNEWLGSSSEILIANGIDSSLSYVCRRVYTIECNWKVFCDNYLDGGYHVPYAHKSLASDLKLESYSTEIFERVSIQQCLGGTTEDEFDRLGSKATYAFVYPNFMINRYGPWMDTNLVVPLGPRKCQVIFDYFLDTSLKGDNEFIERSLEDSQRVQREDMALCEGVQRGLESPAYVCGRYVPSVERPMHHFHCLLHQNLCL >itb13g05020.t3 pep chromosome:ASM357664v1:13:5939951:5951909:-1 gene:itb13g05020 transcript:itb13g05020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVTAHNYNNKYTSRGSDLSGSLSSYEYELKRATSESTRSVPRNQVHILKIGLPTLQTGLSDDDLRESAYEVFLACMVFSGRIELHLSDSRRKEKTSRFLAGLKNKRGKRNPISDSPDRQSELIDIFRSQMQISEAMDSLIRQHLASFATGKTLGQVDVPQIVLGLLNGMLKSDFQNEKSYIQWKNRQANILEELLSSADYVNNEKQSVETLVERIRNSEDWDTRMSASERNRVLLAIRNIALTLSSMPPKYGIQGENYYWSAGYQLNVRVYEKLLLGLFDILEDGQLIEEAAEILMLLKSTWSMLGITQKLHNVMYAWVLFQQFVGTEEAVLLDYLILEMRKVLSAEDEDQKEENYIESLLCFTTCDGCQTRSNLIQSTIFSISLWCDSKLQDYHLHFTKKPTLLKGVMSMALAVGPYSFVSRDKNQFTDFDASDRTVHRKVKDYVESSIEAACRRVTDAIGLGCKIDRMQPLALLASELKLIAEKELTQFYPILQQFSPEAGIASALKFHKTFGERLEPFLNGVTCLSEGVREVLTAAALLEDCLFQLYSLGQKESGLHSPSIKGFEYYKIGEVARPIILDWIIAQHARILEWTGRAFDLEDWEPLSYQQKQAASAVEVFRIIEETVDQLFEMRIPVDITHLQALLSIIFHTLDAYLQKLVNQLVDKSNLYPPAPPLTRYKETTFPIIKRKLTEAVVLDNGVNDKLSHLTASKLCVRLNTLQYIQRQIATLEDGIRKSWSTIKAFRDQICCKFCL >itb13g05020.t1 pep chromosome:ASM357664v1:13:5937325:5951909:-1 gene:itb13g05020 transcript:itb13g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVTAHNYNNKYTSRGSDLSGSLSSYEYELKRATSESTRSVPRNQVHILKIGLPTLQTGLSDDDLRESAYEVFLACMVFSGRIELHLSDSRRKEKTSRFLAGLKNKRGKRNPISDSPDRQSELIDIFRSQMQISEAMDSLIRQHLASFATGKTLGQVDVPQIVLGLLNGMLKSDFQNEKSYIQWKNRQANILEELLSSADYVNNEKQSVETLVERIRNSEDWDTRMSASERNRVLLAIRNIALTLSSMPPKYGIQGENYYWSAGYQLNVRVYEKLLLGLFDILEDGQLIEEAAEILMLLKSTWSMLGITQKLHNVMYAWVLFQQFVGTEEAVLLDYLILEMRKVLSAEDEDQKEENYIESLLCFTTCDGCQTRSNLIQSTIFSISLWCDSKLQDYHLHFTKKPTLLKGVMSMALAVGPYSFVSRDKNQFTDFDASDRTVHRKVKDYVESSIEAACRRVTDAIGLGCKIDRMQPLALLASELKLIAEKELTQFYPILQQFSPEAGIASALKFHKTFGERLEPFLNGVTCLSEGVREVLTAAALLEDCLFQLYSLGQKESGLHSPSIKGFEYYKIGEVARPIILDWIIAQHARILEWTGRAFDLEDWEPLSYQQKQAASAVEVFRIIEETVDQLFEMRIPVDITHLQALLSIIFHTLDAYLQKLVNQLVDKSNLYPPAPPLTRYKETTFPIIKRKLTEAVVLDNGVNDKLSHLTASKLCVRLNTLQYIQRQIATLEDGIRKSWSTIKAFRDQICSEGNFPESSNGISDMSDESIDELFAATFDCIRDSATNAIRKTCDFLGARVVFWDLREPFVFHLYHNSVGGARLESILPQFDSILNNVCGLIDDGLRDLAVSSIYKSSLEGYIWVLLDGGPSRAFSNSDVPIMEEDLNMLKDLFVADGEGLLRSLVEKDSKITQQILSLFSLQANSVIRMLMTSSQHISVGHGAHKNGSRNVGDAQTLMRVLCHMKDTEASKFLKRHYNLPASSEYDDSTSEESGFNSPLMADLLKKSASLRWSDKGSSSFRSFKKKFHEATSAW >itb13g05020.t4 pep chromosome:ASM357664v1:13:5939951:5951909:-1 gene:itb13g05020 transcript:itb13g05020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLMQQYRRDRRKLLEFLLSSGLVKEFRTPSGPTTSLTNVNLDALSIDYVLECIQSSGVIDISIATKKYREELHHPITMQSRFGDSYFLLSGAETTGSPPRRMPPPVTAHNYNNKYTSRGSDLSGSLSSYEYELKRATSESTRSVPRNQVHILKIGLPTLQTGLSDDDLRESAYEVFLACMVFSGRIELHLSDSRRKEKTSRFLAGLKNKRGKRNPISDSPDRQSELIDIFRSQMQISEAMDSLIRQHLASFATGKTLGQVDVPQIVLGLLNGMLKSDFQNEKSYIQWKNRQANILEELLSSADYVNNEKQSVETLVERIRNSEDWDTRMSASERNRVLLAIRNIALTLSSMPPKYGIQGENYYWSAGYQLNVRVYEKLLLGLFDILEDGQLIEEAAEILMLLKSTWSMLGITQKLHNVMYAWVLFQQFVGTEEAVLLDYLILEMRKVLSAEDEDQKEENYIESLLCFTTCDGCQTRSNLIQSTIFSISLWCDSKLQDYHLHFTKKPTLLKGVMSMALAVGPYSFVSRDKNQFTDFDASDRTVHRKVKDYVESSIEAACRRVTDAIGLGCKIDRMQPLALLASELKLIAEKELTQFYPILQQFSPEAGIASALKFHKTFGERLEPFLNGVTCLSEGVREVLTAAALLEDCLFQLYSLGQKESGLHSPSIKGFEYYKIGEVARPIILDWIIAQHARILEWTGRAFDLEDWEPLSYQQKQAASAVEVFRIIEETVDQLFEMRIPVDITHLQALLSIIFHTLDAYLQKLVNQLVDKSNLYPPAPPLTRYKETTFPIIKRKLTEAVVLDNGVNDKLSHLTASKLCVRLNTLQYIQRQIATLEDGIRKSWSTIKAFRDQICCKFCL >itb13g05020.t2 pep chromosome:ASM357664v1:13:5937325:5951909:-1 gene:itb13g05020 transcript:itb13g05020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLMQQYRRDRRKLLEFLLSSGLVKEFRTPSGPTTSLTNVNLDALSIDYVLECIQSSGVIDISIATKKYREELHHPITMQSRFGDSYFLLSGAETTGSPPRRMPPPVTAHNYNNKYTSRGSDLSGSLSSYEYELKRATSESTRSVPRNQVHILKIGLPTLQTGLSDDDLRESAYEVFLACMVFSGRIELHLSDSRRKEKTSRFLAGLKNKRGKRNPISDSPDRQSELIDIFRSQMQISEAMDSLIRQHLASFATGKTLGQVDVPQIVLGLLNGMLKSDFQNEKSYIQWKNRQANILEELLSSADYVNNEKQSVETLVERIRNSEDWDTRMSASERNRVLLAIRNIALTLSSMPPKYGIQGENYYWSAGYQLNVRVYEKLLLGLFDILEDGQLIEEAAEILMLLKSTWSMLGITQKLHNVMYAWVLFQQFVGTEEAVLLDYLILEMRKVLSAEDEDQKEENYIESLLCFTTCDGCQTRSNLIQSTIFSISLWCDSKLQDYHLHFTKKPTLLKGVMSMALAVGPYSFVSRDKNQFTDFDASDRTVHRKVKDYVESSIEAACRRVTDAIGLGCKIDRMQPLALLASELKLIAEKELTQFYPILQQFSPEAGIASALKFHKTFGERLEPFLNGVTCLSEGVREVLTAAALLEDCLFQLYSLGQKESGLHSPSIKGFEYYKIGEVARPIILDWIIAQHARILEWTGRAFDLEDWEPLSYQQKQAASAVEVFRIIEETVDQLFEMRIPVDITHLQALLSIIFHTLDAYLQKLVNQLVDKSNLYPPAPPLTRYKETTFPIIKRKLTEAVVLDNGVNDKLSHLTASKLCVRLNTLQYIQRQIATLEDGIRKSWSTIKAFRDQICSEGNFPESSNGISDMSDESIDELFAATFDCIRDSATNAIRKTCDFLGARVVFWDLREPFVFHLYHNSVGGARLESILPQFDSILNNVCGLIDDGLRDLAVSSIYKSSLEGYIWVLLDGGPSRAFSNSDVPIMEEDLNMLKDLFVADGEGLLRSLVEKDSKITQQILSLFSLQANSVIRMLMTSSQHISVGHGAHKNGSRNVGDAQTLMRVLCHMKDTEASKFLKRHYNLPASSEYDDSTSEESGFNSPLMADLLKKSASLRWSDKGSSSFRSFKKKFHEATSAW >itb02g06440.t1 pep chromosome:ASM357664v1:2:4006352:4009806:-1 gene:itb02g06440 transcript:itb02g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSPPPFPLYETKFRNNTISRAVEIVILLLLLSLIAYRICNLHSHGYYYTWLLALVCESWFTFNWVLVVSTKWNQVETTTYPQRFLEWVENGSCEFPAVDMFVTTANPELEPPILTVNTVLSLLALEYPANKLACYVSDDSASPVTFYALVETSKFAKLWVPFCKKYNVAVRAPFRYFNGDHTFPPESSPEFRREWEKMNDEYANLCGKIENATQNPFLFNKFATFADLDRNDHSTIIKVIWENEESLTVGVPHLIYISREKRPKHQHNFKAGAMNVLTRVSGVMTNAPFMLNVDCDFYVNNPKVVLHAMCFMLGAKDERDTGFVQFPQTFYDGLKDDPYASQYKILYHCVGRGNAGIQGSFYDGTGCFHRRKVIYGLSPNDTVTAEKLTDDDLQKTYGKSKIFITLAATTLSGSLSSKTWYSSGLSHSLEAASQVANCGYEFGTTWGHNIGWRYGSVTEDILTGIGIQTMGWKTGFCVVEPAGFLGCTPSTGLGSLNQMKRWASGHTEILFSMKCPIFAALFGNLQFRQCLAYMFVMLWPVRPIFELCYALLPPYCLISNSHFLPKGNEVAIVIPASIFIIYNLYTLWEYMQENESIRAWWNNQRMWRIMSSASWLFGFLTVMFKRLGFSETVFEVTKKDQDHTQDEKSNTGFTFDHSPFFIPGTTILLVNMVALFIGLLRYAQGKAEWGVGEVICSLWVILMFWAFLKGLFGSGKDGIPWSTILKSGALGFLLLHCCNSVY >itb04g27080.t2 pep chromosome:ASM357664v1:4:31195922:31199074:-1 gene:itb04g27080 transcript:itb04g27080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKSIIDIKSWDGTISFGEFYATACAFAEQWKKFSSGLPQWSWVKCPKPHGVPATSVEGYLCMDGAILPRSTMEDNHECGDGGVEEMVCLAEEELIDSAVLVQQHKHERCHYDFHVVYSLSYRVPVLYFRAYWSDGQPLALGDVENDIPASTLRELNVSKWTFITQEEHPYLNRPWFTLHPCGTSEWMKLLFTSDASAVALGRVAVDRYLVSWFSVVAQVFGLKLPFEMFSNSCQP >itb04g27080.t1 pep chromosome:ASM357664v1:4:31195922:31199074:-1 gene:itb04g27080 transcript:itb04g27080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKSIIDIKSWDGTISFGEFYATACAFAEQWKKFSSGLPQWSWVKCPKPHGVPATSVEGYLCMDGAILPRSTMEDNHECGDGGVEEMVCLAEEELIDSAVLVQQHKHERCHYDFHVVYSLSYRVPVLYFRAYWSDGQPLALGDVENDIPASTLRELNVSKWTFITQEEHPYLNRPWFTLHPCGTSEWMKLLFTSDASAVALGRVAVDRYLVSWFSVVAQFHSSSTRNRDGTSCHPVDIPN >itb15g03650.t1 pep chromosome:ASM357664v1:15:2290096:2291928:-1 gene:itb15g03650 transcript:itb15g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSALSLKLCILLAVLGILACQATSRPLAEARAMKKHERWMARYGRVYADEVEKERRFKIFRENVERIEGFNRAGNRPYKLGINEFADQTNEEFRAARNGYKLPSLPRVAETTWFKYENVSDVPASMDWRKKGAVTPVKDQGQCGSCWAFSAIGATEGITQLTTKKLISLSEQELVDCDVKGEDQGCEGGYMEDAFKFILKNKGIALESTYPYTAADDKCNAKEAASAAARITGFEKVPKNDEEALRKAVANQPVSVSIDAGDFSFQFYKSGVFTGPCGTMLDHGVTAVGYGKDVNGTKYWLIKNSWGLSWGEEGYIRMERDIAAKHGLCGIALDSSYPTA >itb05g03620.t1 pep chromosome:ASM357664v1:5:3100407:3104452:-1 gene:itb05g03620 transcript:itb05g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTKSSVLTLAEKCKKILASNWQGNLNTIKADAKGSKQDIHSSKVKYFVKKGKPYIWVPEKDLHNVNTIIDERGSFSVTAPFPGPLANLFMSIKRLPTRVALMGDAVPLKDKKAELAADTLREITSLERKTIKDSSYSVSAILSTSCLSYTSRSDNLQELLDENNKYTVYKFNISSCMYIDSNGGNHEVVLEDIEASKADPLSPFCTSLIDGINRSEMRRRALILFCMTHLNENAKDAFLLSIDRKGIDVLGKVLGPVQGDGSCEYRWKEFRIALKKEAADVETFCHQLVEMEEEALKSMSSFTGL >itb07g21580.t1 pep chromosome:ASM357664v1:7:25954634:25955461:1 gene:itb07g21580 transcript:itb07g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLYILLTIISISESKKPLNSRTSLLAKMVAIAGLNLSSPGILAKFSDSPKAAQTTKFTTCLNRPWKRAGGAAAANFRSGRMSVVRPVGAAPDSLSEKVADSVKNAEETCAGDPTSGECVAAWDEVEELSAAASHARDKKKDSDPLEEFCKDNPESEECRTYDN >itb12g04750.t1 pep chromosome:ASM357664v1:12:3150771:3154635:1 gene:itb12g04750 transcript:itb12g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCSTFKPHLNVHHPSDLSFSSSLSPFPLQTHLKISRIPAPISPNFRISAVAVEPKELPENSPQRLLKELAQRRKATSPKKKAPPRRFILKPPLDDAKLAERFLNSPQLSLKSFPLLSSCLPSSKLNNYDKAWIGDYLVEAKQALGYPLEPSDNFGDDNPAKQFDTLLYLAFQHPFIEKNNARHVRAGHSRLGFLGEYVVELALCEFFLQRYPRESPGPMRERVYALIGKRFLPKWIKAASLQNLIFPCDDIDKLKRSEREPPCKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPRLRRQLEDVDYVSVEFEGNKLSWQDVATYKPPEDALFSHPRLFRACVPPGMHRFRGNIWDYDTRPQVMKTLGYPLAMTDRIPEITDARNIELGLGLQLAFMHPSKYKFEHPRFCYERLEYLGQKIQDLIMAERLLMKHLDAPGRWLMERHRRILMNKFCGKYLREKFLHRFIIYSEEVQDAYEHNRRLRNPATTSVQQAIHGVSYAVYGKPDVRRLMFEVFDFEQTQPKAV >itb04g03950.t1 pep chromosome:ASM357664v1:4:2463467:2465345:1 gene:itb04g03950 transcript:itb04g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose 5-phosphate/phosphate translocator, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17630) UniProtKB/Swiss-Prot;Acc:Q9LF61] MLSLNLLPSSNVTFSRPNLKYPINSSLLDPNLVRGNQKLRSLPSFRAAEAGFKLSHSNLQIPCSSSDFSRILRYPFGFSSRNGSQIVRGVSGSPEEAGPDAQIEAKPKKDFKLALIFGLWYFQNIVFNIYNKKVLNIFPFPWLLASFQLLCGSVWMLVLWYSKLQPCPKISKSFIVALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFSVVFSSFLGDTYPLKVWLSILPIVFGCSLAAITEVSFNFEGLWGAMISNVGFVLRNIYSKKSLQNFKEVDGLNLYGWITILSFAYLFPVAVFVEGSQWVAGYHKAIATIGTPSTFYIWVLVSGIFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIVSTVFVFRNPVRPLNALGSAIAILGTFLYSQATSKKPKGEAVEKKE >itb11g01810.t2 pep chromosome:ASM357664v1:11:895748:898676:-1 gene:itb11g01810 transcript:itb11g01810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MVCEHSERLAIAFGLINTKPGTPLQIMKNLRVCGDCHTATNSSPLFNSNSRITRYFKEGDVQAARKLFDELPLRNVVTWNCMISGYIRNGMIQQAQELFDSMPSRNVVSWTAMLSGYARNGRLEEAKMLFDSVGDKNLVCWNSMISGYVSNGRIAEGRALFDTMPARNTTSWAIMIEGYFQYGDVIEAEKLFNKVPEKSVSVYNVMLAGYAERGNVEDCFQLFVKMGTRDIASWTSMISCLLRAGKVVKARSLFDEMPEKDIVAWTAMMKGYLDNNQIQKAQELFDKMPNRDIVAWNSMISGYAQNGNLQEALDLFRKMPKRDVVSWNLILLAYVQQGDLKTANKFFEEMPRKDETSWNTMISGFQNEEVLFYYLHMLRNGLKPNQGTFPSVISMCGLLALQGCGRAVHASAIKSGLENDITILSSLISMYSRCGFIDDAACVFHKMKNRDTAAWNAMIVAQGYHGSATEALNLFHSMLRDGFEPDHITFMGLLAACAHSGMVDKGREYFNSMQKSWNVTPKPEHYACMVDLLARSGSLSEAFEFVKRLPAHVPTYARETLLSSCRVHGSFKLGDFVAKEFQTICPSTVGMSVLLSNTYASRGMWGSVAEVRINLRKSQLRKELACSWIEVNGCFSQFVYNDKSHPQSGEIYTELESLSVLIENVDAVMY >itb11g01810.t4 pep chromosome:ASM357664v1:11:898979:900857:-1 gene:itb11g01810 transcript:itb11g01810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MNHNGLFSMALEFYTQMRNSGIKPDNYTFPSVINSCGSLSDMEMGNSVHDHVLEMGFESDLYIGNALIDMYARMNDLGRAWKVFDGLPSKDLVSWNTLISAYSANAYWEEALEAFHQARLCGLVPDSFTVSSVLPACGGLVKVGEGQMVHGLVEKMGTKKDMNLSNGLLSMYYKFERPMDCQKLFGEMTDRDTVTWNIMICGYSQLGLYNESIKMFLEMGCEYKPDLMTLTSVLNACGHVGDLKLGRYIHDCIARNGYECDVTANNIIISTYAKCGDLMASREAFRSMKCRDSVSWNCLISGYADNGFHKEAIELFKMMKTEMLPDFVTYVTLLSVCTQLVNVGCAKELHCDIIKKGYESMTLLGNALVDIYAKCGQMEDSLKQFDNMNSRDTVTWNTIIAACSHSEDCSMGFRMVRRMRLEGMMPDVATILSTLPLCSMLAAKRQGKEMHGLILRFGFETDVPVGNALIEMYSKSGSLRNSVMVFESMKTRDIVTWTAMITAYGMYGEGKKALRVFEEMKQTGIVLDHIAFVAIIYACSHSVYGELCLVVVEQMETPKLQSVPYTISLN >itb11g01810.t3 pep chromosome:ASM357664v1:11:895748:900857:-1 gene:itb11g01810 transcript:itb11g01810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MNHNGLFSMALEFYTQMRNSGIKPDNYTFPSVINSCGSLSDMEMGNSVHDHVLEMGFESDLYIGNALIDMYARMNDLGRAWKVFDGLPSKDLVSWNTLISAYSANAYWEEALEAFHQARLCGLVPDSFTVSSVLPACGGLVKVGEGQMVHGLVEKMGTKKDMNLSNGLLSMYYKFERPMDCQKLFGEMTDRDTVTWNIMICGYSQLGLYNESIKMFLEMGCEYKPDLMTLTSVLNACGHVGDLKLGRYIHDCIARNGYECDVTANNIIISTYAKCGDLMASREAFRSMKCRDSVSWNCLISGYADNGFHKEAIELFKMMKTEMLPDFVTYVTLLSVCTQLVNVGCAKELHCDIIKKGYESMTLLGNALVDIYAKCGQMEDSLKQFDNMNSRDTVTWNTIIAACSHSEDCSMGFRMVRRMRLEGMMPDVATILSTLPLCSMLAAKRQGKEMHGLILRFGFETDVPVGNALIEMYSKSGSLRNSVMVFESMKTRDIVTWTAMITAYGMYGEGKKALRVFEEMKQTGIVLDHIAFVAIIYACSHSGLVQEGRACFDQMKRNHHIEPRIEHYACMVDLLSRSGLLAEAEKFILSMPLQPDASIWGALLSGCRANGDTKTAERALHHLSELNSDDPGYHVLASNMYATLGKWDKVRTIRKSLKARGLRKDPGRSWLEIHNKIYVFGTGDRSFEQYTEVNSLLRILYGLMAKEGYVVNLNSVLHDVDEDEKIDMVCEHSERLAIAFGLINTKPGTPLQIMKNLRVCGDCHTATNSSPLFNSNSRITRYFKEGDVQAARKLFDELPLRNVVTWNCMISGYIRNGMIQQAQELFDSMPSRNVVSWTAMLSGYARNGRLEEAKMLFDSVGDKNLVCWNSMISGYVSNGRIAEGRALFDTMPARNTTSWAIMIEGYFQYGDVIEAEKLFNKVPEKSVSVYNVMLAGYAERGNVEDCFQLFVKMGTRDIASWTSMISCLLRAGKVVKARSLFDEMPEKDIVAWTAMMKGYLDNNQIQKAQELFDKMPNRDIVAWNSMISGYAQNGNLQEALDLFRKMPKRDVVSWNLILLAYVQQGDLKTANKFFEEMPRKDETSWNTMISGFQNEEVLFYYLHMLRNGLKPNQGTFPSVISMCGLLALQGCGRAVHASAIKSGLENDITILSSLISMYSRCGFIDDAACVFHKMKNRDTAAWNAMIVAQGYHGSATEALNLFHSMLRDGFEPDHITFMGLLAACAHSGMVDKGREYFNSMQKSWNVTPKPEHYACMVDLLARSGSLSEAFEFVKRLPAHVPTYARETLLSSCRVHGSFKLGDFVAKEFQTICPSTVGMSVLLSNTYASRGMWGSVAEVRINLRKSQLRKELACSWIEVNGCFSQFVYNDKSHPQSGEIYTELESLSVLIENVDAVMY >itb11g01810.t1 pep chromosome:ASM357664v1:11:895741:897714:-1 gene:itb11g01810 transcript:itb11g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MISGYIRNGMIQQAQELFDSMPSRNVVSWTAMLSGYARNGRLEEAKMLFDSVGDKNLVCWNSMISGYVSNGRIAEGRALFDTMPARNTTSWAIMIEGYFQYGDVIEAEKLFNKVPEKSVSVYNVMLAGYAERGNVEDCFQLFVKMGTRDIASWTSMISCLLRAGKVVKARSLFDEMPEKDIVAWTAMMKGYLDNNQIQKAQELFDKMPNRDIVAWNSMISGYAQNGNLQEALDLFRKMPKRDVVSWNLILLAYVQQGDLKTANKFFEEMPRKDETSWNTMISGFQNEEVLFYYLHMLRNGLKPNQGTFPSVISMCGLLALQGCGRAVHASAIKSGLENDITILSSLISMYSRCGFIDDAACVFHKMKNRDTAAWNAMIVAQGYHGSATEALNLFHSMLRDGFEPDHITFMGLLAACAHSGMVDKGREYFNSMQKSWNVTPKPEHYACMVDLLARSGSLSEAFEFVKRLPAHVPTYARETLLSSCRVHGSFKLGDFVAKEFQTICPSTVGMSVLLSNTYASRGMWGSVAEVRINLRKSQLRKELACSWIEVNGCFSQFVYNDKSHPQSGEIYTELESLSVLIENVDAVMY >itb01g23490.t1 pep chromosome:ASM357664v1:1:29472859:29476756:1 gene:itb01g23490 transcript:itb01g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGEYNQKIDYVFKVVLIGDSAVGKSQLLGRFARNEFSFDSKATIGVEFQTKNLVMDHKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVFDLTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLAHLRAVPTEDAQEFAERENLFFMETSALGDTNVESAFMTVLTQIYTIISKKSLVATEDAKAGSLKGTRINVVPGEEPNSGASSLSLYQPGRAASLNHFLFPVHFTFINQGFDTMPEARDRLSRPVDVAEVYRRRVTGIGGGRDMDGGGIVLIVRDDADDLGVGSPFRWGATGLTGAVGAGSPAAAAAAAGGVGTPIGRSPFRARRGRGSSARVIGRENISSHTGRRPVRARGSALPSWYPRRPLQDITYITRAYQRRRERLREGEEGVEPGTPLLRDQTALPTVATPSAQLEHNPITPFPTLRSRLCPPPVDKLPKILNEDQNAQDWEFLTPEKKLLNSIDTVERVFKEELQKLQRTPSAKKAEREKRVKTLMSMR >itb10g15210.t2 pep chromosome:ASM357664v1:10:21511172:21515638:1 gene:itb10g15210 transcript:itb10g15210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAVEEHCTGLKIKKAIIANDFKVIDGVSSEDFEASILGKTIVAAHRKGKHMWIQLDSPPFPTFQFGMAGAVYIKGVAVTKYKRSAVSDEDEWPSRHSKFFIELENGLELSFTDMRRFARVRLLENPAAVPPISELGPDALLKPKTIEEFHKALSKKKIGIKTLLIDQNFISGIGNWIADEVLYQARIHPLQITSSMSKESCAALLKCINEVIEKAVEVGADSDQFPGNWIYHFREKKDNAFIDGRKIEFLVAGGRTSAIVPELQKLTGSQPAKASKKQDKKSGGKDTTAAADEDEPAKTTPKRKSNKSIDNDASSGGSDDENDFDASQKPSKKKAASTRSRKQSKKAK >itb10g15210.t1 pep chromosome:ASM357664v1:10:21511186:21515627:1 gene:itb10g15210 transcript:itb10g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MACLLRTSRLLFWGKPSSLLTARANTCGFSSILLLFLLSRMAGAVYIKGVAVTKYKRSAVSDEDEWPSRHSKFFIELENGLELSFTDMRRFARVRLLENPAAVPPISELGPDALLKPKTIEEFHKALSKKKIGIKTLLIDQNFISGIGNWIADEVLYQARIHPLQITSSMSKESCAALLKCINEVIEKAVEVGADSDQFPGNWIYHFREKKDNAFIDGRKIEFLVAGGRTSAIVPELQKLTGSQPAKASKKQDKKSGGKDTTAAADEDEPAKTTPKRKSNKSIDNDASSGGSDDENDFDASQKPSKKKAASTRSRKQSKKAK >itb11g19670.t1 pep chromosome:ASM357664v1:11:20412154:20412807:1 gene:itb11g19670 transcript:itb11g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFILFLFAILFSVLLCEASKSPDPVHDINCKILRPNTKYYIVPLHDRIGGGLDLALSRPRGDSCPQSVVQDKVNNSGLAVQFYPVNSKKSMIRESTDLNIAFPDAHAKCLKSNVWTIDGDVTWLEDTQYITAGGQIGNPGEQTLVNWFKIVKTPYAYKLSFCPDVCSSCIFECQDVSVKDVQGKKLLVLSEPDYLEIAFRGIIKYVLQFHFIES >itb06g22900.t2 pep chromosome:ASM357664v1:6:25073722:25076634:-1 gene:itb06g22900 transcript:itb06g22900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGYILKIIDEDGDSFARRAEMYYRKRPEILNFVEDTFRNYRALAERYDHISRELQNANRTIATVYPERVQLAMEDEDGESFLGGITGSPEEWSAYCKDLPAAPKSTLPRVLSMTRKNKQPSRMMSKKGLLKFNGIEDVKPFPSSGLSKEEALEEIDELQKQILGLQTEREFVTSSYESGVKRYWDIENQINEMQAKVNCLQDEFGIGTIIEDNDARSLMASTALKSCQETLQRLQGQHEKSNEEVAVEGKKVQKLTTKFDTLVETLTASQEHKHQKLPSEERAPPEPNMDSRKSEQQVRSTQQGRIDVESLREKIKEELQVSSATNVNMSELAEKVDELVEKIISLESAVQSQNSYVNRLKAEANELHEHLHRVDDEKESLIQDSENMNKKIRELEKELQRVLNLNHKVNDHSNHIQTRIAEASCRLDDLAGKLINVLPDEETNTTAASGQEQLQVHKDLSANNSNSTVSGVQMAEDNLKKDGNSEVIPSTSPKEIQAHDAIPAAQSTLAISGVKSTEEKQMKDGNPEQGTSALHSLMITTNFISLTQCTHAGIHQHSRTQVEKDEPVKEDDQPNWKELFLNGLDDRDKLLLDEYITALGNYRDVKNKLNEAEKKRRASQFQYVVQVKVLKNSNALKDAQIQSLQKKLQENHLESPKSSESTVKASLDTRDEPTDVRHRLTLSELLHDSILKETASQEKSKGYPAGEETTSQEEIKSPSTKDDEEDIIPEVLSVEEPQCFSAIEEKIRTDIDDLLEENIQFWHRFSNAFQLIRKYETSVEDLHTELQDAREKAKQEGNNKPMSLLSGVRPIYRHLREILSELTLWLETNAVHKDDLQNRLSSLSNIEDEITMLSKEGAEGEEPELGTYQAAKFQGEIQNMKQENKKVASQLEAGVELVHKLQDDINKTISKLDEEFGFKKDNSSSRSRIPLRSFLFGVKTKKQKPSIFACVSPALQRQYSDIFLPE >itb06g22900.t1 pep chromosome:ASM357664v1:6:25073722:25074458:-1 gene:itb06g22900 transcript:itb06g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKISSLRFSNAFQLIRKYETSVEDLHTELQDAREKAKQEGNNKPMSLLSGVRPIYRHLREILSELTLWLETNAVHKDDLQNRLSSLSNIEDEITMLSKEGAEGEEPELGTYQAAKFQGEIQNMKQENKKVASQLEAGVELVHKLQDDINKTISKLDEEFGFKKDNSSSRSRIPLRSFLFGVKTKKQKPSIFACVSPALQRQYSDIFLPE >itb09g04650.t1 pep chromosome:ASM357664v1:9:2600881:2602659:1 gene:itb09g04650 transcript:itb09g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFSSERPRSWNIYTSAEPSPSQTQVNQEGPWKNFGTSTNAISFGFVATAILISMFLIMAIFEHLFKPGTSFSSPEDHIDSNLESGIRQKLRSSQTIPTSYSSDFTVLMPGQQYPTFIAQPAPLPCQREGVYWPAHEHSFSYT >itb09g04650.t2 pep chromosome:ASM357664v1:9:2601628:2602659:1 gene:itb09g04650 transcript:itb09g04650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFSSERPRSWNIYTSAEPSPSQTQVNQEGPWKNFGTSTNAISFGFVATAILISMFLIMAIFEHLFKPGTSFSSPEDHIDSNLESGIRQKLRSSQTIPTSYSSDFTVLMPGQQYPTFIAQPAPLPCQREGVYWPAHEHSFSYT >itb06g17640.t1 pep chromosome:ASM357664v1:6:21434544:21436323:1 gene:itb06g17640 transcript:itb06g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:Projected from Arabidopsis thaliana (AT3G10520) UniProtKB/Swiss-Prot;Acc:O24521] MGFSEKQEALVKDSWQEMKHNIPQLSLRFFTLILEIAPAAKDMFSFLKDSDEVPHNNPKLKSHAIIVFKLTCDSAVQLREKGEVVVSGTTLKHLGAVHIQKGVIEPHFQVVKEALLRTVEEAMGEKWSEEMKEAWAEAYDQLAAAIKAEMNAQTHLSSQPSAPA >itb03g03530.t1 pep chromosome:ASM357664v1:3:2076567:2076998:1 gene:itb03g03530 transcript:itb03g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVSIEALAMAGADYLDCNMMFDEEDALDLIPPHLLADDDEQEDVEGEEFSWIIKRSIPAMMSLQNTDVHYQKPKSDDDEMVNEEDLITSEMNIIGRTDQEEVVVDLSKLLISFTTFLKREKLEAAANIPASQDKGEISWS >itb07g01670.t2 pep chromosome:ASM357664v1:7:1009978:1014888:1 gene:itb07g01670 transcript:itb07g01670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYITIQRVLALFKSRNASRWSSRPSLKLEAKVLHQKIVTLGLQRSIPLSKGLIDLYISCQDFQSAKLIFQNLENPLDITLWNGLMAAYTGNSVFNEALELFQKLLLLSPYLKPDGYTYPSVLKACGGLGGRLECGRTVHASVVKTGFVCDVVVASSVVGMYGRGGDFESAAQVFDEMSVRDVACWNTLISCYYQRGECAKTLELFGKMKESGYMPNSVSYTAAISSCARLLDLGRGERIYGELVRNGIVLDGFICAALVDMYGKCGHIEKAKEVFECIPHKSLVNWNAMIAGYSLRGDSKSCIELLLRMNEEDVQPSLTTLSSLLMACSRSAQLQHGKFVHAYIIRRGIEPDMFVQCSLVDLYFKCGRVEYAKRIFSTTPKGDVTAWNTMISGLVSAGNYLEALDIYSDMKMAGIKYNAITFTSILVACSQLAALEQGKEVHKRIIESKLDSSEIVMGALLDMYAKCGAVSEAYEVFHRLPERDIVSWTSMIVAYGSHGQASEALRLFHEMLQSNVKPDKVTFLAVISACSHAGLVDEGCHYFNLMVTDYGIKPSIEDYSCLLDLLGRAGRLNEAYAILQRSPYMREDVSLLSTLFSACHMHREEEIGEEVAKLLIQKGSDDPSTYVILANMFATRQKWNKALDIRMKMKELGLRKNPGCSWIEVDKRIHTFLAEDQSFPEAETVYECLNKINYHIYKYEMLDVM >itb07g01670.t1 pep chromosome:ASM357664v1:7:1009978:1014888:1 gene:itb07g01670 transcript:itb07g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITIQRVLALFKSRNASRWSSRPSLKLEAKVLHQKIVTLGLQRSIPLSKGLIDLYISCQDFQSAKLIFQNLENPLDITLWNGLMAAYTGNSVFNEALELFQKLLLLSPYLKPDGYTYPSVLKACGGLGGRLECGRTVHASVVKTGFVCDVVVASSVVGMYGRGGDFESAAQVFDEMSVRDVACWNTLISCYYQRGECAKTLELFGKMKESGYMPNSVSYTAAISSCARLLDLGRGERIYGELVRNGIVLDGFICAALVDMYGKCGHIEKAKEVFECIPHKSLVNWNAMIAGYSLRGDSKSCIELLLRMNEEDVQPSLTTLSSLLMACSRSAQLQHGKFVHAYIIRRGIEPDMFVQCSLVDLYFKCGRVEYAKRIFSTTPKGDVTAWNTMISGLVSAGNYLEALDIYSDMKMAGIKYNAITFTSILVACSQLAALEQGKEVHKRIIESKLDSSEIVMGALLDMYAKCGAVSEAYEVFHRLPERDIVSWTSMIVAYGSHGQASEALRLFHEMLQSNVKPDKVTFLAVISACSHAGLVDEGCHYFNLMVTDYGIKPSIEDYSCLLDLLGRAGRLNEAYAILQRSPYMREDVSLLSTLFSACHMHREEEIGEEVAKLLIQKGSDDPSTYVILANMFATRQKWNKALDIRMKMKELGLRKNPGCSWIEVDKRIHTFLAEDQSFPEAETVYECLNKINYHIYKYEMLDVM >itb12g23560.t1 pep chromosome:ASM357664v1:12:25244902:25245706:1 gene:itb12g23560 transcript:itb12g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKNTIDPVKFVCVLVSIVFTFILFISILKIHELPVKKNNAILSWGGKKIKSTPKDAKVGKFGGMVIEMLPEDLAFTLFLPSEKAFERDLGLRLNESLAEAKVDDTNAVLTRVLGFSALPRIIYSENVVSGEEISYDSLSGFTLLIVKDSKGSLVVNGVRSEMVDLRRGKIVVHVMDGVLMDAEFEQSVRPDFSGGD >itb06g24140.t1 pep chromosome:ASM357664v1:6:25821093:25826292:-1 gene:itb06g24140 transcript:itb06g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKSLKKAVVPATLFEHPSPGSLQSTRLALHVNGTDGDSCWVYIASGCRIYKLLISTKNSSVGLGKEDLLIPEQTEVLDSAVVSRCPHRSEIQSIVLAETESTGCSILGSVDSYGHVIVSKLETCGKDVDRSTFSISPRDSGVGEGSWAGLCFNPTQWSMAAVAHSFGKSVDVYDQDIHLRTLRTLRYPTSLTFMQNLSGQGESSILAITEGCQLSIWDLRTKENGGCVHRICGTVGDIWYAVCNSSNGTIAVGGADRTVSVYDPRRWATLSRWLNCSKYEITRLAFSSVDSDYIYVQGVDYEVLCGQWRDGKKAFSFRGDSNWLGFNKCSDRDLVGGWCDSGSLFVADVVCRKSKSHVENLDSSNGNGLLIS >itb09g07940.t2 pep chromosome:ASM357664v1:9:4752819:4759919:1 gene:itb09g07940 transcript:itb09g07940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRYKRSRPRKKAEKGAQRVEFSDDDNHFVVSSDSDYHTSEDDDIIDISEENEMGGFPFNHLVNDEVGVSDDDLLYKVPKRRRKVGSTRRGIEMDTGFKEEKPQNEEVVDGSKFGVGGPHTTSPDFIALINRINERKKKRIKKRKDRPILMWEVWDDENDQWLVENFTTDVEIDNRNEVMSETAEAPSELIMPLLRYQKEWLAWALKQEESASKGGILADEMGMGKTVQAIALVLAKRELKRAIGEHCLPSSSPSTSQGLPAMKGTLVICPVVAVGQWVSEIERFTLKGSNKVLVYHGKNREKCLDRLSEYDFVITTYSIVEFDYRKYVMPPKQRCEWCGKALYEKKMAQHRKYYCGPDATRTAKQSKQQKKKPKYGVKLSMEKTESNEGKAQQNNGSEGNPKKKGRKKGVKSYTIDNECSNDGPFENIANEEQVISGKSILHSVKWDRIILDEAHYIKERRSNTTKAILALESSYKWALSGTPLQNRVGELYSLVRFLQIIPYSYYFCKDCDCRALDYCSSTECPHCPHKSVRHFCWWNRYIASPIQAEGHYGNGRDAMILLKNKILKSVLLRRTKKGRAADLALPPRIVTLRRDSLDVKEEDYYTSLYNESQAQFNTYVREGTVSNNYAHIFDLLTRLRQAVDHPYLVEYSVSALARSENAVDATNVGQPCGICHDSVEEPVVTSCAHTFCRTCLEEFSSGMAKVSCPTCSKPLTTGLLNGSGNRDSKNRTTIKGFRASSILNRIVLDEFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTEFLDLIHYSLIKSGVNCVMLMGSMTMAARESAITKFTDDPECRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIDNTIEERILKLQEKKELVFEGTIGGCSDALGKLTEGDLKFLFVT >itb09g07940.t1 pep chromosome:ASM357664v1:9:4752819:4759919:1 gene:itb09g07940 transcript:itb09g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRYKRSRPRKKAAEKGAQRVEFSDDDNHFVVSSDSDYHTSEDDDIIDISEENEMGGFPFNHLVNDEVGVSDDDLLYKVPKRRRKVGSTRRGIEMDTGFKEEKPQNEEVVDGSKFGVGGPHTTSPDFIALINRINERKKKRIKKRKDRPILMWEVWDDENDQWLVENFTTDVEIDNRNEVMSETAEAPSELIMPLLRYQKEWLAWALKQEESASKGGILADEMGMGKTVQAIALVLAKRELKRAIGEHCLPSSSPSTSQGLPAMKGTLVICPVVAVGQWVSEIERFTLKGSNKVLVYHGKNREKCLDRLSEYDFVITTYSIVEFDYRKYVMPPKQRCEWCGKALYEKKMAQHRKYYCGPDATRTAKQSKQQKKKPKYGVKLSMEKTESNEGKAQQNNGSEGNPKKKGRKKGVKSYTIDNECSNDGPFENIANEEQVISGKSILHSVKWDRIILDEAHYIKERRSNTTKAILALESSYKWALSGTPLQNRVGELYSLVRFLQIIPYSYYFCKDCDCRALDYCSSTECPHCPHKSVRHFCWWNRYIASPIQAEGHYGNGRDAMILLKNKILKSVLLRRTKKGRAADLALPPRIVTLRRDSLDVKEEDYYTSLYNESQAQFNTYVREGTVSNNYAHIFDLLTRLRQAVDHPYLVEYSVSALARSENAVDATNVGQPCGICHDSVEEPVVTSCAHTFCRTCLEEFSSGMAKVSCPTCSKPLTTGLLNGSGNRDSKNRTTIKGFRASSILNRIVLDEFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTEFLDLIHYSLIKSGVNCVMLMGSMTMAARESAITKFTDDPECRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIDNTIEERILKLQEKKELVFEGTIGGCSDALGKLTEGDLKFLFVT >itb04g07280.t1 pep chromosome:ASM357664v1:4:4892216:4896113:-1 gene:itb04g07280 transcript:itb04g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSNPPLSHGYAELLRASPFKSHAAAQAEERRGGQELPLIDLGGLRGGGERERAECAAAIARASSEWGFFQVVNHGVRGEVLERMRGEIVKVFGAPFEKKAVSGLLNNSYRWGNPTATSPTHFNWSEAFHIPLTKISEENCYGDFTSLREVMIEYASAMQELSKLLGGVLVEHLGHRKNLIDQMCDENTCFLRLNHYPPCQISSEVFGLMPHTDSDFLTILHQDEVGGLQLMKDSRWVAVKPIKNALIVNIGDLFQAWSNNIYKSVEHKVMPNGKVDRFSIAYFLCPSYDSVIGSCQEPSIYRSFTFREYRNQIQEDVKLIGHKIGLSKFMY >itb04g07280.t2 pep chromosome:ASM357664v1:4:4892216:4896113:-1 gene:itb04g07280 transcript:itb04g07280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSNPPLSHGYAELLRASPFKSHAAAQAEERRGGQELPLIDLGGLRGGGERERAECAAAIARASSEWGFFQVVNHGVRGEVLERMRGEIVKVFGAPFEKKAVSGLLNNSYRWGNPTATSPTHFNWSEAFHIPLTKISEENCYGDFTSLREVMIEYASAMQELSKLLGGVLVEHLGHRKNLIDQMCDENTCFLRLNHYPPCQISSEVFGLMPHTDSDFLTILHQDEVGGLQLMKDSRWVAVKPIKNALIVNIGDLFQVISLMMLDNQISYVLFMLHENFQ >itb09g18140.t1 pep chromosome:ASM357664v1:9:13697365:13700371:1 gene:itb09g18140 transcript:itb09g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCVHYVFALGLLASFFNSVHCIVTPPNDEPVMFVFGDSLYDAGNNNYINTTNDFKANFRPYGETSFPDPTGRFADGLLIPDHIAKFANKPEFVKPYLAIKKQNGRFSNGVNFASAGAGSLDGTNAGLVISLKPQLGYFKKVSQQLKQEMGIIKSKRLLSNAVYMFSIGSNDYSTFITNSTLLNSYTPNQYVDMVVGNMSTVFQEIYKEGGRKFAILSVGAIGCVPSARAANFQPGSSECLEILQTLAKSHNEALQKLLKNLATKLRGFKYSYFDYFQSAIDTISNPSANGFTEVKDACCGSGKFRGDPSCGGQRGMTVFELCPDVNNFLFWDYNHPTEKANKLSATLMWDTSPYVTPNNVKSFFQS >itb05g25630.t1 pep chromosome:ASM357664v1:5:29938997:29939800:-1 gene:itb05g25630 transcript:itb05g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTPTQQLQVHPQYHHGFEAGVKAGHYNNPSHHAAGPSATQILAIITLLPVCGTLLGLAGITLAGTLIGLMVAIPLFLLFSPVIVPAVIAIGLAVTGFLTSGALGLSGLSSLSWLLDYLRQGKSVPEQMVWTKRRMQDAVVQLGQKTKDVGQTIQNTAQDTGKDQGTWTTA >itb02g24260.t1 pep chromosome:ASM357664v1:2:24615694:24616437:-1 gene:itb02g24260 transcript:itb02g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF084 [Source:Projected from Arabidopsis thaliana (AT1G80580) UniProtKB/Swiss-Prot;Acc:Q9M8M5] MSSSSRFHMFQTTQNLDSLLLKQHQYSYFDDMQSFHSISPFPVAKQVDPPPQSAGISGDFSVCEEVKGESPVLEGIAAVVGERVLFGRPENLRDEEIAAAAAAGVEKRSGEEKQRSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTPEEAARAYDVAARWLRGSKARTNFEIPPVLPPSSSSSSSSETMKKRKLSNGGDEEVKNKIKKNKCAVVTSAAQLFSSFQNTAIAKGNNLPLELDLKLSH >itb05g07860.t1 pep chromosome:ASM357664v1:5:10346983:10347996:-1 gene:itb05g07860 transcript:itb05g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWYKQCWAKEVPWKKSFLAWRVFKKKIPADDILRRFGYQVVSRCSCCAQPGYGSLQHMFCTGRTATHVWEFFAKSLGFRIQMRGLRQVCYQWWKEKPKNRMIRFLAHKLPIVILWELWVHYNPCKYGGETPSVARVIFKVTRDMVDCIMRKWPSWEPFPPNWSYILKRAEGFKCTKIVKSSSWCKPAKGWVRINIAKKEEGCSFFIRNAKGEFGLAGVYAGEGDLIGQVLGDCWEWCKKMGLGQIEVELEERQEREVLYGLRVEWRKCNKEVNCVAHWLLEKCLGQNIIFKKVTALPRGLLLLLSLEGFPHFSSLPGVDYVPIIEKEQVKQMMHLV >itb04g11940.t3 pep chromosome:ASM357664v1:4:11552971:11559691:-1 gene:itb04g11940 transcript:itb04g11940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQQATVTAALPTVSSPPPPPESNSSTTDAPPKQVAMALERLGQAGRLIADIRLGADRLLEALFVAAHPQHSAKPANLILKEEASMRQHLQDLRTIGRQLEDSGVLTDALRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDTCEEGSPMKKICNPVVSDQDDISGLRTVSDILTHLDKVIPNMKAFTYQRLDWLKRASSLPSSVNQNSVESLKDHNFHIRNNLRSGSNDNAAREKITVIELLIPSVFRAILSVHPAGSVYPDAVAFFSPDEGGSYIHARGLSVYEVYRHITEHAGIALQHFTGVNAETALCSLLVCSFHCLILGSLHFFWD >itb04g11940.t2 pep chromosome:ASM357664v1:4:11552946:11559703:-1 gene:itb04g11940 transcript:itb04g11940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQQATVTAALPTVSSPPPPPESNSSTTDAPPKQVAMALERLGQAGRLIADIRLGADRLLEALFVAAHPQHSAKPANLILKEEASMRQHLQDLRTIGRQLEDSGVLTDALRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDTCEEGSPMKKICNPVVSDQDDISGLRTVSDILTHLDKVIPNMKAFTYQRLDWLKRASSLPSSVNQNSVESLKDHNFHIRNNLRSGSNDNAAREKITVIELLIPSVFRAILSVHPAGSVYPDAVAFFSPDEGGSYIHARGLSVYEVYRHITEHAGIALQHFTGVNAETALCSLLVCSFHCLILGSLHFFWD >itb04g11940.t4 pep chromosome:ASM357664v1:4:11552971:11556595:-1 gene:itb04g11940 transcript:itb04g11940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDTCEEGSPMKKICNPVVSDQDDISGLRTVSDILTHLDKVIPNMKAFTYQRLDWLKRASSLPSSVNQNSVESLKDHNFHIRNNLRSGSNDNAAREKITVIELLIPSVFRAILSVHPAGSVYPDAVAFFSPDEGGSYIHARGLSVYEVYRHITEHAGIALQHFTGVNAETALCSLLLWIYSYQSLFTKVCSKCGRLLLMDKQSALLLPPVNRSYRNFSAAKVLSKTSSTEDKNGDSNRAFHISCFSEET >itb04g11940.t1 pep chromosome:ASM357664v1:4:11552946:11559703:-1 gene:itb04g11940 transcript:itb04g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MQQATVTAALPTVSSPPPPPESNSSTTDAPPKQVAMALERLGQAGRLIADIRLGADRLLEALFVAAHPQHSAKPANLILKEEASMRQHLQDLRTIGRQLEDSGVLTDALRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDTCEEGSPMKKICNPVVSDQDDISGLRTVSDILTHLDKVIPNMKAFTYQRLDWLKRASSLPSSVNQNSVESLKDHNFHIRNNLRSGSNDNAAREKITVIELLIPSVFRAILSVHPAGSVYPDAVAFFSPDEGGSYIHARGLSVYEVYRHITEHAGIALQHFTGVNAETALCSLLLWIYSYQSLFTKVCSKCGRLLLMDKQSALLLPPVNRSYRNFSAAKVLSKTSSTEDKNGDSNRAFHISCFSEET >itb01g15370.t1 pep chromosome:ASM357664v1:1:17801611:17803803:1 gene:itb01g15370 transcript:itb01g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPVEVIGNILSRLGAARDVVVASATCRKWREAWRNHLHTLAFNSNDWSVYHELTRSRLEIIITQTIFQTSGLECLSIIMDNVDEFSASPVIAWLMYTRETLRQLHFNVKTTPIINILEKCGRQKLEVLTLAHNTVTGVEPSYQKFPCLRSLSLSHVGISALDLSLLLTICPKIEILNLVSLDIVMSDPQATMELSSNSLSDIYVEAINLDKITLEADSLEKLHLKDCTLEVFELVSKGALRFLKIDDVSVIHLDIGESTENLDTVDVSNFTIMWSKFHHMISKSSKLRRLRLWGVVFDDEDEVVDIETISSCFPQLSHLSLNYELRDAALQYGMQGSFQFENVAVLELGWTVINDLFSHWVAGLLERCPNLRKLVIYGVVSETKTHDECHILANFTSFIVRLMRKYLHVEVQFEYE >itb06g19910.t1 pep chromosome:ASM357664v1:6:23070775:23071621:-1 gene:itb06g19910 transcript:itb06g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASIPFSSQFSGAGVSSARSRRSGVSFRHPISTVSASCVTAERTSSVAASLYEVLGIQTGATCQEIKAAYRRLARVLHPDVASSFRPESSDEDFIRVHAAYATLSDPQKRANYDRTLFRPGQGRPPVEFSGVNSRRNWETDQCW >itb03g02920.t1 pep chromosome:ASM357664v1:3:1655358:1658188:1 gene:itb03g02920 transcript:itb03g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTFQIILVTFASSLCALIFLVHHYFQSRRRASEIKGRRSLPQPDGALPVIGHLLCFGGGKIMHKVLGAMADKHGPEAMAIKLGSHKALVISSWEMARECFTTHDKAFSDRPRIAASKLLGYDYAFFGLGPYGEYWRQMRKIVTLHLLSNRQVEMLKPIRASELEISIRELYELWVSNGNPKRGVMVDLQPWFVSLIRNISVRMIGGKRFTGDSIDCNKEVIREFFNLFGVFVLSDYFPFLEWLDFQGHKKSMKRIAKELDNLVGGWLEEHKKRRMLEEGRGAQDFMDVMLEIMEDAHISGFDADTINKATCLNMFVAGSDIIAVTLTWALSLLLNNRTMLDKVQAELDTQIGRDRIVDESDIKNLVFLQAVIKETLRLYPPSPVNGLRSSRKNCTLSHGYHVPAGTKLLVNVWKIHRDESIWPEPNEFNPERFLTTHKDIDVRGQHFELIPFGSGRRSCPRIQLSLQTLHISLATLLHCFDFTLPSDEKIDMSESVGFINVKATPLKVYLTPPLPATLFGH >itb13g17580.t1 pep chromosome:ASM357664v1:13:24558340:24562423:-1 gene:itb13g17580 transcript:itb13g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLHHLRPTTSSLDCIESSISASVCAAGDSAAYQRNSVYGDDIVIVAAYRTPLCKSKRGGFKDTYPDDLLASVLKALVEKTNLNPSEVGDIVVGTVLAPGSIRASECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTVNTMAWDASVNPRVSTLQQAQNCLLPMGVTSENVAHRFGVTRQEQDQAAVESHRKAAAATAAGKFKDEIIPVTTKIVNPKTGDETTVTISADDGIRPNANIGDLAKLKPVFKKDGTTTAGNSSQVTDGAGAVLLMKRSLAIQKGLPILGVFRTFAAVGVDPAVMGIGPAVAIPAAVKSAGLELNDIDLFEINEAFASQYIYCQKKLGLDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRYGVVSMCIGTGMGAAGVFERGDACDELTNARKVETHGYLSKDAR >itb10g02300.t1 pep chromosome:ASM357664v1:10:1942088:1944022:-1 gene:itb10g02300 transcript:itb10g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLHFKLVALAALFLLGAWESLATARTFEKTSLFLSHQRWMTLHGRTYKDEAEKEKRFYIFKENVKFIEDFNKAGNRSYKLGTNKFTDLTKEEFRATLLNKEKSLRPKTLQPAFFLNESLDQAPNSLDWREQGAVTDIKDQGNCGCCWAFAVVAAVEGLTKIKTGQLISLSEQQLLDCDLTSDGCGGGIRTEAFQFIKDNGGLVTESDYPYEGAQESCNTQNLGTPAATISGYQEVAPTESALLAAVTNQPVSVGITIGGDVFQHYKTGVFTGDEGDCGSGYRHAVTIIGYGTSDDGEDYWLVKNSWGNSWGESGYMKMARGINEDGVCQVNTRASYPTA >itb03g00580.t2 pep chromosome:ASM357664v1:3:282209:286066:-1 gene:itb03g00580 transcript:itb03g00580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFRRAMARASSALSSSSSSLARKSPRLAITSSPKLFKEVFFRNRLSFPTRLPNASIRCFASTASGTAPAETKDKIRVQNPIVEMDGDEMTRVIWTMIKEKLIFPHLELDIKYYDLGVLNRDATDDKVTIESAEATLKYNVAIKCATITPDETRVKEFGLKSMWKSPNGTIRNILNGTVFREPILCKNIPRIIPGWKKPICIGRHAFGDQYRATDTIIKGAGKLKMVFEPENGGAPEELDVYDFKGPGVALAMYNVDQSIHAFAESSMALAFSKKWPLYLSTKNTILKKYDGRFKDIFEEVYQEKWKAKFDEHSIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWSRGLGHRAELDENKNLMEFVQKLEAACIETVESGKMTKDLALLIHGPK >itb03g00580.t1 pep chromosome:ASM357664v1:3:280698:286066:-1 gene:itb03g00580 transcript:itb03g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFRRAMARASSALSSSSSSLARKSPRLAITSSPKLFKEVFFRNRLSFPTRLPNASIRCFASTASGTAPAETKDKIRVQNPIVEMDGDEMTRVIWTMIKEKLIFPHLELDIKYYDLGVLNRDATDDKVTIESAEATLKYNVAIKCATITPDETRVKEFGLKSMWKSPNGTIRNILNGTVFREPILCKNIPRIIPGWKKPICIGRHAFGDQYRATDTIIKGAGKLKMVFEPENGGAPEELDVYDFKGPGVALAMYNVDQSIHAFAESSMALAFSKKWPLYLSTKNTILKKYDGRFKDIFEEVYQEKWKAKFDEHSIWYEHRLIDDMVAYAVKSDGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWSRGLGHRAELDENKNLMEFVQKLEAACIETVESGKMTKDLALLIHGPKVSRELYLNTEEFIDAVAQNLRSKISAPALT >itb08g03910.t1 pep chromosome:ASM357664v1:8:3145491:3147907:-1 gene:itb08g03910 transcript:itb08g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETKPDIPCCGPKFILNMFACVGLVVFAGFTAGLTLGLMSLGLVDLEVLSKSGRPRDRTHATKILPVVKRQHLLLCTLLIANGLAMESLPIFLDSLLPSWAAILVSITLVLMFAEILPHAIIPHYGLKVGAAAAPLVHLLLWIFFPVAYPISKVLDWMLGKGHAVLLRRAELKTFVDFHSNEAGKGGDLTRNETTIIAGALELTERTAKDVMTPISNTFCLDLDGTLNSETMSSIVTMGHSRVPVYHRYPTNIVGLILVKNLLAILPEDCIAVRKMMVGKIPRVSEDMPLYDILKEFQKGHSHMAVVYRDLSESNKPWTNAKEAENAESSAIREFTADQQVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMNTSQEESLLPAGSCSSMRLR >itb03g22560.t1 pep chromosome:ASM357664v1:3:20623324:20626769:1 gene:itb03g22560 transcript:itb03g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQKLTDYERRRLENIKRNEEMLAALKIQSRLSDLSAATAAAKRPRVQNKSYKLSPEKKQKSEAPIVLRRSLRTQGKPPDASVADGLKDDFDESIKKNKIGLQSSPKKLPTEPVPINMKDAFSGENNGSNQQLIETIKGLSRKSQLDENADQEPIFCDLKKKRRPSGSVDIESLRLEPENIARVVPGRILNVKFFPTTGMRMVVVGNKFGNIGFWNADAKEEDGDGIYLYQPHSAPVSGIVIESFSMPKMYTCCYDGFIRLMDIEKELFDSLYLSDYSIYALSQRPDDMNSLYYAEGNGKLGIWDLRAGKSSSSWSLHEDRINSIDFNLEDNFILATSSTDGTACIWDMRNAGSKKPKSLRTVHHKRAVHSAYFSQSGRFLATTSFDDKIGLLSGANYEDVSMIGHYNQTGRWISSFRAIWGWDDSYIFIGNMRRGVDVISTDKQRIVSTLQSEHMSAIQTRFDAHQYEVGMLAGATAGGQVYLWTPS >itb04g18260.t2 pep chromosome:ASM357664v1:4:21678479:21682568:-1 gene:itb04g18260 transcript:itb04g18260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHISVSVNGINMHVAQAGQGPVVLFLHGFPECWYTWRHQMSFIASQGYRAVAPDLRGYGDTTGAPTDDPSKFTSLHVVGDLVALISAVAAEDEEKVFVVGHDWGAMMAWALCLYRPDKVKALVNMSVCFTPRNPKSKPLQTLRAVYGDDYYICRFQKNSVNTQINKKLHSIGRKSEGACNALSCCQCRFDIWHWLLCKYKEIKLRFLFDWQEGGEIEGEFAVLGTKKVLQCFLTYDSPNPLYLPKGKLFEGSTHELPSWLSENDVDYYTSKFEKTGFSGGMNYYRALDMNWELSAAWTGAKVMVPVKFIVGDLDITYNAPGAKEYIHKGGLKRDVPLLEEVVVLEGVGHFIHEEKPHEINTHILNFLHNFSS >itb04g18260.t1 pep chromosome:ASM357664v1:4:21678479:21682568:-1 gene:itb04g18260 transcript:itb04g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHISVSVNGINMHVAQAGQGPVVLFLHGFPECWYTWRHQMSFIASQGYRAVAPDLRGYGDTTGAPTDDPSKFTSLHVVGDLVALISAVAAEDEEKVFVVGHDWGAMMAWALCLYRPDKVKALVNMSVCFTPRNPKSKPLQTLRAVYGDDYYICRFQEGGEIEGEFAVLGTKKVLQCFLTYDSPNPLYLPKGKLFEGSTHELPSWLSENDVDYYTSKFEKTGFSGGMNYYRALDMNWELSAAWTGAKVMVPVKFIVGDLDITYNAPGAKEYIHKGGLKRDVPLLEEVVVLEGVGHFIHEEKPHEINTHILNFLHNFSS >itb01g35360.t1 pep chromosome:ASM357664v1:1:37611327:37613770:1 gene:itb01g35360 transcript:itb01g35360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPPPRICLQRRTVCFVVGVLLLFASAGEAKMKLPDGAVVPAIIGLGDSIIDQGMNNYIPTVVKCDFPPYGQDFTAGGMPTGRFSNGKTPPDLIAEELGIKELVPAYLDPNLQPEDLRTGLSFASGACGYDPETAEITSVIPLSQQLNYLKEYLGKLRSAYGENETKFITDNAVFFVVAGSDDLANTYFTVGLRRLQYDINSYTDLMVEGASNFIQELYEIGARRIGVFGLPPIGCVPSQRTLGGGPGRSCSEKANEAAKLVNSKLSAQIDSLSKTLPNSKLVLIDIYEPALRLIQNPKQYGFEVVDRGCCGTGKIEVSILCNKFSETCPDHDKYLFWDSYHPTEKGYRILVNQILQKYINRLL >itb10g23800.t1 pep chromosome:ASM357664v1:10:27957793:27958143:1 gene:itb10g23800 transcript:itb10g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKAREFYAENLTGCTGGGVFGARRDFSAERRRGEAEEGDDMAVLLRAAFPEMDVNRSLLVRKKGSRGVDRSYSDRVGRIGRIDENEPCDFMEVDESSSYGCRSYSNLMKHLGL >itb03g04480.t1 pep chromosome:ASM357664v1:3:2809092:2817146:1 gene:itb03g04480 transcript:itb03g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSDSADVSIKLESEACDAAWSEEHERVPLKQRLKLLLASKRIAQPGLQISAISEPATTNVVRNHSNQCYSQEEKVDILDVKQNGAGEVKECVSSRAEGDKSMGRSQMTTDNIMLDKVDAVNSTLLQFLPSDLPIKTKVSAVNKLKKCLNYSSSVDVETTVKLKNETPEFLDELDFVVLKERQRMLLTRKALSLERKTIEGTSPALSSLAEDNGIKRGNGVGKEGDTSSDRDLPMAGDHSKISDLSNFTVHGLSDSCQKDYSSNLVSSKRIQGNDWLYSSKKTLPDQESSHGLDLSSDGSKARLSSAIVNVKVEPLESIELETTGKHATENPPYNCFLPVKREQEVPNDSCLDKLDHMLLQERMKLFSNKAINSSGGDGISASLSEIVPSVFDSTPIASAAAEPLKINRPRKRRKTATDSVEEALEEDAPGLLKVLLEKGVSVDEIKLYGEPESNEALDDLPTEDSFSELEEIISKLFSRRDSLFKLGPLHISKGEKPSYCLACLFSLVEQARSLQFRKWPVEWGWCRDLQSFIFVFERHNRIVLERPEYGYATYFFELVESVSIHWQIKRLVTAMKLTNCSRISLIENRALLVGEDLSEGEARVLMGYGWIPNTGLGSMLNYCDRVFHDRKHERDSSEWRSKIGKMLIDGYNGGKVVLTDIRMNLPKDNVDEEEEDIKVKLEMD >itb09g09430.t1 pep chromosome:ASM357664v1:9:5690878:5692759:-1 gene:itb09g09430 transcript:itb09g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNIDSPADVTSKHMAVVIHASLACLNKKRKLRAEQLGMPLPKHMCADQIFVTRHSSLVSNQDNEFETESGKGSNGFIDCVMSGEAKVDLEFLKAYPSSSNCPSASSANLGSSWSKDALYSLESRSMTKSDSHRTERTHVSKECDFVDQNFGWPHSLNYEDHLLDFESHVECSCSECGNENIETCTDKELEDMLYSNGITPDNFVLSSGRWEVGQDTQEGAKKLTIDKEFEQYFGMLML >itb11g10020.t1 pep chromosome:ASM357664v1:11:6831211:6833215:1 gene:itb11g10020 transcript:itb11g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKWRNTALLVIDMQNDFILPGGPMHVGGAQAIVPNVIKAVEVARNRGIPIIWVVREHDPSGRDAELFRRHLYSPGKPKPTSKGSVGAELVDGLVIEEGDYKLVKTRFSAFFNTNLHSYLQSNGITSLVITGVQTPNCIRQTVFDAVAWNYQPVTIIVDATAAATPEIHTSNIFDMKNIGVITAMLEEWCESGH >itb07g23690.t3 pep chromosome:ASM357664v1:7:28043480:28045385:-1 gene:itb07g23690 transcript:itb07g23690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFHACTVAAAFSKTTCLVGRSSICKFSAFPWKASVNHAGIRSSNFNLYLKSVCIRLYSSKESQGKKSRSRKSKPAPASPPVMKEERDGFFVVRKGDLIGVYKNLSDCQTQVGSSICDPPVSVYKGYSMPKDTEEYLLSRGLKNALYSIRAQDLTEDLFGTLVSCPLQLASSSKGGTSNERMPKKRSQDAMWSDYGEAVGSGVMSNDSQRKHVKSEHNGDQALSSGVSGC >itb04g01840.t1 pep chromosome:ASM357664v1:4:1074892:1078645:1 gene:itb04g01840 transcript:itb04g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARDPNEETSGADANSGSVDGGGGGDGGVDTTPLLNDQIFRSRRFMRNSPSLRGAARFLRRASSRRMMREPSMRVREAAAEQIEERQSDWAYSKPIVILDLIWNLAFIVVSISVLVMSREESPLMPLRLWIIGYACQCVLHMVCVFVEFRRRRSSPESLSSRRISGSRGWSSANSSSESDEETGDYAPERRQNEDEPSVAKHLESANTMFSFIWWIVGFYWVSAGGERLPHDSPQLYWLCITFLAFDVFFVVICVAVACVIGIAVCCCLPCIIAILYAVADQEGATKEDIEQLPKYKFRKLGDFEKQNGEIQESFGGVMTECDTDSPTEHVLPMEDAECCICLCSYDDGIELRELPCRHHFHCACIDKWLFINATCPLCKYNILKNGNQSGREDV >itb04g01840.t3 pep chromosome:ASM357664v1:4:1074892:1078640:1 gene:itb04g01840 transcript:itb04g01840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARDPNEETSGADANSGSVDGGGGGDGGVDTTPLLNDQIFRSRRFMRNSPSLRGAARFLRRASSRRMMREPSMRVREAAAEQIEERQSDWAYSKPIVILDLIWNLAFIVVSISVLVMSREESPLMPLRLWIIGYACQCVLHMVCVFVEFRRRRSSPESLSSRRISGSRGWSSANSSSESDEETGDYAPERRQNEDEPSVAKHLESANTMFSFIWWIVGFYWVSAGGERLPHDSPQLYWLCITFLAFDVFFVVICVAVACVIGIAVCCCLPCIIAILYAVADQEGATKEDIEQLPKYKFRKLGDFEKQNGEIQESFGGVMTECDTDSPTEHVLPMEDAVSFIKQLSSFSFDRFNIFTLH >itb04g01840.t2 pep chromosome:ASM357664v1:4:1074892:1078640:1 gene:itb04g01840 transcript:itb04g01840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARDPNEETSGADANSGSVDGGGGGDGGVDTTPLLNDQIFRSRRFMRNSPSLRGAARFLRRASSRRMMREPSMRVREAAAEQIEERQSDWAYSKPIVILDLIWNLAFIVVSISVLVMSREESPLMPLRLWIIGYACQCVLHMVCVFVEFRRRRSSPESLSSRRISGSRGWSSANSSSESDEETGDYAPERRQNEDEPSVAKHLESANTMFSFIWWIVGFYWVSAGGERLPHDSPQLYWLCITFLAFDVFFVVICVAVACVIGIAVCCCLPCIIAILYAVADQEGATKEDIEQLPKYKFRKLGDFEKQNGEIQESFGGVMTECDTDSPTEHVLPMEDAVSFIKQLSSFSFDRFNIFTLH >itb10g22990.t1 pep chromosome:ASM357664v1:10:27583813:27586832:-1 gene:itb10g22990 transcript:itb10g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILGFEYGIVQAPLGPDISGPELVAAVANAGGLGLLRAPDWEDPDHIRELIRKTRTLTDKPFGIGLVLAFPHEENLKAILDEKVAVLQLYWGECTKELVLEAHKAGVKVVPQVGSYEEAKKVSDVGVDAIIVQGREAGGHVIGQDAVMTLVPRVVDLVHTRGIPVIAAGGIVDERGYVAALALGAQGVALGTRFVATEESNAHPTYKRKLVELDETEYTDIFGRARWPGAPQRTLKTPFFLNWRTLPSHENEANQPVIGHSTIHAREREIRRFAGPVPNATATGDIESMAMYAGQGIGLIKEILPTGEVISRLVKGAQRLIHEQYMMEVSK >itb01g24130.t10 pep chromosome:ASM357664v1:1:29866731:29869939:-1 gene:itb01g24130 transcript:itb01g24130.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQVFVLICRIVLLHYNYFESSCHFPSLL >itb01g24130.t1 pep chromosome:ASM357664v1:1:29866646:29870139:-1 gene:itb01g24130 transcript:itb01g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITREQGVQAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >itb01g24130.t2 pep chromosome:ASM357664v1:1:29866646:29870139:-1 gene:itb01g24130 transcript:itb01g24130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITREQGVQAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >itb01g24130.t4 pep chromosome:ASM357664v1:1:29866646:29870139:-1 gene:itb01g24130 transcript:itb01g24130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITREQGVQAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >itb01g24130.t3 pep chromosome:ASM357664v1:1:29866646:29870139:-1 gene:itb01g24130 transcript:itb01g24130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITREQGVQAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >itb01g24130.t7 pep chromosome:ASM357664v1:1:29866731:29870139:-1 gene:itb01g24130 transcript:itb01g24130.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITREQGVQAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >itb01g24130.t6 pep chromosome:ASM357664v1:1:29867168:29869939:-1 gene:itb01g24130 transcript:itb01g24130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITR >itb01g24130.t5 pep chromosome:ASM357664v1:1:29866731:29869939:-1 gene:itb01g24130 transcript:itb01g24130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQVFVLICRIVLLHYNYFESSCHFPSLL >itb01g24130.t9 pep chromosome:ASM357664v1:1:29866731:29870139:-1 gene:itb01g24130 transcript:itb01g24130.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITREQGVQAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >itb01g24130.t8 pep chromosome:ASM357664v1:1:29867168:29869939:-1 gene:itb01g24130 transcript:itb01g24130.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEVKNGNVYANGGIHGHNGSEEKLDELRRILGKVDGDLLRIVGIGAGAWGTVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFQEISKYWKERLTVPIIISLAKGIEAELVPAPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARVCGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSIIGKGTIQGVSAVGAFYELLSQSSLSVLHPGEHKPVAPVELCPILKTLYKVLITR >itb07g01340.t1 pep chromosome:ASM357664v1:7:833793:835751:1 gene:itb07g01340 transcript:itb07g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKSKSKDKKIAKETPKASSKTSNHANTGGGISTSGYNPLSRTFHKVDLAAVSSGAPLHASAHFRNIDETDDGTGYSFGTVSEHDSVSNNGSWSGEHETTSLLPPRQGTIPSADNDKQEKIRQKNEKKHQRQKERRAQELHEKCSSYLMSRKLEGLAQQLVAMGFPLDRATMALILNEGRVEESVTWLFTEGEVAYKYKEHNLGGGGNLKIDISDELAHIVDLEIRYQCSNQEVERVLVACEGDFVKAEETLRSRKQKPLMPPTQEETGNPPTVGSSKLPLAAIQNFIVAPKKVTSSTNSTQKRDEKASNYSKVATVASSSIDPGNKIAQSLKRAQPKKDQTKPPVVVVPADKKLPGAESNPPASYSLPTSPQPVKVEAQYEAVGEALKNLQLGSLREHVVPMQLPQPISAKQIPTPSVSSSSSCPPGTSAGWFPNTPAPLDPSGLTPHVLGPRNLNPNSVSKSELYSQLHYQQQQPQQCVSNTAFLEPLGTSWGNNLWNITTPQSHSLAAAPPSGLYSTFSANAQSSSSPSVGWKPYYSVPQINYNKIDWRLDLPTPSDGIWSTMNFLMQSNNRPRTYDPFTSALGGRAAAMGPVVSNRNGVSMLRSQDRGAGTHTPAIVLHEWASPFEERDLFGLQLLRQFVSSPSL >itb09g05650.t1 pep chromosome:ASM357664v1:9:3211688:3217889:1 gene:itb09g05650 transcript:itb09g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTSSFASCIFSSILCTPSWRKSFGTSATVAQTCRRLVKIHQQSLGASSRIRSFSCALSASSDLKPAPCTVSSNEPIKYERLLPCPSQNFPPRVEHLVVMREGPVLEYISEALDLPQIYVADLIHFGAVYYALVCPKPPPTATTEQIKLYEEVTAPSVLKKRASVKGKTVREAQKTFRISSAHDIVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVAESYVVLDKPAGTSVGGTTDNIEESCATFATYALGLATPLLTTHQIDNCSEGCVVLARTKEYCSVFHGKIREKKVKKLYLALTAAPVPTGIMTHYMRPINTAPRIVSEDFVKGWQICKLEVLECKKIPWPKSSIEREYSIEDCGWPSKEFAYECKIDLLTGRTHQIRTQLAACFAPIVGDSMYMPAAIAEMMSPGCNPFGKNRKQYASENDKELAIEEWITQHGKEPSIAVGLQACQISWEDDGEHLYEARSPWWRS >itb09g05650.t3 pep chromosome:ASM357664v1:9:3211688:3215410:1 gene:itb09g05650 transcript:itb09g05650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTSSFASCIFSSILCTPSWRKSFGTSATVAQTCRRLVKIHQQSLGASSRIRSFSCALSASSDLKPAPCTVSSNEPIKYERLLPCPSQNFPPRVEHLVVMREGPVLEYISEALDLPQIYVADLIHFGAVYYALVCPKPPPTATTEQIKLYEEVTAPSVLKKRASVKGKTVREAQKTFRISSAHDIVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVAESYVVLDKPAGTSVGGTTDNIEESCATFATYALGLATPLLTTHQIDNCSEGCVVLARTKEYCSVFHGKIREKKVKKLYLALTAAPVPTGIMTHYMRPINTAPRIVSEDFVKGWQICKLEVLECKKIPWPKSSIEREYSIEDCGWPSKEFAYECKIDLLTGRTHQIRTQLAACFAPIVGDSMYMPAAIAEMMSPGCNPFGKNRKQYASENDKELAIEEWITQHGKEPSIAVGLQACQISWEDDGEHLYEARSPWWRS >itb09g05650.t2 pep chromosome:ASM357664v1:9:3211688:3217889:1 gene:itb09g05650 transcript:itb09g05650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTSSFASCIFSSILCTPSWRKSFGTSATVAQTCRRLVKIHQQSLGASSRIRSFSCALSASSDLKPAPCTVSSNEPIKYERLLPCPSQNFPPRVEHLVVMREGPVLEYISEALDLPQIYVADLIHFGAVYYALVCPKPPPTATTEQIKLYEEVTAPSVLKKRASVKGKTVREAQKTFRISSAHDIVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVAESYVVLDKPAGTSVGGTTDNIEESCATFATYALGLATPLLTTHQIDNCSEGCVVLARTKEYCSVFHGKIREKKVKKLYLALTAAPVPTGIMTHYMRPINTAPRIVSEDFVKGWQICKLEVLECKKIPWPKSSIEREYSIEDCGWPSKEFAYECKIDLLTGRTHQIRTQLAACFAPIVGDSMYMPAAIAEMMSPGCNPFGKNRKQYASENDKELAIEEWITQHGKEPSIAVGLQACQISWEDDGEHLYEARSPWWRS >itb08g09710.t1 pep chromosome:ASM357664v1:8:9016305:9026468:-1 gene:itb08g09710 transcript:itb08g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATNHARKVLGWAAHDATGKLKPFIFTRRENGVNDVTIKIMYCGMCQTDVHFAKNDWENTTYPIVPGYEIVGIITKTGSNVSNFKIGDRVGLGYVFSSCLDCELCNTSRENYCDQMRPVYNSVASDGTVTYGGYSKMIVADHRYCVHIPEKLPLERAAPLLGAGITVYGAMKNSNILDYPGKRIGVIGLGGLGHMAVKFGKAFGHQVTVISTSPSKKELAIQKLGADDFILSTDPTKMQWKRRSLDFILDTVSANHSIGPYIELLKVDGTLAIVGAPSKPIDFPSNDLIYGKRTIKGSIIGSVEEIEEMMEFCEKYEVLPDIDIVPINKINEAFDRLAKNDVKFRFVLDIES >itb07g15590.t1 pep chromosome:ASM357664v1:7:18623337:18623658:-1 gene:itb07g15590 transcript:itb07g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVLLLFSIFAVLTNALGVLPPPLPFSLPFCNENSDCWPLCKQCAICACCDGVCFRGCTRKALEIDLSSKAKVMKNCLPV >itb07g19670.t2 pep chromosome:ASM357664v1:7:24141750:24145363:1 gene:itb07g19670 transcript:itb07g19670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDVEKEKQHGQLVEALATESNKKKQSEPKNGQRNRSRFRPQRLIEEKVKEMKDQIIRARSYLNFVPPGSNSHFAKEMKLRIKELERTVDKVTKGSELSRRDVQRMKAMRSTLSTAHRRYPDCTAMVKKLRAMTYNAEEQLRSHKRQAAFLTQLTGRITPKGLHCLSMQLTAEYFAWQREERELPNQHKLHNPDLYHFAVFSDNILACSVVVNSTISAAEDPERIVFHIVTDSLNLPAMSMWFLLNTPGKATIQIQSIDGFEWLSTKHNATLHKQDSLDPKYTSALNHLRFYLPDVFPLLDKILLLDHDVVVRRDLTALWGTNMNGKVNAAVETCEPGEPSFRRMNMLINFTDPVMAENFDNGTCTWAFGMNLFNLQEWRRQNLTGVYHKYLDLGSKKSLFKGGSLAIGWITFYKNTVGVDRRWHVSGLGYEECDVRVEDIEEAAVIHYDGIMKPWLDSGVDKYKSYWKKYLNTDNPFLQHCNINS >itb07g19670.t1 pep chromosome:ASM357664v1:7:24140626:24145363:1 gene:itb07g19670 transcript:itb07g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFRRRSRMFILSLLLVSLFLPIFFLSNRLKHLHSPRERGGFYRDLSIIKHWSEVQILRTIEEEKGEVFKETPPGLYKDEVFSSSDFEESNRSIEFGNEDDASLGERNMHDDVEKEKQHGQLVEALATESNKKKQSEPKNGQRNRSRFRPQRLIEEKVKEMKDQIIRARSYLNFVPPGSNSHFAKEMKLRIKELERTVDKVTKGSELSRRDVQRMKAMRSTLSTAHRRYPDCTAMVKKLRAMTYNAEEQLRSHKRQAAFLTQLTGRITPKGLHCLSMQLTAEYFAWQREERELPNQHKLHNPDLYHFAVFSDNILACSVVVNSTISAAEDPERIVFHIVTDSLNLPAMSMWFLLNTPGKATIQIQSIDGFEWLSTKHNATLHKQDSLDPKYTSALNHLRFYLPDVFPLLDKILLLDHDVVVRRDLTALWGTNMNGKVNAAVETCEPGEPSFRRMNMLINFTDPVMAENFDNGTCTWAFGMNLFNLQEWRRQNLTGVYHKYLDLGSKKSLFKGGSLAIGWITFYKNTVGVDRRWHVSGLGYEECDVRVEDIEEAAVIHYDGIMKPWLDSGVDKYKSYWKKYLNTDNPFLQHCNINS >itb02g09380.t1 pep chromosome:ASM357664v1:2:5990571:5994336:1 gene:itb02g09380 transcript:itb02g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGAPKLAPYTCAAERNDGSARRAGRFKSLSFASSNLSGDKLASLVSRRCSRSGGKSSERRNAPIIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDQRAKELPFIASMGIYVISKNVMLNLLREKFPGANDFGSEVIPGATSIGMRVQAYLFDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETDADRRLLAAKGSIPIGIGRNSHIKRAIIDKNARIGDNVKIINSDDVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >itb05g11340.t1 pep chromosome:ASM357664v1:5:17360291:17364265:1 gene:itb05g11340 transcript:itb05g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGLCGILIFGLLVGGKGYPQEDLVKGLPGQPKVGFKQYAGYVDVDLKAGRSLFYYFVEAEVDPGLKPLTLWLNGGPGCSSLGGGAFTELGPFFPTGDGRGLRRNSKSWNKASNLLFVESPAGVGWSYSNTSSDYICGDASTAKDMHIFMMGWFKKFPSYRSRDLFLTGESYAGHYIPQLAVALLDHNKYSKGFKFNLKGVAIGNPLLRLDRDVPSTYEYYWSHGMISDEVGLAIMQECEFEDYTFGGITHNESQLCNDAISEANRIVGQYVDNYDVILDVCYPSIVEQELRLRKMATKISVGVDVCMSYERRFYFNLPEVQKALHANRTKLPYGWSMCSSILHYNETDGNIDILPLLKRIIKNHIPVWIFSGDQDSVVPLLGSRTLVRELAHDMGFKITVPYGAWFHRGQVGGWATEYGKLLTFATVRGASHMVPFAQPSRALHLFSSFIRGRRLPNTTRPSIDD >itb12g21270.t2 pep chromosome:ASM357664v1:12:23639435:23653656:-1 gene:itb12g21270 transcript:itb12g21270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSRSARSASFHDPGTRFAESERIEGAGSWDALEWTKIEPISRSVSQGIKKFLLPAEQVIVEGNGIVLVNTDEAGTLFVTNFRLLFLSEGSRDIIALGTISLTTIEKFNKMVMKLPSASRHSDKNPSRRLLQVIGKDMRIIVFGFRPRTKQRRAVYNALLKWTRPTRLWDLFAFVSGPLMFCNMDPKVRLLNEYFRLLGLGTYHASMSTIEDVSFTLSNELWRISSVNFNYSMCSTYPFALLIPKSISDDEIQQACTFRARCRLPAITWCHPGTGAVLARSSQPLVGLMMNLRSNTDEKLVAALCTQFAGGQRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLARLRDYLDTHGTTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDTGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLASLLLDPYYRTIKGFQALVEKDWLAFGHPFSDRAGLPTLSGSGNMPSELTRQVSVGSISPLRQMSGSSASQTQNSSHGQNNCSPIFLQWVDCVSQLLRMYPCAFEFSSAFLVEVLDCMLSCRFGNFLCNSEKERQQASISDACGCLWMYLADLRASEGSLHVHYNIFYDPLKHGGALLPPAAALAPTLWPQFHLRWACPSEAQVGEVEAQCRSLTKRFSDMQQAKETAEARMKEMSAEMKSLSVDLQNEKLVSSSARDSAKRANRECVAIKRAVQSLGCKVYISTDGDCSVGIESNATEIPQNSLYTPSRHEKEGSFQLDDNSDHSRSVSIMPDDPPESPFTQVCESLCPMRTREGGCRWPNAGCTRLGSQFVGLKANFEAFDRLSIFDSYFESQ >itb12g21270.t1 pep chromosome:ASM357664v1:12:23639435:23653656:-1 gene:itb12g21270 transcript:itb12g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSRSARSASFHDPGTRFAESERIEGAGSWDALEWTKIEPISRSVSQGIKKFLLPAEQVIVEGNGIVLVNTDEAGTLFVTNFRLLFLSEGSRDIIALGTISLTTIEKFNKMVMKLPSASRHSDKNPSRRLLQVIGKDMRIIVFGFRPRTKQRRAVYNALLKWTRPTRLWDLFAFVSGPLMFCNMDPKVRLLNEYFRLLGLGTYHASMSTIEDVSFTLSNELWRISSVNFNYSMCSTYPFALLIPKSISDDEIQQACTFRARCRLPAITWCHPGTGAVLARSSQPLVGLMMNLRSNTDEKLVAALCTQFAGGQRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESLARLRDYLDTHGTTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDTGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLASLLLDPYYRTIKGFQALVEKDWLAFGHPFSDRAGLPTLSGSGNMPSELTRQVSVGSISPLRQMSGSSASQTQNSSHGQNNCSPIFLQWVDCVSQLLRMYPCAFEFSSAFLVEVLDCMLSCRFGNFLCNSEKERQQASISDACGCLWMYLADLRASEGSLHVHYNIFYDPLKHGGALLPPAAALAPTLWPQFHLRWACPSEAQVGEVEAQCRSLTKRFSDMQQAKETAEARMKEMSAEMKSLSVDLQNEKLVSSSARDSAKRANRECVAIKRAVQSLGCKVYISTDGDCSVGIESNATEIPQNSLYTPSRHEKEGSFQLDDNSDHSRMRF >itb04g05360.t1 pep chromosome:ASM357664v1:4:3455630:3457381:1 gene:itb04g05360 transcript:itb04g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYLSCKAESSVSVSDSKKTHQVQEFTYSDLEAATNGFSDQKLLGRGSHGLVYKGVLRNGRLVAVKKSSRGSTNTPRLSSSSLPFDNGNEVENEIDILSKLQSPRLVNLVGFTSDDDHRRLLVVEFMANGTLYDALHADSRPPGWGRRVKMALQTAKAIETLHSASPPVIHRDIKSANVLIDRNFNARLGDFGLALRCQYNDFRFRSTPPAGTMGYLDPAYITPDNLSIKTDVFSFGILLLEIISGRKAIDVAYSPPSIVDWAIPLIRRGKLMAIFDPRIDPPKDPIVRKQLAVVAAKCVRSCRERRPSMKEIVECLGELSKVVPLHSWNGFANPCLMVETVGRPVGLRKVGEAQAQSFDAKFSKPLRNSRRVYSDLGSRNNLMELMAGSDFPARDDFVPKSSHKGSGLRCKSGNLVVKGNHSPLV >itb03g04990.t1 pep chromosome:ASM357664v1:3:3303701:3309797:-1 gene:itb03g04990 transcript:itb03g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKGTEAQKYVWEGAIPLQIHLHESEVTTLPLPPPALILAPRIGYLPLLAPQVKPFFSGTLPPGVDTVWFEYKGLPLKWYIPIGVLFDLLCAEPERPWNLTVHFRGYPGNILTPCEAEDSVKWSFINSLKEAAYIINGNCKNIMNMSQSDQSELWRSVVNRNLEAYLRVSSKLKLGIYGDDFSIKLDSSKSRQSSSDGDAPGAVKTGRIPVRLYVRSSTVDFDYVEDAPVVESWDKISYINRPIEIHEDGKLFTLGDAVKSLLPECFVDNSPTRRAEEGDEKRSASEETNTTSGQEKGEASNEPVEACSLSDGAEIKVVRIQGIEPKLEIPFAWVVKNLMNPEYFLHICVYIKTSEPIAI >itb03g04990.t2 pep chromosome:ASM357664v1:3:3303781:3309782:-1 gene:itb03g04990 transcript:itb03g04990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKGTEAQKYVWEGAIPLQIHLHESEVTTLPLPPPALILAPRIGYLPLLAPQVKPFFSGTLPPGVDTVWFEYKGLPLKWYIPIGVLFDLLCAEPERPWNLTVHFRGYPGNILTPCEAEDSVKWSFINSLKEAAYIINGNCKNIMNMSQSDQSELWRSVVNRNLEAYLRVSSKLKLGIYGDDFSIKLDSSKSRQSSSDGDAPGAVKTGDRNSQGRIPVRLYVRSSTVDFDYVEDAPVVESWDKISYINRPIEIHEDGKLFTLGDAVKSLLPECFVDNSPTRRAEEGDEKRSASEETNTTSGQEKGEASNEPVEACSLSDGAEIKVVRIQGIEPKLEIPFAWVVKNLMNPEYFLHICVYIKTSEPIAI >itb01g13600.t1 pep chromosome:ASM357664v1:1:14377747:14379087:1 gene:itb01g13600 transcript:itb01g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCTLYVIVCVLLILYMVDNGRSESGLGGNDGILYQGEGNGVYVRDGSGKGRYLKTMITSETNGESKLINKGGIGANLNKAIVDMGGISGGALGGFEACAAIGGGIEGGFGGGDGEFGGDIHGSWGLSVGGGIDIPGGIGKEFVLKNELKGDGGSGISEGFRAGIGQDFGDKVGNVINGGVGGGIGTDFGNGGSLGDKVVDDIGGGIRGGGGGGIGFGFGGGSGDGGVGLGGGFGGGVGTDFGNGGSLGDKVGDDIGRGISGGGGGGIGFGFGGGSGGGLGGGFGGGVGDDIGGGISGGGSGGGGGGIGFGFGGGDGYGNGNGRGFGGRSGGFGSGSGGGGNCGGLGGGSFGKGGGISSRIGGGYGDNDIGGELGDGLVDRIGGGGGFGGGFGGGIGGGAIGGGGGNGGGLPDFGGGLGRGGFGLGMNEGTGSGAESNTGFGGGL >itb13g04360.t1 pep chromosome:ASM357664v1:13:4871525:4872014:-1 gene:itb13g04360 transcript:itb13g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLESRRREPAGGGGVAARCLSPPSGDTGSGLQLLHFDAGPSGDNDSDAKEHRRPDWFASRLRRADSDVVGAAWRSGGGGGDGLSRRRYHYPLSVPAMPGAVVYVRRCLIQRIHLRAPPSCCRALG >itb10g21630.t1 pep chromosome:ASM357664v1:10:26776644:26777906:-1 gene:itb10g21630 transcript:itb10g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMKHWMEGEITVFIKLWLSIYLSLSYCFFAAKMAPIGLPRLLLFLPVISLFLLLPLALHSVHFCGITAFFISWLANFKRLMLAFNHGPLPSLSLPHFLVIACLPIKIHQKHTDSSVGSSNNTNSAQDSGQRRQLSWFVNETEDRVQKHQTVILSPNELFRDKPPVEVSENRQKSAASYALKAVLMGLIIKIYEYSDGINPSVIMIIYCLHIYLFIDIILALVAALARAVFGLELEPTFNEPYLSSSLQDFWGSRWNLMVNRILRPAVYSPFLDVSDKYLGRKWATYPAVIATFMVSGLMHELIYFYLGRIRPTWEVTWFFLLHGVCVAVEIAVKKALRGRWRLPGILGTILTLGFFTLTVSWLFLPQLLRCDVLVKVLAEYAVLGSFVKDVGIALTLGARSS >itb11g22440.t1 pep chromosome:ASM357664v1:11:24376925:24384360:-1 gene:itb11g22440 transcript:itb11g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDQLTLQSAKQRQVVSIVGMGGIGKTTLAQKVYEDPSITSYFDKQAWVTVTHEYNVGQMLRCLIGCVIAAAPSDELDEQSNVLLAQRNDLDQLAENLYKCLKGQRYLIVMDDIWSTTAWDCVQRCFPDDNNGSRILLTSRLKEVVEYASSGNSPLNMRFLDVDESWNLYCKVFGKIEFLCVFEEIGRDIVKKCKGLPLAIIVVASLLSRTEEKVEKWKNVTENVTRLVNGDSNEVCSRILSLSYNQLPHNLKPCFLYFGVFPEDYEIPVKKLVKLWAAEGFLGTVKHQNLEEVAMECLNDLVDRSLVILADLDANEKIVYGKQQLAWDTILLTSRLSEKILDQLLTQRTTKGRQVLSIVGMGGIGKTTLAHKVYEHPSVTSHFDKQAWITVSQEYNVEQMLRCLVGCVIAASRDELHEQSIDNYQEMLYKCLKGQRYLIVIDDIWNITAWDSVQRCFPDDNKGSCILLTSRLNEVAEYASSSGNSITNMPFLDADESWSLYCKVFGKIEFPSKFEQIGRDIVNKCKGLPLAITIVASLLSKMEEEEEKWKNVAKSVMGDSSDACSRILYLSYNQLPHHLKACFLYFVIFKEDYEISVKELVRLWSAEGFLNLEKVARECLQDLVDRSLVIVSKHSYNGEMKRIRIHDLLRDLCLREARHENLWNVNGVQKHCRWLSVTSIWDFYRKVSLPNLKKLSLLKSNLQWSELGAISMLPNLEVLKLIDACKGRKWETSDGGFHRLKRLVGRNPLWFCRYHHTSIDSDKQM >itb01g34050.t1 pep chromosome:ASM357664v1:1:36929439:36932848:-1 gene:itb01g34050 transcript:itb01g34050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFHFFNCAILTFGPHVVYYSATPLSEYDTLGTSTKAALVYLGTALVKLVCLATFLNVSESDSFDPYQEMLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWEYILQGMEANANLVFSISLAALGSLMWLRKNKPKTLIPIIYTLAGIVATMPSITSYLKRGLGWQFTKVVGFELFTSLGIAFISWQLFSACQRPSN >itb07g18700.t2 pep chromosome:ASM357664v1:7:23148327:23163241:-1 gene:itb07g18700 transcript:itb07g18700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFVISNKLLVDNRQEYDVLEERRRELEFLEKGGDPLEFKFGSAVSVSVQSTSLTDQQLDQFVTSEAKGSFAFTASPHGDSVESSGRPGGTQLCEPNSADNLMLFDGENEFIEGERTSRCPGRTNIAPLEQSTQMDGNQNARELGGSAALGAPRKAYKRRNRTRPSRDGARSNSNDALTRGGRGFSLPSHGGPTDSKGLVSDAEKQWDQNITGQPNSPNGGVTSKTLPSNNQVMVELDSMKAAKPTTDLVKVNQLNDVPDVIFSTDIINNQKDQQSEGVAQEIPIEVAPEGPELLSEKEKLGSGGLESKPCSDKAKVDDLARSRKINGFSSAKGDRKSLSNDGQNSSAALATKALDSESSCTQTSLSLDERNDTEIFTDRRNLDSTGNMKDQSSVPQRTSALESDIVKDVKESKADGICGFVSEECNSLHKNHQENGFGPTPTEEFVRNESSLQNEIKDDVVIEGKESIGPAVSETEGKPSVPISDNSKNQDDNVCNVDHQGSFDSSVPHPSKAAPLVGISTVAHEGQQSEINIKLVTKADEDSILEEARIIEAKRKRITELSAVTTQMESRSKSHWDFVLEEMAWLANDFMQERLWKRTAAAQMSYRAAFTSRLRFQELNDSSKQKMVAHTLAKAVMDFWHSVKGNKKVELQCPRKAFGLTIQDYAMRFLKCNNFDVPDSQAEAPATPERVSDVAIVNMSWEDNLTEENLFYTIPSGATETYRKSIESHVLQCEKTGTIMQEEVETSACDAVADPEFQDYAYEEDEGETTMYDMPVAFDGNKSSRFSQKKRKKHLRTYSGRSYDIGADLSFTQCMENKVGSQQSVPQAKRHTSSLNVSFPTKRVRTCYRQRVLSPFNAGTSGLQMSTKTDASSETSSFQDDQSTLHGGSHVPNNLEVESLGKFEKHLKFDSAEVSMKPKKKKKAKFLGSYEQRWTADSNFKNEQGDYSRKRLESHQFESNGGSGLFGQHIPKKPKIMRQSLESSFDNISPISGSVPSPAASQMSNMPSSNKFTRMLTGRDLSRKAKSLKIPAGQPGSGSQWSPFEDQALVVLVHDMGPNWELISDAINSTLQFKCIYRKPKECKDRHKILMDKTNGDGADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPVEEDALKSHLEKIILIERKYQLRKTQGDNQDQKPIQQAHSSHINALSQAVPNSPNVILTPLDLCDAPSSSLDNPPLGYQGPHSSGLTVSSQGALGSMPPASGANSATMQGSPNVIHGNNFPSSSSPLNAAVRDGKYAISRSASIPVDEQQRMHHYNQLLPGRNIPISSAPGAFPGPDRGGVRMLPSGNSMGIICGINRGMPTPRPGFQGIASPSMLNSGTMTSSGMVAMPNPVNMHSGVGSSQGNSMLRPRENLHMMRPTQNQDTQRQMMLAELQIQASQVNSQTVPPFGGLTTSYPNQTASPHVPTYPPHHQQAQSPHMLSPHHSHVQGANHATNSQQQTYALHLAKERQLQQRLMQQKQKFGSSNSLIPPVQQHQLPVSSPLQSPQPPVSLSPLTPTSSVPQNQLKHPMLPHGLARSAQSGGSGPTNQLNKQRPHQIQQQQPLQQTSRNHPQQRQPNAKLLKGVGRGNTLMNQNMQIDPSVLNGVSSNSGILASEKGDQMTNSMQNQGLYPGSAVNPAQPTKSSTAPNSKMQQPQQKIYSGQTASSTNPHQQTSHPDNMSKGHGLPTASGSTSPACHQSIPTPVISSSNHQPVPHSQPLVQTQKNLVNQSHPTSKRMVQPSRLMNSEPLNKLHAGESQFNQHTASNSSPIGTMTATARECNNATNAAPVVSSNVSQWKAAEPLFDSIGSVPNTAAGGEHSSQVGQGLRQKQSSGNLSPAGHDASMQWKQPSHLQTHSPVHQPQQQL >itb07g18700.t3 pep chromosome:ASM357664v1:7:23148327:23163241:-1 gene:itb07g18700 transcript:itb07g18700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDTRSVLVVNAEVDSMGGVGNDTKTSPRRAAIEKVQEELRQEYDVLEERRRELEFLEKGGDPLEFKFGSAVSVSVQSTSLTDQQLDQFVTSEAKGSFAFTASPHGDSVESSGRPGGTQLCEPNSADNLMLFDGENEFIEGERTSRCPGRTNIAPLEQSTQMDGNQNARELGGSAALGAPRKAYKRRNRTRPSRDGARSNSNDALTRGGRGFSLPSHGGPTDSKGLVSDAEKQWDQNITGQPNSPNGGVTSKTLPSNNQVMVELDSMKAAKPTTDLVKVNQLNDVPDVIFSTDIINNQKDQQSEGVAQEIPIEVAPEGPELLSEKEKLGSGGLESKPCSDKAKVDDLARSRKINGFSSAKGDRKSLSNDGQNSSAALATKALDSESSCTQTSLSLDERNDTEIFTDRRNLDSTGNMKDQSSVPQRTSALESDIVKDVKESKADGICGFVSEECNSLHKNHQENGFGPTPTEEFVRNESSLQNEIKDDVVIEGKESIGPAVSETEGKPSVPISDNSKNQDDNVCNVDHQGSFDSSVPHPSKAAPLVGISTVAHEGQQSEINIKLVTKADEDSILEEARIIEAKRKRITELSAVTTQMESRSKSHWDFVLEEMAWLANDFMQERLWKRTAAAQMSYRAAFTSRLRFQELNDSSKQKMVAHTLAKAVMDFWHSVKGNKKVELQCPRKAFGLTIQDYAMRFLKCNNFDVPDSQAEAPATPERVSDVAIVNMSWEDNLTEENLFYTIPSGATETYRKSIESHVLQCEKTGTIMQEEVETSACDAVADPEFQDYAYEEDEGETTMYDMPVAFDGNKSSRFSQKKRKKHLRTYSGRSYDIGADLSFTQCMENKVGSQQSVPQAKRHTSSLNVSFPTKRVRTCYRQRVLSPFNAGTSGLQMSTKTDASSETSSFQDDQSTLHGGSHVPNNLEGSYEQRWTADSNFKNEQGDYSRKRLESHQFESNGGSGLFGQHIPKKPKIMRQSLESSFDNISPISGSVPSPAASQMSNMPSSNKFTRMLTGRDLSRKAKSLKIPAGQPGSGSQWSPFEDQALVVLVHDMGPNWELISDAINSTLQFKCIYRKPKECKDRHKILMDKTNGDGADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPVEEDALKSHLEKIILIERKYQLRKTQGDNQDQKPIQQAHSSHINALSQAVPNSPNVILTPLDLCDAPSSSLDNPPLGYQGPHSSGLTVSSQGALGSMPPASGANSATMQGSPNVIHGNNFPSSSSPLNAAVRDGKYAISRSASIPVDEQQRMHHYNQLLPGRNIPISSAPGAFPGPDRGGVRMLPSGNSMGIICGINRGMPTPRPGFQGIASPSMLNSGTMTSSGMVAMPNPVNMHSGVGSSQGNSMLRPRENLHMMRPTQNQDTQRQMMLAELQIQASQVNSQTVPPFGGLTTSYPNQTASPHVPTYPPHHQQAQSPHMLSPHHSHVQGANHATNSQQQTYALHLAKERQLQQRLMQQKQKFGSSNSLIPPVQQHQLPVSSPLQSPQPPVSLSPLTPTSSVPQNQLKHPMLPHGLARSAQSGGSGPTNQLNKQRPHQIQQQQPLQQTSRNHPQQRQPNAKLLKGVGRGNTLMNQNMQIDPSVLNGVSSNSGILASEKGDQMTNSMQNQGLYPGSAVNPAQPTKSSTAPNSKMQQPQQKIYSGQTASSTNPHQQTSHPDNMSKGHGLPTASGSTSPACHQSIPTPVISSSNHQPVPHSQPLVQTQKNLVNQSHPTSKRMVQPSRLMNSEPLNKLHAGESQFNQHTASNSSPIGTMTATARECNNATNAAPVVSSNVSQWKAAEPLFDSIGSVPNTAAGGEHSSQVGQGLRQKQSSGNLSPAGHDASMQWKQPSHLQTHSPVHQPQQQL >itb07g18700.t1 pep chromosome:ASM357664v1:7:23148327:23163241:-1 gene:itb07g18700 transcript:itb07g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDTRSVLVVNAEVDSMGGVGNDTKTSPRRAAIEKVQEELRQEYDVLEERRRELEFLEKGGDPLEFKFGSAVSVSVQSTSLTDQQLDQFVTSEAKGSFAFTASPHGDSVESSGRPGGTQLCEPNSADNLMLFDGENEFIEGERTSRCPGRTNIAPLEQSTQMDGNQNARELGGSAALGAPRKAYKRRNRTRPSRDGARSNSNDALTRGGRGFSLPSHGGPTDSKGLVSDAEKQWDQNITGQPNSPNGGVTSKTLPSNNQVMVELDSMKAAKPTTDLVKVNQLNDVPDVIFSTDIINNQKDQQSEGVAQEIPIEVAPEGPELLSEKEKLGSGGLESKPCSDKAKVDDLARSRKINGFSSAKGDRKSLSNDGQNSSAALATKALDSESSCTQTSLSLDERNDTEIFTDRRNLDSTGNMKDQSSVPQRTSALESDIVKDVKESKADGICGFVSEECNSLHKNHQENGFGPTPTEEFVRNESSLQNEIKDDVVIEGKESIGPAVSETEGKPSVPISDNSKNQDDNVCNVDHQGSFDSSVPHPSKAAPLVGISTVAHEGQQSEINIKLVTKADEDSILEEARIIEAKRKRITELSAVTTQMESRSKSHWDFVLEEMAWLANDFMQERLWKRTAAAQMSYRAAFTSRLRFQELNDSSKQKMVAHTLAKAVMDFWHSVKGNKKVELQCPRKAFGLTIQDYAMRFLKCNNFDVPDSQAEAPATPERVSDVAIVNMSWEDNLTEENLFYTIPSGATETYRKSIESHVLQCEKTGTIMQEEVETSACDAVADPEFQDYAYEEDEGETTMYDMPVAFDGNKSSRFSQKKRKKHLRTYSGRSYDIGADLSFTQCMENKVGSQQSVPQAKRHTSSLNVSFPTKRVRTCYRQRVLSPFNAGTSGLQMSTKTDASSETSSFQDDQSTLHGGSHVPNNLEVESLGKFEKHLKFDSAEVSMKPKKKKKAKFLGSYEQRWTADSNFKNEQGDYSRKRLESHQFESNGGSGLFGQHIPKKPKIMRQSLESSFDNISPISGSVPSPAASQMSNMPSSNKFTRMLTGRDLSRKAKSLKIPAGQPGSGSQWSPFEDQALVVLVHDMGPNWELISDAINSTLQFKCIYRKPKECKDRHKILMDKTNGDGADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPVEEDALKSHLEKIILIERKYQLRKTQGDNQDQKPIQQAHSSHINALSQAVPNSPNVILTPLDLCDAPSSSLDNPPLGYQGPHSSGLTVSSQGALGSMPPASGANSATMQGSPNVIHGNNFPSSSSPLNAAVRDGKYAISRSASIPVDEQQRMHHYNQLLPGRNIPISSAPGAFPGPDRGGVRMLPSGNSMGIICGINRGMPTPRPGFQGIASPSMLNSGTMTSSGMVAMPNPVNMHSGVGSSQGNSMLRPRENLHMMRPTQNQDTQRQMMLAELQIQASQVNSQTVPPFGGLTTSYPNQTASPHVPTYPPHHQQAQSPHMLSPHHSHVQGANHATNSQQQTYALHLAKERQLQQRLMQQKQKFGSSNSLIPPVQQHQLPVSSPLQSPQPPVSLSPLTPTSSVPQNQLKHPMLPHGLARSAQSGGSGPTNQLNKQRPHQIQQQQPLQQTSRNHPQQRQPNAKLLKGVGRGNTLMNQNMQIDPSVLNGVSSNSGILASEKGDQMTNSMQNQGLYPGSAVNPAQPTKSSTAPNSKMQQPQQKIYSGQTASSTNPHQQTSHPDNMSKGHGLPTASGSTSPACHQSIPTPVISSSNHQPVPHSQPLVQTQKNLVNQSHPTSKRMVQPSRLMNSEPLNKLHAGESQFNQHTASNSSPIGTMTATARECNNATNAAPVVSSNVSQWKAAEPLFDSIGSVPNTAAGGEHSSQVGQGLRQKQSSGNLSPAGHDASMQWKQPSHLQTHSPVHQPQQQL >itb06g03610.t1 pep chromosome:ASM357664v1:6:5987760:5996046:-1 gene:itb06g03610 transcript:itb06g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPSAALSAKFSSCHSVIEQKRPNLCFSSLNFCKLNLKTGLVGTPVLSCPGGQSRQARRSVQVYGLFGSKKDNNDNGDNNDNASKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKATLSGNQQPVRIEITEAAMELGPEKLSVLVTEAYKDAHQKSVQGMKERMSNLAQSLGMPQGLSDGLK >itb09g30500.t1 pep chromosome:ASM357664v1:9:31119099:31122703:-1 gene:itb09g30500 transcript:itb09g30500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGRKGASGFSASSTAEEVTQGIDGTGLTAIVTGASSGIGAETARVLALRGVHVILAVRAVESGRKLQESIVKETPNAKVDVMELDLSSMASVRKFASEYNSSGLPLNILINNAGIMAPPFMLSQDNIELQFATNHVGHFLLTNLLLEKMKHTAHESQKEGRIVIVSSEAHRFPYKEGIRFDKINDKESYSAVYAYGQSKLANVLHANELARRLKEEGVEITANSLHPGAIATNLLRYHSLIDGVVNWVGKYVLKNIPQGAATTCYAALHPQVKGVRGEYFSDSNIGKQSSHAKDADLANKLWEFSCNLTHS >itb09g30500.t2 pep chromosome:ASM357664v1:9:31119678:31122679:-1 gene:itb09g30500 transcript:itb09g30500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGRKGASGFSASSTAEEVTQGIDGTGLTAIVTGASSGIGAETARVLALRGVHVILAVRAVESGRKLQESIVKETPNAKVDVMELDLSSMASVRKFASEYNSSGLPLNILINNAGIMAPPFMLSQDNIELQFATNHVGHFLLTNLLLEKMKHTAHESQKEGRIVIVSSEAHRFPYKEGIRFDKINDKESYSAVYAYGQSKLANVLHANELARRLKEEGVEITANSLHPGAIATNLLRYHSLIDGKAFNFSNSDKLFGRDQ >itb06g00630.t1 pep chromosome:ASM357664v1:6:1479462:1480247:-1 gene:itb06g00630 transcript:itb06g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACCNKKVTEEEGFLHCSKCKRQYVEGTVRYKVLVRVLDKTGDAPFLLWDREVAELEGVLASLLYKKYSKVCIRIPPELEILNGMAMIFKIGLKKDTMRGPNSAYNVIRVLRDQLLVDTYCSSLRDHQDKDLMSKMIEDDQDEDSESEESPLSIDTGKRKAVDKEDYESVKKCLMDQFSTSKTTKKMKEVTVKQEKI >itb13g20870.t1 pep chromosome:ASM357664v1:13:27606123:27613007:1 gene:itb13g20870 transcript:itb13g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIGGKGHHLYGPVIPDLKAVGKRSMEWDLNDWKWDGDMFLAVPLNCMPSDCRSRQLFPDESQIPANNGVPNGSDEVVVLNEKGKKEMEKRRRLVVVEQEDLNECASLNLKLGGQVFPITEEEMEKGERKNGKKTKVAGGSSNRAVCQVEDCQMDLTNSKDYHRRHKVCEVHSKATKALVGNAMQRFCQQCSRFHALQEFDEGKRSCRRRLAGHNSRRRKTHPENVPNGAPMNDERGTNYLLISLLRILANMHGQTNSSDQTKDQDILSHLLRNLASLAGSNDERNLSGPLPTSQDLHNAGTSAGSPEKLVGNSANLPTPEVLGKSMAAVNAAPGISQNPSTQPELIFPRKDCTTFKAISTDNLVRQKKLHNIDLNNVYDDSQDCMGVLKSSDHCQNPGNISSGCPLWVCQDPHKSTSTRTSGNSASTSSFSPSNSSGEAQSRTDRIVFKLFGKDPSDFPIAMRKQIIDWLSNSPTEIESYIRPGCVILTIYLRMDKSIWDELCCDLSSSLRKLLDASSDSFWKTGWIYTRVQNRVAFVFNGQVVLDTPLPVKGYNNCRISSVKPIAVPVSKGAQFLVKGFNVSRSTARFLCALEGKYLVQGNCGDILEADTLVDQNDHNEIQSLSIPCSIPNVTGRGFIEVEDHGLSGSFFPFIVAEEEVCSEICTLESSIDLADTACDSQQEPGKLEARSRALDFIHDMGWLLHRSHLKFRLGSNSSCFPFDRFRWLIEFSVDRDWCAVVKKLLDILFGGIVDAGEHSSLEMALQDIGLLHRAVRGNCRRMVEALLQYCPDKGPDNSELVKTQRGGQYIFRPDAIVIGGLTPLHVVASQKGLENLLDVLTNDPGQVGVEAWKSARDSTGLTPNDYACLRGHYSYIHLIQKKTNTKPTNAHVVLDIPGKASDTTNKPKAVDGYKSSFQTEKQLATKPTTRSCRRCEQKLQYGTCSTSLAIYRPAMLSMVAIAAICVCVALLFKSSPEVLYVFQPFRWELLKYGSS >itb13g20870.t2 pep chromosome:ASM357664v1:13:27606123:27613007:1 gene:itb13g20870 transcript:itb13g20870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIGGKGHHLYGPVIPDLKAVGKRSMEWDLNDWKWDGDMFLAVPLNCMPSDCRSRQLFPDESQIPANNGVPNGSDEVVVLNEKGKKEMEKRRRLVVVEQEDLNECASLNLKLGGQVFPITEEEMEKGERKNGKKTKVAGGSSNRAVCQVEDCQMDLTNSKDYHRRHKVCEVHSKATKALVGNAMQRFCQQCSRFHALQEFDEGKRSCRRRLAGHNSRRRKTHPENVPNGAPMNDERGTNYLLISLLRILANMHGQTNSSDQTKDQDILSHLLRNLASLAGSNDERNLSGPLPTSQDLHNAGTSAGSPEKDSHQLVGNSANLPTPEVLGKSMAAVNAAPGISQNPSTQPELIFPRKDCTTFKAISTDNLVRQKKLHNIDLNNVYDDSQDCMGVLKSSDHCQNPGNISSGCPLWVCQDPHKSTSTRTSGNSASTSSFSPSNSSGEAQSRTDRIVFKLFGKDPSDFPIAMRKQIIDWLSNSPTEIESYIRPGCVILTIYLRMDKSIWDELCCDLSSSLRKLLDASSDSFWKTGWIYTRVQNRVAFVFNGQVVLDTPLPVKGYNNCRISSVKPIAVPVSKGAQFLVKGFNVSRSTARFLCALEGKYLVQGNCGDILEADTLVDQNDHNEIQSLSIPCSIPNVTGRGFIEVEDHGLSGSFFPFIVAEEEVCSEICTLESSIDLADTACDSQQEPGKLEARSRALDFIHDMGWLLHRSHLKFRLGSNSSCFPFDRFRWLIEFSVDRDWCAVVKKLLDILFGGIVDAGEHSSLEMALQDIGLLHRAVRGNCRRMVEALLQYCPDKGPDNSELVKTQRGGQYIFRPDAIVIGGLTPLHVVASQKGLENLLDVLTNDPGQVGVEAWKSARDSTGLTPNDYACLRGHYSYIHLIQKKTNTKPTNAHVVLDIPGKASDTTNKPKAVDGYKSSFQTEKQLATKPTTRSCRRCEQKLQYGTCSTSLAIYRPAMLSMVAIAAICVCVALLFKSSPEVLYVFQPFRWELLKYGSS >itb12g24050.t1 pep chromosome:ASM357664v1:12:25723419:25724207:1 gene:itb12g24050 transcript:itb12g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYENQGFEEAHLYASKEEMESLVLDDDPDGSSNGRKPFSDPLSASSLPFAEIATDDDPLHPRPSQSQNPNSFNSILEPPSYADAIFRSFDADHTLPEVNGHDQSGASPSSSSSTPMSEYLHITVSDPQKEQELTNSLVPGGSTYVTYLITTRTNLPHFSGTEFSIRRRFRDVVTLSDRLSEAYRGFFIPVRPDKSVVESQVMQKHEFVEQRRAALEKYLQRLAAHPVIRTSEELRLFLEVQGKLPLVRTTDAASRMLGGQ >itb04g21070.t1 pep chromosome:ASM357664v1:4:26125663:26126840:1 gene:itb04g21070 transcript:itb04g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVGFLALLVLGILVMGSNVEHVEAQICPQFCEADLEYKICPPSKEKIRDICENCCTIGNSGCQLYRKDGSPICA >itb07g20730.t1 pep chromosome:ASM357664v1:7:25092462:25094019:-1 gene:itb07g20730 transcript:itb07g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDERIELRFRIFDGTDICQGIYARSTTITSLKQRLLAEWPQDKSVVPKSSSDIKLIHAGKVLENGRTLGDSRVHIGDLPGGVITMHVVVQPQLTKKKSVIDDAMVILW >itb12g20160.t1 pep chromosome:ASM357664v1:12:22645150:22647289:-1 gene:itb12g20160 transcript:itb12g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLHTKPQSLLIMCHPKALSPTLALKSGNFPNGFTGKSQEKCPLLNLIHISVNNLKFSSGIFAGSCQLISGRCFSSSYSGKGARGNGKNLPWLAIDKSKQAKSSEKVMAMRTSRSAWEESAEKYLKGGVSSVEDVEVRRYDGRRNEGKDKDYGGEVEEEEETEEIDDPRWDKIKNRFNRVKVTNGSDRPAVKRWNNQENWGRKTWKEATESTVPKMVGEGVYGVGPVLAALSAERREFYALYVQEGLDLSGNNRKKKDKKGVERVLKMAEKIGLCTKEVSKHDLNMVADNRPHQGLVLDASPLEMVSIRELEPVLVEGEDAPLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMEVRSCKNMMQFLTSSADNGWRVLGGSVSSRAVPLNEVEQGVPTILVLGSEGTGLRPLVERSCTQLVMIPGNIPVDITAKGDEDAIDAETDNDYSSRNFKPFMAVESLNVSVAAGVLLHYLIGRNYRTNSQLLDKQTNPLVL >itb05g27540.t2 pep chromosome:ASM357664v1:5:30867502:30868641:1 gene:itb05g27540 transcript:itb05g27540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEEVAVEEKKNTTVKKTLCDSRTITKEMISSYFHLPISEAAVQLKVGVTTLKNRCRILGISRWPRIKLLKLEDEDDKEIPISSPEQDYRPFFNHAENHPLDYHFNNPTSSPTGKTLHQIQQSCCSAEQLDFDWGLVNDMLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQCLSKDKTYVVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb05g27540.t1 pep chromosome:ASM357664v1:5:30863550:30868641:1 gene:itb05g27540 transcript:itb05g27540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSKPVIITLWGNFVMTEGIQIDLQLSQGKFPIVIAQGVHVNAFQGITLSTRYDTTIEVNPPGQHATVLNKWKDNNLSVIYKTIVDKTYLDSFLTLSNALQQPKCTFAEIDNELKQKPIAWVRGKLRMKNVGPLEYYIGCNYCNKTVNSIEGLKLHCLYCGQTDGLTVRRYKLNVEISDGSTIVQAILFNHDVHRLMLLVGIEMPTTVQDSEIFQQKLDAIDFVVGLRINALNEDHPSTLTYSVACICKDITRDTGEQQATPHARSSNIVEETFTVGNPTKRRLDFDESSKHATDILEDATSKEKSIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEENDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQCLSKDKTYVVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb09g09840.t1 pep chromosome:ASM357664v1:9:6032336:6035238:-1 gene:itb09g09840 transcript:itb09g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSGRSRKAGENIQLGKGKVTPAQVAFIVDCYLADNNLSKTRSAFRSEAPHLFSKSPVQHSPKVLSLGELLDEYIRLKEQKLLMDQERGNLEQEKFRLQNLLKGMQDAMNAYNAGSNHNTPLPLGSSPPIPIGGYSMYNTPTTMMPASIPSAIRTYPNYSSTPSTDPTAAKRKRSISDAPSASAAKRSHKSLTANQLPLKDGKATAQASNADNCQENPLRISAVPSSVQHNVSNVTAVQGSNVAKCLFNQAIHSPAANSSGPKTPPRASSSQTEKSISPVEISSTATSANIVTPQQLVSSNCMIISSETIRVSPSKQMGYYSIERNHCISTLSPVKTNLKPAKRDHVKGRLDFDASDMPSCSNALSFGGASTSESDEGEMFDLDLATLDALGADFNLSELLVDFDLDGGNYCSPHQDMGSSPEPLSDSPNKSGDVDMGANQFTSQISSTVTEVLAKDTGLTDPDAVTTVKSVTKCIKILSPVKVYGSSMDQRNHHN >itb09g09840.t2 pep chromosome:ASM357664v1:9:6032336:6034962:-1 gene:itb09g09840 transcript:itb09g09840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQERGNLEQEKFRLQNLLKGMQDAMNAYNAGSNHNTPLPLGSSPPIPIGGYSMYNTPTTMMPASIPSAIRTYPNYSSTPSTDPTAAKRKRSISDAPSASAAKRSHKSLTANQLPLKDGKATAQASNADNCQENPLRISAVPSSVQHNVSNVTAVQGSNVAKCLFNQAIHSPAANSSGPKTPPRASSSQTEKSISPVEISSTATSANIVTPQQLVSSNCMIISSETIRVSPSKQMGYYSIERNHCISTLSPVKTNLKPAKRDHVKGRLDFDASDMPSCSNALSFGGASTSESDEGEMFDLDLATLDALGADFNLSELLVDFDLDGGNYCSPHQDMGSSPEPLSDSPNKSGDVDMGANQFTSQISSTVTEVLAKDTGLTDPDAVTTVKSVTKCIKILSPVKVYGSSMDQRNHHN >itb03g30550.t1 pep chromosome:ASM357664v1:3:32924214:32926524:1 gene:itb03g30550 transcript:itb03g30550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 5 [Source:Projected from Arabidopsis thaliana (AT2G13610) UniProtKB/Swiss-Prot;Acc:Q9SIT6] MKKQGCEVEALGISYAISTHQKHHRRRHFKLFSRDEEEKLAGGVRVVLKDVSCHAKPWEILAIVGPSGAGKSSLLEILAGKIQPQSGLIRLNQKPVDKARFQKLSGYVTQRDTLFPLLTVEETLTFTAKLRLRLPVPELGSRVTQLIEELGLSHVAGARVGDTDRIRGISGGERRRVSIGVEVIHDPKVVILDEPTSGLDSTSALQIIDMLKLMAERRGRTIILSIHQPGFRIMKLFNSILLLANGSSLHHGTVEQLSTRLRLLGLHPPLHVNVLEFAIDSIEAIIQEEEKSPSTPNNKAGDEEQLLHLRCGESKTGNFTLQQLFQQSKVVDQEAIEVVSKDLDLVEESFANSRMRETMILTHRFWKNIYRTKELFALRSVQMVVSGLVLGSIFYNLKEDFVGAVERVGLFAFILTFLLSSTTEALPIFLQEREILMKETSSGSYRVSSYAIANALVYLPFLLILALLFSLPLYWLVGLNPHFTPYLHFLLLIWLILYTANSVVVCFSALVPNFIIGNSLISAVMGSFFLFSGYFVSKHGIPNYWIFMHYISLFKYPFEGFLINEFSGSNKCLQYMFGKCMVSGEKLIREEGYGEESRWRNVLIMLCFILLYRFISYVILRFRCSQGGILSHSKIIIHHR >itb08g11770.t1 pep chromosome:ASM357664v1:8:11749231:11759634:-1 gene:itb08g11770 transcript:itb08g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSKEQLLARLQELQIDFARSDHPVVMTVEAQAKYVGNIKGALCKNLFLKDKKNRFYIVSALSETKVDLKVLSQRLGLGKGGLRMAPEEAFTEVLQVPLGSVTPFALVNESARHVSLVLDRGLKTQERCIFHPLSNDTSIALNARDLDKFLNSIGKTLAYVDLEANPPIGKDQPPDLAALVPSDAIVLPDLPEKASLLQGHEHSGHKLHNDIPTNNKSIIPAVVKSVKPSVDSQKKSTNVLGLANSFADPNKFVEEVLEKTSAIILSEIKVENAKQDGEQLGTALVADLRKRLSEELKSMATMFKNVAYTEGFAAGTCNQPKRL >itb08g11770.t2 pep chromosome:ASM357664v1:8:11749231:11759634:-1 gene:itb08g11770 transcript:itb08g11770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSKEQLLARLQELQIDFARSDHPVVMTVEAQAKYVGNIKGALCKNLFLKDKKNRFYIVSALSETKVDLKVLSQRLGLGKGGLRMAPEEAFTEVLQVPLGSVTPFALVNESARHVSLVLDRGLKTQERCIFHPLSNDTSIALNARDLDKFLNSIGKTLAYVDLEANPPIGKDQPPDLAALVPSDAIVLPDLPEKASLLQGHEHSGHKLHNDIPTNNKSIIPAVKSVKPSVDSQKKSTNVLGLANSFADPNKFVEEVLEKTSAIILSEIKVENAKQDGEQLGTALVADLRKRLSEELKSMATMFKNVAYTEGFAAGTCNQPKRL >itb04g13230.t1 pep chromosome:ASM357664v1:4:13290145:13291164:-1 gene:itb04g13230 transcript:itb04g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKTEDEQNIEVFLRNNGSFSPKRYTYLEVKRITNSFRNKLGQGGYGFVYKGKLANGTFVAVKLLKELNGSGEEFINEVASISRTSHVNIVTLLGFCVEGDKRALLYEFMPNGSLESFIYDGKLRSDRQLEWLTLYKITIGIARGLEYLHRGCRTKILHFDIKPHNILLDEDFCPKISDFGLAKLHTTKESFVSITGARGTIGYVPPEVACRNFGAVSHKADVYSYGMMVLEIVSGRKNVEHDVDRNSEIYFPHWIYRQIELDKELGLKGIMNELDKEYARKMIMVGLWCIHVDPSIRPSISMVLEMLESSVDLLPFPPKPYLYSSTSTEANSSTLH >itb10g15530.t1 pep chromosome:ASM357664v1:10:21704020:21705678:-1 gene:itb10g15530 transcript:itb10g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTELCSSRVLSPFREESGDEELSVLPRHTKVVVTGNNRTKSVLLGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGDEDDDYDFDDSSSGSEVGEKDNHHFPTGVEYRKVSKPRVRYTRPWATKSNSRSSSKDVETKYCHLYQQRVNFAKLGTSSLWRYWRSHNLASISTPNPTKDQLVNAVQRHFAAQRVDEVQVVVEFIRAAKRLRSADLHRD >itb01g12550.t3 pep chromosome:ASM357664v1:1:12097292:12100832:-1 gene:itb01g12550 transcript:itb01g12550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVVVVKEEMDPVIENENVGVEKEGILGEKASESEVVGDEIVVNEAPKVSLVKTESSGNVSKSKASTQSKVSSTTTAAVAASKGSRQKKDQASSRGSAAMARAKRTTSLTQSLSFPAKGVSSDVMRKSIDVYPKKLSAKGSFSNATEKPVPHSSPAGRRASGGVLKSANINVGGTTNRRKTLPAASSVHESTVNSGNTISANETESKNTCEGSTDENIKPIKENEDVQSTTSSTSVAGFSFRLEERAEKRREFFSKLEEKIQAKEMERNNLQAKSKENQEAEIKQFRKSLAFKATPMPSFYKEPAPKAELKKIPTTRPRSPKLGRNKNSASTTNSSESGGSGLSPRVAKEPDKSPKSLPSNGDKKSTATSTKPVRNLQTETVSQPAVPKTKEKSAATKPKPAKIKSPDQKTGETETEPASHTDELEQQTGEKSENSPADDNIAAAHSHLTNPVVVPAQVAVEG >itb01g12550.t2 pep chromosome:ASM357664v1:1:12097292:12100977:-1 gene:itb01g12550 transcript:itb01g12550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVVVVKEEMDPVIENENVGVEKEGILGEKASESEVVGDEIVVNEAPKVSLVKTESSGNVSKSKASTQSKVSSTTTAAVAASKGSRQKKDQASSRGSAAMARAKRTTSLTQSLSFPAKGVSSDVMRKSIDVYPKKLSAKGSFSNATEKPVPHSSPAGRRASGGVLKSANINVGGTTNRRKTLPAASSVHESTVNSGNTISANETESKNTCEGSTDENIKPIKENEDVQSTTSSNATPHGQLRTSVAGFSFRLEERAEKRREFFSKLEEKIQAKEMERNNLQAKSKENQEAEIKQFRKSLAFKATPMPSFYKEPAPKAELKKIPTTRPRSPKLGRNKNSASTTNSSESGGSGLSPRVAKEPDKSPKSLPSNGDKKSTATSTKPVRNLQTETVSQPAVPKTKEKSAATKPKPAKIKSPDQKTGETETEPASHTDELEQQTGEKSENSPADDNIAAAHSHLTNPVVVPAQVAVEG >itb01g12550.t1 pep chromosome:ASM357664v1:1:12097292:12100977:-1 gene:itb01g12550 transcript:itb01g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMVVVVKEEMDPVIENENVGVEKEGILGEKASESEVVGDEIVVNEAPKVSLVKTESSGNVSKSKASTQSKVSSTTTAAVAASKGSRQKKDQASSRGSAAMARAKRTTSLTQSLSFPAKGVSSDVMRKSIDVYPKKLSAKGSFSNATEKPVPHSSPAGRRASGGVLKSANINVGGTTNRRKTLPAASSVHESTVNSGNTISANETESKNTCEGSTDENIKPIKENEDVQSTTSSTSVAGFSFRLEERAEKRREFFSKLEEKIQAKEMERNNLQAKSKENQEAEIKQFRKSLAFKATPMPSFYKEPAPKAELKKIPTTRPRSPKLGRNKNSASTTNSSESGGSGLSPRVAKEPDKSPKSLPSNGDKKSTATSTKPVRNLQTETVSQPAVPKTKEKSAATKPKPAKIKSPDQKTGETETEPASHTDELEQQTGEKSENSPADDNIAAAHSHLTNPVVVPAQVAVEG >itb15g16690.t1 pep chromosome:ASM357664v1:15:16598265:16601572:-1 gene:itb15g16690 transcript:itb15g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPNALTTNITIDQSSLLSLKSYLNLSDDHILAQSWSTNISVCNWIGIFCGKRHQRVVALDISDMGLVGTLPPQLGNLSFLLHLDISFNYFYGNLPRELINLRRLRHINFSYNNFSGRIPKEIGNLKNVKLLILEVNQLDGIIPFTIFNISTLQFLLLGNNSLSGSLPMNLCQHLTRLEELVLYFNKLNGNIPRNLSACLELKVLALAYNDFTGTIPKEIGSLNMLRVLYLGGNKLEGIIPQEIGNLQRLAELEISYNHLTGAIPETIFNISQLTSISLLSNSLSGPLPPNMCSHSQKLEEIFLEINKLNGNIPTSIGKCSKLKFLFMWGNQLNGSLPRQIGNLTMLKKVDLGVNALLGEIPKELGNLEELEELKLDMNGLRGFIPNSLGNLPQLHLLSMYSNNLTTDAQFSLITSLANCRYLQQLSLSFNPLNTLLPNSIGNLSTTLEVFFIRDCTIRGPIPNEIGNLSNLYDLSLATNDITGFLPTTIRDLQNLQRFILSEDRLKGSFPEVLCQLRNLGMIDLTKNKFAGPISDCLGNVSTLREIYFSQNEFIDLPRNLWNLENLLRLHLRSNNLHGFLPQEIGNAKTAILIDLSNNKLSGEIPSTIGGLTQLMHFSVAHNRLQGSIPDKFGKLLDLNSLDLSHNNFSGMIPKSLEGLVSMKYFNVSYNRLTGEIPSGGPFANFTYESFLGNDGLCGTPGMHVPLCPANTLHPSKKNRVVIGIGGRFPVNLACCWH >itb11g17370.t1 pep chromosome:ASM357664v1:11:17139263:17145634:-1 gene:itb11g17370 transcript:itb11g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYGRVGGGIYTKAADVGADLVGKVERNIPEDDPRCWWHLLKFEVNACNGFYCDWFSPNKAYKPKMWIEAWTAWFTEFGGPVPYRPAEDLAYSVAKFIMKGCSFINYYMLLICKNEVERLKLDFETVSQRAVALENQANDAEKALHEFQESSRKTAIQQEQEMNSLLEKMKKETAEKKRVASKAFKNELEGIKAAIEAAKEN >itb12g22640.t1 pep chromosome:ASM357664v1:12:24669986:24673052:1 gene:itb12g22640 transcript:itb12g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRQQQRAAAMKNPAKMPGDKLKEQSSSPPFNILKTNINTRTYHPTFIQSSIQLNNNFPSSVIINKLKLCMKQLEKWRMLFCHKCHHSFTTPLVPVRRNQKLISDKIAALQKPTDTVSVLLEASFSIKALQDQIQKLCNTVTSSESNGEYSSQVGLCLVPVSLSWKLAEHNFFNQ >itb10g20360.t1 pep chromosome:ASM357664v1:10:25951459:25952757:1 gene:itb10g20360 transcript:itb10g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQENQPLQTQQLYYWGTTPESDYYTAQGIKSTKSFYTSPRGLTLFTRAWLPTAGTPRGIIFMVHGYGNDISWTFQATPIFLAQNGYACFALDLEGHGQSEGLKGYVPNLDLVIEDCLSFFNFTLTQNPQFKNLPKFLYGESMGGAISVLIHLKTPEGFNGAILIAPMCKISEKVRPSWPIPQVLTALSRFAPTLPIVPTADLMHKSVKVPGKKIIAAMNPYRYTGKPRLGTVVELLRATDYVSSKLSDVDFPFVVLHGSADVVTDPEVSRELYRMAKSKDKSIKIYDGMMHSLLFGETDENVELVRTDILKWLNDRC >itb08g16150.t1 pep chromosome:ASM357664v1:8:18313177:18313503:-1 gene:itb08g16150 transcript:itb08g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKAKLALQSYQSTAARSQPPLFFSFLSQQKSAAETVSNLTPVSSTDLRYLCSPPPSLFAFAVAVRLRLRPRPCRRRSPPPLPVRHRPSLLECWEMTFGSQKKKQK >itb03g24380.t2 pep chromosome:ASM357664v1:3:23105045:23107100:-1 gene:itb03g24380 transcript:itb03g24380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINNRFRVSDFLSSSASSHSHFFPSLFFLCFSLAIPSPLYSLYLSDLIPHSPCLSPSPVFVSHLSHTLRGSASHPPRFRFSPWRLRFSPRPVSPILTLASPNLTSVSPNLTLASPTLTPAAPALRPPLSQVSPLL >itb03g24380.t1 pep chromosome:ASM357664v1:3:23105045:23107100:-1 gene:itb03g24380 transcript:itb03g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINNRFRVSDFLSSSASSHSHFFPSLFFLCFSLAIPSPLYSLYLSDLIPHSPCLSPSPVFVSHLSHTLRGSASHPPRFRFSPWRLRFSPRPVSPILTLASPNLTSVSPNLTLASPTLTPAAPALRPPLSQVSPLL >itb07g20940.t1 pep chromosome:ASM357664v1:7:25368326:25371351:1 gene:itb07g20940 transcript:itb07g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAGIFVILGIALLSTGVECIPRRGLSAATVFDITSFGAKPGGKKDSALAMIRTWNKACESTEAAKVVIPEGEFRAGEVVFQGPCTAPKPIVIEIQGTLLADTDLSVFTSNYWVSIEHVDGVEVTGGGTFNGRGEDVWQFDADEKIKNAPLLPVSLVFQNANHSSIHGIKFVNSKGFHMKVSDCSEFSVSKLHISAPGNSPNTDGVHISGSTNVNVTDLVVGTGDDCVSIGDGNTNLLVANVTCGPGHGISIGSLGKREQETDVNGVTVRNCTLIGTSNGARIKTFRASPKLKASSIVFEDLILQNVTNPIIIDQDYGSKSKIEPSNVEISNVHFRNIKGTAAIRKPAITLTCSESVPCKGVELSDIDIVPVEGAKGKLKPNACLNIKAVFKGKSNPGAC >itb10g19030.t1 pep chromosome:ASM357664v1:10:24831276:24831665:1 gene:itb10g19030 transcript:itb10g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICTIPGYEPMLLACAATGNVKQGQQMLCFAEARALLDRSLTDDVIAWNALLSVHTELGLTEFARELFTEMPEKNLESRNFMLSGYAMLSGYAVCLVK >itb11g05190.t1 pep chromosome:ASM357664v1:11:3068415:3069053:1 gene:itb11g05190 transcript:itb11g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRDDIQRLKNHILAHRPELKHVSSFTLISSYLWTCLVKSRYSAETDNIDDDEDELFGFAAECRARLDPPLPENYFGNCLTFVIGFAKTKQLTGEKALVDAAAVIGDSIRRQLYDKESGLFKGAEDWFALLSAAKPERSISVAGSPKFDYYELDFGWGRPKKFEFASIDLFGAFSLSKARDIEGGLEVGLSLPLTQIHSFSTIFTHDLKAL >itb03g18950.t1 pep chromosome:ASM357664v1:3:17107501:17111100:1 gene:itb03g18950 transcript:itb03g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MGTKNQSSSSSKSTSEPKKRRRIAFITDDGVEPMDCIEIYLVSKREEVGSPDSFLLDPIDMDHFFDEGRRKFYGYKGLKIKVWVNVISFHAYADISFESSSDGGRGITDLKSALQCIFAENLVEEKEVFLQTFSTERHYIKSVVSNAELLQHETSTEHKVFRIVGEPLGLLYCRLVPLVLLLVDGSNPIDVTDPSWEIYLLVEKCSDSQEDEHLQMLGFAAVYRFHRYPDSARMRLGQILVLPQYQRKGHGNCLVKVLNNVAIRENVYDLTVEEPEDSLQHVRTCIDVERLLAFDPIKSALESDVLRLKQENPSKRSVGDVRRFCPPASVVEDVRKTLKINKKQLLQCWEVLVYLRLDPIEKYMEGYRAIIADKVKADVIGKDSEVGGKRVVDVPTEHDQEMSFVMFKYDDGLSCRKDDEKQANVEEQLQKLVDERMKQIKLIADKVSAQP >itb11g10750.t2 pep chromosome:ASM357664v1:11:7677619:7681100:-1 gene:itb11g10750 transcript:itb11g10750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSNLLLKGLAAYRTSALGSRSLYQAGNRYFSSVPNDPDTHEDFRPTSKVESSGISLKDIVEQDVKDNPVMLYMKGVPDLPRCGFSSLAVRVLQEYNVPISARNILDSYELKDAVKSFSHWPTFPQIFINGEFIGGSDIILSMHQSGELKEKLKDIVKEEGKRE >itb11g10750.t1 pep chromosome:ASM357664v1:11:7677600:7681519:-1 gene:itb11g10750 transcript:itb11g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSNLLLKGLAAYRTSALGSRSLYQAGNRYFSSVPNDPDTHEDFRPTSKVESSGISLKDIVEQDVKDNPVMLYMKGVPDLPRCGFSSLAVRVLQEYNVPISARNILDSYELKDAVKSFSHWPTFPQIFINGEFIGGSDIILSMHQSGELKEKLKDIVKEEGKRE >itb15g22960.t1 pep chromosome:ASM357664v1:15:25726302:25729524:1 gene:itb15g22960 transcript:itb15g22960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYLIFLDDVWTTVAWDAIKRYFPENFNGSRILVTTRFKKVAKYLSADPYYVKHQTLDDHWELFSRKVFGQSHCVSREYEKIGKRIVCGCGGLPLAVVLISGLLMTANGSLEIWRDVARTLDGVGIYDDRISKIVSLSYKYLPSHLKACFYYFGVFPEDSEIPVKKLINLWVAEGFIKQHNNMSLEEVGESYLHDLINRSLVQTNDLSIDGKVKSCNIHDLVHEVCVREAIDGNTLWIIKDYYAPKASHWLSCQTSHWPITRASYENCGPDEIHSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSLVKCSQGMPLEITDLVYLRYLALSTICSLYKFQFLKHKNLVTLIVTSWMEKCPLQLPCDILDLPQLRHLHVDKRCSQYLPCLVKKDLQTLYWLKVASSDKKPNFRFVPNLKELGIYIESQLAPSYLESLVHLHLLEKLKFEVGRVECFYLPIGLPPNLKKLTLRYTYLPWKEMDTIGKLPHLEVLKLKDFACCGSKWEPSKQGFWKLKELLISRSDLKHWNASSNNFIVLESLVLRYCWELKQVPLKFAKIGTLKLIVLDCCYSSLVTSAMQISSTKRAGLRGSDLVGIGRSNAATSPEKFHRHRDSDLVGIGRSNAATSPEKFHRHRDCHAADRERFFGVVSCREWVRRSRFSEPILSRYVLCCVMLT >itb10g18300.t3 pep chromosome:ASM357664v1:10:24322653:24324255:1 gene:itb10g18300 transcript:itb10g18300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVNATPFNCMPSLSPASSLQLQIQCNGGFGLSNCVQICGSKPKGIAVSNVNCTASSAAAIVANQEDLLPLQLAEVKALCRNWVWNGYNINYLVCQASNGSPSRPAILLVHGFGASVGHWRRNIAVLAKDYTVYAIDLLGFGASDKPPGYAYSMEKWAMLILDFLSEVVQMPTVLVGNSVGSLACVIAAADSQQSLIRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLQQKAIASALFDRVRQSENLKNILLSVYGNKDSVDEELVEIIRGPANDEGALDAFVSIVTGPPGPNPMQLVPQLALPVLVLWGDRDPFTPIDGPVGKYFSSLPSQLPNVKLFLLEGVGHCPHDDRPDLVHDKLLPWLASLPPL >itb10g18300.t2 pep chromosome:ASM357664v1:10:24322326:24324360:1 gene:itb10g18300 transcript:itb10g18300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVNATPFNCMPSLSPASSLQLQIQCNGGFGLSNCVQICGSKPKGIAVSNVNCTASSAAAIVANQEDLLPLQLAEVKALCRNWVWNGYNINYLVCQASNGSPSRPAILLVHGFGASVGHWRRNIAVLAKDYTVYAIDLLGFGASDKPPGYAYSMEKWAMLILDFLSEVVQMPTVLVGNSVGSLACVIAAADSQQSLIRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLQQKAIASALFDRVRQSENLKNILLSVYGNKDSVDEELVEIIRGPANDEGALDAFVSIVTGPPGPNPMQLVPQLALPVLVLWGDRDPFTPIDGPVGKYFSSLPSQLPNVKLFLLEGVGHCPHDDRPDLVHDKLLPWLASLPPL >itb10g18300.t1 pep chromosome:ASM357664v1:10:24322320:24324360:1 gene:itb10g18300 transcript:itb10g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGFTANSFLSSSSSSSPLCSFSSQSCRIQGKNMVVVAVNATPFNCMPSLSPASSLQLQIQCNGGFGLSNCVQICGSKPKGIAVSNVNCTASSAAAIVANQEDLLPLQLAEVKALCRNWVWNGYNINYLVCQASNGSPSRPAILLVHGFGASVGHWRRNIAVLAKDYTVYAIDLLGFGASDKPPGYAYSMEKWAMLILDFLSEVVQMPTVLVGNSVGSLACVIAAADSQQSLIRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLQQKAIASALFDRVRQSENLKNILLSVYGNKDSVDEELVEIIRGPANDEGALDAFVSIVTGPPGPNPMQLVPQLALPVLVLWGDRDPFTPIDGPVGKYFSSLPSQLPNVKLFLLEGVGHCPHDDRPDLVHDKLLPWLASLPPL >itb06g06130.t1 pep chromosome:ASM357664v1:6:8828289:8829955:-1 gene:itb06g06130 transcript:itb06g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LAZY 1 [Source:Projected from Arabidopsis thaliana (AT5G14090) UniProtKB/Swiss-Prot;Acc:Q5XV40] MKLLGWMHRKLKHNCNEAVKNPTYGFGNPNTSTTMYEKVRWNIKETEEKALNEVVFHGFLAIGTLGGAGSEAAAALFNSSTEPPTPTFEETTQITDQDLKIISKELEKFLEAEEEEDAQSSKRSSYASIITLADKTQQDSDSSSLLLDCPLHKYFFGVSEEADHMAVKNKEASLSEVDLQDEVVLKGNYCASHKKAGSSKAQFAKNLMKKMFKSLNSNSKSKTHAAANESAASTKKKFPKVLKMFKKKVHPEKGAEKDDENRAIPVKGNRLRSTNIWFRQMISPQEHQDELIMRTSESCRGSSSGRGGAFMENNGHWIKTDADYLVLEL >itb02g24290.t1 pep chromosome:ASM357664v1:2:24627530:24628238:-1 gene:itb02g24290 transcript:itb02g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKAEADCVLKVDVQCDECKMKLMEVLSSISGVYSVTIDAEQGIAKVAGEVEPNALLRALSRSGKHAELVRVTFRDPQMTRNSNYDRYASSSPPYIQQGYGNGYNAIEDSCARELPEHSLGYDYPDNNYSGGYLPPAQYLPLDDEYTDAASTSLCAIM >itb04g05970.t1 pep chromosome:ASM357664v1:4:3880844:3883565:1 gene:itb04g05970 transcript:itb04g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVSNGHVKRLQDDDIQSNVLEIVGSNVQSTFITCPADPNATLGIKLPFLVVIAKNVSKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRLDDGWNQIQLNLTDLTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQQRP >itb04g05970.t2 pep chromosome:ASM357664v1:4:3880844:3883528:1 gene:itb04g05970 transcript:itb04g05970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVSNGHVKRLQDDDIQSNVLEIVGSNVQSTFITCPADPNATLGIKLPFLVVIAKNVSKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRLDDGWNQIQLNLTDLTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQQRP >itb07g08380.t1 pep chromosome:ASM357664v1:7:6591449:6592670:-1 gene:itb07g08380 transcript:itb07g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIDDSRSKSHHRTHQIFLLANYLLIGGATSCAFLALALRLVPSLCGAFFILLHALTVAGAISGCAAASAGSNRWYGAHMVATVLTAIFQGAVSVLIFTRTSDFLLELKSYVREDDGALILKLAGGLCVMIFCLEWVVLLLAFVFEVPCLCGWK >itb01g31730.t1 pep chromosome:ASM357664v1:1:35368990:35370405:1 gene:itb01g31730 transcript:itb01g31730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSQADPSRKKRRLLLIFNCIILGIGNCGGPLITRLYFLDGGKSVWFSSWMETGGWPMNLIPLLLTYIQRRRSEGSNAKLLFITPRIFMKAAIIGVLTGVDDYVYAYGLSKLPVSTTVLLIATQLAFTAGFARLLVNQKFTAASVNAIVLLTIGAGCLAIGASGDRPPGETEKEYILGFVLTLTAACLYGFVLPWIELTYRTAKQAITYTLVLEMQLVMCFFSTSFCSFGLLVSKDFKTIPDEAKAFSMGVGKYIAVCIGSAVLWQLFFMGVVGVSCFGSSLMSGIIISALTPVTEVLGVLFYHEKFRGEKALSLVLALWGFVSYFYGEMQTSKESKDHEESQDLNPSQTLPI >itb01g17400.t1 pep chromosome:ASM357664v1:1:22119020:22125940:-1 gene:itb01g17400 transcript:itb01g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDMLQEALLGRDDNNNNNDDEFSKGGIWSGLTFMWLNPLFKKGYGQKLRVEHIPNVPRLDAAHQASFVLEQALTLTPSSLQSAILYSVSKPLAINALFAGTNTIASYIGPMIITSFVKFLSEKHDNSNWQKGLLLSFIFFFAKMVESLAQRQWYFGAHRIGIRVRAALMALTYKKSLTVKHGSVSTGKVINFLNVDVERIGDFFMFIHWIWLLPFQVIFALLILYMNLGAAPSAAAFVATIVVMVSNIPFARRQKQLQTKIMEAKDSRIKATSEILKNMKILKLHSWETAFFNKLLKLRETEKGWLRKYLYTCSAVAFLFWASPTLVSVVTFGVCVVTNTPLTAGTVLSALATFRILQEPIYNLPELISTVVQTKVSVKRMQEFIGEEDQQKLTSYHASDTSEVALEIEPGEYAWEYDDSKKSTIKINDKITIKKGSKVAICGSVGSGKSSLLCSIMGEIPKVSGSSSIKSYGSKALVAQTAWIQTGTIRDNVLFGREMDKTYYDDVVECCALTYDFGMWADGDLTLVGERGINLSGGQKQRVQLARAIYSDSDIYLLDDPFSAVDAKTGAQIFKAKMSHGKLMQQNCYLCHPSVGIFRCFRPHLDSTSELHRHMVAHTRSLNQVDRSQDSSLKQDNNTNDVIEEKEKFDVLTGSDQLFERNQEETETGRVKWKVYSIFLTAAYKGYLVAPVLLCHILFQGLQIASNYWITWGTETEGRMPRAKLLRIFVLLSGGSSIFILGRAVLLSTIAIETAQQLFVQMAKSVFRAPLSFFDSTPSSRILNRSSTDQSTVDTDIPYRLAGLVFALIQLLSIIVLMSQAAWPVFFIFLIIIAISIWYQAYYITTARELARMVGTQKAPVQHHFSESISGVVTIRCFNQEDRLLKKNLSLLDCYSRVAFYNSATMEWLSVRINFLFNLGFLFLLIILVNLPRSAIDPSLAGLATTYALNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEPPLIVENSRPKAFWPHNGRIEILNLSVQYRPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIHTLFRVVEPAEGCLLIDDVDISKIGLQDLRSRLSIIPQDPTMFQGTVRTNLDPLEQHSDEDIWEVLHKCNLAEILQQDQRFLDAPVAEDGENWSVGQRQLVCLARVLLQKRRILVLDEATASVDTATDNQIQKTIREETSGCTVITVAHRIPTVIDNDLVLVLGDGKVVEYDSPAKLLKDKSSEFSNLVMEFLQRSNKASCSLDLA >itb13g04320.t1 pep chromosome:ASM357664v1:13:4846866:4849176:-1 gene:itb13g04320 transcript:itb13g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRRFTVLCMFLIYPALCHSAAYPSKATLYKQPDGKGTPDGACGYKDYGRFVNGGEVCTVTNKLFNNGAACGSCYQVKCTIQALCSSTGTKVVVTDNGGGPTGTDFICSYVGFRKMAKAGKEDELVKKGIVGITYEKVPCDYTDSVAIKVTDQSSNPGYLSILVLNAGDVASVETESGTWITMRRVYGAVFDLSNPPEGELQVRLQIGTSTDYSKNPIPDTWTAGDVVDTGIHY >itb14g19890.t1 pep chromosome:ASM357664v1:14:22403946:22405664:1 gene:itb14g19890 transcript:itb14g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNVAKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVDLQEQLISLKKLAANQSNLNDSMASAANPNDRSNNNVTIPSSLQDVHNWFHYSQNPTAMAAAEFYPAASSNACNNGGNLQPAAIYYSNINENPIYMGTNINISNNNYSYADASSSSMDDLQSAAFRFLHH >itb12g27110.t1 pep chromosome:ASM357664v1:12:27689508:27690318:1 gene:itb12g27110 transcript:itb12g27110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASKPKDSKKNDAAIPEAPITPKKPDVQSTPEALNKDGEETMKEEPLVDLSEPAPEAPKAEEAASETAPAAPEIVPEPTPKPAVEETPATAVEEDKAPKVEEKKEAEAVTEAAEAKTEDEPKAPAV >itb05g27470.t1 pep chromosome:ASM357664v1:5:30815547:30817500:-1 gene:itb05g27470 transcript:itb05g27470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATEDAQSYVTCIYVAKIADLFRSITITWSKNLVSHTLFVVVENTYEENHYTCKIDLKTWQFWGKKGYKTFKVDGKRLDIYWDVKSAKFSSSPEAVSNYYVALAIGGELVLLLGDQEKEAVQRTKSKPSLVGAALVHKKESACAKTCFSTRTLLGQRKKEHIIVIESAMSGTEPEMWISVDGMECIRIVNLHWRFRGNETITVDDVPVEVFWDVHDWLYDSANAGPGIFIFKQSTNKDEASNSLTDADEDYPSTDFCHIFYAWKLQ >itb09g02090.t1 pep chromosome:ASM357664v1:9:1264087:1265703:1 gene:itb09g02090 transcript:itb09g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTEIYRDGSKESMPGSLDSLDIGIQIPYHFRCPVSLELMRDPVTVCTGQTYDRASIESWVATGNTTCPVTRAPLMDFTLIPNHTLRRLIQEWCVANRAFGVERIPTPKQPAEPGLVRSLLSQASSTQSHSRSRISALRRLRGLACESDKNRSVILANNAREVLLCILFSNMDSNSPELSHESLAILSTFPLPEVESVLVSSDPVRVRYLVSLLSNPSIDVRMNSAALIESVLSGTRSPELRAQISNADEIFEGIVGILNCPLLYSRALKIGVKALFALCLAKQYRHKAVTAGAVEALMDRLQDFDKCDAERALATVELLCRIPAGCAAFAAHALTVPLLVKIILKISHRATEYAAGALLSICSASEQAQREAAAAGVMTQLLLLVQSDCTERAKRKAQMLLKLLRDCWPEDLTAISDDYNCSDVVPF >itb08g08630.t1 pep chromosome:ASM357664v1:8:7621285:7621823:-1 gene:itb08g08630 transcript:itb08g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEKGREKAIWDCGSSLYDSFELKTFERHLHSAISSRTLSMPHLSDRRIIPPPPPHSKKSSSSKISRSLQKLLKSVFRPRHHKSAAAALSEIPEYDTISPEIGPFVRRTASDRFKPAPLGISCA >itb10g18310.t3 pep chromosome:ASM357664v1:10:24326182:24328074:-1 gene:itb10g18310 transcript:itb10g18310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVRPDLSCRVYPEPVDHFDRLPDSLILLVFNMIGDVKALGRCCVVSKRFHSLVPQVDNVVVRVDCVISDEDGSSPSSATAATATTAAASAAAADKSRHPISSILRLVFTGLLKPFQSLSQFISASASPRRVGASSAMEDGEFDQSSVTHHSPTQVLKNFNEIKFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGASSVTHPSPSPSPAGNNNNNTLIPDDQTPNNDSNPENDNGSIPESFYTSGGLKLRVVWTISSLIAASARHYLLQPIISEHRTLDSLVLTDTDGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGTVLKGATLVAIRPSEQHKKDVVGSDGNWVASAFDEPYGAAARMLVKRRTYCLEMNSF >itb10g18310.t1 pep chromosome:ASM357664v1:10:24324978:24328074:-1 gene:itb10g18310 transcript:itb10g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVRPDLSCRVYPEPVDHFDRLPDSLILLVFNMIGDVKALGRCCVVSKRFHSLVPQVDNVVVRVDCVISDEDGSSPSSATAATATTAAASAAAADKSRHPISSILRLVFTGLLKPFQSLSQFISASASPRRVGASSAMEDGEFDQSSVTHHSPTQVLKNFNEIKFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGASSVTHPSPSPSPAGNNNNNTLIPDDQTPNNDSNPENDNGSIPESFYTSGGLKLRVVWTISSLIAASARHYLLQPIISEHRTLDSLVLTDTDGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGTVLKGATLVAIRPSEQHKKDVVGSDGNWVASAFDEPYGAAARMLVKRRTYCLEMNSF >itb10g18310.t2 pep chromosome:ASM357664v1:10:24324978:24328074:-1 gene:itb10g18310 transcript:itb10g18310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVRPDLSCRVYPEPVDHFDRLPDSLILLVFNMIGDVKALGRCCVVSKRFHSLVPQVDNVVVRVDCVISDEDGSSPSSATAATATTAAASAAAADKSRHPISSILRLVFTGLLKPFQSLSQFISASASPRRVGASSAMEDGEFDQSSVTHHSPTQVLKNFNEIKFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGASSVTHPSPSPSPAGNNNNNTLIPDDQTPNNDSNPENDNGSIPESFYTSGGLKLRVVWTISSLIAASARHYLLQPIISEHRTLDSLVLTDTDGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGTVLKGATLVAIRPSEQHKKDVVGSDGNWVASAFDEPYGAAARMLVKRRTYCLEMNSF >itb10g13080.t1 pep chromosome:ASM357664v1:10:19183503:19185245:1 gene:itb10g13080 transcript:itb10g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVERRILSLLHGQNTRIHLTQIHAHILRHLLHNSNQVLTHFVSVCGSQNKMDYANTIFQHFPYPNIFLFNSMIKGFSLCGPFLNSIALFSGMKNRGIWADEYTLAPLLKACSNLPDLRLGQAVHKEAIVLGFHRFTSIGIGIVELYSSCDRMVDAKRVFDEMSPRDVIVWNLMVQGYCRSGNVEMGLRVFRQMDERSVVSWNMMISWLARSGRDKEALELFREMNGNGFEADEATVVTVLPICARLGEIEVGSWIHSYVDSKGLIGDYVSVGNALVDFYCKCGDLEPASLIFKDMAGKNVVSWNAMISGLAFNGKAELGVQLFDNMISEGTRPNESTFVGVLACCTHAGLVQRGRVLFASMITNYDIEPTLEHYGCMVDLLGRNGHVQEAYDLIKSMPMKPNAAALWGSLLSALRTHGDMELAECTAKELISLEPWNSGNYVLLSNIYAEQGKWDEVEQVRELMRERNITKEAGQSMVG >itb03g06530.t1 pep chromosome:ASM357664v1:3:4751933:4758204:-1 gene:itb03g06530 transcript:itb03g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYPTDFMYLHGDLQLHIIQASNLPNMDLTSFRLRRWFACDVCRKNDSAGGGGGGDGAVSNRNKKIHHRGVIIGDAYVTVLALQTTLARTRVIPNSPNPMWDEHFHIPLAHRVAYLEFRVKDTDVFGAQIMGSVKIAAKDIAAGGTISNWYDVFDPSGKPPKPGAALRLEMKFIPFESNILYKHGIAGDPQFLGVRRTYFPLRKGCSVKLYQDAHVKDSNLKLPEVELDVDGGITYERGTCWEDICHAISEAHHLVYIVGWSVRHSTKLVRETRRALPPGGSLTLGELLKYKSEEGVRVLLLVWDDRFSKDAFLPGVMGTHDEETKKFFKHSSVICILSPRRASTKLSFIKQFTVGNMFTHHQKCVLVDTQGENGNRRITAFLGGLDLCDGRYDTPEHRLFHDLDTVFKGDFHQPSLPAGTKAPRQPWHDLHCRIDGPAAYDVLINFAQRWRRATRWREFAFGKKRRSKWHDDAMIKVERISWILSPAFSIGKETTSIPGDDPNLYVNEADSSRNWHVQIFRSIDSGSVKGFPKLIDTVEKQNLICGKNLVVDKSIQTAYIQAIRSAKNFIYIENQYFLGSSYGWPSYKDAGADHLIPMELALKIASKIRAKERFAVYVVVPMWPEGDPKSAPMQEILFWQSQTMQMMFEIISQEIKDVLVHPSHYLNFYCLGQREDVPSSLSQSDADKVSDSLRFQRFMIYVHSKGMIVDDEYVIVGSANINQRSMAGSKDTEIAMGAYQPYHTWAEQQHSPRGQIYGYRMSLWEEHLGKVEECFKKPETLECVTRVNEIARDNWEKYTAESFTAPLQGHLLRYPLDVNRDGRVSSLSGFENFPDVGGKIMGAYSPVILDLLTT >itb09g16480.t2 pep chromosome:ASM357664v1:9:11672373:11675149:1 gene:itb09g16480 transcript:itb09g16480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSRAVKNLVTKLSSVSERSRTEAICELRIISKNDAESRPLIADAGAIPYLAESLYSSDKDCQENATAALHNLSISSKDALISTRGLLDALSHALRNPSSAFTAQCAASAIFSLLTVEDYRPIIGHKRDIVFGLIDIARNPNSASRSIKDALKALFGIALYPLNRSQIIELGAVPALFSLVCKDGRVGILEDVTAVIAQIAGCEESWEAFRKVSGVGVLVDLLDNSTGSSNRTKENAVSALLNLVQCSGKEVTESIRGLVLGAVDGIIEVAENGSDKGRSKAMALLKLLDVSSCEF >itb09g16480.t1 pep chromosome:ASM357664v1:9:11672451:11675149:1 gene:itb09g16480 transcript:itb09g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSRAVKNLVTKLSSVSERSRTEAICELRIISKNDAESRPLIADAGAIPYLAESLYSSDKDCQENATAALHNLSISSKDALISTRGLLDALSHALRNPSSAFTAQCAASAIFSLLTVEDYRPIIGHKRDIVFGLIDIARNPNSASRSIKDALKALFGIALYPLNRSQIIELGAVPALFSLVCKDGRVGILEDVTAVIAQIAGCEESWEAFRKVSGVGVLVDLLDNSTGSSNRTKENAVSALLNLVQCSGKEVTESIRGLVLGAVDGIIEVAENGSDKGRSKAMALLKLLDVSSCEF >itb09g16480.t3 pep chromosome:ASM357664v1:9:11672325:11675149:1 gene:itb09g16480 transcript:itb09g16480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSRAVKNLVTKLSSVSERSRTEAICELRIISKNDAESRPLIADAGAIPYLAESLYSSDKDCQENATAALHNLSISSKDALISTRGLLDALSHALRNPSSAFTAQCAASAIFSLLTVEDYRPIIGHKRDIVFGLIDIARNPNSASRSIKDALKALFGIALYPLNRSQIIELGAVPALFSLVCKDGRVGILEDVTAVIAQIAGCEESWEAFRKVSGVGVLVDLLDNSTGSSNRTKENAVSALLNLVQCSGKEVTESIRGLVLGAVDGIIEVAENGSDKGRSKAMALLKLLDVSSCEF >itb12g00750.t1 pep chromosome:ASM357664v1:12:531210:532568:-1 gene:itb12g00750 transcript:itb12g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHGELDPMSPGSDMEMTLEEEEEEGEEMEEISYRDLKKRMWKDRLLMQKLKGEREAAAAAGKEGILGDDGESAATEKQVEASRRKKMARSQDAVLKYMVKIMEVCKGQGFVYGIVPEKGKPVTGSSDSLREWWKEKVKFDKNAPEAIAEYLPKLVGLVEDPNSSIIYLLQDLQDTTLGSLMSALMQHCLPPQRKFPLEKGLAPPWWPTGAELWWGDQGTAQEHGPPPYKKPHDLKKAWKISVLAAIVKHMSPDLDRMRRLVKQSKSLQNKMSAKDTTTWSKIVTREEDLVKLAQKALRITDQDFDQDSLKPDKRKCIFEREIETEIALFACQNLQCPQSGLGFGFPDKTSRTEHEQICPHRPDQNLVSSLITEGDDIDTGQFPDWINEDIQRIFHEYNAQFVNGGAGNYGNLLTDNLTEQQQFRYDPPMASEEASTSVWDLAYDDIDRD >itb02g22670.t1 pep chromosome:ASM357664v1:2:22219151:22220145:-1 gene:itb02g22670 transcript:itb02g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLINNVISHRINRGRDTSFWKDIWVGNEPLRSLVPDSINARDDRRDDMYWRHDATGTYTVKSGYNTIKGFNSLSQDKVWAKIWKLKIPSKFKTLLWTMCHGKVLGNAERKWRGLTEDGTCPNYGSLEESTDHIFRQCHEASLVWQAVGHCMGAGGNEDTKCLVYSNISKYRSRNLPEDWATTFATTCWWLWKWRNAKAFGGHIPDIRRKTGWIVDAVDEITRAFNRQNWGRKYTTSGVNCRIRWQAAGECAWTLNVDGSYKGAINAASVGGILRDHTGKWDGGFSSKENH >itb11g02680.t4 pep chromosome:ASM357664v1:11:1365430:1371874:-1 gene:itb11g02680 transcript:itb11g02680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISEGEKDLPDEDRKVEDGIVCEGQNASADVELKVESVSKDVNDEGRRALQAQGALQVQQQQSQSGTICWERFLHVTSIKVLLVESDDSTRHVVTALLRNCNYEVIEAANGLQAWRVLEDLTNHIDLVLTELEMPCVSGIVLLCKIMSHKTRKNVPVIMMSSRDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQNSVKSKSIEKCGNNSGSSDGEDNGSDGLNIGDGSDDGSGAQSSWTKQAAEVDSSQAVSPWDQVTECPDSTCAQVIRWSAENSGNRKVHVAATKDCQEEKQPDNTKCKYPATTIPKKLETQHENPIGAPINSVGEKHTNVVEIDPSANNNRIEKEQIDRKEFEAQKMVAAVSEIENNTMHESRKAVIEPSLKRPREMKESRETSQDDRYVFRRSEQSAFTRYNTSSQSNPLRTPNGLTGNSLVIDSGLESANNVVSNNIDMGSTTNKLATKPLTVQDKSEATCTTNGLLPSSAYKPVKNDFRNCQSLIKTSDMQATTLLAPSSSHTDIPDQHLHHHNNHYPHNSHHFHNQEQQPASNHDKFSLKQLTANALNSDSSNVMAGPFEGTLGNHSLNKSASGSNHGSNGQNGSSTAVNVGGNNGKTETGLDGKGGSGSGDASGSGSGSRMDPNKLAQREAALSKFRQKKKSRCFKNKVGNMI >itb11g02680.t2 pep chromosome:ASM357664v1:11:1365430:1371752:-1 gene:itb11g02680 transcript:itb11g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISEGEKDLPDEDRKVEDGIVCEGQNASADVELKVESVSKDVNDEGRRALQAQGALQVQQQQSQSGTICWERFLHVTSIKVLLVESDDSTRHVVTALLRNCNYEVIEAANGLQAWRVLEDLTNHIDLVLTELEMPCVSGIVLLCKIMSHKTRKNVPVIMMSSRDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQNSVKSKSIEKCGNNSGSSDGEDNGSDGLNIGDGSDDGSGAQSSWTKQAAEVDSSQAVSPWDQVTECPDSTCAQVIRWSAENSGNRKVHVAATKDCQEEKQPDNTKCKYPATTIPKKLETQHENPIGAPINSVGEKHTNVVEIDPSANNNRIEKEQIDRKEFEAQKMVAAVSEIENNTMHESRKAVIEPSLKRPREMKESRETSQDDRYVFRRSEQSAFTRYNTSSQSNPLRTPNGLTGNSLVIDSGLESANNVVSNNIDMGSTTNKLATKPLTVQDKSEATCTTNGLLPSSAYKPVKNDFRNCQSLIKTSDMQATTLLAPSSSHTDIPDQHLHHHNNHYPHNSHHFHNQEQQPASNHDKFSLKQLTANALNSDSSNVMAGPFEGTLGNHSLNKSASGSNHGSNGQNGSSTAVNVGGNNGKTETGLDGKGGSGSGDASGSGSGSRMDPNKLAQREAALSKFRQKKKSRCFKNKVRYQNRKRLAEQRPRIRGQFVRQTGQNNPSNTENE >itb11g02680.t3 pep chromosome:ASM357664v1:11:1365430:1371752:-1 gene:itb11g02680 transcript:itb11g02680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISEGEKDLPDEDRKVEDGIVCEGQNASADVELKVESVSKDVNDEGRRALQAQGALQVQQQQSQSGTICWERFLHVTSIKVLLVESDDSTRHVVTALLRNCNYEVIEAANGLQAWRVLEDLTNHIDLVLTELEMPCVSGIVLLCKIMSHKTRKNVPVIMMSSRDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQNSVKSKSIEKCGNNSGSSDGEDNGSDGLNIGDGSDDGSGAQSSWTKQAAEVDSSQAVSPWDQVTECPDSTCAQVIRWSAENSGNRKVHVAATKDCQEEKQPDNTKCKYPATTIPKKLETQHENPIGAPINSVGEKHTNVVEIDPSANNNRIEKEQIDRKEFEAQKMVAAVSEIENNTMHESRKAVIEPSLKRPREMKESRETSQDDRYVFRRSEQSAFTRYNTSSQSNPLRTPNGLTGNSLVIDSGLESANNVVSNNIDMGSTTNKLATKPLTVQDKSEATCTTNGLLPSSAYKPVKNDFRNCQSLIKTSDMQATTLLAPSSSHTDIPDQHLHHHNNHYPHNSHHFHNQEQQPASNHDKFSLKQLTANALNSDSSNVMAGPFEGTLGNHSLNKSASGSNHGSNGQNGSSTAVNVGGNNGKTETGLDGKGGSGSGDASGSGSGSRMDPNKLAQREAALSKFRQKKKSRCFKNKVRYQNRKRLAEQRPRIRGQFVRQTGQNNPSNTENE >itb11g02680.t1 pep chromosome:ASM357664v1:11:1365425:1371874:-1 gene:itb11g02680 transcript:itb11g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISEGEKDLPDEDRKVEDGIVCEGQNASADVELKVESVSKDVNDEGRRALQAQGALQVQQQQSQSGTICWERFLHVTSIKVLLVESDDSTRHVVTALLRNCNYEVIEAANGLQAWRVLEDLTNHIDLVLTELEMPCVSGIVLLCKIMSHKTRKNVPVIMMSSRDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQNSVKSKSIEKCGNNSGSSDGEDNGSDGLNIGDGSDDGSGAQSSWTKQAAEVDSSQAVSPWDQVTECPDSTCAQVIRWSAENSGNRKVHVAATKDCQEEKQPDNTKCKYPATTIPKKLETQHENPIGAPINSVGEKHTNVVEIDPSANNNRIEKEQIDRKEFEAQKMVAAVSEIENNTMHESRKAVIEPSLKRPREMKESRETSQDDRYVFRRSEQSAFTRYNTSSQSNPLRTPNGLTGNSLVIDSGLESANNVVSNNIDMGSTTNKLATKPLTVQDKSEATCTTNGLLPSSAYKPVKNDFRNCQSLIKTSDMQATTLLAPSSSHTDIPDQHLHHHNNHYPHNSHHFHNQEQQPASNHDKFSLKQLTANALNSDSSNVMAGPFEGTLGNHSLNKSASGSNHGSNGQNGSSTAVNVGGNNGKTETGLDGKGGSGSGDASGSGSGSRMDPNKLAQREAALSKFRQKKKSRCFKNKVRYQNRKRLAEQRPRIRGQFVRQTGQNNPSNTENE >itb07g24580.t1 pep chromosome:ASM357664v1:7:28816912:28819523:-1 gene:itb07g24580 transcript:itb07g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQKLCVTGAGGYVASWLVKLLLSKGHTVHGTVRNPGDAKYAHLHNLDKAPHNLKLFKADLLDYDSLTAAITGCTGVFHVACPVPSSSVPNPQKDLVEPALNGTLNVLKACSESNIKRIVIVSSVAAVLVTPNWPKDKVKDETCWSDQEYCIKTNNWYCYSKTVAEKEAFDYAKKSGLQVITICPSLVLGPILQHTVNASSLVLVKLLKEGYETLENKGRLLVDVRDVVEALLLVYERPEAEGRYICTAHEIKSQDLVEMLKKMYPNYNYPKSFTAPEGDHEKLSSERLQRLGWTYRPLEETLKDSIESYRQAGILD >itb01g20640.t1 pep chromosome:ASM357664v1:1:26881450:26882595:1 gene:itb01g20640 transcript:itb01g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEVVLLDSYASMFGMRVKVALGEKGIQYVHKEEDLKNKSPLLLEMNPVHKKIPVLIHNGKPVCESLIIVQYIDEVWKDKSPLLPSHPYNRAQARFWADFVDKKIYDCGRRIWATKGEEQEAAKKELIDCLKLLEGELGDKPFFGGESFGFVDVALIPFYTWFYTYEKHGNFSIEAHCPKLVEWAKRCMQKDSVSTSLADPHKIHEFVIQLKKRLGID >itb01g33690.t1 pep chromosome:ASM357664v1:1:36696816:36699192:-1 gene:itb01g33690 transcript:itb01g33690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQALDHHSSVLAAMTKVSLFFSFSFLFLLATYIQCYEAKGFNSLSREEFLLSTLRYTNVADDIDTTQLVSSSNIPTYIGPQDGLKESDKIETLPGQSNGVYFTQYAGHVSVNPVTGKALFYYFTESPQNPSSKPLVLWLNGGPGCSSLGGGAFGELGPFRPAKDGKTLNDNPYAWNNVANIIFLESPIGVGFSYSNTSSDYDNVGDDSTAQDSYTFLVNWLERFPEYKTRDFYITGESYAGHYIPQLVQLIVHNNQRTNQSRINLKGIAIGNAYVDYEANLQGIADYSWSHALISDELYKNILTTCNFSTPSATSEKCFDLIIRQRNEESGNIYPYDIYAPLCGSGTPSYSISGYDPCTADYTYTYLNTLQVQSALHANITATAHPWRKCNFTLGAGWKAPPTVLPVITELMGTGIRIWLYSGDTDIVVPITGTRHALYKLGVTVKTPWYPWYLSEEYEVGGYVEEYENITLVTIRGAGHFVPSYQPARALVLFSSFINGTLPPQNTKS >itb12g27550.t2 pep chromosome:ASM357664v1:12:27882713:27890063:1 gene:itb12g27550 transcript:itb12g27550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQMLSPRIRATLEYFLLFVAVTLFCILVVMHANYVQQPGCSSELSKVDISDVQLIQIKITSAGLWFQNETEYNEVDVSGGESNIEILKVIHVVEDGSVILTAKLWLNWLVAGAWTGKSPPKFWKADSEFLESQPEISTGSDSIKSAGDDTALKINKDGSLSRLLISPKESLKAAILQLGRKWHGRLSVLWRFTKRVVGGLWDIAGIHLKIDIPELLKTLHLHRLNSYGVQWLERRSNTYEPTYVYTMEKGYFLLPEEARLQHNIRAINISISAEHPCFGNRWQQLLINRLVGYDTILINSLLSSPGQGYLYNHQTKEFHNLTFAHEQPESSARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKYFPRFFLLYFLVFHIYFFSYSYGFSYLALSATVAFVEHLIIYFWNRFEVPALQRYMQNRRSHFQQQPDFHITSQTFLASTLHITRLNTRNPSPVNADLPPGAGPRAGIEAAVQRDGSTEFSGGEVVAANGNPARLGNPLQIGEQPDTRPPENGGANPGAMNPFSSLLLWILGGATSESLNSFLSIFRDHGQVYAGNPRQENRASQTAE >itb12g27550.t1 pep chromosome:ASM357664v1:12:27882713:27890063:1 gene:itb12g27550 transcript:itb12g27550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQMLSPRIRATLEYFLLFVAVTLFCILVVMHANYVQQPGCSSELSKVDISDVQLIQIKITSAGLWFQNETEYNEVDVSGGESNIEILKVIHVVEDGSVILTAKLWLNWLVAGAWTGKSPPKFWKADSEFLESQPEISTGSDSIKSAGDDTALKINKDGSLSRLLISPKESLKAAILQLGRKWHGRLSVLWRFTKRVVGGLWDIAGIHLKIDIPELLKTLHLHRLNSYGVQWLERRSNTYEPTYVYTMEKGYFLLPEEARLQHNIRAINISISAEHPCFGNRWQQLLINRLVGYDTILINSLLSSPGQGYLYNHQTKEFHNLTFAHEQPESSARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKYFPRFFLLYFLVFHIYFFSYSYGFSYLALSATVAFVEHLIIYFWNRFEVPALQRYMQNRRSHFQQQPDFHITSQTFLASTLHITRLNTRNPSPVNADLPPGAGPRAGIEAAVQRDGSTEFSGGEVVAANGNPARLGNPLQIGEQPDTRPPENGGANPGAMNPFSSLLLWILGGATSESLNSFLSIFRDHGQVYAGNPRQENRASQTAE >itb12g27550.t3 pep chromosome:ASM357664v1:12:27882731:27890063:1 gene:itb12g27550 transcript:itb12g27550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQMLSPRIRATLEYFLLFVAVTLFCILVVMHANYVQQPGCSSELSKVDISDVQLIQIKITSAGLWFQNETEYNEVDVSGGESNIEILKVIHVVEDGSVILTAKLWLNWLVAGAWTGKSPPKFWKADSEFLESQPEISTGSDSIKSAGDDTALKINKDGSLSRLLISPKESLKAAILQLGRKWHGRLSVLWRFTKRVVGGLWDIAGIHLKIDIPELLKTLHLHRLNSYGVQWLERRSNTYEPTYVYTMEKGYFLLPEEARLQHNIRAINISISAEHPCFGNRWQQLLINRLVGYDTILINSLLSSPGQGYLYNHQTKEFHNLTFAHEQPESSARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKYFPRFFLLYFLVFHIYFFSYSYGFSYLALSATVAFVEHLIIYFWNRFE >itb05g21620.t2 pep chromosome:ASM357664v1:5:27292213:27295611:-1 gene:itb05g21620 transcript:itb05g21620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMMVVAAIFMVVAVSLAAGSPDRHQKWWKWPSSGSDGASSSSSLSPVYSRGGPSSIVLPLYGNVYPNGFYFAQVDIGNPPRPYFVDPDTGSDLTWLQCDAPCVHCTRAPHPYYKPNNDLVICKDPLCASLHLGDYTCEDPQQCDYEVEYADGGSSLGVLLNDVFHLNLTNGVKIKPHLALGCGYDQVPGTSHHPLDGILGLGRGKSSVVTQLWGQGLVRNVIGHCLSGRGGGYLFLGDEVYDASRITWTPMSRDFTKHYSPGPAELTFGGKSTGAKNMVVVFDSGSSYSYFTSQPYEAFLYSVVKELRGKPLREARDDPTLPFCWKGRKPFRSISDVKKYFKPFALSFANGWRSRTLFEISPESYLIISSMGSVCLGVLNGTEAGLHTYNLIGGIYIYIYIFLDATKQ >itb05g21620.t1 pep chromosome:ASM357664v1:5:27291691:27295611:-1 gene:itb05g21620 transcript:itb05g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMMVVAAIFMVVAVSLAAGSPDRHQKWWKWPSSGSDGASSSSSLSPVYSRGGPSSIVLPLYGNVYPNGFYFAQVDIGNPPRPYFVDPDTGSDLTWLQCDAPCVHCTRAPHPYYKPNNDLVICKDPLCASLHLGDYTCEDPQQCDYEVEYADGGSSLGVLLNDVFHLNLTNGVKIKPHLALGCGYDQVPGTSHHPLDGILGLGRGKSSVVTQLWGQGLVRNVIGHCLSGRGGGYLFLGDEVYDASRITWTPMSRDFTKHYSPGPAELTFGGKSTGAKNMVVVFDSGSSYSYFTSQPYEAFLYSVVKELRGKPLREARDDPTLPFCWKGRKPFRSISDVKKYFKPFALSFANGWRSRTLFEISPESYLIISSMGSVCLGVLNGTEAGLHTYNLIGDVSMQDKMVIYDNEKSAIGWTRANCDRPPAPNLVFI >itb15g05510.t1 pep chromosome:ASM357664v1:15:3544915:3549676:-1 gene:itb15g05510 transcript:itb15g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFPFSSKCASTNVVSRPLLLPPLLLICLLLLSAATHLSALKEVNLKNPVVDVTPVPLAGFSSSRDIVSCERVLVAGLSRLKLWSYSSAYRVTLIPSAAIPERLHAKIHICSHKNSSLGSCQCDKDDWKILQKGAWNSVMSPYVDRFIDVKYFGDFSGSVTVTVEEDSQKWRLLCLALGLILLLLAPTVSSWVPFYYSSSMMIGVCLVIIILLFQGMKLLPTGRKNFFYLTIYGSVLGAGSFLLHHFSMMVNSILLSFGLDEELHNPVSVFLLVGIILAGAGMGYWLVRKYVVSENGGVDAGVAQFVKWAIRVVGITLIFQSTLDIPLAMAVLGSWFLICSSVTSVMRRRARDSSYSRNRTSSTGMNRQMNMTPKRAEFFRKSQGPGFYGRMSNRSKSSSPWSDPPVKGRAVQSNMWGRQNLPEYYSTFHKTPNRKKFSEREWEEFTQDSTKHAITELASSSEFTDWIIKNANRVQMLPEESSDETVESGSDSTDESAAESCSGISLFKWQRNR >itb15g05510.t3 pep chromosome:ASM357664v1:15:3546868:3549676:-1 gene:itb15g05510 transcript:itb15g05510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFPFSSKCASTNVVSRPLLLPPLLLICLLLLSAATHLSALKEVNLKNPVVDVTPVPLAGFSSSRDIVSCERVLVAGLSRLKLWSYSSAYRVTLIPSAAIPERLHAKIHICSHKNSSLGSCQCDKDDWKILQKGAWNSVMSPYVDRFIDVKYFGDFSGSVTVTVEEDSQKWRLLCLALGLILLLLAPTVSSWVPFYYSSSMMIGVCLVIIILLFQGMKLLPTGRKNFFYLTIYGSVLGAGSFLLHHFSMMVNSILLSFGLDEELHNPVSVFLLVGIILAGAGMGYWLVRKYVVSENGGVDAGVAQFVKWAIRVVGITLIFQVLRNSLLLICSCICCHTNNLFILLANRYYYYLMVPSALAYIVLGFYCRLMK >itb15g05510.t2 pep chromosome:ASM357664v1:15:3544920:3549676:-1 gene:itb15g05510 transcript:itb15g05510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFPFSSKCASTNVVSRPLLLPPLLLICLLLLSAATHLSALKEVNLKNPVVDVTPVPLAGFSSSRDIVSCERVLVAGLSRLKLWSYSSAYRVTLIPSAAIPERLHAKIHICSHKNSSLGSCQCDKDDWKILQKGAWNSVMSPYVDRFIDVKYFGDFSGSVTVTVEEDSQKWRLLCLALGLILLLLAPTVSSWVPFYYSSSMMIGVCLVIIILLFQGMKLLPTGRKNFFYLTIYGSVLGAGSFLLHHFSMMVNSILLSFGLDEELHNPVSVFLLVGIILAGAGMGYWLVRKYVVSENGGVDAGVAQFVKWAIRVVGITLIFQSTLDIPLAMAVLGSWFLICSSVTSVMRRRARDSSYSRNRTSSTGMNRQMNMTPKRAEFFRKSQGPGFYGRMSNRSKSSSPWSDPPVKGII >itb05g12050.t1 pep chromosome:ASM357664v1:5:18395582:18399852:1 gene:itb05g12050 transcript:itb05g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKPYIAVLIIQLIYAGMALLSKAAIDEGMNTYVFVAYRQAFATIFLAPFAFFLDRKISAPLSYSVLWKIFLVSFIGITLCLNLYYFALKYTSATLAAATTNIIPALTFTMAVISRVEKLSIKRSHGMVKVLGCLVSFCGALVFAFVKGPHIKLLDLGSTGSQEEASSRVVKFSFKCEMVKGTLVMLSANALWSMWYLMQAHVMKQYPAKVRLTTLQCLFSCVQSSVWAMAMVRDTSSWKLHWDINLLSVAYCGIVVTGITYWLQLFTVDKKGPVFVAMFTPLALVITAVVSAFLWKEKLYLGSLCGGLLLIGGLNGFLLGKNMEAKQLQQLEPKEGSTMECTIYTT >itb03g01720.t1 pep chromosome:ASM357664v1:3:965044:967718:1 gene:itb03g01720 transcript:itb03g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITKQYRCIHSASCVCTKGHLSEEVIFLVFEHINWNPKMIAALSCACKWFDDLAKRVLWKEFCKQRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNSIHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFATSKVRKMLIKREAKLHPREVCPYCKAKLWSMLQAKMIPSSASCRLGAYEDAIEYYVCLNGHVLGICTLLPLSDTEEASGSE >itb01g09910.t1 pep chromosome:ASM357664v1:1:8207792:8213554:-1 gene:itb01g09910 transcript:itb01g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVSSRKFVPTEDQIFLLYLIMGIYFGPDLKDERPKKSALRRIAEGLQLYSSDQLAGTCFRTAELERLYYYVLRKADQSVILPLPLFYQFFNGLLPTPVPGSVATYRQLNDLFPPHLHPLRLRDGHNVIENIAFINDPDVNYVSAGYLEKFKKLTGLQHLLLDGYREGSLPFPAGETHDHNGLTRGESNVQLDRTLVGPLNSANPLACTFPAPSPSNTNSENLDRAMLVLPTPPSNEEWRDIVASTIGGYSITGSAANGQIGPVLGLVDIGESEDSYLFRVSLPGVKRDESEFSCEVEDDGTVIINGVTTKGEKIVKKHSQVFEMQSQKLCPSGHFSISFKLPGPVNPQQFTGNFGTDAILEGIVMKAEQN >itb13g00690.t1 pep chromosome:ASM357664v1:13:643176:647547:1 gene:itb13g00690 transcript:itb13g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQPPEKNNGINPRRHLTREFGSRFRSNFLAARHSPSQNVGQPETTSLEKKALSDDKTQPKTPPSVQVSEALWSSRRHGSESDISQYSSKPDHALRPSTNVVQKQTETLRKPAPETKRSVLKGDNSADETENSRPVNSLNSQLQDQHALPSTTGGKPPSDSITKATKPPQESSNDLLPFGKDEKPISRPVSPMKAATQSLSVAENSRSLNNLHTQLLDRWHVGRTVRKVTSDSLSRGTGTIDRNGGLANPLQKSPSDMLSVLPPSEGVKGGFKFHSVDDNSVSIERAVSRPTTPYKESTASASSISKNPSSVDSLNSQLLDQYWWPSTAGEKTSSDSENKKTDLGKSQGSRAQSSQRLSLDGSTKLLLHESSSDLQSLLPSSKIARGGHTFHSLDDNLWSLQRVRTSVVNHDSRPHPTSPSKPSAPFFSKVRPSGTKATASAATAHFPGSVAPSKRRLSLDGGTNLLQISSRDLKLQLGSDRSRKGGYGFHSVDDNPLSIQRISDSASRLISQSKPSAPSSESRGVSPSRTNTIASTTSLFWGLEAPSSRRSSLDGGTTKFIQNSSREVLSLEGEKPGFGSHSIDLLPKQREKTSLVNPETRSHPTSPNRASTLSSSLHIGVIPSQTKTIVRGTSQRLPFDGATKTVQNSSGNMLSTMSNEHTEGGLGLHSVDDNSPTVETKRTPIVKPFGSRPTSPKKAPALSSSVSGVVNPSKAKVTSLFLGSKVPQKRRLSLDGATIPLQKPTNDTLSLLTSADIAKGSFEIRSVDDNSLLLRREKTPLVNPISKSRPTSPNKASALYFSLSRVSPSQAKAIPSAPPRRASPIKVRPSSPTRLTNSGFSFVADIRENDASQIEDAHQLRILHNRHLQWRYANAQANVAIHAEEVNTKEMAYNVWKTTSNLWGTLIEMRIELQQLRQELKLYSVLNKQLSCLDKWSSIERNHIASVSRASQDMKTCVLLLPLMTGARGDTGAIKSSVCSAVGMIQYFESSLFSMLSQLEGTSILVSKLAGVVAQERLLLDEFEFLMAPTADMQVK >itb06g15930.t1 pep chromosome:ASM357664v1:6:20164551:20166398:-1 gene:itb06g15930 transcript:itb06g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVEDEVMVPNIIVVRIDGHDFGRFSEAHEFDKPYDAKALHLMNACSTTVLEEFPDIAFAYGFSDEFSFVFKKETKFYQRRAR >itb08g14720.t1 pep chromosome:ASM357664v1:8:16478225:16484547:1 gene:itb08g14720 transcript:itb08g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRILSFRTSNPSAETESASFLSVSRTSLQRFPRRRSEMVACSNDALPSYSYSLPADDRRFSKQVYDNVHGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYFVYPGAVHSRFEHSLGVYWLASDAINRLKASQGSELGIDPFDVQTVKLAGLLHDVGHGPFSHTFEREFLPRVLNGIKWSHEDMSLKMIDYIVDKHTIDIDSEKHKKVKEMIIASESDQSNNLKEKQFLYDIVANGRNGIDVDKFDYIIRDSRACGLGCNFQFERIFDTMRVMDNEICYRAKEYLTIHKLFYTRADLHRTVYMHAKVKAIELMIVDALVKANNSLKITSYIDEPSEYWQLDDTIVKTIETSSCQELKESRDLILRIRRRDLYQFCNEFAVPKEKLEHFKNVTSQDIICSQNSDGSAPMLKEEDIVVTNVKIDLTRGRKNPLEWYVQ >itb08g14720.t4 pep chromosome:ASM357664v1:8:16478225:16483953:1 gene:itb08g14720 transcript:itb08g14720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRILSFRTSNPSAETESASFLSVSRTSLQRFPRRRSEMVACSNDALPSYSYSLPADDRRFSKQVYDNVHGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYFVYPGAVHSRFEHSLGVYWLASDAINRLKASQGSELGIDPFDVQTVKLAGLLHDVGHGPFSHTFEREFLPRVLNGIKWSHEDMSLKMIDYIVDKHTIDIDSEKHKKVKEMIIASESDQSNNLKEKQFLYDIVANGRNGIDVDKFDYIIRDSRACGLGCNFQFERIFDTMRVMDNEICYRAKEYLTIHKLFYTRADLHRTVYMHAKVKAIELMIVDALVKANNSLKITSYIDEPSEYWQLDDTIVKTIETSSCQELKESRDLILRIRRRDLYQVYT >itb08g14720.t2 pep chromosome:ASM357664v1:8:16478225:16483926:1 gene:itb08g14720 transcript:itb08g14720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRILSFRTSNPSAETESASFLSVSRTSLQRFPRRRSEMVACSNDALPSYSYSLPADDRRFSKQVYDNVHGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYFVYPGAVHSRFEHSLGVYWLASDAINRLKASQGSELGIDPFDVQTVKLAGLLHDVGHGPFSHTFEREFLPRVLNGIKWSHEDMSLKMIDYIVDKHTIDIDSEKHKKVKEMIIASESDQSNNLKEKQFLYDIVANGRNGIDVDKFDYIIRDSRACGLGCNFQFERIFDTMRVMDNEICYRAKEYLTIHKLFYTRADLHRTVYMHAKVKAIELMIVDALVKANNSLKITSYIDEPSEYWQLDDTIVKTIETSSCQELKESRDLILRIRRRDLYQFCNEFAVPKEKLEHFKNVTSQDIICSQNSDGSAPMLKEEDIVVTNVKIDLTRGRKNPLECINFFKDFESNEKFHIKDDRVSHLLPAFYQDMIVRVYSKKPDLVHANIFFFPRFLLRKIEKSHYFFLPPFSKF >itb08g14720.t5 pep chromosome:ASM357664v1:8:16478225:16482146:1 gene:itb08g14720 transcript:itb08g14720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRILSFRTSNPSAETESASFLSVSRTSLQRFPRRRSEMVACSNDALPSYSYSLPADDRRFSKQVYDNVHGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYFVYPGAVHSRFEHSLGVYWLASDAINRLKASQGSELGIDPFDVQTVKLAGLLHDVGHGPFSHTFEREFLPRVLNGIKWSHEDMSLKMIDYIVDKHTIDIDSEKHKKVKEMIIASESDQSNNLKEKQFLYDIVANGRNGIDVDKFDYIIRDSRACGLGCNFQFERSYNPQAILYTG >itb08g14720.t3 pep chromosome:ASM357664v1:8:16478225:16483953:1 gene:itb08g14720 transcript:itb08g14720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRILSFRTSNPSAETESASFLSVSRTSLQRFPRRRSEMVACSNDALPSYSYSLPADDRRFSKQVYDNVHGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYFVYPGAVHSRFEHSLGVYWLASDAINRLKASQGSELGIDPFDVQTVKLAGLLHDVGHGPFSHTFEREFLPRVLNGIKWSHEDMSLKMIDYIVDKHTIDIDSEKHKKVKEMIIASESDQSNNLKEKQFLYDIVANGRNGIDVDKFDYIIRDSRACGLGCNFQFERIFDTMRVMDNEICYRAKEYLTIHKLFYTRADLHRTVYMHAKVKAIELMIVDALVKANNSLKITSYIDEPSEYWQLDDTIVKTIETSSCQELKESRDLILRIRRRDLYQFCNEFAVPKEKLEHFKNVTSQDIICSQNSDGSAPMLKEEDIVVTNVKIDLTRGRKNPLEWYVQ >itb07g13510.t1 pep chromosome:ASM357664v1:7:15784681:15788750:1 gene:itb07g13510 transcript:itb07g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISQNACEDSPASSCLPSVCVFVAKDIRVFCICSSSAYFSTEMGKISSLSYLAYILRRRRRLHTSRPTATTQICRRPSSDNTTVSVASSDVSAPSDLSPSPSPSPFPYVAAPSDLSLSFSLKATMSDQFASTPASDPTFVSAPKGRGPTTCKNLKKKKIDEDVSIEFDEYNRPIGPYSKNFVSYIGTLVRAQVDININNWRSVDQCIKDTIWKDVKKEFAIQDDSKKNVVLKIASLRWKDFKSRLLHLLQNGRASSLRKKVRRRGNGGR >itb03g29080.t1 pep chromosome:ASM357664v1:3:29841166:29856262:-1 gene:itb03g29080 transcript:itb03g29080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLDPAFQGAGQKAGIEIWRIENFRPVPISKSHYGKFFTGDSYVVLKTTALKSGALRHDIHYWLGKDTSQDESGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHAELEEHKTSLYICKGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGANSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADADTGEFWGLFGGFAPLPRKTAIDETKSLDVLSTKLYRVDKGQAVPIEADSLTKELLDTNKCYILDAGIEVCVWMGRSTSLDERKTASGAADELLIGLDRTKCHVVRVIEGFETVMFRSKFDSWPHSAEVAVSEDGRGKVAALLKRQGVNVKGLMKAAPPKEEPQPYIDCTGNLQVWRVNAQQKMLLEASNQSKFYSGDCYIFQYSYMGEDKEEYLIGTWFGKRSVEDDQVSATLQASTMVESLKFSATQARIYEGYEPIQFFAIFQSFIVFKGGLSEAYKKFLAENELPDDTYNEDGIALFRVQGTGPDNMQAIQVEPVASSLNSSYCYILHNGSSIFTWSGNLTSSEDQELVERQLDLIKNLNYFGIYWVENLNTLARKLEGMLKVILICSCAPSQRVNEIYNFNQDDLMTEDIFILDCHSDIYIWVGQQVEYKTKMQALAIGEKFLEHDFLLEKLSRQAPIYIVMEGSEPPFFTRFFTWDSEKSAMHGNSFQRKLTILKSGGTPAVEKVKRRTTSSYGGRSAAPDKSRSRSMSSSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPMVRKVYPKSVTPDSAKLAPKSSAISALSATFEKHPPPREVIIPRSIKASTEAPKPNPDDNSKRNSTSSSGVEVPKPKPEAMQEEVKEGEAKDDGHPVYPYDQLKTTSKDPVTEIDVTKRETYLSSVEFREKFGMTKDAFYKLPKWKQNKLKMTLQLF >itb05g25120.t2 pep chromosome:ASM357664v1:5:29685899:29689394:-1 gene:itb05g25120 transcript:itb05g25120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTHSISAVLSTLRTRNRPAINDPVSRLSNPASIGYLPRSTYPRRPFVITLSYKSNNELPLDTKRQLLEQYGLDLDEFMSEPSPKPKRRKEQAKNRRGKAVVPEETKPPRETHRLLQVLAGTARRKKLLSPKGMDVRPMMEVVKGAAFGILQVAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDILRPNLEWTGFLDASVIHTVRVENFLEQAEHFVGKYGAFDYISVTPPYMEVDYGVLMNQVSKSSVVGEDTFIVSQFLYFVIDIFLFQQLFLLLLWHFGVL >itb05g25120.t1 pep chromosome:ASM357664v1:5:29685899:29689394:-1 gene:itb05g25120 transcript:itb05g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTHSISAVLSTLRTRNRPAINDPVSRLSNPASIGYLPRSTYPRRPFVITLSYKSNNELPLDTKRQLLEQYGLDLDEFMSEPSPKPKRRKEQAKNRRGKAVVPEETKPPRETHRLLQVLAGTARRKKLLSPKGMDVRPMMEVVKGAAFGILQVAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDILRPNLEWTGFLDASVIHTVRVENFLEQAEHFVGKYGAFDYISVTPPYMEVDYGVLMNQVSKSSVVGEDTFIVVEYPLRTDMPDSCGGLLKISDRRFGRTHLAIYGPKWAQKRRNRGKTPMAKVDTV >itb15g23910.t1 pep chromosome:ASM357664v1:15:26828261:26830498:1 gene:itb15g23910 transcript:itb15g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAFNFISFVFFLCFIILLHTQWRKKAKTRRKLPPGPWKLPIIGNLHQLSASSQQPTRVLGELAKKYGSQGMMKLQIGEILAVVVSSPAVAKELIRNHDLSFATKWQSLASNTLFYKSVVFSPYGDYWRQLRKVYATELLNAKNVRSFSSIRHDEIHSLLADLHSSSGQLVNFTHRIFLLMSSIICKSAFGKVFTGREEFLEQINEISELLGEFDFADVFPSWKVLHGLFSNKKRIMKTHRKVDAIIENIIKDHREKVESGDCLIDVLITQMDSCGLQLPITHDTIKGVIVEIFSAGSETSSSTTVWAMSEMMKNPRVLAKAQAEVREAFRGKEKLEEEDMEELAYLKSVVKETLRFHPPIPMLVPRDCMEETMVSGYTIPLKSKVLINVWAMGRDPQYWEDPESFIPERFEKSSIDFMGNHFEFLPFGGGRRICPGLGFGFANALSPLAHLLFHFDWKLPSGVTADTLDMTEMNGIAVARKNDLFLIPTPKSNPSC >itb12g08640.t1 pep chromosome:ASM357664v1:12:6797983:6799753:1 gene:itb12g08640 transcript:itb12g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQMASIVLLALLASSARAYDNNPLQDFCVADPQAAVFVNGKPCKNPKLVEANDFYKPAGFNTPVGGVNLSSVGLITKLLDVNQFPGLNTMGLSIARIDFAPNGLIPLHTHPRGSEVVYVLEGTVYVGFVSSNPLNGQKNKLFSKILNPGDAFIFPVGLVHFLYNVGRTKALVFAAFSSQNPGFVSLANSAFGSAPPISQDVLTKVFRLDKQVITYLQSQIWPFI >itb03g07120.t1 pep chromosome:ASM357664v1:3:5216685:5219001:-1 gene:itb03g07120 transcript:itb03g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASLLKSSPVLDKTEFLKGQALRHPSVSLVRCHPAPSLGFSIRASYADELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLLVSAPGLGKFISGAILFEETLYQSTVEGKKIVDVLTEQGIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYKQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAKKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDRVAPETVAAYTLNLLKSRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAQANSLAQLGKYTGEGESEEAKEGMFVKGYSY >itb08g02900.t2 pep chromosome:ASM357664v1:8:2470069:2476904:1 gene:itb08g02900 transcript:itb08g02900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRSRLACCTREREISFDFDEQKITTYDGLESCIKQSQSYDNESSTSRCDKSVTDSLFDDDSSSTSSNTAFMSSLSSQRTTTVKKDSHGPETWEFSASPQHFCVKEKAASQSFEYSDMEAMRVKFSKLLLGEDMTGGSNGLSTALALSNAISSLSASVFGELWKLEPLSEEKKRKWHQEMEWFLSPTNYMVELVPATQNGNNGSTFEIMTPKARPDIQMNLPALQKLDTMLIETLDSMVETEFWYSEVGSRAEGRSRSAGQSKRWWLPSPQVPMTGLCDAERKKLMDCGKLVNQIFKAAKAINENVLHEMPVPALIKDALPKSAKVNLGEDLYKVLSVESASFDDMIDALNLRSEHSALETINRLEAAVLVWKEKLAEQAEDNKSPIRTSWSFIKDPTFELDRIDLSLNHAEALLQKIKTRYPNLPHTFLDMMKIQYGKDIGHAVLEAYSRVLGNLAFTILTRIGEILQEDILSNPNSPAAACHLPGLRIPGSPAPGSHRVRHSLIDQMNKVDGRASFSCRTNASDLDLDSVSSMRGLITATPSRRRGWCLSREVCRSKSPENSP >itb08g02900.t3 pep chromosome:ASM357664v1:8:2470069:2476904:1 gene:itb08g02900 transcript:itb08g02900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRSRLACCTREREISFDFDEQKITTYDGLESCIKQSQSYDNESSTSRCDKSVTDSLFDDDSSSTSSNTAFMSSLSSQRTTTVKKDSHGPETWEFSASPQHFCVKEKAASQSFEYSDMEAMRVKFSKLLLGEDMTGGSNGLSTALALSNAISSLSASVFGELWKLEPLSEEKKRKWHQEMEWFLSPTNYMVELVPATQNGNNGSTFEIMTPKARPDIQMNLPALQKLDTMLIETLDSMVETEFWYSEVGSRAEGRSRSAGQSKRWWLPSPQVPMTGLCDAERKKLMDCGKLVNQIFKAAKAINENVLHEMPVPALIKDALPKSAKVNLGEDLYKVLSVESASFDDMIDALNLRSEHSALETINRLEAAVLVWKEKLAEQAEDNKSPIRTSWSFIKDPTFELDRIDLSLNHAEALLQKIKTRYPNLPHTFLDMMKIQYGKDIGHAVLEAYSRVLGNLAFTILTRIGEILQEDILSNPNSPAAACHLPGLRIPGSPAPGSHRVRHSLIDQMNKVDGRASFSCRTNASDLDLDSVSSMRGLITATPSRRRGWCLSREVCRSKSPENSP >itb08g02900.t1 pep chromosome:ASM357664v1:8:2469937:2476904:1 gene:itb08g02900 transcript:itb08g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRSRLACCTREREISFDFDEQKITTYDGLESCIKQSQSYDNESSTSRCDKSVTDSLFDDDSSSTSSNTAFMSSLSSQRTTTVKKDSHGPETWEFSASPQHFCVKEKAASQSFEYSDMEAMRVKFSKLLLGEDMTGGSNGLSTALALSNAISSLSASVFGELWKLEPLSEEKKRKWHQEMEWFLSPTNYMVELVPATQNGNNGSTFEIMTPKARPDIQMNLPALQKLDTMLIETLDSMVETEFWYSEVGSRAEGRSRSAGQSKRWWLPSPQVPMTGLCDAERKKLMDCGKLVNQIFKAAKAINENVLHEMPVPALIKDALPKSAKVNLGEDLYKVLSVESASFDDMIDALNLRSEHSALETINRLEAAVLVWKEKLAEQAEDNKSPIRTSWSFIKDPTFELDRIDLSLNHAEALLQKIKTRYPNLPHTFLDMMKIQYGKDIGHAVLEAYSRVLGNLAFTILTRIGEILQEDILSNPNSPAAACHLPGLRIPGSPAPGSHRVRHSLIDQMNKVDGRASFSCRTNASDLDLDSVSSMRGLITATPSRRRGWCLSREVCRSKSPENSP >itb08g02900.t4 pep chromosome:ASM357664v1:8:2470622:2476750:1 gene:itb08g02900 transcript:itb08g02900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MISYFKLIACNLIFLFLYFSCERVFAGICYSRGGMTLLRSRLACCTREREISFDFDEQKITTYDGLESCIKQSQSYDNESSTSRCDKSVTDSLFDDDSSSTSSNTAFMSSLSSQRTTTVKKDSHGPETWEFSASPQHFCVKEKAASQSFEYSDMEAMRVKFSKLLLGEDMTGGSNGLSTALALSNAISSLSASVFGELWKLEPLSEEKKRKWHQEMEWFLSPTNYMVELVPATQNGNNGSTFEIMTPKARPDIQMNLPALQKLDTMLIETLDSMVETEFWYSEVGSRAEGRSRSAGQSKRWWLPSPQVPMTGLCDAERKKLMDCGKLVNQIFKAAKAINENVLHEMPVPALIKDALPKSAKVNLGEDLYKVLSVESASFDDMIDALNLRSEHSALETINRLEAAVLVWKEKLAEQAEDNKSPIRTSWSFIKDPTFELDRIDLSLNHAEALLQKIKTRYPNLPHTFLDMMKIQYGKDIGHAVLEAYSRVLGNLAFTILTRIGEILQEDILSNPNSPAAACHLPGLRIPGSPAPGSHRVRHSLIDQMNKVDGRASFSCRTNASDLDLDSVSSMRGLITATPSRRRGWCLSREVCRSKSPENSP >itb04g18880.t2 pep chromosome:ASM357664v1:4:22666706:22668308:-1 gene:itb04g18880 transcript:itb04g18880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIYYAFLTPDTTLLITINSVGCFVQTFYLCFFLFYATNKAKINTMKALLLLNVGGYGLIILITQFVAKDSSSRAHIVGWICLVFALCVFVAPLCILRQVIKTKSVEYMPFLLSFFLTLSAVMWFFYGLLRKDYNIAIPNILGFIFGILQMVLYVVYKNGKKTESSAEQKLPESEQVELKQQVPEELKEQVIDVVKLSAALALTGMSPPLAVAVPVPLPLPVSQTPN >itb04g18880.t1 pep chromosome:ASM357664v1:4:22666706:22668974:-1 gene:itb04g18880 transcript:itb04g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFAFGLLGNIVSFMVYLAPVPTFYKIYTKKSTEGFQSVPYVVGLFSAMLWIYYAFLTPDTTLLITINSVGCFVQTFYLCFFLFYATNKAKINTMKALLLLNVGGYGLIILITQFVAKDSSSRAHIVGWICLVFALCVFVAPLCILRQVIKTKSVEYMPFLLSFFLTLSAVMWFFYGLLRKDYNIAIPNILGFIFGILQMVLYVVYKNGKKTESSAEQKLPESEQVELKQQVPEELKEQVIDVVKLSAALALTGMSPPLAVAVPVPLPLPVSQTPN >itb12g21810.t1 pep chromosome:ASM357664v1:12:24036550:24039924:-1 gene:itb12g21810 transcript:itb12g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESIGDLKSLVELDLSFCSKLRELPNSICQLKALEKLSMWSCSDIQLPTDLGKLERLRELDVNVNAFSHLSFSCGDLCSLKILRVNNDHGHKVGLPPICFGGLSSVEYVYIISSYCHVLPFNLFHLSNLISLTLVHCTNLRVVQEFPPSLKELFMYGCISLELLPDLSNLKRLNILDLHGCESLVQLQGLEFLESLQEVDIRNCSALISFPLTDEFFKAHSKGDIIEIFFTLNEFLEWNISLLQGVGCSSSTGLRMPLLFEHEFIGMIFGFFDQGAQSVIINNRTTNAELLEYWAMGGGWKVEDGDIWLLYIPKHHFRGSIEFGDKLEVVADTPEKGSDEEQDVFLDTPERNLACECKIQLGIYQDKDGHLFFFRMNRNVEMDDISEYTTSPRSYNYPPSFSNEGSDLDIDYDSIGEIDTNSCVYDSIAEIDTYSCSSYTGDYNISSTPGVSRFDGSSATCSFSNATTGELFDSPGVVGFGGNLSVSSSSNATPVVFCSGDSFVASSSSITTPSAFSFGASLSSNATPSVFSGSCIASSCSKAIASVFSFSGNSIASSPSSATPSVTSFNANYVTSSSSNGNPNVFSFGGRSTASFLEQQCSSQCI >itb02g14670.t1 pep chromosome:ASM357664v1:2:10659930:10660386:1 gene:itb02g14670 transcript:itb02g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQSLSSLVAWWWMQLIQEVLVLVVGWRFFGGGGIDRGVARWKQTAAVGAGFCRRYGGGEKLMNAEEGGGDGGDMEAGRRWCAELGFLRPLLLGLYMDLLSYCIGMNCVFFWV >itb11g18140.t1 pep chromosome:ASM357664v1:11:18320012:18325479:-1 gene:itb11g18140 transcript:itb11g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFQNQHQSDAENQDLGLELQSQTNQSLSPDPNPQNPPQSPPLLSEEDVDVDVDVDDDDQDYDEKAMRAISDELHDLVVTQAYLDRERSQSYGESEKSVGEDDGYGHAYGDHDGYDVYGDDDRSGGWGESGDGGDGVFKEGDKGGGDDGFNATNGRRLVFHYPLRPDAEDCSYYMKTGNCKFGFHCKFNHPRRKTQWPKDKGTLKEENQERAVQTECKFYLTSAGCKYGKSCKYNHSREKVPVSPIQEFNFLGLPMRPGEKECPYYMRTGSCKYGSNCRFHHPEPTLMAGDDSSSGYSKGRSMPVQGSSQSTVTSWSSSRPTNETTYVPPMMYPPTQSIPSPTPEWNNYQAPVYQASEKSLPTPPAFAMSNSVAEKFNPRHSLPLLGEDYPERPGQPECSYFIKTGDCKYRSNCKFHHPKAQLTRTQTLLNDKGLPLRPDQAICSYYSRYGICKFGPNCKFDHPDNYSHSPSSGWSEFDQPPFGNPDSTNAARVARKGSGSGSLVQQSV >itb13g02750.t1 pep chromosome:ASM357664v1:13:2655509:2656165:-1 gene:itb13g02750 transcript:itb13g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIHSYSSHAVGGAPIRRHLLNKIRDFALKAEDAIEIQLKNILQLQQKAHLHLHLTLQKVSKEAEELLKLINNDSLPLIADLLRLAEIYRKRSVFTIVGVPGIGKTTLCKKLYTDKEVVSYFDIQAWVTIGQRYNGNVQQLLCHLLQSMRPPPLNEEIDTQGNTVSQLKDPTTQTLEEMQKIFHCFG >itb05g05010.t1 pep chromosome:ASM357664v1:5:4728516:4733886:-1 gene:itb05g05010 transcript:itb05g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNQASSFSSFGDYLRALSDTPRRLALRAGSVSTSIEETSHVRAQSGGDMKRSLRWYDLVGFGLGGMVGAGIFVTAGHASHDCAGPAVVLSFAIAGLCALLSAFCYTEFAVEMPVAGGAFSYIRTTFGEFLAFITGANLIIDYVLSNAAVARSFTGYLCTTVGLPHVTKLRITISALPKGFNEIDFVAVAVVLALTVVICYSTRGSSVLNMVLTVLHILFIVFVIAMGFWKGDSKNLTHPADPEVAGGFSPFGASGVFSGAAMVYLSYIGYDAVSTMAEEVRNPVKDIPIGVSGSVILVTILYCLMAASLSMLLPYDRIDPDAPFNGAFSEGSGGWRWVSNVIGAGASFGILTSMLVAMLGQARYMCVIGRSGVVPKWFAKVHPRTSTPVNASVFLGIFTAAIALFTDLQILLNLVTIGTLFVFYMVANAVIYKRYVTVGTTNPWPTLSYLFCFTLTSVLFTLLWHCAPPGKAKALVLGACTLIAIAILQLFKYMVPQAKKPELWGVPLMPWIPSVSIFLNIFLLGSLDRPSYIRFGFFSGLAVIVYVLYSVHASFDAGEQNTLGQENIEMVKEYNINVPRDHSLKV >itb08g16110.t1 pep chromosome:ASM357664v1:8:18278949:18281257:1 gene:itb08g16110 transcript:itb08g16110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNVEHLWYHGIISTELYRLQKRVCNATRMVIEFLSGEMSEYCSDIDVMISEEIGVDMDQDHNENLLFQSCESSSISSSQQHHPRLIHAFVIGYMTMNRKTIMHNLAIDILPTLSALLKSDIPVLIYSGDQDLRIPVTHTRELANVLARRLKLTTLEMNGPWYDGNQIGGWSQTFGKLGGCKKVGNLTFATVRGGAHFVPSSSPSQALTLFKAFLKRSPPPRTKSDH >itb14g11860.t1 pep chromosome:ASM357664v1:14:13491099:13494728:-1 gene:itb14g11860 transcript:itb14g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGCWNYPALVLIFVVAALPCFLAWETTPAAIKRAEALLNFSTAADSSPGSQSNQTNCCNRIGFVCNDGGSVSEINLPNSDLSGTLDGQFNFTAFPSLTRFNISGNQISGSIPPTIGDLSNLVFLDLSSNDFDGIIPPQIGNLRELQYLSLSKIGNLRELQYLSLSNNKFARELQYLSLSNNKFAGVIPREIGNLRELQYLSLSKIGNLRELQYLSLSNNKFARELQYLSLSNNKFAGVIPREIGNLRELQYLSLYNNNFAGVIPHQIGNLQKVWFLDLGLNDLEVPDWSKFKTFPMLRHLSLHSNGFRSVFPSFILSCRNLTFLDLSQNHFNGSPLESLITKLEKLEHLDLSSNEFSGPLPPNIGSLSNLKNLELWRNSFQGEIPSSIGQLKSLRVFDIVGNKLNSSIPFELGRCTNLTHLLLALNSLSGVLPSSLSSLTKLTKLHLSYNFLSGNISSNFISNWTKLTSLVLQNNSFGGSIPSEIGLLTNLEYLNLYQNKFSGPIPPQIGNLKNLIFLDLSENSLSGPIPQTIGKLTNLKNLIISTNNLTGMLPSQIGNMQKLIRLDVSENNLLGPIPHTMGNLTSLNFLHLSTNNLTGMLPPQIGNLQNLFDLHLSKNNLYGPIPQAIGNLTRLNYLQLSTNTLTGMLPPQIGFLKNLMYIHLSENNLYGPIPQTIGNLTQLEVLLLSTNNLTGTLLGESVNLRPMLVPSTFCNLSSLQVLVLSYNSLTGLIPQCLGNINKYLYVLDLHNNQFHGMIPTSFEVGNFLSRLNLHDNQLEGAIPQSLINCQELEVLDLGHNNLGGTFPMSLGTLPNLKVLSLRFNKLNGFIKSTRMGEYFFPQLCVFDLSYNEFTGDLPAWFFKNFKAMKDVVEDKIPRQQMYLKQRYYFQDSLVVGMKGQEREVVKILITFTTIDLSCNKFKGYIPYSIGDLLALRELNLSHNMFTGHIPTSLGSLSMLESLDLSSNQIGGVIPEQLTSISSLEVLNLSHNKLVGCIPQGPQFNTFEANSFEGNDGLKGKPLSQGCENGMTPQLPATKELHQEDDSSFLSECTVKVVAIGYGCGIIFGLFMGSLMLVSGKPKFITRFVEEETYKLTMKVERRRLKARRRRN >itb14g11860.t2 pep chromosome:ASM357664v1:14:13493555:13494728:-1 gene:itb14g11860 transcript:itb14g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGCWNYPALVLIFVVAALPCFLAWETTPAAIKRAEALLNFSTAADSSPGSQSNQTNCCNRIGFVCNDGGSVSEINLPNSDLSGTLDGQFNFTAFPSLTRFNISGNQISGSIPPTIGDLSNLVFLDLSSNDFDGIIPPQIGNLRELQYLSLSKIGNLRELQYLSLSNNKFARELQYLSLSNNKFAGVIPREIGNLRELQYLSLSKIGNLRELQYLSLSNNKFARELQYLSLSNNKFAGVIPREIGNLRELQYLSLYNNNFAGVIPHQIGNLQKDLYHLTLAACQTSKISNCGVILFKEKSHLL >itb04g13220.t1 pep chromosome:ASM357664v1:4:13283153:13284525:-1 gene:itb04g13220 transcript:itb04g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFMSFGVILVLRHCNSTKIGGFPIFFLNQSIERENNYFGVDVFSYSELQKVTNNFDSSKELGNGGFGTVYHGKLRDGREVAVKRLYEQNCKRAEQFINEIEILTRLRHRNLVTLYGCSSRNSRPLLLVYEYIPNGTLADHLHGKRAKDRSLPWPIRMNIAIETANALAYLHASDIIHRDVKTANILLDHNFAVKVADFGLSRLFPIDVTHVSTMPQGTPGYFDPEYYESYKLTDKSDVYSFGVVLVELVSSLPAVDMDRDSHEINLANYAMNRILASAFDALVDPCLGFGTDTEVTRMTTLVAELAFRCLQPRKDMRPTMFDVLETLLEIQGGHGIKDEIGFTKYPLSPDTEEILLKNKFPTSPNSLTEKWICSTSTASNSV >itb05g10840.t1 pep chromosome:ASM357664v1:5:16733288:16733965:-1 gene:itb05g10840 transcript:itb05g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVRSKEIVRPSSPTPQSLNNYKLSFMDQLALNIKLPFVFFYDSSVAYSQDRHTNTIDELKKSLSKTLSLMYPLVGRVKEDKVTIECNDEGVEFIVADVDENIPVGKALLAVQVNRFSCGGIAIGFFVSHAIADGSAVATLFETWASINRGYDVNGNGFVSDQSIILFPPLADTSAIERSVKMAAEAIRQEEKNMIC >itb03g25080.t2 pep chromosome:ASM357664v1:3:24028506:24036919:1 gene:itb03g25080 transcript:itb03g25080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGLKREPEELVLEGEEEEEEEEFGMLVRGSKYNIEREISKEVSNCHSEKNGYANLPSVTENGSQESSFDGDQEGAEEHVDSTPADRAEIKDCLPRLENCVYFDKDEDGKLTSSSSSVEESNKRNPGDVCIEGSLLTDLQYHGNLKDENLSTFNILPTETLPQEPGTVHKLSTVEEIESADVDRITDSEIDAKDYDVENVLRKQNTHDLYCPNCHSCITRRVILRKRKRKVRISSEDVKRNKLETANESKSYVSPTQLSSDQCHDEPLISLDDTPISAASGFHRDCEPEIFRCLSCFSFFIPTGSGFKLFQIFGEKGDKENKRDEQGVRMSKSWFSSIFASDKGSADQGKDHKLDVGKNNNEVILPSSNLCDKSDQSLSIQETIPPVHVDSRSIDPHETEANISTSQNELERAGKIINDAIDISVCAIMGKKGDPVNHFEVDTNHQLNLSNGIEENGAINESLTPPANKTQVSSERFVESTLSASQTGLKILITSNEESMTLEKKQADKICDSPDFPQQHIGAVLLSEPPVENKDAMFQTGTKGEDTIISVVEAGPTETAESMNLPNLATEMCAVEQQGTNAREGNKIEIIKSIVYGGLAESITSLSIVSSAAAAGTATLNIFALSAANLVGGFLIILNNLWELKKDCPEQASNQIMEQGDRYGKLLGHRENFVIHAIVIALSYAVFALVPPTVYGFSFRKSDDMELKLIAAAAASLLCIILLATGKVYAQRPPKSYLKTITFYVVLGFVVSGVSFAAGQLINRLLLKLGLFQSSSEVNLFQPGVTQAHAAWVSY >itb03g25080.t1 pep chromosome:ASM357664v1:3:24028506:24036919:1 gene:itb03g25080 transcript:itb03g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGLKREPEELVLEGEEEEEEEEFGMLVRGSKYNIEREISKEVSNCHSEKNGYANLPSVTENGSQESSFDGDQEGAEEHVDSTPADRAEIKDCLPRLENCVYFDKDEDGKLTSSSSSVEESNKRNPGDVCIEGSLLTDLQYHGNLKDENLSTFNILPTETLPQEPGTVHKLSTVEEIESADVDRITDSEIDAKDYDVENVLRKQNTHDLYCPNCHSCITRRVILRKRKRKVRISSEDVKRNKLETANESKSYVSPTQLSSDQCHDEPLISLDDTPISAASGFHRDCEPEIFRCLSCFSFFIPTGSGFKLFQIFGEKGDKENKRDEQGVRMSKSWFSSIFASDKGSADQGKDHKLDVGKNNNEVILPSSNLCDKSDQSLSIQETIPPVHVDSRSIDPHETEANISTSQNELERAGKIINDAIDISVCAIMGKKGDPVNHFEVDTNHQLNLSNGIEENGAINESLTPPANKTQVSSERFVESTLSASQTGLKILITSNEESMTLEKKQADKICDSPDDEAEVALTSPVFVLKGSEGETKLDISTDFPQQHIGAVLLSEPPVENKDAMFQTGTKGEDTIISVVEAGPTETAESMNLPNLATEMCAVEQQGTNAREGNKIEIIKSIVYGGLAESITSLSIVSSAAAAGTATLNIFALSAANLVGGFLIILNNLWELKKDCPEQASNQIMEQGDRYGKLLGHRENFVIHAIVIALSYAVFALVPPTVYGFSFRKSDDMELKLIAAAAASLLCIILLATGKVYAQRPPKSYLKTITFYVVLGFVVSGVSFAAGQLINRLLLKLGLFQSSSEVNLFQPGVTQAHAAWVSY >itb08g06650.t1 pep chromosome:ASM357664v1:8:5683758:5686783:1 gene:itb08g06650 transcript:itb08g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQKGLSMNARIVGSGKQTIVVAHGYGADQTVWEKVVPKLGERYQLLLFDWCFSGAIKDRSLFDGVKYSCYEAFADDMIGLLEELNLKSCVFLGHSMSGMIGCIASVKRPDLFTKLILVASSPRFINLEDYEGGFDKSYIDEMFADMETNYEQWCSAFAAMVVDPSDPPSVEKFEKCLKRMGVEIGLPLAKTVFLSDHRSVLDKVVAPVTLVHTNHDLVVPSSVVAFMHGHIKESTVEIVNTDGHFPQLTAHEEFLEVVFAALG >itb06g25330.t1 pep chromosome:ASM357664v1:6:26434269:26440748:-1 gene:itb06g25330 transcript:itb06g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPEVLDAVLKETVDLENIPIEEVFENLRCTKEGLTSQAAQERLAIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEDAAVLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDPDTVVLMAARASRTENQDAIDAAIVGMLADPKEARAGIREIHFLPFNPTDKRTALTYLDSEGKMHRVSKGAPEQILNLAHNRSEIERRVHTVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWRFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGVILGGYLAMMTVIFFWIAYKTDFFPRTFGVATLEKTATDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGVFLVVAFVIAQLVATLIAVYASWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGKAWDLVLEQRIAFTRKKDFGKEQRELQWAHAQRTLHGLQVPDTKLFNEATNFSELNQLAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQAYTV >itb06g19780.t1 pep chromosome:ASM357664v1:6:22993957:22997965:-1 gene:itb06g19780 transcript:itb06g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSYYRLLSSLFCIAFLVLAGCCEAKIKLPNGLVVKAIIAFGDSIVDQGMNNNLSTMIKTNFPPYGQDFMGGQHTGRYSNGKTPPDLVAEELGIKELVPAYLDPHLQPEDIKTGSVIPLSEQLKYFKEYLGRLNGLVGEKEAKYIINNTLFMVVSGSDDLANTYFTIGIRRYQYDINAYTDLVVEGASNFLQELYSLGARRIGFFGIPPIGCLPSQRTLAGGSERECVESYNQAAQLANAKFSAEIKILSKKLPNSKLIFVDIYEDFLDMIKNPAKYGFEVVNLGCCGTGTVEVTMLCNKLSGTCPDRNKYLFWDSYHPTEKARCRAASETMSSSEAGETKKITLKSSDGEVFEVTETVARESQTIKHMIEDDCADNCIPLPNVTGRILSKVIEYCRKHVEASSSTDDDLKAFDADFVKVDQAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >itb09g06080.t1 pep chromosome:ASM357664v1:9:3491136:3493644:-1 gene:itb09g06080 transcript:itb09g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGNTTSGIYKQNIWDDDYVQSRTAIYTEKEFSKRAAELKEGVRKMVEKKMDPLEKLELVDLLQRLGISYHFEDEIQHVLEHIYTSFGCNEVFCGFMDDEGNFKRSLSEDTKGILSLYEAAYLCMEGESIMEAAQSFCTKHLREIQNMNILDHDLVDHALEMPLYWRMQRFEARWFVSVYEKRQNMKPVLLEFAKLDYNMVQAKYLEELKQLSRWNKDIGLAEKMSFARDRLVEGFLWAVGCTPDPQFEYCRKIITKLSVLVTVLDDVYDIYGSLDELEIFTDVVQRWDINAVETLPEYMKICFLAIFNSMNELGYDVMKDQGLSIITNTRKQWADLCKSYLLEVKWKLGRYTPSLNEYLDTAFITIAGPLLLIHTYICIANPINNEDLQHLEQNPGIIRCPAMVLRLTNDLGTSPDEMLRGDSAKSIQCYMRENGCSEEKAREYMNGLIAETWEKLNTELVRMERLLPKDFKRTATNVPRIAQFIYQHGDGFGLGQDEFKNRIMALFFEPIPMP >itb01g35790.t1 pep chromosome:ASM357664v1:1:37801610:37803826:1 gene:itb01g35790 transcript:itb01g35790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNKSDVFGGAPDRNRCIDDVENNDDNMKAVKSRPAADEVEEWGDSTEISWFSSCQILDKDGDETARKEGPLLPRHKHEHQLQLVVDCMSLDDLDFDEEASSVQPFSGNQELSESKRKRAENALPSSLKLLYNFQNRFRRLTGEKVNAAAPSCSSSHDESREMNCCSSTRLWINEILQLAAHKFIENSSHGDSELNNLFPNSCWGLHGEDYKDVELLLHLLASAERVGQKKFDSAKGEEPWYAGVPIFSLVEQGLGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNTIVTSRAGKDVVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVAGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVEVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFKKAEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >itb01g15360.t1 pep chromosome:ASM357664v1:1:17794840:17800510:1 gene:itb01g15360 transcript:itb01g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLAKAAEKIGTAVRRQAITLTDSAADRIRYLLQQRQRPFLKLGVKARGCNGLSYTLNYADEKGKFDEMVEEKGVKILIDPKALMHVIGTKMDFVDDKLRSEFVFINPNSKGQCGCGESFMTSPSSESKRQGNI >itb05g23030.t1 pep chromosome:ASM357664v1:5:28242834:28251908:-1 gene:itb05g23030 transcript:itb05g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSSLTCTKITLLTVLAVVIQIFGLSLFVLGFFPVKPTLSGVSGLESFYPPGSNSFEGQNATILSADQLKSLYQELSGVPPLFDRLILMVIDGLPAEFVLGKDGHSPPEAFKEAMPYTQSLLNQGRAVGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQALLDDNIIVQFLRVGWKMVMHGDETWLKLFPGMFARHDGVSSFFVKDTVQVDHNVSRHLGFELKQTDWSLLILHYLGLDHVGHIGGRKSVLMSPKLREMDETIKMIDQGIVQTQDNDGGRTLLLVVSDHGMTESGNHGGSSYEETDSLALFIGPRNFGGFKVTHNKVNQVDIAPSLALLFGVPIPKNNVGMLMGEVLKHLTGVTNDQHLRLLELNSWQLLRLLHAQLPDLVCEKFSCNASRDDDSVTSDLEEMFCCLYLEAASLHESWKYQRGFRSMDAENSSIIIAAYHNFLRAASEWLSRRATDKPVGLLASGVAAMLLSCVMFLGLLFFLCQEFFVEEKRSLRHVNTSLNSWFLDEMLILFIIIMLVISMGSSSMIEEEQYIWYFFTTSFYLVVLRKIMQSVTSQTVQNTHNMTESYKRRYMQISLIIVVLLSGRILRGWHQGGVNWAHLPDIAKWLEQAGSTYIKLLQMVSVVLVISINLVSLLWVKWSKRKYAMAVALIYSFPGLLVLLYVTKYQDLTFTVSSFEATLMAQIIYAVLIISAVGTTVFVPWLMPLKNHISLSSISQNSFSMVVRDSSYMIGYIYIYCWCLLQLLLQQPINSVAFLLIFMQIFASIWHFSNSNHLFRQWVEVAALYFVGMAGHFGLGNTNTLATIDVAGAFIGVLNHSTLLSGILMFIITYASPILSVLSMVAYIAMKDTMGLKNSQECDYGHLLKTLIGFPCLVPLGLNSVLLMAYTIVLLLMRNHLFVWSVFSPKYLYVCATTACVCFGVTIVASTIIYVSSVLALRVKMQVSCKPD >itb04g10760.t2 pep chromosome:ASM357664v1:4:10353487:10359516:1 gene:itb04g10760 transcript:itb04g10760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSGTQAMEGAGGVVSAAETGLEEPLWQLSLSGGGPESYPERPNDADCIYYLRTGLCGYGARCRFNHPRDRSLAVRAMRASGGEYPERVGQPLCQYYMKTGMCKFGASCKYHHPRQGGGSTLVMMNIYGYPLRPGEKECSYYMKTGQCKFGVTCKFHHPQPAGAEMPAPTAAPGPLPTAAAVAAPSIYPAVQSPSAQSSQQFGVMAGNWSIARPALLHGSYMPGAYSPMLFPPGVVPVPGGWTPYPAPVSPAASPSTQSAAGAGQFYGLTPLSPSAPPYSGVYMYAGSATGPSRISQREHAFPERPGEPECQYYMKTGDCKYGSSCRYHHPPEWSASKANFVLSPIGLPLRPDAPVCLHYSQNGVCKFGPTCKFDHPMGTLSYSPSASSLADMPVAPFPVGSSMGTLAPSSSSSDLRPNLTTGSNQEAFSTQISSMNTSSGAVGSIFSVTGPAPHPGLQQSGQSYSASGSSSMGHGGEVHTSS >itb04g10760.t3 pep chromosome:ASM357664v1:4:10353629:10359509:1 gene:itb04g10760 transcript:itb04g10760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSGTQAMEGAGGVVSAAETGLEEPLWQLSLSGGGPESYPERPNDADCIYYLRTGLCGYGARCRFNHPRDRSLAVRAMRASGGEYPERVGQPLCQYYMKTGMCKFGASCKYHHPRQGGGSTLVMMNIYGYPLRPGEKECSYYMKTGQCKFGVTCKFHHPQPAGAEMPAPTAAPGPLPTAAAVAAPSIYPAVQSPSAQSSQQFGVMAGNWSIARPALLHGSYMPGAYSPMLFPPGVVPVPGGWTPYPAPVSPAASPSTQSAAGAGQFYGLTPLSPSAPPYSGVYMYAGSATGPSRISQREHAFPERPGEPECQYYMKTGDCKYGSSCRYHHPPEWSASKANFVLSPIGLPLRPDAPVCLHYSQNGVCKFGPTCKFDHPMGTLSYSPSASSLADMPVAPFPVGSSMGTLAPSSSSSDLRPNLTTGSNQEAFSTQISSMNTSSGAVGSIFSVTGPAPHPGLQQSGQSYSASGSSSMGHGGVNFKVSFLSGALLAGKKNR >itb04g10760.t1 pep chromosome:ASM357664v1:4:10353487:10359516:1 gene:itb04g10760 transcript:itb04g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSGTQAMEGAGGVVSAAETGLEEPLWQLSLSGGGPESYPERPNDADCIYYLRTGLCGYGARCRFNHPRDRSLAVRAMRASGGEYPERVGQPLCQYYMKTGMCKFGASCKYHHPRQGGGSTLVMMNIYGYPLRPGEKECSYYMKTGQCKFGVTCKFHHPQPAGAEMPAPTAAPGPLPTAAAVAAPSIYPAVQSPSAQSSQQFGVMAGNWSIARPALLHGSYMPGAYSPMLFPPGVVPVPGGWTPYPAPVSPAASPSTQSAAGAGQFYGLTPLSPSAPPYSGVYMYAGSATGPSRISQREHAFPERPGEPECQYYMKTGDCKYGSSCRYHHPPEWSASKANFVLSPIGLPLRPDAPVCLHYSQNGVCKFGPTCKFDHPMGTLSYSPSASSLADMPVAPFPVGSSMGTLAPSSSSSDLRPNLTTGSNQEAFSTQISSMNTSSGAVGSIFSVTGPAPHPGLQQSGQSYSASGSSSMGHGGIGT >itb02g00480.t1 pep chromosome:ASM357664v1:2:324899:325874:-1 gene:itb02g00480 transcript:itb02g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVHHHPLIIFFSLLSFLPFIAFSVREFPLPPVMSVDGKEVKLGKPYYVSSTLFPPLGVCLVDNVKCPKDIIQCPTFYHDTQGLPVTFFSVVNSTEDTVVRENTPYRIELSAPGNCSNDTFWYLKDDGVPGTDFVAIGPKTVAVEFTIQKVWLGYKIVGCVLPPFPICYGIGIVSEFGFNRLGIGSDVQPVEFYFAKVTTNSTVAIDSM >itb15g17380.t1 pep chromosome:ASM357664v1:15:18046907:18048777:1 gene:itb15g17380 transcript:itb15g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEYILLVGVGAMEGSQVGGRFITSQGSQKKKKKKKKKKDQSSLPSPRKEKKNPENLNSSEKYLFRFCWLHSLLLSTDSLLTC >itb10g00480.t1 pep chromosome:ASM357664v1:10:324045:327461:-1 gene:itb10g00480 transcript:itb10g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHSLPSSFFNSTKHCALITNSLPTAPFSTVSPSLHTGCSTPKICSSGSPAREEQRRPVVVGRREAIGVLGLCLGAVGLRQSAAAEETTSAACEFTAAPSGLAYCDKVLGFGPQAEKGQLIKAHYTGKLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDQGILGGDGIPPMLAGGKRKLKIPPELGYGMRGAGCRGGSCIIPPDSVLLFDVEFVGKA >itb10g13520.t1 pep chromosome:ASM357664v1:10:19755412:19765841:-1 gene:itb10g13520 transcript:itb10g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEMLFLGTRIVANHGITNGGSDVVRMGSRRCPNSGGGCVVGWYPPPLVWAAVXRFDSTKMAQLVGSDEIESIRAELSEIGRSLRSSFRHRISSFRSNSVLSSTANEDVFDEDYLLQWAAIERLPTFDRLRSAVFEENNGNEAQVQGKRVVDVTKLGTLERHMFIEKLIKHIEHDNLRLLRKIRKRIDRADVKLPSVEVRYANLHVEAECEVVYGKPLPTLWNSFKSLIKIFVRFPCLKSEVAKIQIINDVSGVIKPGRMTLLLGPPGCGKTSLLKALSGNLDNSLKVRGDISYNGHNLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSRCQGVGSRADIMVELSKMEKEAGIVPDQDIDIYMKAISMEGQKTNLQTDYILKILGLDVCADTIVGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPETFDLFDDIILMAEGKVVFHGPRSNILEFFESCGFKCPERKGVADFLQEVISRKDQAQYWNRIEQAYSYVSVDTISRKFKESPYAKNLFKQLSEPFDKSKSHKNAIYSSVYSLPKWTLFRACMSREFLLMKRNSFIYVFKSVQLIIIASIAMTVFLRTKMDVDVLHANYYLGALFYALIILLVDGFPELSMTVTRLEVFFKQRELCFYPAWAYAIPATILKFPLSLLESVVWTSLTYYVIGFSPEAGRFFRQLLVLFLVHMSSISLFRFVAAVSRTVVVATTAGSLSILFVMLFGGFIIPRSSMPVWLKWGSWVSPVTYGEIGLALNEFLAPRWQKMPPTNVTIGDEVLESRGLNFDGYLYWVSVGALFGFTILFNLGFILALSFLKPPGSRAIISKEKFSKIQGSGESLHESPVEKTSESSNPGGMVLPFQALSVVFQDVEYYIDMPAAMKEHGFTEKRLQLLSDITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIKIGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLPPEIDSKTKSEFVKEVIATIELHEIKDMLVGMPGTSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVATGRTIVCTIHQPSIDIFEAFDELILLKTGGKMIYWGPLGRHSCKMIEYFEGISGVPKIKDNYNPATWMLEITSTSAEAELGLDFAEIYQKSSLYKDNKELVKKLSVPPQGSKQLHFPTTFSQNGWVQFKTCLWKQYWSYWRSPSYNLARCIFMLITCVILGLLFWDQGKKIDNQQGLFNILGAIFTAVILCGINNSSTVLPYVSTERAVLYRERFAGMYASWAYALAQVIIEIPYLFAETVMFTVITYPMIGYYGSAYKVFWYFYAMFCTLLYFNYLGMLLVAMTPSFPIAAILQSAFYSMFSLFAGFLVPKPQIPKWWIWFYYLMPTSWSLNGMLSSQYGDIEKDIKIFGEKKTAAAFLRDYFGYHHDELPIVAVVLILFPLVFAFLFTLCIQKLNFQRR >itb02g06920.t1 pep chromosome:ASM357664v1:2:4327494:4329562:1 gene:itb02g06920 transcript:itb02g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIKAPPKPQYGPTRSFFLISSSLCIIYFLCCFVLIPNTKLINESLDLHNSSSSSPSPSSSPTTIRQIVFGIASNENAWRKRKEYVKLWWRPEKMRGCVFLERYVTWFDNDTALLPPICVSEDTSRFRYTYRAGKGTPSAIRVARVVSETVALNHSDVKWFVFGDDDTAFFPENLVKTLSKYDHGLWYYIGTNSESHGQNKFFSYEMAFGGAGFAISYPLAKVLAKVLDSCIERYPHLYGSDARIHACVSELGVSLTREPGFHQLDIQGNVFGLLTAHPIRPLVSLHHMEVMYPIFPHMTILKALNHLYYAASFDPHRILQQTVCYDRWFSWTVSVSWGYAVQIFGNHIRVPEVLRVQQSYMPWKKGGKGMFYDFDTWGFHPDPCRRQPIFFFVNASSGGENGTVVSIYRKMNRERCRFDLASPRKIDEIRVYSKKLVLDTNQLLSPRRQCSDVLPSTSEHVMDINIRECREDEVTFMRR >itb09g12910.t1 pep chromosome:ASM357664v1:9:8227618:8229634:1 gene:itb09g12910 transcript:itb09g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEGSKGRGMGFLFVFFPEDETAGGGGAPIIRKRAVNALLRRSNSTHLLSTAQSTISICALLIFITLLLFTLSTFEPTAASSHFHPRRQLSTSSSRKVSSSFWRSGSLELWKNLAFQHALQGMGTLYRRGTRAMNDLVVAHAVESLTVHELRLFLRLLFRSGLCSKSDLLLIFPAKSAAFDRAVVEESESFLKLVHGAGDRNSTSFDSAQFKISVKKERESGEPIWGRKRKGNSSEELEGDDSESTRLSYGSVVSFDVDELDPENSLAGFLHQVPMSLRRWACYPMLLGRLRRNFKHFILVDAKELLLLGDPLSRLRSRSPESVHLSTLTTPAPPNHGRKTQPSHQKPVSPAIVMGGSRGVRRLSNAVLTEIVRAAMQHKKKNSINESGLLNQLVGNEFVLKNINLIVSAESIPELSSLTGLNSRTGSSSSTSNLSLVRRGSSNGDVSSSILKYLCSFSLDSAVYSDC >itb01g19250.t1 pep chromosome:ASM357664v1:1:25207239:25212945:1 gene:itb01g19250 transcript:itb01g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVDPGTDSTAFRECLALAWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKAVDRKTVLQEAIVSTAVAGAIIGAAIGGWLNDRFGRRAAILVADFLFFVGAVVMAAAPSPGLLIAGRVFVGLGVGMASMTSPLYISEASPAKVRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGAAGLPALLQFILMLLLPESPRWLYRQGRAEEAEAILRKIYSPEEVEPEIQALKESVEKEAQESKESEKISVLKLCKITAIRRGLIAGVGLQIFQQFVGINTVMYYSPTIVQLAGIASNRTALLLSLVTAGLNAVGSVVSIYFIDRTGRKKLLVFSLCGVILSLGFLSAVFHEATSHSPMVGQTETAHFSGFTCPAYHDAGSSPVLDCTGCLKASSSCGFCASPQNKLLPGACLISNDTVKDVCHGEHRLWYTRGCPSSFGWLALIGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGLCGGIAATANWVSNLIVAQSFLSLTHAIGTSWTFLVFGVLSVVALFFVLVCVPETKGLPIEEIEKILEGRALQLRFWKKRSPGSKDGENV >itb10g21940.t1 pep chromosome:ASM357664v1:10:26953640:26955157:-1 gene:itb10g21940 transcript:itb10g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGAKIVEETAPCGGSAPGSPLNKIKFMCSHGGKILLRGGDCHLKYAGGETRVISVPRDIKLEELMKKLMYPIEGGDMVLKYQIFPEDLDALVSVKSDEDLRHMMEEHERCEAAGAPKLRAFLFPAKPVVLDHHGNPRAAEALEQCYVDAINGKPLPSKTVFSVSSACSPPTSPESCTNDAPNHDQVMINVSYSMHKVQSMPSIYNIGGQPQSSSSHYIPAHQQFSHQHHLHPYYYNSRQQMYQGNQSAKLPFDLPRGSERLISVRSANRVDGTSHQADHNPHYNNYYSPSRHSRGSGCTRCLAPFDDCRQFYNRCDRAAGGPSPSPLIL >itb15g10220.t1 pep chromosome:ASM357664v1:15:7808476:7823666:1 gene:itb15g10220 transcript:itb15g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPQGRGFELAKKHIASCLQEIDSMLKMEGFLSLNNAYEMPEDAIEDKTTASGCAPIGFDSTLNSRLSAPAPPRAIKILSWKKAIDYFRKLLNELDAICSHNLDPVFEGVLHFIVEFQKLQPELVARAHLQHLLIQDGKLYGRDPLFAVICKAALLPTTAKDHDIQKNETFSQLGQLLITLLRVLCTNLAWQRRKLGKILQDWRIIYVQLELAFRKEFGETSSNLSDENICMRIFKYILVWIEEQTYWIASRFLVLGFDLELYSTSEYCMVYWYIYVILTKLAEKTHFRLMASNENVKRKGKKKKDLMKDGAKDYPLPPVVLFLQCQIYIAEGLTMMLAALRNEQKIYQNVGPFNTEHERFMQHFELLQKACLPNHVSYFSFKEATAHARISTVSMYNFFKDAQRISKELRSSFSNDPVKMAELRSIEQVAEHNGVALNLISRLGTLDSSLKIYFEFSHHPYFATAIVKRS >itb04g32420.t1 pep chromosome:ASM357664v1:4:34989675:34995684:-1 gene:itb04g32420 transcript:itb04g32420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPRIITAGAGETNGCSPLALQTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASDNFSVGGHQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTSLETSDYLKDDCLKINCTVGVVRSTIDCLSLPSIQVPDSDIGAHFGMLLNNMEGSDIIYNVAGEKFYAHKLVLAARSPVFHSQLLDVADSDKQEIVITDMEPKVFKAMLHFVYRDALMEDDLEASSPSSIPSVSDTLTAKLLAAADCYDLGRLRRMCEARLCKDISVNTVANILALADSYHATELKAVCLRYAAENLSAVMQSDGFEYLKENCPSLQSELLKTVAGCEDDCSSGGAKSRSVWAQLSDGGDTNGRRVRQRT >itb11g13920.t3 pep chromosome:ASM357664v1:11:11011322:11020289:1 gene:itb11g13920 transcript:itb11g13920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNISNGGSLDQTLLDGKLHRQVDILIVAHLRDNNLNQAARAVASATMTPLTVETPPNRLLELVAKGLAAEKGEVLRGVSSAAPFDQTLATGYGSTPAPRAISVDFSTAHDTKGSSKNFPKHESRHISEHKSIARCARFSCDGRFLATGSADPSIKLFEVSKVKQMLQSDSRDGPVRPVIRTFYDHTQPINDLDFHPQNAVVISGAKDHTIKFFDYSKPVAKRAFRVIQDTHNVRSVSIHPCGDYLLAGTDHPIPHLYDINTFQCYLPLNFQDSIVNGAINQVRYSQNGGMYVTASKDGAIRLWDGITASCVRSIDGAHGKVEATSANFTKDQRYVLSCGKDSSVKLWEVGTGRLVKQYLGAVHTQLRFQAVFNDTEEFVLSIDESSNEVSFHCSIL >itb11g13920.t1 pep chromosome:ASM357664v1:11:11011322:11020289:1 gene:itb11g13920 transcript:itb11g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNISNGGSLDQTLLDGKLHRQVDILIVAHLRDNNLNQAARAVASATMTPLTVETPPNRLLELVAKGLAAEKGEVLRGVSSAAPFDQTLATGYGSTPAPRAISVDFSTAHDTKGSSKNFPKHESRHISEHKSIARCARFSCDGRFLATGSADPSIKLFEVSKVKQMLQSDSRDGPVRPVIRTFYDHTQPINDLDFHPQNAVVISGAKDHTIKFFDYSKPVAKRAFRVIQDTHNVRSVSIHPCGDYLLAGTDHPIPHLYDINTFQCYLPLNFQDSIVNGAINQVRYSQNGGMYVTASKDGAIRLWDGITASCVRSIDGAHGKVEATSANFTKDQRYVLSCGKDSSVKLWEVGTGRLVKQYLGAVHTQLRFQAVFNDTEEFVLSIDESSNEIVVWDALTTEKVGRLPSSHVGIPRWIEHSPTEAAFFSCGIDQSVRFWREAV >itb11g13920.t2 pep chromosome:ASM357664v1:11:11011322:11020289:1 gene:itb11g13920 transcript:itb11g13920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIADNVFIFLNSTAHDTKGSSKNFPKHESRHISEHKSIARCARFSCDGRFLATGSADPSIKLFEVSKVKQMLQSDSRDGPVRPVIRTFYDHTQPINDLDFHPQNAVVISGAKDHTIKFFDYSKPVAKRAFRVIQDTHNVRSVSIHPCGDYLLAGTDHPIPHLYDINTFQCYLPLNFQDSIVNGAINQVRYSQNGGMYVTASKDGAIRLWDGITASCVRSIDGAHGKVEATSANFTKDQRYVLSCGKDSSVKLWEVGTGRLVKQYLGAVHTQLRFQAVFNDTEEFVLSIDESSNEIVVWDALTTEKVGRLPSSHVGIPRWIEHSPTEAAFFSCGIDQSVRFWREAV >itb02g01530.t1 pep chromosome:ASM357664v1:2:864852:867805:1 gene:itb02g01530 transcript:itb02g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGVYHFFYQYNPKGASFGKISWGHSTSKDLLNWRAHIPALSPSQPAADINGAWSGSATILPNGLPALLYTGVDSRNRQVQNLAVPKNPSDPYLQEWVKSPRNPLISPNHYNKINATSFRDPTTAWRGPDGNWRILIGSKVERRGLAILYRSKDFVRWVQAKRPLHSAEGSGMWECPDFYPVSTNPTGLDTSLVGSRIKHVLKISLDESKHDYYTIGTYDHARDKYIPDKGSVDNDSGLRYDYGKFYASKTFYDSLKRRRILWGWINESLIDTEYVKQGWSGVQGIPRTVWLDKTGKQLVQWPVQELEKLRSNKVEMPSTMLKKGSVSEVSGISAAQADVEVTFKIMEFGKAEKMEERWREDPQVLCSQKGASVRGGIGPFGLKVLASQNRQEYTAVFFRVFKGNNNNPVVLMCSDQTRSSLDKKTDKTSYGAFVDVNPMQEEISLRILIDHSMVESFGAKGKVCITSRVYPTKAIGGKAHLYAFNNGVHSIKLSKLTAWSMKKPTIN >itb04g00610.t2 pep chromosome:ASM357664v1:4:330524:330889:1 gene:itb04g00610 transcript:itb04g00610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMACKIIYGISLLLLSFINISLGEGEIGIYWGQNGNEGSLGDTCASNNYKIVNIAFLTTFGNGRIPVLDLAGHCDPANDQCTGLSADISACKGLGIKVMLSIGGGSSGSYSLSSADDAK >itb04g00610.t1 pep chromosome:ASM357664v1:4:330524:332252:1 gene:itb04g00610 transcript:itb04g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMACKIIYGISLLLLSFINISLGEGEIGIYWGQNGNEGSLGDTCASNNYKIVNIAFLTTFGNGRIPVLDLAGHCDPANDQCTGLSADISACKGLGIKVMLSIGGGSSGSYSLSSADDAKKVAQYLWDNYLGGQSKSRPLGDERLDGIDFVMSSGSGQFYDELAKTLSAFGKGGQKVYLSAAPQCPFPDQTLQAAINTGLFDYVWVQFYNNPPCHYSGDATNLLNSWNNDWSNIPVGKLFLGLPASEEAAASGFVPADVLTSQILPAISGSPKYGGVMLWSKFYDNGYSSSICGLTKSVIYICCSTTNDTCTSFCENTVLGSFCTYL >itb06g06330.t1 pep chromosome:ASM357664v1:6:8974118:8976005:1 gene:itb06g06330 transcript:itb06g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAAQIAGIGIPNVGGQVPENASLCSTRFPQGVEMRRGGGLRPPKFVVIGHRGNGMNVLQSTDRRMNAFKENSILSFNAAADYLADFIEFDVQVTKDDCPVIFHDDFILSQENDMISEKRITELSLAEFLSYGPQKGSGSGGKPLVRKTNDGKVVGWSVESDDALCTLQEALEKVSPSLGFNIELKFDDYLDYEEEQLLRPLRRILQVVFDHGKDRPILFSTFQPDAALLVKKLQSAYPVFFLTNGGTEVYSDVRRNSLEEAMKLCVEGGLEGVVSEVKGVFMNPGAVNKIKESNLSLLTYGKLNNVAEAVYIQHLMGVDGVIVDFVQEITQAVSHMLNPPSSSDSEEEEEPQNPQFSPKDLSFLLKLIPQLLIQQH >itb14g17360.t1 pep chromosome:ASM357664v1:14:20617510:20620222:1 gene:itb14g17360 transcript:itb14g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMGILSPDSSYLSSSSWFHEGTKWSPAENKAFEKALALYDKDTPDRWERVAEMVPGKTVGDVIRQYKELEDDVTSIEAGLMDLIPIPDYTTSSPFTLEWGGAHRPAFVAGGGKRPPANRAPEHERKKGVPWTEEEHKLFLMGLKKHGKGDWRNISRNFVITRTPTQVASHAQKFFIRQNSGGKDKRRASIHDITTVSIDEHQMASPDTKKRCDDSPDQAGVALSQPQQQPDSGVHKRPFSWNHQGNIGMAISGFSSASPGNLFAYAFGPQTIHESIFGSQTMAFPIQSAAQQYPFA >itb10g04710.t1 pep chromosome:ASM357664v1:10:4541179:4543953:1 gene:itb10g04710 transcript:itb10g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGGPYMYSTNNYIGRQIWEYEPNAGTPEEEEAFEKARKEFSKQRQKGHHTCGDLFMRKQMIKESEVDVLSIPPVRLREEEEVNYEAVTTAVRKAVRLNCALQAKDGHWPAQNSGPLFFTPPLMIALYISGAIDVILTSEHKKELVRYLYNHQNEDGGWGLYIDGHSTMIGSALNYVTLRLLGEEADDGEGAIARGRKWILEHGGATAIPSWGKIYLSISVSLADLI >itb10g04710.t2 pep chromosome:ASM357664v1:10:4541179:4543953:1 gene:itb10g04710 transcript:itb10g04710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGGPYMYSTNNYIGRQIWEYEPNAGTPEEEEAFEKARKEFSKQRQKGHHTCGDLFMRKQMIKESEVDVLSIPPVRLREEEEVNYEAVTTAVRKAVRLNCALQAKDGHWPAQNSGPLFFTPPLMIALYISGAIDVILTSEHKKELVRYLYNHQNEDGGWGLYIDGHSTMIGSALNYVTLRLLGEEADDGEGAIARGRKWILEHGGATAIPSWGKIYLSISVSLADLI >itb05g15380.t1 pep chromosome:ASM357664v1:5:22771373:22771915:1 gene:itb05g15380 transcript:itb05g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSNTALVLYFYDKFPQLFKKTSNAHNPKSFSVSLSATRDKVEEAFRILKLTENYTDLEGFKKLLFSLCADDYDGIMGDTLFPSKNARVKETATEPMTTAGGSICSDDDESVRFDFGDDYGSRREFVELGKELLFWNLWYLRVPRRACRVSKYGEEDDVLELWSPEEVLKEFMKNHIF >itb03g13070.t1 pep chromosome:ASM357664v1:3:13037509:13041508:1 gene:itb03g13070 transcript:itb03g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENDRRQLVLRDNLGGIRMKTNYSDLKKSYSLVSSGGKASPPEDLASLIDSFRELENGAPNTASAEKKLAWLRSQIIGGDVEFDTPFGKRRLTYADHTASGRSLHYIENYIINNVLPFYGNSHTSDSYVGYQTTKIVKEASEYVKKCMGGGENDAIIFCGSGSTAAVKRLQEVMGIAVPSIIREKLVESCLSNEEERWVVFVGPYEHHSNILSWRQSLAEVVEIGLTQDGLIDMEALKLKLESYKSTNRPLLGSFSACSNVTGTYSDTRAIARLLHRYGAFACFDFAASGPYTKIDMRSGEIDGYDAIFLSPHKFLGGPGTPGILMMSKILYQLGSSPPSTCGGGTVDFANPYNEKDTLYVENIEEREDAGTPPIIQKIRAALAFWVKEFIGQKVIERLEHTYINEALERLLPNPNIWVLGNVTAKRQAVLSFLIYTTTYSLSADINGQDKQLYLWRESGNMRDKPLHGPFVAKLLNDLFGIQARGGCACAGPYGHSLLKVDQTHSLAFRSAIQKGYTGVKPGWTRISFPYYMSKEEFEFTIAALEFLAIYAQRFLPLYSFNWKTGGWTFKKKALKEILLGNGKQRNGEFGGSIIKDDGGLSLSISSKYAKYLETAKWIASLLPKFPPQQPIPEEIDPHLIPFRV >itb05g11990.t1 pep chromosome:ASM357664v1:5:18332435:18333703:1 gene:itb05g11990 transcript:itb05g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKKHFVLVHGACHGAWCWYKVAALLRAEGHKVSALDMAASGIHPKRAEEVRSMAEYNQPLMEFMAALPADEKVVLVGHSKGGINISLAMEAFPHNISVAVFVAAFIGDQSHIQFNRQLESYMDTKIEYKEGEEKERHPVSILFGHEMLQKMLYQLSPPEVSDLTEFFS >itb07g21150.t2 pep chromosome:ASM357664v1:7:25508795:25512671:-1 gene:itb07g21150 transcript:itb07g21150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELIYRGQESHPVTDAYTPKPPKPWLAAIRPIRYMLREQRLVFLFAGIAVATLFFALLPSSKTARVYDALSDDSYSLADPARPHRLVYHSQDAIGAFNSGGKIPLGLKPKKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQVNIILLPFGMKR >itb07g21150.t1 pep chromosome:ASM357664v1:7:25507573:25512681:-1 gene:itb07g21150 transcript:itb07g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELIYRGQESHPVTDAYTPKPPKPWLAAIRPIRYMLREQRLVFLFAGIAVATLFFALLPSSKTARVYDALSDDSYSLADPARPHRLVYHSQDAIGAFNSGGKIPLGLKPKKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLIRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNAQIEFRPNTEDDPHKRKPDISKAKELLGWEPKVALKKGLPKMVKDFRQRIFGDHKEDGSSSSVLSKE >itb15g10640.t1 pep chromosome:ASM357664v1:15:8351258:8353860:1 gene:itb15g10640 transcript:itb15g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIISEELIKPSLPTPPHLTTFKLCLLDQLIPAPYAPIVLYYPNLDPSYTDHQILQRLLLLKRSLAETLTRFYPLAGVIKDGDDLSIDCNDRGAFCVTAKVNRDLCEFLGRPDLEFISEFLPCRPSFSGSLAGTGVTSVQINVFLCGGVAIGLCVSHRIVDGSGLSSFLRSWAGTACAAKEIVLPSFVGSSLFPAEDLWLRDASMAMWGSLFKTGKCITKRFLFDSSAIARLKTMVAANVRRPTQVEVVSACIWKSAMQAASDQDSGKRSLLTHLVNLRKRAAPPFSEHAMGNLIWVASAQTATTRSGGGDLPGLVNQIRNSISKINDDYVKRLRGEQGRNLMRKTMKDIEDFGSSNNGAAGYLGFTSWCKIGFYDVDFGFGKPVWVSPTSSTGSGVFMNLVVLMETKNGDGIEAWITLDEEEMRRFEESQELLGFAVPNSSPLQHFLV >itb09g14870.t1 pep chromosome:ASM357664v1:9:10160488:10164203:1 gene:itb09g14870 transcript:itb09g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKSGEPFSVKPGDGMVLHLSQANLGEVKKLHGSESVCLFVNVDGRKLVLGTLISDKLPQQQFDLIFDKDFELSHNWKNGNVYFYGFKAQNPIADDDDDQESDSDEDSPLTFKAEPETDVKPEKQAGPEKSDVAKSSVSDKKVKITEPHKDVKAEEDESSDEDMVSSDEDMDSSDGEDEDESDETPKKVESGKKRLAESSKKTPASDKNKKAKLETPQKTGGKKSSVHVATPYPLKQAKTAANKPNQSTPKSACSHACKSCNRTFGSEGALDSHTKAKHSSGK >itb13g15130.t1 pep chromosome:ASM357664v1:13:21840613:21841077:-1 gene:itb13g15130 transcript:itb13g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLSAPLLFCVTRSKPQLIVPSASTPHQQKPLSDLDDQGTVRLHIPVLMFYRNSVERRGEDPAMAVKEGLAKALVFYYPLAGRIFEGENGKLIVNCNGKGVLFVEAKANVELEQLGDKSMQPPCPYLKQLLNTVPGSKGIIDCPLLLIQVR >itb15g23080.t1 pep chromosome:ASM357664v1:15:25839893:25842996:1 gene:itb15g23080 transcript:itb15g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIEDVEEGFLMIKEGIDEGVWDTYQSALELENEVIVGFHSDIKTIVNRLCYSHFMRSVFTILRKTNIHKFRKYVENPVLKLQVMPLVGEGGIGKTTLAKRVYGHPTTIASFDIRAWVVLSQVPNLKEILIDLLSCILHITSEIYTLDDAQIGEQLCTSLMGKKYLIFLDDIWTTAACGAIKGYFPENFSGSQILVTTRFTKVAKYLSADLYYVKYQTLENRWELFYGKVFRQSQFVDYEDKLSKLRTAERIVYGCSGLPLEVVVIAGLLAVNKESVEIWRDVEDTLDGVDRYDNEDRISKILSLSYNYLPPHLKPCFHYFGVFPEDNVILVKRLINLWVAEGFLMPLENMSLEEVAESYLHDLTNRGLVQINELSIDGIVNSCKVHDRVFEVCVRQAINGNALCIINDNHDPNASHWLSCQTSHWPITQASYGNCSPYKIFSVLTFGKDVYHTKCRLVYPCLKLLRVLDLSFVKWSRGMPSEITDLVHLRYLALSTIGSLYKLRFFKLKNLLTLIVTSWMEKCPLQLPCDILGLPQLRHLHVDKRCSQYLPCLVKNNLQTLYWLKVASSDEKPSFGMLPNLIELGICIEGQLANSHLGSLVHLHRFEKLKFEVRRVERFCLPTGFPPNLKKLTLRYTYLPWKEMDTIGKLPHLEVLKLKDFAFCGPTWKPSEQGFRELKALLISQSNLKHWDASSNHFPVLELLVLRYCWELKQIPINFENIGTLKLIVLEDESFEEEIVVNSEEENVFEEEIVVNSEEENVESVKSFEEESEKSSEEESEESFEETLESFDEENVKLSKEESVGSSKEECVGSLMV >itb10g00690.t2 pep chromosome:ASM357664v1:10:461738:479113:-1 gene:itb10g00690 transcript:itb10g00690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQQSSRLNRLLTLLDTGSTQATRLTAAKQIGEIAKSHPQDLNSLLSKVSQYLRSKKWDTRVAAAHAIGAIAENVKHTSLTDLCDSVEKKMIESGVSGSVEDLVAWDKCNPKLAGSTSFGSFDLNKVLEFGALLASGGQEYDLPADNSKNPKERLARQKQNLRRRLGLDVCEQFMDVNEMIRDEDLVMQRVNSPVTSVSSHYYSPRPQGNIRHFVANMVPSAKSRRPSARELNLLKRKAKVSSKDQTKGWSKDGEPDAPQPQDMTSPRGIQSDASGSNKMHLDTVSDEDGLESDADGCWPFQCFVEQLMVDMFDPLWEIRHGSVMALREILTHQGANAGAIMPDRSGDVGLGSILKDRVVEKQESVIDLNIQVPLDESEPAFKKPKIEDASPLVMDTIPSASMVGNIDNIQVKVEDVGLNLPVRQENGEVNVGSVKMEIQSNSNSESSFNNYMVEAKSSCEDNISLEKMDLPRNLPENCELMNLVKLARHSWLKNSEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVYETLSILLQMQHRPEWEIRHGSLLGIKYLVAVRKEMLHDLLCYVLPACKSGLEDADDDVRAVSADALIPTAADIVSLKSEILHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQERMIPNGYGNLPSLEKQDLNLNEVVYQNDIGEGLSSLENPHMLSTLAPRLWPFMRHSITSVRYAAIRTLERLLEAGRKRSLCEASGSFWPSFILGDTLRIVFQNLLLESNEEILQCSGRVWRLLLQCPVNDLTDAAKAYFSFWIELSTTPYGSPLDTTKMFWPVALPRKSHFKAAAKMRAVKPEGDPYKNICFGSAEGTSLQEKNGDTSTHIGKIIVGGDVDISVTQTRVVTATALGVLASKLDDSSLQYVVDPLWNALASFSGVQRQVSSMLLVSWFKELKFKDISKSEGVITCISSNFGERLLDLLACTNPAFPSKDSALPYAELSRTYEKMRNEARQLYHGTEASGMFKDILSSSMLDLESLSADDAVNFASKLSFLNNITTGEESAGRSIFDELESLKQRVLTTAGYLKCVQNNLHVTVSALLAAAVVWMSNLPAKLNPIILPLMASIKREQEEILQSKAAEALAELIYCCMGRKPGPNDKLIKNLCSLTCMDPCETPQAGVLNSIEKIEEQGLLSFRSSNNRQKSKVHMLSPGDDRSKVEGFISRRGAELALKCLCEKFGGSLFDKLPKLWDCLVEVLKPGNVERLNPEDEKLISEAIDSVTDPQILINNIQVVRSIAPLLDETLRSKLLTLLPCIFRCVCHPHVAVRLAASRCITTLAKSMAVNVMSAVVENVVPMLGDMTSVHSRQGAGMLVNLLVQGLGVELVPYAPLLVVPLLRCMSDSDHSVRQSVTHSFATLVPLLPLARGVSPPAGLSDRLSRNQEDVKFLEQLVDNSHIDDYKLSTELKVTLRRYQQEGINWLAFLKRFNLHGILCDDMGLGKTLQSSAIVASDIAEHVAANTAENLPPSLIICPSTLVGHWEYEIEKFIDASLVTTLQYVGSAQERTSLRSQFNRYSVIVTSYDVVRKDVDSLRQVFWNYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNVLDLWSLFDFLMPGFLGTERQFHASYGKPLIAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKEEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSDVKQEISSMVKVNESDTGQGSEAPKASTHVFQALQYLLKLCSHPLLVLGEKISESVSSVLSAFLPNGSNIVSELHKPHHSPKLVALQEILEECGIGIDASGSDSPVNVGQHRVLIFAQHKAFLDIIEKDLFHSQMKNVTYLRLDGSVEPDKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQKKVVHVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFTPADGKKAPNVSQSSDDKFEGDPKLTRGGKGLKAILGGLEELWDQSQYSEEYNLSHFLAKLNG >itb10g00690.t1 pep chromosome:ASM357664v1:10:461738:479113:-1 gene:itb10g00690 transcript:itb10g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQQQSSRLNRLLTLLDTGSTQATRLTAAKQIGEIAKSHPQDLNSLLSKVSQYLRSKKWDTRVAAAHAIGAIAENVKHTSLTDLCDSVEKKMIESGVSGSVEDLVAWDKCNPKLAGSTSFGSFDLNKVLEFGALLASGGQEYDLPADNSKNPKERLARQKQNLRRRLGLDVCEQFMDVNEMIRDEDLVMQRVNSPVTSVSSHYYSPRPQGNIRHFVANMVPSAKSRRPSARELNLLKRKAKVSSKDQTKGWSKDGEPDAPQPQDMTSPRGIQSDASGSNKMHLDTVSDEDGLESDADGCWPFQCFVEQLMVDMFDPLWEIRHGSVMALREILTHQGANAGAIMPDRSGDVGLGSILKDRVVEKQESVIDLNIQVPLDESEPAFKKPKIEDASPLVMDTIPSASMVGNIDNIQVKVEDVGLNLPVRQENGEVNVGSVKMEIQSNSNSESSFNNYMVEAKSSCEDNISLEKMDLPRNLPENCELMNLVKLARHSWLKNSEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVYETLSILLQMQHRPEWEIRHGSLLGIKYLVAVRKEMLHDLLCYVLPACKSGLEDADDDVRAVSADALIPTAADIVSLKSEILHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQERMIPNGYGNLPSLEKQDLNLNEVVYQNDIGEGLSSLENPHMLSTLAPRLWPFMRHSITSVRYAAIRTLERLLEAGRKRSLCEASGSFWPSFILGDTLRIVFQNLLLESNEEILQCSGRVWRLLLQCPVNDLTDAAKAYFSFWIELSTTPYGSPLDTTKMFWPVALPRKSHFKAAAKMRAVKPEGDPYKNICFGSAEGTSLQEKNGDTSTHIGKIIVGGDVDISVTQTRVVTATALGVLASKLDDSSLQYVVDPLWNALASFSGVQRQVSSMLLVSWFKELKFKDISKSEGVITCISSNFGERLLDLLACTNPAFPSKDSALPYAELSRTYEKMRNEARQLYHGTEASGMFKDILSSSMLDLESLSADDAVNFASKLSFLNNITTGEESAGRSIFDELESLKQRVLTTAGYLKCVQNNLHVTVSALLAAAVVWMSNLPAKLNPIILPLMASIKREQEEILQSKAAEALAELIYCCMGRKPGPNDKLIKNLCSLTCMDPCETPQAGVLNSIEKIEEQGLLSFRSSNNRQKSKVHMLSPGDDRSKVEGFISRRGAELALKCLCEKFGGSLFDKLPKLWDCLVEVLKPGNVERLNPEDEKLISEAIDSVTDPQILINNIQVVRSIAPLLDETLRSKLLTLLPCIFRCVCHPHVAVRLAASRCITTLAKSMAVNVMSAVVENVVPMLGDMTSVHSRQGAGMLVNLLVQGLGVELVPYAPLLVVPLLRCMSDSDHSVRQSVTHSFATLVPLLPLARGVSPPAGLSDRLSRNQEDVKFLEQLVDNSHIDDYKLSTELKVTLRRYQQEGINWLAFLKRFNLHGILCDDMGLGKTLQSSAIVASDIAEHVAANTAENLPPSLIICPSTLVGHWEYEIEKFIDASLVTTLQYVGSAQERTSLRSQFNRYSVIVTSYDVVRKDVDSLRQVFWNYCILDEGHIIKNAKSKVTLAVKQLKAQHRLILSGTPIQNNVLDLWSLFDFLMPGFLGTERQFHASYGKPLIAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKEEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSDVKQEISSMVKVNESDTGQGSEAPKASTHVFQALQYLLKLCSHPLLVLGEKISESVSSVLSAFLPNGSNIVSELHKPHHSPKLVALQEILEECGIGIDASGSDSPVNVGQHRVLIFAQHKAFLDIIEKDLFHSQMKNVTYLRLDGSVEPDKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQKKVVHVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFTPADGKKAPNVSQSSDDKFEGDPKLTRGGKGLKAILGGLEELWDQSQYSEEYNLSHFLAKLNG >itb06g12090.t1 pep chromosome:ASM357664v1:6:16648791:16651469:1 gene:itb06g12090 transcript:itb06g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNKTRSLFNGFLKDGSFKWLNKTLSALGEDAEEMEKDSSSSGKNWLPELSPLANVVVRQCSKILDTPMKQLHEIFDGEASDTLKHPSQYARNFLEYCSFRTLALAVQVSGYLGDRSFRRLTFDMMAAWEFPAAASKPFASMDEDVTVGVEAFSRIAVAVPIIANVIVSDNIFSVLSSSTGGRLQFTIYEKYLSGLERGVKKLKGNSESSHLSSLRSARGEKILELEGTVTTQPVMWGSPHGLVG >itb07g08180.t1 pep chromosome:ASM357664v1:7:6381012:6384851:1 gene:itb07g08180 transcript:itb07g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPPKSPPFKPPPFTPAEDEDSSKPKDKAWIDKKSAEELEEIEDDCDEDPLLEDYRKKRLVEMQAAAKVAKFGCVIPISGSDFVREVSQAPPDVWVIVLLYKDGYADCRVLLQCLEELASKYPATKFVKIISTDCIHNYPDRNLPTLLVYNNSAVKANYIGIHSFGRRCTPESVALVLCQSDPVLNDGHGGEKQSREAVLDGVRKRFLEKVVAEHENDDGSDMKQKITHHLSPPQPIHLEHTIKLSGNNPAGSACYDVLVDVPFPIHRELSALLASTEKTEEIDACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIDSQGKDLKLVTAEASHNAEKERRSDFYSQPCSITSTYRGGFQHLCRGELLWRLQLRLCYAAPVAVGVP >itb04g28200.t2 pep chromosome:ASM357664v1:4:32005944:32010314:1 gene:itb04g28200 transcript:itb04g28200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTENEERVCRTLQKEGQRKQTCKAVQSAIVMDLARALGIDLSNYSELIEEVKQLKNDLGSSNSISERRILMSLEKIVDNWSLHLDILTLNLDLDFEDEAHISPFKNFLCPLTKEVMRDPVVLESAQTYERTAIQYWFERCLEDGRDPTCPVTGLVLKSLELKPNIGLAGAIEEWVNRNVDIQIKSAVQCLSEDPPAVNLVERALDSMYKISEEHPSSRCRIRDAGIVPLVVKLLKNSSKSIGSLLRSKAFMVLLSMCKDDECKKIMLEEGTSRLAIHGLIGNSDKEKEYATRLLLEFCNDEAYCRRAASEKGALVLLSGMADDLENPSLSHLAENVLNRMENVEDNIKHLAAAGRFDPLIKRLCEGPDDVKIEMASIVGEMTLANRSKEQIARQGAKTLVELLAKPEGRIASLKALYNLSSLDDNATLLVDSGVLPSLTEILFENQVVLPELKELAASILANLVSTPGHWELASADRASNTMQSESMVFELTSLLSLPLSTPRCQASLLQILCGIVSSPQASESTTAHIKAGDGIKVIIKFLEHHDVECRIYAFRLARVLSERLSVDFTNEFRPSNKLPMLREKIIDNQSTDGERSDAACILANLVLSENEVRTILEDSFVGWTVAKLKDQQQRLQSSMEEGLLGLLLHYTRSAGPQSISVIKENRLMTIFRDQLIVNPRPRLKQLASIGLKNLSEIGQELAGKGDLEPRHPKGLCSPLALICGGSKSPSSCPIHNAPCGDDSQFCLLRGNCVKPLVDSITDKDTSVQIAALEALSTLLLDTSSGSKRAIEELERLGVVSSVVSLFTEARPGELQERTIWMVERILRVESFTQQHSLNQSLVKALVDAFKHGNAITKRHAQDALTNLKQISGVSGKASSQNRGRR >itb04g28200.t1 pep chromosome:ASM357664v1:4:32005944:32010314:1 gene:itb04g28200 transcript:itb04g28200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVVAVEESLQGLLSEIAEAGDDEHQYAWENARRFSGYVKRLQLVLGQLLRTLRPEADVSAAVQTSLKGIAGDLRQGAEALAVYRRKSKIFVLINCQSLRASLQERTLAIGGWLALLDSALAAIPDLQKKIADLSREMKQAQFKVTENEERVCRTLQKEGQRKQTCKAVQSAIVMDLARALGIDLSNYSELIEEVKQLKNDLGSSNSISERRILMSLEKIVDNWSLHLDILTLNLDLDFEDEAHISPFKNFLCPLTKEVMRDPVVLESAQTYERTAIQYWFERCLEDGRDPTCPVTGLVLKSLELKPNIGLAGAIEEWVNRNVDIQIKSAVQCLSEDPPAVNLVERALDSMYKISEEHPSSRCRIRDAGIVPLVVKLLKNSSKSIGSLLRSKAFMVLLSMCKDDECKKIMLEEGTSRLAIHGLIGNSDKEKEYATRLLLEFCNDEAYCRRAASEKGALVLLSGMADDLENPSLSHLAENVLNRMENVEDNIKHLAAAGRFDPLIKRLCEGPDDVKIEMASIVGEMTLANRSKEQIARQGAKTLVELLAKPEGRIASLKALYNLSSLDDNATLLVDSGVLPSLTEILFENQVVLPELKELAASILANLVSTPGHWELASADRASNTMQSESMVFELTSLLSLPLSTPRCQASLLQILCGIVSSPQASESTTAHIKAGDGIKVIIKFLEHHDVECRIYAFRLARVLSERLSVDFTNEFRPSNKLPMLREKIIDNQSTDGERSDAACILANLVLSENEVRTILEDSFVGWTVAKLKDQQQRLQSSMEEGLLGLLLHYTRSAGPQSISVIKENRLMTIFRDQLIVNPRPRLKQLASIGLKNLSEIGQELAGKGDLEPRHPKGLCSPLALICGGSKSPSSCPIHNAPCGDDSQFCLLRGNCVKPLVDSITDKDTSVQIAALEALSTLLLDTSSGSKRAIEELERLGVVSSVVSLFTEARPGELQERTIWMVERILRVESFTQQHSLNQSLVKALVDAFKHGNAITKRHAQDALTNLKQISGVSGKASSQNRGRR >itb09g17660.t1 pep chromosome:ASM357664v1:9:13015493:13016347:1 gene:itb09g17660 transcript:itb09g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIVTGFTMSEREGHAGELLLEMKEALKLRERIAEIYAQRTGNPTSIISRDMDRDVFFSARQARIYGIIDDIEFSDDEEFLRG >itb11g02750.t1 pep chromosome:ASM357664v1:11:1407450:1411458:-1 gene:itb11g02750 transcript:itb11g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARTSSKDLQQRTSVAAPRAGRKLKITAGSESDSVASPNPTSRNPKGRSLKGNDRQSPQSSASEKKFPSKASELETHLLQLQEELKKAREQLTSSDSLKKKAQRELEDTKKQLAAMSKNLEESQNQLLEFSDSEEARLQELRKISQDRDRAWQSELEALQKQHELDSAALASAMAEIQKLKAQVERVSGAEAAQARHAESAHGEICHLRLELAETIGLVGDLKAQLNESKECAAKALQELGEAQMQLEVARLTEETLRADVVKAKESCKSMAFELELEQSKNQETESNDLKAEINQLRSALESSERRYQEEYIQTTLQIRTAYELLEKAKSESLQRETHLEAKLKAANSEIESLQKELSTERISELESELNNSETALAELKACLLDKETELQCIAEENSLLKSEIQDREEGKIIMTRTLEESESSTTIDEQLRSAQAANLDLQAELRRLKIQSNQWRKAVEAAAAMLSSSTGNNGKYSMDYHTLGGKLGSPLSDDINEDDDSHKKKNGNMLKKIGVLLKKGQK >itb06g10650.t1 pep chromosome:ASM357664v1:6:15122412:15127591:-1 gene:itb06g10650 transcript:itb06g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKRKKGAVPVYLNVYDLTHFNGYAYWFGLGIYHSGVQVHDVEYGFGAHERALSGIFQVEPKQCPGFRFRRSILIGRTDLCPNQVREFMDKLSKRYTGNSYKLITNNCNHFCNDVCTQLTGNSIPRWVNRLAYFGFWFNCVLPPGLSETKVERPRNNEDRIMYEKKLTRSIDSTSFASSNQNHFELICNNSQAASLNSASNLSTMTV >itb07g09520.t1 pep chromosome:ASM357664v1:7:8964320:8965487:1 gene:itb07g09520 transcript:itb07g09520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRTKVFHSNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWMQKYAMG >itb14g03610.t1 pep chromosome:ASM357664v1:14:3238359:3240350:1 gene:itb14g03610 transcript:itb14g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQHCDGVNSDTSCLIPGIGRDNSISCLIRCSRSAYGMAASVNRSFRSLIRSGELYKLRRMNGIIEHWVYFSCNMLEWEAFDPNLRRWMRLPTMNSNECFVFSDNESLAVGTELLVFGKEYMAQVIYRYSLLTNSWSTGMQMNEPRCLFGSACQGEIAIVAGGCDLQGKILSSAELYNSETGEWKLLPSLNKARKMCSGVFMDGKFYVIGGIGGPETKLLTSGEEYNLETETWTEIPNMCLPRTVVNDQFYAADYAEMVVRKYDKDNRVWFTIGRLPERANSMNGWGLAFRACGDKLIVIGGPRASGPGFIEVNSRVSSEGPPEWHLLGRKQSGSFVYNCAVMGC >itb04g14110.t1 pep chromosome:ASM357664v1:4:14422220:14425899:1 gene:itb04g14110 transcript:itb04g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MAMLPLSSVLQSCYLGRGTAESSKSVACARGCHSERVVCGLRNVDAVQKPFYWNGGNFTQKISSKPYGLMVVAATAADTSVIQTAGQVSKYLFRTETTGLVKVSVDKRNSKYGVQIQVLPSELDGRVGELVMIWGLFRSDAQSLMSLDSQSVGTSNKQSTVETVFQSKSSGKLVELDFELSLAPFYLSFFLKSELASEVNNSEIKSHRKTNFVVPIGFSSGHPAPLGLSFLSDGSINFAVLSRSSESVVLCLYDDMRKEKPVIELDLDPYVNRSGDVWHASIDGSLPFVGYGYRCKGATAEKTEHALLDPYAKILVDYIPPREGFNSVPRFLGQLCKEPAFDWSNDIRPNIPMEKLIVYHLNVSNFTKGKSSKLPAQVAGTFSGITEKLQHFKDLGVNAILLEPIFPFDEQKGPYFPVHFFSPGHLCGPPGDPSSIINSMKQMVKEVHANGIEVFLQVVFTHTAEGASLLHIDKSSYYYLEDDMGMKTENSLNCNYPPVQQMILDSLRHWVVEYHIDGFCFVNASFLTRGFHGEFLTHPPLIEAIAFDPLLSKVKLIADFWDPVENTSKEIIFPHWKRWAEINSKFCGDVRNFLRGNGSLSKLATRLCGSGDVFSGGRGPAFSLNYIARNFGLTLVDLVSFSSREVASELSWNCGEEGPTNKNAVLERRLKQIRNFLFILFISLGVPVLNMGDEGGRSSGGSPAYEARKPFDWNALRTGFGVQTTQFISFLSKLRTRRSDLLQKGDFLDEDNIEWHGSDQSPPRWVDPSNKFLAMTLKADTGEIESSTASDLPGHLFAAFNSADHSESVVLPPLPAGMAWFRLVDTALPFPGFFSEKGTPIEDGSAKYEMKSHSCMLLEAKHTNG >itb04g14110.t2 pep chromosome:ASM357664v1:4:14422616:14425817:1 gene:itb04g14110 transcript:itb04g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MAMLPLSSVLQSCYLGRGTAESSKSVACARGCHSERVVCGLRNVDAVQKPFYWNGGNFTQKISSKPYGLMVVAATAADTSVIQTAGQVSKYLFRTETTGLVKVSVDKRNSKYGVQIQVLPSELDGRVGELVMIWGLFRSDAQSLMSLDSQSVGTSNKQSTVETVFQSKSSGKLVELDFELSLAPFYLSFFLKSELASEVNNSEIKSHRKTNFVVPIGFSSGHPAPLGLSFLSDGSINFAVLSRSSESVVLCLYDDMRKEKPVIELDLDPYVNRSGDVWHASIDGSLPFVGYGYRCKGATAEKTEHALLDPYAKILVDYIPPREGFNSVPRFLGQLCKEPAFDWSNDIRPNIPMEKLIVYHLNVSNFTKGKSSKLPAQVAGTFSGITEKLQHFKDLGVNAILLEPIFPFDEQKGPYFPVHFFSPGHLCGPPGDPSSIINSMKQMVKEVHANGIEVFLQVVFTHTAEGASLLHIDKSSYYYLEDDMGMKTENSLNCNYPPVQQMILDSLRHWVVEYHIDGFCFVNASFLTRGFHGEFLTHPPLIEAIAFDPLLSKVKLIADFWDPVENTSKEIIFPHWKRWAEINSKFCGDVRNFLRGNGSLSKLATRLCGSGDVFSGGRGPAFSLNYIARNFGLTLVDLVSFSSREVASELSWNCGEEGPTNKNAVLERRLKQIRNFLFILFISLGVPVLNMGDEGGRSSGGSPAYEARKPFDWNALRTGFGVQTTQFISFLSKLRTRRSDLLQKGDFLDEDNIEWHGSDQSPPRWVDPSNKFLAMTLKADTGEIESSTASDLPGHLFAAFNSADHSESVVLPPLPAGMAWFRLVDTALPFPGFFSEKGTPIEDGSAKYEMKSHSCMLLEAKHTNG >itb09g00660.t1 pep chromosome:ASM357664v1:9:453734:456935:-1 gene:itb09g00660 transcript:itb09g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDNARGLILAMLSSLFIGTSFILKKKGLKRAAAAGTRAGVGGYSYLQEPLWWAGMITMIVGEVANFVAYVYAPAVLVTPLGALSIIVSAVLAHFMLKERLRKLCMLGCASCIVGSVVIVIHAPQERVPTSIQEIWNLATQPAFLVYVAATLLIVMALILHFEAQYGQTNLLVYLGICSLMGSLTVVSIKAIGIAIKLTLEGISQIAYPQTWFFLMVTVICAITQLNYLNKALDTFNTAIVSPVYYVLFTTLTIVASAIMFKDWAEQDASSIASEICGFVTVLSGTIILHVAREQEPTNPPGTIIWYDEEQIKDMEDAHYITLQSSEYFT >itb09g00660.t2 pep chromosome:ASM357664v1:9:453888:456862:-1 gene:itb09g00660 transcript:itb09g00660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDNARGLILAMLSSLFIGTSFILKKKGLKRAAAAGTRAGVGGYSYLQEPLWWAGMITMIVGEVANFVAYVYAPAVLVTPLGALSIIVSAVLAHFMLKERLRKLCMLGCASCIVGSVVIVIHAPQERVPTSIQEIWNLATQPAFLVYVAATLLIVMALILHFEAQYGQTNLLVYLGICSLMGSLTVVSIKAIGIAIKLTLEGISQIAYPQTWFFLMVTVICAITQLNYLNKALDTFNTAIVSPVYYVLFTTLTIVASAIMFKDWAEQDASSIASEICGFVTVLSGTIILHVAREQEPTNPPGTIIWYDEEQIKDMEDAHYITLQSSEYFT >itb10g15330.t4 pep chromosome:ASM357664v1:10:21596624:21605642:1 gene:itb10g15330 transcript:itb10g15330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MSSLSRELVFLILQFLDEEKFKETVHKLEKESGYFFNMRHFEDAVTNGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDQPKALEILTKDLKVFSTFNEELFKEITLLLTLNNFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQLPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHTCGQPNGARAPSPVTNPLIGSMPKVGGFPPLGAHGPFQPAPAPIASSLGGWMANPPSMPHPAVSGGPIGLSPPNNAASMLKRPRTPPGNNPALDYQTADSEQVLKRPRPFGMPEEANNLPVNILPVMYSGQNHARPMYSSDDLPRTVVANLNQGSTAKSMDFHPVQQTLLLVGTNTGDIAIWDVASRERLAFRSFKVWDVAACSLTLQASLANECTASVNRVAWSPDGTLLGVAYSMHIIHLFSYHGGDDLRNHLEIDAHVGNVSDLAFSHPNKQLCVITCGEDKTIKVWDAASGSKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHSCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRKYLGLGKRSVGVVQFDTTKNRFLAAGDEFVIKFWDMDNVNLLTTIDAEGGLTASPCIRFNKEGMLLAVSTSDNGIKILANADGVRLIRATESHALDPSRVVSKQAPMITSYASNSTAGTSNAAADRNARVTPIVALNGDNSCSLQDVKPRISDELEKSKIWKLTEISEPGQLRTLKLPDNSLPVRIIRLIYTNSGGAILALAYNAIHKLWKWQRTERNTFGKATTTVAPQLWQPASGILMTNDISETNIEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNVIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSAGADAQLCVWSMDGWEKKASKFLQNPSGRAPNPVTQTHVQFHQDQIHVLVAHESQIAIYEASKLECVKQWVPQDSTLAITNAVFSCDSQSVYACFEDGSVNVFSASAMSLKLRCRIHPTAYLPSNPSSRVFPLVVAAHPSEANQFALGLTDGSVHVVEPLQSEGKWGTVPPPENGAGTSMSPAAAAGSDQPSR >itb10g15330.t1 pep chromosome:ASM357664v1:10:21596624:21605677:1 gene:itb10g15330 transcript:itb10g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MSSLSRELVFLILQFLDEEKFKETVHKLEKESGYFFNMRHFEDAVTNGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDQPKALEILTKDLKVFSTFNEELFKEITLLLTLNNFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQLPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHTCGQPNGARAPSPVTNPLIGSMPKVGGFPPLGAHGPFQPAPAPIASSLGGWMANPPSMPHPAVSGGPIGLSPPNNAASMLKRPRTPPGNNPALDYQTADSEQVLKRPRPFGMPEEANNLPVNILPVMYSGQNHARPMYSSDDLPRTVVANLNQGSTAKSMDFHPVQQTLLLVGTNTGDIAIWDVASRERLAFRSFKVWDVAACSLTLQASLANECTASVNRVAWSPDGTLLGVAYSMHIIHLFSYHGGDDLRNHLEIDAHVGNVSDLAFSHPNKQLCVITCGEDKTIKVWDAASGSKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHSCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRKYLGLGKRSVGVVQFDTTKNRFLAAGDEFVIKFWDMDNVNLLTTIDAEGGLTASPCIRFNKEGMLLAVSTSDNGIKILANADGVRLIRATESHALDPSRVVSKAPMITSYASNSTAGTSNAAADRNARVTPIVALNGDNSCSLQDVKPRISDELEKSKIWKLTEISEPGQLRTLKLPDNSLPVRIIRLIYTNSGGAILALAYNAIHKLWKWQRTERNTFGKATTTVAPQLWQPASGILMTNDISETNIEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNVIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSAGADAQLCVWSMDGWEKKASKFLQNPSGRAPNPVTQTHVQFHQDQIHVLVAHESQIAIYEASKLECVKQWVPQDSTLAITNAVFSCDSQSVYACFEDGSVNVFSASAMSLKLRCRIHPTAYLPSNPSSRVFPLVVAAHPSEANQFALGLTDGSVHVVEPLQSEGKWGTVPPPENGAGTSMSPAAAAGSDQPSR >itb10g15330.t3 pep chromosome:ASM357664v1:10:21596625:21605596:1 gene:itb10g15330 transcript:itb10g15330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MSSLSRELVFLILQFLDEEKFKETVHKLEKESGYFFNMRHFEDAVTNGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDQPKALEILTKDLKVFSTFNEELFKEITLLLTLNNFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQLPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHTCGQPNGARAPSPVTNPLIGSMPKVGGFPPLGAHGPFQPAPAPIASSLGGWMANPPSMPHPAVSGGPIGLSPPNNAASMLKRPRTPPGNNPALDYQTADSEQVLKRPRPFGMPEEANNLPVNILPVMYSGQNHARPMYSSDDLPRTVVANLNQGSTAKSMDFHPVQQTLLLVGTNTGDIAIWDVASRERLAFRSFKVWDVAACSLTLQASLANECTASVNRVAWSPDGTLLGVAYSMHIIHLFSYHGGDDLRNHLEIDAHVGNVSDLAFSHPNKQLCVITCGEDKTIKVWDAASGSKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHSCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRKYLGLGKRSVGVVQFDTTKNRFLAAGDEFVIKFWDMDNVNLLTTIDAEGGLTASPCIRFNKEGMLLAVSTSDNGIKILANADGVRLIRATESHALDPSRVVSKQAPMITSYASNSTAGTSNAAADRNARVTPIVALNGDNSCSLQDVKPRISDELEKSKIWKLTEISEPGQLRTLKLPDNSLPVRIIRLIYTNSGGAILALAYNAIHKLWKWQRTERNTFGKATTTVAPQLWQPASGILMTNDISETNIEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNVIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSAGADAQLCVWSMDGWEKKASKFLQNPSGRAPNPVTQTHVQFHQDQIHVLVAHESQIAIYEASKLECVKQWVPQDSTLAITNAVFSCDSQSVYACFEDGSVNVFSASAMSLKLRCRIHPTAYLPSNPSSRVFPLVVAAHPSEANQFALGLTDGSVHVVEPLQSEGKWGTVPPPENGAGTSMSPAAAAGSDQPSR >itb10g15330.t5 pep chromosome:ASM357664v1:10:21597609:21605642:1 gene:itb10g15330 transcript:itb10g15330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MHILNFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQLPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHTCGQPNGARAPSPVTNPLIGSMPKVGGFPPLGAHGPFQPAPAPIASSLGGWMANPPSMPHPAVSGGPIGLSPPNNAASMLKRPRTPPGNNPALDYQTADSEQVLKRPRPFGMPEEANNLPVNILPVMYSGQNHARPMYSSDDLPRTVVANLNQGSTAKSMDFHPVQQTLLLVGTNTGDIAIWDVASRERLAFRSFKVWDVAACSLTLQASLANECTASVNRVAWSPDGTLLGVAYSMHIIHLFSYHGGDDLRNHLEIDAHVGNVSDLAFSHPNKQLCVITCGEDKTIKVWDAASGSKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHSCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRKYLGLGKRSVGVVQFDTTKNRFLAAGDEFVIKFWDMDNVNLLTTIDAEGGLTASPCIRFNKEGMLLAVSTSDNGIKILANADGVRLIRATESHALDPSRVVSKQAPMITSYASNSTAGTSNAAADRNARVTPIVALNGDNSCSLQDVKPRISDELEKSKIWKLTEISEPGQLRTLKLPDNSLPVRIIRLIYTNSGGAILALAYNAIHKLWKWQRTERNTFGKATTTVAPQLWQPASGILMTNDISETNIEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNVIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSAGADAQLCVWSMDGWEKKASKFLQNPSGRAPNPVTQTHVQFHQDQIHVLVAHESQIAIYEASKLECVKQWVPQDSTLAITNAVFSCDSQSVYACFEDGSVNVFSASAMSLKLRCRIHPTAYLPSNPSSRVFPLVVAAHPSEANQFALGLTDGSVHVVEPLQSEGKWGTVPPPENGAGTSMSPAAAAGSDQPSR >itb10g15330.t2 pep chromosome:ASM357664v1:10:21596696:21605677:1 gene:itb10g15330 transcript:itb10g15330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT3G15880) TAIR;Acc:AT3G15880] MSSLSRELVFLILQFLDEEKFKETVHKLEKESGYFFNMRHFEDAVTNGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDQPKALEILTKDLKVFSTFNEELFKEITLLLTLNNFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQLPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHTCGQPNGARAPSPVTNPLIGSMPKVGGFPPLGAHGPFQPAPAPIASSLGGWMANPPSMPHPAVSGGPIGLSPPNNAASMLKRPRTPPGNNPALDYQTADSEQVLKRPRPFGMPEEANNLPVNILPVMYSGQNHARPMYSSDDLPRTVVANLNQGSTAKSMDFHPVQQTLLLVGTNTGDIAIWDVASRERLAFRSFKVWDVAACSLTLQASLANECTASVNRVAWSPDGTLLGVAYSMHIIHLFSYHGGDDLRNHLEIDAHVGNVSDLAFSHPNKQLCVITCGEDKTIKVWDAASGSKQYIFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHSCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRKYLGLGKRSVGVVQFDTTKNRFLAAGDEFVIKFWDMDNVNLLTTIDAEGGLTASPCIRFNKEGMLLAVSTSDNGIKILANADGVRLIRATESHALDPSRVVSKAPMITSYASNSTAGTSNAAADRNARVTPIVALNGDNSCSLQDVKPRISDELEKSKIWKLTEISEPGQLRTLKLPDNSLPVRIIRLIYTNSGGAILALAYNAIHKLWKWQRTERNTFGKATTTVAPQLWQPASGILMTNDISETNIEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNVIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSAGADAQLCVWSMDGWEKKASKFLQNPSGRAPNPVTQTHVQFHQDQIHVLVAHESQIAIYEASKLECVKQWVPQDSTLAITNAVFSCDSQSVYACFEDGSVNVFSASAMSLKLRCRIHPTAYLPSNPSSRVFPLVVAAHPSEANQFALGLTDGSVHVVEPLQSEGKWGTVPPPENGAGTSMSPAAAAGSDQPSR >itb04g03980.t2 pep chromosome:ASM357664v1:4:2487984:2491751:-1 gene:itb04g03980 transcript:itb04g03980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSVGSLGLRAPGTALNGTKSGSHAFSSPCTCEIRLRGFPVQTTSVPFVSSPEATPDANSIASSFYLEESDLKALLTPGCFYTNHACLEVAVFTGHKGTHCGVAMKRQQVGTFRLEVGPEWGKGKPAVLFNGWTGIGKNKQENGKPGAELHLRVKLDPDPRYVFQFEEKTKLSPQIVQLQGNIKQPIFSCKFSQDRVQQVDPLSNFSSSFIDSSDIEIERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVDRSNPGAWLIVRPDPCMRESWQPWGKLEAWRERGIRDSICCRFHLLSDGPEGGGDLLMSEILISAEKGGEFYIDTDKQVRAAASPVPSPRSSGDFSALSPVLGGFVMSCRVQGEGKYSKLLVQLAMRHVTCIEDAAIFMALAAAVDLSIEACRPFRRLRRGTRHSW >itb04g03980.t1 pep chromosome:ASM357664v1:4:2487984:2491751:-1 gene:itb04g03980 transcript:itb04g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSVGSLGLRAPGTALNGTKSGSHAFSSPCTCEIRLRGFPVQTTSVPFVSSPEATPDANSIASSFYLEESDLKALLTPGCFYTNHACLEVAVFTGHKGTHCGVAMKRQQVGTFRLEVGPEWGKGKPAVLFNGWTGIGKNKQENGKPGAELHLRVKLDPDPRYVFQFEEKTKLSPQIVQLQGNIKQPIFSCKFSQDRVQQVDPLSNFSSSFIDSSDIEIERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVDRSNPGAWLIVRPDPCMRESWQPWGKLEAWRERGIRDSICCRFHLLSDGPEGGGDLLMSEILISAEKGGEFYIDTDKQVRAAASPVPSPRSSGDFSALSPVLGGFVMSCRVQGEGKYSKLLVQLAMRHVTCIEDAAIFMALAAAVDLSIEACRPFRRLRRGTRHSW >itb11g22710.t1 pep chromosome:ASM357664v1:11:24627802:24628272:1 gene:itb11g22710 transcript:itb11g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEIIVSVALLFVGIVVLVFIHVCIVGRALRSRNGMHGTIFMPRGINRSQSMSQEDIKKLPCFEYKLEIIKEEEDEVDCAVCLESFKVGDKCRLLKCNHCFHAQCIDSWLINTAFCPICRAGAKASPLKTSSGDQENTTTSSSTSSTQVVAAEMT >itb07g22840.t2 pep chromosome:ASM357664v1:7:27343657:27344986:-1 gene:itb07g22840 transcript:itb07g22840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSVQGNAMSLVLSREAKPRLKWTAELHETFVDAVQQLGEATPKSLMKAMNIRGLSLYHLKSHLQKYRHGKSHQSQHSCHTRQQDYSKPPDQRSHVLTESCDAMQTQKNEELQIAQALQVQMEVQKTIHEQIEVQRHLQLRIEAQGKYLQSALKKAQEILSKAEISLLISPNMVDLGCQSSSESGLTTEAGHPTLKDKENSEPMATLESCEREEETVENPSDKKRFRGRRYDDNETLKSSSGLLENLDLNVKSTVNKFDDTTDCKVIDLNREWV >itb07g22840.t1 pep chromosome:ASM357664v1:7:27343462:27345021:-1 gene:itb07g22840 transcript:itb07g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSVQGNAMSLVLSREAKPRLKWTAELHETFVDAVQQLGGADKATPKSLMKAMNIRGLSLYHLKSHLQKYRHGKSHQSQHSCHTRQQDYSKPPDQRSHVLTESCDAMQTQKNEELQIAQALQVQMEVQKTIHEQIEVQRHLQLRIEAQGKYLQSALKKAQEILSKAEISLLISPNMVDLGCQSSSESGLTTEAGHPTLKDKENSEPMATLESCEREEETVENPSDKKRFRGRRYDDNETLKSSSGLLENLDLNVKSTVNKFDDTTDCKVIDLNREWV >itb12g21530.t1 pep chromosome:ASM357664v1:12:23860829:23861752:-1 gene:itb12g21530 transcript:itb12g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTSSEQFNVACESKHVENHLKTVKNTWMMICKLKKLSGVGWEDSLKMITCDSATYMELISATPKYETILNRKIEYCDEMSIVVGRDFVLGRFAKSFADIELEGTNEVDDITAENLHDQNDEETRGKTSVSTATLSQKSGRKRKSLDLKVDEMAEQLGNIASGIKSLSTGGIDESELYQQVMTIGADFHDDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb11g15100.t1 pep chromosome:ASM357664v1:11:12482941:12483423:-1 gene:itb11g15100 transcript:itb11g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSIFGARRSNIFDPFSLDLWDPFQGFPFSSAVANAPGGSAGETSAFANARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSREQEEKNDKWHRVERSSGKFLRRFRLPENAKMDEVKASMENGVLTVTVPKMEVKKPEIKAIDISA >itb12g03030.t1 pep chromosome:ASM357664v1:12:2000206:2001826:-1 gene:itb12g03030 transcript:itb12g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:Projected from Arabidopsis thaliana (AT3G51080) UniProtKB/TrEMBL;Acc:A0A178VKT0] MLYRTQHHSFLNPFTFSLTPPPPPPSSAVSVQGMECALKSCVRPEMAAKTAAHTVGCLENEFPAVGGGGGEDFSVDDLLDLSNGFSEEYEEKTEVPEEKAGENSSLVFVSVVPEKRQEETVGVSFCIEKDFSCFPGGELNAPADDLDSLEWLSHFVEEDSFSGYSLTYPAGKLPPLPENKNRVQAEIPVRDNPSFTTPVQTKARSKRARTGVRVWLSGSPSLTESSTSSSSSTMSSSPPHPFLTAESLIGIPPAKKQKKKPTQTAAAGQPRRCSHCGVQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSQLHSNNHRKVLEMRRKKESEASPGFAPPPVQSF >itb01g29640.t1 pep chromosome:ASM357664v1:1:33840353:33840964:-1 gene:itb01g29640 transcript:itb01g29640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSFSITPSPLENVNAPFQSYPFMPEFNNIQMDSRVKFSGLHDQHIATNSATDILSQIQSMSVPLHPPSLTHLQYSCLSLFLRADDSSSGGDLMVGIRGDSSSNKRPFATTTVLSLRAGEHTPVMIAVAASRKAGNWNLHGLRQNISNMSRAAAATQLTAPVVI >itb06g14770.t1 pep chromosome:ASM357664v1:6:19244306:19247552:1 gene:itb06g14770 transcript:itb06g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVLKPVEESRYSSETGYLINHLNRAKLVIEEIEREEASLREDLYSSDRKFAEYYNILGVRIKLGNEKSCEELLAVLLTGPKRKRWWFNLGSPSSASGPRIHQPVILPLQRGGGYRCSVKPASRGALQSKSREASAFEENPQHNSPLGTGRPVESNPSPAFRASPTVPASQSSHWGYRLALPSPASSSSNYGLIKRKYLCSIFDVGNCSKTCLAFIAKSGAEKCLCVWVLSAMRFALYRLEYHIKSSSCPKMRTGNNQQWIFSVFIVSKLKKLTLQDCQQPSWIQGKVGN >itb07g06000.t1 pep chromosome:ASM357664v1:7:4179803:4180752:-1 gene:itb07g06000 transcript:itb07g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRGGGDDGGCCSGKRKKERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPLAAARAYDTAVYYLRGPSARLNFPELLPGDAAGLNGLNDLSADSIRKKAIEVGSSVDATQTTSLRGGGGGAAVQEQPPPPLTACRLQAKLDLNEKPEPEDPNLDYF >itb04g31300.t1 pep chromosome:ASM357664v1:4:34188013:34194047:-1 gene:itb04g31300 transcript:itb04g31300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSALHFLDNRAILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAADTTAAVQRFNSEVMAKDLFKVVKHKYGEELNSLMSSKIIVVAGDITYQNLGIKDTNLLDQMWREVDVVVNLAATTSFDERYDVAMGINTMGPKHVLDFAKKCQNLRILLHVSTAYVSGEKEGLIQETPYQMGETLNGKCGLDIEREKKIIEETLKTLRAENASEKSITLAMKDLGIQRARTYGWPNTYVFTKAMGEMLLGKLRDNVPLVIIRPTIITSTYNEPFPGWVEGIRTIDSLAVAYGKGRLTCFLGDPKTIIDVIPADMVVNAMIVAMVGHADERGCERIYHVGSSVSNPLELPWIQDFGYRHFSKHPWIGKDGKPVIVGKVTVLSTMASFQTYMAIHYLLPLKGLEIVNTACCQYFQSTYHEMDRKIKFVLKLVDLYRPYLFFKGLYDDMNTEKLRRAAKEGGIETDLFYFDPKVICWDDYFVKTHIPGLVKHVFK >itb11g11010.t1 pep chromosome:ASM357664v1:11:7822582:7824606:-1 gene:itb11g11010 transcript:itb11g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 6 [Source:Projected from Arabidopsis thaliana (AT1G52520) UniProtKB/Swiss-Prot;Acc:Q9SSQ4] MVLQMEEVSPDSKHAIVGQNNEILRDTDGQQIESCGIDGQNGIPEVQKEFVAPAVGMEFESYDDAYNYYNCYAREAGFRVRVKNSWFKRNSGEKYGAVLCCSSQGFKRIKDVNRSRKETRTGCPAMIRMRLVDSKRWRVLEVTLEHNHVLGAKLYKSMKKISSGAKRKLEANSNTEVQTIKLYRALVIDTGGNGSTNSCAREARGSTDYSGHLNLRKEVEEMYSCFSTTQLHVDGPIIIFLVKERVLGEWNRREIRDYEVLYNRAAVEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILPRWKKDYKRLCVPDPGSNPVNGTEQVQWFNQLYRSALQVVEEGAISLDHYKAALQAFEESLSRVHSIEEKHK >itb11g15240.t2 pep chromosome:ASM357664v1:11:12710104:12714011:1 gene:itb11g15240 transcript:itb11g15240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRRLPEWMVCMPAAGHGKKKSETQDISNGENVEEVEVTKKSKKKDLKDGQVKKKSRTTDASNGESGEERVKKKSKTNYTKCGEHTDGKVVEKETRSRDVNTCETASDLKLRQRQTGTKRMRARARHDTEDLKEESCLLVRCETTQRSRRKVSLEDNNCNYESDFEMRKQSGVAKNIDEAEPPLRRQKTKAKSSVFEITDKNQDLMSIAEEYASEDEDLTVDDLLTLAKECVNEECKGEQNMSSPRESTATIPLGDTDISAGPIILKGSISAKDTSSSEALPKPNITGSPAQDMLNLFLGPLLKKTQEEKEVEVARDDIYFAYDRDLKSSNRNVDLKDLSAPLAKKKSSLKDKLY >itb11g15240.t3 pep chromosome:ASM357664v1:11:12710077:12713436:1 gene:itb11g15240 transcript:itb11g15240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRRLPEWMVCMPAAGHGKKKSETQDISNGENVEEVEVTKKSKKKDLKDGQVKKKSRTTDASNGESGEERVKKKSKTNYTKCGEHTDGKVVEKETRSRDVNTCETASDLKLRQRQTGTKRMRARARHDTEDLKEESCLLVRCETTQRSRRKVSLEDNNCNYESDFEMRKQSGVAKNIDEAEPPLRRQKTKAKSSVFEITDKNQDLMSIAEEYASEDEDLTVDDLLTLAKECVNEECKGEQNMSSPRESTATIPLGDTDISAGPIILKGSISAKDTSSSEALPKPNITGSPAQDMLNLFLGPLLKKTQEEKEVEVARDDIYFAYDRDLKSSNRNVDLKDLSAPLAKKKSSLKDKVAMLFD >itb11g15240.t1 pep chromosome:ASM357664v1:11:12710077:12714034:1 gene:itb11g15240 transcript:itb11g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRRLPEWMVCMPAAGHGKKKSETQDISNGENVEEVEVTKKSKKKDLKDGQVKKKSRTTDASNGESGEERVKKKSKTNYTKCGEHTDGKVVEKETRSRDVNTCETASDLKLRQRQTGTKRMRARARHDTEDLKEESCLLVRCETTQRSRRKVSLEDNNCNYESDFEMRKQSGVAKNIDEAEPPLRRQKTKAKSSVFEITDKNQDLMSIAEEYASEDEDLTVDDLLTLAKECVNEECKGEQNMSSPRESTATIPLGDTDISAGPIILKGSISAKDTSSSEALPKPNITGSPAQDMLNLFLGPLLKKTQEEKEVEVARDDIYFAYDRDLKSSNRNVDLKDLSAPLAKKKSSLKDKDSIEDSSHSTSSSSLLFHSFTRQRTRVPPYSSSTVVIL >itb03g11340.t1 pep chromosome:ASM357664v1:3:9427906:9430210:1 gene:itb03g11340 transcript:itb03g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQMVPPSCIVWLLTFDIVISTSPLHPCCMNQSIASVRHHAESWGVKLVPCADGKIIQIVKDVCARIFLQQCECKCVPSRRMVYHLPPLPSKGKRFKAFAPFPS >itb10g09490.t1 pep chromosome:ASM357664v1:10:12608121:12617777:1 gene:itb10g09490 transcript:itb10g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYHIYEAIGRGKHSTVYKGRKKKTIQYFAIKSVDKSQKAKVLQEVRVLHSLDHSNILKFYSWYETSAHLWLVLEYCVGGNLMALLQQDSRLPEDSIHDLACGLLRALQFLHSKGIIYSDLKPSNILLDENGHTKLCDFGLSRKLNDISRAPSQLPQAKRGTPCYMAPELFQDGGVHSYASDFWALGCVLYECYVGRPPFVGNEFTQLVKSILADPTPGLPGSPSRPFVNLINSLLIKDPAERIQWSELCAHAFWRTKFSPVSLPPQPAFDNMIEISSRPYLSERNGEKPPQNKTPQKAREKDSKVVNRHDEHPNIGSKGPETPNRCISSGRKPQLKAYGRIIDDKHKDTTHNTREVNLLRLSRIAKSNLRRENEKENYRRPLPNGSENDAEVKIENNDMELDFGENTEDETNDEPDDTEGIKCTPESTGSTPSQQEGKVEEMDNTNSGISVNTPFADDSRTMDQEPFSEQTELAAMLPADSPQLKTPRNKEGPSSDSGAPKSTNPLEVLWHPSDLSVRPVMPSRKSDKGSGTIPSLPFDALQTSDFVKLSKEQLDSLINRIIGIVTGNTPGEKQNVIRYLEMLSCNADAANILTNGPIMLVLVKMLRQSKASLLRAQLASLIGLLIRHSTFIGDELSNSGILGALTDGLRDRQDKVRRFSMAALGELLFYISTQNENARDYNALESPSKDNRPSSGWQVTSSMISLISSVLRNGEDDLTQLYALRTIENISSQGGHWSARFTSQDVISNLCYIFRAPGKQESMRLTAGSCLVRLVRFNPSCIQRALDKLSLKDISSSLVKGSPREQQICLNLLNMTLFESHMPANIGRYLPPLLEDKNLVPHLISLIEQGGEILKGKALLFVALLCKNGRRWLPQFFCNAKLLSTVDRLVKDKDNYVKQCLDAFAYVVASSVPSLLETIIADIQQIMGGRRRGQIMGVTSQNSSKNSIHFFPVVLHLLGCSLLKQRVASHQVIQQLANLMKLMESPFQGRDDFQITLLRILESVAEEMSVIKESSNIFTCQILPSLSVLYKGNKDGDARFLCLKVFFDLMVTLLNEVSDNEQRMIELKSISNSYFLPLYPSLIEDEDPIPVYAQKLLVMLIEYSHIKISDILHMKIISQCFEFLLVDFSTVNVNNVMLCLALASAPELETKVLSQLKVVRKLGSLLEFVRAKEMEDFIEPTLCLCRAFLLRSVGSRKGSSYAKEPVLLYDNSCDAIDQNECIRDIMDFGENVGVLLELSKSSENSTSDVASECLILLFKAAPREATTGFLTNLARVTVILESWTPSISHLLPQRILYALGYACRQYLSHAMILAIHLPEISKLERIVSQLKSCSIQAVTDAASHAALELQRLPRRY >itb11g06250.t1 pep chromosome:ASM357664v1:11:3716384:3719068:1 gene:itb11g06250 transcript:itb11g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTLVGSATPSVSSSLSASYPSPNTLSYAPSRNLSARAAANNNNYHHLSSFKPVSRLFSYSPSRPHTQRKNHVFLPHLVASLEEVQQTYIMIKPDGVQRGLVGEIISRFERKGFKLTGLKLFQCPNELAEEHYKDLQSKPFFPKLIKYITSGPVVCMAWEGIGVVASARKLIGATNPLNAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIALWFKEGELSEWTPAQEPWLIE >itb11g06250.t2 pep chromosome:ASM357664v1:11:3716384:3718566:1 gene:itb11g06250 transcript:itb11g06250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTLVGSATPSVSSSLSASYPSPNTLSYAPSRNLSARAAANNNNYHHLSSFKPVSRLFSYSPSRPHTQRKNHVFLPHLVASLEEVQQTYIMIKPDGVQRGLVGEIISRFERKGFKLTGLKLFQCPNELAEEHYKDLQSKPFFPKLIKYITSGPVVCMAWEGIGVVASARKLIGATNPLNAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGIPFLNMLYLMVMAK >itb01g24630.t1 pep chromosome:ASM357664v1:1:30241591:30243888:1 gene:itb01g24630 transcript:itb01g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQSQEELLAAQLEQQKIETEVPVVEDDDDEDDDDDDDDDKDEDEEHGDGSGRSKQSRSEKKSRKAMIKLGMKPIAGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPNLSNVVSKPEPSTAVQDDEDVDETGVEPKDIELVMTQAGVSRAKAVKALKAADGDIVSAIMELTN >itb08g15150.t2 pep chromosome:ASM357664v1:8:17200366:17203655:1 gene:itb08g15150 transcript:itb08g15150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFQACSAAIVSKTSCVVGSHSICRFSVLPWKVSFSQAGIRRVYLNSFLERVYVRSYSSKKSSRNCSWSQKSDHDMASTPALITEDDEINAFFVVRKGDLVGIYRRLSDCQSQVGSSICDPPVSVYKGYAMPKDTEDYLISRGLKNALYTIRAQDLTEDLFGSLLLCPFQDVVGSTVLPDDSLRKHAKLEHDSDQVLSLGRSCTLEFDGASKGTGQAGAGAVVRADDGSLTCRLREGLGIATCNAAEYRGIILGLKYALSKGFTSIRVQGDSKLVCMQIQGHWKVKSQNLSVLCGQAKQLKDRFLSFEIIHVLRKFNSDADVQANLGAALPDGQIQEEIVLER >itb08g15150.t1 pep chromosome:ASM357664v1:8:17199759:17203655:1 gene:itb08g15150 transcript:itb08g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFQACSAAIVSKTSCVVGSHSICRFSVLPWKVSFSQAGIRRVYLNSFLERVYVRSYSSKKSSRNCSWSQKSDHDMASTPALITEDDEINAFFVVRKGDLVGIYRRLSDCQSQVGSSICDPPVSVYKGYAMPKDTEDYLISRGLKNALYTIRAQDLTEDLFGSLLLCPFQDVVGSTVLPDDSLRKHAKLEHDSDQVLSLGRSCTLEFDGASKGTGQAGAGAVVRADDGSLTCRLREGLGIATCNAAEYRGIILGLKYALSKGFTSIRVQGDSKLVCMQIQGHWKVKSQNLSVLCGQAKQLKDRFLSFEIIHVLRKFNSDADVQANLGAALPDGQIQEEIVLER >itb08g15150.t3 pep chromosome:ASM357664v1:8:17199766:17203479:1 gene:itb08g15150 transcript:itb08g15150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFQACSAAIVSKTSCVVGSHSICRFSVLPWKVSFSQAGIRRVYLNSFLERVYVRSYSSKKSSRNCSWSQKSDHDMASTPALITEDDEINAFFVVRKGDLVGIYRRLSDCQSQVGSSICDPPVSVYKGYAMPKDTEDYLISRGLKNALYTIRAQDLTEDLFGSLLLCPFQDVVGSTVLPDDSLRKHAKLEHDSDQVLSLGRSCTLEFDGASKGTGQAGAGAVVRADDGSLTCRLREGLGIATCNAAEYRGIILGLKYALSKGFTSIRVQGDSKLVCMQKFNSDADVQANLGAALPDGQIQEEIVLER >itb08g15150.t5 pep chromosome:ASM357664v1:8:17199766:17202500:1 gene:itb08g15150 transcript:itb08g15150.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFQACSAAIVSKTSCVVGSHSICRFSVLPWKVSFSQAGIRRVYLNSFLERVYVRSYSSKKSSRNCSWSQKSDHDMASTPALITEDDEINAFFVVRKGDLVGIYRRLSDCQSQVGSSICDPPVSVYKGYAMPKDTEDYLISRGLKNALYTIRAQDLTEDLFGSLLLCPFQDVVGSTVLPDDSLRKHAKLEHDSDQVLSLGRSCTLEFDGASKGTGQAGAGAVVRADDGSLTCRLREGLGIATCNAAEYRGIILGLKYALSKGFTSIRVQGDSKLVCMQVIISLHFMYLFCSLFFSFFF >itb08g15150.t4 pep chromosome:ASM357664v1:8:17200516:17203655:1 gene:itb08g15150 transcript:itb08g15150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFQACSAAIVSKTSCVVGSHSICRFSVLPWKVSFSQAGIRRVYLNSFLERVYVRSYSSKKSSRNCSWSQKSDHDMASTPALITEDDEINAFFVVRKGDLVGIYRRLSDCQSQVGSSICDPPVSVYKGYAMPKDTEDYLISRGLKNALYTIRAQDLTEDLFGSLLLCPFQDVVGSTVLPDDSLRKHAKLEHDSDQVLSLGRSCTLEFDGASKGTGQAGAGAVVRADDGSLTCRLREGLGIATCNAAEYRGIILGLKYALSKGFTSIRVQGDSKLVCMQIQGHWKVKSQNLSVLCGQAKQLKDRFLSFEIIHVLRKFNSDADVQANLGAALPDGQIQEEIVLER >itb05g06660.t1 pep chromosome:ASM357664v1:5:7281324:7282270:-1 gene:itb05g06660 transcript:itb05g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALPSIPLILALAFTVATTVVANHPSPPPSAEAILMKKCSEVLGSRRPLVVTFCAHNFLGHRAALLATCDKRKTVAVVIKEVHNKAKAVEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEEPSTESIMMLKRALPGEVAMTKEKCDYTVPGRQNGLWLELRIKELESISADIVASAFVDHLYSTTH >itb01g17770.t1 pep chromosome:ASM357664v1:1:22509706:22511138:1 gene:itb01g17770 transcript:itb01g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVIYSHNLPTVMVTNDDGVDAPGLRALVSVLVSTNRFNVLVCAPDSEKSAVSHSITWRHAIQAKRVHDIPGATAFAVAGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNGVPSVSLSYDWVHGKSNLNDFTLAAQAFIPIISAILGDIKNQTYPLNCFLNITVPTDVVNHKGYRLTKQGKSFIRTGWKHVTCEAEGGKMLSTMTMDMTPTQTAQQSVVSTQEDQFLFTREVRSKQVDKEGTDYSSLQEGYITVTPISALFNADIDGVTFFNKWLPSLDEHSNFPCKFQTSLQKEGKTRHLDSNIVSGLLC >itb07g14650.t1 pep chromosome:ASM357664v1:7:17346646:17348236:-1 gene:itb07g14650 transcript:itb07g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLAKHCTEKVLEAITKKIEVFTISKLESKFQKELRSKIEKNPSNARGIATRGEKVDPIGAKVSFAPKPKIIPDGDSLASKGVMKPMAKRKFSMTNTRYTPLVNDTKLNPFSNSHVDNLPKVDSSRDAIDDNNEKKKKSKKQSMAHVCGNALVKIVGHHLFLRLPIHPLDWGGEAEMTKGHINEFCKVGIFAGKFAGSAGWSAENQQDQRVKASTKDGNQAKGRMTPEELFTMGNSRTCKGADSGLANSNSKLML >itb10g00640.t3 pep chromosome:ASM357664v1:10:409584:420888:-1 gene:itb10g00640 transcript:itb10g00640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWESIYRRRLKVFALAIFIYLDYKALQKREKWTSKLKIAALWQKAHERNAKRVLNLMIELEGLWVKFGQYLSTRADVLPEAYIYQLKQLQDSLPPRPLEEVCETIQNELGKSMDDLFINFDRVPLATASIAQVHRATLSDGQEVVVKVQHDGVNKIILEDLKNAKSIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRKVSRNLGCRKRSNHDEPSNLVDVLIPEVIQSTEKVLILEYMDGVRLNDSEALQALGVDKQKLVEGITRAYAHQIYVDGFFNGDPHPGNFLVSKEHPHRPILLDFGLTKLLSNSTKQALAKMFLASAEGDHVALLSAFAEMGLKFRLDIPEQAMEITTVFFRSSTPAAEAVENMKSLTEQREKNIKVIQEKMKLNQKEVKRFNPVDAFPGDIVIFGRVLNLLRGLSATMNVRIVYIDIMRPFAESVLKCNLNKGPMVNSQWIYNTPIHSDVEAKLRQLLVELGTADKVLGIQVCAYKDGNVIIDTAAGMLGRYDPRPVQPDSLFSVFSVTKGITAGMLHWLVDKGKLRLDENVANIWPEFGSNGKDQIKVHHVLNHTSGLHNALADLSRENPLLMCDWDECLNRIAMTTPETEPSHKQLYHYLSFGWLCGGIIERASGKKFQDFLEEAFIHPLKIEGELYVGIPPGVESRVASLTVDMDDLTQLSAMSTRSDLPSSFQPQNIAQSAVTLPIMFNALNTRRAIIPAANGHCSARALARYYAALVDGGKIPPPSSSSMPPLGSHRHIPKFPSPKTPKKKLLARWRKANSESNDSSDSDDDGSSRNASSNEYILVVNDDTSTDSHDAKLFNNPKIHEAFLGVGEYEKLAYPDGQFGLGFKRIHSKDSGLIGFGHSGMGGSTGFCDMKNRFAIAITLNKLSFGGVTAKIIQLVCSELNIPVPEEISMKLDSESSNLAQPIIN >itb10g00640.t1 pep chromosome:ASM357664v1:10:409323:421940:-1 gene:itb10g00640 transcript:itb10g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWESIYRRRLKVFALAIFIYLDYKALQKREKWTSKLKIAALWQKAHERNAKRVLNLMIELEGLWVKFGQYLSTRADVLPEAYIYQLKQLQDSLPPRPLEEVCETIQNELGKSMDDLFINFDRVPLATASIAQVHRATLSDGQEVVVKVQHDGVNKIILEDLKNAKSIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRKVSRNLGCRKRSNHDEPSNLVDVLIPEVIQSTEKVLILEYMDGVRLNDSEALQALGVDKQKLVEGITRAYAHQIYVDGFFNGDPHPGNFLVSKEHPHRPILLDFGLTKLLSNSTKQALAKMFLASAEGDHVALLSAFAEMGLKFRLDIPEQAMEITTVFFRSSTPAAEAVENMKSLTEQREKNIKVIQEKMKLNQKEVKRFNPVDAFPGDIVIFGRVLNLLRGLSATMNVRIVYIDIMRPFAESVLKCNLNKGPMVNSQWIYNTPIHSDVEAKLRQLLVELGTADKVLGIQVCAYKDGNVIIDTAAGMLGRYDPRPVQPDSLFSVFSVTKGITAGMLHWLVDKGKLRLDENVANIWPEFGSNGKDQIKVHHVLNHTSGLHNALADLSRENPLLMCDWDECLNRIAMTTPETEPSHKQLYHYLSFGWLCGGIIERASGKKFQDFLEEAFIHPLKIEGELYVGIPPGVESRVASLTVDMDDLTQLSAMSTRSDLPSSFQPQNIAQSAVTLPIMFNALNTRRAIIPAANGHCSARALARYYAALVDGGKIPPPSSSSMPPLGSHRHIPKFPSPKTPKKKLLARWRKANSESNDSSDSDDDGSSRNASSNEYILVVNDDTSTDSHDAKLFNNPKIHEAFLGVGEYEKLAYPDGQFGLGFKRIHSKDSGLIGFGHSGMGGSTGFCDMKNRFAIAITLNKLSFGGVTAKIIQLVCSELNIPVPEEISMKLDSESSNLAQPIIN >itb10g00640.t2 pep chromosome:ASM357664v1:10:409323:421798:-1 gene:itb10g00640 transcript:itb10g00640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWESIYRRRLKVFALAIFIYLDYKALQKREKWTSKLKIAALWQKAHERNAKRVLNLMIELEGLWVKFGQYLSTRADVLPEAYIYQLKQLQDSLPPRPLEEVCETIQNELGKSMDDLFINFDRVPLATASIAQVHRATLSDGQEVVVKVQHDGVNKIILEDLKNAKSIVDWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRKVSRNLGCRKRSNHDEPSNLVDVLIPEVIQSTEKVLILEYMDGVRLNDSEALQALGVDKQKLVEGITRAYAHQIYVDGFFNGDPHPGNFLVSKEHPHRPILLDFGLTKLLSNSTKQALAKMFLASAEGDHVALLSAFAEMGLKFRLDIPEQAMEITTVFFRSSTPAAEAVENMKSLTEQREKNIKVIQEKMKLNQKEVKRFNPVDAFPGDIVIFGRVLNLLRGLSATMNVRIVYIDIMRPFAESVLKCNLNKGPMVNSQWIYNTPIHSDVEAKLRQLLVELGTADKVLGIQVCAYKDGNVIIDTAAGMLGRYDPRPVQPDSLFSVFSVTKGITAGMLHWLVDKGKLRLDENVANIWPEFGSNGKDQIKVHHVLNHTSGLHNALADLSRENPLLMCDWDECLNRIAMTTPETEPSHKQLYHYLSFGWLCGGIIERASGKKFQDFLEEAFIHPLKIEGELYVGIPPGVESRVASLTVDMDDLTQLSAMSTRSDLPSSFQPQNIAQSAVTLPIMFNALNTRRAIIPAANGHCSARALARYYAALVDGGKIPPPSSSSMPPLGSHRHIPKFPSPKTPKKKLLARWRKANSESNDSSDSDDDGSSRNASSNEYILVVNDDTSTDSHDAKLFNNPKIHEAFLGVGEYEKLAYPDGQFGLGFKRIHSKDSGLIGFGHSGMGGSTGFCDMKNRFAIAITLNKLSFGGVTAKIIQLVCSELNIPVPEEISMKLDSESSNLAQPIIN >itb07g02460.t1 pep chromosome:ASM357664v1:7:1596352:1600307:-1 gene:itb07g02460 transcript:itb07g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQLPQIAILGSGIFVKTQYIPRLAEISHLFVLKAIWSRSEESARSAVELAKKHFPNVECKWGDSGLEEIINDASIIGVAVVVAGQTQVEMSLRLLKGGKHVLQEKPAAACIAEAENALMHYNSLSTNMTQKPIWAVAENYRFEPVFTESKKMIAEIGDMMNVQVIIEGSMNSSNPYFSSSWRRNFFGGFILDMGVHFVAGLRMLVGCEVTSVSAMTSHVDMTLPPPDNISALFQLENGCSGVFVMVVSSRSPKIIWRVVGLNGTLQVERGNKDGKHGYSVFLYMADGQTKSFFYPFCGVQEELKTFLSDISLANTKGGEFKAEPRLSFVEGARDIAVLEAMLESGKREGGLVQVKKF >itb15g02290.t1 pep chromosome:ASM357664v1:15:1391236:1393430:1 gene:itb15g02290 transcript:itb15g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWQNDDDDVSRRSGDNPSMSSAGDDGGQCDTRKVLRTQCRIEESEPGKFVRKCEKTEEIFKDCVGRLPEMVQSNKEYTEEDVTNQMNKGFALPALESSHQTPFDFPGLRSDIEAMERNFFTGLDRFFGAAEDTMNGFFGSFGTPGVYNGDQSSSARTRGIPGPEAAKDTMNGFFGSFGTPRVYNGDQSSSARTRGIPVPEAAKDTMNRFFGSFGTPRVYNGDQSSSARTRGIPMERNPPKEPSTEVNTSDARFYLNGIAAGFDFSGRSKDV >itb05g07000.t1 pep chromosome:ASM357664v1:5:8151347:8152909:-1 gene:itb05g07000 transcript:itb05g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPNTTTPPPKLTAISVIVNDHKPQSHLWCLPSGGVLKPQENPKPNQEESVSEVTKELKSLLNLAFPIALAALVLYIRSMVSMLFLGWLGDTELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQLPHLLSLTLQRSILFLLCCCVPITFLWLNISNILLYLHQDPTITHFAHTYLFFSLPDLLTNSFFHPIRIYLRAQGITLPIMFATLTGTLFHLLANYFFVFRLRLGVAGVATASATSNAVALAALILYVWARRLHAARPSWECFTGWAPLVRLAAPSCVSVCLEWWWYEIMIVLCGLLVDPKATVASMGVLIQTTSLLYIFPSSLGFAVSTRVGNELGANRPDKARLSAFLSIFLATLIGLSAMTFATSMRNLWARIFTHDVNILRLTSAALPILGLCELGNCPQTIGCGVLRGSARPSIAANVNLGAFYIVGMPVAIGLGFYLGVGFIGLWHGLLLAQICCAGLMLYVVWSTDWEHQATRARVLTCTGRGGDKPLPRDLQKDQQPLICV >itb01g31470.t1 pep chromosome:ASM357664v1:1:35203829:35207485:-1 gene:itb01g31470 transcript:itb01g31470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASGANSLLGNKTFVPTQQPSLPSHTHVFSTKNVRSVKPIQAIQSADSSKSPIVSDKSSSKPAPPAAASASAAATATTTVSKPEAGKAKWAVDSWKSKKALQLPEYPDQVELDTVLKTIESFPPIVFAGEARSLEEKLGEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDAKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEGDRYRELAHRVDEALGFMSAAGLTVDHPIMKTTDFWTSHECLHLPYEQSLTRLDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPDELVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSNPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIGSTSGL >itb12g00360.t1 pep chromosome:ASM357664v1:12:330165:332655:-1 gene:itb12g00360 transcript:itb12g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g14730 [Source:Projected from Arabidopsis thaliana (AT3G14730) UniProtKB/Swiss-Prot;Acc:Q9LUC2] MIRRIHVFSKSSTPILGRTLSHGLLHFSSSATLLELRDCISLLQACAIKKNLKVGKQLHAHMLVTGLMNSSPLCITSLINMYSKCNPNSMSDAFSVFSTSPSHARNVFMYNALIAGFIAHDLPKPVLEIYSEMTMLGMVPDKFTFPCVIKAASSCNRLVCMKKIHGLVFKLGLEFDLFIGSALVHSYLTFGLTEEAEGVFDELPMRDDVVLWNAMINGYAQSGQFDRALHVFKWMMDDGIAPNRFSVTGMLSALSNSEAVYKGREVHGFVIRRGYDEGIAVLNALIDMYGKCKRVSDALQVFEMMDEKDIFSWNSIICVHEQCGDDEGTMRLFKRMLCAQMQPDLVTVTTVLPACSHLASLRHGKEIHGYMIVNRLKKDGDAAEHSDSYIDNAIMDMYVKCGSMNDAQLIFDMMSYKDTASWNIMIKGYGMHGFGKKALDMFSDMCKAELKPDEVTFVGVLSACSHAGLVDQGREFLVQMLPKHGITPCIEHYTCVIDMLGRAGQLEAAYELLLTMPVDPNPVVWRAYLAACRLHGNADLAVIAAEKVFELDPEHCGSYVILSNIYGANDRYEEVLEVRDTMRLQEVRKVPGCSWIELENGVHSFVTCDKTHPEGDLIYAGLNSLTARLREHGYRTDALDCNI >itb06g10480.t1 pep chromosome:ASM357664v1:6:14931092:14932077:1 gene:itb06g10480 transcript:itb06g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRIICSSLAKRIPNPVYLFQGIVLRRGSKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTQYFSTPRKGHNPLQGRAYYQVLECLGVIRIHSPMRAQRKHVWSDVPSREMINRAQKAALQLKRSAADQVGGLGQFVNCKVWGNAGPYGSGPISGSPDMTDVRKNSKYSKWEVLPFKNPREETRQHFIQMEGVSMYKMDGNRLAVCRRGSFVPA >itb04g16550.t2 pep chromosome:ASM357664v1:4:18272262:18277048:1 gene:itb04g16550 transcript:itb04g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSAQWQMRMTKNLTEATPMASKMWGSVVMKPNKRVAVKSTAKFRVFALQSGNSTVNRIEQLLNLDITPYTDKIIAEYIWIGGSGIDTRSKSRTISKPVQHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPAGEPIPTNKRHRAAQIFSDSKVVSEVPWYGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGMKNFTILSLPDSWNILI >itb04g16550.t1 pep chromosome:ASM357664v1:4:18272262:18277923:1 gene:itb04g16550 transcript:itb04g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSAQWQMRMTKNLTEATPMASKMWGSVVMKPNKRVAVKSTAKFRVFALQSGNSTVNRIEQLLNLDITPYTDKIIAEYIWIGGSGIDTRSKSRTISKPVQHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPAGEPIPTNKRHRAAQIFSDSKVVSEVPWYGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAETTLLWEPTLEAEALAAQKVSLKV >itb11g07820.t1 pep chromosome:ASM357664v1:11:4908728:4919483:1 gene:itb11g07820 transcript:itb11g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MVSSKGKEKVGAGDKAGAGKRKIDLTGGKDDDKTGKRKRNDVLQFFEDSAFEVGDDEYSDDSDFFDTDFIDDEFGTDDGVQKQHEKAPVFPLVPKEEEMDEEELEKMLRERYKAGSSFVKFAEDGYEKKGSIQEDISVPSTKDPTIWKVKCMVGRERHSAFCLMQKYVDLRVLGMKLQIISAFALDHVKGFVYIEADKQSDVNEACKGLCSIYSSRMSPVPRNEISQLISVRSKCNGISEGMWARVKSGKYKGDLAQVVAVNDVQKKVTVKLIPRIDLQALAEKFGGGVVAKKASIPAPRLIRSTELEDFRPLITIRKDRETNQMVEVLDGKMLREGYLFKKVSIDSLCFWGVMPSETELLKFEPYKNDEPQDTEWLSQLYGERKRKRTIKHDKGNGKGGEKGEGSSNSANGNNFEVDDLVFFGRKDFGIIIGTEKENVYKIMKEGSEGPVLVTVQLRELRAACFDRKLFTVKDQHKNTISINDIVRVLDGPSKDRQGIVKQIYKGVIFLYDEMGEEHNRYVCVKAPVCERISRSHGLLSGKESEAGPSTGFAETPSSPNTPLSPKKPCRERGDNSNFSRGDKDGLLFSVGQSVRIRVGPLKGYICRVLAVRQSDVTVKLDSRQKILTVKSEHLSEVHGRSSTISLGSADPEAAKPFDLLGAQDGSTDWTSGGLPASAQDENWNAGLPSNERSAWPSFPASSCLTTQLDPNSISPLTAVDVNNDAGNSAWDSGTTQNKNASWGASGVSEKNVADAGQDSGWGGSDSWKKSVPTTGVGSSSSDGTNKDNASNWGASGTTPGKETSGNWNQPESSGWNKATVRNEGQSEGWGNKVNGGGSDGSSWGKSVGSQGKGAIVGNSDAWDSKGVASSSLSGPVSESKGWGNAGSSQDGGSTWSKQDAGSSWNKKEDKSSCAKQGGTQSSWGKQDSKIPDSSGGGDGGSSWGKQEGASWGKQDGGSWNKKEDTSWNKQGGGPSSGQQANKDGGSWNKQEGGSSWGKQDGGSWNGGSSWGKQDGGSWNKEDKWGKQDGGSWNKEDKSNKPGGETSWGQQADKDGGSWCKPEGGSSWGKQDGGSWNKEDKSNKPGGETSWGQQADKDGGSWSKPEGGSSWKGAKTFGSGDGYGNRNGGEFGNKDGSDQQGSWGRENTFDGGRGFGGRRGRGGGRGRDNFGRGRGRGGRSFDRGESSSWGKEGEDNNGSGFAGGSSWKSSQESSWGKVTSSTGWKTDQSEKHEGSGFAGGQTSWKSSQESSWGKVTSNDENNKASSGWGSTQSEKTENNKAGSSSGWGSNQSEKTESNKAGSSSGWGSDKLEKTERDEGGWNAAKPSGETQSSSWNRKSSTNEEKTTSGGDGWSTGKGSGSENNQSSGWNKKSSDGGGIGDAVSGWGNNEQSWKTNNSAAGNQSSGWKSEGSQDPNAWSSKSNWNSGSGFAGDEQQPDSSNERGRGGWRGGRGGSDIGGFGGRGGSDRGGFRGRGRSDRGGFQGRGGSDRGGFRGRGGFDRGGFGGRGRGRRDQNDEWNNRNDFGDGKPSSWSSGSGSDPGSWKASDGSGSWSQGGSGKGQWQSWSAGGSTSKVDGHSSKAGGWNNKNDSGDGKPGSWKTGSGSDAGGWKASDDNKGSWGGTEKGQGGWKNGSGSDAGGWKSSDNKGSWNETGSGKGQGQSWSAAGSTSKTDGHVSEAGGWNKGSSANAAGGDASASKWSTPTQENTSGNLNSSWNSSQSNASEFEKSKEACGATESGGPADAWGKASSSWGKGSDGGGKGGW >itb09g04070.t1 pep chromosome:ASM357664v1:9:2268593:2269427:1 gene:itb09g04070 transcript:itb09g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLASSARKERSCEEMESRLTEPEMDAALQLIQLSGDSDSGRYCNNAAGDEESVTDAMSSASMNEENNLEEAALAVCPPRKRKFRSLADLYQVTKPVFMTTKHGGGNKFRK >itb09g11890.t1 pep chromosome:ASM357664v1:9:7438740:7444230:1 gene:itb09g11890 transcript:itb09g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKSSRSSSSRNALSNYIVGKTLGVGGFGKVKLATHILTGLKVAVKILDRQLINDSAAAKVKREINIMRLLVHPHVVRLYEIKETESHIYVVMEYMKCGELFDYITQKGRIHEDEARHFFQQIISGVEHCHRCKIVHRDLKPENLLLDAKLNVKIADFGLGNIMCDGHFLKTSCGTPNYAAPEVISERLYAGPEVDVWSCGVILYAILCGRLPFDDDNFPALYRRIKNGNYPIPGHLSSGANDLISKILVTDPVSRISIPEIRQHSWFNVQLPNYISLNPYTTASEISEIEKTKVDENVLKEMAKNGFDIRVVIESLYNNAQNEATVSYYMLLHSRCKPDMRHQSNDLIQPSADDYTDNHEVYLRPCSSGEGNWALGFKSQSTPHNTMLEVLRTFHSLNVQWKRIGPFNMKCIWLSPGCAYLGARLNGCVSGTQPGPNQICSSSTAAGISLRFRDTVKFEIQLYRASGELYVLDIQRLSGPPFLFLEICGAFLALST >itb11g19950.t2 pep chromosome:ASM357664v1:11:20835422:20837162:1 gene:itb11g19950 transcript:itb11g19950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGESGGDKVVEAAKRRCKALTYRIQTLPKSPSLWKTTLLRLVNSELSFLHRLNHSSSVSPPTALSLNIGHLEAVFHVLRHPSVTAVVRVCKPIPTSPPQTRRAGNSRVYIDIVCSLNGNPVWILVSDRNPKHNDFKELRGRILEVLIAARQSNLTVRPSSVILSFSNGLRDDVIKKLRDEFGAIDLAGFGDCPPFEHEFEEGPCRSFERACILEIKIEAFHPTIDVLDSKREEEKCGDDVEHLEMDSNVVVNLGESFCSLVSKMKRWSDWDVEDNAELVNFDTTALVAAVSGISNGVTGKLLDTPESDLRARFKGNYEFVINQVNSEITHPIHADMAGVISGKRGIICKSVFSEFQELVSMCGGSREKLRAQHFLKRLK >itb11g19950.t1 pep chromosome:ASM357664v1:11:20835342:20839153:1 gene:itb11g19950 transcript:itb11g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGESGGDKVVEAAKRRCKALTYRIQTLPKSPSLWKTTLLRLVNSELSFLHRLNHSSSVSPPTALSLNIGHLEAVFHVLRHPSVTAVVRVCKPIPTSPPQTRRAGNSRVYIDIVCSLNGNPVWILVSDRNPKHNDFKELRGRILEVLIAARQSNLTVRPSSVILSFSNGLRDDVIKKLRDEFGAIDLAGFGDCPPFEHEFEEGPCRSFERACILEIKIEAFHPTIDVLDSKREEEKCGDDVEHLEMDSNVVVNLGESFCSLVSKMKRWSDWDVEDNAELVNFDTTALVAAVSGISNGVTGKLLDTPESDLRARFKGNYEFVINQVNSEITHPIHADMAGVISGKRGIICKSVFSEFQELVSMCGGSREKLRAQHFLKRLKVVPDCPSERMMSLPTTRKLALKNKVVFGTGDHWHAPTMTANMAFVRAVLQAGMSLFTVEHRPRALVGD >itb12g07150.t1 pep chromosome:ASM357664v1:12:5340716:5342011:1 gene:itb12g07150 transcript:itb12g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWDLPPQKATQSIYQTQKSRQAFKLAVKAGHIEKFKSSIYLWLKMIASSIGLVYGSVQGIRLSPKNRIPHFYLVLDHNKSPLFSQLTTILMDNIYSAITSHFFSPFFAQTNHKLPLPNIMTVKGGTSPACAACKYQRRKCASDCVLAPYFPANQPKMFQNAHRLFGISNITKILKQLDDEDQKADAMKSIIFEADMRERFPVYGCVEYICYLRQQLQQTMDELHYVYTQLAVCKEQQLLGSVVNSHNNNNSNNYDHQQHTTLLQPYGINNAAEMSYPMNVNLFGAAEDDMGEGESSESVGKPNLLGVEPSSYYEHPTDVLVKNTNYNGHMSLPSFPNEHSQDYGLVAFNNTIADDRQSYIEMKETCESSSESSFKDTTQSNEELSRSELKNAAAYFSLTS >itb08g03540.t1 pep chromosome:ASM357664v1:8:2890806:2893003:1 gene:itb08g03540 transcript:itb08g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >itb08g17870.t1 pep chromosome:ASM357664v1:8:20773297:20773709:-1 gene:itb08g17870 transcript:itb08g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEVAEDDGGTSAGMGDENTRIIEEKTNNFTDFRPAPLHVLYDVRVNIQPETPISTLKNILKASNSELKYNRPELRKAEERLRKAFMEFYGKLRLLKSYCIS >itb05g01540.t3 pep chromosome:ASM357664v1:5:1268303:1272280:1 gene:itb05g01540 transcript:itb05g01540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPNLQRHLLFNGRNAQHPFSHCLSTLSHLFSPPSTAAADDNDAKPPDDAKPKLSPRKKAQALAELIKFQPWSPKTESSLSALSGPSLSETTVIHTIRLLKTPRKALNFFVWAEQKGCALTSQCYFRMLELLGTERNLNTARNFLFSIPKRSNGAVPLEARFFNSLIKNYAVAGLFQESLKLFTTMKVIGISPSVVTFNTLFSILMKRGRTGMVYDLFDEMLKTFGVKPDLYTFNILIRGCCMNSMVDQGFRFFKEMEKHECEPDVITYNTIVDGLCRAGKVEIAHNVVKGMLKKGSDLSPNVVTYTTLVRGYCEKQDVEAALNVFKEMVDRGPEPNSITYNTLIQGLLEARKFDKVKELFKGAGEDGRFVPDTCTFNTLIHAHCSDGNLKAALESFEKMSILNVAPDSATYSILIRCLCQKGDFEMAEKFFDELFKTGTLLSDAGCRPVVAAYNPMFKYLCENGKTKKAEKVFRQLMRMGVQDPLTFKTLIMGNCNEGMSEDGHELLVWMLRRNFVPDFETYESLLEGLLHEGKPRLAYDTLEKMLKSSHLPKTCVFHSILTELVKQNCALECADLVVLMLVKKIRQNINLSTDTVRILFKAGLRERAFEILGCLYDNGYLVNMQELIVFLSQGKKLSEARELLLFSLKNCQSVRIEICSSILSALCKACRAREAFELYYELVERQVELPLNCLEDLRLRLEVEGRSKEAEFVAKRMPRLEVALDYIDPRIT >itb05g01540.t2 pep chromosome:ASM357664v1:5:1268303:1271449:1 gene:itb05g01540 transcript:itb05g01540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPNLQRHLLFNGRNAQHPFSHCLSTLSHLFSPPSTAAADDNDAKPPDDAKPKLSPRKKAQALAELIKFQPWSPKTESSLSALSGPSLSETTVIHTIRLLKTPRKALNFFVWAEQKGCALTSQCYFRMLELLGTERNLNTARNFLFSIPKRSNGAVPLEARFFNSLIKNYAVAGLFQESLKLFTTMKVIGISPSVVTFNTLFSILMKRGRTGMVYDLFDEMLKTFGVKPDLYTFNILIRGCCMNSMVDQGFRFFKEMEKHECEPDVITYNTIVDGLCRAGKVEIAHNVVKGMLKKGSDLSPNVVTYTTLVRGYCEKQDVEAALNVFKEMVDRGPEPNSITYNTLIQGLLEARKFDKVKELFKGAGEDGRFVPDTCTFNTLIHAHCSDGNLKAALESFEKMSILNVAPDSATYSILIRCLCQKGDFEMAEKFFDELFKTGTLLSDAGCRPVVAAYNPMFKYLCENGKTKKAEKVFRQLMRMGVQDPLTFKTLIMGNCNEGMSEDGHELLVWMLRRNFVPDFETYESLLEGLLHEGKPRLAYDTLEKMLKSSHLPKTCVFHSILTELVKQNCALECADLVVLMLVKKIRQNINLSTDTVRILFKAGLRERAFEILGCLYDNGYLVNMQELIVFLSQGKKLSEARELLLFSLKNCQSVRIEICSSILSALCKACRAREAFELYYELVERQVELPLNCLEDLRLRLEVEGRSKEAEFVAKRMPRLEVALDYIDPRIT >itb05g01540.t1 pep chromosome:ASM357664v1:5:1268303:1273392:1 gene:itb05g01540 transcript:itb05g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPNLQRHLLFNGRNAQHPFSHCLSTLSHLFSPPSTAAADDNDAKPPDDAKPKLSPRKKAQALAELIKFQPWSPKTESSLSALSGPSLSETTVIHTIRLLKTPRKALNFFVWAEQKGCALTSQCYFRMLELLGTERNLNTARNFLFSIPKRSNGAVPLEARFFNSLIKNYAVAGLFQESLKLFTTMKVIGISPSVVTFNTLFSILMKRGRTGMVYDLFDEMLKTFGVKPDLYTFNILIRGCCMNSMVDQGFRFFKEMEKHECEPDVITYNTIVDGLCRAGKVEIAHNVVKGMLKKGSDLSPNVVTYTTLVRGYCEKQDVEAALNVFKEMVDRGPEPNSITYNTLIQGLLEARKFDKVKELFKGAGEDGRFVPDTCTFNTLIHAHCSDGNLKAALESFEKMSILNVAPDSATYSILIRCLCQKGDFEMAEKFFDELFKTGTLLSDAGCRPVVAAYNPMFKYLCENGKTKKAEKVFRQLMRMGVQDPLTFKTLIMGNCNEGMSEDGHELLVWMLRRNFVPDFETYESLLEGLLHEGKPRLAYDTLEKMLKSSHLPKTCVFHSILTELVKQNCALECADLVVLMLVKKIRQNINLSTDTVRILFKAGLRERAFEILGCLYDNGYLVNMQELIVFLSQGKKLSEARELLLFSLKNCQSVRIEICSSILSALCKACRAREAFELYYELVERQVELPLNCLEDLRLRLEVEGRSKEAEFVAKRMPRLEVALDYIDPRIT >itb09g19690.t1 pep chromosome:ASM357664v1:9:15954149:15954499:1 gene:itb09g19690 transcript:itb09g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAILTLDPTPPPLRRLQPHRQPATTRTVSAPKRKRERESDDPRPGSLAPRPPSRLVASHRPPTSSLPEITAIDNRRKPASPSLSIAPEMKAQLRPTEAQKLQLKCPSFFLGRL >itb07g06890.t1 pep chromosome:ASM357664v1:7:5198335:5199409:-1 gene:itb07g06890 transcript:itb07g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLVGQVEISFHGDLYHEIFREKPHHLPSMTSVIHAVDGQWGTQGSTTIFKYTQDGKTEIVEHVMDTIDEEKKILTYRAIKGDVLKSYKSFILTCQVETNGDDNFVTWTIVYEKLKEEIPEPLTYLEFFLKFTKEIDDHHAKPKP >itb05g20350.t2 pep chromosome:ASM357664v1:5:26469126:26471041:1 gene:itb05g20350 transcript:itb05g20350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQVER >itb05g20350.t1 pep chromosome:ASM357664v1:5:26469126:26471340:1 gene:itb05g20350 transcript:itb05g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >itb15g16670.t1 pep chromosome:ASM357664v1:15:16577802:16578513:-1 gene:itb15g16670 transcript:itb15g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREIGNLTMLQFLHLGSNYLEGYSRWIRLFPTQERPNYGQGIRRNSGLHSRLQCPSGRFHDVLNIGATVYKTTKFDLAIMNGLSATSFHGLMHVEERKPPLLSSKPERHRIEGKP >itb06g16180.t1 pep chromosome:ASM357664v1:6:20379383:20384237:1 gene:itb06g16180 transcript:itb06g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSLLISLLLLCFTSSIVVLSQDPQAGFISLDCGTPRGENYTDSKTGLNYISDATFIGDNDNAVGKIVSPYYKDLVIDRQLIALTTFPQGIRNCYTLRPAQGKLNKYLIRARFFYGNYDGNADKQLPQFDVHLGVEYWDTVKFDPKYDIISVDKEIVHIPYSDLVHVCLVNTGLGTPFISALELRPLNNSMYPTVSGGSLMMNIRFDIGSMATQVLRYKDDVFDRMWVPFTMATATPVNTTSTVTVRNTNFFKVPPGVMNTGLVPSDPTKPLTFWWPTNSSSDKLYIYMSFAEIQELKPNQTREFNIYLNGEFYFGPIAPPYLRATTLFGKTPDSNRTEYHFSLNKTQNSTLPPIINALEVYYLKEFNVPLTDDNDAAAMINIKSVYGVGKNWQGDPCSPASYAWIGIKCNYPGFSPPRITSMNLSSSGLTGSISPYISDLKMIQVIDLSNNNLTGPIPDFLSQLPSLSVLNLSGNNFSGPVPAKLLQKSKQGNLTLSIESVGSSTNSCQNGSCDDKKKKNVVVPVVASVAAVLLLVLAIVGILLIIKWRKQRAGNHNVLSFRDRLQIAVDSAQGLEYLHHGCKPPIVHRDVKCTNILLNEKYQAKIADFGLSRAFPTENGTHISTNIAGTLGYLDPDYNITEKSDVFSFGVVILEIITSQPAIIGKAPDQEHITHWVTTTLENGDIKDVVDPRLLGEFDVNSAWKAVELAMACVSQDADQRPTMNVVVNELKECLATEMARQGTSSQSSINNSSIATFDLESGPVAR >itb12g00200.t1 pep chromosome:ASM357664v1:12:199264:201533:1 gene:itb12g00200 transcript:itb12g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSRELKPKPETLILDAVAPGPALIKYLASCSAPVRSKALRLLEGWLETQSEVSDDDMKKFWKGLFYCLWHSDKAPAQAHLISRAASLVLNLQLSLALHYFSVFLVTLKREWNGIDRLRLDKFYLLVRKFIRAIFDLCNKYSWDLELLGRVVDTFINNAFLAEDKLLGNGVCYHIASVWLDELNGCSVPVDQEVVGLLFKPLFEVMGKCEDRVMVGKVKSCVFDELLNMGKSLLERKRKGVEGKESYAEVALGLIAMKMGFSRRLFEVGSSAECVQGNRKVLFKLHDEFLKLEKNFETAGVDIVLPEVTVEDEEMPELVPIENGKTEEDASESYDDVQEDEGHTDEALRKCKKAKTMQDGSNKKGKKKEKKKGVSQDDSANENCVPNGEVAPKELENSKRGKKKKKSEPSENGSAVENIRKDEVENMILAGGNGSSSGPDSESKVTFNESVISNLQMQFEKVAAEVDSGSDDDDDGDATPVISMKKKRKRAKSASCIPDIDNAGDSAVSATKSAEKSSKKVRFAMKNNLVWKPHSPMPPQSLRLPPSLTPRGSALKKGVPPGPIREMPPATKRMKQKKKGRKILRTISPAVKRVKKLKASSP >itb03g03990.t1 pep chromosome:ASM357664v1:3:2443374:2445539:1 gene:itb03g03990 transcript:itb03g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKIQMRKIDNVTARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSSGKLFHYSNSSMREILEKRNLHSKNLEKMGLPSLELQLVDNSNHSRLSKEIADKTQRLRQMRGEELQDLSIEELQQLERSLQIGLGHVIKKKAEKIMKEINQLQEKGVHLMEENERLRQQVVDTSKGQRSMAVAGDSENLLNEEGGHSSESVTNACCKYPDYDDCSDTSLKLGLPFTG >itb06g15350.t2 pep chromosome:ASM357664v1:6:19734373:19737226:1 gene:itb06g15350 transcript:itb06g15350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQVGGGNWWESSSSSSSRLTHQRFESSSGSSSSSTAAITSNQNDVSSFIGCWPTQPKSSLDSVSAAGAGDAALLSDQSFHIMGLALSPQPTIPCWNNNNPSFFSSNANASFQQDCSSYDYDKQMNRLSLDQPQPTASSSDLDRTASALLQPHQSSPINFSYDHISQNYGMKPSGADITSPTWSKFPQFLRPKHPQFSNNTTFWNAAINDDAHFSLFPVDEKPKNISAEARDSSTVAKKTAGSTETSSKRPRDQNDTATPMPAFKVRKEKMGDRITALQQLISPFGKTDTASVLSEAIEYIKFLHDQVNVNLTL >itb06g15350.t1 pep chromosome:ASM357664v1:6:19734368:19737243:1 gene:itb06g15350 transcript:itb06g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQVGGGNWWESSSSSSSRLTHQRFESSSGSSSSSTAAITSNQNDVSSFIGCWPTQPKSSLDSVSAAGAGDAALLSDQSFHIMGLALSPQPTIPCWNNNNPSFFSSNANASFQQDCSSYDYDKQMNRLSLDQPQPTASSSDLDRTASALLQPHQSSPINFSYDHISQNYGMKPSGADITSPTWSKFPQFLRPKHPQFSNNTTFWNAAINDDAHFSLFPVDEKPKNISAEARDSSTVAKKTAGSTETSSKRPRDQNDTATPMPAFKVRKEKMGDRITALQQLISPFGKTDTASVLSEAIEYIKFLHDQVNVLSTPYLKSGASMRHQLNFEKSKNPETASGQDLRSRGLCLVPISSTTFPLTPTFGGCFR >itb06g15350.t3 pep chromosome:ASM357664v1:6:19734373:19735337:1 gene:itb06g15350 transcript:itb06g15350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQVGGGNWWESSSSSSSRLTHQRFESSSGSSSSSTAAITSNQNDVSSFIGCWPTQPKSSLDSVSAAGAGDAALLSDQSFHIMGLALSPQPTIPCWNNNNPSFFSSNANASFQQDCSSYDYDKQMNRLSLDQPQPTASSSDLDRTASALLQPHQSSPINFSYDHISQNYGMKPSGADITSPTWSKFPQFLRPKHPQFSNNTTFWNAAINDDAHFSLFPVDEKPKVRTNLHDPI >itb06g24080.t1 pep chromosome:ASM357664v1:6:25779408:25786448:-1 gene:itb06g24080 transcript:itb06g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLIAGSHNRNEFVLINADEIGRVTSVKELSGQICQICGDEVEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDEFDDLDHEFDYPEHMTEAALAARFNGGLGVNGHGTTPSEVDPSAVDSKVPLLTYGEEDDGISADKHALIIPPFMSRGKKVHPVPFSDTASVTSLPPRPMDPKKDLAVYGYGTVAWKERMEEWKKKQSDKLQVVKHEGDKGGDELDDPDLPKMDEGRQPLSRKLSIPSSKLSPYRLIILLRLVVLGLFFHYRIRHPVNDAFGLWLTSIICEIWFAVSWIFDQFPKWFPIVRETYLDRLSLRYDKEGKPSGLAPIDVYVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKRFNIEPRAPEWYFSQKMDYLRNKVDPAFVRERRAIKREYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFEHHKKAGAMNALVRVSAVISNAPFMLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKKKKPPGKTCNCWPKLFCCCCRSRKNKKAKSKDKKKTKSKDSSPQIHALENIEEGIEGIESEKASLMPQIKLEKKFGQSPVFVASTLLEDGGIPPGTTSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSVPLIVYCTLPAVCLLTGKFIVPEISNYASILFMSLFISIAATSVMEMQWGGVSIDDWWRNEQFWVIGGASSHFFALWQGLLKVLAGVNTNFTVTSKAADDGEFSELYIFKWTSLLIPPMTLLIINIIGVIVGVSDAINNGYDSWGPLFGRLFFALWVIVHLYPFLKGLMGKQNGVPTIIVVWSILLASIFSLLWVRINPFVSRDGLVLEVCGLDCE >itb05g27230.t1 pep chromosome:ASM357664v1:5:30713382:30715340:-1 gene:itb05g27230 transcript:itb05g27230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIFKDLIVVAMLCSVLCWVLARWIWKKHSCRSVVPTNWPVVGMLPALLQNAGRIHEYVTEILRESGGTIEIKGPWFANMDMLVTCDPANVDHILSRNFENYPKGPHFRKIFHVVGDAMINVDSELWELHRRTTTPLMNQANFRTWLERNVSEKMENGLFPVLEHYALQGSHIDLQEIFQRMAFDISCQQFLDKDPDSLCVDPTGDHPFRGAIRDAVNAILYRHIIPERCWKLQKYYGIDREKKLSEADRAFDNFLYPILEEKTEQLNKTQQPHNSGSDSGMLTSHIETHRGKSMKFLRDTFLTLIIAGGDATASALTWFFLLLAQNPQVEAKILHEILQLKQNKLIRIFKVEECQKLTYLHAAFCESLRLFPPIPLNHKTPMEKDILPSGHVVTPNTQIIMSFYSMGRMDTVWGKDCMEFKPERWISPDGGIKRQPSYKYPVFNAGPRSCIGREMAFTMVKMIAATVICHYQFQLVEPHHPQVLITDSIILEKKHGLKVKFSKRK >itb06g25480.t1 pep chromosome:ASM357664v1:6:26514397:26519173:1 gene:itb06g25480 transcript:itb06g25480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDERLTALKKAYADIILNTAKEAAARIMVSERKALRFQHELQVAKEEALRMLLRLKQMMDSKISEAESTCLSQQRKIDELEAQLHEAEDIVSDLRGELREVNAELERVKSNKENGLLVGRHNSATHTDVSEENRFCTSPPVLHPAEYQDKRVAVSDMKDVNPSQRNEGYKTCSRIVHMGNSFLSHTDLPSIILRSKEPELYRNGCTQRIRACEGNPLGKELSLSGKVGKRKTETDGRNDGNTEVSAMPVHKVDGMDDTEKKVVEADSLVFFKSSHRKRRRANRSWKNRVSLDGNLPDLSPKMDGQPNNFCLRPHSGPVNEHETTPISSSDGVEPVILPGSAEICGNKPELVESCGVENSVFECEGLTEKMEPPGQETGSTGSSGITVLKSDIEEVDVQLLQSGSKIFDAKNETYSLPSDRSFKYTFQRKRKRGASREFEDASIQNCGVETNEEEKQNVLVEPQRLKLSAESSRDSRRMAQVARQLISLSEKKWWQ >itb04g07450.t2 pep chromosome:ASM357664v1:4:5034200:5039184:-1 gene:itb04g07450 transcript:itb04g07450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECYERIEKPNTCTSHLQLSPKKLRSMLLGTQKRRKPQAEEEEEEEEEEDDDPELGVSLRSESEIHESEAGSENCKDVAVVSVLHGSSREWEFGSSVASEMVKDRLKDQSLVTSRFRSQDLNCDGGGLDGMVTTSSPFEFQKSERAQRVPVAPFSKPAPSKWDDAQKWIASPTSNRPRSQGGQGVGPRKTSHYGHGNLQVSTKHVSEVSDRRVVHSEEPADTKLVDSSHAKDSAVQKYLSWESESHPVAESYGKATLMIENCTEESAINLSRHDSCVSIHSATAFVPLPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPTRSPTSSRPSTPGAAPSSSLINHPSDFLDPQRKELFEKELQMKTRREIMALGTKLGKMNIAAWASNEDDDKNEPTLQNAVGAEQSSSVVNTRAAAWEEAENAKYMARFQREEIKIQAWENHQIAKAEAEMRKTEVKVERMRARAQDELMNKLASVRHKAEEKRAAAEARRNDHASKSEKQAEHIRRTGHVPSSCCCFHW >itb04g07450.t1 pep chromosome:ASM357664v1:4:5034200:5039184:-1 gene:itb04g07450 transcript:itb04g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYERIEKPNTCTSHLQLSPKKLRSMLLGTQKRRKPQAEEEEEEEEEEDDDPELGVSLRSESEIHESEAGSENCKDVAVVSVLHGSSREWEFGSSVASEMVKDRLKDQSLVTSRFRSQDLNCDGGGLDGMVTTSSPFEFQKSERAQRVPVAPFSKPAPSKWDDAQKWIASPTSNRPRSQGGQGVGPRKTSHYGHGNLQVSTKHVSEVSDRRVVHSEEPADTKLVDSSHAKDSAVQKYLSWESESHPVAESYGKATLMIENCTEESAINLSRHDSCVSIHSATAFVPLPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPTRSPTSSRPSTPGAAPSSSLINHPSDFLDPQRKELFEKELQMKTRREIMALGTKLGKMNIAAWASNEDDDKNEPTLQNAVGAEQSSSVVNTRAAAWEEAENAKYMARFQREEIKIQAWENHQIAKAEAEMRKTEAFGLIIVSL >itb03g11450.t1 pep chromosome:ASM357664v1:3:9641495:9642163:1 gene:itb03g11450 transcript:itb03g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGEVALRQLKSSEPPLYLSPSPELSAAARLACKYLYSSLKPFTPKSPFEQLLTDGFDAEQIWQQIDLQSEPLLSSLRRRVSHFEKNPESISDQFNVEPNGLEKKSGPLEALDREGYDIDDADLDDFDEDEGAAEDKEDQDDEDEGEEEEGTETEEEDDGTGLVEDEFLKIKELEKFLADDEAREYGYEKDEKAKKKKKRKRVEQSESEEENAEEEDEAV >itb03g10240.t1 pep chromosome:ASM357664v1:3:8048248:8052004:-1 gene:itb03g10240 transcript:itb03g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIIEGVYGTKNKSTWFQHIDCDPSPLGYLELNTVQAVDGKYRYNELEQPVCRVCDVILKSETDWTVHQASRKHNAAINNLKANAAALKQTKNLKPGLSKDLPRPEPENHEELHNKEAEPAGLSKRRQSSSLPPNFFDCKETKKPKSVNAQTEELQPPISRSKSLGSEARKAKGVLPEGFYDNKDADLRARGITPVKPDVKDEYKEFEKLIQEDLQEVDKRLEEEEYDAADMIEEAETVEQRAYRERVERLRKRKMELKAAKSTIRGKDTQITNKESSDEESSSDVNSDENLTVDWRAKHL >itb11g07500.t2 pep chromosome:ASM357664v1:11:4601103:4605680:1 gene:itb11g07500 transcript:itb11g07500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVQHPWSDIVRVAIDLEAMGIGGKSGIFQAGPDPWAIENYAQVAKYPSAQIVAQDLFTSGVIKSATDFQVYRELGGLSGLDFAYTENTAIYHTKNDKLSLLKPGSLQHLGENMLAFLLRAAASTNLPGGNATESEGKSSQDTAIYFDFLGTYMIVFRQQFADMLYNSVILQSLLIWIVSLFVGGYSAVVSVAFSCLSIVLMWICSIGFSLLVAFVLPLVSPSPVPFVSCPWLVVGLFAAPALLGAFGGQHVGYLVLEKYLSRTFSQTKATLPPAVRHAVAKLDAERWIFKAGLLQWLILLSVGHFFKIGSSYLALVWLVPPAFAYGLLDATLSPARLPKPLKTLTLLIGLSVPFLTSSGLIIRLVSTVIGTSVRFVRNPGGTPEWLGNVILALFIAAIVCLTLVYLLSYIHISGAKVPLVITTCILFGVSLGMVLLGVIPPFTEDTARAVNVVHVVDATGQQDPVSYISLFSTTPGNLIKEAEQIGEGFICGRDKPFDFVTFSVKYSCWTNKSAEIGWKESDIPALHVESDIKGDSRVTHVLVDTKVSTRWSLGINTDEVEDFQLKDDSEELISLGDKSGTDGWHTIQFSGGKKAPTKFSLTLFWHKNDSRARINKGSEVEPVLLKLRTDVDRQTPKTAEILKKLPPWCSLFGKSTSPYTLAFFKSLPVAL >itb11g07500.t1 pep chromosome:ASM357664v1:11:4598614:4605680:1 gene:itb11g07500 transcript:itb11g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRPKAAAKSNTVSGGNTSSERGFRVKSGVHLVAKRSGISILVFFVLVVYSTWAVYRYQYESLPQPLSAEHAGKRGFSELEAMKHVKALTELGPHPVGSNSLDSALQYVLQASEAIKKEAHWEVDVEIDLFHSNSGANHLVGGLFKGKTLVYSDLNHIVLRISPKYGNKAEGNAILVSSHIDTVFSTEGAGDCSSCVAVMLELARGICHWAHGFKNSVIFLFNTGEEEGLNGAHSFVTQHPWSDIVRVAIDLEAMGIGGKSGIFQAGPDPWAIENYAQVAKYPSAQIVAQDLFTSGVIKSATDFQVYRELGGLSGLDFAYTENTAIYHTKNDKLSLLKPGSLQHLGENMLAFLLRAAASTNLPGGNATESEGKSSQDTAIYFDFLGTYMIVFRQQFADMLYNSVILQSLLIWIVSLFVGGYSAVVSVAFSCLSIVLMWICSIGFSLLVAFVLPLVSPSPVPFVSCPWLVVGLFAAPALLGAFGGQHVGYLVLEKYLSRTFSQTKATLPPAVRHAVAKLDAERWIFKAGLLQWLILLSVGHFFKIGSSYLALVWLVPPAFAYGLLDATLSPARLPKPLKTLTLLIGLSVPFLTSSGLIIRLVSTVIGTSVRFVRNPGGTPEWLGNVILALFIAAIVCLTLVYLLSYIHISGAKVPLVITTCILFGVSLGMVLLGVIPPFTEDTARAVNVVHVVDATGQQDPVSYISLFSTTPGNLIKEAEQIGEGFICGRDKPFDFVTFSVKYSCWTNKSAEIGWKESDIPALHVESDIKGDSRVTHVLVDTKVSTRWSLGINTDEVEDFQLKDDSEELISLGDKSGTDGWHTIQFSGGKKAPTKFSLTLFWHKNDSRARINKGSEVEPVLLKLRTDVDRQTPKTAEILKKLPPWCSLFGKSTSPYTLAFFKSLPVAL >itb05g03380.t1 pep chromosome:ASM357664v1:5:2845134:2847587:-1 gene:itb05g03380 transcript:itb05g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAQVMEDVPLTAIGLCKMGSKSKVVAAVAAYKAHDWRSISAPPQPRRHLFSDHDNLQLISSKLGTSGGRGRRRRCGGGGFARKCAALVKEQRARFYIIRRCVIMLVCWRD >itb12g02650.t2 pep chromosome:ASM357664v1:12:1725640:1728657:-1 gene:itb12g02650 transcript:itb12g02650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIDLLKACWRGSLDRHGHTSSNAGGRQDGLLWYKDNGQHLIGDFSMAVVQANNLLEDQSQIESGSLSLLGSGPYGTFIGVYDGHGGPETSRYINDNLFQHLKRFTAEQNSMSVDVIQKAFQATEEGFLSLVTKQWAMNPQMAAVGSCCLVGVICDGTLYVANLGDSRAVLARVVKATGEVLAVQLSTEHNASIESVRRELHSMHPDDSQIVVLKHNVWRVKGLIQISRSIGDLYLKNAEYNREPLYAKFRLREPFTRPILSSEPSILQHKLEPLDQFIIFASDGLWEHLSNQEAVDIAQNGNRNGSARRLVKAALQEAAKKREMRYSDLNKIDRGVRRHFHDDITVVVTFLDSNLVSRASSLRGPTLSIRGGGVHLPANTLAPCA >itb12g02650.t3 pep chromosome:ASM357664v1:12:1725688:1728647:-1 gene:itb12g02650 transcript:itb12g02650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIDLLKACWRGSLDRHGHTSSNAGGRQDGLLWYKDNGQHLIGDFSMAVVQANNLLEDQSQIESGSLSLLGSGPYGTFIGVYDGHGGPETSRYINDNLFQHLKRFTAEQNSMSVDVIQKAFQATEEGFLSLVTKQWAMNPQMAAVGSCCLVGVICDGTLYVANLGDSRAVLARVVKATGEVLAVQLSTEHNASIESVRRELHSMHPDDSQIVVLKHNVWRVKGLIQISRSIGDLYLKNAEYNREPLYAKFRLREPFTRPILSSEPSILQHKLEPLDQFIIFASDGLWEHLSNQEAVDIAQNGNRNGSARRLVKAALQEAAKKREMRYSDLNKIDRGVRRHFHDDITVVVTFLDSNLVSRASSLRGPTLSIRGGGVHLPANTLAPCA >itb12g02650.t1 pep chromosome:ASM357664v1:12:1725640:1728657:-1 gene:itb12g02650 transcript:itb12g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIDLLKACWRGSLDRHGHTSSNAGGRQDGLLWYKDNGQHLIGDFSMAVVQANNLLEDQSQIESGSLSLLGSGPYGTFIGVYDGHGGPETSRYINDNLFQHLKRFTAEQNSMSVDVIQKAFQATEEGFLSLVTKQWAMNPQMAAVGSCCLVGVICDGTLYVANLGDSRAVLARVVKATGEVLAVQLSTEHNASIESVRRELHSMHPDDSQIVVLKHNVWRVKGLIQISRSIGDLYLKNAEYNREPLYAKFRLREPFTRPILSSEPSILQHKLEPLDQFIIFASDGLWEHLSNQEAVDIAQNGNRNGSARRLVKAALQEAAKKREMRYSDLNKIDRGVRRHFHDDITVVVTFLDSNLVSRASSLRGPTLSIRGGGVHLPANTLAPCA >itb12g27130.t2 pep chromosome:ASM357664v1:12:27692763:27703168:1 gene:itb12g27130 transcript:itb12g27130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSNEAFENACMEFDEVKLKPTYKILWGVPGRSNAINIAERLGIPNVILDNARELYGVANAEINEVILDMEKFKQKFHEQIHEAQHYLKVSRELHKKLLVTRKRITEHGIEQRYRKMEEMSRVAAAARSALHRRARQFRASPRQPSETNSNNGQYTSALESDNTNKTLEIETCEATTAESAVDNLKPRVSAQKRAGLPNVGDAVHIVSLGRKAVVLKVDSSRDEIVVQAGNLKLKLKASDVT >itb12g27130.t5 pep chromosome:ASM357664v1:12:27692763:27703168:1 gene:itb12g27130 transcript:itb12g27130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSSNEAFENACMEFDEVKLKPTYKILWGVPGRSNAINIAERLGIPNVILDNARELYGVANAEINEVILDMEKFKQKFHEQIHEAQHYLKVSRELHKKLLVTRKRITEHGIEQRYRKMEEMSRVAAAARSALHRRARQFRASPRQPSETNSNNGQYTSALESDNTNKTLEIETCEATTAESAVDNLKPRVSAQKRAGLPNVGDAVHIVSLGRKAVVLKVDSSRDEIVVQAGNLKLKLKASDVT >itb12g27130.t3 pep chromosome:ASM357664v1:12:27693598:27703178:1 gene:itb12g27130 transcript:itb12g27130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSNEAFENACMEFDEVKLKPTYKILWGVPGRSNAINIAERLGIPNVILDNARELYGVANAEINEVILDMEKFKQKFHEQIHEAQHYLKVSRELHKKLLVTRKRITEHGIEQRYRKMEEMSRVAAAARSALHRRARQFRASPRQPSETNSNNGQYTSALESDNTNKTLEIETCEATTAESAVDNLKPRVSAQKRAGLPNVGDAVHIVSLGRKAVVLKVDSSRDEIVVQAGNLKLKLKASDVT >itb12g27130.t6 pep chromosome:ASM357664v1:12:27692763:27701824:1 gene:itb12g27130 transcript:itb12g27130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSSNEAFENACMEFDEVKLKPTYKILWGVPGYFCNDSFFLTLNSSVQLFISPLFYSQWVLYIISFYVSLIDLLRSFSF >itb12g27130.t9 pep chromosome:ASM357664v1:12:27693598:27701414:1 gene:itb12g27130 transcript:itb12g27130.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIENPVLFNKYVPCAIR >itb12g27130.t4 pep chromosome:ASM357664v1:12:27693598:27703168:1 gene:itb12g27130 transcript:itb12g27130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSNEAFENACMEFDEVKLKPTYKILWGVPGRSNAINIAERLGIPNVILDNARELYGVANAEINEVILDMEKFKQKFHEQIHEAQHYLKVSRELHKKLLVTRKRITEHGIEQRYRKMEEMSRVAAAARSALHRRARQFRASPRQPSETNSNNGQYTSALESDNTNKTLEIETCEATTAESAVDNLKPRVSAQKRAGLPNVGDAVHIVSLGRKAVVLKVDSSRDEIVVQAGNLKLKLKASDVT >itb12g27130.t8 pep chromosome:ASM357664v1:12:27692763:27703178:1 gene:itb12g27130 transcript:itb12g27130.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSNEAFENACMEFDEVKLKPTYKILWGVPGRSNAINIAERLGIPNVILDNARELYGVANAEINEVILDMEKFKQKFHEQIHEAQHYLKVSRELHKKLLVTRKRITEHGIEQRYRKMEEMSRVAAAARSALHRRARQFRASPRQPSETNSNNGQYTSALESDNTNKTLEIETCEATTAESAVDNLKPRVSAQKRAGLPNVGDAVHIVSLGRKAVVLKVDSSRDEIVVQAGNLKLKLKASDVT >itb12g27130.t7 pep chromosome:ASM357664v1:12:27693598:27701824:1 gene:itb12g27130 transcript:itb12g27130.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSSNEAFENACMEFDEVKLKPTYKILWGVPGYFCNDSFFLTLNSSVQLFISPLFYSQWVLYIISFYVSLIDLLRSFSF >itb12g27130.t1 pep chromosome:ASM357664v1:12:27692763:27703178:1 gene:itb12g27130 transcript:itb12g27130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLSVLGSCSAAITASTFSVPASNRVFHFRSTHLKSLRFEVRAFLQKSSPSLSDQPSVVLDSLRILEWDKVCDVVASFAGTSLGKEATKEQLWNLSRTYEDSLTLLQETTAALEMHKYGAMMEFTGIDIELVKFAIQCARRDSPVSGSEAIALAALLQFAEGLQSNVKSAIKQDADWYQRFMPLTEVIVELEISRSLVSFIQQLVDEDGSVKDSASSTLRQSRDQVRFLERKLYQLMEALIRNETRETSSLEVSTVDGRWCIRSGSAQRMGFEGLLLSSSGMGSIIEPLPAVALNDELQQARASVAKAEADVLFKITKKMQEDLHDIENVFSIIVGIDAINARAQYSLSLGGAYPDLFLPQDKGTSVAADAMLEDGTSITSQGKWTLYLPKAYHPLLLQKHRQNLQKAMKDVRNATAEIRRRKQQGGVTREESNLDISSLEMEVAKVKQAPPVPVDIFIAQNTKVLVITGPNTGGKTICLKTVGLAAVMAKSGLYVLSSEPVKIPWFDYVLADIGDEQSLSQSLSTFSGHLKQISKIQSCSTNMSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGTLLTIATTHHGELKTLKYSNEAFENACMEFDEVKLKPTYKILWGVPGRSNAINIAERLGIPNVILDNARELYGVANAEINEVILDMEKFKQKFHEQIHEAQHYLKVSRELHKKLLVTRKRITEHGIEQRYRKMEEMSRVAAAARSALHRRARQFRASPRQPSETNSNNGQYTSALESDNTNKTLEIETCEATTAESAVDNLKPRVSAQKRAGLPNVGDAVHIVSLGRKAVVLKVDSSRDEIVVQAGNLKLKLKASDVT >itb04g01740.t1 pep chromosome:ASM357664v1:4:1011841:1017184:1 gene:itb04g01740 transcript:itb04g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISARFPQFISARTKKTNLYYVAATTVLCSVFYLIGIFQHGGFSGRQISAAAILPSVPCEPVNKDSIDTTNLTSSSSTVSLDFAAHHAAEDLVAVAAPPPAKEFPPCKAELSEYTPCEDTERSLKFDRRMLKYRERHCPAKEELLKCRIPAPFGYKIPVRWPESRDSVWYANVPHKHLTVEKAGQNWVRFKGERFTFPGGGTMFPRGADAYIDDIGRLINLKDGSIRTAIDTGCGVASWGAYLLSRDILPLSFAPKDTHEAQVQFALERGIPATIGILASKRLPYPSRAFDMAHCSRCLIPWGQFDGLYLIEVDRVLRPGGYWVLSGPPINWEIHWKGWNRTAEDLKAEQDQIESVARSMCWKKLKQKGDLSIWQKPTNHLHCKANRKVFKKPLFCQDQDPDSAWYTKMESCLSPLPEVSNIKEIAGGALANWPERLTAVPPRISSGSVDGITAEDFSRDTELWKKRVAHYKGVDFQLVEKGRYRNILDMNAKLGGFAAALVDDPVWVMNVVPVEAKVNTLGVVYERGLIGTYHNWCEAMSTYPRTYDFIHADGIFTLYKDRCEIEDIFLEMDRILRPQGSMVIRDDVDIMVSVKSIADGLQWDNRMADHEDSPHVREKILIATKQYWTADQNQEGSNASS >itb10g12580.t1 pep chromosome:ASM357664v1:10:18618803:18620685:-1 gene:itb10g12580 transcript:itb10g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEICIACIISALFLLIPGNNAFTVGPSALTSPESICNATASPAFCGFGPVVMDGFTFHDFARKSFDYSFFLTQLVFYPAVNHAFYRLWKWPCCVSPDHPMLSALESCEALIRENKNILFRTFQVIKCRDTLEDPSQAQGMTDLLRGVVRNHETCFDALSGAVASADATTASEIKHLLRHRSGGSESFNVTLVLFRLGWGQHQDQITHSVLINFKFRMNNIFDVAITAEGDHVVVFAASQPAAPMTLLHRRFTTATRP >itb12g20030.t1 pep chromosome:ASM357664v1:12:22439919:22449683:1 gene:itb12g20030 transcript:itb12g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEICAPRAVEVKEPGNGKGSAEIAVFSPDLGDGEAGEIMDHLNQVGLSRDHEIGIARLSRVSAQFLPLDGSRSVKIPSGNYELRYSFLSQRGYYPDALDKANQDSFCIHSPFGTSPDDHFFGVFDGHGEFGAQCSQFVKNKVCENLLRNSTFDVDAAEACNAAFLTTNSQLHADILDDSMSGTTAITILVRGTTIYVANSGDSRAVIAEKKGNDIVAVDLSVDQTPFRPDELERVKICGARVLTLDQIEGLKNPDVQCWDTEEGDDGDPPRLWVQNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTPNHPFFVIASDGVFEFLSSQAVVDMVAKYTDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLTHSAGGQSTSSETVLRPPLPQTIEASGAESPAVLSWNTRNQRTRQEISRARLRALESSIENGQIWVPPSPAHRKTWEEQAQIEQALHYHFLFRKLTDAQRHVLLDSMKRVEVQAGEIVVKQGGESDSFYVVGHGEFEVLATQDENKGGVPKVLQQYTAEKLSSFGELALMYSKPLQASVRAVTSGTLWELKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHIADSLLEMTFSDEQTIVDENENLLGLYIIQKGGVNITFDIDSVKSENASSLICENQQDSMPNNKGILLQKAEGSYFGEWTLLGEHVGSLRATAVGEVVCSVITKEKFDSIMGPLTKLSQDEHETNDCSSDFSRESVKSFDTLSLAKLSLIDLEWKTSLSSTDCSEIGLVQLKDSDKLLTLKRFSKQAIKKLGKEDQVLKEKDLLKCMSPAACVPQVICTSADHTHAGILLDTCLACSLSSILRNPLDEASARFCAASVVTALEDLHKNGILYRGVSAEVLMLDQTGHIKLVDFRFGKKLSGVSNERTFTICGMTDSLAPEIVQGKGHGFAVDWWALGTLIYFMLKGEMPFGSWRESELTFARIAKGQFTIPETFSHEAVDLISKLLEVDENSRLGSQGVASIKSHPWFYGFDWKGVTDGIMPAPQEIISRIKQYLVNYGNDNASSPYSPPRDLKDLNTREWLEDW >itb12g20030.t3 pep chromosome:ASM357664v1:12:22439919:22447878:1 gene:itb12g20030 transcript:itb12g20030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEICAPRAVEVKEPGNGKGSAEIAVFSPDLGDGEAGEIMDHLNQVGLSRDHEIGIARLSRVSAQFLPLDGSRSVKIPSGNYELRYSFLSQRGYYPDALDKANQDSFCIHSPFGTSPDDHFFGVFDGHGEFGAQCSQFVKNKVCENLLRNSTFDVDAAEACNAAFLTTNSQLHADILDDSMSGTTAITILVRGTTIYVANSGDSRAVIAEKKGNDIVAVDLSVDQTPFRPDELERVKICGARVLTLDQIEGLKNPDVQCWDTEEGDDGDPPRLWVQNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTPNHPFFVIASDGVFEFLSSQAVVDMVAKYTDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLTHSAGGQSTSSETVLRPPLPQTIEASGAESPAVLSWNTRNQRTRQEISRARLRALESSIENGQIWVPPSPAHRKTWEEQAQIEQALHYHFLFRKLTDAQRHVLLDSMKRVEVQAGEIVVKQGGESDSFYVVGHGEFEVLATQDENKGGVPKVLQQYTAEKLSSFGELALMYSKPLQASVRAVTSGTLWELKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHIADSLLEMTFSDEQTIVDENENLLGLYIIQKGGVNITFDIDSVKSENASSLICENQQDSMPNNKGILLQKAEGSYFGEWTLLGEHVGSLRATAVGEVVCSVITKEKFDSIMGPLTKLSQDEHETNDCSSDFSRESVKSFDTLSLAKLSLIDLEWKTSLSSTDCSEIGLVQLKDSDKLLTLKRFSKQAIKKLGKEDQVLKEKDLLKCMSPAACVPQVICTSADHTHAGILLDTCLACSLSSILRNPLDEASARFCAASVVTALEDLHKVLSAI >itb12g20030.t2 pep chromosome:ASM357664v1:12:22439919:22449683:1 gene:itb12g20030 transcript:itb12g20030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEICAPRAVEVKEPGNGKGSAEIAVFSPDLGDGEAGEIMDHLNQVGLSRDHEIGIARLSRVSAQFLPLDGSRSVKIPSGNYELRYSFLSQRGYYPDALDKANQDSFCIHSPFGTSPDDHFFGVFDGHGEFGAQCSQFVKNKVCENLLRNSTFDVDAAEACNAAFLTTNSQLHADILDDSMSGTTAITILVRGTTIYVANSGDSRAVIAEKKGNDIVAVDLSVDQTPFRPDELERVKICGARVLTLDQIEGLKNPDVQCWDTEEGDDGDPPRLWVQNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTPNHPFFVIASDGVFEFLSSQAVVDMVAKYTDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLTHSAGGQSTSSETVLRPPLPQTIEASGAESPAVLSWNTRNQRTRQEISRARLRALESSIENGQIWVPPSPAHRKTWEEQAQIEQALHYHFLFRKLTDAQRHVLLDSMKRVEVQAGEIVVKQGGESDSFYVVGHGEFEVLATQDENKGGVPKVLQQYTAEKLSSFGELALMYSKPLQASVRAVTSGTLWELKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHIADSLLEMTFSDEQTIVDENENLLGLYIIQKGGVNITFDIDSVKSENASSLICENQQDSMPNNKGILLQKAEGSYFGEWTLLGEHVGSLRATAVGEVVCSVITKEKFDSIMGPLTKLSQDEHETNDCSSDFSRESVKSFDTLSLAKLSLIDLEWKTSLSSTDCSEIGLVQLKDSDKLLTLKRFSKQAIKKLGKEDQVLKEKDLLKCMSPAACVPQVICTSADHTHAGILLDTCLACSLSSILRNPLDEASARFCAASVVTALEDLHKNGILYRGVSAEVLMLDQTGHIKLVDFRFGKKLSGVSNERTFTICGMTDSLAPEIVQGKGHGFAVDWWALGTLIYFMLKGEMPFGSWRESELTFARIAKGQFTIPETFSHEAVDLISKLLEVDENSRLGSQGVASIKSHPWFYGFDWKGVTDGIMPAPQEIISRIKQYLVNYGNDNASSPYSPPRDLKDLNTREWLEDW >itb05g15560.t1 pep chromosome:ASM357664v1:5:22903117:22909380:1 gene:itb05g15560 transcript:itb05g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNWELKDCCNRDQRFFLITIGIFTVVILILWRTFFLTPFKLITVFLHEASHAIACKLTCGQVEGMQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMVLILASTKLLTAKIAAGCFIAALVVVLFVAKNWTLRGLCIGFIIFIAAIWVLQEMTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAELCPCPCNGVAWGVIWGMISFIFLCGAMYLGLVILS >itb05g15560.t2 pep chromosome:ASM357664v1:5:22903212:22909322:1 gene:itb05g15560 transcript:itb05g15560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMVLILASTKLLTAKIAAGCFIAALVVVLFVAKNWTLRGLCIGFIIFIAAIWVLQEMTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAELCPCPCNGVAWGVIWGMISFIFLCGAMYLGLVILS >itb05g15560.t4 pep chromosome:ASM357664v1:5:22903117:22907495:1 gene:itb05g15560 transcript:itb05g15560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNWELKDCCNRDQRFFLITIGIFTVVILILWRTFFLTPFKLITVFLHEASHAIACKLTCGQVEGMQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMVLILASTKLLTAKIAAGCFIAALVVVLFVAKNWTLRGLCIGFIIFIAAIWVLQEMTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAELCPCPCNGVAWGVIWGMISFIFLCGAMYLGLVILS >itb05g15560.t3 pep chromosome:ASM357664v1:5:22903117:22909322:1 gene:itb05g15560 transcript:itb05g15560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNWELKDCCNRDQRFFLITIGIFTVVILILWRTFFLTPFKLITVFLHEASHAIACKLTCGQVEGMQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMVLILASTKLLTAKIAAGCFIAALVVVLFVAKNWTLRGLCIGFIIFIAAIWVLQEMTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAELCPCPCNGVAWGVIWSVHKLKMDFKLLKFGNIFSFCFLIN >itb10g05250.t4 pep chromosome:ASM357664v1:10:5298760:5305055:-1 gene:itb10g05250 transcript:itb10g05250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEIEAHQGCSKFQRIGNGNGNGAKIAHNGDDPYPDEEDDGELKRGGGGAAARGLNISSSHGVGVGSLCGWPSSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLLKAAAPSIADLPSLNAPFAELSDERRSSAGLQEQEQGDIEMEGDHNMLLLSKSCSSTSETSKGSGGGGGAGAGLSLSRSEGRIKARERARERTAEKEKEKEKENTNDTSSHHHHHEHHGVSARPHSHPNHHNLNPISSSSFTELLTGTTSPSNVAAQRDTNTNFFAKSPTPMDYFTSGLLSRPMDHHHHSSSSAFPGNIIHMTNPNNPLPSSVSSPLFNMGGGELQHFSFVSDHLPAPAGNNGNPQQQSTNNEYNLSFSISPSSSSSGLPAGFNRGTLQSNSSSPSLLPHFQRFPPLDGSSPGFFTFSAAAPNADNHHQHHQFLPRFDARLQLYYGDAHPAARPSDHKGKGKN >itb10g05250.t1 pep chromosome:ASM357664v1:10:5298760:5305856:-1 gene:itb10g05250 transcript:itb10g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEIEAHQGCSKFQRIGNGNGNGAKIAHNGDDPYPDEEDDGELKRGGGGAAARGLNISSSHGVGVGSLCGWPSSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLLKAAAPSIADLPSLNAPFAELSDERRSSAGLQEQEQGDIEMEGDHNMLLLSKSCSSTSETSKGSGGGGGAGAGLSLSRSEGRIKARERARERTAEKEKEKEKENTNDTSSHHHHHEHHGVSARPHSHPNHHNLNPISSSSFTELLTGTTSPSNVAAQRDTNTNFFAKSPTPMDYFTSGLLSRPMDHHHHSSSSAFPGNIIHMTNPNNPLPSSVSSPLFNMGGGELQHFSFVSDHLPAPAGNNGNPQQQSTNNEYNLSFSISPSSSSSGLPAGFNRGTLQSNSSSPSLLPHFQRFPPLDGSSPGFFTFSAAAPNADNHHQHHQFLPRFDARLQLYYGDAHPAARPSDHKGKGKN >itb10g05250.t2 pep chromosome:ASM357664v1:10:5298760:5305856:-1 gene:itb10g05250 transcript:itb10g05250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEIEAHQGCSKFQRIGNGNGNGAKIAHNGDDPYPDEEDDGELKRGGGGAAARGLNISSSHGVGVGSLCGWPSSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLLKAAAPSIADLPSLNAPFAELSDERRSSAGLQEQEQGDIEMEGDHNMLLLSKSCSSTSETSKGSGGGGGAGAGLSLSRSEGRIKARERARERTAEKEKEKEKENTNDTSSHHHHHEHHGVSARPHSHPNHHNLNPISSSSFTELLTGTTSPSNVAAQRDTNTNFFAKSPTPMDYFTSGLLSRPMDHHHHSSSSAFPGNIIHMTNPNNPLPSSVSSPLFNMGGGELQHFSFVSDHLPAPAGNNGNPQQQSTNNEYNLSFSISPSSSSSGLPAGFNRGTLQSNSSSPSLLPHFQRFPPLDGSSPGFFTFSAAAPNADNHHQHHQFLPRFDARLQLYYGDAHPAARPSDHKGKGKN >itb10g05250.t3 pep chromosome:ASM357664v1:10:5298811:5305842:-1 gene:itb10g05250 transcript:itb10g05250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEIEAHQGCSKFQRIGNGNGNGAKIAHNGDDPYPDEEDDGELKRGGGGAAARGLNISSSHGVGVGSLCGWPSSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLLKAAAPSIADLPSLNAPFAELSDERRSSAGLQEQEQGDIEMEGDHNMLLLSKSCSSTSETSKGSGGGGGAGAGLSLSRSEGRIKARERARERTAEKEKEKEKENTNDTSSHHHHHEHHGVSARPHSHPNHHNLNPISSSSFTELLTGTTSPSNVAAQRDTNTNFFAKSPTPMDYFTSGLLSRPMDHHHHSSSSAFPGNIIHMTNPNNPLPSSVSSPLFNMGGGELQHFSFVSDHLPAPAGNNGNPQQQSTNNEYNLSFSISPSSSSSGLPAGFNRGTLQSNSSSPSLLPHFQRFPPLDGSSPGFFTFSAAAPNADNHHQHHQFLPRFDARLQLYYGDAHPAARPSDHKGKGKN >itb09g16740.t1 pep chromosome:ASM357664v1:9:11886411:11887154:-1 gene:itb09g16740 transcript:itb09g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKSTYYDLQLAREEMRKERPHYLEPWVLYMFMKLLSFGLYSCRSCSKVEDTSYLSPEMMKLRSGVYSQEVPLTAQVAVSQ >itb04g19970.t1 pep chromosome:ASM357664v1:4:24406835:24407909:1 gene:itb04g19970 transcript:itb04g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNQEMKSRIGEDLVAIYYWAILLNQRSRKRAFPHSATQCHLSPSSKLRNGKTNSSVSKMNKTGEKVDSFAKGIREHVKLRPKITDTLKDKLILGAKLLQAGGIEKVFKKKFGAKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSMRMHYKVSIPTTKIKRANESENLKNPSEKYIPVVTYDHFEFWFMGFLYHQRTFKYLQDAISQAQ >itb09g11460.t1 pep chromosome:ASM357664v1:9:7132108:7135139:-1 gene:itb09g11460 transcript:itb09g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKAAIGDAVLTFMWVFSASTLGVGTSILAGLIGIDHPMAGLSVTTVLFFMLLFVFGVISDALGGASFNPTGIASFYAAGLGDDSLISAAVRFPAQAAGAVGGAMAILEVIPPHYKHMIMGPSLKVDVHTGAIAEGVLTFIMSFIVFLVIVKGPKSSFVKNWLLTIPTVVLVIAGSSYTGPSMNPANAFGWAYINNWHNTWEQFYVYWICPFIGAILAAWMFRAIFPPSVKPKKQPKAKKN >itb10g14720.t2 pep chromosome:ASM357664v1:10:21017251:21020921:-1 gene:itb10g14720 transcript:itb10g14720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDGCRTVVSGISRRSARIAYCGLFGLSLIVSWILREVAAPLMENIPWINHFHKTPDREWFETDAVLRVSLGNFTFFTILSIMMIGIKNQKDPRDNLHHGGWMMKVICWFLLVIFMFFVPNELISFYESTSKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYVATFGITGVLFHFFAPSGQDCGLNTFFIVVTLIFIFTFAIVTLHPSVKGSIFPASVISLYCTYLCYSALASEPRDYECNGLHKHSKSVSTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEHDEIEKSKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWASVWVRVVTGWVTAALFLWSLVAPILFPDREF >itb10g14720.t1 pep chromosome:ASM357664v1:10:21017251:21020921:-1 gene:itb10g14720 transcript:itb10g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDGCRTVVSGISRRSARIAYCGLFGLSLIVSWILREVAAPLMENIPWINHFHKTPDREWFETDAVLRVSLGNFTFFTILSIMMIGIKNQKDPRDNLHHGGWMMKVICWFLLVIFMFFVPNELISFYESTSKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYVATFGITGVLFHFFAPSGQDCGLNTFFIVVTLIFIFTFAIVTLHPSVKGSIFPASVISLYCTYLCYSALASEPRDYECNGLHKHSKSVSTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEHDEIEKSKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWASVWVRVVTGWVTAALFLWSLVAPILFPDREF >itb10g14720.t3 pep chromosome:ASM357664v1:10:21017251:21020752:-1 gene:itb10g14720 transcript:itb10g14720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDGCRTVVSGISRRSARIAYCGLFGLSLIVSWILREVAAPLMENIPWINHFHKTPDREWFETDAVLRVSLGNFTFFTILSIMMIGIKNQKDPRDNLHHGGWMMKVICWFLLVIFMFFVPNELISFYESTSKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALLVVSLVCYVATFGITGVLFHFFAPSGQDCGLNTFFIVVTLIFIFTFAIVTLHPSVKGSIFPASVISLYCTYLCYSALASEPRDYECNGLHKHSKSVSTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGGGKPLLPLDKADEHDEIEKSKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWASVWVRVVTGWVTAALFLWSLVAPILFPDREF >itb07g16670.t2 pep chromosome:ASM357664v1:7:20495806:20502392:-1 gene:itb07g16670 transcript:itb07g16670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENQGEVHLRAPRVASMESLTLNDEDLTSKSYASYVSAMSTLSNSQHPLLPSIVATPADADPLLFPPVGASNSPQKPYLEPPSYADAVFNPLDQLSSSGEEANGGQSPVGDSDKSVIFPRSPSSSSEHLKVAVSNPQKEVESSNSIVPGGNTFVTYLITTKTNIPEYGGSEFSVRRRFKDVVVLADRLNEAYRGYFIPPRPDKSVVESQVMQKQEFVEQRRVALERYLKRLAAHPVIKKSNELRAFLQVQGKLPVQSTDVASRVLDETPKLPQQFFPDSRNAVQPQDVVQPSRGGRDLLRLFRELKQSVVNDWGGSRPRLEEDDKEFLEKKERLHELEQNLTTASKQAELLVKEQQDMAETMGQLGLAFLKLTKFENERAMLNTQKERAADMKNVATAAVKSSRLYRELNAQTVKHLDVLHEHLGLMLAVHGAFSDRSSALLTVQTLLSELSSLNSKAEKLETTSPKKFGGDKLKIQKLDELRGTIRATEDAKSCAIREYERIKEINRIEMGRLDRERHDNFINMLKGFVISQAAYSEKIGKEWTKVAEETSRYTKDSA >itb07g16670.t1 pep chromosome:ASM357664v1:7:20495859:20502418:-1 gene:itb07g16670 transcript:itb07g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENQGEVHLRAPRVASMESLTLNDEDLTSKSYASYVSAMSTLSNSQHPLLPSIVATPADADPLLFPPVGASNSPQKPYLEPPSYADAVFNPLDQLSSSGEEANGGQSPVGDSDKSVIFPRSPSSSSEHLKVAVSNPQKEVESSNSIVPGGNTFVTYLITTKTNIPEYGGSEFSVRRRFKDVVVLADRLNEAYRGYFIPPRPDKSVVESQVMQKQEFVEQRRVALERYLKRLAAHPVIKKSNELRAFLQVQGKLPVQSTDVASRVLDETPKLPQQFFPDSRNAVQPQDVVQPSRGGRDLLRLFRELKQSVVNDWGGSRPRLEEDDKEFLEKKERLHELEQNLTTASKQAELLVKEQQDMAETMGQLGLAFLKLTKFENERAMLNTQKERAADMKNVATAAVKSSRLYRELNAQTVKHLDVLHEHLGLMLAVHGAFSDRSSALLTVQTLLSELSSLNSKAEKLETTSPKKFGGDKLKIQKLDELRGTIRATEDAKSCAIREYERIKEINRIEMGRLDRERHDNFINMLKGFVISQAAYSEKIGKEWTKVAEETSRYTKDSA >itb01g25720.t1 pep chromosome:ASM357664v1:1:31079844:31082387:-1 gene:itb01g25720 transcript:itb01g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIDSTKPTQIKDKDLFKAAESGNSELFKSLSDDQLLRALALRNEDYRSLLHVAVSSAHTEVVKILAAADSSISGINSGDEEGWVPLHSAASSGNIEIVEILLSRGANVNAKNDGGRTALHYAASKGHLRVAEILISHGAKINAKDKVGCTPLHRAASTGKSDLCELLIEEGAEVDEVDRAGQTPLMNAVICHNRDVALLLIRHGASVDAEDKEGYTVLGRASDDIRPALVDAAKTMLEG >itb01g25720.t2 pep chromosome:ASM357664v1:1:31078358:31082320:-1 gene:itb01g25720 transcript:itb01g25720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIDSTKPTQIKDKDLFKAAESGNSELFKSLSDDQLLRALALRNEDYRSLLHVAVSSAHTEVVKILAAADSSISGINSGDEEGWVPLHSAASSGNIEIVEILLSRGANVNAKNDGGRTALHYAASKGHLRVAEILISHGAKINAKDKVGCTPLHRAASTGKSDLCELLIEEGAEVDEVDRAGQTPLMNAVICHNRDVALLLIRHGASVDAEDKEGYTVLGRASDDIRPALVDAAKTMLEG >itb06g11460.t1 pep chromosome:ASM357664v1:6:16016616:16024051:-1 gene:itb06g11460 transcript:itb06g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDSRRGFLEHDHCPGNLRRDGGGGQSSVMREGRHCGHLRHRSLALEFALESSRRAFAFTILAPNSKSHPNIRQRRYRRWLWWTRFAAVITALQFLGAAYFLFTLMKFIYQDDPSTGCVLGLALLHRYSLSSKLCEEPLPVPEEMIHEAALFHPFAEAAYTGLLLDVGRNPILFPLSWLCRQGVFSPWARKS >itb06g11460.t2 pep chromosome:ASM357664v1:6:16016616:16024051:-1 gene:itb06g11460 transcript:itb06g11460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDSRRGFLEHDHCPGNLRRDGGGGQSSVMREGRHCGHLRHRSLALEFALESSRRAFAFTILAPNSKSHPNIRQRRYRRWLWWTRFAAVITALQFLGAAYFLFTLMKFIYQDDPSTGCVLGLALLHRYSLSSKLCEEPLPVPEEMIHEAALFHPFAEAAYTGLLLDVGRNPILFPLSWLCRQGVFSPWARKR >itb13g09380.t1 pep chromosome:ASM357664v1:13:12888117:12888461:-1 gene:itb13g09380 transcript:itb13g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSAKGERVFKNTMDWVKVVEEFMKKDKVLDQKVKEALKDVNTYLWTVYDKEKEEYIEEGINRAMRAAAIFLVKVKHCPSWGSENGLLGRYLKANIDSVGARICHWAVSLDL >itb09g28860.t2 pep chromosome:ASM357664v1:9:29480867:29484892:1 gene:itb09g28860 transcript:itb09g28860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVKDTHSLTNWMSRPRRPGPDHSSNPNGNAAERSAFPNSSSESGDLAQNPNPNLSAFFTDEQLKRTLLNKLEFACKEVISKLVSLGHDENVAMKAVLRNGYWQGDKDALANIFQNSLSYFEDGNSVRSENSEHSFSDLKQLKECSLAGMVCMLQRVKPHLSKGDAMWCLLMSDLHVGRASAIEIPNGIGGGSSNVKGIVNAMFRFHGGCGFENGRPNEGSMHMISSLENEIVYPNKFNLNSQVKTLLQRNVGVISARLRENTKHLENQSQASLGSLKIGDSSGLTETGGEESPNVVNDDDAITSVVSKFRDLHIQSTETVRIQIDQKDEAILSLVREIKDLERQVKERKQWAYDKATQAGRKLFNHITELEKLRKERDEIQLLKKGKQAIEDKSMKKLAEMENEIRKASGQVDHANSAVKKLENENAEIRAEMEASKLSASESQKTCMEATKREKKSLKKLSAIEKLKSKLQVGIAAEIHKISNLQKQLAQAEAGQKEAEVKWRQEQKAKEQSLAQVEEERRNSEADVANDKRKIEAMRSKIEIELLRHKDDLHRLERELSQVKATEQTSRQHLQSHDLSMQNLNGAKSEGDIMRDLDALEDSADGEAIDHDRRCIICLKDDVSVIFLPCAHQVFCAKCNNCHGRKGKAITCPCCQVPIQQRICVFGTSS >itb09g28860.t4 pep chromosome:ASM357664v1:9:29480869:29482684:1 gene:itb09g28860 transcript:itb09g28860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVKDTHSLTNWMSRPRRPGPDHSSNPNGNAAERSAFPNSSSESGDLAQNPNPNLSAFFTDEQLKRTLLNKLEFACKEVISKLVSLGHDENVAMKAVLRNGYWQGDKDALANIFQNSLSYFEDGNSVRSENSEHSFSDLKQLKECSLAGMVCMLQRVKPHLSKGDAMWCLLMSDLHVGRASAIEIPNGIGGGSSNVKGIVNAMFRFHGGCGFENGRPNEGSMHMISSLENEIVYPNKFNLNSQVKTLLQRNVGVISARLRENTKHLENQSQASLGSLKIGDSSGLTETGGEESPNVVNDDDAITSVVSKFRDLHIQSTETVRIQIDQKDEAILSLVREIKDLERQVKERKQWAYDKATQAGRKLFNHITELEKLRKERDEIQLLKKGKQAIEDKSMKKLAEMENEIRKASGQVDHANSAVKKLENENAEIRAEMEASKLSASESQKTCMEATKREKKSLKKLSAIEKLKSKLQVGIAAEIHKISNLQKQLAQAEAGQKEAEVFSLYCFITNL >itb09g28860.t1 pep chromosome:ASM357664v1:9:29480867:29484892:1 gene:itb09g28860 transcript:itb09g28860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVKDTHSLTNWMSRPRRPGPDHSSNPNGNAAERSAFPNSSSESGDLAQNPNPNLSAFFTDEQLKRTLLNKLEFACKEVISKLVSLGHDENVAMKAVLRNGYWQGDKDALANIFQNSLSYFEDGNSVRSENSEHSFSDLKQLKECSLAGMVCMLQRVKPHLSKGDAMWCLLMSDLHVGRASAIEIPNGIGGGSSNVKGIVNAMFRFHGGCGFENGRPNEGSMHMISSLENEIVYPNKFNLNSQVKTLLQRNVGVISARLRENTKHLENQSQASLGSLKIGDSSGLTETGGEESPNVVNDDDAITSVVSKFRDLHIQSTETVRIQIDQKDEAILSLVREIKDLERQVKERKQWAYDKATQAGRKLFNHITELEKLRKERDEIQLLKKGKQAIEDKSMKKLAEMENEIRKASGQVDHANSAVKKLENENAEIRAEMEASKLSASESQKTCMEATKREKKSLKKLSAIEKLKSKLQVGIAAEIHKISNLQKQLAQAEAGQKEAEVKWRQEQKAKEQSLAQVEEERRNSEADVANDKRKIEAMRSKIEIELLRHKDDLHRLERELSQVKATEQTSRQHLQSHDLSMQNLNGAKSEGDIMRDLDALEDSADGEAIDHDRRCIICLKDDVSVIFLPCAHQVFCAKCNNCHGRKGKAITCPCCQVPIQQRICVFGTSS >itb09g28860.t3 pep chromosome:ASM357664v1:9:29480869:29482697:1 gene:itb09g28860 transcript:itb09g28860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVKDTHSLTNWMSRPRRPGPDHSSNPNGNAAERSAFPNSSSESGDLAQNPNPNLSAFFTDEQLKRTLLNKLEFACKEVISKLVSLGHDENVAMKAVLRNGYWQGDKDALANIFQNSLSYFEDGNSVRSENSEHSFSDLKQLKECSLAGMVCMLQRVKPHLSKGDAMWCLLMSDLHVGRASAIEIPNGIGGGSSNVKGIVNAMFRFHGGCGFENGRPNEGSMHMISSLENEIVYPNKFNLNSQVKTLLQRNVGVISARLRENTKHLENQSQASLGSLKIGDSSGLTETGGEESPNVVNDDDAITSVVSKFRDLHIQSTETVRIQIDQKDEAILSLVREIKDLERQVKERKQWAYDKATQAGRKLFNHITELEKLRKERDEIQLLKKGKQAIEDKSMKKLAEMENEIRKASGQVDHANSAVKKLENENAEIRAEMEASKLSASESQKTCMEATKREKKSLKKLSAIEKLKSKLQVGIAAEIHKISNLQKQLAQAEAGQKEAEVFSLYCFITNL >itb09g03630.t1 pep chromosome:ASM357664v1:9:2002686:2003026:1 gene:itb09g03630 transcript:itb09g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMDENASAGRSKSKSSISAGKPLGVAMMSATSVPKETASGSVSTPTDKPLTTERFPTTLAPLLNQRLPRSPTGRTRNSTT >itb02g10140.t1 pep chromosome:ASM357664v1:2:6543820:6547136:-1 gene:itb02g10140 transcript:itb02g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKYFTARPLTYSSSRRSTALILFLLIGLVGFVFGFIASSRQGLGHRCEYIKPRSVSVVWDRNSARVSSGDGVDGEQKRHKVMGFVGIQTGFASAARRRSLRQTWLPSDRQGLQKLEESTGLAFRFIIGRTNDKSKMAALRREIAEYDDFVLLDIEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLANLLGKEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHEHNQQLCQIDCTGKSIAVWDIPKCSGLCNPDKKMVELHAKDICSKSPTLPSDDDE >itb05g12470.t1 pep chromosome:ASM357664v1:5:19020363:19021619:1 gene:itb05g12470 transcript:itb05g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDYDYDYAQDFELSYVNTLLNDDYHHHYSNVVNNPFASSSSLFSHPQPTPTNVQDKSSTTSASSGSSGFDGMMPTTYPMQEVNSMRQMVNTTKAKERHSIAFRTKTELEILDDGYKWRKYGKKKVKSNSNPRNYYKCSHEGCVVKKRVERDGEDSKFLITEYEGIHNHESPYVIYYY >itb04g23750.t1 pep chromosome:ASM357664v1:4:28693232:28694207:-1 gene:itb04g23750 transcript:itb04g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLWWEMLDPMASAIRRDGGGRRRSLVTADGFIAASLLPQATSATELGVAVTMRELVGGDGIGVVMEKCGDGGAGISGDIMVCLLLQVTPATTLFRLQSTTARLVSAARRSWFPGGANTDSRLRKAMVMAMMMAVVLSGMALHSISPSPFVSSFFC >itb03g25900.t1 pep chromosome:ASM357664v1:3:25254317:25262910:1 gene:itb03g25900 transcript:itb03g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCKYGASVQIQWRLGACRHPTPTQNILLSPCGHLYKAKGKGVLLCRHSRPSVLSRSSSRRICSFSFGKMNSGDENGGVTAASAVLSSSAAAVEAEQQKLKKGIAEFYDESSGIWEEIWGDHMHHGFYEPQASVSLSDHRAAQIRMIEEALRFASVSEDPEKRPKNIVDVGCGIGGSSRYLARKYSARSEGITLSPNQAKRAQALAAAQGLGEKVSFQVADALNQPFPDGEFDLVWSMESGEHMPDKAKFVSELARVAAPGGTIIIVTWCHRDLSPTEQSLRPEEQQLLNKICSAFYLPAWCSAADYVKLLQSNSLQVTVTPQIITCSY >itb01g28180.t1 pep chromosome:ASM357664v1:1:32706312:32709266:1 gene:itb01g28180 transcript:itb01g28180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDPVSQWGNTALSTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLTRTRALQTYRLDPAKWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNATTGYIDYDKLEEKSLDFRPKLIICGGSAYPRDWDYKRLREVADKCGALLLCDMAHISGLVAAQEAADPFEYCDLVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEDATYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMTPGFKAYAKQVRANAVAVAKYLMSKGYNIVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQISEFLHRAVTITLSIQKEHGKLLKDFNKGLVNNKEIEQLKADVEKFSSSFDMPGFKMSEMKYKN >itb15g08860.t2 pep chromosome:ASM357664v1:15:6296829:6303044:1 gene:itb15g08860 transcript:itb15g08860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGNHTANATVELEVMREDDFSWHPCQVSISPNGNGLVIKYGDDCLEDLIEDKEVALARLRIRSSPLQGSDCSLVKEGDHVLATKKSQLKSLFFDAEVEKAQRIRHSARTYCRCTFRVKWLHKDLGDEVLVLPSCAIMKLTTESINVHPTVCAFFKSFDSSNCFTKLPFPTIYGSTESRMETHEFPEARIGKIRSSDFFEKKISGDTLVGVDFKGQTQSGEFATYKVCKTHIQIPSVTDNLRYSEHRNQLHKDNKAEASPDVFMLVKDESVESRSPLNPLAARAALASLVSITRHFCLADDLTTRNGISSKSEAASATLVPSSSEETHRVSDAPFNFTCSPTERKVRLTRSASKRGNEVSHKSLAVTSHDEVKVEPSTKRRLTRLALKNNQEVESVEADTATQGNMSAKQIECDFVQEGKKTVGSSMNKGIVPLPTEEDKCVFYELKMNKENIHMKKTSTEEINVKNISSTRRLTRSAARGETGPNSGISLEQSKLYDHSQSNSDSTVTKVQSPPAAEENKINQTYATSKKTEADECNAKQNKGLKRKLIASTAQQLRSSPRLNSTLQTRSQMKL >itb15g08860.t1 pep chromosome:ASM357664v1:15:6296829:6305618:1 gene:itb15g08860 transcript:itb15g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGNHTANATVELEVMREDDFSWHPCQVSISPNGNGLVIKYGDDCLEDLIEDKEVALARLRIRSSPLQGSDCSLVKEGDHVLATKKSQLKSLFFDAEVEKAQRIRHSARTYCRCTFRVKWLHKDLGDEVLVLPSCAIMKLTTESINVHPTVCAFFKSFDSSNCFTKLPFPTIYGSTESRMETHEFPEARIGKIRSSDFFEKKISGDTLVGVDFKGQTQSGEFATYKVCKTHIQIPSVTDNLRYSEHRNQLHKDNKAEASPDVFMLVKDESVESRSPLNPLAARAALASLVSITRHFCLADDLTTRNGISSKSEAASATLVPSSSEETHRVSDAPFNFTCSPTERKVRLTRSASKRGNEVSHKSLAVTSHDEVKVEPSTKRRLTRLALKNNQEVESVEADTATQGNMSAKQIECDFVQEGKKTVGSSMNKGIVPLPTEEDKCVFYELKMNKENIHMKKTSTEEINVKNISSTRRLTRSAARGETGPNSGISLEQSKLYDHSQSNSDSTVTKVQSPPAAEENKINQTYATSKKTEADECISINFAGNAKQNKGLKRKLIASTAQQLRSSPRLNSTLQTRSQMKL >itb15g08860.t5 pep chromosome:ASM357664v1:15:6296876:6305618:1 gene:itb15g08860 transcript:itb15g08860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKLPELLLLCSPNGNGLVIKYGDDCLEDLIEDKEVALARLRIRSSPLQGSDCSLVKEGDHVLATKKSQLKSLFFDAEVEKAQRIRHSARTYCRCTFRVKWLHKDLGDEVLVLPSCAIMKLTTESINVHPTVCAFFKSFDSSNCFTKLPFPTIYGSTESRMETHEFPEARIGKIRSSDFFEKKISGDTLVGVDFKGQTQSGEFATYKVCKTHIQIPSVTDNLRYSEHRNQLHKDNKAEASPDVFMLVKDESVESRSPLNPLAARAALASLVSITRHFCLADDLTTRNGISSKSEAASATLVPSSSEETHRVSDAPFNFTCSPTERKVRLTRSASKRGNEVSHKSLAVTSHDEVKVEPSTKRRLTRLALKNNQEVESVEADTATQGNMSAKQIECDFVQEGKKTVGSSMNKGIVPLPTEEDKCVFYELKMNKENIHMKKTSTEEINVKNISSTRRLTRSAARGETGPNSGISLEQSKLYDHSQSNSDSTVTKVQSPPAAEENKINQTYATSKKTEADECISINFAGNAKQNKGLKRKLIASTAQQLRSSPRLNSTLQTRSQMKL >itb15g08860.t4 pep chromosome:ASM357664v1:15:6296876:6303009:1 gene:itb15g08860 transcript:itb15g08860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKLPELLLLCSPNGNGLVIKYGDDCLEDLIEDKEVALARLRIRSSPLQGSDCSLVKEGDHVLATKKSQLKSLFFDAEVEKAQRIRHSARTYCRCTFRVKWLHKDLGDEVLVLPSCAIMKLTTESINVHPTVCAFFKSFDSSNCFTKLPFPTIYGSTESRMETHEFPEARIGKIRSSDFFEKKISGDTLVGVDFKGQTQSGEFATYKVCKTHIQIPSVTDNLRYSEHRNQLHKDNKAEASPDVFMLVKDESVESRSPLNPLAARAALASLVSITRHFCLADDLTTRNGISSKSEAASATLVPSSSEETHRVSDAPFNFTCSPTERKVRLTRSASKRGNEVSHKSLAVTSHDEVKVEPSTKRRLTRLALKNNQEVESVEADTATQGNMSAKQIECDFVQEGKKTVGSSMNKGIVPLPTEEDKCVFYELKMNKENIHMKKTSTEEINVKNISSTRRLTRSAARGETGPNSGISLEQSKLYDHSQSNSDSTVTKVQSPPAAEENKINQTYATSKKTEADECISINFAGNAKQNKGLKRKLIASTAQQLRSSPRLNSTLQTRSQMKL >itb15g08860.t3 pep chromosome:ASM357664v1:15:6296829:6303044:1 gene:itb15g08860 transcript:itb15g08860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGNHTANATVELEVMREDDFSWHPCQVSISPNGNGLVIKYGDDCLEDLIEDKEVALARLRIRSSPLQGSDCSLVKEGDHVLATKKSQLKSLFFDAEVEKAQRIRHSARTYCRCTFRVKWLHKDLGDEVLVLPSCAIMKLTTESINVHPTVCAFFKSFDSSNCFTKLPFPTIYGSTESRMETHEFPEARIGKIRSSDFFEKKISGDTLVGVDFKGQTQSGEFATYKVCKTHIQIPSVTDNLRYSEHRNQLHKDNKAEASPDVFMLVKDESVESRSPLNPLAARAALASLVSITRHFCLADDLTTRNGISSKSEAASATLVPSSSEETHRVSDAPFNFTCSPTERKVRLTRSASKRGNEVSHKSLAVTSHDEVKVEPSTKRRLTRLALKNNQEVESVEADTATQGNMSAKQIECDFVQEGKKTVGSSMNKGIVPLPTEEDKCVFYELKMNKENIHMKKTSTEEINVKNISSTRRLTRSAARGETGPNSGISLEQSKLYDHSQSNSDSTVTKVQSPPAAEENKINQTYATSKKTEADECISINFAGNAKQNKGLKRKLIASTAQQLRSSPRLNSTLQTRSQMKL >itb14g11630.t1 pep chromosome:ASM357664v1:14:13272535:13281888:1 gene:itb14g11630 transcript:itb14g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAASGEPNKKRPHLNPLVSSPMPRHTLSSSDSKPVDAAVLQYENQKLVRQLDVQKQELHDLEEKMKELQVKQAAYDDILISINRLWNKVDDNMILLGAKVGTDPRALQSLSHADHSRGSIPSCLAEEMFLCRILNKDFIESSGSGVSITDVKEALDFRCSYTRELMTSLKDALDAQSSKLEEVANALDVIPSAEDAIALSSKIDDLLKDEASVLHDAIDVLLQKHKEYANVIEIYAQDPTDQSELKRLEVELEESMAELEESRRKLVNLKMQKEAASAREQPVLGSVNWSVSPEYTERTMDVQELKDSIEETQVLADDRLIELQDAKDDNSVLLKQLQDLKAELKDDKFVYSTRAYTILNDQLHHWTTQMERYKELVEHPQVDRSLISRKDKELTVKAESVDAARKIISDSESKIEELEHQLERYIIENNELAVKMEEAIQDSGRKDIKAEFQVMASALSKEKGMMRAQLNRWKDAAQESLSLREEAQSLKTSLDRKTIEQKDLADKCGRNSAAIKSLKMHVEQMQREQEERKIILDMHSQQIYDNRNIMEIQESERRARSQAEFLRNALDEHGLELRIKAANEAEAACQPRLSAAEAELAQLRAELEASDRDVFELREALKIKEGESETYISEIETIGQAYEDMQNQNQHLLQMMAERDELNIKLVSESVKTKQSQSLLLSERHALEKQLQQSKTSLESLKMRIAQNEELMKGYIMEALSSTQEDRELAVSLESVKWELMDAEKELKWLKSSVSSSQKEYDQIQRKIDQIQTELDTERSEKAKLDEELTDLNRTVTELTSESGDAAIQRLEDEINDCKAILKCGVCCDRPKEVVIAKCYHLFCNPCIQRNLELRHRKCPGCGTAFGQNDVRFVKI >itb04g07320.t1 pep chromosome:ASM357664v1:4:4941093:4941539:-1 gene:itb04g07320 transcript:itb04g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTPCIAALSCLLLLLSSFTQCQAQLSPTFYDNTCPNALNIIRTAVRQAVSSERRMAASLVRLHFHDCFVQGCDASILLEESPTIESEKTALPNLGSVRGYDVIEAAKRELEKACPGIVSCADVLSVAARDATVAVGGPSWTVKL >itb04g10990.t1 pep chromosome:ASM357664v1:4:10526240:10536261:-1 gene:itb04g10990 transcript:itb04g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MEAILLHNSTKPISSSVSHFKMALQTSTYKAWSMNSSSFRTTSYFSSLNIRSSSSARGLSLLNVTRYCTYSDTSPSSKRRSRGPVMLAKKASEGSKQEDGKYKHTVDLPKTAFSLRANSVIRELELQKLWDENQVFKRVSERNNGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVKYVPGWDCHGLPIELKVLQSLDQDARRQLTPQKLRIKAAKFAKTTVQSQMASFKRYGVWADWDHPYLTLDPEYEAAQIEVFGQMAIHGHIYRGRKPVHWSPSSRTALAEAELEYNEEHVSKSLYAIFRLVSAPPASDFLKEFFPNLCLAIWTTTPWTIPANAAVALNAKLQYAIVEVQSVSVDNPISKDEKKIPGNLLKGFENLYLIVALDLVSTLEAKWNLKLLVKKTLLGSDLENSRYIHPIDNRECPVVIGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIFSPVDDDGKFTEEAGRFGGLDVLGDGNTAVIEYLDECQSMIMVERYKHKYPYDWRTKKPTIFRATEQWFASVEGFRQAAMDAINQVNWIPPQAENRISAMISSRSDWCISRQRTWGVPIPVFYHVESKEPLMNEETLSHIKSIISQKGSDAWWYMKVEELLPDKYRDRASEYEKGSDTMDVWFDSGSSWAAVLEKRNSLNYPADLYLEGTDQHRGWFQSSLLTSIASKGKAPYFGVITHGFVLDERGLKMSKSLGNVVDPRSVIEGGKNQKEAPPYGADVLRLWVSSVDYTGDVLIGPQVLRQTSDMYRKLRGTLRFLLANLHDWKASYAVPYSDLPMIDRHAFFQLSSIVKNTKESYENYQFYKIYQIIQRFVVIDLSNFYFDVAKDRLYVGGSTSFTRRSCQTVLAAHLLSIARIVAPILPHLAEDVWQHLPFPYTSEDGNVATFVFESGWPETNESHLSFPEEDIEFWGKVLELRTEVNKALEAARGGKLIGASLEATVYLYTSDSTLAARLKEACKPKYDADALHRIFITSQVEVLSSLEDERIKDIAYTGEYLIQGESKVWIGVSRANGEKCERCWNYSLQVGSFDDHPSLCSRCYDVVSEVEAPDAEYAAGGSQEKQALVQ >itb13g00050.t1 pep chromosome:ASM357664v1:13:74228:76470:-1 gene:itb13g00050 transcript:itb13g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKRISAHRKFRSTATPGTAFAFLVFGAGAVYEFLVAFNLWWQLRLSSKAIFRQWNLALNALDRQLLFLLAELDDCADSVSRAKKTGNQSLVLGESRKMPGIAFADHKVKVEECHKLIKEVASRTHFESANKRKYGAMQGAPSFFYLCNILSFFVPIANRNGTRAEGTGQRTSALDNLGIEQYA >itb10g17500.t1 pep chromosome:ASM357664v1:10:23707073:23711307:-1 gene:itb10g17500 transcript:itb10g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQQLLAEEGFEGGRALKTRSKVEFRARESQDESVVLPIYICHDRKSSDFSKQRSKGSSLYSSSSRRRRKSLESGISNPWSNAETVAGRDNEPAIDEVAIRAMVSILSGYVGKYLRDQSFREAIKGKCYACFMRNKTESDNPIFANLEMAIESVESLAARPNGTKKELEVKSLQNSISLLTIVASLNSESSRNGSTCGIPNSHLSACAQLYLSIIYKLQKNNRISARHLLQVFCDSPFLARTCLLPELWEHLFLPHLLHLKIWHTKEHEFLSSSGYADKEKKMKGLRKLYDDQTNVGTQQFALYYKKWLKTGGEPPPVPSVPLPSRFSYATRRRKSSDSFTSHSSTNNKSLYKAVFGPIGESQALDFDNTNGSFSNPWDSAEANGDGIKHCNYTEKGDIVVHRRSRSQSYRKPEAEFQAETKKSDYFRFFTCQSQTKGCLVNGNYMDGDVSCEENIDFFAPDSLGEAISTICSSDSLSDCENAIRLLAKTWLDYDGDPKMESELSKRPVIEGIMEILFASKDDEILELAVSILAELVTRKEMNRQVILNSDPQLDIFLKLFRNSSLFLKAAALLYLVRPMAKQMISLEWIPLVLRVLEFGDHVQTLFAVQCSPQMAAYYFLEQLLSGFDEDKNLENTRQLITLGGLGLLVKRMETEDVCEKNKAVSIMYRCIRADGSCRHYLARSLNKDSFLPLLLAREEQRYQGDAFALLTELLCLHKQFQRTEFLNGLMNGCLNTMHILLVYLQRAQPEKRPVIAAIMLQLDLLSPCREIQQFAVYTERKY >itb10g17500.t3 pep chromosome:ASM357664v1:10:23707073:23711307:-1 gene:itb10g17500 transcript:itb10g17500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQQLLAEEGFEGGRALKTRSKVEFRARESQDESVVLPIYICHDRKSSDFSKQRSKGSSLYSSSSRRRRKSLESGISNPWSNAETVAGRDNEPAIDEVAIRAMVSILSGYVGKYLRDQSFREAIKGKCYACFMRNKTESDNPIFANLEMAIESVESLAARPNGTKKELEVKSLQNSISLLTIVASLNSESSRNGSTCGIPNSHLSACAQLYLSIIYKLQKNNRISARHLLQVFCDSPFLARTCLLPELWEHLFLPHLLHLKIWHTKEHEFLSSSGYADKEKKMKGLRKLYDDQTNVGTQQFALYYKKWLKTGGEPPPVPSVPLPSRFSYATRRRKSSDSFTSHSSTNNKSLYKAVFGPIGESQALDFDNTNGSFSNPWDSAEANGDGIKHCNYTEKGDIVVHRRSRSQSYRKPEAEFQAETKKSDYFRFFTCQSQTKGCLVNGNYMDGDVSCEENIDFFAPDSLGEAISTICSSDSLSDCENAIRLLAKTWLDYDGDPKMESELSKRPVIEGIMEILFASKDDEILELAVSILAELVTRKEMNRQVILNSDPQLDIFLKLFRNSSLFLKAAALLYLVRPMAKQMISLEWIPLVLRVLEFGDHVQTLFAVQCSPQMAAYYFLEQLLSGFDEDKNLENTRQLITLGGLGLLVKRMETEDVCEKNKAVSIMYRCIRADGSCRHYLARSLNKDSFLPLLLAREEQRYQGDAFALLTELLCLHKQFQRTEFLNGLMNGCLNTMHILLVYLQRAQPEKRPVIAAIMLQLDLLGDPTVCSVYREEVLEEIIKALDCQVFNESVQEQSTRALLILGGRFSYTGEPVVEQWVLKEAGYDDFLVDSYYRNCSSISDEYMHQVLLYNSLLQ >itb10g17500.t2 pep chromosome:ASM357664v1:10:23707073:23711307:-1 gene:itb10g17500 transcript:itb10g17500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQQLLAEEGFEGGRALKTRSKVEFRARESQDESVVLPIYICHDRKSSDFSKQRSKGSSLYSSSSRRRRKSLESGISNPWSNAETVAGRDNEPAIDEVAIRAMVSILSGYVGKYLRDQSFREAIKGKCYACFMRNKTESDNPIFANLEMAIESVESLAARPNGTKKELEVKSLQNSISLLTIVASLNSESSRNGSTCGIPNSHLSACAQLYLSIIYKLQKNNRISARHLLQVFCDSPFLARTCLLPELWEHLFLPHLLHLKIWHTKEHEFLSSSGYADKEKKMKGLRKLYDDQTNVGTQQFALYYKKWLKTGGEPPPVPSVPLPSRFSYATRRRKSSDSFTSHSSTNNKSLYKAVFGPIGESQALDFDNTNGSFSNPWDSAEANGDGIKHCNYTEKGDIVVHRRSRSQSYRKPEAEFQAETKKSDYFRFFTCQSQTKGCLVNGNYMDGDVSCEENIDFFAPDSLGEAISTICSSDSLSDCENAIRLLAKTWLDYDGDPKMESELSKRPVIEGIMEILFASKDDEILELAVSILAELVTRKEMNRQVILNSDPQLDIFLKLFRNSSLFLKAAALLYLVRPMAKQMISLEWIPLVLRVLEFGDHVQTLFAVQCSPQMAAYYFLEQLLSGFDEDKNLENTRQLITLGGLGLLVKRMETEDVCEKNKAVSIMYRCIRADGSCRHYLARSLNKDSFLPLLLAREEQRYQGDAFALLTELLCLHKQFQRTEFLNGLMNGCLNTMHILLVYLQRAQPEKRPVIAAIMLQLDLLGDPTVCSVYREEVLEEIIKALDCQVFNESVQEQSTRALLILGGRFSYTGEPVVEQWVLKEAGYDDFLVDSYYRNCSSISDEYMHQNKCDELMEAWQRKTAMVLLTNGNQRLVAALVDSIANGIPCLARASLVTVSWMSNFFHSIEDTSLQSAASSILVPLLTQLLNHKNSLEERLLSSYSLLGLAKNSEYICKLTTSDKELMTNLHKLSKVSRTAKELTSILSRA >itb06g19680.t1 pep chromosome:ASM357664v1:6:22911178:22912253:-1 gene:itb06g19680 transcript:itb06g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVFLALFLPCAGMSAVFLVYICLLWYAATYQNGGAAAQSRQEVMKGTKEKGLSAAQLEKLPAITGKDLVMGHDCAVCLDDIGSEEPARVVPGCNHGFHLECADTWLAKHPVCPICRTMLGPELFDPPETNPC >itb10g12730.t1 pep chromosome:ASM357664v1:10:18817284:18822036:1 gene:itb10g12730 transcript:itb10g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MNSAASKLVKLLRISPATKPTSSLVMGVHGSSRLFYSTSPFHSDTDNADDIDSVEIDDNDFVPERREIKLHGVDPRKGWNSRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGLFDQRVIADKDLPKPAQWHRIAVHNDMLGAYAVQQLTKNSSVYVEGDIETRVYNDSINGEVKSIPEICVRRDGRVRLIKAGENVSDISFDELRVGLL >itb10g12730.t2 pep chromosome:ASM357664v1:10:18817284:18821527:1 gene:itb10g12730 transcript:itb10g12730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MNSAASKLVKLLRISPATKPTSSLVMGVHGSSRLFYSTSPFHSDTDNADDIDSVEIDDNDFVPERREIKLHGVDPRKGWNSRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGLFDQRVIADKDLPKPAQWHRIAVHNDMLGAYAVQQLTKNSSVYVEGDIETRVYNDSINGEVKSIPEICVRRDGRVRLIKAGENVSDISFDELRVGLL >itb01g01670.t1 pep chromosome:ASM357664v1:1:975840:977256:-1 gene:itb01g01670 transcript:itb01g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNNCAAIATAVALVFLFLAGVPEPSFAALVKEQGPVLEYHNGTVLKGEVTVNLVWYGKFTAAQRSIIVDFLQSLKSEGNVPAPSVGSWWKTTEKYKGGGGAATLVVGKQIMDESCSLGKSLKDEQIEELAGKGGRGGACVNVVLTDKDVFVDGFCRSRCGTHASTGAGENRVAYAWVGNSEAQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATVLAGTMTNPFKNGYFQGPATAPAEAVSACTGMFGSGSYPGYPGQVLVDKTTRASYNAHGAKGRKFLLPAMWDPEKSACATLV >itb04g28670.t4 pep chromosome:ASM357664v1:4:32322783:32327572:1 gene:itb04g28670 transcript:itb04g28670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPALLDDIITRLLEFRNARTARQVQLSENEIRSLCNASREIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDEIRTLPRPTDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAVMSVDESLMCSFQILRPTDRRPRFI >itb04g28670.t2 pep chromosome:ASM357664v1:4:32322783:32327583:1 gene:itb04g28670 transcript:itb04g28670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPALLDDIITRLLEFRNARTARQVQLSENEIRSLCNASREIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDEIRTLPRPTDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAVMSVDESLMCSFQILRPTDRRPRFI >itb04g28670.t3 pep chromosome:ASM357664v1:4:32322783:32327572:1 gene:itb04g28670 transcript:itb04g28670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPALLDDIITRLLEFRNARTARQVQLSENEIRSLCNASREIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDEIRTLPRPTDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAVMSVDESLMCSFQILRPTDRRPRFI >itb04g28670.t1 pep chromosome:ASM357664v1:4:32322783:32327583:1 gene:itb04g28670 transcript:itb04g28670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPALLDDIITRLLEFRNARTARQVQLSENEIRSLCNASREIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDEIRTLPRPTDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAVMSVDESLMCSFQILRPTDRRPRFI >itb04g28670.t5 pep chromosome:ASM357664v1:4:32322783:32325198:1 gene:itb04g28670 transcript:itb04g28670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPALLDDIITRLLEFRNARTARQVQLSENEIRSLCNASREIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDDKILCMHGGLSPDLTNLDEIRTLPRPTDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVYEPVDSYPHPPQKSSLNQMLFSRLWKMDMNFLLKGSLLQYFLLRTTVVNLIMLAQ >itb05g16370.t1 pep chromosome:ASM357664v1:5:23589183:23590999:-1 gene:itb05g16370 transcript:itb05g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSNNPPAANNTSSAAAAAVKGGDVQESETPAAARISAVKPLSFSSNGVLKRHNSLHHHHNHHAVVVVYKECLKNHAASIGGHAVDGCGEFMPSPTANPADPTSLKCAACGCHRNFHRREPDEPLAPPALEYQPHHRHHPPPPPPPPPHDHSSPNSPSPPPISSSYYPSAPHMLLALSTGLSGHPAADNTTTPISAPSSAHMKGRKRFRTKFTQDQKDKMLDFAERVGWKIQKRDEELINDFCREIGVERGVLKVWMHNNKNTVGKRDNITTTTPPAAAACGGGGGPTENGNSHSLGFDIGRVNSAPLTSDNNGSHSQNHYALHGGGDVLAANGSSSSS >itb15g11200.t1 pep chromosome:ASM357664v1:15:9022197:9028202:-1 gene:itb15g11200 transcript:itb15g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEDQCITHKNFEDCIWFHVSQGFDRKQKFIDILCQITMAGTKDISMMTEEGLPREICERLEYRRRYFVVLDDVRKKEDWDCFKVVFPNFEGSRVLVTSPYGNVVDRNWKSHNLEKLSNEEGWLLLKINAFGTEGCNDEVLESLGKEIADKCNELPLALVSVGGMLRQRRNIADWQRVAENPFLEINQDGQIYRDRVKMTYNDLLDEKLKNCFLYLACFPIGHEIVVWKLIRLWIAEEFIPTLDEQGYALEAEVEAEKYLNHLMDRNLVMVKKRRINGQIKTCCIHNTLHEFCKSEGAMINLFHVMDERQILDENTSSAPHKNISTRRLCFHSCTQRKFDDLIKPYNQRRSLCPFGKHIRSLLLFPSQNSETLSFTKEELATIPNTFPLLRVLNIEFSMDFDYEIQPDELYNLHLLRYLAIKFINLDSLSKSFKNLRGLETLVIETTARTLHIDGGILNMEKLRDVHTNTSLQLPPFPPKRSTTNSRGNGIRTLSIISPTSCTKEIFRKTPNLKKLGARGNLSELKEPKINLLRYGSLKNLKLYGQYDKVLTFPSGFLDGLRLKKLSFFGTFEWKHMEVLSLLEELEVLKLEDYAFQGENWELSNHVVFKHLQYLRIGKMNLRIWKLATENSFPAL >itb15g11200.t2 pep chromosome:ASM357664v1:15:9022197:9025907:-1 gene:itb15g11200 transcript:itb15g11200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEDQCITHKNFEDCIWFHVSQGFDRKQKFIDILCQITMAGTKDISMMTEEGLPREICERLEYRRRYFVVLDDVRKKEDWDCFKVVFPNFEGSRVLVTSPYGNVVDRNWKSHNLEKLSNEEGWLLLKINAFGTEGCNDEVLESLGKEIADKCNELPLALVSVGGMLRQRRNIADWQRVAENPFLEINQDGQIYRDRVKMTYNDLLDEKLKNCFLYLACFPIGHEIVVWKLIRLWIAEEFIPTLDEQGYALEAEVEAEKYLNHLMDRNLVMVKKRRINGQIKTCCIHNTLHEFCKSEGAMINLFHVMDERQILDENTSSAPHKNISTRRLCFHSCTQRKFDDLIKPYNQRRSLCPFGKHIRSLLLFPSQNSETLSFTKEELATIPNTFPLLRVLNIEFSMDFDYEIQPDELYNLHLLRYLAIKFINLDSLSKSFKNLRGLETLVIETTARTLHIDGGILNMEKLRDVHTNTSLQLPPFPPKRSTTNSRGNGIRTLSIISPTSCTKEIFRKTPNLKKLGARGNLSELKEPKINLLRYGSLKNLKLYGQYDKVLTFPSGFLDGLRLKKLSFFGTFEWKHMEVLSLLEELEVLKLEDYAFQGENWELSNHVVFKHLQYLRIGKMNLRIWKLATENSFPAL >itb03g01150.t1 pep chromosome:ASM357664v1:3:603692:604747:1 gene:itb03g01150 transcript:itb03g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGNARVVRLKEHNKGKYLVANNDEKTLKQGRDGSSVNALWTVEVVDGQRYLRLRSCHGKYLTASNMPLIPRGRCQRVVASLPDRKTSSTEWEVEGEGIVRLKTRYGQFLKRNGGIMPWRNSLVHNNRVRRSSLWEIEVVDAEAAAFEEEAAAAAVSHHQRSASDSAIVKSQAFAAIKFPNLAGLKLKRSGQDEGSRDVC >itb14g20280.t1 pep chromosome:ASM357664v1:14:22710931:22711708:-1 gene:itb14g20280 transcript:itb14g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQKLVAVFLFVLVATTEAGVYRDCFDPCLPACIDKGHSWPVCEQHCDVTCTAKAYAAGEFDAMKIKSPGNKDHKKLDELKNAGSNEEQAKSAGSNDQKQLKDEP >itb01g08720.t1 pep chromosome:ASM357664v1:1:6977433:6982719:1 gene:itb01g08720 transcript:itb01g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVFEAALEGDVATLKRLLREDPLVLDKILVSVNCETPLYVAAMLGYHDFANEILIKKPELAKELNSKQSSPLHLAAAKGHVGVVRAILLVDLEMCKGRDRDGLTPLHLAAIKGRVDVLKELINAISVSGLVDMMGVDYEQLGESILHMCVKHSKLEALKTLVEMIGDGGGDFVNYKDSFGNTILHLAVSDKQIETVKFLLMHTTIEVNAENLNGLTAMDILLQTRNDVKEMGIAELLTHKGAKEASQQQQMQSPQSQPSKNKKKNKRKKPIGNNNRKEHWVQKMRDALMVAASLLATMAFQAVVSPPGGLFQSSDTVISESEVQNDPSSFFSFIGNSESSSSSNYNYNDFAPAPAVAQDTETINCTIGKSVMSYYKPPVYNMFLVANTISFLASLSIILLFISGLPLSRKFFMYIMIIVMWVAITGMGLSYFFCIYMITPQWINMNVGLYLYIIGGCLLVGHGVLFLGHVIQMTVKVVKWVYRAMRALKRKLPSTMNSSSMGKDDSAHGIDI >itb04g18300.t1 pep chromosome:ASM357664v1:4:21698549:21699159:-1 gene:itb04g18300 transcript:itb04g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDREGIEHISISVNGINMHVAQAGQGPVVLFLHGFPECWYTWRHQMCFLASQGYRAVASDLRGYGETTGAPNDDPSKFTSLHVVGDLVALISALAAEDEEKVFVVGHDWGAMMAWALCLYRPDKVKALVNMSVCFTPRNPKIKPLETLHAVYGDDYYICRFQLLLHSFQLFKTIHKLISN >itb09g09670.t1 pep chromosome:ASM357664v1:9:5951218:5952138:-1 gene:itb09g09670 transcript:itb09g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETIKLVIAYVNLILGDKHDNEKETPMNYDMQFSPMEIMSMDRRMAMEILSTDRRMEIYTQVVCKTIQPVSVLGTFDQIIKEALSSFKEFSFKFVKQQIFYAKEVVSMSDCKECLIIPSIFIVNVSAYD >itb11g04520.t2 pep chromosome:ASM357664v1:11:2377091:2378653:1 gene:itb11g04520 transcript:itb11g04520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFVLSIANIVVLEIGVPIEDDDSVILSEIRDILLPINPRFSSIMVTGKNGEKNWKPVKVNLEDHLKVPIFPVGKPLEFYDDCLSEYLTKIAMDPLPETRPLWEIHIFRYPTSNAAGNCIFNLHQSLGDGYSLMGALLSCLKRADNPALPLTFPSRERSGKEQGKNSNAVARAFKAVPRFFTGVVDTVTDFSWSVLKGSLIDDDKTAIHSQEDGVEFRPISVTPMAFSLYDLKQIKSSLNVTINDVISGILTYGLRLYMQETDRETCNAECTALVVFNTRAMGGYKSVSEMIKPNAEMPWGNRITFLHLTIPKLRPPAAGDSSNPLRFIYNAHRMVKRQRNSASVYLTSQLLEFSRKLRGPEVCPQTV >itb11g04520.t1 pep chromosome:ASM357664v1:11:2377091:2380139:1 gene:itb11g04520 transcript:itb11g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFVLSIANIVVLEIGVPIEDDDSVILSEIRDILLPINPRFSSIMVTGKNGEKNWKPVKVNLEDHLKVPIFPVGKPLEFYDDCLSEYLTKIAMDPLPETRPLWEIHIFRYPTSNAAGNCIFNLHQSLGDGYSLMGALLSCLKRADNPALPLTFPSRERSGKEQGKNSNAVARAFKAVPRFFTGVVDTVTDFSWSVLKGSLIDDDKTAIHSQEDGVEFRPISVTPMAFSLYDLKQIKSSLNVTINDVISGILTYGLRLYMQETDRETCNAECTALVVFNTRAMGGYKSVSEMIKPNAEMPWGNRITFLHLTIPKLRPPAAGDSSNPLRFIYNAHRMVKRQRNSASVYLTSQLLEFSRKLRGPEATAQFIHGTLRNTSFTMTNMIGPVEEATLANHPVKGMYFAVSGAPLSLLATMVSYVDKLRLTFVTEKDFIDVKKLKSCIESAFDEIFRLALNSSPPRPPSA >itb12g11690.t1 pep chromosome:ASM357664v1:12:10057289:10062696:-1 gene:itb12g11690 transcript:itb12g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLCVIQKWSRRVAAMLPWLVIPLIGLWALSQALPPAFRFEITSPRLACVFVLLVTLFWYEILMPWLSAWRAKRNAMLREKKRVEAIEMQKLRKTATKRCRNCFTPYRDQNPGGGKFMCSYCGHISKRPVLDLPVPQGFDLSNSRILNDLVGKSGKILKGKVRSDSGWICGQDWLENRNWVGGSFQGRSSDWGKKGTGFFVEDHYLPENSCSRVLLFVVKAFAALFMSIMWLYKKIFRIGSSRDDTSTDAEQRGMLDKKGEDGGNFQERKGERARRKAEEKRLARLEKELLEEEERIQREEVARLVEERRRLRDDRMEGEKERGKGPSIKERGSKKAGKKRQEKKKERGRGSSKSNSDAEELEKGPSKECEQNRRSETDQHELHRTRPEFAKAQNADAGHSPKGTNTSNHNHGNAGNHYLDRMRGSFFSSSRTFTGGGFLGKSTNTSNIIKERKSNMSVNHTETFAHRRELPQPDYMSGKATVNVGDTNINRHVFIEPQPSIAPKKSWQQLFTRSSAVSPPSNSNVISRPGGKPETEAQSVQCTNNTPSVQAFYNPFNFGLPSPFTLSSFSFGPKNSNASLPLSSEGLLPQNGEPRSPLLPEESEIFEDPCYVPDPVSLLGPVSESLDNFQLDRGFVADMEPEKMPATKNMNSSSEVSRTLRVSEERHGSSFLSPNTCVVQDLLNVPTDALRIANDAGTWQMWNSSPLGQDGLGLIGGILNQKVNREDLVHYAPQKTMASLFKNDDQVHGTPSLPAFTGSRQSFSTFGTSMPGSKDGRFSSSLFGTFSGGENWHSLTPNEEVLQNDMIYGSHSVCHTNQPLELYSANSSANEGWTLPALHGNGSGAGSSPARSSFLEAHRQDPLLVASTPPQMYSLFGHTTEKIKK >itb05g07730.t1 pep chromosome:ASM357664v1:5:10183870:10184139:1 gene:itb05g07730 transcript:itb05g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPNTPTTTNHHELPPSSFFGLAPSSHSVSQRDQNPNFGVTFSLSTQQQPTENFGEAAPQRRFLRQRRRTSAMDLRLLPWRTFEAGS >itb02g14120.t2 pep chromosome:ASM357664v1:2:10322283:10324314:-1 gene:itb02g14120 transcript:itb02g14120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNGIVSANFKNPLLSFGVISDVQYADIPDGRSFRGVPRYYRHSVLVLQRAVQKWNQQKHNFVLNFGDIVDGFCPRNQSLTAVKKLVDEFDRFEGPVYHMIGNHCLYNLPRDNLLPLLRVPGYGGYAYYDFSPIPEFRFVILDCYDISAIGWPKDHPNTLKALKLLSEKNPNLDKNSPDGLVGLERRFLMFNGAVGNEQLEWLDRVLQEATKANQKVIICCHLPLDPGASSNEALLWNYNEVMDVIYRYNCVKVCIAGHDHKGGHSIDSHGIHHRVLEAALECPPGTDAFGYIDVFDDKLSLFGTDRMKSTDMIFSR >itb02g14120.t1 pep chromosome:ASM357664v1:2:10322139:10324596:-1 gene:itb02g14120 transcript:itb02g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNGIVSANFKNPLLSFGVISDVQYADIPDGRSFRGVPRYYRHSVLVLQRAVQKWNQQKHNFVLNFGDIVDGFCPRNQSLTAVKKLVDEFDRFEGPVYHMIGNHCLYNLPRDNLLPLLRVPGYGGYAYYDFSPIPEFRFVILDCYDISAIGWPKDHPNTLKALKLLSEKNPNLDKNSPDGLVGLERRFLMFNGAVGNEQLEWLDRVLQEATKANQKVIICCHLPLDPGASSNEALLWNYNEVMDVIYRYNCVKVCIAGHDHKGGHSIDSHGIHHRVLEAALECPPGTDAFGYIDVFDDKLSLFGTDRMKSTDMIFSR >itb15g02910.t1 pep chromosome:ASM357664v1:15:1856440:1865082:-1 gene:itb15g02910 transcript:itb15g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTVPEQYDSRFNYRKAEAESPRPPSNGGDIGFGGSDRRFAFSRQASFQQSSSFSKPLLTRNVSSIDIPDIYTRDSFGKGSEESKISDEKFSASSFLSAIYRGVASGNKPMRRLFALISLNVAYSTVELFIGLFSGRVGLVSDAFHLTFGCGLLTFSLFAIAASRTKPDRTYTYGYKRLEVLSAFTNALFLLFLSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARINLVYRKAEDMNYHSVCLHVIADSVRSAGLILASWLLTLGVKNAEVLCMGLVSGTVFMLVMPLFKATAGVLLQMAPPNIPSSALNKCWRQVASHDGVTELFQVRLWEMVPGHAIGSISLQVKKGVDDRPVLQYVQNLYHDLGVQDLTVQIEA >itb08g01770.t3 pep chromosome:ASM357664v1:8:1427011:1429355:1 gene:itb08g01770 transcript:itb08g01770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKANEPPESQHSLQDQQGAAAADAGNNLRRSLSVKEILTKLRRYGISGVLSYGLLNTAYYLMTFLVVWIYVAPAPVKMGYFAFVKRFFKILAMVWAGSQVTKLARAGGALALAPFVDRGLAWFTAKFGFKSQGKAFMAVVGFCFALAVIVFLMVTLLWA >itb08g01770.t1 pep chromosome:ASM357664v1:8:1425790:1429355:1 gene:itb08g01770 transcript:itb08g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPWLTAPRPLLRNSASPYLLLLPRNQKPGIPGAVDARRKKILYGNHLLRLHCSSDSDNNANEPPESQHSLQDQQGAAAADAGNNLRRSLSVKEILTKLRRYGISGVLSYGLLNTAYYLMTFLVVWIYVAPAPVKMGYFAFVKRFFKILAMVWAGSQVTKLARAGGALALAPFVDRGLAWFTAKFGFKSQGKVNEFGVRILQFYSL >itb08g01770.t2 pep chromosome:ASM357664v1:8:1425790:1429355:1 gene:itb08g01770 transcript:itb08g01770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPWLTAPRPLLRNSASPYLLLLPRNQKPGIPGAVDARRKKILYGNHLLRLHCSSDSDNNANEPPESQHSLQDQQGAAAADAGNNLRRSLSVKEILTKLRRYGISGVLSYGLLNTAYYLMTFLVVWIYVAPAPVKMGYFAFVKRFFKILAMVWAGSQVTKLARAGGALALAPFVDRGLAWFTAKFGFKSQGKAFMAVVGFCFALAVIVFLMVTLLWA >itb08g01770.t4 pep chromosome:ASM357664v1:8:1427011:1429355:1 gene:itb08g01770 transcript:itb08g01770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKANEPPESQHSLQDQQGAAAADAGNNLRRSLSVKEILTKLRRYGISGVLSYGLLNTAYYLMTFLVVWIYVAPAPVKMGYFAFVKRFFKILAMVWAGSQVTKLARAGGALALAPFVDRGLAWFTAKFGFKSQGKVNEFGVRILQFYSL >itb01g25880.t1 pep chromosome:ASM357664v1:1:31187018:31192128:1 gene:itb01g25880 transcript:itb01g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLFNKLSIIFGPRPPLNWLLLLLVSIFGLISLLGSSSSSAFDSVTSSKPNIYTNYRRLKEQAAGDYLELRSISHGSNRIKNVGICSKDRENYVPCYNVSANLLSGFKDGEEFDRYCEVSQDLQDCLVRPPKDYKIPLTWPAGRDVIWSGNVKLTKGHFLSSGGMTKRLMLLEENQIAFRSDDGAVVDDVKDYSHLIAELIGLGSDTELLQAGVHNVLDIGCGFGSFGAHLLSLKLMPLCVAAYESSSSQVQLALERGLPAVIGNFIANQLPFPSLSYDMVHCAQCGIIWDKKDGLFLIEADRILKPGGYFVLTSPTSQQNGNFISSKKGRLSTPFEKFSREICWNLLAQQEETFIWQKTVDSQCTRKQGAVPICIHPEDFYEDLEFWRSSLRNYWSLLSPLIFSDHPKRPGDEDPLPPYNMVRNVMDMNAYYGGLNAALSEAGKSVWVMNVVPLGVRNTLPLILDRGFAGILHNWCESFPTYPRTFDMLHANGLLSHLSSQRCSMIDLLFEMDRILRPEGWVIISDKLGHIEKARMFATQIRWEARVIDLQNGSDQRLLTNLLPLPQTNIIKETTLFVGGRELHNKASKFGAVFLEVEEQGGDISFQKVLMIRTSAAETTPA >itb08g07680.t1 pep chromosome:ASM357664v1:8:6579968:6581489:-1 gene:itb08g07680 transcript:itb08g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPLGLFCLVVFGSHLGTATIERTTLTLFSLFFIIKAFAAGVILSIDAFETLTSHQPFPAHGAFPAGRVGEGVKSFGQDVEKAGGRDHTGGKRLDDEERTGCSPATKPPCQSTERDAQSSGEEATVRDQIIRLANKIKPTKTKNLFVARGRFN >itb03g10310.t2 pep chromosome:ASM357664v1:3:8145884:8150400:-1 gene:itb03g10310 transcript:itb03g10310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSSKGERSEALRLCKERKRFIKEAIDSRYALAAAHVSYVQSLRNIGIGLGRYAEAEVLIEPSISIPPTDLDKTPSHLSYPSPSPPQIPGGASDSPVLNGSPVSPLTARLSYMKSGGTSAVTVKVATPVKNMYVEDGGFQMPPPPPPPMECGSSWDFFDPAGNSESFRFAVDNDGCMSFDDMEMNGEKDIDCGDMAQEEFMTPNSDTRSPRYSKLEVDNSHPVTPQGVKSNSHNGLGKSSAAANMLGKAGLQQSGSKGDKSLVGKDMYAEREDPSEFITHRAKDFLSSVKDIENQFFRASESGKEVSRMLEASKIRVGYSEAKGKLSASIYLTSIGGACCRRGTANTSEGEHGHGTKVITWKRTTSSRSSSSRNPLNAKDDNDDSGSDFVEDFCMIAGSHSSTLDRLYAWERKLYDEVKAIELIRREYDRKRVQLRHQFAKDLSSQVIDKTRAVVKDLHSRIRVALYAVDSISKRIEKMRDEELLPQLLELIQGLIRMWRAMLECHHTQYITISLAYHGKATLGRSSPRGEDQKQIVAQLLDEVECFGLSFADWINSQTSYVEALNGWLQNCILQPPERKSRRAFSPRRVVAPPIFALCRDWSAGIQSLPSRELNDAIRAFLYELRHSGEQRHEEQCNKEATATVDSSNNEEIVEKDGDKKHERCSNLSRMHASLTIVLDRLNKFSEASLKMCEDVRQKCDEAINAYVNYRPPQRSFSV >itb03g10310.t1 pep chromosome:ASM357664v1:3:8145884:8150400:-1 gene:itb03g10310 transcript:itb03g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSSKGERSEALRLCKERKRFIKEAIDSRYALAAAHVSYVQSLRNIGIGLGRYAEAEVLIEPSISIPPTDLDKTPSHLSYPSPSPPQIPGGASDSPVLNGSPVSPLTARLSYMKSGGTSAVTVKVATPVKNMYVEDGGFQMPPPPPPPMECGSSWDFFDPAGNSESFRFAVDNDGCMSFDDMEMNGEKDIDCGDMAQEEFMTPNSDTRSPRYSKLEVDNSHPVTPQGVKSNSHNGLGKSSAAANMLGKAGLQQSGSKGDKSLVGKDMYAEREDPSEFITHRAKDFLSSVKDIENQFFRASESGKEVSRMLEASKIRVGYSEAKGKLSASIYLTSIGGACCRRGTANTSEGEHGHGTKVITWKRTTSSRSSSSRNPLNAKDDNDDSGSDFVEDFCMIAGSHSSTLDRLYAWERKLYDEVKAIELIRREYDRKRVQLRHQFAKDLSSQVIDKTRAVVKDLHSRIRVALYAVDSISKRIEKMRDEELLPQLLELIQGLIRMWRAMLECHHTQYITISLAYHGKATLGRSSPRGEDQKQIVAQLLDEVECFGLSFADWINSQTSYVEALNGWLQNCILQPPERKSRRAFSPRRVVAPPIFALCRDWSAGIQSLPSRELNDAIRAFLYELRHSGEQRHEEQCNKEATATVDSSNNEEIVEKDGDKKHERCSNLSRMHASLTIVLDRLNKFSEASLKMCEDVRQKCDEAINAYVNYRPPQRSFSV >itb06g12900.t1 pep chromosome:ASM357664v1:6:17476999:17481908:-1 gene:itb06g12900 transcript:itb06g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKVETISRMAQWRIENFGSSTYKRSDPFKIGIWNWHLSVEKNRYLYIRLFPEPSRTSKEQPPAAKFVLRVTAPSTNRRPLVSQVYERVLRSSDDFVWPIDSNLQGYFVIDVEFHDLKINQKNGEGTSVWPSDSTMQSLATQTTLRCLSRLLLESIHSDVTINTADGSLQAHKAILSASSPVFHSMFLHNLREKESSTIDIEDMSTDSCMALLGYIYGTIKQEDFWKHRLALLAAANKYDMSDLKDYCEESLLEDINTGNVLERLQEAWIYQLHKLKKGCLTYLLDFGKIYDVKEEMNNFFRQADRELMLEMFQEVLQVWRPA >itb11g06980.t1 pep chromosome:ASM357664v1:11:4225065:4230084:-1 gene:itb11g06980 transcript:itb11g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILGAFKPACHISVTLTDGKSRKQIPLKKENGQTVMVPLFQSQENIAGKISIEPISGKKVEHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGELYDRKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTISRGYGGSIVEYQDFVVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYLNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMCRIAEIS >itb10g26000.t1 pep chromosome:ASM357664v1:10:29248576:29253223:1 gene:itb10g26000 transcript:itb10g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLMADYPQAYMDRRPKKRARLDWDPSHTPKAQPGIYYGQEVGTVSSYAHGRVLSHHENVYVKELAQKGSPPWRDDDKDGHYIFELGENLTTRYKILRKIGEGTFGQVLECWDREQKELVAIKIVRSIKKYREAAMVEVDVLQLLGRYDRSGSRCVQLRNWFDYRNHICLVFEKLGPSLFDFLWKNNYRSFPVDLVREIARQLLECVAFMHDMRLIHTDLKPENILFVSPDYIKVPDYKDYKFPPWPPRDGSFYKRLPKSSAIKVIDFGSTAYERQDHNYIVSTRHYRAPEVILGLGWSYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPLHMLKRADRHAEKYVKRGRLDWPEGATSRDSIKSVMKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPSIRMTARNALKHPFFTCDQLRRS >itb10g26000.t2 pep chromosome:ASM357664v1:10:29248576:29253223:1 gene:itb10g26000 transcript:itb10g26000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METDLMADYPQAYMDRRPKKRARLDWDPSHTPKAQPGIYYGQEVGTVSSYAHGRVLSHHENVYVKELAQKGSPPWRDDDKDGHYIFELGENLTTRYKILRKIGEGTFGQVLECWDREQKELVAIKIVRSIKKYREAAMVEVDVLQLLGRYDRSGSRCVQLRNWFDYRNHICLVFEKLGPSLFDFLWKNNYRSFPVDLVREIARQLLECVAFMHDMRLIHTDLKPENILFVSPDYIKVPDYKDYKFPPWPPRDGSFYKRLPKSSAIKVIDFGSTAYERQDHNYIVSTRHYRAPEVILGLGWSYPCDMWSVGCILVELCSVY >itb04g18270.t1 pep chromosome:ASM357664v1:4:21682924:21683366:-1 gene:itb04g18270 transcript:itb04g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYTSTPENFKTLANCRSEGVSKAVTNLAVVMPSPSSLPPSCRSWLPTLKWCVDEDVARRTSEATNQDGLSKKDYKVIVCHTKPPFARELENLRLGLRSGRKL >itb01g12490.t2 pep chromosome:ASM357664v1:1:12017209:12019187:1 gene:itb01g12490 transcript:itb01g12490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENMSPSTSLAKCCDCECSCSLMNGQFSGEWLRSVKRKYDEVDDATLKVILPQNARIEVQDECSALREMVSSQQQAIQDLSVELDEERNAASSAANEAMSMILRLQREKAEAQMEFRQFKRFTEEKVAHDQQELASLENLLYTRDQVIQSLECEVRMYKHRIMSYGFTEAEAEAEARGGHLSWNDGAAEGSDDYPLIKCFDQNLVNYGLNNGGNEAMDVEERVFGETPRSQHQFQDLECRIVQLEKSPRISHPDAEFNDNNVLDKVAIGQSPRRPRHLREISTDSSATFIATNKDMDDEFVAQSPMFGGNSRKIGVSEAHECSNLRKVNTSTKVEDDDDTGDRVYTIDSIHQGAGYNGVTNPKTPVKIADDYTTTPRYSLNYTDMEDSDMKKLYARIQALEADKESMRQTIMSMRTEKAQLELLKEIAQQLYKDMSPAARRAPVRKTSINGSFSFFSLFKVL >itb01g12490.t1 pep chromosome:ASM357664v1:1:12017158:12020871:1 gene:itb01g12490 transcript:itb01g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENMSPSTSLAKCCDCECSCSLMNGQFSGEWLRSVKRKYDEVDDATLKVILPQNARIEVQDECSALREMVSSQQQAIQDLSVELDEERNAASSAANEAMSMILRLQREKAEAQMEFRQFKRFTEEKVAHDQQELASLENLLYTRDQVIQSLECEVRMYKHRIMSYGFTEAEAEAEARGGHLSWNDGAAEGSDDYPLIKCFDQNLVNYGLNNGGNEAMDVEERVFGETPRSQHQFQDLECRIVQLEKSPRISHPDAEFNDNNVLDKVAIGQSPRRPRHLREISTDSSATFIATNKDMDDEFVAQSPMFGGNSRKIGVSEAHECSNLRKVNTSTKVEDDDDTGDRVYTIDSIHQGAGYNGVTNPKTPVKIADDYTTTPRYSLNYTDMEDSDMKKLYARIQALEADKESMRQTIMSMRTEKAQLELLKEIAQQLYKDMSPAARRAPVRKTSINGSFSFFSLFKWIIPFAFWRKRTRRCKYPFGISGNNPGLLLLLDKGPRVGQWRCLTSTQV >itb05g06080.t1 pep chromosome:ASM357664v1:5:6109108:6112572:1 gene:itb05g06080 transcript:itb05g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPVTKYLGLLATGITADARTLVQQARNEAAEFRFRYGYEMPVDVLARWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLFKCDPAGHFFGHKATSAGVKEQEAINFLEKKMKNDPAFSYEETVQTAISALQSVLQEDFKASEIEVGVVRQEDPSFRVLSTEEIDEHLTAISERD >itb11g04450.t1 pep chromosome:ASM357664v1:11:2331452:2336815:1 gene:itb11g04450 transcript:itb11g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MAVISKISADATATATSVEDPLVPWLWSIKKALDSQSSGGSNSDEDLDRIVSDCVQTFKTDPRYQNDVRFLQIWFLYMDGSSDYDSVFREMLQNKICLSNCMLYEKYALLLEAKCRLIDAFMVYHLGISRNAEPLGRLKKAQVLFLERVSEFRMANGSLQKDTQSENGEPCVNPWLISVIKNLLQKLNPQILKYDGYHLSKKAYPGKVSLSTLLKSGRNKTIDLGGFAYQIKGCAGKGGFAQVFKAYFDSNPDEVVALKIQKPAFPWEFYMYRQLDIRIPQKERLNFGFAHRLHLYSDYSILVSDFVAHGTLQDAINSNAVIGGSMEEILCIYYTTEMLCMLETLHGAAIIHGDFKPDNLLIRYARDDLTEDKEALCNRTGPWHDQGLCLVDWGRGIDLSLFPEKTQFIGDCRTSGFRCIEMQENKPWTFQVDTYGLCVIVHMMLYNSYMEIDKRTARGGGGYIYQPKSHLKRYWNIKLWENLFTKLLNPDPNEDHQTLLKNLRESFQDYMCSDPQLLKRLRQLLLKQKSSLCSS >itb09g24660.t1 pep chromosome:ASM357664v1:9:24472896:24473195:-1 gene:itb09g24660 transcript:itb09g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRVGGEDVEVSADSAEGMEDLRGDLEAADKGLAMRNMRRCQRLRLLQRPGSRPRDSTADGGTTSSISSPCQITMTFWYTGSSLASGSTIFRRMQNR >itb08g07230.t1 pep chromosome:ASM357664v1:8:6194241:6194549:1 gene:itb08g07230 transcript:itb08g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVGGSGSASPPSEFNLNKCDRLFKALTDCHRRIRGSLDRDIACRHLNRSLAQCLVAEACPDESDAVRSLCSSGGTALKRHQCRQAQVALSVCIASHQPP >itb11g03710.t1 pep chromosome:ASM357664v1:11:2014686:2015545:-1 gene:itb11g03710 transcript:itb11g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENDEHIETILNLFDSFWFEHEILTLKSSNSQETIVEEPAAANNLCRTPTLMVRSLSEQCLSSKERLESQSQTHSPKSVLSGSPKLHPVLSGKEYLEFPESNVRNDTVSPGFSRRRKTRSLSELEFEEVKGFMDLGFVFSDEDKDSTLGSIIPGLQRLGVREGGDDDDKGGAMVSRPYLSEAWSVMEEERKPLMKTWRIPAFGSSEMEIKDQLRCWAHKVASNVVI >itb08g08620.t1 pep chromosome:ASM357664v1:8:7612530:7616701:1 gene:itb08g08620 transcript:itb08g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFRSILPCCAAVLLLAACSAVGEWETCSGIVPMKERSNRISIADFGGVGDGKTLNTKAFMEAIYEIQHLNRSGGTLLYIPAGVFLTGPFNLTSHMTLYLDKDAVIKATQDTTNWPLIPPLPSYGRGRELPGERYVSFIHGDGLRDVIITGENGTIDGQGDVWWNMWRQRTLQFTRPNLIELMNSRAIIISNVIFKNSPFWNIHPVYCSNVVVRYVTILAPTDSPNTDGIDPDSSSHVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSHGITIRRVTGSSPFAGIAVGSETSGGVTDVLAEHITLSNMGVGIHIKTNIGRGGVIKNISFSNVYMEKTRTGIRIAGDVGGHPDEKFDPNAIPIVQDIKIRDVWGEQVLQPGWIRGIAKSPFTNICLSNIHLNGPKSPRNPPWKCSDAVGAAVKVSPSPCTELTRDQSGACFSPF >itb05g03510.t1 pep chromosome:ASM357664v1:5:2991320:2992350:1 gene:itb05g03510 transcript:itb05g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKDGKRKKHKKPKHQHPNDQTSKTSSSDFAFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLRLLSLPPPSAAGDDAPFPSTAAFLPTNFTILAHHAWHTLTLGLGTRKSKVVLFVFESENLRATVERQWPPELPLGEVNKKLIRGLSGCDAARFKFRKGCITFYVYAVRRSAGGGLPAGFSCADDLRTILQSVVALKDFLDHTAMLALPNQRSINYEAPPQHVAMAH >itb06g17940.t1 pep chromosome:ASM357664v1:6:21703639:21706850:1 gene:itb06g17940 transcript:itb06g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMNPVDNFMNETEKLLDPQLWHACAGGIVEMPEVNSKIIYFPQGHAEHFKKSVDFGMSGRIPPLILSRVSAVKYLADSETDEVYAKIGLVPLGGSGGHDVYDDGGFLGVVKYKSEEKPNSFSKTLTQSDANNGGGFSVPRYCAETIFPLLDYSDDPPVQTIFVKDVHGETWQFRHIYRGTPRRHLLTTGWSNFVNRKKLIAGDLVVFVRKENGDIHVGIRRARRRIGRGPEACSRWQTGTGSYVGSMNRVFSGNFSEGDEKFVQNDRTEISGVGTGGRSDVLIESVTEAALLAASGQPFEVLYYPLACTPEFVVRASTVRDAMRIKWCLGMRVKMPSETEDPSRISWFMGTVSSVEAEDPIKWPDSPWNLLQVAWDEPDLLQNTKRVSPWLVELVTDVSPVLQPHLSPPRKKLRLPQPLALVGQLPAPSLFCNPVIPSSLLSYVSDNIPTGIQGARHAQCGLSSKDLHFNKMNSELFPFGFREVGGTILPNTLTGNVMASSENEENVSCLLTSPSLKKNNEEKIPAFMLFGKPIFTEQQMPNSCSGETIGKSLSNSNQEKTKNILDSPGSAVLQNGPLDNSSDGVFPFYKTQKSEPGFGTGHCKVFIASEDVEQTLESSLSSFNELYKKLVNVFGIETTEMFNSALYQDATGAVKHTRDEPFSEFMKRA >itb09g14150.t1 pep chromosome:ASM357664v1:9:9366486:9371353:-1 gene:itb09g14150 transcript:itb09g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSTAPKPINNPFLFVLPQPLSPIYPLSRMNGNGLKLDLKLNLSPPRVSHPMVVSPPIRSSTVSPSSSCVSSENQEDGRRFCSSPEAASMVLVGCPRCLMYVMLAEGEPKCPKCKIFLLVHSRFVRNSISAKPHCCLFHASVCAYRRSFFAPTVKKGEDLEIIICRWNARFGDWFVLVEDSSLYFFPAMGIILFGFFFVRVFRFLGGSGEGRGSVLVAAGWGFRLVGFPAGVGLGVVVFDFYGVVGFCFRGCGGGGFRLGSGLGKGTIIEKLTEETLRYWNHLTELLSICEAQRQIGEAYLNETSSRSHQILRVVSVGQRLKEGCHINHMKHKLLVMKMK >itb09g14150.t2 pep chromosome:ASM357664v1:9:9366486:9371353:-1 gene:itb09g14150 transcript:itb09g14150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSTAPKPINNPFLFVLPQPLSPIYPLRMNGNGLKLDLKLNLSPPRVSHPMVVSPPIRSSTVSPSSSCVSSENQEDGRRFCSSPEAASMVLVGCPRCLMYVMLAEGEPKCPKCKIFLLVHSRFVRNSISAKPHCCLFHASVCAYRRSFFAPTVKKGEDLEIIICRWNARFGDWFVLVEDSSLYFFPAMGIILFGFFFVRVFRFLGGSGEGRGSVLVAAGWGFRLVGFPAGVGLGVVVFDFYGVVGFCFRGCGGGGFRLGSGLGKGTIIEKLTEETLRYWNHLTELLSICEAQRQIGEAYLNETSSRSHQILRVVSVGQRLKEGCHINHMKHKLLVMKMK >itb06g18950.t2 pep chromosome:ASM357664v1:6:22456582:22465273:-1 gene:itb06g18950 transcript:itb06g18950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLAYYKRKPQDNVVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYNRITMAAFNIQEALIWKEKIESVIDQHQDSQTTNGNKYVSFEYKSGADNGRNGSSSDHESQFSAAEEEDEPSSHSLLRRTTIGNGPPESVFDWTKEIDTDLANQNANNQAFSRKYWRLLQCQNGLRIFEELVDVDILPKSCSRAMKAVGVVEASCEEIFELVMSMDATRFEWDCTFQYGSLVEEVDGHTAILYHRLQLDWFPMLVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYIRAHIESGGYNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREYFSQTDERSAAPRIPVMVNMTSASVSSKRKQKLHESSLHHHRSPSLDQMSAANRNVSMLDEYSDEDEEYQVADQDVEPPSLEDEMKKNVEEEPRDQIDLSIFSGNLRRDDRDNARDCWKLSDGNNFRVRSRSFCFDKSKIPAGKHTMDLVAVDWFKDTKRMDHVARRRGCAAQVDCAEKKK >itb06g18950.t3 pep chromosome:ASM357664v1:6:22453430:22465004:-1 gene:itb06g18950 transcript:itb06g18950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLAYYKRKPQDNVVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYNRITMAAFNIQEALIWKEKIESVIDQHQDSQTTNGNKYVSFEYKSGADNGRNGSSSDHESQFSAAEEEDEPSSHSLLRRTTIGNGPPESVFDWTKEIDTDLANQNANNQAFSRKYWRLLQCQNGLRIFEELVDVDILPKSCSRAMKAVGVVEASCEEIFELVMSMDATRFEWDCTFQYGSLVEEVDGHTAILYHRLQLDWFPMLVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYIRAHIESGGYNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREYFSQTDERSAAPRIPVMVNMTSASVSSKRKQKLHESSLHHHRSPSLDQMSAANRNVSMLDEYSDEDEEYQVADQDVEPPSLEDEMKKNVEEEPRDQIDLSIFSGNLRRDDRDNARDCWKLSDGNNFRVRSRSFCFDKSKIPAGKHTMDLVAVDWFKDTKRMDHVARRRGCAAQVASEKGLFSLIVNLQVPGSTHYSMVFYLVTKALLPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLIQGNTPDELPERLLGAVRVSHIELKSAIVPSLEGVEADALE >itb06g18950.t1 pep chromosome:ASM357664v1:6:22453430:22465273:-1 gene:itb06g18950 transcript:itb06g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLAYYKRKPQDNVVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYNRITMAAFNIQEALIWKEKIESVIDQHQDSQTTNGNKYVSFEYKSGADNGRNGSSSDHESQFSAAEEEDEPSSHSLLRRTTIGNGPPESVFDWTKEIDTDLANQNANNQAFSRKYWRLLQCQNGLRIFEELVDVDILPKSCSRAMKAVGVVEASCEEIFELVMSMDATRFEWDCTFQYGSLVEEVDGHTAILYHRLQLDWFPMLVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYIRAHIESGGYNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREYFSQTDERSAAPRIPVMVNMTSASVSSKRKQKLHESSLHHHRSPSLDQMSAANRNVSMLDEYSDEDEEYQVADQDVEPPSLEDEMKKNVEEEPRDQIDLSIFSGNLRRDDRDNARDCWKLSDGNNFRVRSRSFCFDKSKIPAGKHTMDLVAVDWFKDTKRMDHVARRRGCAAQVASEKGLFSLIVNLQVPGSTHYSMVFYLVTKALLPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLIQGNTPDELPERLLGAVRVSHIELKSAIVPSLEGVEADALE >itb11g00190.t1 pep chromosome:ASM357664v1:11:83176:85781:1 gene:itb11g00190 transcript:itb11g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKTPKFAVMKKIVTHKAIKQHKEEVLNPKKKDLSTEKLPRNVPYVSSALFFKYNTALGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCLVDRVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITQHKYSIERMPEATIGGAPRT >itb02g14510.t6 pep chromosome:ASM357664v1:2:10573046:10580242:-1 gene:itb02g14510 transcript:itb02g14510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQVFIRWWDDAFV >itb02g14510.t12 pep chromosome:ASM357664v1:2:10573046:10578656:-1 gene:itb02g14510 transcript:itb02g14510.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCRRKFC >itb02g14510.t1 pep chromosome:ASM357664v1:2:10572685:10580286:-1 gene:itb02g14510 transcript:itb02g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCRRKFC >itb02g14510.t2 pep chromosome:ASM357664v1:2:10572685:10580286:-1 gene:itb02g14510 transcript:itb02g14510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCRRKFC >itb02g14510.t5 pep chromosome:ASM357664v1:2:10572685:10578656:-1 gene:itb02g14510 transcript:itb02g14510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCRRKFC >itb02g14510.t7 pep chromosome:ASM357664v1:2:10573046:10580209:-1 gene:itb02g14510 transcript:itb02g14510.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQVFIRWWDDAFV >itb02g14510.t11 pep chromosome:ASM357664v1:2:10573385:10580242:-1 gene:itb02g14510 transcript:itb02g14510.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCVSKFWKIRLF >itb02g14510.t4 pep chromosome:ASM357664v1:2:10573046:10578656:-1 gene:itb02g14510 transcript:itb02g14510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQVFIRWWDDAFV >itb02g14510.t9 pep chromosome:ASM357664v1:2:10573046:10580185:-1 gene:itb02g14510 transcript:itb02g14510.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQVFIRWWDDAFV >itb02g14510.t10 pep chromosome:ASM357664v1:2:10573385:10580106:-1 gene:itb02g14510 transcript:itb02g14510.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCVSKFWKIRLF >itb02g14510.t3 pep chromosome:ASM357664v1:2:10572685:10580286:-1 gene:itb02g14510 transcript:itb02g14510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKERHSDASTNESISDIDDSCNPSRQNSSTFQGLAPVHEEARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQGGGMMHLFDVIQGNLVTRCFSYMARSLISLILTLLTFIRILPLEYWRGHTNIRPYNALEERQDTHSSSSGGAVNEEDQMHPCFQRLQKLEQLLDELNKKPANIPLEKDKLIHQSLDRIKSVESDLGKAKMELRSTVIKQNEITELLENIRESRFCRRKFC >itb02g14510.t8 pep chromosome:ASM357664v1:2:10573046:10580242:-1 gene:itb02g14510 transcript:itb02g14510.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMELYDEPRERKSNFENLEEERRRCGIGVLKKKAKNASGKFTRSLKKRGKRRIDYRVPSVSIEDARDAKEESAVYELRQRLGSQELLPVRLDDYHTLLRFLKSRDFNIDKTVHMWEDMLNWRKEYGADTILEDFDFEELEEVLQYYPQGYHGVDKEGRPIYIERLGQAHPNKLMKITTIERYLKHHVQDFERVIHEKFPACSIASKRRICSTTAILDVQGLGMKNFTMTAASLLSAMAKIDSSNYPETLNQLFIVNAGPGFRRVLWPAAQKFLDAKTIAKSQVLEPKDLNKLLEVIEPSELPDFLGGSCACPVEGGCLRSCKGPWSDPDIMKLVYNAEATMAGQITRGCLGQQKIDLYVHIRTMKARAFALTPGYSCNDHVSQRHRDIDSEERLEHCEDHSAGRNINDFPAYARSNSQVFIRWWDDAFV >itb12g22910.t1 pep chromosome:ASM357664v1:12:24817487:24822959:-1 gene:itb12g22910 transcript:itb12g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIWTAFELLLVLALFVAFGLAAAIVFETFRRRFNHTHLDAPPVFEDPNSLKPVRCPHIVDDPAEKYLSLIIPAYNEENRLPGALDETLNYLQQRAAKDKSFTYEVIIIDDGSADGTRRVAFEYVKRHTVENVRVILLGCNHGKGEAIRKGMLHSRGALLLMLDADGATKIDDLEKLENQILAVARKEHQFGDSAASDSSLRISDVSVAAFGSRAHLEEKALATRKWYRNFLMKGFHIVVLLAAGPGIRDTQCGFKMFTRAAARKLFLNIRLKRWCFDVELVYLCKWFRVPVIEMSVNWTEIPGSKLSFYVASPPGSFLEFCSLGMTLQQPTDWQGKKKRKQTTMDAPLLHEFKRKASFFLREKINTARLALTDVTPAEILTEEATNGDLGAANVQVMRLIARAAFEVDDYWRIVDILHKRLMKFSKRNWRESYKALTLLEYLLTHGPESVADEFQSDEEVITEMITFQYVDEKGFNWGSSVQKKSERVIRLLEDRSYLKEERTRERKVTIGINGFGSFCKNSVSEEETMKDATSERYMRCNSHFCKNSISEEGNMESKTMFLNKQIQSHGEYHKIAYENDQNGWGLTSARDHHPFFEKGSHSRISLLSSTT >itb09g11680.t4 pep chromosome:ASM357664v1:9:7288299:7291781:-1 gene:itb09g11680 transcript:itb09g11680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTASNSARTSGSVDMSRVLDVKPLKCLVPVFPSASGMSPVGSPQPSPFVCVPPSGPFPPGVSPFYPFLSHESGGRPAQQGSFGHPISPVPLNSFRTPMANGSSVKSKRTTRRVVEDDGYSDSQNQSDFGMQGNDDEDTSTGGRRGKSQKRGRRGSEMDDSSIEAYFEPMLNNLLESFKLTDLDMYKKADGDKELVGRILLAFNLLRRKMTQVEEKRETGAGASRRPDLKSGTMMMTKGIRTNQTKRVGNVPGVEVGDIFFFRMELCLVGMHAPSMAGIDYMSVKLSMDDEPIALSIVSSGGYDDEGEDSDVLIYTGQGGVQRRDGQMFDQKLERGNLALEKSLHRANDVRVIRGVRDYTTTAGKIYVYDGLYKIQESWAEKNKSGCNVFKYKLVRVPGQPEAYTLWKSIQQWKDGVAVRSGVILPDLTSGAESQPVCLVNDVDDEKGPAYFTYIPTLKDPKPFLMPKHSLSCRCVGGCQPGDSNCCCLQKNEGFLPYSALGVLMTYKTLIRECGPSCSCAPNCRNRMSQAGLKVRLEVFKTKNRGWGLRSWDPIRAGGFICEYAGEVIDASRAGEYGSESGDNYIFDATRNYPPLEVVRDSHEESTKIPFALVISAKNGGNVARFMNHSCSPNVFWQPVVRENNNEAYYHIAFFAIKHIPPMQELTFDYGMVPSDKADRRRKKCLCGSANCRGYFY >itb09g11680.t3 pep chromosome:ASM357664v1:9:7289043:7292245:-1 gene:itb09g11680 transcript:itb09g11680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTASNSARTSGSVDMSRVLDVKPLKCLVPVFPSASGMSPVGSPQPSPFVCVPPSGPFPPGVSPFYPFLSHESGGRPAQQGSFGHPISPVPLNSFRTPMANGSSVKSKRTTRRVVEDDGYSDSQNQSDFGMQGNDDEDTSTGGRRGKSQKRGRRGSEMDDSSIEAYFEPMLNNLLESFKLTDLDMYKKADGDKELVGRILLAFNLLRRKMTQVEEKRETGAGASRRPDLKSGTMMMTKGIRTNQTKRVGNVPGVEVGDIFFFRMELCLVGMHAPSMAGIDYMSVKLSMDDEPIALSIVSSGGYDDEGEDSDVLIYTGQGGVQRRDGQMFDQKLERGNLALEKSLHRANDVRVIRGVRDYTTTAGKIYVYDGLYKIQESWAEKNKSGCNVFKYKLVRVPGQPEAYTLWKSIQQWKDGVAVRSGVILPDLTSGAESQPVCLVNDVDDEKGPAYFTYIPTLKDPKPFLMPKHSLSCRCVGGCQPGDSNCCCLQKNEGFLPYSALGVLMTYKTLIRECGPSCSCAPNCRNRMSQAGLKVRLEVFKTKNRGWGLRSWDPIRAGGFICEYAGEVIDASRAGEYGSESGDNYIFDATRNYPPLEVVRDSHEESTKIPFALVISAKNGGNVARFMNHSCSPNVFWQPVVRENNNEAYYHIAFFAIKHIPPMQELTFDYGMVPSDKADRRRKKCLCGSANCRGYFY >itb09g11680.t2 pep chromosome:ASM357664v1:9:7288299:7292292:-1 gene:itb09g11680 transcript:itb09g11680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTASNSARTSGSVDMSRVLDVKPLKCLVPVFPSASGMSPVGSPQPSPFVCVPPSGPFPPGVSPFYPFLSHESGGRPAQQGSFGHPISPVPLNSFRTPMANGSSVKSKRTTRRVVEDDGYSDSQNQSDFGMQGNDDEDTSTGGRRGKSQKRGRRGSEMDDSSIEAYFEPMLNNLLESFKLTDLDMYKKADGDKELVGRILLAFNLLRRKMTQVEEKRETGAGASRRPDLKSGTMMMTKGIRTNQTKRVGNVPGVEVGDIFFFRMELCLVGMHAPSMAGIDYMSVKLSMDDEPIALSIVSSGGYDDEGEDSDVLIYTGQGGVQRRDGQMFDQKLERGNLALEKSLHRANDVRVIRGVRDYTTTAGKIYVYDGLYKIQESWAEKNKSGCNVFKYKLVRVPGQPEAYTLWKSIQQWKDGVAVRSGVILPDLTSGAESQPVCLVNDVDDEKGPAYFTYIPTLKDPKPFLMPKHSLSCRCVGGCQPGDSNCCCLQKNEGFLPYSALGVLMTYKTLIRECGPSCSCAPNCRNRMSQAGLKVRLEVFKTKNRGWGLRSWDPIRAGGFICEYAGEVIDASRAGEYGSESGDNYIFDATRNYPPLEVVRDSHEESTKIPFALVISAKNGGNVARFMNHSCSPNVFWQPVVRENNNEAYYHIAFFAIKHIPPMQELTFDYGMVPSDKADRRRKKCLCGSANCRGYFY >itb09g11680.t1 pep chromosome:ASM357664v1:9:7288299:7292316:-1 gene:itb09g11680 transcript:itb09g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTASNSARTSGSVDMSRVLDVKPLKCLVPVFPSASGMSPVGSPQPSPFVCVPPSGPFPPGVSPFYPFLSHESGGRPAQQGSFGHPISPVPLNSFRTPMANGSSVKSKRTTRRVVEDDGYSDSQNQSDFGMQGNDDEDTSTGGRRGKSQKRGRRGSEMDDSSIEAYFEPMLNNLLESFKLTDLDMYKKADGDKELVGRILLAFNLLRRKMTQVEEKRETGAGASRRPDLKSGTMMMTKGIRTNQTKRVGNVPGVEVGDIFFFRMELCLVGMHAPSMAGIDYMSVKLSMDDEPIALSIVSSGGYDDEGEDSDVLIYTGQGGVQRRDGQMFDQKLERGNLALEKSLHRANDVRVIRGVRDYTTTAGKIYVYDGLYKIQESWAEKNKSGCNVFKYKLVRVPGQPEAYTLWKSIQQWKDGVAVRSGVILPDLTSGAESQPVCLVNDVDDEKGPAYFTYIPTLKDPKPFLMPKHSLSCRCVGGCQPGDSNCCCLQKNEGFLPYSALGVLMTYKTLIRECGPSCSCAPNCRNRMSQAGLKVRLEVFKTKNRGWGLRSWDPIRAGGFICEYAGEVIDASRAGEYGSESGDNYIFDATRNYPPLEVVRDSHEESTKIPFALVISAKNGGNVARFMNHSCSPNVFWQPVVRENNNEAYYHIAFFAIKHIPPMQELTFDYGMVPSDKADRRRKKCLCGSANCRGYFY >itb08g08080.t1 pep chromosome:ASM357664v1:8:6946421:6948638:1 gene:itb08g08080 transcript:itb08g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVNGESQVPPGFRFHPTEEELLHYYLRKKVASQKIDLDVIPEVDLNKLEPWDIQEKCKIGSTPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIQSNCRRIGLRKTLVFYKGRAPRGQKSDWIMHEYRLDDIITSHDATGTNLVGEMSGPDEGWVICKVFKKKLYHKAAMEYHHNPQTHQRNDGVLDQILTYMGKSSSSKHPHAGETKNILLAAGENSPPSQFLHMPPLETAVDISHIIPFDDMLADTEPFYPCYDGGAGAGVDFSVDCYGAHPTVDDLRPYDHNQISQAHSTLIMDPLSHLSV >itb11g01270.t2 pep chromosome:ASM357664v1:11:572782:574687:1 gene:itb11g01270 transcript:itb11g01270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGALLPPLARAVGGHSHPADIITPPLPSRTVANFQSRQPIPSISTASPDAGPSRPLDSIFGPLPSRTELEKAMSDLRCFMQEISAARRELSWLCSDHSRTVQSSGFGRLCDAFHMLQTEPSVQKMVLSIACDKAVWDAILNNPAVQDLQGLLSAAKDEEESLSSSPEKLDIVAVMMKWIMDILELIQKLGALAGLIFQPDEDKAMQTSELSGVLEEKIRSSFLLSIVLLLIVVATRIHEH >itb11g01270.t1 pep chromosome:ASM357664v1:11:572782:574879:1 gene:itb11g01270 transcript:itb11g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGALLPPLARAVGGHSHPADIITPPLPSRTVANFQSRQPIPSISTASPDAGPSRPLDSIFGPLPSRTELEKAMSDLRCFMQEISAARRELSWLCSDHSRTVQSSGFGRLCDAFHMLQTEPSVQKMVLSIACDKAVWDAILNNPAVQDLQGLLSAAKDEEESLSSSPEKLDIVAVMMKWIMDILELIQKLGALAGLIFQPDEDKAMQTSELSGVLEEKIRSSFLLSIVLLLIVVATRIHEH >itb04g27990.t1 pep chromosome:ASM357664v1:4:31869213:31872163:1 gene:itb04g27990 transcript:itb04g27990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVIPTPFSMVNPKSDFLIYFSPPQLASSVCHCHASSALRNPTITRRIRPKVRSQAVGLTMFSGNPVVGDLVASVLSGGIAFSCLRFWEEIAKRGYFDQNLNRKLVHVSMGLVFMLCWPMFSSGRQGALVAALIPGLNIFKVLSLGLGILKDDGTVKSMSRFGDYRELLKGPLYYAITITLACAVYWRSSPIAIALVCNLCAGDGMADIIGRRIRSPKLPHNKNKSVAGSVAMATFGFLVSIGFMHYFSSFGYLEKTPHMVLGFLVVSLAAALVESHPLSTELDDNLTVPLASVLAGSCVF >itb09g28080.t1 pep chromosome:ASM357664v1:9:28694248:28696551:1 gene:itb09g28080 transcript:itb09g28080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSCLVKVFPSTISLNNRKLIPFKLSQRRELFVPISASNSPSAATISLLKSKHLPLDFARRHLLDTLRRESDETSALSLFEWALEQPEFEPSRQICEEILRKLGNAGSFGAMRRVLDDMKESKVEIGEGTFFIFIERYAKFELYDEAIGVLDVMEHEFGVKPGIFSYNLVLNVLVDGNKLKLVEGVLSRMLSEGSNPDVATFNILIKSLCKAHKIRAAILMMEDMPRHGLLPDEKTYNTLMWGYIEDGDLEGALRIRDRMLADNCPPTNITVNVLIQGFCKEGRIEEASNFLQEMSSQGFCPDHYTFNTWINGLFKAGHVCHALDILDMMLQKGIDPNLITYNTVISGLCEAGEVKEAVKVLTQMILRDCIPYRVTYNTVVSTLCKENQVQQAIELSCVFTSMGLLPDVCTFNSLIKGLCWSSNFDSAMELFREMKTEGFQPNVFTYNILIEYVFAKKKLNEAMALLKDMELNGCVKNVFTYNILMDGFCKNNRIKEAGEIFDEMVLQGISRDLVTYNTLIDGLCKAQRVEKAAQLMDRMIMEGLKPDTYTFNSILHPYCRTGDVKKAVEIMQTMTLNGCEPDAFTYATLIQGLCKSGKVEVATRLLITIQMKGMVIAPQTYNPIIQTLFRQKRTKEAMKLFREMEEKADPPDYVSYKTVFRGLCSAGPIDEAVNFAVEMMEKGYIPEFSLIYNLAEGLFSLAREETLAKLIATIIKKADFSDNQKGMIKGFLKICKFRDALGALGRVLNSRSPKGSFLKGRLRH >itb07g17350.t1 pep chromosome:ASM357664v1:7:21500400:21501855:1 gene:itb07g17350 transcript:itb07g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVTKAQKKIAYDSKICKLLDTYSQILVVSADNVGSKQLQDIRRGLRGDSIILMGKNTMMKRSIRMHAEKTGNSAILALVPCLVNNVGLIFTKGDLKEVSDEVSKYKVGAPARVGLVAPVDVIVPPGSTGLDPSQTSFFQALNIPTKINKGTVEIVTPVEIIKKGEKVGSSESALLSKLGIKPFSYGLIVENVYDDGSVFDPKILELTEDDLVEKFAAGLTNVISLSMALAYPTLAAVPHMFINGYKNLLSFAIATDYSFPLADKIKEYLEDPTKFAAAAPVEAAAAATTESAPPAKEDEKKQESEESDEDDFVGGLFGD >itb10g14330.t1 pep chromosome:ASM357664v1:10:20670803:20673257:-1 gene:itb10g14330 transcript:itb10g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAFSSSLFKWEPRAAMPPPSRLLEAAAAAAHPPPQLHAAAAQPSAASYSVRPRPELGLGLGGLEELFQAYGIRYYTAAKIAELGFTHEKEAVGSGGGGAWEVVAAAGKGKQRRRKSLGKAARTGGGSMEEEYETEGQEEDEEGDGGGGISERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQNIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRAFKERGENVGAWRQACYKPLVAIAALQAWDIDAIFNAHPRLSIWYVPTKLRQLCHAERSKASAPTSSSVSVSIPATACSHLPLF >itb07g14560.t1 pep chromosome:ASM357664v1:7:17239440:17240648:-1 gene:itb07g14560 transcript:itb07g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokines 6 [Source:Projected from Arabidopsis thaliana (AT3G44735) UniProtKB/Swiss-Prot;Acc:Q8LA14] MKQKTVSYALLLLFVFLFTSSQISAGRFLPNKQGKEEEVKLENGTNTQLIKPETTDSLEKLMGLEKCESTDEECMKRRVVAEAHLDYIYTQHQNP >itb01g26840.t1 pep chromosome:ASM357664v1:1:31902825:31908829:1 gene:itb01g26840 transcript:itb01g26840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQVCSMEDAVLALLDHFVQPFLSRYQTRQAPTPSQHQTIAKQMHAVVLLYNYYHRKLHAVVLLYNYYHRKQHHELEFLDFISFSKLAVVLKPTLVAYMNLMRQADYSKMDGFENELSQTEKAIVNACSVSSALDASKTVPIVDGWPVSKVAIFLVNLRKENCMLIDSSITHGVWSVIEKDVEVSSANADNPLESKCKSKQKGAAETFLEDQEINSNDARLLDLALLAVKDATGINKSDLVVLESHVIYSLNKPKAAARLYVMQCTQSINDDIQVPIKDLIESLQGPIVKRSSYGWMVTPHAMYFHMFPYLEALLYWISREGSSHDSNAVSPQGENEGQNQNPVKVYHRLKNMLPLQEGHRWISPSIFLTKEETGESVLKSCDAGSNNDTVGTTSSMNGCSFSMANPLSQTKHRERPQTSSTSESQSALRILYLKRLELCEQQQIVEAEIMKCNRAIETVLHGGKSDLSSIGHMIEDPSDAYLEIEEIQESTSLTVEPQCKKLDELCREKKWPLPTYRVFPYADGFVANVIVKGGKYACQGSMSPSPPEAREMAAAFMIAKLGNMATSDM >itb15g16570.t1 pep chromosome:ASM357664v1:15:16256981:16257274:1 gene:itb15g16570 transcript:itb15g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVIRSADEASVAADGGCDGGPHFFFDWRRRLTGGGGGRLDRLWRQATVDALTVTAKAAASGGSSGLLAIYCCRLTLSFFFSLLVSLFFDRTPFFV >itb03g02730.t1 pep chromosome:ASM357664v1:3:1575006:1575706:1 gene:itb03g02730 transcript:itb03g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLSVFRVCSILMAFLFAMSASFQLNDPDWYLWIPLYSTATIVNSVNGASNLMAVRKLAKCTFWLGIIVFIKLGILDLQNGIVGLWSMDMRERAVREKLGSGLVIISMCLHLRKSTPKNLDYGMLTLVVVSYGLCSAFFVLHRKEMKF >itb10g08390.t1 pep chromosome:ASM357664v1:10:10412778:10415578:-1 gene:itb10g08390 transcript:itb10g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSRGDEGGVFHRYLKVMDGDGRRSGLRLAAGNGWLAITWMRLLEAMVGDDGGSSIAVDEWSGSGWRIAVDSRVVAAAVSFNLGWRWPQLGKGKLICSNLVTAGCGGGGGVHASENGDCLRRKRRSTTTASSVIAAANQSSFIAEVFFQEVDLGSRHWRGQSQSNPPPEKYDFIVRPVIDGEIVEFFVLKRPFMDEFLESLSKKFEIVVFIVGIKEYASLVLDRLDKKALISHRLYRDSCKEVDGRFVKDLLELGRDMKSVVIVDDNPNSYIFQPRNAIPIRAFTNDMEDGELKRLMEFFDGCDGVEDMRDAVNGFQAEEEFKDVEI >itb04g01900.t1 pep chromosome:ASM357664v1:4:1130836:1140046:-1 gene:itb04g01900 transcript:itb04g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEADSRLGQVVIPALEKIIKNGSWRKHSKLAHECKSVIEFLTASTPIPVSPTSPSNQSDPDASTPPGVLLELSFADSELILSPLINATATGHLKIVEPALDAVQRLIAHAYLRGEADPTAGPDGKLLAKLIESVCKCHDLGDESVELLVIKSLLSAVTSLSLRIHGDSLLQVVRTCYDLYLGSKNVVNQTTAKASLVQMLVIVFRRMEADSSTVPVQPIVVAEMMEPPEKNDGDASMTMFVQGFITKIMYDIDGVLNPATPKGSTNTMGAHDGAFETTTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKEELVDGEGERDEDFEVQIGNKLRRDAFLVFRALCKLSMKTPPKEAMADPQLMKGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASSLMIVFQLSCSIFMSLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPSFHQKMIVLRFLEKLCVDSQILVDIFLNYDCDVNSSNIFERMVNGLLKTAQGVPPGTATTLLPPQEAIMKMEAMKCLVAILKSMGDWMNKQLRIPDPLSAKKNEPAENDSEPGSPPMANGSMDEAAEASDSFSEASSDVSDAAVIEQRRAYKLELQEGISLFNRKPKKGIEFLIKANKVGDSAEEIATFLKNASGLNKTLIGDYLGEREDLPLKVMHAYVESFDFQSMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFTSADTAYVLAYSVILLNTDAHNAMVKTKMSADDFIRNNRGIDDGKDLPEEYLRSLYERISRNEIKMKEDDLAAQQRQSVNSNRILGLDNILNIVIRKREGNVETSDDLVRQMQEQFKEKARKSESAYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRCAIHVTAAMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAILSIADEDGNHLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFALPQNEFDKSKQAKSNVLPVLKKKGPGRIQNAASAVRRGSYDSAGVSGSAASGLTTEQMNNLVTNLNMLEQVGEMSHIFIRSQRLNSEAIVDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSKIWLVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMILSRVNNVKSGWKSMFMVFTTAAYDEHKNIVLLAFEITEKIVRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCAAKLAEGELGSSRNKDKEISGKISPTPLKGKDKKNENGDLTDKDDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRNYGHHFSLPLWERVFESVLFPIFDYVRHAIDPSGDNPPGHELDGEEGGLDQDAWLYETCTLALQLVVDLFVKFYSTVNPLLRKVLMLLVNFIKRPHQSLAGIGIAAFVRLMSNAGNLFSEDKWLEVVSSLKEAALATLPDFSFVLDESNNMLSEEDMNRRDTGESAGTDAPDVDMENLRQHRLYNAISDVKCRAAVQLLLIQAFMEIYNMYRLQLSAKNVIILFDAVHAVAFHAHKINTDVTLRSRLQELSSIIQMQDPPLLRLENESYQICLTFLQNLTVDRPPSFEESGVESHLVNLCREVLLFYIEIAQSGQMSQSSVGGQAHWLIPLGSGKRRELAARAPLVVGSLHAICSLGDTSFEKSLPGFFPLLSSLISCEHGSNEVQAALSDMLSSSVGPVLLRAC >itb04g24630.t1 pep chromosome:ASM357664v1:4:29424398:29424757:-1 gene:itb04g24630 transcript:itb04g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNQSPDSIAVAYNHNNKEKASKKVTMADYLHPSKEKGGGGGHHEANKFSEFINRVRDKRTRTPNTVQGGDGGGKTRPAAVMRRDSFNDRVSNFIHTAMHKIRRTSSNVGADDHGNPN >itb10g07040.t1 pep chromosome:ASM357664v1:10:8085388:8087234:-1 gene:itb10g07040 transcript:itb10g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLTIAEIACRSLDFPVTPATPPIHPSRVFLRPVRCTPVQQQIDLGIQCEPCGGRGWLLCDFCKGQKTNVQSETNKKIYRRCPSCRAIGYLLCWKCKVFKCVTYPNADDGQELQPSM >itb01g26270.t1 pep chromosome:ASM357664v1:1:31487746:31494499:-1 gene:itb01g26270 transcript:itb01g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANLISSRTLTPLPTHNSSTPIFKTRFSPCRPGQRKPPIFTIQAVAAPETVPPPPQTASGAEKLNKYSSRITEPKSQGASQAVLYGVGLSDDDMHKPQVGISSVWYEGNTCNMHLLKLAEAVKEGVGEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGHTFDIVSAFQVYGEYVSGSVSDEQRMNVIRTSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLDECRLAGKYLLDLLKMDLKPRDIITPKSLRNAMVMVMALGGSTNAVLHLIAIARSVGLQLHLDDFQKVSDEVPFLADLKPSGKFVMEDVHKIGGTPAVIRYLLELGLLDGDCITVTGKTLGENAKLFPSLAEGQQIIRPLSNPIKETGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMITAISEDPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGYVVGHICPEAQEGGPIGLVQNGDIITVDIQKKRMDVHLTDEELEERRKNWSPPPYKADKGVLYKYIKNVQSASRGCVTDE >itb04g34040.t2 pep chromosome:ASM357664v1:4:36090504:36113019:1 gene:itb04g34040 transcript:itb04g34040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G13000) UniProtKB/Swiss-Prot;Acc:Q9LXT9] MSSRGGAEPSLQRRLTRTQTVGNIGESIFDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNQTQSVEVDREILETHDKVAEKTEIYVPYNILPLDPDSVNQAIMKYPEIQAAVYALRNTRGLPWPKDYKKKKDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQNPKPDQQPKLEERALNHVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYEVIAHEAQRSRRGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSAGNKNEGQNTGDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIVAWNGNGEPSAIFDADVFKKVLSIFITAAILKLGQALLDMILSVKARRSMSFYVKLRYILKVVSAAAWVIILPVTYAYTWKNPPAFAQAIKNLFGNNSSSPSLFILAVVIYLSPNMLAAVLFLFPLIRRFLERSNYKIVMLMMWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIVTKLAFSYYLEIKPLVAPTKAIMSVHISTYQWHEFFPHARSNIGVVVALWAPIILVYVMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEDKNEPPPKKKGLKATFSQKFAVPSNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWADRELEIVQWPPFLLASKIPIAVDMAKDSNGKDRELAKRIDADPYMSSAVSECYASFRNIIKSLVRGDREKEVIEIIFSEVDKHIEAGNLISQFKMSALPSLYELFVKLIKYLLANKPEDRDQVVILFQDMLEVVTRDIMEEDHVSNLVDSIHGASGHEGMIFEHQQYQLFASAGAIKFPAQESEAWKEKIKRLFLLLTVKESAMDVPSNLEARRRISFFSNSLFMNMPSAPKVRNMLSFSVLTPYYTEEVLFSLKDLEEPNEDGVSILFYLQKIFPDEWNNFLERMNCLNEEELKAAMDIEEKALSASEQLRLWVSYRGQTLTRTVRGMMYYRKALELQAFLDMARDEDLMEGYKAIELNEDQMKGERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPTKDRSKKVNQKVYYSTLVKAALPKSNSSELGQNLDQIIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTVSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLIVYVFLYGRLYLVLSGLEEGLITQPAIRDNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHARFADNYRFYSRSHFVKGLELLILLIVYQIFGQAYRGTVAYILITVSIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNSGGIGVLPERSWESWWEEEQEHLRHSGLRGIITEIILSLRFFIYQYGLVYHLNITKHNQSFLIYGASWLVIIVMLFVMKTISVGRRKFSASFQLVFRLIKGLIFLTFVSILAILIALPHMTVRDIVVCILAFLPTGWGLLLIAQACKPLVQKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRNRNKE >itb04g34040.t1 pep chromosome:ASM357664v1:4:36089986:36113237:1 gene:itb04g34040 transcript:itb04g34040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G13000) UniProtKB/Swiss-Prot;Acc:Q9LXT9] MSSRGGAEPSLQRRLTRTQTVGNIGESIFDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNQTQSVEVDREILETHDKVAEKTEIYVPYNILPLDPDSVNQAIMKYPEIQAAVYALRNTRGLPWPKDYKKKKDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQNPKPDQQPKLEERALNHVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYEVIAHEAQRSRRGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSAGNKNEGQNTGDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIVAWNGNGEPSAIFDADVFKKVLSIFITAAILKLGQALLDMILSVKARRSMSFYVKLRYILKVVSAAAWVIILPVTYAYTWKNPPAFAQAIKNLFGNNSSSPSLFILAVVIYLSPNMLAAVLFLFPLIRRFLERSNYKIVMLMMWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIVTKLAFSYYLEIKPLVAPTKAIMSVHISTYQWHEFFPHARSNIGVVVALWAPIILVYVMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEDKNEPPPKKKGLKATFSQKFAVPSNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWADRELEIVQWPPFLLASKIPIAVDMAKDSNGKDRELAKRIDADPYMSSAVSECYASFRNIIKSLVRGDREKEVIEIIFSEVDKHIEAGNLISQFKMSALPSLYELFVKLIKYLLANKPEDRDQVVILFQDMLEVVTRDIMEEDHVSNLVDSIHGASGHEGMIFEHQQYQLFASAGAIKFPAQESEAWKEKIKRLFLLLTVKESAMDVPSNLEARRRISFFSNSLFMNMPSAPKVRNMLSFSVLTPYYTEEVLFSLKDLEEPNEDGVSILFYLQKIFPDEWNNFLERMNCLNEEELKAAMDIEEKALSASEQLRLWVSYRGQTLTRTVRGMMYYRKALELQAFLDMARDEDLMEGYKAIELNEDQMKGERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPTKDRSKKVNQKVYYSTLVKAALPKSNSSELGQNLDQIIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTVSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLIVYVFLYGRLYLVLSGLEEGLITQPAIRDNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHARFADNYRFYSRSHFVKGLELLILLIVYQIFGQAYRGTVAYILITVSIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNSGGIGVLPERSWESWWEEEQEHLRHSGLRGIITEIILSLRFFIYQYGLVYHLNITKHNQSFLIYGASWLVIIVMLFVMKTISVGRRKFSASFQLVFRLIKGLIFLTFVSILAILIALPHMTVRDIVVCILAFLPTGWGLLLIAQACKPLVQKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRNRNKE >itb04g34040.t3 pep chromosome:ASM357664v1:4:36103480:36113019:1 gene:itb04g34040 transcript:itb04g34040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G13000) UniProtKB/Swiss-Prot;Acc:Q9LXT9] MLRSRFESLPGAFNACLIPEDKNEPPPKKKGLKATFSQKFAVPSNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWADRELEIVQWPPFLLASKIPIAVDMAKDSNGKDRELAKRIDADPYMSSAVSECYASFRNIIKSLVRGDREKEVIEIIFSEVDKHIEAGNLISQFKMSALPSLYELFVKLIKYLLANKPEDRDQVVILFQDMLEVVTRDIMEEDHVSNLVDSIHGASGHEGMIFEHQQYQLFASAGAIKFPAQESEAWKEKIKRLFLLLTVKESAMDVPSNLEARRRISFFSNSLFMNMPSAPKVRNMLSFSVLTPYYTEEVLFSLKDLEEPNEDGVSILFYLQKIFPDEWNNFLERMNCLNEEELKAAMDIEEKALSASEQLRLWVSYRGQTLTRTVRGMMYYRKALELQAFLDMARDEDLMEGYKAIELNEDQMKGERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPTKDRSKKVNQKVYYSTLVKAALPKSNSSELGQNLDQIIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTVSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTLITVLIVYVFLYGRLYLVLSGLEEGLITQPAIRDNKPLQVALASQSFVQIGFLMALPMMMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHARFADNYRFYSRSHFVKGLELLILLIVYQIFGQAYRGTVAYILITVSIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNSGGIGVLPERSWESWWEEEQEHLRHSGLRGIITEIILSLRFFIYQYGLVYHLNITKHNQSFLIYGASWLVIIVMLFVMKTISVGRRKFSASFQLVFRLIKGLIFLTFVSILAILIALPHMTVRDIVVCILAFLPTGWGLLLIAQACKPLVQKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRNRNKE >itb12g17030.t1 pep chromosome:ASM357664v1:12:18853330:18855575:1 gene:itb12g17030 transcript:itb12g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLETSHVINDLLDPTGQNLRVREDAQLHHEPPPPPTPPLIASSFLPIRRHHRRCTATASPTKTPTLPPLFLRRRQRCQTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEDHRHVGSNNFNLFSSRSHTIFSLMIESSAHRDDYDGVIFSQLVWFI >itb05g27260.t1 pep chromosome:ASM357664v1:5:30724089:30726099:-1 gene:itb05g27260 transcript:itb05g27260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLPSRYIMRIFKESYDDVIVVAMLCSVLCWVLARQIWKKQRSRQSVVPTNWPFVGMLPALLQNAGRIHEYVTEILRQSGGTFEIKGPWFGNMDMLITCDPANVNHILCTNFQNYPKGPRFRKIFDILGDGMINVDSELWELHRRTTTPLMNHANFRTSLERNVSEKMENGLFPVLDHYAQQESHIDLQEIFQRMAFDISCQQFLDKDPGSLCVDPTGDHPFREAIRDTVNAILYRHILPERYWKLQKYYGIDREKKLSEADRTFDNFIYPILEERMEQLNKTGQQPHDSGMLTSHIETHRGKSMKFLRDTFLTLIIAGGDTTASGLTWFFLLLAQNPQVEAKILHDIIQLKQDKVKSVFKVEECQKLTYLHAAIFESLRLFPSVPLNHKFPMEKDILPSGHVVTPNTKIIMPFYSMGRMDTVWGEDCMEFKPERWISPNGGIKRQPSYMYPVFNAGPRTCIGRDMALTVVKMIAATIICHYQFQLVEPYHSEVLITDSILLEKKHGLKVKFSKRQ >itb05g26940.t1 pep chromosome:ASM357664v1:5:30588114:30588592:1 gene:itb05g26940 transcript:itb05g26940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKMKSFVAENPKKLGNLINLVNLPSALKDFMGQSQTSRLGCFKRIWSYIKENNLQDPNNKNIVNCDEKLKSILLGKSQVDLAELPALIKLHFPKANSK >itb12g24560.t1 pep chromosome:ASM357664v1:12:26045440:26049333:1 gene:itb12g24560 transcript:itb12g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] MENPEQEEDVYGGEFPEEGDMDADVDMAAIAEAEAQEDPNTKDLENMKKRLKEIEEEAGALREMQAKVEKEMTGAQDSTAASVSQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGYAYVEFVEVEAVQNALLLNESELHGRQLKVSAKRTNVPGMKQFRGRRFNPYAGFRSRRPFVPGGAVFPPFSSGRVPRFRRGPVRYRPY >itb12g24560.t2 pep chromosome:ASM357664v1:12:26045463:26048968:1 gene:itb12g24560 transcript:itb12g24560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51120) UniProtKB/TrEMBL;Acc:F4KBV3] MKKRLKEIEEEAGALREMQAKVEKEMTGAQDSTAASVSQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGYAYVEFVEVEAVQNALLLNESELHGRQLKVSAKRTNVPGMKQFRGRRFNPYAGFRSRRPFVPGGAVFPPFSSGRVPRFRRGPVRYRPY >itb04g11580.t1 pep chromosome:ASM357664v1:4:11266988:11270767:-1 gene:itb04g11580 transcript:itb04g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKSCDSFDFLSEVSSREIPHVPNEIVYSNNDWISYRKSVRKKSDSNDDEEDETLRSCHLLLISSLAILVLFPLACFSRPHLRRLLLSHETGNYAVIFDAGSTGTRVHVFNFNENLDLLPIGDDIEFRLKTSPGLSSYADDPEAAAKSLEPLLLEAEGVVPTELQPDTPLELGVSAKCY >itb06g24820.t1 pep chromosome:ASM357664v1:6:26219345:26223303:-1 gene:itb06g24820 transcript:itb06g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEWLFEIMSEDQESCNLFRVGLISFLLLITGSLVGGDSLGTDKQVLLSLKTFLEGQNRVNRGSKYTLWNSTDESPCKWPGISCDGVGNRVTGVNLSGDNLAGKIFDNFSALTGLTYLDLSKNTLENAVPADLGTCRSLRFLNLSHNIIDGELNLTGLYSLEILDLSMNRLGGEIWPVFPGICNNLVVMNISNNGFSGEIGNAFARCSNLEYLDLSTNNLSGGLPFGIDKLRGFSVGQNNISGELPSWIFRQNCSLQALDLSENKFSGELPKEISYCKDLSMLNLWGNSFSGLIPEEIGFIQGLETLYLGNNKFSSSIPDTLSGLKNLLYLDLSKNGFGGEIQEIFGRFTQVKFLLLNGNAYTGGIISSGIPNLANLSRLDLSENSFSGPLPAEISQMTNLKFLILAFNHFTGTIPSEYGSFPVIQALDLSFNSLSGSIPQSLGRLASLLWLTLASNSLTGEIPGELGNCSSLLWLNLENNRLSGSIPAELANIGSNPMPTFLLNRDEDKIIPSSSGECQIMKRWIPADYPPFSFVYPLLTGKKCRSLWDKLLKGYGLFQFCGAGINVRTFQISGYVQLCNNKLSGLVPPEIGKMQSFSMLHIGYNNFHGKFPSEIGKMPLVVLNVTQNKFSGQIPPQIGNMKCLLNLDLSHNNFSGQFPTSLNNLTDLNKFNISYNPYIYGVIPPIGQLATFEKSSFLGDPLLNLPSFIDNSTENQPDKHENPKKTTNLGTFLVFAALVLAFIVCGVIFFVVCLVVKETSEKSPADYLLEDTKIRHDSSSPDGSSSPWFPSAVKVIRLDKKFFTHSDIVNATGNFSADRIVGRGGFGTVYRGVLPDGREVAVKKLQREGIEGEREFMAEMEVLSGNGFGWPHPNLVTLYGWCLDGSEKLLVYEFMGGGSLEDMITDRVKFSWKKRIKAAIDVAKALVFLHHECHPCIVHRDVKASNVLLDRDGGARVTDFGLARFMDSSKTHVSTMMAGTVGYVAPEYGQTWQATTRGDVYSYGVLAMELATGRRAVDGGEECLLEWARRVMGDGRQGFSSRGVIPVALLVSGLADGAEEMCELLRIGIRCTAETPCLRPNMKEVLNMLLRIKFADHHHGS >itb13g20200.t1 pep chromosome:ASM357664v1:13:27014968:27018104:1 gene:itb13g20200 transcript:itb13g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSSKMNIAIIHPDLGIGGAERLIVDAAVELASYGHNVHVFTAHHDKNRCFEETLSGTFSVNVYGSFLPRHIFYRLHAVCAYLRCIFVALSLLFLWPSFDIILADQVSVVIPLLKLKKSMKVVFYCHFPDLLLAQHTTILRRMYRKPIDFIEEMTTGMADLILVNSRFTASTFANTFKHLNARGVRPAVLYPTVNVDQFSKPNATTKLNFLSINRFERKKNIELAISAFARLHNHELNDYQCANVADASLTIVGGFDKRLRENVEYLEELKVLAERQGVSDRVRFITSCSTAERNVLLAECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGETGFLCDPTPQDFCSAMANFIRDPTLAATMGQNACQHVTDSFSTKIFGRHINTYLVGVARGKED >itb15g21080.t2 pep chromosome:ASM357664v1:15:23791582:23796444:1 gene:itb15g21080 transcript:itb15g21080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQYASEAGSNKRKYEEPTSPSPVSRRPTGFSAPISSLSPPDGAAAAPPSYANVPPPMDDFQLAKQRAQEIAARLINNSDPKKPRVDNGGGAGGFETREPQKPVGSTLLPSVTGSYGYPGPSKKIEIPNGRVGVIIGKGGETIRYLQLQSGAKIQVTRDMDSDPNSQTRGVELMGTPEQIAKAEQLIQDVLSEADSGGSGIVSRRVTGQQAGAEQFVMQVPNNKVGLVIGKGGETIKNMQARSGARIQVIPLHLPPGDTSTERTVQIDGSSDQIEYAKQLVNEVISEGEDGPPQKFVSQSKSTNRVRNPAMTGAYPQQGYQARPPTSWAPPGGQMQQPGYGYMQPGSYPTPSPQYSMPQPPYPGYPPQPTSAGYGSGWDQSNPNQQTAPGGGYDYYSQGPPQQQQQQTPGSSGAPADSSAYGYNQQPSSGYNQGQSYSQDGYGGYHAPAPQSGYPGQPNPVPGYDQQQGYNSTTGYSNTSNTAAGDGHTPSYGTQSDTSQAPPNQSAASQPGYHTSQHPSPNANYQHPGTTQPGYGIPTSQGGYGTQPPAYGTTYGQPPSQKPPTSQPTYGQQPQQSPSAQGGYAQPPQVYPHSQPSPAVTGYSQPDSGSQRPTSTGYGATQPGYGPPAYGAPPMTQSGVSYNSGYGGNNYSQPSAYSTDGSGGGARLTYDTAPTSQSSQPTGSAKTSPES >itb15g21080.t1 pep chromosome:ASM357664v1:15:23791432:23796761:1 gene:itb15g21080 transcript:itb15g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAQYASEAGSNKRKYEEPTSPSPVSRRPTGFSAPISSLSPPDGAAAAPPSYANVPPPMDDFQLAKQRAQEIAARLINNSDPKKPRVDNGGGAGGFETREPQKPVGSTLLPSVTGSYGYPGPSKKIEIPNGRVGVIIGKGGETIRYLQLQSGAKIQVTRDMDSDPNSQTRGVELMGTPEQIAKAEQLIQDVLSEADSGGSGIVSRRVTGQQAGAEQFVMQVPNNKVGLVIGKGGETIKNMQARSGARIQVIPLHLPPGDTSTERTVQIDGSSDQIEYAKQLVNEVISENRVRNPAMTGAYPQQGYQARPPTSWAPPGGQMQQPGYGYMQPGSYPTPSPQYSMPQPPYPGYPPQPTSAGYGSGWDQSNPNQQTAPGGGYDYYSQGPPQQQQQQTPGSSGAPADSSAYGYNQQPSSGYNQGQSYSQDGYGGYHAPAPQSGYPGQPNPVPGYDQQQGYNSTTGYSNTSNTAAGDGHTPSYGTQSDTSQAPPNQSAASQPGYHTSQHPSPNANYQHPGTTQPGYGIPTSQGGYGTQPPAYGTTYGQPPSQKPPTSQPTYGQQPQQSPSAQGGYAQPPQVYPHSQPSPAVTGYSQPDSGSQRPTSTGYGATQPGYGPPAYGAPPMTQSGVSYNSGYGGNNYSQPSAYSTDGSGGGARLTYDTAPTSQSSQPTGSAKTSPES >itb15g04840.t1 pep chromosome:ASM357664v1:15:3139202:3142541:1 gene:itb15g04840 transcript:itb15g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMKVARHLEPWRDLTGKVAMVTGASSGIGREISLDLAKAGCRVIAAARRVDRLKSLCDEINGLGSGESSSGTPLRAVAIELDVSADGPAIEAAVQRAWDAFGRIDALINNAGVRGRVHTPLDLTEEEWNDLTKTNLTGTWLVSKYVCLHMCNAKLGGSIVNISSISGLNRGQLPGGLAYTTSKTAVNALTRVMALELGANKIRVNSISPGLFKSEITQGLMQKDWLNNVALRTVPLRTFGTSNPALTALVRYLIHDSSEYISGNTYIVDAGNTLPGVPIFSSL >itb01g06850.t1 pep chromosome:ASM357664v1:1:5072685:5073599:1 gene:itb01g06850 transcript:itb01g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAKSGNNFPGRKALVCENVQVTSAGQTRNNVPEKVSLGPDKGKGVAAHAKNPPQNQWNMNRKASGLFQGDTSKTEGGIFDGLPQPSISEKEVESHRGLPAIRFKRSETKQMNVVENHLLIGKFSWGRPSLEEIRKLFANKFILKGTVEIGWVDPRHVTLAFSQECDCLNIFMKEQIMFGRFQMRLFRWSMGFSTERESSIAPVWVKLPKLSANCFNLASLRQISKPIGRFLAPDYATLHFTRPSYARIKVEVDLLKPLIHEIFIGFDDEPGSEDLGYVQKLEYERVPPYCTKCFKQSCYRVL >itb10g22380.t1 pep chromosome:ASM357664v1:10:27242102:27243903:1 gene:itb10g22380 transcript:itb10g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMFTNDNSYSKHVYGMGKGEGNRGYRGLDDIGRGTPLWRAGPEEKPVLCNACGSRWRLRGNLNGYVPKHGIRDASGNIHKLPSKTHAVEITSQQKLRAGEMPQGSDLRPTSPKGGEFSISSSESSVPSPVNCMLTQGTEVCLSGDEAQWPVWYPKNVTRRKRSNLQKQPSSLIDTLHLQLYQIQQDLEDENKSEEEDILVYNKWQYIPDSDIGLGNILHKPPTAP >itb02g02370.t1 pep chromosome:ASM357664v1:2:1334701:1341526:-1 gene:itb02g02370 transcript:itb02g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSLMRSSSFGSSSKRSNYSRNFELPDDFTPTAGEGGHEYFGGPMLPIFLDDLRRNNDEDLVEVTLELDDNSIVLCSVTPTPPHHNGNDEEEAAVVSGIFGRTLSAASKLHQKFSWLRSPSTRSRASSSDADDLHHHHQQQQSNVNQTALTSREVMRSNLQLVRSKSSAQRALGGLRFISKTTEDPAAVELWKKVEARFDALAKDGLLSREDFGDCIGMADSKEFAVGVFDALVRRRRQKTAKITKAELHDFWLQISDQSFDARLQIFFDMADSNGDGRITRDEVQELIMLSASANKLSKLKERADEYASLIMEELDPENLGYIELWQLETLLLQRDRYMNYSRPLSTASAGRSQNLGTRNSKNVLKRASCALRCLVLENWQRGWILMLWFIVMGGLFTWKFLQYKQKAAFQIMGYCLTTAKGAAETLKLNMALILLPVCRNILTWLRSTKARLFIPFDDNINFHKIIAYAIAVGIILHAGNHLACDFPRLINSSPEKFALVASDFNGVQPTYKSFLVGVEGVTGMSMVVLMIIAFTLATRQFRRNIVNLPPPLNRLTGFNAFWYSHHLFALVYVLLLVHGTLLILVHSWQYKTTWMYISIPLILYVAERSLRKCRAEHYAVKILKVSVLPGDVFSLVMAKPSGFKYKSGQYIFLQCPMISPFEWHPFSITSAPDDDNLSVHIRTVGDWTEELKKVYTEDVGSICEIGRAKFGELGNVDQRGLPRLLVDGPYGAPAQDYHNYDVLLLVGLGIGATPFISILRDLLNNSRTEDQMDSNTENSASDDSWTSFASSSIASSTAKKKTQRAKRAHFYWVTREPGSFEWFKGVMNEVAEMDHKGQIDMHNYLTSVYEEGDARSTLITMVQALNHAKHGIDILSGTRVRTHFARPNWTEVFSKIALKHPYSTIGVFYCGMPVLAKELKKLSQELTYKTSTRFEFHKEYF >itb02g02370.t3 pep chromosome:ASM357664v1:2:1335881:1341526:-1 gene:itb02g02370 transcript:itb02g02370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSLMRSSSFGSSSKRSNYSRNFELPDDFTPTAGEGGHEYFGGPMLPIFLDDLRRNNDEDLVEVTLELDDNSIVLCSVTPTPPHHNGNDEEEAAVVSGIFGRTLSAASKLHQKFSWLRSPSTRSRASSSDADDLHHHHQQQQSNVNQTALTSREVMRSNLQLVRSKSSAQRALGGLRFISKTTEDPAAVELWKKVEARFDALAKDGLLSREDFGDCIGMADSKEFAVGVFDALVRRRRQKTAKITKAELHDFWLQISDQSFDARLQIFFDMADSNGDGRITRDEVQELIMLSASANKLSKLKERADEYASLIMEELDPENLGYIELWQLETLLLQRDRYMNYSRPLSTASAGRSQNLGTRNSKNVLKRASCALRCLVLENWQRGWILMLWFIVMGGLFTWKFLQYKQKAAFQIMGYCLTTAKGAAETLKLNMALILLPVCRNILTWLRSTKARLFIPFDDNINFHKIIAYAIAVGIILHAGNHLACDFPRLINSSPEKFALVASDFNGVQPTYKSFLVGVEGVTGMSMVVLMIIAFTLATRQFRRNIVNLPPPLNRLTGFNAFWYSHHLFALVYVLLLVHGTLLILVHSWQYKTTWMYISIPLILYVAERSLRKCRAEHYAVKILKVSVLPGDVFSLVMAKPSGFKYKSGQYIFLQCPMISPFEWHPFSITSAPDDDNLSVHIRTVGDWTEELKKVYTEDVGSICEIGRAKFGELGNVDQRGLPRLLVDGPYGAPAQDYHNYDVLLLVGLGIGATPFISILRDLLNNSRTEDQMVPFFALMW >itb02g02370.t2 pep chromosome:ASM357664v1:2:1334701:1341526:-1 gene:itb02g02370 transcript:itb02g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSLMRSSSFGSSSKRSNYSRNFELPDDFTPTAGEGGHEYFGGPMLPIFLDDLRRNNDEDLVEVTLELDDNSIVLCSVTPTPPHHNGNDEEEAAVVSGIFGRTLSAASKLHQKFSWLRSPSTRSRASSSDADDLHHHHQQQQSNVNQTALTSREVMRSNLQLVRSKSSAQRALGGLRFISKTTEDPAAVELWKKVEARFDALAKDGLLSREDFGDCIGMADSKEFAVGVFDALVRRRRQKTAKITKAELHDFWLQISDQSFDARLQIFFDMADSNGDGRITRDEVQELIMLSASANKLSKLKERADEYASLIMEELDPENLGYIELWQLETLLLQRDRYMNYSRPLSTASAGRSQNLGTRNSKNVLKRASCALRCLVLENWQRGWILMLWFIVMGGLFTWKFLQYKQKAAFQIMGYCLTTAKGAAETLKLNMALILLPVCRNILTWLRSTKARLFIPFDDNINFHKIIAYAIAVGIILHAGNHLACDFPRLINSSPEKFALVASDFNGVQPTYKSFLVGVEGVTGMSMVVLMIIAFTLATRQFRRNIVNLPPPLNRLTGFNAFWYSHHLFALVYVLLLVHGTLLILVHSWQYKTTWMYISIPLILYVAERSLRKCRAEHYAVKILKVSVLPGDVFSLVMAKPSGFKYKSGQYIFLQCPMISPFEWHPFSITSAPDDDNLSVHIRTVGDWTEELKKVYTEDVGSICEIGRAKFGELGNVDQRGLPRLLVDGPYGAPAQDYHNYDVLLLVGLGIGATPFISILRDLLNNSRTEDQMDSNTENSASDDSWTSFASSSIASSTAKKKTQRAKRAHFYWVTREPGSFEWFKGVMNEVAEMDHKGQIDMHNYLTSVYEEGDARSTLITMVQALNHAKHGIDILSGTRIIAKTSFLAGKDTFCTPKLDRSIQQNSFEASLFYHRSFLLWDASLGKGVEEAIARTDIQDINKI >itb02g02370.t4 pep chromosome:ASM357664v1:2:1334701:1341526:-1 gene:itb02g02370 transcript:itb02g02370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSLMRSSSFGSSSKRSNYSRNFELPDDFTPTAGEGGHEYFGGPMLPIFLDDLRRNNDEDLVEVTLELDDNSIVLCSVTPTPPHHNGNDEEEAAVVSGIFGRTLSAASKLHQKFSWLRSPSTRSRASSSDADDLHHHHQQQQSNVNQTALTSREVMRSNLQLVRSKSSAQRALGGLRFISKTTEDPAAVELWKKVEARFDALAKDGLLSREDFGDCIGMADSKEFAVGVFDALVRRRRQKTAKITKAELHDFWLQISDQSFDARLQIFFDMADSNGDGRITRDEVQELIMLSASANKLSKLKERADEYASLIMEELDPENLGYIELWQLETLLLQRDRYMNYSRPLSTASAGRSQNLGTRNSKNVLKRASCALRCLVLENWQRGWILMLWFIVMGGLFTWKFLQYKQKAAFQIMGYCLTTAKGAAETLKLNMALILLPVCRNILTWLRSTKARLFIPFDDNINFHKIIAYAIAVGIILHAGNHLACDFPRLINSSPEKFALVASDFNGVQPTYKSFLVGVEGVTGMSMVVLMIIAFTLATRQFRRNIVNLPPPLNRLTGFNAFWYSHHLFALVYVLLLVHGTLLILVHSWQYKTTWMYISIPLILYVAERSLRKCRAEHYAVKILKVSVLPGDVFSLVMAKPSGFKYKSGQYIFLQCPMISPFEWHPFSITSAPDDDNLSVHIRTVGDWTEELKKVYTEDVGSICEIGRAKFGELGNVDQRGLPRLLVDGPYGAPAQDYHNYDVLLLVGLGIGATPFISILRDLLNNSRTEDQMDSNTENSASDDSWTSFASSSIASSTAKKKTQRAKRAHFYWVTREPGSFEWFKGVMNEVAEMDHKGQIDMHNYLTSVYEEGDARSTLITMVQALNHAKHGIDILSGTRVRTHFARPNWTEVFSKIALKHPYSTIGNEVYTITMCKVTSFSNYLLLKLRSSHRSVTTYSKPNMK >itb02g01150.t1 pep chromosome:ASM357664v1:2:637335:640945:-1 gene:itb02g01150 transcript:itb02g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIDQPSQFGIEVEEKKIPKNETEVGFGGGFFVPHTNAFGHSFRDYDAESERQEGVENFYRINHTNQTYDFVKKMREEYSRLNRVEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNQDWLHLTALIHDLGKVLLLPSFGGLPQWAVVGDTFPVGCAFNEFIVHQKYFKENPDYYNPAYNTKYGVYSKGCGLNNVMMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYALHRAGSYKHLMNEEDKENLKWLHIFNKYDLYSKSKERIDIEKVKPYYLSLIDKYFPAKLRW >itb05g25800.t1 pep chromosome:ASM357664v1:5:30005289:30007171:-1 gene:itb05g25800 transcript:itb05g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFCPSPEDLNVSNGAQAIGRHCRVVRRERRSYGGGRRDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQACVKRRVVNSMTGTNTNCSKIAATIAMEVVWDTCYNDTAPFDKAP >itb05g25800.t5 pep chromosome:ASM357664v1:5:30005289:30007171:-1 gene:itb05g25800 transcript:itb05g25800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFCPSPEDLNVSNGAQAIGRHCRVVRRERRSYGGGRRDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQVRSIY >itb05g25800.t6 pep chromosome:ASM357664v1:5:30005214:30007162:-1 gene:itb05g25800 transcript:itb05g25800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNDSSMCKQFEISR >itb05g25800.t9 pep chromosome:ASM357664v1:5:30006296:30007171:-1 gene:itb05g25800 transcript:itb05g25800.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFCPSPEDLNVSNGAQAIGRHCRVVRRERRSYGGGRRDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEVYALL >itb05g25800.t3 pep chromosome:ASM357664v1:5:30005214:30007170:-1 gene:itb05g25800 transcript:itb05g25800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQVHGT >itb05g25800.t7 pep chromosome:ASM357664v1:5:30005340:30007157:-1 gene:itb05g25800 transcript:itb05g25800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQVRSIY >itb05g25800.t11 pep chromosome:ASM357664v1:5:30005214:30007162:-1 gene:itb05g25800 transcript:itb05g25800.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGVKSPPPFVRIYNCPLCNWP >itb05g25800.t4 pep chromosome:ASM357664v1:5:30005214:30007171:-1 gene:itb05g25800 transcript:itb05g25800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVFCPSPEDLNVSNGAQAIGRHCRVVRRERRSYGGGRRDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQVHGT >itb05g25800.t8 pep chromosome:ASM357664v1:5:30005340:30007157:-1 gene:itb05g25800 transcript:itb05g25800.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLNCTPDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQACVKRRVVNSMTGTNTNCSKIAATIAMEVVWDTCYNDTAPFDKAP >itb05g25800.t10 pep chromosome:ASM357664v1:5:30006181:30007157:-1 gene:itb05g25800 transcript:itb05g25800.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEVYALL >itb05g25800.t2 pep chromosome:ASM357664v1:5:30005214:30007170:-1 gene:itb05g25800 transcript:itb05g25800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSAGTAAWSGVRGGHTVAEGEVMIQKGLQDPKVKFLREQLEKAGCKITDNFFKSVRCDTPMSGFFCPKSNGGIQVCANNLRFQDEVTQVLIHELIHAYDQCRAKNMDWGNRTHRACTEIRASHLSGDCHFLRELLRGNFKIIGHEQACVKRRVVNSMTGTNTNCSKIAATIAMEVVWDTCYNDTAPFDKAP >itb12g18260.t1 pep chromosome:ASM357664v1:12:20507634:20508830:1 gene:itb12g18260 transcript:itb12g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MAASSFQLPSLQVQGSPLQKSTFLGQTHISNLPLRPPPKPPASFALQTPVAKFNIFEIFGGRGLCNGEEGLEKELKKSVSEPSSLTKQEKEDAADVEGGFPEDAFGKEMLGFTGGFPGGEKGLQKFIEENPPPPPTTAKSGTASSGFVKKPKPPELPMLLPGMIAIVKNPNSPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFRLDELERREKGPPMVNPRSAILETMVEKSSE >itb11g19140.t1 pep chromosome:ASM357664v1:11:19785927:19786553:1 gene:itb11g19140 transcript:itb11g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKNPILLSSLLLFCIFNFSFAADPVLDTDGQALHSGVNYYVVSARSGLKPAKGENNTCPFQNADVTVEFAASDLASGCPQSRVWLVQDLFVTTGGDGKGSGASLFTMVKYEDGYAFQFCPRAAGCSFVCPRLQCGYIGVEVVSAGFRPIQSRMDTVVVSARVLTINRRPLQIVIQKA >itb10g13100.t1 pep chromosome:ASM357664v1:10:19188659:19191291:1 gene:itb10g13100 transcript:itb10g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWCRGGSVEIDRGENRWLGNPSLKQNVDSRRECESQNAVAGGDSVAAGEEAAVELVGRLLWLKKGMLSKLSTASQDPCTAGNELSSLPRSRLWHRRSNGRSAPGRRKQSASIETPATIYTHFDCILPWLSLRKSCPVCRHQLPTDAPNSGDLNTTNRASSNEQQPPSDGGLAVGQFSGGKRELPVVYTEVDGGFNNNGVPMVV >itb10g13100.t2 pep chromosome:ASM357664v1:10:19190288:19191291:1 gene:itb10g13100 transcript:itb10g13100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDGEKRKKIKDFFVPRNLISSVGRWCRGGSVEIDRGENRWLGNPSLKQNVDSRRECESQNAVAGGDSVAAGEEAAVELVGRLLWLKKGMLSKLSTASQDPCTAGNELSSLPRSRLWHRRSNGRSAPGRRKQSASIETPATIYTHFDCILPWLSLRKSCPVCRHQLPTDAPNSGDLNTTNRASSNEQQPPSDGGLAVGQFSGGKRELPVVYTEVDGGFNNNGVPMVV >itb02g10620.t1 pep chromosome:ASM357664v1:2:6865403:6868228:1 gene:itb02g10620 transcript:itb02g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRSIGVVGAGQMGSGIAQLAAVHGVDVCLYDTEAEALNRAHKSISSNIRRLVSKALLSEERGGDAIRRLKCSQNLEDFECVDIVIEAIVESESVKKKLFVDLDKIVKSSAILASNTSSISITRLASATNRPTQVIGMHFMNPPPIMKLVEIIRGADTSDETYNVTKSLAERFGKTVVCSQDYAGFIVNRILMPMINEAFYTLYNGVAAKEHIDAGMKFGTNHPMGPLELADFIGLDVCLAILKVLHGGLGDTKYAPCPLLVQYVDAGRLGRKRGIGVYDYRNAPGATRPSSRL >itb03g05550.t1 pep chromosome:ASM357664v1:3:3918931:3923122:1 gene:itb03g05550 transcript:itb03g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGESNGVHSLGSSFLEDGTPMYNLMMPPPPVSSSPSSYQLPAGGGGEPPLITSAQPSLNPSAPEPPVKKKRGRPRKYAPDGSANPAIISAPPPPLAGGSLSPTRTQAAETAASPKKKGRGRPPGSGRKNQISAGALGSAGAGFGFKPHVVTIKAGEDVQAKLMSFSQNTSQAICILSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSELGGQRSRTGGLSVSLAGPDGHVLGGCVAGLLTAASPVQVIVGSFSIEVHQQQAGNCEGLASPPGMLISGASAAAKSPPSLGTLSASSGGGPVSPHNHHLETSNSSPPGIAGIQWR >itb11g18370.t1 pep chromosome:ASM357664v1:11:18629992:18636052:1 gene:itb11g18370 transcript:itb11g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVKIPSEEEVGFMRAMGLQSGIAVVMVSKAAIELGVFEIIAKSGEGAKLSAQQIADCLPTQNPNAPLMLDRMLNFLANHSILRCTLTQDDHQCLYSLTPISNNFVPNEDGVSLSSMLQLITHKVFVNSWYALKDAVLEGGVLPFNRTHAFENPGKDIRYKEVVNKAMHDHSAIAMKRAVECYKGFEEAKEVVDVGGGFGASLSCIVSKYPNIKGINFDLPYVIKDAPAIPGVEHIPGDMFDSVPCGEIIFMKWILHCWDDEHCLKLLRNCWKALPESGGKVVLVESVLPEHPEKDVVYGNNAFYVDILMMT >itb04g12670.t1 pep chromosome:ASM357664v1:4:12388437:12391976:1 gene:itb04g12670 transcript:itb04g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIARPLIRRLFFPSSTTISPAPTPKFELLANNNFATSTTRARRNKTKANEITSSIAQKKKSRESLVKKRTRSEKEFDEDSFLKLYGNENSSHVPVLLGEVLDVFASLRLRSFVDCTLGAAGHSSAIIQAHPEMQVYVGLDVDPLAHQMAQDRINVILKKDSSYTDSDLKVHTYLKNFKDVKSVLCEVDKGLLTSGIDGILMDLGMSSMQVNDAERGFSVLKDGPLDMRMDPKATLKAEDILNSWPAAEVGRILRDYGEESNWHFLQNKIVKARLSGGLHSTGQLVDLIQNSTSRTKGRQGWIKTATRVFQALRIAVNDELRTLEDSIYSCFNSLAPGGRLAIISFHSLEDRIVKQAFLGVMNGGGIKGEEERCCHGLKTFGEDGEREAWVKQIMQGQNGTILTKRPITPSQKEEMLNSRSRSAKLRVIQKV >itb07g00150.t1 pep chromosome:ASM357664v1:7:116275:116598:1 gene:itb07g00150 transcript:itb07g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDKEERVNVGDEASMGRPRPEQQPPYISPMQPLTKEGYGGGFYGKDDEPAQNKNNNNQSSKPPAVAASKTQSADGPEEATVQPKHQPPPSTGDRDLDITGQSYIQ >itb11g21890.t1 pep chromosome:ASM357664v1:11:23683421:23685289:1 gene:itb11g21890 transcript:itb11g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISFRLLLTELSRSNQTISRTKQLHAIILKTHLSHDPFYATRIIRFYALNEDIVSAHHLFDETPHRSIFLWNSIIRAYARAHRFSNAFELFKDMLDSGTRPDNFTFACILRACSDKFDFSGLRVVHGGVVVSGLELDSICSSQLVSAYSKLGRSEEAIKVFDGIAEPDLVHWNSMISGHGCLGDWKNGLLLFIKMQRMGKKPDSFTYVGLIMCLDSPSLLRIGKNVHSLCLKFGFESNAHVASLLVSMYSRCKCMGSACRIFDSLLEPDMVTWSAMICGLSQSGDITKALDFFREMNMEGGKADPLLIASVLSAAAQLAIVQPGREIHGFAVRCGYHLEVSVSSALIDMYSKCGFLNLGIQVFKAMPNKNIISYNSMISNLGLHGNANVAFEIFEEVLEKEYSPDEATFSALLSACCHSGLVRDGRRYFRTMNDKFGIQPKTEHYVYMVKLLGMAGELEEAYELIQSIQEPVDSGIWGALLSCCDVHGNYRLGGIVAKHLFDNNKVEKSSYGVMVSNMYAGDGRWECVKKLRVDREAIKGKMPGKSWISNIKM >itb02g17720.t1 pep chromosome:ASM357664v1:2:13902146:13906426:-1 gene:itb02g17720 transcript:itb02g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSENFSVNFLMGGTAAVLSKSAAAPIERVKLLLQNQGELIKRGQLKRPYMGIGDCFQRVLREDGFASFWRGNQANVIRYIPTQACNFAFKGYFKNRFGYSKEKDGYIKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARECPINGRRQYKGLIDVYQKTLSSDGVVGLYRGFGVSIIGITMYRGMYFGIYDTLKPVVLSGTLQDNFFASFMLGWSVTTISGICAYPFDTLRRRMMLTSGQEVKYRSAFHALREIIRLEGFAALFRGVTANMLYGVAGAGVLAGYDQLHRIVYRPSYSFESRRAFK >itb09g27110.t1 pep chromosome:ASM357664v1:9:27555907:27558556:-1 gene:itb09g27110 transcript:itb09g27110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAQFDDGFPAVKLFNQGYSYTYDDVIFLPGYIDFPADAVDLTTKLSRNVSLSIPCVASPMDTVTESSMAAAMAALGGIGIVHSNTTPSQQASIVRSAKSHKIPFTADLVFVSPGDSIHSADEFGSAPCVFVTESGTQRSKLLGVVSRSSWESLSNKEARVSDYMTKSPTSLPSSYSFEDVAGYLEAQKLQFVPLVSEVDGEVVNLVTSANVDTIRGFPKLGLPSLGPDGKFLVGAAIGTRESDKERLEHLVKAGINAVVIDSSQGFSEYQINMIKFVKNTYPELDVIGGNVVTTYQARSLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSVAEGSGIPVIADGGIKNSGHFAKALALGASTVMMGSFLAGSSEAPGTYEYTKDGLRVKRYRGMGSLDAMTKGSDARYLGDKAKLKIAQGVAGTVKDKGSVLKFIPYTMQALKQGFQDIGVSSIQSAHQHLRSGATRLEVRTGAAQTEGGIQEGGAHGLVSYEKGRYF >itb04g12160.t1 pep chromosome:ASM357664v1:4:11935213:11936508:-1 gene:itb04g12160 transcript:itb04g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDELLNSLSSQKLIDSGKLAVPSANLSGTVPREIGELVALQSLYLGVNALSVTIPLELGYISSLSNVELSGNMFTGPVPVSIWNLCENLVSLRLHGHNSFSGDFSEFVARFSGLKELNLGDNKLSSTIPGSLSALHLEKLNISYYSFSGVLPNFGQPKFGVEAFEGNGPELCGLPLRPCNGNSGLSPGAIAGIVIGLMAGAVLLASLLIGYFSGKKRRTNDNETGEEDFEELEDEENGGGWWW >itb06g08550.t1 pep chromosome:ASM357664v1:6:12591368:12594285:1 gene:itb06g08550 transcript:itb06g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGTIVEELNISTIYTILGILFAVLVYFYRPYWVVRKVPGPPALPLVGHLPLLAKYGPDVFTVMAKRYGPVFRFHMGRQPLVIIADAELCREVGIKKFKDFPNRSIPSPISASPLHQKGLFFTRDARWSTMRNTILSLYQPSHLAKLVPTMQSFIESATQKLDSEGDFTFSDLSLKLATDVIGQAAFGVDFGLSKPKVGKDSLHNGVQEFINQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQVLKRIPGTMDWKVERTNKNLSGRLDYIVANRMEEKQRGSKDFLSLILSAVESEKVAKNLFTSDYISAVTYEHLLAGSATTSFTLSSIIYLVAGHPQVEKKLLQEIDGFGPADQVPSAHDLQTKFPYTDQVIKEAMRFYTVSPLVAREASAPVEIGGYSLPKGTWVWLALGVLAKDPKNFPEPEKFRPERFDPNCEEEKRRHPYANIPFGIGPRGCIGQKFSLQEIKLSLIHLYRKYTFRHSSLMESPLGIEYGIVLNFKHGVKVEAIRRS >itb15g04980.t1 pep chromosome:ASM357664v1:15:3225343:3227645:1 gene:itb15g04980 transcript:itb15g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTGCLGNFFQKAKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFALFLERKVRPKITFTIFLQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEKVDIKRVRCQAKVVGTIVTVGGAMLMTLYKGHAVNLLWSNFIHPNKSNVLQTSSADSDKDWLKGSILLIFATLAWASFFIIQAITLRKYTAQLSLTALVCFMGTLQSIAVTFAMEHRASVWSIGWDMNLLAAAYAGIVSSSIAYYVQGIVMQKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYVGGIMGAVLIVFGLYAVLWGKYKEYKEKEMIEAIAEPVKDQNRMMMMVVVEEDCDVDIEMQKTEANNRAAAGDAPAPASASAITAPAAS >itb06g20820.t1 pep chromosome:ASM357664v1:6:23642004:23643923:1 gene:itb06g20820 transcript:itb06g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGVFLISSSAVAPLPPKPPNSPPQLDVKLISRRNAVVLLSFVAPLFPALHHPSPASAFSLGISGPKQWLKEQKKKSAKFLLAPVDASRNSLQAAYLLLMKSGTEFSEKELDEIQSLLKSAARDCVPQDRNSFVQFQSKTGVEVCTFQLVVNNASSLLDDKDPIKLEAESKLTDVIRCFASLNGMTSELDIQVASNRQKIADALMDTVSSLNNFEQGVKDCLET >itb01g05420.t2 pep chromosome:ASM357664v1:1:3719251:3720660:1 gene:itb01g05420 transcript:itb01g05420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAEEKHRGIEAYDVQFTTKATQLYRLLDHAKERVMKECRPVQNLLFSECKLAINDLPNHIYDIAWDVILVDGPRGYAPSAPGRMSPIFTAGVLARSKVGGAAETQVFVHEIGREVERVSSERFLCNANLVDLVDALGHFAVPKMDADNFEFCPVSSSSRNSINGR >itb01g05420.t1 pep chromosome:ASM357664v1:1:3718840:3720660:1 gene:itb01g05420 transcript:itb01g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGITKLVVFHPPVSSAAAASPRVLLLGFVSFFALASALTFFSGKDAFSAAGTAGEGGNFPGIPQPVLEALLHYAAANTTFVSSRMSSAEVGAVAAAILRCGKGCNLLVFGLSHETLLWHSLNHGGRTVFVDESAYMVAKAEEKHRGIEAYDVQFTTKATQLYRLLDHAKERVMKECRPVQNLLFSECKLAINDLPNHIYDIAWDVILVDGPRGYAPSAPGRMSPIFTAGVLARSKVGGAAETQVFVHEIGREVERVSSERFLCNANLVDLVDALGHFAVPKMDADNFEFCPVSSSSRNSINGR >itb02g02470.t1 pep chromosome:ASM357664v1:2:1440214:1450909:-1 gene:itb02g02470 transcript:itb02g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAAGTAVVPKSEAISKGYNFASTWEQNAPLTEQQQAAIQSLSHAVAERPFPANLSQDHTLGHHNGFSISAKQNALEDGGAIDTVLVNTNQFYKWFMDLEAAMKSETEEKYQHYVNNLTEQIQTCDGILHQVDETLEFFNSLQLQHQAVATKTKTLHDACDRLLIEKQKLIEFAESLHSKLSYFDELENVATSFYSPSMNVANSNFLPLLKRLDECITYVESNPQYAECNVYLVKFRQLQSRALGMIRSHVLSVLKSTSSQVQASIRSSGGSNALVSEGVEASVTYVRFKAAANELKPVLEEIESRTPRKEYVQILEECHKLYCEQRLSLIRSIVQQRISEFSKKEALPSLTRSGCAYLMQVCQLEHQLFKHFFPSSSEDISSLAPLTDPLCTYLYDTLRPKLIHEKNLDVLCELVDILKVEVLGEQVSRRGESLAGLRPTLDRVLADVHERLTFRARTYIRDEIANYFLVDEDLDYPRKLEQSAETNSEAEAALLADQNAIKMWYPPLEKTVSCLSKLYNSLEPAVFTGLAQEAVEVCSLSIQKASKLVIKRSSLMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEQLRRILRGQASIFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKSTCEEFIMSVTKQIVEPLLSFVTKVTAVKVALSGSQNQKTESAIAKPLKDQAFASMEKIAEILQKVNAAIDQDLPRVLEKMRLYLQNPSTRAILFKPIKTNIIEAHSQVLSLLKKEYSPEDSQNILNMISLEDLEVKLDSLL >itb02g02470.t2 pep chromosome:ASM357664v1:2:1440228:1450878:-1 gene:itb02g02470 transcript:itb02g02470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAAGTAVVPKSEAISKGYNFASTWEQNAPLTEQQQAAIQSLSHAVAERPFPANLDHTLGHHNGFSISAKQNALEDGGAIDTVLVNTNQFYKWFMDLEAAMKSETEEKYQHYVNNLTEQIQTCDGILHQVDETLEFFNSLQLQHQAVATKTKTLHDACDRLLIEKQKLIEFAESLHSKLSYFDELENVATSFYSPSMNVANSNFLPLLKRLDECITYVESNPQYAECNVYLVKFRQLQSRALGMIRSHVLSVLKSTSSQVQASIRSSGGSNALVSEGVEASVTYVRFKAAANELKPVLEEIESRTPRKEYVQILEECHKLYCEQRLSLIRSIVQQRISEFSKKEALPSLTRSGCAYLMQVCQLEHQLFKHFFPSSSEDISSLAPLTDPLCTYLYDTLRPKLIHEKNLDVLCELVDILKVEVLGEQVSRRGESLAGLRPTLDRVLADVHERLTFRARTYIRDEIANYFLVDEDLDYPRKLEQSAETNSEAEAALLADQNAIKMWYPPLEKTVSCLSKLYNSLEPAVFTGLAQEAVEVCSLSIQKASKLVIKRSSLMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEQLRRILRGQASIFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKSTCEEFIMSVTKQIVEPLLSFVTKVTAVKVALSGSQNQKTESAIAKPLKDQAFASMEKIAEILQKVNAAIDQDLPRVLEKMRLYLQNPSTRAILFKPIKTNIIEAHSQVLSLLKKEYSPEDSQNILNMISLEDLEVKLDSLL >itb02g02470.t3 pep chromosome:ASM357664v1:2:1441152:1450909:-1 gene:itb02g02470 transcript:itb02g02470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKAAGTAVVPKSEAISKGYNFASTWEQNAPLTEQQQAAIQSLSHAVAERPFPANLSQDHTLGHHNGFSISAKQNALEDGGAIDTVLVNTNQFYKWFMDLEAAMKSETEEKYQHYVNNLTEQIQTCDGILHQVDETLEFFNSLQLQHQAVATKTKTLHDACDRLLIEKQKLIEFAESLHSKLSYFDELENVATSFYSPSMNVANSNFLPLLKRLDECITYVESNPQYAECNVYLVKFRQLQSRALGMIRSHVLSVLKSTSSQVQASIRSSGGSNALVSEGVEASVTYVRFKAAANELKPVLEEIESRTPRKEYVQILEECHKLYCEQRLSLIRSIVQQRISEFSKKEALPSLTRSGCAYLMQVCQLEHQLFKHFFPSSSEDISSLAPLTDPLCTYLYDTLRPKLIHEKNLDVLCELVDILKVEVLGEQVSRRGESLAGLRPTLDRVLADVHERLTFRARTYIRDEIANYFLVDEDLDYPRKLEQSAETNSEAEAALLADQNAIKMWYPPLEKTVSCLSKLYNSLEPAVFTGLAQEAVEVCSLSIQKASKLVIKRSSLMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEQLRRILRGQASIFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKSTCEEFIMSVTKQIVEPLLSFVTKVTAVKVALSGSQNQKTESAIAKPLKDQAFASMEKIAEILQKVCMLICLFHLFNFYM >itb03g18520.t1 pep chromosome:ASM357664v1:3:16774625:16776743:-1 gene:itb03g18520 transcript:itb03g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSGIDGGGGGGVTVKVEAEVVVVDECDDGGNGGLRSMEGVKGVGGPAPFLSKTFAMVDDPNTDAIIAWGASKKSFVVWDPHRFATELLPQHFKHANFSSFVRQLNTYKFRKVDSGRWEFANEGFQKGKKHLLKYIKRRRQHNHPAMQQQGGAENRVGACRNGAEVELHKLRTDQSTLKTEVMMLKQQQETTESYLTSIKEKLERAETRQKRMVVFMAKVLKNPLFVQCLIGKMKQNAALRGCEATKKRRLAASESHELLAKARSTADDMIFTTANESVDVKPLVAQAWVDDESGVPMLFNAVESNSSVEEQQANVFSEASNPEMNCENFFAWEKLMEEEDMIYENIVTAEHQNNIVYELEDMLAGDLVEQDDCPGLVPQAICEESSVGSLLD >itb03g18520.t2 pep chromosome:ASM357664v1:3:16774625:16776743:-1 gene:itb03g18520 transcript:itb03g18520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSGIDGGGGGGVTVKVEAEVVVVDECDDGGNGGLRSMEGVKGVGGPAPFLSKTFAMVDDPNTDAIIAWGASKKSFVVWDPHRFATELLPQHFKHANFSSFVRQLNTYKFRKVDSGRWEFANEGFQKGKKHLLKYIKRRRQHNHPAMQQQGGAENRVGACRNGAEVELHKLRTDQSTLKTEVMMLKQQQETTESYLTSIKEKLERAETRQKRMVVFMAKVLKNPLFVQCLIGKMKQNAALRGCEATKKRRLAASESHELLAKARSTADDMIFTTANESVDVKPLVAQAWVDDESGVPMLFNAVESNSSVEEQQANVFSEASNPEMNCENFFAWEKLMEEEDMIYENIVTAEHQNNIVYELEDMLAGDLVEQDDCPGLVPQAICSEESSVGSLLD >itb12g10160.t1 pep chromosome:ASM357664v1:12:8210416:8213591:1 gene:itb12g10160 transcript:itb12g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKFILLQNAIGIQVYRSRHRRNKLQIALSLLPLLRLRRHHSAAAAISPSPPPSLCLLLGTAVQRKDNWVIIHHIMNCSSIHIQNNTMGKHS >itb11g08420.t2 pep chromosome:ASM357664v1:11:5526304:5531222:1 gene:itb11g08420 transcript:itb11g08420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATAVTVQCSSSTNPLLSTRRRLHLPCASPSAAVITKNCFIASKISTFHRIRCCSDSSSSSSRGFGSNDSKVKKKSSTSRKDSRKPPSQRSGSDLNQAPSLNPQNNVLKSTNSVTDIEFEERLQAIKRSALEQKKVEEEKKFGAIDYDAPVEPKSSTVGLGTKIGVGVAVVVFGLVFALGDFLPSVSVKPTKEVITADKKISEEEKANLQKRLKQFEDTLATNPEDPTSLEGAAVTLAELGEYTRASSLLENLAKKKTDDPDVFRLLGEVKYELGDYEGSASAYKSSAMVSKTTDFEVLRGLTNALLAAKKPDEAVQMLLASREHLNEEKPSGGNDGSESSDTKSSSQVDPIQVDLLLGKAYSDWGHVSDAVAVYDQIISSHPDDFRGYLAKGIILKENGSVGDAERMFIQVSI >itb11g08420.t1 pep chromosome:ASM357664v1:11:5526304:5531862:1 gene:itb11g08420 transcript:itb11g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATAVTVQCSSSTNPLLSTRRRLHLPCASPSAAVITKNCFIASKISTFHRIRCCSDSSSSSSRGFGSNDSKVKKKSSTSRKDSRKPPSQRSGSDLNQAPSLNPQNNVLKSTNSVTDIEFEERLQAIKRSALEQKKVEEEKKFGAIDYDAPVEPKSSTVGLGTKIGVGVAVVVFGLVFALGDFLPSVSVKPTKEVITADKKISEEEKANLQKRLKQFEDTLATNPEDPTSLEGAAVTLAELGEYTRASSLLENLAKKKTDDPDVFRLLGEVKYELGDYEGSASAYKSSAMVSKTTDFEVLRGLTNALLAAKKPDEAVQMLLASREHLNEEKPSGGNDGSESSDTKSSSQVDPIQVDLLLGKAYSDWGHVSDAVAVYDQIISSHPDDFRGYLAKGIILKENGSVGDAERMFIQARFFAPKNAKALIDKYSR >itb11g21030.t1 pep chromosome:ASM357664v1:11:22394968:22397502:1 gene:itb11g21030 transcript:itb11g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNMSSSASASALPLPFSTATFTHHHNHREFSSSLLPISASPLNASEQCTSGAYPVLRHDEQQKSPPKAKTIRYRLSQLCREGQINLACQLFDEIPQPTTVLWNTIIIGFICNDFPDEAVMFYSRMKRSGLSYSCDSYTYSSALKACAETKRLRIGKSVHAHIIRSDIHPSRIVYNSLLNMYATCLSSEKHRDHDLVERVFNTMRNRNVVAWNTMFSWYTKTERFLEAVRHFVMMLKMGIKPTVISFVNIFPSISALGDIRFADVLYGTLVKLGSDYVNNMYVVSAAILMYAELGCLDTAKSIFDSSFDRNIEIWNSMISAYVQNNYPLEALHLFLDALEAEDVPSFDDVTFLSMLTAASQLCHLKCTQQLHSYLIKSSLVSHVILQNSMIATYSRCNCIGDSFKVFIQMKERDVISWNTMLSAFVQNGHDVEGLMLMYEMQKQGFAIDFITLTALLSAASNLRDEQIGKQSHAYLLRHNIQFEGMESYLIDMYAKSSMIKAAQAIFEVSSSNNRDQVTWNAMIAGNTQNGLIEQAFIVFRQMVEQNMAPNAVTLASILPACSQLGGITAGKQLHGFAIRNFLDKNVFVSSALVDMYAKSGEILYAESVFLSSCEKNSVTYTNMILGYGQHGMGEKALELFYSLPDQGVKPDAITFVAVLSACSYSGLVEEGLQIFELMDKEYGIQPSLEHYACIVDLLGRVGRVVEAYRFLQGLGEEGNMLGMWGSLLAACRIHRNFDLAKVVANKLLELEGGDRMTGYHVLLSNIYAEEGNWEFVNGVRGGLRERGFVKEVGCSWIDISGYTCGFVSRDRRHPNCNEIYEMLDNLFINMKDIGYRCQLDAI >itb07g12990.t2 pep chromosome:ASM357664v1:7:15066486:15076160:1 gene:itb07g12990 transcript:itb07g12990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDSLLFFSLLTPFYGEFSSSFHFLATFLHFRLALPPPSPFSFWPSTTVSTVVLPLILLSFPRSDPSVSTTKILPFPDFQENRLQNQSVQHSSGAACHSASDIASPRRRVIPVSGRPPPSQPARRKQSLLMYITPTNIRIAQWLPLFNPFLLPSPGVIGKCGFVRLVQRKRRSLVGVSVKTKMDLRVETNGMGMVQPATEDYAEAAVEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLPHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARHSGSALALTSANLSGQPSSINIRDFENLWSHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVDDGSGVQ >itb07g12990.t1 pep chromosome:ASM357664v1:7:15066486:15076160:1 gene:itb07g12990 transcript:itb07g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDSLLFFSLLTPFYGEFSSSFHFLATFLHFRLALPPPSPFSFWPSTTVSTVVLPLILLSFPRSDPSVSTTKILPFPDFQENRLQNQSVQHSSGAACHSASDIASPRRRVIPVSGRPPPSQPARKQSLLMYITPTNIRIAQWLPLFNPFLLPSPGVIGKCGFVRLVQRKRRSLVGVSVKTKMDLRVETNGMGMVQPATEDYAEAAVEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLPHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARHSGSALALTSANLSGQPSSINIRDFENLWSHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVDDGSGVQ >itb10g09570.t1 pep chromosome:ASM357664v1:10:12861220:12861796:-1 gene:itb10g09570 transcript:itb10g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPLPQPLDFTVRRRPPQLVPPAEPTPREIKHLSDIDDQEGLRSYIPLIFVYPKNCSMEGVDPAKFIRTAIAKALVFYYPFAGRLREGAGRKLTVDCTGEGVMFTEADADVTLDAFGDALHPPIPRLDELIYPVGGSGEFLNFPLLLFQFINFLP >itb15g02350.t1 pep chromosome:ASM357664v1:15:1411964:1415713:-1 gene:itb15g02350 transcript:itb15g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTLPKFAANPPPPFFFRCAAHAPHRHSISLSLQSENNITETMDRLTSAARLMIVSDLDHTMVDHHDPENLSLLRFNALWEANYRNNSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGNAMVPDNGWEECLNHKWNRNIVTEETSKFSELKLQSETEQRPHKVSFYVQKDKAEEVTKALSTRLAERGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKLKSEDKLPVNTLACGDSGNDAELFSIPDVHGVMVANAQEELLQWHAANAKGNPKIIHATERCAAGIIQAIGHFNLGPSTSPRDVTDLLDSKESVDPAYEVVKLFLFFEKWRRGEIENSEANLAKMKDFCCPSGAFIHPSGVEKSLQDCISSLRTCYGDKQGKQFRIWVDQVIPVQVGSDSWLVRFKRWELSGEEQQCRLTTVLLSSKDVSVAQGLKSMYVHQTWLDGAAAAKEDSSTCFF >itb04g10640.t1 pep chromosome:ASM357664v1:4:10185605:10189035:1 gene:itb04g10640 transcript:itb04g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSDVRPDFYSFPPILKACHNILDGIRIHCWVSKLGLEWDVFVAASLVHMYCRFKSFEDALMIFNDMPFRDMGCWNALISGFCQNGNAAGALTMLDKMRSVEIKMDKVTVATVLPICAQMNDILCGVLIHLYIIKNGLEWDIIVSNALINLYAKFGELGHAEKVFNQMVIRDIITWNSMIAAYEQNNHPDKALACFLAMQLNGFRPDLLTLVSLASSIAQTKNFRYSIAIHGFILRRCWILDDVVLGNAVVDMYAKLGYIDYAREVFNKVPFKDVVSWNTIITGYAQNGLASEAIEVYSMMKECGGITPNQGTWVSILTAYAHLGSLREGMRTHGQVFKVGLHLDVFVGTCLIDLYGKCGRLGDAMSLFYEIPKESPVPWNAMISCQGVNGLGVASIQLFRDMLDCGVKPDNVTFLSLLAACSHSGLIDEGKWYFHVMQQEFGIMPSLKHYGCMVDLFARAGQLEMAYNFIKRMPLPPDASVWGALLSACRVHGNVELGKLASDNLFLVDSENVGYYVLLSNMYANSGKWERVDEVRSLARVRGLKKTPGWSSIEMRNKIEVFYTGNQFHPQSKEINEELENLTAKMKTLGYIPDFSFVLQDVEDDEKERILSSHSERLAIVYGLLNTPSKSSICIFKNLRVCGDCHNFTKFLSRMTEREIVVRDSNRFHHFKDGICSCGDHW >itb04g10640.t2 pep chromosome:ASM357664v1:4:10185605:10189035:1 gene:itb04g10640 transcript:itb04g10640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSDVRPDFYSFPPILKACHNILDGIRIHCWVSKLGLEWDVFVAASLVHMYCRFKSFEDALMIFNDMPFRDMGCWNALISGFCQNGNAAGALTMLDKMRSVEIKMDKVTVATVLPICAQMNDILCGVLIHLYIIKNGLEWDIIVSNALINLYAKFGELGHAEKVFNQMVIRDIITWNSMIAAYEQNNHPDKALACFLAMQLNGFRPDLLTLVSLASSIAQTKNFRYSIAIHGFILRRCWILDDVVLGNAVVDMYAKLGYIDYAREVFNKVPFKDVVSWNTIITGYAQNGLASEAIEVYSMMKECGGITPNQGTWVSILTAYAHLGSLREGMRTHGQVFKVGLHLDVFVGTCLIDLYGKCGRLGDAMSLFYEIPKESPVPWNAMISCQGVNGLGVASIQLFRDMLDCGVKPDNVTFLSLLAACSHSGLIDEGKWYFHVMQQEFGIMPSLKHYGCMVDLFARAGQLEMAYNFIKRMPLPPDASVWGALLSACRVHGNVELGKLASDNLFLVDSENVGYYVLLSNMYANSGKWERVDEVRSLARVRGLKKTPGWSSIEMRNKIEVFYTGNQFHPQSKEINEELENLTAKMKTLGYIPDFSFVLQDVEDDEKERILSSHSERLAIVYGLLNTPSKSSICIFKNLRVCGDCHNFTKFLSRMTEREIVVRDSNRFHHFKDGICSCGDHW >itb09g13550.t1 pep chromosome:ASM357664v1:9:8869091:8869858:1 gene:itb09g13550 transcript:itb09g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIHAQQHHKEAIKPQERRQSDNNLNKKFVGVRQRPSGRWVAEIKDTTHKIRKWLGTYATAEEAARAYDQAACLLRGSNTRTNFVTRVPADSPLAARIRNLILNSKNCSKLRPQPKSSSNTNVLPSIVTRRNNSDDDSVSNGKIPDTDKDSFSYDVQTQFDVATYKADDLQRCSYELQKGSSSSSSCSSCTLWSFEKSIEFGEELIEFPKTSTVGEMGISEFERMRVEEYMQAIKDAGESLWDLPPLCSLSNTY >itb15g21260.t1 pep chromosome:ASM357664v1:15:23942181:23943126:-1 gene:itb15g21260 transcript:itb15g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCLLQLTSPAFAQKLIYLTSPGNLNNSLVESKPPPKKDAFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGIVGGLSDGFEEKDKGPTTVQTTFYMGRAMGQGSGLGKTGFTSNAEDDIFSSLSSGQQYGGFSK >itb04g00290.t1 pep chromosome:ASM357664v1:4:198729:201328:1 gene:itb04g00290 transcript:itb04g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGNSLIINELTQGRELAAQLKGQFDSFTSPEICEPLVEKILSSYEKALTLLNFKLFFGGDPNAMDSPLPLLANNSNVCPTGEASDGDSSKEQCHVFKKRKTSPQWSKQVRVCSASGLGANLDDGHSWRKYGQKDILGAHHPRAYYRCTHRNTQGCLATKQVQRSDGDASVFEVTYKGRHSCKASHPAMISGENERPKPQFQVQQPEGKQLQAQSLVLDYGLNQKLETSEEDHVLPPFSFPPTIKCEVADKENNLLKCCSPSPFTPPSTSEECMYLSFLPGQNDDDDFGLSQILDRSESDLTDHMISTPTSVTNSPFQDWDFLADQPNLDATDISKYFS >itb07g21750.t1 pep chromosome:ASM357664v1:7:26290065:26293290:1 gene:itb07g21750 transcript:itb07g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLCNCHFSCLQWPSDSFFGKLGNLVELNMSRSKIKEFQPDMKHLTCLKILKLDGCEVEKTPDFTGAHSLEKVSFRSCSKLVEVYVSIGSLKRLVELDFYSCSELMELPSSICGLTSLEVLNLFSCMKLKELPSSICGLTSLEVLNLGSCMKLKELPAKLGDLGKLRELNVRATAITNMPFSLGCLRNLKNLDLSSLRSTRTTRGCFYIQDLPFSLCDLSNLKNLNLDDWQNLRVLKDLPPTLESLSAGNCVSLEKIADISTLQRLQKLNIPNCKNLAPIPGLETLENLQLLEIRNCSRLTPVENWFQSYTLTLLHYAVRTKAKPTALQESTDDDEAAADEGSASPAIAADKGSASPAFQLFCFFPLFAHRQ >itb06g17590.t1 pep chromosome:ASM357664v1:6:21387364:21392990:-1 gene:itb06g17590 transcript:itb06g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSQPELGDNVRKEPGPLMKLILQAGRDKGAPSQGLMEPVEKKEPEKDLNWYLPLYKAALRGDWESAKNFFNQDPEAITAKITKVSETVLHIAVGTQRATSFVKELLELIPTEALVTLKDQAGQTALHYAAIYGNVEAAELLVTKSPELTNVWSGAGLLPIHLAAGYANKDMISYLFTVTRDDVEPSPFADRSGVELLNLVISAEFYDLALYLIQLYPKLATLRSPAGNTALRVIAGKPSAFPSGIRLNVWQRLIYSCVPVKFGNVYSPAKIGDMENPAAGNQMSMLICRWVHFFWEFGSSVCKKCHEATWEFIEWLVPYFKSVRDTRLMHRQTLQLIKRLCAEVAGLDYSSAASIFDGPILLAAVSGISELVEEILESFPPAIWSRNQEGHNIFLLAVENRRENIFNILYQMSEHKQLATQLRDVKRNNILHLAGKRAPPARLSLVSGAALQMQRELQWYKEVEKYVLPDSKDARNSNGRTPAVEFSVEHKDLVKEGEKWMKDTANSCTVSATLIATIGFAASITVPGGNNSDSGFPIFHKNTAFTIFAIFDALSLFSSTASLLMFLSILTARYAENDFLYSLPRRLIIGLTMLFLSITSMMVAFSATIYLVFGEKKAWTLVPVAGLACLPVTLFVTLQYPLLKDMITSTYCPGIFGKRSDRLLF >itb06g17590.t4 pep chromosome:ASM357664v1:6:21387467:21392981:-1 gene:itb06g17590 transcript:itb06g17590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSQPELGDNVRKEPGPLMKLILQAGRDKGAPSQGLMEPVEKKEPEKDLNWYLPLYKAALRGDWESAKNFFNQDPEAITAKITKVSETVLHIAVGTQRATSFVKELLELIPTEALVTLKDQAGQTALHYAAIYGNVEAAELLVTKSPELTNVWSGAGLLPIHLAAGYANKDMISYLFTVTRDDVEPSPFADRSGVELLNLVISAEFYDLALYLIQLYPKLATLRSPAGNTALRVIAGKPSAFPSGIRLNVWQRLIYSCVPVKFGNVYSPAKIGDMENPAAGNQMSMLICRWVHFFWEFGSSVPYFKSVRDTRLMHRQTLQLIKRLCAEVAGLDYSSAASIFDGPILLAAVSGISELVEEILESFPPAIWSRNQEGHNIFLLAVENRRENIFNILYQMSEHKQLATQLRDVKRNNILHLAGKRAPPARLSLVSGAALQMQRELQWYKEVEKYVLPDSKDARNSNGRTPAVEFSVEHKDLVKEGEKWMKDTANSCTVSATLIATIGFAASITVPGGNNSDSGFPIFHKNTAFTIFAIFDALSLFSSTASLLMFLSILTARYAENDFLYSLPRRLIIGLTMLFLSITSMMVAFSATIYLVFGEKKAWTLVPVAGLACLPVTLFVTLQYPLLKDMITSTYCPGIFGKRSDRLLF >itb06g17590.t2 pep chromosome:ASM357664v1:6:21387364:21392990:-1 gene:itb06g17590 transcript:itb06g17590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSQPELGDNVRKEPGPLMKLILQAGRDKGAPSQGLMEPVEKKEPEKDLNWYLPLYKAALRGDWESAKNFFNQDPEAITAKITKVSETVLHIAVGTQRATSFVKELLELIPTEALVTLKDQAGQTALHYAAIYGNVEAAELLVTKSPELTNVWSGAGLLPIHLAAGYANKDMISYLFTVTRDDVEPSPFADRSGVELLNLVISAEFYDLALYLIQLYPKLATLRSPAGNTALRVIAGKPSAFPSGIRLNVWQRLIYSCVPVKFGNVYSPAKIGDMENPAAGNQMSMLICRWVHFFWEFGSSVCKKCHEATWEFIEWLVPYFKSVRDTRLMHRQTLQLIKRLCAEVAGLDYSSAASIFDGPILLAAVSGISELVEEILESFPPAIWSRNQEGHNIFLLAVENRRENIFNILYQMSEHKQLATQLRDVKRNNILHLAGKRAPPARLSLVSGAALQMQRELQWYKEVEKYVLPDSKDARNSNGRTPAVEFSVEHKDLVKEGEKWMKDTANSCTVSATLIATIGFAASITVPGGNNSDSGFPIFHKNTAFTIFAIFDALSLFSSTASLLMFLSILTARYAENDFLYSLPRRLIIGLTMLFLSITSMMVAFSATIYLVFGEKKAWTLVPVAGLACLPVTLFVTLQYPLLKDMITSTYCPGIFGKRSDRLLF >itb06g17590.t3 pep chromosome:ASM357664v1:6:21387364:21392981:-1 gene:itb06g17590 transcript:itb06g17590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSQPELGDNVRKEPGPLMKLILQAGRDKGAPSQGLMEPVEKKEPEKDLNWYLPLYKAALRGDWESAKNFFNQDPEAITAKITKVSETVLHIAVGTQRATSFVKELLELIPTEALVTLKDQAGQTALHYAAIYGNVEAAELLVTKSPELTNVWSGAGLLPIHLAAGYANKDMISYLFTVTRDDVEPSPFADRSGVELLNLVISAEFYDLALYLIQLYPKLATLRSPAGNTALRVIAGKPSAFPSGIRLNVWQRLIYSCVPVKFGNVYSPAKIGDMENPAAGNQMSMLICRWVHFFWEFGSSVCKKCHEATWEFIEWLVPYFKSVRDTRLMHRQTLQLIKRLCAEVAGLDYSSAASIFDGPILLAAVSGISELVEEILESFPPAIWSRNQEGHNIFLLAVENRRENIFNILYQMSEHKQLATQLRDVKRNNILHLAGKRAPPARLSLVSGAALQMQRELQWYKEVEKYVLPDSKDARNSNGRTPAVEFSVEHKDLVKEGEKWMKDTANSCTVSATLIATIGFAASITVPGGNNSDSGFPIFHKNTAFTIFAIFDALSLFSSTASLLMFLSILTARYAENDFLYSLPRRLIIGLTMLFLSITSMMVAFSATIYLVFGEKKAWTLVPVAGLACLPVTLFVTLQYPLLKDMITSTYCPGIFGKRSDRLLF >itb06g17590.t5 pep chromosome:ASM357664v1:6:21387364:21392181:-1 gene:itb06g17590 transcript:itb06g17590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSQPELGDNVRKEPGPLMKLILQAGRDKGAPSQGLMEPVEKKEPEKDLNWYLPLYKAALRGDWESAKNFFNQDPEAITAKITKVSETVLHIAVGTQRATSFVKELLELIPTEALVTLKDQAGQTALHYAAIYGNVEAAELLVTKSPELTNVWSGAGLLPIHLAAGYANKDMISYLFTVTRDDVEPSPFADRSGVELLNLVISAEFYDLALYLIQLYPKLATLRSPAGNTALRVIAGKPSAFPSGIRLNVWQRLIYSCVPVKFGNVYSPAKIGDMENPAAGNQMSMLICRWVHFFWEFGSSVCKKCHEATWEFIEWLVPYFKSVRDTRLMHRQTLQLIKRLCAEVAGLDYSSAASIFDGPILLAAVSGISELVEEILESFPPAIWSRNQEGHNIFLLAVENRRENIFNILYQMSEHKQLATQLRDVKRNNILHLAGKRAPPARLSLVSGAALQMQRELQWYKEVEKYVLPDSKDARNSNGRTPAVEFSVEHKDLVKEGEKWMKDTANSCTVSATLIATIGFAASITVPGGNNSDSGFPIFHKNTAFTIFAIFDALSLFSSTASLLMFLSILTARYAENDFLYSLPRRLIIGLTMLFLSITSMMVAFSATIYLVFGEKKAWTLVPVAGLACLPVTLFVTLQYPLLKDMITSTYCPGIFGKRSDRLLF >itb14g10290.t1 pep chromosome:ASM357664v1:14:11617810:11626379:1 gene:itb14g10290 transcript:itb14g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGFILLPRTPMPPRRNHRAATPPPAGYHSPVGLCTPQPHTAPRRASPRSSSSSSVKLPSRRSDPDDPVEQFDESVGDSVAVHNTSRKVFRAFSYIFYCSSLNFLLETFSARKFIHQRRLDIDSFGSRTDLVETLKTAKLFKTVTKVERFVRDVIFEFYTNLVPELTNASILAFDLGQLLFDQIVDARNGKDGKRDAVTAGPSSSANCGELAIEFLEKELCLISAQRKDLVDRELQVRSLLLKLKGFGTIGSVDRSPNDNAVASESSGVELNSSPLPAAARTGTPSPAASRSRITVAVHHVRSRSRHAVHCHPLPPATAGQSHVLPGAAMSHIPPSQSRRRPAQVAQLDRGMGYFRPFRCSGSGLAGCGGLVLGGRLLGGCFCSGAIYF >itb14g10290.t2 pep chromosome:ASM357664v1:14:11617810:11625911:1 gene:itb14g10290 transcript:itb14g10290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGFILLPRTPMPPRRNHRAATPPPAGYHSPVGLCTPQPHTAPRRASPRSSSSSSVKLPSRRSDPDDPVEQFDESVGDSVAVHNTSRKVFRAFSYIFYCSSLNFLLETFSARKFIHQRRLDIDSFGSRTDLVETLKTAKLFKTVTKVERFVRDVIFEFYTNLVPELTNASILAFDLGQLLFDQIVDARNGKDGKRDAVTAGPSSSANCGELAIEFLEKELCLISAQRKDLVDRELQVRSLLLKLKGFGTIGSVDRSPNDNAVASESSGVELNSSPLPAAARTGTPSPAASRSRITVAVHHVRSRSRHAVHCHPLPPATAGQSHVLPGAAMSHIPPSQSRRRPAQVAQLDRGSKAQKTLPASSTPVATLPMGSSSGNAQDPEQAVAFPSMHTRALGKVLVDANKLLTAG >itb07g19100.t1 pep chromosome:ASM357664v1:7:23550766:23557462:1 gene:itb07g19100 transcript:itb07g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRALLLLFLIFSSAYTTFSLYEDQVGLMDWHQRYIGKVKHAVFHTQKAGRKRVVVSTEENVLASLDLRHGDIFWRHVLGHKDVIDGIDIALGKYVITLSSGSTLRAWNLPDGQMVWESFLSGSSPSRSILLVPSNLKVDKDSLILIYSSGYLHAVSSTDGEVIWKKELANEGLDIQHIVHPEGTDDVYAVGLTSSSQFVAYKINARKGELLKHESMVFPGGFSGDISLVTSEKVVALDSTGSILVSIIFKDGLINFQQVHIADLVQDFTGAAVLLPSKITGMVAIHVNKFVLFLRVTYEGKLEVVDKVAYGAVISESLSLSEGHQAFGLIKHGVGKIHLSVKSINDWGSNLLEESSAIDSQKGLVHKVFINNYIRTDRSYGFRALIVLEDHSLLLLQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVSKVETNLFEWLKGHLLKLKGTLMLATPDDVAAIQQMRFKSSGKSKMTRDHNGFRKLLIVLCRSGKLYALHTGDGRIVWSFLVNALRKSETCENPNGLKIHQWQVPHHHAMDENPSVLVVGKCELNSDAPSVLSFVDSYTGKELKILKPAHSIAQVIPLPYTDSTEQRLHLLIDSDGHGHLYPRTPEALGIFKRELGNIYWYSVDANNILRGHAVKENYFKDVGDDYYFATKYVWSVVFPSESEQIIATATRKLNEVVHTQAKVTTDRDVMYKYISKNMLFVATVAPKAAGDIGSVIPDESWLIVYLIDTVTGRILHRMTHQGSQGPVHAVLGENWVVYHYFNLRAHRYEMSVIEIFDQSRADNKDLLKLVLGKHNLTKPVSSYSRPEVLAKSQSYFFAHSVKTIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRTVNPTQAEKEDGIIPLTDSLPIIPQSYVTHAVKAEGLRGIVTVPAKLESTTLVFVYGVDLFFTQLAPSKTYDSLTDDFSYALLLLTIVALVVAIFITWIWSEQKELQEKWR >itb01g35020.t1 pep chromosome:ASM357664v1:1:37455325:37456649:1 gene:itb01g35020 transcript:itb01g35020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSKIRHIVRLRQMIRWWRKKAAMAARSRVPADVPAGHVAITVGINSKRFVVRATYLNHPVFKKLLSQAEEEYGFTNSGPLAIPCDESVFEEILRYLTRSESDHKNTARFMNYEDFQRYCHVGIRSNLEFWGESRPLLHGVSSKSIC >itb06g02850.t3 pep chromosome:ASM357664v1:6:4875787:4880037:1 gene:itb06g02850 transcript:itb06g02850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGAVVGSALTEPFRFLFRSIYVRIKNVLKIHSNYKDFEKNMEALLELKQLLDDDELQQHLPRTQVRDWLKRVEKLQNEFDSVRSTITRNGNTNGASGCMCSLDCQLSKNIAKGRVKVKKLIGDGRAFENNIVGVNPVPKTVEYIPQATLFEGQATAKRNLEKLKGLLMRNEKAKMIGVCGMGGVGKTTLVKNVNNELSKDPTNQDFDIVIWVVVSQNATVEIIQSKIAKRLHLAMNKEESEERAASRLYNKLKGKRFLLILDDIWEGVDLNAVGIPPLEDDIGSKVILTTRNFHVCQEMSTDIEFEIGGLSDEEAWELFSQRVGEEVINDDQIKPLAKAIVEECNGLPLALITVGASLRKKTEVALWKGALDALQKSEPTHIQGVEEKVYKPLKWSYDSLKGEQLKSCFLFCCLYPEDFEIDTDRLVQYWLAEGLLDEHQNYEQLQNDVKRIVDCLISSCLLEKCAVGIDKVKMHDVVRDVGVWIAKSSEDWCKSIINSGITEMQISQQLLSCSDKVKRVSFMHSKIAFLPDCTIQCPETTTLFLLGNWSLHEVPNSFLQGFQMLTTLDLSKSNIMSLPDSLLQLLELRALILRHCYLLHELPPLEVLRKLQILDCSFTPIAKLPAGFEKLTNLKILLLASTLQLREILTGKFCKLHKLEFLNMEDSGIQWGSRDTIEANAPFEDLLCLNQLIVLYIDLKSIPCFTTQHTSWFKRIKKFSFHVDHNAYWSSEIGNEVLLRGLHFSGDEMVGLSLLNSLCFSIDKCEEVDLMLDNLVRNSASLGPFVNLKKLSIQSCCIFGKPSSLGKCVVQMDLLPNLEFLSFFAVSGLETLSSISNFLGLGFTKLKEIEVYYCDDLENLLVMDGTRQKLEKLETIYIDGCEKLTQVFMNTLKDNIVPNLRTLFLCELPALKGICNANVSWESLEQLEVRECNMLGKLPLGMQSAESIQLIQGDQDWWDELEWDTENFKEHLQPHFNAWKS >itb06g02850.t2 pep chromosome:ASM357664v1:6:4875787:4880282:1 gene:itb06g02850 transcript:itb06g02850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGAVVGSALTEPFRFLFRSIYVRIKNVLKIHSNYKDFEKNMEALLELKQLLDDDELQQHLPRTQVRDWLKRVEKLQNEFDSVRSTITRNGNTNGASGCMCSLDCQLSKNIAKGRVKVKKLIGDGRAFENNIVGVNPVPKTVEYIPQATLFEGQATAKRNLEKLKGLLMRNEKAKMIGVCGMGGVGKTTLVKNVNNELSKDPTNQDFDIVIWVVVSQNATVEIIQSKIAKRLHLAMNKEESEERAASRLYNKLKGKRFLLILDDIWEGVDLNAVGIPPLEDDIGSKVILTTRNFHVCQEMSTDIEFEIGGLSDEEAWELFSQRVGEEVINDDQIKPLAKAIVEECNGLPLALITVGASLRKKTEVALWKGALDALQKSEPTHIQGVEEKVYKPLKWSYDSLKGEQLKSCFLFCCLYPEDFEIDTDRLVQYWLAEGLLDEHQNYEQLQNDVKRIVDCLISSCLLEKCAVGIDKVKMHDVVRDVGVWIAKSSEDWCKSIINSGITEMQISQQLLSCSDKVKRVSFMHSKIAFLPDCTIQCPETTTLFLLGNWSLHEVPNSFLQGFQMLTTLDLSKSNIMSLPDSLLQLLELRALILRHCYLLHELPPLEVLRKLQILDCSFTPIAKLPAGFEKLTNLKILLLASTLQLREILTGKFCKLHKLEFLNMEDSGIQWGSRDTIEANAPFEDLLCLNQLIVLYIDLKSIPCFTTQHTSWFKRIKKFSFHVDHNAYWSSEIGNEVLLRGLHFSGDEMVGLSLLNSLCFSIDKCEEVDLMLDNLVRNSASLGPFVNLKKLSIQSCCIFGKPSSLGKCVVQMDLLPNLEFLSFFAVSGLETLSSISNFLGLGFTKLKEIEVYYCDDLENLLVMDGTRQKLEKLETIYIDGCEKLTQVFMNTLKDNIVPNLRTLFLCELPALKGICNANVSWESLEQLEVRECNMLGKLPLGMQSAESIQLIQGDQDWWDELEWDTENFKEHLQPHFNAWKS >itb06g02850.t1 pep chromosome:ASM357664v1:6:4875787:4880245:1 gene:itb06g02850 transcript:itb06g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGAVVGSALTEPFRFLFRSIYVRIKNVLKIHSNYKDFEKNMEALLELKQLLDDDELQQHLPRTQVRDWLKRVEKLQNEFDSVRSTITRNGNTNGASGCMCSLDCQLSKNIAKGRVKVKKLIGDGRAFENNIVGVNPVPKTVEYIPQATLFEGQATAKRNLEKLKGLLMRNEKAKMIGVCGMGGVGKTTLVKNVNNELSKDPTNQDFDIVIWVVVSQNATVEIIQSKIAKRLHLAMNKEESEERAASRLYNKLKGKRFLLILDDIWEGVDLNAVGIPPLEDDIGSKVILTTRNFHVCQEMSTDIEFEIGGLSDEEAWELFSQRVGEEVINDDQIKPLAKAIVEECNGLPLALITVGASLRKKTEVALWKGALDALQKSEPTHIQGVEEKVYKPLKWSYDSLKGEQLKSCFLFCCLYPEDFEIDTDRLVQYWLAEGLLDEHQNYEQLQNDVKRIVDCLISSCLLEKCAVGIDKVKMHDVVRDVGVWIAKSSEDWCKSIINSGITEMQISQQLLSCSDKVKRVSFMHSKIAFLPDCTIQCPETTTLFLLGNWSLHEVPNSFLQGFQMLTTLDLSKSNIMSLPDSLLQLLELRALILRHCYLLHELPPLEVLRKLQILDCSFTPIAKLPAGFEKLTNLKILLLASTLQLREILTGKFCKLHKLEFLNMEDSGIQWGSRDTIEANAPFEDLLCLNQLIVLYIDLKSIPCFTTQHTSWFKRIKKFSFHVDHNAYWSSEIGNEVLLRGLHFSGDEMVGLSLLNSLCFSIDKCEEVDLMLDNLVRNSASLGPFVNLKKLSIQSCCIFGKPSSLGKCVVQMDLLPNLEFLSFFAVSGLETLSSISNFLGLGFTKLKEIEVYYCDDLENLLVMDGTRQKLEKLETIYIDGCEKLTQVFMNTLKDNIVPNLRTLFLCELPALKGICNANVSWESLEQLEVRECNMLGKLPLGMQSAESIQLIQGDQDWWDELEWDTENFKEHLQPHFNAWKS >itb01g12590.t1 pep chromosome:ASM357664v1:1:12150006:12151587:1 gene:itb01g12590 transcript:itb01g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSSSSSETLRSNWTHAMDEYFIELLLDQVQKGNRTSTSFSKQAWLEMIALFSDKYKCKHDTDVLKNRYKHLRKQYHNTKILVQNEFIWDDNRQMVTGNDEKWDDYIKAHPEMEPYRTKVVPYYNELRIIFGHTVADGRYSLSCFDIDFENEVKILEDNIPTKDDAKKIDWSETMEQHFIQLMLEQVQKGNKLNRTFKNKAWIHMITAFNTAFGFQYGKVVLKTRFNLLRRQYFAVKYLLSQDGFTWHERHQMVVAEDRVWKKVIKAQQYFRRYRNKSLSFYKDMSIICGNETPTFQYGYPFVKAGEFSVPIIQTTGKEANFRNVEEILEDDEREEKKCRYDTDTKEACEGSSEMNEETEIEIGMEDAMQEMVAAVTALTKKEKNTLLIEDVIYILQAMPDMDGDDDLLLDACDFLEDDNKAKVFLALDPGLRKKWLLRKLRPQ >itb07g03140.t1 pep chromosome:ASM357664v1:7:2133281:2134674:1 gene:itb07g03140 transcript:itb07g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMVDCRSLIEFCRAFEPRRNRNRFNANSQSKNRLSRNKNHCYFNLLAHPFCSDHSPMAAVDAVILILVLGSLGFLIIPYFKSVTCLVFPVLFDAVVEVISDAPVAYFVGLLATLLGVVVVIVAWEIIDLRSRRCGNPNCQGLRKAVEFDIQLESEECLKYLPPDASVNGNVFYNYGAKPLDLGQDRKELEAELKRMAPLNGRTVLIFRAPCGCPAGRLEVWGNKKIRKIKK >itb01g06190.t1 pep chromosome:ASM357664v1:1:4367721:4372515:-1 gene:itb01g06190 transcript:itb01g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MATPPKPEEISHLPMDQLQGLEYCIDSNPSWGEAIAMGFQHYIMALGTAVMIPSFLVPFMGGDHGDTVRVVQTLLFVQGINTLLQTLFGTRLPTVVGGSWAFAVPIISIIHDSSLARIADPHERFLSTMRAIQGALIVASSVQIILGYSQLWAIFSRFFSPLGMVPVVSLVGLGLLDKGFPVVGQCVEIGVPMFILFVAFSQYLKNFQFRKLPVLERFALIISVTIIWAYAHLLTASGAYKHRPEETQKNCRTDRAYLISSAPWIKIPYPLQWGAPTFEAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLSGLFGTATGCSVSVENVGLLGSTRVGSRRVIQISAGFMLFFSILGKFGALFASIPFTIFAAVYCVMFGVVASVGLSFLQFTNMNSMRNLFIAGVSLFLGLSIPEYFREYTSAALHGPSHTKAGWFNDFLNTIFLSSPTVALIVAVFLDNTLEYKDSARDRGMPWWAKFRTFKGDSRNEEFYTLPFNLNRFFPPS >itb01g06900.t1 pep chromosome:ASM357664v1:1:5105288:5111565:-1 gene:itb01g06900 transcript:itb01g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILLSFNARFLEAESGHLPLDELNALKEIADQVGKKDWDFGLNPCDTNNSNWLTPTDWDNPLYTNNLTCDCAFPGGICHVQSINLKGQDMQGVLPPALVKLPFLKIIDLTRNYLSGTIPPGWASMKLELISLTVNRLSGPIPKYLGNITTLTYLSLENNMFNGSVPPELGKLVNLQSLYLTANYLTGELPKELNALTNLTVLKLSSNNFTGKLPSFQSFKNLQKLELQASGFEGPIPENIAASTSLIELRISELNGGGVSRFPTLNNMTGLKKLMLRRCNLSGRIPDISHLTTLQQLDLSFNNLEGGIDVLQGLDKVQYMFLTNNSLNGQIPQWVLNRESKYYIDLSYNNFEESSVSPICTRETLNLFKSYNGGQNSRCLKQCTKDWYSFHINCGGGNVLIGDTTYDADQDSSGPAKFVSNRENWVTSNTGYFWDRNLTLPDYTTTNISVIKGKDSEIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKVLVKQFQAVVSNKTLEVRFEYVGKGTSAAPRKGIYGPLISAISVESDFKPPKKRKTLIIVVAVTSSLCLIFTILCFAWWKFYIRNKTSQENELQGIDLQTGKFTFRQIKAATNNFDATNRIGEGGFGPVYKGTLLDGTIIAVKQLSAKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVERKPLLLVYEYLENNSLARALFGPEECQLKIDWPTRQRICVGIAKGIAFLHEGSAIKIIHRDIKATNVLLDKELNPKISDFGLAKLNDDRNTHINTGVAGTRGYMAPEYAMWGYLTFKADVYSFGVVTLEIVAGKNNMKYHPDEDYVCLLDRALVLQENGNLMELIDPRLGSDFDKEQALKMIEVALLCTNPSPVLRPSMSEVVNMLEGSDDILECKSDQNEINSEVVRDGYDEMPVDLSDSP >itb01g06900.t2 pep chromosome:ASM357664v1:1:5105288:5111503:-1 gene:itb01g06900 transcript:itb01g06900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELISLTVNRLSGPIPKYLGNITTLTYLSLENNMFNGSVPPELGKLVNLQSLYLTANYLTGELPKELNALTNLTVLKLSSNNFTGKLPSFQSFKNLQKLELQASGFEGPIPENIAASTSLIELRISELNGGGVSRFPTLNNMTGLKKLMLRRCNLSGRIPDISHLTTLQQLDLSFNNLEGGIDVLQGLDKVQYMFLTNNSLNGQIPQWVLNRESKYYIDLSYNNFEESSVSPICTRETLNLFKSYNGGQNSRCLKQCTKDWYSFHINCGGGNVLIGDTTYDADQDSSGPAKFVSNRENWVTSNTGYFWDRNLTLPDYTTTNISVIKGKDSEIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKVLVKQFQAVVSNKTLEVRFEYVGKGTSAAPRKGIYGPLISAISVESDFKPPKKRKTLIIVVAVTSSLCLIFTILCFAWWKFYIRNKTSQENELQGIDLQTGKFTFRQIKAATNNFDATNRIGEGGFGPVYKGTLLDGTIIAVKQLSAKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVERKPLLLVYEYLENNSLARALFGPEECQLKIDWPTRQRICVGIAKGIAFLHEGSAIKIIHRDIKATNVLLDKELNPKISDFGLAKLNDDRNTHINTGVAGTRGYMAPEYAMWGYLTFKADVYSFGVVTLEIVAGKNNMKYHPDEDYVCLLDRALVLQENGNLMELIDPRLGSDFDKEQALKMIEVALLCTNPSPVLRPSMSEVVNMLEGSDDILECKSDQNEINSEVVRDGYDEMPVDLSDSP >itb01g06900.t3 pep chromosome:ASM357664v1:1:5105288:5111305:-1 gene:itb01g06900 transcript:itb01g06900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMEVNALKEIADQVGKKDWDFGLNPCDTNNSNWLTPTDWDNPLYTNNLTCDCAFPGGICHVQSINLKGQDMQGVLPPALVKLPFLKIIDLTRNYLSGTIPPGWASMKLELISLTVNRLSGPIPKYLGNITTLTYLSLENNMFNGSVPPELGKLVNLQSLYLTANYLTGELPKELNALTNLTVLKLSSNNFTGKLPSFQSFKNLQKLELQASGFEGPIPENIAASTSLIELRISELNGGGVSRFPTLNNMTGLKKLMLRRCNLSGRIPDISHLTTLQQLDLSFNNLEGGIDVLQGLDKVQYMFLTNNSLNGQIPQWVLNRESKYYIDLSYNNFEESSVSPICTRETLNLFKSYNGGQNSRCLKQCTKDWYSFHINCGGGNVLIGDTTYDADQDSSGPAKFVSNRENWVTSNTGYFWDRNLTLPDYTTTNISVIKGKDSEIYQTARLSPLSLTYYGRCLANGNYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGERKLKDFDIETEAQGVDKVLVKQFQAVVSNKTLEVRFEYVGKGTSAAPRKGIYGPLISAISVESDFKPPKKRKTLIIVVAVTSSLCLIFTILCFAWWKFYIRNKTSQENELQGIDLQTGKFTFRQIKAATNNFDATNRIGEGGFGPVYKGTLLDGTIIAVKQLSAKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVERKPLLLVYEYLENNSLARALFGPEECQLKIDWPTRQRICVGIAKGIAFLHEGSAIKIIHRDIKATNVLLDKELNPKISDFGLAKLNDDRNTHINTGVAGTRGYMAPEYAMWGYLTFKADVYSFGVVTLEIVAGKNNMKYHPDEDYVCLLDRALVLQENGNLMELIDPRLGSDFDKEQALKMIEVALLCTNPSPVLRPSMSEVVNMLEGSDDILECKSDQNEINSEVVRDGYDEMPVDLSDSP >itb07g21480.t1 pep chromosome:ASM357664v1:7:25861509:25864993:-1 gene:itb07g21480 transcript:itb07g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCD [Source:Projected from Arabidopsis thaliana (AT3G62130) UniProtKB/TrEMBL;Acc:A0A178VKW4] MQYCDKNPLKSWIKLSRTQTLTPTGIRDFPSAMDAPREDISTNGNDHNHNHHDDDNHLFKKQKLSFISESEIRQEFAHHQPGIARINNGSFGCCPSSIIAAQKRWQLRFLQQPDDFFFNHLQKRILHSRNLIKTLINADHVEEVSLVDNATTAAAIVLQHVGWAFAEGRFQKGDAVVMLHCAFQAVKKSIEAYVTRAGGSVIVVQLPFPVSSNEEIVAEFRRGLARGKANGRKIRLAIIDHITSMPAVVIPVRELVKICREEGVEQVFVDAAHAIGSVHVNVKEIGADFYVSNLHKWFFCPPSLAFLYCQKSTTSSELHHPVVSHEYGNGLAIESAWIGTRDYSSQMVFPEVLDFVNRFEGGIDGIRKRNHDAVVEMGEMLAKAWGTRLGAPPDMCPSMAMIGLPASLGVLTADDASNMRTLLRDRFGVEVPIHYQEPKDGESLGTMDENGCVTGYARISHQIYNTVDDYLKLRDAINQLVQERFTCKALHAE >itb04g16490.t1 pep chromosome:ASM357664v1:4:18175011:18177244:1 gene:itb04g16490 transcript:itb04g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGDSSTWVPVVEEFTFAANSAPFNNCHTSTIVEVNKDHFLVAYFGGTVEGALDVKIWDQTYKDGNWHPPIVADDELNVSMWNPVLFKLPSSELLMFYKIGTNVQSGTLLCGSSVESWNSWGAWMEMTADSGRTWRKYGPIYIKNNSLSVIQPVPYQTARGTLRILLRSFTGIDKICMSESRNGGYNWDYAKPTELPNPNSGIDGVKLKDGRVVLAYNTISRGVLKVAVSEDDGDSWHDVATLEDTSGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVFQPN >itb10g15390.t1 pep chromosome:ASM357664v1:10:21640703:21641686:-1 gene:itb10g15390 transcript:itb10g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIVCIIVFGALAVASALHNYREAQTVHAVGDTIGWIVPSDDAAAYSKWASADTFKVGDVLVFNFMTGKHDVLEVAKESFDACTTDKAIGSSLIDGPAHVILNTTGDHYYICTIGTQCKLGQKLAVTVSGDSDGPSPAMEPPAGPSGSSSSPSAGSTHSSATAVYAGLALTVASIAMAVCIYN >itb09g03810.t2 pep chromosome:ASM357664v1:9:2085729:2089872:-1 gene:itb09g03810 transcript:itb09g03810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSKLSVSNPKLWVVIGVGVAGIVILAEVHRRRRRLSAGNAIKEDFGAFIERFELLPFPQPPPPAAPLLLAGLTFAIKDNIDVKGYVTGLGSPEWKRTHEAAAETAAVVDALLKSGATCIGKTIMDELGLGVTGRNVHYGTPTNPKLPFHVPGGSSSGSAVAVASNLVDFALATDTTGCIRVPASFCGVLGFRPSHGIVSTTGVLPVSQSLDTIGWFARDPSVLCKIGRILLQLAPTVPKRMRRFIIADDHFQLSKVPKQKIVHVVRKVTEKISGCQAPKDMNLGKYIASNVPSLKVFCEESINHHHGMSTLRALSSVMFLLLSYEFRTNYEEWMNEVKLRLDPDVSGCIAAAATLTPENIKLLYKVRTETRTAFKSLLKDDGILVIPTITDHPSKLPSKKGLSIEARDRAFAILSIASISGCCQAAIPFGEHDNYPVSVSFIASHGSDNFLLHTVRDMYSSLEEEVRMASNASALPETDVSMDASELLKEKGNAAYKGRLWNKAVSYYTEAIKLNDMNATYYSNRAAAYLELGCFQQAEEDCTKAISLDIKNVKAYLRRGTARESLLLKKEALQGEYNAFPCKM >itb09g03810.t1 pep chromosome:ASM357664v1:9:2085096:2089872:-1 gene:itb09g03810 transcript:itb09g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSKLSVSNPKLWVVIGVGVAGIVILAEVHRRRRRLSAGNAIKEDFGAFIERFELLPFPQPPPPAAPLLLAGLTFAIKDNIDVKGYVTGLGSPEWKRTHEAAAETAAVVDALLKSGATCIGKTIMDELGLGVTGRNVHYGTPTNPKLPFHVPGGSSSGSAVAVASNLVDFALATDTTGCIRVPASFCGVLGFRPSHGIVSTTGVLPVSQSLDTIGWFARDPSVLCKIGRILLQLAPTVPKRMRRFIIADDHFQLSKVPKQKIVHVVRKVTEKISGCQAPKDMNLGKYIASNVPSLKVFCEESINHHHGMSTLRALSSVMFLLLSYEFRTNYEEWMNEVKLRLDPDVSGCIAAAATLTPENIKLLYKVRTETRTAFKSLLKDDGILVIPTITDHPSKLPSKKGLSIEARDRAFAILSIASISGCCQAAIPFGEHDNYPVSVSFIASHGSDNFLLHTVRDMYSSLEEEVRMASNASALPETDVSMDASELLKEKGNAAYKGRLWNKAVSYYTEAIKLNDMNATYYSNRAAAYLELGCFQQAEEDCTKAISLDIKNVKAYLRRGTARESLLLKKEALQDFRHAHVLEPQNKIAKLAEKRLKKLISI >itb06g13750.t1 pep chromosome:ASM357664v1:6:18424485:18425312:1 gene:itb06g13750 transcript:itb06g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGKICMLCTCLILVVIVIGMLFGFGVFKNGFHKLKDTLHEDCHNLFNATSAALCSSSKRPFLGVPAAAPAAY >itb09g29530.t1 pep chromosome:ASM357664v1:9:30262872:30265341:1 gene:itb09g29530 transcript:itb09g29530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTEAAPEAGMEDLTAVVVEEKPGRKFPAPCWTQEETLALIEAYRERWYALRRGYLRTADWDSVAETVVSRCPDASPAKTSAQCRHKMEKLRQRYRAEKQRSLSYPAGRFFSNWFFFENMDAMENGTVVSAARSSQEPDKQTISGNAFGLKTLIDQNILKLKLNPTKLTKSIEDSNPNFGFDQEITPKNFGARVPNGYLSYLDVAASKKEQVDVLHLETPFGIKTRIDGIPIPIGFKPKRSSFNGELKNGFDVVNKEMGKLDRGMNSNLSEYNGINPSEEYIMRVLADRNSSVHVRGNNGGGAMEDSNHDMGVSSNRFLSSSLPRVGKKMSDGSSGVGCKRERNSVDSVDQIAASIRFLGEGFMKIEKMKMEMAKEIEQMRMEREMKQSQMILESQKQMVDCFMKVLSELKNSKKAKKTGEHEQES >itb09g29530.t2 pep chromosome:ASM357664v1:9:30262872:30264895:1 gene:itb09g29530 transcript:itb09g29530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTEAAPEAGMEDLTAVVVEEKPGRKFPAPCWTQEETLALIEAYRERWYALRRGYLRTADWDSVAETVVSRCPDASPAKTSAQCRHKMEKLRQRYRAEKQRSLSYPAGRFFSNWFFFENMDAMENGTVVSAARSSQEPDKQTISGNAFGLKTLIDQNILKLKLNPTKLTKSIEDSNPNFGFDQEITPKNFGARVPNGYLSYLDVAASKKEQVDVLHLETPFGIKTRIDGIPIPIGFKPKRSSFNGELKNGFDVVNKEMGKLDRGMNSNLSEYNGINPSEEYIMRVLADRNSSVHVRGNNGGGAMEDSNHDMGVSSNRFLSSSLPRVGKKMSDGSSGVGCKRERNSVDSVDQIAASIRFLGEGFMKIEKMKMEMAKEIEQMRMEREMKQSQMILESQKQMVDCFMKVLSELKNSKKAKKTGEHEQES >itb12g15590.t1 pep chromosome:ASM357664v1:12:15997867:16000941:1 gene:itb12g15590 transcript:itb12g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVNRSGDDEFYCGSSLCSADFSHILSLGYADVYCPSKKRRCLPNPFVVEENSKMEILPDECLFEIFRRLGGGRERSAAACVSKRWLMLLSSIRSSEICKSKSHGDGAKAVSDCEIECDGYLTRTVEGKKGTDVRLAAIAIGTWSRGGLGKLLVRGSNSMCGITNAGLSAIARGCPSLRTLSLWDVPCVGDEGLMEIAKECHSLEKLDLCQCPSISSKGLVAIAERCPSLTSLTIESCSSIGNEGLQAIGRHCTLLQSVTIKDCPLVGDQGVATLLSSAPTKVKLHGLKITDFSLAIIGHYGKAITSLALSALQNVSQKGFWVMGNAQGLNTLASLTITSCRGTTDVSLEAVGKGCPNLKLMCLRKCCFVSDHGLVAFSKAAGALESLQLEECNRITQIGVVNAISNCGKLKSLSVVKCMNVMDLTPEAQLLSPCESLRSLSIRNCPGFGSNSLAMVGKLCPQLYQLDLSGLCGITDAPLLSLLDNSKAGLAEVNLSGCLNLSDEVVFALTRLHGQTLQVLNLDGCRKITNASLVAVANSCTFLNDLDVSKCLITDSGLAALSQGVQINLQILSLSGCFKVSSKSVPSLRKLGKNLIGLNLQQCNGMSSSAIELLVEHLWRCDILS >itb12g15590.t2 pep chromosome:ASM357664v1:12:15998556:16000941:1 gene:itb12g15590 transcript:itb12g15590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPDECLFEIFRRLGGGRERSAAACVSKRWLMLLSSIRSSEICKSKSHGDGAKAVSDCEIECDGYLTRTVEGKKGTDVRLAAIAIGTWSRGGLGKLLVRGSNSMCGITNAGLSAIARGCPSLRTLSLWDVPCVGDEGLMEIAKECHSLEKLDLCQCPSISSKGLVAIAERCPSLTSLTIESCSSIGNEGLQAIGRHCTLLQSVTIKDCPLVGDQGVATLLSSAPTKVKLHGLKITDFSLAIIGHYGKAITSLALSALQNVSQKGFWVMGNAQGLNTLASLTITSCRGTTDVSLEAVGKGCPNLKLMCLRKCCFVSDHGLVAFSKAAGALESLQLEECNRITQIGVVNAISNCGKLKSLSVVKCMNVMDLTPEAQLLSPCESLRSLSIRNCPGFGSNSLAMVGKLCPQLYQLDLSGLCGITDAPLLSLLDNSKAGLAEVNLSGCLNLSDEVVFALTRLHGQTLQVLNLDGCRKITNASLVAVANSCTFLNDLDVSKCLITDSGLAALSQGVQINLQILSLSGCFKVSSKSVPSLRKLGKNLIGLNLQQCNGMSSSAIELLVEHLWRCDILS >itb02g08530.t1 pep chromosome:ASM357664v1:2:5378205:5379911:-1 gene:itb02g08530 transcript:itb02g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNSFAGFSTENYGIQRMIRNGSSSSSSLVLDTEKGELVRALVRPGQKGVNPEKALIALRNHSEAERRRRERINGHLSTLRSLIPGTNKMDKAALLAKVIGEIKELRGSASEATKGILVPTDTDEVRVEQQAEGCDGATYSIKASLCCDYKHELLSELRQALDALPLKTLRAEIATLGSRMVSLFVVTENEGNVEDTERREFLASSVHQALRSVLDKFYASEEFSSRTSLSSKRRRVSFLNSSGSSSLGDFW >itb13g13650.t1 pep chromosome:ASM357664v1:13:20146805:20148929:1 gene:itb13g13650 transcript:itb13g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSVLPQTPLLTLKEDHKKSPEFSSSLKEQEWISLIKKCKSMKELKQVHGHILKLGLFSASSFCASNLLATCALSDWCSMDYACKIFQEMDDPDSFEFNTMIRANVKDMSLEEAIFLYIDMVEDEVMPDNFTFPALLKACAHLRALPEGMQIHGQIFKFGVEEDVFVENSLINMYGKCGEIRRACEVFDKMRHRTVASWSALIAGYANLGMWLDCLRVFNEMNSGGVFRAEESVLVSVLSACTHLGALDSGRSIHGHLLRSMSGLNIIVQTSLIDMYVKCGCLEKGLCLFQSMTKKNQMSYSVVITGLALHGRGHEALRVFGQMLDEGLEPDGVTYVSVLTACSHAGLTKEGIRCFERMLSEHEIKPTVQHYGCMVDLLGNAGFLDEAFELINTIPMEPNDVLWRSLLSACRAHKNVEMGEVAAKNLFELKPQNPSDYLMLSNMYAKAQRWQEMAMIRTEMANKGLKQEPGSCMVEVNRKVYKFVSQDMSYPQCDGVYEMLHQMEWQLKFEGYSPDTSQVLMDVDEEEKRQRLGNHSQKLAIAFALIHTSQGSSVRIVRNVRMCSDCHTYTKFISTIYEREIVVRDRNRFHHFKDGSCSCRDYW >itb14g01170.t1 pep chromosome:ASM357664v1:14:921270:928351:1 gene:itb14g01170 transcript:itb14g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVNFALAVVNLLFKIVLSKGMNQFVLATYRQAISAIFLAPIACFMERESYKKLTTFTICALFFSGLMGGTITLYLSLFGLKYTSTSFACGFVNTVPIDTFLMALLFRQEKLNMKCKSGKAKGIVGSSICYAVMAWCVKQRGPVFTSTFSPFIQIFAIVFDVSIIHEQIYLGSILGSILVVVGLYALLWGKSKEAEVCKTGPTADKDEQTVLPVVTNTPPRT >itb11g18600.t1 pep chromosome:ASM357664v1:11:18974104:18974886:-1 gene:itb11g18600 transcript:itb11g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRNSAGSCEIPRRWQTILGGEAVTDSLAVARFPLLRRLRRQQRAGARAWRACNSFHLRQRDRRRELDDNRIHLEKINSWVIPCVSVVVSFPSYAKATGRRRRCAGATP >itb11g22180.t1 pep chromosome:ASM357664v1:11:24011439:24016013:1 gene:itb11g22180 transcript:itb11g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLIRQSAQQLQVVSIVGMGGIGKTTLARKVYEDSSITFHFDKRAWVTVSQEYNNEQMLQCLIDCFKVASNDKQRNDNLAERLRKHLKDHRYLIVMDDIWSSIAWDSVQSCFPDDNNGSRIILTSRLKEVAEYVSSSNSTINMPFLDTNESWNLFCNVFGQMKFLSVFEEVGRYIVKKCEGLPIAIIVVASLLSKTEAALEKWNNVAENVSRYVIGDSNDACSKILYLSYNQLPHHLKACFLYFGVFREDCEIHVKKVAMLRVEDHQNIEEVAMECLQNLVDRSLVFVSKQSYNGKMKMQFTNHFHVTKWVKR >itb14g18570.t1 pep chromosome:ASM357664v1:14:21509639:21514564:-1 gene:itb14g18570 transcript:itb14g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGLPLQLTLVCFLLPLLQLTKSAPDGALITRIPGFNGTLPSKHYAGYVTIDETTGKKLYYYFVESERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFELGKTSGSLPTLHLNPYTWSKVTNMIYLDSPSGVGLSYSDNETDYVTGDLKTASDSHTFLLKWFELYKEFLPNPFFIAGESYAGVYVPTLSYEVVTGIDAAVKPVLNFKGYIVGNGVTDDEFDGNALVPFVHGMGLISDTLYEEVIAECHGNYYDPDSSTCENNLAKVDAEIAGLNIYDILEPCYHAKESSTIMHVNPKLPLSFRKLGETERPLPVRTRMFGRAWPLRAPVRDGIVPTWPQLLQSGSVPCTDDRVATAWLSSPEVRKAIHAEQEAVTGPFELCTDKITFTHDSGSMIKYHKNLTSRGYRALIFSGDHDMCVPFTGSEAWTRSVGYKIIDEWRPWIVNEQVAGYIQGYDYNLTFLTIKGAGHTVPEYKPQEALAFYTRWLEGKSI >itb14g21030.t1 pep chromosome:ASM357664v1:14:23228007:23231587:-1 gene:itb14g21030 transcript:itb14g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGEDGSFSSGNTGEEVHQQQQQQQKHLQAPNSTPPATVTPTNSNGSTSQQQQQQPPAKKKRNLPGTPDPNAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTAEVRKRVYICPEPTCVHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQAGGLMDPNNVNLQPQIPELMSANTAMGLSEFNNFDPKNPLKSLPQDLVPIPFKSINMAAGAGMFATSSGNLFGSPRSIATSSSGLQLSSNTPSPGFNGSSVVPMSATALLQKAAQMGATASNSINSPMMQKSFTTSMAGTDHRTSTPPPYGALEQQSSITPAFENFPTPPEGGFSAAMNDIAIYTGMLMNTTTTTNEQNPPAEQNPMTVDFLGVGGSRPPPAPAAGTSMHQQQQRLEMEAMNQQRMQAMSHPAFHHQQQHMLHGNSALWDV >itb08g03040.t1 pep chromosome:ASM357664v1:8:2560490:2564494:1 gene:itb08g03040 transcript:itb08g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRAETPIDENEIRITSQGRMRSYITYAMSLLQEKGSDEIVFKAMGRAINKTVTMVELIKRRIVGLHQITSITSTDITDTWEPLEEGLLPLETVRHVSMITITLSKKEPDTKSVGYQPPIPADQVKVFTEIDYEGGEGSPNVRGRGRGGRGRGRSRAASGNGYMGMEYDDGGYDRSRNYGGRGRGRGRGRGYFRGRGRGGGYNSPQFDTQQDGGYNHEAPPQGRGRGRGRGPRGRGRGFKSNGPIHAAAGGA >itb01g04220.t1 pep chromosome:ASM357664v1:1:2852404:2855350:1 gene:itb01g04220 transcript:itb01g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFCLLMNLVAKGRSDNFGMRYHCVNTTTYTPKSTYKANLDSLLSNLYSNATRNNGFYHTTIAAAGASNDTVHGLFLCRGDVSTDDCRSCIGEARIKILELCTNETTGIVWYDNCLLRYSENSMLGIIDVSTWFTAKSKDNDTRPNGYMQLVGNVLDQIISRASSGSDKKFAVFSDNFRVYGLGQCTPDLSNVDCQICFRNVIDMLPRCCFGAVGGIAVFPSCYVRYDRYPFYNLSAVAAPPPAPPPPPPTMIPNSAISKGNKRKVTIAASVVSITGILLFVLCLCFLKMKRAKKAATGNIGRLPNGQDVAVKRLSRGSTQGVEEFKNEVALVAKLQHRNLVRLLGYCLHREEKILIYEFVPNKSLDYLLFDSKKKQLLSWPTRYKIIEGIARGLLYLHQDSLLKIIHRDLKASNVLLDGNMNSKISDFGLARIMVDQTQGNTSRVIGTYGYMSPEYVLHGLFSVKSDVFSFGVLLLEIITGRKNSSFSMDSTGAHQDLLSYVWKHWVEDRALEMVDQSLGGLYSRNEVIQCIHVGLLCVQEEVDERPTMANVVLMLNSNSSKRRTPNPPAFFNGRNNTMISAGQEADQSRRKSLPLSVNEASISELYPR >itb15g06830.t1 pep chromosome:ASM357664v1:15:4587774:4589259:1 gene:itb15g06830 transcript:itb15g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSPAILPISSSQPAVASDSAVGPTPAFRSFINNISDIVRHGLSNRRPWAELTDRSAMSKPESFADATLRIRKNYAYFRINYLALIAVVLGVSLITNPFSLIFLAGLLAAWFFLYLFRPSDQPLVLCGRQFSDKETLGGLIVTTIIVIFLTNVGSVLVSALLVGVAAVCAHAAFRDPEDLFLDEQEPPTVGFLSFLTGAATTASAPGVAPRI >itb07g07550.t1 pep chromosome:ASM357664v1:7:5740328:5742884:1 gene:itb07g07550 transcript:itb07g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFNPACFFSFLIPLMTAFYFVHGEAELISNCINDFSCGNLGFVEFPFVKHTQHHCGLVAVNCDTTPPTVQLGTGGDWYQLQNVLNFGVHYAIKLGDSKLKKPFGSHNYSNLNYTIHFQNSPSIAFFNWDASLLNSYFKCNDSQADDIVGNYERYNCSGGISLYYKVQLPENNLKCDTVNCTLYPSPFIIQQTNDSLTAQFVLRMEVSQTCQECYHGGGQCTEDSKNQFHCAQATGNITTGNTTTGNITTVIYTPAGNRSKRKPIPIIVSGALALIVVSMAIFLVWQRKDGRKGGYSRNTSSDPTSDLERGRSRLFGILVFSYSELEEATRNFDPFKELGDGAFGTVYYGILGDGREVAVKRLHERSCKRMEQFANEISILNRLKHQNLVRLYGCSSRHNREFLLVYEYIPNGTVADHLHGNRSADRLLTWPIRMKIAVETAAALAYLHASDIIHRDVKTSNILLDKNFSVKVADFGLSRLFPANVTHATTTPQGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELVSSMPAVDMSRHTHEIYLAKLAMNKIVTGAVDELIDSSLGYEKDTEINRMTTSVAALAFQCLQSDKDMRPTMEHVLESLKEIQGNELRNDDEKMNGEETNVSKEEEVRGKAMRWTWGGPSSLPDGVILKHVAATKSSSLDM >itb07g10220.t1 pep chromosome:ASM357664v1:7:11021792:11022854:-1 gene:itb07g10220 transcript:itb07g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTDRDVTYRCGCCGYALNLSSCNRNTCVIGSGYDKAMKRGVISFLCIDETRFTHITKFRCLPYFSSKHSWGLFRRHTKLLCRECGTYIGTAAASYSSLNPQSQQWDGLSACQTYDINICSLTPS >itb03g01570.t1 pep chromosome:ASM357664v1:3:871447:872331:1 gene:itb03g01570 transcript:itb03g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEWYFFCVRDRKYPTGMRTNRATESGYWKATGKDKEIFTAKTLVGMKKTLVFYSGRAPRGERTNWVMHEYRLEGNHQIFQTEWVICRIFKKTAGGKKIHISALIRGGGDCGNNSTPSSLPPLTDISQATYFSDSADDQKPIMMASSFASSPSPSKNSDVSPAPLIFPADPTPATYFHSDQIIPYADNVDFSDSGMTQDHSVPRFLHPYEEPAFLMTSAAAGPVDIGCLWDY >itb11g11240.t3 pep chromosome:ASM357664v1:11:8209103:8212038:1 gene:itb11g11240 transcript:itb11g11240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFHYHSSPFTSPNFTRLSRLKTLPNHSSLSVRSLATSNRQSEDEESNPNAAPEKKKAKRLSEQSSWEAKDSDGKDYLYRLGAEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFLRFGISTIDASDLVPVIGLFAVCHIVKNFISKSINAKVPLILGIWGGKGQGKTFQTELIFQTMGVEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQVRSLNTFTTGQAELLDDQ >itb11g11240.t1 pep chromosome:ASM357664v1:11:8209103:8212087:1 gene:itb11g11240 transcript:itb11g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFHYHSSPFTSPNFTRLSRLKTLPNHSSLSVRSLATSNRQSEDEESNPNAAPEKKKAKRLSEQSSWEAKDSDGKDYLYRLGAEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFLRFGISTIDASDLVPVIGLFAVCHIVKNFISKSINAKVPLILGIWGGKGQGKTFQTELIFQTMGVEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKLSCLMINDLDAGLGRFGKFHFLN >itb11g11240.t2 pep chromosome:ASM357664v1:11:8209103:8212038:1 gene:itb11g11240 transcript:itb11g11240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFHYHSSPFTSPNFTRLSRLKTLPNHSSLSVRSLATSNRQSEDEESNPNAAPEKKKAKRLSEQSSWEAKDSDGKDYLYRLGAEADNMNIAVGARAGVIDDLFTGNFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFLRFGISTIDASDLVPVIGLFAVCHIVKNFISKSINAKVPLILGIWGGKGQGKTFQTELIFQTMGVEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQVRSLNTFTTGIWQAELLDDQ >itb10g04080.t1 pep chromosome:ASM357664v1:10:3843121:3844356:1 gene:itb10g04080 transcript:itb10g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIYCAFTFVLFLLAHLGESAEIKVAAKAGVDISPELLKAWTEACAATTPSTIVIPKGTFEMKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVEALARPRVKSL >itb13g20310.t1 pep chromosome:ASM357664v1:13:27112961:27120405:1 gene:itb13g20310 transcript:itb13g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKSLFWIGLLDSGFSSQAAQLTQEPDPNWAMQQLPEFDSRAYACMLQGCVNNCQLALGKSLHCDILKRGGSLGLYGRNILLNLYVKSELLSHAHQLFDEMAERNMVSFVTLIQGYMRLERYVEAVELFVRLHREGHELNPFVFTTILKVLVNMEWGEMGRGIHACIYKLGHDLNPFVATALIDAYSVCGLVKAAREIFDGIVDKDMVLWTGMVTCYAENGYSEEALELFSGMRLAGWRPNNFTFASVMKACHAIEAIDIGKSLHGCVLKTNYMVDPFVGISLLDLYTKAGDIKEARYIFEEIPKDDVIPWSFMIARYSQSDQCDEALDLFCQMREALVVPNHFTFTSVLQACATMEAMDLGRQIHCHVTKVGLDSDVFVSNALMDVYAKCGMLESTVQLFTDSANRNDVSWNTIIVGHVQMGDHEKAMHLFTSMLEIQVQATEVTYSSILRACASQAALEPGMQIHALTAKTIYCRHVAVGNALIDMYAKCGNVKDARLVFDTLSLRDVVSWNAMVSGYSMHGLGSEALKVFERMQSMDIKPNKLTFIGVLSACSNTGSLDLGQSYFSLMQEHYGIEPCIEHYTCMVSLLGRLGHLDRAVKMIEEIPYEPSIMIWRALLGACVLHNNVELGRTAAERVLEMDPQDESTYVLLSNMYATAKRWDNVSFVRKSMKKKQIKKEPGLSWVENQGVVHYFSVGDVLHPDIKLIHAMLEWFNMKSKAAGYIPNLDAVLLDVEDDEKARLLWEHSERLALAFALIRTPSGSPIRIIKNLKICMDCHAAIKIISALVHREIIIRDINRYHHFQDGMCSCGDYW >itb12g24850.t2 pep chromosome:ASM357664v1:12:26251665:26257798:1 gene:itb12g24850 transcript:itb12g24850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSYMSVSTVCTVLSFIGLQCWIGWSLDKVSSDGLIVESIINPLNASQALEAILDSYTTIALVANFVINTFVLLILCLKTIFFGELHSSETRKLAERLINYIIYKGTFLPLVVPPTIFQAGLWSTWLVVLCSLKMFQALARDRLDRLNASPTATPWNYFRVYSMLLLVFSLDLFWIKLCLTIYSTISSPIYLLLFFEPLSIAFETLQAILVHGFQLLDIWLHHSSGDIANSRMSKLFDVSAAGSFLEWKCILIRNVGFFLDMMTLLMALAHYVHIWWLHGMAFHLMDAVLFLNIRALLSAIVKRVKGFIKLRIALGTLHGALPDATSEELQAYDDECAICREPMAKAKKLSCNHLFHLACLRSWLDQGLAENYSCPTCRKPLFVGRPENEVNTRAAEVSGDEQLARQMSLVLDRSNLPGHPVPTEVFRNHTQNPLENRDWRGAGVDSSWLGFDGAGPSTGVRSGSLGRVQMMMRHLAAVGETYAQTALEDAAWSLLAMHPSQAAGTSSSPTPPANPPRNPDSTGGGLRLRTPHAAAANDNIAHIVAMAETVREVLPHIPDEIIFQDLQRTNSPTVTVNNLLQM >itb12g24850.t1 pep chromosome:ASM357664v1:12:26251358:26257798:1 gene:itb12g24850 transcript:itb12g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSYMSVSTVCTVLSFIGLQCWIGWSLDKVSSDGLIVESIINPLNASQALEAILDSYTTIALVANFVINTFVLLILCLKTIFFGELHSSETRKLAERLINYIIYKGTFLPLVVPPTIFQAGLWSTWLVVLCSLKMFQALARDRLDRLNASPTATPWNYFRVYSMLLLVFSLDLFWIKLCLTIYSTISSPIYLLLFFEPLSIAFETLQAILVHGFQLLDIWLHHSSGDIANSRMSKLFDVSAAGSFLEWKCILIRNVGFFLDMMTLLMALAHYVHIWWLHGMAFHLMDAVLFLNIRALLSAIVKRVKGFIKLRIALGTLHGALPDATSEELQAYDDECAICREPMAKAKKLSCNHLFHLACLRSWLDQGLAENYSCPTCRKPLFVGRPENEVNTRAAEVSGDEQLARQMSLVLDRSNLPGHPVPTEVFRNHTQNPLENRDWRGAGVDSSWLGFDGAGPSTGVRSGSLGRVQMMMRHLAAVGETYAQTALEDAAWSLLAMHPSQAAGTSSSPTPPANPPRNPDSTGGGLRLRTPHAAAANDNIAHIVAMAETVREVLPHIPDEIIFQDLQRTNSPTVTVNNLLQM >itb12g05420.t1 pep chromosome:ASM357664v1:12:3992783:3994419:-1 gene:itb12g05420 transcript:itb12g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLNPAHLAGLRRLSARAAAPSPATPVPPRNSLLSFTNLAEKVVAHLKKSGIQVERGLSESEFARAEAEFKFAFPPDLKAILSAGLPLGPGFPDWRLSGAARLQLRACIELPIAAISFHIARNALWSKSWGSRPADPEKAIKIARNALRRAPLLIPIFNHCYIPCNPSLAGNPIFYVDENRIYCCGLDLMDFFDRESSLFRSITEISSCNTSRRSLDAGRTPRWVEFWSDAAVDKRRRNSNYSSSSSASPERFSGSGMPKSHVPNWVNEYIDQIGSVLREGGWNESDISEISAVSAAGFFEEEMVLLDNQAVLDALLVKTDRFSDSLRKAGWSSDEVSYALGFDFRTEKVKKPTKQLSPELVEKIGKLAESVSLSSS >itb09g12310.t1 pep chromosome:ASM357664v1:9:7768119:7771778:1 gene:itb09g12310 transcript:itb09g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRQQQRYHEGFRLIAGCIPFKFRDVEENGGDISRKVVEVLMINSTSGPGLLFPKGGWENDETVEEAAMREAIEEAGVRGDLVHFLGYYLFKSKTLQDEFSPEGLCKASMFALLVKEELESWPEQSLRQRSWLTIPEAIECCRHPWMREALEEGFLKWHDSGMESTMNKCED >itb10g04900.t1 pep chromosome:ASM357664v1:10:4848942:4850563:-1 gene:itb10g04900 transcript:itb10g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVTSSGAYIPITLAYSKRENDKATSRLLEDIHPVKWKQFRGAVAEIDPPPPPPQPNFAVKKHLRAKPSRRIRTHLSAYSELESDILQESSDLDSVRFLILCFVLDLRCMSPPLLRECSAVIEACAEYAEVINTGNQYIFNTQSKYPSAESSDCKSFAKLFEEFFDKLTNMPALLHVSKTGKAKAKEGDDVNVKVKEKQRTTPSVVVYTKNGDRLVGQIAKRQAVVNPENTFFSMKRFIGRKMAEVDEESKQVSYNVIRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVNAFFVCPRPKGVARHYSSVTVWMHSAFIRASKEILDLETELSLI >itb04g33850.t1 pep chromosome:ASM357664v1:4:35959810:35963016:-1 gene:itb04g33850 transcript:itb04g33850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTCVHQIGYFFIILFTFVLMDLCNCSGSNNMGVCKEREMLALLCFKNEFEDPMNRLSSWVDGVDCCTKWEGVVCHNVTGHVVQLRLTNPNFDFEFDHLPKGTLSPCLLELKQLHHLDLSGLYKYFSGSRIPNFIGSFANLQYLDLSRLGFQGMIPPQLGNLTSLHTLILQSNFDYSSDSSLIKTNSLDWLTNLSNLRLLDLSYVNLSMTHNWLETINMLPSLRELHLSHCDLPKLSQSLRLLNNSLLEVLDLSFNEFNTVVPKWIFNLNNLVSLDLTFSGFLSPLPDVPWNLTSIITLNISRNYGMIGSLPSQLFRLSGLISLNLRDNQFQGPLPNGPWNLTSLSVLDISGNNLNGSLPNQLFGLSHLVSLDISSNQLQDPLPTGFSNLTFLKNLDASGNRMNCRIPNRIYDWTNLESLRLSDNQLQGTISNSISNLTSLSALYLLGNMLTGEIPKQIGNLSKLQVLSLSGNMLTGEIPKQIGILSKLQGLDLARNRLCGPLPENLGYSFPMIERLDISDNKLEGIVTENHFVNLTKLTGLDASGNRLTMRVNPNWTPPFQLDWLDLSGWNLGPQFPLWLQSQHQISEVDISNAGIEGELPTWLWNFSSQILLVNVSHNQLRGQLQNISFHPTREMGMGLLVYLGSNQFSGPLPHISSHITELDLSNNYFSGDVSDFLCHAQNMSNNLRILHLGRNALSGEIPDCWMHWPHLRVLYMKENKLVGSIPNSIGLLNMLESLDVHKNMLSGHIPPSLQNCTYLFKIDMGDNGFTGKVPRWLGTSFSYLTILSLRSNKFYGELPLEFCHLTSLRILDISNNHFSGLIPRCLKNFTAMINEEDFSDAEFQMSYSFYANDFGESALVTTKGLEYEYASLPQLLFAEMDLSSNNFTGDIPIDLTNLSRLRSLNLSRNNLTGNIPTEMGNMKLLESLDLSRNQLFGKIPSSFSSLCSLGVLDLSYNNLFGKIPSGTQLQGFNASCYVGNNLCGPPVSQNCSVGDGKIPKNKNKGDDDSSGLDWFYVSMAIGFDVGFWGICGSLFLVEPWRIAYFKFLDNKWKSFLAWAHALRTT >itb09g19040.t1 pep chromosome:ASM357664v1:9:15111383:15115376:-1 gene:itb09g19040 transcript:itb09g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEKEEVKGEKKTEVITAVYRVRLHCPQCAHDIRKPLLRTPGVHTVDVKFEKDEVEVTGGIDAKIIHQRLEKWRIHNVKTDFKSQTITVETVAESEKIASYVRKALGKHVEIVTAKKEEEKKEKIIVKEKKEEVMVEEKKEKVVVETKSGEKFEEFKEVNKVEVKVKEGGGAPYFIHYVYAPQWFSDENPNACLIM >itb06g03640.t1 pep chromosome:ASM357664v1:6:6027251:6027955:-1 gene:itb06g03640 transcript:itb06g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPQSSSHDHTFGQTHSIHARVRLAVKTDVPHLHKLMQQMASYHDYTKVFTSTETSISTNLFDSNPCPPFYSATALILEVSPNSFPPAVLPAGPAGFDPVYKTLPLGSAVADPESETYESPQEGDNNVVVVAGYILFYPSYSGYFEKPSIFLENLYVRECYREFGLGKMLLSAMAARAATLGFSTVDWLVVGCNVKAIEFYVGMGGQIMQDVKRFRLSGEGLKAFAKEQDEQ >itb13g26700.t1 pep chromosome:ASM357664v1:13:31858820:31860547:1 gene:itb13g26700 transcript:itb13g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQIKTLYVLFVTVAAIIIPTSLGHIGEYDEVWHKRKTEAWEHALKTYEPDPSNVTFTLNHHVRKVILEYRNNVTTETDNNSTRRHLGTKKYRGPCNATNPIDRCWRCRRNWAKNRKRLANCALGFGYKTKGGKNGGFYIVTDNSDNPINPRPGTLRHAVIQKKPLWIIFARNMNIRLKQELMVESHKTIDGRGAQVHISGGAGITLQFVHNVIIHGIRIYDILSCSGGMIRDSIHHFGLRTRSDGDGISIFGSSDIWIDHVSMKKCDDGVIDAIEASTAITISNSHFTDHNEVMLFGANDWSPKDKIMQITIAFNHFGKRLVQRMPRCRYGYFHVVNNDYTHWNMYAIGGSADPTIISEGNRFIAPLDIHKKEITHRAAGTPQQWKKWTWRSQGDIYMNGAYFVQSGDPNFNHNKLYDGLRAFKAHEVTWLTRFAGVLTCKIGSPC >itb02g01930.t1 pep chromosome:ASM357664v1:2:1056324:1059909:-1 gene:itb02g01930 transcript:itb02g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTIALYASPPSSVYSTPYSCQINSHASHDFDFNSRSSSSASTTTSSSQKPAVGGLSCLFSSQSVKHASSSSSFSTGTEDLGSLWHDRGEELSSSFRGSSLSSSLKRDHSPMTVLQGPGSSSGSGGIGIGACSRSPSKRIGGDFYSARSGSGGLFNGFVRHALGSCVDYDPVNLHLRDSDSAPPGLLDELTFNIDDGFGDSKLEPYAKHLLLDAQSKHKIFHDDLVVKAFCEAENAHRGQMRASGDPYLQHCVETAVLLATIGANSTVVAAGLLHDTLDDTFVTYDYISLSFGAGVADLVEGVSKLSHLSKLARENDTANKIVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDSLPLIKQQRFAKETLEIFAPLANRLGISTWKEQLENLCFKYLNPVQHKELTSKLETSFDEAVVTSAVEKLERALKDESISYHSLSGRHKSLYSIHRKMSKKKLNMDEIHDIHGLRIIVENEEDCYKAATVVHQLWPEVPGKFKDYILHPKFNGYQSLHTVVIGEGMVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVEWARWVITWHCETMSKDQPCISHSDSIKPPCKFPSHSEDCPFSCIPECGADGPVYVIMIENDKMSVQELNAHSTVMDLLEKAGRGSSRWIPYGFPVKEELRPRLNHSPIIDPTCKLKMGDVIELTPAIPDKSLTEYREEIQRRSKLKLERGLQRRSFVHFYVQK >itb07g04620.t1 pep chromosome:ASM357664v1:7:3094348:3095726:-1 gene:itb07g04620 transcript:itb07g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKQQKHVKFLIYGKTGMIGGMLGKLCEEKGIPFEYGKARLEDRSGLVSDMTRVNPTHVFNAAGATGTPNVDWCEFNKVETIRSNVIGALNLADVCEEKGVLLLYFGTGCMFDYDSEHPVGSGLGFMEQDKSNYAGSFYSKTKGMLEDLLGEYNNICILRVRMPLLSDLNHPRNFIKKILGYEKVVNIPNSMTVLDELLPMAIEMAKRNCKGIWNFTNPGVITHNEVLELYKKYVNPRLTWTNFTLEEQRKVLAAPRCNNELDSSKLKREFPELLGVKESVIKYVFEPNTKK >itb15g18110.t1 pep chromosome:ASM357664v1:15:19456329:19459786:-1 gene:itb15g18110 transcript:itb15g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRWSILCLLFAAVAVLLAAAPAESAIGVNWGTITLHKLSPPTVVDLLRDNHIRKVKLFDADPGVLRALMGSGIEVMVGIPNEMLALISSSSSAADSWVSQNLTRYMVRGGVNIKYVAVGNEPFLTSYSGQYQSYVLPALTNLQQSLSRANLVGAVKLVVPCNADAYESAALPSQGTFRSDLTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSDFPEDYAFFDGTAHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQMPIVIGEVGWPTDGAISANLTAAKAFNQGLAYHVLGNKGTPLRPGVPPMDVYLFSLLDEDGKSVLPGNFERHWGIFSFDGQAKYQLNLGNGQLKNAKDVQYLPFRWCIAKPDRDPSAVDNHFRIACSFADCTTLNYGGSCNGIGAKGNISYAFNSYYQLQKQNPQSCDFDGVGMVTFLDPSIGECRFLVGVEDGKSSGFSLQTRWIITVLIIVGGFWLSFTI >itb03g29460.t1 pep chromosome:ASM357664v1:3:30352987:30357616:1 gene:itb03g29460 transcript:itb03g29460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKLRISIKESTMVKPAKPTPSKKLWSSNVDLIVGRVHLLTVYFYRPNGSSNFFDPKAMKESLSRVLVSFYPMAGRMGRDGDGRLEIECNGEGALFVEAESDAIIDDFGDFTPSLELRKLLPSVHTSGDISSFPLAFFQVTRFKCGGVSLGTGIFHTLADGLSALHFINTWADLARGLSVAIPPFIDRTILRAGDPPRPAFKHIEYDPPPTLLSKLQGANNSTTAMLKITPQQLALLKTKSGHEGSTYEILAAHIWRCVCKARGLSDDQTTKLYIATDGRSRLCPPLPPGFLGNVIFTTTPVAESGELQTEPLARSAKRIHGALVRMDDEYLRSALDYLECQLDLSKLVRGSNYFASPNLNINSWTRLPVHNSDFGWGTPVHMGPALILYEGTVYILPSPNKDRSLTLAVCLDADHMPLFQELLYKF >itb09g22540.t1 pep chromosome:ASM357664v1:9:20930932:20937901:-1 gene:itb09g22540 transcript:itb09g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRARYPPPGMSSGGRGGAGLNPNANPDFKPRNPHQYAQRSPVPNQQHFQNQQTQQWLRRNKELGADFTADEVEKTVQSEGIDASSQNWKAQLKIPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPAIEKIDLDNNSIQVIILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCILKDCSMLVMDEADKLLAPEFQPSVEQLIRFLPANRQILMFSATFPVTVKDFKDRFLHKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRYGHLGLAVNLITFEDRFNLYKIEQELGTEIKQIPPHIDQAIYCQ >itb10g09360.t1 pep chromosome:ASM357664v1:10:12437353:12442933:-1 gene:itb10g09360 transcript:itb10g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEFSLDGTKCSTADDSFNVTAMDIMNFDAYAGWCNSPATMDQMLASFAFSPLTPVGYTQFDGLNYTEHNNGTFPMMDVADTIRSSEGGGEKINFQQNDQLNSLVSSTDVNDSVAKAKKNQGQNCVVDFGSPMILKSPSEPLAEKMLTALGLFKGSSGGGILAQVWFPMKEGDKFFLSTYEQPYLLDHVLAGYREVSRKFTFDAENKPGSVPGLPGRVFSSRIPEWTSNIAYYREAEYLRIHHAVNHEVRGSIALPVFEDDQVETPCCAVLEVVTVKEKPDFDSEIEKVCQALQAVNLRSIAPPSLSPKCLSTNQKAALAEITDVLRAVCHAHRMPLALTWIPCSYVRGDSDDTRRVLCKGWIGNSTEKCVLCIESTACYISDKEMVGFVHACTEHYLEEGQGIVGKALQSNHPFFYPDVKEYHISEYPLAHHARKFGLNAAVAIRLRSTFTGNADYILEFFLPVNMKGSTEQQLLLNNLSGTMQRICKTLRTVSDAELKGGDGSKFGLQNASNASVPNLPPFTLSRRNSQHPLLDSTLDPTDEVHLNVSGSESSGTGADGTHQEKTGPRRQMEKKRSTAEKHVSLSVLQQYFSGSLKDAAKAIGVCPTTLKRICRQHGISRWPSRKINKVSRSLKKIQTVLESVQGVEGGLKFDPTTGGLLAAGAIIQVPCKEVSKDKDSFIQDAAQPASCFDGGSPIIKMEEDCSVAGNQLPPSNLLRPSSYKGEHKSTSPLSECCYDSKLAALDAGPSWPASLNTIPWTGSGNAALDSSLIKGSSSRWGLNNSNLKSENSDSPFVSQWSSSMVVGDETETKMKSGNQVAGDDGIGEHNQPTSSSMTDSSNGSGSMMNGSSSSSPSFGEPKHLKTEVNCGDSGSKITVKATYKEDTVRFKFDSSAGCSRLYEEVARRFQLQNGTFQLKYLDDEEEWVMLVSDADLQECIEILDFLGTRTVKFLVRDASCAMGSSGSSNCFLTTA >itb10g09360.t2 pep chromosome:ASM357664v1:10:12437353:12442918:-1 gene:itb10g09360 transcript:itb10g09360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEFSLDGTKCSTADDSFNVTAMDIMNFDAYAGWCNSPATMDQMLASFAFSPLTPVGYTQFDGLNYTEHNNGTFPMMDVADTIRSSEGGGEKINFQQNDQLNSLVSSTDVNDSVAKAKKNQGQNCVVDFGSPMILKSPSEPLAEKMLTALGLFKGSSGGGILAQVWFPMKEGDKFFLSTYEQPYLLDHVLAGYREVSRKFTFDAENKPGSVPGLPGRVFSSRIPEWTSNIAYYREAEYLRIHHAVNHEVRGSIALPVFEDDQVETPCCAVLEVVTVKEKPDFDSEIEKVCQALQAVNLRSIAPPSLSPKCLSTNQKAALAEITDVLRAVCHAHRMPLALTWIPCSYVRGDSDDTRRVLCKGWIGNSTEKCVLCIESTACYISDKEMVGFVHACTEHYLEEGQGIVGKALQSNHPFFYPDVKEYHISEYPLAHHARKFGLNAAVAIRLRSTFTGNADYILEFFLPVNMKGSTEQQLLLNNLSGTMQRICKTLRTVSDAELKGGDGSKFGLQNASNASVPNLPPFTLSRRNSQHPLLDSTLDPTDEVHLNVSGSESSGTGADGTHQEKTGPRRQMEKKRSTAEKHVSLSVLQQYFSGSLKDAAKAIGVCPTTLKRICRQHGISRWPSRKINKVSRSLKKIQTVLESVQGVEGGLKFDPTTGGLLAAGAIIQVPCKEVSKDKDSFIQDAAQPASCFDGGSPIIKMEEDCSVAGNQLPPSNLLRPSSYKGEHKSTSPLSECCYDSKLAALDAGPSWPASLNTIPWTGSGNAALDSSLIKGSSSRWGLNNSNLKSENSDSPFVSQWSSSMVVGDETETKMKSGNQVAGDDGIGEHNQPTSSSMTDSSNGSGSMMNGSSSSSPSFGEPKHLKTEVNCGDSGSKITVKATYKEDTVRFKFDSSAGCSRLYEEVARRFQLQNGTFQLKYLDDEEEWVMLVSDADLQECIEILDFLGTRTVKFLVRDASCAMGSSGSSNCFLTTA >itb04g17350.t1 pep chromosome:ASM357664v1:4:20137581:20138717:1 gene:itb04g17350 transcript:itb04g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSDLAISIPHRRCLLHFFRYEIFFLCSIYSVDAAFSSDPLPPLASATATASFRHRQPPPSPLLAFTTTGFQTFSLRLSLLTSNSGSRSATSPFSWTVTSTPALQLLSSHGLTSLRLKSSKHGLRKD >itb04g29480.t2 pep chromosome:ASM357664v1:4:32828351:32831306:-1 gene:itb04g29480 transcript:itb04g29480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGKEFWIPKGVGHPVDGDTVFDGSSRLEAKRTHQWLSEATEPELISNKKQAVDASVSKSASGIALASSLSWENSSTFQSVPNQFMDRLFGSDATGSVSLGERNLSPAGTDSNLRKKVIDEQFGNDSFVGLSMSYGIEEPETCISYSGLRKVKVNQVKDSDDGLNAHMEQNLGLPMNQVFSEGSETTFIPMGQGYGKQDENVSLIDHSYDSHDANMRQIDSTLEKDGNNSLSRIHSFKKGDSSTIFFGGYQEEPDLDALGRPISSYDYFYNQSTVQSSETEGNKELDGLNVNTTVCTTQVIQPRIDPVPKNKPEAKPAAKKEAPNSFPSNVRSLIATGMLDGVPVKYISVSREELRGVIKGSGYLCGCQSCNYSKALNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTREGELFDAIQTVTGSPINQKAFRIWKG >itb04g29480.t4 pep chromosome:ASM357664v1:4:32828318:32831279:-1 gene:itb04g29480 transcript:itb04g29480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGKEFWIPKGVGHPVDGDTVFDGSSRLEAKRTHQWLSEATEPELISNKKQAVDASVSKSASGIALASSLSWENSSTFQSVPNQFMDRLFGSDATGSVSLGERNLSPAGTDSNLRKKVIDEQFGNDSFVGLSMSYGIEEPETCISYSGLRKVKVNQVKDSDDGLNAHMEQNLGLPMNQVFSEGSETTFIPMGQGYGKQDENVSLIDHSYDSHDANMRQIDSTLEKDGNNSLSRIHSFKKGDSSTIFFGGYQEEPDLDALGRPISSYDYFYNQSTVQSSETEGNKELDGLNVNTTVCTTQVIQPRIDPVPKNKPEAKPAAKKEAPNSFPSNVRSLIATGMLDGVPVKYISVSREVQFFNAFYILSALLVFDLLQISMNIIRSCVGL >itb04g29480.t1 pep chromosome:ASM357664v1:4:32828318:32831306:-1 gene:itb04g29480 transcript:itb04g29480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGKEFWIPKGVGHPVDGDTVFDGSSRLEAKRTHQWLSEATEPELISNKKQAVDASVSKSASGIALASSLSWENSSTFQSVPNQFMDRLFGSDATGSVSLGERNLSPAGTDSNLRKKVIDEQFGNDSFVGLSMSYGIEEPETCISYSGLRKVKVNQVKDSDDGLNAHMEQNLGLPMNQVFSEGSETTFIPMGQGYGKQDENVSLIDHSYDSHDANMRQIDSTLEKDGNNSLSRIHSFKKGDSSTIFFGGYQEEPDLDALGRPISSYDYFYNQSTVQSSETEGNKELDGLNVNTTVCTTQVIQPRIDPVPKNKPEAKPAAKKEAPNSFPSNVRSLIATGMLDGVPVKYISVSREELRGVIKGSGYLCGCQSCNYSKALNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTREGELFDAIQTVTGSPINQKAFRIWKESYQAATRELQRIYGKEELNM >itb04g29480.t3 pep chromosome:ASM357664v1:4:32828411:32831306:-1 gene:itb04g29480 transcript:itb04g29480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGKEFWIPKGVGHPVDGDTVFDGSSRLEAKRTHQWLSEATEPELISNKKQAVDASVSKSASGIALASSLSWENSSTFQSVPNQFMDRLFGSDATGSVSLGERNLSPAGTDSNLRKKVIDEQFGNDSFVGLSMSYGIEEPETCISYSGLRKVKVNQVKDSDDGLNAHMEQNLGLPMNQVFSEGSETTFIPMGQGYGKQDENVSLIDHSYDSHDANMRQIDSTLEKDGNNSLSRIHSFKKGDSSTIFFGGYQEEPDLDALGRPISSYDYFYNQSTVQSSETEGNKELDGLNVNTTVCTTQVIQPRIDPVPKNKPEAKPAAKKEAPNSFPSNVRSLIATGMLDGVPVKYISVSREVQFFNAFYILSALLVFDLLQISMNIIRSCVGL >itb15g04850.t1 pep chromosome:ASM357664v1:15:3143500:3144112:1 gene:itb15g04850 transcript:itb15g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSETSDKIGTIQRRLAWPLRKDDTHKSRNALLNLRTHKLRSYSLYVSSTLKVNIWNKLLFVSTH >itb03g25230.t1 pep chromosome:ASM357664v1:3:24176221:24178629:-1 gene:itb03g25230 transcript:itb03g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFITYFLLFSTLAISCSCFNTKNLNISKSKANSDWSLAGATWYGNPNGAGSDGGACGYRNSVEQPPFSSFVTAGGPSLFKSGIGCGACYEVKCTENAACSGKAVTVVITDECPGCVSESTHFDMSGTAFGAMAASGKADQLRNAGLLNVHYRRVECKYPGVPLSFHLDSGSNPYYFALVIEYVGGEGVLSAVELKQAFNSDSWLPMQRSWGAVWKLQDPFGLKPPFSLKLTDDSGKTLVAHNVIPAGWQPGNTYQSVVTSFV >itb13g15360.t1 pep chromosome:ASM357664v1:13:22175911:22176906:1 gene:itb13g15360 transcript:itb13g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKKDGVIGFGSYGTVTLGVPGHSSSAWFPSPSCVAVKSADIEHSDSLRREEQFLYSLRGSPNFVHCYGTDTSLEDDGKLVYNLLLEYAAGGSLKSLIKSRRGEMSEGEVAFYAYQLLVGLSDLHAMGIVHCDLKPHNVLVFPMQQNGLNHLKIADFGLAIHDNNGKKVEEELSKKYGCRGTLQYASPESLYGVHKAPRDVWALGCITVKMITGKSVWGQYCNRADLMAKIETLEPKIPENVSVICKDFLNKCLNKNSEGRWNATRLMTHPFFLRNLAPVIYWTKVDCDYELRENPFGYDDQWVNNKDLFSTFNRYSHYDCMDDEEEYIC >itb03g24720.t1 pep chromosome:ASM357664v1:3:23539865:23544009:-1 gene:itb03g24720 transcript:itb03g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MSVPAICAASSPILNLLRACTSIRNLKQVHTHIICKGFEQDHIIITQFISHCNSFSSSVAYATTVFERVLQPNIYLWNSLIKGHSEHSSLAQSLSLFNQMKKCLDVVPDGYTLTSLIKACSNVLALRDGQAVHGLVVRYGTDNNVFVGSTLIDFYGKCKEIECARRLFDEMPVRNEVSWTAIIVGFLFDQSSERDIVSWSAMISGYAQNGQPDEAVKLFFEMMSLHCRPDEFVMVSLMSACSQIGCLDLARRVDSYIKQSSFDLHQPHVAAALVDMNAKCGNMDRAMTLFEEIPKRDVILYCSLMQALSVHGRGEEAVGLFDRMLGEGLVPDGVAFTVILTACSRGGLVEEGFRFFDSMIKEYSLKPSPDHYACMVDLLGRSGKLKAAYNLIRAMPIETYAGAWGALLGACKLHSDIELGEEIARKLFELEPLNAGNYVLLSDIYAAADRWLDVSYLRDKMCERGIRKIPGRSWEIYRLRLGWAAMEMQTEIYNVINGSSYRIRRGN >itb04g34110.t2 pep chromosome:ASM357664v1:4:36175705:36180356:-1 gene:itb04g34110 transcript:itb04g34110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSIIGSISRSFSDSNSLDRVPGSGQSFASSSSADQRHSFWSGFRVSAFSSSGTTSAEHSKGSPRKGASSLIPKHYAIGWTSALRRAMNSGSVWRILGLNKTGIPSVSNTDIWLLGCCYKVAQDDSPSTDPTQSQGFASFVEDFSSRILITYRKGFAPIGESKYTNDSGWGCMLRSSQMLVAQALLFHRLGRSWRKSLEKLLEQNYLEILNLFGDSEESAYSIHNLLQAGKAYDLSPGSWVGPYAMCRTWETLVCCKREDAENADLSRVAIYVVSGDEDGERGGAPALCIDDVSRRCLELSKGQVDWAPIILLVPLVLGLDKINPRYLPLLKATFSFPQSLGILGGRPGVSTYIVGVQDDQVVDLGRDNLDIDTSSYHCDVVRQLPLDSIDPSLAIGFYCKDKSDFDDFCARASDLVDQSGGAPLFTITETRNPSMPVQYQDMQGEGSAEGFKSFDATSIGDSENGKQEDEWQLL >itb04g34110.t1 pep chromosome:ASM357664v1:4:36175629:36180356:-1 gene:itb04g34110 transcript:itb04g34110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSIIGSISRSFSDSNSLDRVPGSGQSFASSSSADQRHSFWSGFRVSAFSSSGTTSAEHSKGSPRKGASSLIPKHYAIGWTSALRRAMNSGSVWRILGLNKTGIPSVSNTDIWLLGCCYKVAQDDSPSTDPTQSQGFASFVEDFSSRILITYRKGFAPIGESKYTNDSGWGCMLRSSQMLVAQALLFHRLGRSWRKSLEKLLEQNYLEILNLFGDSEESAYSIHNLLQAGKAYDLSPGSWVGPYAMCRTWETLVCCKREDAENADLSRVAIYVVSGDEDGERGGAPALCIDDVSRRCLELSKGQVDWAPIILLVPLVLGLDKINPRYLPLLKATFSFPQSLGILGGRPGVSTYIVGVQDDQAFYLDPHEVQQVVDLGRDNLDIDTSSYHCDVVRQLPLDSIDPSLAIGFYCKDKSDFDDFCARASDLVDQSGGAPLFTITETRNPSMPVQYQDMQGEGSAEGFKSFDATSIGDSENGKQEDEWQLL >itb04g34110.t4 pep chromosome:ASM357664v1:4:36175629:36180356:-1 gene:itb04g34110 transcript:itb04g34110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSIIGSISRSFSDSNSLDRVPGSGQSFASSSSADQRHSFWSGFRVSAFSSSGTTSAEHSKGSPRKGASSLIPKHYAIGWTSALRRAMNSGSVWRILGLNKTGIPSVSNTDIWLLGCCYKVAQDDSPSTDPTQSQGFASFVEDFSSRILITYRKGFAPIGESKYTNDSGWGCMLRSSQMLVAQALLFHRLGRSWRKSLEKLLEQNYLEILNLFGDSEESAYSIHNLLQAGKAYDLSPGSWVGPYAMCRTWETLVCCKREDAENADLSRVAIYVVSGDEDGERGGAPALCIDDVSRRCLELSKGQVDWAPIILLVPLVLGLDKINPRYLPLLKATFSFPQSLGILGGRPGVSTYIVGVQDDQAFYLDPHEVQQDGICDRCSD >itb04g34110.t3 pep chromosome:ASM357664v1:4:36176836:36180236:-1 gene:itb04g34110 transcript:itb04g34110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSIIGSISRSFSDSNSLDRVPGSGQSFASSSSADQRHSFWSGFRVSAFSSSGTTSAEHSKGSPRKGASSLIPKHYAIGWTSALRRAMNSGSVWRILGLNKTGIPSVSNTDIWLLGCCYKVAQDDSPSTDPTQSQGFASFVEDFSSRILITYRKGFAPIGESKYTNDSGWGCMLRSSQMLVAQALLFHRLGRSWRKSLEKLLEQNYLEILNLFGDSEESAYSIHNLLQAGKAYDLSPGSWVGPYAMCRTWETLVCCKREDAENADLSRVAIYVVSGDEDGERGGAPALCIDDVSRRCLELSKGQVDWAPIILLVPLVLGLDKINPRYLPLLKATFSFPQSLGILGGRPGVSTYIVGVQDDQAFYLDPHEVQQVIVYLILYFCNS >itb06g11740.t1 pep chromosome:ASM357664v1:6:16267111:16269539:1 gene:itb06g11740 transcript:itb06g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLILRGTMKAHTDWVTAIAAPIDNADFIVSASRDKSIIVWSLTKDGPQFGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDAELRLWDLQTGTTARRFVGHTKDVLSVAFSIDNRQIVSASRDRSIKLWNTLGECKYTIQDQDGHSEWVSCVRFSPNTLQPTIVSASWDRTVKIWNLSNCKLRSTLAGHTGYVNTVSVSPDGSLCASGGKDGVILLWDLAEGKRLYSLEAGSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVVDLKVDLKQESEMAAEGNTSSKNKVIFCTSLSWSADGSTLFSGYTDGVIRVWGIGRY >itb10g20960.t1 pep chromosome:ASM357664v1:10:26341314:26343019:-1 gene:itb10g20960 transcript:itb10g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCCVLEVDVNGEETFLVDKNVLASFSGKLSKLFSKVRGETRRMKVVFNDFPGGAEGFELIAKFCYNGGSVELAPPSLFLLHSAANYMEISEQTKGFFRSIQSLNWCELVSGLKKCQELPSFLISPSVLQQFLDCLVCRLSIPCVSSPRTPCSDNSSILFSGDISIDSSRSCASQTKWWFQDLKFLNIDMLEKVVRTMVSHKLDQTMVSSFLSYYKNMNFLLATSVQKNRIIETIIDLLSLLDTSFVSVRGLFDILQASRSLKCCTEKLEVLIGSQLDRATIDDLLIPSPPGKRYAYDVSLILKLLKTFLRENSRYFFSYSLKKVGTLIDLYIMEVAPDQFLQPSKFMALATALPDTARDSYEILYEAIDVYFKVHKGLSAKEKIKISCALNYDKLSDQTLMDLSHNENFPVCASVTALVTNQSKLRIKHNAIKHLSNLTDGSSRRGGKGVSTRQYGCDSHHAPEIKKLDDLHRKGKKLSRPNVQNRRKLQINVSNNFASMHRLCA >itb10g15400.t1 pep chromosome:ASM357664v1:10:21642409:21645259:-1 gene:itb10g15400 transcript:itb10g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKVICIVLFGALAVASLLNYGEAQTVHAVGDTIGWIVPPGGATVYSKWASADTFKVGDILEFNFMTGRHDVLEVAKESFDACTTDKAIGTSLTDGPAHVTLNSTGIHYYICTIGTHCKLGQKLAVTVSSDSGGPPAGANPPLPAGGPSPAMKPPAGPSNSSSAPPAGSTHSSATVVYAGLALTVASIAMAVCIYN >itb08g16480.t2 pep chromosome:ASM357664v1:8:18584647:18587089:1 gene:itb08g16480 transcript:itb08g16480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAILKEWFDRVDSDKTGSITAIQLKSVFAIGNLEFPISVVEQMIRMYDFDRNGTMSFDEFAELNKFLQKIQNVFSELERGRGFLMPDDVYEALTRIGFSLDSPAFYTVCEVFCVKFLIIKIA >itb08g16480.t1 pep chromosome:ASM357664v1:8:18584647:18588569:1 gene:itb08g16480 transcript:itb08g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAILKEWFDRVDSDKTGSITAIQLKSVFAIGNLEFPISVVEQMIRMYDFDRNGTMSFDEFAELNKFLQKIQNVFSELERGRGFLMPDDVYEALTRIGFSLDSPAFYTVCESFDKAKNGKFKLDDFISLCIFVQSARNLFNSFDTSKQGRISLDFNQFCYCTANCRI >itb04g26050.t1 pep chromosome:ASM357664v1:4:30477279:30479576:1 gene:itb04g26050 transcript:itb04g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPATTTMAMTRGMASLSLTSSLRPLLPPRNTSTLCNRDNRNIRLCRSLTSLAKCVHRKRAPTLIRAMSDSSSSGLEDSVKKTVGENPVVVYSKTWCSYSGQVKSLFRRLGLDPLVVELDQMGQQGSQIQKVLEGLTGQRTVPNVFIGGKHIGGCSDTIELYQKGELENLLSEANARKA >itb04g26050.t2 pep chromosome:ASM357664v1:4:30477279:30479576:1 gene:itb04g26050 transcript:itb04g26050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPATTTMAMTRGMASLSLTSSLRPLLPPRNTSTLCNRDNRNIRLCRSLTSLAKCVHRKRAPTLIRAMSDSSSSGLEDSVKKTVGENPVVVYSKTWCSYSGQVKSLFRRLGLDPLVVELDQMGQQGSQIQKVLEGLTGQRTVPNVFIGGKHIGGCSGTSFC >itb06g00070.t1 pep chromosome:ASM357664v1:6:319483:323698:-1 gene:itb06g00070 transcript:itb06g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRRELEGKRAHDHRYNSKMISKKPCVWVQGPIIVGAGPSGLAAAACLKEKGVAPGVVLERSNCIASLWQLKTYNRLRLHLPKHFCELPLMPFKATLPTYPTKQQFIKYLEDYAGQFDIRPVFNSTVRSAEFDRSAGFWRVKTTLTTKTTTGNNKEEEEEETEVEYISRWVIAATGENAEAVIPAIDGMEEFSGQIIHTSLYKSGDVFRGKRVLVVGCGNSGMEVCLDLCNHNATPSLVVRDTVHVLPREMLGRSTFGLSMWLLKWLPIRLVDRFLLAVSWLMLGDTAQFGLDRPQMGPLELKNLSGKTPVLDVGTLAKIKSGDIKVYPGIQRLKRHTVEFENGKDEHFDAIILATGYKSNVPSWLKEREMFSEKDGLPKRPFPNGWKGECGLYAVGFTKRGLLGASMDAKRIAEDIKEYELKLNII >itb06g00070.t2 pep chromosome:ASM357664v1:6:319483:323698:-1 gene:itb06g00070 transcript:itb06g00070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRRELEGKRAHDHRYNSKMISKKPCVWVQGPIIVGAGPSGLAAAACLKEKGVAPGVVLERSNCIASLWQLKTYNRLRLHLPKHFCELPLMPFKATLPTYPTKQQFIKYLEDYAGQFDIRPVFNSTVRSAEFDRSAGFWRVKTTLTTKTTTGNNKEEEEEETEVEYISRWVIAATGENAEAVIPAIDGMEEFSGQIIHTSLYKSGDVFRGKRVLVVGCGNSGMEVCLDLCNHNATPSLVVRDTVHVLPREMLGRSTFGLSMWLLKWLPIRLVDRFLLAVSWLMLGDTAQFGLDRPQMGPLELKNLSGKTPVLDVGTLAKIKSGDIKVYPGIQRLKRHTVEFENGKDEHFDAIILATGYKSNVPSWLKVHTLFSRTAPPPTWISIMC >itb04g00280.t1 pep chromosome:ASM357664v1:4:185985:189293:1 gene:itb04g00280 transcript:itb04g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNALRLTPLSVLSERRNEPRKIPLLPSSLPFKSLSSSNSTEAISRNVKGGLVLLSSVMSSGLAKALTYEEALQQTTTSVTDFDPSGVIETVTTFAGDNPVIVAGGVAILAVPLLVSQLLSKPKPWGVESAKTAYAKLADDANAQLLDIRPPRELREVGSPDIQGLKKKPVTVSYNGEDKPGFLKKLSLKFKEPENTTLFILDKFDGSSELVAELVTENGFKAAYAIKDGAEGAQGWMNSSLPWALPKKTFGLDLRSLTDAFGEGVDAVTVGLGVAAAAGIGALAFSEVETILQLLGSAAIVQVFSKKLLFAEDRKKTLQQVDEFLNTKVAPKELVDEIKQIGKALLPTSGSSKALPAPPTEESPPAAVESVSNVSIATPPKEEAAPPEAAPVINSVAKTEVVKEEAPTATPRPLSPYPNYPDYKPPSSPMPSQP >itb15g02080.t3 pep chromosome:ASM357664v1:15:1250476:1254788:-1 gene:itb15g02080 transcript:itb15g02080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQAGGDGLQTLILMNPAGYVGFSDAPQQGQPPPGSNFIFLNSNAPGSSISFAHAPPSQSQQQYVGIPLATTASAPPSTTQEVHAPSHDITALHGFLGRPQYNLYAPPIELAAARDVTRAAQQGLSLSLSSQQPGFGNFRAEREVGSQQLVTAISPTAGDEVRVSGGSPSSASGVSNGVNGVQSVLLSSKFLKAAQELLEEVVNVGKGVKAPTESSKEAICPAKNPGDSSGAGDGQTGGESSGKRATELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVITWFEQAAGIGSAKTYTALALQTISKQFRCLKDAILGQIRAASKSLGEEDGLGGKIEGSSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYMEEIKEQEHNGSENKTGKGEPNEDSSSHQDKSPGGSDHQDKSFAQNQSTTMPGGFNLIVPSEMESITQGSPKKPRSADMLHSPSSVPSISIDTKPADPSTAAGNEQGSMKFMNDRQNREGFTLLGNSTNFMGGFGSYPIGEIGRFSTEQFPGIPPPYSGNAVSLTLGLPPSENLPMSATHHAFLPAPDIHMGRGVEMGEANEFGGMTTPTSAHPTSVYESFNLQNRKRFAAPLLPDFVA >itb15g02080.t4 pep chromosome:ASM357664v1:15:1250476:1254300:-1 gene:itb15g02080 transcript:itb15g02080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQAGGDGLQTLILMNPAGYVGFSDAPQQGQPPPGSNFIFLNSNAPGSSISFAHAPPSQSQQQYVGIPLATTASAPPSTTQEVHAPSHDITALHGFLGRPQYNLYAPPIELAAARDVTRAAQQGLSLSLSSQQPGFGNFRAEREVGSQQLVTAISPTAGDEVRVSGGSPSSASGVSNGVNGVQSVLLSSKFLKAAQELLEEVVNVGKGVKAPTESSKEAICPAKNPGDSSGAGDGQTGGESSGKRATELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVITWFEQAAGIGSAKTYTALALQTISKQFRCLKDAILGQIRAASKSLGEEDGLGGKIEGSSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYMEEIKEQEHNGSENKTGKGEPNEDSSSHQDKSPGGSDHQDKSFAQNQSTTMPGGFNLIVPSEMESITQGSPKKPRSADMLHSPSSVPSISIDTKPADPSTAAGNEQGSMKFMNDRQNREGFTLLGNSTNFMGGFGSYPIGEIGRFSTEQFPGIPPPYSGNAVSLTLGLPPSENLPMSATHHAFLPAPDIHMGRGVEMGEANEFGGMTTPTSAHPTSVYESFNLQNRKRFAAPLLPDFVA >itb15g02080.t1 pep chromosome:ASM357664v1:15:1250476:1254795:-1 gene:itb15g02080 transcript:itb15g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQAGGDGLQTLILMNPAGYVGFSDAPQQGQPPPGSNFIFLNSNAPGSSISFAHAPPSQSQQQYVGIPLATTASAPPSTTQEVHAPSHDITALHGFLGRPQYNLYAPPIELAAARDVTRAAQQGLSLSLSSQQPGFGNFRAEREVGSQQLVTAISPTAGDEVRVSGGSPSSASGVSNGVNGVQSVLLSSKFLKAAQELLEEVVNVGKGVKAPTESSKEAICPAKNPGDSSGAGDGQTGGESSGKRATELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVITWFEQAAGIGSAKTYTALALQTISKQFRCLKDAILGQIRAASKSLGEEDGLGGKIEGSSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYMEEIKEQEHNGSENKTGKGEPNEDSSSHQDKSPGGSDHQDKSFAQNQSTTMPGGFNLIVPSEMESITQGSPKKPRSADMLHSPSSVPSISIDTKPADPSTAAGNEQGSMKFMNDRQNREGFTLLGNSTNFMGGFGSYPIGEIGRFSTEQFPGIPPPYSGNAVSLTLGLPPSENLPMSATHHAFLPAPDIHMGRGVEMGEANEFGGMTTPTSAHPTSVYESFNLQNRKRFAAPLLPDFVA >itb15g02080.t2 pep chromosome:ASM357664v1:15:1250476:1254759:-1 gene:itb15g02080 transcript:itb15g02080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQAGGDGLQTLILMNPAGYVGFSDAPQQGQPPPGSNFIFLNSNAPGSSISFAHAPPSQSQQQYVGIPLATTASAPPSTTQEVHAPSHDITALHGFLGRPQYNLYAPPIELAAARDVTRAAQQGLSLSLSSQQPGFGNFRAEREVGSQQLVTAISPTAGDEVRVSGGSPSSASGVSNGVNGVQSVLLSSKFLKAAQELLEEVVNVGKGVKAPTESSKEAICPAKNPGDSSGAGDGQTGGESSGKRATELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVITWFEQAAGIGSAKTYTALALQTISKQFRCLKDAILGQIRAASKSLGEEDGLGGKIEGSSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYMEEIKEQEHNGSENKTGKGEPNEDSSSHQDKSPGGSDHQDKSFAQNQSTTMPGGFNLIVPSEMESITQGSPKKPRSADMLHSPSSVPSISIDTKPADPSTAAGNEQGSMKFMNDRQNREGFTLLGNSTNFMGGFGSYPIGEIGRFSTEQFPGIPPPYSGNAVSLTLGLPPSENLPMSATHHAFLPAPDIHMGRGVEMGEANEFGGMTTPTSAHPTSVYESFNLQNRKRFAAPLLPDFVA >itb15g02080.t5 pep chromosome:ASM357664v1:15:1250476:1254300:-1 gene:itb15g02080 transcript:itb15g02080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQAGGDGLQTLILMNPAGYVGFSDAPQQGQPPPGSNFIFLNSNAPGSSISFAHAPPSQSQQQYVGIPLATTASAPPSTTQEVHAPSHDITALHGFLGRPQYNLYAPPIELAAARDVTRAAQQGLSLSLSSQQPGFGNFRAEREVGSQQLVTAISPTAGDEVRVSGGSPSSASGVSNGVNGVQSVLLSSKFLKAAQELLEEVVNVGKGVKAPTESSKEAICPAKNPGDSSGAGDGQTGGESSGKRATELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHHQMQIVITWFEQAAGIGSAKTYTALALQTISKQFRCLKDAILGQIRAASKSLGEEDGLGGKIEGSSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRNQVSNWFINARVRLWKPMVEEMYMEEIKEQEHNGSENKTGKGEPNEDSSSHQDKSPGGSDHQDKSFAQNQSTTMPGGFNLIVPSEMESITQGSPKKPRSADMLHSPSSVPSISIDTKPADPSTAAGNEQGSMKFMNDRQNREGFTLLGNSTNFMGGFGSYPIGEIGRFSTEQFPGIPPPYSGNAVSLTLGLPPSENLPMSATHHAFLPAPDIHMGRGVEMGEANEFGGMTTPTSAHPTSVYESFNLQNRKRFAAPLLPDFVA >itb05g18270.t1 pep chromosome:ASM357664v1:5:25065991:25069033:1 gene:itb05g18270 transcript:itb05g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTVASKNRPPPSSGNLITVLSIDGGGIRGIIPGVILAYLEKQLQELDGEDARIADYFDVVAGTSTGGLVTAMLTAPDKDKRPLYAAKDIVPFYLKHCPGIFPQTSGPFSCIIDLFKAFTGPRYNGEYLHKLVRDILGVTRVHETLTNVVIPTFDIKNLQPTIFNSYEAPEDSIWDVQLSDVCIGTSAAPTYLPAHYFKNYDAQGKEREFNLVDGGVAANNPTLVAIGEVTKQVMNQHSDFFPIKPFQYDRLLVLSVGTGTAKNEHKYDAQTAAKWGLFGWLFNAKSSPLTDTFSDAATDMVDFHNCVVFQSLQSQDNYLRIQDDTLTGDLSSVDIATSENLENLVKVGEGLLEKPVSMVDLSTGEYKPVENGRTNMEALQKFAKLLSDERKARGSTNGAAVQSSD >itb04g00260.t1 pep chromosome:ASM357664v1:4:175453:178533:-1 gene:itb04g00260 transcript:itb04g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDSVGPFVIRVGPSIYKLKKDKTLSTKNLHSSVQIFCFFPLFSPRPSSLESCLFLSHVPSKFQTLLPFFPAPTIFNLAVNCQAVSMEAIAQFNQFNSSILQKPFKNKQPRNYGTSFSLLNMAEMPAQTSLSASHESTTVNSLPLLTSSDDLSELQLDSLSSVKAMHARIIKMPAKCNSTAKMQSLTTSYLEVGDFTSAAVVFFVDFAENYLHWNSFLKEFRSSGGNPCQILEVFGQLHSKGVLFDSEILALVLKLCSQLKEAWLGLEVHACLIKMGFDSNVYAKSALMNFYGRCWGAETANQVFYGTPKTGSLLWNEAILVRFRNENWKEGLEMFHEMQGRGMKANSSTIAKALQACGNLRAFDEGKQIHGYVFRNAFESNLLICNALISMYVKNSNLKPAEAVFMSMENRNLSTWNTMISGYTTHGHLNDAWKLFLELECTSIEPDIVTWNCLLSGHFSQGLYQEVLIILRRMQIVGYKPNPRSLTYVIQAISELGSLRLGKEVHCYILRNGMDHDLHVGTSVLDMYVKNDDLASAQAVFYGIKNKNIFAWNSLISGYSFNGYFEKAINFMNQMKEDGIKPDLVTYNSLVFGYSKSGHIKEALATLREIKNSGLSVNVASWTALVSGCSKNGYFKDALEFSIQMQNEGVKPNSVTTASLLRACAGLSLLQKGKEMHCFSIRNGFAEDAFVSTALIDMYSKCGSIKNAYKVFQRIQNKILASWNSMLIGFATCGLGKEAISLFNKMLQEKIQPDAITFTAVLSSCKHSGLIDEGWKYFDSMKTDFGVTPTIEHYSCMVDLLGRAGFLDEAWDFVQSMPMNPDSTVWGALLASCKVHGNFELGEIAAKMLFKLEPYNTANYVLMINMYAASNRWQDVSHIKEMMDTVGARTEHVWSWLQIDQKIHIFSAVGKPHPDEGIIYFELYKLISEMKLLGYTPDTKCVYQNIDEPEKELLHHTEKLAITYGLIKSRSCSPVRVIKNTKVCSDCHTVAKYMSLLRKREIFLKDGARFHHFKDGKCSCNDFW >itb02g19850.t1 pep chromosome:ASM357664v1:2:17094825:17104040:-1 gene:itb02g19850 transcript:itb02g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGREGGGGVAVLCGGATVNAVESSSTSSSSSAAAASKGTVANLDEDSPILFFLFFHKAIRQELDSLHRSALAYATGQLSDIQPLLERYRFLRSVYKHHSDAEDEVIFPALDKRVKNVARTYSLEHKGESDLFDLLFELLNSHNQSHESFPRELASCTGALQTSLCQHMSKEEEQVFPLLIEKFSFEEQASLVWQFLCSIPVKMMRKFLPWLSSSVSSDESQDMQKCLSKIVPKEKLLQQVIFTWMEGRKCINMIECDDVDPGIRGSIEFQPGAYVHIAGQVQCSCESSKTGKRKISPPNCDACDTDFGHPINEILHWHNAIKRELDAIASEARKIQLSGDFSNLFPFYERLQFIADVCIFHSVAEDKVIFPAVDGGLSFFQEHVEEKNQFNELRCMIVNIQSTGVNSISAAEFFSKLCLHADLIIETIKKHFHNEEVQVLPLARKHFTKKKQREILYESLCLMPLKLIERVLPWLVGSLTEDEAKNFLKNMQLAAPASDTALVTLYSGWACKGRNHGVCLSSSSAGCCPVKRFAAKEPNSMQGSCPCSLSMQTDDSRRPLKRNLPVVCIDGDSSDLSKGLNACSSSYSDQSCCVPGLGVSGNNLGLGTISTPKSFRSLSFSPAPSLDSSLFIWETDKRSSGIDCKVHPIDTIFKFHIAIRKDLEYLDVESSKLNDCDETFLRQFIGRFRLLSGLYKAHSNAEDEIVFPALESKEALHNVSHSYTLDHKQEEELFKDISCVLSDLSLLHKGLKECYEVEESERSNIESSIGKYHELATKVQGMCKSLRVSLDQHIFREELELWPLFGEHFSVEEQDRIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNNMIDTLKKATKNTMFSEWLNECLRRTPEISVVPETSQNSNISKGIDAHESLNQSDLMFKPGWKDIFRMNQTEIESEIRKVHRDSTLDPRRKAYLIQNLMTSRWIASQQKSQASIDDISRSEDLAGCSPSFRDPEKQVFGCEHYKRNCKLRAACCGKLVACRFCHDEVSDHSMDRKATAEMMCMRCLKIQPIGPICMTPSCNGFSMAKYYCSICKFFDDERSVYHCPSCNLCRLGKGLGIDFYHCMKCNCCLGINSVEHKCLEKALETNCPICCEFLFTSSATVRPLPCGHYMHSACFQAYASSNYICPICSKSMGNMAIYFGMIDALLANEVLPEEYRNRQQDILCNDCERKGMACFHWLYHKCGYCGSYNTRVIKTDNDCSTSS >itb02g19850.t2 pep chromosome:ASM357664v1:2:17094825:17104040:-1 gene:itb02g19850 transcript:itb02g19850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGREGGGGVAVLCGGATVNAVESSSTSSSSSAAAASKGTVANLDEDSPILFFLFFHKAIRQELDSLHRSALAYATGQLSDIQPLLERYRFLRSVYKHHSDAEDEVIFPALDKRVKNVARTYSLEHKGESDLFDLLFELLNSHNQSHESFPRELASCTGALQTSLCQHMSKEEEQVFPLLIEKFSFEEQASLVWQFLCSIPVKMMRKFLPWLSSSVSSDESQDMQKCLSKIVPKEKLLQQVIFTWMEGRKCINMIECDDVDPGIRGSIEFQPGAYVHIAGQVQCSCESSKTGKRKISPPNCDACDTDFGHPINEILHWHNAIKRELDAIASEARKIQLSGDFSNLFPFYERLQFIADVCIFHSVAEDKVIFPAVDGGLSFFQEHVEEKNQFNELRCMIVNIQSTGVNSISAAEFFSKLCLHADLIIETIKKHFHNEEVQVLPLARKHFTKKKQREILYESLCLMPLKLIERVLPWLVGSLTEDEAKNFLKNMQLAGRNHGVCLSSSSAGCCPVKRFAAKEPNSMQGSCPCSLSMQTDDSRRPLKRNLPVVCIDGDSSDLSKGLNACSSSYSDQSCCVPGLGVSGNNLGLGTISTPKSFRSLSFSPAPSLDSSLFIWETDKRSSGIDCKVHPIDTIFKFHIAIRKDLEYLDVESSKLNDCDETFLRQFIGRFRLLSGLYKAHSNAEDEIVFPALESKEALHNVSHSYTLDHKQEEELFKDISCVLSDLSLLHKGLKECYEVEESERSNIESSIGKYHELATKVQGMCKSLRVSLDQHIFREELELWPLFGEHFSVEEQDRIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNNMIDTLKKATKNTMFSEWLNECLRRTPEISVVPETSQNSNISKGIDAHESLNQSDLMFKPGWKDIFRMNQTEIESEIRKVHRDSTLDPRRKAYLIQNLMTSRWIASQQKSQASIDDISRSEDLAGCSPSFRDPEKQVFGCEHYKRNCKLRAACCGKLVACRFCHDEVSDHSMDRKATAEMMCMRCLKIQPIGPICMTPSCNGFSMAKYYCSICKFFDDERSVYHCPSCNLCRLGKGLGIDFYHCMKCNCCLGINSVEHKCLEKALETNCPICCEFLFTSSATVRPLPCGHYMHSACFQAYASSNYICPICSKSMGNMAIYFGMIDALLANEVLPEEYRNRQQDILCNDCERKGMACFHWLYHKCGYCGSYNTRVIKTDNDCSTSS >itb09g09160.t1 pep chromosome:ASM357664v1:9:5473181:5474782:-1 gene:itb09g09160 transcript:itb09g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPKCCVVVAFISIVFSLICFPAACSSIHAHQDFVYCLSSKIRNNATSQVVYTPNSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGLSFVSSLPFAILDLIKFRSIEVNVGEKTAWVQTGATLGELYYSIANKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGGGASFGVILAWKLELVPVPETVTVFNISKIPEQNLTNLLYKWQFFANKADRNLYLRVLLQNTNTTTTNGGITVEGLFSALYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINSVTYHFPVDSLLNRSFNLKQSYKIKSDYAKRPFTPQTFQGMLELFKEEDINGPQMQLIPYGGIMDEISPSETPYPHRAGNLFFLGYTNGWDEVGQLAAEKHLSWMRKLYDYLTPYVSNNPRESYSNYRDFDLGQNNLVGTTSLAQASSWGYKYFMNNFYKLAKVKALVDPDNFFRSEQSIIPLSSPL >itb08g13290.t1 pep chromosome:ASM357664v1:8:14044538:14046682:-1 gene:itb08g13290 transcript:itb08g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISLFSILYNRGVYPEESFAKVKKYGLPMLLTQDEGVKTFISNLTAQISEWLEAGKLQRVVLVIMSKASGEVLERWNFSIETDSEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEACVFDVLAYTDTDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDDEWDEA >itb10g06870.t1 pep chromosome:ASM357664v1:10:7779772:7784652:-1 gene:itb10g06870 transcript:itb10g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISSVVEQLINILKHQAQDLKRALGVEKEIANLSSKLEKIREVLDDAEKRSFKDNGIKLWIENIKDFSYEVDDVLDEWRTRSLRQQIETKTSRSSFLPSRSKFKRFVMHRDIAKKIKELDSTLDRITKEKDQFKFDYASITHTSAASHSDQELMRVTTAFDVDASQIQGRKSDAFALISKLLENSEAINGNGPPVISIVGTGGIGKTTLAQLVFEDEQIKTHFGDERVWICVSDPFDQIKIAKAIVESTTKKSTDLFQLQLLLEKIKSTLSGKRFLLVMDDVWTEQSAKWEPLKNSLKDGLQGSRILVTSRSERVARMMGSVYVHQLDLISDSDAWLLLSRIAFSGRREEDCEKLKDIDQKIAQKCKGLPLAARVMGSLLCFKDTRDAWQNVLNNKIWELEEVVTNLYPHLYLSYNDLTPKMKQCFAYYAVFPKDYEIEIDELIRIWMAQGYVMTESKGRELFGGLAMRSFFQDLKKDDMDSNIIKSCKMHDIVHDFAQFLTRNECYSIDQHEDKVEFKNLRHLRSWQTGKNMNLPSICDIGKLRSFFVEDLFPPAQLTLDLFNGLKSVRVLRLYGCKLQKLPKKIGNLLHLRYINLSWNNVEELPDEVCSLYNLQTLDLEYCIQFSRLPDKIGDLSQLRYINLSWSKVEKLPDTICSLENLQTLVLKRCERLSRLPEGIENLLELRYIDLSYCEQVEELPKGIGNLINLRHLDIRGTKRLEMIPQGIAKLTQLCSLSEFKVGKSMCKLGYMEKLNQLKGELSIFFLCDLNNAADVEEAEKAELRNKKHIKKLCLDFSRGVDVGIDVMEALKPPLELQTLELIEYRGTHSPSWITLSLNLRILEIRRCKNCSSLPPLGKLPSLETLLIWEMKELRYVGSEFLGVAEVGGVAFPKLKKLRFHDCSEWEEWEDFKEEATIIIMPCIRELQLITCTKLKTVPHHLLSRVESLTIEDCPVSEGIDALKPPLELQALKLNWYGGTHFPSWITLSLHNLRSLQIDGCLNCSSLPPLGKLPSLETLLIAVMKELRYVGSEFLGVAEVGGVAFPKLKKLEFHGCRGWEEWEDFKVEATIIIMPCIKELVLSYCRKLKTVPHHLLSRVESLKINECPSLKVE >itb01g01680.t3 pep chromosome:ASM357664v1:1:998805:1000870:1 gene:itb01g01680 transcript:itb01g01680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLEVCRRCGFRMVVHFSALSFRCPACQAVMHLRPPEPRRWAAPAVQPPPLSVHGRRRAVLCGVSYRNHKKSLAGSVNDVVAMRNLLVKRLGFPYASVVVLTEDERDPRRVPTKRNIRDAMRWLLYGSQPGDSLLFYYSGHGSQIRELTRNEMDGHDEALCPLDFETEGKILDDEINETIVRPLPPGAVLHAIIDTCFSGTFLDLSHVCRMNR >itb01g01680.t1 pep chromosome:ASM357664v1:1:998805:1002822:1 gene:itb01g01680 transcript:itb01g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLEVCRRCGFRMVVHFSALSFRCPACQAVMHLRPPEPRRWAAPAVQPPPLSVHGRRRAVLCGVSYRNHKKSLAGSVNDVVAMRNLLVKRLGFPYASVVVLTEDERDPRRVPTKRNIRDAMRWLLYGSQPGDSLLFYYSGHGSQIRELTRNEMDGHDEALCPLDFETEGKILDDEINETIVRPLPPGAVLHAIIDTCFSGTFLDLSHVCRMNREGYYSWEDQRMPYGPYKGTQGGLAISISACDDHQNSLGTSALTGISTGALTYSFVQILMREPRLTYGQLLIALRNRVLEVQKAGLNSGNAPKSFSQEPQLSSSEPFEVYSKPVVI >itb01g01680.t2 pep chromosome:ASM357664v1:1:998805:1001589:1 gene:itb01g01680 transcript:itb01g01680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLEVCRRCGFRMVVHFSALSFRCPACQAVMHLRPPEPRRWAAPAVQPPPLSVHGRRRAVLCGVSYRNHKKSLAGSVNDVVAMRNLLVKRLGFPYASVVVLTEDERDPRRVPTKRNIRDAMRWLLYGSQPGDSLLFYYSGHGSQIRELTRNEMDGHDEALCPLDFETEGKILDDEINETIVRPLPPGAVLHAIIDTCFSGTFLDLSHVCRMNREGYYSWEDQRMPYGPYKGTQGGLAISISACDDHQNSLGTSVSTYLPPYTSRLDRSHV >itb01g24330.t1 pep chromosome:ASM357664v1:1:29988972:29991725:1 gene:itb01g24330 transcript:itb01g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFLADITENVLSKIVSLAQDEISLAWNLKPELKKLHNTLSTIKAVLRDADEQQAKNHEVKDWLQKLRDVVYDADDLLDDFGTLILLRNLRSCSGIFTKVRKFFTRPDGLIYRFKITHKIREIRGRLNQISEDRRNFHFKENYNVNPLENGNFREQTHSFVRPSDIIGRDNDKESIVGMLIKSCCGEDDTETVSFLPIVGLGGLGKTTLVKLVYNDDRVVKNFDMRLWVSVSEDFSLSKVIEKILSKTFVKKTLLNFRRLRVLMLQNLELEELPSSIGFLKQLRYLNLSNNCNIKSLPECICKLVNLQTLNLINCEQLKELPRNFGQQLMSLKTLYLTSQEISLQKNSSISLGFLQFLLLYKCSCRKFPTELWQHMKKLRVLRIYECSSLTFLPASIRHLAKLEKLWIWNCEELDLSVGEGMEGLESLQSLLLMGLPKLVSLPSGLKDIDAMKLKYLRVAGCPKLTALPDWLQNCTLLQRLYIEDCQELSYLPEQICSSSNAKVRIIDCPLLNG >itb03g01220.t1 pep chromosome:ASM357664v1:3:664421:666926:1 gene:itb03g01220 transcript:itb03g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFMIILFFTCAAMAPVLMAHIGDFDEVWQRRLLEAQEHALNTYESDPFNVTVAFNREVQKVLEIKEAIGRNSTTRKLLGTKHYNGPCNATNPIDRCWRCHSNWETNRKRLAACGLGFGRKAIGGKNGKIYVVTDSSDDPTDPKPGTLRHAAIQKKALWIIFKRDMIIRLNQELIMQGDKTIDGRGARVHISGGAGITLQFVKNVIIHGIHIHNIVRGNGGLVRDAEDHLGLRTMSDGDGISIYGSSDIWIDHLSMKNCKDGLIDAIEGSTGITISNSHFTDHNEVMLFGAADSTSIDEIMQITVAFNHFGKRLVQRMPRCRWGYIHVVNNDYTHWNMYAIGGSKHPTIISQGNRYIAPPADTFKKEITKRLYATEAEWSEWTWRSQGDVFMNGAFFVQSGDPNFINKHPQLYDGITAFKGEEVTWLTRFAGALNCRAGRPC >itb03g23230.t1 pep chromosome:ASM357664v1:3:21385644:21392808:-1 gene:itb03g23230 transcript:itb03g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIMLKPKGKVTKKVAAAAEDDDGGAVAAVSKFVKEWGTWTAKKAKVITHYGFIPLIIVIGMNSEPKPSLSQLLSPKDHNLSSKSDILLIFQSKSPAFDWVVAEENNSFLKLILSRRDRSSEAFPGNHRNCIWVGDIAAVGDTPQPTPFSTAQAFAISFGYEDEVTPATLHPLVSVSLVVSSKEDDVTPATLHPLIFVSLSSSLQFEAKPRRRRRNGAWTSREAGRCSFVLYV >itb03g06310.t1 pep chromosome:ASM357664v1:3:4646133:4646912:1 gene:itb03g06310 transcript:itb03g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNHIRSISFPSRSHPSIQKVEEELTKLKTLQVSAAPEAATISSGLLGLEQLYKCMDDLLSLPQTLQAQSQIQNQKWVDGLLEKSVRLLDICGLARDCISQLKEHLRDLQSSLRRRKEDSSTTKYNSFVKKMNKDAKKSIAAIKKIDDEIEGSTPFDVHRDISAVIKALREASAVSTSIFQSVLLFLCVPVLKPKPSRWSLVSKLVQNGKVACEYQHNNTCNLETLEAQLEDIENKMESIFRCMIKSRSYLLNIISC >itb15g19890.t1 pep chromosome:ASM357664v1:15:22402754:22403876:-1 gene:itb15g19890 transcript:itb15g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKRRQNLPSSSNSEEDLTDIIREHALPFLPAKSLLRFMTVCHHWKRHILTPSFHLNQSLRFTDITGLFCQTTPENPPVFIPIHPTSAGVPDPSLSFLPEPVVIKASSNGLLCCQGRNEDKLYYLCNPAIKHWRKLPKPTASLGSEPALVVIFEPSEFPNISEYKIICPFEFAGFDGAIGFEIYSSKKNSWNVSGDFCFGAKNATLGSGVHVNGVVYWPVKRGGILSFDLTKDRSELLDNGNSEGKDCILGTYYGTLCKVYIEFDDEELLVKVMVNIQLPQRVKMWETVSCAGYPFDTDMPLDDITSRVVAIGREEAVVKCGNQLYSYDFERMETKILSKPGESHYNICVPYVNNLVYL >itb08g16590.t1 pep chromosome:ASM357664v1:8:18636297:18636746:-1 gene:itb08g16590 transcript:itb08g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL18 [Source:Projected from Arabidopsis thaliana (AT4G38140) UniProtKB/Swiss-Prot;Acc:Q9SZL4] MMICGLMQSQSSISTATIILYTCIWIPFLQIKQVMAKMIRLVWCEYQYQYHEAGDDRVVLELDTIIFGDIKRCSSSRRRRLGEECCSICLVEYAKEDWVNQLRRCGHLFHVECIQRWLDRNHFTCPLCRSLICSSDPASPRFIDSFIIN >itb11g13550.t1 pep chromosome:ASM357664v1:11:10562127:10564549:1 gene:itb11g13550 transcript:itb11g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKAAEKKEDPPSAAGGDEKKAEGEAKPAEESKDAPPPPPPPQEIVLRVFMHCEGCARKVRRCLKDFDGVEDVVTDCKTHKVVVKGEKADPVKVLERVQKKSHRQVELLSPIPAPAPEEEHKKPPEEVAKPEEKKEEPQVITVVLKAHMHCEACAEAIKKRILRMKGVENVEPDFKGSQVTVRGVFDPQNLVDYVAKKTGKRAVVVKVEPKKDEAAGEKAKEGKEEKKAEEGKKGGDGDGGEKKEGESGGGEKKEGDGEGVELAEDPKMEMKKHELYYSYYPPIQVNNPNYHHQRFVAAQDGGYGYGYPQMFSDENPNACSVM >itb11g13550.t2 pep chromosome:ASM357664v1:11:10562127:10564549:1 gene:itb11g13550 transcript:itb11g13550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKAAEKKEDPPSAAGGDEKKAEGEAKPAEESKDAPPPPPPPQEIVLRVFMHCEGCARKVRRCLKDFDGVEDVVTDCKTHKVVVKGEKADPVKVLERVQKKSHRQVELLSPIPAPAPEEEHKKPPEEVAKPEEKKEEVITVVLKAHMHCEACAEAIKKRILRMKGVENVEPDFKGSQVTVRGVFDPQNLVDYVAKKTGKRAVVVKVEPKKDEAAGEKAKEGKEEKKAEEGKKGGDGDGGEKKEGESGGGEKKEGDGEGVELAEDPKMEMKKHELYYSYYPPIQVNNPNYHHQRFVAAQDGGYGYGYPQMFSDENPNACSVM >itb11g19010.t2 pep chromosome:ASM357664v1:11:19550192:19555669:1 gene:itb11g19010 transcript:itb11g19010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGISGGGGEGIGRGGGPQNYFCYHCERTVTISPLPNSELVCPNCNDTFLEEAETAPPTNPSNDNPFFPGADAFPFGFGGGGGGGGGGVGGEGLVFSTASSGGGIALDDLSSLFGGMAAGRSPNQFHPLLFLQNYLQTMRASGANIQLVFENSGGGGVPGNLGDYFVGPGLEQLIQQLMENDPNRYGTPPAAKSAVEGLPDIKITQEMLASDSSQCAVCKDSFELDEEAKQMPCKHIYHKDCIMPWLELHNSCPVCRYELPTDDPDYENRRNSQQQTRNNNNSFGLGIGGLGGGGNQENPQTPRTVERRFRVSLPWLFGGFGSPAETSNSGGGTGNNNGGSTGNNNGGNDNNRDDTGHGPNSGS >itb11g19010.t1 pep chromosome:ASM357664v1:11:19550192:19555793:1 gene:itb11g19010 transcript:itb11g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGISGGGGEGIGRGGGPQNYFCYHCERTVTISPLPNSELVCPNCNDTFLEEAETAPPTNPSNDNPFFPGADAFPFGFGGGGGGGGGGVGGEGLVFSTASSGGGIALDDLSSLFGGMAAGRSPNQFHPLLFLQNYLQTMRASGANIQLVFENSGGGGVPGNLGDYFVGPGLEQLIQQLMENDPNRYGTPPAAKSAVEGLPDIKITQEMLASDSSQCAVCKDSFELDEEAKQMPCKHIYHKDCIMPWLELHNSCPVCRYELPTDDPDYENRRNSQQQTRNNNNSFGLGIGGLGGGGNQENPQTPRTVERRFRVSLPWLFGGFGSPAETSNSGGGTGNNNGGSTGNNNGGNDNNRDDTGHGPNSGS >itb02g10430.t1 pep chromosome:ASM357664v1:2:6735534:6738305:1 gene:itb02g10430 transcript:itb02g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRPPHHRTPSASTTTTTSTPTGELFVCFTSRLSSSSSMKISKSILSPGRARDPSVSLSNSLSRRLRSNGSIKAGQASPMFPSSAKKRGSGFENPEPSSPKVTCIGQVRVKTKKKVKHSRSLRRSGDLSFRKVEQTQEGMNLSEERERDRLCLQNQRSSSVHYQQQECSVPHRNQRWVHLPLTICEALRAEFSCLFPCRSSCFSTNEREKQEKGNGSRERDHNGQQQEQRSCGAVFARWLVALQDGEGGKGREIELVVAGGDDDEERIAGRPSTTMRSSRSRRHVFEDIEFKDESFVAQKGDGEEEAAEEEEKARVSICIPPKNALLLMRCRSDPMKMAALTNRFWETPAATKNEDSDGDGGEAEVEIQKGEAKSQVLMEKECEEVDEQNVVSSVNLEEEKEEDSDEETTMEMEVVSSEKEEEEEEVKELEVEHQQEDEDFESADLQIDEQNTENVEAVNLISEEEVSITPLSTSSPINSPEQASCETEEKIAPLPLEASVQEQDVEIGDVENTEETTGHESEQEEEDEDEEEEEEESDTEIEHTTLKEEEKTSEDENVKKVWKKEEEEEEEEEVLPECLLLMMCEPKLSMEVSKETWVCSTDFIRWLPERQQLKVIKTDASDHSKKRLSTDTKKSSSATTNPAPPPENKNHHLLQPPRSSCSLPPRSSCSLPAAAVSGVSMASMIEQKLVNAVGYEPFVLTRCKSEPMRTAAKLAAEPCFWKNRKLEPHRRATFGFGAAGVGF >itb15g09610.t1 pep chromosome:ASM357664v1:15:6878610:6880198:1 gene:itb15g09610 transcript:itb15g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECHRDQEEQVVVKAEDRGLLDFLGKKEEEKKPHCAEEEAISGEFGEKVHVSEPPHGVEYKEEKKTLHRSSSSSSSSSEEEYEEDGVIKKKKKEKKGLKEKIKEKLSGEEHQGEVDTSVPVEKCDDEEPAEEKKGFLDKIKEKLPGGGQKKAEEEVAPPPPPAPAAEHHDGEPKKGFLDKIKEKLPGYHPKTEEEKEKEKEKDGECH >itb09g00990.t1 pep chromosome:ASM357664v1:9:617097:625754:1 gene:itb09g00990 transcript:itb09g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSRGRSSSPFHHRKPSSPFSSYSSSSSFMNGKLIPRSSSSSNTSFYGSTNGYSSRSMTPSRNRSGSANPKSYGSRTPVHYPSADELLAEPVDASRSGESISVTVRFRPMSEREYQRGDENAWYPDGDKVVRNEYNPAIAYAFDRVFGQDTSTQEVYEVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQSSPGIVPLAIKDVFSIIQDTPGCEFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKVSHVPYRDSKLTRLLQSSLSGRGHVSLICTVTPASSNSEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISCLKQELDQLRRGMIVGVSQDEIVNLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNSIPGYLGDVSSHQRRHSVSEDDKLDAQREGSLLTVSENQDLRSDTSDFKHRRSSSKWNDDLSQAGSTITESTQGGELISGSSSSSKLPTEEISISDQMDLLVEQVKMLAGEIAFSTSTLKRLLEQSVDDPESSKSQIETLEREIQEKRKQMRMLEQQIAASGEASIAKASMVEMQQTLTKLMAQCSEKGFELEIKSADNRVLQEQLQIKCLENEELQAKISNLEQQLVALKGEKSSPSSGQCVPDEYIDELRKRIQIQEIENERLKVEHVQIVEENSGLCVQNQKLSEEASYAKELASAAAVELKNIAGEVTKLSLQNAKLEKELSAAREKLNSRSTSMQTGNGGSRKYVENTRPGRRGHVSGRANDVVHDDFDTWDLDPEDLKMELQARKQREAVLEAALAENEVMEDEYRKKIEEAKKREAALENDLANMWVLVAQLKKENGTKEESKTNDRQSDAVDSIYDPKNTDIDSKDPVSTEFQALDHQSPPPDIPKEEPLVARLKARMQEMKEKEHRYVGNGDANSHVCKVCFESPTAAMLLPCRHFCLCKSCSLACSECPICRTKIADRIFAFT >itb09g00990.t2 pep chromosome:ASM357664v1:9:617116:625754:1 gene:itb09g00990 transcript:itb09g00990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSRGRSSSPFHHRKPSSPFSSYSSSSSFMNGKLIPRSSSSSNTSFYGSTNGYSSRSMTPSRNRSGSANPKSYGSRTPVHYPSADELLAEPVDASRSGESISVTVRFRPMSEREYQRGDENAWYPDGDKVVRNEYNPAIAYAFDRVFGQDTSTQEVYEVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQSSPGIVPLAIKDVFSIIQDTPGCEFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKVSHVPYRDSKLTRLLQSSLSGRGHVSLICTVTPASSNSEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISCLKQELDQLRRGMIVGVSQDEIVNLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNSIPGYLGDVSSHQRRHSVSEDDKLDAQREGSLLTVSENQDLRSDTSDFKHRRSSSKWNDDLSQAGSTITESTQGGELISGSSSSSKLPTEEISISDQMDLLVEQVKMLAGEIAFSTSTLKRLLEQSVDDPESSKSQIETLEREIQEKRKQMRMLEQQIAASGEASIAKASMVEMQQTLTKLMAQCSEKGFELEVSAGIKSADNRVLQEQLQIKCLENEELQAKISNLEQQLVALKGEKSSPSSGQCVPDEYIDELRKRIQIQEIENERLKVEHVQIVEENSGLCVQNQKLSEEASYAKELASAAAVELKNIAGEVTKLSLQNAKLEKELSAAREKLNSRSTSMQTGNGGSRKYVENTRPGRRGHVSGRANDVVHDDFDTWDLDPEDLKMELQARKQREAVLEAALAENEVMEDEYRKKIEEAKKREAALENDLANMWVLVAQLKKENGTKEESKTNDRQSDAVDSIYDPKNTDIDSKDPVSTEFQALDHQSPPPDIPKEEPLVARLKARMQEMKEKEHRYVGNGDANSHVCKVCFESPTAAMLLPCRHFCLCKSCSLACSECPICRTKIADRIFAFT >itb08g00400.t5 pep chromosome:ASM357664v1:8:335903:339586:-1 gene:itb08g00400 transcript:itb08g00400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYWNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVGDFGLSRLKIATLLTAKSGGGTVIMFDSFVIYSSLLQVCMFFVLIKL >itb08g00400.t8 pep chromosome:ASM357664v1:8:335903:339542:-1 gene:itb08g00400 transcript:itb08g00400.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYCCLCYGYRNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVVHYCLV >itb08g00400.t2 pep chromosome:ASM357664v1:8:336376:339586:-1 gene:itb08g00400 transcript:itb08g00400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYWNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVGDFGLSRLKIATLLTAKSGGGTPQWMAPEVLRNEPSTEKSDVFSFGVILWELMTESIPWSDLNSLQVVGVVGFMDRRLDIPGNIDPRVSSIILDCWRSNPEDRPSFHSIIVRVVDVIQACSRKN >itb08g00400.t7 pep chromosome:ASM357664v1:8:335903:339542:-1 gene:itb08g00400 transcript:itb08g00400.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYCCLCYGYRNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVLCNERAL >itb08g00400.t6 pep chromosome:ASM357664v1:8:335903:339586:-1 gene:itb08g00400 transcript:itb08g00400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYWNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVLCNERAL >itb08g00400.t3 pep chromosome:ASM357664v1:8:335903:339586:-1 gene:itb08g00400 transcript:itb08g00400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYWNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVGDFGLSRLKIATLLTAKSGGGTVIMFDSFVIYSSLLQVCMFFVLIKL >itb08g00400.t4 pep chromosome:ASM357664v1:8:335903:339586:-1 gene:itb08g00400 transcript:itb08g00400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYWNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVLCNERAL >itb08g00400.t1 pep chromosome:ASM357664v1:8:336376:339542:-1 gene:itb08g00400 transcript:itb08g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEAGQDSGTSLYAALAERCRSLEAGLASLQQQLDVLLREQSSRSCEESGQEVASDSGDLTSYPGWGYVPGAFFDGSPYKNVLEYMGHAVHVSRPATEEIIYCCLCYGYRNRSAEKLYGYKDEEAIGQSAIELLIDREHQEWAASISERLWPGSGKTWSGQLPCKKRSGQRFMAMVTKNPLYEDEELVGVITVSSDAALFNSITLGNLNRSYEAQHNGQAGIRTIYPKRLQWRPRPLIAPSVSEPPKAPAAKVFSKLNIAGMVKLFGKNQTNGCSLASMEVDETCSPETSQAINNGQGETEPEPNRIAKYEIRWEDIRLKEEIGQGSFAIVYRGIWNGSDVAVKVYFGNECSEGTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLALITEYLPRGSLFKTLHKSSQQLDTRRRLRMALDVARGMNYLHCRNPPIVHRDLKSSNLLVDKSWTVKVGDFGLSRLKIATLLTAKSGGGTPQWMAPEVLRNEPSTEKSDVFSFGVILWELMTESIPWSDLNSLQVVGVVGFMDRRLDIPGNIDPRVSSIILDCWRSNPEDRPSFHSIIVRVVDVIQACSRKN >itb08g02560.t1 pep chromosome:ASM357664v1:8:2008564:2014595:-1 gene:itb08g02560 transcript:itb08g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKEIVAAIVLMMSFTVMLTLAAEYEIPLDDRYNIYDEYKENGISMVPKGCQHTCGNVSIYYPFGIGPSKHCYLNKWFLINCTKSSSDGVEKPFLSFFSHEKDSSVREILSISYSSQTITMKESFSPLCQTTNNLSVMSNTKLSGSPFFYSSGENRFMFYGCGSAVLTTPGQEFTMAGCKLSCSSNNTMPAAYDCNGINCCHFSLEYDVNAYQINITDSSLNACNYAFFLATSSSRYSLQRISNLVPEEKLVVPVVWRWSVTRDDFTSLPPHYSLDCYPYQSIYPPQLQGTYWNCGCKYPEEGNAYLPNGCQVRAWDRSEAEGRPMVPKGCRDKCGTVNIYYPFGIGNGNAGSKSCYLNKWFRINCTQFSDGSEKPYLSSISGGVEILGMSYDSQTITIKESISPSCQTTGSIKGSNFSLIPNTKLSGSPFFYSIGNNFMLFGCGNAFITMAGEELEQQGYKLNCSKNTAPKTAYDCHGINCHYLTFDYDVNTYQVNFTHSPINAPCNYAFFLSASSSLPPTLQSLPNRQQQVVVVPVELRWTITQDHVPPSYSKYCYPSTYINPQLQRHNYLQCNCRDGEGNAYMSDGCEWRDIDDEISNKKLRSAIIGVSASFGFVFLIWACVILYKAIKKRKMKKLREKFFKRNGGLLLQQQLLAKEGIVEKTTIFTATELDKATDHFNADRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENQLEPFINEVVILSQINHRNVVKLMGCCLETEVPILVYEFIPNGTLFGLIHNNFDDELIPLSWDIRLRIASEVACALAYLHSATSVPIYHRDIKSGNILLDEKFRAKVSDFGTSRSISIDKTHFTTMVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLAELLTGQKPISFELDDDEDRSLVSRFLLCMEENRLMEILDVEVIEQGKKEDVVAMAWLAQRCLNFNGKRRPTMKEVAAELDTIKASHHSHLPSAAMETLEIESDYFIA >itb09g26220.t1 pep chromosome:ASM357664v1:9:26618258:26625386:-1 gene:itb09g26220 transcript:itb09g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLTVGENVDRFIPPAPADKMMMSRSPSEYAFQRFLQEATASVDHTLSSSPPASCSSTSAAAAASGRQRLPQNDVVEVSDRNLGADRDVKFGHESSGAESAAETAKTTSFGAGPAANVPIGSEDYQTFLKSRLYLACAAVAFSRGNCMDPQDSAAMLPDKGLEASISNPGSVVPPKGSGNDFPKGDAGGPVGTSTLPAVQKKSSARAQSASGSELSDDDEAEEEAETTHQIDVKRARRMLSNRESARRSRRRKQVHLTDLETQVSELKVENSSLLKRLTEVSQKHNDAAVDNRILKADVETLRAKVKMAEETVKRITGMHSLFQTMPEIPTLSMPSFADSPSDTSADATVPLQEDADNHYYQSLCRDSSIQNGLLDIPPVDNARQDSAATAGVNNMGAMASMHRVASLENLQNRIRRKAGGSCRTQCRLSNDVQKGT >itb01g23070.t1 pep chromosome:ASM357664v1:1:29140500:29141041:-1 gene:itb01g23070 transcript:itb01g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQSTSCFLSCRGFNSQPSKLVGSRGFINRYFDFDNWQRVTAIVTTNLNKIIDVNFYPVETAKNSNCVAGSLGLVSKADTFILLGMAFYSPEAEDLNTEIFETIYYHALKASSDLAAKEGPL >itb12g18060.t1 pep chromosome:ASM357664v1:12:20313783:20314963:1 gene:itb12g18060 transcript:itb12g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDISRLALMGSDYEYLMYITPEVLQNAPMPDEPIPPQPRDARRQREHLRGGPRSGGRGRRAINIALQEQMAVAAQQQHQAPAAEADEADNDGDDDGDDGHNIDEHSALAIVVAPQRAYGDDPGFTTFEHGHSSATHDWGEGSSGYVPENTTFDPLMSSGFGQFSSTPHQSVGYGFAAYDQSGHDDYYTPLSAVYDPTQPGSSSQVGQGLFHVQDPRPYFDSNPLQHPIHDYLFGSSSVQGGVESIQSQRSQSNVQEEQELQQPTRRLQDNAARRFVEPDPDTKEFVIVIGSCEFGLYNSYHTA >itb01g27750.t1 pep chromosome:ASM357664v1:1:32409787:32415168:-1 gene:itb01g27750 transcript:itb01g27750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSAAPEEIEDGMVDGSFHTPEWHAARLASLKTSHTITWEEFKRKQKEEEIKKGEIEADKDKMMREYRAQLDAERAIKLAKGRNHSSSKHSHKKDKKDKDSKKHRSKKRKRSRRSSDYSSSSSSSESSSSDEEDRESRKSRSKSKRKKKEKKHRSRSKHSDNEEGQKHRSRSKHSDNEEGEGPLPLSRFFGSMKS >itb03g26740.t1 pep chromosome:ASM357664v1:3:26331983:26337085:1 gene:itb03g26740 transcript:itb03g26740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRGSIFLRRAVGSASLLRGNRYSPTALAFPACSPSLSTPTDHIEGDCSKKTFSSDSASAYHLQGGPSHMRAAVFWEPNKPLTLEDFHIPRPKANEVLIKTKEKCEKSSVGSQQGEELIWEVWLENIAGEGGSEQWQ >itb06g03220.t2 pep chromosome:ASM357664v1:6:5489981:5493306:-1 gene:itb06g03220 transcript:itb06g03220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNNGYDHGGVTLETVRTSLIRQEDTIVFCLIERAKFPRNPTLYDETSPGLPTTLSGSLFHFIFKETEALQSKVGRYLSAEENPFFPDDVPESLVPATGKFEPFLHPVADCINVNEKIWDVYLNQLLPLVAVEGDDGNYALTAATDIHFLQALSRRIHYGKFVAEVKFREAPDDYTPAIRAKCYVCRTGML >itb06g03220.t1 pep chromosome:ASM357664v1:6:5489981:5493306:-1 gene:itb06g03220 transcript:itb06g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNNGYDHGGVTLETVRTSLIRQEDTIVFCLIERAKFPRNPTLYDETSPGLPTTLSGSLFHFIFKETEALQSKVGRYLSAEENPFFPDDVPESLVPATGKFEPFLHPVADCINVNEKIWDVYLNQLLPLVAVEGDDGNYALTAATDIHFLQALSRRIHYGKFVAEVKFREAPDDYTPAIRAKDRDALMKLLTFEAVEEMVKKRVERKAREFSKEVKANGSDDDPENCKVDPSLMCRLYAEWVIPLTKLVEVEYLLRRLD >itb11g00630.t1 pep chromosome:ASM357664v1:11:274494:275701:1 gene:itb11g00630 transcript:itb11g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENTRTNSPQIIVLGPPSVFWEYGHKFAEKFRLLKPWESALPLEEFLSAHAQTVEAMLCAASVSLSASLLTHLPSLRLVVTSSAGVNNIDLLECRRLGIAVANAPDIFSADVADLAVGLLIDVLRRISASDRFVKSRAWPIKPHYPLASKVGGKRVGIVGLGSIGQQVAKRLEAFGCPISYYSRKEKPWTSYCFYADVHQLATASDILVICCALTQETHHLINKEVLLALGKEGVIVNIARGGVIDEKELVQCLLQGEIAGAGLDVFEHEPHVPKELLGLDCVVLSPHYAVFTHESFKQVYELIVGNLEAFFSNQPLLSPLLHE >itb15g04200.t2 pep chromosome:ASM357664v1:15:2655706:2660470:-1 gene:itb15g04200 transcript:itb15g04200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFTALRLRRNNSSFSSSSSPSSLIHSSPNAYASSGVLFLLTLLSLSLAAFAFFLQWRGGLPDLRCPGPVLSSSEPQGILGRSRKLSFPYYRDWKIKFGSSDLLPKICITTSTSAGLDQTLPWIFYHKVMGITTFFMFVEGKAASPHVSNVLESIPGVTVIYRTKELEEQQANSRIWNETWIRDLLYKPCNYELFVKQTLNMEMAIVMAREAGMDWIFHLDTDELVHPAGSGPFSVQQLLSILPAEVDTIVFSNYESSVERDDIKEPFSEVSLFKKNRDHIEVEAYSKHYQETCHGTSTFFLTYANGKSAARIQDHLRPNGAHRWQNYMKSLTEVKFDEAVVLHYTYSKFSDLTSRHARCGCKPTKEDVKRCFFLEFDRDAFIIASTATEEEMRYW >itb15g04200.t1 pep chromosome:ASM357664v1:15:2655706:2660470:-1 gene:itb15g04200 transcript:itb15g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFTALRLRRNNSSFSSSSSPSSLIHSSPNAYASSGVLFLLTLLSLSLAAFAFFLQWRGGLPDLRCPGPVLSSSEPQGILGRSRKLSFPYYRDWKIKFGSSDLLPKICITTSTSAGLDQTLPWIFYHKVMGITTFFMFVEGKAASPHVSNVLESIPGVTVIYRTKELEEQQANSRIWNETWIRDLLYKPCNYELFVKQTLNMEMAIVMAREAGMDWIFHLDTDELVHPAGSGPFSVQQLLSILPAEVDTIVFSNYESSVERDDIKEPFSEVSLFKKNRDHIEVEAYSKHYQETCHGTSTFFLTYANGKSAARIQDHLRPNGAHRWQNYMKSLTEVKFDEAVVLHYTYSKFSDLTSRHARCGCKPTKEDVKRCFFLEFDRDAFIIASTATEEEMRYWYNEHVVWKDEETKHKLLKGGIFTRIYSPMVIIKHLRESGVFSSVIAEAWANKNNNASRHGGFWETYSRKFGRLFGSHLTSGK >itb05g25840.t1 pep chromosome:ASM357664v1:5:30024494:30027088:1 gene:itb05g25840 transcript:itb05g25840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFGVWPLKSDSWKGFEVGLCSNSISRSGVVERFDLAGNGGFRTCFLRAQICGSRWKSLSEHSFPRFPRFHFFSNPRKNGSFGSFSALALVSEEQKSVCGTLEKDSLSLNQVSEKIQNEDFGCLQLEGIRNVGLSREGDDGGEDGNGNDSHNQEEIRGKKNGRIDVKALAQSLHSAKNADDVEEILRDKGDLPLQVCSSMIRGFGKDKKLDSAMALVDWLRRRSKDSSGSVHPNLFIYNSLLGAAKEAEKYDVVEKVMEDMVLEGINPNVVTYNILMSAYIEQGEELEALNLFEEIARKGLSPSPASYSTALFAYRRLEDGVGAVKFFVEIREKYGKGEIGKDGDENWEAEFSKLENFTIRICYQVMRQWLTKRENLSLNVLRLLTEMDRAGLEQGRAEYERLVWACTREEHYVVAKELYERIRERSSEISLSVCNHVIWLMGKAKKWWAALEIYEDLLDKGPKPNNMSYELIVSHFNILLGAARKRGIWRWGVRLLDKMEEKGLKPGSREWNAVLIACSKASETSAAIEIFKRMVERGEKPTIVSYGALLSALEKGKLYNEAMQVWKHMLKVGVEPNLYAYTIMASLYTAQAKFDAVNSTISEMKTRGIEPTVVTFNAIISGCARNEMGSAAYEWFQHMKALNIAPNEVTYETLIVALAEDGKPRLSYELYTRAQNEDMVLSAKAYDAVIRSAQLHRATIDVGTLGPRPPEKQKKVQTRNSLPELCNTDDILSKRKRFDRTEIYPAEGVS >itb06g17610.t1 pep chromosome:ASM357664v1:6:21404934:21414810:-1 gene:itb06g17610 transcript:itb06g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGFLTATPAGAGAVLSHSGIRRRCHIGSSKFPLILTTPKLQLQPLHTSRGSVWSAGAAAFDVMRDYGSVIQDAGAMALTIAGAYALVSTFDGLTQRNLIPQSLSRKLVHILSGLLFMASWPIFSTSKGARYFASIVPILNCLRLLVNGLRFADDEGLVKSVTREGNPEELLRGPLYYVMVLILCALVFWRESPVGVVSLAMMCGGDGVADIIGRRFGSVKIPYNQQKSWAGSISMFVFGFLISLGMLCYFSALGYFQLDWIPTIERVAVVSLVATVVESLPTNGAVDDNISVPLYSLAQHCSSRSSTPTPQVPNRLCRSAYDESTTPPPAPLNGPAASTARQADSPGAQPPPPLDGPAAYKATMKA >itb01g03210.t1 pep chromosome:ASM357664v1:1:2107757:2110642:-1 gene:itb01g03210 transcript:itb01g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVQIPRALNHQTTWDVNVLRETSPCKSKHVNPLISTHGFSSLHCGPNNGARSCPFFLNYANKLKPLYLSQNSTSRTWLCHSQDAISPENEYRSSRNIAISLFKRYKYFAERGGGDNLKEFISAGVNAYALGCTDEGLRKELTALKESGVEIEAMQIYGGSTSLKSKIFSSEVDECILWLSIIFITILCTPQPTIVRWSSTPPVSDEMMVQWKGFCAIIANAYFMRGMAWLPVKTLQLEQMAVVGQAEEASVVASRMGLVFSTLEVVSPQWPKV >itb09g01650.t1 pep chromosome:ASM357664v1:9:1008462:1011069:1 gene:itb09g01650 transcript:itb09g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRAMEIERPSSGEEHYAEEVEVKSKLGKRSWVESKKMWEIAAPCILTGVAQFSIGLVTVAFVGHLGSVELAAVSVVQNVIEGFVYGVMLGMGSALETLCGQAVGAGQYDMLGIYLQRSFIITLFTACLLTPFYVFASPLLKLLRQNNDISELAGKYATWAIPQLFAYALNFPVQKFLQAQSKIWVMTVINIVALAFHAVLNWVFVTKYRKGLVGAAIVGNITWWLVVVAQIVYVVAGFFPKAWTGFSLKAFKSLSGFVKLSLASAVMLCLELWYYTVVILMVGWLKNPEIAVDAISICMNLQLWTLMITLGFNAAISVRVSNLLGAGHPKAAKFAVLVAVVTSTFFGILFTVAVFATDNEFPKLFSDKPEVIKEASKLGYFLAVTILLNSIQPVLHGVAVGAGWQYSVAIINIGCYYVFGLPLGGLLGYKAKLGVKGIWSGMLAGALLQTIILLLKMFRANWNTEAAKAEQRINAAQPGNREEQI >itb10g20090.t3 pep chromosome:ASM357664v1:10:25757217:25761184:1 gene:itb10g20090 transcript:itb10g20090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLGLRSSGSYGSLQQNHFISSSFPIPPRKPLKTFKEKEGWFHRILKFAARKKVGLLLLCAVSAAVFVWVLYVDKGEDARGPEIPTISINATMKDRENSIEPEEKNNIHKTLLAKPNNNKGIASTDQPPSLPRVYFTGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPTAPSFSPVLYLNYIHEETPSTNEFGGSEFGGYPSLRQRDESYDIRESMSVHCGFVKGVKPGRATSFDIDDKDLDEMEACEGVVVASAIFGAFDLIHQPKNISEYARENVCFYMFVDEGTEAFLRNSGDLNSSSRIGLWRIVVVHNLPYSDARRNGKIPKLLLHRLFPNSRYSLWIDGKLELVVDPYQILERFLWRRNASFAISRHYKRFDVFAEAEANKAAGKFDNASIDLQVEFYKKEGLTPFSAAKFPITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTPRDQISFSTVRDKIRSRTNWNVEMFLDCERRNFVVQGYHRDILEHQAPPPPPHATIRDRALKTSKYSGATAKLLARRIGDPRSRHRQE >itb10g20090.t2 pep chromosome:ASM357664v1:10:25757217:25761184:1 gene:itb10g20090 transcript:itb10g20090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRENSIEPEEKNNIHKTLLAKPNNNKGIASTDQPPSLPRVYFTGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPTAPSFSPVLYLNYIHEETPSTNEFGGSEFGGYPSLRQRDESYDIRESMSVHCGFVKGVKPGRATSFDIDDKDLDEMEACEGVVVASAIFGAFDLIHQPKNISEYARENVCFYMFVDEGTEAFLRNSGDLNSSSRIGLWRIVVVHNLPYSDARRNGKIPKLLLHRLFPNSRYSLWIDGKLELVVDPYQILERFLWRRNASFAISRHYKRFDVFAEAEANKAAGKFDNASIDLQVEFYKKEGLTPFSAAKFPITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTPRDQISFSTVRDKIRSRTNWNVEMFLDCERRNFVVQGYHRDILEHQAPPPPPHATIRDRALKTSKYSGATAKLLARRIGDPRSRHRQE >itb10g20090.t4 pep chromosome:ASM357664v1:10:25757217:25761145:1 gene:itb10g20090 transcript:itb10g20090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLGLRSSGSYGSLQQNHFISSSFPIPPRKPLKTFKEKEGWFHRILKFAARKKVGLLLLCAVSAAVFVWVLYVDKGEDARGPEIPTISINATMKDRENSIEPEEKNNIHKTLLAKPNNNKGIASTDQPPSLPRVYFTGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPTAPSFSPVLYLNYIHEETPSTNEFGGSEFGGYPSLRQRDESYDIRESMSVHCGFVKGVKPGRATSFDIDDKDLDEMEACEGVVVASAIFGAFDLIHQPKNISEYARENVCFYMFVDEGTEAFLRNSGDLNSSSRIGLWRIVVVHNLPYSDARRNGKIPKLLLHRLFPNSRYSLWIDGKLELVVDPYQILERFLWRRNASFAISRHYKRFDVFAEAEANKAAGKFDNASIDLQVEFYKKEGLTPFSAAKFPITSG >itb10g20090.t1 pep chromosome:ASM357664v1:10:25756852:25761184:1 gene:itb10g20090 transcript:itb10g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRENSIEPEEKNNIHKTLLAKPNNNKGIASTDQPPSLPRVYFTGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPTAPSFSPVLYLNYIHEETPSTNEFGGSEFGGYPSLRQRDESYDIRESMSVHCGFVKGVKPGRATSFDIDDKDLDEMEACEGVVVASAIFGAFDLIHQPKNISEYARENVCFYMFVDEGTEAFLRNSGDLNSSSRIGLWRIVVVHNLPYSDARRNGKIPKLLLHRLFPNSRYSLWIDGKLELVVDPYQILERFLWRRNASFAISRHYKRFDVFAEAEANKAAGKFDNASIDLQVEFYKKEGLTPFSAAKFPITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTPRDQISFSTVRDKIRSRTNWNVEMFLDCERRNFVVQGYHRDILEHQAPPPPPHATIRDRALKTSKYSGATAKLLARRIGDPRSRHRQE >itb03g02280.t1 pep chromosome:ASM357664v1:3:1249672:1255294:-1 gene:itb03g02280 transcript:itb03g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLQDEFDNFASPNSSIYRNCICLRCFVQNFLHVYSSLFRRGEQHAIPSSTQGTTSLSSTASLDTSLSDIYRSPPRPLPYDADPRYFRLQRDGLVSRREKGSSHSHEETEPLRRSDTDDDSESLSTGNKWDKSCEEESKEYNSKSSLKLSTAKTTSGFPHVYSSSEDEDVCPTCLEGDRIHYFLETPRRKSFCRCYQQREGAGSHCHCFCELRVLSRFPLVITDFYSTRSLKSIMGAVCCCLQDEFDNFASPNSSIYRNCICLRCFVQNFLHVYSSLFRRGEQHAIPSSTQGTTSLSSTASLDTSLSDIYRSPPRPLPYDADPRYFRLQRDGLVSRREKGSSHSHEETEPLRRSDTDDDSESLSTGNKWDKSCEEESKEYNSKSSLKLSTAKTTSGFPHVYSSSEDEDVCPTCLEEYTEENPKIMTKCSHHFHLGCIYEWMERSDNCPVCGKLMAFDETT >itb14g01160.t1 pep chromosome:ASM357664v1:14:881895:885030:1 gene:itb14g01160 transcript:itb14g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLNSWKPGVAMIGVNFALAVVNILFKIVLNRGVKQLVLATYRQSISAVFLAPIACCMERKSYKKLTTFTLCALFFSGLMGGTLTLYLFLIGLEYTSTSFACAFINIVPINTFLLALLFRQERINMKCKSGKAKVLGTLICLIGAIVLTLYKGKPLINASSQGLEANHNTKSWVIGSLFLFAGSSTWSSWFLIQSWVGSGYPYQYSSTSIMSFFGAIQSTVLCFIIDGNTSIWRLKGSLEILAVIYAGIVGSSICYVVMSWCVKQKGPVFTSTFSPFIQIFAIVLDVSILHEQIHLGSILGSILVIVGLYALLWGKSKEAEVCKTAPTPDKDAQTVLPVTSTPPRT >itb05g17020.t1 pep chromosome:ASM357664v1:5:24094260:24096611:1 gene:itb05g17020 transcript:itb05g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSQRSCFFMAFHVLLFLISLLLVHGALTASSVETTTSKTASSSCSNGTAAGDCVSTSNRRIEDEDEDYAPVENSWVQIGCLICGPNTYLNEFALACGKLAIQSKRVCGGGTVNKSSEFGGKNNKRERRRGG >itb12g06380.t4 pep chromosome:ASM357664v1:12:4768916:4776418:1 gene:itb12g06380 transcript:itb12g06380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQPRQQHEFPDIQMLQRHIMFKQLQELQRQRQLQQIGDLSQQNYMNQVSVFNKQTSGVQLPPIVNGTPIRDTSQIFSAGNMQLMQRGSSIVDHGFPNGSIFSQGQSQAAMGVLPHQLNMSIYGGSVVGTGNNLNPYSALQGLSNETTSVLTNSNNNQLELPMLQHATFNNSFMSGQSNASSSDSGHVFMPDVASVPKQVFQEKNFFGQVPVQSLDGLLAGNFQQVSALPSKSSMQESCGSREQVSWSALSAPKLPNMGNSQSSCSLDPLEQKILFNTDDSWESNLGEHGNLGMSGFGSALGNSDCMNSFPSVQSGSWSALMQSAVAEASSSDTGLQEEWSGLSFQNPELSTENQPSNYVDSGRQQSNWIDNSLQNASSPSSKPEFLNQSYSMSCNFQGLQQLNHQFPGQKEEIHSDSPKYAGKWLDCSPRQKQPVKESQLVQIASPAQKSWPSQHYEHTERGQSRSNLTGKSGEVNTEVNEEKSPLNNVTAVPEKSTTEFKQIVDHEINQHVMNSTGADSLGKENYLDSNQSCVGLGLSGKLWLHGTTTHLPMVDSQQKPYHQTSQVLSGSEQRHLGQYNNNVSNIIMAPEQVQLPRHPSNFIPRGDNDGPMPSLLETSPSFHGQSTTADTRKHLLHRFNTDGKIVEHGTGTQFNCKEFVQSSERTQMKASETSFNQPDNSSSTSQSNGVRLGSPSQWIPRSHASLEPLPMSYNQLQNQNLPLPAASHSPQAMFSGQATTPSLTKEVSHVTAANCHNQEFSILETMPVNKLSVVSNVNQQPGQSMLPDVQAQQNQFQLGFHKVSSSLLQPTASTTSSREHTTRNSEGQHNQSSFREGAEVVDSLQNSQGLDSKQGEQNKNYPPTPSARDLETFGHSLRPLLGHHQNQVQSLMNAESDLNKMSGKYTGARNMEKNEVNATQYNLLSHQENKVFGFSSETREDQFNKDFSQTPVQDNSLMVMLGQANQTVSASIASNKVEEGRNSLQMAPPWFKHHGNSKNGQILSMLAAKNTLQQLAVGKTLDNLQMSSSISRANSSDASKGSNIWPTTSTVSVVGTQLPNSYELPLNVSDQNLAIRKLKKRKLGFFDSLPWNKEVTQGSLKCPNISMAELEWCLASNRINQKVENEALLVEDLAPMHRAKRRLTKTTQIMQQIFRPAPAVIVSADAFSNCDSVVYFVARLALGDACNMAHDFHRQFTAGDM >itb12g06380.t2 pep chromosome:ASM357664v1:12:4768916:4776418:1 gene:itb12g06380 transcript:itb12g06380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQPRQQHEFPDIQMLQRHIMFKQLQELQRQRQLQQIGDLSQQNYMNQVSVFNKQTSGVQLPPIVNGTPIRDTSQIFSAGNMQLMQRGSSIVDHGFPNGSIFSQGQSQAAMGVLPHQLNMSIYGGSVVGTGNNLNPYSALQGLSNETTSVLTNSNNNQLELPMLQHATFNNSFMSGQSNASSSDSGHVFMPDVASVPKQVFQEKNFFGQVPVQSLDGLLAGNFQQVSALPSKSSMQESCGSREQVSWSALSAPKLPNMGNSQSSCSLDPLEQKILFNTDDSWESNLGEHGNLGMSGFGSALGNSDCMNSFPSVQSGSWSALMQSAVAEASSSDTGLQEEWSGLSFQNPELSTENQPSNYVDSGRQQSNWIDNSLQNASSPSSKPEFLNQSYSMSCNFQGLQQLNHQFPGQKEEIHSDSPKYAGKWLDCSPRQKQPVKESQLVQIASPAQKSWPSQHYEHTERGQSRSNLTGKSGEVNTEVNEEKSPLNNVTAVPEKSTTEFKQIVDHEINQHVMNSTGADSLGKENYLDSNQSCVGLGLSGKLWLHGTTTHLPMVDSQQKPYHQTSQVLSGSEQRHLGQYNNNVSNIIMAPEQVQLPRHPSNFIPRGDNDGPMPSLLETSPSFHGQSTTADTRKHLLHRFNTDGKIVEHGTGTQFNCKEFVQSSERTQMKASETSFNQPDNSSSTSQSNGVRLGSPSQWIPRSHASLEPLPMSYNQLQNQNLPLPAASHSPQAMFSGQATTPSLTKEVSHVTAANCHNQEFSILETMPVNKLSVVSNVNQQPGQSMLPDVQAQQNQFQLGFHKVSSSLLQPTASTTSSREHTTRNSEGQHNQSSFREGAEVVDSLQNSQGLDSKQGEQNKNYPPTPSARDLETFGHSLRPLLGHHQNQVQSLMNAESDLNKMSGKYTGARNMEKNEVNATQYNLLSHQENKVFGFSSETREDQFNKDFSQTPVQDNSLMVMLGQANQTVSASIASNKVEEGRNSLQMAPPWFKHHGNSKNGQILSMLAAKNTLQQLAVGKTLDNLQMSSSISRANSSDASKGSNIWPTTSTVSVVGTQLPNSYELPLNVSDQNLAIRKLKKRKLGFFDSLPWNKEVTQGSLKCPNISMAELEWCLASNRINQKVENEALLVEDLAPMHRAKRRLTKTTQIMQQIFRPAPAVIVSADAFSNCDSVVYFVARLALGDACNMAHDFHRQFTAGDM >itb12g06380.t1 pep chromosome:ASM357664v1:12:4768916:4776418:1 gene:itb12g06380 transcript:itb12g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQPRQQHEFPDIQMLQRHIMFKQLQELQRQRQLQQIGDLSQQNYMNQVSVFNKQTSGVQLPPIVNGTPIRDTSQIFSAGNMQLMQRGSSIVDHGFPNGSIFSQGQSQAAMGVLPHQLNMSIYGGSVVGTGNNLNPYSALQGLSNETTSVLTNSNNNQLELPMLQHATFNNSFMSGQSNASSSDSGHVFMPDVASVPKQVFQEKNFFGQVPVQSLDGLLAGNFQQVSALPSKSSMQESCGSREQVSWSALSAPKLPNMGNSQSSCSLDPLEQKILFNTDDSWESNLGEHGNLGMSGFGSALGNSDCMNSFPSVQSGSWSALMQSAVAEASSSDTGLQEEWSGLSFQNPELSTENQPSNYVDSGRQQSNWIDNSLQNASSPSSKPEFLNQSYSMSCNFQGLQQLNHQFPGQKEEIHSDSPKYAGKWLDCSPRQKQPVKESQLVQIASPAQKSWPSQHYEHTERGQSRSNLTGKSGEVNTEVNEEKSPLNNVTAVPEKSTTEFKQIVDHEINQHVMNSTGADSLGKENYLDSNQSCVGLGLSGKLWLHGTTTHLPMVDSQQKPYHQTSQVLSGSEQRHLGQYNNNVSNIIMAPEQVQLPRHPSNFIPRGDNDGPMPSLLETSPSFHGQSTTADTRKHLLHRFNTDGKIVEHGTGTQFNCKEFVQSSERTQMKASETSFNQPDNSSSTSQSNGVRLGSPSQWIPRSHASLEPLPMSYNQLQNQNLPLPAASHSPQAMFSGQATTPSLTKEVSHVTAANCHNQEFSILETMPVNKLSVVSNVNQQPGQSMLPDVQAQQNQFQLGFHKVSSSLLQPTASTTSSREHTTRNSEGQHNQSSFREGAEVVDSLQNSQGLDSKQGEQNKNYPPTPSARDLETFGHSLRPLLGHHQNQVQSLMNAESDLNKMSGKYTGARNMEKNEVNATQYNLLSHQENKVFGFSSETREDQFNKDFSQTPVQDNSLMVMLGQANQTVSASIASNKVEEGRNSLQMAPPWFKHHGNSKNGQILSMLAAKNTLQQLAVGKTLDNLQMSSSISRANSSDASKGSNIWPTTSTVSVVGTQLPNSYELPLNVSDQNLAIRKLKKRKLGFFDSLPWNKEVTQGSLKCPNISMAELEWCLASNRINQKVENEALLVEDLAPMHRAKRRLTKTTQIMQQIFRPAPAVIVSADAFSNCDSVVYFVARLALGDACNMAHDFHRQFTAGDMSSDKVESPGTLHGTDFLKTMENFICRAKGLEADFIRLEKRASILDLKVESQELEKFSIINRFARFHNRGHSGAVDTSSGSTPAVLKSAPQRYVIAFPMPKTVPEGVNCLSL >itb12g06380.t3 pep chromosome:ASM357664v1:12:4768916:4776418:1 gene:itb12g06380 transcript:itb12g06380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQPRQQHEFPDIQMLQRHIMFKQLQELQRQRQLQQIGDLSQQNYMNQVSVFNKQTSGVQLPPIVNGTPIRDTSQIFSAGNMQLMQRGSSIVDHGFPNGSIFSQGQSQAAMGVLPHQLNMSIYGGSVVGTGNNLNPYSALQGLSNETTSVLTNSNNNQLELPMLQHATFNNSFMSGQSNASSSDSGHVFMPDVASVPKQVFQEKNFFGQVPVQSLDGLLAGNFQQVSALPSKSSMQESCGSREQVSWSALSAPKLPNMGNSQSSCSLDPLEQKILFNTDDSWESNLGEHGNLGMSGFGSALGNSDCMNSFPSVQSGSWSALMQSAVAEASSSDTGLQEEWSGLSFQNPELSTENQPSNYVDSGRQQSNWIDNSLQNASSPSSKPEFLNQSYSMSCNFQGLQQLNHQFPGQKEEIHSDSPKYAGKWLDCSPRQKQPVKESQLVQIASPAQKSWPSQHYEHTERGQSRSNLTGKSGEVNTEVNEEKSPLNNVTAVPEKSTTEFKQIVDHEINQHVMNSTGADSLGKENYLDSNQSCVGLGLSGKLWLHGTTTHLPMVDSQQKPYHQTSQVLSGSEQRHLGQYNNNVSNIIMAPEQVQLPRHPSNFIPRGDNDGPMPSLLETSPSFHGQSTTADTRKHLLHRFNTDGKIVEHGTGTQFNCKEFVQSSERTQMKASETSFNQPDNSSSTSQSNGVRLGSPSQWIPRSHASLEPLPMSYNQLQNQNLPLPAASHSPQAMFSGQATTPSLTKEVSHVTAANCHNQEFSILETMPVNKLSVVSNVNQQPGQSMLPDVQAQQNQFQLGFHKVSSSLLQPTASTTSSREHTTRNSEGQHNQSSFREGAEVVDSLQNSQGLDSKQGEQNKNYPPTPSARDLETFGHSLRPLLGHHQNQVQSLMNAESDLNKMSGKYTGARNMEKNEVNATQYNLLSHQENKVFGFSSETREDQFNKDFSQTPVQDNSLMVMLGQANQTVSASIASNKVEEGRNSLQMAPPWFKHHGNSKNGQILSMLAAKNTLQQLAVGKTLDNLQMSSSISRANSSDASKGSNIWPTTSTVSVVGTQLPNSYELPLNVSDQNLAIRKLKKRKLGFFDSLPWNKEVTQGSLKCPNISMAELEWCLASNRINQKVENEALLVEDLAPMHRAKRRLTKTTQIMQQIFRPAPAVIVSADAFSNCDSVVYFVARLALGDACNMAHDFHRQFTAGDMSSDKVESPGTLHGTDFLKTMENFICRAKGLEADFIRLEKRASILDLKVESQELEKFSIINRFARFHNRGHSGAVDTSSGSTPAVLKSAPQRYVIAFPMPKTVPEGVNCLSL >itb10g07270.t1 pep chromosome:ASM357664v1:10:8548368:8552402:1 gene:itb10g07270 transcript:itb10g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIPNPKNQMGISLSPRRKNDQTYKLCDYAPCDSHRVHRFVKDVWEGAKEERCSELERKTEIWGRNLGIRPSPPELPVADRRRPPIPAKRLRRCKPPESRSSPAEPQPRSPPAELQSRSTPAEPVAKHSCSRTAAGDRPSLKGAPPQLNRRTAAPPEYELDGIDWRKVEFEDNQACLDLIEQAVTCLTASLQWKKEIAIHLAKKPIGLIALLDEESNFPKATDLTFTNKLKEHLKTNQCLKGERGGAFSIRHYAGEVLYSASGFLEKNRDLLHSDIVRLLSSCSSQLLQLFISYVLNQSQKSSPTTKLAVQMFGNKVLLKSLRYGFLLSENNVSRDPLSASIAILQKFNIHPEMYQVGYTKLYLRAGQVS >itb09g30310.t1 pep chromosome:ASM357664v1:9:30971258:30974226:1 gene:itb09g30310 transcript:itb09g30310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGCTVDGNLDESRYSEPMPWIGIYVAVASAACAAAMASDVFHGLRHRKFWFPCKFFSLNATTLAIIAVATKLSVDLNSSMPRHQDQLAKLSSGVLICTVMSNSMPSLAAMEYKELMMNIVALGIFVVTVIVNIGIQLGTGVIYTFWKEHAAVMFIMVILFLLLISSALTVPTTRSYFDLKYSKKFKLAQKECCLGHCEFRPEKLKDNLMKYWMMAHTCSPQFVASRLVTCTASGAFCLLSTVICAEAMLRSYMLPGTFEFCSGESDYKWSTTLILVAQTVAILVGTIAPAFRWFNSVNFRCPKTVTKACHYKVKIENYWIRSLVLWKESPLELRKRGRFIRKFAHNAKENLLDFCIWMQIGMVCLSKLVRLVSLFWVSWLLIGLRRLIRFLKRHTNSIESLDSEPESQSQAGSKPDLSRYVLHLEGEESLRDFMMESNFDVSDHWIKMGKKKQHKNLIKLLQNWSPTKGFMGVNHFDYDHIPSLDSEVPPNCWALPVVTLTSIAVALLGNDSGLSKDLKLCVNEAVSYMRFLEERLDTNGDLSNLRKAAQMVWSGVDLHYKWLDLDLQKMALQAGEDSSPQSVLKALSEEAKQRYLEYKQKDVTICFAESPSKWPAKILAANSMYRICQALLLTNENEQPQNNKTMMFHRLSDMITGIVGACLTNIPRVIFMLCHQGSIKERETRVRFAIMLLGKSGKILEILNHKPLPSSSSERLVHIDDWRALSKEKDSHHQDWNFSPAEDDKALTGSPDFCLTVD >itb09g30310.t2 pep chromosome:ASM357664v1:9:30971695:30973977:1 gene:itb09g30310 transcript:itb09g30310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGCTVDGNLDESRYSEPMPWIGIYVAVASAACAAAMASDVFHGLRHRKFWFPCKFFSLNATTLAIIAVATKLSVDLNSSMPRHQDQLAKLSSGVLICTVMSNSMPSLAAMEYKELMMNIVALGIFVVTVIVNIGIQLGTGVIYTFWKEHAAVMFIMVILFLLLISSALTVPTTRSYFDLKYSKKFKLAQKECCLGHCEFRPEKLKDNLMKYWMMAHTCSPQFVASRLVTCTASGAFCLLSTVICAEAMLRSYMLPGTFEFCSGESDYKWSTTLILVAQTVAILVGTIAPAFRWFNSVNFRCPKTVTKACHYKVKIENYWIRSLVLWKESPLELRKRGRFIRKFAHNAKENLLDFCIWMQIGMVCLSKLVRLVSLFWVSWLLIGLRRLIRFLKRHTNSIESLDSEPESQSQAGSKPDLSRYVLHLEGEESLRDFMMESNFDVSDHWIKMGKKKQHKNLIKLLQNWSPTKGFMGVNHFDYDHIPSLDSEVPPNCWALPVVTLTSIAVALLGNDSGLSKDLKLCVNEAVSYMRFLEERLDTNGDLSNLRKAAQMVWSGVDLHYKWLDLDLQKMALQAGEDSSPQSVLKALSEEAKQRYLEYKQKDVTICFAESPSKWPAKILAANSMYRICQALLLTNENEQPQNNKTMMFHRLSDMITGIVGACLTNIPRVIFMLCHQGSIKERETRVRFAIMLLGKSGKILEILNHKPLPSSSSERLVHIDDWRALSKEKDSHHQDWNFSPAEDDKALTGSPDFCLTVD >itb01g24060.t1 pep chromosome:ASM357664v1:1:29818144:29825328:-1 gene:itb01g24060 transcript:itb01g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAEPQPSTPSASSSPIYIRPRREPFEHGLLPIPKLIFTDGTQTLGPIKDKLLSLASPSDRRVQSQHISDVLQISPQHAHLLLDTVAAVLQSDEDPIVTATPSEIESVGVNVFDLVVFLYIQSYKRLFPRGYKDSAAVADVWPSTSAFDGFLSALSPMQLVRSNSRRFMPSQSDEEAHQLSYLQKHLGNILSLLADPIEGEAEESLVLSMEKFEHLGYLLYFGEKGSEKIPLSQIAPFFANSDPNMPAAPVPAALVHDWLLQNIASALERITDKASVKENGPTNASDQDISMADVCMSPAKASLGSRGPSYIEGISKSSYVRHANDLNGSSVKVINCNESAIYILAPLRYATVYGCSDATIFLGAVGKAVRIEHCERVHVIVAAKRICIANCRECVFFLGVNQQPLLVGDNHKLQVVPYNTFYSQLEEHLNQVGINPTINKWDEPVTLGVVDPHDSISHPAGVSDVQTESATHLDPDQFTNFLIPNWIESEPSGTTKDNPFPLPDAYMASQQRNHKNLDEIKQSLREIEAEDNRKRELANALHACFKEWLYASGNIRQLYCLQGE >itb04g00460.t2 pep chromosome:ASM357664v1:4:274122:278035:-1 gene:itb04g00460 transcript:itb04g00460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYTGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYEGTARSWTQKYAMG >itb04g00460.t1 pep chromosome:ASM357664v1:4:274017:278127:-1 gene:itb04g00460 transcript:itb04g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYTGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYEGTARSWTQKYAMG >itb09g29450.t1 pep chromosome:ASM357664v1:9:30179219:30184647:1 gene:itb09g29450 transcript:itb09g29450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRKIYTRRQWDNYYREWNEEKKRKLSQSCESSGFSGNGSSEHGCCEKGVDGNGKSSEFAEGIDVPGDGSTSTMLGKIVGEKARLSGSSSNADNFSFKEGLKRNKKKRRSAGSGTNDRGDYTELLIDSTDPHLDSAGKAVDKNMKGKEKEQRVSGSLLDEKNAYFDNEYTEFLDELEKNKKRRRNTDHGDYTELLIESENVVKEVENNKKGKVKEEIVTGANNVSSEDEDEDEDETLTAGEEEIETDADNVNSEDEDEDGDETLTVGEEGIETDDDNVSSKGEDEDEDETFTIGEEEIETDDDNVSSEGKDEDEDETLTAGEEEFTLQSILSEKTDNRVEESSKKVEEKAVSVDNFSTDSGESRGSPLSEKHSDYDEDYLEFLEEYLAVPPSCKEKSENGVERNNEAVDDIKCGSDVGDASEKKDNDNCSESFALSSDSTDKLEKWAEKKMKMNKKGKRKEKLEITGDNVSPKVEGLDNVNIKDGPAYQLRSRSVSKCEMKEKDPVNVRNPLPASKEDWGSETSSDEDKKDDCRMRNHVNKEKSHEDKKDDCRMRNHVNKDKKNVEHPSKRTKLKHGLGELNFKKILLNSISKNVDIPKNNLQFCNENIPGQLPLKFRFEDEDPTPPEKMEWEKELDSLFADLQTGLQEVKDSLTTTQPSVNEDNVSAEKHDDSDVCCQKGNHLLILDEQIGLICKYCSFVRLEMKYILPEFANKTGRRQGMRFYDDLHCPLNGDNLPLDGTAGNHCTSIHGGTTVWDILPRETKGTMYPHQREGFEFLWRNIGGDIHIENLKKLQSDCGKGCIISHAPGTGKTRLTIVFLQSFMKLFPDSHPVIIAPRSMLLTWEDEFRKWDVDVPFHNVNNPVLSGRENSISHTFRNEFKSTESKRMLKVYSWAEGSGILGITYRLFEQYVRVKENKEDDMLRKILLRVPGLVVLDEGHTPRNDDSLIWKALSKLETPRRIILSGTPFQNNFDELYNTLCLVSPKLSNFSSGSQLKKMRRTKAAKKKWDRLTSSIGKNKNDDIKELKRIIAPLVHVHNGSVLQERLPGIRSTLVYLKPTSLQKKLFSAMPQKKFFEEDHFMTQISVHPSLAKDIPDFSEYSREMEKLELNPDAGVKTEFLFALIRLSVSHGEKVIVFSQYIAPMELIKKQLKSVFDWVEGREVLHMDGEIDVHHRQASINTLNDPKSEVKVLLASTRACSEGINLVGASRVVLLDVVWNPSVKRQAISRAYRLGQKKIVHVYHLISSTMEARKYACQVKKDFISELVFSTSDGLLCKSGLPVSEDKILEAMILHPKHRHIFDRLGHDPKELDLIDTFDFVE >itb03g08190.t1 pep chromosome:ASM357664v1:3:6156063:6159174:1 gene:itb03g08190 transcript:itb03g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCFALPRISIHPELKHPRLASRIAGEVRGNGSSIVALRTANYRLICRVGETVGKPRNYCSFLGGSRALILPVVGKKCLHRTSLAVSASLLPASQIASSAFTWGTIAVLPFYTLMVVAPRAELTKNLMESGIPFIALGLLYGYLLYLSWTPDTIRLMFASQYLLPELPGIARMFANEMTLASAWIHLLAVDLFAARQVYQDGLQNDVEIRHSVSLCLLFCPIGILVHFITKALTRNPEKKESTIH >itb03g08190.t4 pep chromosome:ASM357664v1:3:6156111:6159483:1 gene:itb03g08190 transcript:itb03g08190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCFALPRISIHPELKHPRLASRIAGEVRGNGSSIVALRTANYRLICRVGETVGKPRNYCSFLGGSRALILPVVGKKCLHRTSLAVSASLLPASQIASSAFTWGTIAVLPFYTLMVVAPRAELTKNLMESGIPFIALGLLYGYLLYLSWTPDTIRLMFASQYLLPELPGIARMFANEMTLASAWIHLLAVDLFAARQVYQDGLQNDVEIRHSVSLCLLFCPIGILVHFITKALTRNPEKKESTIH >itb03g08190.t3 pep chromosome:ASM357664v1:3:6155998:6159364:1 gene:itb03g08190 transcript:itb03g08190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCFALPRISIHPELKHPRLASRIAGEVRGNGSSIVALRTANYRLICRVGETVGKPRNYCSFLGGSRALILPVVGKKCLHRTSLAVSASLLPASQIASSAFTWGTIAVLPFYTLMVVAPRAELTKNLMESGIPFIALGLLYGYLLYLSWTPDTIRLMFASQYLLPELPGIARMFANEMTLASAWIHLLAVDLFAARQVYQDGLQNDVEIRHSVSLCLLFCPIGILVHFITKALTRNPEKKESTIH >itb03g08190.t2 pep chromosome:ASM357664v1:3:6156059:6159043:1 gene:itb03g08190 transcript:itb03g08190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCFALPRISIHPELKHPRLASRIAGEVRGNGSSIVALRTANYRLICRVGETVGKPRNYCSFLGGSRALILPVVGKKCLHRTSLAVSASLLPASQIASSAFTWGTIAVLPFYTLMVVAPRAELTKNLMESGIPFIALGLLYGYLLYLSWTPDTIRLMFASQYLLPELPGIARMFANEMTLASAWIHLLAVDLFAARQVYQDGLQNDVEIRHSVSLCLLFCPIGILVHFITKALTRNPEKKESTIH >itb04g29890.t1 pep chromosome:ASM357664v1:4:33145461:33147060:1 gene:itb04g29890 transcript:itb04g29890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCETTATAVTPPSSRSVSPSSSSSPPPPSSLVVSPCAACKILRRRCAEKCVLAPYFPPTDPIKFTTAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARLRDPVYGSAGAICHLQKQVSELQAQLAQTQAELLNMQCQLQAQANHLMARVCMEMPPPSPLHQQSGLFDSTAATETAQHHGLLSTLSSLDDNNNFGHSFGWELQTMWT >itb10g19190.t1 pep chromosome:ASM357664v1:10:25035517:25040888:-1 gene:itb10g19190 transcript:itb10g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCQHATKLKVLSLSYNKLGGYIPKNLSSCSELEQLELAHNNFVGTIPREIGSLNMLRILKLNWNNLEGTPETIFNISTLTFINMANNYLFGILPSNMCSHLQKLEVLYLYKNKLYGNIPRSIVECSMLKSLQLSDNSLLGEIPREFGSLNMLEVLILDRNNLEGEIPKEIRRLNMLRILILHTNKGEIPRELGNLDKLELLELNNNGLSGSIPWEIFNISTLEVLALGFNKFLGTLPTSLGYWLPNLEELYLDVNYLSGVIPPQISNASNLVVLELSTNQFTGFIPNSLGNLAQVNYLSLGENNLTIDPQFSLMTSLAHCRYIRVIELSTNPLNVMLPNAIGNLSHTLQTLHLEDCNIRGRIPHEIGNLSGLFDLSLGHNDIIGFLPTTIQALQSFQRFDIQENRLVGPFPDVICELQNLFWINLGTNKFSGQISDCLGNISSLGKIYLHENEFTVFPPTLWSIKNLLILNLSSNNLSGSLLQEIGNAKTAIAIDLSNNKLSGEIPSSIGGLTRLINFFVAHNKIQGSIPVTFGKLLDLYSLDLSDNKIFGMIPKSLEGLVSMKYFNVSYNRLTGEIPSGGPFANFTYESFLSNVGLCGTPRMHVPPCPSNTPRMHQSKKNRVVLIVLVSLAVIVLLITFVTVYLILKRRKKEFPGEPDLLSAITPARFSYYQLQRATNGFNESNLLGSGSFGSVYKATLTNGMHVAVKVFGLIHEVASRSFDRECEVLRHIHHRNLTKVLGSCSNLDFKALVLEYMPNGSLHKWLYSHNYFLDMM >itb01g35660.t4 pep chromosome:ASM357664v1:1:37747152:37748754:-1 gene:itb01g35660 transcript:itb01g35660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYKDSKEYSASSQYHQDNERAFFDSADWALYKQGAGVNQKSTVAIETLRPKLQRTPHQQLPPRRPACTSGS >itb01g35660.t2 pep chromosome:ASM357664v1:1:37747180:37748754:-1 gene:itb01g35660 transcript:itb01g35660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKKQTRTQFSVKIHSLWEGEEIEAMAEYKDSKEYSASSQYHQATSEGSKYGVLMPKKPLISKDNERAFFDSADWALYKQGAGVNQKSTVAIETLRPKLQVTRIILYLFENFDLQYSTMLYCDLNFLTNFCIKRTPHQQLPPRRPACTSGS >itb01g35660.t3 pep chromosome:ASM357664v1:1:37747057:37748754:-1 gene:itb01g35660 transcript:itb01g35660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYKDSKEYSASSQYHQATSEGSKYGVLMPKKPLISKDNERAFFDSADWALYKQGAGVNQKSTVAIETLRPKLQRTPHQQLPPRRPACTSGS >itb01g35660.t1 pep chromosome:ASM357664v1:1:37747180:37748754:-1 gene:itb01g35660 transcript:itb01g35660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKKQTRTQFSVKIHSLWEGEEIEAMAEYKDSKEYSASSQYHQATSEGSKYGVLMPKKPLISKDNERAFFDSADWALYKQGAGVNQKSTVAIETLRPKLQRTPHQQLPPRRPACTSGS >itb12g17310.t1 pep chromosome:ASM357664v1:12:19268834:19271957:-1 gene:itb12g17310 transcript:itb12g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILSTNTLFFFFFFLFLLTATCSAFTSQDYSDALEKSILFFEGQRSGKLPADQRLNWRGDSALSDGSGYHVDLVGGYYDAGDNIKFGLPMAFTTTLLAWSVIEFGNDGSMNNQLENAKAAIRWSTDYLLKAATASPDTLYVQVGDPNGDHKCWERPEDMDTQRSVYKVTPQNPGSDVASETASALAAASIVFKDSDPAYSSQLFTTATKVFDFADKYRAAYSDSLSSAVCPFYCSYSGYHDELLWGAAWLYKASETSSFQDYIQSNAQTLGATDDVYSFSWDDKRPATKILLSQRFLEKNTQGYELYKQHSDNYICSLIPESPSAQAQYTPGGLLFKQSGSNLQYVTSTSFLLLTYAKYLSSNGGVVNCGASTFTAEKLVALAKKQVDYILGDNPARMSYMVGFGQRYPQHVHHRGSSVPSVKDHPARIGCNDGFQYLNSGSPNPNTLVGAVVGGPDNRDNFADDRNNYQQSEPATYINAPFVGALAFFSATA >itb02g15040.t1 pep chromosome:ASM357664v1:2:10908075:10916672:-1 gene:itb02g15040 transcript:itb02g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEKLLVQIFERKNSIIEQVKQQTDLYSQHLASKLLIEGITPPPWLWNTSLSSNLKELNKDELISEILLPRAWPSTYYPNSRYSIYNTTVVTGDNGELSDGAFVENYASSKDLHAGEGPSSRAVYHDNNTEDVLKSIPELDVRVTLPQDETDTRAESTSYAPDQSLAKIQRSKSRQKALKLRNSASTKARSQSSIKCRYDVTSIGIDFSASVSEQVGESYKFPEASMLVSEGNGLPAERNCPNQENQNDNYTGRVTRSTSCSIKRNCMSDYSKADNYSDIAENVMSSQKNMHAVASNGTNMSMLPFEQENLVNKSPKVSEPCMINTEPYAVQESSEGDHQMLEKGVDVYTGGVTRSRSSAKQQNYVYDSFEVNSSSDIANKNSGGQAQPTVDLPNQSVKVVNLSDITDESQDVCNATVRGSSYKKKKLTGIYSGRTTRSMSSTQKCSITSETLQVDASTYSAKVNSNIIAQTSRETLEKLVDAKKHLELVQPSIDFDNRSSKPQCCSGNEVITNLDKISSIARASDSGTKSAALQKSACENQDIQSASHDNIILTGPEKCDADNQLGNAEADSSKSHIPQSSPSETNGRIGSEHLVAELPSDCSMLMKPKQLNFDDMEECNLNEYIHCTSEDENLDIAIKEIGSTPIDRFSLKEKLSSSSPHTIFSEKQSTLEQDIYSKSNRLSSCPVEFTAQEPDRSKIDASDTEVHASKSSLDDPEALQCFENSNISPEEDTMLPSTFNNSDANHHHMKLSPIERSNSLIEPYVEVGVSGFKRCDEGTAASCAGKSKTLVTPCSTSLTNRTTGDYKKCVAKEVANEDPITGSFAAGGQNYIQGAGVDDENFSLESKEIQIAMNSSLLERKFRSTKVSSWPQVKRRKLEDQQSNCFSASRNSQIPRLCNIKMASGSIDLHSIEGQGGVVLKEDASGDNIISELCPTMDSCVPGLDLTLIASQDCMVEEKGRGDPVDLTFDADGCYKPNEQEDAATTSNDGILQVLSESKGTVGDFCDSITEVKGRDVPEDPGDSMFDTAGCYKPNEQADAATISNAGILQGLSESKETVGDFCDSIIEVKGRNVPADPGDSTFDTDGWYKRNEQSDAATISNDGILQVSSESKETVGNFCDSIIEVKGRDVPAGPNFDSCEYSNEQNFQTASMLENNISLANNESLCTGSIVLKSSSHMMEDSLYPHSLVHSSHDEVESTGIDDSMPVFEGFVIDPPGADGELDIAGVGINFEALDLPTTTIERASILEQICRSASTHKSLSHLSSALKLHRSHTLYQSLPEGLEHMDLRSTSPFSEDIDKQSRASTSCDEEEVGYSAQGISYPDCLGYSGAKFRWKSGSPYASPVGKLWERTSFHSSSSEKQLNSNPELTCFPIKEDSTISEENENVDKLADEFQDDIDSLVGNSHAKRQPLFDVTAEACLNAPASVSAAEKVQAGGRMDSAKSDVGFSGTRNKAKRKLPSHCRNTSVGKENRSLLISATGKKKEKHSHIDGSRKPNSSATTSLRRNEQKLSEKGYNRHNNIISNVTSFIPLVQQKQAASMCTGKKDIKVKALEAAEAAKRLEEKKEIERKKRKEAMKLKRAQLEQENLRQLELNKQKKEEERKKKDAEVLAKKRARAEEEKNDKEKKRKRIEDLRRQQNDQEEKLRARKLEIEKKCSAIDEKAISMKENDDKLNISHVEKEIGDCKASDKPVGELRQVEILKSDNPVSTISHECLDTSADHSQHEKVIHSNLDKPGKDQNFTAKEPQLKSYEISPYKCSDDEDDEDEEDQPMRKFIPSWASKSCVALVLPLQQEIDPDSIFSPESFCSMDEVLLPRRVQQKQTAL >itb04g04240.t1 pep chromosome:ASM357664v1:4:2620842:2621731:1 gene:itb04g04240 transcript:itb04g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTTAMDVGLKRDNRTRKTRKEKAVKVVYISTPMKVKTSASRFRSLVQELTGRHSDIARIMENNDGATDFEVFPDLDGAGAGDDDRVMIGGLNDEGHRHSSPSFFRFPMVNNSVEESPTSSESLAEPPLDDHVFSSQVDEQFQAMFPLDLFLNLNSADLDGDILGSY >itb12g14320.t2 pep chromosome:ASM357664v1:12:13776889:13780964:-1 gene:itb12g14320 transcript:itb12g14320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGRLSEDVPEFGAIFMSNRSTKDECFDKRVFGLPPSSANFVKNVKAGMYLFLFEYEERNLYGVFKATSDGRMNIIPQAYRSLGMSFPAQVRFCVVWRCHPLSENCFRDAIRDNYYAPNKFRFGLSQEQVWKLLCLFGSSRSRVQKPLVFENLTIKSSDRKIDFDTARISENSSSGSQLNQLQRGLDQCRSTSNNSFLLEDYTVNPGTPVKQADSRFTSKHGFTAQISKDSSSGSLLIQLQTGPECKNTSNDSLLLEDYIPLSDPEHCDPVNSGDGNDQSDSELHPKVKRQYSDELHPKVKRLYSDTKQKRRSVFSRLQCGSQEWGENSRKNINYPSHSKWDSESSSFEQENERKSGVPQSVHRIMKWRREKWMAEDITPSQKTNVFSRISFPPEFPMYDRDGEVNSLSRLNSTYNASSYLKEANKNAKKRSHQVPFPDHSDSKNISLSIQVEMLGNAERVEVNLKDSSSPVNVKLASHHQDFNHVHERRTLKTLNVIGEMDSRQVFKEPFPEDEQHKRKKLMRSTGSDFSNRIVAAPCPVASKGGTKKALRLRD >itb12g14320.t1 pep chromosome:ASM357664v1:12:13776889:13780964:-1 gene:itb12g14320 transcript:itb12g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGRLSEDVPEFGAIFMSNRSTKDECFDKRVFGLPPSSANFVKNVKAGMYLFLFEYEERNLYGVFKATSDGRMNIIPQAYRSLGMSFPAQVRFCVVWRCHPLSENCFRDAIRDNYYAPNKFRFGLSQEQVWKLLCLFGSSRSRVQKPLVFENLTIKSSDRKIDFDTARISENSSSGSQLNQLQRGLDQCRSTSNNSFLLEDYTVNPGTPVKQADSRFTSKHGFTAQISKDSSSGSLLIQLQTGPECKNTSNDSLLLEDYIPLSDPEHCDPVNSGDGNDQSDSELHPKVKRQYSDELHPKVKRLYSDTKQKRRSVFSRLQCGSQEWGENSRKNINYPSHSKWDSESSSFEQENERKSGVPQSVHRIMKWRREKWMAEDITPSQKTNVFSRISFPPEFPMYDRDGEVNSLSRLNSTYNASSYLKEANKNAKKRSHQVPFPDHSDSKNISLSIQVEMLGNAERVEVNLKDSSSPVNVKLASHHQDFNHVHERRTLKTLNVIGEMDSRQVFKEPFPEDEQHKRKKLMRSTGSDFSNRIVAAPCPVASKGGTKKALRLRD >itb01g35130.t1 pep chromosome:ASM357664v1:1:37501987:37504378:1 gene:itb01g35130 transcript:itb01g35130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVLFFGYAYPAYECFKTVELNKPDIPQLRFWCQYWIIVATMAVSERIGDAFISWIPMYSEAKLAFYIYLWFPKTKGTTYVYDSFFRPMVLKHEPEIDRNLLELRTAAGDIALMYWRKAASYGQTRIFDIFQFIVSQSTSSKSSPSAPQSQMQGSRVHQPTTAPPKQRSTSAVTIKPPQNEEQQSSSALNEPSSEHEKDAEGEVASLQARATAPPAPSSSAQKPTPTPNDVLTETTKASSSSELVVRPSASPSAKLPAEKVEATLRLTRARLKKIQTAASGH >itb01g35130.t2 pep chromosome:ASM357664v1:1:37502713:37504378:1 gene:itb01g35130 transcript:itb01g35130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVLFFGYAYPAYECFKTVELNKPDIPQLRFWCQYWIIVATMAVSERIGDAFISWIPMYSEAKLAFYIYLWFPKTKGTTYVYDSFFRPMVLKHEPEIDRNLLELRTAAGDIALMYWRKAASYGQTRIFDIFQFIVSQSTSSKSSPSAPQSQMQGSRVHQPTTAPPKQRSTSAVTIKPPQNEEQQSSSALNEPSSEHEKDAEGEVASLQARATAPPAPSSSAQKPTPTPNDVLTETTKASSSSELVVRPSASPSAKLPAEKVEATLRLTRARLKKIQTAASGH >itb14g15640.t2 pep chromosome:ASM357664v1:14:18953307:18957977:1 gene:itb14g15640 transcript:itb14g15640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRSINRNGDYLEGMLSDYMGGRKGGNNNKIRSTKTGSTKLVAVLTFLQFTFAVYATFLLYYMSPSVDLRMKPDFSWASRMAQQWKQYLIIPPHVVTKYQESNSLVKGETSQQMMVFSPSEVCELEKIDFEQKKSSDAVMIKLKRELYQQVLDFQKSSFGTETLSELMAMKSKWDLRSPNKPKITVILNHFKRKTLCAQLDSLLNQTLPFHNVWVLSFGSPNELTLRRIVESYNDTRISFISSGYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILAHVAGTEKYQNSVLGSIGRILPFRQKDFSFPSYRKFRSKEAGLYLPDPAYDILVDRIVQVDFLSSSWFLSAELVKTLFIEAPYTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMNPQKIDALFYAHSVDEVKALAPLLEKFRKTVGRKAYIVVSGGKFLGCEDAVMALNWPKSVCRERRFKIMDLGIGALSGISNSEVPVLQAVYASMKGLIKIHNPSVVITVADADSNIKKALKMAVETNTNNSTLVLLPRSSVPKVLWMADLRPTALPNWNKMRVSINIITQNRANSLARLLRSLSSAFYVGDEVSITFNMDSKVDEATIKLVNSFNWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSTISLYTPRLVEVVKERPKWNATEFFKQIHPNTPYLHQLPCSWGAVFFPKQWKEFYVYMNMRFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHIAAKDNIVKHNKDDFEVPLLKQDFRDLLPNGKMPPASKLPSLNLFNQAVSLKGLKSAGAKLKQDVLKCDAAEVVVVHHDTGLPSHCARF >itb14g15640.t1 pep chromosome:ASM357664v1:14:18950501:18957977:1 gene:itb14g15640 transcript:itb14g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRSINRNGDYLEGMLSDYMGGRKGGNNNKIRSTKTGSTKLVAVLTFLQFTFAVYATFLLYYMSPSVDLRMKPDFSWASRMAQQWKQYLIIPPHVVTKYQESNSLVKGETSQQMMVFSPSEVCELEKIDFEQKKSSDAVMIKLKRELYQQVLDFQKSSFGTETLSELMAMKSKWDLRSPNKPKITVILNHFKRKTLCAQLDSLLNQTLPFHNVWVLSFGSPNELTLRRIVESYNDTRISFISSGYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILAHVAGTEKYQNSVLGSIGRILPFRQKDFSFPSYRKFRSKEAGLYLPDPAYDILVDRIVQVDFLSSSWFLSAELVKTLFIEAPYTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMNPQKIDALFYAHSVDEVKALAPLLEKFRKTVGRKAYIVVSGGKFLGCEDAVMALNWPKSVCRERRFKIMDLGIGALSGISNSEVPVLQAVYASMKGLIKIHNPSVVITVADADSNIKKALKMAVETNTNNSTLVLLPRSSVPKVLWMADLRPTALPNWNKMRVSINIITQNRANSLARLLRSLSSAFYVGDEVSITFNMDSKVDEATIKLVNSFNWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSTISLYTPRLVEVVKERPKWNATEFFKQIHPNTPYLHQLPCSWGAVFFPKQWKEFYVYMNMRFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHIAAKDNIVKHNKDDFEVPLLKQDFRDLLPNGKMPPASKLPSLNLFNQAVSLKGLKSAGAKLKQDVLKCDAAEVVVVHHDTGLPSHCARF >itb06g21880.t1 pep chromosome:ASM357664v1:6:24379361:24381658:-1 gene:itb06g21880 transcript:itb06g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSVLCDVDVAVIMFSPSGRLSTYAGHKKSMEDILMRFLNLPEQDRGRPVNGADSQIAEIQQQFEKCKSRLEEVEQQLLIYECDPGEIMTLYEAQYRERIFEQNLNLVRQRKQILEEKFNAIPNSQAPSEQLNLGLETTMNTIDFALMDNWLPADRDPHSQIFNFLDPNGFFPLRDEPGPVQQPMDSMVAPPPLPLLHVENAPLGDHRVASRAEADDMPRAGDFFGPINVSPWMTQYGGGAPAANVPLPSTYPRDRGILEAFMSQFTNSPFNQDHI >itb12g20990.t1 pep chromosome:ASM357664v1:12:23410328:23411190:1 gene:itb12g20990 transcript:itb12g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWERPSRGKTPSFSSSLLESIYHSIDESQGRHDHRRRNNNSSEAGQEIASLRRAIMVEKWMENCTNKNPAATPAPSRHFNSDSNSSSDSMASVFSSSEAESSAFRSSRKPKTPRKSNILSFYAELKKTKQPISPGRKIRNFLNSIFASKTNKPHREYDGSAAAEEWSSVRKSRSMKASTTAPSCLSKTPSSKSKRSVRFCPVNVILNDNDNKVVVRGMYNDEEEDDDGRSCASSDLFELENIGTAHHHHHRVDASRDELPVYGTTSLKINQVIATGLFM >itb11g21590.t1 pep chromosome:ASM357664v1:11:23282320:23283163:-1 gene:itb11g21590 transcript:itb11g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKTPKPTTTTTCFLFLLLSFSVLLSSAAYEKSAAAAAVCGAAVLVAALLVLAVRTTIVTWITIIVLLAFAGKRRRVLAKDGDKITSDVALCLAKVVLRGRGGVLAFSCAVAVLGFSTLAWIS >itb14g17660.t1 pep chromosome:ASM357664v1:14:20865899:20868383:1 gene:itb14g17660 transcript:itb14g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQHFRLCCCLLGFTQRPAEAPEHVRHVFAEYSEDGIMSIDNLLRFLREFQKEAYATGETAQEIIYSVKRLNLKQPKGLVVEEFFHYLLSDLNTALNTEVHHDMTAPLAHYYIFTGHNSYLTGNQLSSKSSVVPIVKALQKGVRVIELDLWPNSRKDDVDVCHGGTLTSPVKLIKCLKAIKSNAFVASKYPLIITFEDHLTPALQAKVAGMVKRTFGDMLFCPESEMYEFPSPESLKERIMISTKPPKEYAEHGRANNERFPRPSKDEAPFSHGAQADSEEEGVDDDEDGASEIPEYKSLIAIHAGKLKGSIRNLPSHDGKVTRLSMSEETLELATKKHGKELIQFTQRNLLRVFPKGTRITSSNYNPFVGWMHGAQMVAFNMQGYAKYLWIMQGMFRANGCCGYVKKPSFLLNDGYYDEVFDPLALPVKTTLKVNIYMGEGWRQDFHFRHFDYLSPPDFYVKISIVGVASDHTESERTQVVEDQWIPSWNGEEFVFPLRVPELALLRVEVKDYDPTGENEFGGQTCLPVSELKTGFRSVPLYDHRGDKYRSVKLLMGFEFI >itb11g05300.t1 pep chromosome:ASM357664v1:11:3132775:3133020:1 gene:itb11g05300 transcript:itb11g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLQNNYYGVFEAEQSLSDWSNQCNFGLNSVLSTPLSSPGSTTFVSSGSCTEDEKESDCIISNMMKFEIPSASLDFEDLL >itb08g05550.t1 pep chromosome:ASM357664v1:8:4577922:4580383:1 gene:itb08g05550 transcript:itb08g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRAAACKSLVQDSSYSLGFQEYEKNPYHPTQNPSGIIQMAQAENHLSFDLMESWLERNQDVSEFRKNGESMLKELALFQDYHGLQAFKNELVVFMSKMRGGKVKFDPKKIVLTAGATAANETLIFCLAEPGEAFLIPTPYYHGFDKDLKWRTGVEIVPIHCSSSNSFRITESAMEEAYQEAEQRLGLKVKGVFITNPSNPLGTTATPQELHHLISFAVSKNIHIVSVEIYAATAFNNNTSPGFTSILNAASDKTHKNRDLLSPRIHVVSSLSKDFGLQGFRIGMIYSGNETVVSAATKMSSFGLVSSQSQYLLSNILGDRKFTQNYVKQNKKRLRERREKLVSGLKECGVPCLDGDAGLFCWADMRGLLGSNTFESEMELWRRVVCDAGLNISPGSSFHCCEPGWFRVCFANMSDETLEVAMRRMRDFVDSVGGGDRCRRGVHRSRPPAAGPSWRKILSSLVNWCFK >itb13g16860.t1 pep chromosome:ASM357664v1:13:23794384:23797814:-1 gene:itb13g16860 transcript:itb13g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEDRSTVWRKFILFLNCILLGIGNCGGALISRLYFLRGGTRIWFSSWLVTAAWPITLIPLTAAYILRRRRRQTPTAKPFFITPKVFTSSAAIGVLTGLDDYLYAYGVAKLPISTEALIIATHLGFTAGFAFLLVKQKFTAYSVNAIVLLTMGAGVLAFGASSDRPNGESNKEYILGFVLTLLAALLYGFVLPWIELVYKQAKQAITYTLVLEIQLVMCFFSTAFCTIGMIINKDFQAIPKEASGFEMGEAKYYVVVIWSAILWQFFFIGAVGVICYGSSLLSGIVIASLLSVTEVLGVVFYGEKFHAVKGISFALSLWGFVSYFYGEFKSSKTSKTINQNQNLEAQVTQTHTLPA >itb02g19430.t1 pep chromosome:ASM357664v1:2:16554401:16557907:1 gene:itb02g19430 transcript:itb02g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEEHYYVSGIQALLIHICINKTSAACEEILLMCPSILILEEGHTSSNQDTDIMSALAKEPTWKPEPTCAQLTKYLLSLGVKPTLAKAKSCLNVFPYELSTFAFLVRHVHLYGEQWSQGVLWPHYTRYARDPWNKMFSGWALDRYEAIVGPQLPHGVGYKRIVTGRLGCSLGGAWKRRVFAIGNYVNQRLLRPIHKWLGAVLRRLPTFGTYWQTRPLDRLQGKHVCYSYDLKSATDKWPLYFLFRIVLFDRSCASSAVNSALACNIFHVPFVSHGEFCCGTALR >itb09g05410.t1 pep chromosome:ASM357664v1:9:3086142:3088758:-1 gene:itb09g05410 transcript:itb09g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSSSATIRGSFSEQNKVPQMGYFQPLDRAYHQPTAVSFSRRHSDVKPLNAEPKRNEAVVAAAATVAAPETAEKVEAEDYEKLAKQLENASPLEIIDKALEKFGDDIAIAFSGAEDVALIEYARLTGRPFRVFSLDTGRLNPETYQFFDAVEKHYDIRIEYMFPDAVEVQGLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPAFEGMDGGAGSLVKWNPVANVNGTDVWSFLRAMNVPVNSLHSQGYISIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNLKDENGNGNGGAHTNSAVVEADIFETQNIVTLSRPGIENMLKTEDRKEPWLVVLYAPWCQFCQGMEESYVQLAEKLAGSGVKVGKFRADGEQKEFAQQELQLGSFPTILFFPKNSSRAIKYPSEKRDVDSLLAFVNALR >itb09g05410.t2 pep chromosome:ASM357664v1:9:3086142:3088758:-1 gene:itb09g05410 transcript:itb09g05410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSSSATIRGSFSEQNKVPQMGYFQPLDRAYHQPTAVSFSRRHSDVKPLNAEPKRNEAVVAAAATVAAPETAEKVEAEDYEKLAKQLENASPLEIIDKALEKFGDDIAIAFSGAEDVALIEYARLTGRPFRVFSLDTGRLNPETYQFFDAVEKHYDIRIEYMFPDAVEVQGLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPAFEGMDGGAGSLVKWNPVANVNGTDVWSFLRAMNVPVNSLHSQGYISIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNLKDENGNGNGGAHTNSAVVEADIFETQNIVTLSRPGIENMLKTEDRKEPWLVVLYAPWCQFCQVVTPPLTNHTSFPSTPN >itb04g04450.t1 pep chromosome:ASM357664v1:4:2765406:2769411:1 gene:itb04g04450 transcript:itb04g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKSSSGKKKRQSYISVASDIVNSPLQALVLLSPKKQKSPSVSARLRRLLSGLRFWVCFIFLFGFIGTLRLWPSFEPLVPFSPIPCFSLQEKAEVSNGYLGLGGEDKSGFWKQPDGLGYRPCLDFSEEYKEVSAAGVKDRSKYLVVVVAGGLNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLDHFKKVLADDVRIISSLPSTHVMTRPVEEKRTPLHASPEWIRSHYSKRLRRDGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPSILELGDKLTERMRSKGPYLALHIRMEKDVWVRTGCLPGLSREYDEMISNERKRRPELLTSRSNMSYHERKLAGLCPLNAFEVSRLLKALGAPRTARIYWAGGIPLGGKEALLPLTTEFPHFYNKEDLALPGELEPFAKKASLMAAIDYIVSEKSDVFMPSHGGNMGHAIQGHRAYAGHKKTITPNKRQMLPHFMNHSLSEPEFNAIILDLHRDSFGQPELRKAGRDVTKYPVHECMCNGTSQHHSSAKKL >itb07g14210.t1 pep chromosome:ASM357664v1:7:16692577:16694004:1 gene:itb07g14210 transcript:itb07g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVKPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILPSNRGTVMELGIIPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVNQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAIIALFHLLIARTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSAHVSNLYFISQLLYRKYSGNIFVNLLGTWKESEYSGQSVPVGGLAYYVTAPSSLADMLANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADMMGAIGSGTGILLAVTIIYQYFETFEKEKARELGFLGL >itb02g00960.t1 pep chromosome:ASM357664v1:2:541166:542287:1 gene:itb02g00960 transcript:itb02g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNFPVVAKKFWSFVRTALLMLRKGIISKTKLMLDINLVLKRGKIAGKTAIHNLMLFHHAHHLSSSSTAAALRRSSYTATHPSEYEFSCRDSPAYHLPFSLHKKSKHHHAPPTYEDILAANAVVKALEILHSETASPALPGFGRTPVVRQLRITDSPFPIREVDENDHVNEAAEAFISKFYNTLRRQSAD >itb02g13530.t1 pep chromosome:ASM357664v1:2:9687965:9694776:1 gene:itb02g13530 transcript:itb02g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEGNGSSNALTTTQNSTRILNQERCSIGGSSLTSSQICPPPLCRQFWKAGNYDDGFSSKPTHKNDTSYLGIHPKFLHSNATSHKWAFGAIAELVDNAVDEAPNGASFVIVDKYINPRDGSAALLIQDDGGGMDPEAMRHCLSFGFSDKLKSAIGKYGNGFKTSTMRLGADVIVFSRSMRNRKLTQTIGLLSYSFLTQACLDRIVVPMVRF >itb04g30830.t1 pep chromosome:ASM357664v1:4:33844999:33845919:1 gene:itb04g30830 transcript:itb04g30830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKNHAPPQLTVEAEAQLQEGINLVLSRWASLQMAVANEWGGRGSHQKSQELSERIFSFFTQSKEQVYIDDLEEILDEFMLSDFNTEVGDGSIEEVAEKMMIMHEECTEGNFESINELKRTNPGNSAVNYSRQAASDDEDDDVENDKLGDDSSDMAVDASEVQGQKDMMVDEPRTNNGAETEDGWTVVSSRRKNGRRN >itb05g07180.t1 pep chromosome:ASM357664v1:5:8701076:8705998:1 gene:itb05g07180 transcript:itb05g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWFRLIFACSLLLLLLGICRGSKIGVCYGRNADDLPTPDKAVQLIQQHNIKYARIYDSNIQVLKAFANTGIELMIGIPNSDLLPFSQFQSNADTWLKNSILPYYPATKITSITVGAEVTEASSNVSAMVVPAMKNVFTALRKAGLHKRIKVSTTHSLGVLSRSFPPSAGAFNSSHAYFLKPLLEFLAENRSPFMVNIYPYYAYRDSSTNVSLDYALFESSSEVIDPNTGLLYTNMLDAQLDAIYYALMALNFRTINIMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGEDIDVYIFSLFNENRKPGLESERNWGLFFPDQTSVYNLDFTGKGAVDVSTGANLTSSNGTWCIASSTASEADLENALNWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGATDVACSFGGVGVRTNKNPSYDNCLYMTTGSKAATAASNATASPTRASSSAAAQRISLQIPGYYSLAPFLLLFFSVFY >itb05g07180.t2 pep chromosome:ASM357664v1:5:8701078:8704790:1 gene:itb05g07180 transcript:itb05g07180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWFRLIFACSLLLLLLGICRGSKIGVCYGRNADDLPTPDKAVQLIQQHNIKYARIYDSNIQVLKAFANTGIELMIGIPNSDLLPFSQFQSNADTWLKNSILPYYPATKITSITVGAEVTEASSNVSAMVVPAMKNVFTALRKAGLHKRIKVSTTHSLGVLSRSFPPSAGAFNSSHAYFLKPLLEFLAENRSPFMVNIYPYYAYRDSSTNVSLDYALFESSSEVIDPNTGLLYTNMLDAQLDAIYYALMALNFRTINIMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGEDIDVYIFSLFNENRKPGLESERNWGLFFPDQTSVYNLDFTGKGAVDVSTGANLTSSNGTWCIASSTASEADLENALNWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGATDVACSFGGVGVRTNKNPSTCTNIHFPIFL >itb01g14760.t3 pep chromosome:ASM357664v1:1:16890356:16892109:1 gene:itb01g14760 transcript:itb01g14760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSRAVVIGNGVAGAENQCIGLVRALGLSHRHTIYRVTRPRGGINERLRWLPVFLHKKLEHAVKWIYGDLQLQVGNMGKKVTNLPAKQTVIPEADPEHIARVARETFEKEGPILVVASGRDTISTGSSIKRYNTLGHVWIGLI >itb01g14760.t1 pep chromosome:ASM357664v1:1:16890464:16901768:1 gene:itb01g14760 transcript:itb01g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSRAVVIGNGVAGAENQCIGLVRALGLSHRHTIYRVTRPRGGINERLRWLPVFLHKKLEHAVKWIYGDLQLQVGNMGKKVTNLPAKQTVIPEADPEHIARVARETFEKEGPILVVASGRDTISTGSSIKRLAPENVFLVQIQHPRSRLDRFDLVITPRHDYYPHTPEGQKQIPSIIRRWITPQNPPERHVVLTLGALHEIDSISLQSAASVWHDEMGLLPKPLLVVNIGGPTGHCKYGEDLANELTSSLQNVLPTCGSVRISFSRRTPKMVSDLVVGKLGHHPKVYIWNGEGPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVIGAERCTWKFTDFHKTLSDRSMVRPFTGKENGPQCKVDLDSWYNLPF >itb01g14760.t2 pep chromosome:ASM357664v1:1:16890356:16901768:1 gene:itb01g14760 transcript:itb01g14760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSRAVVIGNGVAGAENQCIGLVRALGLSHRHTIYRVTRPRGGINERLRWLPVFLHKKLEHAVKWIYGDLQLQVGNMGKKVTNLPAKQTVIPEADPEHIARVARETFEKEGPILVVASGRDTISTGSSIKRLAPENVFLVQIQHPRSRLDRFDLVITPRHDYYPHTPEGQKQIPSIIRRWITPQNPPERHVVLTLGALHEIDSISLQSAASVWHDEMGLLPKPLLVVNIGGPTGHCKYGEDLANELTSSLQNVLPTCGSVRISFSRRTPKMVSDLVVGKLGHHPKVYIWNGEGPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVIGAERCTWKFTDFHKTLSDRSMVRPFTGKENISEGWRYSPLNDTKEAASAVINALANRGWRLKPYIAASTVDEQSISHK >itb05g07060.t1 pep chromosome:ASM357664v1:5:8353026:8363951:1 gene:itb05g07060 transcript:itb05g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVSISSLIALAACIPLLFLPSPPKSYYHSLFLSDSISDNSSIANHLYILTRRPHVAGSQANSQTAAYVLSTLTSYNIQSHIRSYDVSLTYPVFRSLSLKPSSSEQPVEFDLCQEIYEGDPYADVANEVLPTFHAYAKSGTAIGPLVYANYGRVQDFERLREMGVNLTGNVVLARYGAIFRGDIVENAQEAGAIGVLIYTDRKEYGGGGGDTKWFPDDKWMPPSGVQVGTVYDGAGDPTTPGWPSTEECERISIEEVEKGGNVPLIPSLPISWADVDAIMRSIGGQVADEDWQGGKGAPVYKIGPGPGIVNLNYTGKHAISTIENIIGIIEGAEEPDRLVILGNHRDAWTFGTVDPNSGTAALLEIGSTEWVEENREILVSRVVAYLNIDIAVQDAGFQASATPQLDELLIQATQQLGRLGGGGSDYAAFIQHIGVPSADISFGEGYPVYHSMYDDFVWMKKYGDPMFHRHVAAASIWGLVALQLADEEILPFNYLSYAYELEIYAPSKHNDYGSKSFPGVDDSIEQAKSVNTKQSWLLVQHEVWRVARAITQASLVLSEVQNGSKHTEVKLEADLFGLDLRIRMQVRTGDFGKLSNTRISLHLVVFVLGRAMIDNPAGMEVHGGDRYSVADDDSCHAICLCLENKNATPLKAGQLKAGTSQQASGI >itb03g07900.t1 pep chromosome:ASM357664v1:3:5892531:5896410:-1 gene:itb03g07900 transcript:itb03g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNAHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSVDVGANLFVGNLDPDVDEKLLYDTFSAFGVIVSNPKIMRDPETGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTTQKNRPHTLFASGPPTLPPLVQANGTTAAPLPPRPFANSHVPPSPIPALRPPSMQPNMYRPMQIPPPQSWQGQPAQAGMVGIPQQFRGMAPPLNRPPPPPMGMGAPVWRPPPPPQQLSGGPHSIPQMSMPPPPPPLS >itb14g11530.t1 pep chromosome:ASM357664v1:14:13156007:13156673:-1 gene:itb14g11530 transcript:itb14g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHTSIPFIENLDNFVSDYHASFRFISLGYISMDRGQENSSARRKETEKGGATVSERRAAARRLVAEDVNKLADDFINSFRRQLRFEREESIKRRFQEMINRGT >itb14g10610.t1 pep chromosome:ASM357664v1:14:12034305:12043394:1 gene:itb14g10610 transcript:itb14g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGPDEYSSAESVGDDAQKEVDQDAGALFVLKSKGSWWHCGYHLTTSIVAPPLLSLPFAFALLGWAAGIVCLVVGAAVTFYSYNLMSQVLEHNAQKGRRLLRFRDMATHIMGPRWGRYFVGPIQLLVCYGAVIGNTLLGGQCLKTIYLLWNPNGSMKLYEFVTIFGVVMLILAQMPSFHSLRYINLVSLILSLCYSACATVGSIYIGVSSKGPRRDYSLNGDNETRIFGIFNAVAIIATTYGNGIIPEIQATLAPPVKGKMFKGLCICYAVVLSTFFSVAISGYWAFGNQSKGLILSNFTENGKNLVPKAFIFITNLFTIMQLSAVAVVYLQPTNEMLERMFGDPKSGEFSSRNVIPRVISRSLSVVVATTIAAMLPFFGDINAVIGAFGFMPLDFVLPVVFFNSTFKPSKRSVVFWLNLSIAVVFSILGVIAAVAAVRQIILDAKTFRLFANV >itb01g13420.t1 pep chromosome:ASM357664v1:1:13466205:13469604:-1 gene:itb01g13420 transcript:itb01g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRASFVAPLAKRLGGKVAVITGGASGIGESTARLFLKHGAKVIIADIQDNLGQSICDEIGDTDALSYVHCDVTLEKDVENAVNAAVSKHGKLDIMFSNAGVGGKQDQRIQDSDYDNFRRVFDVNVYGALACAKHAAGVMAPAKRGSVIFTASAATVTSGEMPYAYLASKHAVVGMAKNLGVEMGKYGVRVNCISPFMVATPLLMGGLGMEDKGKVEDLACELANLKGVVMEATDVAEAAVFLGSDESKYISGINVVIDGGYSTTNVAPRETFKKVFS >itb09g15080.t1 pep chromosome:ASM357664v1:9:10346069:10353119:-1 gene:itb09g15080 transcript:itb09g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWWSAAAASFSSSSLRLLWFMMPLFLVAGLVVFSGHHSSFLLIASPFLHGRGGGGGGSGGGVFNGSSTPQAQEIFRPNISLKTNKTYQNLTSIAGSLLPTEHEETQNETSSRRYSKLEMLEAGLARSRASILRGKYPTKDDDFIPDGPMYWNASAFHRSYLEMEKTLKVYVYKEGDPPVFHFGPCKHTYAIEGYFIQAMDVTPFKTSDPDQAHLFFLPISVTMLTQVIFVPETHDWTLMKRTAFDYVNVIADKYPFWNRSLGADHFMLACHDWGPEISFAVPNLHNNSIRALCNANTSERFDPKRDVSIPEIHLPFGTTKGLLGGPSPSERSVLVFYSGGLHGPIRPILMKHWENKSDEDVQIHSYLPKGLSYYGMMRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKEGYIIPFSDVLNWEKFAVIIPVRDIPNLKKILTGISQREYLRLQMRGIKMRRHFEINNPPKRYDVFHMILHSIWLRRLNIHLRES >itb11g22030.t2 pep chromosome:ASM357664v1:11:23826355:23843948:-1 gene:itb11g22030 transcript:itb11g22030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNIVDSSSDGDLRGYMKAVKLEPDFVGGSMSQKEFYKNDVMLSKVNSGENQNSTASYTIQGDRSILGQEQSSVDDSGLCSISPICPAPLCRQFWKAGNYDDGLSSKPTHKNFTNYLHIHPKFLHSNATSHKWAFGAIAELLDNAIDEIQNGATFVVVDKIINPRDGSSALLIQDDGGGMDAEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSCSMKRKKTRSIGLLSYTFLAQTGLDRIVVPMIDYEYNSSSGTWNSLYSEQNFTSNLSLLLRWSPFSTEEDLLREFVDIGDHGTKIIIYNLWLSDEGKTELDFNSDPEDIRICIDPNKMEKGARSSVSDDHLANRLRFSLRAYLSILYLRVPENFCMVLRGSLVEYHNIANELKFRQCIMYRPQSAGVSEGEVITTIGFLKEAPLVNHHGFNVYHKNRLILPFWHVVSYSDSRGRGVVGVLEANFIQPTHNKQDFEKTPLFQKLEARLKEMTWEYWDYHCGLIGYIPKKKAQPSTTPQVLPESHQKHGAYQSVLMTKNPPSATSKEASRPDNLPRTFHHSYKQVPVFPHKRKERDHPTEPENVKQKDRGKANIINAWSSETAMPVGAAASYLGGEEAANLIQENQKLRAQCLEHEKWVEELNAKVLLVRKQLKETQKEYGRLLLDLKLLEKEKIKPES >itb11g22030.t1 pep chromosome:ASM357664v1:11:23826258:23843948:-1 gene:itb11g22030 transcript:itb11g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNIVDSSSDGDLRGYMKAVKLEPDFVGGSMSQKEFYKNDVMLSKVNSGENQNSTASYTIQGDRSILGQEQSSVDDSGLCSISPICPAPLCRQFWKAGNYDDGLSSKPTHKNFTNYLHIHPKFLHSNATSHKWAFGAIAELLDNAIDEIQNGATFVVVDKIINPRDGSSALLIQDDGGGMDAEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSCSMKRKKTRSIGLLSYTFLAQTGLDRIVVPMIDYEYNSSSGTWNSLYSEQNFTSNLSLLLRWSPFSTEEDLLREFVDIGDHGTKIIIYNLWLSDEGKTELDFNSDPEDIRICIDPNKMEKGARSSVSDDHLANRLRFSLRAYLSILYLRVPENFCMVLRGSLVEYHNIANELKFRQCIMYRPQSAGVSEGEVITTIGFLKEAPLVNHHGFNVYHKNRLILPFWHVVSYSDSRGRGVVGVLEANFIQPTHNKQDFEKTPLFQKLEARLKEMTWEYWDYHCGLIGYIPKKKAQPSTTPQVLPESHQKHGAYQSVLMTKNPPSATSKEASRPDNLPRTFHHSYKQVPVFPHKRKERDHPTEPENVKQKDRGKANIINAWSSETAMPVGAAASYLGGEEAANLIQENQKLRAQCLEHEKWVEELNAKVLLVRKQLKETQKEYGRLLLDLKLLEKEKIKPES >itb11g22030.t3 pep chromosome:ASM357664v1:11:23826355:23843948:-1 gene:itb11g22030 transcript:itb11g22030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNIVDSSSDGDLRGYMKAVKLEPDFVGGSMSQKEFYKNDVMLSKVNSGENQNSTASYTIQGDRSILGQEQSSVDDSGLCSISPICPAPLCRQFWKAGNYDDGLSSKPTHKNFTNYLHIHPKFLHSNATSHKWAFGAIAELLDNAIDEIQNGATFVVVDKIINPRDGSSALLIQDDGGGMDAEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVIVFSCSMKRKKTRSIGLLSYTFLAQTGLDRIVVPMIDYEYNSSSGTWNSLYSEQNFTSNLSLLLRWSPFSTEEDLLREFVDIGDHGTKIIIYNLWLSDEGKTELDFNSDPEDIRICIDPNKMEKGARSSVSDDHLANRLRFSLRAYLSILYLRVPENFCMVLRGSLVEYHNIANELKFRQCIMYRPQSAGVSEGEVITTIGFLKEAPLVNHHGFNVYHKNRLILPFWHVVSYSDSRGRGVVGVLEANFIQPTHNKQDFEKTPLFQKLEARLKEMTWEYWDYHCGLIGYIPKKKAQPSTTPQVLPESHQKHGAYQSVLMTKNPPSATSKEASRPDNLPRTFHHSYKQVPVFPHKRKERDHPTEPENVKQKDRGKANIINAWSSETAMPVGAAASYLGGEEAANLIQENQKLRAQCLEHEKWVEELNAKVLLVRKQLKETQKEYGRLLLDLKLLEKEKIKPES >itb14g01290.t1 pep chromosome:ASM357664v1:14:992605:995368:1 gene:itb14g01290 transcript:itb14g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEREKLNGVLNEHLNTIHETLQVLDQNAASSLERISWNDVIQMGEQLSKQATNVGMLWTGETPELKALEENMSAYFSTLQGLLLLSHGSTVGAGPTLSACIHASIKQVIDSSFILMKDTVATYGSRNKTQKLSIPQLVGAVWEACSALKKTPGTNITAIGRAMTQTAVSMKDVLREMKELKPESSELGDEDSIEAESKPDDIDDLFEGDLGNDLSPEEMKIAQLSTDVVSETLVVVKELIRSITGLLKQESTENSAAFVDSLERLLKFCQGIGLQIDELGASLYPPQEISSIRKAMEVISTTIDEMTSELENLKGSTEGYLKACTGLRTSLKHLGSELGSADSITQNMENLAVTTE >itb07g11660.t1 pep chromosome:ASM357664v1:7:13143705:13144154:-1 gene:itb07g11660 transcript:itb07g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTTNKKPTEKKALEEKNTIVGENALVEKKPKCGKKIPRDASPASGDKKNKKAKKNIESYKTYIFKGLKKVHPDFGITSKAMGIMNSFINDIFEKLAQEASKLAHYNKKPTITSREIQTAAKLVLLGELAKHAIFEGTMAVTKFTST >itb14g00870.t1 pep chromosome:ASM357664v1:14:604135:607588:1 gene:itb14g00870 transcript:itb14g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLKPTSISSATTMGRTISSYFCPVAARRLLHTYSPSPKNDDVDIIFRIVTTSRTPEDMKQSLKSSQISISNELIDGVLKRARFSHSNPLTVLEFFKFTSKRREFFHTALSYDTMLYILGRNRKFDETWQVLVEMRRKDQSLITPRTVQVVLARVAKVCSVTQTVESFWKFKKLILHFDTQCFNALLRALCQEKSMVDARNVYHRLKRDFKPNLQTFNILLSGWKSCEEAEGFFAEMRELSLEPDVVSYNCLVDVYCKGREVERAFEVVKEMRKRDIDPDVITYTSLIGGLGLAGQPDKAKKLLTEMRECGCYPDVAAYNAAIRNFCIAKRIEDAFNLVEEMVNRGLSPNPTTYNVFLRSFHWANDLKRAWSLYQRMKRTGCLPNTQSCMFLIRLIRRQENVEMALELWNDMVEKGFGSYTLVSDVLFDLLCDLGKLKEAERCFLQMIEAGHKPSYVAFRRVKVLMELANKHEAIQNLSDKMAAFGSAIKLRLESETKFETSASAKFLESHTMC >itb05g16330.t1 pep chromosome:ASM357664v1:5:23551409:23554134:-1 gene:itb05g16330 transcript:itb05g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPTPFVFLSIIFFHSLIFVHGHSRSVASNNAKVLKDGKRIYIVYLGGKPHDDHRRIKESHYDLLAGVVGSHDEAKKAMVYSYRYGFSGFAALLTAAQAKRIAGVEGVVSVVADKHHKVQTSRSWDFLRISESFETPGELLRKTNKGDGIIVGIIDSGITTKDMPSFDDAGFGEVPRRWNGVCGSMSMFGFNATGNCNRKVIGARWFFKGAKHTPGLNLNAFLDSDNFSGVDTSGHGSQVASIVAGSYVENATVAGLELDMGVPRGGAPRARLASYKVCWSIDESNQMCSGADILSAFDYAIHDRVDVISVSMGTPVPLASGTDADNGMGIGSFHAILKGIPVVVAAGNDGPTAYTVSNVEPWLITVGASTMDRIFAYTVTLGNGEKFSVDSYYPRGAPLSPLYYAGEYRTEADLIDKKIDPAVVKGKIIFMIGNVADERFILVTKALEAGAAGVIYSNSPSSAPLDVVDVGMPFAQVDFDAGTRIKEYVIHTDFPTAQLWSPKIQIGRAITPKVADFSARGPSSLAPAIMKPDIVAPGDKILCAHPNVESGFVINSGTSHSAPHVSAIVALLKISHPEWSPSALKSALTTTAWNSDTYVSPIFADGSSQKLADAFDYGGGIVNAAGADDPGLVYDMRGLDYAQYLCSLGYNNSIVFKTITGGNHTANEEQTICHSTRPSLLDLNLPSMVVPDLYKPVTLRRTVTNVGPVNSVYKSILKSPVGVTVTVKPRVLRFDANRKKRSFTMMVSTDTLVNSGFTFGSLTWTDGVHNVRSPIAVKKTVVPIYF >itb13g06320.t1 pep chromosome:ASM357664v1:13:7594388:7597099:-1 gene:itb13g06320 transcript:itb13g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTTNSLNHYIGIWYRQDPVKTVVWVANRDAPLADTSSAVLKITLGGQLALLGDKGQAVWSANTSRSLRNPVAELLDTGNLVVRDADDDEKLQNFLWQSFDHPTDHWLSGMKLGWNLQTGHEVFFTSWKGENDPSSGQYTLHLDPTGYPQYSLKNRTTEIFSSGPWNGLRFSVAPIEQSNTNVPYGLEMQLEITQNELLEHGKNLDFILRCSTKHASMAYVCFMSSSHFVSPILCSGYMSPEYAGHGIFSIKSDVFSFGISVLEIVSGRRNSEFINEDQYVALPEHAWKLYREGNSIALVDEHITGSYDVVQVLRSIHVGLLCVQHSPEDRPDMSSVVHMLVNDLALPQAKEPGFFFGKEYSSGTHAKGSQNEVTITTLNPR >itb15g08090.t1 pep chromosome:ASM357664v1:15:5690061:5691347:1 gene:itb15g08090 transcript:itb15g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRKIGVAMDYSKSSKLALKWAIENLADKGDTFFIVHVKSHASDESRNNIWSQSGSPLIPLSEFREPEVMKKYGVQADIEVLDLLDTAVRQKEIHVIIKLYWGDAREKLCDSIEDLKLDSLVMGSRGLSTIQRIILGSVTNYVLAHGSCPVTIVKDEEFHHKH >itb02g21320.t1 pep chromosome:ASM357664v1:2:20139595:20146437:-1 gene:itb02g21320 transcript:itb02g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMPSRGLFMALQPRIIACGNRVAAFAMAVRFFTGPAVMAAASIVVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGI >itb12g19850.t1 pep chromosome:ASM357664v1:12:22291688:22292176:1 gene:itb12g19850 transcript:itb12g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFLFSTSILHASNIDVLLKMKASMVRQRSSRLSDWRDADNASSSAAHCFFSGVKCDGDSRVIAINISGVPLFGTLPPEIGLLDRLVNLTLIGDNLTGELPPEMAKLTAVRVIGIKHQNLLAYMMSEIAYVLDIRSIFVLVIELKPPKKRIFAILLREAT >itb03g28280.t1 pep chromosome:ASM357664v1:3:29013265:29014445:1 gene:itb03g28280 transcript:itb03g28280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRMNCFSFKKPQKLKIIRVVHLNGHVEDYDYPVSVSEVIGNSNKHLVFTPAQFLSHGSKPLKNSIMLERGQVYFLLPHSTFHAGASTVDLAPIAKKLNAIARSARSGGQNSGRHQNWAVNLGTGSSPVWGSPGRLSGRDVISVEADDFGAQGSTKSRIWKPILETIRERSFNRRSESDLQEKS >itb09g16200.t1 pep chromosome:ASM357664v1:9:11440574:11443172:-1 gene:itb09g16200 transcript:itb09g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAAGAKMGKLAAIFPTLYLSGGTCVSLIINGGGSLKLFYKTIINDDDKGQTGTEWFLVFVCIAILVALFFPNLNSLASISLVGSLTGVAYCTILWILSVSKGRPQGLGGVAYDPPEVSTSKVDRFRDISNALALISLAFRGHNIILEIQGTLPTNPKVPLRSRMWRGVMTSYLLIALCHFPLAIAGYWTYGNLMPTSYQKGGILNAFEKFHQHDISKFVRGAIYMIIVIACLCTYQIYAMPVLDNWERLYVNKKKMRCPRWVRICLKVLFGGLTYLVSVAFSFLGSLAGFIGSIAMPLTLAYPCFMWIAMKKPRPYIFVWCVNMGLGCLGMLMCTVLATATLWSLIANGLHANFFNP >itb04g29260.t1 pep chromosome:ASM357664v1:4:32714908:32716709:-1 gene:itb04g29260 transcript:itb04g29260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSFVFGCILMGLVGELYYLLWVKRRIRGREKEDNGDTPKSASEFGFLFCWKKPRSGIGRTGTQELTNSVRNPETICQNKDLEVGTGKDSLLKGYGEEGVESELMRLHNLCGPPRFLFTIKEETKEDLESEGGRSRKGSRTRSLSDLIMAADTPLLSPMSSPPSKPLPIDSFSLHGFNPLFESSTDAELNRLKSSPPPKFKFLRDAEEKLIRRLVEEAERTALRSSGGSLQDFTAKPPSNPPVATEEKAGSFMSFLVRSKERELQQPLPMYHSTPSQVLPLASSPSTFRPLESISAMQ >itb06g25800.t1 pep chromosome:ASM357664v1:6:26693277:26706037:-1 gene:itb06g25800 transcript:itb06g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1 [Source:Projected from Arabidopsis thaliana (AT4G24680) TAIR;Acc:AT4G24680] MTSSMLTGERRWASARRGGMTVLGKVAVPKPLNLPSQRLENHGLDPDVEIVPKGTLSWGSKTSSTTPNAWASSTLSPNADGGTSSPSHLSACPSSGGNGSRPSTAGSDRTHEPSVSAWGSNSRPSSASGALSSSQTSSTSLRPRSAETRPGSSQLSRFAETGTNHPTAWGAGTTAERLGILNSKNEGFSLSSGDFPTLGSEKDNSVKTSEQRGHSSHSRPSSASGKLSQDKGNTDGTNPEQDVKNGAVGMWRRDGPHDSIQAGMDMWQGEPHQYVNADVPTQHFDAWRGPPMHPPNWYNRGPPGGPAYGPPVGPGGFPIEPFPYYRPQIPHLANSQPVPPPGHGPRGHHPRNSDLYRPQIPDTYVRPGMPFRPGFYPGPVAFDGYYGPPMGYCNSSERDIPLMSMPPGPPVYNRYPATNAPDPSNSHARAASRGINNKTLPEQVEPAHPDDARRPYKVLLKQHDDCGRVEDGENSRQTVPANTPHGDRICRSGISSQKNEWEVHSSEEEMLPKRSVMNENSTSHSVDNQRGNSSDNVKFKSVESMDNVRTAPDSWIQRFATTESSPGMAQALPAMQRSSVLPVAGKESTLMKKIEGLNAKVRAHDGHFDQPIGEEKSRPVINVNVKNSVDETGSSTMCFERTHSSGNPVSQPHVSTTDVSRRSYHGLQGRSDHLAKAKFSCRDDGWRKQPLSAECPPSFSYPSIISASNVQTHGSNPQVEAIENIVTSVPGKDEKESVPELFDSVGGQTQRAKMKELAKQRALQLQKEEEERTREQKAKALAKLEELNRRMQGGDSSAQKAEKAPITSSIKEEQGQSPLTESVATGSYSEARSAPLGTKLDGVAEVNGSTPSQGGEGSSIELQRKTAKTADLEPTIAHEPTLTLQQDLNSTATDGRLTHQSNDRHKRTGYKQRQSVAAHKNLNESSAPVVVNEAPKNHVDYPVNDDVSTEVAIHRVGPGGESNTPNNSSTMVEACLQQRRKGSRGSKNKQKIDDMQSTPASLPTMHSNNATAKNTENEVSKTSLQVLDVSSVQAAMNSDNGLQSSEHHSPFSSKEGHGRVSNQWKPQHSRRISRNQHGNRFVDKSHVSDAVVWAPVRSQTKHDTDVLGSQKLVPDSATPVTGDNVVQSNSKSKRAEMERYVPKPVAKELAQQGSGQLPALTSNIHASVDATSGKAESRFESTRSLQPVASTAENVGSVIDSREGEGKTNNSRHGRAWRQRNSTEPQHVKDVHHVSSVPSVHGTSDEKSGRNQSKEPESDSTKSVSMCTSDLNNLDGWGMPIDSAAQPMCLGAKDEGATTGKAKWNSTRDYKSTVNAGPDHKNSKIVETGKVYNQSVVPDIKEIDRMVSAKENRVTGSRALSHWKPKSHVHPVNSQVHVRQQCEKGEVGEAELDLSASENKTVLDLNQGPKRDGKQHSLGGRPISPNQPPVGLDESVPVSAETQNEQRFTSGFRRGGQNNRIGRGQESGGDWSSGYDNQQHNVHVNRERRRSNMLHYEYQPVGQHNNKSSNFGGPAYSSQNVPRYRERGQSRRGGGNFHERKGGHLRGNSSYD >itb06g25800.t2 pep chromosome:ASM357664v1:6:26693277:26706037:-1 gene:itb06g25800 transcript:itb06g25800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1 [Source:Projected from Arabidopsis thaliana (AT4G24680) TAIR;Acc:AT4G24680] MTSSMLTGERRWASARRGGMTVLGKVAVPKPLNLPSQRLENHGLDPDVEIVPKGTLSWGSKTSSTTPNAWASSTLSPNADGGTSSPSHLSACPSSGGNGSRPSTAGSDRTHEPSVSAWGSNSRPSSASGALSSSQTSSTSLRPRSAETRPGSSQLSRFAETGTNHPTAWGAGTTAERLGILNSKNEGFSLSSGDFPTLGSEKDNSVKTSEQRGHSSHSRPSSASGKLSQDKGNTDGTNPEQDVKNGAVGMWRRDGPHDSIQAGMDMWQGEPHQYVNADVPTQHFDAWRGPPMHPPNWYNRGPPGGPAYGPPVGPGGFPIEPFPYYRPQIPHLANSQPVPPPGHGPRGHHPRNSDLYRPQIPDTYVRPGMPFRPGFYPGPVAFDGYYGPPMGYCNSSERDIPLMSMPPGPPVYNRYPATNAPDPSNSHARAASRGINNKTLPEQVEPAHPDDARRPYKVLLKQHDDCGRVEDGENSRQTVPANTPHGDRICRSGISSQKNEWEVHSSEEEMLPKRSVMNENSTSHSVDNQRGNSSDNVKFKSVESMDNVRTAPDSWIQRFATTESSPGMAQALPAMQRSSVLPVAGKESTLMKKIEGLNAKVRAHDGHFDQPIGEEKSRPVINVNVKNSVDETGSSTMCFERTHSSGNPVSQPHVSTTDVSRRSYHGLQGRSDHLAKAKFSCRDDGWRKQPLSAECPPSFSYPSIISASNVQTHGSNPQVEAIENIVTSVPGKDEKESVPELFDSVGGQTQRAKMKELAKQRALQLQKEEEERTREQKAKALAKLEELNRRMQGGDSSAQKAEKAPITSSIKEEQGQSPLTESVATGSYSEARSAPLGTKLDGVAEVNGSTPSQGGEGSSIELQRKTAKTADLEPTIAHEPTLTLQQDLNSTATDGRLTHQSNDRHKRTGYKQRQSVAAHKNLNESSAPVVVNEAPKNHVDYPVNDDVSTEVAIHRVGPGGESNTPNNSSTMVEACLQQRRKGSRGSKNKQKIDDMQSTPASLPTMHSNNATAKNTENEVSKTSLQVLDVSSVQAAMNSDNGLQSSEHHSPFSSKEGHGRVSNQWKPQHSRRISRNQHGNRFVDKSHVSDAVVWAPVRSQTKHDTDVLGSQKLVPDSATPVTGDNVVQSNSKSKRAEMERYVPKPVAKELAQQGSGQLPALTSNIHASVDATSGKAESRFESTRSLQPVASTAENVGSVIDSREGEGKTNNSRHGRAWRQRNSTEPQHVKDVHHVSSVPSVHGTSDEKSGRNQSKEPESDSTKSVSMCTSDLNNLDGWGMPIDSAAQPMCLGAKDEGATTGKAKWNSTRDYKSTVNAGPDHKNSKIVETGKVYNQSVVPDIKEIDRMVSAKENRVTGSRALSHWKPKSHVHPVNSQVHVRQQCEKGEVGEAELDLSASENKTVLDLNQGPKRDGKQHSLGGRPISPNQPPVGLDESVPVSAETQNEQRFTSGFRRGGQNNRIGRGQESGGDWSSGYDNQQHNVHVNRERRRSNMLHYEYQPVGQHNNKSSNFGGPAYSSQNVPRYRERGQSRRGGGNFHERKGGHLRGNSSYD >itb06g25800.t3 pep chromosome:ASM357664v1:6:26693277:26699741:-1 gene:itb06g25800 transcript:itb06g25800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1 [Source:Projected from Arabidopsis thaliana (AT4G24680) TAIR;Acc:AT4G24680] MTVLGKVAVPKPLNLPSQRLENHGLDPDVEIVPKGTLSWGSKTSSTTPNAWASSTLSPNADGGTSSPSHLSACPSSGGNGSRPSTAGSDRTHEPSVSAWGSNSRPSSASGALSSSQTSSTSLRPRSAETRPGSSQLSRFAETGTNHPTAWGAGTTAERLGILNSKNEGFSLSSGDFPTLGSEKDNSVKTSEQRGHSSHSRPSSASGKLSQDKGNTDGTNPEQDVKNGAVGMWRRDGPHDSIQAGMDMWQGEPHQYVNADVPTQHFDAWRGPPMHPPNWYNRGPPGGPAYGPPVGPGGFPIEPFPYYRPQIPHLANSQPVPPPGHGPRGHHPRNSDLYRPQIPDTYVRPGMPFRPGFYPGPVAFDGYYGPPMGYCNSSERDIPLMSMPPGPPVYNRYPATNAPDPSNSHARAASRGINNKTLPEQVEPAHPDDARRPYKVLLKQHDDCGRVEDGENSRQTVPANTPHGDRICRSGISSQKNEWEVHSSEEEMLPKRSVMNENSTSHSVDNQRGNSSDNVKFKSVESMDNVRTAPDSWIQRFATTESSPGMAQALPAMQRSSVLPVAGKESTLMKKIEGLNAKVRAHDGHFDQPIGEEKSRPVINVNVKNSVDETGSSTMCFERTHSSGNPVSQPHVSTTDVSRRSYHGLQGRSDHLAKAKFSCRDDGWRKQPLSAECPPSFSYPSIISASNVQTHGSNPQVEAIENIVTSVPGKDEKESVPELFDSVGGQTQRAKMKELAKQRALQLQKEEEERTREQKAKALAKLEELNRRMQGGDSSAQKAEKAPITSSIKEEQGQSPLTESVATGSYSEARSAPLGTKLDGVAEVNGSTPSQGGEGSSIELQRKTAKTADLEPTIAHEPTLTLQQDLNSTATDGRLTHQSNDRHKRTGYKQRQSVAAHKNLNESSAPVVVNEAPKNHVDYPVNDDVSTEVAIHRVGPGGESNTPNNSSTMVEACLQQRRKGSRGSKNKQKIDDMQSTPASLPTMHSNNATAKNTENEVSKTSLQVLDVSSVQAAMNSDNGLQSSEHHSPFSSKEGHGRVSNQWKPQHSRRISRNQHGNRFVDKSHVSDAVVWAPVRSQTKHDTDVLGSQKLVPDSATPVTGDNVVQSNSKSKRAEMERYVPKPVAKELAQQGSGQLPALTSNIHASVDATSGKAESRFESTRSLQPVASTAENVGSVIDSREGEGKTNNSRHGRAWRQRNSTEPQHVKDVHHVSSVPSVHGTSDEKSGRNQSKEPESDSTKSVSMCTSDLNNLDGWGMPIDSAAQPMCLGAKDEGATTGKAKWNSTRDYKSTVNAGPDHKNSKIVETGKVYNQSVVPDIKEIDRMVSAKENRVTGSRALSHWKPKSHVHPVNSQVHVRQQCEKGEVGEAELDLSASENKTVLDLNQGPKRDGKQHSLGGRPISPNQPPVGLDESVPVSAETQNEQRFTSGFRRGGQNNRIGRGQESGGDWSSGYDNQQHNVHVNRERRRSNMLHYEYQPVGQHNNKSSNFGGPAYSSQNVPRYRERGQSRRGGGNFHERKGGHLRGNSSYD >itb07g21060.t1 pep chromosome:ASM357664v1:7:25421176:25424935:-1 gene:itb07g21060 transcript:itb07g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSGLRWRKQNGLKAIQTKIGKVAEVERKVEEDNNGYGEEPLSPSARMFHQPNFNVHVEDEKHKGEMKWVLTKVELDEHIVIPKLEEHNNNLLLRESPDKFVEDYIRNLSKTTLPKSRPLWDLHLLNLKTSDAEAVGILRIHHSLGDGASLISLLLACTRQTAHPHNLPTIPTKKRRPPVASSTSTSTMWPPPCFVSVWCFLTLMWNSLVDVFMFVATALFFKDTNTPIKAMPASHFNPRRVVYRTVSLDDFKLIKNAMNMELGEMMEKESEAKWGNCIGYVILPFRIELKENPLDYLKDAKSTIDRKKRSLEALYTSYIAQFVLKLLGIKAASCLSHRVIEHTTMCFSNLVGPQEEIGFCGHPMAYLAPSSYGQPHMTMVLSVDENVIPNPHQLLDDLEMSLKLIKDATLANSLV >itb02g25830.t1 pep chromosome:ASM357664v1:2:26611984:26615021:-1 gene:itb02g25830 transcript:itb02g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGLGLEITELRLGLPGSGGDKKRVFSEGDDDDHDRSSSNNNNNNKKKQVVGWPPVCSYRRKNEGKKMYVKVSMDGAPFLRKIDLTTHKAYSHLLIALDNLFDCHGIDEALKDADSSEYAPIYEDKDGDWMLVGDVPWGMFVESCKRLRIMKRSEAKGIGLHAKNFLKEMSKEG >itb03g13550.t1 pep chromosome:ASM357664v1:3:13631504:13633230:-1 gene:itb03g13550 transcript:itb03g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSCTSSSRCSFGPFILRIVDSTTTGGVYATREAEAPQVVSVVGLALSLSLSLGGTESESYFLLFLLSLPFRSLLSPAPDPADFGGAEGDEA >itb03g27710.t1 pep chromosome:ASM357664v1:3:27827123:27831160:-1 gene:itb03g27710 transcript:itb03g27710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMKLAILMIFGVLTVSSAAEKWWKSALSDSGRLFSSLSAVNHARASSIILQLHGNVYPNGFYFAQVNIGQPPKPYFLDPDTGSDLTWLQCDAPCLRCTKAPHPLYKPNNNLVGCKDPLCASLHSGDQKCETLEQCDYDVQYADGGSSLGILLNDVFSLNLTNGVQIAPHLALGCGYDQEIGNSYHPLDGVLGLGKGPTSILSQLKKKGLVQNVVGHCLSRQGGYLFFGDEVYDASQVVWTSMSRDYEKHYSPGSAELIFGGISTGVKNLLVIFDSGSSYSYLQFEPYQAFISLVEKELSGKPFRKANDDNTLPLCWKGRKAFKSVQDAKQYFKPFALSFAKGWKGRSQFEIPPESYLIISSKGNVCLGVLNGSEAGLHDVNIIGDISMQDKMVIYDNEKKSIGWTPAKCDQPPKSSNIQM >itb11g13620.t1 pep chromosome:ASM357664v1:11:10669215:10675624:1 gene:itb11g13620 transcript:itb11g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCNRRIEVRSLSGETTAVSVSPDLTVQQLKLILKQNFTPAASSPNFHLFFKGMKLAVENKLGSYSIGDGDFLVLVPFAKKDKQQTEQSATSPAMTRFATSKQSESTWRDVVEDLSVLRSTISNKTQNDIELESVNSENRQAQNVNVSSSGTSQRKRKLKSVSNKTEQPTDELIFDILQSPSSSIDEQASKFVMVLDSVNCLFDPSSGNCICNEARRQNTEMNPRSTKSNLCLCPSWLKSKMKLFSFINIYSAVIQLQHGKVTLCSLKQALDQLGKFGFRASITDVEHLSDLCPQVLCIVDNSKGAITSTNAIMIVKTSTELSDQHEIAKKCILPSKIVNSMKKRQECFKTSLLKVIRSLMFEDGNKFSKFSFEDFLQYVKKCNDVASGSKVNTERSHSFEALCHDTNPLLPIEMLEHLIRGIGSKGQVVHVEEINARNAKYAEIPNGLSECTKSALENIGVTRLYSHQAESVQASLAGKDVVVATMTSSGKSLCYNLPVLEALFQNLSACALYLFPTKALAQDQLRALLTMTNEFDHNLNIGVYDGDTSQTDRMWLRENARLLITNPDMLHVSILPFHGQFRRILSNLRFIIIDEAHAYKGAFGCHTALILRRLQRLCSHVYGSNPSFVFSTATSANPVDHAKELANLPALELIQNDGSPSGPKLFVLWNPPLCLRTVQPLQMFC >itb10g02750.t2 pep chromosome:ASM357664v1:10:2357424:2358886:-1 gene:itb10g02750 transcript:itb10g02750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMTDSLTATAPSSRPLPFREDCWSEEATATLVDAWGRRFMELNRGNLRHKDWQDVADAVNELHGHTKKGRRTDVQCKNRIDTLKKKYKAEKAKIVESDGTLTASTWPFFSRLDVLIGNSGKQQQQFPKVSPLIAASASTLPLPLPSPSPSPLLLSLPSPPIAVPLAYRWPTPAVAKPVFLPQKRPLPSMDDSYFRRNYSAMAAAAAAAATEDKDANEEDGREESEEEMEASEGTKEEDGIRRLAKAIERFGAIYERVEGMKRRQMIELEKQRMEFAKDLELQRMQLIMDTQVQLVKNKQAKRPSSDGIIKIRTFFFFFFVYFLAISLEFSQLITKP >itb10g02750.t1 pep chromosome:ASM357664v1:10:2355598:2358886:-1 gene:itb10g02750 transcript:itb10g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMTDSLTATAPSSRPLPFREDCWSEEATATLVDAWGRRFMELNRGNLRHKDWQDVADAVNELHGHTKKGRRTDVQCKNRIDTLKKKYKAEKAKIVESDGTLTASTWPFFSRLDVLIGNSGKQQQQFPKVSPLIAASASTLPLPLPSPSPSPLLLSLPSPPIAVPLAYRWPTPAVAKPVFLPQKRPLPSMDDSYFRRNYSAMAAAAAAAATEDKDANEEDGREESEEEMEASEGTKEEDGIRRLAKAIERFGAIYERVEGMKRRQMIELEKQRMEFAKDLELQRMQLIMDTQVQLVKNKQAKRPSSDDIYS >itb06g19280.t1 pep chromosome:ASM357664v1:6:22642952:22648053:-1 gene:itb06g19280 transcript:itb06g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATALAPGLSRKLKKVLETRTDTPDLLASLNTLSTFYTENTGHARRNLRSTIEKRALSINQEFLLASSAAQKALDQVEEEVNALAECCDKIALALNSCNATTGDIISTTERLKQELEITTQRQEIVSCFLRDYQLSNEEINALREEDLNENFFKALAHVQEIHANCKVLLRTHHQRAGLELMDMMAMYQEGAYERLCRWVQTECRRLGGIDNPEVSDLLRTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLEPDATVDAGSTAHQFSKGPEGDTGKKESDLTFVLDRIFEGVCRPFKVRVEQVLQSQPNLIISYKLSNTLEFYSWTMSDLLGRETALCNTLWVLKEAASKTFFDMLKARGEKLLRYPPLVAVDLSPPPAVREGVSLLLEIIDTHNSMMIPAYGKNPSFDPVISALLDPIIQICEQAAEAHKSKGAIQSLRRYRTTSDPNQIRRSSLDAIVESGRATPSSQSETPAKIFLINCLCAIQQPLFGHEVASEYVNKLGLMINDHMRGLVEKEVDTILRRCGLSNKMPHFRKSFEIDESGDVVEGPPLAEIEDMAPPTVADSLKAFFGLILGSESTLPEFEPMQVPRLRSEACAQVARSLAEAYELIYKAIMDPKNHYPDPKSLARHPPDQIRTILGI >itb12g20480.t1 pep chromosome:ASM357664v1:12:22959703:22963556:1 gene:itb12g20480 transcript:itb12g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAYVTLLLLIIIHLFAFYQVSASNYHVTNTNSVVPAKNLQIANEVDSLLTWKSSLDLKAQKLLSSWIAGVSHCNWSGIHCNIDESITGLNLAGYGLRGTLSCLNLSSLTSLEIIDFSGNYFYGNISFIKAMSNLQNLKVLYLYDNQFSAYIPQEIGMLKSLVKLDLSSNALTGQIPPEIGNLSMLTNLSLSKNHLYGFIPKEVGKLASLQCLRLSKNNLSSPIVASIGNLSKLVNLSLSGNQLYGYIPKELGKLKYLQGLWLYSNNLSGEIPSEIGNLSKLITLPMYKNNLYGSIPKELGKLKSLQGLWLYSNNLSGQIPLEIGNLSELITLSLYENQLYGSIPKELGKLKSLQGLWLYSNNLSGQIPAEIGCLPKLIYLSLDGNQLYGSIPKELGNLKSLQGLSLYSNKLSGKIPSEIGNLSELITLFLGENQLYGPIPKVIGNLPKLINISLDENQLYGSIPKELGKLKSLQSLWLYSNNLSGQIPLEIGNLSELIILSLDRNQLSGSIPKELGKLKYLQELWLHSNNLSGQIPSEIGNLSGLITLILFENQLYGSIPTELNNLTGLRNFEVCDNHLTGSLPESLCLHGSLETLIIENNKFSGRLPKSLKNCTTLYRVRLESNELYGDISKDFGIYPKLDYIDLSYNNFYGRLSSKWALCPKLTALKMAGNRISGNIPLQLGNASQLRYLDLSSNQLVGRIPGTLGKMSLLYMLKLENNRLMGNIPLEVGELSWLERLNLASNKFVDSIPPQIGRCERLITLNLSRNMLVGKIPPDMLSLKSLENLDLSHNILSAQIPPQVGELTNLQTLDLSHNNLSSSIPSTIAQCAALVSIDISYNHLEGPIPNTKAFLLAPYSALSNNKGLCGNHSGIKPCSPQSQSDGLNRNLVVIISIVLGSLFLLTVVIIIFVIFLRRARNTRDEQRDFTKDVFTIWSFDGKMTYESIIEATGDFDSSYCIGVGGHGSVFRAELPSGQIVAVKKFHTLGLQDDEMCDIRSFSNEISTLTNLRHRNIVKLYGFCAHNRHSFLIYEYLQGGSLAQILSDDERALQLGWLERINVVKAVAKALSYMHHDCLPPIIHRDISSNNILFDSEHEAHVSDFGTARFLSPDSSNWTAFAGTMGYIAPEFAYTAEVNCKCDVYSFGVVTLEVLMGKHPGDLVTYISSSSLSATAGMLLMDLLDPRLSTPKKHDAQQLVLLAKIGVSCMNSDPQCRPTMQQVSVMLSKERDFPKFSPEITLCQLFGLEFRNP >itb04g24720.t1 pep chromosome:ASM357664v1:4:29461875:29464189:-1 gene:itb04g24720 transcript:itb04g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPKTPKEASAGKAMENGKITLSKPSSPASKKTASNYISSDVRRSGRLQKIVSSAGNKRAAKEVDLTDNKVEEEGPRAEKVNSHHSEEQGGITELALETDRGDGKNEEVQLVEPYNANPTEEQIGMEEKIDYLYQTAKELKAKAAKRSVPSGTTCEDLKYKNLYIESQKKVESLTQDNIQLVKDLANSRGKNEAYEKIINDFTGKEMIVLANFERAAETVLNLSEDALKKSYAVKNASSLQPKAAAPSVAQDANKKSSADTDAPNPSPQPKITHTNAPAAARNSKRKKTSQ >itb05g01570.t1 pep chromosome:ASM357664v1:5:1281795:1285574:-1 gene:itb05g01570 transcript:itb05g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPCSGRRVVAKKRPRNGMDGFVNSVKKLQRREISSRRDRAFSMSDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNKRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRTTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVEEIKISPGIMLLIFTKASAYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIKATNAITMSNCNCSAGCGGRSCKSKRVQVSRIRSSVAEALDDITALYYDEERNEIYTGNRLGLVHVWSN >itb14g11990.t1 pep chromosome:ASM357664v1:14:13585934:13588436:-1 gene:itb14g11990 transcript:itb14g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLLYLLFLVFPLHTLAQNTATIAVNQTLNTASEPWVSSPSGVFAFGFQKIQATEDQFMLCIWYANIPQRTIVWHANISAAPQGSTVRVDSSFGLILSDSEGNKLWSPGDGLSQVIDHAFLNDTGNLVLVGRDSTVVWDTFSHPTDTLLPTQEMEIGGMLISRRSEANFSQGRFYLRMLGDGNMVLSTKSVSTNLDFDSEYYNSGTSDPTISDYKLGFTELGSLYILKGSNKTVEITPPRSIYSGSYVRLSLNFDGVLSLYSIPKISTVNQIWSSNWSQPDNICTAIHGNYGSGACGYNNVCSIDDDNTKRPSCKCPQGYSLIDPSDEYGSCGINFVQICDESLGTERGSSEDLYEIIEVNNTNWPFNDIEHINPCGEDECKASCLNDCLCDVAIYGGSGCWKKRLPLSNGVYGPNIAAKAFLKLRKNGLLEKQNNGFPDKKKDHGTLIVVGSVLLGSSVFINFLFITTTCLGFSFIYKSKIKKPNPSVQSNLHCFLYNELAKATNEFREEIGRGASAIVYKGEIPLGSGNIVAVKKLDRVAHDSEKEFKAEVNVIGQTHHKHLVRLLGYCNEGQHQLLVYEYMRNGSVASFLFSDLTPSWSERTKIAFGIAHGLTYLHEECNTQIIHCDIKPQNILLDEDYNARISDFGLAKLLRVNESRTHTNIRGTKGYVAPEWFRNNKVTVKVDVYSFGILLMEIITGQRSVGDIEIDGSDKVILADWVIDCFQEKRLDLLVENDAEALNDMEMVDRFVMTAIWCIQEDPSLRPTMRKVNQMLEGVVQVPVPPYPYSFT >itb05g16400.t1 pep chromosome:ASM357664v1:5:23611203:23611997:1 gene:itb05g16400 transcript:itb05g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQSKLKISYLTQYYNLFYVLPPSSLHFLSSLPIAHRQRFSFPTIDSSMASSDSDVPSESSAPSLEEMTRAGARSMILPIILNFAAASPPSQRRRDVTVIVNARTGSITFIEGAADGKEGHLPASKASIEAMPVVKVGEEGIECAICLAEFELGGEAKAMPCNHRYHPDCIDKWLQIHGSCPLCRYKMPAEEGEEVRREADQLGEDGGTERRERAAMVFHVFFRNGSRSNYDSDSDSEMQGEDTNQDNFGSEDSEVAMEIDGR >itb09g21070.t1 pep chromosome:ASM357664v1:9:18378962:18379786:1 gene:itb09g21070 transcript:itb09g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQIEVELISMLVHRNLLRLLGFCMTPTERLLVYPYMANGSLASHLRERRDSDTPLDWAKKKRISLGAARGLAYLHDHCDPKIIHRDAKAANILLDEEYEAVVGNFGLAKYIDYNDIHVTTAVRGTIGHIAPEYLSTGKLSDKTDVFGYGVMLLEIISGQMAFDLARLANDDDAMLLDWVKELLKDKKYETLVDTDLQGGFVEEEVEQLIQVALLCTQNSPLERPKMSEVVRMLEGDGLAEKWEEWQKKETFRADLIDNSTSNIRPDELFGSR >itb11g15400.t1 pep chromosome:ASM357664v1:11:13009574:13016289:1 gene:itb11g15400 transcript:itb11g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVRVNGGDYFNQLDRSTVSMPPSGGAGGVADGDGSVGGGGGVNYIEHPVSKLDTLAGVAIRYGVEVADIKRMNGLVTDLQMFALKTLQIPLPGRHPPSPILSNGVDKQGGGGFSTIAGKGLALRPKSASRTVSAADGEASLINPIPIGLGDSLIAENLVGVRKSSSTPSLQDSDSSTLASLWPTLTTASITKPIFDGLPKPITGRRNKAALD >itb08g10830.t1 pep chromosome:ASM357664v1:8:10446290:10447940:1 gene:itb08g10830 transcript:itb08g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRHNNNPFFHVVYLSLILSLVFPGTKAEKKTINISNDNREVIILEEFRYTNNGRLSINVSSVSINPTTANASRLAFFLSPSPFLGEALHRMNEGSDYCVFDQPYVNPIFTLHHGYPGTSIQKLFSISKAEVYFLFFVNCVKKSSVTMILSVEMYNIETNGKRNYLSDGSGLLPSIFFASTMAYSLLFLWWAIHCHRKSTKTIHFLMAALLISRALDSLCLSLEQETIKRTGSPQGWQFLLYFLHTIRSLLLVLVVLLIGAGWTVLKPFVHKEGQAALALGMLVQIVSSVMYILKWETGLFVDGYFAYTILLFILDFVSCWLLLLPIGSTMRELKRNADTEGKAARSLEMLKCFRNLFVGIFVYILITRVALSILKFKASTNGWRDRWVLITVVEQVINVVCFWGLFFMFRPTTRRDDNPFVATEHDEEAARAELEIMYDYTKFYE >itb04g32150.t1 pep chromosome:ASM357664v1:4:34835007:34836863:-1 gene:itb04g32150 transcript:itb04g32150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAIVGLQGDVPQDYPKGFPYVGAKNKVAACAKHFVGDGGTTYGVDESNTVIDWDGLLSIHMPAYYPSILKRVSTVMVSYSSWNGKKMHANRALVTHFLKGTLDFKAHRDLAREAVRKSLVLLKNEALLPLPKKATRILVAGNHADNLGYQCGGWTITWQGLSGNTTIGTTILKAISDTVDPTTEVVYNENPNPNFMNSNDFAYAIVVVGEHPYAEYNGDNMNLTIPEPGPATITTVCENIKCVVVLISGRPLVLEPYLPKMDALVAAWLPGSEGQGVADVLFGDYEFTGKLPRTWFKTVDQLPMNIGDSHYDPLFPFGFGLTTEQVQLIADS >itb03g20990.t3 pep chromosome:ASM357664v1:3:18812334:18815955:-1 gene:itb03g20990 transcript:itb03g20990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLLQASLTATKRALTWNLEDLIPPSERYIFNFNSKDELKKWHLYSDSEYGGLSSASLEIKDTTEGSSTVGVFSGNLSLDVAEGSTWNMTRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTQNWVNSPGQEEDNSWQAFVFVPKDNWYIAKIPLSRYVPTWRGNLINAELEMNPSRVLGMSLSVNAEGGVPGAKSGAGDFHVEIDWIKALRTE >itb03g20990.t2 pep chromosome:ASM357664v1:3:18812334:18817399:-1 gene:itb03g20990 transcript:itb03g20990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLLQASLTATKRALTWNLEDLIPPSERYIFNFNSKDELKKWHLYSDSEYGGLSSASLEIKDTTEGSSTVGVFSGNLSLDVAEGSTWNMTRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTQNWVNSPGQEEDNSWQAFVFVPKDNWYIAKIPLSRYVPTWRGNLINAELEMNPSRVLGMSLSVNAEGGVPGAKSGAGDFHVEIDWIKALRTE >itb03g20990.t1 pep chromosome:ASM357664v1:3:18812303:18817451:-1 gene:itb03g20990 transcript:itb03g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLLQASLTATKRALTWNLEDLIPPSERYIFNFNSKDELKKWHLYSDSEYGGLSSASLEIKDTTEGSSTVGVFSGNLSLDVAEGSTWNMTRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTQNWVNSPGQEEDNSWQAFVFVPKDNWYIAKIPLSRYVPTWRGNLINAELEMNPSRVLGMSLSVNAEGGVPGAKSGAGDFHVEIDWIKALRTE >itb05g02200.t1 pep chromosome:ASM357664v1:5:1745032:1747519:-1 gene:itb05g02200 transcript:itb05g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHSTERDPKMVILNDQGSKVGSLPLDKRKTRKRKDGPKNVAETLAKWKEYNEKLDFMEEGGKRVRKAPAKGSKKGCMKGKGGPENVRCNYRGVRQRTWGKWVAEIRVPKRGSRLWLGTYGTALEAALAYDEAARAMYGPCARLNLPNHTKTECSCLPSASASDSTVASSLCEIETEAAASSNIEHGDSRGESHNKAPLHSDKGDGGGARTEEPSDVTANLDDFSWDEMFDVDDILGVLNTPLNVLPGDTPGARSLEDLPQLEQQAPAIDLSFLNQERQDDARFNLDDVGFLDFNSELGIW >itb06g17430.t1 pep chromosome:ASM357664v1:6:21280654:21281532:1 gene:itb06g17430 transcript:itb06g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISPVSPLARPSPRQNSEAPPPPTNKRPDPPSSPQVPRREEYEPYDPLATRSIGEAMALRPYKPQYIDSISCGVQEMVPAARRLWMDCSSMNAFTSHLVGDTMHTRQALEDELSQLRAAHDALQQEHGTLRNNHLSLRLEHETLQEKYKRQEDSYAIALQSAIQTAIHDWRGSEDFVRAANAHAIICMPTLLQSWLDTPYMSGEPIVELMAGWQDYREFTNPPFICMVVLMQLFQGDALSSCY >itb05g24150.t1 pep chromosome:ASM357664v1:5:29033340:29034737:-1 gene:itb05g24150 transcript:itb05g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIMASQTLILQTPTYLQPLTSLPATRNRILLPPTLSARSLPTLSAKFPNKSRVSASSQVALQDPPTRSSDDLKLKIPAESLLTGPTRTIATLFVFALGVFKAVAHKALDLGLHLNGFQGLQRALVCSAGPLFFAARSSLDSTALNTPLTVVAAGMAKWLDIYSGVLMVRVLLSWFPNIPWERQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGALGSILSTTSTKGL >itb15g02820.t1 pep chromosome:ASM357664v1:15:1775883:1779025:1 gene:itb15g02820 transcript:itb15g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAKYSPINGGTIISDLNTHFSLFKTKKTKACAFAFVSVFVALTVFLAFSPSANNSSSPWFTNIFSLSPATNSSSNGSHFSSIFSYFSPNSSAPPNGVTGSQNSSSKPPSLSKGQKNQTQNIAKVEPLKKKPLTLNSTVNTTTQPSSSKNQGSDHKVGVFQGNQTGKSSSGEKIIGEKGGLKNLTSSLAKKKQSNGNNGGDEDLVKSLLKCDFFDGNWVKDDESFPLYKPGSCSLIDEQFNCFKNGRPDNDYFKYRWKPKACTLPRLNGAHMLEMLRGKRLVFVGDSLNRNMWESLVCILRNSVKDQKKVYEEFGRHHFRGEASYSFVFEDYGSKVEFFVSPFLVQEWQVPDKNGTKETLRLDLVGQSAEKYKNADVLVFNTGHWWTHEKTSKGKDYYQEGSHVYSDLNVLEAFRKALTTWGRWVDAHINPAKTFVLFRGYSASHFSGGQWNSGGACDHETEPIKNQTYLTEYPPKMKVLERVLRGMKTKVSYLNVTRMTDFRKDGHPSIYRKQKLSVEEKAKPLMYQDCSHWCLPGVPDAWNEILYAKLLVQQYQKQQDKKS >itb15g02820.t2 pep chromosome:ASM357664v1:15:1775883:1779025:1 gene:itb15g02820 transcript:itb15g02820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAKYSPINGGTIISDLNTHFSLFKTKKTKACAFAFVSVFVALTVFLAFSPSANNSSSPWFTNIFSLSPATNSSSNGSHFSSIFSYFSPNSSAPPNGVTGSQNSSSKPPSLSKGQKNQTQNIAKVEPLKKKPLTLNSTVNTTTQPSSSKNQGSDHKVGVFQGNQTGKSSSGEKIIGEKGGLKNLTSSLAKKKQSNGNNGGDEDLVKSLLKCDFFDGNWVKDDESFPLYKPGSCSLIDEQFNCFKNGRPDNDYFKYRWKPKACTLPRLNGAHMLEMLRGKRLVFVGDSLNRNMWESLVCILRNSVKDQKKVYEEFGRHHFRGEASYSFVFEDYGSKVEFFVSPFLVQEWQVPDKNGTKETLRLDLVGQSAEKYKNADVLVFNTGHWWTHEKTSKG >itb03g00260.t1 pep chromosome:ASM357664v1:3:129710:133940:1 gene:itb03g00260 transcript:itb03g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKKNRFSGGNSIAFANNFESEGSGSSGRIDTEIAASQCSMAPGRKWINLNSAASDGFAVPIQLIPLFKLSPSDRKNLVLRLRYELEQIQMLQKKIEMHRTSAVTVSSSSDILSCSNATKGPPVVGNIKRSAKSGKKSNSNSLVQKSQGRISGTSVQLEPVKQSSEQPNLNASLLKQCENLLKKLMTHQHGWVFNEPVDVVKLKIPDYFKVIKQPMDLGTIKKKLSSGQYSSPLDFLADVRLTFSNAMTYNPPGNDVHFMADTMNKFFEMRWKFIEKRIPENIAESPVKKIVLCEETKIIKPIPSSRKRKVSPVHHTATPEPPKQRMSAEDKDKLSRELEASLGDLSDNIIEFLKEQTSNGVEAGEDEIEIDIDVLSDDTLFKLRKLLDVFLQEKKPDNAKAEPCEIELQNESGFSNSSMQLDRGNDLVGEEVDICGNELPVSSYSPVEIKKEIAHQVNECTNLGVPTGKSDSSCSSEGQKAFVHVKQDQSCSPASIDGKEGGNNVADCNKCISELEQLEHCSEKMDCVELDGQQGEISENERQSSPGKLYRAALLKNRFADTILKAREKALGQVEKGDPEKLRREKEEIEMQRRKEKARLEAEAKAAEEAQKRAEEKAAAEAKKKREVEREAARQALLKMEQTVEINENSRILEDLEMLRVVGPPELPPSSAASADETSPEHSQDGLGLGSFKFGSGSNPLEQLGLFMKADYEIEEEECDAEAPPQVCGVKDIEEGEIN >itb09g01880.t2 pep chromosome:ASM357664v1:9:1158877:1163285:1 gene:itb09g01880 transcript:itb09g01880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKSHKPNPYSLRETLEAPQVPETPANNAAAADAGKKSPFFPFYSPSPAHYLFSKKSPATTTAKSGASTPGRLFKRPFPPPSPAKHIKSLLLRRHGSVKPNAAAIPEGEEAEGADLDKSFGFSKQFTSRYEIGEEVGRGHFGYTCSATGKKGELKGQRVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHSNLVQFYEAFEDQENVYIVMELCLGGELLDRILARGGKYSEDDARAVMIQILNVVAFCHLQGVVHRDLKPENFLFSSKDESSQLKAIDFGLSDFVRPDEKLNDIVGSAYYVAPEVLQRSYSTEADVWSVGVIAYILLCGSRPFWARTESGIFRAVLKTDPSFDEGPWPSLSSEAKDFVKRLLNKDPRKRMSAAQALCHPWIRSHSDIKVPLDILVFKLMKSYMRSSSLRKAAMRALSKTLTEDELFYLREQFALLEPDKNGSIKLDNVKTALMKIATNAMKESRVPDFLAALNALQYRRMEFEEFCAAALSVHQLEALERWEQHARCAYEIFEKDGNRAIMIEELASVS >itb09g01880.t1 pep chromosome:ASM357664v1:9:1158853:1163285:1 gene:itb09g01880 transcript:itb09g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKSHKPNPYSLRETLEAPQVPETPANNAAAADAGKKSPFFPFYSPSPAHYLFSKKSPATTTAKSGASTPGRLFKRPFPPPSPAKHIKSLLLRRHGSVKPNAAAIPEGEEAEGADLDKSFGFSKQFTSRYEIGEEVGRGHFGYTCSATGKKGELKGQRVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHSNLVQFYEAFEDQENVYIVMELCLGGELLDRILARGGKYSEDDARAVMIQILNVVAFCHLQGVVHRDLKPENFLFSSKDESSQLKAIDFGLSDFVRPDEKLNDIVGSAYYVAPEVLQRSYSTEADVWSVGVIAYILLCGSRPFWARTESGIFRAVLKTDPSFDEGPWPSLSSEAKDFVKRLLNKDPRKRMSAAQALCHPWIRSHSDIKVPLDILVFKLMKSYMRSSSLRKAAMRALSKTLTEDELFYLREQFALLEPDKNGSIKLDNVKTALMKIATNAMKESRVPDFLAALNALQYRRMEFEEFCAAALSVHQLEALERWEQHARCAYEIFEKDGNRAIMIEELASELGLSPSVPVHAVLQDWIRHTDGKLSFLGFVKLLHGPSTRTLVKVQ >itb03g20610.t1 pep chromosome:ASM357664v1:3:18510231:18510971:1 gene:itb03g20610 transcript:itb03g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTELDHLVPIDFKNVAQVPDTHTWLINNSSSTDESVPLIDLEDPQALEKIKMACENWGVFQVINHGIPMELLAQIEYQARRFFDLTREEKLLTLRSPDNPIGYGVIPFSPSYNTLMWMEGLTLSGSPLELARRVFPEDYSPFCDRGLPRTNEGSG >itb13g16160.t1 pep chromosome:ASM357664v1:13:23057916:23059940:-1 gene:itb13g16160 transcript:itb13g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDGQPVKQLEECSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISACEREHAERQKLLEAQNSAAAADALPNIGAES >itb14g19380.t1 pep chromosome:ASM357664v1:14:22067804:22068636:1 gene:itb14g19380 transcript:itb14g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEFGSFEDVTMEGTNVGATMIARRTCSGDSRDSCCINIYISNNVQGVNNSILVGSNVKLRDPGVSFSLDGLTFQTESPQRKNRLSDTAVAFSVILLSAALIISLFLSLFL >itb08g01830.t1 pep chromosome:ASM357664v1:8:1480911:1481905:1 gene:itb08g01830 transcript:itb08g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLGLFSVSYQLQNLVESQQQHYLQPPPPPPSAGATTGYWMRNMKNAAAAVAEDEDSWEIRAFAEDTGNNATWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHHAPPRPSVVPPHFPSPSAALFPPQEIVANASLCLLYSLPNPNAAAAFSPAAVKPCNVNSSPTLLSISPFATTHNLPSLNIPATTQFITASSLKVPAESSQTASNNYSNNGTRKREAAIEEQLELDLELRLGRGSSSSSSSPPP >itb06g14870.t2 pep chromosome:ASM357664v1:6:19309263:19310908:1 gene:itb06g14870 transcript:itb06g14870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASYKRYNFDNSSRKSVSYCQFDHMTKMWILGNPIKIEGAEYSSEKAIYICNHASPIDIFLIMWLTPTGTVGIAKKEIIFYPLFGQLYVLANHLRIDRSNPAAAIESMKEAAQAIVENNLSLIIFPEGTRSKDGRLLPFKKGFVHMALQSRRPIVPIIFTGTHMAWRKGSMHVRPAPLTVKFLPPIRTDDWTADKTEEYVRLVHDVYAKNLPDCQKPLQR >itb06g14870.t3 pep chromosome:ASM357664v1:6:19309058:19310908:1 gene:itb06g14870 transcript:itb06g14870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWILGNPIKIEGAEYSSEKAIYICNHASPIDIFLIMWLTPTGTVGIAKKEIIFYPLFGQLYVLANHLRIDRSNPAAAIESMKEAAQAIVENNLSLIIFPEGTRSKDGRLLPFKKGFVHMALQSRRPIVPIIFTGTHMAWRKGSMHVRPAPLTVKFLPPIRTDDWTADKTEEYVRLVHDVYAKNLPDCQKPLQR >itb06g14870.t4 pep chromosome:ASM357664v1:6:19309263:19310908:1 gene:itb06g14870 transcript:itb06g14870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWILGNPIKIEGAEYSSEKAIYICNHASPIDIFLIMWLTPTGTVGIAKKEIIFYPLFGQLYVLANHLRIDRSNPAAAIESMKEAAQAIVENNLSLIIFPEGTRSKDGRLLPFKKGFVHMALQSRRPIVPIIFTGTHMAWRKGSMHVRPAPLTVKFLPPIRTDDWTADKTEEYVRLVHDVYAKNLPDCQKPLQR >itb06g14870.t1 pep chromosome:ASM357664v1:6:19307454:19310908:1 gene:itb06g14870 transcript:itb06g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCGMGTFMRSRRFGSYFDAWSGLDLGAQSQVVVKGELEGKKQKTRDNVYVEDDGWLCVLISWIRIVVCFVSMMVTTLVWAVIMLVLLPWPYQRIRQGNIYGHVTGRMLMWILGNPIKIEGAEYSSEKAIYICNHASPIDIFLIMWLTPTGTVGIAKKEIIFYPLFGQLYVLANHLRIDRSNPAAAIESMKEAAQAIVENNLSLIIFPEGTRSKDGRLLPFKKGFVHMALQSRRPIVPIIFTGTHMAWRKGSMHVRPAPLTVKFLPPIRTDDWTADKTEEYVRLVHDVYAKNLPDCQKPLQR >itb14g08420.t1 pep chromosome:ASM357664v1:14:7883237:7883596:1 gene:itb14g08420 transcript:itb14g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDISLSRQNEEDNSMERISGRNNDHNNNNDETNGKIEISLATASSMFPGFRFSPTDEELISYYLKKKLEAFDECVEVIPEVEIWRHEPWDLPGSLFPFLISVSYCSYGICSWIMK >itb11g21040.t1 pep chromosome:ASM357664v1:11:22400904:22403038:1 gene:itb11g21040 transcript:itb11g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPELAFIPWEGMGHLVPMVELAKLILERNQSLSISILVMRTSMDFGADKYLDSVTSDSGHPRLRFLDLRQYNDPDAGEVELNPDNFLFDFIDKQTANVRRFAQTNYADECDRPRLVGFVIDMFCVPMIDVANEFGVPTYLFFASGIGFLGLSLHFQALKHDHEEDTSRYKNSDEELCIPCYANPVPAKALPATMVEKNPGTDMFFDGVKRYKETKGIMVNTFAELEHYALQALSDTKTLPPIYPVGPLLNLEAGHGRNKPSQSESIIKWLDDQPVSSVVFLCFGSFGSFEAKQVTEIATALESSGHRFLWSLRRRPPKGKLELPADYENPAEVLPEGFLERTKSVGKVIGWAPQLAVLSHPAVGGFVSHCGWNSLLESVWCGVPIATWPLYAEQQINAFWAIKELGIAAEIRMDYNFGGLGGNEEDNIVTAEEIRNGIMEIMSNGDIREKVKEMKEMSRKAMMEGGSSYTALSTVIKAVIDNSNTI >itb08g08900.t1 pep chromosome:ASM357664v1:8:7864166:7866470:1 gene:itb08g08900 transcript:itb08g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:Projected from Arabidopsis thaliana (AT1G31170) UniProtKB/TrEMBL;Acc:F4I7W2] MTNFLLKVPSNLRTFSVSAASCNGPSPGVPQSQPGGPVILELPIDKIRRPLMRTRANDPHKVHELMDSIRQIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTQETLRHHLR >itb06g20290.t1 pep chromosome:ASM357664v1:6:23323435:23329266:1 gene:itb06g20290 transcript:itb06g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHNSQPLFVSGGDDYKIKVWNYKQHRCLFTLLGHLDYIRTVQFHHESPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMSASFHPKEDLVVSVSLDQTVRVWDIGALRKKTVSPADDILRLSQMNSDFFGGVDVVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDVIVSNSEDKSIRVWDATKRTPLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLFYVKDRFLRIYEYSTQKDTQLIPIRRPGSNSLNQGPRTLSYSATENAVLICSDVDGGSYELYVVPKDSYGRGDTVQDAKRGSGGSAVFIARQRFAVLEKSTNQVLVKNLKNEIVKKSILPIATDAIFYAGTGNVLCRAEDRVVIFDLQQRIVLGDLQTSFVRYVVWSNDMESIALLGKHSIVIADKKLVNRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDIPVYITKIYGNTIFCLDRDGKNRPIIIDSTEYIFKLSLLKKRYDQVMSMIKNSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIEIALESAKKIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNLEKLSKMMKIAEVKNEVMGQFHNALYLGDIRERVKILENAGHLPLAYITASVHGLSDIAERLAEELGGNVPSLPKQKTHSLLLPQKPISGGGDWPLLMVTKGIFGGALDIGNRGAQEDDEEPTDADWGESLDIGEVENMQNGDINIVLEEVEGQEENDEGGWDLEDLDLPPDAETPKAAPNARSSVFIAPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLNRQLGIRNFNPLKQLFTDLHVGSHTYLLASASAPVISVAVERGWSESASPNVRGPPALVFNFSQLEEKLKSGYKLTTQGKFSEALRTFQSILHTIPLIVVESRREVDEVKELVIIVKEYVLGLQMELKRKETKDNPVRQQELAAYFTHCNLQVPHLRLALQNAMTVCYKARNMMTAANFARRLLETNPTVESQAKLARQVLQAAEKNMQDASQLNYDFRNPFVVCGATYVPIYRGQKDVTCPYCNAHFVPSQEGQLCSVCDLSAIGADASGLLCSSAQVR >itb08g02450.t1 pep chromosome:ASM357664v1:8:1927296:1932152:-1 gene:itb08g02450 transcript:itb08g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDKDGKPLTHPDKRSRKVPVAILFLVLCGFSFYLGRIFCSEKEKFVVNDVGKKDESPQETEISSGSGSSALQIKATSFPECSPDSQDYTPCTDPKRWRRYDPHRLSFLERHCPPMFERQECLVPPPDGYKLPIKWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFHFPGGGTMFPDGVGKYVDLMQSLIPGMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGLYLLEINRILRPGGFWVLSGPPVNYEHRWKGWNTTIEEQKSDYEKLQELLTSMCFKLYKKKDDIAVWQKLADSSCYKKLDDPDAYLPKCDDSTEPDSAWYTPLRACVVVPNQKAKKLELKSLPKWPQRLSTAPERVSYVRGGSGGAFNHDSNKWKTRVKHYKKLLPALGTDKIRNVMDMNTLYGGFAAALNDDPLWVMNVVSTYSANTLPVVYDRGLIGVYHDWCEPFSTYPRTYDLLHLDGHFTAESHRCEMKYVLLEMDRVLRPNGYALIRDSSYFVDAISTLAKGMRWSCRKEDTEYSVENEKILICQKKLWYSSKKSSR >itb08g02450.t3 pep chromosome:ASM357664v1:8:1927296:1931636:-1 gene:itb08g02450 transcript:itb08g02450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDKDGKPLTHPDKRSRKVPVAILFLVLCGFSFYLGRIFCSEKEKFVVNDVGKKDESPQETEISSGSGSSALQIKATSFPECSPDSQDYTPCTDPKRWRRYDPHRLSFLERHCPPMFERQECLVPPPDGYKLPIKWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFHFPGGGTMFPDGVGKYVDLMQSLIPGMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGLYLLEINRILRPGGFWVLSGPPVNYEHRWKGWNTTIEEQKSDYEKLQELLTSMCFKLYKKKDDIAVWQKLADSSCYKKLDDPDAYLPKCDDSTEPDSAWYTPLRACVVVPNQKAKKLELKSLPKWPQRLSTAPERVSYVRGGSGGAFNHDSNKWKTRVKHYKKLLPALGTDKIRNVMDMNTLYGGFAAALNDDPLWVMNVVSTYSANTLPVVYDRGLIGVYHDWCEPFSTYPRTYDLLHLDGHFTAESHR >itb08g02450.t2 pep chromosome:ASM357664v1:8:1927296:1932111:-1 gene:itb08g02450 transcript:itb08g02450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDKDGKPLTHPDKRSRKVPVAILFLVLCGFSFYLGRIFCSEKEKFVVNDVGKKDESPQETEISSGSGSSALQIKATSFPECSPDSQDYTPCTDPKRWRRYDPHRLSFLERHCPPMFERQECLVPPPDGYKLPIKWPKSRDECWYRNVPYDWINKQKSNQHWLKKEGEKFHFPGGGTMFPDGVGKYVDLMQSLIPGMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGLYLLEINRILRPGGFWVLSGPPVNYEHRWKGWNTTIEEQKSDYEKLQELLTSMCFKLYKKKDDIAVWQKLADSSCYKKLDDPDAYLPKCDDSTEPDSAWYTPLRACVVVPNQKAKKLELKSLPKWPQRLSTAPERVSYVRGGSGGAFNHDSNKWKTRVKHYKKLLPALGTDKIRNVMDMNTLYGGFAAALNDDPLWVMNVVSTYSANTLPVVYDRGLIGVYHDWCEPFSTYPRTYDLLHLDGHFTAESHR >itb04g33570.t1 pep chromosome:ASM357664v1:4:35769044:35772281:-1 gene:itb04g33570 transcript:itb04g33570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIHQHLTVAQKVAYQLHLSSSLSQDAQASFGGLQRPAVYHRHSAYGNYYNAGLKSCQASQDLSLITANASPVFVQAPAEKGFSSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKEEGIVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGDRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >itb11g01200.t2 pep chromosome:ASM357664v1:11:541910:545990:1 gene:itb11g01200 transcript:itb11g01200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHKSWNSLKILMVSEMILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb11g01200.t1 pep chromosome:ASM357664v1:11:541886:545990:1 gene:itb11g01200 transcript:itb11g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHKSWNSLKILMVSEMILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb11g01200.t3 pep chromosome:ASM357664v1:11:541910:545990:1 gene:itb11g01200 transcript:itb11g01200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMDPSQNPHRPEQISSESYNTLSSVQIQAPSYTYEPDQSTYAYYPPSYQYTYYDASQQAAYDYSNAYYQQPHHEPPTSTLPPAAPQPQSDPAAAAAAYQYSYYPPPQPQGAEYGGVYGSGAAIHTGTSTAQILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb11g01200.t6 pep chromosome:ASM357664v1:11:541893:545990:1 gene:itb11g01200 transcript:itb11g01200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMDPSQNPHRPEQISSESYNTLSSVQIQAPSYTYEPDQSTYAYYPPSYQYTYYDASQQAAYDYSNAYYQQPHHEPPTSTLPPAAPQPQSDPAAAAAAYQYSYYPPPQPQGAEYGGVYGSGAAIHTGTSTAQILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb11g01200.t5 pep chromosome:ASM357664v1:11:544240:545990:1 gene:itb11g01200 transcript:itb11g01200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFFNLYFVILQILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb11g01200.t4 pep chromosome:ASM357664v1:11:542875:545969:1 gene:itb11g01200 transcript:itb11g01200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANISARKAEQSEHLSWQLSASSSHSSANQLAYCQKPSLNSLLHGAVYFFPLQSYLKFSLSFAEMVSHKSWNSLKILMVSEMILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb11g01200.t7 pep chromosome:ASM357664v1:11:544240:545990:1 gene:itb11g01200 transcript:itb11g01200.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFFNLYFVILQILQPSHRGTGRRGGRIFRGAGRGRRGQVPQPPAPVWPPRMAWCELCRVECNTPEILEQHKNGKKHKKNVKVQEELQRVMAAGHNVQSSSQVQPEVAYQPKATEGSELPPEGTLSVETVNGRSEQQPQENLPSQALGEENKAEPEHPKPESTRDSGESQGRGPKRKISGGPGGRGGKKLRSHDGRRKPAEPPKPKQIPLICELCNVTCESQVVFQSHLQGKKHLSNRKRFEESQAVLGQVATQALYPALQPAYPALPQPNAALATPLDPKLQQQNIPGGQGFLTQPAPSLLPQGQEVSALTPAVASALPPPIPMLQSLDHQDPGPQFQSQ >itb06g07030.t1 pep chromosome:ASM357664v1:6:10211836:10216156:-1 gene:itb06g07030 transcript:itb06g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIDRIICFLQGLNDDYNSLKSGVLVLDPLPEMHKVFVMAEKFERQLSITNLCLNEPEISHASTVQGNQNITEETVAAVNYFNGRRNANSSGSGNRTAKCTFCGMTGHTIEKCYKKHGYPPGWVPGYKSKGKQQSMAVTTNSENSSTTEQLQKLISLMQIQMGQNQGSTSAAVSLTPKFTKQESDNEGKYNIITHINSVTLCSSSWILDSGATDHIVCSLEFFDDYCDVKGAVVNLPNGGCVEVKHVGNIKLSDDLWLRNALHIPSFNFNLASASKLLQDSSHILVFDSACDLEMDNRHL >itb15g13490.t1 pep chromosome:ASM357664v1:15:11475098:11486908:1 gene:itb15g13490 transcript:itb15g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTENLIGENYVSSSAALSSSSHSLRLHAEAARIFDELPKASIISVSRPEPRDISFSPLLLTYTIELRYKQFKWILLKRASQVIYLHFALKKRSVIEEFHEKQEQVKEWLHHIGIREQITSQQYDDEPDDGVVPAYNEHGCVKNRHVPSKAALPIIRPSLGRQCAILERAKSAMQDYLNCFLGNLDIVNTSEVIKFLEVSKLSFLPEYGPKLKENYVMVKHLPRISDGDSRCSMCYRFGCCKNNWQKVWAVLKPGFLALLRNPFDIELLDIFVFDALPAVNGKGKEQVNLAEEIREHNPLRYSFKVSCGNQSIKFRTASSAKVKDWVSAINEAGLKPKEGWCNTHRFGSFAPQRGLTEDGSHVQWFIDGKAAFGAIASSIESAKSEIYITGWWLCPELYLRRPFHNHRSSRLDVLLEAKANQGVQIFILLYKEVALALKINSLYSKKKLLSIHKNVKVLRYPNHLSAGIYYWSHHEKIVIVDHHICFIGGLDLCFGRYDTVEHKIGDYPPFLWPGKDYYNPRESEPNSWEDAMRDELDREKYPRMPWHDVHCALWGPPCRDIARHFVQRWNHAKRSKAANEQEIPLLMPKHHMVIPHYLGRSQEVDIESKNAEVDAKDLNGQDLFASGSPPEDIPLLLPQEASGMEASNLNNTLDDVCGQLDPLDQTTDLQDGWWEKVSVDELAEAGPRTPCSCQVIRSVSHWSAGTSYTEDSIHTAYCSLIENAEHFVYIENQFFISGLSGDEIIQNRVLDALYKRILLAYKEKKCFRVIIVIPLLPGYQGGLDDSGAASVRAIMHWQYRTICRGQNSILHNLNALLGQKASDYISFCGLRTYGQLSDSCPLVTSQVYVHSKLMIVDDRIALVGSSNINDRSLLGSRDSEIAVVIEDKDFIESSMDGKPWKAGRFAFSLRVSLWAEHLGLRTGEISQIKDPIADSTYKDLWLSTAKLNATIYQDVFSCIPNDLIYSRSALRQCKERLRHNTVDLGVAPKKLRVYVDDKVTIVNPTERVKSIKGHLVHFPLEFMREEDLRPVFIDPEFYTNPHVFH >itb07g03900.t1 pep chromosome:ASM357664v1:7:2632291:2632683:-1 gene:itb07g03900 transcript:itb07g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSNIPIDIIRHILLQLPVKSVIRCQCVCKQWRLLIQDDSDFRLSYRGQRRVIILSYESKGGKNKDCSRFFVRSTSHDLRLQRHEWAFGEGYQLIRESSLFDVTLCCCNGLLLVVTKRDLVEPVH >itb12g03310.t1 pep chromosome:ASM357664v1:12:2158359:2162016:1 gene:itb12g03310 transcript:itb12g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNHAPAPPAPTVCVTGASGFVGSWLVMKLLQRGYIVHATVRDPGNTKKVKHLLELPKAEGNLKVWKGVLEEEGSFDEAIAGCEGVFHVAAAVNFASKDPDNEVIKPAVKGILSIINSCAKAKTVKKLVFTSSTAAVHIKQTQQLVYDESSWSDLDFIYANKMGGWMYFASKTLAEKEAWKAAKEKEIEFISIIPPLVIGPFLIPTFPLSLVTALSPIMDPVGNGFHHNIIKQGKFVHLDDLCEAQIFLYQHPKAQGRFICSSHHATIHDVAKMITHNWPEYYVPSEFKGIEKELAVVSFSSKKLQEMGFEFKYTLEDMYRGAIETLRKKGLLPYSTKEPADIEQEQRSGKEPKS >itb02g21150.t1 pep chromosome:ASM357664v1:2:19661530:19666810:1 gene:itb02g21150 transcript:itb02g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPPPPPPMEVAAARIAQVATPIPVLLPTPTHNHPPYSEMITAAITALKEKDGSSRQAIAKYIERVYSDLPPNHSALLTQHLKRLKNCGELVMVKHSYALPRSPTQSQPDPAGNALSPKRRPGRPPKPKLEQQPQFQFQPEDSMGQFPQLHFQQPSMPHFQPFVVPEVHNSGPESVFASLGLVDAPPPPPPQPTPVTKRRPGRPKRIISEDKGPAAPLHKGNQPGLATVSGVVVGRPRGRPKKNISTGTTGKPRGRPKASATTIAKKLGRPRGRPAKNAQLGSAGGLVIVPITDNDAPAAAAPLPGNNGVVPMPKRRGRPPRKYADGLLPAPKPRGRLPRSSADGVKKPRKLSGKPLGRPRKNALLEAPNAPDSQHLAALQDLQGKLEQLKSRIKETASVLRPCLNSETAMAALQDLEEFAANGVNPVQPPPLVPI >itb14g21420.t1 pep chromosome:ASM357664v1:14:23426609:23427549:1 gene:itb14g21420 transcript:itb14g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQMKMMKAWNLVRVALLWARKGGVFKNRLLMFKDLPKCVKSLHDHRHSAAGYLHRRRDPCTLHYGERQFSFDDTPIIHVKMHRPASLRFRMPHIPCINPPVDFDFDFDGISNADADDRIVFSDEYDNNADDDDHHQCRDGDDGGEEIDTKAEQFIAKFYDQIKLQRQISYLRYNEMLARGAN >itb05g06190.t1 pep chromosome:ASM357664v1:5:6395577:6396791:-1 gene:itb05g06190 transcript:itb05g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYPEVPVELKNLFHEIDRKLYSILVFELERDPKVSVNVIALWIWLEKNKIKNAVDKILSSSIKMINGLADDAVACLRCITDAMYLFSSDASEISLTQNVLGKQLSLKFFHENRANALCGIRMVVETVCVKVLDDLIQMAITRNVERQLLKTEMVMMPPPVAEPMDPMIPRFGGLIVTGESSRSVSPPMDPIIQRFGALRFASDSSQSVVPPIDPNIQRFGGLRFASDSSQSVVPPIDPMIQRFGGLRFAGQSFQSVVPPFDPVIKRFKGLKPTGESSQSAMRPFDPVIQRFRGLKPTGESSQSAMPPLRMEEPKDDRTMYATFSNGYPVFDWELWFYFIGMYGDCVESISMQAVKPNEQSMFALIVFSSPRIVHLILRGRETANFTIKGKEVWIRKCIPKA >itb08g01410.t1 pep chromosome:ASM357664v1:8:1032629:1036230:-1 gene:itb08g01410 transcript:itb08g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATMASHIFPTTRPMLPSRATSRASLSSAPLTSSPSPTLSLSTSFLSPSAAGSALSQFSGYKIRANSLNPSSSSSRPKRGVFTMVIPFSKGSAWEQPPPDLASYLYKNRIVYLGMPLVPSVTELILAEFIYLQYEDASKPIYFYINSTGTTKGGEKLGYETEAFAVYDAMRHVEPPIFTLCVGNAWGEAALLLAAGATGNRAALASSTIMIKQPIGRFQGQATDVNIMRQEMKHVKDELVKLYAKHMGKTREQIEEDIKRPKYFSPSEAVEYGIIDKVLYNERGSEDKSIMSDVKKARFV >itb08g11160.t1 pep chromosome:ASM357664v1:8:11075687:11076142:-1 gene:itb08g11160 transcript:itb08g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHCDASRVKGERRTCAKILEDMIEFSRDTLGCKHMVALASQNTKGLGNRVVTIRNVLKPYNKTEKIVACHEVFFPFAAYYCHMLPLTQVYAVEVISPKKSGTINNLFAICHMDRTPNHMAFKLLKTSPGKGEVCHWIGEMDLLWVSYD >itb05g14910.t1 pep chromosome:ASM357664v1:5:22237093:22237470:1 gene:itb05g14910 transcript:itb05g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKNTELRRHNSNVDTGDVRSSPLLIMLWPTTTGAPSSPSPMNSDQSRRRTSPLPDLYAATTPRHRPRRPSWRQSQHLHRFHLSFPINDQQPHHHLRRTPSGASAEVQTIAPPPFHYYFSLSL >itb03g29920.t1 pep chromosome:ASM357664v1:3:31188720:31189049:-1 gene:itb03g29920 transcript:itb03g29920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYSGLKALEGQHTGKKGKGRCLHLVEQPVPIVKMEKDMFVLVDNVLLLLERAAMEQLPPKDEKCPQNCTKVSHCILAFWKVMPLSVHLELWFTLMLGLVGYCLEVG >itb02g18510.t1 pep chromosome:ASM357664v1:2:14865831:14867281:-1 gene:itb02g18510 transcript:itb02g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFGCYAQGALKFNPVFDPPQGHLQHESDEVYVPSPPCNDTPHVDLGDMDETHGDDNDYNTWDDVWTESIPNPQDTQFERSEKNREFFFACTLIEDPKKRYVLFGLPDDKVADECFSGSKVAGIGLTNPTSSTHLLSSFPYLKFQVYWFDDSTVAGLISTSIRSALDCPLNYARSYLDNILPLCVRRVVYIDSDLVLVDDIAKLAAVLAAPEYCNANFTAYFTPTFWSNPSLSLTPAAVLFQHRRYGDRS >itb15g18640.t1 pep chromosome:ASM357664v1:15:20376516:20380897:1 gene:itb15g18640 transcript:itb15g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAFTLASASPSVSLSLQDKLKAKPKLRDYGQCALFGNATKSHGRMRMVAAVNVSRFEGITMAPPDPILGVSEAFRADTDEMKLNLGVGAYRTEELQPYVLDVVKKAENLMLQRGENKEYLPIEGLAAFNKVTAELLFGADNEVIQQKRVATVQGLSGTGSLRLAAALIERYFPGSKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMLEDIKAAPDGSFILLHGCAHNPTGIDPTPEQWEKIADLIQEKNHIPFFDVAYQGFASGSLDADASSVRMFAARGLELLVAQSYSKNLGLYAERIGAINVVCSSADAAARVKSQLKRLARPMYSNPPVHGARIVANVVGNPELFNEWKQEMEMMAGRIKSVRQKLYDSLSSKDKSGKDWTFILKQIGMFSFTGLNKAQSENMTNKWHVYMTLDGRISLAGLSAAKCEYLADAIIDSYYNVS >itb15g18640.t2 pep chromosome:ASM357664v1:15:20377599:20380866:1 gene:itb15g18640 transcript:itb15g18640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVAAVNVSRFEGITMAPPDPILGVSEAFRADTDEMKLNLGVGAYRTEELQPYVLDVVKKAENLMLQRGENKEYLPIEGLAAFNKVTAELLFGADNEVIQQKRVATVQGLSGTGSLRLAAALIERYFPGSKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMLEDIKAAPDGSFILLHGCAHNPTGIDPTPEQWEKIADLIQEKNHIPFFDVAYQGFASGSLDADASSVRMFAARGLELLVAQSYSKNLGLYAERIGAINVVCSSADAAARVKSQLKRLARPMYSNPPVHGARIVANVVGNPELFNEWKQEMEMMAGRIKSVRQKLYDSLSSKDKSGKDWTFILKQIGMFSFTGLNKAQSENMTNKWHVYMTLDGRISLAGLSAAKCEYLADAIIDSYYNVS >itb15g03910.t1 pep chromosome:ASM357664v1:15:2473575:2475149:-1 gene:itb15g03910 transcript:itb15g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCNNNVAVVMVPLPAQGHLNQLLQLCCLVSSYGHPVHYIGSALHNQQARVRANGLDPKKLAKIHFHDLPTPDFDCPSPDPTASIKFPSHLQATWEAAQLLRHPTAHVLRELASNTRRVVIIHDPMMSMVVQDAALIPNAESYAFNCISVFSLLSFRYGGLGKQLPMEAELQELMPCLEGCATNEIRRLGAAQEEHRKLRAGDIHNSSRLIEGRFIDLLEEDKLGPAGPDDRMLDSTLQAAGQQGQKKKQWFIGPILPANPGLISNNHSFCLDWLDVQPQNSVLYVSFGTMTSMSDEEVRELAMGLEQSKQKFLWVLRDADKGNIFSGESRRIELPEGFEERVKGMGLVVRDWAPQPEILAHRATGGFMSHCGWNSCMESITLGVPMAAWPMHSDQPSNSFLVARILKTGLIVREWRERHEVVKSSGIENVVRRLMASEEGDEIRKRAQELGETIRKSAQEGGTSRMELDSFIAHITR >itb13g15550.t1 pep chromosome:ASM357664v1:13:22422717:22423811:1 gene:itb13g15550 transcript:itb13g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPQVLLDFNSKGLISLRTWSKYAFGQIAICIKCYHSKKCLMNGFSSMWIFFSFPLSIALSDEPFFNDQFRMPTANAL >itb07g01230.t1 pep chromosome:ASM357664v1:7:746196:750132:1 gene:itb07g01230 transcript:itb07g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKRLQGERFVTRRDELKEKVKDMLKNEEMKELEKMEMIDELQRLGCSYHFEEEIMAALMDIYMKKKKKSSNSKGLYATALEFRVLRQNGFNISQEKLTFVRDRVVENFFWGIGSNPNPKYVNYRRTVAKLNYFICTVDDIYDVYGTLDELRLFTDTIERWDDVTKVEHLPDYMRLCYLALNNFVNELAYDILKEHGIFILHHLRKSWADICKAYLQEAKWYHSGYTPTYQEYIQNAWISIGCPLILVHAFAYVNNPVEDAAALHCLTDYHQIIRLSSIITRLANDKGTSPDELKRGDVPKAIQCYMGEAKASINDARGFIDLEMNEIWKKMNKFRLEGGSPFSGTFIEVAMNVARMAQCMYQHGDGHGIKNLETQTRIQTLLFEPIPLINNIMP >itb01g34690.t1 pep chromosome:ASM357664v1:1:37239194:37242935:-1 gene:itb01g34690 transcript:itb01g34690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MELLLLVCSDSLPWYYYMLTFLTLSWLSWKALTINIRRKTPATAAVPPGNLGFPVIGETIQFMAAAANSQKGFYHFVQLRRLKYGICFKTSIFGETHVFVSSAEAAKAILGNEKGRFTKRYIKSIAELVGDQSLLCASIQQHKLLRPRFTHLFSTNSVSSMVPLFDELIVEAVGTWHHQGTVIVLHQALQITFKAICKMVLSMEDEEELQALQRDVGLVYGAMLAFPLRLPWTRFYNGLQARKGVTRKLGKIIKERRMEPRGKYDDFLQHLLLVKDNENDDDENNLLTDEQITDNILTMIIAGQDTTASAIAWMIKYLDENEEALNQLKIEQRLIQEKIPMKTFLTLEDLNHMPYALKVVKESLRMASIVPWFPRVALQDCQIEGYEIKKGWNVNVDAKSIHFDPHVYDDPNNFIPSRFDDDAKPYSFLAFGTGGRTCIGLNMAKAMMLVFLYRLVTTYEWEVIDKDSSVEKWTLFSRLRSGCPIHVTRISKSFTPSTP >itb15g18290.t1 pep chromosome:ASM357664v1:15:19759954:19760370:-1 gene:itb15g18290 transcript:itb15g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNRLIDRLRQQKINLHCTDKAVRFLAIMGFDPRFGAWPVKRVIQQMVENEVALRVLKGEFEEDDTIVVNLHINPPNAKHSPSASMLIINKFENVYAVEAAVVGTDDCRRIARAGKRKRQKVRKRMQRADEENKEKL >itb01g26690.t2 pep chromosome:ASM357664v1:1:31797365:31800658:-1 gene:itb01g26690 transcript:itb01g26690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEKSSQDDGVYPKKRAGMRRWFCCICRSEESYDTRETELLKSPAKNSDGRPRRSRVQAPAKPEVQKTIPVIEVPLYSLEKLKERTENFGSKALIGEGSYGRVYRASLDDGKAVAVKKLDMSSEPAPNTEFLIQVSLVSRMKHVNLIELLGYCVEGNQRVLVYEFATKGSLHDILHGRKGVQGSQPGPTLDWMQRVRIAVEAARGLEYLHEKVQPPVIHRDIRSSNILLFEDFSAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVHQCIDPKLEGECPPKAVAKMAAVAALCVQYESEFRPNMSIVVKALQPLLKSQVPHAPEM >itb01g26690.t1 pep chromosome:ASM357664v1:1:31797361:31800740:-1 gene:itb01g26690 transcript:itb01g26690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFHRRGLVSNAPSPGYFMPLEKSSQDDGVYPKKRAGMRRWFCCICRSEESYDTRETELLKSPAKNSDGRPRRSRVQAPAKPEVQKTIPVIEVPLYSLEKLKERTENFGSKALIGEGSYGRVYRASLDDGKAVAVKKLDMSSEPAPNTEFLIQVSLVSRMKHVNLIELLGYCVEGNQRVLVYEFATKGSLHDILHGRKGVQGSQPGPTLDWMQRVRIAVEAARGLEYLHEKVQPPVIHRDIRSSNILLFEDFSAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVHQCIDPKLEGECPPKAVAKMAAVAALCVQYESEFRPNMSIVVKALQPLLKSQVPHAPEM >itb12g08450.t2 pep chromosome:ASM357664v1:12:6635825:6641658:-1 gene:itb12g08450 transcript:itb12g08450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb12g08450.t6 pep chromosome:ASM357664v1:12:6635821:6641626:-1 gene:itb12g08450 transcript:itb12g08450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb12g08450.t3 pep chromosome:ASM357664v1:12:6635825:6641428:-1 gene:itb12g08450 transcript:itb12g08450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb12g08450.t7 pep chromosome:ASM357664v1:12:6635825:6641428:-1 gene:itb12g08450 transcript:itb12g08450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb12g08450.t4 pep chromosome:ASM357664v1:12:6635825:6641658:-1 gene:itb12g08450 transcript:itb12g08450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb12g08450.t5 pep chromosome:ASM357664v1:12:6635825:6641658:-1 gene:itb12g08450 transcript:itb12g08450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb12g08450.t1 pep chromosome:ASM357664v1:12:6635821:6641658:-1 gene:itb12g08450 transcript:itb12g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAIINLFGSIAINFGTNLLKLGHDEKEKYSMLDTEGTNGKAAMKPIIYSQTWRIGIIFFAVGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKTVTVKVMVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLTVALLHSIYRRGEQLLSVPGNDLKPYWQMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLYISSGYPLHSWLTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGMVSVFIGISLLAPDDSKGGEVKERPLVSDSSLSNDVGRLVMSSEDSQIKDMRSFKQVMLTRSASLIIKAKAACSLSLGLGDNSLHASSVLVMPMVSSKMNGFRGSGLDRAKLFSVRGQGWSKISVDEDGERILEATAMLPQSVGGSSSMVT >itb14g04700.t2 pep chromosome:ASM357664v1:14:4130660:4135578:-1 gene:itb14g04700 transcript:itb14g04700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MERGEIEESITVGLLRRTGTGGSGESRWVDGSEVDSESPPWSIHGDEGLREGYGSVRRRLVKKPKRVNSFDVEAMEIVGDHAHHKKDLSLAGTLALAFQTLGVVYGDVGTSPLYVFADVFSKVTITSEIDVLGALSIVIYTIALIPLMKYVFIVLKANDNGEGGTFALYSLICRYANVNLLPNRQPADEGISSFKLKLPTPELERALNIKDALERKSFLKTLLLLLVLTGTSMIIGDGILTPAMSVVSAVSGLQGKIPGFGTNALVITSIVILGALFSIQRFGSSKVGFTFAPALAIWFFSLGSIGIYNLFKYDVSVVRALNPAYIFLFFSKNSSKAWSALGGCVLCITGAEAMFADLGHFSVRSIQIAFTGVVFPCLLLAYLGQAAYLMKHPNSASTVFYSSVPDGFFWPIFVIATVAAIIASQAMISASFSCVKQAMALGCFPRLKVVHTSKRHMGQIYIPVINWFLMLMCMAVVAAFRSTTDIANAYGKLLTSTLPKSFFLSMNVFICIHKLVILTKRKTSEIGSRSLQTTLKD >itb14g04700.t1 pep chromosome:ASM357664v1:14:4130659:4135578:-1 gene:itb14g04700 transcript:itb14g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MERGEIEESITVGLLRRTGTGGSGESRWVDGSEVDSESPPWSIHGDEGLREGYGSVRRRLVKKPKRVNSFDVEAMEIVGDHAHHKKDLSLAGTLALAFQTLGVVYGDVGTSPLYVFADVFSKVTITSEIDVLGALSIVIYTIALIPLMKYVFIVLKANDNGEGGTFALYSLICRYANVNLLPNRQPADEGISSFKLKLPTPELERALNIKDALERKSFLKTLLLLLVLTGTSMIIGDGILTPAMSVVSAVSGLQGKIPGFGTNALVITSIVILGALFSIQRFGSSKVGFTFAPALAIWFFSLGSIGIYNLFKYDVSVVRALNPAYIFLFFSKNSSKAWSALGGCVLCITGAEAMFADLGHFSVRSIQIAFTGVVFPCLLLAYLGQAAYLMKHPNSASTVFYSSVPDGFFWPIFVIATVAAIIASQAMISASFSCVKQAMALGCFPRLKVVHTSKRHMGQIYIPVINWFLMLMCMAVVAAFRSTTDIANAYGIAEVGVMLVSTTMVTLVMVLIWQTNLFLALCFPLVFGSIELLYLSAVLSKILEGGWLPLVFASFFLSVMYVWNYGSVLKYQVEVKQKISMDFVYDLGSTLGTVRVPGIGLLYNELVQGIPSVFMQFLLDLPAIHSIIVFVCIKYVPVPVVPQEERFLFRRVGPKDYHMFRCVARYGYKDVRKEDHQAFEQLLVDSLEKFLRKEAQDLALESVSSVTRQTDDVASTRSSDAGDGSGIEGLKVPLIQQDEGSEEGVAADDESALLLPASAMSADEDPSLEYELSALREATESGFTYLLGHADVRAKKSSWFIKKLSINYFYSFLRRNCRGGAATMRVPHMNIIQVGKTYMV >itb14g04700.t3 pep chromosome:ASM357664v1:14:4130659:4134027:-1 gene:itb14g04700 transcript:itb14g04700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MNLMAGGTFALYSLICRYANVNLLPNRQPADEGISSFKLKLPTPELERALNIKDALERKSFLKTLLLLLVLTGTSMIIGDGILTPAMSVVSAVSGLQGKIPGFGTNALVITSIVILGALFSIQRFGSSKVGFTFAPALAIWFFSLGSIGIYNLFKYDVSVVRALNPAYIFLFFSKNSSKAWSALGGCVLCITGAEAMFADLGHFSVRSIQIAFTGVVFPCLLLAYLGQAAYLMKHPNSASTVFYSSVPDGFFWPIFVIATVAAIIASQAMISASFSCVKQAMALGCFPRLKVVHTSKRHMGQIYIPVINWFLMLMCMAVVAAFRSTTDIANAYGIAEVGVMLVSTTMVTLVMVLIWQTNLFLALCFPLVFGSIELLYLSAVLSKILEGGWLPLVFASFFLSVMYVWNYGSVLKYQVEVKQKISMDFVYDLGSTLGTVRVPGIGLLYNELVQGIPSVFMQFLLDLPAIHSIIVFVCIKYVPVPVVPQEERFLFRRVGPKDYHMFRCVARYGYKDVRKEDHQAFEQLLVDSLEKFLRKEAQDLALESVSSVTRQTDDVASTRSSDAGDGSGIEGLKVPLIQQDEGSEEGVAADDESALLLPASAMSADEDPSLEYELSALREATESGFTYLLGHADVRAKKSSWFIKKLSINYFYSFLRRNCRGGAATMRVPHMNIIQVGKTYMV >itb01g11690.t1 pep chromosome:ASM357664v1:1:10773485:10775903:1 gene:itb01g11690 transcript:itb01g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGESEANVREIFYKARGSVPCVLFFDELDSIATQAASGTWEISEAVLRRKLEKEAVLQKAIDLHSRRLMDLQLMDVKNKEKYNHFQCSSSPVIPVSCQSNSQSPNYQVTEENSSHEASSAGQENKSGSEDSGKKQRCNSPELHKRFVYANEVLKI >itb08g09900.t1 pep chromosome:ASM357664v1:8:9284144:9300902:-1 gene:itb08g09900 transcript:itb08g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MASPAGFVRDTSVVVVTLESTEVYIVVSLYSRSDTQVIYVDPTTGALQFNAKFGYDVFNSQDEALNFITNGSKWRCKSLTYARALLGYAALGSFGLLLVATKVLASIPDLPGGGCVYTVTESQWIKVSLQNSQPVGKGEFKNVQELSDLDIDGKHYFCETRDITRPFPSHMTSQTPDEEFVWNKWFSMPFKKIGLPQHCVILLQGFVDCRGFGSLGQQEGLVALIARRSRLHPGTRYLARGLNSCYSTGNEVECEQIVWIPRKASQSVPFNTYVWRRGTIPIWWGAELKMTAAEAEIYVSDRDPYKGSAQYYQRLSKRYDARNLDVSGNQKKSALVPIVCVNLLRNGEGKAECILVQHFEESMNYIRSTGKLPHTRVHLINYDWHASVKLKGEQQTIEGLWYLLKSPTVSIGISEGDYLPSRQRIKDCKGEIICNNDFDGAFCLRSHQNGVIRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDLAYGYQSYNNYGGYTAPLPPGWEKRADAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILNPISQLSDLFLVAGDIHATLYTGSKAMHSQILSIFNEDAGKFKQFSAAQNVKITLQRRYKNAVVDSSRQKQLEIFLGLRLFKHLPSTVIQPLHVPSRPTGCFLKPIANLFPSADGGNDLLSFKRKGLTWVCPQAADAVELLIFLSEPCHVCQLLLTVVHGTDDSTFPATVDVRTGRYLDGLKLVVEGASIPKCANGTNISVPISGPISTEDMAITGAGSRLHAQDTSNLPLLYDFEELEGELDFLTRVVALTFYPAMEGKGPTTLGEIEILGVPLPWRFIFNGEGPGLRLSDDPNPRCEETNPFMSGLETNPFAANLSNDKVVPTTVADTSTNLWVDLLTGESQVPDSNPMPAGGTVLHEGGDLLDFLDDAVTQPQHGGNDGSKVISLEGQATNCTRLYTDCLKQLEGHNMERKLSFMEAMKLEIVRFRQNLSAAERDRALLSIGVDPASINPNLLLNDSEMGRLCGVANALALLGQASLEDKLTAGIGLDITDESAIDFWNITGIGESCLGGKCQVHAEGGPAALASATSSSLPSQAFYLCSVCERNVCKVCCAGKGALMIGKEVSSYNGLGSQTGSLHGNSTDVPSNRSTSLDGVICKSCCHDVVLKALMLDYIRVLISERRRARADEAARKAVNHVFSMNCLGRRHPSSDSQVSTKVSRQLMNEEESLAEFPFASFLYPVETAAGSAPFMSLLAPINSGSRDSYWKAPSSTSAVEFVIVLSQLSDVSGVLLLISSCGYSMSDAPIVQIWTSNKIETEERSCVGKWDVRSMITSELCGSENSSEVPRHVKFSFQNPVRCRIIWITLRLQRIGSSSVNLDRDINLLSLDENPFSELTRRASFGGAAETDPCLHAKRILVLGNALKRDAGISSEVPDQINTTNWLDRGPQLNRYKVPIEAERLMDNDLVLEQFLSPASPMLAGFRLDGFSAIKPRITHSPSSDFSSQNDYFCLLEDRFICPAVLYIQVSAFQEPHSMVNVAEYRLPEVKAGTPMYFDFPRQISTRRISFRLLGDIAAFTDDQTEQDDSDIRTRPVAAGLSLGNRIKVYYYADPYEVGKWASLSAV >itb08g09900.t2 pep chromosome:ASM357664v1:8:9284144:9300902:-1 gene:itb08g09900 transcript:itb08g09900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MASPAGFVRDTSVVVVTLESTEVYIVVSLYSRSDTQVIYVDPTTGALQFNAKFGYDVFNSQDEALNFITNGSKWRCKSLTYARALLGYAALGSFGLLLVATKVLASIPDLPGGGCVYTVTESQWIKVSLQNSQPVGKGEFKNVQELSDLDIDGKHYFCETRDITRPFPSHMTSQTPDEEFVWNKWFSMPFKKIGLPQHCVILLQGFVDCRGFGSLGQQEGLVALIARRSRLHPGTRYLARGLNSCYSTGNEVECEQIVWIPRKASQSVPFNTYVWRRGTIPIWWGAELKMTAAEAEIYVSDRDPYKGSAQYYQRLSKRYDARNLDVSGNQKKSALVPIVCVNLLRNGEGKAECILVQHFEESMNYIRSTGKLPHTRVHLINYDWHASVKLKGEQQTIEGLWYLLKSPTVSIGISEGDYLPSRQRIKDCKGEIICNNDFDGAFCLRSHQNGVIRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDLAYGYQSYNNYGGYTAPLPPGWEKRADAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILNPISQLSDLFLVAGDIHATLYTGSKAMHSQILSIFNEDAGKFKQFSAAQNVKITLQRRYKNAVVDSSRQKQLEIFLGLRLFKHLPSTVIQPLHVPSRPTGCFLKPIANLFPSADGGNDLLSFKRKGLTWVCPQAADAVELLIFLSEPCHVCQLLLTVVHGTDDSTFPATVDVRTGRYLDGLKLVVEGASIPKCANGTNISVPISGPISTEDMAITGAGSRLHAQDTSNLPLLYDFEELEGELDFLTRVVALTFYPAMEGKGPTTLGEIEILGVPLPWRFIFNGEGPGLRLSDDPNPRCEETNPFMSGLETNPFAANLSNDKVVPTTVADTSTNLWVDLLTGESQVPDSNPMPAGGTVLHEGGDLLDFLDDAVTQPQHGGNDGSKVISLEGQATNCTRLYTDCLKQLEGHNMERKLSFMEAMKLEIVRFRQNLSAAERDRALLSIGVDPASINPNLLLNDSEMGRLCGVANALALLGQASLEDKLTAGIGLDITDESAIDFWNITGIGESCLGGKCQVHAEGGPAALASATSSSLPSQAFYLCSVCERNVCKVCCAGKGALMIGKEVSSYNGLGSQTGSLHGNSTDVPSNRSTSLDGVICKSCCHDVVLKALMLDYIRVLISERRRARADEAARKAVNHVFSMNCLGRRHPSSDSQVSTKVSRQLMNEEESLAEFPFASFLYPVETAAGSAPFMSLLAPINSGSRDSYWKAPSSTSAVEFVIVLSQLSDVSGVLLLISSCGYSMSDAPIVQIWTSNKIETEERSCVGKWDVRSMITSELCGSENSSEVPRHVKFSFQNPVRCRIIWITLRLQRIGSSSVNLDRDINLLSLDENPFSELTRRASFGGAAETDPCLHAKRILVLGNALKRDAGISSEVPDQINTTNWLDRGPQLNRYKVPIEAERLMDNDLVLEQFLSPASPMLAGFRLDGFSAIKPRITHSPSSDFSSQNDYFCLLEDRFICPAVLYIQVSAFQEPHSMVNVAEYRLPEVKAGTPMYFDFPRQISTRRISFRLLGDIAAFTDDQTEQDDSDIRTRPVAAGLSLGNRIKVYYYADPYEVGKWASLSAV >itb08g09900.t3 pep chromosome:ASM357664v1:8:9284253:9300708:-1 gene:itb08g09900 transcript:itb08g09900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MASPAGFVRDTSVVVVTLESTEVYIVVSLYSRSDTQVIYVDPTTGALQFNAKFGYDVFNSQDEALNFITNGSKWRCKSLTYARALLGYAALGSFGLLLVATKVLASIPDLPGGGCVYTVTESQWIKVSLQNSQPVGKGEFKNVQELSDLDIDGKHYFCETRDITRPFPSHMTSQTPDEEFVWNKWFSMPFKKIGLPQHCVILLQGFVDCRGFGSLGQQEGLVALIARRSRLHPGTRYLARGLNSCYSTGNEVECEQIVWIPRKASQSVPFNTYVWRRGTIPIWWGAELKMTAAEAEIYVSDRDPYKGSAQYYQRLSKRYDARNLDVSGNQKKSALVPIVCVNLLRNGEGKAECILVQHFEESMNYIRSTGKLPHTRVHLINYDWHASVKLKGEQQTIEGLWYLLKSPTVSIGISEGDYLPSRQRIKDCKGEIICNNDFDGAFCLRSHQNGVIRFNCADSLDRTNAASFFGALQVFVEQCRRLGISLDSDLAYGYQSYNNYGGYTAPLPPGWEKRADAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILNPISQLSDLFLVAGDIHATLYTGSKAMHSQILSIFNEDAGKFKQFSAAQNVKITLQRRYKNAVVDSSRQKQLEIFLGLRLFKHLPSTVIQPLHVPSRPTGCFLKPIANLFPSADGGNDLLSFKRKGLTWVCPQAADAVELLIFLSEPCHVCQLLLTVVHGTDDSTFPATVDVRTGRYLDGLKLVVEGASIPKCANGTNISVPISGPISTEDMAITGAGSRLHAQDTSNLPLLYDFEELEGELDFLTRVVALTFYPAMEGKGPTTLGEIEILGVPLPWRFIFNGEGPGLRLSDDPNPRCEETNPFMSGLETNPFAANLSNDKVVPTTVADTSTNLWVDLLTGESQVPDSNPMPAGGTVLHEGGDLLDFLDDAVTQPQHGGNDGSKVISLEGQATNCTRLYTDCLKQLEGHNMERKLSFMEAMKLEIVRFRQNLSAAERDRALLSIGVDPASINPNLLLNDSEMGRLCGVANALALLGQASLEDKLTAGIGLDITDESAIDFWNITGIGESCLGGKCQVHAEGGPAALASATSSSLPSQAFYLCSVCERNVCKVCCAGKGALMIGKEVSSYNGLGSQTGSLHGNSTDVPSNRSTSLDGVICKSCCHDVVLKALMLDYIRVLISERRRARADEAARKAVNHVFSMNCLGRRHPSSDSQVSTKVSRQLMNEEESLAEFPFASFLYPVETAAGSAPFMSLLAPINSGSRDSYWKAPSSTSAVEFVIVLSQLSDVSGVLLLISSCGYSMSDAPIVQIWTSNKIETEERSCVGKWDVRSMITSELCGSENSSEVPRHVKFSFQNPVRCRIIWITLRLQRIGSSSVNLDRDINLLSLDENPFSELTRRASFGGAAETDPCLHAKRILVLGNALKRDAGISSEVPDQINTTNWLDRGPQLNRYKVPIEAERLMDNDLVLEQFLSPASPMLAGFRLDGFSAIKPRITHSPSSDFSSQNDYFCLLEDRFICPAVLYIQVSAFQEPHSMVNVAEYRLPEVKAGTPMYFDFPRQISTRRISFRLLGDIAAFTDDQTEQDDSDIRTRPVAAGLSLGNRIKVYYYADPYEVGKWASLSAV >itb13g07370.t1 pep chromosome:ASM357664v1:13:9158779:9160006:-1 gene:itb13g07370 transcript:itb13g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLALRRATTASSALFNRLVNPVRHASAAPSVFRSFNTNTQMKEYDDDDRSVDFDRRSDRSLSRRRDAFPSFFSDVLDPLSPTRSVSQLLNMMDQFMDNPLAWKTRGLSPYTVREDDDVLCIKMDMPGLDKDNVKIRVEQNTLVIKGEAEAEEKEDDADQYARRRYSTRLEIPPNLYKLDAIKAEMKNGVLKVAIPKVKEEERKDGFQVKID >itb07g08920.t1 pep chromosome:ASM357664v1:7:7545786:7551058:-1 gene:itb07g08920 transcript:itb07g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKKNQSNGFFASMTSGLSMFSSAIHRSVNGLIGYEGLEVINPEGGKEDAEEEALKGRWKQEERDSYWRMMQKYIGADVTSMVTLPVLIFEPMTMLQKMAELMEYSHLLDRADECDDPYMRMVYATSWAISVYYAFQRTWKPFNPILGETYEMVNHGEVTFISEQVSHHPPMSAGHAENEHFAYDVTSKLKTKFLGNSLDIYPVGRTRVTLKRDGVVLDLVPPSTKVNNLIFGRTWVDNPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSYQPCDLEGEPLPGTELKEVWRLAPVPEKDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRAALEKGDLSKSGSEKSSLEERQRAEKRIREAKGHQFTPRWFDITDEMTPTPWGDLEICQYNGKYAEHRAAIDASNSIDIGDVRSAEFNPWQYGNVSEE >itb04g26890.t1 pep chromosome:ASM357664v1:4:31056634:31058158:1 gene:itb04g26890 transcript:itb04g26890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGRMKKVTDPLDDRVKARIVGRDPGEPGYFSSGSEHSGDGYGEESSPSFSDLLFSFADVDGGDGLPESGSDEEGDLETGQAVEDAVGAMMMSNDSDLFRQVLAVNVSKAVEMYACLNSSKQILRRRVMGFLRGLGYNAAVCKTKWEGSGGLTAGNHEFIDVIRPDAARYLIDLDFAAEFQIARPTKHYERLVQSMPRIFVGKSEQLKQILKAVSDGARRSLKSRGLHIPPWRKHRFMLNKWVGSYKRTTNPLPSGNPPPLLPPSLGIKCRSIGFDAAVNGRLLYPAVTRTR >itb02g07460.t2 pep chromosome:ASM357664v1:2:4618517:4620960:-1 gene:itb02g07460 transcript:itb02g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSINFHSGRIFSDRRWKIPFFLSLLVSITLFMATISGIYTSSYGREQVEFDIKTFSEPEDSGEYFVDSELRSSMQNGVLKTEPPRFAYLISGTKGDSQRLLRTLQAVYHPRNQYILHMDLEAPPRERLNLTMTVKNDPTFRQVLNVRVMEQSNLVTYKGPTMIATTLQAIAIMLKESSDWDWFINLSASDYPLVTQDDLLHVFSNLSRDLNFIEHMQLYGWKLNQRARPIVVDPGLYLSKKSDLSTTSQRRSLPTYFKLFTGSAWVILTRSFLEYCIWGWDNLPRTLLMYYSNFVSSPEGYFHTTICNTDEFRSTAINHDLHYIAWDYPPKQHPLLLTLKDFDKMVNSSAAFARKFRRDDPVLDKIDQELLGRTNRFAPGAWCVGSEEDGGDPCSVLGDDSVFRPGPGAKRLEGLMQKLLSEDFRSKQCLAKN >itb02g07460.t1 pep chromosome:ASM357664v1:2:4618517:4621760:-1 gene:itb02g07460 transcript:itb02g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSINFHSGRIFSDRRWKIPFFLSLLVSITLFMATISGIYTSSYGREQVEFDIKTFSEPEDSGEYFVDSELRSSMQNGVLKTEPPRFAYLISGTKGDSQRLLRTLQAVYHPRNQYILHMDLEAPPRERLNLTMTVKNDPTFRQVLNVRVMEQSNLVTYKGPTMIATTLQAIAIMLKESSDWDWFINLSASDYPLVTQDDLLHVFSNLSRDLNFIEHMQLYGWKLNQRARPIVVDPGLYLSKKSDLSTTSQRRSLPTYFKLFTGSAWVILTRSFLEYCIWGWDNLPRTLLMYYSNFVSSPEGYFHTTICNTDEFRSTAINHDLHYIAWDYPPKQHPLLLTLKDFDKMVNSSAAFARKFRRDDPVLDKIDQELLGRTNRFAPGAWCVGSEEDGGDPCSVLGDDSVFRPGPGAKRLEGLMQKLLSEDFRSKQCLAKN >itb08g12510.t1 pep chromosome:ASM357664v1:8:12655245:12658240:1 gene:itb08g12510 transcript:itb08g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMIRFPSSLFSLYPSSSSFLKSASFPPSSHFLFQIPSKLTWNIPPIKGLVLNRGKNCFSTLSASLTQNGNAFKAPSFQGSESFFRSVLASMEAIYLNKNPSAKAILELVGSADGDRICYDHFAFRTFGVNGHGIDSMAKFFLDFGYEKREELRFPAKKLKAFWFSPPKLLHSENGTRVSGPLPRIFISELLVDQMSPGAQEIIRKYTNISGNGYGHAALASALDCLTWEKPSFEEFQKLSRESEYAAWTLVNGYALNHVTISTHRLKSNLASIDSFNRFIEENGFKLNSEGGILKVSPDGLLLQSSTVADSAPFQFSDGTTELVPCSYIEFAERLVLPEYKDLPREEIKEFHRRDGFEVGNADKIFESTSRDQLTRKAA >itb12g11090.t1 pep chromosome:ASM357664v1:12:9216483:9219726:1 gene:itb12g11090 transcript:itb12g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVRPQIVLFGSSIVQLSYSHGGWGAILSDLYSRKADILVRGYGGWNSRCALQVLQQVFPKDAAVQPSLVIVYFGGNDSLRPHPNGQNAHVPLPEYVENMRKIAIHLKSLSEKTRVIFLSAPPVNEAQILDRYGRHSNRTNELCHKYSEACIELCHELDVKVVDLWTALQQREDWMTACFLDGIHLSSEGSKIVVKEILKVVKEAEWKPSLHWRSMASEFWECLPFIPAAHDSNGNGNKNLPVDEPVGSWQTQWL >itb12g11090.t2 pep chromosome:ASM357664v1:12:9216483:9219726:1 gene:itb12g11090 transcript:itb12g11090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVRPQIVLFGSSIVQLSYSHGGWGAILSDLYSRKADILVRGYGGWNSRCALQVLQQVFPKDAAVQPSLVIVYFGGNDSLRPHPNGQNAHVPLPEYVENMRKIAIHLKSLSEKTRVIFLSAPPVNEAQILDRYGHSNRTNELCHKYSEACIELCHELDVKVVDLWTALQQREDWMTACFLDGIHLSSEGSKIVVKEILKVVKEAEWKPSLHWRSMASEFWECLPFIPAAHDSNGNGNKNLPVDEPVGSWQTQWL >itb04g11950.t2 pep chromosome:ASM357664v1:4:11561760:11570525:-1 gene:itb04g11950 transcript:itb04g11950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIAQTDVEGIDGIRMTWNAWPRTKVEASKCVIPIAASIHLIRPNPDLLTLQYAPLSCKTCAAVFNPYARVDFQALIWICPFCFQRNNFPHHYSTISETNAPAELYPQFSTIQYLLPQNPSANSPVASQPVFLFVLDTCMLEEELGFAKSALKRAIGLLPDNAMVGFISYGTQVQVHELGFSDMAKVYLFQGSKELSKDQVLEQLALGSTGGRRTAAGGPIGVPSPGITRFLLPASECEYTLDSLLDELVTDMWPVPPGNRALRCTGAALSVASGLLSACLAGAGARIVALVGGPCTEGPGAIVSKDLSDPVRSHKDIDKDAAPYYKKALHFYQELTKQLVSQGHVLDIFASALDQVGVAEMKVAIEKTGGLVVLAESFGHPVFKDSFKRVFDNGVQSLGLSFNGRLEINCSKDIKVQGIIGPCTTLEKKGPAVANTVIGEGNTIAWKICGLDKTTCFTVFFDISSSEKPDPSGGTNSQLYIQFLTSYQSSDGQTKLRVTTISRRWVDTTVNSEELVQGFDQETAAVVMARLASYKMEMEEGFDATRWLDRNLIRLCSKFGDYRKDDPTSFTLNPCFSLFPQFMFHLRRSQFLQVI >itb04g11950.t1 pep chromosome:ASM357664v1:4:11561757:11570525:-1 gene:itb04g11950 transcript:itb04g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIAQTDVEGIDGIRMTWNAWPRTKVEASKCVIPIAASIHLIRPNPDLLTLQYAPLSCKTCAAVFNPYARVDFQALIWICPFCFQRNNFPHHYSTISETNAPAELYPQFSTIQYLLPQNPSANSPVASQPVFLFVLDTCMLEEELGFAKSALKRAIGLLPDNAMVGFISYGTQVQVHELGFSDMAKVYLFQGSKELSKDQVLEQLALGSTGGRRTAAGGPIGVPSPGITRFLLPASECEYTLDSLLDELVTDMWPVPPGNRALRCTGAALSVASGLLSACLAGAGARIVALVGGPCTEGPGAIVSKDLSDPVRSHKDIDKDAAPYYKKALHFYQELTKQLVSQGHVLDIFASALDQVGVAEMKVAIEKTGGLVVLAESFGHPVFKDSFKRVFDNGVQSLGLSFNGRLEINCSKDIKVQGIIGPCTTLEKKGPAVANTVIGEGNTIAWKICGLDKTTCFTVFFDISSSEKPDPSGGTNSQLYIQFLTSYQSSDGQTKLRVTTISRRWVDTTVNSEELVQGFDQETAAVVMARLASYKMEMEEGFDATRWLDRNLIRLCSKFGDYRKDDPTSFTLNPCFSLFPQFMFHLRRSQFLQVFNNSPDETAYFRMLLNRENISNAAVMIQPTLTSFSFNSPPFPALLDVASIAADRILLLDSYFSTVIFHGMTIAQWRNMGYHKQPEHEAFAQLLQAPHDEAQSLIQERFPIPRLVVCDQHGSQARFLLAKLNPSATYNSAHDMSAGTDVIFTDDVSLQVFFEHLQRLAVQSS >itb13g25500.t1 pep chromosome:ASM357664v1:13:30864894:30866294:-1 gene:itb13g25500 transcript:itb13g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSETNVSNSPSLLSQLLQGNSYTEEFKELLNSLPKETGWLAPDLYNYKGFWLEPFFLQGALTSQQHFQAQDSDVILSTFPKSGTVWLKALAFALITRKQFLASQESEKSHPLHTNNPHELIPYLEFSYAVKERPDFALAKGSRLLATHLPLSLFPKSVWESKCKVIYLCRNPKDTVVSFWHFMNKLRRELRGLEALPFPEAFDSYCRGVSNTGPFWDHVLEHWKESLENPRKVLFFKYEEMKKEPEDHLRRMAAFLGCPFSQEEEESGVVSGILKLCSFESLSNLEVNKNAINGGLGVENGVLFRKGKVGDWRNYLTDKMAKTLDQIVQEKFTGTGLML >itb09g27120.t1 pep chromosome:ASM357664v1:9:27577163:27579515:1 gene:itb09g27120 transcript:itb09g27120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKCWLDTYLGPLVVSQLQPGKYSVAHGFVPSTLLQVAVKNNQQPVWYFNDKISENDKMIMRSTFLENANQDVLCCIFPRGISFLIELTATIGSPALKCAIKTPNPEMAPLFFQSFEPLLKS >itb10g05160.t1 pep chromosome:ASM357664v1:10:5218355:5224479:-1 gene:itb10g05160 transcript:itb10g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMFSSNLLRRICCRSLPSLLGTIVVLWFCSSQVLVAMAAAGTKVGYLPRVIQEQPLPFELETGYIGVGESEDIQLFYYFVKSESNPSDDPIVVWVSGGPGCSSFFAMTQEIGPLIMDLPKNVSVLPTFSLNPYSWTKVASFVYLDLPVGTGFSYAKSAKNYTSDTLEASNHGAEFIRKWLIDYPEYQSNSFYVGGDSYSGTLIPMFAQAISNGNDAMLKPAINFKGYLLGNGVTHFSEHDYTYQFALGYGLISEEFAEKSYDDCIRNPDKKPFFTKCQLDMVQFLMLTFVNNAAYILDTSCLAKNGAEDVISGKAFVPTKRFNGVNANIFNRYLLCRSDLIAAQYVNVESVQEALHVKKGSIDHWEQCRGDLPYNNNVRDSMQYHANLSTKGYRSLIYNGDRDLFIPSLSAEAWTKSLNYSIIDDWRPWFVNNQIVGYTRTFSNNMTYAKIKGSGHLAPSVTPLQCFAMFKRWISYEKL >itb03g20780.t1 pep chromosome:ASM357664v1:3:18618449:18620684:-1 gene:itb03g20780 transcript:itb03g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTAKDEPDYDSSCSSATVPDSSRSWMSNLSFSSRRSSISLCSSNESAYYSNCHKPHKANQAAWEAMKRLRADKGGQVRLDHFRLIRRVGSGDIGNVYLCQIRNPVVGLPPCFYAVKVVDREALAIRKKLQRAEMEKEILAMLDHPFLPTLYAEFDASHYSCLVMEFCPGGDLHAARQRQPGKRFSLSSAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCEVVPRLIKSKVSPHQSATDTTNACSSPSCSLPIRPVLSCFSAAKITTKTIREHADGAGAGAGADHDGEVEKNECEYYNQELVAEPINARSKSFVGTHEYLAPEVISGQGHGGAVDWWTLGVFLYELVYGTTPFKGENNEKTLINILKKPLAFPRMGFSSSKEYEEMIKVQDLISRLLTKNPKKRIGSVRGSVEIKKHEFFKGVNWALIRSVRPPEVPSESRHKQILGRSRSGAAAVIPKLMSKKEREEPYQIPHYFDYF >itb03g20710.t1 pep chromosome:ASM357664v1:3:18563137:18564895:-1 gene:itb03g20710 transcript:itb03g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDPPPPSQSFVSLPYSGGDMGGFWPPLQMNQEHIELYSQFDNEPPLKRSRNSEINPLVSSNAQNPKVNPPNLPGKGSNHIFYKTRMCVKFMEGNCRNGEHCTFAHGAEDLREPPPNWQDIVREKDKDRGAGNWNNDQKVIHRMKICKKFYNGEECPYGERCNFLHERSPQFKNDMAREQRESSAISIGTTGSMLGRRSDSDQFEINQHASVDSDAYRIKPTFWKTKICSKWEITGQCPFGERCHFAHGHSELKAPTGRTEMETTTNFAPAPIKPLAPAVDPSPANAVPCVPVKEQQQQPEEGKKFLKWKPTKKICPIYADWIEDLVPPHLLCRKAEDEF >itb06g12370.t1 pep chromosome:ASM357664v1:6:16983795:16986823:1 gene:itb06g12370 transcript:itb06g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNKEERRTIAPEHVLKALEVLGFGDYIEEVYAAYEQHRLETMDTVRAGKWNNVAEMTEEEALAEQQRMFAEARTRMNNGIVVPKQSDPEPEHK >itb07g03850.t1 pep chromosome:ASM357664v1:7:2601110:2603064:-1 gene:itb07g03850 transcript:itb07g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWFWSQIMGGSEIYDNDEWELAYGRTLVLVGKTGNGKSATGNSILGTSAFKSMKSLAGVTSTCELQRTVLDDGQIINVIDTPGLFDFSGGSEFIGKEIVRCINMAKDGIHAVLVVFSARARFSREEAAAVQRLCDFFGSKLTDYMIAVFTGGDDFDENEETLDDYLGRDCPGPLKEVLKMCGNRKVLFDNKTTDASKKAKQLRELLVLVNMVVEQNNGIPYTDEIFSHLRDGAIRLRNETAEVESSKGYTKQDLSLLKDQMHKSYEEQLKRIAEMVESKLKETTNRLEEQLLKEHTTRLQAEASAREALERSSDEIRILRENLERAQREAEELSKEHSAQVREAQERSNDEINKLRENPKGD >itb06g01190.t1 pep chromosome:ASM357664v1:6:2485737:2489100:1 gene:itb06g01190 transcript:itb06g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDGSFVVTNSNVFAALDSLRKKKKSDKEKGSSKKKTTAEAAKESQIIWSPTPLKVKSWADVDDDDDYFATTEPPQSSWGLNLPEKKSEPVEESESEEDLLGEGDDDVEEEHDHDHEPEVPEHSEPVLSKAVVTSPAPKEAERQLSKKERRKKELAELEALLADFGVSQNEKVAEEPSDAAQEKKEGQQDGELEKKDGGAAESKSAKKKKKKDKSLKEVKESQDQPNSLDAPNAPEDNAETEQAEEESAVNVKEKLKKVASAKKKKSSKETDAAAKAAAMEAAARNARLAAAKKKEKSHYNQQPTR >itb06g01190.t3 pep chromosome:ASM357664v1:6:2485737:2489080:1 gene:itb06g01190 transcript:itb06g01190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDGSFVVTNSNVFAALDSLRKKKKSDKEKGSSKKKTTAEAAKESQIIWSPTPLKVKSWADVDDDDDYFATTEPPQSSWGLNLPEKKSEPVEESESEEDLLGEGDDDVEEEHDHDHEPEVPEHSEPVLSKAVVTSPAPKEAERQLSKKERRKKELAELEALLADFGVSQNEKVAEEPSDAAQEKKEGQQDGELEKKDGGAAESKSAKKKKKKDKSLKEVKESQDQPNSLDAPNAPEDNAETEQAEEESAVNVKEKLKKVASAKKKKSSKETDAAAKAAAMEAAARNARLAAAKKKEKSHYNQQPTR >itb06g01190.t2 pep chromosome:ASM357664v1:6:2485737:2489100:1 gene:itb06g01190 transcript:itb06g01190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDGSFVVTNSNVFAALDSLRKKKKSDKEKGSSKKKTTAEAAKESQIIWSPTPLKVKSWADVDDDDDYFATTEPPQSSWGLNLPEKKSEPVEESESEEDLLGEGDDDVEEEHDHDHEPEVPEHSEPVLSKAVVTSPAPKEAERQLSKKERRKKELAELEALLADFGVSQNEKVAEEPSDAAQEKKEGQQDGELEKKDGGAAESKSAKKKKKKDKSLKEVKESQDQPNSLDAPNAPEDNAETEQAEEESAVNVKEKLKKVASAKKKKSSKETDAAAKAAAMEAAARNARLAAAKKKEKSHYNQQPTR >itb12g04270.t1 pep chromosome:ASM357664v1:12:2781540:2782074:-1 gene:itb12g04270 transcript:itb12g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVVGGVRLILGDLQSRLFLDPCLPIPPSKSITAVHTFLAIRATSTRSLPLTAAGAPCPPKGVPLPTSYSSPAEVPIPFSPFLFPWFLL >itb13g03570.t1 pep chromosome:ASM357664v1:13:3422165:3422719:1 gene:itb13g03570 transcript:itb13g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFLMWLSKKLVKSAKLLVPRNTSHIALVFSIQPQYTYRSEQQKRMSTVLKIIGLGYKNEADGDAYGDDGYNYAPATCLEGDGDDDDGDYDYAPAA >itb06g20610.t1 pep chromosome:ASM357664v1:6:23539066:23541215:-1 gene:itb06g20610 transcript:itb06g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGEGSGLVQASYLQGIFEKVKKNGQLDDEEARMSHPRDVNSIHVGSGTNIQDNSLVLVEESELCGKGLPTIIGDNVTIGHSAVIHACTVDDEAFVGMGAILLDDVHVEKHAMVAAGALVKQGTKVPSGEVWAGNPAKFLRKLTAEEIAFITQSATNYSNLARVHAAENSKSFDEIEFEKMLRKKYARRDEDYDSMIGVVRETPSELVLPDSILPDKAKSAN >itb02g13620.t4 pep chromosome:ASM357664v1:2:9799788:9804843:-1 gene:itb02g13620 transcript:itb02g13620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVLEVKEKLSQYDNLPVGKNGRVDDEMILWFLKDRKFSVEDAVSKLTKAIRWRHEFGVSNLSEESVKSTAETGKAYLHDNFDVYGRPVLIVEASKHFPQDPYEDEKLCVFLIEKAISRFPAGTENILAIIDLRGFGTRNADIKFLTFLFDVFYYYYPRRLGEVLFVEAPFIFQPVWQLAKPMLKSYASLVRFCSVAEVRKEYFTEETIPASFRR >itb02g13620.t2 pep chromosome:ASM357664v1:2:9799662:9804858:-1 gene:itb02g13620 transcript:itb02g13620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLGLQPKSHATLLFVTTAPMLPRKRSGFRRLCIRNCVAMDPKETRKLVLEVKEKLSQYDNLPVGKNGRVDDEMILWFLKDRKFSVEDAVSKLTKAIRWRHEFGVSNLSEESVKSTAETGKAYLHDNFDVYGRPVLIVEASKHFPQDPYEDEKLCVFLIEKAISRFPAGTENILAIIDLRGFGTRNADIKFLTFLFDVFYYYYPRRLGEVLFVEAPFIFQPVWQLAKPMLKSYASLVRFCSVAEVRKEYFTEETIPASFRR >itb02g13620.t6 pep chromosome:ASM357664v1:2:9800861:9804835:-1 gene:itb02g13620 transcript:itb02g13620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLGLQPKSHATLLFVTTAPMLPRKRSGFRRLCIRNCVAMDPKETRKLVLEVKEKLSQYDNLPVGKNGRVDDEMILWFLKDRKFSVEDAVSKLTKAIRWRHEFGVSNLSEESVKSTAETGKAYLHDNFDVYGRPVLIVEASKHFPQDPYEDEKLCVFLIEKAISRFPAGTENILAIIDLRGFGTRNADIKFLTFLFDVFYYYYPRRLGEVLFVEAPFIFQPVWQLAKPMLKSYASLVSNTIEPHHSSPFTNTCILTE >itb02g13620.t1 pep chromosome:ASM357664v1:2:9799662:9804858:-1 gene:itb02g13620 transcript:itb02g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLGLQPKSHATLLFVTTAPMLPRKRSGFRRLCIRNCVAMDPKETRKLVLEVKEKLSQYDNLPVGKNGRVDDEMILWFLKDRKFSVEDAVSKLTKAIRWRHEFGVSNLSEESVKSTAETGKAYLHDNFDVYGRPVLIVEASKHFPQDPYEDEKLCVFLIEKAISRFPAGTENILAIIDLRGFGTRNADIKFLTFLFDVFYYYYPRRLGEVLFVEAPFIFQPVWQLAKPMLKSYASLVRFCSVAEVRKEYFTEETIPASFRR >itb02g13620.t5 pep chromosome:ASM357664v1:2:9800861:9804835:-1 gene:itb02g13620 transcript:itb02g13620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVLEVKEKLSQYDNLPVGKNGRVDDEMILWFLKDRKFSVEDAVSKLTKAIRWRHEFGVSNLSEESVKSTAETGKAYLHDNFDVYGRPVLIVEASKHFPQDPYEDEKLCVFLIEKAISRFPAGTENILAIIDLRGFGTRNADIKFLTFLFDVFYYYYPRRLGEVLFVEAPFIFQPVWQLAKPMLKSYASLVSNTIEPHHSSPFTNTCILTE >itb02g13620.t3 pep chromosome:ASM357664v1:2:9799788:9804835:-1 gene:itb02g13620 transcript:itb02g13620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVLEVKEKLSQYDNLPVGKNGRVDDEMILWFLKDRKFSVEDAVSKLTKAIRWRHEFGVSNLSEESVKSTAETGKAYLHDNFDVYGRPVLIVEASKHFPQDPYEDEKLCVFLIEKAISRFPAGTENILAIIDLRGFGTRNADIKFLTFLFDVFYYYYPRRLGEVLFVEAPFIFQPVWQLAKPMLKSYASLVRFCSVAEVRKEYFTEETIPASFRR >itb14g04950.t1 pep chromosome:ASM357664v1:14:4286295:4295300:1 gene:itb14g04950 transcript:itb14g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEEAIRVPKFVYAVVSSICVSESPERRTHLDHPKPYIFLQILLSGTSPRGSCNPMPKSDSGAEYGQNSYCSLSKNSGNPDPSSSKTLSSRSSETIIKEIEVNILMPDLKTVRTNENEPIVPSAQGRTGTAPHTLVVDQMRGEDQVGSAARSKGKGVAYSNGGLEEVDPDKNFEGHFRRINRQVVLDLLLDISKEEYWEARNLISPKFSPKFKTSAPDGCRSLMAKDGTYVAVHYDSIKAGLRFPLHPFLVKVLLHYNLVPAMIPPNGHRLITLFLMGHALLGKEPTLELFQYMFNIYEGFGELEGFVLFQSRPYRRMVEKIPKSIKSWKRKYFFVKLEQDEVGFVNQWPSKVTKVANPSNSDALDKDVAALRALQLDGLNGITPKILSDLKLGPPILDQLDGSGSSGSGLPIYVGIASSGAQAQGSPPIHNFQGIAEAADPAPPKDQGASRSAKTEPVPSIGAQTSTPHVDTSNPSVILSGSAKLAQVTASLKRKTFSASGAAKKIRFGLTTNHSSSASRLKERVIQSSKVSPQVLAKIMSRRKPIGPMEGGRENRVKHVPDPAGSPSVPPTQVSKAEPYGPNEPGFIFDPSTQVKTSRPTHEEVVAGARSAEVAPPVTSSEPPSSSAEPVQPASSKGQRWRKALREGQAVDIGLEFLSRVDMSIFNRMSSEENKREYFLVQALQNAATSTIFIDQNAELEAELEEARKKISAQAGQLEEAKESLWAEQAKTKKLEKRVSELEKDVGSLALLEDVQKRFGGMVVLAKVQQANLHGLHQELTSLRSAHSELQHSCVTNGVLLNHSLAQVEQVEKSFTSELADAEDELPGLPGKIIALRKERTRAINEAAKLQSSKAELEERVRAECRTSLPFLFEVISQFSVNEDGQEFVIDAVESNPALHNKLEESRAEATLQGMRMMQKTIYEQLEVVCPGFKAGEWGLPEEIADSESEGPRSRAGTPPPSSA >itb14g04950.t3 pep chromosome:ASM357664v1:14:4286295:4295300:1 gene:itb14g04950 transcript:itb14g04950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDERKDCLQELPDNTHRVRNRIARAKDIPCRESSKSLTDGGEEVRHIIKRFIQSKLERRQRLRDQLRKEVDKQEGHDHIGAGTSPRGSCNPMPKSDSGAEYGQNSYCSLSKNSGNPDPSSSKTLSSRSSETIIKEIEVNILMPDLKTVRTNENEPIVPSAQGRTGTAPHTLVVDQMRGEDQVGSAARSKGKGVAYSNGGLEEVDPDKNFEGHFRRINRQVVLDLLLDISKEEYWEARNLISPKFSPKFKTSAPDGCRSLMAKDGTYVAVHYDSIKAGLRFPLHPFLVKVLLHYNLVPAMIPPNGHRLITLFLMGHALLGKEPTLELFQYMFNIYEGFGELEGFVLFQSRPYRRMVEKIPKSIKSWKRKYFFVKLEQDEVGFVNQWPSKVTKVANPSNSDALDKDVAALRALQLDGLNGITPKILSDLKLGPPILDQLDGSGSSGSGLPIYVGIASSGAQAQGSPPIHNFQGIAEAADPAPPKDQGASRSAKTEPVPSIGAQTSTPHVDTSNPSVILSGSAKLAQVTASLKRKTFSASGAAKKIRFGLTTNHSSSASRLKERVIQSSKVSPQVLAKIMSRRKPIGPMEGGRENRVKHVPDPAGSPSVPPTQVSKAEPYGPNEPGFIFDPSTQVKTSRPTHEEVVAGARSAEVAPPVTSSEPPSSSAEPVQPASSKGQRWRKALREGQAVDIGLEFLSRVDMSIFNRMSSEENKREYFLVQALQNAATSTIFIDQNAELEAELEEARKKISAQAGQLEEAKESLWAEQAKTKKLEKRVSELEKDVGSLALLEDVQKRFGGMVVLAKVQQANLHGLHQELTSLRSAHSELQHSCVTNGVLLNHSLAQVEQVEKSFTSELADAEDELPGLPGKIIALRKERTRAINEAAKLQSSKAELEERVRAECRTSLPFLFEVISQFSVNEDGQEFVIDAVESNPALHNKLEESRAEATLQGMRMMQKTIYEQLEVVCPGFKAGEWGLPEEIADSESEGPRSRAGTPPPSSA >itb14g04950.t2 pep chromosome:ASM357664v1:14:4286295:4295257:1 gene:itb14g04950 transcript:itb14g04950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEEAIRVPKFVYAVVSSICVSESPERRTHLDHPKPYIFLQILLSGTSPRGSCNPMPKSDSGAEYGQNSYCSLSKNSGNPDPSSSKTLSSRSSETIIKEIEVNILMPDLKTVRTNENEPIVPSAQGRTGTAPHTLVVDQMRGEDQVGSAARSKGKGVAYSNGGLEEVDPDKNFEGHFRRINRQVVLDLLLDISKEEYWEARNLISPKFSPKFKTSAPDGCRSLMAKDGTYVAVHYDSIKAGLRFPLHPFLVKVLLHYNLVPAMIPPNGHRLITLFLMGHALLGKEPTLELFQYMFNIYEGFGELEGFVLFQSRPYRRMVEKIPKSIKSWKRKYFFVKLEQDEVGFVNQWPSKVTKVANPSNSDALDKDVAALRALQLDGLNGITPKILSDLKLGPPILDQLDGSGSSGSGLPIYVGIASSGAQAQGSPPIHNFQGIAEAADPAPPKDQGASRSAKTEPVPSIGAQTSTPHVDTSNPSVILSGSAKLAQVTASLKRKTFSASGAAKKIRFGLTTNHSSSASRLKERVIQSSKVSPQVLAKIMSRRKPIGPMEGGRENRVKHVPDPAGSPSVPPTQVSKAEPYGPNEPGFIFDPSTQVKTSRPTHEEVVAGARSAEVAPPVTSSEPPSSSAEPVQPASSKGQRWRKALREGQAVDIGLEFLSRVDMSIFNRMSSEENKREYFLVQALQNAATSTIFIDQNAELEAELEEARKKISAQAGQLEEAKESLWAEQAKTKKLEKRVSELEKDVGSLALLEDVQKRFGGMVVLAKVQQANLHGLHQELTSLRSAHSELQHSCVTNGVLLNHSLAQVEQVEKSFTSELADAEDELPGLPGKIIALRKERTRAINEAAKLQSSKAELEERVRAECRTSLPFLFEVISQFSVNEDGQEFVIDAVESNPALHNKLEESRAEATLQGMRMMQKTIYEQLEVVCPGFKAGEWGLPEEIADSESEGPRSRAGTPPPSSA >itb11g18460.t2 pep chromosome:ASM357664v1:11:18803243:18809136:1 gene:itb11g18460 transcript:itb11g18460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVKMTSPSVSLSYSAVAYALRYRAPFSPPPPPPLAFTPHLHLHRHQKLRPPTVSTASFVLVSSFFRLPSPNLFTGNSLFSAMERFWSGSTLNGNKGMIDQLTRYGVIKSEKVAEVMQNIDRALFVPEGTPPYIDSPMSIGYNATISAPHMHAMCLELLEDRLQPGMHALDVGSGTGYLTACFALMVGPQGRAVGVEHIPELAAWSIKNVEKSAAAPLLKEGSLSLHVGDGRKGWPEHAPYDAIHVGAAAGDIPQELIDQLKPGGRMVIPVGTFFQDLKVVDKNLDGSVSVRSETSVRYVPLTSREAQLKGS >itb11g18460.t1 pep chromosome:ASM357664v1:11:18803243:18809136:1 gene:itb11g18460 transcript:itb11g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVKMTSPSVSLSYSAVAYALRYRAPFSPPPPPPLAFTPHLHLHRHQKLRPPTVSTASFVLVSSFFRLPSPNLFTGNSLFSAMEVMKWAYVLSIISILVCVIPQRFWSGSTLNGNKGMIDQLTRYGVIKSEKVAEVMQNIDRALFVPEGTPPYIDSPMSIGYNATISAPHMHAMCLELLEDRLQPGMHALDVGSGTGYLTACFALMVGPQGRAVGVEHIPELAAWSIKNVEKSAAAPLLKEGSLSLHVGDGRKGWPEHAPYDAIHVGAAAGDIPQELIDQLKPGGRMVIPVGTFFQDLKVVDKNLDGSVSVRSETSVRYVPLTSREAQLKGS >itb11g18460.t3 pep chromosome:ASM357664v1:11:18803243:18807445:1 gene:itb11g18460 transcript:itb11g18460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVKMTSPSVSLSYSAVAYALRYRAPFSPPPPPPLAFTPHLHLHRHQKLRPPTVSTASFVLVSSFFRLPSPNLFTGNSLFSAMERFWSGSTLNGNKGMIDQLTRYGVIKSEKVAEVMQNIDRALFVPEGTPPYIDSPMSIGYNATISAPHMHAMCLELLEDRLQPGMHALDVGSGTGYLTACFALMVGPQGRAVGVEHIPELAAWSIKNVEKSAAAPLLKEGSLSLHVGGMCFSFIWIFLWKILLS >itb03g04920.t1 pep chromosome:ASM357664v1:3:3255241:3258093:1 gene:itb03g04920 transcript:itb03g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRLWWLAVVMFVVSVSEPAFSDPQTNQIGNLGCGPYHVSDVPDYNKELNISFADLRNQLSSANKRFATATQPAVYAMVQCRKYLSTADCVACFDAAVLVTRNCPISTTSAIVIFDGCFLRRHESYFFDQITDTITGGTSRVCGNRTASKQDIFNATTQQLLNELLLATPGINGFYAAAKLQEEPPSGGGGGATTYAVAQCVETVSESSCKDCLSLAYNNIMGCLPNSADGRAADAGCFLRYSDTPFFADNQTTDIARFLGKGSSGKKKPILLASVVATVGIILVLGALFLLYRKSRKENAWRRGNILGAKNYIYKELKAATNDFSEESILGKGGFGDVYKGTLQSGDVVAVKKLTAISSRAKANFETEVSLITNANHPNLIRLLGYSGNGKVLILVYEYMENSSLDRYIYGEKRGMLNWKQRVDIIMGTARGLAYLHEQLDVCIIHRDIKSSNILLDDEFQSKIADFGLARLLPENKSHLTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSSDLQVEPVTEYLLEQAWKLYENDEYLGLVDNNLDPNEYEAEEVKRMLEIALVCTQSPSNLRPSMSDVMVMLSSTDASIIQKPLNRPTTINDFNKRIHTATNSSTLTNATISYSQFSGR >itb08g04840.t4 pep chromosome:ASM357664v1:8:3993799:3999812:-1 gene:itb08g04840 transcript:itb08g04840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVNAYFHATKLGLKLSNFSCNFLLKCLAEASRRELLQALFEEMKQFGPSPTVYTYTIMVRFYCTQHKVEIEEATNIMEEMITRGISPSVVTYSVYIHGLCRAGRVGKALNFIRDLRRRNQLLNSYCYNAVIHGFCKKGEVVEAMNLFEEMKSCGVPPDVYSYSILIDGFSKCGNVEEGLCLIEEMEASNIKPSLVSYSPLLDGFCRTGRKEKSIYLFYKLEESGYKHDRGAYHILINGLCLQGDLVSAHKLLEEMISNNLTPNIFTVNSIINGYCSEGHIMEALQLIDETRGQGVIPNQHTYNAVIKGLCKEGNSEKALEVIPLMLKMNILPGVVHYSTLINGFAKQLNFQKAFLLYTRMLKVGVTPDTTLYTIIINMLCTMGKVNEAYKLFREMACEGLNPDNISYTSIIAGFCRVGDMNKALRLLEEMKQREILPCGVTYTCLIDGFCKVNRIDMATWLLDEMRRQNVSPDKVTYTILICACFRLGQVGRADELFDQMKKEGIVSGDSFKDERLKTGRS >itb08g04840.t1 pep chromosome:ASM357664v1:8:3993799:4000007:-1 gene:itb08g04840 transcript:itb08g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVNAYFHATKLGLKLSNFSCNFLLKCLAEASRRELLQALFEEMKQFGPSPTVYTYTIMVRFYCTQHKVEIEEATNIMEEMITRGISPSVVTYSVYIHGLCRAGRVGKALNFIRDLRRRNQLLNSYCYNAVIHGFCKKGEVVEAMNLFEEMKSCGVPPDVYSYSILIDGFSKCGNVEEGLCLIEEMEASNIKPSLVSYSPLLDGFCRTGRKEKSIYLFYKLEESGYKHDRGAYHILINGLCLQGDLVSAHKLLEEMISNNLTPNIFTVNSIINGYCSEGHIMEALQLIDETRGQGVIPNQHTYNAVIKGLCKEGNSEKALEVIPLMLKMNILPGVVHYSTLINGFAKQLNFQKAFLLYTRMLKVGVTPDTTLYTIIINMLCTMGKVNEAYKLFREMACEGLNPDNISYTSIIAGFCRVGDMNKALRLLEEMKQREILPCGVTYTCLIDGFCKVNRIDMATWLLDEMRRQNVSPDKVTYTILICACFRLGQVGRADELFDQMKKEGIVSGDSFKDERLKTGRS >itb08g04840.t3 pep chromosome:ASM357664v1:8:3993799:4000007:-1 gene:itb08g04840 transcript:itb08g04840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIFPKRSSFYFIFVGLRESGVFGRKKIVVERGYCRNFGGVSSALVLDDSDSCSNGESSGEYEPIVPIISRKRLHRCKELGLCSVVVRVYKSLSWGAARDISFERAMERYGLFQSITAFKMLVHIFAYVGMHMEMYALLKDIVFYFQKAEFELDKILPHLLNSSNDAKISASVVDVLIKVFAANKMVENGVNAYFHATKLGLKLSNFSCNFLLKCLAEASRRELLQALFEEMKQFGPSPTVYTYTIMVRFYCTQHKVEIEEATNIMEEMITRGISPSVVTYSVYIHGLCRAGRVGKALNFIRDLRRRNQLLNSYCYNAVIHGFCKKGEVVEAMNLFEEMKSCGVPPDVYSYSILIDGFSKCGNVEEGLCLIEEMEASNIKPSLVSYSPLLDGFCRTGRKEKSIYLFYKLEESGYKHDRGAYHILINGLCLQGDLVSAHKLLEEMISNNLTPNIFTVNSIINGYCSEGHIMEALQLIDETRGQGVIPNQHTYNAVIKGLCKEGNSEKALEVIPLMLKMNILPGVVHYSTLINGFAKQLNFQKAFLLYTRMLKVGVTPDTTLYTIIINMLCTMGKVNEAYKLFREMACEGLNPDNISYTSIIAGFCRVGDMNKALRLLEEMKQREILPCGVTYTCLIDGFCKVNRIDMATWLLDEMRRQNVSPDKVTYTILICACFRLGQVGRADELFDQMKKEGIVSGDSFKDERLKTGRS >itb08g04840.t2 pep chromosome:ASM357664v1:8:3993799:4000007:-1 gene:itb08g04840 transcript:itb08g04840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIFPKRSSFYFIFVGLRESGVFGRKKIVVERGYCRNFGGVSSALVLDDSDSCSNGESSGEYEPIVPIISRKRLHRCKELGLCSVVVRVYKSLSWGAARDISFERAMERYGLFQSITAFKMLVHIFAYVGMHMEMYALLKDIVFYFQKAEFELDKILPHLLNSSNDAKISASVVDVLIKVFAANKMVENGVNAYFHATKLGLKLSNFSCNFLLKCLAEASRRELLQALFEEMKQFGPSPTVYTYTIMVRFYCTQHKVEIEEATNIMEEMITRGISPSVVTYSVYIHGLCRAGRVGKALNFIRDLRRRNQLLNSYCYNAVIHGFCKKGEVVEAMNLFEEMKSCGVPPDVYSYSILIDGFSKCGNVEEGLCLIEEMEASNIKPSLVSYSPLLDGFCRTGRKEKSIYLFYKLEESGYKHDRGAYHILINGLCLQGDLVSAHKLLEEMISNNLTPNIFTVNSIINGYCSEGHIMEALQLIDETRGQGVIPNQHTYNAVIKGLCKEGNSEKALEVIPLMLKMNILPGVVHYSTLINGFAKQLNFQKAFLLYTRMLKVGVTPDTTLYTIIINMLCTMGKVNEAYKLFREMACEGLNPDNISYTSIIAGFCRVGDMNKALRLLEEMKQREILPCGVTYTCLIDGFCKVNRIDMATWLLDEMRRQNVSPDKVTYTILICACFRLGQVGRADELFDQMKKEGIVSGDSFKDERLKTGRS >itb08g04840.t5 pep chromosome:ASM357664v1:8:3993799:4000007:-1 gene:itb08g04840 transcript:itb08g04840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIFPKRSSFYFIFVGLRESGVFGRKKIVVERGYCRNFGGVSSALVLDDSDSCSNGESSGEYEPIVPIISRKRLHRCKELGLCSVVVRVYKSLSWGAARDISFERAMERYGLFQSITAFKMLVHIFAYVGMHMEMYALLKDIVFYFQKAEFELDKILPHLLNSSNDAKISASVVDVLIKVFAANKMVENGVNAYFHATKLGLKLSNFSCNFLLKCLAEASRRELLQALFEEMKQFGPSPTVYTYTIMGDLVSAHKLLEEMISNNLTPNIFTVNSIINGYCSEGHIMEALQLIDETRGQGVIPNQHTYNAVIKGLCKEGNSEKALEVIPLMLKMNILPGVVHYSTLINGFAKQLNFQKAFLLYTRMLKVGVTPDTTLYTIIINMLCTMGKVNEAYKLFREMACEGLNPDNISYTSIIAGFCRVGDMNKALRLLEEMKQREILPCGVTYTCLIDGFCKVNRIDMATWLLDEMRRQNVSPDKVTYTILICACFRLGQVGRADELFDQMKKEGIVSGDSFKDERLKTGRS >itb05g13400.t1 pep chromosome:ASM357664v1:5:20346448:20347628:-1 gene:itb05g13400 transcript:itb05g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSNIPNEIIPHILLQLPMKAVIRFQCVCKQWRSWIDDSNFKLSYHGKRRMIILELDSKSQDYNWNSRFLVRSTSHGSRFQRHKLPFGEAAYPLIRAIDKFPVRSLCSCNGFVLLLLIAERDILLWNPSTSVEFRNTFYWWASDIKDWDCERDFISGGDRNKILYFDPVCD >itb10g22190.t3 pep chromosome:ASM357664v1:10:27122565:27129225:-1 gene:itb10g22190 transcript:itb10g22190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNRRTNVASYSGYIKFMGNSLSYIEALEIYKSIKDKETRNNVSVCNSILGCLIKNGKPKSSFNLFTQMKQEGLVPDVVTYTTLLAGCAKVENGYSKALELVEEMKYKGLQMDCIIYGTVLSVCASHNQSSEAEKYFEQMKKEGYSPNVFHYSSLLNAFSGDGNYEKAEMLIEEMKSVGLELNKVIYVTLLKVYVKGGLFDKSKELLKELEALGLVDDEMPFCILMDGLVKAGQIPEAKLILDEMKRKEVKSAGYSYSIMISAFCRSGLIEDAKQLASEFEGKFDKYDVISLNAMLCAYCMAGEMDNVMKMMKKMDELAINPDRNTFDILVKYFCKENLHLLAFRTMRDMYRGGHTQLDEGTCVFLIHHLGKTGAHSEAFTVYNMLRYRNRTISKSLHQQILSILIKGRLLKEAYVVFKENARSISHFTIKRFANAFFKFGNINLINDVIKDIHASGHKIDQKLLYKAASRYIKQSEKKEMLLQMLQWMLSHGYVIDSSIKDLVRENSHLFGEQLGTELLSNAFQAASRVQIL >itb10g22190.t4 pep chromosome:ASM357664v1:10:27122565:27129713:-1 gene:itb10g22190 transcript:itb10g22190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVFGNGFHTIIPHPLPFLSSRPKLAAARTSSTITSSITPTPKPIDLQNVPKNVSKDSANRLSASSSAKRSAITEIQGSSDLSSALSRYGEILKASDINVVLRHFGKLNRKQELSQVFKWMQQNRRTNVASYSGYIKFMGNSLSYIEALEIYKSIKDKETRNNVSVCNSILGCLIKNGKPKSSFNLFTQMKQEGLVPDVVTYTTLLAGCAKVENGYSKALELVEEMKYKGLQMDCIIYGTVLSVCASHNQSSEAEKYFEQMKKEGYSPNVFHYSSLLNAFSGDGNYEKAEMLIEEMKSVGLELNKVIYVTLLKVYVKGGLFDKSKELLKELEALGLVDDEMPFCILMDGLVKAGQIPEAKLILDEMKRKEVKSAGYSYSIMISAFCRSGLIEDAKQLASEFEGKFDKYDVISLNAMLCAYCMAGEMDNVMKMMKKMDELAINPDRNTFDILVKYFCKENLHLLAFRTMRDMYRGGHTQLDEGTCVFLIHHLGKTGAHSEAFTVYNMLRYRNRTISKSLHQQILSILIKGRLLKEAYVVFKENARSISHFTIKRFANAFFKFGNINLINDVIKDIHASGHKIDQKLLYKAASRYIKQSEKKEMLLQMLQWMLSHGYVIDSSIKDLVRENSHLFGEQLGTELLSNAFQAASRVQIL >itb10g22190.t2 pep chromosome:ASM357664v1:10:27125238:27129725:-1 gene:itb10g22190 transcript:itb10g22190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVFGNGFHTIIPHPLPFLSSRPKLAAARTSSTITSSITPTPKPIDLQNVPKNVSKDSANRLSASSSAKRSAITEIQGSSDLSSALSRYGEILKASDINVVLRHFGKLNRKQELSQVFKWMQQNRRTNVASYSGYIKFMGNSLSYIEALEIYKSIKDKETRNNVSVCNSILGCLIKNGKPKSSFNLFTQMKQEGLVPDVVTYTTLLAGCAKVENGYSKALELVEEMKYKGLQMDCIIYGTVLSVCASHNQSSEAEKYFEQMKKEGYSPNVFHYSSLLNAFSGDGNYEKAEMLIEEMKSVGLELNKVIYVTLLKVYVKGGLFDKSKELLKELEALGLVDDEMPFCILMDGLVKAGQIPEAKLILDEMKRKEVKSAGYSYSIMISAFCRSGLIEDAKQLASEFEGKFDKYDVISLNAMLCAYCMAGEMDNVMKMMKKMDELAINPDRNTFDILVKYFCKENLHLLAFRTMRDMYRGGHTQLDEGTCVFLIHHLGKTGAHSEAFTVYNMLRYRNRTISKSLHQQILSILIKGRLLKEAYVVFKENARSISHFTIKRFANAFFKFGNINLINDVIKDIHASGHKIDQKLLYKAASRYIKQSEKKEMLLQMLQWMLSHGYVIDSSIKDLVRENSHLFGEQLGTELLSNAFQAASRVQIL >itb10g22190.t1 pep chromosome:ASM357664v1:10:27122462:27129725:-1 gene:itb10g22190 transcript:itb10g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVFGNGFHTIIPHPLPFLSSRPKLAAARTSSTITSSITPTPKPIDLQNVPKNVSKDSANRLSASSSAKRSAITEIQGSSDLSSALSRYGEILKASDINVVLRHFGKLNRKQELSQVFKWMQQNRRTNVASYSGYIKFMGNSLSYIEALEIYKSIKDKETRNNVSVCNSILGCLIKNGKPKSSFNLFTQMKQEGLVPDVVTYTTLLAGCAKVENGYSKALELVEEMKYKGLQMDCIIYGTVLSVCASHNQSSEAEKYFEQMKKEGYSPNVFHYSSLLNAFSGDGNYEKAEMLIEEMKSVGLELNKVIYVTLLKVYVKGGLFDKSKELLKELEALGLVDDEMPFCILMDGLVKAGQIPEAKLILDEMKRKEVKSAGYSYSIMISAFCRSGLIEDAKQLASEFEGKFDKYDVISLNAMLCAYCMAGEMDNVMKMMKKMDELAINPDRNTFDILVKYFCKENLHLLAFRTMRDMYRGGHTQLDEGTCVFLIHHLGKTGAHSEAFTVYNMLRYRNRTISKSLHQQILSILIKGRLLKEAYVVFKENARSISHFTIKRFANAFFKFGNINLINDVIKDIHASGHKIDQKLLYKAASRYIKQSEKKEMLLQMLQWMLSHGYVIDSSIKDLVRENSHLFGEQLGTELLSNAFQAASRVQIL >itb12g10770.t1 pep chromosome:ASM357664v1:12:8858481:8859988:1 gene:itb12g10770 transcript:itb12g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDRGYITPYFITNQKNINVINAIVKILELPMKRQRPFFIIAEDVDNNALATLMLDKLRVGIKVGENRKANLQDLATLTGGQRLKVESREEMRETDEARNRIQKVDFLCP >itb06g02700.t2 pep chromosome:ASM357664v1:6:4641004:4644702:1 gene:itb06g02700 transcript:itb06g02700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENSSNRQVTFSKRRNGMLKKAKEISVLCDARVSVIIFGSSGKMHEFSSSPLVEILDQYHKLTGKRLWDAKHENLENEINRIKKENDNMQIELRHLKGEDISSLNYRELMILEDALQNGLGSISEKQMEVWRNLTKRKYDQSQGVAEENEQLSYRLRQLEIAAMNRNLGEMGEVFNQRESNDYESQMPFTFSVQPMQPNLQDR >itb06g02700.t1 pep chromosome:ASM357664v1:6:4641004:4644702:1 gene:itb06g02700 transcript:itb06g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENSSNRQVTFSKRRNGMLKKAKEISVLCDARVSVIIFGSSGKMHEFSSSPLVEILDQYHKLTGKRLWDAKHENLENEINRIKKENDNMQIELRHLKGEDISSLNYRELMILEDALQNGLGSISEKQMEVWRNLTKRYDQSQGVAEENEQLSYRLRQLEIAAMNRNLGEMGEVFNQRESNDYESQMPFTFSVQPMQPNLQDR >itb09g29330.t1 pep chromosome:ASM357664v1:9:30030481:30031517:-1 gene:itb09g29330 transcript:itb09g29330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEVLGKNHSGNASKRGSSSRKKNSKIKKWRREYISYFAKMRGSSSSRNSGESHEGGGLKVGERERVMELLSKFREICKNLLQENNTQRERKAISESVIRRIDFLAAREVKKMRSLYIQRTNSPGPVPGVEVGDKFLYRMELNLVGLHTHIQKGIDFVCNGAGERIATSVVASPSGGYANETSDPNVLIYCGQGGDMVSGIQHEDQSLNNPGNYALKNSIRLKNPVRVIRGTKEKKSCVSREDATTFVYDGLYEVVGLWRDTSCNGKLLYKFKMVRITQ >itb10g07470.t1 pep chromosome:ASM357664v1:10:8848522:8849295:-1 gene:itb10g07470 transcript:itb10g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKPKPATGVWPTVRSRLGSFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIHQSVEFFRDSLGLGEAATVLGASSVSGFFAAACSLPFDYVKTQIQKMQPDAQGKYPYTASFDCAMKAGGPLKFYTGFPVYCVRIAPHGYSLTKSKRLRRIWDCSFP >itb12g23650.t1 pep chromosome:ASM357664v1:12:25308395:25309488:1 gene:itb12g23650 transcript:itb12g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MALLLVGAAPMASLSMAALAPTLSFSSSRSLTGGSLRMTSSTSLSSSVTAPSLPLIYCGRGDKKTAKGKRFNHSFGNARPKDKKKGRGPPRIPPPPAAAPKKNPLDDGQKVKIEIDESLS >itb03g14170.t1 pep chromosome:ASM357664v1:3:14007111:14008304:-1 gene:itb03g14170 transcript:itb03g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPVSAELPAANFPVYHRSSSFSSLMSCLTETWGDLPLKEDDSEDMVIYGVLRDAVSDGWTPFNFTAGEVKAEPWESIEAAVTPACAAPTFVATPAPAPATARPKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAAIAYDKAAYRMRGSKALLNFPHRIGSNEPDPVRVTAKRRSPEPEASSTSSVSENGSPKRRRKVAAAEQADSDVESRSNPLPAECHANILPIGEQLIVSQLAF >itb03g14170.t2 pep chromosome:ASM357664v1:3:14007401:14007679:-1 gene:itb03g14170 transcript:itb03g14170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKALLNFPHRIGSNEPDPVRVTAKRRSPEPEASSTSSVSENGSPKRRRKVAAAEQADSDVESRSNPLPAECHANILPIGEQLIVSQLAF >itb11g05630.t3 pep chromosome:ASM357664v1:11:3318013:3319649:1 gene:itb11g05630 transcript:itb11g05630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDTNSSTCGTEAQVDSIVEVTSKDLEKELVFSEDEEILITRMFNLVGERYTSDHPLKPIKFVNSHTISCP >itb11g05630.t4 pep chromosome:ASM357664v1:11:3318013:3319649:1 gene:itb11g05630 transcript:itb11g05630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDTNSSTCGTEAQVDSIAVEVTSKDLEKELVFSEDEEILITRMFNLVGERYTSDHPLKPIKFVNSHTISCP >itb11g05630.t2 pep chromosome:ASM357664v1:11:3318013:3319649:1 gene:itb11g05630 transcript:itb11g05630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDTNSSTCGTEAQVDSIVEVTSKDLEKELVFSEDEEILITRMFNLVGERWSLIAGRIPGRTAEEIEKYWNSRYSTSQ >itb11g05630.t1 pep chromosome:ASM357664v1:11:3318013:3319649:1 gene:itb11g05630 transcript:itb11g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDTNSSTCGTEAQVDSIAVEVTSKDLEKELVFSEDEEILITRMFNLVGERWSLIAGRIPGRTAEEIEKYWNSRYSTSQ >itb06g11810.t1 pep chromosome:ASM357664v1:6:16344635:16357887:-1 gene:itb06g11810 transcript:itb06g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNLIHNCSRLRLRAIPLMAAATTTSVSLQLYRRFHLNKSPFLLRTTRPPHRLHSCGFCCSAAIIRRRNRNASSPFTLPYIYQQNFGFGRLAYPEYPSESESDREFEPESKQLNDSTLGNIEEWRWKLTMLLRNENEQEVISREKKDRRDFGHLSALATRMGLHCCQFDKVVVFSKVPLPNYRPDLDAKRPQREVVLPHGLQDRVNALLKAYCSKKSINNTSSEYNYFSRSSNDQKFSNDNELCENEKPPSRNIVAERILRRRSLDMQYKQQNWQESLEGQKMIEFRRSLPAYKERESLLNAISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGATCSIICTQPRRISAMAVSERVAAERGENLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRNLEGVTHVIVDEIHERGMNEDFLLIVLKDLLHRRPELRLILMSATLNAELFSSYFGGAPRIHIPGFTYPVRSHFLENILEMTGYRLTPYNQIDNYGQDKMWKMQKQTLRKRKTQIASAVEDALETASFREYSPRTRESLSCWNPDSIGFNLIEHVLCHICRRERPGAVLVFMTGWDDINALKDQLQANPLLGDSSRVLLLACHGSMASTEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKASARQRKGRAGRVQPGECYHLYPRCVHDTFAEYQMPELLRTPLQSLCLQIKSLQLGSISEFLSKALQPPESLSVQNAIEYLKMIGAFDEDENLTLLGRNLSMLPVEPKLGKMLILGSIFNCLDPIMTIVAGLSLRDPFLMPFDKKDLAESAKAQFSARDFSDHLTLFRAYEGWKDAERNQSGYEYCWKNFLSLQTLKAIDSLRKQFFHLLKDSGLVSGESCNIWSHDEHLVRAIVCAGLFPGICSVVNKEKSISLKTMEDGPVLLYSNSVNGLEPRIPYPWLVFNEKVKVNAVFLRDSTAVSDSMVILFGGNITKGSANGHLKMLGGYLEFFMNPTIATTYVRLKRELYELIHEKLLNPKLNLGDHDELISAVRMLVSEDQCEGRFVFGRQMPSSAIKSRKDAEAGMVSSKGNGGDNPKSHLQTLLVRAGHQSPSYKTTQLKHNKFRAVVMFNGLDFVGQPCGSKKEAEKAAAAEALQWLTGETQSSQKTVEHMSAILKKSKKKQLHASRWR >itb05g20240.t1 pep chromosome:ASM357664v1:5:26409217:26414650:1 gene:itb05g20240 transcript:itb05g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSIHFVSSTNSPEFTLLTRALTRSSVIGLDAEWKPVRTQQSSFPTVSILQIACQVDGDDDEDAHVSNNSPPVFLLDLSAIPLPSIYEILRDAFVSPNILKLGFRFKQDLVYLSSTFCSQGCEPGFDRVEPFLDITSIYSYLQHMHQGRRLPKQSKSLAAICQEVLGISLSKELQCSDWSQRPLTEEQKLYAAVDSLCLIQIFDVLKARVANIVGSTVCNVGKLQSPSIDLGLKQILDMPNGSDNILLAKFIEATKMVQAISTDFPQGITIHEEVASTVQFAKKKQMDDAVLWIARKYGDKILLSDSDRKPKMSKKKGKKPPAGLMAKPRQLDGDEDWQGPPPWDVSLGGDGCPKFLCDVMVEGLAKHLRCVGIDAAVPPLKKPQTRDLIEQASKEKRVLVTRDAKLLRHGYLIENQVYRVKSLLKNEQLIEVIETFKLEISEDQLMSRCTKCNGRFIQKPLTTEEAVEAAKGFQVIPKCLFNKNLEFWQCMDCKQLYWEGTQYRNAVQKFIDICKLNEMIGLVMTESVPVYYICRLVVTCKAVGCSHEQRGGKVRVTCDPPPGSNTYDVLRQISSVAQFHLFLFHGSDSSFTFTCCGGTPC >itb08g10140.t1 pep chromosome:ASM357664v1:8:9537545:9539381:-1 gene:itb08g10140 transcript:itb08g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMHDKSWRFITDGGGITAAACADGIVRVFKLDDATSKSFKFLRINLPAGGHTSAIAFADEKSSIVVACPAFSGSSLYMYGEEKPKAATDGTQQTKLPLPEIKWEHHKIHDQRAVLTLFSTKATYGTADGSTIIVSCSEGRY >itb09g10410.t1 pep chromosome:ASM357664v1:9:6464733:6465478:-1 gene:itb09g10410 transcript:itb09g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGESGSKALRGCIKTTRGPWTVHRTTKNGGVITKYRYPSERERQNNKQRERKRRAVAKKIFAGLRAHGNYQLPKHADSNDLLIAVCREAGWQVEEDGTIFKRVPVVQKETKTCEEDEDYCKCEGGDTSSRSLEALHHLKSITTPTAMSHVECCDVHLALKI >itb12g17660.t1 pep chromosome:ASM357664v1:12:19757015:19766501:-1 gene:itb12g17660 transcript:itb12g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASRYAPLQNMEDNGPLEDLPPAHGEIPRETSVHTGPQKRQYNPKVNAKEANWRPTNNGYTRGYYTAPQNINRGRGGRGNPPRRAAAESEHVVVRGSARGRVINRTTVHHHEPLPYEHQTDKSPDGEIGFLDMADPPPPKDGMMDDGDIAGLNGPVSVDVIWPNGLFEAQMILAFSRNNTENDCDNPKQASFEAVRSVFSVKTGTYRSVSPRLELPSTTINPPRDGEAALLLCTPPP >itb14g13040.t1 pep chromosome:ASM357664v1:14:14944424:14948253:1 gene:itb14g13040 transcript:itb14g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRGRYFDAADAREMGLKRQRIMDQGPSYYSAPPGPTYMYNPPPALPPPAAPPTDFSYIGQPPPFSVVRLRGLPFGCTEGEIVEFLHGLDVVDVLLVHKGGKFTGEAYCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKKQEYYRAIANELSDSRGGSTARARSSEDGRDLAEHTGVLRLRGLPFSAKMEDIVDFFKDFVLAENSIHIAAYSEGRPTGEAFVEFANAEDSRAAMAKDRMKIGNRYIELFPSSHEELEEATSKCRVLDKASDGSEQTEVATGVLRMRGLPFSAGKDDIIEFFKDFVLSEETAVHVTYNQEGRPTGEAFVEFASPEDAKAAMAKDRMTLGRRYIELFHSSPEEMNDALSRGR >itb10g06770.t1 pep chromosome:ASM357664v1:10:7675970:7678666:-1 gene:itb10g06770 transcript:itb10g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDIAKKIKELDSTLDRITKEKDQFKFNTCAASHSDQESKRVTTTFDVDVSEIQGRKSDASALISKIAWLEVARTPKGDRKSASSKLRYIDLSLSKVEELPDTIHSLFSLQTLDLEGCEQFSRLPDKIGDLSQLRYINLSESKVEKLPDTICSLENLRTLVLKKCERLSRLPEGIGNLVELRYINLNDCENVEELPKGIGNLINLRHLDIRGTKRLEMMPQGMAKLTQLCSLSEFKVGKESSKLGYMEKLNQLKGELSIFFLCDLNSPADVEEAKKAELRKKKHIKELHLHFSPGVDVGIDVIEALKPPPELQNLELNGYGGIHFPSWITLSLHNLQILKIWGCENCPSLPPLGKLPSLETLIIFYMKKLRYVGSEFLGVAEVGGVAFPKLKELEFTWCEELEEWEDFKEEATIIIMPCIRELELSYCRKLKTVPHHLLSRLESLKIKHCPSLKVEQIE >itb05g27030.t2 pep chromosome:ASM357664v1:5:30618739:30623482:-1 gene:itb05g27030 transcript:itb05g27030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQGVLVSDQWLQSQFTQVELRTLKSKFIFVKNQNGKVTIGDLPPLMAKLKGFSDMFNEEEIRNILGESGSDINDEVDFEGFLRTYLNLQNRTTSKSGSSRSPSSFLKATTTTLLHTISESEKESYVAHINSYLRDDPFLKQFLPIDPASNALFDLAKDGVLLCKLINVAVPGTIDERAINIKRVLNPWERNENHTLCLNSAKAIGCTVVNIGNQDLVEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEELLGLAPEKVLLKWMNFHLKKGGYKKTVSNFSSDLKDGEAYAYLLNVLAPEHCSPATLDSKDPTERANMVLDHAEKMDCKRYLTPKDIVEGSANLNLAFVAQIFHQRNGLSTDNKKISFAEMMTDDEQISREERCFRLWINSLGISSYVNNLFEDVRNGWVLLEVLDKVHPGSVNWKHATKPPIKMPFRKVENCNQVVRIGKQLKLSLVNVGGNDFVQGNKKLTLAFLWQLMRFNMLQLLKNLRSRFQGKEISDVDILNWANKKVKSTGRTSRMESFKDKNLSSGLFFLELLSAVEPRVVNWNLVSKGESDDEKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLQQPVNDSESSPSPATPEASPAPLTNGSSSPAAGPTTPDASPAPSTNGLSSPAVALSPDSSPAPSVNGEDDSPLVGVSNLTIDDAASDSAVSSSLVESEGNVSQKVSSSQVEYEDTESQEVSSKPVEHEETEAEVSSKPVEHEETKAEEISSSQVEKQDPQSQSDT >itb05g27030.t1 pep chromosome:ASM357664v1:5:30618685:30623641:-1 gene:itb05g27030 transcript:itb05g27030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQGVLVSDQWLQSQFTQVELRTLKSKFIFVKNQNGKVTIGDLPPLMAKLKGFSDMFNEEEIRNILGESGSDINDEVDFEGFLRTYLNLQNRTTSKSGSSRSPSSFLKATTTTLLHTISESEKESYVAHINSYLRDDPFLKQFLPIDPASNALFDLAKDGVLLCKLINVAVPGTIDERAINIKRVLNPWERNENHTLCLNSAKAIGCTVVNIGNQDLVEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEELLGLAPEKVLLKWMNFHLKKGGYKKTVSNFSSDLKDGEAYAYLLNVLAPEHCSPATLDSKDPTERANMVLDHAEKMDCKRYLTPKDIVEGSANLNLAFVAQIFHQRNGLSTDNKKISFAEMMTDDEQISREERCFRLWINSLGISSYVNNLFEDVRNGWVLLEVLDKVHPGSVNWKHATKPPIKMPFRKVENCNQVVRIGKQLKLSLVNVGGNDFVQGNKKLTLAFLWQLMRFNMLQLLKNLRSRFQGKEISDVDILNWANKKVKSTGRTSRMESFKDKNLSSGLFFLELLSAVEPRVVNWNLVSKGESDDEKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLQQPVNDSESSPSPATPEASPAPLTNGSSSPAAGPTTPDASPAPSTNGLSSPAVALSPDSSPAPSVNGEDDSPLVGVSNLTIDDAASDSAVSSSLVESEGNVSQKVSSSQVEYEDTESQEVSSKPVEHEETEAEVSSKPVEHEETKAEEISSSQVEKQDPQSQSDT >itb05g27030.t3 pep chromosome:ASM357664v1:5:30618685:30623429:-1 gene:itb05g27030 transcript:itb05g27030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQGVLVSDQWLQSQFTQVELRTLKSKFIFVKNQNGKVTIGDLPPLMAKLKGFSDMFNEEEIRNILGESGSDINDEVDFEGFLRTYLNLQNRTTSKSGSSRSPSSFLKATTTTLLHTISESEKESYVAHINSYLRDDPFLKQFLPIDPASNALFDLAKDGVLLCKLINVAVPGTIDERAINIKRVLNPWERNENHTLCLNSAKAIGCTVVNIGNQDLVEGRPHLVLGLISQIIKIQLLADLNLRKTPQLVELVEDNNDVEELLGLAPEKVLLKWMNFHLKKGGYKKTVSNFSSDLKDGEAYAYLLNVLAPEHCSPATLDSKDPTERANMVLDHAEKMDCKRYLTPKDIVEGSANLNLAFVAQIFHQRNGLSTDNKKISFAEMMTDDEQISREERCFRLWINSLGISSYVNNLFEDVRNGWVLLEVLDKVHPGSVNWKHATKPPIKMPFRKVENCNQVVRIGKQLKLSLVNVGGNDFVQGNKKLTLAFLWQLMRFNMLQLLKNLRSRFQGKEISDVDILNWANKKVKSTGRTSRMESFKDKNLSSGLFFLELLSAVEPRVVNWNLVSKGESDDEKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLQQPVNDSESSPSPATPEASPAPLTNGSSSPAAGPTTPDASPAPSTNGLSSPAVALSPDSSPAPSVNGEDDSPLVGVSNLTIDDAASDSAVSSSLVESEGNVSQKVSSSQVEYEDTESQEVSSKPVEHEETEAEVSSKPVEHEETKAEEISSSQVEKQDPQSQSDT >itb12g27840.t1 pep chromosome:ASM357664v1:12:28028416:28030780:-1 gene:itb12g27840 transcript:itb12g27840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGESGAATKNHRDHHGVSIDAPPLYGFKGLDDDGRPQRTGTVWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPSVMLLFSFVVYYTSTLLADCYRAGDPLFGKRNYTYMDAVRSNLGGYQVKICGLIQYFNLFGIAIGYTIAASISMMAIKRSNCFHESGGKNPCHVSSNPYMIAFGIMEIILSQIPDFDQIWWLSIVAAVMSFTYSGIGLGLGIAQVVANGAFKGSLTGISIGTVTQTQKVWRSLQALGDIAFAYSYSIILIEIQDTVKSPPSESKTMRKASLFSTIVTTTFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKWVVAKWPDSEIVTKEINLKIPGFGYPFKLNTFRLLWRTAFVLLTTVISMLLPFFNDVVGILGAFGFWPLTVYFPVWMYISQKKIPKWSTRWICLQMLSGACLAVSVAAAAGSFAGVVLDLKVYKPFKTSY >itb10g16700.t1 pep chromosome:ASM357664v1:10:22983273:22985485:1 gene:itb10g16700 transcript:itb10g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGERSGKLAGLHDDSSSYPCTKKIAKLNRQFQFSPSETQISCFGDGGNGTSDSPAGGPVFFNSAPPLTGGSMGCEKAVVFTASQRQDFERQSLIYKYLMASVPVPPQLLIPLPKTQSNKSGPDLKFPAGSDPEPWRCRRTDGKKWRCSRDVLPDQKYCERHAHKNRPRSRKPVEIQSHKNSRNHNNNNNYPAVTVPSFQFPATASCDQNRCNEWFARGGNTCNQQKQQFVLSPPPSTLGYTIGNAINMNASSVYRPELNSNEQGFVNPNPFLEGQEARSHHQVNSAFLSHNMAFLQGSLNANAGIVHPTQHFIDAQFAGQKDGLDNTDHSSLSSLSCKSSDRKLPFCSFRLSVPGGGRGSYEDNQGLSVGMLNTESQSSSSIMPGGPLGEALCLGAASNLASPHGYSNSSGTSSCSLI >itb07g17750.t1 pep chromosome:ASM357664v1:7:22043585:22047029:1 gene:itb07g17750 transcript:itb07g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEILFALIGIGVVALFLRLYNALVAKPEKLRCILRKQGISGPPPTVVLGNVLEIKKYRSANSNTPAVVCGGVPKEHNCARHLFGFFEPWQHKYGEVFVFALGNTQILHVTQPEMVKEITTCTSLELGKPTYQAKERSSLLGKGILTSNGPYWAHQRKIIAPELYMDKVKGMIHIVQESTKTLIDSWKSRIESGGGTVADINIDPDMRSFSGDVISKACFGSNFSKGEEIFSKLRALQEAASKTSLAIIPGMRYIPTKSNREAWAMEKEIYNNVLEMVKERSQSGNDQDLMQMILEGAKNSDGRLSPDAIDRFIVDNCKNVYLAGFETTAVSATWLLMLLAANPEWQQRIRAEVQSVCRGQIPDADMIRKMKLLSMAINESLRLYPPVSVMSREALSDMKFGNINVPKGVNIWTFVLPLHTDPKIWGPDSYEFNPERFANGITGACKFPYLYMPFGVGPRVCLGQNLALVELKILVSVILSNFELSISPTYIHSPALKLVIEPANGVNLHVKKLEASPSP >itb10g14960.t1 pep chromosome:ASM357664v1:10:21295141:21297185:-1 gene:itb10g14960 transcript:itb10g14960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEENVAAEAPAPAPALGEPMDAMTALQLVLRKSLAHGGLARGLHQGAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCTDHNVNLITVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEETEGLHVVQEYVKSH >itb10g14960.t2 pep chromosome:ASM357664v1:10:21295225:21297149:-1 gene:itb10g14960 transcript:itb10g14960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEENVAAEAPAPAPALGEPMDAMTALQLVLRKSLAHGGLARGLHQGAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCTDHNVNLITVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKVSRESPTTLYVYNIALHSCDNFWILCRILERKPKVSMLFRSM >itb15g21800.t1 pep chromosome:ASM357664v1:15:24462076:24463306:-1 gene:itb15g21800 transcript:itb15g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKMLRFVIHILVLFSLSFEIAISESSEGRALVKWKNTLSNTYDVLHSWSIANLDNVCWNWMGVTCNDVGAVYGIKLESLNLSGTLESLDFISFPNLTHFSLYNNTFIGSVPYAIANLSQLRSLDLSLNYFQNFIPTEIERLTKLRSLNLGENNLTVPTSYKELFPKNYAIFNNLLKCSFYRTIVWMDQFLNALEN >itb06g08170.t1 pep chromosome:ASM357664v1:6:12110446:12116397:-1 gene:itb06g08170 transcript:itb06g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPANSVENKGIEQGIKDELWPLDEIDPKNAKFPCCLVWTPLPVVSWLAPFIGHVGLCREDGAVIDFSGSSFVNVNDFAYGAVAKYLQLDREQCCFPPNLAGHSCTQRYKHAEFGTAISWDDAIHSSIRHFEHRSFNLFTCNSHSFAANCLNRMSYGGSMDWNMINVAALILLKGHWVDGLSILRSFFPFVFVLSLGILMVGWPFFIALFSFSLLILGWFLVGEQYGNQGNYVLNGGSSNSLSYGENHYDDYGCYNAQYYEDSDHGYWSYMSQQGSARNTEDDDDEGEQLVRRRRRSDLEGDDLVESSTARRCHSRILSKWAARQAQEMITTMERRNRESELIALAGLHTVSMLDSSFLRESQSPTSRH >itb09g14950.t1 pep chromosome:ASM357664v1:9:10233567:10239261:1 gene:itb09g14950 transcript:itb09g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEIISHCLKAGMSVARFDFSWGDADFHRETLENLRTAIKLTKKLCAVMFDTVGPELQVLNKSEKAISLKADATVTLTPDKGEEASSEVLPINFVGLSKAVKKGDTMFIGQYLFTGSETTSVWLEVDEVKGEDVVCVIKNSATLAGSLFTLHASQIPIELPTLSDKDKEAIGSWGVQNKIDFLSLSSTRHAEDVREARNFLSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIELPPEKVFLFQKAAVNKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETFRGLYPVECISIVGKICAEAEKVFNQDMYFKKTVKFVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWCFSGAFEARQSLIVRGLFPMLADPRHPAEHSNPTNESVLKVALDHGKSAGVIKSRDRVVVCQKVGDASVVKIIELED >itb12g04480.t2 pep chromosome:ASM357664v1:12:2927035:2929961:1 gene:itb12g04480 transcript:itb12g04480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADPSESFKPYKLKQTLTGHKRAISSVKFSDNGRFLGTSSADKTARVWSVSDASLLHEFQGHDQGISDLAFSSDGRYLATASDDKTVRLWDVATGAVVKTLTGHTNYVFCVNYNPQSNMLVSGSFDETVRIWDVKSGKCLKVLPAHSDPVTAVHFSQDGKLIVSSSYDGLCRIWDASTGHCMKTLIDDENPPVSFVKFSPNGKFILVGTLDNTLRLWNFPSGKFLKTYTGHTNSKYCISSTFSVTNGKYVVSGSEDNCVYFWELQSKKIVQKLEGHTDAVISVSCHPTQNMIASGALGNDKTVKIWTQED >itb12g04480.t1 pep chromosome:ASM357664v1:12:2926832:2929915:1 gene:itb12g04480 transcript:itb12g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADPSESFKPYKLKQTLTGHKRAISSVKFSDNGRFLGTSSADKTARVWSVSDASLLHEFQGHDQGISDLAFSSDGRYLATASDDKTVRLWDVATGAVVKTLTGHTNYVFCVNYNPQSNMLVSGSFDETVRIWDVKSGKCLKVLPAHSDPVTAVHFSQDGKLIVSSSYDGLCRIWDASTGHCMKTLIDDENPPVSFVKFSPNGKFILVGTLDNTLRLWNFPSGKFLKTYTGHTNSKYCISSTFSVTNGKYVVSGSEDNCVYFWELQSKKIVQKLEGHTDAVISVSCHPTQNMIASGALGNDKTVKIWTQED >itb15g15550.t1 pep chromosome:ASM357664v1:15:14491187:14492243:-1 gene:itb15g15550 transcript:itb15g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSPLSSSLQCSTAPCCSLVADLMKPATRFEGSPTGGLVGDRVPDSKRALRNTKGTINGFGVCARMPMG >itb10g08560.t1 pep chromosome:ASM357664v1:10:10751514:10756875:-1 gene:itb10g08560 transcript:itb10g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISNPFFHLFLLSFLLFSTLSFSVAYPNIIHPFQDEQFSVLDDGLGTRKNSIKVTEETSTKDSTYLILAAERTHRRDPLDDYHYYSGGWNINDPHYVFSTGFASMGPFIVAVLWFIMFGLWLFCMCICCCCCCCPRKPYGYSQNAYTLSLVLLCLFTLAVQMGSVFIFVGEERFESSAVDVMSYVLHRADTTLVNLMNLFDHMMAVKDVGIGDLTLPDQQKHDVDEIGVVVDALYRSFRSVTHQDKTEIVDFLDPLKQLLIFVAVVMVVVAVLGFLFSITGANCLMYTLVIMGWIIVSVTFIMSGIFLLVNNLIGDTCVAMTEWQQNPMADSALENIIPKVDNETTHLILSATKNVTYGVVEVANAYISNVSNADNMTPDGWPLYSNQSGPFMPLLCNPYNTNDMQHCGAGEVHFKNASDTWSKFVCEVTWDGNYCVTPGRLTPQAYKQLTALVNASSALYDGIPFVVELMDGTYLTKTLSDLSIVYCSNLHEYSEWVYAGLITSSTALMLSLMQWLIHSEHRRRRAYTKKADAAAAALYSKQVA >itb10g08560.t2 pep chromosome:ASM357664v1:10:10751514:10756869:-1 gene:itb10g08560 transcript:itb10g08560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFIVAVLWFIMFGLWLFCMCICCCCCCCPRKPYGYSQNAYTLSLVLLCLFTLAVQMGSVFIFVGEERFESSAVDVMSYVLHRADTTLVNLMNLFDHMMAVKDVGIGDLTLPDQQKHDVDEIGVVVDALYRSFRSVTHQDKTEIVDFLDPLKQLLIFVAVVMVVVAVLGFLFSITGANCLMYTLVIMGWIIVSVTFIMSGIFLLVNNLIGDTCVAMTEWQQNPMADSALENIIPKVDNETTHLILSATKNVTYGVVEVANAYISNVSNADNMTPDGWPLYSNQSGPFMPLLCNPYNTNDMQHCGAGEVHFKNASDTWSKFVCEVTWDGNYCVTPGRLTPQAYKQLTALVNASSALYDGIPFVVELMDGTYLTKTLSDLSIVYCSNLHEYSEWVYAGLITSSTALMLSLMQWLIHSEHRRRRAYTKKADAAAAALYSKQVA >itb09g23990.t1 pep chromosome:ASM357664v1:9:23650794:23653831:1 gene:itb09g23990 transcript:itb09g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVQSRSLFGISLTDRPRWQQFLLCTSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGWVYIGLIYLQGFTTKQMVNPWKTYVTLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPPHEYVSAVLLVVGLILFTLADAHTSPNFSMLGVVMISGALIMDSFLGNLQEAIFKMNPETTQMEMLFCSTMVGFPFLIPPMVLTGELFKAWTSCSQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLISMGIILKMMPDSKPPVRPAKTLAITATGKPSQFDDNKVPPVEIEEEKDEEKRPLV >itb10g09460.t1 pep chromosome:ASM357664v1:10:12548677:12549280:-1 gene:itb10g09460 transcript:itb10g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMESHSKGISSSALPYKLTPPSWSRSLPKMSKITSCFFKVDMTDGHMFNNISLGGRGGTNLGQLKVHAGGILWKRLGGGKAVEVDKSDIAGLTCMKVPRSNQLGVRIKDSLYYKFIDFRGQDVSS >itb09g08050.t1 pep chromosome:ASM357664v1:9:4851936:4852157:1 gene:itb09g08050 transcript:itb09g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFHISFLIALLLTSSPRIHAARHHPPPLAGPSSPRSVQQLFHPRASAPAARDFESEKRRVPTGPNPLHNKR >itb09g15570.t3 pep chromosome:ASM357664v1:9:10803188:10808038:-1 gene:itb09g15570 transcript:itb09g15570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEEPPLAVEITAAVNESTPHPSTQINHQPETTDAPPVGVTVITGYLGAGKSTLINYILSAQHGKKIAVILNEFGEELGVERAMINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb09g15570.t2 pep chromosome:ASM357664v1:9:10803188:10808038:-1 gene:itb09g15570 transcript:itb09g15570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSKMQGESPNCVVELESAFFSNFPFSFSPRFPVWLRYCGAPSAAFCCSQKFQTPTTLTMEEDGEEPPLAVEITAAVNESTPHPSTQINHQPETTDAPPVGVTVITGYLGAGKSTLINYILSAQHGKKIAVILNEFGEELGVERAMINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb09g15570.t7 pep chromosome:ASM357664v1:9:10803188:10806987:-1 gene:itb09g15570 transcript:itb09g15570.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEEPPLAVEITAAVNESTPHPSTQINHQPETTDAPPVGVTVITGYLGAGKSTLINYILSAQHGKKIAVILNEFGEELGVERAMINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb09g15570.t5 pep chromosome:ASM357664v1:9:10803188:10808038:-1 gene:itb09g15570 transcript:itb09g15570.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb09g15570.t6 pep chromosome:ASM357664v1:9:10803188:10808038:-1 gene:itb09g15570 transcript:itb09g15570.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEEPPLAVEITAAVNESTPHPSTQINHQPETTDAPPVGVTVITGYLGAGKSTLINYILSAQHGKKIAVILNEFGEELGVERAMINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb09g15570.t4 pep chromosome:ASM357664v1:9:10803241:10807950:-1 gene:itb09g15570 transcript:itb09g15570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb09g15570.t1 pep chromosome:ASM357664v1:9:10803188:10809197:-1 gene:itb09g15570 transcript:itb09g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEEPPLAVEITAAVNESTPHPSTQINHQPETTDAPPVGVTVITGYLGAGKSTLINYILSAQHGKKIAVILNEFGEELGVERAMINEGESGGLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLRYQLKVHHESSSFPEAYLQIAYADVVILNKIDLVSQEGSGSALDELEKEIHNINSLANIIHSVRCQVDLSKILNCQAYDPTHVTHLEALLEANKSLTTRDLHDTGVRTLCICESQQINLDKIRVWLEELLWDKKYGMDIYRCKGILRVMNSDQLYTLQGVREIYEIVPTRDWRNGENQMNKIVFIGKSLNEDILLDSLRACVVETST >itb04g14870.t3 pep chromosome:ASM357664v1:4:15614342:15616707:-1 gene:itb04g14870 transcript:itb04g14870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQGVGADSYTFPFVLGTCVKGERFSEGNQVHGLAVKMGLGDNMFVSNSLVHFYGECGEVDNGHKVFDKMCERNVVSWTSLIDGYVRINQPKEAVTLFFEMIEGGIMPNLVTMVCVISACAKLGDLDLGERVCTFIGESGLKVNNIVVNALVDMYMKCGASDRAKQLFEGYGDRNLVLYNTILTNYVHNGFAKEALDVLNEMLHQGPRPDRVTLLATFATSAQVGDVFLGKQCHGYALRNGLEIWDSVGNAIIDMYMKCGKEELGCRVFNHMSNKTVVSWNSLIAGFVRNGDVGKAQEIFNQMTEKNLVSWNTIIGGLVQESLFEDAIHLFRVMLNEGIKANEMTMVSVACACGYLGALDLAKWIYSYVEKIEINCDIQLSTALLDMFARCGDISSAMEVFNKMKVRDVSAWSAAIGATAKEGNGKRAVELFYEMLQEDVKPDEVLFVAVLTACSHGGLVEEGKNIFRSMEEIHGISPQIVHYGCLVDLLGRAGLLDEALNVINNMPIEPNSAVWGALLAACRKHKNDEMATHAAEMIGEPASDENGIHVLLSNIYAHAGKWSDVAKVRLSMKEKGIRKCPGSSSIEVNGVIHEFTSADESHLEKAQINIMLEEMYSRLRGAGYAPDLTNVLLDINDQEKEFSLSRHSEKMAMAFGLISTQQRCPIRIVKNLRMCSDCHSFAKFVSKVYNRDVVVRDNNRFHFFRQGLCSCGDYW >itb04g14870.t2 pep chromosome:ASM357664v1:4:15612571:15616951:-1 gene:itb04g14870 transcript:itb04g14870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATISSPLSIPLSNATHVLSPQNQPAPSARRCNSRIGSLKSCKNLNEIKQFHAHMTKRGLSDNPTELTRLISKYSEVGTIESLEYARLAFKILNNVESNSINAYIFNSLIKGYSSSGLIDEAILVFVEMVSQGVGADSYTFPFVLGTCVKGERFSEGNQVHGLAVKMGLGDNMFVSNSLVHFYGECGEVDNGHKVFDKMCERNVVSWTSLIDGYVRINQPKEAVTLFFEMIEGGIMPNLVTMVCVISACAKLGDLDLGERVCTFIGESGLKVNNIVVNALVDMYMKCGASDRAKQLFEGYGDRNLVLYNTILTNYVHNGFAKEALDVLNEMLHQGPRPDRVTLLATFATSAQVGDVFLGKQCHGYALRNGLEIWDSVGNAIIDMYMKCGKEELGCRVFNHMSNKTVVSWNSLIAGFVRNGDVGKAQEIFNQMTEKNLVSWNTIIGGLVQESLFEDAIHLFRVMLNEGIKANEMTMVSVACACGYLGALDLAKWIYSYVEKIEINCDIQLSTALLDMFARCGDISSAMEVFNKMKVRDVSAWSAAIGATAKEGNGKRAVELFYEMLQEDVKPDEVLFVAVLTACSHGGLVEEGKNIFRSMEEIHGISPQIVHYGCLVDLLGRAGLLDEALNVINNMPIEPNSAVWGALLAACRKHKNDEMATHAAEMIGEPASDENGIHVLLSNIYAHAGKWSDVAKVRLSMKEKGIRKCPGSSSIEVNGVIHEFTSADESHLEKAQINIMLEEMYSRLRGAGYAPDLTNVLLDINDQEKEFSLSRHSEKMAMAFGLISTQQRCPIRIVKNLRMCSDCHSFAKFVSKVYNRDVVVRDNNRFHFFRQGLCSCGDYW >itb04g14870.t1 pep chromosome:ASM357664v1:4:15610895:15616951:-1 gene:itb04g14870 transcript:itb04g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATISSPLSIPLSNATHVLSPQNQPAPSARRCNSRIGSLKSCKNLNEIKQFHAHMTKRGLSDNPTELTRLISKYSEVGTIESLEYARLAFKILNNVESNSINAYIFNSLIKGYSSSGLIDEAILVFVEMVSQGVGADSYTFPFVLGTCVKGERFSEGNQVHGLAVKMGLGDNMFVSNSLVHFYGECGEVDNGHKVFDKMCERNVVSWTSLIDGYVRINQPKEAVTLFFEMIEGGIMPNLVTMVCVISACAKLGDLDLGERVCTFIGESGLKVNNIVVNALVDMYMKCGASDRAKQLFEGYGDRNLVLYNTILTNYVHNGFAKEALDVLNEMLHQGPRPDRVTLLATFATSAQVGDVFLGKQCHGYALRNGLEIWDSVGNAIIDMYMKCGKEELGCRVFNHMSNKTVVSWNSLIAGFVRNGDVGKAQEIFNQMTEKNLVSWNTIIGGLVQESLFEDAIHLFRVMLNEGIKANEMTMVSVACACGYLGALDLAKWIYSYVEKIEINCDIQLSTALLDMFARCGDISSAMEVFNKMKVRDVSAWSAAIGATAKEGNGKRAVELFYEMLQEDVKPDEVLFVAVLTACSHGGLVEEGKNIFRSMEEIHGISPQIVHYGCLVDLLGRAGLLDEALNVINNMPIEPNSAVWGALLAACRKHKNDEMATHAAEMIGEPASDENGIHVLLSNIYAHAGKWSDVAKVRLSMKEKGIRKCPGSSSIEVNGVIHEFTSADESHLEKAQINIMLEEMYSRLRGAGYAPDLTNVLLDINDQEKEFSLSRHSEKMAMAFGLISTQQRCPIRIVKNLRMCSDCHSFAKFVSKVYNRDVVVRDNNRFHFFRQGLCSCGDYW >itb02g04000.t1 pep chromosome:ASM357664v1:2:2388523:2389989:1 gene:itb02g04000 transcript:itb02g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 [Source:Projected from Arabidopsis thaliana (AT2G35770) UniProtKB/Swiss-Prot;Acc:Q8S8K6] MQKGKHSYVFCFIAILLSSGANLGAGRERDRISRLPGQPENVTFSQYSGYVTVDAKAGRALFYWLIESPGGGSKPLVLWLNGGPGCSSVGYGASEEVGPFRVLPDGQTLALTPYAWNKEANLLFLDSPAGVGFSYSNTSSDLITGDQRTVKDAYIFLKRWFARFPQYNQRPFYIAGESYAGHYIPQLSRIIVRRNKGINFKGFLLGNPLIDDYHDNVGTFEYWWNHGLISDSTYNALNNSCPSDSFLFPSKGCYDALFGAYTQFGNINPYGIYSTPCDALGTLPRHTLNTPLVTH >itb01g21910.t1 pep chromosome:ASM357664v1:1:27896150:27907579:1 gene:itb01g21910 transcript:itb01g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAEKVVVGDSRRDTILNPSNYVSHNTINNTFFKESGKQNIGTHISGDVEMGTPDTTSALEASTAGGKGGNNNGGNPPPGSNQPTQPFSCNNIENKEAQKNKGKEKVQTTLTTTIVTQMVENANDGILDVVDQKRQRVGEYGSGSGMDTMAMDVETLGKFDLTISLRPFTATLLRVYDPLRPPKGHSQIPHHTPIPTKCSIFLSPPPLTTTTVTTTASPFSHSFLQMASLSVKFLALSLQIHHANKLPAKTTSRLHATPPQTVAASPPPEIDAARLEPRVEERDGYFVLKEKFRQGINPQEKVKIEKEPMTLFMENGIEELANTPFEEIEQSKLTKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGITTSAQTRYLASVIRKYGKDGCADITTRQNWQIRGVVLPDVPEILRGLEQVGLTSLQSGMDNVRNAVGNPVAGIDPEEIVDTRPYNNLLSQLITANSRGNPAFSNLPRKWNVCVVGSHDLYEHPHINDLAYMPAMKDGRFGFNLLVGGFFSAKRCAEAIPLDAWVPADDIFPVCKAILEAFRDLGARGNRQKARMMWLIDELGIEGFRAEVVKRMPEQELERAAPEDLIQKDWERRDYFGVHPQKQQGYSFIGLHIPVGRLQADDMDDLARLADQYGSGELRLTVEQNIIIPDIQTSNIASLLKEPLLTKFSPEPPILMKGLVACTGSQFCGQAIIETKARALKITEEVQRHVSVSRPVRMHWTGCPNTCGQVQVADIGFMGCLARNTEGKAVEGADVFLGGKIGSDSHLGEVYKKGVPCDDLVPLIVELLVERFGGVRRQREEDED >itb11g22210.t3 pep chromosome:ASM357664v1:11:24048734:24054158:1 gene:itb11g22210 transcript:itb11g22210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLKGTLHLHFLQSQPRFPLQHNQQILISLHQNLGFLQEVLEKSEIAYNNSAMKDLEAEMRDVAFEAEERIEMELSSIYLQSSSIDEACLLRLDGILKQAVKQTDYLKKKLIKIQSKQQFAKGPSILGRMRQRGLLLGSTSSQPADPERENNITVSKFSKNASKFDSRMVGCDKEFKTILDKLTQQSAEHLQVVSIVGMGGIGKTTLAREVYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFTDCVNPASNDIPHKQRNDNSKASVRKQSRKQRIHNLSGSLRKHLKDQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLREVAEYASSGNSTINLSFLNDNESWNLYCNVFGQTEFLSMFEQIGRNIVKKCNGLPLAIIVIASLLSKTEETVEKWSNVAENVSRYVSSDSNDACSRILCLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLARLWAAEGFLKAEDHPNIEDPNMEEVAMECLQDLVDRSLVFVNKQSYNGKMKTIRIHDLLRDLCLREARHENLLNVIGDEKLPFYKKKISCRWTSATSSFHLLSLTKCFHKSHSFHYHNDYYYESAERLFSHFKLLRVLDIECICSDRYEELYALANLIHLRYLALKYSIDRTMGRYSHLELFEHWNMQSFIVRKNGGAFDSFEAYGIWKMPLLRNFCIEWIVSLGTLPVVHRNLESISWLHPKLCTKDLFTRIPNLKKLGIIDGGLDENNDGGSDENNLDCFYNFVNLGQLEELSIRGWKFNHIPCSGIAWATSFLPNLKKLKFFWTSLAWSDMRLIGMLPNLEVLKLINAIASEDTMWEPYEEGFRQLKRLVIEDKYGRWKHWNAVGDHFPLLECLELRECKYLQEIPSGFADIITLALIQLNGCGDSVLASAKLIQEEQYNNYGNALLVRSENIRTKGSGNSTEEEWDVQTKGSVYSTEEEWDVEEESDLSQD >itb11g22210.t2 pep chromosome:ASM357664v1:11:24048734:24054158:1 gene:itb11g22210 transcript:itb11g22210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLKGTLHLHFLQSQPRFPLQHNQQILISLHQNLGFLQEVLEKSEIAYNNSAMKDLEAEMRDVAFEAEERIEMELSSIYLQSSSIDEACLLRLDGILKQAVKQTDYLKKKLIKIQSKQQFAKGPSILGRMRQRGLLLGSTSSQPADPERENNITVSKFSKNASKFDSRMVGCDKEFKTILDKLTQQSAEHLQVVSIVGMGGIGKTTLAREVYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFTDCVNPASNDIPHKQRNDNSKASVRKQSRKQRIHNLSGSLRKHLKDQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLREVAEYASSEDYEIHVKKLARLWAAEGFLKAEDHPNIEDPNMEEVAMECLQDLVDRSLVFVNKQSYNGKMKTIRIHDLLRDLCLREARHENLLNVIGDEKLPFYKKKISCRWTSATSSFHLLSLTKCFHKSHSFHYHNDYYYESAERLFSHFKLLRVLDIECICSDRYEELYALANLIHLRYLALKYSIDRTMGRYSHLELFEHWNMQSFIVRKNGGAFDSFEAYGIWKMPLLRNFCIEWIVSLGTLPVVHRNLESISWLHPKLCTKDLFTRIPNLKKLGIIDGGLDENNDGGSDENNLDCFYNFVNLGQLEELSIRGWKFNHIPCSGIAWATSFLPNLKKLKFFWTSLAWSDMRLIGMLPNLEVLKLINAIASEDTMWEPYEEGFRQLKRLVIEDKYGRWKHWNAVGDHFPLLECLELRECKYLQEIPSGFADIITLALIQLNGCGDSVLASAKLIQEEQYNNYGNALLVRSENIRTKGSGNSTEEEWDVQTKGSVYSTEEEWDVEEESDLSQD >itb11g22210.t1 pep chromosome:ASM357664v1:11:24048668:24054158:1 gene:itb11g22210 transcript:itb11g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLKGTLHLHFLQSQPRFPLQHNQQILISLHQNLGFLQEVLEKSEIAYNNSAMKDLEAEMRDVAFEAEERIEMELSSIYLQSSSIDEACLLRLDGILKQAVKQTDYLKKKLIKIQSKQQFAKGPSILGRMRQRGLLLGSTSSQPADPERENNITVSKFSKNASKFDSRMVGCDKEFKTILDKLTQQSAEHLQVVSIVGMGGIGKTTLAREVYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFTDCVNPASNDIPHKQRNDNSKASVRKQSRKQRIHNLSGSLRKHLKDQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLREVAEYASSGNSTINLSFLNDNESWNLYCNVFGQTEFLSMFEQIGRNIVKKCNGLPLAIIVIASLLSKTEETVEKWSNVAENVSRYVSSDSNDACSRILCLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLARLWAAEGFLKAEDHPNIEDPNMEEVAMECLQDLVDRSLVFVNKQSYNGKMKTIRIHDLLRDLCLREARHENLLNVIGDEKLPFYKKKISCRWTSATSSFHLLSLTKCFHKSHSFHYHNDYYYESAERLFSHFKLLRVLDIECICSDRYEELYALANLIHLRYLALKYSIDRTMGRYSHLELFEHWNMQSFIVRKNGGAFDSFEAYGIWKMPLLRNFCIEWIVSLGTLPVVHRNLESISWLHPKLCTKDLFTRIPNLKKLGIIDGGLDENNDGGSDENNLDCFYNFVNLGQLEELSIRGWKFNHIPCSGIAWATSFLPNLKKLKFFWTSLAWSDMRLIGMLPNLEVLKLINAIASEDTMWEPYEEGFRQLKRLVIEDKYGRWKHWNAVGDHFPLLECLELRECKYLQEIPSGFADIITLALIQLNGCGDSVLASAKLIQEEQYNNYGNALLVRSENIRTKGSGNSTEEEWDVQTKGSVYSTEEEWDVEEESDLSQD >itb12g00080.t1 pep chromosome:ASM357664v1:12:97432:112548:1 gene:itb12g00080 transcript:itb12g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWSSFWRSRNRFSLDELRYLTDQLMKVQVVNEVNKDFVIEALRSIAELITYGDQHDGAFFEFFMEKQVMGEFVRILKISRTVIVSLQLLQTMSIMIQNLRREQSIYYIFCNEHINYLITYSFDFRNEELLSYYISFLRAISGKLNKNTISLLVKTQSDEVISFPLYVEAIRFAFHEEGMIRTAVRALTLNVYHVGDEAVNRYVVSAPHADYFISLIKFFREQCVSLNRLVSNASKNLGADAISSAISSVDEIEDNLYYISDVISAGIPDVGRLITDSILKFLIFPLILPSLRMEVVDDTEIGAATSLYLLCCILHIVKIKDLANTIAAALLCRIETFRPRSEAKINGYVASDGLTKECEESDNGDLKLKPDAGLLRVSVPKLSCSQNQLECKLQQDCSGSHFALREALLSYITCGNDVQVSGSLSVLATLLQTKELDEAMLDALGILPQRKQQKKLLLQALVGEESGEEQLFCSESNVTKDCFSGELDSYLEKLKDQYGVACSYQDIGTSPRVHRFQVLDALVSLFCRSNISAETLWHGGWLLRQLLPHSDANFNSNHRELLEGSYCSCTQRILEETRGTWPDLLIVVLSDEWRKCKRAIEASSPQKDPKSMLLQKKVSASEDMFSGDSSFAAGERMCEIVKVFVLVHQLRSFSIGKALPDQPPIQPPADSLESSRAKNAGMSGLSPKPNSEVNLVDAVPCRIAFERGKERHFCFLAITAGISGWLVLAEELPQKPRFGVVRVIAPLAGCNPRIDDKHSRWLHLRIRPSSFPYLDTGKYPSPSKVKVKALVDGRWTLAFRDEESCKSALSMILEEINFQSVEVERRIKPLLDIE >itb01g12400.t1 pep chromosome:ASM357664v1:1:11916448:11916960:-1 gene:itb01g12400 transcript:itb01g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGASHADIVMHALEEYKQKHGRKKFGYEHVWAIVKDLPSWQPQFVARQISLNTPSTNQTSSGSTTSTASGSEEVFPRLMGKRASKRKAKERYSNNDDDDDIHVSLEKQRELLERYQKLKMESDERKMKWKECKVLTRNTTGMTKEQLALHEEYCNDIKQRRQNTQGP >itb02g13260.t1 pep chromosome:ASM357664v1:2:9264425:9266663:-1 gene:itb02g13260 transcript:itb02g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDTSHRLKLINRSILFIFIVFFLFSFNVSFATSNNNNNNNPFSPTSSLIRYWNKHISNELPKPTFLLSKASPLSAVDAAFYARLAAGKALADHLSSFCSAANLFCGFDLSEEIDKRAHSDADFSSYTNKGFANYGSGRLGGADVFKGYSDGINFASGAFVRYSRSSTGHREDFANYSPDANVAAGNFTSYAAGATGGAGDFKTYMPRVNVPDLRFSSYDSSGNNHKLTFKSYVDDTNSGNQAFVSYAKNGNGVPADFNSYGDTSNVIGSAFTGYGELGNAANDSFTAYSSNANNPTNNFKNYGNGGNSGVHSFESYRDTANAGRDTFQSYDRDSNTGKTSFLNYGKSFNEGLDTFKEYAKGGRNPNVGFKVYGFNNSFKEYAQKGITFAGYTKKTSKIVSGKISEPKFFRENMLKEGSTMKMPDIKDKMPARSFLPRPISSKLPFSSKEMSELKRVFHARDNSTMERVIINALGECERVPSRGETKRCVGSVEDMIDFAAATLGRDITVRTTENRAGSRREVVIGKVNGVNGGRVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADILDVETKTKINRGVAICHLDTSAWSPGHLAFVTLGYGPGQIEVCHWIFENDMTWTTADR >itb05g15700.t1 pep chromosome:ASM357664v1:5:23085032:23086777:1 gene:itb05g15700 transcript:itb05g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASSTFAAAARAISSSPKLAACAFPSPSPSLQLRPFSSKSLSLTASPAAPKRSLLVKTQSSLSDSAPAGDVQEMYVYEINERDRGSPAYLRLSYKKENSLGDLVPFTNKVYSGDLQKRVGITAGLCILIQHEEEKGGDRYEAVFSFYFGDYGHIAVQGPYLTYDESYLAVTGGSGIFEGVTGQVKLRQLVYPFKLFYTFYLKGIEKLPAELTGTPVPPSPAVEPSPAAKSCEAGATVRNFTN >itb05g15700.t2 pep chromosome:ASM357664v1:5:23084886:23086777:1 gene:itb05g15700 transcript:itb05g15700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASSTFAAAARAISSSPKLAACAFPSPSPSLQLRPFSSKSLSLTASPAAPKRSLLVKTQSSLSDSAPAGNGLFATPFNGDVQEMYVYEINERDRGSPAYLRLSYKKENSLGDLVPFTNKVYSGDLQKRVGITAGLCILIQHEEEKGGDRYEAVFSFYFGDYGHIAVQGPYLTYDESYLAVTGGSGIFEGVTGQVKLRQLVYPFKLFYTFYLKGIEKLPAELTGTPVPPSPAVEPSPAAKSCEAGATVRNFTN >itb11g03080.t1 pep chromosome:ASM357664v1:11:1575117:1577697:-1 gene:itb11g03080 transcript:itb11g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEMPQRQSPRAPSQLKTSSSDSDSSHHRAVNDRSPKPLSDRRSSPRSAQSDAPSQKKLGARITDLESQLGQAQEELRCLKVQLASAEAAKKAAQELLEKKTKKPSENADEIAGDCLQETDVFEISVEPKPKYSEASDQEEVSNEKLRLKNDELDSLRAKLEEKENELEKFSQENKSLNLQLDEKVVEVSSAKAKEEETALKLNQVIEELEATKSNAAGINEKLDATEKAKEELEAEMKKLRVQTEQWRKAADAAAAVLAGGAEMNEGRISERCGSMDKHYNSSVFESAVGGYGSYLGSPGLIGETEDFGSGKRRSSGIKMFDLWKKKTQK >itb11g03080.t2 pep chromosome:ASM357664v1:11:1575111:1576697:-1 gene:itb11g03080 transcript:itb11g03080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEMPQRQSPRAPSQLKTSSSDSDSSHHRAVNDRSPKPLSDRRSSPRSAQSDAPSQKKLGARITDLESQLGQAQEELRCLKVQLASAEAAKKAAQELLEKKTKKPSENADEIAGDCLQETDVFEISVEPKPKYSEASDQEEVSNEKLRLKNDELDSLRAKLEEKENELEKFSQENKSLNLQLDEKVVEVSSAKAKEEETALKLNQVIEELEATKSNAAGINEKLDATEKAKEELEAEMKKLRVQTEQWRKAADAAAAVLAGGAEMNEGRISERCGSMDKHYNSSVFESAVGGYGSYLGSPGLIGETEDFGSGKRRSSGIKMFDLWKKKTQK >itb04g00380.t2 pep chromosome:ASM357664v1:4:248879:250532:1 gene:itb04g00380 transcript:itb04g00380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATYPMDMVRGRITVQTENSPYQYRGMFHALSTVLRNEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKSKPLGLVEDSELSVVTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDGASIVTGEGKSKAPLEYTGMIDAFRKTVRYEGVRALYKGLVPNSVKVVPSIAIAFVTYEQVKDILGVEIRISD >itb04g00380.t1 pep chromosome:ASM357664v1:4:246484:250540:1 gene:itb04g00380 transcript:itb04g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVKTGESAVEKIVNLAEEAKLAREEIKPTSHALLSISKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTVQGLKYIWKTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWLYREQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTENSPYQYRGMFHALSTVLRNEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKSKPLGLVEDSELSVVTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDGASIVTGEGKSKAPLEYTGMIDAFRKTVRYEGVRALYKGLVPNSVKVVPSIAIAFVTYEQVKDILGVEIRISD >itb06g16530.t1 pep chromosome:ASM357664v1:6:20682542:20684893:-1 gene:itb06g16530 transcript:itb06g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNCKTVEDALKEKIMEDGALQKDNEFHQFMTQFFLPYRGMCARKGVRAKEVVLICSDITSALAEYVNGNAICSIVLGAANRNAIVRAFKHLDIPSSLAKSVPDFCTIYAVSRVKVQVVKLANRPPLPGCHKPSSLANPLPNAPYSEDLTKQGVPRRPARPEFLDGGSRERSSSLLNRSSPSPLHPNYSSSYVMPNASSSEKTPFPNQPSKLGGHANDMRPSPPNQINHSNLQFQAADTFSYCATDLCELLASASFISDASYPHSDASSHFVYPQALVSSGKFAY >itb06g16530.t2 pep chromosome:ASM357664v1:6:20682542:20684893:-1 gene:itb06g16530 transcript:itb06g16530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSSQEARFATTVAIDKDRNSQHAVKWTLDNLPPGIREIVLVHVHTKLQNQDALKEKIMEDGALQKDNEFHQFMTQFFLPYRGMCARKGVRAKEVVLICSDITSALAEYVNGNAICSIVLGAANRNAIVRAFKHLDIPSSLAKSVPDFCTIYAVSRVKVQVVKLANRPPLPGCHKPSSLANPLPNAPYSEDLTKQGVPRRPARPEFLDGGSRERSSSLLNRSSPSPLHPNYSSSYVMPNASSSEKTPFPNQPSKLGGHANDMRPSPPNQINHSNLQFQAADTFSYCATDLCELLASASFISDASYPHSDASSHFVYPQALVSSGKFAY >itb07g07460.t1 pep chromosome:ASM357664v1:7:5657738:5658494:1 gene:itb07g07460 transcript:itb07g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTMKCLAISVIMVVLVLGLMEATEAVDCNPLQLTPCANAISSSTTPPTAECCSRLKEQKNCLCGYMKDPKLRRFVASPNARKVAIACGSPFPNC >itb11g10880.t1 pep chromosome:ASM357664v1:11:7752944:7755320:1 gene:itb11g10880 transcript:itb11g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKEKVVQIQTDELVSVELPAPPSWKKLYMPTEGGRVVFVAPTGEEINNKRQLGQYLKSHPGNPAASEFDWGTGETPRRSSRISEKSKARPLTSEIETHKKRRRTSSGRKKDSKEAEEAEGKEEEKDKEAAKETEGEEKKEAETAKEETEDKKEGEESTEPKDEDTAKDDKSLDDSKDGAAEVVVNADKAETESKSTELTSSMEGTDEIKESKGSDDHPKLQDEEIENNKKGPVIENGVGSQPQPGFTNTPNNPSPAPISC >itb03g05730.t1 pep chromosome:ASM357664v1:3:4064639:4068375:1 gene:itb03g05730 transcript:itb03g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPIEGELDGDMEPHGADVDMSTADYDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPATDAASQASREEVDSRSIFVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALKLSESELHGRQLKVMPKRTNVPGMKQFRPRRFNPYLAYGFRRPYVPPYFYSPYGYGKVPRFRRPTRYMPYY >itb07g18890.t1 pep chromosome:ASM357664v1:7:23311921:23313426:1 gene:itb07g18890 transcript:itb07g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAALRRDGETETRLRYALPFRLYADRRFADSPPRLHRPCLHPSPSSGPSVHHYRFIASGVRVQLPLTSHLAISPQLLRSKSSFPTKSITEVQAIQFQS >itb14g03000.t1 pep chromosome:ASM357664v1:14:2699577:2701814:-1 gene:itb14g03000 transcript:itb14g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDVAVERKEFYIDLGAVLIALRSHWVHKNPNFDIIGLNDLMESKGGKKSSSKSSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >itb03g19460.t1 pep chromosome:ASM357664v1:3:17569024:17573895:-1 gene:itb03g19460 transcript:itb03g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRCGGGEYEQREDGDGEGEQMPNHRRRRPPDKIQNLSSVALKSPLDYKFLLSIVTMDQKLPLFFRVMNIQGSETKLHLPPMISRKLVEQGLKEVLLTSQKGIWEVEIHSFEGKLWFTKGWDDFVLRHGLSNIHLILFKHTGNSSFKVKVLDWVCGYEIDFNGDSKTKNKRGKTQGKNAEDINRVSVKQEVDIDEVPSPVEIQKHDHAHDKEDTKRKQKSKVENVFSTEHPQFVTSIKQYNVRRRSPYMHIPADFCVANDLYNNGRICLKGPSSEQEVSLKVCKADGCGSAPEKGGGGREDNGGERKEGGNGEDEILEREGREKEESSERTVAGDMAPPCPDSREREREREDTVKSFLISEAEAGMKTKAGRDENGNSRRTIFGVQKKEL >itb15g17050.t1 pep chromosome:ASM357664v1:15:17229412:17239096:1 gene:itb15g17050 transcript:itb15g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMAITTILEKMTGKDKDYRYMATSDLLNELNKEGFKLDAELEAKLSNVVLQQLDDAAGDVSGLAVKCLAPLVKKVREQQVLEMTDRLCDKLLNGKEQHRDIASIALKTIVSEVPSSVAQSILVSISPKLIKGITAPGMNTEIKCECLDILCDVLHKYGTLITSDHEVLLGALLPQLSSNQASVRKKTVSCLASLASSLSDDLLAKVTVEVVKLLRNKSSKPEMTRTNIQMIGALSRAVGYRFGPHLADTVPILINYCTTASENDEELREYSLQALESFLLRCHRDISPYCDQILHLTLEFLSYDPNFTDNMEEDTDDEIQEEEEDDESADEYTDDEDVSWKVRRAAAKCLAALIVSRPEMISKLYEEACPKLIDRFKEREENVKMDMFNTFIELLRQTGNVTKGQTDFNQSSPRWLLNQEVPKIVRSVNKQLREKSVKTKVGAFSVLKELVIVLPDCLAEHIGSLIPGIEKALCDKSSTSNLKTEALIFTRLVLASHSPPVFHPHIKAISSPVISAIGERYYKVTAEALRVCGELVRVLRPDIQGYGFDFKPYVHPIYNAIMARFTNQDQDQEVKECAITCMGLVVSTFGDHLQTELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLHLDLSCVIEQVIAELTAFLRKANRALRQATLGTLNTLIVAYGDKIGSAAYEVIIVELSSLISDSDLHMTALALELCCTLMADRRSSPSVGLTVRNKVLPQALVLVKSSLLQGQALLALQNFFAALVYSANTSFDELLDSLLSTARPSTQSGGVAKQALFSIAQCVAVLCLAAGDQQCSSTVNMLTEILKVDSSTNSAKQHLALLCLGEIGRRKDLSSHSHIENIVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILDKIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVDKILHLLFNHCESEEEGVRNVVAECLGKIALIEPGKLVPALKERTTNPAAFTRATVVIAIKYSLVERPEKIDAILSNEISSFLMLIKDDDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTTIKKELIRTVDLGPFKHTVDDGLELRKAAFECMDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLAEKCPSAVLAVLDSLVDPLQKTINFRPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDYSHKFKNLMSEIAKSQTLWDKYCSIKNE >itb08g01160.t2 pep chromosome:ASM357664v1:8:869966:877373:-1 gene:itb08g01160 transcript:itb08g01160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFQVEDQTDEDFFDKLVDDEDDGDFKAVVPGTVQASFDGNESDEVKAFASLSLSDSNDNINGNNETDGWETGIGDGGDEGAVIPDDVKPGTLVEENVVKTSDSLDLDPLNSAGLTSLGECSNGNLADEVASDAAVDKSSGSGHSGVKEVGWSAFNAGSGSNGDSGGFGSYSDFFNEVGGGAEINMNIESKIVSADPVHDSAYLNTSNSYAHHQQDYDYSAATDPATDGQNLNSSQYWESLYPGWKYDPNTGQWYQVDNYEAGANVQGSYDPSLSANWENGKTEISYLQQTAQSAAGTQTESGTTENVTNWNQVSQANDMVTDWNQASQVNNGYPSHMIFDPNYPGWYYDTIAQEWRSLDTYARSSQSTIEAENQLNQNGFASSMTFSQNDEQMIHGAFGQADSSSGQQFSSKALANNWSGSFGHYNQQTPSTWQTQCIANSEPMQEYKGNQQVENNYGHDYSATNQFSQPMTNNYEETSIYHGNANQNQSEFPLLARSQGLASPGSFSQQFHQPSIEQNDLKHSSSEYFGNHNSFNFSQPFQSTQQFTYAPGVERSSAGRPPHALVTFGFGGKLILMKHNSSLGNSSFGNENPVGGSISVLDLIDIVTERVDSSVGTGTCSYLRTLFRQSFPGPLVGGSVGSKELNKWIDDRIVHSGSPDLDYRKVEVLRLLLSLLKIGCQYYGKLRSPFGTDTAIKESDSPETAVAKLFASAKSSVLLNQYGAAIRCVQQLPSEGQMQATATEVQSLLVSGRKKEALQCAQEGQLWGPALVLAAQLGEQFYGETVKQMALRQLVPGTPLRTLCLLIAGQPAAVFSADTMADGVMPGALNIPQQPAQFGANGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERNDIVAAHICYLVAEANFEPYSDTARLCLVGADHWKFPRTYASPEAIQRTELFEYSKLLGNSQFVLLPFQPYKLVYAHMLAEVGKISDALKYSQALQKSLKTGRAPEVETLRQLSSSLEERIKTYQQGGFSANLAPSKFVGKLLNLFDSTAHRVVGGLPPPAPSSINTQANEQIHQSVGPRVSNSQSTMAMSSLVPSTSMEPISDYANGSKKTFHNRSASEPDFGRSSLQGKVESPPKDASPSTTMQENASGGGTSRFSRFSFGSQIIQKTVGLVLKSRQGRQAKLGDQNKFYYDEKLKRWVEEGAEPPAEEPTIAPPPTAASFQNGASDYNLRNAPSEYNLRSALKNEGSSNGTPELKSPSPVDSGSGMPPLPPTSNQFSARSRTSVRSRYVDTFNKGGGNATNLFHSPSVPSTKPANPATQKFFVPAPVVSSEQPVDSTIDSTQDIATNNEHPPLSHVNDAFQSPPPPSDMTIQRFGSTGNLSNKLAPAVPGSFQVLSRRTASWSGALSESTSPEHKSNAKPLGEVPGMPPPSSFMPPDTSLMHSSRSGSFGEDLHEVEL >itb08g01160.t3 pep chromosome:ASM357664v1:8:869966:877205:-1 gene:itb08g01160 transcript:itb08g01160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFQVEDQTDEDFFDKLVDDEDDGDFKAVVPGTVQASFDGNESDEVKAFASLSLSDSNDNINGNNETDGWETGIGDGGDEGAVIPDDVKPGTLVEENVVKTSDSLDLDPLNSAGLTSLGECSNGNLADEVASDAAVDKSSGSGHSGVKEVGWSAFNAGSGSNGDSGGFGSYSDFFNEVGGGAEINMNIESKIVSADPVHDSAYLNTSNSYAHHQQDYDYSAATDPATDGQNLNSSQYWESLYPGWKYDPNTGQWYQVDNYEAGANVQGSYDPSLSANWENGKTEISYLQQTAQSAAGTQTESGTTENVTNWNQVSQANDMVTDWNQASQVNNGYPSHMIFDPNYPGWYYDTIAQEWRSLDTYARSSQSTIEAENQLNQNGFASSMTFSQNDEQMIHGAFGQADSSSGQQFSSKALANNWSGSFGHYNQQTPSTWQTQCIANSEPMQEYKGNQQVENNYGHDYSATNQFSQPMTNNYEETSIYHGNANQNQSEFPLLARSQGLASPGSFSQQFHQPSIEQNDLKHSSSEYFGNHNSFNFSQPFQSTQQFTYAPGVERSSAGRPPHALVTFGFGGKLILMKHNSSLGNSSFGNENPVGGSISVLDLIDIVTERVDSSVGTGTCSYLRTLFRQSFPGPLVGGSVGSKELNKWIDDRIVHSGSPDLDYRKVEVLRLLLSLLKIGCQYYGKLRSPFGTDTAIKESDSPETAVAKLFASAKSSVLLNQYGAAIRCVQQLPSEGQMQATATEVQSLLVSGRKKEALQCAQEGQLWGPALVLAAQLGEQFYGETVKQMALRQLVPGTPLRTLCLLIAGQPAAVFSADTMADGVMPGALNIPQQPAQFGANGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERNDIVAAHICYLVAEANFEPYSDTARLCLVGADHWKFPRTYASPEAIQRTELFEYSKLLGNSQFVLLPFQPYKLVYAHMLAEVGKISDALKYSQALQKSLKTGRAPEVETLRQLSSSLEERIKTYQQGGFSANLAPSKFVGKLLNLFDSTAHRVVGGLPPPAPSSINTQANEQIHQSVGPRVSNSQSTMAMSSLVPSTSMEPISDYANGSKKTFHNRSASEPDFGRSSLQGKVESPPKDASPSTTMQENASGGGTSRFSRFSFGSQIIQKTVGLVLKSRQGRQAKLGDQNKFYYDEKLKRWVEEGAEPPAEEPTIAPPPTAASFQNGASDYNLRNAPSEYNLRSALKNEGSSNGTPELKSPSPVDSGSGMPPLPPTSNQFSARSRTSVRSRYVDTFNKGGGNATNLFHSPSVPSTKPANPATQKFFVPAPVVSSEQPVDSTIDSTQDIATNNEHPPLSHVNDAFQSPPPPSDMTIQRFGSTGNLSNKLAPAVPGSFQVLSRRTASWSGALSESTSPEHKSNAKPLGEVPGMPPPSSFMPPDTSLMHSSRSGSFGEDLHEVEL >itb08g01160.t1 pep chromosome:ASM357664v1:8:869966:877373:-1 gene:itb08g01160 transcript:itb08g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFQVEDQTDEDFFDKLVDDEDDGDFKAVVPGTVQASFDGNESDEVKAFASLSLSDSNDNINGNNETDGWETGIGDGGDEGAVIPDDVKPGTLVEENVVKTSDSLDLDPLNSAGLTSLGECSNGNLADEVASDAAVDKSSGSGHSGVKEVGWSAFNAGSGSNGDSGGFGSYSDFFNEVGGGAEINMNIESKIVSADPVHDSAYLNTSNSYAHHQQDYDYSAATDPATDGQNLNSSQYWESLYPGWKYDPNTGQWYQVDNYEAGANVQGSYDPSLSANWENGKTEISYLQQTAQSAAGTQTESGTTENVTNWNQVSQANDMVTDWNQASQVNNGYPSHMIFDPNYPGWYYDTIAQEWRSLDTYARSSQSTIEAENQLNQNGFASSMTFSQNDEQMIHGAFGQADSSSGQQFSSKALANNWSGSFGHYNQQTPSTWQTQCIANSEPMQEYKGNQQVENNYGHDYSATNQFSQPMTNNYEETSIYHGNANQNQSEFPLLARSQGLASPGSFSQQFHQPSIEQNDLKHSSSEYFGNHNSFNFSQPFQSTQQFTYAPGVERSSAGRPPHALVTFGFGGKLILMKHNSSLGNSSFGNENPVGGSISVLDLIDIVTERVDSSVGTGTCSYLRTLFRQSFPGPLVGGSVGSKELNKWIDDRIVHSGSPDLDYRKVEVLRLLLSLLKIGCQYYGKLRSPFGTDTAIKESDSPETAVAKLFASAKSSVLLNQYGAAIRCVQQLPSEGQMQATATEVQSLLVSGRKKEALQCAQEGQLWGPALVLAAQLGEQFYGETVKQMALRQLVPGTPLRTLCLLIAGQPAAVFSADTMADGVMPGALNIPQQPAQFGANGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERNDIVAAHICYLVAEANFEPYSDTARLCLVGADHWKFPRTYASPEAIQRTELFEYSKLLGNSQFVLLPFQPYKLVYAHMLAEVGKISDALKYSQALQKSLKTGRAPEVETLRQLSSSLEERIKTYQQGGFSANLAPSKFVGKLLNLFDSTAHRVVGGLPPPAPSSINTQANEQIHQSVGPRVSNSQSTMAMSSLVPSTSMEPISDYANGSKKTFHNRSASEPDFGRSSLQGKVESPPKDASPSTTMQENASGGGTSRFSRFSFGSQIIQKTVGLVLKSRQGRQAKLGDQNKFYYDEKLKRWVEEGAEPPAEEPTIAPPPTAASFQNGASDYNLRNAPSEYNLRSALKNEGSSNGTPELKSPSPVDSGSGMPPLPPTSNQFSARSRTSVRSRYVDTFNKGGGNATNLFHSPSVPSTKPANPATQKFFVPAPVVSSEQPVDSTIDSTQDIATNNEHPPLSHVNDAFQSPPPPSDMTIQRFGSTGNLSNKLAPAVPGSFQVLSRRTASWSGALSESTSPEHKSNAKPLGEVPGMPPPSSFMPPDTSLMHSSRSGSFGEDLHEVEL >itb13g17290.t1 pep chromosome:ASM357664v1:13:24298388:24298678:-1 gene:itb13g17290 transcript:itb13g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKPVVIFSKSSCCMSYSIKSLFSDLAVYPAVHELDEIPRGREIEQFLSRIGCNPTVPAVFIGGELVGGENEVIGLHLQGSLKPMLKKAGAIWV >itb08g02800.t1 pep chromosome:ASM357664v1:8:2380757:2385641:-1 gene:itb08g02800 transcript:itb08g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEKMSDGGDSLLSNGKAERGNDDGVYSGCSLSPALMATVISISDTFLPAVDVPQHAAVNDDDSVKLFFQTSASMAGTPQRVAWMISERLKHPKMILCRLTLWLLSTRIGTLMLCGKASLSWQFPYLQSFPHISVEKRAEIVRSWSCCYIKLLKTFYLAMKILVLLAFFSQVNENNENISWKAIDYCGPDPDSSKKPARKSKKWRTGEQHMALSEEQKKEEEDVVVPMNAELLLGPLYKGVINLNQEPRRQVFDRLQKLGFPVSPCMQKGSGKPSFVIDCDAVVVGSGSGGGVIAGVLANAGHKVVVLEKGKYFARSSLSLLEGTSLDEMYLGGGLVASKNMDILMLAGSTVGGGSAINWSASIQTPPHVCKEWDEAHKLELFGSKEYEHAMETVCRKMGVQSEVEDEGFQNMVLRKGCLELGYPMETIPRNASRDHYCGWCCFGCKDGKKKGTSETWLADLVDSGNGVILPECEAVKVIHSNKKTGRERAEGVVFAYQSNGVKEFCFVKSRVTVVACGALCTPSLLKNSGLGNPNIGRNLHLHPVVFAWGYFPDAPSSEAWPEAEKKGYQGGIMTAMSKVVANFEESGYGALIQTPSLHPGLFSAIMPWLSGTDIKTRMQRFSRTAILFALARDKTSGEAPSPSSISYNLQKTDKDNLKNGLEKVLRILAAAGAEEIGTCHRTGKILKPKGASRDEIEEFVEKESSRDLGNLSTLIGSAHQMGSCRMGVDPRSSVVNPMGETWEVEGLFLADSSVFPTALGVNPMVTVQAIAYCTAQSVLKFLKTQKHMQQGYIKKLQDLAV >itb10g25710.t1 pep chromosome:ASM357664v1:10:28908640:28911098:-1 gene:itb10g25710 transcript:itb10g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVSSTSPTANKWIGFVTAVWVQAISGNNYTFSNYSDALKTLMALTQLQLNNLSVAKDVGKAFGILAGIASDRLPTPVILLIGSVEGFIGYGVQWLVVSGRIQPLPYWAMCIFLCMGGNSTTWMNTAILVTCIRNFRKNRGPVSGILKGYVGLSTAIFTDICSALFADDPAKFLLMLAVVPFVVCLTAIFFLREVPPSSTAVEEKEEVKYFGVVNIIAIVIAVYLLVFDVSGPHGRLFSQVFAAVLLVLLASPLSLPVYLTIKNYLRSNSNSNGLDVERNPTQPLLAQETLPVEKSGDPAPPAAADDDVVKTPPVIGEDHTIFEAMKTVDFWILFVSFLCGVGTGLAVMNNMGQMGLALGYADVSIFVSLTSIWGFFGRILSGSVSEYFIKKAGTPRPVWNAASQILMAVGYIMMAMALPGSLYVGSIVVGICYGVRLAVTVPTASELFGLKYYGLIYNVLIINLPLGSFLFSGLLAGLLYDAEATETAGGGNTCVGAHCYRLVFIVMAIACVVGFGLDVLLSIRTRAVYSKIYAARKTKKTAPSLS >itb01g27120.t2 pep chromosome:ASM357664v1:1:32069385:32071340:1 gene:itb01g27120 transcript:itb01g27120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGGRCFAAFILLLLQACHGFYLPGSYNKHIFSKADVLFLKANSLTSIETQLPFSYYSLPYCRPPGGIKVSARNLGQILMGDQIVNSAYRLRMNVNESIYLCTTPPLSAYDVKELKQRTRDLYQVNMMLDGLPLMRYASQMGITFQWTGFPVGFTDMYSNGDYLINHLKFRVRVHKHEEKDESFQSQMIGVGEEGVAVIEEDEDMNGYEIVGVEVVPCSVKYDPEEMRALHMYDHIPHLNCPLDLNKCQVIREQERVSYTYEVEFVKSDVRWESRWDAYLVMEGTRVHCLSILSSLVLIFLLAGGVVMILKNTVKKQAFQPQGKEDLPGWRLIMGDVFREPGHSKLLCVMIGNGVQITGTAILTVVFAALGFISPASPRMLLLGLIGFYLLPGILSGYTGVRLWITLKGTSENWKSVSFSTSCFFPGIVFVVRVLLDFIYWGNHSTAAISMYTYLQLLLLWFCISVPLTLLGGYLGTKPERTPHPLQTNHIPREITAPKYHSWLLVLVGGSIAFSTIFVDLFFILSSIWFGRFYYAYGFLLIGVVLLVVVCAQVSVVFTYMRLRAEDWKWWWKAFFASGSAGFFVFAYCASYLMFDLNGLSGLAPAMIYLGYSLLISMAVMLSTGAIGFLTALYFVRYLYSSLNIDGII >itb01g27120.t1 pep chromosome:ASM357664v1:1:32069217:32071644:1 gene:itb01g27120 transcript:itb01g27120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRAMESPGGRCFAAFILLLLQACHGFYLPGSYNKHIFSKADVLFLKANSLTSIETQLPFSYYSLPYCRPPGGIKVSARNLGQILMGDQIVNSAYRLRMNVNESIYLCTTPPLSAYDVKELKQRTRDLYQVNMMLDGLPLMRYASQMGITFQWTGFPVGFTDMYSNGDYLINHLKFRVRVHKHEEKDESFQSQMIGVGEEGVAVIEEDEDMNGYEIVGVEVVPCSVKYDPEEMRALHMYDHIPHLNCPLDLNKCQVIREQERVSYTYEVEFVKSDVRWESRWDAYLVMEGTRVHCLSILSSLVLIFLLAGGVVMILKNTVKKQAFQPQGKEDLPGWRLIMGDVFREPGHSKLLCVMIGNGVQITGTAILTVVFAALGFISPASPRMLLLGLIGFYLLPGILSGYTGVRLWITLKGTSENWKSVSFSTSCFFPGIVFVVRVLLDFIYWGNHSTAAISMYTYLQLLLLWFCISVPLTLLGGYLGTKPERTPHPLQTNHIPREITAPKYHSWLLVLVGGSIAFSTIFVDLFFILSSIWFGRFYYAYGFLLIGVVLLVVVCAQVSVVFTYMRLRAEDWKWWWKAFFASGSAGFFVFAYCASYLMFDLNGLSGLAPAMIYLGYSLLISMAVMLSTGAIGFLTALYFVRYLYSSLNIDGII >itb10g06840.t1 pep chromosome:ASM357664v1:10:7735149:7741010:-1 gene:itb10g06840 transcript:itb10g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTMKSNVFCYRAVSIFKGKTKKVSAITGGAEFSLGIAIEAEAPESSCSSFLPSCIQFKRFDMHRDIAKKIKELDSTLDRITKEKDQFKFNTCAASHSDQESKRVTTTFDVDVSEIQGLHGWKLQELPKEIGNLLHLRYIDLSWSKVEELPDAVCSLYNLQTLDLRRCMSFSRLPKRIGNLRQLRYIDLSLSKVEELPDTIHSLFSLQTLDLEGCEQFSRLPDKIGDLSQLRYINLSESKVEKLPDTICSLENLRTLVLKKCERLSRLPEGIGNLVELRYINLNDCENVEELPKGIGNLINLRHLDIRGTKRLEMMPQGMAKLTQLCSLSEFKVGKESSKLGYMEKLNQLKGELSIFFLCDLNSPADVEEAKKAELRKKKHIKELHLHFSPGVDVGIDVIEALKPPPELQNLELNGYGGIHFPSWITLSLHNLQILKIWGCENCPSLPPLGKLPSLETLIIFYMKKLRYVGSEFLGVAEVGGVAFPKLKELEFTWCEELEEWEDFKEEATIIIMPCIRELELSYCRKLKTVPHHLLSRLESLKINDCPGVSVGIDALKPPLELQTLEFNGYGGTHFPSWITLSLHNLRILKIRMCRNCSSLPPLGKLPSLETLSIWSMRELRYVGREFLGVTEVGGVAFPKLKELEFYDCREWEEWEDLKEEATTIIMPCIKELELDDCRKLKTVPHHLLSRLESLKIKDCPSLKVE >itb02g06540.t1 pep chromosome:ASM357664v1:2:4089209:4092036:1 gene:itb02g06540 transcript:itb02g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGLSFDPDPIRHLPYKPPSSEPTQNHRHRLHEPPRHRKFIKLEPFLSPPSMESPVNNRSPPPTIQFPVNRNCSDHRRHTHDQEDHDHKTTVLGEMDFFAYTKDNNNGDSRASAVDDDVKDLRTSTELDFSINTGLHLLTANTNSDQSIVEDELSPNSEDKRAKSELAVVQAELERMNGENRRLRDTLNQVTNNYTSLQMHVMTLMQQQQQQQQSHGKTEESKQTRQNNGGQMLPRQFMDLGLAAGGGGGPTEADEASLSSSEGRSGREGSQSPTNNLDEASRADSPEKGSGWRSNKVARSGHASKSGNIDQATEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAAKMLLSGSMPSADGLMNSNFLARTLLPCSSSMATISASAPFPTVTLDLTQNQNPNQTQFPRPPNPFQFPFPNPSHNPAAALLPQIFGQALYNQSKFSGLQLSQDLENIHAPPSSMPPHQSSQQNPLADTVNALASDPNFTAALAAAITSLIGNPSHSGNASNTSNANNNGSVITSSNGNDKVNT >itb09g03830.t1 pep chromosome:ASM357664v1:9:2099447:2100600:1 gene:itb09g03830 transcript:itb09g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTSTTLDTLLFPFFFALCAVVAFADQSPEQQKTTGWWCVIMRCPVVPLVPLFPDIPPWNLSPNAPWFQPPLPPFGEPGTFPHFPGIMPPPPPPSHHHSRRHRHHHSRHHQHSEPLPRLSSATRHHRHPPLSSATRNHRHPRLRSATRNHRHPPSLPPLPSTTRNHRHSRLRSATRNHRHPPSLPPLPSATRTHRHQHPPLRSATRNRKHSSNPCRLRHPHSHPPPTETITIKPILPPSPSAAVEDSPLKPSSLPASPPPGNSEPLIPPPVSTNQPSDCSMALIKGGDACVEDLTQSASGNQILIKRDCCKIILQLSDECFHKAFTKFSDPKFRNKARAFCSP >itb01g24570.t1 pep chromosome:ASM357664v1:1:30189053:30197210:1 gene:itb01g24570 transcript:itb01g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLPVSIALLFLAYKLYYKLRFNLPPGPRTLPIVGNLYHIKPLRFRHFAEWAQEYGPIFSVFFGSQLNVVVSTAALAKEVLKDNDHHLAHRFRTRSASNLSRNGMDLIWADYGAHYVKVRKLCNLELFTPKRLDSLRPIREDEVSAMVENIFRDCNSGKSLLMRKYLGSVAFNNITRLTFGKRFMNSEGEIDEQGQEFKGIVSNGIKIGAKLPMGEYVPWLRWAFKVDNEALESQASRRDRLTRRIMEEHTAARNKTGDTKQHFVDALLTLQNQYDLTDDTVIGLLWDMITAGMDTTTISAEWAMAELVKNPRVQHKAQEELDRVIGSDRIITESDFSQLPYLQCVAKEALRLHPPTPLMLPHKASTTVKIGGYDIPKNSIVHVNVWAVARDPGVWKEPLEFRPERFVEEDVDMKGHDYRLLPFGAGRRICPGTQLAINMVTSMLGHLMHHYTWTPPPGVKAEDIDMTESPGMVTYMHNPLQAVATPRLPAHLYKHRLRAMDVKCKQVAEIEQNTDVDSWIQEFFTPNCNQVYGNAGTEGRTKFFGLDADYVCPLNVAGCSMDKSSSHAETKTGFVPGLPWNSKPMNCNSDPQQARTSEAPVHISHLQSKPSRSHDPYNIVESSIHLKTSLMSYGSQVSESLMPQPNQLQHLLRQVSQQPNNQSSVMQSSAQNCSQIQLNKEQYSQHSLSTTLEEQWHRDWVGNNTSVLQKGLQDTHNNIAGKWQHLQAFQHDMISSRSQPQHTNGFQSHFSSSQAHPYPLDIPWHSYPTTIQKDFLHLRSFQSQTDSSPQGSNQIMQTSSLLNSQSSMAGFSQKPIGNSQGKLARGSSGSIQPLPPNAELSVKYENTRVFVEKMIKFLQMSKVDFIQHSKEKVCQYMNLITNYLDEVRMKNSATLQKHLQQLQVPRTPPIMHQTGNGDFQFNQSTLGLSGGTPQSSSQLELSHPKASLLNSSGEVSIKGCEAAETLSRSSKRPMHQYIISWQQNANISASSSQSSSQSEQEQRPLRLPGSVANLDSTLSNRDHATSPPANTVNPFASALTLSQKTKQPMWQAIPLFTNIASALGSPLTQLQKVMQLEGQIVTEQKKLTQKSNEVNESKVKHMVRSNTQMLQHNNQISKHTEHLCQISQSNSSQLQPASPQNSQQSFPLSAISPSIALSPLTPLTPSSMSESPQKVSSSLGLSLFAGGIKAPKIQSEPDKLDSQSINTVGAHNMPLVMKSIHSEGNQQPKRAFERLLDAIGSISKESFCASVCDIGNVVNMIDMRAGTLCYPDYRGSIGDDLMDDVRCFLRKAKLRRHGTMMDDKMKRNINATDSTAFSRSLQGSDGMDWANNMSPEIVAKATSIIKCPNAELKSRVLEEIKETNQKLVETRVEMVVDSSEDNVHRLGAEENIIIRCSYSPMGFCENTLQRCTSSGIMFPEMLVLQLLVLGNYPKASPIVLDKSPDLFSTEEVRNGYREVKLRFNMSLRQLSEPMSIREMAKTWDICARQVFAEFAERMGGGSFSSVCGVWEKCEAAAT >itb01g33100.t1 pep chromosome:ASM357664v1:1:36357657:36359656:1 gene:itb01g33100 transcript:itb01g33100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEYYYAAGLLSFLFLILLRKSVYNRKKNLPPSPIAFPVIGHFFQIKNPLHQYLASLSAKYGPILFLRFGCRSIVVVSSPSAIEECFTKNDIAFSNRPPTMAGDRLTYNYTAVVWAPYGHLWRVQRRLAVVELFSTVSLHRSSVIREEEVGSLVRSLFRGSGDGKSAVDLNSLGGTLTFNAMMRLVAGKRCVEEEDVGGVKGKEIIKEIRGVIFFSEPVMSTCDFFPVLRLFGYKGIEKKMILFQKKREEFLKGLLDETRHKKTCSSDSDVLKNREDKKSSMIEVLLSLQKSEPEFYTDDLIKSYILMMFVAGSETSTVTIEWAMTQLLSNPKTMRNLRSEIDSNVGAERLLNEADLSKLPYLRCVVNETLRLCPPVPLLLPRYTTQDCVIGGYEVPKNTALMVNAWAVHRDPEVWEEPEKFMPERFEAMEKEKDQGFNYKFVPFGMGRRSCPGNNMGLRTVCLALGTLVQCFDWEIGGKDKTAGDVISTAKPLEAVCSPRKTAIPFLSQL >itb12g04530.t1 pep chromosome:ASM357664v1:12:2949953:2954064:-1 gene:itb12g04530 transcript:itb12g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSPRRNQRNKGFKVKHALQVCVLVGVCIWLLYQIQQSRDAKPSYGGSTSVSEKVESGSDLRLGRKDLQPRIEEVTTEDSGGNVEQDEEEGKSFDDENKPAEVEENEHRDNESEKESEERDVSEVKRGENAENTEGGEDESTEKKNESKETGEEDSRKENKEQNGNEGEENNEVGEEKERDDEGVKENKEKTENGSDSEKGNETEASENDGEQKEVKLEENGDGNEKQEESKENGGEEKIENAGEKKEEKVEENGDSKENGSEEKTENAGEKKEERVEENGDSKENGSEEKIENAGEKKEEKVEENGDSKENGSEEKIENAGEKKEDKVEENGDSKENGNEEKIENGDSKENGNEEKIENGDSKENGNEEKIENAGEKKEDKVEENGDSKENGNEEKIENAGEKKEDKVEENGDSKENGNEEKIENGDSKENGNEEKIENGDSKENGNEEKIENGGEKKEEKVEENGDSKENGSEEKIENSGEKKEEKVEEKIENAGEKKEEKVEENGNSKENESEVKIENGGEKKAEKVEENGDSKENGSEETSKNGGEEKEEARVEGNSESKEENKESNENKSENAGENKEVQVDENNKSKENGGEEKDAKVEENSENKEQMGESKENKSEEKPENGGQNKEVQAVENNETKDETNKESPAQNEVQENHSVNEVAGGENQLPNSASNQGAGNEQQQEQNSIPGSTQTGQTAGTSGDGANVVQEEQGEKKDVSNTGDSGSNSTPSTNGGESNSESTSGGQNGNSGSAGTTENGSNNSPVDQNTNPNSESKAAINPSNDNDKIQNPGENKSTDSSSSNGNTDNAQHNQA >itb08g05150.t1 pep chromosome:ASM357664v1:8:4183601:4184692:-1 gene:itb08g05150 transcript:itb08g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTTPRPSVFQFEDPASLSYLDHQWAKAGKRSKRPRSVERQPTEEEYLALCLIMLARGGARPSAPPSVAAPRPPAPRPPAPPVSEQKLLYKCSVCDKAFGSYQALGGHKASHRKLAGAGAGDDHPTTSTATNGSAGAASTGRTHECSICHKCFPTGQALGGHKRCHYEGTIGNGGASAGAGAGTSSEGTGSSSNSLRNFDLNLPALPELSPVFLRRFADDEVESPHPAKKARLQIPTKLELF >itb11g17190.t1 pep chromosome:ASM357664v1:11:16653686:16658272:-1 gene:itb11g17190 transcript:itb11g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAMVERSLFKDKDGGATASTTIVKHRFLGFLIWQALQSTALLFFLKNLLFSPFTTNPLTPSFLSFFAFVSFHVSLLLFSISVFVLSTPHPRRAASPLELLLASARIVFLPNSSSQPLLSPDFRGRARVSLCFVLFVGICSLSAAVSIISVCWSSDAFSQLRPRRMVIGKLGFRGLGIGFFYGIHYVFKKRWILHFPIIQRPPFFSFKMGIPLAIRRAVKLTTVGFILSAFLAFVHPNELKGQMTAWKFITEQILFYMGTFMVFLCWELCHHLHQVLQTKRFIFSHPKGSAAAETNPSEPILVTLEESMPRSLLQYLAYIDLCMVCENNVDSWRRAALFEETGETYKRVVAVCLKPLEQFTRSLGEVLDCSSADPSFQLSYQLRSPTEQLADSKLYESFDDSQLLVWCARVVSSLTARSHTEDRYGVAQLSGSNAAVVSTLLSGLLAVEILMGKKTNLESSQYQMDPTGIKWATLSSGREKSTAWVTGRRKGSPLYTEAYSMADILKTSIYCIVVAFHDEMLTSAKAGALDKDWITSSKPLYGTRELLLQKLRLFLDFRAN >itb08g00150.t2 pep chromosome:ASM357664v1:8:155121:159710:-1 gene:itb08g00150 transcript:itb08g00150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLSLSLFPSPPKSLSLSLRSPQLRPFPFLNLPLSTAVSAIGPDGKYYPTPSDDDPPEAPEDSMHGVNRFQQIHRQASRARKQQEEQAKKDQSIFLNAIADVEDAPDDTLAADHESSGDDFFGEIDKAIALKRKEFVKQGLLKPNPKKVPPRTRREPELEDVEELEPEEVVDLEEISELQGLTEISVGDEESEKEEESTEKSDDFEESDDIMVKANFSDDDLSSFDIDFDELGKTRPRIVEPSFRMTLAELLDESRVVPISVYGDLEVEIRGIQHDSRLVESGDLFVCCVGRKTDGHLYLSEADKRGAVAVVASKEIDIEETLGCKALVIVEDTNVVLAVLAASFYRHPSKSMSVIGITGTNGKTTTSYLIKSMYEAMGLRTGMLSTVAYYIHGDNTLESPNTTPDAVLVQKLMAKMSHNGTEALVMEASSHGLALGRCDEVDFDIAVFTNLTRDHLDFHGTEEEYRNAKAKLFARMVDPDRHRKIVNIDDPNAAFFISQGNPSVPVVTFAMENKKADVHPLNFELSLFETTVLVNTPRGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVKGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSKLLDYVRELHPRRIITVFGCAGESDRGKRPIMTKIATDKSDVTILTSDNPKNEDPLDILDDMLAGVGWTMQDYLKHGENDYYPPLSNGHRLFLHDIRRVAVRCAVAMGEEGDMVVVAGKGHETYQIEGEKEEFFDDREECREALQYVDELHQAGIDTSCLRAINMRRHDSCGLIF >itb08g00150.t1 pep chromosome:ASM357664v1:8:155121:159710:-1 gene:itb08g00150 transcript:itb08g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFLSLSLFPSPPKSLSLSLRSPQLRPFPFLNLPLSTAVSAIGPDGKYYPTPSDDDPPEAPEDSMHGVNRFQQIHRQASRARKQQEEQAKKDQSIFLNAIADVEDAPDDTLAADHESSGDDFFGEIDKAIALKRKEFVKQGLLKPNPKKVPPRTRREPELEDVEELEPEEVVDLEEISELQGLTEISVGDEESEKEEESTEKSDDFEESDDIMVKANFSDDDLSSFDIDFDELGKTRPRIVEPSFRMTLAELLDESRVVPISVYGDLEVEIRGIQHDSRLVESGDLFVCCVGRKTDGHLYLSEADKRGAVAVVASKEIDIEETLGCKALVIVEDTNVVLAVLAASFYRHPSKSMSVIGITGTNGKTTTSYLIKSMYEAMGLRTGMLSTVAYYIHGDNTLESPNTTPDAVLVQKLMAKMSHNGTEALVMEASSHGLALGRCDEVDFDIAVFTNLTRDHLDFHGTEEEYRNAKAKLFARMVDPDRHRKIVNIDDPNAAFFISQGNPSVPVVTFAMENKKADVHPLNFELSLFETTVLVNTPRGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVKGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSKLLDYVRELHPRRIITVFGCAGESDRGKRPIMTKIATDKSDVTILTSDNPKNEDPLDILDDMLAGVGWTMQDYLKHGENDYYPPLSNGHRLFLHDIRRVAVRCAVAMGEEGDMVVVAGKGHETYQIEGEKEEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >itb13g23960.t2 pep chromosome:ASM357664v1:13:29753286:29755315:-1 gene:itb13g23960 transcript:itb13g23960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYICIYIGCITVEELATVIRSLDQNPSEEELQDMINEVDADGNGTIEFTEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYEEFVKMMMNIG >itb13g23960.t1 pep chromosome:ASM357664v1:13:29753286:29755315:-1 gene:itb13g23960 transcript:itb13g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLNEDQIVEFQEAFNLFDKDGDGCITVEELATVIRSLDQNPSEEELQDMINEVDADGNGTIEFTEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYEEFVKMMMNIG >itb10g18750.t1 pep chromosome:ASM357664v1:10:24591326:24593681:1 gene:itb10g18750 transcript:itb10g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCPSVKNVLLLDSEGKRVAVKYYTDDWPTNAAKEAYEKAIFAKTQKTNARTEAEITMFENNIVVYKFVQDLHFFVTGSEEENELILATVLQGFFDAVGILLRGMVEKSEALDNLDLILLCLDEIVDGGIVLETDANVIAGKVASNSLDAAAPLTEQTISQAFATAREHLTRSLLK >itb05g11580.t1 pep chromosome:ASM357664v1:5:17735301:17739680:1 gene:itb05g11580 transcript:itb05g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPCCQSIKASSIATDLGLYCYSISVSHLSKMHGRRVDDEPDWLGGRQGWVRLTLTHLYISFSLSLDCCYGDGDVAGVAGNGGSIRSTLRQRAASHDRPMLRESQRRWFAAMWRPTSVASSSGDDCWRSQWIHMAFCVTYWKRLDCLSLRFSAIESSIGLLLLRH >itb06g11480.t1 pep chromosome:ASM357664v1:6:16041746:16044936:-1 gene:itb06g11480 transcript:itb06g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEKLAAIMALVIFSIFLKKASADPDMLQDVCVADLNSRLVHFQKNNGEVPAAVISAFNSQFSGTQSIGAALFAATPDVPNHVLTKTFQIGTKAIKKIKSRLAPKKK >itb05g08990.t5 pep chromosome:ASM357664v1:5:12884662:12890613:1 gene:itb05g08990 transcript:itb05g08990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASPTIAVHYKPGNRVIYDESVLPSRTNISGLELVAYTTNLLSEPTKAKLSLVSIGNGSMMCDNRRVPRDSFDDTRGYDNDEIRGGGGGGEKLLSKVHGNGICLVISDAKIGQNSRDNGLMSPTSGKLLGSISSCSERNGSSFVSEKECGAIEANSGATSPVNGDKNGAVLLSINKSYSVNGGKQCVVFDSTPPLWGLVSICGKRLEMEDSAVALPRFSGIPSQMQIHVPDTNAMARNPLAHFYGVYDGHGGCQVANYCRERFHLALTEELHTRKEDLHTESVGSNWKEQWEKALFSCFQKVDDEVGGISRGFECDAAVDPIAPEAVGSTAVVSIVCPTHIIVANCGDSRAVLCRGKSAMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPEPEMTFTPRAKEDECLILASDGLWDVMANEEVCDVARRRILLWHKKNGGDTPSKERGDDDNATDPAAQDAAQYLTRIALQRGSQDNISVIVVDLKAQRKFKKKV >itb05g08990.t2 pep chromosome:ASM357664v1:5:12884662:12888474:1 gene:itb05g08990 transcript:itb05g08990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASPTIAVHYKPGNRVIYDESVLPSRTNISGLELVAYTTNLLSEPTKAKLSLVSIGNGSMMCDNRRVPRDSFDDTRGYDNDEIRGGGGGGEKLLSKVHGNGICLVISDAKIGQNSRDNGLMSPTSGKLLGSISSCSERNGSSFVSEKECGAIEANSGATSPVNGDKNGAVLLSINKSYSVNGGKQCVVFDSTPPLWGLVSICGKRLEMEDSAVALPRFSGIPSQMQIHVPDTNAMARNPLAHFYGVYDGHGGCQVANYCRERFHLALTEELHTRKEDLHTESVGSNWKEQWEKALFSCFQKVDDEVGGISRGFECDAAVDPIAPEAVGSTAVVSIVCPTHIIVANCGDSRAVLCRGKSAMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPEPEMTFTPRAKEDECLILASDGLWDVMANEEVCDVARRRILLWHKKNGGDTPSKERGDDDNATDPAAQDAAQYLTRIALQRGSQDNISVIVVDLKAQRKFKKKV >itb05g08990.t3 pep chromosome:ASM357664v1:5:12884831:12888474:1 gene:itb05g08990 transcript:itb05g08990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASPTIAVHYKPGNRVIYDESVLPSRTNISGLELVAYTTNLLSEPTKAKLSLVSIGNGSMMCDNRRVPRDSFDDTRGYDNDEIRGGGGGGEKLLSKVHGNGICLVISDAKIGQNSRDNGLMSPTSGKLLGSISSCSERNGSSFVSEKECGAIEANSGATSPVNGDKNGAVLLSINKSYSVNGGKQCVVFDSTPPLWGLVSICGKRLEMEDSAVALPRFSGIPSQMQIHVPDTNAMARNPLAHFYGVYDGHGGCQVANYCRERFHLALTEELHTRKEDLHTESVGSNWKEQWEKALFSCFQKVDDEVGGISRGFECDAAVDPIAPEAVGSTAVVSIVCPTHIIVANCGDSRAVLCRGKSAMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGMFHSPNFISFKKSSSCSSISKKFCCQIKTSSKPDVCTFENIGENMSF >itb05g08990.t6 pep chromosome:ASM357664v1:5:12884662:12890613:1 gene:itb05g08990 transcript:itb05g08990.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASPTIAVHYKPGNRVIYDESVLPSRTNISGLELVAYTTNLLSEPTKAKLSLVSIGNGSMMCDNRRVPRDSFDDTRGYDNDEIRGGGGGGEKLLSKVHGNGICLVISDAKIGQNSRDNGLMSPTSGKLLGSISSCSERNGSSFVSEKECGAIEANSGATSPVNGDKNGAVLLSINKSYSVNGGKQCVVFDSTPPLWGLVSICGKRLEMEDSAVALPRFSGIPSQMQIHVPDTNAMARNPLAHFYGVYDGHGGCQVANYCRERFHLALTEELHTRKEDLHTESVGSNWKEQWEKALFSCFQKVDDEVGGISRGFECDAAVDPIAPEAVGSTAVVSIVCPTHIIVANCGDSRAVLCRGKSAMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPEPEMTFTPRAKEDECLILASDGLWDVMANEEVCDVARRRILLWHKKNGGDTPSKERGDDDNATDPAAQDAAQYLTRIALQRGSQDNISVIVVDLKAQRKFKKKV >itb05g08990.t4 pep chromosome:ASM357664v1:5:12884831:12888474:1 gene:itb05g08990 transcript:itb05g08990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASPTIAVHYKPGNRVIYDESVLPSRTNISGLELVAYTTNLLSEPTKAKLSLVSIGNGSMMCDNRRVPRDSFDDTRGYDNDEIRGGGGGGEKLLSKVHGNGICLVISDAKIGQNSRDNGLMSPTSGKLLGSISSCSERNGSSFVSEKECGAIEANSGATSPVNGDKNGAVLLSINKSYSVNGGKQCVVFDSTPPLWGLVSICGKRLEMEDSAVALPRFSGIPSQMQIHVPDTNAMARNPLAHFYGVYDGHGGCQVANYCRERFHLALTEELHTRKEDLHTESVGSNWKEQWEKALFSCFQKVDDEVGGISRGFECDAAVDPIAPEAVGSTAVVSIVCPTHIIVANCGDSRAVLCRGKSAMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGMFHSPNFISFKKSSSCSSISKKFCCQIKTSSKPDVCTFENIGENMSF >itb05g08990.t1 pep chromosome:ASM357664v1:5:12884662:12888474:1 gene:itb05g08990 transcript:itb05g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASPTIAVHYKPGNRVIYDESVLPSRTNISGLELVAYTTNLLSEPTKAKLSLVSIGNGSMMCDNRRVPRDSFDDTRGYDNDEIRGGGGGGEKLLSKVHGNGICLVISDAKIGQNSRDNGLMSPTSGKLLGSISSCSERNGSSFVSEKECGAIEANSGATSPVNGDKNGAVLLSINKSYSVNGGKQCVVFDSTPPLWGLVSICGKRLEMEDSAVALPRFSGIPSQMQIHVPDTNAMARNPLAHFYGVYDGHGGCQVANYCRERFHLALTEELHTRKEDLHTESVGSNWKEQWEKALFSCFQKVDDEVGGISRGFECDAAVDPIAPEAVGSTAVVSIVCPTHIIVANCGDSRAVLCRGKSAMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPEPEMTFTPRAKEDECLILASDGLWDVMANEEVCDVARRRILLWHKKNGGDTPSKERGDDDNATDPAAQDAAQYLTRIALQRGSQDNISVIVVDLKAQRKFKKKV >itb09g29230.t1 pep chromosome:ASM357664v1:9:29946098:29946528:-1 gene:itb09g29230 transcript:itb09g29230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTPFMYFISTQTTPFRYFFTPKIPIFQIGSLLHGLWYITSLRRSTDCLQVFNHHQESSPPPPPLPFGLFRNFRHTLRLRVHWKSPF >itb02g04140.t1 pep chromosome:ASM357664v1:2:2482448:2484918:1 gene:itb02g04140 transcript:itb02g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCWAFLLIEALVLVEVNVGLLKRKKSRREGDELEIISIRTMAQETLGEWGEVLATLTYVFLGYTSMVAYAAKSGEILCSLINLPESVCGIVFTATFAALISVGGTKATDQVNQWLTASMIGLLVAIEILAAVFGGWSGVEGSGGNWERLPAAIPVLIFSLVYHDLAPVLCAYLGGDLRRIRASVLIGSFIAFVPLLVWNAIALGLSAQVDQSVDPLELLLSIKWGGVSYMVEAFSLLAIGTSIIGTLLSFSEFFKEQLSNLLLHSGPFANEKPNLNSGQRKLWGRNTISFTATAMVIAPSLLLSTTVPDAFYAATDIAGGYCMTMLYGVLPPAMAWAMHNRGDKDIDKGAISRAKPALLCVCLIASCIVLEQIIADLSLLHL >itb02g04140.t3 pep chromosome:ASM357664v1:2:2482448:2484918:1 gene:itb02g04140 transcript:itb02g04140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRIISSSSLRTRAIIIASSEISSWEKNNIFLFKPKGFGVGKCLILPSSGSSFRQGWQSSGWGTAARKETRCIEKLSQEPNQISKKQGTVAGAVALIVGTSIGSGILALPKKTSPAGLVPSSVAMTMCWAFLLIEALVLVEVNVGLLKRKKSRREGDELEIISIRTMAQETLGEWGEVLATLTYVFLGYTSMVAYAAKSGEILCSLINLPESVCGIVFTATFAALISVGGTKATDQVNQWLTASMIGLLVAIEILAAVFGGWSGVEGSGGNWERLPAAIPVLIFSLVYHDLAPVLCAYLGGDLRRIRASVLIGSFIAFVPLLVWNAIALGLSAQVDQSVDPLELLLSIKWGGVSYMVEAFSLLAIGTSIIGTLLSFSEFFKEQLSNLLLHSGPFANEKPNLNSGQRKLWGRNTISFTATAMVIAPSLLLSTTVPDAFYAATDIAVS >itb02g04140.t6 pep chromosome:ASM357664v1:2:2482448:2484918:1 gene:itb02g04140 transcript:itb02g04140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCWAFLLIEALVLVEVNVGLLKRKKSRREGDELEIISIRTMAQETLGEWGEVLATLTYVFLGYTSMVAYAAKSGEILCSLINLPESVCGIVFTATFAALISVGGTKATDQVNQWLTASMIGLLVAIEILAAVFGGWSGVEGSGGNWERLPAAIPVLIFSLVYHDLAPVLCAYLGGDLRRIRASVLIGSFIAFVPLLVWNAIALGLSAQVDQSVDPLELLLSIKWGGVSYMVEAFSLLAIGTSIIGTLLSFSEFFKEQLSNLLLHSGPFANEVSSLSQNKHRKW >itb02g04140.t4 pep chromosome:ASM357664v1:2:2482448:2484918:1 gene:itb02g04140 transcript:itb02g04140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRIISSSSLRTRAIIIASSEISSWEKNNIFLFKPKGFGVGKCLILPSSGSSFRQGWQSSGWGTAARKETRCIEKLSQEPNQISKKQGTVAGAVALIVGTSIGSGILALPKKTSPAGLVPSSVAMTMCWAFLLIEALVLVEVNVGLLKRKKSRREGDELEIISIRTMAQETLGEWGEVLATLTYVFLGYTSMVAYAAKSGEILCSLINLPESVCGIVFTATFAALISVGGTKATDQVNQWLTASMIGLLVAIEILAAVFGGWSGVEGSGGNWERLPAAIPVLIFSLVYHDLAPVLCAYLGGDLRRIRASVLIGSFIAFVPLLVWNAIALGLSAQVDQSVDPLELLLSIKWGGVSYMVEAFSLLAIGTSIIGTLLSFSEFFKEQLSNLLLHSGPFANEVSSLSQNKHRKW >itb02g04140.t2 pep chromosome:ASM357664v1:2:2482448:2484918:1 gene:itb02g04140 transcript:itb02g04140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRIISSSSLRTRAIIIASSEISSWEKNNIFLFKPKGFGVGKCLILPSSGSSFRQGWQSSGWGTAARKETRCIEKLSQEPNQISKKQGTVAGAVALIVGTSIGSGILALPKKTSPAGLVPSSVAMTMCWAFLLIEALVLVEVNVGLLKRKKSRREGDELEIISIRTMAQETLGEWGEVLATLTYVFLGYTSMVAYAAKSGEILCSLINLPESVCGIVFTATFAALISVGGTKATDQVNQWLTASMIGLLVAIEILAAVFGGWSGVEGSGGNWERLPAAIPVLIFSLVYHDLAPVLCAYLGGDLRRIRASVLIGSFIAFVPLLVWNAIALGLSAQVDQSVDPLELLLSIKWGGVSYMVEAFSLLAIGTSIIGTLLSFSEFFKEQLSNLLLHSGPFANEKPNLNSGQRKLWGRNTISFTATAMVIAPSLLLSTTVPDAFYAATDIAGGYCMTMLYGVLPPAMAWAMHNRGDKDIDKGAISRAKPALLCVCLIASCIVLEQIIADLSLLHL >itb02g04140.t5 pep chromosome:ASM357664v1:2:2482448:2484918:1 gene:itb02g04140 transcript:itb02g04140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRIISSSSLRTRAIIIASSEISSWEKNNIFLFKPKGFGVGKCLILPSSGSSFRQGWQSSGWGTAARKETRCIEKLSQEPNQISKKQGTVAGAVALIVGTSIGSGILALPKKTSPAGLVPSSVAMTMCWAFLLIEALVLVEVNVGLLKRKKSRREGDELEIISIRTMAQETLGEWGEVLATLTYVFLGYTSMVAYAAKSGEILCSLINLPESVCGIVFTATFAALISVGGTKATDQVNQWLTASMIGLLVAIEILAAVFGGWSGVEGSGGNWERLPAAIPVLIFSLVYHDLAPG >itb11g06020.t1 pep chromosome:ASM357664v1:11:3596237:3603527:-1 gene:itb11g06020 transcript:itb11g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTDHSDESGSVPLLASPPIVHSDTGPEQVDQPKRSIMTRPGFGTSGRCINLLTNHFKVSLKCPDEIFYQYSVKISFDDKSAVNSRGIGRKIMDKLYQTYSSEFSGKRFAFDGEKCLYAVGPLPQNNMKYTVVLEESIAKHGCPDESVKRFKCSLQSKIFIVEISYAAKIPLRSVCLALQGADSEHFQDALRVLDIILRQQAANRGCLLVGQSFFHDDSRYFTEIGGGVSGCWGFHSSFHPTHGGLSLNMDVSTTLILTPGPVVDFLLANQSVKERRYIDIDWERAKKMLKNMRVKATHSNREFKIIGLSELPCNKQFFSMKVKSGGGSHDCGEVMEITVSDYFTKHRNIELTYSAFMPCLDVGKPKKPNYLPLELCSLVSLQRYTKALSSMQRASLVEKSRQKPIARIQVVTDAVKNYCYDDDPLLAACGISTEKQLMQVEGRVLDTPKLKVGNGEDCIPHNGRWNFKNKQVLKPSRIERWAVVNFSARCDTSHLSRELISCARNKGIHMERPHTLVEEDPQYRRSGPIVRVEKMFELIMSKIPGPPQLLLCVLPERKNSDIYGPWKKKSLTDVGIVTQCVSPTKINDHYLTNVLLKINTKLGGTNSLLAIEKMPGIPIVQDTPTMILGMDVSHGSPGQSDAPSIAAVVGSQYWPLISKYRAAVRTQSSKVEMIESLFKPLANGEDDGIMREMLLEFYQTNNGRKPAHIIVFRDGVSESQFNQVLNIELDQMIKAYQHLGEVKVPKFTVIVVQKKHHTRLFQAGAPENVPAGTVVDTKVVHPRSYDFYMCAHAGMIGTTRPAHYHVLCDEIGFSPDDLQNLVHSLSYVSQRGSAAVSIVAPVYYAHLAARQVGQFVKFEDPSETSSEQKCITTVPELPRLHEEVDNSMFFC >itb11g06020.t2 pep chromosome:ASM357664v1:11:3596237:3603527:-1 gene:itb11g06020 transcript:itb11g06020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTDHSDESGSVPLLASPPIVHSDTGPEQVDQPKRSIMTRPGFGTSGRCINLLTNHFKVSLKCPDEIFYQYSVKISFDDKSAVNSRGIGRKIMDKLYQTYSSEFSGKRFAFDGEKCLYAVGPLPQNNMKYTVVLEESIAKHGCPDESVKRFKCSLQSKIFIVEISYAAKIPLRSVCLALQGADSEHFQDALRVLDIILRQQAANRGCLLVGQSFFHDDSRYFTEIGGGVSGCWGFHSSFHPTHGGLSLNMDVSTTLILTPGPVVDFLLANQSVKERRYIDIDWERAKKMLKNMRVKATHSNREFKIIGLSELPCNKQFFSMKVKSGGGSHDCGEVMEITVSDYFTKHRNIELTYSAFMPCLDVGKPKKPNYLPLELCSLVSLQRYTKALSSMQRASLVEKSRQKPIARIQVVTDLMQVEGRVLDTPKLKVGNGEDCIPHNGRWNFKNKQVLKPSRIERWAVVNFSARCDTSHLSRELISCARNKGIHMERPHTLVEEDPQYRRSGPIVRVEKMFELIMSKIPGPPQLLLCVLPERKNSDIYGPWKKKSLTDVGIVTQCVSPTKINDHYLTNVLLKINTKLGGTNSLLAIEKMPGIPIVQDTPTMILGMDVSHGSPGQSDAPSIAAVVGSQYWPLISKYRAAVRTQSSKVEMIESLFKPLANGEDDGIMREMLLEFYQTNNGRKPAHIIVFRDGVSESQFNQVLNIELDQMIKAYQHLGEVKVPKFTVIVVQKKHHTRLFQAGAPENVPAGTVVDTKVVHPRSYDFYMCAHAGMIGTTRPAHYHVLCDEIGFSPDDLQNLVHSLSYVSQRGSAAVSIVAPVYYAHLAARQVGQFVKFEDPSETSSEQKCITTVPELPRLHEEVDNSMFFC >itb12g21800.t1 pep chromosome:ASM357664v1:12:24020083:24024496:-1 gene:itb12g21800 transcript:itb12g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPLSSKKFEAKIVVGCLKGLSISSEEVESPGDFQDFERLVVEIRWKGSAKVNPLGLNSLRRRVRRNFTKEGCLIREDGVVQWKEEFKCECNFSAYKDDDFLPWEVAFSVFNGLSKGPTNKAPAIATGTLNLSDFASAAKEKDVEIRIPLETSTCCSEIGPLLCLSLNLVELGNDREALETAQKFEISHPMYPTCGEVLSKDRNDASPLRASLGKVGIFKALSVRGRKKAYREDEGSDGRCSVRSCDTEYNYPVDTDSLSDSEEGESEEGKEGSLMRKTVSYETLAFANHASSENGDWIYYSHRKSDVGCVYLEDTTGSVVLDQSIQQSSKRKILPWGKRKLNLRSPKRKGEPLLKKHYGEEGGDDIDFDRRQLSPSDDSSHGWRTEDGTTISRSSVSEFGDDNFAVGSWEAKEIISRDGQMKLRTEVFFASIDQRSERAAGESACTALVVAIADWFHSNRDEMPIKSQLDSLIHEGSLEWRNLCENESYRERFPDKHFDLETVLEAKVSPLSVAPQKSFIGFFHPEGIEDEGFDFLKGAMSFDNIWDEISKSTQDNASIYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAFILRFDRDTTISLVPNETQLTEDSSASAKTEHNIVNAPKKNNEESATTCSDGEPDNNEQESIICRGKDACKEYIKSFLAAIPIRELQVDLKKGLVPSMPLHQRLQIELHYTNYKLLSPCDGGVEASSDL >itb02g06180.t1 pep chromosome:ASM357664v1:2:3848353:3854670:1 gene:itb02g06180 transcript:itb02g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSARFLPLSLLLVTLITTVNALYGPSTPVLQLNPSNFKSKVLNSNGVVLVEFFAPWCGHCKALTPTYEKVASVLKGVATVAALDADAHRSLAQEYGIKGFPTIKVFVPGKQPVDYQGAREAKSMVDFVKAQIRDLLKDRLDGKATGGSSKKSEPSASVELNSRNFDDLVVKSKDLWIVEFFAPWCGHCKKLAPEWKKASKNLKGKVNLGHVDCDAEKSLMSRFSVQGFPTILVFGADKDHPVPYNGARTASAIESFALEQLEINVSPPEVTELTGPDVMEEKCSSAALCFVAFLPDILDSKAEGRNKYLETLLSVAEKFKTKPYSYVWAAAGKQPDLEKHVGVGGYGYPALVVLSVKNQVYAPLKSSFQHDHIKEFVREIGELGGRGRNLPLAGTPTIVKTEPWDGKDGEMMEEDEFSLEELMGDDTANKDES >itb02g06180.t2 pep chromosome:ASM357664v1:2:3848353:3854001:1 gene:itb02g06180 transcript:itb02g06180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSARFLPLSLLLVTLITTVNALYGPSTPVLQLNPSNFKSKVLNSNGVVLVEFFAPWCGHCKALTPTYEKVASVLKGVATVAALDADAHRSLAQEYGIKGFPTIKVFVPGKQPVDYQGAREAKSMVDFVKAQIRDLLKDRLDGKATGGSSKKSEPSASVELNSRNFDDLVVKSKDLWIVEFFAPWCGHCKKLAPEWKKASKNLKGKVNLGHVDCDAEKSLMSRFSVQGFPTILVFGADKDHPVPYNGARTASAIESFALEQLEINVSPPEVTELTGPDVMEEKCSSAALCFVAFLPDILDSKAEGRNKYLETLLSVAEKFKTKPYR >itb13g22680.t3 pep chromosome:ASM357664v1:13:28956395:28959734:1 gene:itb13g22680 transcript:itb13g22680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEAQKVLLDYDKRALFDKKRISLGKSFVPMHQSSRQSKAQSSSNFAPKSGNQPHQQPRKWTKSGGATEQPTFWTICSSCSVKYQYYRAMKDKTLWCHQCETLFTAREINTPGASTGTTFNQSAPMKQNDISQSHVKINPRTTPVNLTTKTTVQGRSGEYNTKGRTDSKVSTESNSQQYPRKSTRSRQHDEDELVNSSKRPKTVGSFPSKNENSEDLFVDPSGPRSSTVTAPEIFEYPGSDLSDFDQIRKKECFKDGQIWAFYDMLDAMPRFYAVINQVLFPGFKLLITWLEPDPDNEDERKWVLEGLPATCGKFRLGSSEIIKDLLMLSHMVCWEKGKSKNTYKVYPKKGETWALFVNWDMNWHSHTEIKRKYEYEFVEVLSDYTDSNSGVHVAPLVKVKGFVCLFHRKEERGLVHIPAKELFRFSHRIPCFQMTGMEGEEVPKGSFELDPASLPISSKDKCFLSH >itb13g22680.t1 pep chromosome:ASM357664v1:13:28956379:28959764:1 gene:itb13g22680 transcript:itb13g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEAIRAKEIAEKKMEGKDFVGALKIASKAQRLYPDLDNIEQMILVCDVLCAAESKICGNESDWYDILKVDPTANGATVKKQYRKFALLLHPDKNKFPGAADAFKMIGEAQKVLLDYDKRALFDKKRISLGKSFVPMHQSSRQSKAQSSSNFAPKSGNQPHQQPRKWTKSGGATEQPTFWTICSSCSVKYQYYRAMKDKTLWCHQCETLFTAREINTPGASTGTTFNQSAPMKQNDISQSHVKINPRTTPVNLTTKTTVQGRSGEYNTKGRTDSKVSTESNSQQYPRKSTRSRQHDEDELVNSSKRPKTVGSFPSKNENSEDLFVDPSGPRSSTVTAPEIFEYPGSDLSDFDQIRKKECFKDGQIWAFYDMLDAMPRFYAVINQVLFPGFKLLITWLEPDPDNEDERKWVLEGLPATCGKFRLGSSEIIKDLLMLSHMVCWEKGKSKNTYKVYPKKGETWALFVNWDMNWHSHTEIKRKYEYEFVEVLSDYTDSNSGVHVAPLVKVKGFVCLFHRKEERGLVHIPAKELFRFSHRIPCFQMTGMEGEEVPKGSFELDPASLPISSKDKCFLSH >itb13g22680.t5 pep chromosome:ASM357664v1:13:28956396:28959764:1 gene:itb13g22680 transcript:itb13g22680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVLQRARSVEMRVIDAFKMIGEAQKVLLDYDKRALFDKKRISLGKSFVPMHQSSRQSKAQSSSNFAPKSGNQPHQQPRKWTKSGGATEQPTFWTICSSCSVKYQYYRAMKDKTLWCHQCETLFTAREINTPGASTGTTFNQSAPMKQNDISQSHVKINPRTTPVNLTTKTTVQGRSGEYNTKGRTDSKVSTESNSQQYPRKSTRSRQHDEDELVNSSKRPKTVGSFPSKNENSEDLFVDPSGPRSSTVTAPEIFEYPGSDLSDFDQIRKKECFKDGQIWAFYDMLDAMPRFYAVINQVLFPGFKLLITWLEPDPDNEDERKWVLEGLPATCGKFRLGSSEIIKDLLMLSHMVCWEKGKSKNTYKVYPKKGETWALFVNWDMNWHSHTEIKRKYEYEFVEVLSDYTDSNSGVHVAPLVKVKGFVCLFHRKEERGLVHIPAKELFRFSHRIPCFQMTGMEGEEVPKGSFELDPASLPISSKDKCFLSH >itb13g22680.t2 pep chromosome:ASM357664v1:13:28956379:28959764:1 gene:itb13g22680 transcript:itb13g22680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEAIRAKEIAEKKMEGKDFVGALKIASKAQRLYPDLDNIEQMILVCDVLCAAESKICGNESDWYDILKVDPTANGATVKKQYRKFALLLHPDKNKFPGAADAFKMIGEAQKVLLDYDKRALFDKKRISLGKSFVPMHQSSRQSKAQSSSNFAPKSGNQPHQQPRKWTKSGGATEQPTFWTICSSCSVKYQYYRAMKDKTLWCHQCETLFTAREINTPGASTGTTFNQSAPMKQNDISQSHVKINPRTTPVNLTTKTTVQGRSGEYNTKGRTDSKVSTESNSQQYPRKSTRSRQHDEDELVNSSKRPKTVGSFPSKNENSEDLFVDPSGPRSSTVTAPEIFEYPGSDLSDFDQIRKKECFKDGQIWAFYDMLDAMPRFYAVINQVLFPGFKLLITWLEPDPDNEDERKWVLEGLPATCGKFRLGSSEIIKDLLMLSHMVCWEKGKSKNTYKVYPKKGETWALFVNWDMNWHSHTEIKRKYEYEFVEVLSDYTDSNSGVHVAPLVKVKGFVCLFHRKEERGLVHIPAKELFRFSHRIPCFQMTGMEGEEVPKGSFELDPASLPISSKDKCFLSH >itb13g22680.t4 pep chromosome:ASM357664v1:13:28956396:28959764:1 gene:itb13g22680 transcript:itb13g22680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVLQRARSVEMRVIDAFKMIGEAQKVLLDYDKRALFDKKRISLGKSFVPMHQSSRQSKAQSSSNFAPKSGNQPHQQPRKWTKSGGATEQPTFWTICSSCSVKYQYYRAMKDKTLWCHQCETLFTAREINTPGASTGTTFNQSAPMKQNDISQSHVKINPRTTPVNLTTKTTVQGRSGEYNTKGRTDSKVSTESNSQQYPRKSTRSRQHDEDELVNSSKRPKTVGSFPSKNENSEDLFVDPSGPRSSTVTAPEIFEYPGSDLSDFDQIRKKECFKDGQIWAFYDMLDAMPRFYAVINQVLFPGFKLLITWLEPDPDNEDERKWVLEGLPATCGKFRLGSSEIIKDLLMLSHMVCWEKGKSKNTYKVYPKKGETWALFVNWDMNWHSHTEIKRKYEYEFVEVLSDYTDSNSGVHVAPLVKVKGFVCLFHRKEERGLVHIPAKELFRFSHRIPCFQMTGMEGEEVPKGSFELDPASLPISSKDKCFLSH >itb05g22280.t1 pep chromosome:ASM357664v1:5:27726660:27726995:-1 gene:itb05g22280 transcript:itb05g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSKSKQSKTANTTCTKAANSACLTKASNKKDKGTNILEHNNRDWSPLMDGIVPITPLMKSHLNNADDPTKCLQRMMDVVDEEIDEVLYGPEKPRRLSVFKELCREK >itb13g02120.t1 pep chromosome:ASM357664v1:13:1950578:1955124:1 gene:itb13g02120 transcript:itb13g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVPTAPEIPTETKEVVAHEEMAAHNEVVAHNGMVAHDEVVAHDDMVDQNDENEVVSHNDMVAQKETVAHNDMVAQNEMVAHDDMVAQNEMVAHDDMVPQNEMVAHDDMVPQNEMVAHDDMVPQNEMVARDDMVPQNEMVAHDDMVPQNEMVAHNDMVAQNEMVAHDDMVPQNEMMAHNDMVAQNEIVAHNDMATQNEMVSHDEMMAHNEMVAHDEVMAHNEIVAQDEMVSHDEMVAHDEMVAHGEMMAHGEMVEHGEMVAHHELIPHDEMLFNNNVDNTEIVPMETPMDYIETPPNSLETQPNKRRKKKSIVWEHFTIETVGNGCRRACCKQCKQSFAYSQGSKVAGTSHLKRHIAKGACPVLLRSQQNNSPYSAPTKLIGFEGSTEPPKRRYRTASTPFFAFDPDRCRHEISRMIIMHEYPLHMVEHPGFVAFVRNLQPRFDMVSFNTVQGDCVATYLREKQAIQKVIEGMPGRICLTLDMWSSCLKVGYVFITGQFIDSEWKIHRKIFNVIMEPYPDSDTAFSHAIAACLSDWSMEGKLFSVTINQPLTDNAAENVRALLSVKNPLIINGQLLLGNCLAHTLSSIAEDALKYMHETIKKVRDSVKYVKTSESHEEKFLELKHQLQVPSTKVLTLDDKTQWNTTYEMLLAASELKEVFSCLDTSDPDYKDGPSMDDWKKVETLCGYLKILFDTANLLTAPTISTTNAFFHEAWKIQLELARAAASEDPFISGLTKSMQEMFDNYWKSCCLILAIAVVMDPRFKMKLVEFSFSKIYGDEAAKYVKFVDEGIHELFMEYVALPLPLTPTYIEEANGGAVKTEEEGLSAVSQSGMRL >itb13g02120.t2 pep chromosome:ASM357664v1:13:1953509:1955124:1 gene:itb13g02120 transcript:itb13g02120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSCLKVGYVFITGQFIDSEWKIHRKIFNVIMEPYPDSDTAFSHAIAACLSDWSMEGKLFSVTINQPLTDNAAENVRALLSVKNPLIINGQLLLGNCLAHTLSSIAEDALKYMHETIKKVRDSVKYVKTSESHEEKFLELKHQLQVPSTKVLTLDDKTQWNTTYEMLLAASELKEVFSCLDTSDPDYKDGPSMDDWKKVETLCGYLKILFDTANLLTAPTISTTNAFFHEAWKIQLELARAAASEDPFISGLTKSMQEMFDNYWKSCCLILAIAVVMDPRFKMKLVEFSFSKIYGDEAAKYVKFVDEGIHELFMEYVALPLPLTPTYIEEANGGAVKTEEEGLSAVSQSGMRL >itb02g25640.t2 pep chromosome:ASM357664v1:2:26397893:26401819:1 gene:itb02g25640 transcript:itb02g25640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTELILFEDDGARNSITYSYERIEEVKKQCAFVLSSASELKPDDNRMLSIKQDLTFLNGDWWQESNGAPLMPFDDRDSKSSPEPRYPMNLVSFWITDVDRVRRSKKFVSVSGFLQMGITVEALFLEKPYERNPHFDIWPDHSQLSVSFEGIYTESKENNGERVLCLLGNTMLPSHQQESSDPWEWVKESGYTNQPPLVQDDQILLVLHYPITSTVTSRAIRGSMKSLNARSNLKYFDEVRLSSWLGTSSNYNFGSEKVVSQACDPYPYEDSFLSSDIDIYKGLDFCVILQRFTHQEPLTVVPNWKCNGTDDYCSKLGPFMSSGEIKATNGSFKDVKIVLQDVRCEKMPTKGNEGSIRVSSVVRAVPPYEKQFTAAHRTGLNNMTLFAEGIWKSSSGQLCMVGCRGNAHTEGNGCDSRICLYVPLSFSIKQRSIIVGTISSIDASSKSYFPLSFEKLVRPSELWDQYTDSHTYYKYSKSKAAVAVLEKNEPTSLGSMFKKALLTFPKLEDADSFLVSLSLLSEDLTIQLPAVSDLISDPVSQRTEIAMEIVSLGPLFGHYGSAKNGSATEKDSSYHSRAEFSQKQLLLNVSAQLSFTGRLYSNFSALFLEGLYDELVGKMYLIGCRDARASWQILHESMDLEAGLDCLIEVVVSYPPTTSRWLVNPSAKISISSQRNEDDPLYFSSVSFQTFPVMYRKQREDILSRRSMEGILRIVTLSLAIVFILSQLFYIRDNLELVPYISLVMLGFQALGYSLPLITGAEALFKKMGTGANESPSYDLVNSQWIRVIDYTVKILVLAAFLITLRLCQKVWKSRVRLLTREPHHVPSDKLVFIMTLIIHAVGYIIVLITHASSDVTQKPLRAERYVDSTGHLQTLRGWETELEEYSGLIQDFFLLPQIIGNFIWQINCKPLRNLYYIGITSLRLLPHLYDYIRSPVSNPYFSEEYEFVNPRLDFYTKFGDIAIPVVMVLLTAIVYIQQRWSYEKLSQTLKLGSIRLLPQPSKAYERLPSASFEAELSSAVSKDLDPE >itb02g25640.t1 pep chromosome:ASM357664v1:2:26397868:26401819:1 gene:itb02g25640 transcript:itb02g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSYANFWSVLGIGLLFLLLVDFSHSELIDYGGLDFEPRARNSITYSYERIEEVKKQCAFVLSSASELKPDDNRMLSIKQDLTFLNGDWWQESNGAPLMPFDDRDSKSSPEPRYPMNLVSFWITDVDRVRRSKKFVSVSGFLQMGITVEALFLEKPYERNPHFDIWPDHSQLSVSFEGIYTESKENNGERVLCLLGNTMLPSHQQESSDPWEWVKESGYTNQPPLVQDDQILLVLHYPITSTVTSRAIRGSMKSLNARSNLKYFDEVRLSSWLGTSSNYNFGSEKVVSQACDPYPYEDSFLSSDIDIYKGLDFCVILQRFTHQEPLTVVPNWKCNGTDDYCSKLGPFMSSGEIKATNGSFKDVKIVLQDVRCEKMPTKGNEGSIRVSSVVRAVPPYEKQFTAAHRTGLNNMTLFAEGIWKSSSGQLCMVGCRGNAHTEGNGCDSRICLYVPLSFSIKQRSIIVGTISSIDASSKSYFPLSFEKLVRPSELWDQYTDSHTYYKYSKSKAAVAVLEKNEPTSLGSMFKKALLTFPKLEDADSFLVSLSLLSEDLTIQLPAVSDLISDPVSQRTEIAMEIVSLGPLFGHYGSAKNGSATEKDSSYHSRAEFSQKQLLLNVSAQLSFTGRLYSNFSALFLEGLYDELVGKMYLIGCRDARASWQILHESMDLEAGLDCLIEVVVSYPPTTSRWLVNPSAKISISSQRNEDDPLYFSSVSFQTFPVMYRKQREDILSRRSMEGILRIVTLSLAIVFILSQLFYIRDNLELVPYISLVMLGFQALGYSLPLITGAEALFKKMGTGANESPSYDLVNSQWIRVIDYTVKILVLAAFLITLRLCQKVWKSRVRLLTREPHHVPSDKLVFIMTLIIHAVGYIIVLITHASSDVTQKPLRAERYVDSTGHLQTLRGWETELEEYSGLIQDFFLLPQIIGNFIWQINCKPLRNLYYIGITSLRLLPHLYDYIRSPVSNPYFSEEYEFVNPRLDFYTKFGDIAIPVVMVLLTAIVYIQQRWSYEKLSQTLKLGSIRLLPQPSKAYERLPSASFEAELSSAVSKDLDPE >itb02g22530.t1 pep chromosome:ASM357664v1:2:22000097:22005057:1 gene:itb02g22530 transcript:itb02g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEAVGHVNFPAMAAKDRSGCGNPVKKPGPVSMDHVLAALQETREERDSRIRSLFSFFDSDNAGYLGYAQIEKGLSAMQIPAEYKFAKDLLRVVDANKDGRVDYPEFRKYMDDKELELYKIFQAIDVEHSGCILPEELWDALIKAGIEIDDDELARFVEHVDKDNDGIITFEEWRDFLLLYPHDATIENIYRYLERVCLVDIGEQAVIPEGISKHVHASKYLIAGGVAGAASRTATAPLDRLKVVLQVQTTRASIMPAVKSIWKEGRLLGFFRGNGINVLKVAPESAIKFYTYEMLKNAIGNAKGGDQGDVGTSGRLIAGGLAGAVAQTAIYPMDLVKTRLQTCTCEGGKVPHLGRLSKDIWLREGPRAFYRGIVPSLLGIIPYAGIDLAAYDALKNFAKTYILQDSEPGPLVQLGSGTISGALGATCVYPLQVVRTRMQAQPTHTSTAYKGMFDVFLRTFRHEGLRGFYKGLFPNLLKVVPAASITYLVYENMKKTLDLD >itb15g10990.t1 pep chromosome:ASM357664v1:15:8742197:8745163:-1 gene:itb15g10990 transcript:itb15g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MATKTGVLTIKGLEDMLMPILRNCKNIAHMKKIHSQVIKFSLTQSNFLVAKMIDFCDKIGAIEYASLLFKHVEEPNIYLFNSMIRAYTQKHMYVSCIDVYTMMMRQLKREEPIFPDSYTYPFVIRSSGGLLSADLGKQFHGHVCKLGLESNNVIENSLLNMYVKCEEISEAHKLFEEMAMRDVISWNSLISGYLKLGKVRRARTLFEEMPDKNIVSWTTMISGYTKIGCYGDALDVFRRMQMAGVKPDWISLVAVLPACAHLGALEVGEWIHFYAAKNGLLRRTCVCNALMEMYSKCGNVNKAWQVFNEMSDRDVISWSTMIGGLANHGRAHDAIGLFKEMEKTNVKPNEITFVGLLSACGHANLVDEGLRYFDSMRDDYKISPDIEHYGCLVDLLARAGNLNRALEIIRSMPMKADSAIWGSLLSSCRTHRNLETAVVAVEHLFELEPDDTGNYVLLANIYADMGKWDCVSAMRRFIRSKSMKKMPGCSLIEVNSVVQEFVSGDNSKAFSKDIYQVLQLLALHQSKASNIIETTNGDEFLDIHFL >itb14g16670.t1 pep chromosome:ASM357664v1:14:20010531:20013812:1 gene:itb14g16670 transcript:itb14g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSRSARTTTMELYTSKRWKHTAAENSPERTRVWTEPPNAKPKSERKVAVVYYLSRNGQLEHPHFMEVPLSSPEGLYLRDVINRLNFLRGIGLASLYSWSAKRSYKNGFVWHDLSENDFIYPAHGQEYVLKGSELMDGALISPSEELGFSSSVPLLPDVRKSGEYPVTARRRNQSWSSSDFHEYRVYKAESTGESSGRAADASTQTDDKRRRRRPGRVVEEEEEGKEGKTQTPVRSQSTELSRGEISPPPSDSSPETLETLMKADGRLVLRSEPAGEDPITAATKGKPKSPSVLLQLLSCGSISFRDCGAGHGKDHGFSLISHYKARLPRAAAGGGNHVDKDAENAMVEFPVKLKLEDKEYFSGSLIETKKEEFPGLKRSSSYNAERSSKLELTQQEIDGVRAKCIPRKPKTQVDTSQHGSKRMAPQS >itb11g12700.t1 pep chromosome:ASM357664v1:11:9643926:9649092:1 gene:itb11g12700 transcript:itb11g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSESEPEPEPESTAWEDLLRRMLPPGAPLPDEEHLDYSIAVEYDGGLDCFEEVPRVKPLTLPTAKPPKFRKSPSVLSRYPLRQKKSSSGSSSPASKHQFSCGSDSEAKQANDQANFVPDSEADCANPVTPEAGRDDDYNDDDGDGSKGSSPLPGEVDEQASRVEKSGELNGEARFRFRATICSRCGKKNRLREKEVCIVCDALYCKYCVLKAMGSMPEGRKCLGCIGLQINEANREKLGKPSRMLAKVCSPLEVKQIMKAEMECSANQIRPEQVWVNGRQLREEELAELLGCVLPPEKLKPGRYWYDKDSGLWGKEGEKPDRFISSKLNVGGKLQLDASSGNTKVYINGREITKVELRVLKLAKVDCPRNTHFWLYDDGSYEEEGQNNIRGNIWEKAGIHSFPMFIIFIACSPWKYSRPKRGCNCFFR >itb11g12700.t2 pep chromosome:ASM357664v1:11:9643926:9649092:1 gene:itb11g12700 transcript:itb11g12700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSESEPEPEPESTAWEDLLRRMLPPGAPLPDEEHLDYSIAVEYDGGLDCFEEVPRVKPLTLPTAKPPKFRKSPSVLSRYPLRQKKSSSGSSSPASKHQFSCGSDSEAKQANDQANFVPDSEADCANPVTPEAGRDDDYNDDDGDGSKGSSPLPGEVDEQASRVEKSGELNGEARFRFRATICSRCGKKNRLREKEVCIVCDALYCKYCVLKAMGSMPEGRKCLGCIGLQINEANREKLGKPSRMLAKVCSPLEVKQIMKAEMECSANQIRPEQVWVNGRQLREEELAELLGCVLPPEKLKPGRYWYDKDSGLWGKEGEKPDRFISSKLNVGGKLQLDASSGNTKVYINGREITKVELRVLKLAKVDCPRNTHFWLYDDGSYEEEGQNNIRGNIWEKLGFLLGRHSLVSYVHYFHCLFPLEILKAQKRMQLLFQVGLCLGFRSIADFRNFCYLGWRDQGQALFSSKW >itb11g12700.t3 pep chromosome:ASM357664v1:11:9643926:9646142:1 gene:itb11g12700 transcript:itb11g12700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSESEPEPEPESTAWEDLLRRMLPPGAPLPDEEHLDYSIAVEYDGGLDCFEEVPRVKPLTLPTAKPPKFRKSPSVLSRYPLRQKKSSSGSSSPASKHQFSCGSDSEAKQANDQANFVPDSEADCANPVTPEAGRDDDYNDDDGDGSKGSSPLPGEVDEQASRVEKSGELNGEARFRFRATICSRCGKKNRLREKEVCIVCDALYCKYCVLKAMGSMPEGRKCLGCIGLQINEANREKLGKPSRMLAKVCSPLEVKQIMKAEMECSANQIRPEQVWVNGRQLREEELAELLGCVLPPEKLKPGRYWYDKDSGLWGKEGEKPDRFISSKLNVGGKLQLDASSGNTKVYINGREITKVELRVLKVLYWTSWCLCPSSYY >itb01g11100.t1 pep chromosome:ASM357664v1:1:9746078:9752560:-1 gene:itb01g11100 transcript:itb01g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGRRPTVASGNGNEDRPEVISESPATDSELARLQLRQRWELASILNFLNVFEPVLGVDFKISAEEIETALIEPNSSLAQLHVALLKGIPPMSKLLKHSDGWVTVLCKKLTVWWPWVAEGDFPLSPAKGEEICTYKAVNPIIRLLLLKALCEIRADQGDISSYINDSIKNGTALSTFRKDKLGGDGIGISYWYDGNETIGHRLYKEILKIESKPKVRGVENGLAISSRWETLATNLEEFRTFVDNVSNSEVKWENAVGKAVQADVIPVLEKIQKKKDRALKRKRSQDMILDSFRQSAVTRSCRNRGHINYTFDDYDRAISEAIKVTNNRRTTKEQRHTKQYSENGTVNGTVDDHEDSLATSSTGDESTGSDTESEQHPGSDVADDTSDENDGEKVADDTSDENDGEKVADAREEDTITEHDYQTKESWLEHRHRDPHCNKRGSTLLRRTKDRLIQRPILTTAECEVVPDSEDDE >itb05g00730.t1 pep chromosome:ASM357664v1:5:632378:634844:-1 gene:itb05g00730 transcript:itb05g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFNNAPVTRALVIACALFTIVFGIQGRSSNLGWSYQDIFKKLQLWKLIVSVFAFSSTPELIFGAYLLYYFRVFERQIGSNKYSVFILFSVIVSLLLETIALKLLKGSA >itb05g19460.t1 pep chromosome:ASM357664v1:5:25944152:25945420:1 gene:itb05g19460 transcript:itb05g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEDAKSMKFSDPSDFSIFNNLGEGLVVEILARLPSSRAAIQLKLVCKSWCSLISSHYFITVFNHRRHDLIHPSSSGCFIFQSVVDPNLRMLRWGYTHAGDFHSPDFSFLPCPQSSIRLKASCADLILCSTRTSTSHIFQPVFYYVCNLLTKQWAALPPAPQFQLEPGGYVGFPTGFLCVPAPCSLCSTQCVVGQNNNFMVVRICVIPVPLIHPQFDFKAHLFSSEKGEWKSVVISSPRAIRFRVRYSATLVPYKGMLHWLISGVVLVYDPYNCPETFCRVIDTPADIDNMMAGVSEREQRFRTMGLFQDRLRVTHVWRSVYYIWELEDYNMGKWSLVHKISCRATAISGLWSLPNHPPNLDPKTRDTGFSYIDGNTFCWTNSSVWWIAKGMVHWIPHQCWPTPVPPLTACTIQSKFFGD >itb08g11760.t1 pep chromosome:ASM357664v1:8:11747707:11749453:1 gene:itb08g11760 transcript:itb08g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPPPHPAAEGANENRTFPRYWCYQCHRAVRISSDNPSNLICPRCSGQFLSEIEESNPSRNIFDFTAFDPSPEARVLEALTLMLDPTAIAHFRTIRGNDGSEDLNRVGSTSDVRNPVPRLLLPLGRQHREAEAGIRSRLWPRRRRGMQQEDSEDDWTAASGILARPRHWIIIRPTGADGGQGGLVGVNPRDYFFGPGLGELIEEITQNDRPGPPPVPDAIIDTIPTVKITTSHLEGDTSDECPICKEKFKVGAEARELPCKHIYHSDCIVPWLRLHNSCPVCRKELPLQSIEEVEEEEQEEEEEQSEEEVTSRSQRCMRLRRQLASLWPFRSRYRRIHNHGNDATGISRRGTDRDGENR >itb08g11760.t3 pep chromosome:ASM357664v1:8:11747707:11749453:1 gene:itb08g11760 transcript:itb08g11760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPPPHPAAEGANENRTFPRYWCYQCHRAVRISSDNPSNLICPRCSGQFLSEIEESNPSRNIFDFTAFDPSPEARVLEALTLMLDPTAIAHFRTIRGNDGSEDLNRVGSTSDVRNPVPRLLLPLGRQHREAEAGIRSRLWPRRRRGMQQEDSEDDWTAASGILARPRHWIIIRPTGADGGQGGLVGVNPRDYFFGPGLGELIEEITQNDRPGPPPVPDAIIDTIPTVKITTSHLEGDTSDECPICKEKFKVGAEARELPCKHIYHSDCIVPWLRLHNSCPVCRKELPLQSIEEVEEEEQEEEEEQSEEEVTSRSQRCMRLRRQLASLWPFRSRYRRIHNHGNDATGISRRGTDRDADSWWHSCNIL >itb08g11760.t2 pep chromosome:ASM357664v1:8:11747707:11749453:1 gene:itb08g11760 transcript:itb08g11760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPPPHPAAEGANENRTFPRYWCYQCHRAVRISSDNPSNLICPRCSGQFLSEIEESNPSRNIFDFTAFDPSPEARVLEALTLMLDPTAIAHFRTIRGNDGSEDLNRVGSTSDVRNPVPRLLLPLGRQHREAEAGIRSRLWPRRRRGMQQEDSEDDWTAASGILARPRHWIIIRPTGADGGQGGLVGVNPRDYFFGPGLGELIEEITQNDRPGPPPVPDAIIDTIPTVKITTSHLEGDTSDECPICKEKFKVGAEARELPCKHIYHSDCIVPWLRLHNSCPVCRKELPLQSIEEVEEEEQEEEEEQSEEEVTSRSQRCMRLRRQLASLWPFRSRYRRIHNHGNDATGISRRGTDRDGENR >itb09g03240.t1 pep chromosome:ASM357664v1:9:1815921:1818226:-1 gene:itb09g03240 transcript:itb09g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNEGVSGVSREGEGEVGGGGEEMETVPLSHSYIRRTRLRSRSFREVRLKSYIFDGDGNYYNKEWDISEGAGREFCWYHVELPKFNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPYCGNVDGALVFRVNSPGPGSSKFTSRIAARVTEHSVITISLGRVPRLGFSPMNESLLSEVPVVESPSNHSSEHKERGGIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHIIDTHVDQLQDIVTNLEIELDSVELELDRGGIAIKKLMLDDRRFPKMHLDLQRLLQVISYGEQVYPRVREKCSSKVWFASEDIVSLEELIGRLRRLKANVGFIANRVNAVQTGLDTWQAEQINKKLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTNQTEPALKDGFRNVMVLSVVMLLLVLLCFLFPTLCNQLIAWRRRHAMRRSWSMNRRSFVRRGTGGTERTGRPGYLRLY >itb05g10350.t1 pep chromosome:ASM357664v1:5:15459150:15460764:1 gene:itb05g10350 transcript:itb05g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDFSAPLTENVPRAKIRPQPPQVQSTFFDMYQEVDAFDNDGWWVGHITGKIGNRFVGSYYEATVVGELPGGKVYVVQYNNLVTDDFSAPLTENVPRAKIWPQPLQVQSTFFDMYQEVDAFDNDGWWVGKITGKFGNCYYVYFENTGEEILYQKDSIRIHLDWVHLSWVPNQTRIQPVF >itb12g27700.t1 pep chromosome:ASM357664v1:12:27951833:27955255:-1 gene:itb12g27700 transcript:itb12g27700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEEAVQVQKPAEEVVVVPEVSSGPEKPLPATVDSVPVPESEMPPEKEGDSGEETAPKDSENENTLPQADGHLKEETHKKALQEFKKLVQDALRNHEFSDSPNNAKTEPQKTEEKKTLGSCIEKEDTSSEPSVQSEESQTDDGAKTVEAIAETIIAVSTSEDAKTSVQCETNPDNQQPEEPVSIWGIKLMEDEKTDVILLKFLQARDFKVKEAFTMLKNTITWRKQFKIEDLLEEDLETGSEWERVVFMHGHSKDGHPVCYNVYGHFQNKDLYTNTFSDEEKRQRFLRWRIQFLEKSIRKLDFTPGGISSIVQVNDLKNSPGPAKWELRQATKQALHLLQDNYPEFLAKQVFINVPWWYLAVSRMTSPFLTQRTKSKFVFAGPSKSAETLFKYIAAEQVPIQYGGLSKDGEFGTADSVTEITVKPSAKHIVEFPVTQKCILTWEMRVVGWEVSYGAEFVPSAEDGYTVIIQKLRKFVGNSACEEEVVCNSFKITEPGKVVLTIDNHTSKKKKLLYRLKAKPSLD >itb12g12550.t3 pep chromosome:ASM357664v1:12:11267606:11273252:1 gene:itb12g12550 transcript:itb12g12550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKEVVDEPHLVERNGAEDEVSELPVEEKETVESKVDEVLAKGIAAEMSSGGLEDRDTIVDEGSNAENVEVGVGSLQDKAESDSIDDDEKFEEAVEAVVEDEKFEEAVEPSPEAQSADDETGRELEVLGNKDSAVQDQNDSDQFARDETAQLEKFDSVESGKAEVMEFVDLDATSKTDTSIYLVQENEKSDVVAEKPENGVLDHLNPEETLTSDAIKTYVNENDSMTVDDGKHDEGVHEKLGMRDANEVKGGHGNPQKPAESYKDILLRSKKSIEARETSDTTDTGFQDEVDIHNCNSAIPDTESKGEKCTDLDEKDSLLLESVDSDGEIEEGKDVPPCDTSVNGHHGESLLFNEGMKEKQSLVLKSLNSGKNDEEQKDVRSNDDGDPDHQRVSSKLSIESKENELPDPATNHHGDSSVGNRATTLEVVSSHPSKDSMGLPVLSSFNQDNQYGEQKDVQSNDTVLDHQGGSFKLPPESKENEIPEPAIKHNGDSSVGYKAVAPESNCSHPSKDSIDVETCASSSDLGVEISKHPQPQFVKSTPEIPKAVAKEPVDVKQVIYMEANQTIPLNGEEETKSVTDSSSFAVSAREDETKSATDSSAPAAASAREEETKSATDSSSSAASAREEETKSATDSSSSAAPTTHIATPTRPAGLGRAAPLLEPAPRVVQPPRLNGAVSQVQNQLVEESTNAEGEENDETREKLQMIRVRFLRLAHRLGQTPHNGVVAQVLYRLGLAEQLRGRNGGRVAAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEGKIDTDAFQIGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKNFIKKTPPDIVLYLDRLDMQSRDYGDMPLLRTITNIFGSSIWFNAIVVLTHAASAPPEDTNGTATSYDVFVTQRSLVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANKLLKLQDSPPGRTFAHQAQAPPLPFLLSSFLQPRPQVKLPMEQFGEDDEALDDELDESSDSEDESEFDQLPPFKCLTKAQLAKLTKEQRKAYYDELEYREKLFMLKQLKEERRRRKALKQRQAASKDLPANSEESTEEDINGAASVPVPIPDMALPASFDSDNPTHRYRRLDSSNQWFVRPVLDSHGWDHDVGYEGINVERLFVVKEKIPISFSGSLSKDKKDSSLQMEIASSLNHGDGKATSLGFDMQSLGKDIAYTLRSETKFLNFRKNKATAGLSATLLGDAITGGFKVEDKFIVSKRGQVVISGGAMFGRGDVAYAGSLEATLRDKDHPLGRFLSTLGLSFMDWHGDISFGCNSQTQIPVGRHTNLIARVNINDKGSGQVSLRLASSEQLQIALICLVPLARKILGYCQQVQYT >itb12g12550.t2 pep chromosome:ASM357664v1:12:11267568:11275059:1 gene:itb12g12550 transcript:itb12g12550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKEVVDEPHLVERNGAEDEVSELPVEEKETVESKVDEVLAKGIAAEMSSGGLEDRDTIVDEGSNAENVEVGVGSLQDKAESDSIDDDEKFEEAVEAVVEDEKFEEAVEPSPEAQSADDETGRELEVLGNKDSAVQDQNDSDQFARDETAQLEKFDSVESGKAEVMEFVDLDATSKTDTSIYLVQENEKSDVVAEKPENGVLDHLNPEETLTSDAIKTYVNENDSMTVDDGKHDEGVHEKLGMRDANEVKGGHGNPQKPAESYKDILLRSKKSIEARETSDTTDTGFQDEVDIHNCNSAIPDTESKGEKCTDLDEKDSLLLESVDSDGEIEEGKDVPPCDTSVNGHHGESLLFNEGMKEKQSLVLKSLNSGKNDEEQKDVRSNDDGDPDHQRVSSKLSIESKENELPDPATNHHGDSSVGNRATTLEVVSSHPSKDSMGLPVLSSFNQDNQYGEQKDVQSNDTVLDHQGGSFKLPPESKENEIPEPAIKHNGDSSVGYKAVAPESNCSHPSKDSIDVETCASSSDLGVEISKHPQPQFVKSTPEIPKAVAKEPVDVKQVIYMEANQTIPLNGEEETKSVTDSSSFAVSAREDETKSATDSSAPAAASAREEETKSATDSSSSAASAREEETKSATDSSSSAAPTTHIATPTRPAGLGRAAPLLEPAPRVVQPPRLNGAVSQVQNQLVEESTNAEGEENDETREKLQMIRVRFLRLAHRLGQTPHNGVVAQVLYRLGLAEQLRGRNGGRVAAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEGKIDTDAFQIGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKNFIKKTPPDIVLYLDRLDMQSRDYGDMPLLRTITNIFGSSIWFNAIVVLTHAASAPPEDTNGTATSYDVFVTQRSLVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANKLLKLQDSPPGRTFAHQAQAPPLPFLLSSFLQPRPQVKLPMEQFGEDDEALDDELDESSDSEDESEFDQLPPFKCLTKAQLAKLTKEQRKAYYDELEYREKLFMLKQLKEERRRRKALKQRQAASKDLPANSEESTEEDINGAASVPVPIPDMALPASFDSDNPTHRYRRLDSSNQWFVRPVLDSHGWDHDVGYEGINVERLFVVKEKIPISFSGSLSKDKKDSSLQMEIASSLNHGDGKATSLGFDMQSLGKDIAYTLRSETKFLNFRKNKATAGLSATLLGDAITGGFKVEDKFIVSKRGQVVISGGAMFGRGDVAYAGSLEATLRDKDHPLGRFLSTLGLSFMDWHGDISFGCNSQTQIPVGRHTNLIARVNINDKGSGQVSLRLASSEQLQIALICLVPLARKILGYCQQVQYT >itb12g12550.t1 pep chromosome:ASM357664v1:12:11267568:11275059:1 gene:itb12g12550 transcript:itb12g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKEVVDEPHLVERNGAEDEVSELPVEEKETVESKVDEVLAKGIAAEMSSGGLEDRDTIVDEGSNAENVEVGVGSLQDKAESDSIDDDEKFEEAVEAVVEDEKFEEAVEPSPEAQSADDETGRELEVLGNKDSAVQDQNDSDQFARDETAQLEKFDSVESGKAEVMEFVDLDATSKTDTSIYLVQENEKSDVVAEKPENGVLDHLNPEETLTSDAIKTYVNENDSMTVDDGKHDEGVHEKLGMRDANEVKGGHGNPQKPAESYKDILLRSKKSIEARETSDTTDTGFQDEVDIHNCNSAIPDTESKGEKCTDLDEKDSLLLESVDSDGEIEEGKDVPPCDTSVNGHHGESLLFNEGMKEKQSLVLKSLNSGKNDEEQKDVRSNDDGDPDHQRVSSKLSIESKENELPDPATNHHGDSSVGNRATTLEVVSSHPSKDSMGLPVLSSFNQDNQYGEQKDVQSNDTVLDHQGGSFKLPPESKENEIPEPAIKHNGDSSVGYKAVAPESNCSHPSKDSIDVETCASSSDLGVEISKHPQPQFVKSTPEIPKAVAKEPVDVKQVIYMEANQTIPLNGEEETKSVTDSSSFAVSAREDETKSATDSSAPAAASAREEETKSATDSSSSAASAREEETKSATDSSSSAAPTTHIATPTRPAGLGRAAPLLEPAPRVVQPPRLNGAVSQVQNQLVEESTNAEGEENDETREKLQMIRVRFLRLAHRLGQTPHNGVVAQVLYRLGLAEQLRGRNGGRVAAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEGKIDTDAFQIGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKNFIKKTPPDIVLYLDRLDMQSRDYGDMPLLRTITNIFGSSIWFNAIVVLTHAASAPPEDTNGTATSYDVFVTQRSLVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANKLLKLQDSPPGRTFAHQAQAPPLPFLLSSFLQPRPQVKLPMEQFGEDDEALDDELDESSDSEDESEFDQLPPFKCLTKAQLAKLTKEQRKAYYDELEYREKLFMLKQLKEERRRRKALKQRQAASKDLPANSEESTEEDINGAASVPVPIPDMALPASFDSDNPTHRYRRLDSSNQWFVRPVLDSHGWDHDVGYEGINVERLFVVKEKIPISFSGSLSKDKKDSSLQMEIASSLNHGDGKATSLGFDMQSLGKDIAYTLRSETKFLNFRKNKATAGLSATLLGDAITGGFKVEDKFIVSKRGQVVISGGAMFGRGDVAYAGSLEATLRDKDHPLGRFLSTLGLSFMDWHGDISFGCNSQTQIPVGRHTNLIARVNINDKGSGQVSLRLASSEQLQIALICLVPLARKILGYCQQVQYT >itb07g23360.t1 pep chromosome:ASM357664v1:7:27767996:27770048:1 gene:itb07g23360 transcript:itb07g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MGRKRDRAYQSRHVPYSFPKRRRPLPPPLDADNAVAETDNLSPENSTASAKLPATVVVMGLTAECSVLDVKSRFEIYGAISRTRMDPGGLAHVTFRSRESAASAVAAAADAAFPITLHSEPVQVMWASDPVPQWKEGVAKREGTMAVSSKLVRAEVPLSRHGRGNKLGSAIVDSKIEHNVDANSSEKKRGGIASRLGVPFKGREIVAYDDIL >itb09g11340.t6 pep chromosome:ASM357664v1:9:7060363:7063350:1 gene:itb09g11340 transcript:itb09g11340.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTVRGRLKPLINGDGFEFKCPSSSSSPPPSRRRFKASGGGGFSAAAAVTSSFPLQLQELRLRFSGPGRITRVYANASSPSSSLGKSKDLHSNPMPDGGEDDFFEEIMDEVSEIQRDELSSFRGLVLDLAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVQISRVHQLHWKSFFFFLLFVPSCPLLCSFPFYVDLLLILCSVYSSRFLICFRLSKGEE >itb09g11340.t5 pep chromosome:ASM357664v1:9:7060363:7063350:1 gene:itb09g11340 transcript:itb09g11340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTVRGRLKPLINGDGFEFKCPSSSSSPPPSRRRFKASGGGGFSAAAAVTSSFPLQLQELRLRFSGPGRITRVYANASSPSSSLGKSKDLHSNPMPDGGEDDFFEEIMDEVSEIQRDELSSFRGLVLDLAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRVKRALSRKNIFYRDNFTCQ >itb09g11340.t1 pep chromosome:ASM357664v1:9:7060363:7063350:1 gene:itb09g11340 transcript:itb09g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTVRGRLKPLINGDGFEFKCPSSSSSPPPSRRRFKASGGGGFSAAAAVTSSFPLQLQELRLRFSGPGRITRVYANASSPSSSLGKSKDLHSNPMPDGGEDDFFEEIMDEVSEIQRDELSSFRGLVLDLAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRVKRALSRKNIFYRDNFTCQYCSSRENLTIDHVLSVARGGEWTWENLVTACARCNSRKGQKTLEEANMKLMSVPKAPKEYDLVAIPLTTAAIKMLEKRKGTPEEWRQYLSMPSSAP >itb09g11340.t4 pep chromosome:ASM357664v1:9:7060363:7063350:1 gene:itb09g11340 transcript:itb09g11340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTVRGRLKPLINGDGFEFKCPSSSSSPPPSRRRFKASGGGGFSAAAAVTSSFPLQLQELRLRFSGPGRITRVYANASSPSSSLGKSKDLHSNPMPDGGEDDFFEEIMDEVSEIQRDELSSFRGLVLDLAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRVKRALSRKNIFYRDNFTCQYCSSRENLTIDHVLSVARGGEWTWENLVTACARCNSRKGQKTLEEANMKLMSVPKVLYYSRNQISGQHRTNLVLPYFLHFFLLIL >itb09g11340.t3 pep chromosome:ASM357664v1:9:7060363:7063350:1 gene:itb09g11340 transcript:itb09g11340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTVRGRLKPLINGDGFEFKCPSSSSSPPPSRRRFKASGGGGFSAAAAVTSSFPLQLQELRLRFSGPGRITRVYANASSPSSSLGKSKDLHSNPMPDGGEDDFFEEIMDEVSEIQRDELSSFRGLVLDLAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRVKRALSRKNIFYRDNFTCQ >itb09g11340.t2 pep chromosome:ASM357664v1:9:7060363:7063350:1 gene:itb09g11340 transcript:itb09g11340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTVRGRLKPLINGDGFEFKCPSSSSSPPPSRRRFKASGGGGFSAAAAVTSSFPLQLQELRLRFSGPGRITRVYANASSPSSSLGKSKDLHSNPMPDGGEDDFFEEIMDEVSEIQRDELSSFRGLVLDLAYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRVKRALSRKNIFYRDNFTCQYCSSRENLTIDHVLSVARGGEWTWENLVTACARCNSRKGQKTLEEANMKLMSVPKAPKEYDLVAIPLTTAAIKMLEKRKGTPEEWRQYLSMPSSAP >itb09g04340.t1 pep chromosome:ASM357664v1:9:2418934:2420641:-1 gene:itb09g04340 transcript:itb09g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHPKTATPSYNLLGVINKATSVADICKAFAKKWHASGRNTSNHRDKPQSKFISINEAKRAISSRKRDKHTATFDVDNQNTPKGSGRKTPESLEQDDEEELVISGPRLLSRTTSRLATSPKLSKSLSRKGNKTPKASDFYAHLERSTSFSSSQTNDLLGTSSKRIATPIIFSESISRRKPPPVEKRLECTLEELCYGCTKEVKITREILSNTGLIIQEEEYVTIKVKPEWRRGTKITFEGKGDERPGSLPADVIFVIEEKKHPMFKREGDDLELGVQIPLVQALTGCTITVPTLGGGEMVLNLDDEIIYPGLEKTIPRQGMPKYNDNQGQRGNLRLRFLVGFPTDLSEEQRSQVASILRDCC >itb09g16880.t1 pep chromosome:ASM357664v1:9:11990954:11992312:-1 gene:itb09g16880 transcript:itb09g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLHLQHPLHSLPRPLKTLKPKTIHIHKPKLSAIVTSKLRVAVIGGGPAGSSAAEALAAGGVETFLFERSPATAKPCGGAIPLCMLDEFSIPTALIDRRVTQMRIVSPSNLVVDFGKTLKQEEFIAMLRREVLDSFLRNRAESSGATLVKALVTKLEVPTSKTEPYVIHYTIDNTQKTLAVDAIVGADGANSRVAKSIKAGDYACAIAFQERIRLPEEKMEYYENLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTICSKPNIKAFQNGIRARARTKIEGGRVIKVEAHPIPEHPRPVRIRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGERMINEADLKREYLKKWDDKYFTTFKFLDLLQKVFYGSNAAKEALVELCGDEYVQRMTFESYLYKKLSDGNRWQDAKMLANTIGSLIRCNFVGKQMEANQTKLLTHV >itb11g19770.t1 pep chromosome:ASM357664v1:11:20588693:20589534:1 gene:itb11g19770 transcript:itb11g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGTAIMWLFIRYDYTWLINICLLYFDIPLDCPIQSGVVTLVNNLGERSRKRLIASETSWQRAIFQLYDFCFRRWCVHLYCDRAAASSMQSSTPVAVATASSFCGGDGDDFLLRRRRPVAAAALLTIDLPASATTDGLNGDGAVICADRAMELCSAQIRSFVHVELGLFVSLNKVCHLFNKILILQ >itb06g16170.t1 pep chromosome:ASM357664v1:6:20367948:20373815:1 gene:itb06g16170 transcript:itb06g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNQNTSKMAIEDFALMLLTGLVALFLVAVHAQDDQSGFVSIDCGLPQDANYTDAITGINYVSDAGFIGTGVISSAVSAAASQPFKTLRSFPQGTRNCYTLRPSPGKGSKYLIRAGFWYGNYDGKNQLPEFDLYLGVDYWDTVTLNSSSPSSLEIIHVLSSDFLHVCLVNTGRGTPFISTLELRNLNVTMYRDDMNDREWTPYNDIANTTAISTSLDVDSTKVFNVFRPPSEVMKTAVVPENARSGLSFSWEAQNLADQFYVYMYFAEVQVLKPNQSRVFEVDLNGELWSGPFAPAYLMAYTVYSPAAEKTRLSYQVVFTQNSNSTLPPLLNAIEIYKVKLILSPQTVDQDVDAIMNVKSTYGVKRNWEGDPCIPQANMWDGLSCSPDSIGTPRIVSLNLSMSGLTGNISSDISGLTMLQTLDLSGNNLSGQVPSFLSQLTFLRVLVGGDDKSTSTGNTSDDKKKKKKAVAPLVASILGALTFGVLVVLLIVWMVRRRKQQGPIAKIESQRMLGKEVALELELKSQQFTYSSILKMTNNLKTILGKGGFGTVYLGYKGDCPIAVKMLSPSSVQGYKEFQAEASLLVNVHHKNLTSLVGYCMDGHNLGIIYEYMANGNLANHLSDDNFRAKLADFGLSRIFPVDGSTHVTTVVAGTRGYLDPEYYKSSRLTEKSDVYSFGVVLLEIITGRRALGENDYIHVSKWVSGMLDKGGIGLVVDSRLRGDFNADCAWRGVEVAIACVSPQPTKRPTMTFVVSELKECLMEMMNPPVVQSEDSTRMMSLNLHSSLNPIPR >itb08g02520.t1 pep chromosome:ASM357664v1:8:1979201:1981860:-1 gene:itb08g02520 transcript:itb08g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSARKEIVAAVMVMMSFTVMLTLADNVYNTNDEAHWYKQNGIYDEAYWYKQNGISMVPKGCPDQCGNVSIYYPFGFGHNKDCYMSEWFLINCTKSSNGVEKPYLSSFSNDSSVGEILGISFEDRTITLKQSISPVCQTTTRSDGSNISIISDTKLSQTPFFYSVNYNKFMLLGCGNALLTSPGYNILGGCTSLCGKFPKRQHLCYGKNCCQFQVNTDDIRTYQVNFTNSAALNNACSYAFFVHQDWFAQSFPGTGQKEIAVPVVWHWTIPHLPPSTPSDYCQHRINSDGSSYAAGYYCNCPYPTIGNPLIANGCHGVSGCFGILLLVWSCFILRKAIKKRKMKKQRKKFFKRNGGLLLQQQLLAKDGTIENAKLFTASELDKATDHFNANRIIGRGGQGTVYKGMLIDGQIVAVKKSQVVDENQLEPFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFIPNGTLLSLIHNNYGNELIPLSWDIRLRIASEVASALAYLHSASSIPIYHRDIKSSNILLDEKFRAKVSDFGTSRSISIDQTHLTTIVKGTFGYFDPEYFRSSQFTDKSDVYSFGVVLAELLTGQKPISFEANDDEDRSLVTRFLLCMEKNTLSKILDMEVLEQGKKEEVMVVASLAQRCLNFNGKKRPTMKEVAAELDAIRASNPHLASAMETLETESGFV >itb10g01640.t1 pep chromosome:ASM357664v1:10:1252343:1256966:1 gene:itb10g01640 transcript:itb10g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLLLFLVLLSFLCFFCNLGKSTDILSPGDVITPNGTLLVSSNGNFALGFFRPGNSSSLFLGIWYNNLKDSVIWVANKDSPLPDQDSHPRFTISDDGNLRLLDGARNNTKWSTNVSGPPGNYAAEAQLKDTGNLILKQGNSTVWESFDDGGDTLMPEMRLKVNMRTGKRSILQSWTSRDDPRPGKFSVGLDPTGAPQIFLWRKQFSVSKQVQNVPYLRSNVFQFGFSQHVYVPNLGYSVYFTFGVQFDEVYFSYMYDDDSAPIRLLLSYDGHIQILFKPRTSNKWENVLQFQFPGSNSQCEMYGSCGSFGSCGRIGSSSVCSCLEGFRPKSEKDWGNGNYSGGCVRRIGLECNGDERFKRLEWMKWPDHPISMGNVTFSECEAQCFRNCSCTAFAYTNISFTVNCINWFGDLVDLGHNNSAAINDLHLRVHASELNGIGGIENSVHKSNSPVHVAVIVVSLVFALFLIISVLACILIKRKYFTKKDWVMASSKSNAFLVGKGDGELLQLSLERILNATNNFDEANQLGEGGFGPVYKGFLSEFGMVAIKRLCKRSSQGLEEFMNELKLIAKLQHKNLVNLLGCCIEDDEKILIYEYLPNRSLDKSLFVEKDNLDWDTRIQIIEGVAQGILYLHKYSRLKVIHRDLKASNILLDEEMKPKVSDFGMARIFGLDQTQAETNRVVGTYGYISPEYVLHGQFSEKSDVFSFGVLLLEIVNGRKNSEFFHSQLSVSLIGWAWENWKKGQALEFADLAIKESSCDSLQVIRCIEVGLLCVQTIPTDRPTMFDVVLMLSNPTLAIPLPKEPAFVITNHSTTTVSMCYTESSDCYSKNEVTISVIEPR >itb10g01640.t2 pep chromosome:ASM357664v1:10:1252343:1256966:1 gene:itb10g01640 transcript:itb10g01640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLLLFLVLLSFLCFFCNLGKSTDILSPGDVITPNGTLLVSSNGNFALGFFRPGNSSSLFLGIWYNNLKDSVIWVANKDSPLPDQDSHPRFTISDDGNLRLLDGARNNTKWSTNVSGPPGNYAAEAQLKDTGNLILKQGNSTVWESFDDGGDTLMPEMRLKVNMRTGKRSILQSWTSRDDPRPGKFSVGLDPTGAPQIFLWRKQFSVSKQVQNVPYLRSNVFQFGFSQHVYVPNLGYSVYFTFGVQFDEVYFSYMYDDDSAPIRLLLSYDGHIQILFKPRTSNKWENVLQFQFPGSNSQCEMYGSCGSFGSCGRIGSSSVCSCLEGFRPKSEKDWGNGNYSGGCVRRIGLECNGDERFKRLEWMKWPDHPISMGNVTFSECEAQCFRNCSCTAFAYTNISFTVNCINWFGDLVDLGHNNSAAINDLHLRVHASELNGIGGIENSVHKSNSPVHVAVIVVSLVFALFLIISVLACILIKRKYFTKKDWVMASSKSNAFLVGKGDGELLQLSLERILNATNNFDEANQLGEGGFGPVYKGFLSEFGMVAIKRLCKRSSQGLEEFMNELKLIAKLQHKNLVNLLGCCIEDDEKILIYEYLPNRSLDKSLFVEKDNLDWDTRIQIIEGVAQGILYLHKYSRLKVIHRDLKASNILLDEEMKPKVSDFGMARIFGLDQTQAETNRVVGT >itb06g25730.t2 pep chromosome:ASM357664v1:6:26645801:26647569:-1 gene:itb06g25730 transcript:itb06g25730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFPLSIEESKSRILLSPKRRMECPSSSFMESLSVGSGEEEDPDVVSDCESGISGSPARREGEDKGCGAVAVENGLIRVHEDDRIHEIVSQKLVAGLGEVGNHTTIEAIHRNGFHSLTKKAKLQAFEIFAKAAEKESDGNANVRYAWFGASKEEINTIVSQGFLPYMINNGSYGHGIYLSPDHFPLGSVKCAVGDENGVQHLLLCRVILGKMEVVASGSGQWHPSSQEFASGVDDLVSPSKYIVWSSNMNTHILPQYVISFRVCSSGT >itb06g25730.t1 pep chromosome:ASM357664v1:6:26645801:26647569:-1 gene:itb06g25730 transcript:itb06g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFPLSIEESKSRILLSPKRRMECPSSSFMESLSVGSGEEEDPDVVSDCESGISGSPARREGEDKGCGAVAVENGLIRVHEDDRIHEIVSQKLVAGLGEVGNHTTIEAIHRNGFHSLTKKAKLQAFEIFAKAAEKESDGNANVRYAWFGASKEEINTIVSQGFLPYMINNGSYGHGIYLSPDHFPLGSVKCAVGDENGVQHLLLCRVILGKMEVVASGSGQWHPSSQEFASGVDDLVSPSKYIVWSSNMNTHILPQYVISFRVCSSGMQRNAAAFPLRKPTSPWISFPALISALAKYLPPQTMKLISKHHKDHRERKISRHEMIQNMRKLAGDDLLAAIIKAHRTKSQSGRS >itb10g16300.t1 pep chromosome:ASM357664v1:10:22550038:22559023:1 gene:itb10g16300 transcript:itb10g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRIWRSAHCAARSILSAYSKHSSRAFSGGRAAGAASVVSLRGVASSLALHGSGESANASRTWISGILALPAAAYMIQEKDAHAAEMERTFIAIKPDGVQRGLVSEVISRFERKGFKLVAIKIMVPSKGFAQKHYHDLKDRPFFSGLCDFLSSGPVVAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIGLWFKPDELVSLRNQSTIWGKHYITFSILFLPSSSSTPNPNPNLLSLTKISGRFSSSQHCHCFKMATKPLTKEAIALTEKKMDMTLDDIIKMSKNNSFKAKKQVVPNRNQKFSNNASHDKSAKFQRFMETRSSLRQGALAQRRSSFQGNHFPLVAQAARKAAAAPIHNRGFSRGRVVNMNGQRVAAPQTQRNFTNKGGFSVIKQQQLQQKVRPVTKQKPQTLDSLFANMKEQRMKNFSQQHTNAPRRNGGSHSQTIVPWARGLLGK >itb10g16300.t2 pep chromosome:ASM357664v1:10:22550038:22559023:1 gene:itb10g16300 transcript:itb10g16300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRIWRSAHCAARSILSAYSKHSSRAFSGGRAAGAASVVSLRGVASSLALHGSGESANASRTWISGILALPAAAYMIQEKDAHAAEMERTFIAIKPDGVQRGLVSEVISRFERKGFKLVAIKIMVPSKGFAQKHYHDLKDRPFFSGLCDFLSSGPVVAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIGLWFKPDELVSLRNQSTIWGKHYITFSILFLPSSSSTPNPNPNLLSLTKISGRFSSSQHCHCFKMATKPLTKEAIALTEKKMDMTLDDIIKMSKNNSFKAKKQVVPNRNQKFSNNASHDKSAKFQRFMETRSSLRQGALAQRRSSFQGNHFPLVAQAARKAAAAPIHNRGFSRGRVVNMNGQR >itb08g13980.t2 pep chromosome:ASM357664v1:8:15543224:15544033:-1 gene:itb08g13980 transcript:itb08g13980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTTFSFSIDALNVAWRHVDAPKVWRTDPIVAHVGGYIVVAGGTCDFEDDPLAVEIYNVGAATWETCESMPAILKDSSASTWLSIATAAGKLIVTEKLTGATHCFDPETKNWTGPYDLRPDQRVSLSIIGSSENRLILVGAIGDIGNIEGIKIWEVNTDNFDYQEIGEMPAGFVKKLKSETFGISSISLCMSGDYAHIYNASEAEEVVVCELDAAAGGGCEWRSFKNAVAGDGNNRMERVVLTSSEVSLAELRRAMGSEKAMFTVKM >itb08g13980.t1 pep chromosome:ASM357664v1:8:15542619:15544448:-1 gene:itb08g13980 transcript:itb08g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNDQIHGDILEVVLSYLPLVDLVPASVVSKSWNSAVTASLRWLNKPKPWLLVHTQSTRSPYEMSTRAYDHRSCAWVEVVNPAAKYVSALRSSHSNLLYMLSPTTFSFSIDALNVAWRHVDAPKVWRTDPIVAHVGGYIVVAGGTCDFEDDPLAVEIYNVGAATWETCESMPAILKDSSASTWLSIATAAGKLIVTEKLTGATHCFDPETKNWTGPYDLRPDQRVSLSIIGSSENRLILVGAIGDIGNIEGIKIWEVNTDNFDYQEIGEMPAGFVKKLKSETFGISSISLCMSGDYAHIYNASEAEEVVVCELDAAAGGGCEWRSFKNAVAGDGNNRMERVVLTSSEVSLAELRRAMGSEKAMFTVKM >itb10g22340.t1 pep chromosome:ASM357664v1:10:27214281:27218623:1 gene:itb10g22340 transcript:itb10g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTVFQTAQIMPIYTSTIPTSKVVSSQKQLLHPAAAFNRLAVAGKMRKSCIRASSSVVDGDAVSALERCFQASPPADCPTSSAGSPVMFAPVMKGGKYGSLGAVTLEKSKLDMSQKQTKSSPELSTGGGGGDIGKSIFHGGGDGGDDGDDDDYMDDFDDDDEGDEGGLFRRRMILQELFDRKFVDAVLNEWQKTMMDLPAGLRQAYEMGLVSSAQMVKFLAINARPTTARFISRSIPQGFSRGFIGRMIADPAFLYKFLLEQAATIGCSVLLEVKNRKERIKQEWDLALVNVLTVTACNAIVLWSLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDMQKRLQSFFYKAAELCMVGLTMGTAQGAISNFLASKKEGRLSVSIPSVSNNALGYGAFLGLYANLRYQLLCGLDQTMMNYFDVIGVALCFSTALRVMNVHVGETSRLTWLGVEPDPLAHADDLLKAAYNRPSEGIDQTSSKWFISKNAIVSGLGLLGIKQGQGGSVTEGEAVPPKARRKRVVRKKATANRTA >itb09g16550.t2 pep chromosome:ASM357664v1:9:11720187:11721375:1 gene:itb09g16550 transcript:itb09g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLLVGVHFKEVSSSGEPVIIDVLTAGETGDLDGEGAAPQLCSAADTGSSWYLSGSLGINMAAKAELTVRRSDEVLVGEPTGQYYDVILFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSQRFKNFVLKAFADDTFS >itb09g16550.t1 pep chromosome:ASM357664v1:9:11718860:11721346:1 gene:itb09g16550 transcript:itb09g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISICGNDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVFIRMLPAYYNHVRSFENTLVTKFFGLHCVKMTGPAQKKVRFVIMGNLFCSEFAIHRRYDLKGSSLGRLTDKPESEIDSTTTLKDLDLNLVFRLPKVWYEEFCRQVDHDCDFLEQERIMDYSLLVGVHFKEVSSSGEPVIIDVLTAGETGDLDGEGAAPQLCSAADTGSSWYLSGSLGINMAAKAELTVRRSDEVLVGEPTGQYYDVILFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSQRFKNFVLKAFADDTFS >itb07g00520.t1 pep chromosome:ASM357664v1:7:363980:367921:1 gene:itb07g00520 transcript:itb07g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MADEKEKGAAMPEVEVEDLPKTIVRRLVKDKLSQLSKDGEMSLLREAHQAFSESARIFIHYLSAAANDVCMESKRQTMSAEDVFKALDEIEFSEFIDPLRASLEEFRQKHSKRKAATSKSVESNKKAKNEEKPAENGMSKKKQESTDNKKGKNTKPAVKENGARKAQPVESEEKDGEETNESEEDDVQEGNESGAEDGQEGNGSESEDEQEGNGSEAENGQEGNGSEAENGQEENGSEAEVGQEGNDSEEEDGQEDDESEDTADD >itb07g00930.t1 pep chromosome:ASM357664v1:7:583941:584387:1 gene:itb07g00930 transcript:itb07g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGPTGKKRKNQNSKQSKYTSNTFHLSLARSHSSAPPALTLSLFHPHHRQSPAASPPATVCSSANSSSPLNLYLHSPVPSRQFPALTAQPRAKISTAKSTHSHTQHRRKTVHSWDFASHSSDSRCSASSVAVELLVLINAVIATSKP >itb01g26310.t1 pep chromosome:ASM357664v1:1:31518931:31520112:1 gene:itb01g26310 transcript:itb01g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPITEEAITKLYTNLNNPKTIAIADLGCSSGPNTLLAISNLVKAVDNHRKKLRRLHSPEFQIYLNDLPTNDFNTIFQSLPKHRREIAGDGCGLCFFNGVPASFYGRLFPSNSLHFVHSSYSLHWLSQVPEGIEENKGNICMATTSPPTVIKAYYDQFEQDFSTFFECRSKELVKGGRMVLTMLGRQSEHPTCHIWELLALALNEIAAEGFVEEEKLNSFNVPLYTPSLAETKLLVEKEGSFTIDCLEASQIHWTGYNGTVHHVDNGGYNVARCMRAVAEPMLVSHFGKGIIDEVIHRYTKMIAHSISTRPETTRFINVIVSVIKM >itb04g28470.t1 pep chromosome:ASM357664v1:4:32196976:32197984:-1 gene:itb04g28470 transcript:itb04g28470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKAKESVEASKEEAERVSSLSFTPHRLGVDLTTFYRYFSLRGIRVDSCRPGFISCTFKIPPRLIDKNGNLGCGAIATLVDEVGCAMSYVEGVAFNVTVDISISFLSTAKLHDELEITGRVLGKRGSYFGTSIVVKNKASGEIIAEGRNSLYRNPINNSKM >itb09g21190.t2 pep chromosome:ASM357664v1:9:18928162:18937987:1 gene:itb09g21190 transcript:itb09g21190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKKQLVCCGGRKMIQAAILGLALIVFLGNVMMWIIMPTFTYYLKWLPHILADTNSTFFDIQGPIMLNFTFPILFIAVIGCIYIHLGKGSPNIQSKCKCRKDWLRVLRKPNIIKPLGIVTWIEVFFVAMFVVLCVWYFAAYVRYQYSSITMYAATKGVQVWQAKIDRLALVIGLTGNICLAFMFYPVTRSSSILPLLGLTSEGSIKYHIWLGHSAMALFTAHGFLYILFWALSHRLSEMLKWDPHYISNVAGEISLVLGLTMWVTAFPSTRRKMFELFFYTHYLYIAFMVFFILHTGIFYACIMLPGFYLFLIDRYLRLLQSKQNVRLISARLLPCETFELNFSKAPGLKYSPTSIMFLNVPSLSKLQWHPFTVTSNSDMEEDTMSVIIKCEGSWTKKLYDVLSAPSPVDSLQVSIEGPYGPAHTDFLRHDVVVMISGGSGITPFISIIKELISISSTQNQKTPKVVLIPIFKTSSHLSILDLLLPLSATPTASTISNLDIQIEAYITRETQQGTIPEKPQPLCFKPKASDVPISSIFGQNSWLWLAAIISASFLIYLSLVAILYQYYVYPMDHGTNKVFPYHTRALLNMLFICFGIVVSASAAFLWNKKKIAREAKQIQDIADSTKSITTLLTYAADQELESLPNQSLSGSINTHYGKRPDLKRILMEMKGSSVGVFASGPKKLRHDVAAICGSGLVNNVTFESNSFNW >itb09g21190.t1 pep chromosome:ASM357664v1:9:18928162:18937987:1 gene:itb09g21190 transcript:itb09g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCVCTCSKCKCRKDWLRVLRKPNIIKPLGIVTWIEVFFVAMFVVLCVWYFAAYVRYQYSSITMYAATKGVQVWQAKIDRLALVIGLTGNICLAFMFYPVTRSSSILPLLGLTSEGSIKYHIWLGHSAMALFTAHGFLYILFWALSHRLSEMLKWDPHYISNVAGEISLVLGLTMWVTAFPSTRRKMFELFFYTHYLYIAFMVFFILHTGIFYACIMLPGFYLFLIDRYLRLLQSKQNVRLISARLLPCETFELNFSKAPGLKYSPTSIMFLNVPSLSKLQWHPFTVTSNSDMEEDTMSVIIKCEGSWTKKLYDVLSAPSPVDSLQVSIEGPYGPAHTDFLRHDVVVMISGGSGITPFISIIKELISISSTQNQKTPKVVLIPIFKTSSHLSILDLLLPLSATPTASTISNLDIQIEAYITRETQQGTIPEKPQPLCFKPKASDVPISSIFGQNSWLWLAAIISASFLIYLSLVAILYQYYVYPMDHGTNKVFPYHTRALLNMLFICFGIVVSASAAFLWNKKKIAREAKQIQDIADSTKSITTLLTYAADQELESLPNQSLSGSINTHYGKRPDLKRILMEMKGSSVGVFASGPKKLRHDVAAICGSGLVNNVTFESNSFNW >itb03g22870.t2 pep chromosome:ASM357664v1:3:21002436:21005401:1 gene:itb03g22870 transcript:itb03g22870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQIVFFSLLLMMLLLSVSAKNNNQSEFFDLMKKSLSGDFMARWDGKHVCNYSGIGCDDLGHVVKIDISGWSLSGRFPESVCGYFPKLRVLRLGQNHFHGNRFPVGISNCSALEELNMTGGYLTGDLPDLNPLQALRSLDLSFNLFTGEFPLSVTNLSNLEVLNFNENGGFSPWRLPANISGLRKLKSLVLTACKLSGRIPVGIGSIESLVDLELSDNGMVGRIPGEIGRLPNLQLLELYYNQLEGEIPEELGNLTSLVDLDLSGNRLIGKIPDSISCLPNLQVLQVYNNTLSGQFPAGLANSTTLRILSLYTNSLSGEIPENFGSSSSLVVLDLSENQFSGKLPPKLCTGGKLMYILLLQNMFSGELPASYGNCRSVVRFRVNYNLLEGKIPEPIFGLPHASIIDVSNNRLNGSIPKTIENAKNLSELFIQGNMISGTLPCVISRVTNLVKLDASNNLLSGPIPFEIGRLKRLNVLILHGNRLNSSIPESLSSLKSLNYLDLSNNLLMGRIPESLGELLPNPMNFSNNILSGAIPVAFIKGGVLDGFSGNPGLCVMSYSSSSNLFPLCSQSFDRKKTKIVWAVGISVFFVIVGAILFLKRWHSKQRDIIIAQQEHQELTSYDVKSFHKVSFEQREIFEGLVEKNKVGEGGSGTVYKVNLSNGEAIAVKKLRSRKGKQEGLTLDRELKTEVETLGRIRHKNIVKLYCYFSGVDSSLLVYEFMPNGNLGEALHGGKADLDWPIRHRIALGIAHGLAYLHHDLQPPIIHRDIKSTNILLDVDYHPKVADFGIAKMLQARGGKDSTTTVIAGTYGYLAPEYAYSAKATTKCDVYSYGVVLMELITGKKPVEAEFGENKNIVYWVSSKVETKEAAYKLLDKRVSESFKGHMIQALRIAIRCTCRMPALRPTMNEVAQYLVEAQC >itb03g22870.t1 pep chromosome:ASM357664v1:3:21002436:21005843:1 gene:itb03g22870 transcript:itb03g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQIVFFSLLLMMLLLSVSAKNNNQSEFFDLMKKSLSGDFMARWDGKHVCNYSGIGCDDLGHVVKIDISGWSLSGRFPESVCGYFPKLRVLRLGQNHFHGNRFPVGISNCSALEELNMTGGYLTGDLPDLNPLQALRSLDLSFNLFTGEFPLSVTNLSNLEVLNFNENGGFSPWRLPANISGLRKLKSLVLTACKLSGRIPVGIGSIESLVDLELSDNGMVGRIPGEIGRLPNLQLLELYYNQLEGEIPEELGNLTSLVDLDLSGNRLIGKIPDSISCLPNLQVLQVYNNTLSGQFPAGLANSTTLRILSLYTNSLSGEIPENFGSSSSLVVLDLSENQFSGKLPPKLCTGGKLMYILLLQNMFSGELPASYGNCRSVVRFRVNYNLLEGKIPEPIFGLPHASIIDVSNNRLNGSIPKTIENAKNLSELFIQGNMISGTLPCVISRVTNLVKLDASNNLLSGPIPFEIGRLKRLNVLILHGNRLNSSIPESLSSLKSLNYLDLSNNLLMGRIPESLGELLPNPMNFSNNILSGAIPVAFIKGGVLDGFSGNPGLCVMSYSSSSNLFPLCSQSFDRKKTKIVWAVGISVFFVIVGAILFLKRWHSKQRDIIIAQQEHQELTSYDVKSFHKVSFEQREIFEGLVEKNKVGEGGSGTVYKVNLSNGEAIAVKKLRSRKGKQEGLTLDRELKTEVETLGRIRHKNIVKLYCYFSGVDSSLLVYEFMPNGNLGEALHGGKADLDWPIRHRIALGIAHGLAYLHHDLQPPIIHRDIKSTNILLDVDYHPKVADFGIAKMLQARGGKDSTTTVIAGTYGYLAPEYAYSAKATTKCDVYSYGVVLMELITGKKPVEAEFGENKNIVYWVSSKVETKEAAYKLLDKRVSESFKGHMIQALRIAIRCTCRMPALRPTMNEVAQYLVEAQC >itb14g21500.t1 pep chromosome:ASM357664v1:14:23475050:23475936:1 gene:itb14g21500 transcript:itb14g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIMAMNQGVRLYVFVESAMKQSLKAPTPWKLLVDVLEPLSLRTEIAYRDGAMKRETQSVKFVYRYDLCVLLFSSNSNLSFFKRFMVGLRCPLPVSSHLMFLMDGRPATSS >itb12g10950.t1 pep chromosome:ASM357664v1:12:9088749:9089135:-1 gene:itb12g10950 transcript:itb12g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMKEGSTSLRRLFDMEHTSLAAYLRDYSGSPVFKAIPLWGSDSDDEIHGDPWSSMKQEMGMSALAEDRFVDEEVGKNRRIGGRKLSRKKSFRSLPRFGGRVWKVRGFRVFRLRLRRLRIILCGRKF >itb01g10460.t1 pep chromosome:ASM357664v1:1:9065121:9065633:1 gene:itb01g10460 transcript:itb01g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSLLHDNPMMSHVYMDPRHRDVVLRGDLAALQQLIQRDGNFVAQVLPGSLNTVLHLAARFGKVEVAGEVARANPELVSSVNAELETALHEACREGHGEIARLLLEVCPGVAYQMNCREESALYVACERGRAQVVNHLLVNFPMMLTLELDLSTTSLHVAASSGHTGK >itb06g03600.t1 pep chromosome:ASM357664v1:6:5985847:5986789:1 gene:itb06g03600 transcript:itb06g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSSSSSYSVAVLLLFFLFISFTEARDHLVGGKSDAWKIPSSPSDSLNNWAEKTRFLPGDSLVWKYDGKTDAVLEVSKRDYVTCNTSMPIGAHNDGDTKIVLERSGPYYFISGAEGHCQKGQKLIVVVMSEKHTRKFLEAAAPSPADEVEAPAVAPTSGAVGLKGSLGVGLGLMVGLLFLM >itb03g30180.t1 pep chromosome:ASM357664v1:3:31701821:31709180:-1 gene:itb03g30180 transcript:itb03g30180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPRALDQSGVQSTLTRRPSRSTAMTTFSMGEVFDTEVVPSSLQSISPILRVATEIQNEHPRVAYLCRFYAFEKANRLDPSSSGRGVRQFKTALHQRLERDNASTLASRVKKTDAREIESFYKHYYEQYVVALNKGEQADRAQLGKAYQTAGVVFEVLCAVNKTEKVEEVAPEIIAAANDVQAKKEIYAPYNIIPPDSAGASQSIMQLEEVKAAVAALSNTHGLNWPASFEQQRQRAGELDLLDWLRAMFGFQRDNVRNQREHLTLLLANIHSRLVPKPEPQNKLDDRAVDALMNKIFKNYKSWCKYLGRKHSLRLPEGEQEVKQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDESFLRKVITPIYQVIDKEAKKSKNGKAPHTAWCNYDDLNEYFWSSDCFSLGWPMRDDGKFFKSTRDTSKGKGISDKNPARMGKSYFAETRSFWHTFRSFDRLWSFFFLALQALIIIAWSDISLLDIFRKDVLYGLSSIFITAAFLRFLQSTLDLILNFPGYHRWKFTDVLRNILKIIVSLAWTIILPLCYVHQNNSFKFGRIRDVFSFLNRVKGIPPLYLMAVAIYLLPNLLAAALFLFPMLRRWIESSDWLVVRFLLWWSQVYFMDTQIWYAIFSTLCGGVIGAFDRLGEIRTLGMLRSRFQSLPGAFNTCLVPSERTRKRRFSLSKRFHEVSPSRRSEAAKFAQLWNEVICSFREEDIISDRKSL >itb15g20660.t3 pep chromosome:ASM357664v1:15:23335547:23345639:1 gene:itb15g20660 transcript:itb15g20660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCEEEVLGSSYTMEKVAAAKQFIENHYKNQMKSIQERKERRWILERKLATSDVPKEEQINLIKDLERKETEYMRLRRHKICVDDFELLTIIGRGAFGEVRLCRDKKSGNIYAMKKLKKSEMLTRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLVMEYLPGGDMMTLLMREDTLSENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLNENEAMDDENIRDPMDIDGSFPDAGNSKWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDAKLSLEAKDLICRLLCDVDHRLGTGGANQIKAHPWFNDIVWDKLYEMDAAFKPEVNGELDTQNFMKFDELDPPPARTGSGPSRKMLLTSKDLSFVGYTYKNFDAVKALRNSSGDPKEGLGTNSAAAGETDVQMIASTGDAMLP >itb15g20660.t4 pep chromosome:ASM357664v1:15:23335674:23345639:1 gene:itb15g20660 transcript:itb15g20660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCEEEVLGSSYTMEKVAAAKQFIENHYKNQMKSIQERKERRWILERKLATSDVPKEEQINLIKDLERKETEYMRLRRHKICVDDFELLTIIGRGAFGEVRLCRDKKSGNIYAMKKLKKSEMLTRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLVMEYLPGGDMMTLLMREDTLSENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLNENEAMDDENIRDPMDIDGSFPDAGNSKWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDAKLSLEAKDLICRLLCDVDHRLGTGGANQIKAHPWFNDIVWDKLYEMDAAFKPEVNGELDTQNFMKFDELDPPPARTGSGPSRKMLLTSKDLSFVGYTYKNFDAVKALRNSSGDPKEGLGTNSAAAGETDVQMIASTGDAMLP >itb15g20660.t1 pep chromosome:ASM357664v1:15:23335547:23345639:1 gene:itb15g20660 transcript:itb15g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCEEEVLGSSYTMEKVAAAKQFIENHYKNQMKSIQERKERRWILERKLATSDVPKEEQINLIKDLERKETEYMRLRRHKICVDDFELLTIIGRGAFGEVRLCRDKKSGNIYAMKKLKKSEMLTRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLVMEYLPGGDMMTLLMREDTLSENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLNENEAMDDENIRDPMDIDGSFPDAGNSKWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDAKLSLEAKDLICRLLCDVDHRLGTGGANQIKAHPWFNDIVWDKLYEMDAAFKPEVNGELDTQNFMKFDELDPPPARTGSGPSRKMLLTSKDLSFVGYTYKNFDAVKALRNSSDLMRSTSPRRPSIDSIFGDPKEGLGTNSAAAGETDVQMIASTGDAMLP >itb15g20660.t2 pep chromosome:ASM357664v1:15:23335674:23345639:1 gene:itb15g20660 transcript:itb15g20660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHCEEEVLGSSYTMEKVAAAKQFIENHYKNQMKSIQERKERRWILERKLATSDVPKEEQINLIKDLERKETEYMRLRRHKICVDDFELLTIIGRGAFGEVRLCRDKKSGNIYAMKKLKKSEMLTRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLVMEYLPGGDMMTLLMREDTLSENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLNENEAMDDENIRDPMDIDGSFPDAGNSKWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDAKLSLEAKDLICRLLCDVDHRLGTGGANQIKAHPWFNDIVWDKLYEMDAAFKPEVNGELDTQNFMKFDELDPPPARTGSGPSRKMLLTSKDLSFVGYTYKNFDAVKALRNSSDLMRSTSPRRPSIDSIFGDPKEGLGTNSAAAGETDVQMIASTGDAMLP >itb07g16830.t1 pep chromosome:ASM357664v1:7:20809575:20812573:-1 gene:itb07g16830 transcript:itb07g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHQQTKICFLICLLFFTSLSPISCEKVSLDLYYESLCPYSANFIVNYLAKIFENGIIDIVDLTLFPWGNAKLKGNNTFECQHGSAECLLNTIEACAIDAWPDLNEHFPFIYCVESLVYNENYSKWETCYEKLGKDPKPVSDCYASGRGKELELSYAAQTNALEPPHEYVPWVVVNGQPLYEDYENFVSYICKAYEGRDKVATCRELPADATQDGMIRFINPFRYTKRTTPKLSTMGSVVMSLLNLAKRVAAE >itb15g09180.t1 pep chromosome:ASM357664v1:15:6553289:6555845:-1 gene:itb15g09180 transcript:itb15g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTPFFLFLLSLLIFSTSAQPAGISTACKSTLYPKFCHSLLSSFRLSPPDSAAYAAFSVHQCINHATALTRFLRRRHPMLVTAGEISALDDCAHLADLTVDYLRAAAAELRASDDDRPATGRVEALLSAVVTNQQTCLDGLAGAGSEIVDAFSNASELYSVSLGLVRPALGVGRRRNVAAAAFEWARSPSFVATKVLESSEREGRMVEEMEWWEKLGVKVRGVVRVSGDGGFNFTTIGEAIAHAPNKTNIEDGYFVIYAKEGYYEENVVVGKHKKNIMLVGDGINRTVIVGNRSVADGWTTYKSATFAVYGERFLAIDVTFRNIAGSAKHQAVALRNNADLSAFYRCSFEGYQDTLYTHSLRQFYRDCDIYGTVDFVFGNAAAILQNCNLYARRPLPNQKNIFTAQGRTDPNQSTGISIHNCTIQAAEGLNSTLSFLGRPWKLYSRTVFMQSYIGDLIDPAGWLAWDGTEGLDTVYYGEFENYGGGANTSMRVQWPGYSVMNASQAVNFTVYNFTMGDTWLNYTNIPFSQGLL >itb04g14290.t1 pep chromosome:ASM357664v1:4:14735348:14737072:1 gene:itb04g14290 transcript:itb04g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRVFSRLAKTPLTSLYASPKPFKNHSQIHPNLHNFTHCLHAPQFHRIIYAFHRSIHERSVNPHPHPNNQNPDSKPQKIIEQTDHICKIVLNHRASQKEFNSNLNSASVVPSPALVAEVLKRLSNSGVLALWFFRWAEKKGGFQYTPHSYHALIEALGKIKQFKMVWICVDEMKNKGVLSRETFALVSRRLARARKVKDAIKAFEMMENKYGMKAELQDFNRLFDTLCKSRAVERAHQVFDEWRHTKFSPDIKSYTILLEGFGEERNLLKLNEVYREMRDAGFEPDAVSYGIMINAYCKAREYDKAVEMFHEMKRKKIEPTPHIYCTLINGLGSVKKLSEALRFFELCKSSGCVIEVPTYNAVVGAYCWSSRMDDAYRIIDEMRASGIGPNARTYDIILHHLIKAQRIEEAYSVFQKMENDPRCEPTVSTYEIIVRMFCCKERIDMAMAMRVWDKMKGKGILPTMHMFSTLINSLCQENKSNFACKYFQEMLDLGIRPPLTLFSNLKQALLDEGKKDTVIALAEKVEKLRKTKLVG >itb01g12860.t1 pep chromosome:ASM357664v1:1:12541164:12544905:1 gene:itb01g12860 transcript:itb01g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFFLTSVQLQVYLCDWWLIKFPTNSKSERIGVAGFTFRESQDARIFHSAAICKRIDAVTLEAVDGIVIVVSGCINRSRTIQNGFPFEMCNHFCVGFPYYWEEIAGQPCEQSTKRYAFPRISFDELKRPSAEGITNSGFTSFDDLPATFLRDFCMTSKDLPAEIPPKNGSVNSEISGHKNKRASSEVASSNEDTSQQRKDKVKKKADRQSPKVFERSEGRITRSMTKAKMFQGRGRVGSEN >itb15g23300.t1 pep chromosome:ASM357664v1:15:26038362:26041478:1 gene:itb15g23300 transcript:itb15g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVVTSLLGIVDHQLLRPPLPLNYILDDTKVHTIAASLSNKLRFLQAILLEKKTVNQQLAATIRDVVVGAEAEIESQLRAVYLAAHNNGDSIIAEARSGLHRTLEQVLIHIKTLEDRIQNQKEGNAKDEVIGCEDEFRKIKNMVVDHLSYKDWKVIPITGMGGIGKTTLAKRVYEDPSIASQFKIRAWAVVSQEYQFKEMLIRLLHCTDPTASKIYSEDCGKLKEELRKRLLGNRYLLVIDDIWSSDAWDEIIRCFPNNINGSRILITTRDEKVAEYASRSSKYKYIHSSRILSPEESWDLFETKVFVGNNLRKPEFDPIGRCIVKKCQGLPLAIIVIAGVLTALEDKSPQAWQDVFENMNSSFDPDKKLLGMLSLSYNYLPSQLKACLIYLGVFPEDTEIPVNKLINLWVAEGFLKEEEDKSLEEVAKSYLHDLINRNLVQVSRRSLDGKLKSFKLHDMLYDLCRREAQNENFLSVEKQLQSTDTMPSPSTDCRWISCTSTHWPTSDKVHSILYFGKDIHLAKSMLVFSGLKMLRVLDLSLIKCWYGLPSELEDLFHLRYLALSALGSLGKFQLIKLVNLQTLFVRSWRKGCRLQLPRDILELPWLRHVHIVDKRSSLYLPKLVRENLQTLLWLKVIGKDPSTTDFTKVPKLKELWVYIDNELPHNAFDSLVHLHLLEKLKVEMGSVKRFYFPTALPKNLKKLTLRSTYLPWKDMDIIGRLKNLEVLKLKNFAFYGPEWELADDLFPELKIFVIAHSNLKCWNADAENFPKLECLILKFCWDLKELPIDGFGNTLRLIEIDSCYPSLVKSAKKIHEEQRELGNDALVIHDLEAKVEEEFKFVEVLQWHTIPREAASPLGSRKFLSNLVLIVEVLCVFLCCS >itb14g05040.t1 pep chromosome:ASM357664v1:14:4358853:4359749:-1 gene:itb14g05040 transcript:itb14g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLHGYIFKPTDKELMQYLEGFVLGKPLKHTSDFIALEDLYGEKEPSEIFGSGDPMTRYYFTQLRRKCQGGSRFLRGVENRGTWKGQDAAHPIRVRDKVMGFRKSLKYEMKKSKSKGGLGDRPGEAWLMKEYSLSDDYLRDKNVVLKDVVLCRIRRRVVRSTSRSSESSTLNINENDTPLEIYNWPENGDVTVSLPPTQTPTLAAADVSSGVDDSYGGVIAMDNHTLQVDEFNELDELLRRLENDDVTVSLPPSETSTLAAAEASSGVDDAYGGGSAMGNDIEDYNFGPTSYPRG >itb07g10560.t2 pep chromosome:ASM357664v1:7:11644641:11648050:1 gene:itb07g10560 transcript:itb07g10560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQVGDYDGVSAAGGDEDRMMEWEDGLPNADDLTPLCQSLIPPELASAFRISPAPFRTMLDVSRASKVTFSSLQGGQPQTMSSTNDFNFKAFDEEGNREQMVTDDDTDLTREGSDSRKSRRIESGGGAEEADSALRNENFGEDSCAKTLNLKRPRLVWTPQLHKRFLDVVGHLGISKAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLPNEGPSPSDHLFASTPVPQSLNESSESGHGNSHHNSNGHMAMPIPFPYPHQMVPMPMFGHNGHIGVPAGNPNAAPSNGFPHQYYMGQQSQWPGNKFDVGRA >itb07g10560.t3 pep chromosome:ASM357664v1:7:11644641:11646064:1 gene:itb07g10560 transcript:itb07g10560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQVGDYDGVSAAGGDEDRMMEWEDGLPNADDLTPLCQSLIPPELASAFRISPAPFRTMLDVSRASKVTFSSLQGGQPQTMSSTNDFNFKAFDEEGNREQMVTDDDTDLTREGSDSRKSRRIESGGGAEEADSALRNENFGEDSCAKTLNLKRPRLVWTPQLHKRFLDVVGHLGISKAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLPNEGPSPSDHLFASTPVPQSLNESSESGHGNSHHNSNGHMAMPIPFPYPHQMVPMPMFGHNGHIGVPAGNPNAAPSNGFPHQYYMGQQSQWPGNKFGAYHHVATTDK >itb07g10560.t1 pep chromosome:ASM357664v1:7:11644641:11648075:1 gene:itb07g10560 transcript:itb07g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQVGDYDGVSAAGGDEDRMMEWEDGLPNADDLTPLCQSLIPPELASAFRISPAPFRTMLDVSRASKVTFSSLQGGQPQTMSSTNDFNFKAFDEEGNREQMVTDDDTDLTREGSDSRKSRRIESGGGAEEADSALRNENFGEDSCAKTLNLKRPRLVWTPQLHKRFLDVVGHLGISKAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLPNEGPSPSDHLFASTPVPQSLNESSESGHGNSHHNSNGHMAMPIPFPYPHQMVPMPMFGHNGHIGVPAGNPNAAPSNGFPHQYYMGQQSQWPGNKFGAYHHVATTDK >itb04g05530.t1 pep chromosome:ASM357664v1:4:3605418:3607775:1 gene:itb04g05530 transcript:itb04g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARRVYEDPSVASRFDIRAWVVVSQYHNRLQMLTDLLKSIGGCEVVSSTEEDQLAQRLYQNLLHRRYFVVIDDIWSVEAWDSVKACFSDNGNGSRVLLTTRSAQVAAFIGSNSDFSHQMQFLEEGESWNLFQEKTSKFQGSEFDMIGRQIVKKCKGLPLAIVVAAGLFSKLHTLDEGKNVAKILNSSATTTIDEECSRILSSSYNHLPHSLKACFLYLSLFPEDYEIHVSEIVKLWVAEGLVKTSEDMSFDAVARRHIQELKDRNLILTVSTIPFRSWNLQTLSVLRIDEISFLEFPQLQHFHGYGIPMDCPIRFHQDLKRIAEFAEIPNLKSIELNGCLHSAMDSAMKIQRQQRRQGNDNMVVIKKFTIKEMRHVLKIRRSKLM >itb13g18230.t1 pep chromosome:ASM357664v1:13:25221966:25224596:-1 gene:itb13g18230 transcript:itb13g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSNGNLQEDSIPEYDRRSEVQAFEDSKVGVKGLLDSGLTKIPRIFLNKQFILEKKSASATSQFVIPVVDFEGLGSSAARRVEIVNMIRDACESWGFFQVINHGIPDDVMDKMLESVRHFHEQDFEVKKPFHSRDVKKKVTYNSNFDLLVAPTANWRDSLYCIMAPDPPEPKEFPNVCRDIMIKYAEHVMSLGYTLFELLSEALGLDPDHLKGMDCAEGLYIVGHYYPPCPEPDLTLGLSSHTDSGFLTLVLQDQLGGLQVLHEDVWVDVPFFPGSLIVNIGDMLQLLTNDKFKSVHHRVLAKPVGPRISVASFFRMHFQEGNDAKTYGPIKELLSEEKPAVYRETTRKEVTMVRYNKGLDGSTLLSHFKLQN >itb05g25960.t1 pep chromosome:ASM357664v1:5:30077707:30078492:1 gene:itb05g25960 transcript:itb05g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSWKRRWNSCIVSMLHTLLSLYAFAPSNSPPSIMAEVFRPVRPPARPLMSPRSRVAQFGLNWLNCNARSIPFKEF >itb15g00550.t1 pep chromosome:ASM357664v1:15:311575:312507:1 gene:itb15g00550 transcript:itb15g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSLIILAFLCLVLVFHSSFIKAQEVEDEREFDYSEGSEKGPERWGDLKGEWEACKNGEMQSPIDISHERVEFIRSFEKRHYKPANATVKNRGHDISICLGAAHGSPKPRPDTAESESRYCCSLQDWQA >itb08g14450.t1 pep chromosome:ASM357664v1:8:16217003:16217750:-1 gene:itb08g14450 transcript:itb08g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQGSITIKVSIVFCIDLQNTIVPKVQFLREIDVQENAIGDVLARFPRIFTYSLEKKIRPTVCPFLVLLTFVLTHVIFLLTKAGVSQLQVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKSFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMIADYPLILRYDIEKHLRPKYKYLRQTMVRPL >itb01g05190.t1 pep chromosome:ASM357664v1:1:3552404:3570633:1 gene:itb01g05190 transcript:itb01g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEKLLKEAKKLPWEERLTHKNWKVRNDANIDLAAVFDSITDPKDPRIREFGPFFRKMVVDSNAPVQEKALDALICYLKAADADAGRFAKEVCDAVVAKCLTGRPKTVEKAQAVFLLWVELEAVEAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRACSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELINVTGSAKPTRKIRSEQDKVLEEDAVPETAGSGPSEELAEDIPQEIDEYELVDPVDILTPLEKSGFWEGVKATKWSERKEAVAELTKLASTKKIAPGDFSEICRTLKKLITDVNIAVAVEAIQAIGNLARGLRTHFSASSRFLLPVLLEKLKEKKPALSESLTQTLQAMHKSGCLNLPDIVEDIKAATKNKVPLVRSMTLNWVTFCIETSNKAIILKVHKEYVPICMESLNDGTPEVRDAAFSALAAIAKLVGMRPLEKSIEKLDDVRRKKLSEMIVGSAGESDGSNSVAVPSSGANVTSTVATDGSFVKRSAASMLSGKKPVQAAPINKKAVPTKSGTAKKGDGGGQLKASKPVEIEDVEPAEMSLEEIESKLGSLIQPETITMLKSAVWKERLEAIVSFKEQVEALQELDPSVEILVRLLSAVPGWNEKNVQVQQQVIDVISHIASTASKFPKKCVVLCIQGISERVADIKTRAQSMKCLTTFCEAVGPGFVFERLFKIMKEHKNPKVLSEGLLWMVTAIDDFGVSHLKLKDLIDFCKDIGLQSSAAATRNSTIKLIGVLHKFVGPDIKGFLTDVKPAILSALDAEYEKNPFEGTSSAPKRTVKASDSVTVSSGGGLDGLPREDISGKITPALLKSLESSDWKIRLESIESVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLIMATLSTIGAVASAMGPAVEKSSKGILSDILKCLGDNKKHMRECALSTLDSWLAAVHLDKMVPYITTSLTDAKIGAEGRKDIFDWLSKQLAGMKEFPDAILLLKPAASAMTDKSADVRKAAEVFFGEILRVCGQEMVTKNLRDIQGPALAIVIERLKPYGALQESFESGKSVSTVTSAKNSSKMGKSSAPIDRASRHGNRVGSSRALPVKSSRQESLMSVQDISIQSQALISVKDSNKEDRERIVIRRFKFEEPRLEQIQDLETDLMKYFREDLHRRLLSTDFKKQVDGIEMLQKALPSIGKEIIEVLDILLRWFVLRFCESNTSCLLKVLECLPELFDMLKNEGYSMTEAEAAIFLPCLVEKSGHNIEKVREKMRELMKKIIYTYSASKTFPYILEGLRSRNNRTRIECVDLVGFLLDNHAAEIGGQLKSLQIVSSLTAERDGEIRKAALNTLATGYKILGDDIWRYVGKLTEAQRSMLDDRFKWKAREMDKRREGKPGESRVALRRSVRDTGSDMAEQSGEVSRSISGPIVNRENYNQSELPMERHPMPLPVSGTNGPTNWNEALDIIAYGSPEQSIEGMKVVCHELGLAMEDPEGTGMDEIVKDADKLVSCLANKVAKTFEFSLMGASSRSCKYVLNTLMQTFQNKNLAHAAKESTVTILITELLLWLLDERVPRMDDGSQLLKALNVLMLKILDNADRTTSFVVLINLLRPLDPSRWPSPTANESLAVRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRKRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMQPPPIILAYIDLNLQTLAAARMLTPSVPGQTHWGDSTANNPAPTTHSDAQLKQELAAIFKKIGDKQTCKIGLSELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMPTPPPSALDLSSPKFGPLSPVNTNPSNDTKSVNSKVEPTHFSLPPSYAEDERSSNTVLSRGSVMDQSELRQQLGEQRIERLPSGVTGGTLEAIRERMKSIQLAASTGIPDPGNRPVMSTNGGINHGISIPSQHSSATEHIVSENPPPPQSGILPMDEKALSGLQARMERLKSGSLEPL >itb14g04930.t1 pep chromosome:ASM357664v1:14:4261388:4262343:1 gene:itb14g04930 transcript:itb14g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSANRALPFHIDLNEIPLSSPREADDDDVVVIDPPPRAAAQAQNVEPRQQPAVVRGSDNVSLLCSVCERRRRDGDKDWMCLGCLIRQHSARRSGAGGNARDGGIRGGGESSDVGFLGLDINAPPPRELEHEGFVLDLNEDATVGRRYGERNVGKYEF >itb07g02220.t1 pep chromosome:ASM357664v1:7:1363893:1365769:1 gene:itb07g02220 transcript:itb07g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFMPILYGILDTAIAFEEPFQRAFARLGCAVHELTKLLESWQLLSCRVHFVLQTEALISKVRNCTLEIVELVVASDQHLRAELSLICLQHCAQKMKSIDCEPLSTSINIATRDAEYISDSAKNIANCLCLKSNQEFLIELVALEKLKENAAEGEKSEYFEQIIAVLTHMHDSFVRMEKFKKYSSLPLIPADFLCPLSLELMVDPVIVGSRCTYERVFIQKWIGLGLTVCPKTRKTLTHVNFIPNYTSKALISNWLEFKNIKLSNLMESSDGNISRTWLYGPSSDAERMSLKSCEDGMANLEDRSLSSLSYHSMHSSVTVRGVSTYGSSQTTRSQETDASTGSPCYSISSSVIQGEASYPGTAIHGGNWDFERISLQSFEGELVNTEDTSVIPLRYNPVRLFMNSLSSAVKYLYASPGSTPSSLRSTRRSSGSVSYSAAIQRYAYSPSHLHSSSELSFLENAGMDVGWRSFQCSEDWLENSTDRNVYSVNHSPLVLSNNNSLSLSSSDRDFFHLSLSRSSAASNNSTLSNLDYSQAAIGDGH >itb10g21190.t1 pep chromosome:ASM357664v1:10:26490132:26491396:-1 gene:itb10g21190 transcript:itb10g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKLTASRSEMLLGSYGYSNGHETGNEASELGEDDVWQTVEEMVNGDESFAEDGEWMPRAVPESNGGLGGFRSRRYGPRAEHEHHHRRQQVGGLSLAFDDSGGKTGSSRIVHQFRGQQDGVAAAASPRGRHVAASAPVNVPDWSKIYRVNSVESLHDSDDAVDDNDEEMVPPHEYLAREYARNRKTATHSVFEGVGRTLKGRDLSRVRDAVWSQTGFDG >itb05g01140.t1 pep chromosome:ASM357664v1:5:964266:965315:1 gene:itb05g01140 transcript:itb05g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSKLGSVTLVILLLCGIVVEVKHANGQSGACPQYCDGRAVIMFCPQESEVKEVGQLCTNCCVAESTGCMLFDHKGTPYCKQEPSSFPKIVTVV >itb09g00720.t1 pep chromosome:ASM357664v1:9:474595:474984:-1 gene:itb09g00720 transcript:itb09g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHRRSIASSFLDAFSLNPLPFPVLLVLAVVCIFLVIQWFVSYEDVVESAKEGFGWVLLPVPLLLLFPAHWLSSTENLEWMLSVPPWARQRIMYYWPSEGSSPWGVAALIILLLVLLQYQSTFLGMWF >itb08g00860.t1 pep chromosome:ASM357664v1:8:624682:626744:1 gene:itb08g00860 transcript:itb08g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLNFSLATAANFRRTTLPKRYTNFRTTIRCSGRVEWDPEGVLGPPQSGHIARLEFTTRLEKDKEAREAFERHVREEKERRRALRESRAIPDKVEDLVEYFLDTEAQEIEFEIARLRPRLNKDFFDHLKLELGKLRFAVSKTKDVEDRQIELESLQKALEEGTEAYDKMQADLVTARASLTMILTSKNVKATLLEMVEQNKINTPLLAILDENIASAHDSNQKEIAEYMEKIRAAVLKYITV >itb13g00580.t1 pep chromosome:ASM357664v1:13:522720:528493:1 gene:itb13g00580 transcript:itb13g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTNLSGRDRGKNRNYRRDGAELEERELLSSIPDYLLLNLPKSLEMNLGVGGSQPSDQVMNLGVGGSQPSDQVIHAAVMMHQPSDQKHIWLKHAVGVAVADVFHTILGIEKLIWLKHTVGVAVAVKEVVAMVVEYVFITTLGMEVAQGTVVGGAKARVATSGTVYCRADHKYALTIRDNKVILAPSNPSDPRQHWYKEHKLGTQVKDSIGLPSFALVNKATMEAIQHGSRGAQPVQLMKYEPNDDGVDHSILWSEACIKDDNGEYKAIRMVNNIGMNMDAFGATKERGGVQHGTAIGLWEWNGGDNQRWKIIPY >itb05g22610.t1 pep chromosome:ASM357664v1:5:27964793:27967705:1 gene:itb05g22610 transcript:itb05g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLKEMRSESLTLVLVNFAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSACYPLAAYLAARHNRAHVIALGAFLWAAATFLVAISSTFAEVAISRGLNGIGLAIVTPAIQSLVADSTDNSNRGTAFGWLQLTGNLGAIIGGLLSVLIAETTFLGIPGWRIAFHLVGLISIVVGILVCLFANDPRFFDSDGNKKDQPRDKPFRADVMELIREAKAVLRVPSFQILVAQGVSGSFPWSSLSFAPMWLELIGFSHKKTALIWTLFNVAGSLGGLFGGKMGDVLAKRFPNGGRIILSQISSGSAIPLAAILLLVLRDDPSTTLTHGLVFFIMGFTISWNGPATNNPIFAEIVPERARTSIYALDRSFESILASFAPPVVGVLAQHFYGFKPVPKGLTNSQEIETDRENAASLAKALYTAIGIPMAICCFFYSFLYCTYPRDRERARMDALIQSEMEHIEASYTAAREECTELRALESDGLQIKEQTVISLDYEGDESFDFDENDEKRLLPRQLESSDSGR >itb05g25570.t2 pep chromosome:ASM357664v1:5:29911720:29913390:1 gene:itb05g25570 transcript:itb05g25570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLEDTDTTVPSYWLNWRVFMCSLLLVLSVFIAFVLLWKYEYSADEDDDSTADSKAECWHLWFNEAWRPCAKEVNPIWLMAFRLVSFSLLVSAVTSNFVVYGSSLFFYYTQ >itb05g25570.t1 pep chromosome:ASM357664v1:5:29911670:29915070:1 gene:itb05g25570 transcript:itb05g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLEDTDTTVPSYWLNWRVFMCSLLLVLSVFIAFVLLWKYEYSADEDDDSTADSKAECWHLWFNEAWRPCAKEVNPIWLMAFRLVSFSLLVSAVTSNFVVYGSSLFFYYTQWTFNLVTIYFGFGSLLSIYGCYQYSKESDDFIDHSWMDEKQGLDTPLIAGPGFSDGIKRQFVPKSAGLCGYLFQVLFQMTAGAVMLTDLVYWFLIFPALDDHGVSFMTVVSHTLNTLFLGDAALNSLPLPWFRIAYFIFWTVAYVIFQWVVHACESIWWPYPILDLSSAYAPLWYLLVAMMHIPCYGFVAMLIGVKKIVLSRWFPSHVAFSDKIQSSCHSPC >itb10g18390.t1 pep chromosome:ASM357664v1:10:24392562:24396339:-1 gene:itb10g18390 transcript:itb10g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLCSLLYNSITSLALSFLLPFRRAAQDASVSLYQGTVWHQRRCPLHHSFQYSVRYALIDLDHAHHAPPDRLSASQARSFSGTNGPVFLLTIPPSVGYEQNPLSVYYCYDVEGSSQHLKKCIAEVTNTPWGERISFLFNPDSDMVAKALHVSPFNDMLGSWRIKANAPGENILVTVSVNHPTHGDYFTASLVAKRVSSSTLMDHTLYFWLMPHKVAFWIYWQALKLWWKGLSFVQHPRYYNPGYRGEALARDEKLHCCQEFSFNSRTHQEAEESRSNRSSRDSNSRTHCFTWRDAKWPWC >itb10g18390.t2 pep chromosome:ASM357664v1:10:24392562:24396339:-1 gene:itb10g18390 transcript:itb10g18390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLCSLLYNSITSLALSFLLPFRRAAQDASVSLYQGTVWHQRRCPLHHSFQYSVRYALIDLDHAHHAPPDRLSASQARSFSGTNGPVFLLTIPPSVGYEQNPLSVYYCYDVEGSSQHLKKCIAEVTNTPWGERISFLFNPDSDMVAKALHVSPFNDMLGSWRIKANAPGENILVTVSVNHPTHGDYFTASLVAKRVSSSTLMDHTLYFWLMPHKVAFWIYWQALKLWWKGLSFVQHPRYYNPGYRGEALARDEKLHCCQEFSFNSRTHQEAEESRSNRSSRDSNSRTHCFTWRDAKWPWC >itb10g18390.t3 pep chromosome:ASM357664v1:10:24392625:24396307:-1 gene:itb10g18390 transcript:itb10g18390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLCSLLYNSITSLALSFLLPFRRAAQDASVSLYQGTVWHQRRCPLHHSFQYSVRYALIDLDHAHHAPPDRLSASQARSFSGTNGPVFLLTIPPSVGYEQNPLSVYYCYDVEGSSQHLKKCIAEVTNTPWGERISFLFNPDSDMVAKALHVSPFNDMLGSWRIKANAPGENILVTVSVNHPTHGDYFTASLVAKRVSSSTLMDHTLYFWLMPHKVAFWIYWQALKLWWKGLSFVQHPRYYNPGYRGEALARDEKLHCCQEFSFNSRTHQEAEESRSNRSSRDSNSRTHCFTWRDAKWPWC >itb10g18390.t4 pep chromosome:ASM357664v1:10:24392625:24396307:-1 gene:itb10g18390 transcript:itb10g18390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLCSLLYNSITSLALSFLLPFRRAAQDASVSLYQGTVWHQRRCPLHHSFQYSVRYALIDLDHAHHAPPDRLSASQARSFSGTNGPVFLLTIPPSVGYEQNPLSVYYCYDVEGSSQHLKKCIAEVTNTPWGERISFLFNPDSDMVAKALHVSPFNDMLGSWRIKANAPGENILVTVSVNHPTHGDYFTASLVAKRVSSSTLMDHTLYFWLMPHKVAFWIYWQALKLWWKGLSFVQHPRYYNPGYRGEALARDEKLHCCQEFSFNSRTHQEAEESRSNRSSRDSNSRTHCFTWRDAKWPWC >itb01g31930.t1 pep chromosome:ASM357664v1:1:35551271:35554404:1 gene:itb01g31930 transcript:itb01g31930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGVGADEKTIRVVRANSGTDRVRVKRQTLETVLQQCQRALELLNSVGGTEEDDDDDVGDAGRDVVEENDETSSTPSPDAETEELCDLLKSRVDSPDFLQKLENAQASVPQSIAEECSSWDIVNDSDLWESGDPELDNEDYVLVRQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKIIYNVASWGATAVGIYQNPAILRAATAAFWTSCHVISKLF >itb01g31930.t2 pep chromosome:ASM357664v1:1:35551288:35554388:1 gene:itb01g31930 transcript:itb01g31930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGVGADEKTIRVVRANSGTDRVRVKRQTLETVLQQCQRALELLNSVGGTEEDDDDDVGDAGRDVVEENDETSSTPSPDAETEELCDLLKSRVDSPDFLQKLENAQASVPQSIAECSSWDIVNDSDLWESGDPELDNEDYVLVRQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKIIYNVASWGATAVGIYQNPAILRAATAAFWTSCHVISKLF >itb01g33620.t1 pep chromosome:ASM357664v1:1:36659235:36665475:-1 gene:itb01g33620 transcript:itb01g33620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDKQSTGLLDTLNMEKVRTILTHPYPYPHEHSRHFVITVVVGCLFFISSDNMHTLIQKLDSNIKWWSIYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIVWIFVAALYHLPSFQSMGVDVRMNLSLFLTIYVSSIFFLLVFHVIFLGLGYLGLVARIAKRKPELLAILQNCTVLSIACCVFYIHCGNLAIIKQKPFEWKDSSWFSLWKERNTWLAKFVRMSEFKEQVCKFWFAPVGSASDYPFLSKWVIYGELSCGGSCAESSDEISPIYSLWATFIGLYIANYVVEHSSGWALSHPLSPKEFEKLKEKQMKPEFLDMVPWYSGTSADLFKTVFDLLVSVTLFVGRFDMRMLQAAMTKDQDGAKQQDLLYDQFSEKDDLWFDFMADTGDGGNSSYTVARLLAQPVIRAQNNGSILTLPRGNLLLIGGDLAYPNPSSFTYEKRLFCPFEYALQPPTWYKEEHIAVNKPELPSGIADLKYYEGPQCFLIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKRSYFALQLPKRWWVFGLDLALHCDIDIYQFKFFSELIRDKMLENDSVIIMTHEPNWLLDWYWSDETGKNVSYLIRDHLNGRCKLRIAGDLHHYMRHSYVPSDRPAYVQHLLVNGCGGAFLHPTHVFRNFNELYGTTYESKASYPSFEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLAFSMFPQCHLDHLLKDDTFSGHLSSFFTTVWDSFIDLFGRSYVSSAGAMLLLVAAICFVPSRVSRKKRMIIGILHVSAHLAAALILMLLLELGVETCIRHDLLGTAGYHTLYEWYRSVESEHFPDPTGLRDRIERWTFGLYPACIKYLMSAFDVPEVMAVSRNSICKDGMESFSRGGAVIYYASVFLYFWVFSTPVVSLIFGSYLYVCINWLHLHFDEAFSSLRIANYKSFTRFHLNSKGDLEVFTLAVDKVPKDWKLDPYWDSELKQPQHSSFTRKFPSKWRAASLKQDPVNTVRVVDHFVIEQTGKSDSETVNGEQTGKSDSETVNEEQTGKSDTETVNGEQTGISDLDTVNGEQTGKSNSAMANGEQTGKLDSETVNGEQTGKSDSETMNGATNQ >itb01g33620.t2 pep chromosome:ASM357664v1:1:36659235:36665006:-1 gene:itb01g33620 transcript:itb01g33620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVSNYIVLNIGLLVQLLVLSESHYGAFGSSTHDSLMVSDKQSTGLLDTLNMEKVRTILTHPYPYPHEHSRHFVITVVVGCLFFISSDNMHTLIQKLDSNIKWWSIYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIVWIFVAALYHLPSFQSMGVDVRMNLSLFLTIYVSSIFFLLVFHVIFLGLGYLGLVARIAKRKPELLAILQNCTVLSIACCVFYIHCGNLAIIKQKPFEWKDSSWFSLWKERNTWLAKFVRMSEFKEQVCKFWFAPVGSASDYPFLSKWVIYGELSCGGSCAESSDEISPIYSLWATFIGLYIANYVVEHSSGWALSHPLSPKEFEKLKEKQMKPEFLDMVPWYSGTSADLFKTVFDLLVSVTLFVGRFDMRMLQAAMTKDQDGAKQQDLLYDQFSEKDDLWFDFMADTGDGGNSSYTVARLLAQPVIRAQNNGSILTLPRGNLLLIGGDLAYPNPSSFTYEKRLFCPFEYALQPPTWYKEEHIAVNKPELPSGIADLKYYEGPQCFLIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKRSYFALQLPKRWWVFGLDLALHCDIDIYQFKFFSELIRDKMLENDSVIIMTHEPNWLLDWYWSDETGKNVSYLIRDHLNGRCKLRIAGDLHHYMRHSYVPSDRPAYVQHLLVNGCGGAFLHPTHVFRNFNELYGTTYESKASYPSFEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLAFSMFPQCHLDHLLKDDTFSGHLSSFFTTVWDSFIDLFGRSYVSSAGAMLLLVAAICFVPSRVSRKKRMIIGILHVSAHLAAALILMLLLELGVETCIRHDLLGTAGYHTLYEWYRSVESEHFPDPTGLRDRIERWTFGLYPACIKYLMSAFDVPEVMAVSRNSICKDGMESFSRGGAVIYYASVFLYFWVFSTPVVSLIFGSYLYVCINWLHLHFDEAFSSLRIANYKSFTRFHLNSKGDLEVFTLAVDKVPKDWKLDPYWDSELKQPQHSSFTRKFPSKWRAASLKQDPVNTVRVVDHFVIEQTGKSDSETVNGEQTGKSDSETVNEEQTGKSDTETVNGEQTGISDLDTVNGEQTGKSNSAMANGEQTGKLDSETVNGEQTGKSDSETMNGATNQ >itb13g19800.t1 pep chromosome:ASM357664v1:13:26717219:26721098:-1 gene:itb13g19800 transcript:itb13g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVYLSLHRPLQLNSSPYFFNPTARRTQSCTIPSHPLLALSGLPSLSARQKFARKRRRFTSFASTLELPLLPFPLDQTLIPSETKTLHLYEARYLALLDESLFRKEKLFVHFVLDPIAINDTSGEASFAARYCCLVVIEKVERLDVGAFVSIRGIGRVKIVQFVQAEPYLRGMVIPFQDNIPLTVTDISSKVSELKESIGSLNSIEIKLKAPKEELLQTMTANSIGWVKKASLLDCDSNFIPSMAELVSFAALQPVSGASQSDLVKLQKEKLRAMRIKDTMERLDLSSRYVRDNISLVAAKLAIQSLDSPSS >itb12g19860.t1 pep chromosome:ASM357664v1:12:22300395:22305468:-1 gene:itb12g19860 transcript:itb12g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEGGDFPPKQAQVEVASTAAAAAAPPPVEADFPTKKLARQLDFTGFSGGDGGGDGNASAAVSPENSQRIVPHAVKTTQPHLTVKEGQPPRSQLPPQPQLQPHSQSQQHLLLMPMQQPSAQSPHPSIRPLKPESPRVRPRQSASEIKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNVENEAARREAVEATLERNPNAFRPKIASSPHGVRDSKEETGDGIILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFRGDNANNIAYLQQAANAAITGAIGSSGYGSPPVAKKRKGQELYFGPTLKIPVHALGQFPQSNHIKASVQPASMPSIPSSHAGNAAALGPSKFTYRSLLADIVQPQDVMGVCSFLVSCSREAAKMLAEEHRETSATSSQERLGDLKDSLGKALPDYSSSENCVEKASSDEPDVDGTHMSEGGPVSPGTLALMCDEQETVFAAASPDEINLGSNMSSQLRRGRSMTEAYAKQESIVLTKFRDCLNKLITLGEIKESRCSSMARASESDYRKGIINNTSTVTETQNQKEPYSNGKSVVPSPSRTSQVVTSANAGSDNSTRPKGPSPGEH >itb01g31360.t6 pep chromosome:ASM357664v1:1:35137039:35143218:1 gene:itb01g31360 transcript:itb01g31360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMVITRTRYSVVCILWFLE >itb01g31360.t10 pep chromosome:ASM357664v1:1:35139158:35143218:1 gene:itb01g31360 transcript:itb01g31360.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPFSSSEMKPSEHRA >itb01g31360.t4 pep chromosome:ASM357664v1:1:35136994:35143218:1 gene:itb01g31360 transcript:itb01g31360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPFSSSEMKPSEHRA >itb01g31360.t2 pep chromosome:ASM357664v1:1:35136994:35143209:1 gene:itb01g31360 transcript:itb01g31360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPFSSSEMKPSEHRA >itb01g31360.t7 pep chromosome:ASM357664v1:1:35136995:35143218:1 gene:itb01g31360 transcript:itb01g31360.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMVITRTRYSVVCILWFLE >itb01g31360.t5 pep chromosome:ASM357664v1:1:35136994:35143218:1 gene:itb01g31360 transcript:itb01g31360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKVSAYAKREFPFTFIIALKYCKIF >itb01g31360.t8 pep chromosome:ASM357664v1:1:35136994:35143218:1 gene:itb01g31360 transcript:itb01g31360.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMVITRTRYSVVCILWFLE >itb01g31360.t3 pep chromosome:ASM357664v1:1:35137039:35143218:1 gene:itb01g31360 transcript:itb01g31360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPFSSSEMKPSEHRA >itb01g31360.t9 pep chromosome:ASM357664v1:1:35136994:35141919:1 gene:itb01g31360 transcript:itb01g31360.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKVSAYAKREFPFTFIIALKYCKIF >itb01g31360.t1 pep chromosome:ASM357664v1:1:35137026:35143218:1 gene:itb01g31360 transcript:itb01g31360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSKCSMALQFEILGKFNRARAARLTLPHYVCQTPLFMPVGTQGTIKGLTTNQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVKGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHQAMANDIRPIDPTCDCMVCKKYTRAYIHSLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIAQGWFPKFVCEFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPFSSSEMKPSEHRA >itb04g26410.t1 pep chromosome:ASM357664v1:4:30769606:30772702:1 gene:itb04g26410 transcript:itb04g26410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKCSQQRCNEWVFRDVPSDIIIEVDGGIFSLHKFPLVSRSGRIRKLVAEHRDSDISRIELVSLPGGTESFELAAKFCYGVNFEITAANVAQLCCISDYLEMTDEYSKNNLGSRAEEYIESIVCKNLEMCVEVLQQCENLLPLADELKIVTRCIDAIASKACVEQIASSFSRLEYSSSGRLHMSRQAKCEGDWWIEDLSVLRVDLYQRVITAMKCRGVRPESIGASLVNYAQKELAKKSSLLWNQSSQSKCDIVAGSSDQEKLVVETIVALMPVEKFAIPISFLFGLLRSAVMLDCAIACRLDLERRIGSQLDIATLDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSDEDMEEGSGFESNSPSSPSQTALFKVSKLVDNYLAEIAPDANLKLNKFIAIAETLPTHARTIHDGLYRAIDVYLKAHQGLSDPDKRKLCRLIDFQKLSQEAGAHAATNERLPLQSIVQVLYFEQLRLRNALFCSYPDEDPKPLHQSWRINSGALSAAMSPKDNYASLRRENRELKLELARMRMRLNDLEKEHVCMKRSMEKSNSRRFMSSFSKKIIKLNLFGHSSSRESTSPSKQSQRTDSKLTETT >itb11g00930.t1 pep chromosome:ASM357664v1:11:397746:399696:1 gene:itb11g00930 transcript:itb11g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTMGSLRHFLLFTAAVIAVSSAVTAAHNITAILEGHPEYSQFNDYLSRTKLADEINTRTTVTVLVLTNGAFSSVTSKHPLSVIKNVLELHVLLDYWDGDKLHDISKGTTLSTTLYQTTGNAPGNLGFVNITDQKGGKVGFGSALPGSPLASQYTKSVKQIPFNISVIEINTPIVVPAVLNAPAPAASDYNLTGALEKAGCKTFASLLISSGVIKTFEKAADKGLTIFAPNDEAFKADSLPDLSKLSNADTVALLEYHAVAEYTPFGTLKTSKDPISTLAANGAGKFDFSTETSGDSVTLHTGVDSSRVASTVIDSTPLCIFTVDKVLLPAELFGNAPSPAPGPAPEISPAPAPESDAPSPSAEASAPSPLLSPPAPPTSSPGGAPADSPTADSENTTASKNSGAVNAPALLLLALLALSVYLS >itb01g26230.t2 pep chromosome:ASM357664v1:1:31465484:31467465:-1 gene:itb01g26230 transcript:itb01g26230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTLAMGDLLNIEMKNIKQNLDALIIAKFDEISRLARLKKRLEKQIVEKDESILNVSTLIGVSKDSLVKINDNLEKIITENKEALEEVRSAASSQQKSHPEPWKLCLNEKANEVNRLFDEVNRIFDELNRINNKVVVVDNSAKVHELITSISEVMETLNRARAYLMKLK >itb01g26230.t1 pep chromosome:ASM357664v1:1:31465455:31467473:-1 gene:itb01g26230 transcript:itb01g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDLLNIEMKNIKQNLDALIIAKFDEISRLARLKKRLEKQIVEKDESILNVSTLIGVSKDSLVKINDNLEKIITENKEALEEVRSAASSQQKSHPEPWKLCLNEKANEVNRLFDEVNRIFDELNRINNKVVVVDNSAKVHELITSISEVMETLNRARAYLMKLK >itb15g14910.t2 pep chromosome:ASM357664v1:15:13397790:13403127:-1 gene:itb15g14910 transcript:itb15g14910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNKGLSKETSVGLKVWELVGIIVGLLIVVILLALTFYLTLRKKSRRAKENHPICQIPTVSKEIKEVRVEHVSAHEFAPRDGILLTIQDKSSDKDSDKVLVHLGMGKSKNGDNSSQSGSFHLVDKDCCASHSGEEGSSGTFAAYKPYTSHPIAAPSPLTGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGQLINGTEVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARTKVLLGTAKALAYLHENIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAQEVNLVDWLKMMVGNRRSEEVVDSNIGSRPSTRALKRALLTALRCVDPDSEKRPKMSQVARMLESEEYPIPREDRRQRRIRAGGGGTESESQHENYDTDKSDNPDSRSESKRNITCDQQVVPPTDMTATVGS >itb15g14910.t1 pep chromosome:ASM357664v1:15:13397790:13403127:-1 gene:itb15g14910 transcript:itb15g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNKGLSKETSVGLKVWELVGIIVGLLIVVILLALTFYLTLRKKSRRAKENHPICQIPTVSKEIKEVRVEHVSAHEFAPRDGILLTIQDKSSDKDSDKVLVHLGMGKSKNGDNSSQSGSFHLVDKDCCASHSGEEGSSGTFAAYKPYTSHPIAAPSPLTGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGQLINGTEVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARTKVLLGTAKALAYLHENIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPAQEVNLVDWLKMMVGNRRSEEVVDSNIGSRPSTRALKRALLTALRCVDPDSEKRPKMSQVARMLESEEYPIPREDRRQRRIRAGGGGTESESQHENYDTDKSDNPDSRSESKRNITCDQQVVPPTDMTATVGS >itb01g24420.t1 pep chromosome:ASM357664v1:1:30070653:30072932:1 gene:itb01g24420 transcript:itb01g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRHQQRYEQGFRLIAGCIPFRLRNTEENGGGLSEEIIEVLMINSTSGPGLLFPKGGWENDETVEEAAMREAIEEAGVRGDLLHFLGYYLFKSKTLQDESSPEGLCKASMFALLVKEELESWPEQSLRQRSWLTIPEAVECCRHPWMREALEEGFLKWHGSGMIRTMNTDDED >itb03g08770.t1 pep chromosome:ASM357664v1:3:6592527:6595632:1 gene:itb03g08770 transcript:itb03g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLIGFKTSISTAIFPFNAEIPKSPPKISTISPSPTPRKPTRTSPTISATKILASSVSSVPVEEQQVEVEIADGYTMTQFCDKVIDMFLTEKPKAKDWKKYLVFREEWKKYRGRFYTRCQTRADAEVNDPAIKEKYITLARKVKKIDDEMDRHEDLLKEIQDSPRDVNAIVTKRRKEFTGEFFRYLTLLSETYDSLEDRDAMARLGARCLTAVSAHDNTLEIVETLDTAQQKFDDILNSPSLDAACEKIKSFAKTKELDSSLILLINGAWAAAKDSTTMRNEVKDIMYHLYKTTQSSLRSMAPKEIKLLKYLLNIIDPEERFSALATAFSPGDEHGAKDPSAIYTTPKELHKWIKIMLDAYHLNQEETEIREAKQMNQPVVIQRLCILKEVIEEEYLEQQVNAENDSKSEEL >itb11g00260.t1 pep chromosome:ASM357664v1:11:119597:121083:-1 gene:itb11g00260 transcript:itb11g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSFYAAAPPPPPPPLTSANKPNSVSTNFTTIGLGYAIAIAFGFLVLFSTVLLASYICCRSIAARRRRRRLDAEAGYRNSHPDDNGVYLPRIIFVAEDDEENDGVSGQNVALGLDQAVINSYPKLVYSKRSGNPGNDTLCSICLCEYKDSEMLRMLPDCKHYFHVTCIDAWLKLNASCPVCRNSPLPTPLSTPLSEVVPLSQYSDGRRRQ >itb11g22930.t1 pep chromosome:ASM357664v1:11:24822557:24823187:1 gene:itb11g22930 transcript:itb11g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRRGQRLKRWRRGRRSSGDHHRREKLRRRTAATTTKGLSSDDVDQPICVSRQVATSSGYDTVSGECGSSRSKLWLRAPSTASAGQGVSFGESDLRYIDQIRLLIAPTNSLQAQLNDDFCDCPNGSDQPEPQYVPMASFIARMPEHTPLLIYP >itb07g07010.t1 pep chromosome:ASM357664v1:7:5285155:5286015:-1 gene:itb07g07010 transcript:itb07g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQVEISFHGDLYHEILKERPHHLPSMCSAIHEVEGQWGTVGSSGSTVIFKFTHDGKTKTAEDVIEAIDDEKKMVKFRVVGGDVLESYKNFTVTCEVDSNGDDNFVTWTLEYEKLKEEIPEPLSYLEFLFNMTKDMDDHHAKLNP >itb08g01720.t1 pep chromosome:ASM357664v1:8:1381229:1386976:1 gene:itb08g01720 transcript:itb08g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFSHLFPCVNPAVNRDEPEVVFTGSEPLDETLGHSFCYVRSSARFVSPPHSDRFISPSQSLRFDEPAQPKTRPGGGPMETGFRAISGASVSANTSTPRTVLQVDNFYEDATGTDGAITGVRGSVVNGFESTSSFCALPLQPIPRGGSGGERSCPMERAFFMSGPIERGALSGPLEPPTGSDSGANNVPFSAPLGGVYVKKKRRRGISGIRKALYRNFPEKKRPWVLPVRNFGGGRKDDPPASNCGGRELEMSCDNNIQWALGKAGEDRVHVVVSEELGWLFVGIYDGFNGPDAPEFLMSNLYKAMYKKLEGLFWDSEETSRQEEVGAGLENDVIPENSDILNTKSSLEPTGEVVREVEGVNGVNFQQLDKGSTKKVTFRSGEIEVRRRRLWEFLAEEDPEDGLDLSGSDRFAFSVEDALSVNNAGSAVRRSLLLSKLKQGLLIKHRENKKLFPWRFGLKGKEKVGVEENRVEEERSIGNGRRRKVGPVDHELVLRAMSGALEITELAYLDMTDKLLDRYPELALMGSCLLVVLMRDEDVYVMNLGDSRAIVAKYEPEEVTSSSNSRVLGNDGLAVEGKVEESKGSILAEDKATNVVPVQDMRLVSLQLSTDHSTSIEEEVIRIKNEHPDDSNCIVNDRVKGRLKVTRAFGAGFLKQRKLNDALLEMFRNEYIGNTPYISCMPSLRHHRLCPGDQFLVLSSDGLYQYLSNEEVVSHVANFMEKFPDGDPAQHLIEELLLRAAKKAGMDLHELLDIPTGDRRKYHDDVTVMVISLEGRIWKSSGKYL >itb04g04210.t1 pep chromosome:ASM357664v1:4:2589738:2595983:-1 gene:itb04g04210 transcript:itb04g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPSTHGNVDEQISQLMQCKPLSESEVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWSHEQKVVTIFSAPNYCYRCGNMASILEVDDSKEHTFIQFEPAPRRGEPDVTRRTPDYFL >itb15g06000.t1 pep chromosome:ASM357664v1:15:3936867:3939450:1 gene:itb15g06000 transcript:itb15g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRRHGITRSATFKEEIYRPSPMDDDDGDGESFSSASQSLAAQAIRASAAHRDSSLSSVYVQNNFRDRPKALQNQGGPTYDYTSMKSTNEAGGFWGVLARKAKAILDDDNMSRHFEAPTVSPPKASQTSQYHDHHQPFQPSDGRKMENPAIRKGLDALASSLNQFGGSLGTAFEEGRHIFENKTADIIQETQKMQIRRKGSNLDEENQVYGVRSQLQQVSAHPTHHMQIQTNTEDQLKASRDVAMATAAKAKLLVRELKTVKADLTFAKQRCSQLEDENRILREAREKGGNPADDDMIRLQLETLLAEKARLANENAVYARENHFLREIVEYHQLTMQDVVYLDEGIEEVTEVYPIPGVSRGLSSSPPSPASPRSLPDTSSLSTAFSVTKYPIRRSSSVSKFPVPLSPQVVTDVPKLEAPPIFKAEASKKK >itb10g23880.t1 pep chromosome:ASM357664v1:10:27987180:27988885:1 gene:itb10g23880 transcript:itb10g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGNYLKAYTEKAVNSSKVEESVVDQALIYNYIVLMRLGFFDGDPKMLPFGNFGPSDVCTSDHQLLGVEAAKEGIVLLENDGVLPLSPNKTKNLVVIGPNANATEAMLSIYAGKPCGFTTILQGLQKNVVAGVTYEPGCKNPVCQDSSLIEAAAKAAATADTVVVVVGLDQSLEQEGLDRDNLKLPGFQEKLVTDVVSATNNASVILVVMSSSPIDITFAKNNSRIGAILWVGYPGQDGGEAIDQVLFGHYNPGGRSPFTWYPQEYADKVAMTDMNMRANATRNFPGRTYRFYNGESIYPFGHGLSYTTFSKFITSAPSTIILKPSTGNKIRDTALPSQALNISSIQCQGLEFEIVVGVKNTGKIDGSHVVLVFWEPKSAQGVLTGAPNVQLVGFERVATMKGVTESVTMKFDVCRDFSLADGDGNRKVVTGLHSIVVGAPTERQVRHYFNIRLAGQEIM >itb05g01270.t1 pep chromosome:ASM357664v1:5:1097267:1098268:-1 gene:itb05g01270 transcript:itb05g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSEGEEEGKLRGGSQQLLVDDDLCEMAKKAVWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSNISIRACDGFHNLKEIKSVELVKPTGWVYISLSGNNPRETFVNTFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFITYSIVR >itb01g32790.t2 pep chromosome:ASM357664v1:1:36184712:36186709:-1 gene:itb01g32790 transcript:itb01g32790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFVKKGLILTPKKKKWLIALGVIGASSYGAYRVYNMPSIVRKRRRIMKVLGALVSVGEMVCESAEAVTVVSKDLKEFLQSDSNEIPSSLKQLSKVARSEEFSKALSRVSEAVTAGVMRGHYKSQEKKSQIGDVGSPNFSDKLMDRLISSAGAGFASVVVGSFAKNLVMGFYSNSQSREDMDGNHRPGASYVKPNSSTPPGWVDAVFDDRSKVLMADCIQTFVGTAIAVYLDKTMHINFYDEMFSGMTNPKHQAEVRDIMVSLCNGAVETLVKTSHRVLTAPASNPDTSLASSVSIVDHSDDDSTQMNGKDFKNVSPEKVKETSQPIDLQSNGWLSSVSSTLAVPSNRKFVLDVTGRVTFETVRSIVEFLVWKMSQSLKTSVNVVQEEVSERGMDVIRYICAKSSVILTICLALFLHILGSTHNLLPAQI >itb01g32790.t1 pep chromosome:ASM357664v1:1:36184712:36186709:-1 gene:itb01g32790 transcript:itb01g32790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEFVKKGLILTPKKKKWLIALGVIGASSYGAYRVYNMPSIVRKRRRIMKVLGALVSVGEMVCESAEAVTVVSKDLKEFLQSDSNEIPSSLKQLSKVARSEEFSKALSRVSEAVTAGVMRGHYKSQEKKSQIGDVGSPNFSDKLMDRLISSAGAGFASVVVGSFAKNLVMGFYSNSQSREDMDGNHRPGASYVKPNSSTPPGWVDAVFDDRSKVLMADCIQTFVGTAIAVYLDKTMHINFYDEMFSGMTNPKHQAEVRDIMVSLCNGAVETLVKTSHRVLTAPASNPDTSLASSVSIVDHSDDDSTQMNGKDFKNVSPEKVKETSQPIDLQSNGWLSSVSSTLAVPSNRKFVLDVTGRVTFETVRSIVEFLVWKMSQSLKTSVNVVQEEVSERGMDVIRYICAKSSVILTICLALFLHILGSTHNLLPAQI >itb09g28150.t1 pep chromosome:ASM357664v1:9:28761840:28766771:-1 gene:itb09g28150 transcript:itb09g28150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSITGIPGPSGFGSASTADQVTLGIDASNLTAIVTGGASGIGLETVRVLAQRKVHVIIAARNTDAAKDAKDLILRDNRTAKVDIMKLDLNSIRSIKAFADDFKALRLPLNILINNAGIMFCPFQLSEDGYEMQFATNHLGHFYLTNLLLDRMKETAKATGIEGRIVNLSSIAHRYPYRKGIRFDKINDKKSYDDKMAYGQSKLANILHANELSRRLQEEGANITVNSVHPGVIMTNLMRHSTITMNILRVFTSVIWKNVPQGAATTCYVALHPDMKGVTGKYFVDCNECKPTKLARSEALGKELWDFSNQLIQEAQKK >itb09g28150.t2 pep chromosome:ASM357664v1:9:28759625:28766663:-1 gene:itb09g28150 transcript:itb09g28150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSITGIPGPSGFGSASTADQVTLGIDASNLTAIVTGGASGIGLETVRVLAQRKVHVIIAARNTDAAKDAKDLILRDNRTAKVDIMKLDLNSIRSIKAFADDFKALRLPLNILINNAGIMFCPFQLSEDGYEMQFATNHLGHFYLTNLLLDRMKETAKATGIEGRIVNLSSIAHRYPYRKGIRFDKINDKKSYDDKMAYGQSKLANILHANELSRRLQEEGANITVNSVHPGVIMTNLMRHSTITMNILRVFTSVIWKNVPQGAATTCYVALHPDLKGVTGKYFVDCNECKPTKLARSEALGKELWDFSNQLIQEAQKK >itb15g03670.t1 pep chromosome:ASM357664v1:15:2297268:2297844:-1 gene:itb15g03670 transcript:itb15g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMALEKMGNELPPLVEAPPMLVVQQAPAPTTHSTHSSIETLLVVLAVITLLGVIAGVIARLCGGRHFGGSGEHDIEGWVERRCRSCIDGGIPPPPPQEEPKPPAPAPAPAPEEAKK >itb12g12050.t1 pep chromosome:ASM357664v1:12:10576235:10576615:-1 gene:itb12g12050 transcript:itb12g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEGVSCNQNTFTAIITSCGSLEDNSLGHQVLGQAVKSGFDDNVSVANALISMSGNFNFVKEAYSIFEGMTDKDTISWNSMISVLAHNQLCEEAFRCFYFIRRDHDDMNSTTLSSLLFAAVTMMI >itb07g12060.t1 pep chromosome:ASM357664v1:7:13631356:13635759:-1 gene:itb07g12060 transcript:itb07g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAQPPWWAMTLKTDATVRLSRQGQPPRWLASKVSQWVGLRERQRRNLIADVFAESNIYFGEGSNSTGVPTRLLSVNRKCALALSLHLREADLHTGTALVTDLTCPLTYDYIDIMLQKMSFLKDLWFDCEAQFMSLVLHSSSTISEIFSYTALRQLLQMFIAIMFFHVSEYLLAITVHGKSKVTLKSLLISKNYIVAIIFCLLEYLVEIYFFPHIKEHWWISNLGLAMVVIGEIIRKLAIVTAGQSFTHLIKIYHEEHHRLVTHGIYRYVRHPSYCGFLIWSVGTQIMLCNPISTIAFAIVVWRFFYVRIPYEEYFLRQFFGSQYEDYAKGVPSGVPFVK >itb04g26960.t1 pep chromosome:ASM357664v1:4:31127486:31128647:-1 gene:itb04g26960 transcript:itb04g26960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEEGEYLFKIVVIGDSAVGKSNLLSRFARDEFDSNSKTTIGVEFQTQAVEVDGKEVKVQVWDTAGQERFRSVTSAYYRGAVGALIVYDVTRTPTFDSTKRWIEELNTHCDTATAKILVGNKCDLEEIRGVSVEQGKCLAEQEGLFFIETSALDSTNVVAAFDIVTREIYKNVCKRVACSHDYKPDLSANRLNLAALALANGAECKSKYACCST >itb07g08630.t1 pep chromosome:ASM357664v1:7:6885002:6885854:-1 gene:itb07g08630 transcript:itb07g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPDEGAAVAAAKNPVRHSPSQSPSPVPYLFGGLAAMMGLIACAVLILICSYWRLSGPLGAGDDADRDPEAGDGNGNDGSAPAPVVEEKFLVILAGQEKPTCLATPVASRVSSFGSSCSCSSSTVSSESSTLGKSDGENDEKKRDFNGGGRVEMGTIDRVSRTRS >itb02g08390.t1 pep chromosome:ASM357664v1:2:5283806:5286510:-1 gene:itb02g08390 transcript:itb02g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSSQIPDPEIPTQDETSSSHQRSQTETLEEEESQNLDNPQDQEVADQEDPKSHPEENPDEEFLGEALPLPAAIHVAVPPMGGDMLDAPLPSPANPNRRAPKRKKGKFYAKKQQAIDKKLSTLLGSLNPIPFVPSKILDFSKHEKLMKQLGLWEFVHIEFDQNIRVDLVAQLVASYDPRLRCSYVNERRIQVSRADLGRALKLHVKKEKGGANLLEGVDLDGESLPDESVAIIEDFVSNWVLLHEDAWIMPSEVLNWTKFIKDKHPEKVDWAGLFWFMVEKELIRGEKLEDCYYAAHLQCLIKSQREVLFSEEPDKGQHEEGLLAEETEKVQHESRLLHEEGLLVEETEKVQHESRLFTEEPADVEEVKEVEDGAGESDVKVGGVAEGQEEGTLIKELNIELTLGQDVQAIEMDQDVQEMEDVKDAEMMDFEEHVEEQQEEGEERGPFLQKCMKECMSLGENEQTEEENEELEEEDEEQEGEDGLAIPPMVDPLGVGGDGHAENYLEAMETTQIALDSHGHLPFQSSVDLLASRTDLNSGGTFFDHGGKRGAEHDNVIGSHSLGGNKRLRMDSPWDNKPLDFGTCIEQMEQLMTRAKMLYEAKEQNEQDCNMYNQYWSAEVQKRDHVINSLHKQIFRLERELFMMGNILEGYRKALKNTHMSFAEYRLHAQLPEEPVYKDAGPGGLVLSTTEIEKIRCAQEEEYRSNCLMLELKAKEVEEDYVTEFEMHMNKVLALDNRLTILSDNMKELKEMHDNMKELKRKAPETQQISVETPND >itb03g04010.t1 pep chromosome:ASM357664v1:3:2458507:2462593:1 gene:itb03g04010 transcript:itb03g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIYQGFTSRGGAMAALLLLLLPVFFPTLFTTFISASPSILSESIIAKPRHSSLFKSALQRETTPEEKAEIWTPLANQGWKPVTDSGLFSKLSGKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFIDVLKDDISIVKELPDEFSWSTREYYSTAIRPTRIKTAPVHASANWYSENVSPVLRSYGIAAIAPFSHRLAFDNMPKDIQHLRCKVNFRALVFVPHIRALGDALIHRLRYPASKDEGDGENYPEETNGPQKFVAVHLRFDKDMAAHSACDFGGGKAEKLSLAKYRQIYWQGRVLNSQFTDEELRSQGRCPLTPEEVGLLLAALGFGNNTRLYLASHKVYGGAARIAALRRLFPLMENKKSLASSEERGEIKGKASLLAAVDYYVGMHSDIFISASPGNMHNAMVGHRTYHNLKTIRPNMQLLGQLFLNKNLTWPEFQDAVIEGHANRQGEIRLRKPAKSLYTYPAPDCMCNA >itb14g20520.t2 pep chromosome:ASM357664v1:14:22860662:22864804:-1 gene:itb14g20520 transcript:itb14g20520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVELGTTERVKEDYEFIQLIKNHFLEPHPHHHHHPKPALSEHSSSEPPSQQLHSPVMASGHHRRQDRAEEEEEDEEEEEEDDDEEDEEEELQSDADVSPKNQQTGDHVMATAPEEEGEENINGVVAETTNVAAASELMQFEMSESIRLGTPDDASTDLDSDFHLLPQMPHCQNPNFLSHQGAPIMDEFSREETHYSETISSILRHQCGQWSEFSTTVAGDYVAHSATSAFSSWTTAATSTCSTHRSSAQWILKFALLTVPFLHEKNSHGAAATIPSSKLCKAAPQEEPNVNHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRRRIQELEAARGGAWKVDRQSITGGVARKNPAQKCGASRTQMGPRLSKRGVRTAERPANDTAEDAVVQVEVSIIESDALVEIRCTYREGLILDVMQMLKELGLEITTVQSSVNGGIFSAELRAKVWCTKKNILIPQLLN >itb14g20520.t1 pep chromosome:ASM357664v1:14:22859508:22864804:-1 gene:itb14g20520 transcript:itb14g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVELGTTERVKEDYEFIQLIKNHFLEPHPHHHHHPKPALSEHSSSEPPSQQLHSPVMASGHHRRQDRAEEEEEDEEEEEEDDDEEDEEEELQSDADVSPKNQQTGDHVMATAPEEEGEENINGVVAETTNVAAASELMQFEMSESIRLGTPDDASTDLDSDFHLLPQMPHCQNPNFLSHQGAPIMDEFSREETHYSETISSILRHQCGQWSEFSTTVAGDYVAHSATSAFSSWTTAATSTCSTHRSSAQWILKFALLTVPFLHEKNSHGAAATIPSSKLCKAAPQEEPNVNHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRRRIQELEAARGGAWKVDRQSITGGVARKNPAQKCGASRTQMGPRLSKRGVRTAERPANDTAEDAVVQVEVSIIESDALVEIRCTYREGLILDVMQMLKELGLEITTVQSSVNGGIFSAELRAKLKENLKGRKATIMEVKKAIHSIIPQF >itb09g18020.t1 pep chromosome:ASM357664v1:9:13434596:13437292:-1 gene:itb09g18020 transcript:itb09g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVHVFALGLLASVFNSVHCLTPPYEPVMFVFGDSLFDAGNNNYINTTNDFKANFRPYGETSFPDPTGRFADGLLIPDHIANFANKPFVKPYLAMKKLNGLFINGVNFASAGAGSLDGTNAGMVISFKAQLGYFNKVSQQLKQEVGSDGSKRLLSNAVYMFSIGSNDYSTFITNSTLLNLYTQNQYVDMVVGNMSTVFQEIYKEGGRKFVILSVGAIGCVPSARAANFQTGSSECVEILQTLAKLHNEALQKMFNNLATNLPGFKYSYFDYFQSAIDTISNPSSYGFTEVKVACCGSGPFRGDPSCGGKRGMTSYELCPDVNNFLFWDYNHPTEKTNNLSATLMWDTSPYVTPNNVKSFFLVNYAPM >itb02g01240.t1 pep chromosome:ASM357664v1:2:680757:685793:1 gene:itb02g01240 transcript:itb02g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGESSAKSIDASAGGLVWVRRRNGSWWPGRILGLEELPENSTISPRSGTPVKLLGREDSSVDWYNLEKSKRVKAFRCGEYDECIEKAKAAAANASKKVVKYARREDAILQALEIESACLGKDHPNSFSRLDEQDGVQHLVEELPNSPHHHEKGANMDEDCSSSEDNSSSAPALSRSGVSFEETNSISASKEQSVQGRRRRTPNDSEDDVTEGAKRMRGLDDLGMQVVQSLKRKRSQVAHVHEFLKKKSRRRTLMKVLESTALVSVPVVCEGIPSPTGSGFAGTLHNNTSDNGGIVCENGTLKASGHTCGSPLVKCKQENDIQSIPELPEDGSLDSLFDVPFVTEEKHSGGLSPIVSIASQKAQPGAGAQSSQSSQVETTSLGNDELNESGTSSGNPEIHDICQRMEKGTSEWQSKGKRNSRHTGKGKKQDPGKMINLDDEFNAQLAEYPTYRKSKPVTEFHVEKFQGWSRNSSHREPHAKGPAAELLVPQRLLPYRQSRFVVNPKYDSSAFSLRHHVADSSLFDVNLEVKSSYRPQHVPYISLMSKLNGQPIIGHPLTVDVLEDGFCDQLLASASEVYSSSFDLDDDIGENTSALQGVSTVHDTRPGSGGRVPPKHSKSHHGSASKSSKSKKNGLFSKKTRTLSSLTGSHKQSQDKKLSVQKLKGPAVACVPLKVVFSRISEALNSPMRATHRVIGTSVM >itb07g00490.t1 pep chromosome:ASM357664v1:7:350847:351333:-1 gene:itb07g00490 transcript:itb07g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLMVRKWKRREYGCGKGEENHCVSKENRRSEWWWMKTPTTVHQEEARRKPMRLKTAAENHRELRTREVRYKDYDAIAC >itb12g07950.t2 pep chromosome:ASM357664v1:12:6087591:6090652:1 gene:itb12g07950 transcript:itb12g07950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQGRSSIWTPSGWLIRSFFSLGPRSARELMPRYKNQNVAIKIVHRGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLNLRPRCLDMRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKMVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLAAIVTSCWREDPNTRPNFTQIIHMLLHFLSAISPPEPAIPQRIFTSENAVLPPESPGTSSLMPKRDDSGDTPKTPIEHEQRGFFFCFNPCC >itb12g07950.t1 pep chromosome:ASM357664v1:12:6087340:6090652:1 gene:itb12g07950 transcript:itb12g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANEFYSGEEFNLDSKWLVDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAIKIVHRGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLNLRPRCLDMRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKMVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLAAIVTSCWREDPNTRPNFTQIIHMLLHFLSAISPPEPAIPQRIFTSENAVLPPESPGTSSLMPKRDDSGDTPKTPIEHEQRGFFFCFNPCC >itb01g05840.t1 pep chromosome:ASM357664v1:1:4052324:4056381:-1 gene:itb01g05840 transcript:itb01g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIPELRHYAPSVPIVLVGTKLDLRDDKQYLVDHPGATPITTVRGEELKKMIGAVAYVECSSKTQQNVKAVFDTAIKVALRPPKTKKKSDEDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSAESKSTIGVEFATRTIQVDDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITRNVTFENVQRWLKELRDHTDQNIVVMLVGNKSDLRHLRAVPTDDAGSFAERENTFFVETSALEAYNVESAFCEVLTQIYRVVSKKSLDAGDDPSALPKGQTINIGNRDDVSAVKKAGCCSG >itb09g12720.t1 pep chromosome:ASM357664v1:9:8031397:8034579:-1 gene:itb09g12720 transcript:itb09g12720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRRVQRGEDQWGEVSRRKSQSKRPPRGPQGSWQRTVPSWEKSFCKVVGLLDWESVLEMKKFAFLFDNVVKWDSSAGEEAFQNAKNRFWSQLHGIPCDISLPDPDLYIDEIDWDCKVDPEMLLDLDSKPVDTGPDEKHEGVIIFGDALIPNDAFSASGWGEEENFRKATNSSLNNHEDQWEHGWKNNETTKQSGWNGEWGLEEDTGWGGEQREDSQNLEKGSVITGDTGWGSGWVSDYQFENSEGRNWASGKGNGCAGDWDDSREWGYEQQKENCTDLKAGNAHYNSFSGTHKRGNGGRYIPPKYKNSWNEHHRNGKGKRCPSREWTEANNFGHAWVKTGQAWSWKKAVM >itb11g06700.t1 pep chromosome:ASM357664v1:11:4028587:4029652:-1 gene:itb11g06700 transcript:itb11g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFSGILQRNIQSWIAVLVPSAVSLFFAMVSSGHSVVSISITSLALLISTAIMALKPPKPQAEIKICSSEIKKVAEGEEEEEQKGYSNDPSSSSEDYSDIDSTAQGSDDGSISDDDDDEESLFEICLLPYSGNFVGHEKESSKDCLMEFLTDMNEEDNMIEIDISMGSIKCSGF >itb11g02180.t1 pep chromosome:ASM357664v1:11:1094218:1097132:-1 gene:itb11g02180 transcript:itb11g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGAGCQTPQAPTLCVNNCGFFGTPANMDMCSKCYKDAMLKQDQAKLAASSLESIMNGDSSSSAKPVGITLAAQDGPTETLAVPTQTSSPMLENPNGEKPKEGPSRCSSCKKRVGLTGFTCRCGNLFCAAHRYSDKHDCPFDYHKAAQDTIAKANPVVKAEKLDKI >itb12g01360.t1 pep chromosome:ASM357664v1:12:948348:948749:-1 gene:itb12g01360 transcript:itb12g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLLVVSLVLAFAGYAAAQEAASGGKTEVSDVKSNAEVQNLGRKAVMEYNKRLNVKVNPENNAKRLVFTEVIKAEKQVVAGEKYFLTIKATSEDGQTKTYESEMWVKPGDDTVHEMLNFAPAAAA >itb14g01910.t1 pep chromosome:ASM357664v1:14:1582371:1583215:1 gene:itb14g01910 transcript:itb14g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLTEIVESLKLRMFRWRETMLLDRFSKCGVLIFRMKRTTFSSIFEESDVQLFDLVSHLEHLQRVIYAAVVATGFFAPETVPFLAEAVSDCLRTRFNVCQETLFFLGKSKVVVVVDVEAKRVRGKRGFRMSNRHNRLYEELLCYKGGEDKEGEDEERGVMITVNEVEGEMEKGSDEKTLMVDVFGDYYDHDCAEIIFSMMNSLSKTSDFLRQGCVISDEFQEFVEVKGGLKME >itb04g30110.t1 pep chromosome:ASM357664v1:4:33312735:33314287:-1 gene:itb04g30110 transcript:itb04g30110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMKKMDMKKLCLVLALLLLLQASIAQSQGKGNGNNNGNNNGNGNNGNNNGNDNNGNNNGNNNNGNDNGNDNNGNGNGNGNNGNNNGNDNNGNGNGNDNGKESDKDITHYKMMSKVPKSGQERAFCKAKGACYYKTLTCPAECPERKPKKNKKKKGCFINCGSKCEAVCKWRKPKCSGYGSLCYDPRFVGGDGVMFYFHGAKGADFAIVSDENLHINAHFIGTRPQGRTRDFTWVQALAVMFDSHTLVLAAKRVSKWDDNVDSLMVKWDGETVNVPTDGEAEWRINTGERSVVVERTDDVNTLKLTVSGLLELAAKVVPIGEQENRSHNYQIPADNAFAHLETQFKFFALSDSVEGVLGKTYQPGYESPVKRGVAMPMMGGEDKYQTPSLYSPLCKHCRFQRPSGISTM >itb05g24920.t1 pep chromosome:ASM357664v1:5:29574786:29576574:1 gene:itb05g24920 transcript:itb05g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIFDSSLNLEDTHYKQGYSDGYADGQASGVEEGRQVGLKTGFEVGFELGFYRGCIDAWNSAILLDPTCFSPRVQKNIAQMDELIRKYPIADPENESVTDIMDSLRMKFRAICATLNVKLEYEGYPRASGVENTGF >itb01g20840.t1 pep chromosome:ASM357664v1:1:27047659:27052809:1 gene:itb01g20840 transcript:itb01g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKVVIGISMKDQKARTKAFKIAVSVPGVESASIQLDKGQLEVVGDFDSVVLANQLRKSLGQAELKAGIAFFLSPLWIFLAAATPFYFSVELFTGFGVYRRLGTTEKDDRWKLNGGGWFGLQRRRKAADDSFGVGVAMDGGGSGGAGLPGVAAVLSFLRQRRRPVLVVFRGCWFRFSLLASRRPWLLNVEA >itb01g35000.t1 pep chromosome:ASM357664v1:1:37426794:37432594:-1 gene:itb01g35000 transcript:itb01g35000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEEKVRPGGLVSGGNTLLEEMKLLKEMQDTTGIKKHINSELWHACAGPLATLPQVGSLVYYFPQGHSEQVAVSTNRTATSQIPNYPNLPSQLLCQVHNVTLHADKDTDEIYAQMSLQPVNSEKDVFPIPDFGLKPSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVGAKRLRAGDSVLFIRDEKSQLMLGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSKFVIPLAKYRKSIYGTQLSAGMRFGMMFETEESGKRRYMGTIIGISDLDPLRWPGSKWRCLQVEWDEPGCGDKQNRVSPWEVETPESLFIFPSLTGGLKRPFQPAFLGTQTEWDSLLSRPFIRGPENVYGDIQCSSISNMWSEQVMKMLLRPQAVNNPGFVAPTLQETIAHETITKATIPQEARNAMQGANIKQKPDLIPVEETSTPNESNPQVQVNEPKNPLQQVNQLQCSGKLENQTVPATTSEVAKSEPMLTSDQLSRLQSLGQCNDDKLPLTPTNAHNQATRGAFLNPNNDSFPVQGSPWLMQSQFDSHIPQAPQIDPAIMNGFLQCPDNREWGSYLPSCQSLSGFLRTSEPFSTLRKQDQPFMLPDAVNPLPTSVGQELWDNQLNDAKCFPQGNLEALIPSEDISNLQSIMADSYGLKDLSNQSQRQSDYSCLNFDGSNSGSVVIDPSVSSAVLDEFCTLRNGDFQNPAEYLVGNFSSSQDVQSQITSASLADSQNFSLQDFADNSGGASSSNVEFDETTLLQNSTWQPVAPRVRTYTKIQKAGSVGRSIDVSSFNNYYELRSEIERMFGLEGLLNDSKGSDWKLVYVDFENDVLLVGDDPWDEFVGCVRCIRILSPSEVQQMGEEGMQILNANAMQAVHVSATDGCH >itb12g17590.t1 pep chromosome:ASM357664v1:12:19716311:19719171:1 gene:itb12g17590 transcript:itb12g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTGMMRVGGIRGLFNLARLNHHLKSPLMATVTTAAGAFSSSPSSSVKLVGTHNGSFHCDEALGCYMIRLTDKFKDAKIVRTRDSQVLESLDAVLDVGGVYDPSRDRYDHHQKGFDEIFGHGFSTKLSSAGLVYKHFGKEIIAKQLQVEEGHPDVHRLYLALYKSFMEAIDAVDNGINQYDTDQPPKYVNNTHLSSRVGKLNLDWIEPDQSPEKENEAFSRAMHLAGSEFMDSVRYYAKSWLPARSIVMECLSSRKDVDPSGEIMVLNRFCPWKLHLFELEEELKIDPPLKYVLYQDERGNSWRVQAVAVSPDRFESRRPLPSQWRGLRDNELSKEAGIPSCVFVHMSGFIGGNQSYEGALAMAKAALKL >itb03g20350.t1 pep chromosome:ASM357664v1:3:18269921:18271630:-1 gene:itb03g20350 transcript:itb03g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNLQGGWFLILFSLSTLTRGFKCWGGVGVNWGTMTSHQLPPESVVKLLKDNGFDRVKLFEADEKILGALMGSDIEVMLAIPNVMLQEMSQDPNAAAAWVDANVTNYCYTGGVKIRYVAVGNEPFLTTYNGSFLPYTFPALRNIQEAINHAGLGTEVKATVPFNADIYYSPDSNPVPSAGDFRPEIRDLSIEIVQYLYSNDAPFVVNIYPFLSLYSNSYFPFDYAFFDGSNKTLRDGDAVYTNVFDANFDTLVWALKKSGYPDIKIMIGEVGWPTDGDKNANVQNARRFNQGLLQHALSGEGTPARKGKIIDFYLFSLIDENTKSIAPGCFERHWGIFEFDGKPKYELDLSGKKLNKGLVAVEGVAYMHKRWCILNPHLKELDDLPRNIDYACSLSDCTALGYGSSCNHLSVEGNASFAFNMYYQFKNQNAWDCDFSGLAVVTDEDPSDGKCQFPVMITDAHSVVLLHKKVLYILLAVVEGCIVFLLLVS >itb01g02000.t1 pep chromosome:ASM357664v1:1:1236518:1237177:1 gene:itb01g02000 transcript:itb01g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTLALFLALSLYLLPNPAHSRFNPIRLPTTHEPPSSETPVLDINGDEVRAGGNYYMVSAIWGAGGGGLRLAHLDMMSKCASDVIVSPNDLDNGDPITITPSTADPESSVIMTSTYQTFRFNIATNKLCVNNVNWGIQHDSASGQYFLKAGEFVSDNSNQFKIEVVDANLNFYKLTYCQFGSDKCYNVGRFHDPMLRTTRLALSNSPFVFVIKPTDV >itb04g24370.t1 pep chromosome:ASM357664v1:4:29082087:29084464:-1 gene:itb04g24370 transcript:itb04g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGQLQKQFVAYMASLYREGFLDDQFLQLQKLQDQSNPDFVVEVVSLFFEDSEKLINNMANAFQQQVVDFKQVDAHVHQLKGSSSSIGAQRVKKACVSFRNHCEERSLDGCVRCLQVLKNEYFLVKNKLETLIRLEHQILAAGGTIPLLS >itb07g04250.t1 pep chromosome:ASM357664v1:7:2859516:2863969:-1 gene:itb07g04250 transcript:itb07g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMDEYGRPYIILKEQEQTSRLRGIDAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVIISNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRIAEGYEMASRIAVEHLEHIAHKFEFGLNNIEPLVQTCMTTLSSKIVNRCKRVLAEIAVKAVMAVADFERKDVNLDLIKVEGKVGGKLEDTELIYGIVFDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTIRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELLPEKLGRAGLVQEKSFGTTKDRMIYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAASDNYRGVEQYAIRAFADALDSIPMALAENSGLPPIETLSAVKSQQIKENNPCCGIDCNDVGTNNMSEQNVFETLIGKQQQILLATQVVKLILKIDDVITPAEY >itb04g13850.t1 pep chromosome:ASM357664v1:4:14021793:14023739:-1 gene:itb04g13850 transcript:itb04g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIMNMSGVPLPYPSHGRLRIRTITRATMPGSSVASFTLRDNWFEIHFNPLFHLSSRESALSFFLGRLATLGADIIYPIAMFAFDLVRSRNWFYGVSLSVDLQTVYVLEEPMRSADFLDGEMILNDDTPAVRDYYEQLDDFLFDLMFQPFGHGNVGMSEQEISMLRTERLSDDDDEDGECCSICLEEFTRGMVITELAPCSHRFHNACIAQWLRNNPTCPICCCRCTVCTLKWECPLVWFAESMGEDLTSSARRGLACILRVGGGRGGSHCLGHELVKPLAVKIQRSIRKGVSSACALTVVFESHTVGSRKVKSGGP >itb12g07500.t2 pep chromosome:ASM357664v1:12:5612901:5615214:-1 gene:itb12g07500 transcript:itb12g07500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSALALSPLSTQFQRSPHLFKKQSCRFNIGCLKQEAFQKSSIHSSPDRRSVVCLRLFSSFNGNKKPHAFLHLKAAAAVPENAEGFKAEKPASEIIKTLQLGAMFAIWYLLNIYYNILNKQVLKAYPFPATITAFQFGCGTLLVFLMWAFNLHPKPNIRRSQFAAIFSLAALHTVGNLLTNISLGRVAVSFTHTIKAMEPFFTVLLSAALLGQWPSLWVVLSLVPIVGGVALASFSEASFNWIGFSSAMASNLTNQSRNVFSKTFMDKDEEALDNINLFSVITIISFIFLTSFAILMEGARFTSSNLQYAVSNKWLCLVT >itb12g07500.t1 pep chromosome:ASM357664v1:12:5611905:5615214:-1 gene:itb12g07500 transcript:itb12g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSALALSPLSTQFQRSPHLFKKQSCRFNIGCLKQEAFQKSSIHSSPDRRSVVCLRLFSSFNGNKKPHAFLHLKAAAAVPENAEGFKAEKPASEIIKTLQLGAMFAIWYLLNIYYNILNKQVLKAYPFPATITAFQFGCGTLLVFLMWAFNLHPKPNIRRSQFAAIFSLAALHTVGNLLTNISLGRVAVSFTHTIKAMEPFFTVLLSAALLGQWPSLWVVLSLVPIVGGVALASFSEASFNWIGFSSAMASNLTNQSRNVFSKTFMDKDEEALDNINLFSVITIISFIFLTSFAILMEGARFTSSNLQYAASQGFSARDLWVRSLLAGFCFHSYQQVSYMILHMVSPVSHAVGNCVKRVVVIVSSVIFFQTPVSPINSLGTTIALAGVFLYSRVKRLKPKVA >itb07g05920.t1 pep chromosome:ASM357664v1:7:4085125:4086917:-1 gene:itb07g05920 transcript:itb07g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESMIGLRDKCCNTSIEKRLKGIVAMEFEVESNGDDGSLSEANTFWPVQHPTEPLEEDRPIICPMPYSSSVLKNNGLQEGRFSADIMRKRSDYSAPRKSGGILEVTMEPPIRVVRKRHHPLGPEYRGGVAGPVRRVPPHNATMSQMLHQFNHFKS >itb07g15640.t1 pep chromosome:ASM357664v1:7:18670648:18673751:1 gene:itb07g15640 transcript:itb07g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEENQQVECKKDDVLVPLLEEEGKKGFKSEINGGSFLGSVFNLSTTIVGAGIMALPATMKVLGLGLGISIIIFMAVLANFSIDILLRFSRGGGSNSYGGVMGDAFGTCGRRLLQVCVLINNIGILVVYMIIIGDVLSGTTSDGVHHPGVLEGWFGKQWWTGRHFVLLAITLIVFAPLGFFKRIDSLRHTSALAVALAVVFLVITASITIFKLFTGSIEMPRFLPDVTDISSVWRLFTVVPVIVTAYICHFNVHSIENELRDPSQMRSVVRTSLSLCSIIYIMTSLFGYLLFGDSTLDDVLANFDSNLGVPYSNVLNDAVRVSYALHLMLVFPILFYPLRVNVDGLFFPSARPLDSEKWRFAFINFTLIFVVFLLANFIPSIWDAFQFSGATAAVSLGFILPAAIALKDAPGIATKTDKILSIFMIFLAVSSNAVAIYSDACAVFQKNASPKE >itb04g05770.t1 pep chromosome:ASM357664v1:4:3738162:3742804:-1 gene:itb04g05770 transcript:itb04g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAVSAETMESLILQLHDISAVKFGDFRLKSGISSPIYIDLRLIVSYPKLLSQISRTLTAVVPRSATYDLVCGVPYTALPIATCFSTINNVPMLMRRKEVKDYGTAKAVEGAFQPGQVCLIVEDLVTSGASVLETTAPLRSAGLKVSDAVVMIDREQGGRENLAENGIALHAMVKLSEMVRILKEKGRVSEETEKMVMKFLKENQKVAAPVPGVEKKVKVRIPYGERAKMMANPTGRRLFEIMVQKESNLCVSADVGTAAELLDIADKVGREICMLKTHVDILPDFTPDFGSKLRSIAEKHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIISGPGIVDGLKLKGLARGKGLLLLAEMSSLGNLAKGEYTAAAVKIANEHPDFVIGFISVNPAAWPNGPGNPAFIHATPGVQLVEGGDSLGQQYNTPYSVISERGSDIIIVGRGIIKAANPVEAAREYRLQGWNAYMMSCK >itb04g05770.t2 pep chromosome:ASM357664v1:4:3739617:3742814:-1 gene:itb04g05770 transcript:itb04g05770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAVSAETMESLILQLHDISAVKFGDFRLKSGISSPIYIDLRLIVSYPKLLSQISRTLTAVVPRSATYDLVCGVPYTALPIATCFSTINNVPMLMRRKEVKDYGTAKAVEGAFQPGQVCLIVEDLVTSGASVLETTAPLRSAGLKVSDAVVMIDREQGGRENLAENGIALHAMVKLSEMVRILKEKGRVSEETEKMVMKFLKENQKVAAPVPGVEKKVKVRIPYGERAKMMANPTGRRLFEIMVQKESNLCVSADVGTAAELLDIADKVGREICMLKTHVDILPDFTPDFGSKLRSIAEKHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIISGPGIVDGLKLKGLARGKGLLLLAEMSSLGNLAKGEYTAAAVKIANEHPDFVIGFISVNPAAWPNGPGNPAFIHATPGVQLVEGGDSLGQQYNTPYSVISERGSDIIIVGRGIIKAANPVEAAREYRLQGWNAYMMSCK >itb06g12960.t1 pep chromosome:ASM357664v1:6:17517697:17519629:-1 gene:itb06g12960 transcript:itb06g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNGSKAVETELVIRCRERRDLIKAAADHRYYLAAAHLSYFHSLKDVGDALRRFVDEELVAASSSSPSSLSSPSLILPSGERKLRADGGEGRGKKRGDSSGSSGSVHHIGDGDGGEKESHLSLPSDSSDDEHFHLHETGYNGGHLHIDEEEGHTSPASPFQHPDLPMGSHGPYVTMNQEPPPQMGYWDPFYGYNPQLNPPLYGGNSNTYAYYMKKSSPTMKTVIHEAEAASTAYSNAYWSSPYQNNAGDYFGYPPVGPYGGEGMRNRDKKPSPPAEPPPPPSPKASVWDFLNPFEAIEQQGYSSYFPHGGGYGYGSNSSSPDLKEVREREGIPDLEEETETEFHMDEPKLKKKLNNEFKKKRAGEGPSSSSGVSLQRGGGFGGEQLQKRMPGRKTQHTEEPLATKPMQTKHSEGSSISKGPPSEDSGGSTIRLSSGHDECSLSDMTSSIDVSEEKASSSSIVSKSPEDSSVKTKGVTFEVDHEIESSKLSNSDNKPAHGTRDLREVVAEIKDEFEIASSYGREVAVMLEVGKLPYHHSFIRGTLSSFVS >itb11g01250.t1 pep chromosome:ASM357664v1:11:563593:565709:-1 gene:itb11g01250 transcript:itb11g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERDAMLDYEWGNQSATVMFSGDETAAAAAQNHRQFFDPYGGQQPGFDDGAGAGGSLVGPSAHFAGADHLQLFGEPAAQENAAHLCSLYDPRAYGGAFQHGSHHQVPLLSLETAGPAGFVVVPKSEPLPAAAADFSAAGLGLNLGGRTYFSSAAEDDFVNRLYRRSRAVDSGSFNTPRCQAEGCNADLTHAKHYHRRHKVCEFHSKAATVIAGGLTQRFCQQCSRFHVLSEFDNGKRSCRRRLADHNRRRRKNPQQPNRETAFNNTHLLDNAQNSSSDSLARSPSDSGAHSSPSVTVAVSPPRMSIDCFGQRSYGYMSNATGAGSSAASASSFFFSHG >itb11g11850.t2 pep chromosome:ASM357664v1:11:8730458:8733340:1 gene:itb11g11850 transcript:itb11g11850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDLINLDLSETTDKIIVEYIWIDGTGLGLRSKARTIPKAVKDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFNHPDVVAEEPWYGIEQEYTLLQKDVTWPLGWPVGGFPGPQGPYYCGIGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEVWVARYILERITEIAGVVLSFDPKPIPVRVFCICYFAVLDRMFYMASNLVETYESVCDRAIGMELVHIQITALSP >itb11g11850.t1 pep chromosome:ASM357664v1:11:8730458:8733340:1 gene:itb11g11850 transcript:itb11g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDLINLDLSETTDKIIVEYIWIDGTGLGLRSKARTIPKAVKDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFNHPDVVAEEPWYGIEQEYTLLQKDVTWPLGWPVGGFPGPQGPYYCGIGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEVWVARYILERITEIAGVVLSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGFEVIKKAISKLGLKHKEHIAAYGEGNERRLTGRHETASIDRFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMVAETTIIWKP >itb07g18460.t1 pep chromosome:ASM357664v1:7:22916272:22918457:-1 gene:itb07g18460 transcript:itb07g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVMAERRLMQAVQYDSYGGGAAALKHVEVPVPTPGKGEVLLKIEARSLNPFDCRIESGIIRPFLPSKFPYIPATDVAGEVMEVGSGVKNFKPGNKVVAVLSARNGGGLGEYAIAKENSVIVARPPEVSAAEAAGLPIAGVTAYLALTEAAGIKLDDPISPHKNILVTAASGGVGHYAVQLAKLGNLHHVTATCGPRNIDFVKSLGADEVVDYTTPEGTDLRSPSGLKYDAVIHCARGIPWSTFKANLSKNGKVIDLTPNPTAFFTFALHKLTFSKKQLLPCIITPKSESLAWLVGLVKEGKLKTTIDSKHPLSRAEDAWAKMMSGHATGKIIVEP >itb15g12110.t2 pep chromosome:ASM357664v1:15:10010258:10013642:-1 gene:itb15g12110 transcript:itb15g12110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLTLSASVPALRPAGCEGSVCPSATPAQNAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPTERPKKGSFFNWYYFSINIGALASSTIIVWIQENAGWGIGFGVPTLFMAIAIASFFLGTPLYRFQKPGGSPLTRMCQVLVASFRKRNLTVPTDNTLLYETRDGVSAIDEGSRKLLHSDEFRCLDKAAVISDAELKSGDYCDSWRLCTVTQVEELKRLVQLLPVWATGIVFFAVHAQLPTLSIEQGMTMDTTIGSFNIPAASLSSFNIISVLVCVPIYDRVLVPVAKKITGTERGFSELQRMGIGIFISMLCMLGATLVETKRLELGRELGLVNEGSAVPMSILWLVPQYVLMGAAEVFTCIGQIEFFYDQSPESMRSLCTALALLTTSLGAYLSSFMITVVTSVTGWIPDNLNQGHLDYYFLLLAFLGFLNMVAYLFCSKLYKSKQVC >itb15g12110.t1 pep chromosome:ASM357664v1:15:10010258:10013642:-1 gene:itb15g12110 transcript:itb15g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHQEEDTLLLEDHLLPQTQNCKIYAGDGSVDIKGNPVLKANTGSWKACPFILSTECCERLAYYGIGINLVSYLTKYLHEGNASAATNITTWAGTCYLTPLIGAFLADAYWGRYWTIVAFSTIYFIGMCTLTLSASVPALRPAGCEGSVCPSATPAQNAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPTERPKKGSFFNWYYFSINIGALASSTIIVWIQENAGWGIGFGVPTLFMAIAIASFFLGTPLYRFQKPGGSPLTRMCQVLVASFRKRNLTVPTDNTLLYETRDGVSAIDEGSRKLLHSDEFRCLDKAAVISDAELKSGDYCDSWRLCTVTQVEELKRLVQLLPVWATGIVFFAVHAQLPTLSIEQGMTMDTTIGSFNIPAASLSSFNIISVLVCVPIYDRVLVPVAKKITGTERGFSELQRMGIGIFISMLCMLGATLVETKRLELGRELGLVNEGSAVPMSILWLVPQYVLMGAAEVFTCIGQIEFFYDQSPESMRSLCTALALLTTSLGAYLSSFMITVVTSVTGWIPDNLNQGHLDYYFLLLAFLGFLNMVAYLFCSKLYKSKQVC >itb11g21200.t1 pep chromosome:ASM357664v1:11:22679546:22680648:1 gene:itb11g21200 transcript:itb11g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRICFVLLLFFLLLGIATPSFLKYDRLDSPGEEGRSLLPRGPDCPFDVENMNYKIVTSQCKRQPNYSAKLCCTSFKKLTCKYRDDLNSNPNTCATEMFYYLRRYGNYPAGLFLTLCKEGNNGLDCLGY >itb04g26520.t1 pep chromosome:ASM357664v1:4:30845490:30845819:-1 gene:itb04g26520 transcript:itb04g26520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERFLAGQTEMDTPSRHFGFIPFGMGRRRSCPGISYALKVTHLFIGRLLQGFNVTTPLNMPWICLKAKLPLCLEQLHCYSSLTILILSMAFETCAISEIYLMVTILA >itb10g00400.t1 pep chromosome:ASM357664v1:10:263244:264104:-1 gene:itb10g00400 transcript:itb10g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDYSSYRTGGSNSNGGRDHVCRPVLVDAQGRRTPLGYMTNMNGLQSYVVKAAERGGIDQLSEHLIRGGGAGHGRHHAAADEDQDLFEKIRREVSRPKSSSWNTKQEHGNYAAAAAAATQQILHFGSPAGKYSSAGVEVAPPPYYGGRETIDSEEAKKRYGRWGSVAPPRRESYGSVDSAEAAARYGGVRF >itb05g14920.t1 pep chromosome:ASM357664v1:5:22240367:22242402:1 gene:itb05g14920 transcript:itb05g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARPISRLFTTSISPAESERVYHYSRAAVSIKELHGHLLRTEQHTDSYAVSDVIRAYALSPHSLHKARFAFDQIKCPTLPVWNHMIQGFSQSDRPIDALHMFEKMRKQGLHGNNLTFIFILKTCGRISDFFNGKVVHGCTIKLGYESYLYVSNALISMRASCGELDEAEKLFDEMSNRDLVSWNSLICGYSQCNRFDDVLRLFYAMRAANVEADRVTMVKVVLACSYVGGSETIESVVKYIEANGIEMDVYLGNTLIDMYGKRGLVGLARKTFDDMVERNVVSWNTMIMGYAKTGDLSAARELFDAMPKRDVISWTSMITGYSQANRFCDAIKLFQEMMASGIRPDKITVATVLSAAAHIGTLEVGEAVHDYIHEHGVEMDIYVGNALIDMYCKCGSVEKASRVFDDMQEKDSVSWTSIVAGLAVNGFSFRALQLFSEMVRKRVKPTHGAFVAVLLACTHAGLVDEGVEYFESMEKEYGLVPETKHYGCVVDLLSRSGNVERAYEFMKRMPMAPDVVMWRMLLGACKLHKNVSLAEVAARKVIELDPANSGNYVLSSSTYASAERWEDAMKMRKLIDEGDIQRPLGWSSIETRNASNKEIVLGDDNPHCARH >itb11g10170.t1 pep chromosome:ASM357664v1:11:6908318:6914842:1 gene:itb11g10170 transcript:itb11g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRQRSGAAAHHQRQFSDNFLENPSNDRWLQSAGLQHLQSSSSNSIPPLQDFGYYGAAQGSRAYRGPQRTFNGGSDKFLEPSMPSGQWKNGDEQVSPNEFSPGLLDLHSLDTELLPEISLPGQYGAPSMNHFTRGKSYDDSDAYFTNNKQSSKVRGLPENNVMKSFAADKERASNVAKIKVVVRKRPLNKKELMKNEEDIIETRTNSLIVHETKLKVDLTQYLEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHYTYRNQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVEMIKELIERGNATRSTGTTGANEESSRSHAILQLSIKRSADGNESKPPRLIGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGNSRTVMISCVSPNAGSCEHTLNTLRYADRVKSLSKGGNNSKKDALSSSFNLRESTTLPLSAVMPTVSTYEDDTGDSWPEDEELYEREKPIPKKNAKLEAFSTTISEDKIRKGNDQTKWKEPPRTEPKYSTADNDLNDLLKEEEDLVNAHRRLVEETMDIVREEMNLLVEADQPGNQLDHYVSQLNAILSQKAAGILKLQNRLAQFQRLLKEHDVLASSQH >itb03g12780.t1 pep chromosome:ASM357664v1:3:12493238:12495264:1 gene:itb03g12780 transcript:itb03g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDLYISVPSLFRCPISLDVMKSPVSLCTGVTYDRSSIQRWLDSGNNTCPATMQVLHSKDLVPNHTLQRLIQIWSESVQTRRSNSLTREQARHLIHHLKNNFTDDHISKLVVFAKESDENRKFLVTKDFDLVAFLISVLVHHSQNIRIIEKTIPLCIMLLEQLNDKEKLEALPKIGAGNDISPALILALRQGNSKLLRISASKLLDLILAVDSEAKNFFSEIADLYSALSRLLVVDSEWDAESAEAGISCLISLAMLRKNRARLARNGAVGLLGKALSEATEMGATLTEKVLRLLELLSTCKDGRSEICQDEVCLQSIVKKVLKVSTEATEHGVTILWSLCCLFRDHRAQDAVAKSNGMPKILLIMQSNCSPAVRQMAGDLLKVFRVNSKAATCLSSYDTKTIHIMPF >itb02g11820.t2 pep chromosome:ASM357664v1:2:7882453:7885650:1 gene:itb02g11820 transcript:itb02g11820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRDHKVKIDEWASEAELEYVKNTGVSIFLMPSKMLVTLNALFEVFPLFTNTGWGEKANIKFLKRHMKATFEERSQPWVARISTDDIHSGDFLAISKIRGNWGGFETFKKWVTGSFASHTAVCLRDPQGELWVAESGHVNGKGEDVIALIPWRDWWEFELRKDDSDPHIALLPLRADLRAMFNETAAWEYATSMDGERYGYHNLIFSWIDTIDQNYPKPLDANLIACAMTIWNHVRPAYAANLWNEALNKRLGTKGLDLPKILVEVERRGSSFAELLTIPEQDDWVYSDGKSTSCAAFVLQMYKEAGLFGRLARSIQVTEFTIKDAYSLKIFEDDTRRLPLWCSEGDTEQLPFCQIRGKYKMELPHYNTIEPYAHMNERCPSTPPKYSRPERC >itb02g11820.t3 pep chromosome:ASM357664v1:2:7881707:7884741:1 gene:itb02g11820 transcript:itb02g11820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFRVRFLITILAISFFLAPHGRASKLPFRPTDIAPLLLPQQLSWPIINSPDNAEDILPSFVGAATTSKRRTADWRGSCFRRNSAWLELNNNSKSAFGGGTLHIKVSKARSWTCLDIYVFATPYRVTWNYFMLSRDHKVKIDEWASEAELEYVKNTGVSIFLMPSKMLVTLNALFEVFPLFTNTGWGEKANIKFLKRHMKATFEERSQPWVARISTDDIHSGDFLAISKIRGNWGGFETFKKWVTGSFASHTAVCLRDPQGELWVAESGHVNGKGEDVIALIPWRDWWEFELRKDDSDPHIALLPLRADLRAMFNETAAWEYATSMDGERYGYHNLIFSWIDTIDQNYPKPLDANLIACAMTIWNHVRPAYAANLWNEALNKRLGTKVVFVLNNFIQCFLPASP >itb02g11820.t1 pep chromosome:ASM357664v1:2:7881707:7885665:1 gene:itb02g11820 transcript:itb02g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFRVRFLITILAISFFLAPHGRASKLPFRPTDIAPLLLPQQLSWPIINSPDNAEDILPSFVGAATTSKRRTADWRGSCFRRNSAWLELNNNSKSAFGGGTLHIKVSKARSWTCLDIYVFATPYRVTWNYFMLSRDHKVKIDEWASEAELEYVKNTGVSIFLMPSKMLVTLNALFEVFPLFTNTGWGEKANIKFLKRHMKATFEERSQPWVARISTDDIHSGDFLAISKIRGNWGGFETFKKWVTGSFASHTAVCLRDPQGELWVAESGHVNGKGEDVIALIPWRDWWEFELRKDDSDPHIALLPLRADLRAMFNETAAWEYATSMDGERYGYHNLIFSWIDTIDQNYPKPLDANLIACAMTIWNHVRPAYAANLWNEALNKRLGTKGLDLPKILVEVERRGSSFAELLTIPEQDDWVYSDGKSTSCAAFVLQMYKEAGLFGRLARSIQVTEFTIKDAYSLKIFEDDTRRLPLWCSEGDTEQLPFCQIRGKYKMELPHYNTIEPYAHMNERCPSTPPKYSRPERC >itb09g02070.t2 pep chromosome:ASM357664v1:9:1253031:1255917:1 gene:itb09g02070 transcript:itb09g02070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSYLDFKNFVEIPQPEGNGGKPASLFPLARQSSIYSLTFDELQTTFSGLGKDFGSMNMEDLLKSIWTAEESQPFQACCVGAGDNGSVPGGNLQRQGSLTLLRTLSQKTVDEVWKDFQKDSGATKDCGYGGSSFGQRQSTLGEMTLEEFLFKAGVVREEMVPNSVGFGSSVTQLNSMGFQEITDNNSAAIPGASSNSRLSAGATRSTQQQPLQHHQQLQLHQPLFPKQTTLTFASPMQLGNNAQQASSGARRRPALGMPSPPHNTNPVQGELIHGSANSMAGLRQNGAAGGGGGGSPGIPLSSDVALNSNLGMSSLSPTPYAFNEGGRGRRACNSIEKVVERRRRRMIKNRESAARSRARKQAYTIELEAEVEKLKEINQELMKKQVCL >itb09g02070.t1 pep chromosome:ASM357664v1:9:1253011:1256222:1 gene:itb09g02070 transcript:itb09g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSYLDFKNFVEIPQPEGNGGKPASLFPLARQSSIYSLTFDELQTTFSGLGKDFGSMNMEDLLKSIWTAEESQPFQACCVGAGDNGSVPGGNLQRQGSLTLLRTLSQKTVDEVWKDFQKDSGATKDCGYGGSSFGQRQSTLGEMTLEEFLFKAGVVREEMVPNSVGFGSSVTQLNSMGFQEITDNNSAAIPGASSNSRLSAGATRSTQQQPLQHHQQLQLHQPLFPKQTTLTFASPMQLGNNAQQASSGARRRPALGMPSPPHNTNPVQGELIHGSANSMAGLRQNGAAGGGGGGSPGIPLSSDVALNSNLGMSSLSPTPYAFNEGGRGRRACNSIEKVVERRRRRMIKNRESAARSRARKQAYTIELEAEVEKLKEINQELMKKQAEFLEKRKNQMREKMFVPWESKPRCLKRTLTGPW >itb10g06400.t1 pep chromosome:ASM357664v1:10:7110492:7115060:-1 gene:itb10g06400 transcript:itb10g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLDFGKTFGCRTNPLPLSYWDKDKGWKWEILPVIPERSKHSIELIMVKNDESVDERYWHQEANGNFSVSSAYALIHDYQTNMQDFVWRKITKSKVPNKIKTLIWTTLHDRVMGNAERTRRRLTMERSCDICPGVLETAYHIFRNCTRAIEVWLAVASRNRRRTWRYLDFKSWIITNITEKGGEADDNEWARRFAITVWWIWLWRNDRVFNGKEVNSQYKTSWIKEAEEEIDHAFLCQIGNRSTTRVNRVQRIQWNASAVHQFTLNVDASVKMGLNRVGFGGVIRNGNGRWVGWMKWGYMAPNLKIKRNKLDKDSF >itb02g00440.t1 pep chromosome:ASM357664v1:2:308130:308828:-1 gene:itb02g00440 transcript:itb02g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNHQNQLSYCNNKMKSFQRCVCVFLFLSFLPLIAFSSTPPFPAITGIDGKELKLGEPYYVFSNIFPKIDGLCLVGPNNEIVQCPFLYNTDDRGLLVTFSAADQTADDTVVRESIPYRIEFSDAGNNGSNNGNFWYIKNGGNPWVDYVEIGPENLAVEFVMKKVFLGYKIFYCIIIPVPKVPICYSFGFIEEFGLNRLGFGLGVNPVLFFFANVTTNSTTTSIHNLPAPIF >itb06g05820.t1 pep chromosome:ASM357664v1:6:8534559:8541516:1 gene:itb06g05820 transcript:itb06g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MSKKSKLTCQRQRRELEETTQQNLCKMQAYRHFTLRMDMASAATHHDKQNPSLASSKRVAVVGAGVSGLAAAYKLKLHGFNVTVLEADGRAGGKLRSVCQDGLIWDEGANTMTESETDVRFLLDSLGIREKQQSPLSQNKRYIVRNGTPVLLPSNPIQLVTSSILSTGSKLHLLMEPFLWKNKKLQKEPDTHESVGQFFQRHFGKEVVEYLVDPFVAGTAAADPDSLSMRHAFPELWDIEKRFGSVIFGAIQSKISAKKKNQGQVGASKNKARRGSFSFIGGMQTLTDALCKELGKDELKLSSRVLELSSSHRENSLDNWLLSYASPHRKHSAEESFDAVVMTAPLSDVKTMKITKKGAPFLLDFLPEVSYVPVSVMITSFKKENVKRPLEGFGVLVPSKEEQNGLKTLGTLFSSMMFPDRAPSNVYLYTTFVGGSRNRELAKASRDELKQIVTSDLKQLLGVEGEPQFVNHVYWSKAFPLYGRNYDSVLEAVENMEKNLPGFFYAGNHKGGLSVGKAISSGCSAADLVISYLESSSVEPSNHQ >itb06g05820.t3 pep chromosome:ASM357664v1:6:8534559:8541516:1 gene:itb06g05820 transcript:itb06g05820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MSKKSKLTCQRQRRELEETTQQNLCKMQAYRHFTLRMDMASAATHHDKQNPSLASSKRVAVVGAGVSGLAAAYKLKLHGFNVTVLEADGRAGGKLRSVCQDGLIWDEGANTMTESETDVRFLLDSLGIREKQQSPLSQNKRYIVRNGTPVLLPSNPIQLVTSSILSTGSKLHLLMEPFLWKNKKLQKEPDTHESVGQFFQRHFGKEVVEYLVDPFVAGTAAADPDSLSMRHAFPELWDIEKRFGSVIFGAIQSKISAKKKNQGQVGASKNKARRGSFSFIGGMQTLTDALCKELGKDELKLSSRVLELSSSHRENSLDNWLLSYASPHRKHSAEESFDAVVMTAPLSDVKTMKITKKGAPFLLDFLPEVSYVPVSVMITSFKKENVKRPLEGFGVLVPSKEEQNGLKTLGTLFSSMMFPDRAPSNVYLYTTFVGGSRNRELAKASRDELKQIVTSDLKQLLGVEGEPQFVNHVYWSKAFPLYGRNYDSVLEAVENMEKNLPGFFYAGNHKGGLSVGKAISSGCSAADLVISYLESSSVEPSNHQ >itb06g05820.t2 pep chromosome:ASM357664v1:6:8534559:8541516:1 gene:itb06g05820 transcript:itb06g05820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MSKKSKLTCQRQRRELEETTQQNLCKMQAYRHFTLRMDMASAATHHDKQNPSLASSKRVAVVGAGVSGLAAAYKLKLHGFNVTVLEADGRAGGKLRSVCQDGLIWDEGANTMTESETDVRFLLDSLGIREKQQSPLSQNKRYIVRNGTPVLLPSNPIQLVTSSILSTGSKLHLLMEPFLWKNKKLQKEPDTHESVGQFFQRHFGKEVVEYLVDPFVAGTAAADPDSLSMRHAFPELWDIEKRFGSVIFGAIQSKISAKKKNQGQVGASKNKARRGSFSFIGGMQTLTDALCKELGKDELKLSSRVLELSSSHRENSLDNWLLSYASPHRKHSAEESFDAVVMTAPLSDVKTMKITKKGAPFLLDFLPEVSYVPVSVMITSFKKENVKRPLEGFGVLVPSKEEQNGLKTLGTLFSSMMFPDRAPSNVYLYTTFVGGSRNRELAKASRDELKQIVTSDLKQLLGVEGEPQFVNHVYWSKAFPLYGRNYDSVLEAVENMEKNLPGFFYAGNHKGGLSVGKAISSGCSAADLVISYLESSSVEPSNHQ >itb02g11360.t1 pep chromosome:ASM357664v1:2:7588405:7590802:-1 gene:itb02g11360 transcript:itb02g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKNMPAVWFSLKRSLHCKTEPSEVHEPKTKKQLGTILTRKAGRRSGCSRSIANLRDVIHGSKRHLEKPPTCSPRSIGSSDFLNPITHEVILSNSTCELKITSFGGLHDGGGDGGGSFLGTLRPGTPGPGGHPTMHYFNSSYRNPPPASPPRRTSALLGEKEFASKPCRASSETDSNGCSVVTCHKCGEQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICRSSWVKSESQCGRIERVLKVHNTQKTLARFEEYREMVKMKASKLPKKHPRCLADGNELLRFYGTTVACCLGMDGCCSLCASDKCCVCRIIRSGFSTKKELKGGIGVFTTSTSGRAFECIEMHGDDLSHSQSQRKAMIVCRVIAGRVHRPLENIQEMAGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKS >itb03g05090.t1 pep chromosome:ASM357664v1:3:3373824:3378755:-1 gene:itb03g05090 transcript:itb03g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGVFIEPSRSNPLPDLSLHISPPNNNTISSSPSSCINELVAAAGGFDLPTTTNHHRTNSTSAASSQQVYPELSLASPTSTAAAADEVVREAENRFLRTGGREQPYPPPYHLGTHTFQNNGSNNNNSSSCSQMSNINHGVSLLDVSDGLRPIKGIPVYQNRSFPFLPTMDHHHHGSRENKDPSKMCFYPMPPPSTSISHSPSPFFNPVVDHLSLLNNPSPSSSSAAAAYRRFNGLSSAYQLPHNQYGGVGIGAAANHHHHHHHHPHHDAPPSHGMMMRSRFLPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDLTVLGSTAGGGGSSGGGGIRLMDQRGAADGSSSQLEPDFPNSTTTTLWSNSSSSREGWLQTNSSQESNGLIRSSSFPTQQRCGHPIDDCEKNPSLEFTLGRPDWVQKERD >itb08g16520.t1 pep chromosome:ASM357664v1:8:18602632:18603407:-1 gene:itb08g16520 transcript:itb08g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRGSSAICDERCGCPSPCPGGISCRCVSRASSGGEDEHKRCSCGEHCGCNPCNCPTSEGITGSGKAHCKCGDACNCVKCAS >itb09g15210.t2 pep chromosome:ASM357664v1:9:10485228:10489437:-1 gene:itb09g15210 transcript:itb09g15210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEFLIVVSVMAAAAVALPAAKDGSGTVPNRVITLERAFPANEKMEVEVVRARDRLRHSRMLQSLAGGIVNFSIAGLADPTIFGLYYANVKLGSPPREYNVQFDTGSDLLWLACTSCQGCPTRSGLGVDLNFYDAASSSTASLISCSDHICSSITQTAYAECFSESNQCGYSFQYGDGSGTAGHYVSDMLYFDMVMGNSLVMNSSAPVVFGCSTSQSGDLTNGYRAVDGIFGFGQQDLSVISQLSSQGITPKVFSHCLKGEETGGGILVLGEILDPRIIYTPLVPSQPHYNLYMQSISVNGQVLPIDPAVFATSGDRGAIVDSGTTLAYLASEAYEPFINAINDLVWQSTSPFVSKGMQCYVVNASSSSITYIFPPVVLNFDGGATLVLRPGDYLFRTEHFVSDETCIIEFVS >itb09g15210.t3 pep chromosome:ASM357664v1:9:10485274:10489437:-1 gene:itb09g15210 transcript:itb09g15210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEFLIVVSVMAAAAVALPAAKDGSGTVPNRVITLERAFPANEKMEVEVVRARDRLRHSRMLQSLAGGIVNFSIAGLADPTIFGLYYANVKLGSPPREYNVQFDTGSDLLWLACTSCQGCPTRSGLGVDLNFYDAASSSTASLISCSDHICSSITQTAYAECFSESNQCGYSFQYGDGSGTAGHYVSDMLYFDMVMGNSLVMNSSAPVVFGCSTSQSGDLTNGYRAVDGIFGFGQQDLSVISQLSSQGITPKVFSHCLKGEETGGGILVLGEILDPRIIYTPLVPSQPHYNLYMQSISVNGQVLPIDPAVFATSGDRGAIVDSGTTLAYLASEAYEPFINAINDLVWQSTSPFVSKGMQCYVVNASSSSITYIFPPVVLNFDGGATLVLRPGDYLFRTEHFDRTEYWCNGFQKHEQGVTILGGQCLY >itb09g15210.t4 pep chromosome:ASM357664v1:9:10486342:10489437:-1 gene:itb09g15210 transcript:itb09g15210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEFLIVVSVMAAAAVALPAAKDGSGTVPNRVITLERAFPANEKMEVEVVRARDRLRHSRMLQSLAGGIVNFSIAGLADPTIFGLYYANVKLGSPPREYNVQFDTGSDLLWLACTSCQGCPTRSGLGVDLNFYDAASSSTASLISCSDHICSSITQTAYAECFSESNQCGYSFQYGDGSGTAGHYVSDMLYFDMVMGNSLVMNSSAPVVFGCSTSQSGDLTNGYRAVDGIFGFGQQDLSVISQLSSQGITPKVFSHCLKGEETGGGILVLGEILDPRIIYTPLVPSQAFLSMGKYCLLIRQCLLHPEIEEPL >itb09g15210.t1 pep chromosome:ASM357664v1:9:10485228:10489437:-1 gene:itb09g15210 transcript:itb09g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEFLIVVSVMAAAAVALPAAKDGSGTVPNRVITLERAFPANEKMEVEVVRARDRLRHSRMLQSLAGGIVNFSIAGLADPTIFGLYYANVKLGSPPREYNVQFDTGSDLLWLACTSCQGCPTRSGLGVDLNFYDAASSSTASLISCSDHICSSITQTAYAECFSESNQCGYSFQYGDGSGTAGHYVSDMLYFDMVMGNSLVMNSSAPVVFGCSTSQSGDLTNGYRAVDGIFGFGQQDLSVISQLSSQGITPKVFSHCLKGEETGGGILVLGEILDPRIIYTPLVPSQPHYNLYMQSISVNGQVLPIDPAVFATSGDRGAIVDSGTTLAYLASEAYEPFINAINDLVWQSTSPFVSKGMQCYVVNASSSSITYIFPPVVLNFDGGATLVLRPGDYLFRTEHFDRTEYWCNGFQKHEQGVTILGDLVLKDKIVVYDIANQRVGWADHDCSTTVNVSITSGKDEFTNVGQLSVNRSPRSAFHKTHILFLMIGFLL >itb02g15540.t1 pep chromosome:ASM357664v1:2:11300624:11303296:1 gene:itb02g15540 transcript:itb02g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIADKENVVVSNIEKVFCMRGGNGEDSYANNSQAQAKHARSMLYLLKGTLDGVQLIPAQDGAPFVIADLGCSSGGNTLYMADAIVKHMTERYEAAGDAAPEFSVFFSDLQSNDFNTLFQLLPPLGANYGSMEGLAMAAPITHPRSYFAAGVPGSFYKRLFPARSIDVFYSTFCLHWLSQVPESVVDMRSTAYNRGRIFIHGGSESTSIAYRKQFQSDMASFLRARCVEMKRGGSMFLAFLGRTSEDPTDQGGAGLLFGSDYQDAWDELVQEGLITSEKRDNFNIPLFAASAQEFKEVVEAVGSFHIDNLQIFKGGSPLVVSHPEDATEVGQALANSCRSVSGVLVDTHIGEELGHELFSRVARRGASHAKELYEELQFFHIVASLSLA >itb05g05770.t1 pep chromosome:ASM357664v1:5:5649183:5649608:1 gene:itb05g05770 transcript:itb05g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRKGAKALQNMVSVVVYLINLLGSGVRIKGELYAVTDSGIVPMDDLEGVEAGHYERLPLKVAAGDDGCPDGTVAAEAYFTHRSFSEGLWKRCGGVRIGEFTTEMASKYQRNEERPPGFNFLKDLESFSFLVLNKILF >itb04g18570.t1 pep chromosome:ASM357664v1:4:22143936:22144794:1 gene:itb04g18570 transcript:itb04g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTEHIPYEPKLPPASKVLGVRKSVEAAVTAISDLCFKITKQQCHRLLQCRQPPRPPSPQPPRESYDVSLPSSSMEANNGVGLWLLSGKAAVADRTMRNMDKD >itb09g28810.t1 pep chromosome:ASM357664v1:9:29404385:29406878:1 gene:itb09g28810 transcript:itb09g28810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMAGLDLSAASHYDHQLNLSEFNRQRLPLHENEDEVNDNNHFSGDHRRGSLDSLPNNSDPGDIGTRRPRGRPPGSKNKPKPPVIIARESANTLRAHILEIGNACDVFDCVATYARRRQRGICVMSGSGTVTDVTLRQSAAAGTVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGEQGQVVGGNVVGELMTAGPVILMAASFTNVAYERLPMEEEEEEEEAPLSDGGGSGSGGVDNNNNHFPADPSAGFPFFNMPTFCFGV >itb06g17210.t1 pep chromosome:ASM357664v1:6:21118666:21122150:-1 gene:itb06g17210 transcript:itb06g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTLAAFISLLFFSAFSLTSSIEVNHDGRAITINGVPRVLLSGAIHYPRSTAQMWPDLMKKAKEGGLDAIETYVFWNIHEPIRGQYDFSGNHDLIRFIKTVGDAGMHAVLRIGPYVCAEWNYGGFPVWLHNLPGVHELRTANEVYMNAMQNFTTLIVDMVKKEKLFASQGGPIILAQIENEYGNVYEPYGAAGKAYLDWCANFADSLHTGVPWLMCQQKDAPEPMLETCNGWYCHQYKPRNPTTPKMWTENWTGWFKNWGGKDPLRTAEDVAFAVARFFQTGGTFQNYYMYHGGTNFGRTAGGPLLTTGNITTTELDNSLQVTVYALNGTSTCFFSNANETSDATINYKGVDYDVPAWSVSILPDCKKEAFNTAKVNTQTNVMVKDSNTAEKEPSSLKWSWRPEKIDDTVVLGKGDFSANKIFDQKITNDVSDYLWYMTSVNLDKDDPIWSNDMSIRINHTGHPLHLYVNGDFIGSNWTTYGVPKSVFETKVKFRHGKNQISILSAAVGLQNYGSFFDLAGTGLSGGPVEIVGTKGDETISKDISSHKWTYKVGLHGEANKLFSNQSRFASQWQSDKLPVNSRMTWYKTTFKAPRGKDGVVVDLLGLGKGFAWVNGNNLGRYWPSFLAEEGCASDPCDYRGSYDNNKCVSNCGKPTQRWYHVPRSFLNDGDNNELVLFEEIGGTPANVSFHTVRVGSVCANAYEKKVIEISCHGRPISGIKYAHFGETQGLCGSFKKGSCGGAKDALTILKTACEGKKSCSVAATEDIFGETNCDGQQSKKLVVEAVC >itb09g02650.t1 pep chromosome:ASM357664v1:9:1502751:1503880:-1 gene:itb09g02650 transcript:itb09g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSIPVIHEDEELLMLDGSESGWVEARTHCDHLASLSSDLAHIPTPNTPCNKCQHPSENWLCLSCKEVLCSRFVNKHMLEHYQRVDHSLALSFSDLSVWCFSCDAYLDAQSIPALRIVHQTAYLLKFGEAPPVPSEYLQLENNRV >itb09g04040.t1 pep chromosome:ASM357664v1:9:2243752:2245856:1 gene:itb09g04040 transcript:itb09g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSEYRCFIGNLSWSTSDQILKDAFGKFGNLVEAKVVVDKFSGRSKGFGFVAFDEKKAMEEAIDAMNGIDLDGRAITVDKAQPQQSSGRDYDNDRPRDRDRDRGRDRDRDRGSRDYGVEEEGVGAGGMVEKMTGMVVAVVVEVVAIMDLTGMETGMAVVAAGMVVMVVVVVVVIDITVIVLGHMSVEVEVLELVSSAAAFELLRVGNATATGCNHGGLLLGMSELSMNLLNL >itb11g01770.t2 pep chromosome:ASM357664v1:11:882988:885361:-1 gene:itb11g01770 transcript:itb11g01770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSIPRCKSVPSIPDAAKHSPSSSDTHAASVKTSKSAESIASALQKITRKKWKPSSSSSKNSNLTVENLKEFDRRNEVREGKREPSSPYYRGLTDQKIGIEKQKLAESSPLRESFSVAMSSRSFVKIQERRNTRFVAKETSLARTQVKSRKTGNEQEMKEIHGHNSSRTDLYTLFSKEKPMREKISETTQSQSTKTRKEQGKKQEIHHSSRTGLNASMGKEKSLRERVSEQLPPPITAPSLPQQLPEPLPASRPSLPSPPPTPLVQAPEQEKELSPPPNQEKSIAEVDETVAEENEIKFIWADKHRPQALKDFLCNRDMALELQALAKNHCDKHFIFEGPPGVGKRTMIFALLHEVFGPDKVQGESVPSIQVNVKVSMRHIEINLSETKGYEQHVIVELIRGKTNKLSGIPFPRNQADYKAIIICEAEKLSTDSLLYIKWILEKYKGSYKVFFCCSDASKLQPIKSTCKVVQLRSPSNEEIINVLEFIAKQEGVELPRPLAEKFANSAKRNLRQAIRSFEATWHHKLYEIRRKLQNLIEHNVSAEFIFYV >itb11g01770.t1 pep chromosome:ASM357664v1:11:882511:885361:-1 gene:itb11g01770 transcript:itb11g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSIPRCKSVPSIPDAAKHSPSSSDTHAASVKTSKSAESIASALQKITRKKWKPSSSSSKNSNLTVENLKEFDRRNEVREGKREPSSPYYRGLTDQKIGIEKQKLAESSPLRESFSVAMSSRSFVKIQERRNTRFVAKETSLARTQVKSRKTGNEQEMKEIHGHNSSRTDLYTLFSKEKPMREKISETTQSQSTKTRKEQGKKQEIHHSSRTGLNASMGKEKSLRERVSEQLPPPITAPSLPQQLPEPLPASRPSLPSPPPTPLVQAPEQEKELSPPPNQEKSIAEVDETVAEENEIKFIWADKHRPQALKDFLCNRDMALELQALAKNHCDKHFIFEGPPGVGKRTMIFALLHEVFGPDKVQAREECQVLSLKGESVPSIQVNVKVSMRHIEINLSETKGYEQHVIVELIRGKTNKLSGIPFPRNQADYKAIIICEAEKLSTDSLLYIKWILEKYKGSYKVFFCCSDASKLQPIKSTCKVVQLRSPSNEEIINVLEFIAKQEGVELPRPLAEKFANSAKRNLRQAIRSFEATWHHNSLLKEDQEIMNGWEDDIAKIARNIIEEQSPRQLYEIRRKLQNLIEHNVSAEFIFYTLGEELKKNLDKQLQNDIDNLQQKYKSQLNGEESGNIHNDPLRQTVQQFMKIEEFIAKFMSWYKTNVVKKGKR >itb13g16620.t1 pep chromosome:ASM357664v1:13:23595449:23596797:1 gene:itb13g16620 transcript:itb13g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVMSKRLKPLHISLHLIALGAAIVGLYAVFKFHHDTGTSNLVSLHSWIGISTVALFALQWVFSFFTLLFPSSRPSSKAPWHALFGLIIFSMGILSAVTGLLEKFISLDLKRDQEGFIVNFIGLLLLLFGISVGLTVLLPRGYLLEI >itb07g11080.t1 pep chromosome:ASM357664v1:7:12511091:12512252:-1 gene:itb07g11080 transcript:itb07g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPCRLPNVASLCFQWVDFGPINCAIDVPVLENLSFLSCENIFYFNIAAPKLCSLTIKSCSSNGLGKFLSVNLYLRSISTLDLVGSVKEFVKEFTRIGFQLNVEYLKLSCYEELYIQSDKSFSVLAHLLLLCPKLRKLDIDLFWLRSVATECMDTLSELHVAAQTNKMLHALKLISFRGSHSEKLFIKKLLASFSTLEMVVIVRDKNYCKKYTTGIMQELLDLHVASTKTKIIID >itb15g09790.t1 pep chromosome:ASM357664v1:15:7056558:7057878:1 gene:itb15g09790 transcript:itb15g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAEFSELIPGLPEELALECLTRLHYSAHSVSSHVCKRWRELLQSKDFYYHRRQTGFTHKAACLIQALPVPTDSKPVGQPSYGISLFEPASGDWDRVDPISKYPNGLPLFCQIASTEGKLVVMGGWDPATWDPIRDVFMYEFTTREWTQCKDMPSRRSFFAMGAAGGRVFVAGGHDENKNALRSAWAFDTRENQWTELGGMSEARDECEGIVVGPEFWVVSGYDTETQGRFKSSAEALQMETGEWRRVENAWACGSTQSPRGCVGVDKNGNLKNWTDCDPAVRVGSCGIDLGECCILTGSAYQGAPHGFFFVQPQNLKLVKTDVPDEFAGIVQSGCCVEI >itb05g00450.t1 pep chromosome:ASM357664v1:5:344982:347553:1 gene:itb05g00450 transcript:itb05g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYPTLFGSSSSSPLRLSPHLSLASMGNHEYIKDDAAQGDLQFHGAAKGMKGLLEQLKRTETAPTSDMKSHGSSISTNSLAAESEAKSSSGYKIKKGEKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKSVKNNKFPRSYYKCTHQGCNVKKQVQRLSKDETVVVTTYEGMHSHPIEKSTDNFEHILSQMQIYASF >itb01g10540.t1 pep chromosome:ASM357664v1:1:9145722:9166914:1 gene:itb01g10540 transcript:itb01g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MLRAPTPSSLSNHLTPNSLSNHPTPEIESSSHQLTPQSLPPQPQRSSSSSSSASRAQLHPARPAIIDLFNLYLGVNARQKSDESIREPPNKTQKRVTALNRELPPQNEQFLIDFEQLQSQFTDQEQLHAVTESVLISLVIQCSSHAHRAEFLLFAIRGLCSIGYINWDTFLPSLLSSVSTADMSVSQGTQLAGAGSSAALSQSGMLPNSTAVTNASNFQSSNPASPLPKIHGIGSPVQSAAEPSSVTLSPMKSSDVNGTGQQSMARVNLLLRDNALNSLHQLCCKIILTGLESNLKPATHSDILHHMLNWLISWDQKHDIGDFDSSKHCKHDKMDNEWLHSCLDVIWLFVEDGKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTPRLLPQGTSNMSGEPVTNMRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERAVRCLRHALRSTPSPDWWRRVLLLAPCHRHNAQAPTPGAVFTAEMICEATIERIVELLKLTNSEINCWQEWLIFSDVFFFLMKSGCIDFVDFVDKLVLRLQEDDQHILRTNHVTWLLAQIIRVELVMNALNLDSRKVETTRKIVSFHKEEKSSDPNNPQSILLDFISSCQNLRIWSLNTSTREYLNNEQLQKGKQIDEWWRQVSKGERMMDYMNLDDRSIGMFWVVSYTMAQPACETVMNWLTSAGFTELLTGPNLQSNERIMVMREVVPLPISLLSGFSINLCLKLALQIEDSMFSGQVPSIAMVETYCRLLLIAPHSLFRSHLNHLTQRNQATLTKPGNTILVFEILNYRLLPLYRYQGKSKTLMYDVTKMISTLKGKRGDHRIFRLAENLCMNLILSMRDFFFVKREGKGPTDFTETLNRITVTTLAIIIKTRGIAEFEHLLYLQTMLEQILATSQHTWSEKTLRYFPSILRDALSGRMDKRSGQMDKRTLAIQSWQRAETTVINQCTQLLSPSADPAYVLTYISHSFPEHRQYLCAGAWILMHGHAENINSVNLGRVLREFSPEEVTSNIYTMVDVLLHHIHLELQRGHTLQDLMLKTVSNLSFFIWTHELLPLDILLLALIDRDDDPHALRIVINLLDSKELQQKVKLYLINRGPPEHWAQAGPFKRAELQKALGNHLSWKERYPTFFDDIAARLLPVIPLIIYRLIENDAMDAADRVLQVYASFLHYYPLNFTFVRDILAYFYGHLHGKLILRILNVLGKKVPFSELFLQHINTTNAAICPPLDYFATLLLGLVNHVIPPLNSSTRSGQMGDVSSSCGRAPPSKTQATSQPGSTNSYEGQKPFYQIQDPGTYTQLVLETAIIEILSFPVSASQIVSSLVQIVLHIQPTLVQSSNGLHGTPSSAGQSSILPTSPSGGSTDSLGATRTAPSVSGMNASMFVWRTGYTCQQLSCLLIQACGLLLAQLPPEFHVQLYLEAARVIKESWWLNDGKRSVGELESAVSYALLDPTWAAQDNTSTAIGNVVALLHAFFSNLPQEWLEGAHLIIKHLRPVTSVAVLRIAFRIMGPLLPRLANAHPLFNKTLSLLLNVLVDVFGRNTQPPIPVEASEIADIIDFLHHVIHYEGQGGPVQASSRPRAEVLALCGRVAESLRPDVQHLLSHLKTDANSSIYAATHPKPVQNPPA >itb01g10540.t2 pep chromosome:ASM357664v1:1:9146167:9166914:1 gene:itb01g10540 transcript:itb01g10540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MSVSQGTQLAGAGSSAALSQSGMLPNSTAVTNASNFQSSNPASPLPKIHGIGSPVQSAAEPSSVTLSPMKSSDVNGTGQQSMARVNLLLRDNALNSLHQLCCKIILTGLESNLKPATHSDILHHMLNWLISWDQKHDIGDFDSSKHCKHDKMDNEWLHSCLDVIWLFVEDGKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTPRLLPQGTSNMSGEPVTNMRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERAVRCLRHALRSTPSPDWWRRVLLLAPCHRHNAQAPTPGAVFTAEMICEATIERIVELLKLTNSEINCWQEWLIFSDVFFFLMKSGCIDFVDFVDKLVLRLQEDDQHILRTNHVTWLLAQIIRVELVMNALNLDSRKVETTRKIVSFHKEEKSSDPNNPQSILLDFISSCQNLRIWSLNTSTREYLNNEQLQKGKQIDEWWRQVSKGERMMDYMNLDDRSIGMFWVVSYTMAQPACETVMNWLTSAGFTELLTGPNLQSNERIMVMREVVPLPISLLSGFSINLCLKLALQIEDSMFSGQVPSIAMVETYCRLLLIAPHSLFRSHLNHLTQRNQATLTKPGNTILVFEILNYRLLPLYRYQGKSKTLMYDVTKMISTLKGKRGDHRIFRLAENLCMNLILSMRDFFFVKREGKGPTDFTETLNRITVTTLAIIIKTRGIAEFEHLLYLQTMLEQILATSQHTWSEKTLRYFPSILRDALSGRMDKRSGQMDKRTLAIQSWQRAETTVINQCTQLLSPSADPAYVLTYISHSFPEHRQYLCAGAWILMHGHAENINSVNLGRVLREFSPEEVTSNIYTMVDVLLHHIHLELQRGHTLQDLMLKTVSNLSFFIWTHELLPLDILLLALIDRDDDPHALRIVINLLDSKELQQKVKLYLINRGPPEHWAQAGPFKRAELQKALGNHLSWKERYPTFFDDIAARLLPVIPLIIYRLIENDAMDAADRVLQVYASFLHYYPLNFTFVRDILAYFYGHLHGKLILRILNVLGKKVPFSELFLQHINTTNAAICPPLDYFATLLLGLVNHVIPPLNSSTRSGQMGDVSSSCGRAPPSKTQATSQPGSTNSYEGQKPFYQIQDPGTYTQLVLETAIIEILSFPVSASQIVSSLVQIVLHIQPTLVQSSNGLHGTPSSAGQSSILPTSPSGGSTDSLGATRTAPSVSGMNASMFVWRTGYTCQQLSCLLIQACGLLLAQLPPEFHVQLYLEAARVIKESWWLNDGKRSVGELESAVSYALLDPTWAAQDNTSTAIGNVVALLHAFFSNLPQEWLEGAHLIIKHLRPVTSVAVLRIAFRIMGPLLPRLANAHPLFNKTLSLLLNVLVDVFGRNTQPPIPVEASEIADIIDFLHHVIHYEGQGGPVQASSRPRAEVLALCGRVAESLRPDVQHLLSHLKTDANSSIYAATHPKPVQNPPA >itb03g03320.t1 pep chromosome:ASM357664v1:3:1894507:1897105:-1 gene:itb03g03320 transcript:itb03g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPEKKSSGCGLLNAFFGRRSIWHRRSTSTGSLKIHSAHDNARNSMHKTPSTPNAKTNWDAQKQGPDRVIARPGPDHSKPAAVYHQNQNNKSSIDDRAHQGRGVYKGTRKVPEGTMGISGELDSMIFDHQRSKAASNLIRASSGNVMLYGDLGNLRQPERNNNVVTLAPVEEQNGKYGHEEAEKPPPSSLCRGVISNSMDPEELKVLGNEDYKNGRFAVALALYDAAIAIDPNKASYRSNKSAALTALGRLLEAVFECREAIRLEPQYQRAHNRLATLYVRLGQAETALHHYKQAGHEADPDVMSNAKNLQLHLSKCTEAKKQRDWNTLLKESSLAISAEADSAPQIFALKAEALIRLHRYQDADETLQKGPKFDVDECTKFFGPAGNATMLIIQAQVDMAAGRVDEAWKAAEQAARLDTNNKEASMVAKKMCAVASARSNGNGLFKAGRFSEACIAYGEGLDHDPYNAVLLSNRSACRTRLEQYDQALEDCNAALTYRPSFIKARLRKCDCLLKMGKLEACMQECESLMKECPENEEVYSILKEAKEQLSKVVA >itb01g32980.t2 pep chromosome:ASM357664v1:1:36284435:36289601:-1 gene:itb01g32980 transcript:itb01g32980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVSTTKTPNPKVNYQSPKRQPLSPSEAANGPTRRPKSREVTSRYLSSSSSTISTSTTSSSNSSGSYSSPAYSISARRSQSPAVTRTARPAVTPIQNSALAKRSQSAERRRPATPVRAEMSAATKLLLTTSTKSLSVSFQRESFSLPVSKAKPVNNLSSVRRGTPERRLTVQSSPAKDRIGNAKPSDQQRWPGRLKPGSTFLTRSLDCGNDRAEFGSRGGVPLFYESNQVKIQAKSKDDVKPQRKVNSSLICGTPMCVDIEASDSESISSGSASSGQEGGSISHLIGGLRGKVVPARFWQEANNRILLVPEQSSPVSKNDGYKIMSPSKQIGNKKILNDRPISSPTSRGFSSPLRGGLRSPSPSKALTVSTNSPLRGMPSPTRTRNGSVTTMTNNLSSPPSILSFAAEVRKGKVGENRVADAHELRLLYNRHLQWCFVNARAEVACFVRTETAERNLYNALLATSKLRHSVKSKRTELLLLKQNLKLYSIFKQQVPCLESWDLIDGEHCSSLSAAICALEASTIRLPVVSGARADIQKIKDAICSTVDVMQAMASSICSLLPKVEQANSLVYELSNTAAQEHASLDQCKDLLSKVAAIQVKYCSLRTHLSQLKC >itb01g32980.t3 pep chromosome:ASM357664v1:1:36284435:36289323:-1 gene:itb01g32980 transcript:itb01g32980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVSTTKTPNPKVNYQSPKRQPLSPSEAANGPTRRPKSREVTSRYLSSSSSTISTSTTSSSNSSGSYSSPAYSISARRSQSPAVTRTARPAVTPIQNSALAKRSQSAERRRPATPVRAEMSAATKLLLTTSTKSLSVSFQRESFSLPVSKAKPVNNLSSVRRGTPERRLTVQSSPAKDRIGNAKPSDQQRWPGRLKPGSTFLTRSLDCGNDRAEFGSRGGVPLFYESNQVKIQAKSKDDVKPQRKVNSSLICGTPMCVDIEASDSESISSGSASSGQEGGSISHLIGGLRGKVVPARFWQEANNRILLVPEQSSPVSKNDGYKIMSPSKQIGNKKILNDRPISSPTSRGFSSPLRGGLRSPSPSKALTVSTNSPLRGMPSPTRTRNGSVTTMTNNLSSPPSILSFAAEVRKGKVGENRVADAHELRLLYNRHLQWCFVNARAEVACFVRTETAERNLYNALLATSKLRHSVKSKRTELLLLKQNLKLYSIFKQQVPCLESWDLIDGEHCSSLSAAICALEASTIRLPVVSGARADIQKIKDAICSTVDVMQAMASSICSLLPKVIPFLNFTNEECTFSTPFVKVCWEFLFYFIAFIAPVLKCSQGSHSVLY >itb01g32980.t1 pep chromosome:ASM357664v1:1:36284294:36289695:-1 gene:itb01g32980 transcript:itb01g32980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVSTTKTPNPKVNYQSPKRQPLSPSEAANGPTRRPKSREVTSRYLSSSSSTISTSTTSSSNSSGSYSSPAYSISARRSQSPAVTRTARPAVTPIQNSALAKRSQSAERRRPATPVRAEMSAATKLLLTTSTKSLSVSFQRESFSLPVSKAKPVNNLSSVRRGTPERRLTVQSSPAKDRIGNAKPSDQQRWPGRLKPGSTFLTRSLDCGNDRAEFGSRGGVPLFYESNQVKIQAKSKDDVKPQRKVNSSLICGTPMCVDIEASDSESISSGSASSGQEGGSISHLIGGLRGKVVPARFWQEANNRILLVPEQSSPVSKNDGYKIMSPSKQIGNKKILNDRPISSPTSRGFSSPLRGGLRSPSPSKALTVSTNSPLRGMPSPTRTRNGSVTTMTNNLSSPPSILSFAAEVRKGKVGENRVADAHELRLLYNRHLQWCFVNARAEVACFVRTETAERNLYNALLATSKLRHSVKSKRTELLLLKQNLKLYSIFKQQVPCLESWDLIDGEHCSSLSAAICALEASTIRLPVVSGARADIQKIKDAICSTVDVMQAMASSICSLLPKVEQANSLVYELSNTAAQEHASLDQCKDLLSKVAAIQVKYCSLRTHLSQLKC >itb07g23320.t1 pep chromosome:ASM357664v1:7:27720567:27722940:-1 gene:itb07g23320 transcript:itb07g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGLYLVALGVGGIKGSLPPHGAEQLDEDTAQGRKQRSTFFNYFVFCLSCGALIAVTLVVWVEDHKGWQWGFGISMMAILLSIPIFLSGSKFYRNKIPLGSPFTTICKVIVGALINSLVPRNSSNAIANMVTSPSLPIPTAAAAAKDVEPPQEPSESLKFLNNAVLNKPACNKLECTVEQVEDVKIVIKILPIFACTIMLNCCLAQLSTFSVQQAATMDTKIGSLKVPPASLPVFPVVFMMLLAPTYDHFIIPFMRRVTRTEMGISHLQRIGAGLVLSIAAMAVAAVVEVKRRRVAIGSGRSDSPDPLPISFLWIAFQYLFLGSADLFTLAGLMEFFFSEAPASMRSLATSLSWASLAMGYYLSSVIVSVVNRVTGNSTHRAWLSGENLNHYRLERFYWLMCVLSVLNFMHYMFWALRYKYRSRK >itb13g25370.t1 pep chromosome:ASM357664v1:13:30779660:30780676:-1 gene:itb13g25370 transcript:itb13g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDSNTSNSFLHPFFQESCYPEELRESIISLPREECWVAPYIYNYKGFWLGSIHLYGALRSQQQFQAQHSDIILCSFPKCGTTWLKALIFAVITRKQFLVSQETLNPLLTTNPQDLIRNMELAYARENSPDFSVNNGLFRVLSTHLPLALLPKSVGESKCKLIYVCRSQKDTLVSFWHFVNKLRGEARGLGAIPFPHTFDKYCRGESMYGPFWDHMLGYWKESLENPGKVLFLKYEEIKEEPDVQLRRIAAFLGCPFSEEEEEGGVVGGISRLCSFERLSNLEVNKTGKSYYSPNNVYFRKGEVGDWRNHLTDEMAIKLDQIVEEKFKGTGLKLC >itb09g17160.t1 pep chromosome:ASM357664v1:9:12391095:12395053:1 gene:itb09g17160 transcript:itb09g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEMKVVCVTGASGFIASWLIKLLLHRGYTIHATVRNLSQSKVAHLLAFDGAKERLHLFEADLLEETSFDPAINGCQGVFHIASPISFSPSATKAELVDPAVKGTLNVLGSCAKAASVKRVIVTSSTASVMFKRNPISPEEVVDETWFSDKEYAEKAKQWNVLSKILAEEAAWKYAGENGIDLVVLHPTIVIGPILHPTLNFSNALFLDLIKEGPGQGGKMCRVKWCRTVDVVRSIKKEVKPIKKVVKLINEEVRVVE >itb01g19650.t1 pep chromosome:ASM357664v1:1:25885834:25886565:1 gene:itb01g19650 transcript:itb01g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKVSFTGSTEVGRLIMQAAAASNLKLVSLELGGKSPSIVFDDVDVDQIAGLALAAQLPHLTRRGFMINLWRKWWRKQKPKWLETLLIQINVQQGSQVSKAHYERVLSYIEHGKREGATLAC >itb13g18900.t1 pep chromosome:ASM357664v1:13:25915981:25917800:1 gene:itb13g18900 transcript:itb13g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSILTKPKSDTVTNNLWVGNLTSDVNEAELTALFEKSGQVDNIIPYSARSYAFLYIRSLEDTKAANGALQGTMLRRNPLKNEFVKPISTFVTHHCLR >itb03g06920.t3 pep chromosome:ASM357664v1:3:5003915:5008937:-1 gene:itb03g06920 transcript:itb03g06920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MRIVTYNVNGLRPRVSQFGSLPGLLDSLDADIICFQETKLSRQDLRADLVRAEGYESFFSCTRTSDKGRSAGYSGVATFCRVKSAISSNEVALPIGAEEGFTGLLKASQGCGSRKDNSSLIAQGLEGFSRDELLKVDSEGRCIITDHGHFVLFNIYGPRAGSDDSERIQFKLTFFKILERRWDCLLRQGRRIVVVGDLNIAPASIDRCDAGPDFEKNEFRKWFRSLLVQSGGSFLDVFRTKFPDRREAYTCWSTSTGAEEFNYGSRIDHILTAGSCLHEEHNQGHDFVTCHVKDCDILVQFRRWKPGNTPRWKGGRSIKLEGSDHAPVYMTLTGIPEVLQHNTPSLSTRYHPQVSGYQQTLVSMLMKRESSNPTEINGGPTSSPDESITDPNITQDSSISRLEESYECSSSKDSHMTMRSLGSTANLLPCSESKKRARDSRGSQLTLKSFFQKASSESGTLDNNCVVDKHNQKDISNSLPNVYCDNAAENGNHNSESASAQEVGLLDACNSSDKEKRADALQEWQRIQQFMQNSVPLCKGHKEPCVSRLVKKPGPNLGRRFYVCARAEGPASNPEANCGYFKWAASKPKGKN >itb03g06920.t2 pep chromosome:ASM357664v1:3:5003649:5008936:-1 gene:itb03g06920 transcript:itb03g06920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MGILRRWDCLLRQGRRIVVVGDLNIAPASIDRCDAGPDFEKNEFRKWFRSLLVQSGGSFLDVFRTKFPDRREAYTCWSTSTGAEEFNYGSRIDHILTAGSCLHEEHNQGHDFVTCHVKDCDILVQFRRWKPGNTPRWKGGRSIKLEGSDHAPVYMTLTGIPEVLQHNTPSLSTRYHPQVSGYQQTLVSMLMKRESSNPTEINGGPTSSPDESITDPNITQDSSISRLEESYECSSSKDSHMTMRSLGSTANLLPCSESKKRARDSRGSQLTLKSFFQKASSESGTLDNNCVVDKHNQKDISNSLPNVYCDNAAENGNHNSESASAQEVGLLDACNSSDKEKRADALQEWQRIQQFMQNSVPLCKGHKEPCVSRLVKKPGPNLGRRFYVCARAEGPASNPEANCGYFKWAASKPKGKN >itb03g06920.t1 pep chromosome:ASM357664v1:3:5003609:5008937:-1 gene:itb03g06920 transcript:itb03g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MRIVTYNVNGLRPRVSQFGSLPGLLDSLDADIICFQETKLSRQDLRADLVRAEGYESFFSCTRTSDKGRSAGYSGVATFCRVKSAISSNEVALPIGAEEGFTGLLKASQGCGSRKDNSSLIAQGLEGFSRDELLKVDSEGRCIITDHGHFVLFNIYGPRAGSDDSERIQFKLTFFKILERRWDCLLRQGRRIVVVGDLNIAPASIDRCDAGPDFEKNEFRKWFRSLLVQSGGSFLDVFRTKFPDRREAYTCWSTSTGAEEFNYGSRIDHILTAGSCLHEEHNQGHDFVTCHVKDCDILVQFRRWKPGNTPRWKGGRSIKLEGSDHAPVYMTLTGIPEVLQHNTPSLSTRYHPQVSGYQQTLVSMLMKRESSNPTEINGGPTSSPDESITDPNITQDSSISRLEESYECSSSKDSHMTMRSLGSTANLLPCSESKKRARDSRGSQLTLKSFFQKASSESGTLDNNCVVDKHNQKDISNSLPNVYCDNAAENGNHNSESASAQEVGLLDACNSSDKEKRADALQEWQRIQQFMQNSVPLCKGHKEPCVSRLVKKPGPNLGRRFYVCARAEGPASNPEANCGYFKWAASKPKGKN >itb03g06920.t4 pep chromosome:ASM357664v1:3:5004040:5008936:-1 gene:itb03g06920 transcript:itb03g06920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MGILRRWDCLLRQGRRIVVVGDLNIAPASIDRCDAGPDFEKNEFRKWFRSLLVQSGGSFLDVFRTKFPDRREAYTCWSTSTGAEEFNYGSRIDHILTAGSCLHEEHNQGHDFVTCHVKDCDILVQFRRWKPGNTPRWKGGRSIKLEGSDHAPVYMTLTGIPEVLQHNTPSLSTRYHPQVSGYQQTLVSMLMKRESSNPTEINGGPTSSPDESITDPNITQDSSISRLEESYECSSSKDSHMTMRSLGSTANLLPCSESKKRARDSRGSQLTLKSFFQKASSESGTLDNNCVVDKHNQKDISNSLPNVYCDNAAENGNHNSESASAQEVGLLDACNSSDKEKRADALQEWQRIQQFMQNSVPLCKGHKEPCVSRLVKKPGPNLGRRFYVCARAEGPASNPEANCGYFKWAASKPKGKN >itb02g08720.t1 pep chromosome:ASM357664v1:2:5528838:5530446:-1 gene:itb02g08720 transcript:itb02g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVNQLLPSAFTSTGEEPRAGDFFPKSTYSIHRPPQFASRPAATGGYVRMLSTTLAVIASTPTSRQRFAASNEDLLDVAVRRPHYLSIA >itb07g16430.t1 pep chromosome:ASM357664v1:7:20190382:20193445:-1 gene:itb07g16430 transcript:itb07g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSYCLTRKARMAVAIVLIVAVFLGSSSAQLSTKFYSKSCPMLFGTIKSVIKSSVNKEKRMGASLLRLHFHDCFVQGCDGSILLDDTSSFKGEKTAFANNNSVRGYDVIDDIKFAIEKVCPGVVSCADIVAIAARDSTVLLGGPNWKVKLGRRDSKTASLSAANSPGVLPSPSSNLSALISSFQNQGLSTRDLVALSGSHTIGKSRCTVFRGRIYSDTNIDASFAKKRQQKCPSVTGSGDDNLAPLDLKTPAFFDNNYYKNLINKKGLLHSDQALYNGEFTDSLVEKYSENPALFNSHFAKAMIKMGNIRPLTGSSGEIRKNCRKAN >itb15g06710.t3 pep chromosome:ASM357664v1:15:4512642:4516146:1 gene:itb15g06710 transcript:itb15g06710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKSSMESSFNHFDRLSEEILFTVLDSLNENPADRKSFSLVCKAFYDAESSHRKALKPMRSEQLTKILKRYPHVSHLDLSLCPRVSDDSLAAIAGLCREMLRSINLSRSRFFTHAGLSNLVMNCGNLVEIDLSNATELKDMAAAVIAEAKNLERLWLVRCRGVTDIGIGCIAVGCKKLRLLNLRWCLGVGDLGVGLIAVKCKEIRSLDLSYLPISNKCLSSISKLQNLEDLVLEGCYGIDDDSLIALKQGCKSLETLDMSSCQNVSPVGLSSLTSSAGCLRQLTLSYGSPVTLALGESLQNLSMLQSIKLDGCQVTCSGLKAIGNWCVSLKELSLSKCPGVTDEGLCSVVTKHRELQKLDITCCRKITHVSISNITNSCSYLTSLRMESCTLVPREAFVLIGQRCRFLEELDVTDNEIDNEGLKSISMCLGLSSLKLGICLNITDKGLIHIGMCCPNLKELDLYRSAGVTDSGIYAIARGCLSLEMINIAYCNRITDHSFVSLSKCSKLNTLESRGCPLLTSSGLAAVAVGCKLLAKLDIKWCYNIDDAGMISLAQFSQNLRQVCCFFPITCSSKLNPNTNF >itb15g06710.t1 pep chromosome:ASM357664v1:15:4512588:4516966:1 gene:itb15g06710 transcript:itb15g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKSSMESSFNHFDRLSEEILFTVLDSLNENPADRKSFSLVCKAFYDAESSHRKALKPMRSEQLTKILKRYPHVSHLDLSLCPRVSDDSLAAIAGLCREMLRSINLSRSRFFTHAGLSNLVMNCGNLVEIDLSNATELKDMAAAVIAEAKNLERLWLVRCRGVTDIGIGCIAVGCKKLRLLNLRWCLGVGDLGVGLIAVKCKEIRSLDLSYLPISNKCLSSISKLQNLEDLVLEGCYGIDDDSLIALKQGCKSLETLDMSSCQNVSPVGLSSLTSSAGCLRQLTLSYGSPVTLALGESLQNLSMLQSIKLDGCQVTCSGLKAIGNWCVSLKELSLSKCPGVTDEGLCSVVTKHRELQKLDITCCRKITHVSISNITNSCSYLTSLRMESCTLVPREAFVLIGQRCRFLEELDVTDNEIDNEGLKSISMCLGLSSLKLGICLNITDKGLIHIGMCCPNLKELDLYRSAGVTDSGIYAIARGCLSLEMINIAYCNRITDHSFVSLSKCSKLNTLESRGCPLLTSSGLAAVAVGCKLLAKLDIKWCYNIDDAGMISLAQFSQNLRQINLSYTSVTDVGLLSLASIGCLQSMTVLHVKGLSPSGLAAVLLACGGLTKVKLPSSFKSIFPLPLIEHLQVRGCAFQWSDKVFQAELDPKCWKLQMDDVE >itb15g06710.t2 pep chromosome:ASM357664v1:15:4512642:4516796:1 gene:itb15g06710 transcript:itb15g06710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKSSMESSFNHFDRLSEEILFTVLDSLNENPADRKSFSLVCKAFYDAESSHRKALKPMRSEQLTKILKRYPHVSHLDLSLCPRVSDDSLAAIAGLCREMLRSINLSRSRFFTHAGLSNLVMNCGNLVEIDLSNATELKDMAAAVIAEAKNLERLWLVRCRGVTDIGIGCIAVGCKKLRLLNLRWCLGVGDLGVGLIAVKCKEIRSLDLSYLPISNKCLSSISKLQNLEDLVLEGCYGIDDDSLIALKQGCKSLETLDMSSCQNVSPVGLSSLTSSAGCLRQLTLSYGSPVTLALGESLQNLSMLQSIKLDGCQVTCSGLKAIGNWCVSLKELSLSKCPGVTDEGLCSVVTKHRELQKLDITCCRKITHVSISNITNSCSYLTSLRMESCTLVPREAFVLIGQRCRFLEELDVTDNEIDNEGLKSISMCLGLSSLKLGICLNITDKGLIHIGMCCPNLKELDLYRSAGVTDSGIYAIARGCLSLEMINIAYCNRITDHSFVSLSKCSKLNTLESRGCPLLTSSGLAAVAVGCKLLAKLDIKWCYNIDDAGMISLAQFSQNLRQINLSYTSVTDVGLLSLASIGCLQSMTVLHVKGLSPSGLAAVLLACGGLTKVKLPSSFKSIFPLPLIEHLQVRGCAFQWSDKVFQVCFFLSQPRPIFFQQNIIKEHVYTTASLQHHNAQNPKNT >itb13g23530.t1 pep chromosome:ASM357664v1:13:29497673:29500054:1 gene:itb13g23530 transcript:itb13g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEQRKRGDPNIELRMGCPGKLSSADRMLKLLLQSFSANVFLLVIIMISCSLEKGNASRGRVGGLEAYQYQTLPLSSMLPPFVCNHTTFKGPSRKASMKVVHRFGPCSARTQDPPTMTELLRQDESRVNSIKARVKSLNMAKNKGGILSESKSANLPAAGGGVGNYIVTVGLGTPKKDLDLLFDTGSHITWTQCKPCAGKCYKQLAPLFDPATSTTYSNVTCTSDSCSALLSATSLPPGCLDSTTCVYTTIYGDGTASMGEFGKDKLTLTSTDAVDAFLFGCGQENLLIGSDSAGLMGLGRHPLSIVSQTSQQYGKYFSYCLPTQTGSHGHLTFGKTTNNNNVVNYTPLLSSQGTTDFYFIDVLAISVNSRRLPISATVFKTSGTIIDSGTFITRLPTPAYSALRDAFKQEMTMYRPAAPSGGFDACYDFSKDANPTIPKISFTFGGNVVVDLDPRGVMVSLDKTGSQVCLAFFGDDDGMGIFGNLQQQTLEVVYDVAGGKLGFASGGCS >itb02g03890.t3 pep chromosome:ASM357664v1:2:2308107:2312237:1 gene:itb02g03890 transcript:itb02g03890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MEAMATSLRLAVVLSFFASLSLVVRSSSPELQILTAERRVDLSSHIVRTYLTLKVENTGEAPASEVLLCFPPQQAEHLAVVKAAAVIGKKKKTSYQSLEVELANRPDGPNGAKYYSISLLKPLNKGGSVSIEVLYILTHSLVPFPAEISQSDSQLVYYHDSAVVLSPYLIKEQITILKTPSTKVESFTRVEPTDRYGTEIKYGPYEESPPYSYSPTIVHFENNNAFAVVEELVREIEISHWGSIQITEHYKLMHAGAQHKGVFSRVDYQSRPSLSGVSSFKHLLAELPPRVHSVYYRDNIGNISSSRLRTSAKKSELLIEPRYPLFGGWKATFVIGYGVPLNDFLYESTNGDRYLNYSFGCPLAETVVDKLTIKVVLPEGSKNPSAVVPFPFEQRLEKKYSYLDVVGRTVIVLEKKNVVPEHNNPFQVHYQFNKIFMLAEPLMLTGAFFLFFVACTTYLQMDLSIRKFKQT >itb02g03890.t1 pep chromosome:ASM357664v1:2:2308087:2312237:1 gene:itb02g03890 transcript:itb02g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MEAMATSLRLAVVLSFFASLSLVVRSSSPELQILTAERRVDLSSHIVRTYLTLKVENTGEAPASEVLLCFPPQQAEHLAVVKAAAVIGKKKKTSYQSLEVELANRPDGPNGAKYYSISLLKPLNKGGSVSIEVLYILTHSLVPFPAEISQSDSQLVYYHDSAVVLSPYLIKEQITILKTPSTKVESFTRVEPTDRYGTEIKYGPYEESPPYSYSPTIVHFENNNAFAVVEELVREIEISHWGSIQITEHYKLMHAGAQHKGVFSRVDYQSRPSLSGVSSFKHLLAELPPRVHSVYYRDNIGNISSSRLRTSAKKSELLIEPRYPLFGGWKATFVIGYGVPLNDFLYESTNGDRYLNYSFGCPLAETVVDKLTIKVVLPEGSKNPSAVVPFPFEQRLEKKYSYLDVVGRTVIVLEKKNVVPEHNNPFQVHYQFNKIFMLAEPLMLTGAFFLFFVACTTYLQMDLSIRKFKQT >itb02g03890.t2 pep chromosome:ASM357664v1:2:2308107:2312237:1 gene:itb02g03890 transcript:itb02g03890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MEAMATSLRLAVVLSFFASLSLVVRSSSPELQILTAERRVDLSSHIVRTYLTLKVENTGEAPASEVLLCFPPQQAEHLAVVKAAAVIGKKKKTSYQSLEVELANRPDGPNGAKYYSISLLKPLNKGGSVSIEVLYILTHSLVPFPAEISQSDSQLVYYHDSAVVLSPYLIKEQITILKTPSTKVESFTRVEPTDRYGTEIKYGPYEESPPYSYSPTIVHFENNNAFAVVEELVREIEISHWGSIQITEHYKLMHAGAQHKGVFSRVDYQSRPSLSGVSSFKHLLAELPPRVHSVYYRDNIGNISSSRLRTSAKKSELLIEPRYPLFGGWKATFVIGYGVPLNDFLYESTNGDRYLNYSFGCPLAETVVDKLTIKVVLPEGSKNPSAVVPFPFEQRLEKKYSYLDVVGRTVIVLEKKNVVPEHNNPFQVHYQFNKIFMLAEPLMLTGAFFLFFVACTTYLQMDLSIRKFKQT >itb14g05500.t3 pep chromosome:ASM357664v1:14:4795183:4800187:1 gene:itb14g05500 transcript:itb14g05500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLQTLCGQAFGAKRLEMLGIYMQRSWVILIATGIPLTILYIFSTPILKLLHQNPRISKAAGTFALWMIPQLFAYIICFPTQKFLTAQSKIYVLATISAVALVGHVLFSWLFMLKLRWGLPGGAAVLNATWWFITVVQLVYVFSGACRNTWNGFSWKAFGNLKGYLRLSLASGVMLCLEMWYYVALILIAGYTKNAEISVDAASICLNISAWTLMVGIGFCAATSVRVSNELGGGHPRTAKFCVVVATTTSLFIGIILGLIPIALRSRYPPWFSKSSSVQQLVYDLTPLLGITIILNSIQPTLSGVAIGAGWQSYVAYVNIVCYYFVGIPIGLVLGFAVRKGVKELQFKPLFL >itb14g05500.t2 pep chromosome:ASM357664v1:14:4795183:4800187:1 gene:itb14g05500 transcript:itb14g05500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLQTLCGQAFGAKRLEMLGIYMQRSWVILIATGIPLTILYIFSTPILKLLHQNPRISKAAGTFALWMIPQLFAYIICFPTQKFLTAQSKIYVLATISAVALVGHVLFSWLFMLKLRWGLPGGAAVLNATWWFITVVQLVYVFSGACRNTWNGFSWKAFGNLKGYLRLSLASGVMLCLEMWYYVALILIAGYTKNAEISVDAASICLNISAWTLMVGIGFCAATSVRVSNELGGGHPRTAKFCVVVATTTSLFIGIILGLIPIALRSRYPPWFSKSSSVQQLVYDLTPLLGITIILNSIQPTLSGVAIGAGWQSYVAYVNIVCYYFVGIPIGLVLGFAVRKGVKGIWYGMLTGTAVQTIVLIVMVLRTNWKKEALLAGERIKKWGGDSSDSQPVQSTS >itb14g05500.t4 pep chromosome:ASM357664v1:14:4791307:4800187:1 gene:itb14g05500 transcript:itb14g05500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYSFDADAGDIQEMKNTKELFREFCWESKKLWYLAAPAICNLVLQYSISAVTQIFAGHVGDIQLAAVAVEINLISGFAFAILYGMGSGLQTLCGQAFGAKRLEMLGIYMQRSWVILIATGIPLTILYIFSTPILKLLHQNPRISKAAGTFALWMIPQLFAYIICFPTQKFLTAQSKIYVLATISAVALVGHVLFSWLFMLKLRWGLPGGAAVLNATWWFITVVQLVYVFSGACRNTWNGFSWKAFGNLKGYLRLSLASGVMLCLEMWYYVALILIAGYTKNAEISVDAASICLNISAWTLMVGIGFCAATSVRVSNELGGGHPRTAKFCVVVATTTSLFIGIILGLIPIALRSRYPPWFSKSSSVQQLVYDLTPLLGITIILNSIQPTLSGVAIGAGWQSYVAYVNIVCYYFVGIPIGLVLGFAVRKGVKELQFKPLFL >itb14g05500.t1 pep chromosome:ASM357664v1:14:4791307:4800188:1 gene:itb14g05500 transcript:itb14g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYSFDADAGDIQEMKNTKELFREFCWESKKLWYLAAPAICNLVLQYSISAVTQIFAGHVGDIQLAAVAVEINLISGFAFAILYGMGSGLQTLCGQAFGAKRLEMLGIYMQRSWVILIATGIPLTILYIFSTPILKLLHQNPRISKAAGTFALWMIPQLFAYIICFPTQKFLTAQSKIYVLATISAVALVGHVLFSWLFMLKLRWGLPGGAAVLNATWWFITVVQLVYVFSGACRNTWNGFSWKAFGNLKGYLRLSLASGVMLCLEMWYYVALILIAGYTKNAEISVDAASICLNISAWTLMVGIGFCAATSVRVSNELGGGHPRTAKFCVVVATTTSLFIGIILGLIPIALRSRYPPWFSKSSSVQQLVYDLTPLLGITIILNSIQPTLSGVAIGAGWQSYVAYVNIVCYYFVGIPIGLVLGFAVRKGVKGIWYGMLTGTAVQTIVLIVMVLRTNWKKEALLAGERIKKWGGDSSDSQPVQSTS >itb03g08600.t3 pep chromosome:ASM357664v1:3:6440782:6454320:-1 gene:itb03g08600 transcript:itb03g08600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLENLVLEDASGPESPIPKEELCMEIDPPYKNVVNAEDWRGALNKVVPAVVVLRITSCRAFDTQSAGSSYATGFVVDKCRGIILTNRHVVKPGPVVAEAMFVNREETPVYPIYRDPVHDFGFFRYDPSAIKFLSYEEVPLAPEAACVGLEIRVVGNDSGEKVSILSGTIARLDRDAPNYKKNGYNDFNTFYIQAASGTKGGSSGSPVIDCQGRAVALNAGGRSLSASAFFLPLERVMRALKFLREGRVYSMNKWEAVSIPRGTLQATFIHKGFDETRRLGLQSETEQLVRNSSPPDETGMLVVDSVVPGGPAYNRLEPGDILLRMNGEVITQFLRMEIILDDSVKQKVELQIERGGISLTINLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFHFSCGLVYVAEPGYLLYRAGVPCHAIIKKFAGKDISRLEDLISLLSKLSRGVRVPLQYISCRDRHRRKSVLVTIDHHEWYAPPQIYTRDDNSGLWIAKPAFPPGSLLLSGINPVKQDLLYNTVSSCAGESSPMDDTPQHVGQNSTDDITNMETSYKCVAEEENSSADGIVESDCSLQDLTKERLMDTGTVDAVVVKDDEAELGNASIVEHAIESTLVMFEVHVPSSCMLDGIHSQHLYGTGVIVYHSETMGLVVVDKNTVAVSVSDVLLSFGAFPIEIPGQVVFLHPVHNFAVVAYDPKALGTVGASAVRAAELLPEPPLCRGDTVSLVGLTRNIRAKSRKSIVTNPCAALTVASADCPQYKATNMEVIELDIDFGASFSGVLIDDCGRVQALWGSFSTQVHKHKHLSTKARSKKLKYGSNSSENHQFVRGIPILTISQVLAKIISGAAGPPLLINGVKQAMPLVRILEVKLYPLLLSKARNFGLSDSWIQALLKKDPTRHQVLRVKGCFAGSGAKNVLEQGDMILAISKQPVTCFRDIEDACQALDQCSNSDGKLNMTIFRQGREIEVVVETDVRDGNGSTRAINWCGCIVQDPHPAVRELGFLPKEGHGVYVSRMCRGSPAHRYHLYALQWIVEINGKATPDLDAFVNATKELEHGEFVRVRTVQLDEKPRVLTLKQDLHYWPTWELRFDPDTAMWRRTVIKACH >itb03g08600.t1 pep chromosome:ASM357664v1:3:6440782:6454320:-1 gene:itb03g08600 transcript:itb03g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRNRLYRRRNCVWRLILRTRTSSTPRTGGGLLTRWFPRWSFSGSPHVELSTLSPPVPVTQPASLWISAGESYSQTATWLNLVIYVYYGLYIIDGGLDFAVFSRNGKMNQVFFEIFFIFYFLMGVLMINLFVGPVVAEAMFVNREETPVYPIYRDPVHDFGFFRYDPSAIKFLSYEEVPLAPEAACVGLEIRVVGNDSGEKVSILSGTIARLDRDAPNYKKNGYNDFNTFYIQAASGTKGGSSGSPVIDCQGRAVALNAGGRSLSASAFFLPLERVMRALKFLREGRVYSMNKWEAVSIPRGTLQATFIHKGFDETRRLGLQSETEQLVRNSSPPDETGMLVVDSVVPGGPAYNRLEPGDILLRMNGEVITQFLRMEIILDDSVKQKVELQIERGGISLTINLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFHFSCGLVYVAEPGYLLYRAGVPCHAIIKKFAGKDISRLEDLISLLSKLSRGVRVPLQYISCRDRHRRKSVLVTIDHHEWYAPPQIYTRDDNSGLWIAKPAFPPGSLLLSGINPVKQDLLYNTVSSCAGESSPMDDTPQHVGQNSTDDITNMETSYKCVAEEENSSADGIVESDCSLQDLTKERLMDTGTVDAVVVKDDEAELGNASIVEHAIESTLVMFEVHVPSSCMLDGIHSQHLYGTGVIVYHSETMGLVVVDKNTVAVSVSDVLLSFGAFPIEIPGQVVFLHPVHNFAVVAYDPKALGTVGASAVRAAELLPEPPLCRGDTVSLVGLTRNIRAKSRKSIVTNPCAALTVASADCPQYKATNMEVIELDIDFGASFSGVLIDDCGRVQALWGSFSTQVHKHKHLSTKARSKKLKYGSNSSENHQFVRGIPILTISQVLAKIISGAAGPPLLINGVKQAMPLVRILEVKLYPLLLSKARNFGLSDSWIQALLKKDPTRHQVLRVKGCFAGSGAKNVLEQGDMILAISKQPVTCFRDIEDACQALDQCSNSDGKLNMTIFRQGREIEVVVETDVRDGNGSTRAINWCGCIVQDPHPAVRELGFLPKEGHGVYVSRMCRGSPAHRYHLYALQWIVEINGKATPDLDAFVNATKELEHGEFVRVRTVQLDEKPRVLTLKQDLHYWPTWELRFDPDTAMWRRTVIKACH >itb03g08600.t2 pep chromosome:ASM357664v1:3:6440782:6454320:-1 gene:itb03g08600 transcript:itb03g08600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLENLVLEDASGPESPIPKEELCMEIDPPYKNVVNAEDWRGALNKVVPAVVVLRITSCRAFDTQSAGSSYATGFVVDKCRGIILTNRHVVKPGPVVAEAMFVNREETPVYPIYRDPVHDFGFFRYDPSAIKFLSYEEVPLAPEAACVGLEIRVVGNDSGEKVSILSGTIARLDRDAPNYKKNGYNDFNTFYIQAASGTKGGSSGSPVIDCQGRAVALNAGGRSLSASAFFLPLERVMRALKFLREGRVYSMNKWEAVSIPRGTLQATFIHKGFDETRRLGLQSETEQLVRNSSPPDETGMLVVDSVVPGGPAYNRLEPGDILLRMNGEVITQFLRMEIILDDSVKQKVELQIERGGISLTINLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFHFSCGLVYVAEPGYLLYRAGVPCHAIIKKFAGKDISRLEDLISLLSKLSRGVRVPLQYISCRDRHRRKSVLVTIDHHEWYAPPQIYTRDDNSGLWIAKPAFPPGSLLLSGINPVKQDLLYNTVSSCAGESSPMDDTPQHVGQNSTDDITNMETSYKCVAEEENSSADGIVESDCSLQDLTKERLMDTGTVDAVVVKDDEAELGNASIVEHAIESTLVMFEVHVPSSCMLDGIHSQHLYGTGVIVYHSETMGLVVVDKNTVAVSVSDVLLSFGAFPIEIPGQVVFLHPVHNFAVVAYDPKALGTVGASAVRAAELLPEPPLCRGDTVSLVGLTRNIRAKSRKSIVTNPCAALTVASADCPQYKATNMEVIELDIDFGASFSGVLIDDCGRVQALWGSFSTQLHQHFQLKYGSNSSENHQFVRGIPILTISQVLAKIISGAAGPPLLINGVKQAMPLVRILEVKLYPLLLSKARNFGLSDSWIQALLKKDPTRHQVLRVKGCFAGSGAKNVLEQGDMILAISKQPVTCFRDIEDACQALDQCSNSDGKLNMTIFRQGREIEVVVETDVRDGNGSTRAINWCGCIVQDPHPAVRELGFLPKEGHGVYVSRMCRGSPAHRYHLYALQWIVEINGKATPDLDAFVNATKELEHGEFVRVRTVQLDEKPRVLTLKQDLHYWPTWELRFDPDTAMWRRTVIKACH >itb05g15960.t1 pep chromosome:ASM357664v1:5:23278560:23280404:1 gene:itb05g15960 transcript:itb05g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHHLHVALLSSPGMGHLIPVLVLGDRLATHHHLKVTVLAITTPAETQFLKSHQKSNSPIDIVPVPAVDVSGLIDEKTQVFTQLRITVREALPQVRSTIAGLAHRPDALIVDIFCTQALPIAEELGIPRYAYHPTTAWTATLAMYFQVFDKSITGQFVDRLEPLRIPGCMPVRPEDMVDPLMDRDDQQYREYVNLGIEYTQFDGLLVNTWEELEPNTIKALRENEELRGVVKVPIYPIGPLKRSVESKNEGDGRDEILEWLDRQPAESVLYLSFGSGGMLTAEQTAELAWGLENSQQRFVWVVRPPCDGGPDSSINPKLEGGGGAAPDYLPEGFLARTKDVGFVVQMWANQIEILTHPSVGGFLSHCGWNSTLESLTNNVPIIAWPLYAEQKMNAAMLAEEVGVAVRPAVTPTEKVVRRQEIEAMVRTLLQHKEGEAIRERVKKLKVSGEIALSKAGSSYNSMCELVKDMEARMLTKSREIY >itb05g02470.t1 pep chromosome:ASM357664v1:5:1992213:1996591:-1 gene:itb05g02470 transcript:itb05g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKISETVSAFVARFSTPKTTTTPYVSSGLPPLPGSIHGYNIPNVGQKNKLRLSASLQDFSVYRQHDVEHGDLNPEMERILTNSHQGTFFLRENDGSSFSKDRGSPKQPSGWNKWLIVLLVLFLLLIASVLCISLSYYFKLSEGATRFSVVLDCGSTGTRVYVYQASINHNTDSSLPVLLRSMPEGFQRKSKSQSGRAYNRMETEPGLDKLVHNVSGLRGAIGPLIQWAENQIPRHAHKTTSLFLYATAGVRRLPSSDSKWLLDNAWSLLRGSPFLCKREWVKIISGEDEAYFGWIALNYDAGVLGTRPKKETFGALDLGGSSLQVTFESKESFNDATGLKLSIGPVNHPLTGYSLPGYGLNDAFDKSVAYLFKKLSKVDDADLASGNVEIKHPCLQFGYKEQYVCFNCVPQSPKSENPPSVRGEFSKGGKHGIPIQLVGSPEWDECSSLAKIVVNSSGSLEGSHPHPTGQFYAMSGFYVVYRFFNLASDANLDVVLQKGQEFCKKSWDVAEKSVAPQPFIEQYCFRAPYIAYLLREGLHISDSNVIIDSGRTTWTLGVALLEAGKAVSTKFEFQNYQIFRMKIKAVLPWIALLASLVVLLCALLCIGNWIPKFFRKRYLPLFSPNNASTTSIMQNPFKFQRWSPISTGDGREKVPLSPTNAATQRRAFDTGYGFGGHGVQLSESSLYTSSSGVTHSYSSGSLGQMQFDSNTMGSFRPPHRSQQRLQSRRSQSREDLHSSLAEANP >itb12g21980.t1 pep chromosome:ASM357664v1:12:24187615:24187944:-1 gene:itb12g21980 transcript:itb12g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGINSNKQNPTGKSGKEPIKVKYISSPVLVNAKNASEFRSIVQELTGKDPPQDDGGRKVEQPKLFGGAGESSGARTPPSFEMNDKDDGDDIFSFWGRSFKGFDSSS >itb01g06450.t1 pep chromosome:ASM357664v1:1:4638259:4640132:-1 gene:itb01g06450 transcript:itb01g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGIADRWSVLSGIDNWKGLLDPLDSDLRRYLIHYSAMVAPTGEALITDTASKNIGLCRYARRNLLLNTGAVKGNPFEYEVTKYLYATSGASTGATGYNVRPARSDAVLKESNWNGYVAVATDKGKVALGRRDILIVWRGTMRPLEWLSNFTFLFVNAPLIFGQDSAPLVHKGWYDMYTTINPDSQLEGSSARDQVREEVARLVEQYKDEKVSITVTGHSLGSSMATLNATDLAVNPFKPDIPVTAFLFGSPKVGDENFKNAFANQANLRGLRITDVNDIVTQIPPFGWPVGGPLLPIIYYQDVGVGLMIESKKSDYLKPELSPGLGYHDPMLYMHGIDGFQGSQEGFQPHGDFDIAKVNKYQDALKDEYNIPVAWWNIKDTGMVQQDDGSYLLDDHEPDEKF >itb04g05650.t1 pep chromosome:ASM357664v1:4:3668514:3675519:1 gene:itb04g05650 transcript:itb04g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFSMASCFVGIWNFVKTRAYLSPQKWPCQYMKWMGIRAGQALVLFCRATQVQSPSKQAAPTPAAVLLQQAVVSTQQPAIPVVHSSGKRHQMDGTIKEELYSEALRFSNLDLGVRPDTNNGNHNAHVDDDDDLWYDDDDDDGSSCVPSKAADRSSDLDREWQRRHDQFHTIGYRDGLIAGKESSAQEGFNAGFVESVYAGYNWGVVKGVIGTLACLPPELQEKLITTEESRIKFQKLHESVQPVSAADALKTFHESLPKRSVNQGETVESSSCVIESQNQRSEDGFQNYQIYPIATYPFFQSTHAGSSLNTYSYNNIVSKSSKHKQNLALRCRLASSLPPDTILLSSTTED >itb12g23050.t1 pep chromosome:ASM357664v1:12:24913362:24915165:-1 gene:itb12g23050 transcript:itb12g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPFCPELVPVKKKKLLLYNINVNAIADFIILASLCYRVGLDYGKPDYWLNPIKVAALQPKEEDKKATSSFPAGAGQFYC >itb01g21940.t1 pep chromosome:ASM357664v1:1:27930689:27934793:1 gene:itb01g21940 transcript:itb01g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILAYNDAPILLHLIIAILFAFVCFAARFLLDRFIFRRLATWLLRNGATNLRMNEATRAKVVKLSESMWKFTYYATVEFCVLRAIYDEPWFLDTRQYFRGWPNHELPLAIALVYMCQCGFYIYSIAALLVWETRRKDFAVMMSHHIITVILISYSYITRFFRIGSVILALHDASDVFMEAAKVFKYSEKEFGASLFFGLFAISWLVLRLIIFPFWVIRSSSYYLIEVLRLPEPSHMLLYYVFNTMLLSLLVFHIYWWILICSMIRRQLKNRGQVGEDIRSDSEDED >itb13g07460.t1 pep chromosome:ASM357664v1:13:9330234:9331571:-1 gene:itb13g07460 transcript:itb13g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLQLSLGNINTEKTNESSSGKETATTTNDKITGGSISQTSDPFAYSPKPTYKAVENYSSFPKENLPDVHAAKAEEQRLSIPVYPHRMTRSATKRHQEALLKSIPVNYSVSDASRFFFTDDLKPVFDLMIQKNFICQRKLLLDEFSLHPGVTGLLEKVGLSQTIVRIDRFVKIVVCKFYTNLVPELVQQGKVFLRGRFYDFNPTVINSLFDSPNINDDYQEDLDIVAYELTGGIKHLWTTKDTVQSAILTPTYALLHKVALHNWMPTKHKSYVKVSLAVFLHKIANGIKINLGKLLFDQVLDARDGKQRRKELILPNMIFNLLVMQGFQVNKDDCFETEAVPIKVDSRLLVHDHAEDIGVTKFTTSFPMSHRIISFLHEELKWIADQQEMLQAREKIINAFLSSLLWDSAAPTRPSHHPADSSASNPGYTPLAPGDTTKSRVA >itb03g02000.t1 pep chromosome:ASM357664v1:3:1126454:1130140:1 gene:itb03g02000 transcript:itb03g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDPALSVVRFTAEMSWAEAGPEVAEPQVSRLCIEAQERMIGGRWLDLVDLMLTSADLVFSKASDKDLECIFTIISNLVKKPESGDQVRQMAELISSKVTQQPNDKPLLRLKILFNLYNLLADPYSRFLVYKKALNLAISGKATEHVLSSFKKLDNILKEWDLGVEDQRELFLTVSNIMKEHKGYTKESFKFLTRYLATFSGDDAHTMTEAKEAAVNTITEFVKVPDMYQCDLLDIPAVAQLEKDAKYALVYQLLKIFLTQRVDAYLDFQAANSTLLKSYGLVHEDCIAKMRLMSLVDLGSSESGRIPYSVIKDVLRIEDNEVEPWVVKAITAKLIDCKIDQMNQVVIVSHSIERVYGPNQWKGLRTKLETWRGNIANLISTIQANKVVEDGSQAMQGLMIR >itb13g22670.t2 pep chromosome:ASM357664v1:13:28945811:28950558:1 gene:itb13g22670 transcript:itb13g22670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVDSGVSRMEGARKNPTLICAPIMADTVDQMLNLMRTAKASGADLVEIRLDSLKSFNHRPDISTLIQHCPLPTLFTYRPVWEGGMYDGDETSRLDALRLAMELGADHIDVELKAIHEFNSSLNGIRPGKCQVIVSSHNYHNTPSVEELGDLVARIQASGADIVKIATTALDIIDVARIFQITVHSQVPIIAMVMGEKGLMSRILCPKFGGYLTFGTLEAGKVSAPGQPTIQDLLDLYNFRQLGPDTRIFGIIGKPVSHSKSPLLYNEAFKSVGFNGVFVHLLVDDIATFFQTYSSLDFAGFSCTIPHKEAALETCDEVDPVAKSIGAVNCIIRRPTDGKLFGCNTDYVGAISAIEDGLRGLYHTPVGSSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYGRAKELADSIGGQALSLAELSSFHPEKGMILANTTSIGMQPKVDETPVAKEALQYYSLVFDAVYTPKITRLLREAEECGLKIVTGVEMFIGQAYEQYERFTGLPGKIKFI >itb13g22670.t1 pep chromosome:ASM357664v1:13:28945798:28951080:1 gene:itb13g22670 transcript:itb13g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVDSGVSRMEGARKNPTLICAPIMADTVDQMLNLMRTAKASGADLVEIRLDSLKSFNHRPDISTLIQHCPLPTLFTYRPVWEGGMYDGDETSRLDALRLAMELGADHIDVELKAIHEFNSSLNGIRPGKCQVIVSSHNYHNTPSVEELGDLVARIQASGADIVKIATTALDIIDVARIFQITVHSQVPIIAMVMGEKGLMSRILCPKFGGYLTFGTLEAGKVSAPGQPTIQDLLDLYNFRQLGPDTRIFGIIGKPVSHSKSPLLYNEAFKSVGFNGVFVHLLVDDIATFFQTYSSLDFAGFSCTIPHKEAALETCDEVDPVAKSIGAVNCIIRRPTDGKLFGCNTDYVGAISAIEDGLRGLYHTPVGSSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYGRAKELADSIGGQALSLAELSSFHPEKGMILANTTSIGMQPKVDETPVAKEALQYYSLVFDAVYTPKITRLLREAEECGLKIVTGVEMFIGQAYEQYERFTGLPAPKELFKNIMATY >itb13g22670.t3 pep chromosome:ASM357664v1:13:28945811:28951080:1 gene:itb13g22670 transcript:itb13g22670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVDSGVSRMEGARKNPTLICAPIMADTVDQMLNLMRTAKASGADLVEIRLDSLKSFNHRPDISTLIQHCPLPTLFTYRPVWEGGMYDGDETSRLDALRLAMELGADHIDVELKVPIIAMVMGEKGLMSRILCPKFGGYLTFGTLEAGKVSAPGQPTIQDLLDLYNFRQLGPDTRIFGIIGKPVSHSKSPLLYNEAFKSVGFNGVFVHLLVDDIATFFQTYSSLDFAGFSCTIPHKEAALETCDEVDPVAKSIGAVNCIIRRPTDGKLFGCNTDYVGAISAIEDGLRGLYHTPVGSSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYGRAKELADSIGGQALSLAELSSFHPEKGMILANTTSIGMQPKVDETPVAKEALQYYSLVFDAVYTPKITRLLREAEECGLKIVTGVEMFIGQAYEQYERFTGLPAPKELFKNIMATY >itb13g22670.t4 pep chromosome:ASM357664v1:13:28945820:28949749:1 gene:itb13g22670 transcript:itb13g22670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVDSGVSRMEGARKNPTLICAPIMADTVDQMLNLMRTAKASGADLVEIRLDSLKSFNHRPDISTLIQHCPLPTLFTYRPVWEGGMYDGDETSRLDALRLAMELGADHIDVELKAIHEFNSSLNGIRPGKCQVIVSSHNYHNTPSVEELGDLVARIQASGADIVKIATTALDIIDVARIFQITVHSQVPIIAMVMGEKGLMSRILCPKFGGYLTFGTLEAGKVSAPGQPTIQDLLDLYNFRQLGPDTRIFGIIGKPVSHSKSPLLYNEAFKSVGFNGVFVHLLVDDIATFFQTYSSLDFAGFSCTIPHKEAALETCDEVDPVAKVVDIIFAKGTIFLYVLTISCIAFNLICLECPSVNRGCKLHYKETYRWEVIWLQYRLCWCYLCY >itb15g11530.t1 pep chromosome:ASM357664v1:15:9323076:9326343:-1 gene:itb15g11530 transcript:itb15g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAPSKGVVISVPVLVLSAAAAAVLFFLLLSSLSSPSSTSNCSCPSVPDRTASSAVTPGERISASASDIEWAREQIEANGLHMKENVLRKGINPRTRQQQLQDLLQFKGISHYEGEEANNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAESVHLAPNSKVLEIGCGTLRVGLHFIRYLNPEKYHCLERDELSLMAALRYELPSQGLLPKRPLIIRGEDMEFSKFGSGTIYDLIYASAVFLHMPDKLVWAGLERLADKLKPLDGRIFVSHNIKFCSRLGGDECTKRLSNLGLEYLGKHTHDSFLFNHYEIWFEFRRFKA >itb06g18400.t1 pep chromosome:ASM357664v1:6:22060490:22061880:-1 gene:itb06g18400 transcript:itb06g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPSLLSLAIDSALHNLPFFSDLSCLPDHILVELFLKTLRAGKLTEKILNLFVATGKEEVLSLIEAFNIQAVLTPVLPTTCCEKH >itb12g12120.t1 pep chromosome:ASM357664v1:12:10619288:10627798:1 gene:itb12g12120 transcript:itb12g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRPYGFVFVVAFACFLKLVVAQVTDPAEVSALTTVKGSLIDGMKNLKNWKKGDPCTSNWTGVICFPAAKDDGYYHVREIHLMNMNLSGNLAPELGLFSHLLTLNFMWNELAGSIPKEIGNIKSLKLLLLNGNKLSGSLPDELGYLPKLNRFQIDENQISGVIPKSFSNLNSIRHIHFNNNSLSGEIPPDLSNLSTLIHLLLDNNNLSGTLPPELSELSDLRILQLDNNNFSGSEIPISYGNLPKLAKLSLRNCSLQGVIPDLSRIPTLYYLDLSSNLFSGSIPLEKLSNNMTNIILSNNRLNGSIPGSFSNLPSLQRLSLDNNFLSGSFASDIWKNNSFSTNSRLKIDLRNNALSNILGDLDPPVNVTLRLQGNPICNNASMRNIGQFCGPGSGANDEHNPLTNSNVTCPIGACPTDNNYEYVPASPTPCFCASPLRIGYRLKSPSFSYMTPFFDQFEFYVTQALHLEPYQLFIDSCSWEEGPRLRMDLKLFPMVGNIHTFNESEVLRIRDIFADWGFPGTDFFGPYELLNFTLLGPYSYLNFASEGKGTKKGVLIACIAAAAIVAAIISTITTIMIIRRHARRQRDLSRKRFSSKLYIKIDGVKSFSFKEMALATNDFDSSTQVGEGGYGTVYKGNLADKTVVAIKRAKEGSLQGQKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSAKCKQSLMFGARLRIALGAAKGILYLHTEAHPPIFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGMLPDHVSTIVKGTPGYVDPEYFLTRKLTDKSDVYSLGVVFLEILTGMRPISHGKNIVREVNLVHESGTVFSIVDSRMGSFPSECAERFVALALRCCSEKQDERPSMHDVVRELETILGMMPEEEVDTPKSNYRFDGIMASSFSNTGKYQTGSSSNVFGSDLVSDATLSITPR >itb12g24970.t1 pep chromosome:ASM357664v1:12:26312878:26314419:-1 gene:itb12g24970 transcript:itb12g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEVFLVSMVLTAAGRPVSAARDIAGGMKTTEYIKVSCEGTPYPELCSSTLSKNEREIGKDTALLVHTAINAALELARSLSGKVADVAHNKTLPPEAATDTSNCVDEINDSIHKLKMSLVEMKQLKCPGFDDKIYYIHKLVTTALIDDDRCTNGLNDTGLNVPAVKEQISTAAHMTLNALTLINKFADTGYIGRKNIDFVKTSCDKTPYPNICYASIVTVACEISGDPKLLAKNAIALTQRTTEFTVNRMGEIAGKIGLSPKENMSIHVCEDLSHKSIGYMQDTLTNLETLNQQKGADLKTIIDDIKEWLKSVKSNFESCIEELVREKINTKVTEQVTQLMREEEPYSEIALALFSSYAAGVHGGT >itb05g10550.t1 pep chromosome:ASM357664v1:5:16091670:16094953:-1 gene:itb05g10550 transcript:itb05g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEYVYMAKLAEQAERYDEMVEFMEKVSASFGDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVNAIREYRSKIEKELSNICDGILKLLDSRLIPSASAPDSKVFYLKMKGDYHRYLAEFKTTAERKEAAESTLSAYKAAQDIAAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDIQDDGADEIKEAPKKDEE >itb04g06390.t1 pep chromosome:ASM357664v1:4:4154794:4157746:-1 gene:itb04g06390 transcript:itb04g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFRFEKTELKTLPEKDHFKTPRREKLSEEEKCIIDNFWNLTITPSPPTVAKKLACCRALVSHLYWEKHKKPFTCYVMYTGPKAGVYLTWEEIVLLREELETSPRYGSPSYQGFYKIDEAIESATKNCGKDFIISPDVKKYQEYLKLFKTHFDQEFLNKSGNSPSALVLASSSSIQKRDLEDELFTKFSEKLEAPPQTSSKGKGVLVLEKPAQISTVIPRSTPPVNETTFQTFCLLQEFLAQVANTQIIHPGISTTWEFEFSYNPSKFCSSQFDNCPENKGGPCYCKLDWAIRKANIHIPSFKPFRFRDYLVTIQTLIQYGLIESIFFPPLYSDFSEYFPKFLAETVEQVVLETPRNTQLKFVSLYPDFEQNIPSFHLIDASFKGIDDEYTDFPVQNIGPKTIKIEEPLETQLDLIRAKIIASEFGWTGKKCVTKKLLREDRFVKVYCDSNIASKCFFPFDVEHSRPRWVEIFRNQIRRQGGSAFGSRPFSSDSSKRRRCD >itb15g19950.t2 pep chromosome:ASM357664v1:15:22456611:22458312:-1 gene:itb15g19950 transcript:itb15g19950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRDLISELPLEVKDRILECLPTREAARTALLSRHWNDVWLQHGRLVFDLEFLESVQQCQDDEGRTLVKIVHNILFFRAGPIKKFTLQLLCDDPKPQQSTFDRWCRFLSRNGVEELNLSIESYNECYKLPFCLLYCKTIKQLIVQELSIDLPVNGCGIFSNVTSLAFLYVDFKRSDNGIASSIIIPKLEKLAIQLSSGINKFEISAPKLEILSVINSIENVVESRWLAPHLKAIKTLWLCGSSLECMDVSMFPTAMNLQVMKLYDFLVGCGKQLTVAMQLLKACPNLCELQIMADEISREDNEEATLRLLEDPDGCFAIQEMKMLNTIKIEAFGDSALEMLFIKMLLSKSPTLERVVIVVSEYTGSSEVRKIERNLKCFPCASPNAQIICADNYAAYHDVAMYYDWLDTHGFRLIETR >itb15g19950.t3 pep chromosome:ASM357664v1:15:22456441:22457357:-1 gene:itb15g19950 transcript:itb15g19950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMFPTAMNLQVMKLYDFLVGCGKQLTVAMQLLKACPNLCELQIMADEISREDNEEATLRLLEDPDGCFAIQEMKMLNTIKIEAFGDSALEMLFIKMLLSKSPTLERVVIVVSEYTGSSEVRKIERNLKCFPCASPNAQIICADNYAAYHDVAMYYDWLDTHGFRLIETR >itb15g19950.t1 pep chromosome:ASM357664v1:15:22456441:22458312:-1 gene:itb15g19950 transcript:itb15g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRDLISELPLEVKDRILECLPTREAARTALLSRHWNDVWLQHGRLVFDLEFLESVQQCQDDEGRTLVKIVHNILFFRAGPIKKFTLQLLCDDPKPQQSTFDRWCRFLSRNGVEELNLSIESYNECYKLPFCLLYCKTIKQLIVQELSIDLPVNGCGIFSNVTSLAFLYVDFKRSDNGIASSIIIPKLEKLAIQLSSGINKFEISAPKLEILSVINSIENVVESRWLAPHLKAIKTLWLCGSSLECMDVSMFPTAMNLQVMKLYDFLVGCGKQLTVAMQLLKACPNLCELQIMADEL >itb11g04920.t1 pep chromosome:ASM357664v1:11:2640687:2642831:-1 gene:itb11g04920 transcript:itb11g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFLLPQGIIKDIENTMNAYWWRGKEIREGKGIHWKTWKRLCAPKDWGGMGFRNLRSYNLAMLCKQSWRLMDKPDSLTAKVYKARYYPNSTFMDAKKGSNPSFVWSSLLETQDIIRRHSRWRVGRGNNIMIWTDNWLPDDNNPKVISFPFPLMENATVDTLKDHTDSGWDEEIIRSVFEHRDAELILKIPLANHQTNDKIIWAPEENGRFSVKSCYIALCGELRQQDKVQWAFFWKFNIPPKIKMFFWQICTDCIATKDLLRRRNVNCDEYCVLCDNGKESAMHLFTDCTYSKEIWRLAGIPTQIPHPQSFHSWINLNRVSLNNEQIELLILICWEIWKQRNEKVWNNCNPQSATTILNKTRIFLEEWRKATSLPQAITNRQAQDSSRWSKPQEGFLKLNVDAAIDMNRAKMGFGIVIRDCSGAFVAARGMQWNGNFTPREAEAVAVREALSWIKELHMDKVQIETDALKVVQSLPHNNGDSAFNLIINDIKNLLSSFSHVFLAFTKRSANRAVHTLARQSVSVSGCSEWFYNPPSFLCTVLSSDVN >itb13g00330.t2 pep chromosome:ASM357664v1:13:263458:268367:-1 gene:itb13g00330 transcript:itb13g00330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSYMKIKQAWRLGMKDMPIFHGSRQRSQLKKPTWIIILVSLVSFFVICAYVFPSKNSAVCSLFSSRGCNKHFDWRSPAPLRELTDQEIASRVVVRDVLMNTPAVVPKSPKIAFLFLTPGALPFEKLWDRFFQGHEGKFSIYIHASKDRPVRLSRYFVDREIRSYAVAWGKITMVDAERRLLANALKDPDNLHFVLLSESCIPLRDFDYIYNYLMYTNVSFVDNFSDPGVHGHGRFSEHMRPVIEKKDFQKGAQWFTMKRQHAVIVLADYLYYSKFHDFCKPSKEDGYCYSDEHYLPTFFNMFDPAGIANWSVTHVDWSEKKWHPKSYKRRDVSYELLRSIASITESVHITSEAKEEVQIRPCLWNGNERPCYLFARKFAAETLDTLLQLFPNYTSS >itb13g00330.t1 pep chromosome:ASM357664v1:13:263456:268297:-1 gene:itb13g00330 transcript:itb13g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSYMKIKQAWRLGMKDMPIFHGSRQRSQLKKPTWIIILVSLVSFFVICAYVFPSKNSAVCSLFSSRGCNKHFDWRSPAPLRELTDQEIASRVVVRDVLMNTPAVVPKSPKIAFLFLTPGALPFEKLWDRFFQGHEGKFSIYIHASKDRPVRLSRYFVDREIRSYAVAWGKITMVDAERRLLANALKDPDNLHFVLLSESCIPLRDFDYIYNYLMYTNVSFVDNFSDPGVHGHGRFSEHMRPVIEKKDFQKGAQWFTMKRQHAVIVLADYLYYSKFHDFCKPSKEDGYCYSDEHYLPTFFNMFDPAGIANWSVTHVDWSEKKWHPKSYKRRDVSYELLRSIASITESVHITSEAKEEVQIRPCLWNGNERPCYLFARKFAAETLDTLLQLFPNYTSS >itb13g00330.t3 pep chromosome:ASM357664v1:13:263464:268367:-1 gene:itb13g00330 transcript:itb13g00330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSYMKIKQAWRLGMKDMPIFHGSRQRSQLKKPTWIIILVSLVSFFVICAYVFPSKNSAVCSLFSSRGCNKHFDWRSPAPLRELTDQEIASRVVVRDVLMNTPAVVPKSPKIAFLFLTPGALPFEKLWDRFFQGHEGKFSIYIHASKDRPVRLSRYFVDREIRSYAVAWGKITMVDAERRLLANALKDPDNLHFVLLSESCIPLRDFDYIYNYLMYTNVSFVDNFSDPGVHGHGRFSEHMRPVIEKKDFQKGAQWFTMKRQHAVIVLADYLYYSKFHDFCKPSKEDGYCYSDEHYLPTFFNMFDPAGIANWSVTHVDWSEKKWHPKSYKRRDVSYELLRSIAVRISLPYFLTLRPVYFLITLFCVCAVYY >itb11g00420.t1 pep chromosome:ASM357664v1:11:186765:192609:-1 gene:itb11g00420 transcript:itb11g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAAVSNRHFGSFSVSGRVCQGKKPSTVYLGLQCGFAAPQYIERTILYNKLYLSRIGCSSPRLHSIGFLNGKPFRSNPSLRVEANECNKFSKECHTINSRRQFRRCKNHLSFGRRIQPRMLDYPSIIDGQSRKRKHATVNRTKVDYRSEDYEITGELDSLVLPEGEAAVVEGTEQDKPWWQGFPRRWVIVLLCFASFLLCNMDRVNMSIAILPMSKQFNWNSATVGLIQSSFFWGYLLTQIVGGIWADKLGGKIVLGFGVVWWSLATVLTPIAANIGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAVSPILINKFGWPSVFYSFGSLGSIWFALWWSKAHSSPNEDPMLTAAEKRLIMAGSTSKEPVSTIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAIFANIGGWIADTLVSKGLSITSVRKIMQSIGFLGPAFFLTQLSKVNTPALAVMCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTVATGYILQKGSWDDVFKVAVALYIIGTLVWNLFSTGERILD >itb02g02640.t1 pep chromosome:ASM357664v1:2:1538701:1547161:1 gene:itb02g02640 transcript:itb02g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMMMTSKGRNLIYAVVLIVSSFTLPLVLSKTNSKHVAALNVMYQGLDSSSRGNLDGWTANGGDPCGDSWRGITCSDSDITEIDVSKNKLKDNVPYQLPPKLQHLHLNNNKLSGSLSDMFQQLTKLSEMDLSDNSLSGSLPQSMKSLSSLNVLYLQDNKLTGPINVLADLPLNDLNVENNQFTGWVPDELKGINNIQTGGNSWSTGPAPPPPPGQKPKKRVENEKSGMSGVAIAGIVFGVLLLLLIIIALFSKRRSSTSTHYFEDDKLSPPRHTSPLQSQEVSIDMYTDTHKGFRDARLSDSSSTVSVKAPQPQYSGGTKPFKEKESPSDHHKPLNDKEYTNLSNIETGGSIQVAYYSLADLQSATSNFATGRFLGEGSIGRVYKAKYPDGRVLAVKKIDSSHFKGNWAADFSEIVANLSKLRHSNIAEIFGYCSEQGQNMLVHDYFRNGSLHDFLHLSDDFSNPLTWNTRVRIALGTARAVEFLHEVCSPSCIHKNIKSSNILLDTELNPHLSDCGLSNFYEQSGQNLGAGYEPPECTNPSSYTTKSDIYSFGVVMLELLTGRQPFDSSKPRPEQFLARWASPQLHDIDALEKMVDPALRGLYPPKSISRFADIIALCVQLEPEFRPPMSEVVEALVRLVQRGNMSQREDPNASRRTDGYDF >itb07g23230.t1 pep chromosome:ASM357664v1:7:27673299:27676556:1 gene:itb07g23230 transcript:itb07g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSICKRFLAVSGYSFTGATAALARRRRQPPSSDDEAAVDDTGNSAAPPRSPPPPLPTTKLLTLNLASPVNQGFILAKSVMNFTTLFRRLNIRELVSNAPVYSYGSDASGEGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADPELKTAT >itb11g17700.t2 pep chromosome:ASM357664v1:11:17685664:17696045:-1 gene:itb11g17700 transcript:itb11g17700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKRAYKLQEFVAHSLSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLMHEFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGKTLLCGLHESMKVFSWEPIRCHDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYTIRTNTRLNGHSEVKSNSSTTLSGLAEDSAKASLGRISISQNSDPVKETKSFGRLSVSQNPDIQREPKSQASTGNVPGTPQRINLNVGQRATSVNSVAVPNMTSLKRNSVKVQSSTNSSAFNRSEVVPVIVPRNNIKLEQSAESRKEGVAAHVPPQSLQLKTSDLRKFSNATGDPGRPTYSTESDIDVPKPAELIGIPERNIFPAIKNSAIGIAAPERNMKDDRHFPSLKLEMNTTAEPLARNQHENYDTQVPTSNKDAYSMESQRRGRARPLVANWDKRERVTQFEGFAVSSPTGNLPAQSTLQANIWGHTLSTEKETLSYSDEDFIANLMEQHDQFVDSMRARLAKLEVVCRYWQRNDIKGALGVMEKMSDHAVLADVMYFLTKQSDIITLDIYTSLLPLLSALLESNMDR >itb11g17700.t1 pep chromosome:ASM357664v1:11:17684661:17696045:-1 gene:itb11g17700 transcript:itb11g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKRAYKLQEFVAHSLSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLMHEFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGKTLLCGLHESMKVFSWEPIRCHDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYTIRTNTRLNGHSEVKSNSSTTLSGLAEDSAKASLGRISISQNSDPVKETKSFGRLSVSQNPDIQREPKSQASTGNVPGTPQRINLNVGQRATSVNSVAVPNMTSLKRNSVKVQSSTNSSAFNRSEVVPVIVPRNNIKLEQSAESRKEGVAAHVPPQSLQLKTSDLRKFSNATGDPGRPTYSTESDIDVPKPAELIGIPERNIFPAIKNSAIGIAAPERNMKDDRHFPSLKLEMNTTAEPLARNQHENYDTQVPTSNKDAYSMESQRRGRARPLVANWDKRERVTQFEGFAVSSPTGNLPAQSTLQANIWGHTLSTEKETLSYSDEDFIANLMEQHDQFVDSMRARLAKLEVVCRYWQRNDIKGALGVMEKMSDHAVLADVMYFLTKQSDIITLDIYTSLLPLLSALLESNMDRHQDISLEMLLKLVKVFGSMIYSSRSAPASVGVDIEAEQRLERYNLCYVELEKVKCCLPTLTRKGGSIAKSAQELLLVLKEFS >itb07g15840.t1 pep chromosome:ASM357664v1:7:18881304:18883494:-1 gene:itb07g15840 transcript:itb07g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRREISKYLFQEGVLYAKKDYNLAKHPLIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGGPPGEFGGEKGGAPADFQPAFRGSGGRPGFGRGAGGFGGGAPPSSSFS >itb03g05050.t1 pep chromosome:ASM357664v1:3:3351534:3356895:1 gene:itb03g05050 transcript:itb03g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMEEKRTAAWCVVVFVLVACCATPARSDASDHKYKAGDAVPLYANKVGPFHNPSETYRYFDLPFCLPEHVKEKKEALGEVLNGDRLVSAPYKLDFMYDKDSEIVCKRTLSQKEVAQFRDAVAKDYYFQMYYDDLPIWGFLGKVEKDGKSDPSEFKYYLFKHLHFEIFYNNDRVVEINARTDPNALVDITEDKEVDVDFMYSVKWKETNTPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKYKSLLAAALGSGTQLFTLAIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGSNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRGALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYHSIKCE >itb02g12450.t1 pep chromosome:ASM357664v1:2:8500238:8503752:-1 gene:itb02g12450 transcript:itb02g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLFSIIHLWLLIVSSNIVASISHSQEEANALLKWKETLQNHQNISMLGSWDLLQPSSPCTWYGVSCVGGRVWGLNLTKCSINGTLHSFPFASLPNLEYIDFSINELYGTLSPQITNFSKLAYLDLSINQFSGNIPQQISLLTNLQTLHLFQNQLNGSIPREIGRLIKLNELALYHNSLSGPIPNSLGNLTNLKQLFLYQNSLSGHIPQEIGNLINIVGLYLNNNNLDGPIPSTLGNLTSLEKLMLFNNKIAGPIPYEIGQLKSLQHLCIHSNNLIGTIPTSLGGLTKLTQLHLYSNQLLGPIPKELGNLTLLNDLELSCNEISGPIPNSFGNLTKLKTLHLRQNNISGPIPRELGMLEYIAVLELDNNNLSGPIPEHLCLGRTLQNFTAANNMLMGQIPESFKNCSSLVRVRLAENQLTGNVSELFGGYPELKFMELSANRLSGELSSNWGEAKKLNQLLLANNNITGTIPPEIGNLTQLGFLDLSSNHLSGGIPKELGRLSSLLKLHLQNNMLSGPIPQELGSLTELLRLDLSNNRLNSSIPGMIGSFMLLIYLNLSNNNLIREIPIEISKLFQLNDLDLSHNFLTGALPSELGKLQSLVTLNLSHNGLCGSIPSTFEAMCGLVFIDLSYNHLEGSIPKNKAFKNASLEGNKGLCGINIIGLQPCKSSSMCKSSRLKGYQILFMVLFPFIGALVLALVLKRFVKHGKREETLQLNVLSVLHFDERILHNEILNSTRGFDAKFCIGKGGYGSVYKVTLPVVGNVAVKRIHPSMRMGDNHDAYLNEINVLQSIKHRNIVKFFGFCSNEEHSLLIYEYLENGSLGACLRHFQKAKELDWFKRANIINGVAHALSYMHHDCSPSIVHRDISSNNVLLDGDHEPHVSDFGIAKQLGRFLSSSKVEGTYGYIAPELAYNPNPKVTEKNDVYSFGVLALEVLKGEHPTDFLASYLASQSVDEEKGEAEGEEEEAEEEELKGLLDQRLPSPMNEVEGIVKTIVKIAKECLRAKPLSRPTMKRVSKQLSEHPSTSYKLYPGIDIFMTE >itb06g05310.t1 pep chromosome:ASM357664v1:6:8070771:8071106:1 gene:itb06g05310 transcript:itb06g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYICSASACYREAVGALVLYDVTRHDTFENVERWLKELRDQSDENIVIMLVGNKANLRHLRVVSTKDAKAFANKEGTFFMETSTLESLNVENAFTELLTQILRKAAEVGG >itb13g20670.t1 pep chromosome:ASM357664v1:13:27440262:27443279:1 gene:itb13g20670 transcript:itb13g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSARWGCESLVMLSSKPGPMALIPKKLQLTDSEILEDGELDARSFNLSGEGGGGSDSGSGVCGSDPGQVYSTKGSISASSGTSPKGDMIASNFNSPGDFYKKMEQQCVEVCVKSPPLDNLVGSVEPLIGLKLGKRTYFERGNVKSLSSVAPVSSASTSKRTKPSSQGLQISRCQVEGCNLDLSSAKRYHKKHRVCEKHSKCPKVIVGGVERRFCQQCSRFHSLSEFDEKKRSCRRRLSDHNARRRNPRQDLIQFNSTTLPSSFYDDRLQVNFVLNQVPVIHSKTAANSSWDTQSSKFTISEEFISEPEKTTSINRQPYISGIQLPNATGMHSNAWNWPLTSKGTNAEVFGQGPPSNVCTMQEFACALSLLSTPSQSSFEPISISSGNPAAHANQSNFPAETMLRANANEIPQGLPLASSSSEYWAVFNQFPCPYPGWGCQY >itb13g20670.t2 pep chromosome:ASM357664v1:13:27440523:27443279:1 gene:itb13g20670 transcript:itb13g20670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSARWGCESLVMLSSKPGPMALIPKKLQLTDSEILEDGELDARSFNLSGEGGGGSDSGSGVCGSDPGQVYSTKGSISASSGTSPKGDMIASNFNSPGDFYKKMEQQCVEVCVKSPPLDNLVGSVEPLIGLKLGKRTYFERGNVKSLSSVAPVSSASTSKRTKPSSQGLQISRCQVEGCNLDLSSAKRYHKKHRVCEKHSKCPKVIVGGVERRFCQQCSRFHSLSEFDEKKRSCRRRLSDHNARRRNPRQDLIQFNSTTLPSSFYDDRLQVNFVLNQVPVIHSKTAANSSWDTQSSKFTISEEFISEPEKTTSINRQPYISGIQLPNATGMHSNAWNWPLTSKGTNAEVFGQGPPSNVCTMQEFACALSLLSTPSQSSFEPISISSGNPAAHANQSNFPAETMLRANANEIPQGLPLASSSSEYWAVFNQFPCPYPGWGCQY >itb04g03480.t1 pep chromosome:ASM357664v1:4:2136934:2140281:-1 gene:itb04g03480 transcript:itb04g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQSESQIRNALSPFQHLCSPFGGRLVGEMANLRTAIDAAFYDLNVSTSRTLDGMVRSIPGDPIPMDGSRASRVLRIQQLSLLGNGFPLGLVPSFSPPSKKELGPLALQTVFFKAAISKWWVGLVGQVRPKKLISKLKAEIEVIKADIRKITDDDDWEFELPIFLPIFKNLHKCFLDKSFYSLGVNSHIPLTDSSSILLSMEQPGEEGCRRMKAMYMHKLSDHDVTLEAAWPERFIDHKGRYWDIPESISLDCSSLDDLTGWKYRFGIHKNSGQPVAVDNTDDAVHPALMPGLCAKVAFSYEKHKDLWREVEREKDVLIRTEKGLYFRPAYDVRLREPHAKISGIIGGTCAAWVGGGNNSLITESREDRENTPYSRRRPFGVDLFGSFCYTLQHGKFRKRYNDLTRIDARLDVSSAVAIAKRVSRIFRSASNTRDSLSTPRLNLIFQQQVAGPIVFRLDSRFCIDSIYGVPVPKLEDYVLSLDYSLRLLHSGKVVAWYSPKRKEAMVELRLFEF >itb11g22960.t3 pep chromosome:ASM357664v1:11:24844086:24846993:-1 gene:itb11g22960 transcript:itb11g22960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELSRKGEGAAKFKQGLGFSAASNSNDAVPSRGSALPSAASSFLSNFVRASSPYKNAELEKQAQLHSIQNKLKKKPREEDKTVSSSRVSKDRDSRNRDDRHSHRRNRSRSRERHSKHRSRSKDRGRDRHSRRRSRSRDDYSPKRRFRSRSTSRDRKRSSSGSDEERDRRKNRSVDRRSEKRHKVGKGRNSGADYATVIEGYDKMLREMSQRVAWVLDGSVLTLTRMLLWMMRRLKVNSSDTILAIYLICLRI >itb11g22960.t1 pep chromosome:ASM357664v1:11:24844086:24846993:-1 gene:itb11g22960 transcript:itb11g22960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELSRKGEGAAKFKQGLGFSAASNSNDAVPSRGSALPSAASSFLSNFVRASSPYKNAELEKQAQLHSIQNKLKKKPREEDKTVSSSRVSKDRDSRNRDDRHSHRRNRSRSRERHSKHRSRSKDRGRDRHSRRRSRSRDDYSPKRRFRSRSTSRDRKRSSSGSDEERDRRKNRSVDRRSEKRHKVGKGRNSGADYATVIEGYDKMTPAERVKAKMKLQLSETAERDESKGGMGSGWERFDFDKDAPLDDEEIEAAEDDAVIVKRIGQTFRFSSMEARREEQIKAAHDEAIFGAPHPPLHEETIYGTSSHAPFIDRDDETEAKRVETSTTESGPLTSLISDQVRTMQQGSWRDRARKA >itb11g22960.t2 pep chromosome:ASM357664v1:11:24844086:24846993:-1 gene:itb11g22960 transcript:itb11g22960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELSRKGEGAAKFKQGLGFSAASNSNDAVPSRGSALPSAASSFLSNFVRASSPYKNAELEKQAQLHSIQNKLKKKPREEDKTVSSSRVSKDRDSRNRDDRHSHRRNRSRSRERHSKHRSRSKDRGRDRHSRRRSRSRDDYSPKRRFRSRSTSRDRKRSSSGSDEERDRRKNRSVDRRSEKRHKVGKGRNSGADYATVIEGYDKMTPAERVKAKMKLQLSETAERDESKGGMGSGWERFDFDKDAPLDDEEIEG >itb12g14920.t1 pep chromosome:ASM357664v1:12:15297811:15304713:-1 gene:itb12g14920 transcript:itb12g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAEMAASTPCQIDLGNLMAYNPHHSFPTPPNSREELAWECLQEGTKLVQALADALFNLPSTEGLDGPIVKLPPPATRLPREKPLPKPKPPTKWELFAKKKGIQKRKKDKILFDEQTGTWKRRHGYDRVNDDNDIPIIEAKETDAPGEDPFAKRQEEKKKRVDKQEKNRLQNLKQAAKVGAVPSHIQLAATSLPITGTQAAPRKVSKVELGNVAGMAATATASGGKFDKKLAGEKPPKHEKKYRKFLPVAEGSGMGSLEKQLTDKVLNKLISKNSHDILDVNKAVNMYNVKKEKGRKNREGKSSTPNKLKPRGKPLKKTFKKGSSKAPKSK >itb12g14920.t2 pep chromosome:ASM357664v1:12:15297811:15304713:-1 gene:itb12g14920 transcript:itb12g14920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAEMAASTPCQIDLGNLMAYNPHHSFPTPPNSREELAWECLQEGTKLVQALADALFNLPSTEGLDGPIVKLPPPATRLPREKPLPKPKPPTKWELFAKKKGIQKRKKDKILFDEQTGTWKRRHGYDRVNDDNDIPIIEAKETDAPGEDPFAKRQEEKKKRVDKQEKNRLQNLKQAAKVGAVPSHIQLAATSLPITGTQAAPRKVSKVELGNVAGMAATATASGGKFDKKLAGEKPPKHEKKYRKFLPVAEGSGMGSLEKQLTDKVLNKLISKNSHDILDVNKAVNMYNVKKEKGRKNREGKSSTPNKLKPRGKPLKKTFKKGSSKAPKSK >itb12g14920.t3 pep chromosome:ASM357664v1:12:15297813:15304576:-1 gene:itb12g14920 transcript:itb12g14920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAEMAASTPCQIDLGNLMAYNPHHSFPTPPNSREELAWECLQEGTKLVQALADALFNLPSTEGLDGPIVKLPPPATRLPREKPLPKPKPPTKWELFAKKKGIQKRKKDKILFDEQTGTWKRRHGYDRVNDDNDIPIIEAKETDAPGEDPFAKRQEEKKKRVDKQEKNRLQNLKQAAKVGAVPSHIQLAATSLPITGTQAAPRKVSKVELGNVAGMAATATASGGKFDKKLAGEKPPKHEKKYRKFLPVAEGSGMGSLEKQLTDKVLNKLISKNSHDILDVNKAVNMYNVKKEKGRKNREGKSSTPNKLKPRGKPLKKTFKKGSSKAPKSK >itb08g08950.t1 pep chromosome:ASM357664v1:8:8177414:8178377:-1 gene:itb08g08950 transcript:itb08g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTPPSPSSLVIVDILSTFTSVAHCFVLGHVTYGTCYIVDLSSMATWKTATEARVLRHTWDSTGAWLWKLAKAHVLAHDSGYHQLVSHWLRTHCATEPYIIASNRQLSAVHPIFRLLQPHFRYTMEINALARLALINANGISGSSFSPSKYSMLLCSIA >itb11g11760.t4 pep chromosome:ASM357664v1:11:8642212:8644662:1 gene:itb11g11760 transcript:itb11g11760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLIRKHEFNRTSIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSSPQEALFLFRHLQRRLRPGFFFDSFAYSFLIKAAANLTQPSIGKQNVFDEMPSRNSVTWNVLITGLIKWGELGFARAVFNAMPEKNVVSWTGIIDGYTRAGKFNEALLLFREMVVSEGIKPSEVTLLAIFPAVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDIPDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVRPNQITFLSVLHACSHGGLVDEGVVFFGKMVHDFGLQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFHGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNGRYIDSEEVRRLMDEENAQKVPGFSFG >itb11g11760.t3 pep chromosome:ASM357664v1:11:8642212:8644543:1 gene:itb11g11760 transcript:itb11g11760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLIRKHEFNRTSIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSSPQEALFLFRHLQRRLRPGFFFDSFAYSFLIKAAANLTQPSIGKQVHCLSFKSGFHSHVYVQTALVDMYVNCRCLVEAQNVFDEMPSRNSVTWNVLITGLIKWGELGFARAVFNAMPEKNVVSWTGIIDGYTRAGKFNEALLLFREMVVSEGIKPSEVTLLAIFPAVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDIPDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVRPNQITFLSVLHACSHGGLVDEGVVFFGKMVHDFGLQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFHGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNETRSLQ >itb11g11760.t2 pep chromosome:ASM357664v1:11:8642212:8644543:1 gene:itb11g11760 transcript:itb11g11760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLIRKHEFNRTSIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSSPQEALFLFRHLQRRLRPGFFFDSFAYSFLIKAAANLTQPSIGKQNVFDEMPSRNSVTWNVLITGLIKWGELGFARAVFNAMPEKNVVSWTGIIDGYTRAGKFNEALLLFREMVVSEGIKPSEVTLLAIFPAVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDIPDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVRPNQITFLSVLHACSHGGLVDEGVVFFGKMVHDFGLQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFHGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNETRSLQ >itb11g11760.t1 pep chromosome:ASM357664v1:11:8642212:8644543:1 gene:itb11g11760 transcript:itb11g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLIRKHEFNRTSIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSSPQEALFLFRHLQRRLRPGFFFDSFAYSFLIKAAANLTQPSIGKQNVFDEMPSRNSVTWNVLITGLIKWGELGFARAVFNAMPEKNVVSWTGIIDGYTRAGKFNEALLLFREMVVSEGIKPSEVTLLAIFPAVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDIPDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVRPNQITFLSVLHACSHGGLVDEGVVFFGKMVHDFGLQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFHGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNAPVRWIATEV >itb11g15940.t1 pep chromosome:ASM357664v1:11:13783547:13788142:-1 gene:itb11g15940 transcript:itb11g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFGVVFLLGFHLFCHSSFVGAMPFRLFNVLSYGARPDGRTDNKEAFLNAWKDACKWEGGAKMHIPRGVYMVSSVLFTGTCKGSVTVRIQGIVRAPTNPSLFFGDTWIGFRYIDGLVMEGGGTLDGQGASAWPYNDCNKNPHCPKLPAAFLNAWKDACKWEGGAKMHIPRGVYMVSSVLFTGTCKGSVTVRIQGIVRAPTNPSLFFGDTWIGFRYIDGLVMEGGGTLDGQGASAWPYNDCNKNPHCPKLPASVRFDFVKNAIVHNIHSINSKNIHFNVFACDNVAFTGVTLLAPRDSPNTDGIHIGTSTNIRISRSVIGTGDDCISMVSGSQNIAIENVVCGPGHGISIGSLGRSQNEFVSNIHIKNCSLLDTQNGVRIKTWAPSYPSKISNVIFEDIVMTNTNNPIFIDQQYCPDSSCTSQSSSAEIKNVTFAKIRGVSGSKSAVTLNCSPTSPCQDVKLVDINLVYKDGPATSFCSNVRGGAFGKQQPPGCL >itb03g06850.t1 pep chromosome:ASM357664v1:3:4947553:4949601:1 gene:itb03g06850 transcript:itb03g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQFVSSKWVGFVCAIWVQVVAGNNYTFSNYSNALKSLMFLSQLQLNNLSVAKDVGKAFGLLAGLASDRLPTSLILLIGGVEGLIGYGVQWLVVSQRIQPLPYWQMCVFLCMGGNSTTWMNTAVLVTCMRNFKNNQGPVSGILKGYLGLSTAIFTDLCTALFSSDPSAFLLTLAIVPAVVCLIAAFFLRETPSTAAEERQQSLIFHFFNAVAFVVALYLSVFDLSGSHGQLVSLVFAIGLLVLLVTPLFVPVYFMVAKSGSISDPEGRTKEPLLVKNDGGGNVSEVRPRPMIGEDHTIVEALLTFDFWVLFVSFLCGVGTGLCVSNNLGQMGAALGYSDVSIFISLTSIWGFFGRIISGMASEHYIGKLGMPRPIWNAVSQLLMIIGYVVMAVGFSGSLYIGSILVGMCYGARIAVTVPVASDLFGLKCYGLLYNILILNLPLGSFLFSGLLAGYLYDAQAVSSGDGGSVCTGPHCYRLVFVIMAATCGFGVVLDMVLVVRTRKVYRKMFERKKFQALPAPPPSISYVK >itb05g02000.t1 pep chromosome:ASM357664v1:5:1551855:1552578:1 gene:itb05g02000 transcript:itb05g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKHKIMAPTFLLVVFFSFIAIIVPQSSLSAAARPLNSAADNLMLPSTRSDNIAAATDKKATEIMRRKRIVNSLLIMHRLPKGAGRPSGPSRRTNDVNT >itb02g06850.t1 pep chromosome:ASM357664v1:2:4299814:4301706:1 gene:itb02g06850 transcript:itb02g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGNYGFLVLCILGMVGSGYAQLEMNFYAKSCPKAEKLIQDYVRQHIPNAPSLSATLLRMHFHDCFVRGCDASVLLNFTSATGNQTEKVAPPNVSLRGFDFIDRVKSVVEKECPGVVSCADIVALVARDSVVTIGGPFWNVPTGRRDGRISNASEATSIPAPTSNFTNLQRLFGNQGLDLTDLVVLSGAHTIGVSHCSSFSSRLYNFTGVFGTQDPSLDSEYATNLRTRKCRSVNDTTTIVEMDPGSFRTFDLSYYKLVLKRRGLFQSDAALLTNPTARSLVSQLAGGSLASFNAQFATSMEKMGRIQVKTGSAGEIRRNCAVVNS >itb14g06790.t1 pep chromosome:ASM357664v1:14:6044827:6048712:-1 gene:itb14g06790 transcript:itb14g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLKVNYLNSKRPSKALIFDRRYGWVFDEWKDPSEQALEGSRGMFCVLPLAKALLKTASQTIDSAANTAVKVLESPNSLSPEVLQTSINDQLHKIASSAKKLEFSMMKFKKNFRWELTTSNLHGESHKTAPCNP >itb05g27730.t2 pep chromosome:ASM357664v1:5:30958812:30961695:1 gene:itb05g27730 transcript:itb05g27730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MDSTGNKFPGDEGSRGSWHWSLERLPDSIINELLLKLDLESLCTAACVSRTLRSAVSQVLASRSSLDLSAFYPDSETLQSILGRLKGVKSLTIDCLRVDDFSFINALGAQIQELNLFKCSSRSHHILGTIGHRCPNLRVLLIEIAWQRIPQLIKSLVVMVKNISYLESLSLKIHGTAVDVYDFQPLYHSLPTTLKTLKLLPVHEKHFIHSILKFRDDRHLLVPVPSFSNPLHSHGACFNLRNLSLVLHTISDELMFSIVESLPCLVELDLEDRPYLEPQMPGDLSNIGLQSLGALQYLTTLSIIRSRIILPVSFKRVNDLGMFILVENCRGLESVKLGGFSKVTDAGFSSILHSCQKLKKFEVRNASLLSDLAFHDMQKVASSLVELRLLSCNLLTSEALEELSSFAKLEVLDMSGCRSIANPCLSYISSLSTLTNLTLAGADISDDGLAIFGRGSSSAMITRLCLKGCKRISEKGIHKLFHGEGKIGNKLLFLDISYMPGISDAAIATVTSAAKALTDLCMRYCFFVTNAAVKMLASRGTLHDGSARLRRLDLCHCSGLSNDLIHILDKSSFPGLHWLGLGSTALKTRKDDFEVMFSMRPWLTVCFDDCELGCHDGWMV >itb05g27730.t1 pep chromosome:ASM357664v1:5:30958812:30961695:1 gene:itb05g27730 transcript:itb05g27730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MVKNISYLESLSLKIHGTAVDVYDFQPLYHSLPTTLKTLKLLPVHEKHFIHSILKFRDDRHLLVPVPSFSNPLHSHGACFNLRNLSLVLHTISDELMFSIVESLPCLVELDLEDRPYLEPQMPGDLSNIGLQSLGALQYLTTLSIIRSRIILPVSFKRVNDLGMFILVENCRGLESVKLGGFSKVTDAGFSSILHSCQKLKKFEVRNASLLSDLAFHDMQKVASSLVELRLLSCNLLTSEALEELSSFAKLEVLDMSGCRSIANPCLSYISSLSTLTNLTLAGADISDDGLAIFGRGSSSAMITRLCLKGCKRISEKGIHKLFHGEGKIGNKLLFLDISYMPGISDAAIATVTSAAKALTDLCMRYCFFVTNAAVKMLASRGTLHDGSARLRRLDLCHCSGLSNDLIHILDKSSFPGLHWLGLGSTALKTRKDDFEVMFSMRPWLTVCFDDCELGCHDGWMV >itb11g10860.t1 pep chromosome:ASM357664v1:11:7739908:7743882:-1 gene:itb11g10860 transcript:itb11g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQICPDDDTPRPPLTPPPSYYYNSNFTAAGAPVRDKKVLPGSLILRTLLTKSIASIPITSNFLSFSSSFDLTSDPLLFQHRFLSQAIFSPFLLLSTSRPIPSSSSLPLPHPPSLASLPSSESFQPKSSPPCRSTWSPATPSLTAVSSPRSVIILVKVGAPGNTIIDGSNEWNVLKLIEVSVSDKVEEFCASKEKQGIPTVSQGLKEKAEELKGVKEDLKTRLGNAQRFAAINEWQFVACCCVHNLGYMF >itb12g22160.t1 pep chromosome:ASM357664v1:12:24312437:24312700:-1 gene:itb12g22160 transcript:itb12g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVVTVLLLMILVEFSNAGRQHPFSSLMALDTQILMNNNIETDRIKAEPPPPQFNRPRHFYVVFSPPPPPLPPLLPLPPPPPPGS >itb05g18020.t1 pep chromosome:ASM357664v1:5:24857047:24862564:1 gene:itb05g18020 transcript:itb05g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPPINIRSIPVDNSAGIPAGNATIGNTAPSSSTDATGSNASEKLLWDELTILRPLVCCACPTKCECGKKIDDLNEQSEMDKLSIFLIGLHERYTGARNQKMLMRPLPDVNEAYSMIAQQERQFQMNDTGSFTKNSKYSMEMYSDQCIIQDAIHGRVIRRAKLQNGLDYLVFPIVSFLVILPTKSTDSIENSKIFQTQNQACGSHLDASRWRALRKIINSNIFSGSRLENNQQLRAKKIQELIIYCRKRSEVGEAVDIGRAAFRTTLNLLSNTILSKDLTDPYLDSGKDFKDLVWNMMVEVGKPNLADYFPFLENFDPHGIRRRMTCHFNKALDLFQDLIDERLEERKMKGNKNDDALDSLLNVSQERPEEIDTTHIQHMFLNLFVAGTDSSSSTLEWAMAELLKNPEIMSKAQAELADVIGKGKQYKKLMLLVCLTCNAS >itb09g23060.t1 pep chromosome:ASM357664v1:9:22232399:22234722:1 gene:itb09g23060 transcript:itb09g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQMKHIDNIPSTPGKFKYNRSRLHSSVAKLTFWSFVFLGLIYFFFFRSPSSSSSSSSSDLSRRSLRTSSYSGPAWEKRVRSSAKVRSRNGISVLLTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERTGVYIVEADINDVELLKKLFEIVQFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVSLLEVCKGVNPQPAIVWASSSSVYGLNTKVPFSEEDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAPDHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRVFNLGNKSPVPVSDLVSILERLLKVKAKRQIMKLPRNGDVQYTHANISYAQREFGYEPTTDLQTGLKKFVRWYLSYYGDGKKSDQ >itb09g15420.t1 pep chromosome:ASM357664v1:9:10675595:10679594:1 gene:itb09g15420 transcript:itb09g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDITCILIFFLIITSFSPSASLMAAYNLTLPGQHPNPEAVALQVHSIVNASLSRLLSTRRKLLSTCETGNPIDDCWRCDTNWQLNRQRLADCGIGFGQYALGGKGGRYYVVTDSSDPDPVNPPPGTLRYGVIQAEPLWIVFASSMLIHLSEELIFNSYKTLDGRGANVHITGGGCITLQYISNVIIHNIHIHHCYQSGETNIRSSPSHFGWRTLSDGDGISIFGSRDIWIDHCSLSHCKDGLIDAVMGSTGITISNNHFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGKKLIQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYIAPFDRNAKEVTKRVDTSEEKWRNWNWRSEGDVLANGAYFVASGEGVEVKYEKAYSVEPKSANFLDQITMNAGVLVGRGSSSGKWTASGNVTGDDDGNYLMAISGDPDDYDGDYAGGYMLCPKSILLYYLLAMLTLMLL >itb01g02620.t1 pep chromosome:ASM357664v1:1:1701941:1706339:-1 gene:itb01g02620 transcript:itb01g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDERQLPLHQQQQGGRNGSGEGGLSRIFCCCCEGCPVGVSRIFRGFNFKCVFVLLLSVAVLLSAVFWVFPRRSNQSGFDAKEAIKLSSTVQAYFRLEKPVSDLVPNIARLEYDIYGEIGVPSTKVAILSIHQADLSNRSDVIFGVLSDPIDSSINQLSLDLLKMSFIDIFLQQANLTLTTSIFGQPFSFEILKFPDGITIIPEPRSTFWDMPQNLFNFTLYNSIEQIKSNFAVLKEQLKSGLHLRPYEDIFVMVKNNIGSTRDPPVTIEVSAVTDVDVLPPERLKQLARIITDSPPSANLGLDNSVFGKVKQISLSSFLSHSIQAVPPTAAPASAPSPSPVQYDPLRASSPSPSPNSYESSPCVDAYTSPPSYTPGEERGHPPSHVTASPVPSQSHVSPHRLGNPPCGPSPSPAAHAMPNMSPGLSPLAAVSYYPSGDSEELIEKGFVSASQVSSGFSLSPSSRVFYKEIHALYLNGFLTILLCWIW >itb06g13470.t1 pep chromosome:ASM357664v1:6:18164511:18166912:1 gene:itb06g13470 transcript:itb06g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLINSATPLRTPPSDLARIPCEFLPERPKLYAPLLHKKRFYRALAIAHTGINNFSNHQTDLLAVKDGVFVLPNWKKSPSNDKRIVDHKLNDAVIYLDDMVNKGYKPNLTQATYLVYALCHHNKLNKATKVMELMVRSGSMPEASSYTFLVNFLCKKGNMGHAMQLIEKMEEYGYTTDTVTYNTLIRGLCKRGCLSVSLKFVDRLMRKGFVPDAYTHSIMLQATYKDNGVDEAMVLLENIVAKGGEPNVVCYNVLLTGLCKERRVDEAIRFFRKWGSLGISPTVVSYNLILRSLCYDGRWEEANQLLADMDRDDVTPTTITYNVLINSLAYHGRVSDALDMLREMDSGVHFKPTTDSYNPIIAHFCKEKKVDSVINCLNEMMDKQCDPNDGTYSAIAILCEEEGMVHEAFKILEKLRVTKKHPINDFYRNVVSAFCRMRNTYEAFLLLHDMTTSGFSPDSFTYSSLIRGLCREGMHDAALEIIRVLDANCYGPDVNLLNSLVLGLCTSGRTDLALGIYEEMVEKGYRPKETTYTFIVEGLIREEERGLAALVLKELHMIKVIGKITLGRLVTQYDIVGLSTLQKADEFA >itb03g21060.t1 pep chromosome:ASM357664v1:3:18854070:18857009:1 gene:itb03g21060 transcript:itb03g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLCFTPLTSFNKPITKPGAVTCTHAGRKIDWISDISRGSRVQTIRALEVKATDDNKSTKVNSIVCADCEGNGAILCSQCKGTGVNSVDHFNGQFKAGQLCWLCRGKKDILCGSCNGAGFIGGFMSTFDD >itb11g04170.t1 pep chromosome:ASM357664v1:11:2227257:2227712:-1 gene:itb11g04170 transcript:itb11g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKVRVEEILTINIKPGWKKGTKIIFPEKGNYESPDVIPADIAFIIDEKLHKVFTRDGNNLVAMQKISFVEAWSGYTVRLTSLDGRNLTIPINNMIHPNYEEVVPREGMPIPKDPSNKGSLIIKFDIEFPTSLTASQKAGIKELFGSSC >itb14g01150.t1 pep chromosome:ASM357664v1:14:866773:868738:1 gene:itb14g01150 transcript:itb14g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVSFAFAVANTLFKMVLNRGVNQLVLTTYRQSISAIFLAPIACCIERGALTLNLFLIGLKYTSTSFACAFLNVVPINTFLMALLFRQERINMKCKSGKAKVLGTLICLIGTIVLTLYKGKPLTNNNASSKGVEAHHNTKSWVIGSLFLFAGSLAWSSWFIIQGRVGSDYPYQYSSTSIMSFFGAIQSAIFCFIIDRNNSIWRLKGSLEIWTVIFSVSIK >itb09g03590.t1 pep chromosome:ASM357664v1:9:1985459:1989811:-1 gene:itb09g03590 transcript:itb09g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREVDVNGDGSIDFQEFLNVMVRKIKESVSEELKEAFKVFDRDQDGFISAKELRNVMMNLGERLTEEEAKQMIKEADVDGDGLVSYEEFMCNLFRYMQIIFRAMGYRYVITQSGALKQSSAFTTRALPMAARSIFLALTRNARGRERALGRSFSIPTIEIVCDDSPDFAAEETGESAEEDDLKARIFRLRLPKRSATHVVQKWIDEGRPITAADLRYISKELRMSRRYKHALEISEWMVSNNKDEILDLDYAIRIDLMTKVFGIDAAERYFEALPDAAKTTETYTALLHSYASSRLTDKAKDLYERMKEANLSLSTLTYNELLTLYMSVGQLEKVSLVIKEMKCQNVAPDIFTYNLWISSCAAAFNIDEVRQILDEMSLDSGSDECWTRYANLVRIYISSGQLVNSETNSLVETEKGITQREWITYDFLIILYGGLGNKEKLDQIWKSLAMTKQKMTSRNYVCIISSYLMLGHIREVREIVEQWKQSGMVEFDRSSCNRLLEAYSDIGLKEKAVNFHTVLLEKIPDLVDE >itb12g19080.t1 pep chromosome:ASM357664v1:12:21382447:21390102:1 gene:itb12g19080 transcript:itb12g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLKSMGIVSSVGDIFTQSVMMVDFYGKVQCIPILKDCVSSMENVTGRQSNSSNLGNIDWEDGMRDRGLLVSFINCGQVLGFLYKTCCIFRLLEDGSRVGEISFLDDPLCLQGSYIVGGMFLRDDNAEMGLDSGISYNLFAVWNNRGSTVIYRVLYSSNVFKYEPLSTISAGSLSSDVRLSLSFAQLNNFLIRVESISCHKEEPLLWMPHVTIWSLPEQFGNNGRLFQDCDMIGEGNYFDDWVLNLTSSKPEVVRQDVGMKTKATEHKVSNSHKYDSYNREELVSSSMVISEDYYIPLAIVYGFYNGDIKVMRFDMFFEELDFHSLSPHHNTHTTEQYLAGHEGAVLCLAAHRLKRSAEGKSSYILLSGSLDCTVRVWDLDSSNPLIVMHQHVAPVRQIILPPPQTHHPWNNCFLSVGEDSCVALVSLDTMRVERMFPGHPFYPAKVVWDSTRGYIACLCTNQIGKYDRVDVLYIWDVKTGARERVLRGAAAHSMFEHFCVGINKNLPSGSMIYGNTSASSMIFPVIEGTKHSQSHLQTLSKATSSKISSAPTSTNHSITSYTNGGNAAGSISSVFSSLLCKNQPVKSFCPFPGIAALSFDLTALMSLCLRPEPRRGESGSPDNNQSKEAPDKKKSKDIRIENHTHSTDNKQSIHRKDLPSQQHVIEDNNVEGTTTDAAQYHEWIISLERCLLQFSLAILHMWNVDYDLDKLLVTEMKLKRPTNFLVASGLLGDRGSLTLTFPDATATLELWKSSSEYCAMRSLTMVSLAQHIISLSHTYSAASSALSAFYMRNLTEKIPDIKPPFLQLLVSFWQDDIEHVKMAARSLFHCAASRAIPTPLCSDKTTHCEAFMDYSNGLLERENYNSVTTDKPGDCTRTERHDWIETDSGDKESEILSWLESVEMQDWISCVGGTGQDAMTSHIIVAAALAVWYPSLVKPKLAMLAVHPLMKLVMAMNEKYSSTAAEILAEGMERTWKACIGSEIPRLIGDIFFQIECVSGASANASMKKSSLSVKIHETLAGILLPSLAMADILGFLNVIESQVWSTASDSPVHVVSVMTLIRVARGSPRNLVQYLDKVVTFILQTMDPGNSVMRRSCMKSSMAALKEIVRIFPMVALNESLTRLAIGDAIGEINNTSIHVYDMQSITKIKILDASGPPGLPSLLGGSSEMAVNTAISALSFSPDGEGLLAFSENGLMIRWWSLGSVWWEKLSRNLVPVQCTKLIFVPPWEGFSPNSSRISIMATALSKDGQSASKASSKASTEMDRLKLLLHNLDLSYRLEWVGERNVKLTQHGRELGVFQFQV >itb12g19080.t2 pep chromosome:ASM357664v1:12:21382447:21390102:1 gene:itb12g19080 transcript:itb12g19080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSIACIWSGSPPAHKVTAVAVLNRPPTLYTGGSDGSIIWWNLYSSTQSTQEIKPISMLCGHAAPIVDLGICCPTAVSGDGTVDEPNDLVPNSNSSNCGALISACTDGILCVWSRASGHCRRRRKLPPWAGTPSILRTFPENLRYVCIACCSVDSVHLHEHQSVDVGAEGLVDTESQHPKSTKCAIVIVDSYTLSILQTVFHGPLSMGPLKSMGIVSSVGDIFTQSVMMVDFYGKVQCIPILKDCVSSMENVTGRQSNSSNLGNIDWEDGMRDRGLLVSFINCGQVLGFLYKTCCIFRLLEDGSRVGEISFLDDPLCLQGSYIVGGMFLRDDNAEMGLDSGISYNLFAVWNNRGSTVIYRVLYSSNVFKYEPLSTISAGSLSSDVRLSLSFAQLNNFLIRVESISCHKEEPLLWMPHVTIWSLPEQFGNNGRLFQDCDMIGEGNYFDDWVLNLTSSKPEVVRQDVGMKTKATEHKVSNSHKYDSYNREELVSSSMVISEDYYIPLAIVYGFYNGDIKVMRFDMFFEELDFHSLSPHHNTHTTEQYLAGHEGAVLCLAAHRLKRSAEGKSSYILLSGSLDCTVRVWDLDSSNPLIVMHQHVAPVRQIILPPPQTHHPWNNCFLSVGEDSCVALVSLDTMRVERMFPGHPFYPAKVVWDSTRGYIACLCTNQIGKYDRVDVLYIWDVKTGARERVLRGAAAHSMFEHFCVGINKNLPSGSMIYGNTSASSMIFPVIEGTKHSQSHLQTLSKATSSKISSAPTSTNHSITSYTNGGNAAGSISSVFSSLLCKNQPVKSFCPFPGIAALSFDLTALMSLCLRPEPRRGESGSPDNNQSKEAPDKKKSKDIRIENHTHSTDNKQSIHRKDLPSQQHVIEDNNVEGTTTDAAQYHEWIISLERCLLQFSLAILHMWNVDYDLDKLLVTEMKLKRPTNFLVASGLLGDRGSLTLTFPDATATLELWKSSSEYCAMRSLTMVSLAQHIISLSHTYSAASSALSAFYMRNLTEKIPDIKPPFLQLLVSFWQDDIEHVKMAARSLFHCAASRAIPTPLCSDKTTHCEAFMDYSNGLLERENYNSVTTDKPGDCTRTERHDWIETDSGDKESEILSWLESVEMQDWISCVGGTGQDAMTSHIIVAAALAVWYPSLVKPKLAMLAVHPLMKLVMAMNEKYSSTAAEILAEGMERTWKACIGSEIPRLIGDIFFQIECVSGASANASMKKSSLSVKIHETLAGILLPSLAMADILGFLNVIESQVWSTASDSPVHVVSVMTLIRVARGSPRNLVQYLDKVVTFILQTMDPGNSVMRRSCMKSSMAALKEIVRIFPMVALNESLTRLAIGDAIGEINNTSIHVYDMQSITKIKILDASGPPGLPSLLGGSSEMAVNTAISALSFSPDGEGLLAFSENGLMIRWWSLGSVWWEKLSRNLVPVQCTKLIFVPPWEGFSPNSSRISIMATALSKDGQSASKASSKASTEMDRLKLLLHNLDLSYRLEWVGERNVKLTQHGRELGVFQFQV >itb13g05320.t1 pep chromosome:ASM357664v1:13:6381778:6382716:1 gene:itb13g05320 transcript:itb13g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTSNSDPNWSSGYGFPQGEGWSGGAASHSDPNCWGGGYGFPQGEGWSGGRASHSDEEVILAASRPKKRAGRKKFKETRHPVYRGVRRRNNNKWVCEIREPTKQKRIWLGTYPTPEMAARAHDVAALALRGQFAATLNFADSVWRLPVPASVDAKDLRKAAVEAAEAFRPKECEEEIVITTPTSVEAPPPPPPADDPWNVLASPPIMEHDDLFTGMQGGFLGSMADGNLLSPAPSLGKSFSWDEVEVESDVEVSLWSYSI >itb04g10910.t1 pep chromosome:ASM357664v1:4:10450261:10457097:1 gene:itb04g10910 transcript:itb04g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFWISSLIIIITLVLLTTNWWFCQGCLEEERFALLQLKSNINNPNGNSLSSWIDNNRSDCCEWPNVVCDTTTKRVVQISLNSTRIWVVQGDWHFNASLFLPFKMLRVLSLEQTDMVGWVENQGLEKLPNLSKLEILNLEGNKFNRSVLLSLSGLTSLKSLKLGHNSLDGSGDERLQNLKRLEFLSLDGTGISDLQSVCSIHDFTNLKELDISQNAFQSLGPMHGLSRLEILHAGSNNFNNTIFPSFKQFPSLRFLDLSTNQAINGSVEINELLALEELDLSCNRIDNFVTTGGLPNLESLHLRQNRFNNSVFSSLKQFPSLVFLDLAVQFTITGSLQINELLALTNLEELDLSNTGVEDFFTTKGIANVSHLQVLHLDSLLGGSTSNYSNLIQSLSVLSSLKTLYFRHNHFLETHYWKNLSKLENLFLDGSYLVDKNVLNNIGGLMSLKVISLSECGLSGTLPKQGWCELKNIQELRLSGNELNGVLPPCLGNLTSLRLIDLSSNQFFGNIAISPLSRLTSLESLTISNNQFQVPFSFESFSNHSNLKFIFAANNEVILETSSKNSVPSFKLEFFSLSNCVGQYHRLPSFLLHQNQLRIIDLTRNNVGGDFPNWLLENNTKLEGFYMGGNAFKGYLKFPKKPNFHIGTIDISGNNISGQIPNDINIFFPNIIVLNMSGNNLVGSLPSSFWDLNSLEYLDLSNNNLMGELPQKLATCCYSLQFLKLSNNKFQGQIVFPGALNTYSLSALLLDNNNFASTIPDDLSTTFTTLMGLDLSNNHLHGKLPKCIGNMSNIYLLSVSNNQLEGPIPIEFCKLESIMLLDMSDNMLSGSIPSCFNPGRLAHLYLSKNKFGGQLTQPFCDQTSLALLDLSDNNFRGKIPGWIGNLSTLNILLLKGNYFKGVIPSQLCQLSHLSILDLSYNNLSGPILHCLDKMALAMFYYDFRKSSLAIEVARDPKTWTSLSYLETSKLAMAFHLPLAMIDDDDDAYLVDDHVAARFTSKLQSYSYKRTVLQYMSGFDLSCNQLSGNIPSNLGKLSEIRALNLSHNNLTGDIPITLSGLAKIESLDLSYNKLNGIIPAQLIALNFLEVFSVAHNNLSGPIPDRKAQFATFDASSYEGNALLCGPPLSNLCTHKELPPPQVLLHENGEEENNFMDMKSFYISFFIAYTVMLVTVVVVLCINPYWRRTWFSFIELCAMSCYDYVWIAFLKFKLRMRSLRSRFM >itb02g18650.t1 pep chromosome:ASM357664v1:2:15049314:15051529:-1 gene:itb02g18650 transcript:itb02g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTCAPRAPAAVESIRNNFTEKKRLLEKHGMRNWSLISKLIVGRSMKSCQLWWCNRLSPSVEHRAFTAEEDETIIRAQAKFGSK >itb15g14010.t1 pep chromosome:ASM357664v1:15:12158618:12163027:-1 gene:itb15g14010 transcript:itb15g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQVFRLATNAYRVSEDDEKSTPRKSIYKNWPLMSAIIIYCVFCLDDIAYSEIFSLWAESPRRLGGLGYSTDAVGVVLAISGFSLLVFQVLLYPSIERAFGPVTVMRIAGIMSIPLLTSYPYIANLSGISLSLVLSCASLLKSILNVAIITGLFILQNKAVEQDQRAAANGVAMTGMSIFKTIGPAGAGAL >itb01g05340.t2 pep chromosome:ASM357664v1:1:3673526:3678795:1 gene:itb01g05340 transcript:itb01g05340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MDYGEAVVMPGLIDVHAHLDDPGRTEWEGFPSGTKAAAAGGVTTLIDMPLNSFPSTVSEETLNLKIEAAEGRIYVDVGFWGGLVPENAFNASALQRLLNAGALGLKSFMCPSGINDFPMTNSSHIKEGLAVLAKYRRPLLVHAEMEQEPENELDVEDGGAESARSYSTYLKRRPASWEEAAIRELVSVTKDTRSGGSAEGAHLHIVHLSDARSSLELIKEAKRSGDSVTVETCPHYLAFAAEEIPDGDTRFKCAPPIRNAANREELWAAIMDGNIDMLSSDHSPSAPELKLFTEGDFLKAWGGISSLQFVLPVTWSYGQKYGVTFEQMVSWWSEKPAKLAGLNSKGAIVTGNHADIVVWEPEAEFDLDDNHPVYLKHRSISAYLGTRLSGKVLATFIRGNLAFKEGKHAQDACGVPILAK >itb01g05340.t1 pep chromosome:ASM357664v1:1:3672503:3678795:1 gene:itb01g05340 transcript:itb01g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MESGKWRTLLGLLPLLLSFLFFYFDISSKPSSQCSLISDSHFWIASKRIVTPKGVISGAVEVKGGSIVSVVDGDNWRGTVKSGRVMDYGEAVVMPGLIDVHAHLDDPGRTEWEGFPSGTKAAAAGGVTTLIDMPLNSFPSTVSEETLNLKIEAAEGRIYVDVGFWGGLVPENAFNASALQRLLNAGALGLKSFMCPSGINDFPMTNSSHIKEGLAVLAKYRRPLLVHAEMEQEPENELDVEDGGAESARSYSTYLKRRPASWEEAAIRELVSVTKDTRSGGSAEGAHLHIVHLSDARSSLELIKEAKRSGDSVTVETCPHYLAFAAEEIPDGDTRFKCAPPIRNAANREELWAAIMDGNIDMLSSDHSPSAPELKLFTEGDFLKAWGGISSLQFVLPVTWSYGQKYGVTFEQMVSWWSEKPAKLAGLNSKGAIVTGNHADIVVWEPEAEFDLDDNHPVYLKHRSISAYLGTRLSGKVLATFIRGNLAFKEGKHAQDACGVPILAK >itb02g05400.t1 pep chromosome:ASM357664v1:2:3249820:3254050:1 gene:itb02g05400 transcript:itb02g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQALIFLKPFISPLSSSSLFSKSNVNGDFLETNTVKICKRTEVVCQGMLAPRKFMQRRKKVEVFKDAEDEVDQKNWRKLMGEIEELGSAVSVLRSHRVKNQALPRDMVLGTLVRFKQLKKWNLVGEILEWLRTQHWWNFNEMDFLMLITACGKQGDFNKAERVLSYMNKRGYPQSVISHTALIEAYGRGGQYSKAEAIFRRMQSSGPEPSAITYQIILKTFVQGDKYEEAEEVFETLLNMEAPPLKPDQKMFHMMIYMYKKARDYKKAHKLFALMAERGVPQNTVTYNSLMSFGTDYKEVAKIYDQMQRAGLRPDVVSYALLISAYGKARREEEALAVFEEMLDAGVRPTQKAYNILLDAFAISGMVEQARVVFKSMRRDRCSPDLCSYTTMLSAYVNASDMEGAEKFFRRIKQDGFEPSVVTYGTLIKGYAKANNLEKMMEKYEEMRVSGIEANQTIFTTIMDAYGRNKDFGSAVAWFNEMASCGIPPDQKAKNILLSLAKTTEEQTEANELVGNINGTKVVEGIPGFTDNNEENTDNDDDNEEDGEVTDGYSNYDDDGGDSSADRSDELVTV >itb09g16990.t1 pep chromosome:ASM357664v1:9:12183407:12186800:1 gene:itb09g16990 transcript:itb09g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIDIKLIENINNRQVTFSKRRGGLVKKARELSILCDAEVAVIVFSSTGKLFEFSSTSMEQTLSRYNKRIKSSGMPLVEKKPKLEHMEVEVLSDEISKLKSKQLLFLGKDLKGMGLNELRELEHQLHEGLLGIKSRKEQILMEQLEHSQKQEELALLVNDQLRREIEGFRGFYYPLSATVPAPCIEFYPTENNVSSGKDGVESRDIGSDGGFENENSDTTLQLGLATGGYRKRKTPEPETHSTSSGSHLELK >itb05g21550.t1 pep chromosome:ASM357664v1:5:27247192:27247572:1 gene:itb05g21550 transcript:itb05g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGKRIKDFMKNKFQRQGGAGVPKGHVIVYVGEEEKEYYVSSKVWDSMESLRECEEQNLRCLPYVSPQQFKIYLNHAKLVIKKRVKPYVPQVGLIEDPKPKQIPNHKVFNLLTFTAASSTHLSV >itb10g01420.t1 pep chromosome:ASM357664v1:10:1077600:1080053:-1 gene:itb10g01420 transcript:itb10g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRHSSCPVYNFLFLIFCLSIHISHGAYTISVNQSLSGDQTIVSPDGKFELGFFKPGTASNYYIGIWYKMSPQTVVWVANREIPISNKGSAELKILDGNLVLLNEFKTSIWNTSISSTSKSVTAVLHNDGNLILSDGSNSTSPLWQSFDNPTDTWLPGGKLSYNKRTRTKQLLISWRNSEDPAPGLFSLELDQANRQYLIKWNKTEQYWTSGPWNDHIFSGVPEMRSNFFYNFSYKDNHNESYFTYSLYDDSVISRFVMGLSGQIQQFSWLNNSNQWNMFWSQPKDLCQVYAYCGAFSICQNSLPFCNCLDGFEHKSDADWNRTDFSGGCVREAALGCGNNSTTNVAKDKFKIYPQMAPSKYAISMMAGSAAECESYCLGNCSCSAYAYHDSDGCSIWNRELLNLQQLSEGDGSGRTIYIRLAASEFPSDKSNNGVPVGFVVGPVAGALLVLCVIFFALRKWRGHMFGTAKIMEGSLVVFGYKELQSATKNFSEKLGGGGFGSVFKGALPDSSVIAVKKLESISQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYNFMQNGSLDSHLFSENMSTVLDWKTRFQIALGTARGLAYLHEECRDCIIHCDIKPENILLDAEFCPKVADFGLAKLVGREFSRVLTTMRGTRGYLAPEWISGVAITPKADVYSYGMMLFELISGRRNAEPSQDEKVRFFPFRAANTIATEGNILTLLDPRLQGVADIDEASRTCKVALWCIQDEEKHRPSMGQVAQILEGVLDVGFPPIPRSLELFANNQETIVFFTEPSSTNSSSHSHNTSSNSSSQTENSPS >itb04g04000.t2 pep chromosome:ASM357664v1:4:2503205:2503862:-1 gene:itb04g04000 transcript:itb04g04000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESQSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISVLCMVPW >itb04g04000.t1 pep chromosome:ASM357664v1:4:2503131:2503954:-1 gene:itb04g04000 transcript:itb04g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESQSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRDNYPAIPLTLWAIFQVFH >itb14g16890.t1 pep chromosome:ASM357664v1:14:20256058:20256456:-1 gene:itb14g16890 transcript:itb14g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIISDFLLSIILIIVNFVYFFGIIIFIWKYSRHHANFYARRQYGEVELNVVVPEARLNIERFEEESINGEEEGGENDDDCAICLAAFEKGGRSTVLCACNHKFHSDCISFWLAIRWSCPVCRTVVKVVS >itb04g11270.t1 pep chromosome:ASM357664v1:4:10855874:10863700:1 gene:itb04g11270 transcript:itb04g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGSLVRRSVSTNNASTAQVPMASMFNALRCMSTKLFIGGLSYGTDDCSLKEAFSSFGEVVEARVITDRDSGRSRGFGFVNFTEAESANEALSAMDGQQLQGRNIRVSYAQERSGSGPREGGFGGSRSGPRDGGFGGGRQIAQSGTGSPFEDESPLEEVLAAVTSEENPKEKVGFFLLELMWRTKGGLSTKLLNISVVCFCKAKQLEKAEAVIIDGVKVGVEADVVTYNTLITAYCQFVGIHEGYSVLRRMKEAGVIPDVVTYNSLIAGATKHGLLSRCLDLFEEMLDLGIFPDVWSYNTLMHCFFKSGKPDEGYRVFWDILLQGIPPCPTTFNILINGLCKNGYTENAMMLFRYLKRHAFAPQLVTYNILIHGLCKSGRPAPARRLLKELVETGHIPDTVTYTTVMKCCFSSRRFEEGLQVLADMRSKGYIFDSHAYCTVISCLLKAGRTKDAHQCLENMIMNGVNLDIVAYNTLINLYCKNRKLEYAYFLVEDAEKRGLVHDVYTHTILIDGLCKTGDIKGAENHLECMNKMGFVPNLVAFNCFINGLCKAGQIDHALHVFKWMDEKDCFTYSSMVHGLCRTGRFREASKLLLSCIRGGMKILKSDKEAVINGLRRSGFLNEARKLQSRIRVAKLLHYH >itb03g14450.t1 pep chromosome:ASM357664v1:3:14159650:14165300:1 gene:itb03g14450 transcript:itb03g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKSLVPNALGQEISESTPDGLPATCSSLLEFFHRLPQFHQIVKELTDPEMALCGKDHSAASETKLQGNECFSRGDYLKALHFYTQALRFAPTNSNDTEKNLAAMLYVNRASALHKMGLIVECLQDCDRALANFPTYAKAWFRRGKANASLGNFEDAIQDLNVSLKMEVSLSGKRQIEDELRIILDQHKLKHSSSYKSNRNESDDTMLDEPDRANMQCVSLPTKGRGMVSLADIPIGSLVHKEDPYAAIILKNCRETNCHFCFNELPMHAVPCESCSIPLYCSSQCQLVSGGKEFGKTLGKVSHHNELPDELEKYISECVSIGDSGPRTEDIAEHRHECQGVHWPAVLPSEVVLAGRVLVKFLEQQKDSSGFSNLLTVLDLCHNFVQLPPDTKLEMHIYSIILLNCLRNSYAPKLPVTGVIISQLVILLSQIRVNSMAIVRMKSLDTKGSLDEHVNALTSTLEQVKVGQAIYLAGSMFNHSCRPNAHAYFLSRTLYVRTTDSVSVGSELELSYGPQVGQWDHEDRQQILRDHYSFSCQCRGCSQLNLSDLCINAYRCAKPDCLGVVPDSTLASYVKQKLNHVPLVSSVSYPQKQVEKVENERISDVAHYAVHKADYHLKPGHCLNCDLYHDLEAARATISKAESSIRRLQDAIASNDVPVEVLSNALKASDILRSTLHLFNKRIAEVEDNIAQAMCVVGEPQAARDHCKASIKILEKLYDPNHIVIGNELIKLGSIQLQLGNCDAASATKRAAEIFLRYFGSHAIVIFPYLQRLQQEAGCLA >itb03g14450.t2 pep chromosome:ASM357664v1:3:14159650:14165300:1 gene:itb03g14450 transcript:itb03g14450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MEKLKSLVPNALGQEISESTPDGLPATCSSLLEFFHRLPQFHQIVKELTDPEMALCGKDHSAASETKLQGNECFSRGDYLKALHFYTQALRFAPTNSNDTEKNLAAMLYVNRASALHKMGLIVECLQDCDRALANFPTYAKAWFRRGKANASLGNFEDAIQDLNVSLKMEVSLSGKRQIEDELRIILDQHKLKHSSSYKSNRNESDDTMLDEPDRANMQCVSLPTKGRGMVSLADIPIGSLVHKEDPYAAIILKNCRETNCHFCFNELPMHAVPCESCSIPLYCSSQCQLVSGGKEFGKTLGKVSHHNELPDELEKYISECVSIGDSGPRTEDIAEHRHECQGVHWPAVLPSEVVLAGRVLVKFLEQQKDSSGFSNLLTVLDLCHNFVQLPPDTKLEMHIYSIILLNCLRNSYAPKLPVTGVIISQLVILLSQIRVNSMAIVRMKSLDTKGSLDEHVNALTSTLEQVKVGQAIYLAGSMFNHSCRPNAHAYFLSRTLYVRTTDSVSVGSELELSYGPQVGQWDHEDRQQILRDHYSFSCQCRGCSQLNLSDLCINAYRCAKPDCLGVVPDSTLASYVKQKLNHVPLVSSVSYPQKQVEKVENERISDVAHYAVHKADYHLKPGHCLNCDLYHDLEAARATISKAESSIRRLQDAIASNDVPVEVLSNALKASDILRSTLHLFNKRIAEVEDNIAQAMCVVGEPQAARDHCKASIKVHISSISGQLTSMALSCCQSINSIRRLGFTRFMLITYQNFVLVRILVLIADS >itb09g19200.t1 pep chromosome:ASM357664v1:9:15396893:15401904:-1 gene:itb09g19200 transcript:itb09g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVDSTNKEATGSLPINKKVKVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIALLQRAIQENGNDKFLIDGFPRNEENRAAFERVTGIQPDFVLFFDCPEEEMEKRLLSRNQGREDDNIETIRKRFKVYMESSLPVIEYYKSKEKVQKIDAAKPVNEVFNAVKAVFTPSGGK >itb10g19650.t1 pep chromosome:ASM357664v1:10:25435915:25438253:-1 gene:itb10g19650 transcript:itb10g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 11 [Source:Projected from Arabidopsis thaliana (AT5G45940) UniProtKB/Swiss-Prot;Acc:Q8LET2] MDSTGVGRSQMLINLAQRLRLYKPHHTPTEPTTNSCQNLRIQGDSAEEKPPKQAELCSESAIHPQELRSKRAAVLICLFQGENSELRVILTKRSSKLSTHSGEVALPGGKVEEGDANDIETALREAKEEIGLDPSLVDVVTVLEPFTNKRGMTVVPVVGIVWDKNSMNLVANADEVDAIFDAPLEMFLKDENRRQQEREWMGNKYLLHFFDHQAENEVYVIWALTAGILINAASIIYQRPPDFQELRPKFWSRSRQ >itb03g20660.t1 pep chromosome:ASM357664v1:3:18526697:18530205:1 gene:itb03g20660 transcript:itb03g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLHAGLVSQVSAKSELGPGEILSSYDGDLLELSSAVLQFGQVGDSGIWYLYVESTSYGSSSTSEEKRIWVAWNSWKSEIEDPHFPKLKMEEGGRLVVISTTSSNGTAEFVINAEQHAYIKNTTAVLISNGNLILLSPEGKILWESFDHPTNLWFPGMKLGWFGLKTQRPHQRFLTSWISRGSWNGITFPFLPLHSNTIGYFSDENQTYFVWNGDLDKKADPIRMYATGEISAQLDKIQCDYYGFSSEGCIRPKQSYCSSAGDWFDPMTGPVGIAWDKHLFNYTLGISECREICVKNCSCKAYGTSNPDGTGCAFSSSTAYKYVQDGGEVLYIRHQVGIKPHSKGSSSSPVPVLVPNHLTQRKPHSNRRTIIAALAVASVLIPAIILLVWQYAMDGCFSEKSDVFSFGVMVMEIVSGKRNTGFYNPDRVSNLLGYVWDLWIEGRVSTIIDLTMDKTISISEATRCIQIGLLCVQDCAADRPTMSDVVSMLGNESTILPIPKQPGFSTVMGIKCDDVGNNPKICSNNMVTISEIEGR >itb12g18780.t1 pep chromosome:ASM357664v1:12:21022224:21031951:1 gene:itb12g18780 transcript:itb12g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MDESVGDEVNEMAVVDGALLRSKESGYSSKLSEHGIQESHEVVGLDEGDHHENPAQYFIDILDGRSMDRIGSSGHASSSPRCMDDSEVMVRELTLKNYNGENLAMIGAPGNRERVQTRPHNWKTLYQIAGGSGKGSLHREATDKDQSSTLSNLFEGEEDRMFTEFLEQNQKSTNESHNLVTGNSQSNDNKSVSNDILLASGGIRTKILSKSGFSEYFIKSTLKGKGTIQRGPTTRALGREYLDHTHSDRANISLVDSSGADSVLPPTITYQDGVSLREWLKARRNKLSKAESLSIFKQIVDLVDSSHSQGIILQDLWPSCFKLLQSNQVVYLGTSMLTDPTENVMDRDVPEPEQNYLKRPLGNITHTAVSPSVKKLKLGDPVNITTRWPQFPSRSGIRSAPQNTNADFAWPRGSGSDLNEVHKHNSQSKFSSSELSRSPQPLQTSVSFMLEAKWYTSPEQLNKGGCTFSSNIYCLGVLFFELLGLFESGRSHAAAMQDLCHRILPPSFLSENPKEAGFCLWLLHPEPSSRPTTREILQSEIMGGKKESGDEMLSSIIEEDSESELLAYFFSLLKEQKQNDASKLVEEIKCIEADIQEVQKRQTKQSSVSSTSCHESLAVGRSRYVQIGGSSSTTRSKLSPVYNDDKKLKNIQQLESAYFSMRSNIRPSSNDVKIWRKGEILKNREDNFLKGVNEEMYERSDGLGGFFDGLCKYARYSKFRVRGVLRNGEFNNSANVICSLSFDRDEEYIATGGVSKKIKIYEYNALFNDSVDIHYPVLEMSNNSKLSCICWNSYIRNYLATTDYDGVVKLWDATTGQGFFNFSEHNERAWSVDFSRVDPTKLVSGSDDRLVKLWSINEKNSICTIRNNANVCCVQFSPYSGYFLSYSSADYRTYCYDLRNVSTPWRILVGHEKAVSYAKFLDAETLISASTDNTLKIWDLNKTSSNALSTDSCILTLRGHTNEKNFVGLSVADGYITCGSETNEVFAYYKSQPMPITSYKFGSIDPISGKETDDDVGQFVSSVCWRRKSNTVVAANSTGCIKLLEMV >itb08g07470.t1 pep chromosome:ASM357664v1:8:6396776:6397573:-1 gene:itb08g07470 transcript:itb08g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLAFLWWQKTSPTTTDAAAAVPGAATCPMSPAKDAATNSSSCGLVKLIRKLKRQSKMMMLCTPGSRQPAFQCRYDPLSYSLNFDAGGARSLADEDDYYFKFCAFSSRFAAIPTNIGRVSIGSHSKQFCITAY >itb05g20900.t1 pep chromosome:ASM357664v1:5:26863468:26866748:1 gene:itb05g20900 transcript:itb05g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLLSIIVLLLKIRTTKSCAGISLKTQELYVIVFLTRYLDLFTRFHSFYNTIMKLVFIGTSLSIVWYMKFHKVVKQTYSKDQDNFRHYFFIVPCFLLALLVNHEFTVMELLWTFSLYVEAIAILPQLILLQRSKNIDNLTGKYIFLLGSYRAFYIINWIYRFFLENHQYHWIPWISGFVQTALYADFFYYYIKSWKSNESLKLPG >itb15g07830.t1 pep chromosome:ASM357664v1:15:5496252:5497258:1 gene:itb15g07830 transcript:itb15g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSMEEFWGFYMSQHTKAATRRWHFTGTLCSILWLIYSVVFNWWCVVFVPVFGYGLAWYSHFFVEGNMPATFGHPYWSLLCDFKMFGLMLTGQMDREIKRLGKRPVLQPY >itb10g10920.t1 pep chromosome:ASM357664v1:10:15998442:15999800:1 gene:itb10g10920 transcript:itb10g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRRRNPQILRPEKRRQQIQKATRIQKVAHFLRSKNDNNSSDYDPKVVSLGPYHHGKPELQLFQEFKKKTLEMFVSGGDKETNFYHNVVLEAVDDLRSCYLDGSTAEYEDAEFAEMMLLDSCFILNHIMIITRFGDAASRCSITDTHIGFTGLRFIEHDMILLENQIPLRIIELLFNARYGDLTTALSISAGMDNSADEIYYPWKQLLSRYCQECLFGQYFDDDDDDNGVEPPHLLEAFRMHLVSDCDQCLSQKVDSSHESGREDHYFSGSVMDLKSKGIHFGCNGKVQSLRGVKVKAYKFYTKLELPIWYASPQSRVLFTNIIAYELCPNSDTKLEMISCVNFMKSLIVSPADAKELREKHIITNALGDDSDVVKFFKGLNTDEFENPYIFREVKRKIGRHCESKAKTLWAEFLYTYFRRPWTVIALLAATLLLVLTCIQTYFTIHSCQ >itb07g00080.t1 pep chromosome:ASM357664v1:7:71810:82332:-1 gene:itb07g00080 transcript:itb07g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRKSNDVIGGKEAQQHSHPPSALCLPAIDHGPPKRHRLPSVFALCHPPSAFPLLPAIDDRRTEAAPPKPPSSPSAICLPTSLCFPQSTTDEPKQHRRGTEAAPPPPPPSPTGCARSRTATLADLKGLAIFVDFFEMEAIYAKLYNRYTKLKKEKDSEMEKLNREQEEKFLNYVAAAEEMIEYLRSEKDKLSKQVNELKSELASIRSTKDEQLIHYQNLLMEENLKNKELSVEIERLQSLEQQRQVNNLSQDYEGENGQTNDPGGVSPHAFKSPTIKKTRKRSRQSLLVNENPVDASDAEENLDDLMKEPTNGLCKMIEAPCCRRIMDASGNEVTDAEHLICMFQDLAGCIVGMKLSPFMENEEYLISALHESSGYSFTLTWINNSSGKPELLYRVSSLGTFERIAPEWMRDVLMFSTSMCPVFFERLSRVIKA >itb02g08740.t3 pep chromosome:ASM357664v1:2:5535043:5538143:1 gene:itb02g08740 transcript:itb02g08740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDTLKFQNPVHNLFPRKRWPMLGTNCSSVSSHGGRRKGGFGRIRVAYQESAASEEVADDYYAVLGLLPDASPEQIKKAYYNCMKACHPDLSGDDEETTNFCMFINEIYEVLSDPVQRLVYDEIHGYAATAINPFFDDSSPKDHVFVDEFSCIGCKNCANVCPKVFDIEEDFGRARVYSQSGSQELIQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVCFKNLFKL >itb02g08740.t1 pep chromosome:ASM357664v1:2:5535027:5539346:1 gene:itb02g08740 transcript:itb02g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDTLKFQNPVHNLFPRKRWPMLGTNCSSVSSHGGRRKGGFGRIRVAYQESAASEEVADDYYAVLGLLPDASPEQIKKAYYNCMKACHPDLSGDDEETTNFCMFINEIYEVLSDPVQRLVYDEIHGYAATAINPFFDDSSPKDHVFVDEFSCIGCKNCANVCPKVFDIEEDFGRARVYSQSGSQELIQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGAASIDVFRMASTRWEKRQAKVLGQAKVRMTKKKGSDKTDSYWDNLWGKPRDYQSTEEEAKERAGRAAAAARRWREYSRKGVDKRPTFKLPEAASKDN >itb02g08740.t4 pep chromosome:ASM357664v1:2:5535027:5539346:1 gene:itb02g08740 transcript:itb02g08740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDTLKFQNPVHNLFPRKRWPMLGTNCSSVSSHGGRRKGGFGRIRVAYQESAASEEVADDYYAVLGLLPDASPEQIKKAYYNCMKACHPDLSGDDEETTNFCMFINEIYEVLSDPVQRLVYDEIHGYAATAINPFFDDSSPKDHVFVDEFSCIGCKNCANVCPKVFDIEEDFGRARVYSQSGSQELIQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGAASIDVFRMVLSVFPF >itb02g08740.t2 pep chromosome:ASM357664v1:2:5535043:5539143:1 gene:itb02g08740 transcript:itb02g08740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDTLKFQNPVHNLFPRKRWPMLGTNCSSVSSHGGRRKGGFGRIRVAYQESAASEEVADDYYAVLGLLPDASPEQIKKAYYNCMKACHPDLSGDDEETTNFCMFINEIYEVLSDPVQRLVYDEIHGYAATAINPFFDDSSPKDHVFVDEFSCIGCKNCANVCPKVFDIEEDFGRARVYSQSGSQELIQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGAASIDVFRMASTRWEKRQAKVLVQSHCIFHNHLSLCLNISLFQLSQIF >itb15g19600.t1 pep chromosome:ASM357664v1:15:22038126:22039550:1 gene:itb15g19600 transcript:itb15g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVELGQKQAMMKKRSSGETFENGGGTAGSKTPAAALLEWSRQPSDDHNNNAGSFRRCQVDQGNIEEKGIIVGWPPISSWRKRHLHDYQGMLNFY >itb10g24420.t2 pep chromosome:ASM357664v1:10:28256737:28259778:1 gene:itb10g24420 transcript:itb10g24420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFHQEMELYSWTAFDVYITWWFLTSMSSDKPPHLSHCRLSILPFPTMAAISTSLSFCLIFFPLTILAQTAEIPKGFFLNCGGNEEVEQKSLTFVPDDPYISVGNKSVVKQPNILLTLTTVRYFPSSKAKKYCYSLPVAKGKKLLLKTVYYYGGFDGGKEPPVFDQIIDGTKWFVIDTKADYAKGLSSYYEAIVMAHGMELTLCLARNEHTGNSSPFISAIEASYLEDTIYNSTDLHKYGLINLGRHNFGSDLDMIGFPDDPYNRLWIPFLDHNPSVLSHSHVSPAVFWNRPPKEVFTTAVTTSRGKTLTLHWPPFSLPNTYYYIVLYFQDNRTPSPYSWRTFDVKINGKTFYSKLNVTTDGVSVYSPAWPLSGNTEISLIPAQGVPVGPVINAGEIFQLFPLGGKTLTRDVAALEDLQKSMKNTPEDWNGDPCQPKDNGWTGITCNKGKSFRVVSL >itb10g24420.t1 pep chromosome:ASM357664v1:10:28256737:28260652:1 gene:itb10g24420 transcript:itb10g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFHQEMELYSWTAFDVYITWWFLTSMSSDKPPHLSHCRLSILPFPTMAAISTSLSFCLIFFPLTILAQTAEIPKGFFLNCGGNEEVEQKSLTFVPDDPYISVGNKSVVKQPNILLTLTTVRYFPSSKAKKYCYSLPVAKGKKLLLKTVYYYGGFDGGKEPPVFDQIIDGTKWFVIDTKADYAKGLSSYYEAIVMAHGMELTLCLARNEHTGNSSPFISAIEASYLEDTIYNSTDLHKYGLINLGRHNFGSDLDMIGFPDDPYNRLWIPFLDHNPSVLSHSHVSPAVFWNRPPKEVFTTAVTTSRGKTLTLHWPPFSLPNTYYYIVLYFQDNRTPSPYSWRTFDVKINGKTFYSKLNVTTDGVSVYSPAWPLSGNTEISLIPAQGVPVGPVINAGEIFQLFPLGGKTLTRDVAALEDLQKSMKNTPEDWNGDPCQPKDNGWTGITCNKGKSFRVVSLNLTGIGLSGTLPPSISKLTALSHIWLGDNKLSGKLPDLSQLKGLKSLHLENNQFEGAIPKGLGQLPKLTEVFLQNNNLNGQVPEGLKNRKNINLQV >itb10g24420.t3 pep chromosome:ASM357664v1:10:28256737:28259778:1 gene:itb10g24420 transcript:itb10g24420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIFHQEMELYSWTAFDVYITWWFLTSMSSDKPPHLSHCRLSILPFPTMAAISTSLSFCLIFFPLTILAQTAEIPKGFFLNCGGNEEVEQKSLTFVPDDPYISVGNKSVVKQPNILLTLTTVRYFPSSKAKKYCYSLPVAKGKKLLLKTVYYYGGFDGGKEPPVFDQIIDGTKWFVIDTKADYAKGLSSYYEAIVMAHGMELTLCLARNEHTGNSSPFISAIEASYLEDTIYNSTDLHKYGLINLGRHNFGSDLDMIGFPDDPYNRLWIPFLDHNPSVLSHSHVSPAVFWNRPPKEVFTTAVTTSRGKTLTLHWPPFSLPNTYYYIVLYFQDNRTPSPYSWRTFDVKINGKTFYSKLNVTTDGVSVYSPAWPLSGNTEISLIPAQGVPVGPVINAGEIFQLFPLGGKTLTRDEEHEEHA >itb12g07640.t2 pep chromosome:ASM357664v1:12:5864799:5867832:1 gene:itb12g07640 transcript:itb12g07640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPCNSQDVEIRKGPWTMEEDLILINYIANHGEGVWNSLARSAGTIYIYNTTYIYIFMCFLLLLFFFLVFCSKNPKNQKYVVFITPCFDLVLLVGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHMKPQQGGENAATRQSSSSCCGGEQNEQASTSQASAPDTVETYSPTSYNNMDATFQGTFPTESNVDNMWSMEDLWSMHLLNGD >itb12g07640.t1 pep chromosome:ASM357664v1:12:5864799:5867832:1 gene:itb12g07640 transcript:itb12g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPCNSQDVEIRKGPWTMEEDLILINYIANHGEGVWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHMKPQQGGENAATRQSSSSCCGGEQNEQASTSQASAPDTVETYSPTSYNNMDATFQGTFPTESNVDNMWSMEDLWSMHLLNGD >itb03g29910.t1 pep chromosome:ASM357664v1:3:31177947:31179157:1 gene:itb03g29910 transcript:itb03g29910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVDLRRGDYYQKCHDPDCRGYRSPLRPIPGNVIAHCSVSFDLDSSNEGREHTTVATDGNIVDSCKKEWWIEAIRFAERVESIPKSLDISGDGELGEDEDWWKAAERIATQTELAYLGKA >itb03g21030.t2 pep chromosome:ASM357664v1:3:18842667:18845409:1 gene:itb03g21030 transcript:itb03g21030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEACPLGMNVKAHKHSVVCRSSALPPAINPTQDPSFSNSRFDSISMANAWRRNQPTKILTSRNLLLFISSSLLLLIFFYLTSQSQSQSQTNNTPYLNSLKTPIFHRAINPFDCYASPQAHPVFANVVEGLKHPFLYSLSDFGNLPEKPHKNINRTLKGKAFRKPDISETVQELLEKMKNEDRNGIFVDVGANVGMASFAAAVMGFKVLAFEPVFENLQKICEGIYFNRVRDLVEVYEAAASDHLGNITFHKLVGRLDNSAISATGAKLAFQSNEEIAVQVKTIPLDDVIHESEPVLLLKIDVQGWEYHVLKGASKLLSRKKGEAPYVIYEEDERLLQASNSSAKQIREFLQTVGYTHCTQHGTDAHCTKIN >itb03g21030.t1 pep chromosome:ASM357664v1:3:18842667:18845409:1 gene:itb03g21030 transcript:itb03g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEACPLGMNVKAHKHSVVCRSSALPPAINPTQDPSFSNSRFDSISMANAWRRNQPTKILTSRNLLLFISSSLLLLIFFYLTSQSQSQSQTNNTPYLNSLKTPIFHRAINPFDCYASPQAHPVFANVVEGLKHPFLYSLSDFGNLPEKPHKNINRTLKGKAFRKPDISETVQELLEKMKNEDRNGIFVDVGANVGMASFAAAVMGFKVLAFEPVFENLQKICEGIYFNRVRDLVEVYEAAASDHLGNITFHKLVGRLDNSAISATGAKLAFQSNEEIAVQVKTIPLDDVIHESEPVLLLKIDVQGWEYHVLKGASKLLSRKKGEAPYVIYEEDERLLQASNSSAKQIREFLQTVGMKIQMEPNEGALDQNGQLSIKYGLRLPR >itb05g10280.t1 pep chromosome:ASM357664v1:5:15410801:15414601:-1 gene:itb05g10280 transcript:itb05g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTKIYPSLSDQYLLKEKEDKLNVPIEPAGDLKTPIVQGADMTSEIKLETAPADFRFPTTNQTRHCFTRYVEYHRCIAAKGDNAPECDKFAKYYRSLCPGEWIDRWNEQRENGSFPGPL >itb15g07440.t1 pep chromosome:ASM357664v1:15:5091640:5101932:1 gene:itb15g07440 transcript:itb15g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVQACLLENGGNYMKPPASRHGGHSRMAHNVSSSSLRRKSDIAAVSNVRYRIVGDVLANLHQVFLGTKLCILFVTVPLAIIAHYLNFTRDLTCVTCRQITFYTGPTVGGLLNATCGNATELIIAIFALAKHKVDVVKYSLIGSILSNLLLVLGTSLLCGGILNISSEQRFDRKQADVNSLLLLLGLICHVLPLMFQYVGQDPTLIAKSTLALSRASCVVMLLAYIGYLVFQLWTHRQFFEAEEEDGDRESEEEPVLGFWSSFVWLALMTAIVALLSEYVVATIEDASESWGLSVSFVSVILLPIVGNAAEHAGAIIFAFKNKLVPLCVIVAWMIGINMDLNFGVLEISSLALSIITVAFALQDGTSHYMKGLVLVLCYIVIGVCFFVNRGPSMKMSSCALQFSSFPNFSSFESPRRSDSTSERLGSFKLFCISSRSGSDLHSGEAKQDSIHHQREKDEARVILVEKYVLDNDSQIKSFLEEHAPEVPGSQDLNVSELELPWLPKVIKDFVLPAGYPDTVSDDYLEYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTTAAASAAAIRWVSKDGIGALGRLFIGGKFGNLFDDDPKQWRMYADFIGSAGSIFDLSTPLYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFALSDNLGDVAAKEEVWEVAAELLGLAIGIFALDTPGLSKSYLMLALTWLSMRVLHLWFRYLSLSVLQFNTINIKRARILVNSHLLHRTVPGINDCNRKENILLWERFSTPRIVFGVSMEEMVGGEKNCSMIKGLLNLYRKERYFLVVNKHQPEDFEVFISFKEGARSLSVLRSVWQTYWLYQNWGWSDDAFDQLEKSLTELDNRFPDFLRKLEDVGWDLNNLSLKIPKEISVQEVEAM >itb09g20240.t1 pep chromosome:ASM357664v1:9:16996483:16997118:1 gene:itb09g20240 transcript:itb09g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIWVANRNNPLTNSTGFMKIGEDWNIHLVDETGNSIWSSSNSNQFVPGNTVAQLLDFGNLVLRNNGGLGGDLGLEATVGMWEEPRSLEAIQSDNRPPPIFAEDSALPEVVGDDLAKVARGSSSFVAGIGDLGVESWVASLPPPSLDSRITTVKLVDGLNGGRDWTGAGLALDATRTMMGVSQMVTNP >itb13g10170.t1 pep chromosome:ASM357664v1:13:14767048:14771175:-1 gene:itb13g10170 transcript:itb13g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGCGIPNGLHGVENFEDGKDIKMSELEEGELVEMISKTELGENSAIVSKIESQETRAGTENLVNKDSGSKNQNRRKNRKKKKKNKGNKGTPGPNITDINRFVTNVCKRLREKKSYLVWNAVACLGVSALSDLVKEVEAIQACGGQKTADGRRFRIGGGILWNIIKAREPNAYKEIMKRGKEFEKQLKQVPKTPLIKQEAKDATSQNTPGTVTDKRVAIASDGSSLPSNMMCDSQEQSTSGPERQSVYNRIRMPVSYDDLFEEDVQQNAL >itb15g07480.t1 pep chromosome:ASM357664v1:15:5133389:5135761:1 gene:itb15g07480 transcript:itb15g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCFGAFESSKDRREILSQELVTNNVRLFSYNSIRSATGHFHPSNKIGGGGFGVVYKGVLRDGTCVAIKCLSAESKQGTKEFLTEINMISNIRHPNLVHLIGCCVEGSNRMLVYEYLENNSLASALLGSKGKRIYLDWPERAAICLGTASGLAFLHQEAEPHIVHRDIKASNLLLDENLHPKIGDFGLAKLFPDNVTHLSTRVAGTIGYLAPEYALLGQLTTKVDVYSFGVLLLEIISGRSSSKAAFGEDMLMLVEWTWKLKEEGRLLEIVDPELTEYPEPEAMRFIKVALFCTQTSSQQRPSMKQVIEMLSKDVNLNEKLLTEPGVYRPQSSKKAAAYASPKRGKQSVNHFALANQMHSFQSMTDMLPR >itb14g17800.t1 pep chromosome:ASM357664v1:14:20986299:20989535:1 gene:itb14g17800 transcript:itb14g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALELVVSQCKPNARVVDICEKGDVFIREQTGNMYKNVKKKIERGVAFPTCISVNNIVCHFSPLSNDATVLEEGDMVKIDMGCHIDGFIAVVAHTHVLQEGPVTGRAADVIAAANTAAEVAMRLVRPGKKNSDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVALSVSNAETRVDEAEFEENEVYSIDIVTSTGEGKPKILDEKQTTIYKRAVDKNYNLKMKASRFIYSEISQKFPLMPFTARELEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITTHPLQELNVTKPIDDPEIKAWLALPTKTKKKGGGKKKKGKKGEKAEEAAQTEPTGEDTTNGSES >itb13g13030.t1 pep chromosome:ASM357664v1:13:19488416:19489321:1 gene:itb13g13030 transcript:itb13g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] MEHGSLHDQSNATFSLTDEDHTLANALRFTLNQDPRVVVSGYSIPHPSEARVNIRVQTTGDPAREVLKDSCQDLLFMCKHTRSIFDQGVASFKNGNGLKDKKF >itb05g27340.t3 pep chromosome:ASM357664v1:5:30762500:30765170:1 gene:itb05g27340 transcript:itb05g27340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDHKKATGSPKVEVGEIDTSAPFQSVKDAVTMFGEGAFSGERNPIKKPKPHSAERVLAKETQLHLAKKELDKLKEQLKNAETTKAQALVELERAKRTVEDLTQKLKIVSDTKDSAVKATEAAKNQVRQLEDAGNSYSKANGNGSWKLDFETARGQYKAALADLDAAKQELRKMRQDYNASVDEKAAAIKQEAEADQAFKSNTEKASEISKEILAVQESIQQIKLVSSQVQEEEATICVEKDVQKQSYKSSLEESTKKIAALRKEINPDLTKNLETQLAETLSEIESLQKVMDTARSSDLDSVKAVTTELDDAKGSLHKVAEEESSLRTLLENLKLELENVKKEHSELKEKEVETESLAGNLHVKLRKAKSELEAALGEESKVRGASEEMISTLNQLRSECENAKRETEEMKTQVEVVKKEGETIKIALEEAEKKLKVALEEAEEAKTAEARALDQIKIMSERTSAARASTSESGAQITLSKDEFDSLTRKVEESEKLSEMKVAAAMAQVEAVKASENEALKRLEATQKEIDDIKAATQEALKRAEMAEAAKKAVEGELRRWREREQKKAAETASRILAETQITSEPSSPHNFRYQKHSPAAEVVTEARKHNPQEKAMQSRKLEKAKTSVSKKAAMMPNLSGMFHKRRNQVEGGSPSYLPGEKPVW >itb05g27340.t2 pep chromosome:ASM357664v1:5:30761159:30765175:1 gene:itb05g27340 transcript:itb05g27340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDHKKATGSPKVEVGEIDTSAPFQSVKDAVTMFGEGAFSGERNPIKKPKPHSAERVLAKETQLHLAKKELDKLKEQLKNAETTKAQALVELERAKRTVEDLTQKLKIVSDTKDSAVKATEAAKNQVRQLEDAGNSYSKANGNGSWKLDFETARGQYKAALADLDAAKQELRKMRQDYNASVDEKAAAIKQEAEADQAFKSNTEKASEISKEILAVQESIQQIKLVSSQVQEEEATICVEKDVQKQSYKSSLEESTKKIAALRKEINPDLTKNLETQLAETLSEIESLQKVMDTARSSDLDSVKAVTTELDDAKGSLHKVAEEESSLRTLLENLKLELENVKKEHSELKEKEVETESLAGNLHVKLRKAKSELEAALGEESKVRGASEEMISTLNQLRSECENAKRETEEMKTQVEVVKKEGETIKIALEEAEKKLKVALEEAEEAKTAEARALDQIKIMSERTSAARASTSESGAQITLSKDEFDSLTRKVEESEKLSEMKVAAAMAQVEAVKASENEALKRLEATQKEIDDIKAATQEALKRAEMAEAAKKAVEGELRRWREREQKKAAETASRILAETQITSEPSSPHNFRYQKHSPAAEVVTEARKHNPQEKAMQSRKLEKAKTSVSKKAAMMPNLSGMFHKRRNQVEGGSPSYLPGEKPVW >itb05g27340.t1 pep chromosome:ASM357664v1:5:30761157:30765175:1 gene:itb05g27340 transcript:itb05g27340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDHKKATGSPKVEVGEIDTSAPFQSVKDAVTMFGEGAFSGERNPIKKPKPHSAERVLAKETQLHLAKKELDKLKEQLKNAETTKAQALVELERAKRTVEDLTQKLKIVSDTKDSAVKATEAAKNQVRQLEDAGNSYSKANGNGSWKLDFETARGQYKAALADLDAAKQELRKMRQDYNASVDEKAAAIKQEAEADQAFKSNTEKASEISKEILAVQESIQQIKLVSSQVQEEEATICVEKDVQKQSYKSSLEESTKKIAALRKEINPDLTKNLETQLAETLSEIESLQKVMDTARSSDLDSVKAVTTELDDAKGSLHKVAEEESSLRTLLENLKLELENVKKEHSELKEKEVETESLAGNLHVKLRKAKSELEAALGEESKVRGASEEMISTLNQLRSECENAKRETEEMKTQVEVVKKEGETIKIALEEAEKKLKVALEEAEEAKTAEARALDQIKIMSERTSAARASTSESGAQITLSKDEFDSLTRKVEESEKLSEMKVAAAMAQVEAVKASENEALKRLEATQKEIDDIKAATQEALKRAEMAEAAKKAVEGELRRWREREQKKAAETASRILAETQITSEPSSPHNFRYQKHSPAAEVVTEARKHNPQEKAMQSRKLEKAKTSVSKKAAMMPNLSGMFHKRRNQVEGGSPSYLPGEKPVW >itb09g23490.t1 pep chromosome:ASM357664v1:9:22813620:22816486:1 gene:itb09g23490 transcript:itb09g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGGIAFGFLLQQSISDIVGVETGNCEAKSEEMGGKDAMATTPGLLNSTTTKFSADLSPNSLHRLDGFLRSMGVYWTSFPSQHQAKDSFSHLTRGILKVQHIHPGKLTCLLVVKPAIMNAFGGMHGGAVGSIAERVAIACARTVAGKDKELFLGELSMSYLSAATVNAEVLVHGSVIRSGRNLTVVAMEFRLKDSEKLVYISRATLYHTPVASL >itb08g01860.t1 pep chromosome:ASM357664v1:8:1499508:1500473:-1 gene:itb08g01860 transcript:itb08g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRCIGFFLTIITSLIITATGGLTASVTQRRRRRKWQCIIARAWSGGHHSQSPIQQRRWSPPRTIIFNSSFRLIFLWFFFLFVFFRSLARNLFFNLRSIVDPPLIAASLDSELSSAAPVKSFLPAGRGFSKTNLTGKNLRKEEEKIEIQTQKPRITLVMSGWICFGWHLKFCEL >itb14g15870.t1 pep chromosome:ASM357664v1:14:19201300:19202381:1 gene:itb14g15870 transcript:itb14g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGFSVDVFREAMRLIEYNLQCKFVPFVVANSSGQTEGSYTDLLKEVYLGRLDAVVGDTTITFHRLSLVDFTLPYTDPGYGTVARLQQKQGMWFFLKPMVAQLWILFIISCVCVGAIVWLIEHQINEQFQGSIAQQIGTALWFAFSSLFYAHRENLRSNLSRFVVIVWLFIVFIMTSVFTARLSSLLTAQEIQSPMDDYMGSQRGSSIHGSFVNNINFHDNRLKHYNSPEEYHEALSKGSKNGGADAIVDEIPYLNAFLTRYPSQYAIIGSETNTDGFGFVIIIHVISD >itb11g22540.t1 pep chromosome:ASM357664v1:11:24514884:24520275:-1 gene:itb11g22540 transcript:itb11g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MASQSDSPPNPVDNKPQIPESVQRTPEEHQVSNPEAPREKSSPSVFVNSEPMREDQVQNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPTPAVSSTQTTVANQEGQLKTSSNIQPQPVPAASAGTMTKVGYFSQFHWSHAVVVVGVLAASGAGTAILFKKTIIPRLKSWIRKVVLEEEAAEEGAVKGINLKPSLAEEAAVAAKAAAAAAADVARASQEMLASKTEEKKYFKELTDLLNYQVQEMKSMTTAIQRLEGPNNVSGRPPFSEQDNRKISVSSSQPSQQFYTNGKVDTDARSVRSSSPPTSVEPSDPPHPKSYMEIMEMVQRGERPSNIRDINDQPPNPHQPIPEPLVAPKPKPWEVGQTQNSSSNFIQSQGSGDASSYGVQDNNQFNGSASTPWWQRKNARVTEIESEDEQMFGGPSGVPTNERAPPRSWVPPQPPPVAMAEAAAAIRQPKKSALPKDQLTDDQLLGRSSEISDDLQRITKISESGGVGEANGVTQTPLADDVPALE >itb11g22540.t2 pep chromosome:ASM357664v1:11:24514884:24520247:-1 gene:itb11g22540 transcript:itb11g22540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MASQSDSPPNPVDNKPQIPESVQRTPEEHQVSNPEAPREKSSPSVFVNSEPMREDQVQNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPTPAVSSTQTTVANQEGQLKTSSNIQPQPVPAASAGTMTKVGYFSQFHWSHAVVVVGVLAASGAGTAILFKKTIIPRLKSWIRKVVLEEEAAEEGAVKGINLKPSLAEEAAVAAKAAAAAAADVARASQEMLASKTEEKKYFKELTDLLNYQVQEMKSMTTAIQRLEGPNNVSGRPPFSEQDNRKISVSSSQPSQQFYTNGKVDTDARSVRSSSPPTSVEPSDPPHPKSYMEIMEMVQRGERPSNIRDINDQPPNPHQPIPEPLVAPKPKPWEVGQTQNSSSNFIQSQGSGDASSYGVQDNNQFNGSASTPWWQRKNARVTEIESEDEQMFGGPSGVPTNERAPPRSWVPPQPPPVAMAEAAAAIRQPKKSALPKDQLTDDQLLGRSSEISDDLQRITKISESGGVGEANGVTQTPLADDVPALE >itb10g05540.t1 pep chromosome:ASM357664v1:10:5767982:5770836:1 gene:itb10g05540 transcript:itb10g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIGRHPHSHPSTTGLRRSSHLSATAKGSPVIRAYKDVSFETHKKKRGSNRATKLAESLSNFINLQIETASLAKRNNIVGIDDKADTPLMSPKENISEKWRELHGCKEWEGLLDPLHPWLRREIVKYGEFAQATYDALDFDYYSEYCGSCRFNPHKLFDKLSLRRSGYKVIKYVYAMSQIDMPEWLETSRLVNRWSKDSNWIGFIAVSDDEETRRIGRRDIVVAWRGTVTPTEWYENTQNKLQPVGQGEAKVEQGFLSIYTSKCESTRYNKSSASEQVMRELRNLVDFYNQRGEETSLTITGHSLGGALAVLNAYESAANFPGLPIAVISFASPRVGNIAFRDELYQMGVKTLRVTVKQDLVPRMPGIVFNESLQKFDDFTGTLEWVYTHVGAELKLDVRSSPYLKRGFNFIGIHMLETYLHLVDGFISTSSTFRSDAKRDVALVNKDCDMLVDELRIPPNWYQLANKGMERNCHGRWVRPKRDPEDIPSPTM >itb12g24620.t1 pep chromosome:ASM357664v1:12:26073922:26083232:1 gene:itb12g24620 transcript:itb12g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MNGSIRGKENEVGDNGGLTAEQKARISQKFRAAKALLARKRPRDASDHQYPQKDDNGRRSGHPDHLGGIRRIPLSEVSMNTSSPMSEKGSNSKLIDHSSSVHCLDGSYGYAVKTIRETGQHLALFRTPEKQNKSSTEQCFGSSSSNRTGLTAVSIHEKAGISVECNVGLHSCITPVRQPCFSNLSGPYLLSSMLGDDFDESILEDIDALCEHKSAESAKREKPCNNQEENQHAHKSIGKDNLGAKVNADESTMVERILTLADQVSEAKCLIGSDTDKESLNPGGSGQNLELECVLTSSRDQECKEEEPKSSEAMYIRSMPEEYAKYIRALNDKQQEAACTDISVPLIIVAGPGSGKTSTMVGRVLMLLHEGIGPSNILAMTFTTAAASEMRERIGAVTGKSAAKELTISTFHSFSLQLCRLHAEKLGRTADFLVYGQGQQRRAVIEAVRLLDNENKGTNSEFCKLNEMPDVKSPNQFKDKSKKWLKFVTQAKASGRTTEYYSKVGNKMGAAILEKYSDILKSCNALDYHDLISCSVKLITDFPEVSKDCQESWKAIVIDEFQDTSAMQYGLLLILASHKRITVIGDEDQSIFSFNGADVSGFDSFRKDFPVHKEVRLDKNYRSTRCIVEAASSLIQHNLRRCWSKHVLTDNSSGHKITIKECCNEDAQCAFVIDKILGIISDNSSAKSSFGSIAILYRRQVSGKIFQVAFRDRKIPFNIHGVAFYRKKVIRAIIAMLRTTLPGCDSASYRRIFKALLPFDKDEKKKIIEHIEKVSAIRNCSFLSAASDIFGAKISGTFKRRQLTQGRKVLITLDMISKLVHREQSISAVITSVANMIPQKYLLEQRAVVDVDGRKLLNEDNDLRSVLQYLLDDVSDFLRTQTNTVEGESDCIKEGKGCVNVLKSFIDYISERETENFRARRLENKDSVTLTTIHQSKGLEWDTVFIVKANDSEIPLLHEFNGITNENANSLEEERRLLYVAMTRAKKKLFILHVLMDSNWQVLQPSRFLKEIPRHLLEVQEEENLRGSKTTNQQQMDEKPQIGSPKKMLSSDDNPRLYDSSNDPVDEAPKDSIETCNSNNFLRRFSTEDRAVVSHLFHKWAKKPAFQDPKRLLSKVGFVIDERLRGKKISHKDVLCALKSDLGCEEAFHYAEYVLKWEQIPADKRAYLMREKQEHFQKLRVENSMSSSEPTPKQIAYLQSLGCTVAPTSRLHASRLIEEYKSL >itb08g00580.t1 pep chromosome:ASM357664v1:8:455672:458542:-1 gene:itb08g00580 transcript:itb08g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMSRATVSSSMSMTFSRQLKRFAPTLLIHRTARNFSVKMATESPTRSLIHTLNLPSQLDQPVSVVAAPGLSDTQFKNAIESSLFKQWLKNIQTETGLLANGSMSLTQVLIQGVDMFGKRVGFLKFKADIIDKETGQKVPGIVFARGPAVAVLIILESEGENYVVLTEQVRVPVGRLVLELPAGMLDDDNGDFAGTAVREVEEETGIHLNAQDMIDLTALLDPSTGCRVFPSPGACDEEISLFLYRGNVSKEKIRQLQGKETGLRDHGELIKVHVVPYEKLWRTTADAKTLTAIALYEMAKRDGLLPSSRT >itb08g00580.t2 pep chromosome:ASM357664v1:8:455672:458538:-1 gene:itb08g00580 transcript:itb08g00580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLMSRATVSSSMSMTFSRQLKRFAPTLLIHRTARNFSVKMATESPTRSLIHTLNLPSQLDQPVSVVAAPGLSDTQFKNAIESSLFKQWLKNIQTETGLLANGSMSLTQVLIQGVDMFGKRVGFLKFKADIIDKETGQKVPGIVFARGPAVAVLIILESEGENYVVLTEQVRVPVGRLVLELPAGMLDDDNGDFAGTAVREVEEETGIHLNAQDMIDLTALLDPSTGCRVFPSPRECE >itb03g07630.t1 pep chromosome:ASM357664v1:3:5655924:5657659:-1 gene:itb03g07630 transcript:itb03g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGSSQQQKDMRILHNSIGAGYPSSLDRRNNGLINQINHPMQTLDQLQDEAANPDPDPGVLPIGPANSAAVAPPQIPPETSGNGSGNSSVRYKACLKNHAASMGGHVIDGCGEFMPSGEDGTPESLKCAACDCHRNFHRKEIDGEAPPLPPPPYFPFTPPINNNNNHHRVHTPAAMPPRNLHHYTHGVAPPVMVAFGGGAAAESSSEDLNLFNHARGQVLHNPSPFSVSRKRFRTKFTQQQKDRMHEFAEKLGWKIQKQDEQQVLQFCSEVGIKRQVFKVWMHNNKQNFKKRQM >itb03g20130.t1 pep chromosome:ASM357664v1:3:18038975:18044964:1 gene:itb03g20130 transcript:itb03g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWQMKEAEQKHVISRAPLQESRNQNSVSRILSRCDGRMEEAKRRRGRRKGKGVQMEKMLEIGGNNNNNNNPPSSSSSDRRLSFPTRPGYGQLGTKCIVKANHFVAELSDRNLSQYTVKFSPEINSTRLNKAIMTELVKRHKETELGNRLPVYDGRRMLYTAGLLPFNTKDFIITLADDDEWSGITKERRFTVTIKFSAQADMAQLHNFLAGKQADAPFQALKIFDVVLRELASRRYISVGRFFYSPSIKKPQALGNGLQSWRGFYQSIKPTQMGLSLNIDMSTTAFIEPLPVVEFVAQVLGRDISSRPLSDADRVKVKKALRGVKVEVTHRGNIRRKYRISGLTSQPTRELIFPVDEEKNMKSVIEYFQEMYGYTIQYPHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKRLDEKQITSLLKSSCQRPREQELDILQTIKQNGYKEDPVAKEFGINIDDKLASVEARVLPAPWLKYHDSGKEKECRPQQGQWNMINKKVINGSTVNHWACINFSRNVQENAARGFCQQLAQMCQVSGMEFNSEPVIPIYSAKPDQVKKALNYVYNVAANKPGGKELELLIAILPDNNGPLYGTLKRICETDLGLISQCCLTKHVLKISKQYLSNVSLKINVKMGGRNTVLLDALRWKVPLVSDIPTIIFGADVTHPESGEDCSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYRTWHDPQWGKVSGGMIRELLLSFKKATGQKPLRIIFYRDGVSDGQFYQVLLFELDAIRKACASLEPGYQPLVTFIVVQKRHHTRLFANNHNDRNHMDRSGNVLPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYVDNDAHENGSNSSMRCTRTTNGSGVRPLPALKEKVKNVMFYC >itb03g20130.t2 pep chromosome:ASM357664v1:3:18040529:18044964:1 gene:itb03g20130 transcript:itb03g20130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLNIDMSTTAFIEPLPVVEFVAQVLGRDISSRPLSDADRVKVKKALRGVKVEVTHRGNIRRKYRISGLTSQPTRELIFPVDEEKNMKSVIEYFQEMYGYTIQYPHLPCLQVGSQRKVNYLPMEACKIVEGQRYTKRLDEKQITSLLKSSCQRPREQELDILQTIKQNGYKEDPVAKEFGINIDDKLASVEARVLPAPWLKYHDSGKEKECRPQQGQWNMINKKVINGSTVNHWACINFSRNVQENAARGFCQQLAQMCQVSGMEFNSEPVIPIYSAKPDQVKKALNYVYNVAANKPGGKELELLIAILPDNNGPLYGTLKRICETDLGLISQCCLTKHVLKISKQYLSNVSLKINVKMGGRNTVLLDALRWKVPLVSDIPTIIFGADVTHPESGEDCSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYRTWHDPQWGKVSGGMIRELLLSFKKATGQKPLRIIFYRDGVSDGQFYQVLLFELDAIRKACASLEPGYQPLVTFIVVQKRHHTRLFANNHNDRNHMDRSGNVLPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYVDNDAHENGSNSSMRCTRTTNGSGVRPLPALKEKVKNVMFYC >itb02g04810.t1 pep chromosome:ASM357664v1:2:2836848:2843526:-1 gene:itb02g04810 transcript:itb02g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLPPPRTGKEQQAAGVGILVQIMMLLLSFVLGHVLRRRRFYYLPEASASLLIGFSLSPKPFFSNFGAIVTFAIFGTFIASIVTGVLVYIGGVIYLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDALHAGRRAWSLRYCIYIVHRNCDETLYLLKFVTQFSAILNLVRPAHRRIPPKHQKALWYSGLRGAMAFALALQAVHDLPEGHGQTIFTATITIVVLTVLINGGSTGAMLEALDVVGDNHDVSLELSFEGSNGYVSPGDLDSSPGSRTKMKLKEFHGSTTAFVALDRKYLTPFFTTQSRDEDREDEPLNNPSRGYS >itb01g24830.t1 pep chromosome:ASM357664v1:1:30353221:30353595:-1 gene:itb01g24830 transcript:itb01g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPFLERKTHKKVRFVYAENPESKKIMDELFEKEKLEMAFGGSCSQGFDYKTHSQRMKEDDKKMMEFIKSGAPLPSDQNVQNQAEPVSPESPSGGLYDDDDSSSSNEEDDDNSPSSIHHIRFN >itb01g29210.t1 pep chromosome:ASM357664v1:1:33500635:33511806:-1 gene:itb01g29210 transcript:itb01g29210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKFCCECNNILYPKEDKARKILLYACRNCDHQEVADNNYVYRNVVEHSVDELTQVLQDVTEDPTLPRTKAVRCAECGHGEAVFFQEPRTKSDSGTGTKAKMSTMKFCCECNNILYPKEDKPRKILLYACRNCDHQEVADKNCVYKNKILHSVGERTQVLEDVTADPTLPRTKSVRCAECGHGEAVFFQAASRGEEAITLFFVCCNPNSDRDEDAESSAAAAVLVIFFFCFFAGGIPINDSAVKNGCGGDDVDEEDEVDDSVSDGKPLSRARTPVLARLLLASVWTGVPKFQLEPGSCFPAATRRQFSGGNTSIGSLAGAAVASASGSQKSQVWFFLILARYHRAVCVLGAEVVREANFITMDKDKRGNSVNRVTPLVLQPVARVRNSLIPGTVVIAYDATKDRSENEFYQTIRDIRMRGDILHPGDTIVVLGVLHKVLHPMGYHLQVVNTESMFGAPLRAMEEEVAKKADLYVNMLMQSAEECESLGVDIQVKIVAGAPKKVIVHEVKILDVTWVVLDRNFGRDLHFYLNHVPCKVALQADNLFVEVRRNVRITNIENTEYKDIHSVSKSKPVPLTPISNVESSKQSVTSHNSDSATISSTENSDLPNKNLQSSLPHNSQENSFSLQDDLGSSVKQVRSGRYAKEENKYPSHSLVVSKQRKEPSQHRSFNAPILCTACGMTTELYINDSMRFSFSEIQLATGDFSKDNLLGEGGYGHVYKGQLKDGQLIAAKVRKEASTQGFSEFHSEVYVLSFARHKNIVMLLGYCCKENVNILVYEYICNKSLEWHLFDNTENILEWHQRYSIAIGTAKGLRFLHEECRGSPIIHRDMRPGNILLTHDFVPMLGDFGLAKWKTNEENVQTRILGSLGYLAPEYAENGIVSVRTDVYSFGIVLIQLISGRKVVDSTRDDSQQSLKQWAIPLIERLALHELIDPRIEDSYDPFEVYHMARTAFLCVQTNPEMRPSMAEVIRLLRGELEDTHHIAEQFIPHFAPHGRKS >itb10g00490.t1 pep chromosome:ASM357664v1:10:329444:331602:1 gene:itb10g00490 transcript:itb10g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTRWLSRKLNPPLTYLIFSVRAFASGNPISSSSQAKPYCVDNAIGISLLLSSCGREGNLRLGSCIHAFTMKNPGPFDPQNQTATRVLNSLLTMYARCGELLDAVKVFEDMPVRDTVSWNSIISGFINNGAFEMGLGYFKELLSLGFGWFDHASLTTILSACDRAQFLMVNKMMHGLVFLSGYEREVSVGNALVTSYFRCGSPDSGRRVFDEMVQRNVITWTAIISGLAQNDFYEESLKLFDKFRCGSDVPNHLTYLSAILACSGMQALKKGCQIHAIVWKLGFQSNLCMESALMDMYSKCGSIEDAWQIFESAEVLDTVSLTVILVGFSQNGFEEEALQIFIKIIKSGIDIDPNVVSAILGVFGSDTLLGLGKQVHSLIIKKGFLPNPFVSNGLINMYSKCGELQESVKVFDQMPQRNPVSWNSLIAAFARHGNGFRALKLYEEMRSNGVEPTDVTFLSLLHACSHVGLVEKGMQFFESMQTVYGMVPRMEHYASVVDMLGRSGLLNEAKCFIEGLPTKPDVLVWQALLGACSIHGDTDMGKYAAEQWLLASPDSPIPYVLLANIHSSKRQWKERAIIIKKMKETGITKETGISWIEIEKKVHNFVVADQMHPQCDSIYGTLLELYRHIGDEGYVPDKRKLCYIDHDE >itb12g08880.t2 pep chromosome:ASM357664v1:12:6947582:6952410:-1 gene:itb12g08880 transcript:itb12g08880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MAVSWSGAKEGDNVLDVCCGSGDLAFLLSEKVGPYGKVVGLDFSKEQLLIASSRQNLRSKNCYKNIKWIEGNALDLPFADSYFDAVTIGYGLRNVPDVNKTMAEIHRVLKPGSKVSVLDFNKSVKPLATSIQEWMIDNVVVPVASGYGLADEYKYLKTSIKDFLTGRELEKLGLETGFSTAKHYEIGLGLMGCLVATR >itb12g08880.t1 pep chromosome:ASM357664v1:12:6947582:6952495:-1 gene:itb12g08880 transcript:itb12g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MASLQLILPSRPASTFRPRRRLIQCTADRQALFSRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKEGDNVLDVCCGSGDLAFLLSEKVGPYGKVVGLDFSKEQLLIASSRQNLRSKNCYKNIKWIEGNALDLPFADSYFDAVTIGYGLRNVPDVNKTMAEIHRVLKPGSKVSVLDFNKSVKPLATSIQEWMIDNVVVPVASGYGLADEYKYLKTSIKDFLTGRELEKLGLETGFSTAKHYEIGLGLMGCLVATR >itb09g29350.t1 pep chromosome:ASM357664v1:9:30051010:30058995:-1 gene:itb09g29350 transcript:itb09g29350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMESVELPNRLAILPFRNKLLLPGAVIRIRCTSPSSVKLVEQELWQKEDKGVIGILLVQDSAETSTVDPALSPECTLKNQASISDSNHHDGKRLQQVHWHKWGVAARALHLSRGVERPSGRVTYTVVLEGLCRFRVQEISTRGTYHTARITSLELTKAEMEQVEQDQEFIVLSRQFKATAMELISVLEQKQKTGGRTKNLLETVPVHKLADIFVASFEISFEEQLSMLDAVDVKGRLSKAIELVDRHLQSIRVAEKITQKVEGNLSKSQKEFLLRQQMKAIKEELGDNEDEEDDLTALERKMLGAGMPVNVWKHARRELRSLKKMQPQQPGYNSSRVYLELLADLPWQKTSEEHELDLKAAKERLDSEHYGLFKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSVATALGREFVRISLGGVKDEADIRGHRRTYVGSMPGRLIDGIKRVGVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNAPFDLSKVTFVATANKIQPIPPALLDRMEVIELPGYTPEEKLKIAMLHLIPRVLDQNGLSSDLLQIPEGVVRLIIQKYTREAGVRNLERKLASLARAAAVQVVEQEHAMPLSKDVQRLTNPLLDSKLAVEAEVEMEIIPMAANNHHISNALGTSLPLIVDETMLEKVLGPPRYGDRETAERVNTPGVAIGLVWTAFGGEVQFVEATSMVGRGDLHLTGQLGDVIKESAQIALTWVRARATQLKLSMAEEHDLLGGRDIHIHFPAGAIPKDGPSAGVTLVTSLVSLFNQRRVRADTAMTGEITLSGLVLAVGGIKDKVLAAHRHGIKRLILPNSNLKDLVEVPASILSSLEIIPAKRVEDVVEQALEGGCPWRICSKL >itb09g29350.t2 pep chromosome:ASM357664v1:9:30051010:30058995:-1 gene:itb09g29350 transcript:itb09g29350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMESVELPNRLAILPFRNKLLLPGAVIRIRCTSPSSVKLVEQELWQKEDKGVIGILLVQDSAETSTVDPALSPGVGTDSVECTLKNQASISDSNHHDGKRLQQVHWHKWGVAARALHLSRGVERPSGRVTYTVVLEGLCRFRVQEISTRGTYHTARITSLELTKAEMEQVEQDQEFIVLSRQFKATAMELISVLEQKQKTGGRTKNLLETVPVHKLADIFVASFEISFEEQLSMLDAVDVKGRLSKAIELVDRHLQSIRVAEKITQKVEGNLSKSQKEFLLRQQMKAIKEELGDNEDEEDDLTALERKMLGAGMPVNVWKHARRELRSLKKMQPQQPGYNSSRVYLELLADLPWQKTSEEHELDLKAAKERLDSEHYGLFKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSVATALGREFVRISLGGVKDEADIRGHRRTYVGSMPGRLIDGIKRVGVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNAPFDLSKVTFVATANKIQPIPPALLDRMEVIELPGYTPEEKLKIAMLHLIPRVLDQNGLSSDLLQIPEGVVRLIIQKYTREAGVRNLERKLASLARAAAVQVVEQEHAMPLSKDVQRLTNPLLDSKLAVEAEVEMEIIPMAANNHHISNALGTSLPLIVDETMLEKVLGPPRYGDRETAERVNTPGVAIGLVWTAFGGEVQFVEATSMVGRGDLHLTGQLGDVIKESAQIALTWVRARATQLKLSMAEEHDLLGGRDIHIHFPAGAIPKDGPSAGVTLVTSLVSLFNQRRVRADTAMTGEITLSGLVLAVGGIKDKVLAAHRHGIKRLILPNSNLKDLVEVPASILSSLEIIPAKRVEDVVEQALEGGCPWRICSKL >itb11g20030.t1 pep chromosome:ASM357664v1:11:20978498:20983209:1 gene:itb11g20030 transcript:itb11g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLELIHKFLNLVAPPFTFFSLLFLWPPFEVYKFFISILGSVFAEDVAGKVVIITGASSGIGEHLAYEYAKRGARLVLAARRKVSLQEVANRAVELGSPDAIAIQADVSNLDDCRRIVDQTISRFGCLDHLVNNAGMATFSLFEDVEDVTSLRSVMDINFWGSVYMTHLAAPHLRRNNGRIVVISSSAGWLSSPRMSLYNASKAAMSQFFETLRIELGPDIKITLVTPGFIESELTQGKHLNKAGRQEVSTDMRDVQVNIIPVGKVDACAKAIVNSACRGERYLTEPAWFKVTRWWKEFFPEVVEYTNLLLYMSSPGSPPQEALGKKILDSTGCQKIIYPETIINDQGPKTN >itb10g05600.t1 pep chromosome:ASM357664v1:10:5813677:5815550:1 gene:itb10g05600 transcript:itb10g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAFLLTIFLLSIGAWAPLCSCADTILECLNDRSDPTHPISPVIYTPNNGSFSSVLQEYIRNLRFNESYTKKPLFIVTATHLSHIQASILCAKEHGLQMKARSGGHDYEGVSYVSDVPFFILDMFNFRSVNVSIQDETAWVEAGATLGEVCYGIANKSNVHGFPAGVCPTVGVGGHISGGGYGNMMRKYGLTVDNVIDAKMIDVNGRLLDRKSMGEDLFWAITGGGASSFGVVLSYRINLVRVPPKVTVFLVRRTYYQNATNIVYRHQRVAHKLDPNLFIRLTIDVVNSTTQPGQKTIRATFNALFLGDSETLLSTMNESFPELGLTQSDCTEMSWIESVLFYTSFPSGTPVEELLSRVPQVLDHLKRKSDYLQTPMPRKGIEFIFNKMVELQSPMLTFNPYGGRMAEIPSTAKPFPHRAGNIAKIQYATNWDEDGYEAAQHYLEITRQLYEYMTPFVSKNPREAFLNYRDLDLGINHNKGGRRSYLEGKTYGLKYFKENYNRLVKIKTKVDPDNFFRNQQSIPTFPAWRK >itb06g10400.t1 pep chromosome:ASM357664v1:6:14846888:14850517:-1 gene:itb06g10400 transcript:itb06g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALLNIEVLYLGSNMFFGVIPDSISNCSQLTTIDLAKNHFSGTIPTSLGNLRLLNTLFINENMLTNDAASPELSIINFLVNCIYLEEVYLDDNPLDAILPSSIGNFSSSLQVLSMPSCGLKEIIPNQLGNLSSLIRLDLGSNNLVGYIPPMLGRVSKLQGLYLSNNKLSGSIPNSLCDLHYLYELQLSNNQLSGSLLKCFGNSTSLRKIYLESNRLTSRIPSSLCYLKDLLVLDVSSNFLDGFIPNDVEGLKALSLLNMSHNQISGNIPVTLGQLQNLVSLSLEHNKLEGSIPKQISQIVSLESLDLSLNKLSGSIPVSLERLAYLKYFNVSFNDLSGEIPSAGCFKNFSRESFMYNKELCGNLSILQYNDAQRHATKDAFIAELNVATIINEPTCARWNLEWGRRGTPQIEVTFEVDANGILNVKAEDEASGRSEKITITNDKGRLSQEEIKQMGAYLPFQAASNPQRIIFYVKRLIGRKFEDKEVQRDMKLVPYKIVDN >itb01g12200.t2 pep chromosome:ASM357664v1:1:11622164:11626559:1 gene:itb01g12200 transcript:itb01g12200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENEKGREDLEHHQNDISIHHGDKGPTPSSTNDEHVSVAPPPQKEVRQPPSDMDMMKERFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSKLQPMAPDRKARWKKEIMVTQKRRDLLMNIPALKKLDTMLLDCLDNCKDQNEFWYVSKDADESEKGVQKNAKWWLPTVKVPPNGLSEASRKWLQYQKDCVNQVLKASMAINAQILSEMEIPESYIESLPKNGRSSLGDYIYKSITVEFFDPEQFLSTMDLSSEHKILDLKNKIEASIVIWKRKMNQKEVKSSWSSGVSLEKREQFEERAETILLLLKHRFPGLPQSSLDISKIQYNKDVGHSCLESYSRVLESLANTVMSRIEDVLYADSLTQEPPPSECGNPDSLEDAAEDAGMGDTNSMTLLDFMGWNLESGGGDAETKNNNSLDSNEETDDKSPMTKPLTANSKKISYVDKIEGGMVSPTARH >itb01g12200.t1 pep chromosome:ASM357664v1:1:11621783:11626741:1 gene:itb01g12200 transcript:itb01g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENEKGREDLEHHQNDISIHHGDKGPTPSSTNDEHVSVAPPPQKEVRQPPSDMDMMKERFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSKLQPMAPDRKARWKKEVDWLLSVTDYIVELVPAEQKGKDGKIMEIMVTQKRRDLLMNIPALKKLDTMLLDCLDNCKDQNEFWYVSKDADESEKGVQKNAKWWLPTVKVPPNGLSEASRKWLQYQKDCVNQVLKASMAINAQILSEMEIPESYIESLPKNGRSSLGDYIYKSITVEFFDPEQFLSTMDLSSEHKILDLKNKIEASIVIWKRKMNQKEVKSSWSSGVSLEKREQFEERAETILLLLKHRFPGLPQSSLDISKIQYNKDVGHSCLESYSRVLESLANTVMSRIEDVLYADSLTQEPPPSECGNPDSLEDAAEDAGMGDTNSMTLLDFMGWNLESGGGDAETKNNNSLDSNEETDDKSPMTKPLTANSKKISYVDKIEGGMVSPTARH >itb03g15720.t1 pep chromosome:ASM357664v1:3:14974503:14977763:-1 gene:itb03g15720 transcript:itb03g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGITCCARASLPPNLVSPQHSAALASPRSISASFTNKNVVQALKPSSLFGESLRISPKSTQRVSRRAKNSSSLVAKCELGDSLEEFLTKSTQDKGLIRLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDLLANKLLFEALTYSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIFGPRTTYVLALKDIPGTHEFLLLDEGKWQHVKDTTEIGEGKMFSPGNLRATSDNPDYAKLIDYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVITNLDDRTQVAYGSKNEIIRFEETLYGSSRLKSAAPVGAAA >itb04g27820.t1 pep chromosome:ASM357664v1:4:31735571:31742666:-1 gene:itb04g27820 transcript:itb04g27820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVDPDFSLHIVAIPFPGRGHVNPLLNLCKIIAMARPEFLITFVVTEEWLGFLSSDYDDDAKPENLRFGAIHNVIPSELIRAKVYTDFQEAVYTEMEAPVDRLLDSLAASVIIYDLLLWWVVGIGSRRNIPVASFWPQSPTMFSLAYLYQLLLVNGHVDADLAEKGDEMVDYIPGVSSMLVKDLPHIFHAKPPGPAMRPHLLRAFSAAHKAQYLLFTSVAELESTAIEALRPKLQTPIYSIGSAIPYFNTNYTDQSCGPDYLTWLDAQPACSVLYISQGSFLSLSAEQLEEIVAGVQDSGVRFFWVARENTERLRERGGKKGLIVFWFSRFHLTYAGSCYNFKETNAKILCHPDSHIILDSHIIWIIVSHEHYREIARLETRHAEILASQGVSTSDSDPDDDSFEFGSLAVH >itb15g20440.t1 pep chromosome:ASM357664v1:15:23073428:23075407:-1 gene:itb15g20440 transcript:itb15g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLSTAEDDSASEIHLPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAAAQIPCRKMAVSILKTEGCRGFYRGFGTSLTGTIPARALYMGALEMTKSNVGTATVRLGFSDAAASAIANAAAGLSAAMAAQLVWTPIDVVSQRLMVQGSHSNSSSNSNSNSVGLKRYNGGIDAFRKIICSYGVRGLYRGFGISIVTYAPSNAVWWASYSVAHRLLWGCIGCHGCKKDDGGYRPDGKAVVAVQGVSATLASGVSALVTMPLDTIKTRLQVLDGDGTERRAPTVRQTVRNLVKEGGFSACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQPGISHCVDRGYKNS >itb02g02700.t1 pep chromosome:ASM357664v1:2:1565392:1579660:-1 gene:itb02g02700 transcript:itb02g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKDAARRELLDRWRGIEEEDDDADGDFGAHKRRRLHQLKEEWFSDAFKFLIHLPKLDHIWCCSWDLMGPLLETFYNYYKDEHRDSPLKLLWNRVSEELRHCTQCICQYHQAQEMYNTEYESSSIGPLLDVLRTLDEERISQHLKEINARIAHGDSVLGNDNGEIVSVMFEVLMFPILLDDDSLANEFQIFIEAVDDSHELTLGGHQQYPGVYALLFLKNRRARSIGFRLAGQMNRLRQSIDLDPLQPLLKRYISFLETEISSPPQTSRPRVHLERLTVWLGIKALLGFLEPPAFEEGILDRYPIFLSLVLNHISDDSPEFSYAVNCLRLLFEMLGYKLWLRTTLSPSVMRNTLLGQSFHTRNEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVTASSNFSILMRKKACQIAFLIVHRGYKMNPPNPPYECAHMWGPSLVSSLKDLSLHSSLRQPAFDLIQTIIVSDASAMVASILNSQLHASNERGMPTNFDEDDDEGNLLDHDIEEKDATCWSEFCVQSKMTSSLYGSWMCIPMLWFEVLVEIDPLVLPVSIAKAVFWALSRLAMVEPENSSERSLSLENWLKTCTSEISHAFGWKVPSGSNDGGDGMVSKNSVNVSTVCKPLVKTFKRFSVDYISRMERGELRKQWTWEPMMGNSLILLLVDPNDNARHVARCILEQVSDTRGLTCGLQFLCSSPSSLAAIFVGLRHALKLVQLDSVLSDFQSLHHLFFVFSKLLREGNSSAKPVVGSSSEMSNISNFSSQGGFLKQPVFDEQPGKVIGQSSVSYALSEKFSCLLSEIAWLAIGKCLSEGKANMDHKASQMTCIRILEILPVILEKLHGNLAMVFNSAIGLKWLCDLIDWGKSSLQVVVRYWKQTFISLLGLLKGFCSGISALAIVDIEKLISCDKTPIEELTEQVARLSVSLMNKGSFDVKKTNVSREHSSFKKLLPSGDCSAANAQASSVDVKKLHVSDTDVLAGKVGGDLIVLSDDENEPETPIEHSSFKKLLPSGDSSASDAQATSVAVKKLLVSDSDVLVGKEGANSIVLSDDNNEPKTSISKDVNSYFGSSQTLFDDKVVSANADGQVVYPGPVKATNSRIDKAMKPVVASKPGPESDMVEGGTAAFIKSKVIHEKRKGVDTKLLREHTKDLMTQPSSTWKDSSDESMIFKTKEQKDNKEAIETGVTVLQELVQDSEIDLEFGFSKLGRRRQTLTIKPSISGPKRQVIQLDLPVKNRSSLFRVDGRVKRFKSARLDDWFRAILELDFFATVGLSVTSEEDDQKFNKLKQVPVCFESAEEYIEIFRPLLVEEFKAQLLSSFQEATSVEEMSCGSLSIMSVERIDDFHIIRCVRDDFDNTGSKSCLENDLILLTRQPLQNSAPDVHIVGKVERCEKDNKKRSSIIVVRLYLQNKASHLNKARKLLVARSKWCISRLMSITPQLREFQALSSIKEIPLLPVILNPTNHGHSAICSNNLSKLSRPLHQVFKMEYNESQQEAISSAIGPFDLKKDFELSLIQGPPGTGKTKTILAIVSGLLSFCKMKDTRTLSAAPKPTSLSSSTSRPHISNAAAIARAWQDAAFARQLKEDVDKDKDNMGSCSRGRILICAQSNAAVDELVSRITREGLYNRDGTIYKPYLVRVGNAKTVHSNSLPFFIDTLVDNRMAEEKMNVNDAKNDMSKDRVTVLRSNLEKLADTIRSYEAKRANLREGNSDSKSLFEGEACNADGMKELSGAELEARLRVLYGKKKEMYTDLAAIQARERKANEETKALRHKLRKAILKEAEIVVTTLSGCGGDLYGVCSESVSGQRFSSSSESVLFDAVVVDEAAQALEPAALIPLQLLKSNGTRCVMVGDPKQLPATVLSNIATKYFFQCSMFERLQRAGHPVVMLTEQYRMHPEISRFPSLHFYNGKLLNGNLMSTKSAPFHETNGLGPYVFFDVVDGKELHGKNSGTQSLYNECEVDAAVELLKFFKRRYASEFVGGRIGIITPYKCQLSLLRSRFSSAFGSSVTAEMEFNTVDGFQGREVDILIFSTVRAAGACSTDQGYSSKIGFVADVRRMNVALTRAKLSLWIFGNARTLQTNRSWSALVKDAKERNVIISARKPYSSIFKSTSKENRTSENPETHLKIQTHTEMVDDMNDGADQRKKTLNSKFDRKRRHTDIGPPTNVAAYNSKHDAKIKKRRATDNCDSFKKDLVSAAVENSDVRNCKPANSTVGENHGETSETLEKKPHKKQVNNDKADKQGARYSDVRNCKPAQSAVGGNQVQTSETWGKKTCDKQINKEKADKQRDKSTDKLGSSLEDMEKVRDKGLKHSTLIASKRYKEPSDNASPKGTDGKYDNGVRTQVEKATVSERKQKRDAVEALLSSSLISSNKPKSSIKSLPAKRNSAANTEGPGIKPSKTRKV >itb02g02700.t2 pep chromosome:ASM357664v1:2:1565392:1579660:-1 gene:itb02g02700 transcript:itb02g02700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKDAARRELLDRWRGIEEEDDDADGDFGAHKRRRLHQLKEEWFSDAFKFLIHLPKLDHIWCCSWDLMGPLLETFYNYYKDEHRDSPLKLLWNRVSEELRHCTQCICQYHQAQEMYNTEYESSSIGPLLDVLRTLDEERISQHLKEINARIAHGDSVLGNDNGEIVSVMFEVLMFPILLDDDSLANEFQIFIEAVDDSHELTLGGHQQYPGVYALLFLKNRRARSIGFRLAGQMNRLRQSIDLDPLQPLLKRYISFLETEISSPPQTSRPRVHLERLTVWLGIKALLGFLEPPAFEEGILDRYPIFLSLVLNHISDDSPEFSYAVNCLRLLFEMLGYKLWLRTTLSPSVMRNTLLGQSFHTRNEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRHFLYFLLHQVTASSNFSILMRKKACQIAFLIVHRGYKMNPPNPPYECAHMWGPSLVSSLKDLSLHSSLRQPAFDLIQTIIVSDASAMVASILNSQLHASNERGMPTNFDEDDDEGNLLDHDIEEKDATCWSEFCVQSKMTSSLYGSWMCIPMLWFEVLVEIDPLVLPVSIAKAVFWALSRLAMVEPENSSERSLSLENWLKTCTSEISHAFGWKVPSGSNDGGDGMVSKNSVNVSTVCKPLVKTFKRFSVDYISRMERGELRKQWTWEPMMGNSLILLLVDPNDNARHVARCILEQVSDTRGLTCGLQFLCSSPSSLAAIFVGLRHALKLVQLDSVLSDFQSLHHLFFVFSKLLREGNSSAKPVVGSSSEMSNISNFSSQGGFLKQPVFDEQPGKVIGQSSVSYALSEKFSCLLSEIAWLAIGKCLSEGKANMDHKASQMTCIRILEILPVILEKLHGNLAMVFNSAIGLKWLCDLIDWGKSSLQVVVRYWKQTFISLLGLLKGFCSGISALAIVDIEKLISCDKTPIEELTEQVARLSVSLMNKGSFDVKKTNVSREHSSFKKLLPSGDCSAANAQASSVDVKKLHVSDTDVLAGKVGGDLIVLSDDENEPETPIEHSSFKKLLPSGDSSASDAQATSVAVKKLLVSDSDVLVGKEGANSIVLSDDNNEPKTSISKDVNSYFGSSQTLFDDKVVSANADGQVVYPGPVKATNSRIDKAMKPVVASKPGPESDMVEGGTAAFIKSKVIHEKRKGVDTKLLREHTKDLMTQPSSTWKDSSDESMIFKTKEQKDNKEAIETGVTVLQELVQDSEIDLEFGFSKLGRRRQTLTIKPSISGPKRQVIQLDLPVKNRSSLFRVDGRVKRFKSARLDDWFRAILELDFFATVGLSVTSEEDDQKFNKLKQVPVCFESAEEYIEIFRPLLVEEFKAQLLSSFQEATSVEEMSCGSLSIMSVERIDDFHIIRCVRDDFDNTGSKSCLENDLILLTRQPLQNSAPDVHIVGKVERCEKDNKKRSSIIVVRLYLQNKASHLNKARKLLVARSKWCISRLMSITPQLREFQALSSIKEIPLLPVILNPTNHGHSAICSNNLSKLSRPLHQVFKMEYNESQQEAISSAIGPFDLKKDFELSLIQGPPGTGKTKTILAIVSGLLSFCKMKDTRTLSAAPKPTSLSSSTSRPHISNAAAIARAWQDAAFARQLKEDVDKDKDNMGSCSRGRILICAQSNAAVDELVSRITREGLYNRDGTIYKPYLVRVGNAKTVHSNSLPFFIDTLVDNRMAEEKMNVNDAKNDMSKDRVTVLRSNLEKLADTIRSYEAKRANLREGNSDSKSLFEGEACNADGMKELSGAELEARLRVLYGKKKEMYTDLAAIQARERKANEETKALRHKLRKAILKEAEIVVTTLSGCGGDLYGVCSESVSGQRFSSSSESVLFDAVVVDEAAQALEPAALIPLQLLKSNGTRCVMVGDPKQLPATVLSNIATKYFFQCSMFERLQRAGHPVVMLTEQYRMHPEISRFPSLHFYNGKLLNGNLMSTKSAPFHETNGLGPYVFFDVVDGKELHGKNSGTQSLYNECEVDAAVELLKFFKRRYASEFVGGRIGIITPYKCQLSLLRSRFSSAFGSSVTAEMEFNTVDGFQGREVDILIFSTVRAAGACSTDQGYSSKIGFVADVRRMNVALTRAKLSLWIFGNARTLQTNRSWSALVKDAKERNVIISARKPYSSIFKSTSKENRTSENPETHLKIQTHTEMVDDMNDGADQRKKTLNSKFDRKRRHTDIGPPTNVAAYNSKHDAKIKKRRATDNCDSFKKDLVSAAVENSDVRNCKPANSTVGENHGETSETLEKKPHKKQVNNDKADKQGARYSDVRNCKPAQSAVGGNQVQTSETWGKKTCDKQINKEKADKQRDKSTDKLGSSLEDMEKVRDKGLKHSTLIASKRYKEPSDNASPKGTDGKYDNGVRTQVEKATVSERKQKRDAVEALLSSSLISSNKPKSSIKSLPAKRNSAANTEGPGIKPSKTRKGECRPSVEIKVPIIVVLLQGSL >itb04g30600.t1 pep chromosome:ASM357664v1:4:33681914:33683432:1 gene:itb04g30600 transcript:itb04g30600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLQLPVFKRLEGKVAIITGAANGIGATTARLFAQHGCKVIIADIDDKNGHSVAEEIGPEYALFIHCDVRIESDVQNAVDTTVSRYGKLDIMFSNAGVAGSRDTSILEASPENINLVFETNVFGAFFCAKHAARVMIPARKGSVIFSASAASEVFGITSDTYTASKCAVVGLCKSLCVEMGKYGIKANCVSPYVILTKLGMSIMPTQDRKLAEEIVAEASNFKGKTLTTEDVAEAALYLAGDESMFVSGLNLLIDGGFTTTNIAFQVAVEKVLGGGEGTAA >itb13g18060.t1 pep chromosome:ASM357664v1:13:25110101:25115823:-1 gene:itb13g18060 transcript:itb13g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLYQQVNYGNIEGIKALRSDGAGLEWMDSEGKTPLIVACMNPQLINVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLDQTVKLLLSHGANALVMNDDCQTPLDVARIKGFSNVVRAIESHICLFSGWLRELYGPGFLDLLAPQLLSRKVWVVVLPCGSRNLRKPFKLELAMYASAQDAQPRTIVALWKANLEEPNFSQSDPIVIISDVSNIPRRWRRRRGIMASQLPGHGPRGARQLRVKLGAVQESEKQQLQLFCNACKGIPQVTHSAFPFNPQVPVLPATAPPALEDMELAMALSASMQPATHERPPLLNTHTGSGAVASTSQTNPVVLTAQTNIMVTSTPQKGNGDSCEFEKAGPSSNEIQHSQTSVIQTMVESPVPAPIPSAPTITDEEMDNGPIHYPSIDDSPVDLSSQPVENVAAKPHEDKDQSDASSCVVCLDAPAEGACIPCGHMAGCMSCLAEIKAKKWGCPVCRAKIDQVIRVYAV >itb13g18060.t2 pep chromosome:ASM357664v1:13:25110101:25115823:-1 gene:itb13g18060 transcript:itb13g18060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLYQQVNYGNIEGIKALRSDGAGLEWMDSEGKTPLIVACMNPQLINVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLDQTVKLLLSHGANALVMNDDCQTPLDVARIKGFSNVVRAIESHICLFSGWLRELYGPGFLDLLAPQLLSRKVWVVVLPCGSRNLRKPFKLELAMYASAQDAQPRTIVALWKANLEEPNFSQSDPIVIISDVSNKLRVKLGAVQESEKQQLQLFCNACKGIPQVTHSAFPFNPQVPVLPATAPPALEDMELAMALSASMQPATHERPPLLNTHTGSGAVASTSQTNPVVLTAQTNIMVTSTPQKGNGDSCEFEKAGPSSNEIQHSQTSVIQTMVESPVPAPIPSAPTITDEEMDNGPIHYPSIDDSPVDLSSQPVENVAAKPHEDKDQSDASSCVVCLDAPAEGACIPCGHMAGCMSCLAEIKAKKWGCPVCRAKIDQVIRVYAV >itb12g26310.t1 pep chromosome:ASM357664v1:12:27279264:27281719:1 gene:itb12g26310 transcript:itb12g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGNLSDFFEDSEFARDDIFGILEGLDDVSDFNQMTPAFSGETAAGGGVRELSEETEVEGSLSPPRNCKRQKTNGGAVPDDVNPSSDEQQPRMSHITVERNRRKQMNGHLSVLRSLMPCFYVKRGDQASIIGGVVDYITELQQVVESLEAKKQRKVYNEVLLSPRKPGLLSPRISYPISPTTPQPTTTSYNRQPPTVSAAIAACQLIEPPSSSSCSPATTSSSSSVDSANELAANSKSEIAEVEVKFSGENVVVKTVSPHIPGQAVKIISALEDLSLEILHVSITAINDHTMLNSFTIKIGIECQLSAEDLAQQIQQTFC >itb08g04530.t1 pep chromosome:ASM357664v1:8:3723377:3725910:1 gene:itb08g04530 transcript:itb08g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMFRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYCKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAELDEGEDGDDGEEY >itb11g19820.t1 pep chromosome:ASM357664v1:11:20647442:20648342:1 gene:itb11g19820 transcript:itb11g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPFLFLSLFLFSAWLCEAAQTPPAVLDTDGKAVKGGVKYYVVPVQPKQGGGLDLASTGNETCPKSVVQVAPKVAGNSVSFFPAVNPNGAVRNGTDLNVVFSGSNTGCPESTVWQIAHDAENVDVVQYVLSGGDKGNPSSSTARSWFMIMKTKNGYKFKFCPVSLCDCNPVCLDIGIKVENRHRRLVLGNSLPLLEVNFKKA >itb04g32740.t3 pep chromosome:ASM357664v1:4:35183587:35189032:-1 gene:itb04g32740 transcript:itb04g32740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFGNTMQQDAVPPVSADVIFHSSRFPSYKIGANSQTMELKEDPKVLSMKEVVARETAQLLDQESRLSVRDLASKFEKGLAAAAKLSDEARLKGAASLEKHVLLKKLRDALEALRGRVAGRNKDDVEEAISMVEALAFQLTEREGELIQEKSEVKKLASFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEFEQISRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELQALRAQLAEKSKHSLQLQKELAMRKRGEENSQNLYEIDGTEALGSYLQIQPCSSVAPEVSEFSIQWYRLACEGGKKEPISGATKPIYAPEPYDVGRVLQADMTLDDQILTVSTTGPIDPGCY >itb04g32740.t2 pep chromosome:ASM357664v1:4:35180348:35189032:-1 gene:itb04g32740 transcript:itb04g32740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFGNTMQQDAVPPVSADVIFHSSRFPSYKIGANSQTMELKEDPKVLSMKEVVARETAQLLDQESRLSVRDLASKFEKGLAAAAKLSDEARLKGAASLEKHVLLKKLRDALEALRGRVAGRNKDDVEEAISMVEALAFQLTEREGELIQEKSEVKKLASFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEFEQISRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELQALRAQLAEKSKHSLQLQKELAMRKRGEENSQNLYEIDGTEALGSYLQIQPCSSVAPEVSEFSIQWYRLACEGGKKEPISGATKPIYAPEPYDVGRVLQADMTLDDQILTVSTTGPIDPAAGLGNYVEALVRRHETEFNVVIVQMNGVDQPSQSIHVFHIGKMRIKLCKGKTTVAKEYYSTSMQLCGVRGGGNAAAQAAFWQAKTGVSFVLVFETERERNAAIMLARRFAYDCNIMLAGPDDRAAH >itb04g32740.t1 pep chromosome:ASM357664v1:4:35180341:35189061:-1 gene:itb04g32740 transcript:itb04g32740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFGNTMQQDAVPPVSADVIFHSSRFPSYKIGANSQTMELKEDPKVLSMKEVVARETAQLLDQESRLSVRDLASKFEKGLAAAAKLSDEARLKGAASLEKHVLLKKLRDALEALRGRVAGRNKDDVEEAISMVEALAFQLTEREGELIQEKSEVKKLASFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEFEQISRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELQALRAQLAEKSKHSLQLQKELAMRKRGEENSQNLYEIDGTEALGSYLQIQPCSSVAPEVSEFSIQWYRLACEGGKKEPISGATKPIYAPEPYDVGRVLQADMTLDDQILTVSTTGPIDPAAGLGNYVEALVRRHETEFNVVIVQMNGVDQPSQSIHVFHIGKMRIKLCKGKTTVAKEYYSTSMQLCGVRGGGNAAAQAAFWQAKTGVSFVLVFETERERNAAIMLARRFAYDCNIMLAGPDDRAAH >itb08g05040.t1 pep chromosome:ASM357664v1:8:4115909:4118640:1 gene:itb08g05040 transcript:itb08g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGLFSAFKEELVRARPRGRRPSPSASEIIRRRRPGGETLSPLMEGPDPSGSDCKSEKWGNWIFRPPSGSGSDLRLLLGILGAPLAPVHVTNNDPLPHLTIKHTPIETSSAQYILQQYMAASGGQKLQGIANAYAMGKLKMLASDLETATKVIKNRNSSKTPDSGGFVLWQMNPDMWYIELALGSNEVHAGSNGSLVWRHTPWLGTHAAKGPVRPLRRALQGLDPRTTVNMFANAKCTGEKKINGEDCFVLKLAADPHTLKARSEGPAEIVRHVIFGYFSQKTGLLVHLEDSQLTRIQTNGGNAVYWETTINSFLDDYKPVEGIVIAHSGRSVVTLFRFGETATSHTKTRMEEAWTIEEVAFNVPGLSDECFIPPAELRCSSTGEACELSQGARTKNACKATVSALDKSHGGKINSVTLDEYLR >itb08g05040.t2 pep chromosome:ASM357664v1:8:4117256:4118640:1 gene:itb08g05040 transcript:itb08g05040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLQETSSAQYILQQYMAASGGQKLQGIANAYAMGKLKMLASDLETATKVIKNRNSSKTPDSGGFVLWQMNPDMWYIELALGSNEVHAGSNGSLVWRHTPWLGTHAAKGPVRPLRRALQGLDPRTTVNMFANAKCTGEKKINGEDCFVLKLAADPHTLKARSEGPAEIVRHVIFGYFSQKTGLLVHLEDSQLTRIQTNGGNAVYWETTINSFLDDYKPVEGIVIAHSGRSVVTLFRFGETATSHTKTRMEEAWTIEEVAFNVPGLSDECFIPPAELRCSSTGEACELSQGARTKNACKATVSALDKSHGGKINSVTLDEYLR >itb01g33060.t1 pep chromosome:ASM357664v1:1:36329258:36332171:-1 gene:itb01g33060 transcript:itb01g33060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLNPLLYLALLFPLYLISKHFHRKFKNHPPAPFLTLPLLGHLYLFKKPLHRALDNISSRHGPVLLLEFGSRKVLLVASPSAAEECLSRNDIVFANRPHLMAGKHIGYNYTSLAWSSYGDHWRNLRKIVALEILSTHRLQMLHEIRADEVNSMVRKVHEVCQADSHVEMKSYFFELTLNVMMRMIAGKRYYGENVEDIEEAKRFRAIVTDTFRLGGATNVGDFVPALKGVFKELENSLVELQHRRDSFMQDMIKDCRKRMENDGDRRTESAGKKKSFVEVLLSLQENEPEYYKDEIIRSLMLVMLAAGTDTSSGTMEWGLSLLLNHPQVLKKAQMEIDERVGHERLLEESDLANLPYLNCILKETMRMYPVGPLLIPHESSEECTVAGYRIPAGTMLMVNLYSIQRDPKNWDEPEKFRPERFEGSEGVTRDGYKMMPFGSGRRSCPGESLGLRMVGLSLGSLIQCFDWERIGGEMVDMTEGTGLSMPKATPLTANCKARPFVAGLLSQTA >itb01g25540.t1 pep chromosome:ASM357664v1:1:30963009:30964828:-1 gene:itb01g25540 transcript:itb01g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKQTSDLPPGFRFHPTDEELIMYYLRNQATSRPCPVSIIPEVDVYKFDPWELPEKAEFGENEWYFFTPRDRKYPNGVRPNRAAVSGYWKATGTDKAIYSGSKYVGVKKALVFYKGRPPKGIKTDWIMHEYRLSESRALPARQNGSMRLDDWVLCRIYKKKNLGKANNTGMMMMKTEVEETNPQLIASCSSEGGPQVQGMKLPRACSLTHLLEMDCFGSISQLLGDNNNINATFDNQNPTLMTNNNGIVPFGLGEVVPHQYSQNSIFNQPIFVNPAFQFQ >itb10g20400.t1 pep chromosome:ASM357664v1:10:25976663:25977702:-1 gene:itb10g20400 transcript:itb10g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSSATKEPPQNSERKTLPPHHPLPEEETVKEVLSETPTVRKNPPPVPANGSHPPKNSPPPAVKRTENGRKPPAGGMVFRSDGLSGEIFSPEICKRDHGDDVIEARRRSPPARVQKRSVPEKNGVGRSPSRRFEPSPGRARSSPGRDPGQGSPGNVSRMDNGEISGGLRSRSPIMHADNGNARIGSCRSPSARKTGRSLERVRSELGDKSRRLEESYSNRDSREKWPPTYSNESLENPLVSLECFIFL >itb14g05130.t1 pep chromosome:ASM357664v1:14:4539642:4541760:1 gene:itb14g05130 transcript:itb14g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKQVYEDPSVSIHFDVRAWVVASQLHNKRQMLVSLLNSISKQDGLEKSTDEDLTLKLYQCLKRQRYIIVVDDVWSGEAWDDVCNCCPDDGNGSRVLLTTRLVEVAHYTSSDNDFSHHMQLLNQSDSWNLFCEKACKSRGVEFEIIGRPIVEKCKGLPLAIIVIAGLFSKFSTLYEWENIVNALDSSTTTTIATTCSKIISLSYNHLPHHLKACFLYLGVFPEDHKIKANELSRLWSAEGLVKASENENCDVVAENVQQLEKLYIYIGSVSYTCPTMIQINCHIVFLQSLKSLKFDANCMEWNGINVLCKLPRLEVLKLLSNACVGKEWELPEDDDKFCQLIVLEIGRTDLKDWKATGDHFPKLKHLSLSSCKKLKEIPRGFAEIEELKSIQLAGCRPSVVASAQEIKEEQLDYLNNIVDVVVAEQRDYSPVYEFESDEA >itb04g05780.t3 pep chromosome:ASM357664v1:4:3745363:3750096:1 gene:itb04g05780 transcript:itb04g05780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQGNSDGNNGSGSCLYELLQLEITPSFRRQMDIHDKSLSPRLRLQALLKESNNKNCADCGSPDPKWISVSLGVSICIKCSGVHRSLGVHISKVLSVSLDEWTNDQVDAFIEMGGNAIANMKYEAALPDNFKKPKPDSSIEERTDFIRRKYEKQQFLNYDVHMLCPVASSASPSYCDTLAYYSSTTPERKHQYEQKHSNSHHRAHGFGHFRHSRRRKESDHHRSRKSISTAGMVEFIGLIKVNVVRGTNLAVRDMVTSDPYVILSLGTQSVKTRVIKNNLNPVWNEKLMLSIPDTIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVVAARASEGSPTPQNEPATPQPAATTQPAGKWKTVKENTLHVKDGVIQLSEGKVKQEIAIKLQNVERGVLEIELECVPLTQ >itb04g05780.t4 pep chromosome:ASM357664v1:4:3745409:3750096:1 gene:itb04g05780 transcript:itb04g05780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQGNSDGNNGSAVYSRAEGSCLYELLQLEITPSFRRQMDIHDKSLSPRLRLQALLKESNNKNCADCGSPDPKWISVSLGVSICIKCSGVHRSLGVHISKVLSVSLDEWTNDQVDAFIEMGGNAIANMKYEAALPDNFKKPKPDSSIEERTDFIRRKYEKQQFLNYDVHMLCPVASSASPSYCDTLAYYSSTTPERKHQYEQKHSNSHHRAHGFGHFRHSRRRKESDHHRSRKSISTAGMVEFIGLIKVNVVRGTNLAVRDMVTSDPYVILSLGTQSVKTRVIKNNLNPVWNEKLMLSIPDTIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVVAARASEGSPTPQNEPATPQPAATTQPAGKWKTVKENTLHVKDGVIQLSEGKVKQEIAIKLQNVERGVLEIELECVPLTQ >itb04g05780.t2 pep chromosome:ASM357664v1:4:3745363:3750096:1 gene:itb04g05780 transcript:itb04g05780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQGNSDGNNGSAVYSRAEGSCLYELLQLEITPSFRRQMDIHDKSLSPRLRLQALLKESNNKNCADCGSPDPKWISVSLGVSICIKCSGVHRSLGVHISKVLSVSLDEWTNDQVDAFIEMGGNAIANMKYEAALPDNFKKPKPDSSIEERTDFIRRKYEKQQFLNYDVHMLCPVASSASPSYCDTLAYYSSTTPERKHQYEQKHSNSHHRAHGFGHFRHSRRRKESDHHRSRKSISTAGMVEFIGLIKVNVVRGTNLAVRDMVTSDPYVILSLGTQSVKTRVIKNNLNPVWNEKLMLSIPDTIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVVAARASEGSPTPQNEPATPQPAATTQPAGKWKTVKENTLHVKDGVIQLSEGKVKQEIAIKLQNVERGVLEIELECVPLTQ >itb04g05780.t1 pep chromosome:ASM357664v1:4:3745363:3750096:1 gene:itb04g05780 transcript:itb04g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHQGNSDGNNGSGSCLYELLQLEITPSFRRQMDIHDKSLSPRLRLQALLKESNNKNCADCGSPDPKWISVSLGVSICIKCSGVHRSLGVHISKVLSVSLDEWTNDQVDAFIEMGGNAIANMKYEAALPDNFKKPKPDSSIEERTDFIRRKYEKQQFLNYDVHMLCPVASSASPSYCDTLAYYSSTTPERKHQYEQKHSNSHHRAHGFGHFRHSRRRKESDHHRSRKSISTAGMVEFIGLIKVNVVRGTNLAVRDMVTSDPYVILSLGTQSVKTRVIKNNLNPVWNEKLMLSIPDTIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVVAARASEGSPTPQNEPATPQPAATTQPAGKWKTVKENTLHVKDGVIQLSEGKVKQEIAIKLQNVERGVLEIELECVPLTQ >itb15g01930.t1 pep chromosome:ASM357664v1:15:1149562:1150832:-1 gene:itb15g01930 transcript:itb15g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTLSLSSATASPAAALLKPKLPSPPPKHLHLPPAPPPPPPADLPDVSLPAKKPFTPHQNSHLQEKLLYLDSLGVDSFRCFNSNPSLVSASLRQVKSVIDFLHALNLGSHDVRRVLHTCPEILTTPLSSTLLPAVTFLLREAGVDARSLPGVIRRRPRLLTRPVEEHLRPTLNFLQTTVGINDVSRWATLLCCSVDSKFLPRLDYFQRLGFSKQESTAIFRRFPPLFRYSVEENLEPKFNYFLVEMGRELKELMDYPQYFSFSLENRIKPRHQMCVEKGVCLSLPLMLKSSEVRFRDRLEVCCSSSMPVRTSPLWGAKFDDDNVTL >itb02g22070.t1 pep chromosome:ASM357664v1:2:21158536:21168177:-1 gene:itb02g22070 transcript:itb02g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEFWLLSLILAVGVLCAESKYVVYNTSAAIVPGKLNVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLADKNRKFIYVEQAFFQRWWRNQSPAMQSTVRELVNSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHQYIKQQFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLYFGRIDYQDRAKRKGEKSLEVVWRASKSLGTSSQIFAGAFPENYEPPSGFYFEVNDDSPVVQDDTNLFDYNVPERVNDFVAAALSQANITRTNHVMWTMGTDFKYQYSHSWFRNLDKLIHYVNQDGRVNALYSTPSIYTDAKYASDQSWPLKTEDYFPYADRENAYWTGYFTSRPAIKGYVRLMSAYYLAARQLEYFKGRNKVGPTTDSLADAMGIAQHHDAVSGTEKQHVANDYAKRLSIGYTEAEDVVASSLACVVEAKLKSGCKNLETKFNQCPLLNISYCPPTEIDLSIGKELVIVVYNPLGWKRTDVVRIPVTSENVNVWDSTGKEVESQVFPVVDASISMRKYYAKAYVGKSPNTGPLYWLAFTATPPPLGFTTYTITSGGQKVAATVKQTVLGSRTSQNDDIVAGPGNLKLLFSGNDGRLAKFVNSQSKISAAVEQSYIYYSADDGSKDKDRDHYQAAGAYIFRPNGSFAINSEGKVPLKVLRGPLYDEVHQTISSWIYQVTRVYKEREHAEVEFTIGPIPIDDGIGKEVVTQITTEIKSNKTFYTDSNGRDFLERIRNYRTDWDLQVNQPVAGNYYPINLGTYIKDSNTELSILVDRSVGGSSLVDGQLELMLHRRLLHDDGRGVGEALNETVCVTDKCAGLTVQGKYYVRIDPLREGAKWRRSFGQEIYSPFLLAFAEQDINEGMKFQIPTFTGIDPSYSLPDNVAIITLQELEDKSVLVRLAHLYEVDEDKDLSTVTNVELKKLFPGRKIKKVNEMSISANQEREEMEKKRLVWKAAGSSNGQKASRGGPIDPVKLIVELAPMEIRTFVIRFSSELSMKVLNSHFFLK >itb02g22070.t2 pep chromosome:ASM357664v1:2:21159094:21167755:-1 gene:itb02g22070 transcript:itb02g22070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEFWLLSLILAVGVLCAESKYVVYNTSAAIVPGKLNVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLADKNRKFIYVEQAFFQRWWRNQSPAMQSTVRELVNSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHQYIKQQFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLYFGRIDYQDRAKRKGEKSLEVVWRASKSLGTSSQIFAGAFPENYEPPSGFYFEVNDDSPVVQDDTNLFDYNVPERVNDFVAAALSQANITRTNHVMWTMGTDFKYQYSHSWFRNLDKLIHYVNQDGRVNALYSTPSIYTDAKYASDQSWPLKTEDYFPYADRENAYWTGYFTSRPAIKGYVRLMSAYYLAARQLEYFKGRNKVGPTTDSLADAMGIAQHHDAVSGTEKQHVANDYAKRLSIGYTEAEDVVASSLACVVEAKLKSGCKNLETKFNQCPLLNISYCPPTEIDLSIGKELVIVVYNPLGWKRTDVVRIPVTSENVNVWDSTGKEVESQVFPVVDASISMRKYYAKAYVGKSPNTGPLYWLAFTATPPPLGFTTYTITSGGQKVKQTVLGSRTSQNDDIVAGPGNLKLLFSGNDGRLAKFVNSQSKISAAVEQSYIYYSADDGSKDKDRDHYQAAGAYIFRPNGSFAINSEGKVPLKVLRGPLYDEVHQTISSWIYQVTRVYKEREHAEVEFTIGPIPIDDGIGKEVVTQITTEIKSNKTFYTDSNGRDFLERIRNYRTDWDLQVNQPVAGNYYPINLGTYIKDSNTELSILVDRSVGGSSLVDGQLELMLHRRLLHDDGRGVGEALNETVCVTDKCAGLTVQGKYYVRIDPLREGAKWRRSFGQEIYSPFLLAFAEQDINEGMKFQIPTFTGIDPSYSLPDNVAIITLQELEDKSVLVRLAHLYEVDEDKDLSTVTNVELKKLFPGRKIKKVNEMSISANQEREEMEKKRLVWKAAGSSNGQKASRGGPIDPVKLIVELAPMEIRTFVIRFSSELSMKVLNSHFFLK >itb01g17800.t1 pep chromosome:ASM357664v1:1:22536227:22538948:1 gene:itb01g17800 transcript:itb01g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDSGNTKDDLRLPTDENLLTQIKDGFGEGKDLVVSVMSAMGEEQICALKDIGPKN >itb09g04220.t1 pep chromosome:ASM357664v1:9:2351931:2352914:-1 gene:itb09g04220 transcript:itb09g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFSAVHYWLVEHPSISQYEWKQGHTLGSSPLFLAVTVTAYLAVTLSLHRFAFLPPLSSVALRRITAAHNLVLCLLSLAMAVGCSLAVFHQMPNRDWRWAICFPANRTPTRGPTFFWAHVFYFSKILEFIDTLLIVLSGSRSRRLSFLHVYHHAVVVVMCYLWLSTAQTLLPVALVTNASVHVLMYAYYLLCALGHRPRWKRLVTDCQIIQFVFSFLVSGLMLYYHFTGPGCSGILGWCFNAVFNASLLALFLDFHSKNYHAKKKDHEKNK >itb00g01090.t1 pep chromosome:ASM357664v1:16:7131804:7132772:1 gene:itb00g01090 transcript:itb00g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGSSREENKMLLPFPLSFPALLIFPSNAGRAATGAGGRNLREDALLLDKGMLSPTIKGKTRRREGDAAGEGKRFRRSRFVFHRKRRRPRMAYGASYLKGTRFFDRRGMIAGPSPRSARWPIGIAAFGLCLPPARPGKALATTERKGSMQLLRPPPS >itb09g28480.t1 pep chromosome:ASM357664v1:9:29045831:29050992:1 gene:itb09g28480 transcript:itb09g28480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKSNRKDGKSTKEREHKHQSSKDKSRDAASYEDDNRHHHRHRSKHCGGDEEDNRRRSDNCHRRHSEEDSFDRERWIERHKSEREGSLDKREKSHDRDKRERLHEREIIKREEYVEKRQFSKRKERGDSEDRNAGAEKRVMVSKGKNENGKEKEDRRERRRFDDSEIDCRNKNIYLMRKSRFTHSQKWSIPILLLSIFLLLLVSTLIFTHPNAQNSTTPNHDGVAESELPELPRLAYLISGSRADGARLKRLLQALYHPRNYYVLNLDLEAADGERVELGKWVRLDPVMREFRNVMVIGKADLITHRGPTALASMLHAAAILLKKAKRWDWLINLSASDYPLMPQDDILHIFSYLPRDLNFLEHTSNIGSKEYHRARPIIIDPGLYHSKKSGVFWAKETRSLPASFMLFMGSEWVVLTRPFFEFCIWGWDSLPRTLLMYYTNVLSSPEGYFHTVVCNHKDYQNTTVNHDLHYIKWDNPPKQDPMNLTTKDFNDMLLSGVPFARTFAMDDPVLDRIDRELLGRSDGQFTPGAWCVGRRDIGKDPCIVYGSCDTVKPSASSTRLEKLVLKLLDSENFRPRQCK >itb15g08020.t1 pep chromosome:ASM357664v1:15:5626377:5629057:-1 gene:itb15g08020 transcript:itb15g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHTSLTLPCVLVLSFAFSVVLADVVEHSFYVEDNMIVNQLCSQQVITAVNGSLPGPTIAVREGDTLVVHVFNLSPYNLTIHWHGIFQYLSGWADGPAYVTQCPILPGNSYTYKFTITGQEGTLWWHAHVSMLRATVYGALVIYPTPGRSYPFTPPDDEFIILLGEWWNSNVIDVDEQGKLTGVGPNMSDAFTINGQPGDLYPCSSDSTYKINVVYGKTYLLRIINGALDDQLFFKIANHKMVVVAADAAYTTPYETDVVLLGPGQTTDVLMVADQSPGAYYMAARAYASAKSVPPPPFDNTTATAIITYVGAQSSSTPTMPVLPGFDDKDTAQRFHSSLTGLVNGPFWRPVPGPVDENMFVTVGLGLLPCANPGNIPCAIPGGLLRLTASMNNESFRLPTTLSILESHFNGVGGIYTDDFPDQPPVTFDYTNQQFSNLSVPANIAISMSRVSTKVKRLSYNSTVQIVLQNTALVGIESHPIHLHGYNFHILAQGFGNYDPVSDSSNFNLYNPQERNTIAVPAGGWAVIRFQANNPGAWIMHCHLDMHLEFGLATVFVVENGPTPETSLPPPPQDLPQC >itb11g18500.t1 pep chromosome:ASM357664v1:11:18856038:18862008:-1 gene:itb11g18500 transcript:itb11g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKRSATGRYASYNSGSYNSGAYSGHYGYSQAYPQPSYSYAPPPPNQTYGGPPPESRKRLERKFSKIEDNYHTLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGNEPNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQEVFSFFSDERFCNGFEEVMSRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEKRTVEAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNLDGSRKEAEFALSALMEIPAQYRATLELNILGTSRGKEIDRVPLPPPRYGADSSRTAKPSQSYSGPSTPSYSRPDSSYQTSQPPSSSDNHLCPICITNPKDMAFGCGHQTCCECGQDLHLCPICRDTISTRIKLY >itb11g18500.t4 pep chromosome:ASM357664v1:11:18857056:18862008:-1 gene:itb11g18500 transcript:itb11g18500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKRSATGRYASYNSGSYNSGAYSGHYGYSQAYPQPSYSYAPPPPNQTYGGPPPESRKRLERKFSKIEDNYHTLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGNEPNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQEVFSFFSDERFCNGFEEVMSRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEKRTVEAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNLDGSRKEAEFALSALMEIPAQYRATLELNILGTSRGKEIDRVPLPPPRYGADSSRTAKPSQSYSGPSTPSYSRPDSSYQTSQPPSSSDNHVKYILLE >itb11g18500.t2 pep chromosome:ASM357664v1:11:18856039:18861331:-1 gene:itb11g18500 transcript:itb11g18500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKRSATGRYASYNSGSYNSGAYSGHYGYSQAYPQPSYSYAPPPPNQTYGGPPPESRKRLERKFSKIEDNYHTLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGNEPNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQEVFSFFSDERFCNGFEEVMSRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEKRTVEAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNLDGSRKEAEFALSALMEIPAQYRATLELNILGTSRGKEIDRVPLPPPRYGADSSRTAKPSQSYSGPSTPSYSRPDSSYQTSQPPSSSDNHLCPICITNPKDMAFGCGHQTCCECGQDLHLCPICRDTISTRIKLY >itb11g18500.t3 pep chromosome:ASM357664v1:11:18856030:18861975:-1 gene:itb11g18500 transcript:itb11g18500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKRSATGRYASYNSGSYNSGAYSGHYGYSQAYPQPSYSYAPPPPNQTYGGPPPESRKRLERKFSKIEDNYHTLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGNEPNPYEQAISIIGRTLSKFDEDNLIPCFGFGDASTHDQEVFSFFSDERFCNGFEEVMSRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEKRTVEAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNLDGSRKEAEFALSALMEIPAQYRATLELNILGTSRGKEIDRVPLPPPRYGADSSRTAKPSQSYSGPSTPSYSRPDSSYQTSQPPSSSDNHLCPICITNPKDMAFGCGHQV >itb03g17370.t1 pep chromosome:ASM357664v1:3:16022878:16025889:1 gene:itb03g17370 transcript:itb03g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPKQVGVSLCLMILILSSQSCFSWGWWIFGSSNNNAGREQTQCRSSSPNHYYSSEFSMEPFDSGRGLKLVEAAEEKMLAPNSCWRRAYQSMFAECSKVLSDEELKSRLAWHLTDCFQHHSGRPPLPRCDAGSAMSKCLKNLDDSAHRTYLEFFLQTDSICHQLQMHAFRHDTERLVNELKNTAENVWEKLGDIGEKEDDILQTSAKIHDSLASVDYRTQDLERASKNVEARVNEVLRHSESIHEQSLGISESQKELTEGQAKMKEKLAEGIAMVQQSYTSLDKEMNELKNEAEEIEKEIGKVGEEMFSKMRTLQGKADDIENIAGVSLDKQKELLNGQSAALEGIRILNTFLSQALEESRGTLQKLAEIGHKQQDELLRRQEQLQQAHDHLVENSKTILAAQEAFESKQASMFVALDKLFALHNAMLLESRLIKAFLVYSMSIFLLYMFTSMKQTYNVRPRLYIGLCATFAIELAIVRYGTYEIEKQSWVIGIIRSLYMVAASIQLLDYEILNHQMLLTLIEKVNGIQKHKELPWEMESDSDSEVNWSLWVDTELPDDVDKLEDPDYILLEEVAENPGMNNALTRRYNLRNRHNRLM >itb11g05160.t1 pep chromosome:ASM357664v1:11:3059587:3061246:1 gene:itb11g05160 transcript:itb11g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISENVTVLEQIPVAPSPEGTPKITLPFTFLDLLWLHMTPVHRLIFYQHPISRTQYLETVVPAMKHSLSLTLRHYSPLAGKLIVSPDNSILPEIRYEEGDTVPLVLAESEGDFGHLTSDHAKSCTDFHPLVPALPPASRAPDGYTVVPLLALQVTLFPDVGISVGVTNHHAAGDASSIFGFMKAWEFFSNIADKNSSPVSLPPEFVPSYDRTVIRAPKGLESLFWDNIKNIKIEDTHVHRLPLITNRVRVTYILTRDDIQRLKNHILAHPPELKHVSSFTLISSYLWTCLLKSRYGAETDDDEDELFGCAAECRARLDPPLPENYFGNCLTFVIGFAKTKQLTGEKALVDAAAVIGDSIRRQLYDKESGLFKGAEDWFAVLSAAKPDRSFSVAGSPKFDYYELDFGWGRPKKFEFASIDLFSSFSLSKARDIEGGLEVGLSLPLTQIESFSTIFTHGLKAL >itb01g22900.t1 pep chromosome:ASM357664v1:1:29010169:29010444:-1 gene:itb01g22900 transcript:itb01g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNAMPSRHGCSSGPGSWTAEQNKEFEKALAVYDKDIPDRWSNVAKAVGGGKTAEDVKIHYQLLVRDVFYIERGLVPFPDYQKTAWKLA >itb01g11390.t1 pep chromosome:ASM357664v1:1:10258422:10261331:1 gene:itb01g11390 transcript:itb01g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTSALNAHMDQMADLVEKMSAELRSGLKPAYDNFIGFFHAIDWTEPWLVGLISFHVVLLLLAVFLRKNINSQMFLFLLALGGVYFAENLNKILAANWKSFARQNYFDAHGLFLSVLWSGPLLVISIIILVNTLFSMCYLIVRWKKAELRHRARLARNKED >itb15g24130.t1 pep chromosome:ASM357664v1:15:26982723:26984758:-1 gene:itb15g24130 transcript:itb15g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITNLLRLNLRLHFPSPLSRTTKFLPFSTSITGLHIEDAAVDSDGDGDGAGDRSSRLSSTLTPTETLAAENFHSLIKDHYRKNPNSSPTPLNPDFTLPSLSSDFSRICAAEPVSAAVVLRVIEKCGEVRHGIPFPQALAFFNWTAARYSFQTPQPYDELVDLAGKVRQFGVAWHLIDSMKSNNIGVSTETFLNLIRRYVRAGLASEAIHTFNVMEDYGCKPDRNAFSSVIGILSKKRRATEAQAFFDRLKDKYEADVVVYTSLVHGWCRAGNISEAQRVFNEMKVAGIEPNVYTYSIVIDGLCRGGQITRAYDVFSEMIDSGCQPNAVTFNNLMRVHVKAGRTEKVLQVYNQMKRLSCPPDVITYNYLIESHCKDEKREEAIKVLNVMVSKGCEPNVYSFNPIFRCIGNSRDVNAAHRLYAKMKEIKCKPNAETYNILMKMFVESRSTDMVIKLKKEMDESKVELSVNTYRILISMYCGMGHWNNAYKYFREMIEEKCLRPGKADYEMVLQQLRKAGQMKKHEELVSKMVEKGYITRPL >itb04g31230.t1 pep chromosome:ASM357664v1:4:34157842:34158996:-1 gene:itb04g31230 transcript:itb04g31230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFPAPAAFFNLNKTEEHPKPIKEQKIEQLRIDLTPVNARKVAVKKFDYFKIAGLVCCPLLPIQLTD >itb13g19220.t1 pep chromosome:ASM357664v1:13:26229795:26232944:-1 gene:itb13g19220 transcript:itb13g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSILTSNRPQLHEVTRRSANYHPTMWGDYFLPYSSQGKKADDPQEEWQEHGQLKEKVKNMLVGAPHICSQKLDLINNIQRLGVGYQFENEIEATLQHIFKVYYQLKVEKDEEDDLYAVSLRFRLLRQHGYHVSCNVFEKFLDGDGKFKESLTDNVQAILSLYEASHLRVHGETILDDALKFTTYHLEFVLPNLTQPLRSQVSEALRQPIWKRLTRIEARRYISVYEGDETHDIILLKFAKLDFNLLQKEHQKELGNLTRWWKELKVTKNLPYVRDRFVECYFWILGVYFEPQYYLARRFLVKVIAMASILDDTYDAHATIDELRLFTDAVQRWDPSVVNELPEYMRLCYVSLLDVYAEMEKELAVKGESYRINYAKNEIKKLLGAYYQEAKWYHDGYSPKFEEYMKVALVTGAYMMLATNSLVGMHQDFVNEEAFDWVIRKPLIVHAASVFAD >itb11g13530.t1 pep chromosome:ASM357664v1:11:10512913:10516641:1 gene:itb11g13530 transcript:itb11g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFYNLNSDAGLKKLDEYLLTRSYISGYQASKDDITVYSSLPKPPSSEYVNASRWYKHIDALLRISGVSGEGCGVIIEVSAPIPSGVVTPPATDTKASVADDDNDVDLFGEETEEKKKGAEEHAAAVKASSKTKECNSWHISPFSMQAAAGQLSAPVPPSEKGANGSSKDFKKVQMTKRTFVETVTKAPRFGDEVMEEAGDANWLAAAEPHRLTGLPPSRKDILKNWKRRLSKPLNEGNMLTVSRRSQVTICQLSNLLRLI >itb11g14300.t1 pep chromosome:ASM357664v1:11:11364353:11365420:1 gene:itb11g14300 transcript:itb11g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRLQLLLQSTNRSSSTVRPSPGAVKVGGLHQVLLVLSKHRQSEAISRNRSSSTVRPSPGALKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKRRPLSRNRSSSTVRPSPGPLKVRGQVKSEVLSKHRRTALSPATAPPPR >itb03g20850.t1 pep chromosome:ASM357664v1:3:18681099:18684713:1 gene:itb03g20850 transcript:itb03g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVSVVGIGAFFFICHAGLICHVNAKDTFAPGDMEWHYAGNNLESSNGQYMLKFIQQPAGGSTFSWYLCIQSAWYYSRLPPENITIWVAWKGQEQRDNDSPPYLSMSEEGQLIIYAAAGKGFIVNIQQPSYVKKTSATLLDNGNLVFRSPGGRTLWQSFDYPTHTWVQGMKLGWFGLKTPQPHQRFLTSWTNQQNPSPGAFTFGVHYPNNNTNTKPPQLVLMRRGVVYWQSGVWNGNNFPFLPYLNFTYFSDENQSYFILNNDQDDLAYYTLIIHAIGEVSVETNGYNRSVFDCYNKEWADSNAAGCIRVKISNCSVGDNDWFNSTTGFIDEWEQYLYNFTFGITDCEELCAKNCSCNAYASINAEAGTGSKFSSSPAYRFASDGEALYIRHNAKPVNSPATQALTKTKSHHNKTATIAALTVTFLVILAMIFIVWYMNPRKCCCSCFIALSFVTAPNEHSRQQPAGKEDEDLPFFNFKSIEMATNYFSTENKLGQEGFGPVYKGILPNGQEIAAKRLSKMSGQGIEQFKNEVLLISKLQHRNLVRLLGCCTHGDERILIYEYLPNKSLDSILFDERKKDCLDWRKRVSIIDGIAQGLLYLHKYSRMRIIHRNLKTSNILLDIHMHPKISDFGTARIFKDNVYQASTKSIIGTYGYMSPEYAMNGCFSEKSDVFSFGVMVMEIVSGKRNNDFYNPHHVSNLLSYAWDVWREGRISELIDPTMDKTVSLNEAIRCIQVGLLCVQDSVTDRPVMSDVVSMLGNELMVLPTPKQPGFSTIIGLRCDDVVNNSKVGSINMVTISDIEGR >itb08g17060.t1 pep chromosome:ASM357664v1:8:19070823:19072421:-1 gene:itb08g17060 transcript:itb08g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSECEMAGSGRVAAVRPRSPAVAPTRATVGASTVEATETGHTGAVRPRPEPAPTRVAADAADVNSRARGSAVGARQHTWVPRRNVQVEQGPLNPPIPFNNLQELEGDECNLLDLEKTDGIIDLGVDDVLVLEDVWSFRLLGRFAGRFPGMRAVDGLVKSWSLNCKAENLLNGHVLFWFLKEEDRQFVLDGGPYALFGKRLLLHIPPEGARLAYEDYCKMPVWIRMPWLPKPCWHLNALSKIAANLGKPLMRDRFTKEMKKSTFARILVEVDCSTEQPDHVSIKLPGGDVFEQPIVYENLPMFCSRCGSGKHVTKECPLSRVENNLRQRAEENNGAGEAPRDNVNVDSPMNAGEEEVATNNVVGETEQLPDKETPTTVDGLNEGLNKPVNADLNDNPPTDELERVGADASEHANSTAEQNGEQAAFGTMAEAAEMERGSESTFVDPNEPGYGDSSLMEPFPHLVAANAAVVEDTGCSTPAVKQNNATTPGRKKKKKSSGKKKKKNKKSSPSGNNLVAGGGGWQVPTFRCR >itb07g08720.t1 pep chromosome:ASM357664v1:7:7008101:7009107:1 gene:itb07g08720 transcript:itb07g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDVVPQKRWRQLKTSIVLGDYDMKVKFIPSAESPGNYKVDIIMDEKNSNEEEVEIPAQYRERAQNWKMQIRKRSPSGNVENALCNTKRKGEASTSKNEKKAKEEEIAKKAMKECCDEVGNKEEGHALSPIMNNIGGEQRDTVDEVEHFLAQSYNNLLRYFD >itb11g00530.t1 pep chromosome:ASM357664v1:11:231440:235670:1 gene:itb11g00530 transcript:itb11g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRPRYPPPGMGGGGRGDGGLNSNANLAFQPRNPHQYVQRSPLPNQQWLRRNNQLTADSAVDEVEKTVQSEAIDSSSQDWKAQLKLPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQCIILVPTRELALQTSQVCKELGKHLQIEIMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLVRKGVCILKDCSMLVMDEADKLLSPEFQPSIGQLIRFLPTNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQGIYCR >itb11g00530.t2 pep chromosome:ASM357664v1:11:231440:235273:1 gene:itb11g00530 transcript:itb11g00530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRPRYPPPGMGGGGRGDGGLNSNANLAFQPRNPHQYVQRSPLPNQQWLRRNNQLTADSAVDEVEKTVQSEAIDSSSQDWKAQLKLPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQCIILVPTRELALQTSQVCKELGKHLQIEIMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLVRKGVCILKDCSMLVMDEADKLLSPEFQPSIGQLIRFLPTNRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQGIYCR >itb02g17770.t1 pep chromosome:ASM357664v1:2:13936826:13940179:1 gene:itb02g17770 transcript:itb02g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDCREHAIYARREQRHRPRLYKSQLHRSTHNDWAFSRATTIYEVRAAAASFDFDTFSSSGESPSAASIGEIGGELRRGASFSSERRRVFCFGNEQIYIFNVYGQLLVNLWRRSGLQSRWLLKKQMICRLHII >itb03g13640.t1 pep chromosome:ASM357664v1:3:13713229:13715190:-1 gene:itb03g13640 transcript:itb03g13640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDLPSSPLLWAPPPLVHRHTHNLTAPLAGGIAAAAAAASLLILFALCFRKISLKTDSDSDSRPPYRFSYSVLRRATSKLDPSLRLGQGGFGSVYSGTLKIPGSKSDLPVAVKVMDSGSLQGEREFQNELFFAGKMDSKYIVSVVGFSSDHRRGRRMMMVYELMANGSLQDCLLHRKCSELKNWESRFSIALDIAKGLEYLHHFCDPPVIHGDIKPSNVLLDTNFNAKIGDFGLAKVKTEDRVEIEVKKEQTLVEDNNGSVIEEFHGGLDQLPETPVVSPETVAAMASPSEGFDKTSVSEGNFDRFSVESGKISDKKSGVKKKKKGNDWWWKQDIVEAESGPVKDYVMEWIGNEIKEERPTSEWIGASSSAGVEGKTEKKKKKNKKKQKRFDWWVSLDDDKTAKQEQRRPAREWWKEEYCEELARKKKGSTSDDCHSDYWARDDELYGDRKNKKMSRSRSRRSSKSSIDWWLDGVSSELWRARRNSFDSGSGEIAKSGVSSTPSLRGTVCYVAPEYGVCGDLSEKCDVYSYGVVLLVLIAGRRPLQVTSSPLSEFRRANLLSWSRHLARAGKLLDLVDQSLELVNQEQALLCITVALHCLQKSPARRPSMKEVVGMLSGDLEPPQLPTELSPSPPSHSKYKPHKKRISGDI >itb13g04850.t1 pep chromosome:ASM357664v1:13:5737749:5738429:-1 gene:itb13g04850 transcript:itb13g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFTTLPLLLLLSLFTISSAANFEVRNNCPYTVWAAATPVGGGRRLDRGQSWNINVPPGTAMARIWGRRNCNFDGNGRGSCETGDCGGVLQCTGWGKPPNTLAEFALNQFNNLDFFDISNVDGFNIPMSFAPTRPGPDKCHAISCTADIVAQCPGPLRVPGGCNNPCTTFGGQQYCCTNGPCGPTDYSRFFKGLCPDAYSYPQDDATSTFACPGGSTDYRVVFCP >itb06g24390.t1 pep chromosome:ASM357664v1:6:25965588:25967556:-1 gene:itb06g24390 transcript:itb06g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTTAAVSDHRSRRVHVLVFPYPAQGHMLPLLDLTHQLALRNVAITVLVTPKNLPILSPLLSRHPSINTLVLHFPASPAIPAGVENAKDLPASGFRVLMVALGGLQGQIVDWFRRHPSPPTAIVSDIFVGFTHRLAAEVGVPRYTFSPSGAAAMSVIFTLWREMPKRENPNDQNEIFRFPEVPNSPEFPWWQISPVYRSYVEGDPSSEFIRQMYFDNTVSYGLLFNSFSAAEGVYLDYMRKYLGNERIWSVGPLLPPDVPAERGGSGAVSASDILSWLDSAESNSVVYVCFGSQAVLTDPQMEALAAGLEKSGVNFLWSTKGPTKGHVDGEGYGTIPPGFQQRVGPRGLVIQGWVPQMLILNHPAVAAFLTHCGWNSTLEGLMAGVPLLAWPMGADQYANANLLVDEHKVAVRASQGEKAVPDSDELAGLLSKAVKEKGAEVRANALRLRTAAMDAIKDGGDSFNNLDNFVKHLYEDASEIPKVSSNSPMLSAPVPAFGA >itb12g07090.t1 pep chromosome:ASM357664v1:12:5297838:5299113:1 gene:itb12g07090 transcript:itb12g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALSSVLGGDAAAAAASTDDSSSSEPSRVTVFHSSQRWQLHFNASKQLNKLMVVDFAAAWCGPCKMMEPIVKQMATKFTDVDFIKIDVDELSDVAQEFSVQAMPTFLLLKQGKEVGRVVGAKKDELERKIVQHKEVPKFAA >itb04g26900.t1 pep chromosome:ASM357664v1:4:31082603:31085204:1 gene:itb04g26900 transcript:itb04g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGVVVERVDASAAAAARAAELRKELERAVKAIVSEEDSNVDAIDRALQALSALKDLKTKHPQRSSFREPASMPSPPDEFRCPLSKELMRDPVIVSTGQTYDRPFIQKWLKAGNRTCPRTQQVLSHTLLTPNHLIRDMIARWCESNGIQLPDPILYLSDEGLTEADRDHFLSLLEKMSSTVSEQKGAARELRLLTKRMPSFRALFGESVEAVPQLLCPLTQTKSQNDIHPDLQEDIITTLLNLSIHDNNKKLVAETPMVIPLLMSALRSGTIETRSNAAATLFTLSAVDSNKALIGKSGALKPLIDLLEEGHPLAIKDAASAIFNLCILHENKARAVRDGAVGVLLKKIIDKVHVDEHLSILAMLSTNQKAVEEMGELGAVPCLLNLIRETSCARNKENCIAVLHTICFSDRTKWKEIREEENMHRTISQLARNGTSRAKRKASGILDRLNRAVNLTHTA >itb04g26900.t2 pep chromosome:ASM357664v1:4:31082604:31085204:1 gene:itb04g26900 transcript:itb04g26900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLYWILMKLPSMSLQTYDRPFIQKWLKAGNRTCPRTQQVLSHTLLTPNHLIRDMIARWCESNGIQLPDPILYLSDEGLTEADRDHFLSLLEKMSSTVSEQKGAARELRLLTKRMPSFRALFGESVEAVPQLLCPLTQTKSQNDIHPDLQEDIITTLLNLSIHDNNKKLVAETPMVIPLLMSALRSGTIETRSNAAATLFTLSAVDSNKALIGKSGALKPLIDLLEEGHPLAIKDAASAIFNLCILHENKARAVRDGAVGVLLKKIIDKVHVDEHLSILAMLSTNQKAVEEMGELGAVPCLLNLIRETSCARNKENCIAVLHTICFSDRTKWKEIREEENMHRTISQLARNGTSRAKRKASGILDRLNRAVNLTHTA >itb10g02920.t1 pep chromosome:ASM357664v1:10:2571549:2575355:-1 gene:itb10g02920 transcript:itb10g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSYLFLFAIFIIHSSSICIVQCTGNINTDASVLLSLKAQLTLHDPNNILAANWSTATSICHWIGVHCDSQHHRVVALDISNMGLLGQFPTSLGNLSFLSFLNMSNNNFIGEIPQQFGNLRNLKVIDTHRNHITGAIPNSMFNISALEHINLSNNSFSGTLPPNICHQLPNLKILYLYMNGFNGALPANLSACSKLRALSLSYNMFDGWIPKELGKLEMLEKLFIGFNNLTGTIPNELGNLHNLKTFAIQRNQITGSIPTSISNMSSLQILSLSTNKLMGSIPIEIGNLSSLQRLLLAENNFTGVIPREISYLSKFEFVVFEVNNLSGTIPEGLFNVTKLREIGLANNNLFGSIPSTMCSAQNNLEKLSLALNVLSGVIPYSIANCSQLSLIALNDNHFSGSIPNSLENLSVLQVLEIADNKLASDPSNSSPELSFITSLTKCRKLKTLDVGGNILRATLPRSIGNLSSTLQIFAVESSKLYGTIPDEIGNLTDLEIVDMSANSLSGRVPNTLQRLARLYLAENKLSGPLTGNLCKVQNLGAIYLSKNDLSGPIPECLGNVTSLRYIHLDSNRMNGIIPSNLWNLKNLLTLNLSSNSFSGALPSEIENLDVLYILDLSNNHLSGIIPSTIGSLQNLINLSLAQNQMHGQIPLSIDGMLSLESLDLSHNNLSGSIPVSLQAVRYLHHLNVSFNSLSGVIPSNGPFKNFTSLSFLSNEALCGDARFSQSVGSIFDSVPLTA >itb10g19300.t1 pep chromosome:ASM357664v1:10:25161091:25163353:-1 gene:itb10g19300 transcript:itb10g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) UniProtKB/Swiss-Prot;Acc:Q9FJ54] MNAKMEKGAMLMHKYQIGRFLGQGTFAKVYHARNLKSGENVAVKMIDKEKVMKVGLIDMIKREISVMRMIKHPNVVQLHEVMASKTKIYFVMEYVRGGELFDKVAKGRLKEETARKYFQQLIAAVDFCHSRGVYHRDLKPENLLLDEMGNLKVSDFGLSALAESRRQDGLLHTTCGTPAYVAPEVITKRGYDGEKADIWSCGVILFVLLAGYLPFQDANLMQMYRKISRGDFKCPKWFPPEAKKLLSRILDPNPITRISLSKLMENSWFQKDFTQIQIQSQPKPITLDPESEESPRSVFDIIDDQAGSSSQKPKKTENMKPTCLNAFDIISLSPSFDLSGLFDVDTNKKPESRFTTQQPASTIVSKLEEIAMSEHFQVQKKDGMVKLQGNKQGRKGQLAIDAEIFEVTPSFHVVEVKKSSGDTMEYRNFCERELRPSLKDIVWKWEGSEQPR >itb13g18910.t1 pep chromosome:ASM357664v1:13:25920230:25921389:-1 gene:itb13g18910 transcript:itb13g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTADQHKMASSPSSGNAAAAMKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGAAAAANLNFPLDHSYHIPPSGAVLSPKSIQRIAAAAAAANGGGAIPLSASYSPSSSCSASSSPLSDTAGIEDEDYFPSIDADIAPAHQEPAPAPAVAAIMAQCSWYNFDSPKYNDMLMNGAFFDPPLVEDVYEEFGEIRLWNFN >itb11g15630.t1 pep chromosome:ASM357664v1:11:13453191:13468678:1 gene:itb11g15630 transcript:itb11g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRDIVAESXALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENSTPQQICDKYHEIHKQVYQWFDISFDKFGRTSTPQQTEVCQSIFKKLLENNWLTENTMQQPYCDTCKKFLADRLVEGTCPTPGCNYDSARGDQCEKCGKLLNPTELKEPRCKVCRNTPCIKDTDHLFLELPLLKDKLESYVNSMSVAGGWSQNAIHTTHAWLREGLKSRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTAEWEKWWKNPENVELYQFMGKDNVPFHTVIFPSTLFGTGENWTFMKTISVTEYLNYEAGKFSKSKGIGVFGNDVKDTTIPVEVWRYYLLANRPEVSDTLFTWTDLQAKLNSELLSNLGNFINRVLSFIAKDPASGYGSVIPDAHGIESHSSTKALAEKVGNYLDQYIEAMEKVSDTLFTWTDLQAKLNSELLSNLGNFINRVLSFIAKDPASGYGSVIPDAHGIESHSSTKALAEKVGNYLDQYIEAMEKVKLKQGLKIAMSLSGEGNAYLQESQFWKLYKEDRPSCSVVMRTAAGLVYLLACLLEPFMPSFSREVFKQLNLPESRLSLSDENGDIERAKKPWDILPAGHKIGTPAPLFKELKDEEVELYREKFAGSQADRTLRVEAEAKKITEQLSKAKISNEKTKKERSTKSAEAKSKAGASVSAEAEVSISRLDIRVGLIKKAQKHPDADSLYVEEIDVGETQPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNNDHTKVELVEPPQDAAVGERVTFLGFEGKPDDVLNPKKKVWETLQVDFHTNKELVACYKDIPFTTSAGVCKVSSISEGSIR >itb11g15630.t2 pep chromosome:ASM357664v1:11:13453191:13468678:1 gene:itb11g15630 transcript:itb11g15630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRDIVAESXALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENSTPQQICDKYHEIHKQVYQWFDISFDKFGRTSTPQQTEVCQSIFKKLLENNWLTENTMQQPYCDTCKKFLADRLVEGTCPTPGCNYDSARGDQCEKCGKLLNPTELKEPRCKVCRNTPCIKDTDHLFLELPLLKDKLESYVNSMSVAGGWSQNAIHTTHAWLREGLKSRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTAEWEKWWKNPENVELYQFMGKDNVPFHTVIFPSTLFGTGENWTFMKTISVTEYLNYEAGKFSKSKGIGVFGNDVKDTTIPVEVWRYYLLANRPEVSDTLFTWTDLQAKLNSELLSNLGNFINRVLSFIAKDPASGYGSVIPDAHGIESHSSTKALAEKVGNYLDQYIEAMEKVSDTLFTWTDLQAKLNSELLSNLGNFINRVLSFIAKDPASGYGSVIPDAHGIESHSSTKALAEKVGNYLDQYIEAMEKVKLKQGLKIAMSLSGEGNAYLQESQFWKLYKEDRPSCSVVMRTAAGLVYLLACLLEPFMPSFSREVFKQLNLPESRLSLSDENGDIERAKKPWDILPAGHKIGTPAPLFKELKDEEVELYREKFAGSQADRTLRVEAEAKKITEQLSKAKISNEKTKKERSTKSAEAKSKAGASVSAEAEVSISRLDIRVGLIKKAQKHPDADSLYVEEIDVGETQPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNNDHTKVELVEPPQDAAVGERVTFLGFEGKPDDVLNPKKKVWETLQVDFHTNKELVACYKDIPFTTSAGVCKVSSISEGSIR >itb11g00380.t1 pep chromosome:ASM357664v1:11:169888:173551:1 gene:itb11g00380 transcript:itb11g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPAFKDIIDKILEINEIDGEVFNADLKNTNAVENVLVDCGNEQSATAETNISVQCVRDDNGSVCSLPKEDGADRKRRQESFLDALNWVIMVARDPGDTSIGHLPHASKWKSYGTDKVWKQVLLMRKWMLLDSNTDSSYQHSIWQKSHRMNPSLYEVKTPVVSERVRCSQRIISAKDDSFKKRRTQLSTASSSTSVQSEEDPSDAPTDSTEDSDVGRWWSRPRKYRRPVGENFQADVPEWTGEIIGSDSKWLGTQIWPLPKDEKLRVLIERDPIGKGRQDTCGCSIPGSYMCVKFHITEKRLKVENELGSAFKLWKLDSSGDEVANSWTKEEEKKFEDIIKSQSPSKSFWDEIFKQFPTKNRDSLVSYHFNVFLLRRRGHQNRFTPTEIDSDDDESWYGPRTKSFGREVVKSSNSIFCSPAKAPRDP >itb11g00380.t2 pep chromosome:ASM357664v1:11:169999:173553:1 gene:itb11g00380 transcript:itb11g00380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSKRVDGSGLECLSALEKPEEKLGSEVGLKGSTITKEFEGEKVSNATGLLFIKFLSHLLKQVYGPQCFRPMPPMLGDKNPVDLFKLYAVVRERGGYETVSRNGLWGLVAKECGFDSPSGLPLKLVYAKYLDSLDRWLLQTHCKGKEELKIGVSMEMDPAFKDIIDKILEINEIDGEVFNADLKNTNAVENVLVDCGNEQSATAETNISVQCVRDDNGSVCSLPKEDGADRKRRQESFLDALNWVIMVARDPGDTSIGHLPHASKWKSYGTDKVWKQVLLMRKWMLLDSNTDSSYQHSIWQKSHRMNPSLYEVKTPVVSERVRCSQRIISAKDDSFKKRRTQLSTASSSTSVQSEEDPSDAPTDSTEDSDVGRWWSRPRKYRRPVGENFQADVPEWTGEIIGSDSKWLGTQIWPLPKDEKLRVLIERDPIGKGRQDTCGCSIPGSYMCVKFHITEKRLKVENELGSAFKLWKLDSSGDEVANSWTKEEEKKFEDIIKSQSPSKSFWDEIFKQFPTKNRDSLVSYHFNVFLLRRRGHQNRFTPTEIDSDDDESWYGPRTKSFGREVVKSSNSIFCSPAKAPRDP >itb06g04200.t1 pep chromosome:ASM357664v1:6:6780095:6783817:1 gene:itb06g04200 transcript:itb06g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEEAPMQSWGWMIGDESGIVEQIEITHFPDGREINVHSILSIITHILKDCDIHHDSSPEEVSEDEAETVDELVQHEIHKLSPKVAYLSSEARDVHEKTVDVFNMLSNYEWEAKLTLALAAIVTNYGEYWVVAQSNNPHKELTKMMKFLRQMGEINLADHKSHFDVLHALFQSMLDVIKGIMKIKDFMLQSSSDYDYEPTISIATTITVIASYWTVRSILISAPYIHSLFANDYEPSKERELRIMTRKLGALRMCLQNHEEKSLEKQQCIAEEKRYREMMCAFEEDHADNMRILKLLFKSKDNNNNNDLAPIVDCSTNQRVELESLKKKNVVVMLSSSGTDNIISNRIFDSLAKIWNDICELEGGNDECKLIWFPIVKQSVQQWNDSMQQKFEEIRSKMPFYSTSDPRCIHPTTIKFMKDKFGLKRESIQLVVIDGVGKILHHNAFHVLWMWPAMARSFMTENPSPSTKLAPFPLSMELLQEYYWTSHHWSFTDLFLGIDDKTIELISKTKHVWIIGGDNMELVKRLKTKIYALDQTNIVYIGKNRVTSWGNDDDNKSWLFWGRVESMLLSRLHFLRRSSGHNDENEDEAVKTLKKLLSFNKHNNPQLWLIFCCVKKDESQGGWRNECYVIENGLTTLDKVVSRRSWEGRFKILRDSSSELHNLGPPPRLQFYTATDETLRGNMMRCPHCQSVMEKNTVLSCCHHL >itb12g25340.t1 pep chromosome:ASM357664v1:12:26595245:26596916:-1 gene:itb12g25340 transcript:itb12g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP19 [Source:Projected from Arabidopsis thaliana (AT5G51910) UniProtKB/Swiss-Prot;Acc:Q9LT89] MASIDNRPQNFDVAADEEVNSPADPLLGDPNEFTITAVGPASGSVPLLKEEPEENDLQVALPLAGHMQVRTLEKPPPKRSSKDRHTKVEGRGRRVRMPAACAARIFQLTRELGHKSDGETIRWLLERAEPAIIEATGTGTVPAIAVSVNGELKIPTTSPATENEGDSAATKRRRKRGANSEFFDVNEPSNFAPVSPIAAQGLVPVWTVGSANGVVPTTAVPSGAFLMLPQPPSAAGPSSQPQLWAIPATATPVFSVPGRPISNFVSAMQPGVCFPQARMTSSLSNGGDNSGKEVSTMAPSCSSTSTTTATTTTTTTTTQMLRDFSLQIYDKKELQFMGASGSPSNDHPQKPPPQTPNQREEMTHQ >itb15g09090.t1 pep chromosome:ASM357664v1:15:6469094:6469411:-1 gene:itb15g09090 transcript:itb15g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNAAEFEFMKKGSGPTIFKVTKEKGQLFPYLKKVAVRISVLSLHSAEKRKRKLTKATFEFRILSYLIAFSDRFFSVFRIGISARTLSLLSLSLSVKRSLKWRR >itb12g28160.t1 pep chromosome:ASM357664v1:12:28194699:28198512:-1 gene:itb12g28160 transcript:itb12g28160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKLFSSSKDVDDGDKLSVVKMQTNSSPQKSFSRSSSRVSFQDDYDDSEFSGPFVVDDDDVTDPGKRLCSFNQARHQSGGHEPGVSLVRKSHVSAGTLISMLKKAPPLRQDSDSMNLLQDSTPGTINPVQMSDKPGVQHSSTSNFASSVNIPAKTTADALEELRGYRDMKDLLLKQGGKSQM >itb12g28160.t6 pep chromosome:ASM357664v1:12:28196118:28198512:-1 gene:itb12g28160 transcript:itb12g28160.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKLFSSSKDVDDGDKLSVVKMQTNSSPQKSFSRSSSRVSFQDDYDDSEFSGPFVVDDDDVTDPGKR >itb12g28160.t2 pep chromosome:ASM357664v1:12:28194744:28198482:-1 gene:itb12g28160 transcript:itb12g28160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKLFSSSKDVDDGDKLSVVKMQTNSSPQKSFSRSSSRVSFQDDYDDSEFSGPFVVDDDDVTDPGKSYIFNICECSSQMFNF >itb12g28160.t4 pep chromosome:ASM357664v1:12:28194744:28198482:-1 gene:itb12g28160 transcript:itb12g28160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKVF >itb12g28160.t5 pep chromosome:ASM357664v1:12:28194744:28198482:-1 gene:itb12g28160 transcript:itb12g28160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKVF >itb12g28160.t3 pep chromosome:ASM357664v1:12:28194699:28198027:-1 gene:itb12g28160 transcript:itb12g28160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHGNSNKQHSEPAKMEQIITEFFPKTLHIILESRCPYVSSRNYSGEQCLSSPSSSSSSSSSARPRDKWFNLALKDCSAALENIDFWRQSNLEPMFIDVVLVQRPNSWDAVNCSPKMEPVRSLSKERYWNLEHDEFGIEGKKEKIVERWIIQYESRKANIGHGSGSKRSSCTSSQILYKKTTLLLRSLYVAVRILPGYKLYRDLTSSAQIRTYNLCPRVSSFAEPFTRSEEAEMQQFVFTPVDTSCGRLCLSVWYRSSLSDFSSEPSTPMSPQFIPDYVGSPMAEPLKRFPSAPVPQFSQSSFPLERHHSWSYDLYRASPPSAIPSPSPTYSESQASISQMRAGHLPPTSRSRHLPDDTPQVHTKNTSFDEYLPSPTFSTSPSLSPPTHTPGSYIRKALLRSGSAPVNIPESRLLSVPFRVYNQMLPPSPPLKGIRPASTKMDVQPALLKSSSLVDKLFSSSKDVDDGDKLSVVKMQTNSSPQKSFSRSSSRVSFQDDYDDSEFSGPFVVDDDDVTDPGKRLCSFNQARHQSGGHEPGVSLVRKSHVSAGTLISMLKKAPPLRQDSDSMNLLQDSTPGTINPVQMSDKPGVQHSSTSNFASSVNIPAKTTADALEELRGYRDMKDLLLKQGGKSQM >itb13g17870.t1 pep chromosome:ASM357664v1:13:24779211:24785686:-1 gene:itb13g17870 transcript:itb13g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDANTERDLVSFVTEESKERPFSVVCGKEGLGKTTLVQKVYNKPEVRHKFTGCAWITVTHHLQRKTLCKDILSQIDYRPTKVDDDDDDDEALVGQLKLALHSNKYLIVLDGICSMEALLNAMPADKKMPSKVVITTRNKEDTKKFIVQERVRFLEMSPLTEDQSWQLFLKTQLHCHPWSSVFVDDFESTLKEICKKCEGVPLALKLLGNLMAWKERIEWNELRQQECCVSAQQLLELSYSLLPEHLKKCLHYLALFPEETVDIEADKLCNLWIADEDINKMMINTPSQPQDGMLSTAETYLQKLATLGFVQVQEPTKIKSCSLPHYVRDYLCSGKSKEESFFETHQLQVRGLAFYFDKRVGEYAFLLKPKETDKEVRLSILFLNTRQQDDHLLSPKSLDLTNCKLLRALDFNWLDFGDKFPQDINKLAHLRYLSFRDCYLKKLPASIGKNLETLDLRVNPKVVYEIMSISNVLRQLKRLRSLYLPYKFADETGQTKLQLGHLSELENLQNFVSTHCQANDLRSLEKLRYLAATIDGVEDLEVTIECLQREGTSKSSSIHLENIDFYSGGRSRGAPALSSLLGCVSLNALHVHGQIRTLPWPISDKLTEIFLIASELEEDPMPLLGDLRKLQKLGLGNNAFLGDQIICRKSGFPELRYLKLSILPELKTWTLQEGAMLKLSTLIIENCKSLQTLPDGLSTLQELSFVNMPETFTDKYERQGEDFSKIKHVLSIKIINPKS >itb13g17870.t2 pep chromosome:ASM357664v1:13:24779211:24785663:-1 gene:itb13g17870 transcript:itb13g17870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDANTERDLVSFVTEESKERPFSVVCGKEGLGKTTLVQKVYNKPEVRHKFTGCAWITVTHHLQRKTLCKDILSQIDYRPTKVDDDDDDDEALVGQLKLALHSNKYLIVLDGICSMEALLNAMPADKKMPSKVVITTRNKEDTKKFIVQERVRFLEMSPLTEDQSWQLFLKTQLHCHPWSSDDFESTLKEICKKCEGVPLALKLLGNLMAWKERIEWNELRQQECCVSAQQLLELSYSLLPEHLKKCLHYLALFPEETVDIEADKLCNLWIADEDINKMMINTPSQPQDGMLSTAETYLQKLATLGFVQVQEPTKIKSCSLPHYVRDYLCSGKSKEESFFETHQLQVRGLAFYFDKRVGEYAFLLKPKETDKEVRLSILFLNTRQQDDHLLSPKSLDLTNCKLLRALDFNWLDFGDKFPQDINKLAHLRYLSFRDCYLKKLPASIGKNLETLDLRVNPKVVYEIMSISNVLRQLKRLRSLYLPYKFADETGQTKLQLGHLSELENLQNFVSTHCQANDLRSLEKLRYLAATIDGVEDLEVTIECLQREGTSKSSSIHLENIDFYSGGRSRGAPALSSLLGCVSLNALHVHGQIRTLPWPISDKLTEIFLIASELEEDPMPLLGDLRKLQKLGLGNNAFLGDQIICRKSGFPELRYLKLSILPELKTWTLQEGAMLKLSTLIIENCKSLQTLPDGLSTLQELSFVNMPETFTDKYERQGEDFSKIKHVLSIKIINPKS >itb09g09630.t1 pep chromosome:ASM357664v1:9:5912207:5914675:1 gene:itb09g09630 transcript:itb09g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPHSLSSLLFFFFFFFILFCFHSCTPSEAKQLFQLERGHSLSVEDANSTFLTSPDNTFTCGFYGLGSNAYWFAIWFTNSRDRTVAWVANRARPVNSRGSKVVFGKNGALTLTDVDGISVWETNTTGTTVNTAELLDTGNLVLRDPQGDVLWQSFGFPTDTLLPSQLFTKNYRLVSALREGSFEPGYFSLYFDGDNVLKLIYDGPEVSGLYWPNPDFDAYTNSRTNQNSTRIAFLNNLGRFFSSDRQQHNLYLNASDAGSEMKRRITMDVDGNLRIYSLDDSTGLWKVTWQALQQPCGVHGICGKNAICNYSPEPKCSCPPGYVLSNSSDWSRGCKALVNQATLVTLPVKFLEIPHVDYWGFDLNYTQPFSLEDCRKLCLEDRRCVAFSYRRNGEGKCFTKSTLFNGYRSPDFPGSIFIKLPRDLALPVPESGIVILNRSNLVCTEDAAEILVGSPSMYEEKPKRFRWIYLYSFCSAFGVIELLVFLLGWWALFSKHGIPASIENGYCVLSSQFRRFTYAELKKATKNFKVELGRGGSGAVYKGVLVDGRAVAVKRLGDEFQGEEQFWAEMTTIGKINHMNLVRMWGFCAEGKHRLLVYEYVQNSSLDNHIYTSNFLGWKERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLTGDLEPKIADFGLAKLSQRGDPGSYFTKIRGTKGYMAPEWALNQPITAKVDVYGYGIVILEMVKGNRLSGWVVEESGYQQETDIKKIFWSIKQKFLLKDESWVDDVVDKRLEGKFCRNQAKTLIKVGLACVEEDRNMRPTMASVVQTLLDCEDEKTTQSPNVLYI >itb10g24280.t1 pep chromosome:ASM357664v1:10:28190346:28191977:-1 gene:itb10g24280 transcript:itb10g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGAKLIVFHPSLQKQGLGIMPAASPRVLFLVFVSFFAFAFALSFFSGRDAVPAPGSGGGVSARSELPEPVMDALVHYATVNTTAVASRMSAAEVSAVAATLRRCGPACNFLVFGLTHETLLWRSLNHKGRTVFIDESAYMVSKMEEKHRGIEAYDVPFTTKVSELYDLLEHAKEQLKKECRPVQNLLFSDCKLAINDLPNHIYDVAWDVILIDGPRGYLPSAPGRMSPIFTAGVLARSKPGAGNSKTHVFVHEIDRDVERVTSERFLCRDNMVDSVDSLGHFVVGKLNPNDYKFCSKSESSSSSSKPSMADE >itb03g17820.t1 pep chromosome:ASM357664v1:3:16258465:16259787:1 gene:itb03g17820 transcript:itb03g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKCQKAGGHSLCANNCGFFGSSTTLNLCSKCYKDHCIKEQQMKEAHLAMEGSLKGRNSSEYSSSSSSSSSSSSSPSPAAAAPAACDSSSRPEEVGSAEAAMPGAGPPRPNRCGTCRKRVGLTGFKCRCGVIYCGTHRYPEQHGCTFDYKTMGREAIAKANPLVKAEKLDKI >itb01g19240.t1 pep chromosome:ASM357664v1:1:25201171:25201512:-1 gene:itb01g19240 transcript:itb01g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPKMDSNPFLILTSPTARLALFRQILDGISPLTHAAEDRVLATLKNNQHLMDDLTTKESRELMAMTRNLVADPWILPDDDDVAEEAVNISMQADPKPPSLKSVSFLSSS >itb06g26200.t1 pep chromosome:ASM357664v1:6:27632577:27633650:-1 gene:itb06g26200 transcript:itb06g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSFNFLPLSADLCLHKLNVETAVVLAIPNSVKISASSSSISMSRISPILLLVIVILAVIFFLFGLFHLLVRYLMKRPSFSSVSQSNRFPETTSGSQALQRQLQQLFRLHDSGLDQAIIDNLPLFLYKDIMGLKEPFDCAVCLCEFSGDDKLRLLPLCSHAFHIHCIDTWLLSNSTCPLCRGVISSSAHPNLVLAPEEWRRRWSRPSSGIHNKTQIMQENNGGDMRVFSVRLGKFRNLNLQGQGGSGSGERGGDEEKESSSRCNIDARRCFSMGSFQYVVGDSDLQVALPSIISNGGATKVVNVEGPSGGSSSLEGKKISARTEGESFSVSKIWLWSKKDNYKFSSHNPLALANH >itb15g06080.t1 pep chromosome:ASM357664v1:15:3990173:3994017:1 gene:itb15g06080 transcript:itb15g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYTSFSIVPLFLCVLLFQEVVSDLKADRQALLDFASATPHGRRLNWSMKASICTSWVGVTCSADGTRVVQLRLPGVGFSGPIPENTIGRLDALTTLSLRSNLLSGSLPSDVLSLPSLQNIYLQRNNFSGDIPSTLSPEFNFIDLSFNSFTGNIPVKVQNLTHLTGLNLENNSLTGSIPDLNLPNLKQLNLSNNLLNGSIPPSLKRFPSSSFGGNSLLCGPPLNRCHSIAPSPSPSPSPSPSPLPSPSPSPLPSPSPTNSNSKPPPRSPGQLPLSPKAPENQKAKSKLSSGSIIAIAAGSTAAIIFGFILVMALCCMKGFYTKKGATTGKNLNAERTAPKEDFSSGTQDAEKNKLIFFDGCSHNFDLEDLLRASAEVLGKGSYGTTYKAILEEGVTVVVKRLKEVVVGKREFEQQMQTIGTLSHHPNVVALRAYYYSKDEKLLVHDFVARGSLSALMHGNRESRMALDWNTRLKIAVGAARGVAHIHSVPGARLTHGNIKSSNVLLTQDLNGCISDFGLTPLMGFTTIPPRSAGYRAPEVIETRKSTQKSDVYSLGVLLLELLTGKAPVQSAAQDEVVDLPKWVQSVVREEWTAEVFDAELINYHQSVEDEMVQLLQVAMACVGKVPEMRPKMDEVVRMIEEIRQPDFDNRPSSEDNKSRSPSSSAV >itb15g06080.t2 pep chromosome:ASM357664v1:15:3991607:3994017:1 gene:itb15g06080 transcript:itb15g06080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYTSFSIVPLFLCVLLFQEVVSDLKADRQALLDFASATPHGRRLNWSMKASICTSWVGVTCSADGTRVVQLRLPGVGFSGPIPENTIGRLDALTTLSLRSNLLSGSLPSDVLSLPSLQNIYLQRNNFSGDIPSTLSPEFNFIDLSFNSFTGNIPVKVQNLTHLTGLNLENNSLTGSIPDLNLPNLKQLNLSNNLLNGSIPPSLKRFPSSSFGGNSLLCGPPLNRCHSIAPSPSPSPSPSPSPLPSPSPSPLPSPSPTNSNSKPPPRSPGQLPLSPKAPENQKAKSKLSSGSIIAIAAGSTAAIIFGFILVMALCCMKGFYTKKGATTGKNLNAERTAPKEDFSSGTQDAEKNKLIFFDGCSHNFDLEDLLRASAEVLGKGSYGTTYKAILEEGVTVVVKRLKEVVVGKREFEQQMQTIGTLSHHPNVVALRAYYYSKDEKLLVHDFVARGSLSALMHGNRESRMALDWNTRLKIAVGAARGVAHIHSVPGARLTHGNIKSSNVLLTQDLNGCISDFGLTPLMGFTTIPPRSAGYRAPEVIETRKSTQKSDVYSLGVLLLELLTGKAPVQSAAQDEVVDLPKWVQSVVREEWTAEVFDAELINYHQSVEDEMVQLLQVAMACVGKVPEMRPKMDEVVRMIEEIRQPDFDNRPSSEDNKSRSPSSSAV >itb06g20100.t1 pep chromosome:ASM357664v1:6:23179777:23180205:1 gene:itb06g20100 transcript:itb06g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGPRNDRLEEAVGSSNINRLIVSLLYLPKGKKISESSFLNRKESTGVLSITKRNSSCKISNETVAEIEILFKEKDSKSLEFLFVYYMDDDSTHKDHDWKLADPISLERLAKDWISYLMSAFREKRPIEAGVFFKQWTIL >itb12g26330.t1 pep chromosome:ASM357664v1:12:27293008:27295110:1 gene:itb12g26330 transcript:itb12g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT5G53190) UniProtKB/TrEMBL;Acc:A0A178U9N2] MEDRLRLAVGVMGNAGSLMLYSAPMLTFATVIKKRSTEEFSCVPYILALFNCCLYTWYGLPVVSHRWENFPVVTINGLGIVLELSFILIYFCFASNAGKKKVALMMIPVVGMVLATMAISTFSFHDHRRRKVFVGSVGLVASVSMYSSPLVVVKRVIKTKSVEYMPFYLSFFSFVTSSLWMAYGLLSHDLVLASPNLVGCPLGMLQLLLYCKYRKKDQTVGEEPHKWDLESSHDDEDNTKLNNGEAVGAVKLEA >itb12g18450.t1 pep chromosome:ASM357664v1:12:20749046:20750406:1 gene:itb12g18450 transcript:itb12g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIHEENNAQIRSSLSQLILKSGSNNTLDSIFSHCHQESNPNPSPVVQPLGSSVYLRQRDLLQKFCEENRTNPEVSRADPFKNFLYANPAGGKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDSAEAAAYAYDRAAYKLRGEYARLNFPDLRDPARLGFGDHANKFNAVKNAVDAKIQAIRQKVRREKAKKEAKKSDESVMAVESSSCSTLAGNESWSSTISPSVSEDGFWMSDTSPVSGGELAAGAVAEQTSEMEDCFLARMPSFDPELIWEVLAN >itb03g10070.t1 pep chromosome:ASM357664v1:3:7838914:7842364:1 gene:itb03g10070 transcript:itb03g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGEMWDLNDSPDQRGDDESEDGCSSPVDGDDDKGKRVGSVSNSSSSAVVVEDASEEEEEDDDGGKNKKKKAAAAAGKIFGFSVAAGPKDDNCSSPVTRQFFPVDESEMLAGAGDGDGSIYLPRAHWVGVKFCQSEPHAGSAAAEKPTEVSQQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYENELSSATETCENAGDDHNLDLSLGSSSSKQGGREVGEENVGHNSSAMQFEMDWRQPGVVSNKQAIPADRRREGYNEAEAMQLLSRTHLHSPASNDKIHTTYGHFVKPGGESHMLQMFSPHFSSPSYQFPSSSNSGGRIGWGGVGDLSLSTTTTSSNHVIPPQWHPSNPPPPQLFATTAAASSGFPQQTLRPQNWPHKNNGFHYSFMRPP >itb03g10070.t2 pep chromosome:ASM357664v1:3:7838914:7842364:1 gene:itb03g10070 transcript:itb03g10070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGEMWDLNDSPDQRGDDESEDGCSSPVDGDDDKGKRVGSVSNSSSSAVVVEDASEEEEEDDDGGKNKKKKAAAAAGKIFGFSVAAGPKDDNCSSPVTRQFFPVDESEMLAGAGDGDGSIYLPRAHWVGVKFCQSEPHAGSAAAEKPTEVSQQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYENELSSATETCENAGDDHNLDLSLGSSSSKQGGREVGEENVGHNSSAMQFEMDWRQPGVVSNKQAIPADRRREGYNEAEAMQLLSRTHLHSPASNDKIHTTYGHFVKPGGESHMLQMFSPHFSSPSYQVSTLYSHMFAYIHACMSYLQHIIQFPSSSNSGGRIGWGGVGDLSLSTTTTSSNHVIPPQWHPSNPPPPQLFATTAAASSGFPQQTLRPQNWPHKNNGFHYSFMRPP >itb03g16180.t1 pep chromosome:ASM357664v1:3:15237046:15238267:1 gene:itb03g16180 transcript:itb03g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPIPSLLIIIFLISRFCADGTQLIVVNNCRETIWPGILGNSGHDTPNNGGFRLTFGQQLILNLPETWSGRIWARQGCCFDGNGKGSCQTGDCAGQLHCAGAGGAPPATLVEMTLGTPANAKHYYDVSLVDGFNVPVAMIPVGGGAGCGVAACEEDLNACCPRNLAVMCRGNVVGCKSACLATKDPKNCCTGEFAERNRCKPSAISHLFKVICPRAYSYPYDESTGLKSCRAPRYVITFCPPN >itb01g23230.t1 pep chromosome:ASM357664v1:1:29296513:29297905:1 gene:itb01g23230 transcript:itb01g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVTSPGSCVLQTSGSEEDLQTLMDQRKRKRMISNRESARRSRMRKQKHLDDLMAQVSQLRKENNQIVTAMNATTQQFLNVEAHNSILRAQVAELSHRLDALNEIASFVNSNNGGFAALQEEVNYCYGNFESPPADAFFATANNNSWNFLSGNQPIITSASAADILQY >itb14g07460.t1 pep chromosome:ASM357664v1:14:6872033:6879066:-1 gene:itb14g07460 transcript:itb14g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDVRKVSCEDLQMVQSRIWQCLQLYMSREEVVNNLFVHDNIEPSITELVWQKLEDENQEIFQAYFLKLMVKEQILKFNQLLAEHLKLMHQIGPSAIATLPVSNGSHISPILQNSARHTADNSGITPKLEGMHKSVPTNLPNNYSNRSSSFHPRIQTAVNMPLQKVKVDVNASRLTQTSNVVMTQTMNGKMIKPESVYESGPTFNFGAHGNIFESPPALGDASVSSFSSAESNSHPETLFDADTSSFGFLGPISQNFNLTDYTADFSNSSDILESYSRSPFLATDTGNLLDPSGDIERLANSSDGLRYKGFSSD >itb14g07460.t2 pep chromosome:ASM357664v1:14:6872033:6879007:-1 gene:itb14g07460 transcript:itb14g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDVRKVSCEDLQMVQSRIWQCLQLYMSREEVVNNLFVHDNIEPSITELVWQKLEDENQEIFQAYFLKLMVKEQILKFNQLLAEHLKLMHQIGPSAIATLPVSNGSHISPILQNSARHTADNSGITPKLEGMHKSVPTNLPNNYSNRSSSFHPRIQTAVNMPLQKVKVDVNASRLTQTSNVVMTQTMNGKMIKPESVYESGPTFNFGAHGNIFESPPALGDASVSSFSSAESNSHPETLFDADTSSFGFLGPISQNFNLTDYTADFSNSSGDIERLANSSDGLRYKGFSSD >itb10g15560.t1 pep chromosome:ASM357664v1:10:21767496:21771279:1 gene:itb10g15560 transcript:itb10g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDYMDKMQLRQNYRNLWHTDLMGTIQADTPYCCFALWCGPCVSYLLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEVCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACIFSIIAMIVGSEEIQEASQLLSCLSDMVYCTVCACMQTQHKVEMDKRDGKFGPQPMSVPPVQQMSRLDQPYPPNVGYPAPAYGQPYAQPPPQAQGYPASGYPPAGYPPAGYPPAGYPPAGYPK >itb03g17660.t1 pep chromosome:ASM357664v1:3:16185349:16188751:1 gene:itb03g17660 transcript:itb03g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMAVYCFDTLVAYYNSEQTPPPAFDEGQHPLFVTWKKAVNGSEPLLRGCIGTLEACGLINGFKDYALTSALRDRRFPPIQAKELPSLECSVSVLTNYETALHYLDWEVGKHGIIIEFIDTDYNITRSATYLPEVAAHEGWTKTKAINSLMCKAGYTGAITELLRKNVQLTRYESTVFTLHYREYVAYVKTTRGVAPNINGTDQETFDLQNKFSNPKMI >itb15g13840.t1 pep chromosome:ASM357664v1:15:11905918:11908996:1 gene:itb15g13840 transcript:itb15g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRIDSVSPDLKVKPSRIDSVSPDLKKESTELLVSPALVGDSLHYECLLFLEQRPVWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSSSGIKAWASAIKREQ >itb01g24240.t1 pep chromosome:ASM357664v1:1:29924937:29927081:1 gene:itb01g24240 transcript:itb01g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGRRSTLNPNAAPFVPASVRQVEDFSPEWWDLATNSTWFHDYWVGQKEGSEYGSNEAGFGNDDIADLLPDNIDLDVDEDILNMEDQYEEFLQSIETGQGNNPFVNSINGMSESGSAKRPDALVKSLSLPKERGPKSLVPPRYYEKPAKVVSPRCCLRRIQQPR >itb03g11040.t1 pep chromosome:ASM357664v1:3:8921352:8923470:1 gene:itb03g11040 transcript:itb03g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLWTTLAVLVAVSLIHGLVKKMKGKKLAPGPRGLPILGHLHLLGKNPHHDLNNLAKQYGPIMHLRFGFADIIVASSPQAAQLFLKTHDLVFASRPPSEAAKYISYGQKNLSYSQYGPYWRNMRKLCTLELLSNLKINSFQATRREELCCLIESLKRAASDRVAVDLSFNVSELIADMSCRMVFGKKYEMKDLDERGFKGVIKEDLQLVGKVNFGDYFPFLGKLDLQGLTKRMKVISKIYDQFFERILDDHEQSGSSDHQTKDFVDIMLSIMMSGETEFQFNREHIKTTLLDMLAASVDTSATLIEWIMSELLRHPLIMKKVQEELESKVGLDRMVEESDLEGLKYLEMVMKESLRLHPVAPLLIPHEAREDCVVDGFYIPRKSRILVNVWAIGHDPNVWADPEKFIPERFEESNIDYRGRDFELIPFGSGRRSCPGLHLGITLARLVVAQLVHCFDWEFPNSMPFEELDMIEEFGLVVTRANHLMVIPNYRLHI >itb02g02070.t1 pep chromosome:ASM357664v1:2:1133202:1137811:-1 gene:itb02g02070 transcript:itb02g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLGRDSLSSASNSSAVVNNAIGRLESTESGGSAPWSSCSYGSPKSSNSPNKLSISSQEKHPEYTEEGIGQCSLHITRTSIPDAAQGEDANIEELKAEARMWELNARRLMVKMETLRKEFANQSQHIADMEMELSTAHTESNRLMQNIKHLEVLLEESIAKQKAAENLNLQGKNTENILKEMEDELKFQTESNSNLSLQLRKSQESNVELVSVLQEMEETVQKQKIEIENLLALNSRSDVMGVINSCRDEDNVQPRSTEPVSVEKQCQEFKVQHLQESHMSLENIIICQEKAQEEKIHEIELEQDLRAQGRLELESKSSNAEESEANKPNMHLIEEIESLKKTIQELERECNELTEENLELLFKLKESRKDPSENHDILSSKSLEGPQSESLSGSDFETSKHGFQFLLLQKEVIEEEVPNSLQIHSSGQRENHTCLEPNFQAFKCKDCDLNVKLHACCVKETDKHATEITANPSEGQRDEIDSRNFTLKDVSDRNRYSEPETTFDIDSLVPALCEQLEILFHNVNGVEHMLFSPVNIELRNATFYKDISRGTDPMAQKEHAKAVLDKLVQLLRARLVSCTNDKYSEDGARTEVNNTYEIKDKSDYGCSTEKDPCSSHQGYKNFKELEVKDESIGNNVLTKNSKMLEVKTGCLEEDVGLKALNHCQRDLDSKIYDHQMVAWMVENDMEKVQTEKDDITSDIMREDMMVVTGRILGNISEKIQEMKILELENEKQELESYVFELEKENMQLSERVSGLEAQLRHLTDAMELSRMELQHKGTQLCNLQGKIRELEKEKESQELELKQRLMEMQKRWLDSQEEGEYLKKANPKLQATAESLIEECDSLQILNGELRRQRLELKVQCNLLEAELRKYQHSLSNCLSKIESLEARLSSILEETSSKEKIMNSEIEKILLQTKEFKERLVIEESLQSQLCQQKLSELEYPQQKSLHLVNQMFDPSDREDGKASEGSHEMHSLFAENKMLKLTIQEIQQNLEITEVKLVTTQMEYGRKVYELMGELNAHKTNQEVLEAKSDKLQRWLENVISSESNLKCSIDNLESKLLFSESERLQLADANSSLKIELLKIAFLRDEVLALKGSLNDMKFKNERLEASLQLICGENEELKADKTSLIQELTGMQNSVTELEECRLRKVVLEEKVLRLEGDLTAREVTCAMDAELKNDLSQSKRVNSQLCWKIKQLELERHYLSKELLSIQEELNRKENSVRSTSHSVAKDKTASCKELKLSEDGSSQCNDCETIQIASIDCASRSQLEDEKTQFKSLQPEGQSTKSGKEKENEEKILQLEDELKDISERYLHMSLKYAEVENQKGKLVMTLKALQDQRIGTVS >itb15g24170.t1 pep chromosome:ASM357664v1:15:27020570:27023500:-1 gene:itb15g24170 transcript:itb15g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGGERKNSNNNNDEENKLVEEGGGRANQPFHHNRHRIIPSNVVKILVLFTILTLSCLLLYQSTSAGKLFPNLSNLKHGNFSATSSPSTAPNKTIPSSSISMEKVVENSVEKVLEKAAMANRTVIITTLNAAWTTSGNLFDLFLESFRIGNQTEGLLKHLVVAAVDKTAYARCRELHPHCYNLSTDGDDFSGEANFMTKHYLKMMWRRLDFLREVLEMGYSFIFTDADIMWLRQPFSQLYPDADFQIGCDHYGKYESSDLNNYANGGFYYVKSNNRSIQFFKLWYKSKDAFPGKNEQDVVNVIKNDPFIKQIGLKIRFLNTAYFGGFCDPKQDLNLVCTMHANCCVGLGSKIRSLKMVIDDWKKYMGLASNEKTSKPRTWTVPRCG >itb13g15790.t1 pep chromosome:ASM357664v1:13:22731490:22735313:-1 gene:itb13g15790 transcript:itb13g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSKRSIWRLKTITDFFWAIINFIGVFFATMFSMEKSDAYRKGTGSSKKWDGGGPGGPGSGPYGGGPGGRPRGLDNVRGIDHSSLPACGSCCGG >itb09g11880.t1 pep chromosome:ASM357664v1:9:7430646:7431301:-1 gene:itb09g11880 transcript:itb09g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPKAPPTPPPAAASQKSDSGAPSNKEDPDTIRKPKRQKNCLPPLDSVVLRGSSNSGGFSSFTFDTKIADDWTPETTPRFGSFNGCVKAGKVRSDDRIEGRKQGGEVMMISDGDDDNDKKRSLG >itb04g20180.t2 pep chromosome:ASM357664v1:4:24716019:24720557:1 gene:itb04g20180 transcript:itb04g20180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSSAASWKPVDVVSDQFPVGLRVLVVDDDPTCLRILEKMLRNCHYEVTTCNMAEVALSLLRENKNGFDIVLSDVHMPDMDGFKLLECIGLEMDLPVIMMSADDSKNVVMKGVTHGAYDYLIKPVRIEALKNIWQHVVRKRKQEMKDKDIEQSGSVEEGDRQQKPSEDVDYSSSANEGNWKCLKKRKDEEDEGEERDETSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSGLNSSFMGPPDTTFGTMSSLNGLDFQTLAATGQISAQSLASLQAAALGRSATKPAISMPLVDQRNLFSFENPKFRFVEGQQPLNNNSKQIGLLHGIPTTMEPKQLANLNQSSQTFGSRGMQPRGMQPRAHQNNSLLMQMGPPQSQAHMLNEPNGTQVSRVTQPILSNGMPSELLARNGIVDNSRGAIYQPVSQAQPLVDFSVNQNTEMQGNSFISGNSGMSCLASKRIEVNSDVKRPIGGFAPPSYDIFNDLQQHKAQDDWGMGAVFEASRLPNAQGTLDASQSVMVQQGFSSSQNSGQNGGMSIGKAVFPSGQESGNPMVGPQLNSLLSGNSITIKAERLPDASYQNTLFSDQHGQDDLMSALLKQQESVGPVENEFSFDGFQLGNLPV >itb04g20180.t1 pep chromosome:ASM357664v1:4:24716019:24720557:1 gene:itb04g20180 transcript:itb04g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSSAASWKPVDVVSDQFPVGLRVLVVDDDPTCLRILEKMLRNCHYEVTTCNMAEVALSLLRENKNGFDIVLSDVHMPDMDGFKLLECIGLEMDLPVIMMSADDSKNVVMKGVTHGAYDYLIKPVRIEALKNIWQHVVRKRKQEMKDKDIEQSGSVEEGDRQQKPSEDVDYSSSANEGNWKCLKKRKDEEDEGEERDETSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSGLNSSFMGPPDTTFGTMSSLNGLDFQTLAATGQISAQSLASLQAAALGRSATKPAISMPLVDQRNLFSFENPKFRFVEGQQPLNNNSKQIGLLHGIPTTMEPKQLANLNQSSQTFGSRGMQPRGMQPRAHQNNSLLMQMGPPQSQAHMLNEPNGTQVSRVTQPILSNGMPSELLARNGIVDNSRGAIYQPVSQAQPLVDFSVNQNTEMQGNSFISGNSGMSCLASKRIEVNSDVKRPIGGFAPPSYDIFNDLQQHKAQDDWGMGAVFEASRLPNAQGTLDASQSVMVQQGFSSSQNSGQNGGMSIGKAVFPSGQESGNPMVGPQLNSLLSGNSITIKAERLPDASYQNTLFSDQHGQDDLMSALLKQQESVGPVENEFSFDGFQLGNLPV >itb15g03500.t1 pep chromosome:ASM357664v1:15:2218635:2220235:-1 gene:itb15g03500 transcript:itb15g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCKLTGMLPLFFAAAPLMLCVPPVRSLTLFVGSVEKLLRGGGAVYTRRLAAHLHRALSRYLFPAF >itb09g08510.t1 pep chromosome:ASM357664v1:9:5071169:5074336:1 gene:itb09g08510 transcript:itb09g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLQLRMFSILVGSAKNSHQNLVQSIASANSKRRNRTQIPLPHRTIPEPIGQDLDFVNVAHSHLTHSDWAKLESLASRLTPFRVKHVLLKAQKDYVLSLEFFRWVELKNPKLHTLETRSIILHVLTKNRKFKSAESIVRKSIEAGIDLPGKLFDAVLYSYRVCDSSPRVFDLLFKTCAHMKKFRNATDMFCSMKEYGFLPTVESCNAYISSLMSLNRADIALAFYREMQRSRVSPNVYTVNMVIGAFCKSGKLEKAVEVFREMENINLKPNVVSYNTLIAGHCNNGLFNVAMKLKNSMEQNGVCPNNVTFGTLIHGLCKEGKLHEANKLFSEMKRVGVAPSVVTYNTMINGYSKVGNSEMGNRFYEEMSSNGVKADILTYNALILGFCREGKTKKAAYLVKELDKVGLVPNSSTFSALIIGQCVRKNSDRAFQLYKSMVRAGCHPNESIFNMLVSTFVKNEDYDGVLQVLKEMQERSVTIDSVILTEILDGLCKCGKEEVVRKLFQEIEARCLMPKGFDQTRIFGSR >itb11g01900.t1 pep chromosome:ASM357664v1:11:936088:939426:1 gene:itb11g01900 transcript:itb11g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSLDGMMTDLDMNHDPSDPGPPSDPVLGFGSFLNDLQTAYNRIGERIDSFLNDLGTAHNNVIEERIRQLEAVTARVRARNTWRQARNSVGVNSVLVEENVYVEGSGDVAGMNVVPGRGCKRDSSHLVAKALAMDSETGKVEKDVQGFFDCNICLEMAREPVLTCCGHLYCWMCFFQLPYVYSTMKECPVCKGEVTDATVIPIYGNGNRNYEKELESGLKIPPRPKAQRVESVRQQRGGRGLSHIRVAQALGRIRASMGLGDQPQQQLSGGSNVSSITGSQESQNPNSNSPVFSRVRVRILSENSASLSSQGDNAQRIFEDFAPSTGPSQQRISAPGLPIGDDVMRDVDSFGRDDTFPRTERQVLDSTTEINSPASIPSSSRASDVSDSVTRLENLITATLAEINMHVRPASSSSSSQRRLSLLRLSDIDSLVSRETRRRRLN >itb11g01900.t2 pep chromosome:ASM357664v1:11:936091:939426:1 gene:itb11g01900 transcript:itb11g01900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSLDGMMTDLDMNHDPSDPGPPSDPVLGFGSFLNDLQTAYNRIGERIDSFLNDLGTAHNNVIEERIRQLEAVTARVRARNTWRQARNSVGVNSVLVEENVYVEGSGDVAGMNVVPGRGCKRDSSHLVAKALAMDSETGKVEKDVQGFFDCNICLEMAREPVLTCCGHLYCWMCFFQLPYVYSTMKECPVCKGEVTDATVIPIYGNGNRNYEKELESGLKIPPRPKAQRVESVRQQRGGRGLSHIRVAQALGRIRASMGLGDQPQQQLSGGSNVSSITGSQESQNPNSNSPVFSRVRVRILSENSASLSSQGDNAQRIFEDFAPSTGPSQQRISAPGLPIGDDVMRDVDSFGRDDTFPRTERQVLDSTTEINSPASIPSSSRASDVSDSVTRLENLITATLAEINMHVRPASSSSSSQRRLSLLRLSDIDSLVSRETRRRRLN >itb12g08070.t3 pep chromosome:ASM357664v1:12:6228692:6232467:1 gene:itb12g08070 transcript:itb12g08070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQRESLITGIKFENRRIVEECPDKKVDGFGRFWGFNCENGDSQGYRWELNERVSDDVADLLPPDPFDMDIGTTVTGVTRITGLIEGIEKDRGLKTLGFEADEIEVGVGEVDNQLFAGFNFLWNTPIANNQKMGGAENSAASCAMDFGNGLFNSHLLFDGKREEFMGFHYEKYCFYNDATNIKHQGITEADFGGAVAPPDALFLALGKLGVKDLLSVERVCKSLCDSVQDDPLLWRSIHIDHSLSDKITDDALLKLTNRAQGNLNSLSLVECSKISENSLKLVLERNPRLAKLSVAGCTKLRLDSLLSIFKSIKCAGNLGIKCLRIGGLFGITNEQFEELKILLGVNNGQLPTVCKLHFYRGDQLYLPLDDGRAIDIEICPRCQELRLVYDCPSESCQKMQHGNQLCRACILCVPRCISCGCCLSNCEYEETFCLENLCFSCIKEFLPKHAFFHQQASYHFFVCG >itb12g08070.t2 pep chromosome:ASM357664v1:12:6228678:6232467:1 gene:itb12g08070 transcript:itb12g08070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQRESLITGIKFENRRIVEECPDKKVDGFGRFWGFNCENGDSQGYRWELNERVSDDVADLLPPDPFDMDIGTTVTGVTRITGLIEGIEKDRGLKTLGFEADEIEVGVGEVDNQLFAGFNFLWNTPIANNQKMGGAENSAASCAMDFGNGLFNSHLLFDGKREEFMGFHYEKYCFYNDATNIKHQGITEADFGGAVAPPDALFLALGKLGVKDLLSVERVCKSLCDSVQDDPLLWRSIHIDHSLSDKITDDALLKLTNRAQGNLNSLSLVECSKISENSLKLVLERNPRLAKLSVAGCTKLRLDSLLSIFKSIKCAGNLGIKCLRIGGLFGITNEQFEELKILLGVNNGQLPTVCKLHFYRGDQLYLPLDDGRAIDIEICPRCQELRLVYDCPSESCQKMQHGNQLCRACILCVPRCISCGCCLSNCEYEETFCLENLCFSCIKEFLPKHAFFHQQASYHFFVCG >itb12g08070.t1 pep chromosome:ASM357664v1:12:6228678:6232467:1 gene:itb12g08070 transcript:itb12g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQRESLITGIKFENRRIVEECPDKKVDGFGRFWGFNCENGDSQGYRWELNERVSDDVADLLPPDPFDMDIGTTVTGVTRITGLIEGIEKDRGLKTLGFEADEIEVGVGEVDNQLFAGFNFLWNTPIANNQKMGGAENSAASCAMDFGNGLFNSHLLFDGKREEFMGFHYEKYCFYNDATNIKHQGITEADFGGAVAPPDALFLALGKLGVKDLLSVERVCKSLCDSVQDDPLLWRSIHIDHSLSDKITDDALLKLTNRAQGNLNSLSLVECSKISENSLKLVLERNPRLAKLSVAGCTKLRLDSLLSIFKSIKCAGNLGIKCLRIGGLFGITNEQFEELKILLGVNNGQLPTVCKLHFYRGDQLYLPLDDGRAIDIEICPRCQELRLVYDCPSESCQKMQHGNQLCRACILCVPRCISCGCCLSNCEYEETFCLENLCFSCIKEFLPKHAFFHQQASYHFFVCG >itb03g26380.t2 pep chromosome:ASM357664v1:3:25926382:25930586:-1 gene:itb03g26380 transcript:itb03g26380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDPPNPISKPTSSSLKSSALTPTKSTWVLPYRTQTLQHLYTMGKKLGQGQFGTTYQCTENASGNLYACKSIPKKKLFCKEDYEDVWREIQIMHHLSEHPNVVRIKGTYEDALYVHIVMELCAGGELFDRIVEKGQYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFLSSNEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLCKHYGPESDVWSAGVILYILLSGVPPFWAETDVGIFRQILQGKLDLESEPWPGISDSAKDLIHKMLDRNPKRRLTAHEVLCHPWIVDDSMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKQLFKMIDTDNSGTITFDELKEGLRRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLMSAFSFFDRDSSGYITIDELQQACKDFGLSELNLDEMIREIDQDNDGQIDYGEFAAMMRNGNGGVGRRTMRNTLNLREALGQLVIDEKHEEEESNE >itb03g26380.t4 pep chromosome:ASM357664v1:3:25927729:25930586:-1 gene:itb03g26380 transcript:itb03g26380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDPPNPISKPTSSSLKSSALTPTKSTWVLPYRTQTLQHLYTMGKKLGQGQFGTTYQCTENASGNLYACKSIPKKKLFCKEDYEDVWREIQIMHHLSEHPNVVRIKGTYEDALYVHIVMELCAGGELFDRIVEKGQYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFLSSNEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLCKHYGPESDVWSAGVILYILLSGVPPFWAETDVGIFRQILQGKLDLESEPWPGISDSAKDLIHKMLDRNPKRRLTAHEVLCHPWIVDDSMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVRLSCMLNAFT >itb03g26380.t1 pep chromosome:ASM357664v1:3:25926382:25930586:-1 gene:itb03g26380 transcript:itb03g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDPPNPISKPTSSSLKSSALTPTKSTWVLPYRTQTLQHLYTMGKKLGQGQFGTTYQCTENASGNLYACKSIPKKKLFCKEDYEDVWREIQIMHHLSEHPNVVRIKGTYEDALYVHIVMELCAGGELFDRIVEKGQYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFLSSNEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLCKHYGPESDVWSAGVILYILLSGVPPFWAETDVGIFRQILQGKLDLESEPWPGISDSAKDLIHKMLDRNPKRRLTAHEVLCHPWIVDDSMAPDKPLDSAVLSRLKQFSAMNKLKKMALR >itb03g26380.t3 pep chromosome:ASM357664v1:3:25926382:25930586:-1 gene:itb03g26380 transcript:itb03g26380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDPPNPISKPTSSSLKSSALTPTKSTWVLPYRTQTLQHLYTMGKKLGQGQFGTTYQCTENASGNLYACKSIPKKKLFCKEDYEDVWREIQIMHHLSEHPNVVRIKGTYEDALYVHIVMELCAGGELFDRIVEKGQYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFLSSNEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLCKHYGPESDVWSAGVILYILLSGVPPFWAETDVGIFRQILQGKLDLESEPWPGISDSAKDLIHKMLDRNPKRRLTAHEVLCHPWIVDDSMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKQLFKMIDTDNSGTITFDELKEGLRRVGSELMESEIKDLMDAV >itb05g14300.t1 pep chromosome:ASM357664v1:5:21355117:21355950:1 gene:itb05g14300 transcript:itb05g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFQALAILLLSLNIAFFYHVSCYQPTSAPTTGGDGNPTTPPVVSPTKNGGGNPTTPPVVSPPKNGGGNPTTPPVVSPPKNGGGNPTTPPVVSPPSNGGATCPSDRAPRVSVCVGLLDLLNVSLSLPPIFDPSPCCSLINGLVNLEATACLCAAVQLDALNIVNLDIVLGVALQLCGLQRPPIAITC >itb04g02440.t1 pep chromosome:ASM357664v1:4:1449208:1454293:-1 gene:itb04g02440 transcript:itb04g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MAGGSEGAETALSCAQCGKPAHLQCPKCIELKLPREGAAFCTQDCFKSSWNSHKAVHLKAKLSSLATDTPGEKNGSSTDGWLYCLKKGQARTPKLPHFDWTGTLKPYPISKKRLIPGHIEQPDWAIDGIPKIEPNSDLQHVVEIKTPEQIERMRETCRIAREVLDAAARMIQPGVTTDEIDAVVHEATIAAGAYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETFFVGNVDEASRRLVQCTYECLDKAISIVKPGTRFREIGEVINRHASMSGFSVVKSYCGHGIGELFHCAPNIPHYARNKAVGIMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPTSPSVFPWLNS >itb10g13040.t1 pep chromosome:ASM357664v1:10:19121800:19123921:-1 gene:itb10g13040 transcript:itb10g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMTMWGMEEVWGKIGSTAVTIMVLWATFDKYCPYEFRGYFKKYAKKLRSLVYPYIHITFNEFSGHGFNGSKAYNAIERYLSANSSGQAKRLKAEDIKDSQTLVLSMDYHEEVTDEFNGVKVWWTSGQDHPNRQTISFYSRDDAKRYFTLKFHKKHRDFVTGAYLKHVLDEGEAITVKDRRRKLYTNCKSDGGFYSFRRGMWTHVVFEHPATFDTLAMDPKRKQEVIDDLRTFSKSKDYYTRIGKAWKRGYLLYGPPGTGKSSMVAAMANLLEYDVYDLELTAVKDNTTLRKLLIDTSSKSIIVIEDIDCSLDLTGQRDGKKKAEKSDPGKDNEKDPIKKEMEKMEEKKSEVTLSGLLNFIDGLWSAIGGERIIVFTTNFKEKLDPALIRSGRMDKHIELSFCRFEAFKVLAKNYLYIEEHELFPTIERLLGEADMTPADVAENLMPKSPSEDENTCLKRLVESLEKAKEDAKLKAEEEEKLKAQKEKEEEEEKKKAEEEEKKKAAEENDQKSEEETMAAAKEVKENGHASQNHD >itb09g04280.t1 pep chromosome:ASM357664v1:9:2380149:2381055:1 gene:itb09g04280 transcript:itb09g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 [Source:Projected from Arabidopsis thaliana (AT1G60870) UniProtKB/TrEMBL;Acc:Q9C529] MEALIAQFTILSDQALHDKTFDPCAIEDVMKLFELEAYKAWAAMELEQEKEVQEAEAYLQETEEHLDSAMESAMEEFRRFEEEMDRMAAAEYDSLVGVAERARSIGKSMERAATVAAKKYIEAAVNSAGASMRSAVRAVSSHSKKVHPS >itb14g16150.t1 pep chromosome:ASM357664v1:14:19449881:19452177:-1 gene:itb14g16150 transcript:itb14g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQMSVLVLVLVLVLVAYCASGRREFEGEERSGGHKKMFILHEAKEVVRTESGEIKVVRGSSGRLLHIGFITMEPQSLLIPQYLDSHLVLYINQGEARIGHVYKDELAERKVKSGDIYTIEAGSAFYVENTAQGQRLHIICTIVHTSQSDFRGADTFQSFFIGGGMHPTSVLAGFDPYTLSTALNVSMTEISEVVGTKVSGPIVSLTNPNYTTPRFLDLHLKRIVHYYSTDAVAVGEVAEQQQHYWPWLFIRKLFTNPFFSNKDDGDDGAPDSYNIFDRDPDFSNDYGWSLAVDENDYPPLKIPDVTVYLVNLKAGSMMAPHVNPRAIEYGIVLEGTGEVEVVYPNGTLAMNAQVRQGDMFWVPRFFPFCQIASRNGPFVFFGFTTSAKDNQPQFLVGEGSVMQLLKGPELAAGLGLSEERVEEIVEAQRGRAILPSAYAAPPESM >itb01g18160.t1 pep chromosome:ASM357664v1:1:23123447:23125091:-1 gene:itb01g18160 transcript:itb01g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPGDWNCRSCQHLNFQRRDSCQRCGEARPGDYGSFGLGRAAAGVGPDVRPGDWYCAVGNCGTHNFASRSSCFKCGAFRDLDYSFGSGEVAAGDYMSRQRGGYGFAGGSRSGWKSGDWICTRPGCNEHNFANRMECFRCNAPRDSGNKSYNF >itb01g27670.t1 pep chromosome:ASM357664v1:1:32366306:32368385:1 gene:itb01g27670 transcript:itb01g27670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAENVKEPINEQAVASIYGNLRSEMNQIYSKITELEMEVGEHSLVMNAIQPLDPSRRCYRLIGGVLVERSIKEVLPAVQRNKEGIEELIARLNEALEKKKKEISEFETKYKIRIRKSENEVQDESGRKEGSAQGVLVGPAAGANEE >itb10g00340.t1 pep chromosome:ASM357664v1:10:223182:225700:-1 gene:itb10g00340 transcript:itb10g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQSFPSKSHPFLRSCRSPTIILPEENTLDFLIGFLDPDKLVPSVHLFPIENSIISPSLEPPRDYGKQAGLLSVLFASSIVCDVRHDPTLKVEMTPYMAAVGGASLISLRSRPQVSPQNSGMRLSSLKRVAFPIQQRALPFSFRTRGLRISCAAKPETVDKVCSVVKKQLALGDDISVCGESKFAELGADSLDTVEIVMGLEETFGITVEEDNAQAIVSVQDAADLIEKLIEEKDC >itb05g05780.t1 pep chromosome:ASM357664v1:5:5657764:5660911:1 gene:itb05g05780 transcript:itb05g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLCARPKPWLLASLFSFHAHGSTSAAHHRLAASPIRSVLVAEGDDQRRLHHSTSCRFGGGAERGGGAASIWHAILPARGRKSISSSSRAAVFHRHNCELTKKGGEGSWNAAWDARPARWLHNADSAWLLFGVCPCLAAPPLFDLSEVNSDATAEDQVDILSSDSSLHSPPPNYRVTGVPADGRCLFRAIAHVDCLRNGGEAPDENRQRELADELRAKVVNELLQRRTEAEWFIEGDFDAYVKRIEKPYVWGGEPELLMASHVLQTPISVFMIHRSSGSLINISNYGEEYQKEEDGDTPINVLFHGYGHYDILETNTLDE >itb13g16990.t1 pep chromosome:ASM357664v1:13:23940170:23947428:1 gene:itb13g16990 transcript:itb13g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTLEINVMSAKDLNKVNLISKMDVYVVVSISGGDEKSNQKAKTPVDRLGDTNPSWNSPMRFTIDEAAARQNRLNLLFKVRCDRALGDKDIGEVWVPIKELLDSPATGGSGKQFVSYQVRKPSGKPKGQLTFSYQFGEKISGGAAAAVTAAPVAAHKVDEPVKTYPPVGPAFSSGPGPYYQFGEKISGGATAAAAHKVDEPVKTHPPVGPAFGSGSGSFYPPPEPVTAYPVVGSSSGYAPPPPPRDVPVVGGAYPPPGYPPQGGPPPPPGGYGPPPTAYPPAGYGYPPPPQAGYGYPPPPQAGYGYPPQPGYGYPPVQQPAKKNKFGLGLGAGLLGGAIGGMLIGDAISDSAAYDAGYSDGFDSGHNPSSNTHSLCGSAALTQRLSLTRKLSGVFSSPPSASPALQHRHLRLSALGFSGSLPSAFKTLCSHRVSQPRSLSGSGSPPSASPAPVLRLPAQMAFMFEAPLSAYRGLLVLNFIFAWRMSGV >itb13g16990.t2 pep chromosome:ASM357664v1:13:23940170:23947428:1 gene:itb13g16990 transcript:itb13g16990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTLEINVMSAKDLNKVNLISKMDVYVVVSISGGDEKSNQKAKTPVDRLGDTNPSWNSPMRFTIDEAAARQNRLNLLFKVRCDRALGDKDIGEVWVPIKELLDSPATGGSGKQFVSYQVRKPSGKPKGQLTFSYQFGEKISGGAAAAVTAAPVAAHKVDEPVKTYPPVGPAFSSGPGPYYQFGEKISGGATAAAAHKVDEPVKTHPPVGPAFGSGSGSFYPPPEPVTAYPVVGSSSGYAPPPPPRDVPVVGGAYPPPGYPPQGGPPPPPGGYGPPPTAYPPAGYGYPPPPQAGYGYPPPPQAGYGYPPQPGYGYPPVQQPAKKNKFGLGLGAGLLGGAIGGMLIGDAISDSAAYDAGYSDGFDSGHNPSSNTHSLCGSAALTQRLSLTRKLSGVFSSPPSASPALQHRHLRLSALGFSGSLPSAFKTLCSHRVSQPRSLSGSGSPPSASPAPVLRLPAQMAFMFEAPLSAYRGLLVLNFIFAWRMSGV >itb01g17750.t1 pep chromosome:ASM357664v1:1:22506362:22507215:-1 gene:itb01g17750 transcript:itb01g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTNVMIASLLCFLTMVSPSTAATSPLNVTLIQRACNKTVDQEFCLNHLTKNPLVVSASQGPSPLATAIAELGLADAGQMLKYTANKVERSPSVKTNNNKISNVFFLAPHGWNTSISNVCLDLHV >itb05g07600.t1 pep chromosome:ASM357664v1:5:9900482:9900907:1 gene:itb05g07600 transcript:itb05g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRTDLRSRISGDVQIGSLSANTVLLRFASEDDCRVILGRNRMTVGGASVWLSRWSPDWKPWKDSSLVPVWILFPNLPLHLFNSFDRLCGSIGKFLFMDSATMKRARPSIARVRVEIDVSRPLVERVWIEIGNGLKGFW >itb03g25780.t1 pep chromosome:ASM357664v1:3:24986393:24990865:-1 gene:itb03g25780 transcript:itb03g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAFSTLSGPTHLKKYEAWSAKSSSFVRTPVLINQRKLVHQRTNNNFSIRARYNDQRGGGEGDFIAGFLLGGAIFGALGYVFAPQIRRTILNENEYGFRRARRPIYYDDGLERTRETLNEKIHQLNSAIDNVSSRLMRGGKTMPPVPLETDPEEATM >itb05g27780.t2 pep chromosome:ASM357664v1:5:30984827:30989821:-1 gene:itb05g27780 transcript:itb05g27780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLFKQAWMWVQSKKDCYSVVRTTASCLRDKIVIFIERHWPMVCYCCVRLAKAVLILISCWKDCIVQGFRSFVGLGSAALLLIMWSCFLSLTSMSCLVYVLLSMGAAGAAIQYLGYTSGLFIVGLFSILILWMYANFWITGALFIVGGYLFSLNHARLVVLMAAVYSIYCVKVRVGWHGVIISINLAFLSNGILNYLLHWCDNLSESSQSTHFEQDIEPDAFTEEDFSTDYESSVPSDDTEKVHSCKSSSQPAITTTLVNKPKEPPAKQVAREDTNSLAEMKRIISSADHYEAVGFSRHKKIDTLLLKKEYRKKAMLVHPDKNMGSPLASESFKKLQSAYEVLSDAVKKRDYDEQLRKEEAKTVMHKSAGSSHQDTSDYCSEESRRIQCTKCGNSHIWVCTNRTKAKARWCQDCCQYHQAKDGDGWVEYRGSLAFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSTQRSNSSRYPWDLDAEMTDEDEEFELWLQQAVASGLFCETPKRRKTWSPFKLNQMKGKKQWRRFS >itb05g27780.t1 pep chromosome:ASM357664v1:5:30984802:30989924:-1 gene:itb05g27780 transcript:itb05g27780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLFKQAWMWVQSKKDCYSVVRTTASCLRDKIVIFIERHWPMVCYCCVRLAKAVLILISCWKDCIVQGFRSFVGLGSAALLLIMWSCFLSLTSMSCLVYVLLSMGAAGAAIQYLGYTSGLFIVGLFSILILWMYANFWITGALFIVGGYLFSLNHARLVVLMAAVYSIYCVKVRVGWHGVIISINLAFLSNGILNYLLHWCDNLSESSQSTHFEQDIEPDAFTEEDFSTDYESSVPSDDTEKVHSCKSSSQPAITTTLVNKPKEPPAKQVAREDTNSLAEMKRIISSADHYEAVGFSRHKKIDTLLLKKEYRKKAMLVHPDKNMGSPLASESFKKLQSAYEVLSDAVKKRDYDEQLRKEEAKTVMHKSAGSSHQDTSDYCSEESRRIQCTKCGNSHIWVCTNRTKAKARWCQDCCQYHQAKDGDGWVEYRGSLAFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSTQRSNSSRYPWDLDAEMTDEDEEFELWLQQAVASGLFCETPKRRKTWSPFKLNQMKGKKQWRRFS >itb12g27540.t1 pep chromosome:ASM357664v1:12:27878724:27881012:1 gene:itb12g27540 transcript:itb12g27540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLALNETFFISHGAPTLSIDDSLPARHFLKAFREKVFPQKPTSILVISAHWETSVPTINVIRGRNDTIHDFYGFPKQMYQLKYPAPGAPDLAKRAIELLESSGVKKVDVDTKRGLDHGAWVPLMLMYPEADIPVCQLSVQTQMDGTYHYNLGKALAPLKKEGVLIFGSGSATHNLRAAREGPPASWAKEFDDWLKDTTLNGRYEDINQYEVKAPHAKVNHPWPEHFYPLHVAIGAASGNPRAELIHNSWGNGGSLSYTSFKFTE >itb07g00060.t1 pep chromosome:ASM357664v1:7:66340:67399:-1 gene:itb07g00060 transcript:itb07g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVKPSKAKMILKFLPKSAAISFQSLPFSPGRDKRPADIKTHCSGPIISIIPAEARRKSGMDTQEPSSPKVSCIGQIKHKKKQKMQHQKRSGEKQQLPTKKDYARSLTTSFQERSSSSAAIGKIFHGRRSDASAADSSRLRDCEDAPSLGKMKRFASGRETLSDFNWTTASTATAQVVPHARKCCLDDDDDEEDEYEEIIIPFSAPMFGGHGSTTLPLEPRKEINLWKRRTMAQPKPLQLNAMTRSK >itb01g09660.t1 pep chromosome:ASM357664v1:1:7922160:7923055:-1 gene:itb01g09660 transcript:itb01g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVDEDWEKMHSVDLRSRQSSGDGVSRSEIYNRILTTLENDIVIGDRKFKLLNIKNVAKYAARLGQSFGSSRETSNFDQETVPAKEHAEIRIRQHKARCSIMEQIITLLSTLGISDEVFEQKQREVVCQLDDMLTDSNKAQEFLELMPPGEHANVLIEMLRTPALDSDTLISPASLDTTPTASFWDPLSSLKTHAIIPTMSLF >itb13g02840.t1 pep chromosome:ASM357664v1:13:2752315:2755206:-1 gene:itb13g02840 transcript:itb13g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVKKEYKNAVGGAPIRRHLLSKIRDFALKAEDAIEIQLTSILQLQQKAHLSLQEVAKEAEELLKLINLPLIGWSEAAASSHPHNIASSGGSLQCSPQFEDIIMLCGDYFLQLRRDLLLHMLFPERSVLTIVGAPGIGKTTLCKKLYTDNKFVSRFDIQAWITIPPRYNGNVRQLLCHLIQSMRPTLNEAIDMLQGSTVSQLKHQLHKHLKKGKRYFIVLDDVPNTLLWDDIHHCFPDDPNGSLILLTTIFTDVAEYINGNIMSLPYLSDNESWVLFSHRLSLKQHMTCKLEEIAKHLVEECRGLPRSIVTVADLLSKCNYTLKEWKKIEKELLSLGILHRDTQHSISSNSNLQTLTVSGTGESTFGARTLHFLPSKIWELQHLRHLQLGDMYMIDPPNMVIEHLQTLVCAMPIYFRKKEVYCKFPSIRKLKVVYKDILVPGCSGGRCCINPIIILENFEDLLMLETLTVIVPVGSITLLERVGFPTNLEKLRLSGTNFAVKVLTVIGQLPKLKVLKLENAFYGRVWEMVEGGFPELKELEVEAVNLERWVTHTNTHHFPKIEKIFFKRCYSLKDISLLSAIQHPVLSIKLEQCPPSVFTSVKRYNRVLCIIVDGETFWEEEEEEEEGQYTDKEESEEEGYDV >itb07g20720.t1 pep chromosome:ASM357664v1:7:25084467:25087995:-1 gene:itb07g20720 transcript:itb07g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRAISADERGDVETENRTGGSYLKKKKMMKKNLQRLGGKGLSLEAFANAKTRSNDYNPSLIKKQKEFYKNAKFVKKYKRMVKQQGEHGDSSVAKRAPEKQTEPGEADNADRKGKSKRNKPHNLNELYMKRREEEEKARMEREAIIEAKKEERQRAEVRRKELRQKMFKKTKSGQPVMKYRIEHLLQTLQGSNS >itb10g23070.t1 pep chromosome:ASM357664v1:10:27622037:27625043:1 gene:itb10g23070 transcript:itb10g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKMLPHEHSTVAPTFHSSTSVKVLSESPNLNSIPSNYVHSSCPEESHVSDAEDSTLIPIIDFSQLTSDHSDHRSKAIQALGKACEEWGFFMVVNHGIPESLIKAVIDVTNEFFNMAEEDKKQFEGKDVLDPIRCGTSFNSSKEKAFFWRDFLKVFVHPQFHCPSHPQAFSEVMSEYCENSRKVAKKILGAISESLGLEECAINNALALDSMFQIFIGNYYPRCPQPELAMGLPPHSDHGLLTLLIQNGVGGLQIMHEQKWVDVNALPNSLLVNTGDHLEIFSNGKYKSVVHRAVVNNKEKRISIATAHGPSPETVVRPASQLMEGASCQPAVAYRPMKYKDYIHMQQRKKDEPFPLFASHHADTNPLFTLHSLQFHSFPMKIASLRGRSKPAVKISGSFVQMGRKKKNKRLFILAEFDKRLELFTRPLSSYW >itb09g00760.t1 pep chromosome:ASM357664v1:9:490991:494998:-1 gene:itb09g00760 transcript:itb09g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCEGEVLDGSDIMELVGNEEVFSNFVDHKFQELDKDCDGKLSVKELQPAVADIGAALGLPAQGSSPKSDHIYSEVLNEFTHGKQEKVSKTEFKEVLSDILLGMATGLKRDPIVILRMDGEDLLEFINNPSFESDMASICSFIELPDGSLKDYIVKVFEKLSVDQGMPPASDSWVMSNIVEPALGSDTGIHQEPVSQDSFLAEFKKVAVRVAQRLKEQPVIVAHSENTFDGSGIRRLLANKFELDKTLDSAVKNVPRDRHGKISKEYLRVALDVLAPSAGLPPIGAVDEMDKITNEALKMVDADDGKMVKEDEFKKLLTEVLGAMMLQLEGNPVSVSTNSVVHEPLASASTLLDPSST >itb09g00760.t2 pep chromosome:ASM357664v1:9:491455:493576:-1 gene:itb09g00760 transcript:itb09g00760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLNEFTHGKQEKVSKTEFKEVLSDILLGMATGLKRDPIVILRMDGEDLLEFINNPSFESDMASICSFIELPDGSLKDYIVKVFEKLSVDQGMPPASDSWVMSNIVEPALGSDTGIHQEPVSQDSFLAEFKKVAVRVAQRLKEQPVIVAHSENTFDGSGIRRLLANKFELDKTLDSAVKNVPRDRHGKISKEYLRVALDVLAPSAGLPPIGAVDEMDKITNEALKMVDADDGKMVKEDEFKKLLTEVLGAMMLQLEGNPVSVSTNSVVHEPLASASTLLDPSST >itb10g11240.t1 pep chromosome:ASM357664v1:10:16697434:16700073:-1 gene:itb10g11240 transcript:itb10g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYENSATHPGNSRPQINPQPNPFGNKLYGASSGLIRSGLGAYGDRIFGSSSEYVQSNISKYFSDPQYYFQVNGSYIRNKLKVVLFPFLHRGHWTRITEPIGGRLSYKPPMYDINAPDLYIPLMAFGTYVVLAGFSLGVLGKFTAEALNWLFVKALLAWFVQVLLLKMSLLSSASGEAPLLDLVAYAGYTFAGMCLAVLGRVIWPHSYYFLLPWTCLCMGIFLVKTAKRVIITGHDSRKHHYRLLFIALAQFPVFVWVGNSGGQWLL >itb11g07930.t1 pep chromosome:ASM357664v1:11:5022931:5023799:1 gene:itb11g07930 transcript:itb11g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKVAAEKAPAEKAPAEKKPKAGKKLPKEGGAAAAGDKKKKRVKKSSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >itb02g02780.t1 pep chromosome:ASM357664v1:2:1622361:1627034:1 gene:itb02g02780 transcript:itb02g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSFVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITSAPSRTGSFAGNVSHSGPINPNAVARASHSTSGLVSSMMVTGSASMKKSTSGPLNRHGEPIKRSSGPQSSIATGRQSGPQLPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLETMGSMKKPGPGTVNNHAVTTLSQHDEFSFRRNFPKPILWAMILLFVMGFIAGGFILGAVHNAVLLVVVIVLFGILTVLFTWNSCWGKKAIIGFIARYPDAELRTAKNGEYVKVSGVVTCGNFPLESSFQRVPRCVYTSSSLYEYRGWGSKAANPTHRRFTWGLRSSEKHVVDFYISDFQSGLRALVKTGYGAKVTPYVEESVVVDVNPLNKDLSPDFIRWLRERNLSSDDRTMRLKEGYIKEGSTVSVMGVVHRTENVLMIVPPPEPEPLTTGCQWMKCIFPASLEGIILRCDDASKADVIPV >itb01g24790.t2 pep chromosome:ASM357664v1:1:30332330:30335475:1 gene:itb01g24790 transcript:itb01g24790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLIVAVAVVALAGVLCGVSANSEGDALYALRRGLSDPNNVLQSWDPNLVNPCTWFHVSCDKDNRVTRVDLGNSNLSGHLVPDIGKLERLQYLELYRNNIQGTIPVELGNLKSLISLDLYNNNISGTIPPSLGKLKTLVFLRLNDNKLTGPIPRELTSVSTLKVVDVSNNNLCGTIPTSGPFEHIPLNK >itb01g24790.t1 pep chromosome:ASM357664v1:1:30332330:30335475:1 gene:itb01g24790 transcript:itb01g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLIVAVAVVALAGVLCGVSANSEGDALYALRRGLSDPNNVLQSWDPNLVNPCTWFHVSCDKDNRVTRVDLGNSNLSGHLVPDIGKLERLQYLELYRNNIQGTIPVELGNLKSLISLDLYNNNISGTIPPSLGKLKTLVFLRLNDNKLTGPIPRELTSVSTLKVVDVSNNNLCGTIPTSGPFEHIPLNNFEHNPRLEGPELMGLASYDTNCS >itb15g06580.t1 pep chromosome:ASM357664v1:15:4384535:4386194:-1 gene:itb15g06580 transcript:itb15g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPILSSMHIAALILSFLLFFILGMSSAQLSKNFYETSCPEALSIIKLSVHSTVQTEPRMGASLLRLHFFDCFINGCDGSILLDDTANFTGEKSADPIRGSIRGYDVIDNIKAQLENSCPDVVSCADILTVVARDSVVELGGPTWKVLLGRRDSTTASLSDANMDIPGPASNLSQLISAFSKKGFSVKEMVALAGAHTIGKARCTNFRNRIYNDTNINAPFARSMQAICPPSGGDNNLASLDSSSTSFDNVYFNNLLSQKGLMHSDQELFNGGFTDSLVKTYSSNPSTFASDFANAMAKMDNLSPLTGSNGQIRKNCRKIN >itb05g12940.t1 pep chromosome:ASM357664v1:5:19755154:19756700:1 gene:itb05g12940 transcript:itb05g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTFRLAVEYGKTYLLRIVNAAMNNILFFSIANHQLTVVGTDGAYTKPLKSDYVAISPGQTIDVLLHANQPLNHYYMAAKAYNTAPQVHYDNTTTTASLQYAGNYTPTSPVPFPALPGVSNITASVNFTGSLRSLGDAAHPINVPLDVSTNLFFTVSLNTLPCDDNATSPCAGPRGRRFAASVNNNSFVAPAVDVLEAYYHHIHGIYSDHFPSFPPLFFNFTASNISAVLQTPNRKTEVRVLEYGSTVEIVFQGTNLVAGIDHPMHLHGHSFYVVGWGFGNFDKNKDPLNYNLVDPPLQNTIAVPKNSWTTIRFKANNPGVWLMHCHLDRHLSWGMDMSFIVKDGKGSNEKLLPPPPDMPKCY >itb13g25210.t1 pep chromosome:ASM357664v1:13:30644948:30647636:-1 gene:itb13g25210 transcript:itb13g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHTAETVGYAFTSQYYQVLDLRPADTHKFYKDSSVAGWEGNDGVVHSVTTMKGINDMIMSSDYKNSEVQVKSIHAQDSLQGSILLVVTGNLIGKNNEVTRNFSHTFLLARQERGFFVLNDILRFLDKPPHSPPSVNDSELEVLENACSSAPESKGSALNPSYAEITAETISKPSPHLIHPKQPAAAIHKTTYLETLSRERSCPRALAVQIVRVSASDGSSVQASKAQDGINSTMGKIATPAGNDETAMLFPGKGIYVGGLPPNTTKHELAEAVKIFGRLETAMQDGFTYGFVHFESEESARKAVEAHNFIVRGKEAYITYKKSGTSGVNGGRGRSPPARGGFRQGSPPGKGGLSYNGYHIRRENGGGAYNYRYEYRNRSSEGRDRDGYYQWNNGGWRGRRVWGNIHGGK >itb08g09300.t1 pep chromosome:ASM357664v1:8:8546591:8547581:-1 gene:itb08g09300 transcript:itb08g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRYYGKSIPFGNIEEAMRNDTIRDYFNSAQALADYAQVLLYIKNSYSTQDSPIIVVGGSYGGNKDLNLPSELKDYLDGMYCEAAQYDTSAQQPVTVV >itb06g24690.t3 pep chromosome:ASM357664v1:6:26151939:26162975:1 gene:itb06g24690 transcript:itb06g24690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRLHNFFAQENFSQGQHQAQVLDGNWPALNNNNNLLNENPRPIGLLGSNPKGYNLQPPVDNGRGPGSHMLNGPHGMNFPQSSPRPEVSKSQLQSQQQNFNDYMYGHLYQARQDEGKFLAVNTGSDQCNVASLGSSFYDSQQGVGPEHQTHTPVRSEASESPGSFNLFGSQHQLSSHQSNMLQPPQRQQSGFNDMQQQIMLMRMQELQRQQQLQQLDARQQNTFNQISPFSKVTSSSHPSSLGNGTINSGGVSYPWATETGNTNWLQRHSPGLQGSSNGLISTNHGQGQNLMGLIPQQTDQSLYGVPVSSSRAGVTQYTQTMTDRTYIQPTDSFNNSFQGNQYFALPDQLGSQDRALASKQKFQSENFFGHAPGESLNSTINAENFQQVNSMQRSTSVQEFQLRQELALPSTNSLEKTETQAASSHNDVGLDPTEEKILFGSDDNIWAAFGKSTNLSGEACDSVDGTGLLNGGTWSALMQSAVAETSSTDVQPREEWSGLNFHISDGPSGNQNISTCNSGKLPNSFAEGKVPIASSLSSEPIRPSDCTNVNDSYCNLQGSHQSGHKLPYELGHGLQTHSSQRFVQPSEQGSKWMDAGSLQRARAVHGGASYKADPGPSPAVDLQPARSSVANAHVNSEINGLNTAGFTLKPSSMRSAEESSQFLSSSSQINYWKNANPFAKGQGSDGLEESQLRVIRDNQFSNSLQATSDREVKTHEMDNRDKQENSNDSYHSNVSHHTSVGSLRGNALSDVSDSRPGKEKFTNQVGKKISTRKFQYHPMGNLDEDVDPHGVKKPIHKQTVVQQNAQFGQSMFSPQVPKSSIDVGKRQPLDGLRDGKGLAEAHSQSCFPSSGSNMAARLSRSIDIHSPNAESPSSPNMLQLLQKVDQSREFGASMQQNASSEMPEAENSDGSMAHLQRSHSSASQGYGLQLGPPSQCMPTKNNLLSSQSSMQTVNSSFVIHSAVEIGENGQAQAAPPSQVQSSPFSRGTIHGELSNNRSGVPGNSKNESTLYKMHGKFSSAFSSGYMSSRSLLENQQMVTGQALINQSNNSFKCAANSAGKDDSQGEASGGLSMEIFSREAMGNSSHANLPTSLDTAKPTSYSNTCEGVSTPQTSVQHLPVSQPTTVSGISQQKSLSEVLNNISTNSQAHLFGVQFRKELSNQLNIVESSSSALGSQGDQDTTNGRKFSSEIDAISVNSLGNVQGEMKQAKPQSSRNIDSAQELNQSQGEATVKTISDVSPVNSTSMQKDIEAFGQSLKPNNFSHLNYSINQMRAMKNMETEQSNMMLKRIRAPDGSPGGQQMSHASSPSGDSGMLSLPGSDNLERNRTAQQGTVPTQDIPALCQYDSQSSSLANSISVKQEHNQITPQMAQSWFNRYGTSKNGQTLPMHEAQKAAAAQIAEPPFTFQKSSNSLHAINSMQQLMGANTDRSQHSNVGQSSLPTPVHVEHFPSRSLSVNMTGQHSIPRPKKRMRVTEDLIPWYKEVSQDLQDLQNISGSTAERAWAKGTNRLTEKVEEDISLTEVPPRLRAKRRLLLTTQLMQQLFHPPPASILSADSKLKFENVVYSASRLALGGACGLVSSFNGKTSMTKADDDKELSFDTHKTSESNGDKHFSKIVEQFEERKRKLEDDLWELDYSESFLDLTLESQDVEKFSIINRFAKFYNRGQANASSSSDPRILQGQPQRYVTALPMTKTDLPVGVQCLSL >itb06g24690.t1 pep chromosome:ASM357664v1:6:26150973:26162975:1 gene:itb06g24690 transcript:itb06g24690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRLHNFFAQENFSQGQHQAQVLDGNWPALNNNNNLLNENPRPIGLLGSNPKGYNLQPPVDNGRGPGSHMLNGPHGMNFPQSSPRPEVSKSQLQSQQQNFNDYMYGHLYQARQDEGKFLAVNTGSDQCNVASLGSSFYDSQQGVGPEHQTHTPVRSEASESPGSFNLFGSQHQLSSHQSNMLQPPQRQQSGFNDMQQQIMLMRMQELQRQQQLQQLDARQQNTFNQISPFSKVTSSSHPSSLGNGTINSGGVSYPWATETGNTNWLQRHSPGLQGSSNGLISTNHGQGQNLMGLIPQQTDQSLYGVPVSSSRAGVTQYTQTMTDRTYIQPTDSFNNSFQGNQYFALPDQLGSQDRALASKQKFQSENFFGHAPGESLNSTINAENFQQVNSMQRSTSVQEFQLRQELALPSTNSLEKTETQAASSHNDVGLDPTEEKILFGSDDNIWAAFGKSTNLSGEACDSVDGTGLLNGGTWSALMQSAVAETSSTDVQPREEWSGLNFHISDGPSGNQNISTCNSGKLPNSFAEGKVPIASSLSSEPIRPSDCTNVNDSYCNLQGSHQSGHKLPYELGHGLQTHSSQRFVQPSEQGSKWMDAGSLQRARAVHGGASYKADPGPSPAVDLQPARSSVANAHVNSEINGLNTAGFTLKPSSMRSAEESSQFLSSSSQINYWKNANPFAKGQGSDGLEESQLRVIRDNQFSNSLQATSDREVKTHEMDNRDKQENSNDSYHSNVSHHTSVGSLRGNALSDVSDSRPGKEKFTNQVGKKISTRKFQYHPMGNLDEDVDPHGVKKPIHKQTVVQQNAQFGQSMFSPQVPKSSIDVGKRQPLDGLRDGKGLAEAHSQSCFPSSGSNMAARLSRSIDIHSPNAESPSSPNMLQLLQKVDQSREFGASMQQNASSEMPEAENSDGSMAHLQRSHSSASQGYGLQLGPPSQCMPTKNNLLSSQSSMQTVNSSFVIHSAVEIGENGQAQAAPPSQVQSSPFSRGTIHGELSNNRSGVPGNSKNESTLYKMHGKFSSAFSSGYMSSRSLLENQQMVTGQALINQSNNSFKCAANSAGKDDSQGEASGGLSMEIFSREAMGNSSHANLPTSLDTAKPTSYSNTCEGVSTPQTSVQHLPVSQPTTVSGISQQKSLSEVLNNISTNSQAHLFGVQFRKELSNQLNIVESSSSALGSQGDQDTTNGRKFSSEIDAISVNSLGNVQGEMKQAKPQSSRNIDSAQELNQSQGEATVKTISDVSPVNSTSMQKDIEAFGQSLKPNNFSHLNYSINQMRAMKNMETEQSNMMLKRIRAPDGSPGGQQMSHASSPSGDSGMLSLPGSDNLERNRTAQQGTVPTQDIPALCQYDSQSSSLANSISVKQEHNQITPQMAQSWFNRYGTSKNGQTLPMHEAQKAAAAQIAEPPFTFQKSSNSLHAINSMQQLMGANTDRSQHSNVGQSSLPTPVHVEHFPSRSLSVNMTGQHSIPRPKKRMRVTEDLIPWYKEVSQDLQDLQNISGSTAERAWAKGTNRLTEKVEEDISLTEVPPRLRAKRRLLLTTQLMQQLFHPPPASILSADSKLKFENVVYSASRLALGGACGLVSSFNGKTSMTKADDDKELSFDTHKTSESNGDKHFSKIVEQFEERKRKLEDDLWELDYSESFLDLTLESQDVEKFSIINRFAKFYNRGQANASSSSDPRILQGQPQRYVTALPMTKTDLPVGVQCLSL >itb06g24690.t2 pep chromosome:ASM357664v1:6:26152569:26162975:1 gene:itb06g24690 transcript:itb06g24690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRLHNFFAQENFSQGQHQAQVLDGNWPALNNNNNLLNENPRPIGLLGSNPKGYNLQPPVVDNGRGPGSHMLNGPHGMNFPQSSPRPEVSKSQLQSQQQNFNDYMYGHLYQARQDEGKFLAVNTGSDQCNVASLGSSFYDSQQGVGPEHQTHTPVRSEASESPGSFNLFGSQHQLSSHQSNMLQPPQRQQSGFNDMQQQIMLMRMQELQRQQQLQQLDARQQNTFNQISPFSKVTSSSHPSSLGNGTINSGGVSYPWATETGNTNWLQRHSPGLQGSSNGLISTNHGQGQNLMGLIPQQTDQSLYGVPVSSSRAGVTQYTQTMTDRTYIQPTDSFNNSFQGNQYFALPDQLGSQDRALASKQKFQSENFFGHAPGESLNSTINAENFQQVNSMQRSTSVQEFQLRQELALPSTNSLEKTETQAASSHNDVGLDPTEEKILFGSDDNIWAAFGKSTNLSGEACDSVDGTGLLNGGTWSALMQSAVAETSSTDVQPREEWSGLNFHISDGPSGNQNISTCNSGKLPNSFAEGKVPIASSLSSEPIRPSDCTNVNDSYCNLQGSHQSGHKLPYELGHGLQTHSSQRFVQPSEQGSKWMDAGSLQRARAVHGGASYKADPGPSPAVDLQPARSSVANAHVNSEINGLNTAGFTLKPSSMRSAEESSQFLSSSSQINYWKNANPFAKGQGSDGLEESQLRVIRDNQFSNSLQATSDREVKTHEMDNRDKQENSNDSYHSNVSHHTSVGSLRGNALSDVSDSRPGKEKFTNQVGKKISTRKFQYHPMGNLDEDVDPHGVKKPIHKQTVVQQNAQFGQSMFSPQVPKSSIDVGKRQPLDGLRDGKGLAEAHSQSCFPSSGSNMAARLSRSIDIHSPNAESPSSPNMLQLLQKVDQSREFGASMQQNASSEMPEAENSDGSMAHLQRSHSSASQGYGLQLGPPSQCMPTKNNLLSSQSSMQTVNSSFVIHSAVEIGENGQAQAAPPSQVQSSPFSRGTIHGELSNNRSGVPGNSKNESTLYKMHGKFSSAFSSGYMSSRSLLENQQMVTGQALINQSNNSFKCAANSAGKDDSQGEASGGLSMEIFSREAMGNSSHANLPTSLDTAKPTSYSNTCEGVSTPQTSVQHLPVSQPTTVSGISQQKSLSEVLNNISTNSQAHLFGVQFRKELSNQLNIVESSSSALGSQGDQDTTNGRKFSSEIDAISVNSLGNVQGEMKQAKPQSSRNIDSAQELNQSQGEATVKTISDVSPVNSTSMQKDIEAFGQSLKPNNFSHLNYSINQMRAMKNMETEQSNMMLKRIRAPDGSPGGQQMSHASSPSGDSGMLSLPGSDNLERNRTAQQGTVPTQDIPALCQYDSQSSSLANSISVKQEHNQITPQMAQSWFNRYGTSKNGQTLPMHEAQKAAAAQIAEPPFTFQKSSNSLHAINSMQQLMGANTDRSQHSNVGQSSLPTPVHVEHFPSRSLSVNMTGQHSIPRPKKRMRVTEDLIPWYKEVSQDLQDLQNISGSTAERAWAKGTNRLTEKVEEDISLTEVPPRLRAKRRLLLTTQLMQQLFHPPPASILSADSKLKFENVVYSASRLALGGACGLVSSFNGKTSMTKADDDKELSFDTHKTSESNGDKHFSKIVEQFEERKRKLEDDLWELDYSESFLDLTLESQDVEKFSIINRFAKFYNRGQANASSSSDPRILQGQPQRYVTALPMTKTDLPVGVQCLSL >itb06g24690.t4 pep chromosome:ASM357664v1:6:26150994:26162975:1 gene:itb06g24690 transcript:itb06g24690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRLHNFFAQENFSQGQHQAQVLDGNWPALNNNNNLLNENPRPIGLLGSNPKGYNLQPPVVDNGRGPGSHMLNGPHGMNFPQSSPRPEVSKSQLQSQQQNFNDYMYGHLYQARQDEGKFLAVNTGSDQCNVASLGSSFYDSQQGVGPEHQTHTPVRSEASESPGSFNLFGSQHQLSSHQSNMLQPPQRQQSGFNDMQQQIMLMRMQELQRQQQLQQLDARQQNTFNQISPFSKVTSSSHPSSLGNGTINSGGVSYPWATETGNTNWLQRHSPGLQGSSNGLISTNHGQGQNLMGLIPQQTDQSLYGVPVSSSRAGVTQYTQTMTDRTYIQPTDSFNNSFQGNQYFALPDQLGSQDRALASKQKFQSENFFGHAPGESLNSTINAENFQQVNSMQRSTSVQEFQLRQELALPSTNSLEKTETQAASSHNDVGLDPTEEKILFGSDDNIWAAFGKSTNLSGEACDSVDGTGLLNGGTWSALMQSAVAETSSTDVQPREEWSGLNFHISDGPSGNQNISTCNSGKLPNSFAEGKVPIASSLSSEPIRPSDCTNVNDSYCNLQGSHQSGHKLPYELGHGLQTHSSQRFVQPSEQGSKWMDAGSLQRARAVHGGASYKADPGPSPAVDLQPARSSVANAHVNSEINGLNTAGFTLKPSSMRSAEESSQFLSSSSQINYWKNANPFAKGQGSDGLEESQLRVIRDNQFSNSLQATSDREVKTHEMDNRDKQENSNDSYHSNVSHHTSVGSLRGNALSDVSDSRPGKEKFTNQVGKKISTRKFQYHPMGNLDEDVDPHGVKKPIHKQTVVQQNAQFGQSMFSPQVPKSSIDVGKRQPLDGLRDGKGLAEAHSQSCFPSSGSNMAARLSRSIDIHSPNAESPSSPNMLQLLQKVDQSREFGASMQQNASSEMPEAENSDGSMAHLQRSHSSASQGYGLQLGPPSQCMPTKNNLLSSQSSMQTVNSSFVIHSAVEIGENGQAQAAPPSQVQSSPFSRGTIHGELSNNRSGVPGNSKNESTLYKMHGKFSSAFSSGYMSSRSLLENQQMVTGQALINQSNNSFKCAANSAGKDDSQGEASGGLSMEIFSREAMGNSSHANLPTSLDTAKPTSYSNTCEGVSTPQTSVQHLPVSQPTTVSGISQQKSLSEVLNNISTNSQAHLFGVQFRKELSNQLNIVESSSSALGSQGDQDTTNGRKFSSEIDAISVNSLGNVQGEMKQAKPQSSRNIDSAQELNQSQGEATVKTISDVSPVNSTSMQKDIEAFGQSLKPNNFSHLNYSINQMRAMKNMETEQSNMMLKRIRAPDGSPGGQQMSHASSPSGDSGMLSLPGSDNLERNRTAQQGTVPTQDIPALCQYDSQSSSLANSISVKQEHNQITPQMAQSWFNRYGTSKNGQTLPMHEAQKAAAAQIAEPPFTFQKSSNSLHAINSMQQLMGANTDRSQHSNVGQSSLPTPVHVEHFPSRSLSVNMTGQHSIPRPKKRMRVTEDLIPWYKEVSQDLQDLQNISGSTAERAWAKGTNRLTEKVEEDISLTEVPPRLRAKRRLLLTTQLMQQLFHPPPASILSADSKLKFENVVYSASRLALGGACGLVSSFNGKTSMTKADDDKELSFDTHKTSESNGDKHFSKIVEQFEERKRKLEDDLWELDYSESFLDLTLESQDVEKFSIINRFAKFYNRGQANASSSSDPRILQGQPQRYVTALPMTKTDLPVGVQCLSL >itb15g24020.t1 pep chromosome:ASM357664v1:15:26912396:26913966:1 gene:itb15g24020 transcript:itb15g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGGDRGGDYGSFGGMRGGGGSSFGGLTGPDVRPGDWYCAVGHCGAHNFASRSSCFKCGAFKDDSSAAGFDADHVSRSRPGYGFGSGSGGGSRTGWKSGDWICTRSGCNEHNFASRMECFRCSAPRDSGNKYSY >itb07g18440.t1 pep chromosome:ASM357664v1:7:22896513:22900513:-1 gene:itb07g18440 transcript:itb07g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFDMPPAEMDDLDLPDDNPVMKVGEEKEIGNQGLKKKLVKEGAGWETPEPGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFTLGQGQVIKGWDQGIKTMKKGESCIFTIPPDLAYGESGSPPTIPPNATLQFDVELLSWVSVKDICKDGGIFKKVLAEGEKWENPKDPDEVSVKYEARLEDGTLVAKSDLVEFTVEEGHFCPALTKAVKTMKKGEKVQLTVKPQYGFGEKGKPASGDVGAVPPNATLLINLELVSWKTVTNVTDDKKVIKKIVKEGEGYERPNEGAVVKLKLIGKLQDGTIFINKGHDGDNEDGLFEFKTDEEQVIDGLDRAVLTMKKGEVALLTVAPEYAFGSSESKQDLAVVPPNSTVSYEIELVSFVKERESWDMNTQEKIEAAGKKKEEGNVLFKAGKYARASKRYEKGVKFIEYDTSFSEEEKKQSKALKISCNLNNAACKLKLKDYKEAEKLCTKVLELDSTNVKALYRRAQAYMHLIDFDLAEFDIKKALEIDPDNREVKLEYKVLKEKVKEYNKKDAKFYGNMFAKLSKPEPFSSNKSAPQEAEAIDSKA >itb04g25700.t1 pep chromosome:ASM357664v1:4:30234688:30240714:1 gene:itb04g25700 transcript:itb04g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIGDKFKMVRKIGSGSFGELYLGINVQSGEEVAIKLESVKTKHPQLHYESKIYSLLQGGTGIPNLKWFGVDKEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHCRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDRPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRGRNPIGLSARPSAEGPGKTAGLDIRDRFSGAVEEFSRRNASGSGRHGEHSRHKSDDVPLSKDVQANSEKGQTSRNGSSSKRAAISNTRPSSSAEATDGHSSRIVPSIGRLSSTQKLKPDGKVKPSSFFRTGLSKSTRDDAFRSFEFLSLRK >itb04g25700.t2 pep chromosome:ASM357664v1:4:30237839:30240714:1 gene:itb04g25700 transcript:itb04g25700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMGKGYVCCCCTLFLHVWAICLVTTSFAMFFPFSISRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDRPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRGRNPIGLSARPSAEGPGKTAGLDIRDRFSGAVEEFSRRNASGSGRHGEHSRHKSDDVPLSKDVQANSEKGQTSRNGSSSKRAAISNTRPSSSAEATDGHSSRIVPSIGRLSSTQKLKPDGKVKPSSFFRTGLSKSTRDDAFRSFEFLSLRK >itb01g27290.t1 pep chromosome:ASM357664v1:1:32150760:32152883:-1 gene:itb01g27290 transcript:itb01g27290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAITAVNRVASSNTVVNVFLLSVFGALSARSLKHQWDIEALEAEKNALLKSNKEMRKTMWDWKQQLYAEAEAEAVSSRALVPLSKLKAIYGDQDTAASNPPPFRSVDGNSEGGGKAPGSRFII >itb11g07110.t1 pep chromosome:ASM357664v1:11:4307046:4310001:1 gene:itb11g07110 transcript:itb11g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEVLPPTLGASSEPPAIFDGTPKLYIAYICPYAQRAWIARNYKGLQDKVKLVAIELNDRPAWYKEKVYPENKVPALEYNNEIKGESLDLVKFFSENFEGPSLYPDDPAKKTFADELLTFSDSFIKTMFATLRSEGISDAVAGFDALENYLSKFEDGPFFLGQFSAVDIAFIPFIERFQTFFNEFKNYDITQGRPKLAHWIEEVNKIDAYKVTKRDAKEHVESFKKKFQAAAKP >itb11g07110.t2 pep chromosome:ASM357664v1:11:4307046:4309513:1 gene:itb11g07110 transcript:itb11g07110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEVLPPTLGASSEPPAIFDGTPKLYIAYICPYAQRAWIARNYKGLQDKVKLVAIELNDRPAWYKEKVYPENKVPALEYNNEIKGESLDLVKFFSENFEGPSLYPDDPAKKTFADELLTFSDSFIKTMFATLRSEGISDAVAGFDALENYLSKFEDGPFFLGQFSAVDIAFIPFIERFQTFFNEFKNYDITQGRPKLAHWIEEVNKIDAYKVTKRDAKEHVESFKKKFQVYFLNATS >itb02g17470.t1 pep chromosome:ASM357664v1:2:13503008:13514616:-1 gene:itb02g17470 transcript:itb02g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDKVRVQGVGVGPGKRWGHTCNAIGGGKLLYVFGGYGKDDCQTNQVHVLDTVNRIWSEPVMKGAVPIPRDSHSCTTVGDNLFVFGGTDGRRPLGDLHILDTSCNTWMSPSLRGDGPEPREGHSAALIGRRLFIFGGCGKSEGSEIYYDDLYVLNTETFTWKRVVPSGTPPTKRESHSCSSWRNKIIVIGGEDTCNFYLSDVYILDTDSFVWSKLNTTGQLLSPRAGHTTVALGKYLFVFGGFSDEENLYDDVYMLDVDAGVWNKIMATGEGPSARFSMAGECLDPHLGGAIVFLGGCNKKLEALDDMYYLHTGFVGESERDDRRIEKLSLRKQLKLKCQEQQASLTEGRQNVHLHEYQNTSGKKTFQAKVTKSFPDGYTIETVIDGKPLRGVLFSNKPKSVKWPSDDSDGKAEAMETDGNNLNNDPNSTSENARPTPTEQNVKDVRQADALGGELTMSVSQTGDATAGMRNPPTTDASLSQELPGTSKLLVEPEPNLETTVANNAPGSIADLSKENISTAENNTTLSLN >itb06g19100.t1 pep chromosome:ASM357664v1:6:22544820:22548470:-1 gene:itb06g19100 transcript:itb06g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKILSSLIERKSVGVILDICGKMENGMMGGKRHHFDVYSFVMGRFLQNNEVEMGLEFHRRMTGNGFVPNILVCNKILRTLYKENRGGVAHQFFLLMQEVGPKPSLVTFSTMINAFCKERRLDEAFKHYVLMTAKGIEPDIVVYSILIDGLFKAGKFDKANQLLSAALGKGIKLDVVCFSTIIDGHIRRGDILRGVEVYKRMLREEVRPSIVTYSILLNGLCKNDRLLEAFGVYGQIVKNGIQPSLVTYSSLIDGFCKLGNFIEGFHLYKDMVNKGHIPDVTVCNMLINGLVKQGWMDDALVFFYQVVKSGLVPNVYIFNTLMDGFCRLKQIKEAINLYILMGAYDIVPDLVTHTLIIRGIFELGRFREALAFFFQILKRGFLPDVVTYCVLIDGLCKCHNLAAGLQVFELMKKTGTQPDIAIYNVLINSHFKEGHLRNALELFKHVLDCGPDPNIVTYNTVICGYCSMKMLKEAIRLFEELKQRRIRYNTITLTILIDGFCKEGRMDDAMSLFSEMTGKDKLPNVVTYSCLIDGYFKIYSLETAFELHKAMLSNNISPNIISYTVLIDGLCKRGMVKEASSIFYSALRCGLLPDVITYGVLLRGYCKFGWLVEARSLYNHMLEAGIKPDSLVQNTIAEYDLHP >itb07g02330.t3 pep chromosome:ASM357664v1:7:1492831:1496938:1 gene:itb07g02330 transcript:itb07g02330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVAVAVAVAAAENDELETPVLDAERANLLQRITEEGGYAYASMEALAAGGDVRAAEAAREMAWEQLHSGPWHSVVPIWRDAYSIACLHVARLHYAAGDLSQAIRALDMGIIMGGLALRDDLNLAMRKASRKASIALGASLAKDQPIRIVSGEFNIEEVVRLLPVKSLSSKIVGKKSALSFESFLQDHLLSGSPVVISGSMDHWPAKSKWNDLNYLRSIAGFRTVPVEVGKNYLCSEWKQELITFSEFLERIQNSDCTSAETTYLAQHQLFDQIQELKQDILTPDYCYAGGGEIRSINAWFGPAGTVTPLHHDPHHNILAQV >itb07g02330.t2 pep chromosome:ASM357664v1:7:1492831:1496938:1 gene:itb07g02330 transcript:itb07g02330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVAVAVAVAAAENDELETPVLDAERANLLQRITEEGGYAYASMEALAAGGDVRAAEAAREMAWEQLHSGPWHSVVPIWRDAYSIACLHVARLHYAAGDLSQAIRALDMGIIMGGLALRDDLNLAMRKASRKASIALGASLAKDQPIRIVSGEFNIEEVVRLLPVKSLSSKIVGKKSALSFESFLQDHLLSGSPVVISGSMDHWPAKSKWNDLNYLRSIAGFRTVPVEVGKNYLCSEWKQELITFSEFLERIQNSDCTSAETTYLAQHQLFDQV >itb07g02330.t1 pep chromosome:ASM357664v1:7:1492831:1496938:1 gene:itb07g02330 transcript:itb07g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVAVAVAVAAAENDELETPVLDAERANLLQRITEEGGYAYASMEALAAGGDVRAAEAAREMAWEQLHSGPWHSVVPIWRDAYSIACLHVARLHYAAGDLSQAIRALDMGIIMGGLALRDDLNLAMRKASRKASIALGASLAKDQPIRIVSGEFNIEEVVRLLPVKSLSSKIVGKKSALSFESFLQDHLLSGSPVVISGSMDHWPAKSKWNDLNYLRSIAGFRTVPVEVGKNYLCSEWKQELITFSEFLERIQNSDCTSAETTYLAQHQLFDQIQELKQDILTPDYCYAGGGEIRSINAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPATVSEELYPHSETMLCNSSQVDLDNIDESEFPKISGLEFQDCVLEEGEMLYIPPKWWHYVRSLTTSFSVSFWWSNTENSSDT >itb04g11840.t1 pep chromosome:ASM357664v1:4:11464630:11469049:1 gene:itb04g11840 transcript:itb04g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPFNTHLSIIIVLTFLTVSGITFSDDAAVMSNLLAAISPAPSNWSNSTHYCSWTHVTCDDDNNVISIKIDSLSISGQLFSGITQLSSLINLSVSGNSLSGPLPSFANMPMLEDLRLDGNNFSSVPSDFLSGLPRLKSFSVSENRNLSSWKIPTHLNRSANLVSFNASNANVFGIIPDFFDSFPKLENVILSFNNLTGSLPGSFSGSNVRILRLDNQQLGLSGTISVLSSMTQLSQVWLQGNAFTGQIPDLYGLQNLSDLRLEDNRLTGFIPDSLRNLTENRLRTVFLQNNKLQGPIPQFQFQFQFQFQIQVNLGNNSFCRETPGDCDPQVKALLNMAAELGFPLTLAESWTGNDPCRKWRFISCDVQGEVTTLDLEKQRFSGNISRILLASAKLTTLRKLYLNDNNLTGSISENLAILNLLQILDVSDNNLSGSVPDLPDSLRFNGHGNPLLRMNASNLAGKIAGIVLAVLVPVIVLVLFVSYRFYAKRLINASGRTARNQTTTVITGTGTGTGTGTIFPIQVLQKATNYFSEENVVGSGGYGVVYKGELDDGTKIAVKKMKDGAMRGLNEFQAEISFLAKVRHRNLVSLLGYSINESERILVYEYMPQGTLSHHLFQWQNHGFHPLTWNQRVTIALDVGRGIEYLHSFAHQSFIHRDIKPSNILLSDDMRAKVADFGLVKMVPDDKNFVETRMVGTFGYLAPEYAVTGRITAKVDVYAFGVVLMEIITVKKALDETVPNETCHLVTWFHKVISKGHNFKKAIDPTLDQDEQTFESISKVALLAAHCTAKTSYRRPNMEHVINVLGPLVQKWKPLKLEEIGERNGGHNLHLSLPLEFDDLSTQNLSSTDDKFYGYRPNQSARF >itb07g24020.t1 pep chromosome:ASM357664v1:7:28300045:28307079:1 gene:itb07g24020 transcript:itb07g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSNVLSGAVQNGKLLVHIAENGQSFELSCNGYTLVENVQQFLESASGIPSNDHLLLCQDVKLEPRCPLSTYKLPSDDQEVFLFNKARMRSNAPPPAPEQVEKIDIPDPPLPTSAHDPHPLDDASDPALKALPSYERQFRYHFHCGNAIYTLSQVKIEACERLLKAQKVQGRAMEIARGNLDHFYGMIQQNYGEFLKCYSQQHRSHSNLIANFGRDIERLRSCKLHPSLETGNRQCLLDFVKEENLHKLVEDCNSSHLQFDNKVSEFKQEFGELEHHAKQLFSSKASDIIRDLEQTIRDHQKYLSEQKSIMQALSKDVNMVKRLVDDCLTGQSSSSLRPHDAVSALGPMYESHEKSYLRKMQDCDRRITGLLDFCKDKKNETNLFVHNYMQKIAYIQFMIKDIRCKFSVFQEALKRQSDLFENLRVVRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATKREAEIRRREEFLKVHSSYIPRDVLFSMGLYDTPSQCDVNISPFDTKLLDIDLSVLDRYAPEYLMGQSYRGEKHSALKSSFSMSNDGSQSAEIDECAFEFSEKVDSEQLLQGSEFLDIAGTSKMEVENAKLRAELASKIAVICSISPEFDYESLDDSKIDSLRKDISEKTSEALRLKDEYEKHLHSMLKMKQMQCESYEKRIQELEQRLSDHYLQGHKHSADEGTSNLTASAAKNDGSKSEISGVGEADISHAAHDAMDEFSCASSSLDKPGLLSKQRKAQEALYDNMTDSSSTINPQLDSSMLDPHRDEEHEHFSDKDAKETVDVAVSIPSSSMVLSVSQPSNVLPSEAAAEPDMDSKVSADLVLELQNALAEKSSELNEAENELGMLREKVAKLNMELENKRQLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYSALRASAVKMRSLFERLRTCILSGGTAGFAESLRALSQSLANSINEKEDDSTAEFRECVRVLAEKVAALSRNRSELLERCSNTETANKQLTKEVDEKKELVNALYKKHQLEKQANKEKICFARLEVHEIAAFVLNSAGYYEAINRHCPRYYLSAESVALFTNHLPSRPSYIVGQIVHIERQIVRSPPSPLPSPSTQADHHDRDRVGDSLMSDAGTSRLSSLNSGSTSTTPYGLPVGCEFFIVTVAMLPDTSSSSSPS >itb07g24020.t3 pep chromosome:ASM357664v1:7:28300854:28307079:1 gene:itb07g24020 transcript:itb07g24020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MEIARGNLDHFYGMIQQNYGEFLKCYSQQHRSHSNLIANFGRDIERLRSCKLHPSLETGNRQCLLDFVKEENLHKLVEDCNSSHLQFDNKVSEFKQEFGELEHHAKQLFSSKASDIIRDLEQTIRDHQKYLSEQKSIMQALSKDVNMVKRLVDDCLTGQSSSSLRPHDAVSALGPMYESHEKSYLRKMQDCDRRITGLLDFCKDKKNETNLFVHNYMQKIAYIQFMIKDIRCKFSVFQEALKRQSDLFENLRVVRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATKREAEIRRREEFLKVHSSYIPRDVLFSMGLYDTPSQCDVNISPFDTKLLDIDLSVLDRYAPEYLMGQSYRGEKHSALKSSFSMSNDGSQSAEIDECAFEFSEKVDSEQLLQGSEFLDIAGTSKMEVENAKLRAELASKIAVICSISPEFDYESLDDSKIDSLRKDISEKTSEALRLKDEYEKHLHSMLKMKQMQCESYEKRIQELEQRLSDHYLQGHKHSADEGTSNLTASAAKNDGSKSEISGVGEADISHAAHDAMDEFSCASSSLDKPGLLSKQRKAQEALYDNMTDSSSTINPQLDSSMLDPHRDEEHEHFSDKDAKETVDVAVSIPSSSMVLSVSQPSNVLPSEAAAEPDMDSKVSADLVLELQNALAEKSSELNEAENELGMLREKVAKLNMELENKRQLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYSALRASAVKMRSLFERLRTCILSGGTAGFAESLRALSQSLANSINEKEDDSTAEFRECVRVLAEKVAALSRNRSELLERCSNTETANKQLTKEVDEKKELVNALYKKHQLEKQANKEKICFARLEVHEIAAFVLNSAGYYEAINRHCPRYYLSAESVALFTNHLPSRPSYIVGQIVHIERQIVRSPPSPLPSPSTQADHHDRDRVGDSLMSDAGTSRLSSLNSGSTSTTPYGLPVGCEFFIVTVAMLPDTSSSSSPS >itb07g24020.t4 pep chromosome:ASM357664v1:7:28300794:28307079:1 gene:itb07g24020 transcript:itb07g24020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSNVLSGAVQNGKLLVHIAENGQSFELSCNGYTLVENVQQFLESASGIPSNDHLLLCQDVKLEPRCPLSTYKLPSDDQEVFLFNKARMRSNAPPPAPEQVEKIDIPDPPLPTSAHDPHPLDDASDPALKALPSYERQFRYHFHCGNAIYTLSQVKIEACERLLKAQKVQGRAMEIARGNLDHFYGMIQQNYGEFLKCYSQQHRSHSNLIANFGRDIERLRSCKLHPSLETGNRQCLLDFVKEENLHKLVEDCNSSHLQFDNKVSEFKQEFGELEHHAKQLFSSKASDIIRDLEQTIRDHQKYLSEQKSIMQALSKDVNMVKRLVDDCLTGQSSSSLRPHDAVSALGPMYESHEKSYLRKMQDCDRRITGLLDFCKDKKNETNLFVHNYMQKIAYIQFMIKDIRCKFSVFQEALKRQSDLFENLRVVRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATKREAEIRRREEFLKVHSSYIPRDVLFSMGLYDTPSQCDVNISPFDTKLLDIDLSVLDRYAPEYLMGQSYRGEKHSALKSSFSMSNDGSQSAEIDECAFEFSEKVDSEQLLQGSEFLDIAGTSKMEVENAKLRAELASKIAVICSISPEFDYESLDDSKIDSLRKDISEKTSEALRLKDEYEKHLHSMLKMKQMQCESYEKRIQELEQRLSDHYLQGHKHSADEGTSNLTASAAKNDGSKSEISGVGEADISHAAHDAMDEFSCASSSLDKPGLLSKQRKAQEALYDNMTDSSSTINPQLDSSMLDPHRDEEHEHFSDKDAKETVDVAVSIPSSSMVLSVSQPSNVLPSEAAAEPDMDSKVSADLVLELQNALAEKSSELNEAENELGMLREKVAKLNMELENKRQLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYSALRASAVKMRSLFERLRTCILSGGTAGFAESLRALSQSLANSINEKEDDSTAEFRECVRVLAEKVAALSRNRSELLERCSNTETANKQLTKEVDEKKELVNALYKKHQLEKQANKEKICFARLEVHEIAAFVLNSAGYYEAINRHCPRYYLSAESVALFTNHLPSRPSYIVGQIVHIERQIVRSPPSPLPSPSTQADHHDRDRVGDSLMSDAGTSRLSSLNSGSTSTTPYGLPVGCEFFIVTVAMLPDTSSSSSPS >itb07g24020.t2 pep chromosome:ASM357664v1:7:28300210:28307079:1 gene:itb07g24020 transcript:itb07g24020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MEIARGNLDHFYGMIQQNYGEFLKCYSQQHRSHSNLIANFGRDIERLRSCKLHPSLETGNRQCLLDFVKEENLHKLVEDCNSSHLQFDNKVSEFKQEFGELEHHAKQLFSSKASDIIRDLEQTIRDHQKYLSEQKSIMQALSKDVNMVKRLVDDCLTGQSSSSLRPHDAVSALGPMYESHEKSYLRKMQDCDRRITGLLDFCKDKKNETNLFVHNYMQKIAYIQFMIKDIRCKFSVFQEALKRQSDLFENLRVVRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATKREAEIRRREEFLKVHSSYIPRDVLFSMGLYDTPSQCDVNISPFDTKLLDIDLSVLDRYAPEYLMGQSYRGEKHSALKSSFSMSNDGSQSAEIDECAFEFSEKVDSEQLLQGSEFLDIAGTSKMEVENAKLRAELASKIAVICSISPEFDYESLDDSKIDSLRKDISEKTSEALRLKDEYEKHLHSMLKMKQMQCESYEKRIQELEQRLSDHYLQGHKHSADEGTSNLTASAAKNDGSKSEISGVGEADISHAAHDAMDEFSCASSSLDKPGLLSKQRKAQEALYDNMTDSSSTINPQLDSSMLDPHRDEEHEHFSDKDAKETVDVAVSIPSSSMVLSVSQPSNVLPSEAAAEPDMDSKVSADLVLELQNALAEKSSELNEAENELGMLREKVAKLNMELENKRQLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYSALRASAVKMRSLFERLRTCILSGGTAGFAESLRALSQSLANSINEKEDDSTAEFRECVRVLAEKVAALSRNRSELLERCSNTETANKQLTKEVDEKKELVNALYKKHQLEKQANKEKICFARLEVHEIAAFVLNSAGYYEAINRHCPRYYLSAESVALFTNHLPSRPSYIVGQIVHIERQIVRSPPSPLPSPSTQADHHDRDRVGDSLMSDAGTSRLSSLNSGSTSTTPYGLPVGCEFFIVTVAMLPDTSSSSSPS >itb09g24470.t1 pep chromosome:ASM357664v1:9:24116567:24117584:1 gene:itb09g24470 transcript:itb09g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKCKLCLRSFVNGKALGGHMRSHVIARWSSSSSSSEEYETGAAGGGSSVVVQDGESETELCRGEQVIRPKTPRFRRSKRIRKSSKIHVDDKRGEESSEIMKIRKTTSSSPPPASSISEHTHTSPEEDVAYCLILLSKDKWIKGEEEEEEEEEKVKNKKARGKYRCESCSKVFRSYQALGGHRASHKKIKPNKRTHENAGEIDNNKEKIHECPICYRVFSSGQALGGHKRSHFTASARTTTIASFSPLHCSASTSINGGNFIDLNLPASLDDDDTITQIELSALSDACFLTPH >itb04g23890.t1 pep chromosome:ASM357664v1:4:28816745:28820053:1 gene:itb04g23890 transcript:itb04g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MEGAVEMDVEEESTPSSNSFKRVGLKNYIQTNFGDDYVFEIVPKEDWSSMAVSLSTNAVKFYSPVSGQYLGECKGHTSTINHISFAASPSLPHVLHSCSSDGTIRAWDTRSFHQVSCINAGPSQEVFSFSFGGAADNLVAAGCNSQILFWDWRTQKRVACLDESHMEDVTQVQFIPGHQNKLLSASVDGLMCLFDTDGAINDDDHLASVLNVGTSIGKVGFFGETNKKLWCLTHIETLSVWDWQDERAEATFDDARSLASKSWILDQVDYFVDCHYSAEEDRLWAVGGTNGGTIGYFPIGYTGTRAIGTPDVVLQGGHEGVVRSVASMSRLSAGITQNKGIFGWTGGEDGRLCCWLSDRSADMNQSWISSTLALKSPKPHLKKRHSPY >itb13g17880.t2 pep chromosome:ASM357664v1:13:24789470:24791819:-1 gene:itb13g17880 transcript:itb13g17880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLHGTSQFPGPRIIKHKDDKAVYQTRRDPDLMNKIKGIQMLPEQIMSESPPDLEGQDEWKGNPNGTSSDHQNATEEIKREDEKMIKDYYRIKIGEVVKEAKSVYDGPNIDEMRESRFIYMMINHGCLFLLQMFVFLGLGVNQLKDLSGLSGPDLDILFGSDHKDIETRKNRFTKSAVFPGNQIPLLVLKKLIDSSSFFKKVVLEENWEKPSSSSSSSPDLVLKSVLYDLILGPVLKTHTQEATTDILHGLHSRLVGKHGNEALALIDSKTNNLDTQTDNQRIPMSSVTEMYSKGMHFKGVSGMAITEIKIKGSVFTKKVLHLPVFTFNEMTKYLYKCLKGYENDQGQSEKVVNYYLQFLRDIVQRDQDVLLLRQKGVIQVQVQNDDHEVVEYLGEVATDDATLTTHHFRTVKLAITHHHLKPWYYKYLTGPLFSITLSIISLTFSILAYFSRKT >itb13g17880.t1 pep chromosome:ASM357664v1:13:24789470:24791831:-1 gene:itb13g17880 transcript:itb13g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLHGTSQFPGPRIIKHKDDKAVCEFQSGLFYAYGPLYANPEAEAGSITQVAWTYFVDQTRRDPDLMNKIKGIQMLPEQIMSESPPDLEGQDEWKGNPNGTSSDHQNATEEIKREDEKMIKDYYRIKIGEVVKEAKSVYDGPNIDEMRESRFIYMMINHGCLFLLQMFVFLGLGVNQLKDLSGLSGPDLDILFGSDHKDIETRKNRFTKSAVFPGNQIPLLVLKKLIDSSSFFKKVVLEENWEKPSSSSSSSPDLVLKSVLYDLILGPVLKTHTQEATTDILHGLHSRLVGKHGNEALALIDSKTNNLDTQTDNQRIPMSSVTEMYSKGMHFKGVSGMAITEIKIKGSVFTKKVLHLPVFTFNEMTKYLYKCLKGYENDQGQSEKVVNYYLQFLRDIVQRDQDVLLLRQKGVIQVQVQNDDHEVVEYLGEVATDDATLTTHHFRTVKLAITHHHLKPWYYKYLTGPLFSITLSIISLTFSILAYFSRKT >itb10g08150.t1 pep chromosome:ASM357664v1:10:10275885:10276598:1 gene:itb10g08150 transcript:itb10g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTRSYAKILIGSRLFLTAMAIHLSLRVAPLDLQQGGNSRILYVHVPAARMSILVYIVTAINTFLFLLTKHPLFLRSSGTGIEMGAFSTLFTLVTGGFRGRPMWGTFWVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSSVNWWNTLHQPGSISRSGTSIHVPMPIPILSNFANSPFSTRILFVLETRLPIPSFLESPLTEEIEKRIPKPSSLAESLCIHG >itb13g23230.t1 pep chromosome:ASM357664v1:13:29310637:29311852:-1 gene:itb13g23230 transcript:itb13g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGHLQNAVSGGRKEKDDQRCELFLRFAEAIEPSGKSQSLKELNFQNSESGEVRSEGERMKETAIMNAMRSGVVVIGALAFGYLTLQLGFKPFLERAEAQQQALLHRSEPSSPQELSDTELFFSDDSSQSD >itb07g22430.t1 pep chromosome:ASM357664v1:7:26883722:26886312:1 gene:itb07g22430 transcript:itb07g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDKQLLAYIEQYGHGSWRALPAKAGLQRCGKSCRLRWTNYLRSDIKRGNFSLQEEQSILQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKKLSKMGIDPMTHRPKINSSFGSAANLSHMAQWETARLEAEARHSKFISSAQNSSFRLPTNNPPPPPLPKVPPPLDVLKAWQETWTKPPRTRVSSGGAFVPNATPHQSPTTLNNVSDQNLNFSHQNLCYVETPYVHESSSNIVNPNSTGDAIIPHVAMDPLSDLPTFIHGFSELSPQTLTGYFDDDNVVGNCGTADMEDNNSYWNIILNNLVASPVGSPVF >itb01g13830.t1 pep chromosome:ASM357664v1:1:14860471:14867010:1 gene:itb01g13830 transcript:itb01g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMP [Source:Projected from Arabidopsis thaliana (AT3G24160) UniProtKB/TrEMBL;Acc:A0A384KKU3] MDRSRSSSFTYLVIISILSLHYAVTDGSPGVYFLDGSAHQYVRPSSPDETASLSLPEVGAAVSVLLGFAPPATLSAESSFKLNEVLAPNPFDRPRTVLLLEVTGAEVSQFLDGAKEFLSGTHRRDILGNTNNADIQLPGDEEVSVLSLNEPLNLDSEAELSEEELSDFASWLGGSYVTVAGEPLTGELTIPLENEAELKFDLSKTAVKEFIRGLILLTYNSQKAKELHHDLSGSEKSIAELIIGRFNAIEAMKSNDGIENVAQAVRLSVHVISKIFDSLHGAYKGEIVGVIVCNPASEPMLNFVFDSRTSARWLEETKSVKNTTLAKEAEKLVRKTVAWTTGIMLIIATLLGIYFLLNMPLTRDTLLYSNVKLD >itb01g13830.t2 pep chromosome:ASM357664v1:1:14861857:14866611:1 gene:itb01g13830 transcript:itb01g13830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMP [Source:Projected from Arabidopsis thaliana (AT3G24160) UniProtKB/TrEMBL;Acc:A0A384KKU3] MDRSRSSSFTYLVIISILSLHYAVTDGSPGVYFLDGSAHQYVRPSSPDETASLSLPEVGAAVSVLLGFAPPATLSAESSFKLNEVLAPNPFDRPRTVLLLEVTGAEVSQFLDGAKEFLSGTHRRDILGNTNNADIQLPGDEEVSVLSLNEPLNLDSEAELSEEELSDFASWLGGSYVTVAGEPLTGELTIPLENEAELKFDLSKTAVKEFIRGLILLTYNSQKAKELHHDLSGSEKSIAELIIGRFNAIEAMKSNDGIENVAQAVRLSVHVISKIFDSLHGAYKGEIVGVIVCNPASEPMLNFVFDSRTSARWLEETKSVKNTTLAKEAEKLVRKTVAWTTGIMLIIATLLGIYFLLNMPLTRDTLLYSNVKLD >itb01g13830.t4 pep chromosome:ASM357664v1:1:14860527:14866611:1 gene:itb01g13830 transcript:itb01g13830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMP [Source:Projected from Arabidopsis thaliana (AT3G24160) UniProtKB/TrEMBL;Acc:A0A384KKU3] MDRSRSSSFTYLVIISILSLHYAVTDGSPGVYFLDGSAHQYVRPSSPDETASLSLPEVGAAVSVLLGFAPPATLSAESSFKLNEVLAPNPFDRPRTVLLLEVTGAEVSQFLDGAKEFLSGTHRRDILGNTNNADIQLPGDEEVSVLSLNEPLNLDSEAELSEEELSDFASWLGGSYVTVAGEPLTGELTIPLENEAELKFDLSKTAVKEFIRGLILLTYNSQKAKELHHDLSGSEKSIAELIIGRFNAIEAMKSNDGIENVAQAVRLSVHVISKIFDSLHGAYKGEIVGVIVCNPASEPMLNFVFDSRTSARWLEETKSVKNTTLAKEAEKLVRKTVAWTTGIMLIIATLLGIYFLLNMPLTRDTLLYSNVKLD >itb02g17190.t1 pep chromosome:ASM357664v1:2:13167946:13168326:-1 gene:itb02g17190 transcript:itb02g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNLKKKELKPECVLLLRDSCCPDCYNAELESPNQNFLLENYCCREQTSLTAKPAADRNCHTSRKSPPSCQTCSPIGTLRPPLLELVRVRTNDLLLRSTPSFISLQSNSSSERQHNRRANNLLDR >itb05g24610.t1 pep chromosome:ASM357664v1:5:29330190:29331723:1 gene:itb05g24610 transcript:itb05g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVEGVVVRGRVQIDTRQPFRSVKEAVLMFGEKILAPEIYAKQINTQVHTKASGEGEKQTVTKFGAVKAELEETKQDLQKAKEEGTLMAHCLQSLKQELEQTKREIQQLKAARQHRIADPEIEELKFIEKAPPKPEGEEEDLDQLFERKRSVKFASPPALTRVIFTKDGDSGKINNNNNNNNNNNNSPSQAKKKMKRRPLIPLIGALFTKKKGSQ >itb07g18270.t1 pep chromosome:ASM357664v1:7:22711996:22712253:-1 gene:itb07g18270 transcript:itb07g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWEKVKAKAKGLFSSQIVKFKFGKAHEKIAQSTLAGAKSSSHSLLPQRKAYQFCNENGQTVTASGSDLKQHPPTALLKRGGELA >itb04g08380.t1 pep chromosome:ASM357664v1:4:6654547:6655334:1 gene:itb04g08380 transcript:itb04g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEIDIDGGDMVQEEFMTPNLDSREVPNISSQNFSLLRLDPIRTPFSAGGELTAVASVKNLSKILPENFQKDFNLAKANAKQLDEEIADKKERAIHESLVIMKRISFWIMGAVLSGLSSDLKPFMELRKWVWDLIKDPYCYAWIP >itb04g20470.t1 pep chromosome:ASM357664v1:4:25167647:25174114:-1 gene:itb04g20470 transcript:itb04g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISYMKLRGSQNLRLRLLLSTLSSTPILIEDIRADATWPGLRPQEVSFLRLLEKVCDDCVVEINETGTKLKYRPGIVMGGKHLIHDCGVNRAIGYFITPLIVLGLFGKKPLSIRLKGITNDPKDPSVDTFRSTTLPILKQFGVPSEGLDLKIESRGVAPNGGGEVILSVPIVQDSLRAVSWTDVGLVKRIRGVSFSTRVSAQFENTMIHAARGILNPLLADVHIFTDHKAGAQAGKSPGYGISLVAETTSGCFISADTVVSYARQEEDAEIGDEDSIELTPPADVGEQIASALLGEIEQGGVVDSTHQALLFLLCALCPQDVSKVKVGKLSPYAIETLRHIRDFLGVKFLMKPEPSSGTVLLKCVGSGLKNMSRKLS >itb14g21430.t4 pep chromosome:ASM357664v1:14:23430132:23434433:-1 gene:itb14g21430 transcript:itb14g21430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKNWIESVSQIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFTVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLENTKQKLSQFCHVPVSNILNIHDVPNIWHIPLLLRNQNAHNAILKQLDLVNDATQPDLQEWTRRAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACISCSMKPSIDWIAASDLEDESARLTPEAHAAAWKTLKEASCILVPGGFGDRGVSGMILAAKYARENSVPYLGICLGMQISVIEFARSVS >itb14g21430.t2 pep chromosome:ASM357664v1:14:23428300:23434420:-1 gene:itb14g21430 transcript:itb14g21430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKNWIESVSQIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFTVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLENTKQKLSQFCHVPVSNILNIHDVPNIWHIPLLLRNQNAHNAILKQLDLVNDATQPDLQEWTRRAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACISCSMKPSIDWIAASDLEDESARLTPEAHAAAWKTLKEASCILVPGGFGDRGVSGMILAAKYARENSVPYLGICLGMQISVIEFARSVLAIEKANSTEFDENTPNPVVIFMPEGSKTHMGSTMRLGSRKTLFRSPDCITAKLYKNSQYVDERHRHRYEVNPEMVDALEKAGLKFVGTDESGKRMEILELPNHPFYVCVQFHPEFKSRPGRPSAPFLGFILAAIGKLDAHFKKGLQNGTL >itb14g21430.t1 pep chromosome:ASM357664v1:14:23428244:23434479:-1 gene:itb14g21430 transcript:itb14g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKNWIESVSQIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFTVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLENTKQKLSQFCHVPVSNILNIHDVPNIWHIPLLLRNQNAHNAILKQLDLVNDATQPDLQEWTRRAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACISCSMKPSIDWIAASDLEDESARLTPEAHAAAWKTLKEASCILVPGGFGDRGVSGMILAAKYARENSVPYLGICLGMQISVIEFARSVLAIEKANSTEFDENTPNPVVIFMPEGSKTHMGSTMRLGSRKTLFRSPDCITAKLYKNSQYVDERHRHRYEVNPEMVDALEKAGLKFVGTDESGKRMEILELPNHPFYVCVQFHPEFKSRPGRPSAPFLGFILAAIGKLDAHFKKGLQNGTL >itb14g21430.t3 pep chromosome:ASM357664v1:14:23428244:23434473:-1 gene:itb14g21430 transcript:itb14g21430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKNWIESVSQIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLFFTVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLENTKQKLSQFCHVPVSNILNIHDVPNIWHIPLLLRNQNAHNAILKQLDLVNDATQPDLQEWTRRAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACISCSMKPSIDWIAASDLEDESARLTPEAHAAAWKTLKEASCILVPGGFGDRGVSGMILAAKYARENSVPYLGICLGMQISVIEFARSVLAIEKANSTEFDENTPNPVVIFMPEGSKTHMGSTMRLGSRKTLFRSPDCITAKLYKNSQYVDERHRHRYEVNPEMVDALEKAGLKFVGTDESGKRMEILELPNHPFYVCVQFHPEFKSRPGRPSAPFLGFILAAIGKLDAHFKKGLQNGTL >itb07g18070.t2 pep chromosome:ASM357664v1:7:22470725:22475103:1 gene:itb07g18070 transcript:itb07g18070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNKKFGRVGDAEIRKGDGMQLDQLIEDTSSRETNARIQPFNLDVLVEAFQLRETAPIDLPLSEKGIPTIVAKSKSESKEKDKKHKKHKDKDKDKEKDKEHKKHKHRHKDRSKDKDKDKKKDKSGHHESGAEHSKKHHEKKRKHEGDEDLNDVQKHKKSKHKSSKIDEMGAIKVAG >itb07g18070.t1 pep chromosome:ASM357664v1:7:22470725:22475103:1 gene:itb07g18070 transcript:itb07g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNKKFGRVGDAEIRKGDGMQLDQLIEDTSSRETNARIQPFNLDVLVEAFQLRETAPIDLPLSEKGIPTIVAKSKSESKEKDKKHKKHKDKDKDKEKDKEHKKHKHRHKDRSKDKDKDKKKDKSGHHESGAEHSKKHHEKKRKHEGDEDLNDVQKHKKSKHKSSKIDEMGAIKVAG >itb15g10100.t5 pep chromosome:ASM357664v1:15:7345150:7349702:1 gene:itb15g10100 transcript:itb15g10100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITELRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t3 pep chromosome:ASM357664v1:15:7344104:7349567:1 gene:itb15g10100 transcript:itb15g10100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITELRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t4 pep chromosome:ASM357664v1:15:7344138:7349567:1 gene:itb15g10100 transcript:itb15g10100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITEVILFPSITCAMFSCQTYIVDVNETQLRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t8 pep chromosome:ASM357664v1:15:7345552:7349702:1 gene:itb15g10100 transcript:itb15g10100.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITELRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t9 pep chromosome:ASM357664v1:15:7345837:7349567:1 gene:itb15g10100 transcript:itb15g10100.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITEVILFPSITCAMFSCQTYIVDVNETQLRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t6 pep chromosome:ASM357664v1:15:7344041:7349597:1 gene:itb15g10100 transcript:itb15g10100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITELRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t1 pep chromosome:ASM357664v1:15:7344041:7349702:1 gene:itb15g10100 transcript:itb15g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITELRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t2 pep chromosome:ASM357664v1:15:7344190:7349597:1 gene:itb15g10100 transcript:itb15g10100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITELRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb15g10100.t7 pep chromosome:ASM357664v1:15:7344138:7349567:1 gene:itb15g10100 transcript:itb15g10100.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKRTSATASKLSEPEKPVETEEQVELDGDNEEEEDIEYEEVEEEVELEEEVEEEVEEEVEEEEEGSDGEEKGSDDEGPEVDPEEEDDEQKRRAELLALPPHGSEVYIGGITQDVSEGDVRQFCESIGKITEVILFPSITCAMFSCQTYIVDVNETQLRMMKGKETNQNKGYAFVTFRTKELASTAIKELNNTELKGRKVKCSPAQAKHRLFIGNVPRNWGEEEMKKVVNKVGPGVIKVELLKDQQNSSRNRGFAFIEYYNNACAEYSRQKMSNPNFKLDDSSPTVSWADPRNADSSTSSQVKAVYVKNLPKSVTQDQLKELFERHGKITKVVLPPAKPGQENSRYGFVHFAERSSAMKALKNTERYEIDGKVLDCSLAKPQADKKPSGGSNLQKGTTFPIYPPRLGYGMVGAPYSGVAAGIGHPLAYGRGATPAGMAMMPLLLPDGRIGYVLQQPGVMPTLPTAPQGGHGGGGQFSGGGRRGNNSGRGGGGGHSSDSGRGRSRYNPY >itb03g19200.t1 pep chromosome:ASM357664v1:3:17339264:17342194:-1 gene:itb03g19200 transcript:itb03g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQTIPPSICDLKNLTILDLNHNFIPGPFPVALYNCSDLEFLDLSYNYFNGSIPSDINQLSSRLMAFNLSSNFFTDGIPPAIGGLKQLKEVKFGATFLSGSFPPDIGDLLNLEILVLDNNAFAPQSIPSSFTQLKKLRNLWIKNSNLVGEIPENIGNMTALEFLALSGNSLSGSIPSNLFLLKNLTKVYLYVNKLSGPIPQSIEALNLYWIDFSNNTLTGKIPEDFGKLKKLEGLVLFKNQLSGEIPESIGRLPALWDVRLFTNNLSGVIPADFGKHSKLQTFDVSTNNLVGSLPEGLCDNKVLSSIIAFNNNLTGELPKSLGDCQTMGSVLVEKNQLSGTIPDGLWAARNLSRFLISDNLFTGELPQKIASNVSLVDISNNQFSGEIPAGVSSWNNVVNFKASNNLFTGEIPQELTALQQLSVLCGGSGEVYLVPLHHTGEKFAVKRIWNCKKLDQQLEKEFQAEVHILGTIRHSNIVKLLCGISSEESELLVYEFLENRSLDLWLHPKRRSYVACPPHHQVLQWPTRLHIAIGAAEGLCYMHNNCSPPIVHRDVKSSNVLLDSEFKAKIADFGLARMLIKPGEANTVSTVAGSFGYIAPEYAHTAKVNEKTDVYSFGVILLELVTGREPNDGGTAELCLADWAFKHVQEGKPIADALDAGIKKPQYLDEMQSVFKLGIICTSKLPSTRPTMKEVLKILHCNHHSCIVSPLLKYSGSERTSESEDDDESKSMV >itb04g00740.t1 pep chromosome:ASM357664v1:4:403593:408116:-1 gene:itb04g00740 transcript:itb04g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLGLALLAKRGFSTSSSGDKIVASVLFERLPVVVPKIDPVVYAFQEFSFRWRQQYRREYPENFLKKADSRGKGEYQIDYTPAPRITEADKTNDRKSLQRALDKRLYLLLHGTTLSSGKPAWHFPEKAYESEETLRRCAESALKSVIGDLSHTYFVGNAPMGHMVTQLNENHKETPSLKRFFFKSQVIAANKFDIHKCDDFAWVTKDELLEYFPEQAEFLNKMIIN >itb04g15490.t1 pep chromosome:ASM357664v1:4:16683440:16685559:-1 gene:itb04g15490 transcript:itb04g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFTLLVTREELRSLCTRQEDGQGATVLNQGIEINTVTGNIEGEAGAEVKEGMSVIATVDAPVDLETVGLSTPAIPSVAHHMFAVLFQRPIPRNLHNSFAPIPTHLTLLVDHNPLL >itb04g17130.t1 pep chromosome:ASM357664v1:4:19676114:19692480:-1 gene:itb04g17130 transcript:itb04g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLRSSTATKFTERTAADWSSRWWSLWRGWSTTNSGGQWRSTAASSLLWRGSWTAVAVGGVVTGGVAAGVAVVVLALKNWAENKGRWQICERLSWISERSNQRPSLPSLSCSCSDQQGNLRRSRSAVVGGSGEDVQRTAADWSSRWWSLAWLVNDELRRDSWTASSLLWRGSWTAVAVGGVVTCGVAAGVAVVVLALKNWAENKGRWQICERLSWISEVISSFINIKGVSIEG >itb06g21980.t1 pep chromosome:ASM357664v1:6:24444918:24447232:1 gene:itb06g21980 transcript:itb06g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFGSFSVLAMVIGIGFVCLLYSTQDGAFSSSGTTLTWQGNDVTATIKNRKLKEYGGHVPGLGESGMDKVNLEDYQPIDPVPSSKTSIKPGPIQHGTPLMPYIPQPQPAPAPPPSPSKPEGFP >itb03g19590.t1 pep chromosome:ASM357664v1:3:17627341:17631375:1 gene:itb03g19590 transcript:itb03g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASASFTFKPLQKAQLHLSSFSYCKYSSMLNPFKIYTSYFPSTKLTLEVETHVTRLETDREISRIIPRSVNCRENGSGLSSFTSKVSRFSRKHSKNNHAVENVEKRVVLKEGHGVNGLNSRKRGELSPEISIVKSKNGSSGKKDEKSRRVEQKSRKNDGKEVAIEEQREKGSSKGKVHSPEAKLRLGLDMCSKRGDFLGAIRLYELARKEGVRIGQYHYSVLLYLCSSAATGFLQPAKSGSGSRSLNPLESKEVSSVGFEDNSEFSRMENRKPYGGENGLTVDSSLENNLQHNSQSTFSWSELLSTPLDSSPQTLDELVQLMKTSVKPSEVKDARDQQEGYVIQVGQDVKSFALRKGFEICELMRLEKVPMNEATFTSMARLAMSLGDGDKAFDVVKQMKECGIDPRLRSYGPALSVFCNSGDVEKAFMVEGHMLENGVYPEEPELEALLKLSVEAKRSDKVYYLLHKLRMSVRQVSPYTADLIEEWFHSKAASGAGKRKWDQRLIRKAIENGGGGWHGQGWLGNGKWTVLRTSVGSDGFCKCCGEQLATIDLDPEETENFAKSVASIASQREKNLNFQKFQKWLDDHGPFEAVVDGANVGLFSQGKFQPSKVNAVANGIRQMLPSKRWPLIILHNRRVSGDMMRKPLNKALAQKWRTADALYETPTGSNDDWYWLYAAIKFRCLMVTNDEMRDHLFQLLGNDFFPKWKERHQVHFGFSEIGPVFHMPPPYSVVIQESEKGHWHIPIASELESERDRTWLCVTRANPHTTGKNSPNVSKETEVPSHKEKDASSSTQKKTRKEVTRRKHGNNEISPEVPKETCANLKGVDVLQSSRSPNQKMVRQELEAAETLGSCTIDFQI >itb05g27240.t1 pep chromosome:ASM357664v1:5:30717536:30718293:-1 gene:itb05g27240 transcript:itb05g27240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPEKILHFKVYILFSAVFSLIYLAPSFLDILNYFWPLFLSTALFLVAVVVFGRTSPPPPELSGEGILDYVAGKHQVGELQPQPI >itb04g13530.t1 pep chromosome:ASM357664v1:4:13636093:13639507:1 gene:itb04g13530 transcript:itb04g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVEDSIDSGHARLHELGYKQELKRDLSVLSNFAFSFSVVSVLTGLNSLYGTGLNFGGPISYIYGWLIAGTFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGPRWAPFASWITGWFNVIGQWAVTTSVDFSLAQLIQVMILLGTGGKNGGGYEASKYVVMAMHGGILLSHAILNSLPITLLSFLGQLAAVWNVLGVFLLMILIPTVATERASAKFVFTNFNTDNDDRIHSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADVNGPKGIISAIGISLLAGWCYLLGISFAITDIPHLVDLNNDAGGYAIGQIFYDAFKSRYGSGTGAIVCLGIIAVAIYFCGMSSLTSNSRMAYAFSRDGAMPFSQFWHKVNRREVPINAVWASSLIAFCMALTSLGSLVAFQAMTSIATIGLYVAYALPSLFRVTLARKSFIPGPFNLGRYGVIVGWISVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLLILVVSSWIFSARHWFKGPITNIDSSSGEDA >itb04g13530.t2 pep chromosome:ASM357664v1:4:13636093:13639507:1 gene:itb04g13530 transcript:itb04g13530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCPVYMFFLLSKFCLFFFLPAGLTLLVRYFTFPNKPVCDWGVCNFHSGKQQLSYSNHWNYLQWAVTTSVDFSLAQLIQVMILLGTGGKNGGGYEASKYVVMAMHGGILLSHAILNSLPITLLSFLGQLAAVWNVLGVFLLMILIPTVATERASAKFVFTNFNTDNDDRIHSKVYIFVLGLLMSQYTLTGYDASAHMTEETKNADVNGPKGIISAIGISLLAGWCYLLGISFAITDIPHLVDLNNDAGGYAIGQIFYDAFKSRYGSGTGAIVCLGIIAVAIYFCGMSSLTSNSRMAYAFSRDGAMPFSQFWHKVNRREVPINAVWASSLIAFCMALTSLGSLVAFQAMTSIATIGLYVAYALPSLFRVTLARKSFIPGPFNLGRYGVIVGWISVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLLILVVSSWIFSARHWFKGPITNIDSSSGEDA >itb13g25550.t1 pep chromosome:ASM357664v1:13:30897587:30899730:-1 gene:itb13g25550 transcript:itb13g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRREICKYLFQEGVCYAKKDYNLAKHPLIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFRGSGGRPGFGRGAGGFGGGAPPSSSFS >itb05g11770.t1 pep chromosome:ASM357664v1:5:17986002:17988129:1 gene:itb05g11770 transcript:itb05g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIMLVVMIIVAVVLVLSAIVLLQSWLSPEAMDGLPGTLGWPVVGESFSFISAFSSPAGVYSFIKRRQKLYGKVFKSYVLGRFTVFMTGREAGKILLTGKDGIVSLNLFYTGQQVLGPTSLLQQTGEAHKRLRRLIADPLSVDGLKKYFQFINGLAIHTLDNWAGREVLVLEEASTFTLKVIGNMIMSLEPSGQEQEKFRANFKLISSSFASLPFKLPGTAFYRGIKVKIQQTLKLIYTT >itb12g27800.t2 pep chromosome:ASM357664v1:12:28002103:28005262:1 gene:itb12g27800 transcript:itb12g27800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSAPRGAKVSPKWIIVFTALGFAFGMLFSNRFLGPLESDDRIIFGRRYKQQQVTVSDDDCETKTAENNESGVRQINKAHEAIDRSLDNSVAKIRIELPLIKSSDSVDKSETRSEETEKRNKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLRKLEEEKGIVVRFMIGHSATSNSILDRAIDMEDAQHNDILRLNHIEGYHELSAKTKSFFSTAVAKWDAEFYVKVDDDVHVNLGTLAATLARHRLKPRVYIGCMKSGPVLYKKDVKYHEPEFWKFGETGNNYFRHATGQIYAISKDLAKYVSTNKDVLHKFANEDVSLGAWLIGLEVEHIDDRNMCCGTPHDCEWKLQAGNACVASFDWSCSGICKSVQRMKGVHERCGEGAATLWSALL >itb12g27800.t5 pep chromosome:ASM357664v1:12:28002384:28005262:1 gene:itb12g27800 transcript:itb12g27800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCILFHAVFGFLVEYRFLGPLESDDRIIFGRRYKQQQVTVSDDDCETKTAENNESGVRQINKAHEAIESLDNSVAKIRIELPLIKSSDSVDKSETRSEETEKRNKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLRKLEEEKGIVVRFMIGHSATSNSILDRAIDMEDAQHNDILRLNHIEGYHELSAKTKSFFSTAVAKWDAEFYVKVDDDVHVNLGTLAATLARHRLKPRVYIGCMKSGPVLYKKDVKYHEPEFWKFGETGNNYFRHATGQIYAISKDLAKYVSTNKDVLHKFANEDVSLGAWLIGLEVEHIDDRNMCCGTPHGMFCFSRLVACFLLGFSHG >itb12g27800.t3 pep chromosome:ASM357664v1:12:28002152:28005262:1 gene:itb12g27800 transcript:itb12g27800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSAPRGAKVSPKWIIVFTALGFAFGMLFSNRFLGPLESDDRIIFGRRYKQQQVTVSDDDCETKTAENNESGVRQINKAHEAIESLDNSVAKIRIELPLIKSSDSVDKSETRSEETEKRNKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLRKLEEEKGIVVRFMIGHSATSNSILDRAIDMEDAQHNDILRLNHIEGYHELSAKTKSFFSTAVAKWDAEFYVKVDDDVHVNLGTLAATLARHRLKPRVYIGCMKSGPVLYKKDVKYHEPEFWKFGETGNNYFRHATGQIYAISKDLAKYVSTNKDVLHKFANEDVSLGAWLIGLEVEHIDDRNMCCGTPHGMFCFSRLVACFLLGFSHG >itb12g27800.t4 pep chromosome:ASM357664v1:12:28002384:28005262:1 gene:itb12g27800 transcript:itb12g27800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCILFHAVFGFLVEYRFLGPLESDDRIIFGRRYKQQQVTVSDDDCETKTAENNESGVRQINKAHEAIESLDNSVAKIRIELPLIKSSDSVDKSETRSEETEKRNKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLRKLEEEKGIVVRFMIGHSATSNSILDRAIDMEDAQHNDILRLNHIEGYHELSAKTKSFFSTAVAKWDAEFYVKVDDDVHVNLGTLAATLARHRLKPRVYIGCMKSGPVLYKKDVKYHEPEFWKFGETGNNYFRHATGQIYAISKDLAKYVSTNKDVLHKFANEDVSLGAWLIGLEVEHIDDRNMCCGTPHDCEWKLQAGNACVASFDWSCSGICKSVQRMKGVHERCGEGAATLWSALL >itb12g27800.t1 pep chromosome:ASM357664v1:12:28002103:28005262:1 gene:itb12g27800 transcript:itb12g27800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSAPRGAKVSPKWIIVFTALGFAFGMLFSNRFLGPLESDDRIIFGRRYKQQQVTVSDDDCETKTAENNESGVRQINKAHEAIESLDNSVAKIRIELPLIKSSDSVDKSETRSEETEKRNKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLRKLEEEKGIVVRFMIGHSATSNSILDRAIDMEDAQHNDILRLNHIEGYHELSAKTKSFFSTAVAKWDAEFYVKVDDDVHVNLGTLAATLARHRLKPRVYIGCMKSGPVLYKKDVKYHEPEFWKFGETGNNYFRHATGQIYAISKDLAKYVSTNKDVLHKFANEDVSLGAWLIGLEVEHIDDRNMCCGTPHDCEWKLQAGNACVASFDWSCSGICKSVQRMKGVHERCGEGAATLWSALL >itb12g25080.t1 pep chromosome:ASM357664v1:12:26375946:26378386:1 gene:itb12g25080 transcript:itb12g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTVVTDRTPAGQPVLNAGNGEELVHVLSGVSLVLGNRLPLSPGTLYITTRKVVWLSNTDTERGYAVDFLNISLHAVSRDPESYPSPCIYAQIDIGDEDDDDSEGSDTEGNETLDLSRITEMRVVPSDPNQVDALFQMFCECAELNPEPIEEEEEEHNWIFSADQLENEPPEEGEVTEWVVTQNGSHPIGCSNEDPDLAHTVLQLQINDQRFEDAEEMDSDDHNGQH >itb01g29760.t2 pep chromosome:ASM357664v1:1:33927889:33928885:-1 gene:itb01g29760 transcript:itb01g29760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGTGGDKYRDYLSEDEVKNTKWRSGPPSYDVVDKLFEEGRTHVWPEGSLEEKVQRLMKTWEMELVHKADPNDYKTLDPTKFRLFVNGRKGLSLEETAKIGGSYNVFLQTSLPEKYRVFNPEDETFVSSQAVFRNAFPRGFAIEILQVYSGPPRITYRFRHWGYMDGPFKGHPPTGEITEFFGMGTFEVPHLVY >itb01g29760.t1 pep chromosome:ASM357664v1:1:33927487:33928885:-1 gene:itb01g29760 transcript:itb01g29760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGTGGDKYRDYLSEDEVKNTKWRSGPPSYDVVDKLFEEGRTHVWPEGSLEEKVQRLMKTWEMELVHKADPNDYKTLDPTKFRLFVNGRKGLSLEETAKIGGSYNVFLQTSLPEKYRVFNPEDETFVSSQAVFRNAFPRGFAIEILQVYSGPPRITYRFRHWGYMDGPFKGHPPTGEITEFFGMGTFELDEESNKIVKSELFFDRGELLGALVKGGSSDEAATSEAPSACPFMKGA >itb15g17120.t1 pep chromosome:ASM357664v1:15:17468833:17469168:-1 gene:itb15g17120 transcript:itb15g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPWVVNSEIYPLRYHGVGGGIAAVANWVSNLVVSLTFLTLIEAIGTSGTFLLFAGCSLTGLVAIFFLVPETKGLQFEEVEKMLEKGYKPSLLFCCNRNTKQQSAVQGG >itb15g18800.t2 pep chromosome:ASM357664v1:15:20618034:20626045:1 gene:itb15g18800 transcript:itb15g18800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQASSSGTSSSSQSQPPLQPSLNDCLKLLRGERDEQRLAGLLLVTKFCSKDDHDAIRKVYDAVGPQFLHRLLRTGMGKGEADGGRKENRDAYLQLSITVLSAFCRVPEIAASEDVVTKIPLILEVMSQESVPPLLEECYEILLLVSRAHEGSVMTLYTSGILEVLTLQMPSFPDGSHLMELAMLLIQLIVSKVPEERVYSEHATELSLLVVVIAKQFAILQNALKFEALYLLSSIMSNKYSAPVHDALSLISNDAWSTNLRIGIVDILQNRVAPTSKFQALVLAECVMSIVGEGWLIGEMNLPNAKYSLPADRCVMLVLESARVEVDVILNELGYLKYEAPKDSLSTAENVLVKQRNLGVTFSLLEKVIKFVSSFAEAEEPHMNSIISESTFSKIILGLNKTTDVVLDYLKDAKVHELRKGDDLLASVRIIGSYLAEAPDACREKVIELLDFMLSVEGEMEHSPFYSICFLLPMLCQLTVKASGCKVLTSSGAFRSIVGCLINLINKKGYENEDDGSILLACDTILNVLLKREQIQFPLDDPIFVKLLEALSYSTEDSDDQQRIMMASSICSLILGATSEAALLNHPDFDIGKLSSLSKLIKRSLTLCGQGLTSSYSITAMDLDQIISSGYSQWVDRFPHIREAVER >itb15g18800.t1 pep chromosome:ASM357664v1:15:20618034:20626045:1 gene:itb15g18800 transcript:itb15g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQASSSGTSSSSQSQPPLQPSLNDCLKLLRGERDEQRLAGLLLVTKFCSKDDHDAIRKVYDAVGPQFLHRLLRTGMGKGEADGGRKENRDAYLQLSITVLSAFCRVPEIAASEDVVTKIPLILEVMSQESVPPLLEECYEILLLVSRAHEGSVMTLYTSGILEVLTLQMPSFPDGSHLMELAMLLIQLIVSKVPEERVYSEHATELSLLVVVIAKQFAILQNALKFEALYLLSSIMSNKYSAPVHDALSLISNDAWSTNLRIGIVDILQNRVAPTSKFQALVLAECVMSIVGEGWLIGEMNLPNAKYSLPADRCVMLVLESARVEVDVILNELGYLKYEAPKDSLSTAENVLVKQRNLGVTFSLLEKVIKFVSSFAEAEEPHMNSIISESTFSKIILGLNKTTDVVLDYLKDAKVHELRKGDDLLASVRIIGSYLAEAPDACREKVIELLDFMLSVEGEMEHSPFYSICFLLPMLCQLTVKASGCKVLTSSGAFRSIVGCLINLINKKGYENEDDGSILLACDTILNVLLKREQIQFPLDDPIFVKLLEALSYSTEDSDDQQRIMMASSICSLILGATSEAALLNHPDFDIGKLSSLSKLIKRSLTLCGQGLTSSYSITAMDLDQIISSGYSQWVDRFPHIREAVER >itb14g18540.t1 pep chromosome:ASM357664v1:14:21501719:21504227:-1 gene:itb14g18540 transcript:itb14g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAELRRVFLMFDRKGDGKITRKELSDSLEKLNIHVPEKELIQMIEKIDVNGDGHVDMEEFGALYEALLDERGVGGDDEDMREAFNVFDQNGDGFITVEELRSVLVAMGLKQGRTVDDCKNMIAKVDVDGDGMVNYDEFRKMMKGGDFAASSPSD >itb14g18540.t2 pep chromosome:ASM357664v1:14:21501762:21504637:-1 gene:itb14g18540 transcript:itb14g18540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAELRRVFLMFDRKGDGKITRKELSDSLEKLNIHVPEKELIQMIEKIDVNGDGHVDMEEFGALYEALLDERGVGGDDEDMREAFNVFDQNGDGFITVEELRSVLVAMGLKQGRTVDDCKNMIAKVDVDGDGMVNYDEFRKMMKGGDFAASSPSD >itb02g01310.t1 pep chromosome:ASM357664v1:2:719028:727035:-1 gene:itb02g01310 transcript:itb02g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGDGGAGGTEDGSASVPGGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKELVGSRRELERTDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFVLSTNDKTIKFWKVQEKKVKKVSVMNTDPSRVVGNGDIASSSVPSIPKQHLENGNYPDKSYNCLSNNISLPTDTRSALRLPVVVSSAETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDMKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQETPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATYQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRIATGSYSNLFRVFGCAAGSIEATTLEASKNPMRRQAQTPSRPARSQGSSITRVVRRGSESPGGDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >itb02g01310.t2 pep chromosome:ASM357664v1:2:719028:727028:-1 gene:itb02g01310 transcript:itb02g01310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGDGGAGGTEDGSASVPGGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKELVGSRRELERTDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFVLSTNDKTIKFWKVQEKKVKKVSVMNTDPSRVVGNGDIASSSVPSIPKQHLENGNYPDKSYNCLSNNISLPTDTRSALRLPVVSSAETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDMKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQETPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKLWDINMDSGPVATYQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRIATGSYSNLFRVFGCAAGSIEATTLEASKNPMRRQAQTPSRPARSQGSSITRVVRRGSESPGGDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >itb02g01310.t4 pep chromosome:ASM357664v1:2:722832:727035:-1 gene:itb02g01310 transcript:itb02g01310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGDGGAGGTEDGSASVPGGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKELVGSRRELERTDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFVLSTNDKTIKFWKVQEKKVKKVSVMNTDPSRVVGNGDIASSSVPSIPKQHLENGNYPDKSYNCLSNNISLPTDTRSALRLPVVVVLTLIILSCTCISGNVQLRVVYFTIFIFDPCKLFGTYCMHLLGAFL >itb02g01310.t3 pep chromosome:ASM357664v1:2:721021:727035:-1 gene:itb02g01310 transcript:itb02g01310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGDGGAGGTEDGSASVPGGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKELVGSRRELERTDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFVLSTNDKTIKFWKVQEKKVKKVSVMNTDPSRVVGNGDIASSSVPSIPKQHLENGNYPDKSYNCLSNNISLPTDTRSALRLPVVVSSAETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDMKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEQETPGSRSFFTEIIASISDIKFARDGRYILSRDYMTLKVV >itb02g12490.t1 pep chromosome:ASM357664v1:2:8541456:8542667:-1 gene:itb02g12490 transcript:itb02g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHLFVFNCLILPLLRRSLCSFVSNEEASALLQWKRTFITQNNVLLASWSFQPKVNASASIPCCFWYGISCRRGSVNRLNLTNSGISGTLHDFPFSSLSNLEFLDLSINNLSGTIPNKISGLTRLIYLDLSINQFSGPIPRDMGLLTNLQTLHLFDNKLNGSIPWEIGQLVSLTELALYSNNLEGPIPISFANLTNLTSLFLYENRLSGSIPQEIGNLPNLEELYANTNQISGEIPATIGNLTNLRVLYLFKNQLSGAIPREIGKIKSLQKLSLQSNNLSGSIPPSIGNLTGLTLLHLYGNRLSGSIPQEVGNLRGLYDLELSMNRLSGAIPATIGNLSKLEVLFLRLNSLDGSIPEELGKLERLGVLEIDHNQLFGPLPENLCRSGKLQYFTTSNNMLSGT >itb12g23160.t1 pep chromosome:ASM357664v1:12:25007839:25012837:-1 gene:itb12g23160 transcript:itb12g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGNGDLFKSTTLIKIAAFTFLAVAFFYFGKHWSDAQQQPLVFFDSRQGHSPPSVADVGAAVSLSPNANKTFDLASMINDTVSDNGKERKDDGNQQQQQRRDAIPPPPPPPPALQRMGVVDENGVMSADFEVGKFDPDVVDNWGQDNETDAKGSGGARSIRVNKFALCSSSFREYIPCLDNVEAIKQLKSTEKGEKFERHCPDKDKGLNCLVPAPRGYRTPIPWPRSRDEVWFSNVPHARLVEDKGGQNWITIDKDKFKFPGGGTQFIHGADQYLNQIEEMVPEIAFGRHTRVALDVGCGVASFGAYLLSRNVLTLSVAPKDVHENQIQFALERGVPAMVSAFATKRLLYPSQSFELIHCSRCRINWTRDDGILLLEVNRMLRGGGYFVWAAQPVYKHEPILEEQWEEMVNLTNSLCWKLVKKEGYIAIWQKPLNNSCYLNREEGSKPPLCDPDDDPDDVWYVDLKVCITRLPEDGGGTNVTNWPARLQNPPDRLQSIKLDAYISRKELFTAELKYWAEIIGGYVHALRWKKFKLRNVLDMRAGFGGFAAALIEHKFDCWVLNVVPVSGLNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHANGLFFVEQKRCNISTIMLEMDRILRPGGRVYIRDSLAVMDELQEVGKAMGWRVNLRETSEGPHASYRILTCEKRLLRA >itb12g23160.t2 pep chromosome:ASM357664v1:12:25006940:25012837:-1 gene:itb12g23160 transcript:itb12g23160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGNGDLFKSTTLIKIAAFTFLAVAFFYFGKHWSDAQQQPLVFFDSRQGHSPPSVADVGAAVSLSPNANKTFDLASMINDTVSDNGKERKDDGNQQQQQRRDAIPPPPPPPPALQRMGVVDENGVMSADFEVGKFDPDVVDNWGQDNETDAKGSGGARSIRVNKFALCSSSFREYIPCLDNVEAIKQLKSTEKGEKFERHCPDKDKGLNCLVPAPRGYRTPIPWPRSRDEVWFSNVPHARLVEDKGGQNWITIDKDKFKFPGGGTQFIHGADQYLNQIEEMVPEIAFGRHTRVALDVGCGVASFGAYLLSRNVLTLSVAPKDVHENQIQFALERGVPAMVSAFATKRLLYPSQSFELIHCSRCRINWTRDDGILLLEVNRMLRGGGYFVWAAQPVYKHEPILEEQWEEMVNLTNSLCWKLVKKEGYIAIWQKPLNNSCYLNREEGSKPPLCDPDDDPDDVWYVDLKVCITRLPEDGGGTNVTNWPARLQNPPDRLQSIKLDAYISRKELFTAELKYWAEIIGGYVHALRWKKFKLRNVLDMRAGFGGFAAALIEHKFDCWVLNVVPVSGLNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHANGLFFVEQKRCNISTIMLEMDRILRPGGRVYIRDSLAVMDELQEVGKAMGWRVNLRETSEGPHASYRILTCEKRLLRA >itb01g11320.t1 pep chromosome:ASM357664v1:1:10055036:10059007:-1 gene:itb01g11320 transcript:itb01g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTDWIILLIFSLVTFPQYVNPYSIPTPNPQGGTLIPKTIIQSAIEKGAVCLDGSPPAYHFSPGKGEDASNWLIYLQGGGWCMSNDAYSTPDFSVQSCPNRAAGDLGSSLNITTFEFKGFYGTNEKNTYFYKWNRVVIRYCDGGSFAGDVENPDPVTKLYYRGARIFQVVVDELMAKGMKAAKNVIFAGGSAGGLGVLVHCDNFTSRFPKGVRVKCLSDSSLFLVVKDPNHAKFFKAIFTDVVALHQPNKALPIECTSKMSPFECFQPKNLVQYVKSPLFIVHSAFDSFQVRNTFSMDLYNAIKNHSSVCPSDMALLQDFRQQIISALPHSSATKGFIVTSRFGHGFALNYYKTKMLADQNSTTIVSAFYDWYFDQRPVNLIDPSNVPYYTND >itb01g11320.t2 pep chromosome:ASM357664v1:1:10055036:10057624:-1 gene:itb01g11320 transcript:itb01g11320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTDWIILLIFSLVTFPQYVNPYSIPTPNPQGGTLIPKTIIQSAIEKGAVCLDGSPPAYHFSPGKGEDASNWLIYLQGGGWCMSNDAYSTPDFSVQSCPNRAAGDLGSSLNITTFEFKGFYGTNEKNTYFYKWNRVVIRYCDGGSFAGDVENPDPVTKLYYRGARIFQVVVDELMAKGMKAAKNVIFAGGSAGGLGVLVHCDNFTSRFPKGVRVKCLSDSSLFLVVKDPNHAKFFKAIFTDVVALHQPNKALPIECTSKMSPFECFQPKNLVQYVKSPLFIVHSAFDSFQVRNTFSMDLYNAIKNHSSVCPSDMALLQDFRQQIISALPHSSATKGFIVTSRFGHGFALNYYKTKMLADQNSTTIVSAFYDWYFDQRPVNLIDPSNVPYYTND >itb08g10610.t1 pep chromosome:ASM357664v1:8:10248031:10252664:-1 gene:itb08g10610 transcript:itb08g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDPPPRRFLLSCEQQPTTTTTTTEDSASSATAADEGSAFPARPVTSNSRYYDLKIGTEAEAVKGSTY >itb10g03790.t1 pep chromosome:ASM357664v1:10:3545265:3546257:1 gene:itb10g03790 transcript:itb10g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAQTLGLSLPVPSVQQLVKDNPNAVPSRYIRDGVESPASAKSLTAVNNVPVIDMQKLVSEDSEEFQKLHLACKDWGFFHLINHGVNGSLVEEVKREIKGFFNLPLEEKQSKYGQAEGDTDGFGQLFVVSEEQKLDWADMFYLKTLPANIRSPNVFPKLPEAFR >itb10g03120.t1 pep chromosome:ASM357664v1:10:2798465:2801162:-1 gene:itb10g03120 transcript:itb10g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLTVDAKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFESVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVSTENGRSLAERESLYFMETSALEATNVENAFSEVLAQIYHVVSKKAMESGENGTASSAVPSKGEKIDIGKDVSAVKKTGCCS >itb09g02550.t1 pep chromosome:ASM357664v1:9:1454457:1457612:1 gene:itb09g02550 transcript:itb09g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWNGDRLFPTVNNRNLLPDFSPSDSYGLFHVTPAPVIGKHFQVNPNNLTASANANGIHSNPFDLLPVTGRFHGGGNGGAGNNPFAISPVPGGIHPAHQFGIKRYPNSSLVNDSFEFLGDADSNFRTIRSLTTERIPAAGGAYKTRDGGGALSLHELEHALRETSNFNINFSSRNNGYRLGLGINNNINNLNNLNLQSSINRPRQSQPQVMNFSTLEDLRGKVLLVIKDQQSCRFLQQKLEEGKPEEIEMIFSEVKNCVRELMIDQSGNYLIQKLFQVCNAPQMSELLVSTVRDDRQLVAVCLDMHGTRAMQTMLQHLTTKEQRALVVSVLRRITPILSVIADNCLDIATDKSGCCVLQHCVENADGQSRERLVAEITANSIVLSEHPYGNYVVQNIVGLKIAHVTSEILKQLQGSFVSLSMNKYGSNVVEKCLKESENEQAMQVVEEIISSPNFLMLLQDQYGNYVAQSALAICKGSTRHAMVNLINMHYNYLHSHPYGKRVLAKTRGNKQQLHA >itb04g21870.t1 pep chromosome:ASM357664v1:4:26984798:26985390:-1 gene:itb04g21870 transcript:itb04g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESFVNERWELDKLDAGMQGLQQGIVLRPGMADKAIWRPSGGNFDLKTAKTLMREQGEEIGSWNKKIWAKGVPWKMSFMAWRVFKGKIPTDDVLRKWGFQIASRCYCCSNPGFNSLQHVFGIGEVAGQAPNGDPLGTLGQLHTLQVWGREIIIC >itb01g10800.t7 pep chromosome:ASM357664v1:1:9398733:9406429:-1 gene:itb01g10800 transcript:itb01g10800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRVSFYVCKFGSGIVDDVSESFTCF >itb01g10800.t6 pep chromosome:ASM357664v1:1:9398811:9406429:-1 gene:itb01g10800 transcript:itb01g10800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGPCRVI >itb01g10800.t8 pep chromosome:ASM357664v1:1:9399449:9406435:-1 gene:itb01g10800 transcript:itb01g10800.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRVSFYVCKFGSGIVDDVSESFTCF >itb01g10800.t1 pep chromosome:ASM357664v1:1:9398669:9406436:-1 gene:itb01g10800 transcript:itb01g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGDISLAEQISQIGDQCLSASGVLGNGLAEKTKPNHDIARIVETKDVASAEAVQEPAIRLSLESTA >itb01g10800.t9 pep chromosome:ASM357664v1:1:9398888:9402044:-1 gene:itb01g10800 transcript:itb01g10800.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGDISLAEQISQIGDQCLSASGVLGNGLAEKTKPNHDIARIVETKDVASAEAVQEPAISFGHG >itb01g10800.t11 pep chromosome:ASM357664v1:1:9398888:9402044:-1 gene:itb01g10800 transcript:itb01g10800.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGDISLAEQISQIGDQCLSASGVLGNGLAEKTKPNHDIARIVETKDVASAEAVQEPAIRHVFLMS >itb01g10800.t10 pep chromosome:ASM357664v1:1:9398888:9402044:-1 gene:itb01g10800 transcript:itb01g10800.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGDISLAEQISQIGDQCLSASGVLGNGLAEKTKPNHDIARIVETKDVASAEAVQEPAIRLSLESTA >itb01g10800.t5 pep chromosome:ASM357664v1:1:9398669:9406435:-1 gene:itb01g10800 transcript:itb01g10800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRVSFYVCKFGSGIVDDVSESFTCF >itb01g10800.t2 pep chromosome:ASM357664v1:1:9398669:9406436:-1 gene:itb01g10800 transcript:itb01g10800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGDISLAEQISQIGDQCLSASGVLGNGLAEKTKPNHDIARIVETKDVASAEAVQEPAISFGHG >itb01g10800.t4 pep chromosome:ASM357664v1:1:9398669:9406435:-1 gene:itb01g10800 transcript:itb01g10800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRIVKLKGPCRVI >itb01g10800.t3 pep chromosome:ASM357664v1:1:9398669:9406436:-1 gene:itb01g10800 transcript:itb01g10800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSENSAETLPPSMEVAPRNYGVTRPLSLAGPSEADIHRNAALEKFLRDSGLYESEEETARREEVLRKLDQIVKLWVKQLTCQRGYTEQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVHRDEDFFITLHGILAEKEEVTELQPVPEAHVPVMKFKFQGISIDLLYASISLLVVPENLDISDRSVLYNIDEQTVRSLNGCRVADQILKLVPNAEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNNKHWDALFEPYIFFEAYKNYLQVDIVAAHTNDLLAWKGWVESRLRQLTLKIERDTKGMLQCHPYPTEFVDASKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWGPGMDIFVSHVRRKHIPAYVFPEGYKRQRQSRNTTYRSSCTPEKDVKGCTSPEERNPKRKPETEKTDVKSEKPGKRASISPQYLGSVSPACVELPQMMGICEDSTLEHRNASDEVRGRVNISVDGLSGCQNGITLNENARSLPVNLSECVIPSALFGIPKERISIQEVLTSCEVLQNDEKAEALESAQLGGAGAAIGNNEQLNGPCNQGGQFECVETILVSSNKIQNLSCRGDISLAEQISQIGDQCLSASGVLGNGLAEKTKPNHDIARIVETKDVASAEAVQEPAIRHVFLMS >itb06g17310.t1 pep chromosome:ASM357664v1:6:21176662:21187143:-1 gene:itb06g17310 transcript:itb06g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGIVGILAESTNKWERRVPLTPSHCARLLHGGKGKTGVARIVVQPSTKRIHHDALYEDVGCEVSEDLSECGLILGIKQPKLDMILPDRAYAFFSHTHKAQKENMPLLDKILASKASLFDYELIVGDHGKRLLAFGKFAGRAGMIDFLRGLGQWYLNLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIATTGLPSGICPLVFVFTGSGNVSHGAQEIFKLLPHTYVDPSKLPELFGTDKDLTPMRPSSKRVFQVYGCVVTCQDMVEHKDPSKSFDKVDYYAHPEHYKPVFHEKIAPYASVIVNCMYWERRYPRLLTTQQFQDLMKNGCRLVGISDISCDIEGSIEFINQTTSIDSPFFRYDPFNNTYHHDMEGNGIICSTVDILPTEFAKEASQHFGDILSQFVRSLASVKNIDELPAPLKRACIVHIGNLTPLYEYIPRMRKSDLEDSPDILNHLPSNKKRYTTLVSLSGHLFDQFLINEALDIIEEAGGSFHLMKCQVGQSSKALSYSEVEVGADDKDVLDKILDSLTSIAYPNEHLGSSNKDKSMISLKVGKVLESSVEKDCSANKKYRVLILGAGRVCRPAVEFLASLGGISAEQQLKSCISDDFEEQNCVEVIVASLYLKDAKEVTESIPNAKAIQLDISDRESLHKYISQVDVVVSLLPPSCHSTVASACIELKRNLVTSSYVDDSMSSLSEDAKSTGVTILGEMGLDPGIDHMMAMNIINQAHARNGRIKSFVSYCGGLPSPEAANNPLAYKFSWSPAGALRAGRNPATYRFQGEVVEVEGQNLYDSASKIRIQNFPAFALECLPNRNSLIYGDLYGIGEEASTVFRGTLRHEGFSEIMGTLAKVGFFNTETISILEHGKKTTYAEFLHELLRIDSKKLSAPSVGEKDIIDQIMALGHCKTEDTAANTAKTILFLGFHESIEIPVSCKSAFDVTCSRMEERLTYTEGEKDMVLLHHEVVVDFPDGQTETHQATLLEFGRTNDGRTTTAMAITVGIPAAIGALLLLSQKIKATGVLRPTDAEVYVPALDILQAYGLKLVEKIE >itb06g17310.t2 pep chromosome:ASM357664v1:6:21176662:21187143:-1 gene:itb06g17310 transcript:itb06g17310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGIVGILAESTNKWERRVPLTPSHCARLLHGGKGKTGVARIVVQPSTKRIHHDALYEDVGCEVSEDLSECGLILGIKQPKLDMILPDRAYAFFSHTHKAQKENMPLLDKILASKASLFDYELIVGDHGKRLLAFGKFAGRAGMIDFLRGLGQWYLNLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIATTGLPSGICPLVFVFTGSGNVSHGAQEIFKLLPHTYVDPSKLPELFGTDKDLTPMRPSSKRVFQVYGCVVTCQDMVEHKDPSKSFDKVDYYAHPEHYKPVFHEKIAPYASVIVNCMYWERRYPRLLTTQQFQDLMKNGCRLVGISDISCDIEGSIEFINQTTSIDSPFFRYDPFNNTYHHDMEGNGIICSTVDILPTEFAKEASQHFGDILSQFVRSLASVKNIDELPAPLKRACIVHIGNLTPLYEYIPRMRKSDLEDSPDILNHLPSNKKRYTTLVSLSGHLFDQFLINEALDIIEEAGGSFHLMKCQVGQSSKALSYSEVEVGADDKDVLDKILDSLTSIAYPNEHLGSSNKDKSMISLKVGKVLESSVEKDCSANKKYRVLILGAGRVCRPAVEFLASLGGISAEQQLKSCISDDFEEQNCVEVIVASLYLKDAKEVTESIPNAKAIQLDISDRESLHKYISQVDVVVSLLPPSCHSTVASACIELKRNLVTSSYVDDSMSSLSEDAKSTGVTILGEMGLDPGIDHMMAMNIINQAHARNGRIKSFVSYCGGLPSPEAANNPLAYKFSWSPAGALRAGRNPATYRFQGEVVEVEGQNLYDSASKIRIQNFPAFALECLPNRNSLIYGDLYGIGEEASTVFRGTLRHEGFSEIMGTLAKVGFFNTETISILEHGKKTTYAEFLHELLRIDSKKLSAPSVGEKDIIDQIMALGHCKTEDTAANTAKTILFLGFHESIEIPVSCKSAFDVTCSRMEERLTYTEGEKDMVLLHHEVVVDFPDGQTETHQATLLEFGRTNDGRTTTAMAITVGIPAAIGALLLLSQKIKATGVLRPTDAEVYVPALDILQAYGLKLVEKIE >itb14g19130.t7 pep chromosome:ASM357664v1:14:21875266:21878900:-1 gene:itb14g19130 transcript:itb14g19130.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEALFATASQQVKLTESLELLKENALKGKKSCWPLVHPLYRN >itb14g19130.t4 pep chromosome:ASM357664v1:14:21875236:21880660:-1 gene:itb14g19130 transcript:itb14g19130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYLAQEDENERRSDNEISEDEKRRTRRISSLKKKAMNASTRFTHTLRKHSRRFANCPFASISIEDFRDEKEEEAVNTFRQALIEKGLLPTRHDDYHTILRFLKARKFDIDKTIQMWADMLNWRKENRVDSIMQDFVYDELQEVQHYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEVSSHPVEDNII >itb14g19130.t2 pep chromosome:ASM357664v1:14:21875236:21880674:-1 gene:itb14g19130 transcript:itb14g19130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYLAQEDENERRSDNEISEDEKRRTRRISSLKKKAMNASTRFTHTLRKHSRRFANCPFASISIEDFRDEKEEEAVNTFRQALIEKGLLPTRHDDYHTILRFLKARKFDIDKTIQMWADMLNWRKENRVDSIMQDFVYDELQEVQHYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEALFATASQQVKLTESLELLKENALKGKKSCWPLVHPLYRN >itb14g19130.t6 pep chromosome:ASM357664v1:14:21875240:21880647:-1 gene:itb14g19130 transcript:itb14g19130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYLAQEDENERRSDNEISEDEKRRTRRISSLKKKAMNASTRFTHTLRKHSRRFANCPFASISIEDFRDEKEEEAVNTFRQALIEKGLLPTRHDDYHTILRFLKARKFDIDKTIQMWADMLNWRKENRVDSIMQDFVYDELQEVQHYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEVSSHPVEDNII >itb14g19130.t1 pep chromosome:ASM357664v1:14:21875236:21880674:-1 gene:itb14g19130 transcript:itb14g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYLAQEDENERRSDNEISEDEKRRTRRISSLKKKAMNASTRFTHTLRKHSRRFANCPFASISIEDFRDEKEEEAVNTFRQALIEKGLLPTRHDDYHTILRFLKARKFDIDKTIQMWADMLNWRKENRVDSIMQDFVYDELQEVQHYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEALFATASQQVKLTESLELLKENALKGKKSCWPLVHPLYRN >itb14g19130.t3 pep chromosome:ASM357664v1:14:21875236:21880311:-1 gene:itb14g19130 transcript:itb14g19130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYLAQEDENERRSDNEISEDEKRRTRRISSLKKKAMNASTRFTHTLRKHSRRFANCPFASISIEDFRDEKEEEAVNTFRQALIEKGLLPTRHDDYHTILRFLKARKFDIDKTIQMWADMLNWRKENRVDSIMQDFVYDELQEVQHYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEALFATASQQVKLTESLELLKENALKGKKSCWPLVHPLYRN >itb14g19130.t5 pep chromosome:ASM357664v1:14:21875236:21880289:-1 gene:itb14g19130 transcript:itb14g19130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVYLAQEDENERRSDNEISEDEKRRTRRISSLKKKAMNASTRFTHTLRKHSRRFANCPFASISIEDFRDEKEEEAVNTFRQALIEKGLLPTRHDDYHTILRFLKARKFDIDKTIQMWADMLNWRKENRVDSIMQDFVYDELQEVQHYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTVERFLKYHIQGFEKTFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLAMHIQKIDSDNYPETLHNMFIVNAGSGFRLLWNTAKGFLDPRTTAKIQVLGSKFQSKLTEVIDPSQLPDFLGGTCSCPNEGGCLSSDKGPWKDPEFMKLVHALHGERKPTCFSDDDIEIKPTSSTLPKGEIVCAEPSADMGLYAYGVVKSMPPSGIKTKRKPICNMVERFNDRGRVEDVSLSNLTPGTTQATQDKSISKFFVNVVFRLLAYICTAQVGRVFVKNSRDRATENERRSNLTGSSSREQNVSLSKREDLLQCSHRLQHLEKVVTELLNKPTEIPPEKELMLLDSMNRIKSIEHDLQKTKEALFATASQQVKLTESLELLKENALKGKKSCWPLVHPLYRN >itb03g14870.t1 pep chromosome:ASM357664v1:3:14403468:14404519:1 gene:itb03g14870 transcript:itb03g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRESIAHNSQKEKNGGSHDHRQEFLQFKQDDRFFSRLLSKEKSSIKGESSFRFFYYGDSSRGSIPFRWESQPGTPKHSLSAASLPPLTPPPSYQTAAELKSGGRRASRLPSFFSRISSKKPSFGRVSSSISSSSCSSSFSLPSTAAIGGRRHRSQSDLQLRLEDLFRDDDDGDSPTSTLCFGGGGRGNGGGAAAGSESGGSKSFHVRRSSWLCLNNISVF >itb01g05390.t1 pep chromosome:ASM357664v1:1:3701675:3703670:-1 gene:itb01g05390 transcript:itb01g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQHFARDWKSFSAAVEDTEKISACFDCNICLDFASDPVVTLCGHLYCWPCIYEWFQVRSSSDEPLQCPVCKAELSDTSVVPLYGSGKKLPESQPEGKPSALKVPPRPPASPQPLASPNSQPLPYLHNYPYSPHATTHAGDASFSLGNNAGVAVNAPEVGMLGEMVYARVFGNSESLYAAYPNSYQARGNSSPRLRRQEMQVDKSLNRITTFLFFCFLLCLLLF >itb12g03770.t1 pep chromosome:ASM357664v1:12:2468542:2470698:-1 gene:itb12g03770 transcript:itb12g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLTRLTGYSQSESNESRLDITERINMSKLLIDESKLVYGYVISNSDNTTVHQGLYMGKPVAIKVIQKEKGTNVSPFRKEKFLREVRLLAAVKNDNIVKFIGFSMEPSMTLVTEIMPGGSLQRHLLSIRPVTLEHKDVLVLALEISRAMAYLHENGIIHRDLKPSNIFLSQGKKSMKLGDFGVCRLGLEGDFSADVGSSRWMAPEVYSREQHGIETITTRYNHKVDVYSFAILVWELFTNKTPFEGMDRNMIARAVINNERPNLDEEAIPDYIQYLLQSCWSNDPLRRPEFSEISVSLEEMLNGIEPGWDSIDPAVFLVVTRSALDCAAVVLVVILSTQECAAVVLVVILSAQDCPAVGIRSAQDSAAVVLVVIRSAQDCAAVCLVFSLSVQDCAAVVLVSAKIDMQASLCFFLNS >itb04g05340.t1 pep chromosome:ASM357664v1:4:3323020:3332226:1 gene:itb04g05340 transcript:itb04g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKMVNRLALAAFAPEAPYLAAGTMAGAVDLSFSSTANLDIFQLDFASDDRQLVLAGSVASSERFTRLSWGKSPANSEQFPLGIVAGGLVDGSIGMWNPRVLISSIANQEGGEVTENAFLGHLSKHSGPVHSLEFNAFTPNLLASGADDGEICIWDISNSAEPTHFPPLKGSGSATQNEISYISWNRKVQQILASTSSNGTTVVWDLRKQKPVISFSDSIRRRCSVLQWNPDVATQLVVASDEDTSPALRMWDMRNTMSPVKELTGHSKGVVGMSWCPIDSSYLLTCAKDNRTICWDVVSGEIASELPEGNNWNFDVHWYPKCPGVISASSFDGNIGIYNIEGCGRSGTGDGYFAPAFLRAPKWYKREAGVSFGFGGKLVSFHSSSVPVSEVYMQDVLTEHGLVNHSSDVVAAIQNGERSSLRLLCEKKSEESVTGDERETWGFLKVMFEDDGTARTKLLSHLGFNLPVEEKDTTQDEISEQVSALGLGESLTGNGHAVKTDSIMQPIVDDEDFFNNLPSPKADTPLSTSGNNFVTNEAVPGWEEAPPEINGAEESADPSFEDSIQRSLVVGDYKGAVAQCISANKMADALVIAHVGGTSLWESTRDQYLKTSHSSLLKVVSAMVNNDLMSLVNTRPLKSWKETLALLCTFAQQDEWTLLCDTLGSRLLAIGETLPATLCYICAGNIDKTIEIWSRSLTAKLDGKSYVDLLQDLMEKTVVFALATRQTHFSASVCKLVEKYAEILASQGQLTTAMEYLKFLGTEHLSAELMILRDHIARSTQPDVDTLKSTASESSQLQTGPGYSPGQSSFGIANASQHYYPEPSSQFTSNVPNHQYSENYQNPLGASYMGYTHPTPYQPAPQPSVLQPKMFVPTPTPIVPEGNVAPPPVAPQPTSAVKFIPTNPPALRNVGKYQQPTLGAHLYPGPANPSFSASPNLPGSYGADPSQVNNMPPVIAPSQNSRGFTPVNNLGVPRPTMSPMQPPSPVQTAPVQPSFTSAAPPPTVQTVDTSNVPAQQKPVVMTLTRLFNETSQALGGSRANPAKKREIEDNSKKLGALFAKLNSGDISKNASDKLIQLCQALDNGDFSTALQIQVNLTTSEWDECNFWLATLKRMIKSRQSFR >itb04g05340.t2 pep chromosome:ASM357664v1:4:3325989:3332226:1 gene:itb04g05340 transcript:itb04g05340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCPIDSSYLLTCAKDNRTICWDVVSGEIASELPEGNNWNFDVHWYPKCPGVISASSFDGNIGIYNIEGCGRSGTGDGYFAPAFLRAPKWYKREAGVSFGFGGKLVSFHSSSVPVSEVYMQDVLTEHGLVNHSSDVVAAIQNGERSSLRLLCEKKSEESVTGDERETWGFLKVMFEDDGTARTKLLSHLGFNLPVEEKDTTQDEISEQVSALGLGESLTGNGHAVKTDSIMQPIVDDEDFFNNLPSPKADTPLSTSGNNFVTNEAVPGWEEAPPEINGAEESADPSFEDSIQRSLVVGDYKGAVAQCISANKMADALVIAHVGGTSLWESTRDQYLKTSHSSLLKVVSAMVNNDLMSLVNTRPLKSWKETLALLCTFAQQDEWTLLCDTLGSRLLAIGETLPATLCYICAGNIDKTIEIWSRSLTAKLDGKSYVDLLQDLMEKTVVFALATRQTHFSASVCKLVEKYAEILASQGQLTTAMEYLKFLGTEHLSAELMILRDHIARSTQPDVDTLKSTASESSQLQTGPGYSPGQSSFGIANASQHYYPEPSSQFTSNVPNHQYSENYQNPLGASYMGYTHPTPYQPAPQPSVLQPKMFVPTPTPIVPEGNVAPPPVAPQPTSAVKFIPTNPPALRNVGKYQQPTLGAHLYPGPANPSFSASPNLPGSYGADPSQVNNMPPVIAPSQNSRGFTPVNNLGVPRPTMSPMQPPSPVQTAPVQPSFTSAAPPPTVQTVDTSNVPAQQKPVVMTLTRLFNETSQALGGSRANPAKKREIEDNSKKLGALFAKLNSGDISKNASDKLIQLCQALDNGDFSTALQIQVNLTTSEWDECNFWLATLKRMIKSRQSFR >itb10g13460.t1 pep chromosome:ASM357664v1:10:19690687:19697431:-1 gene:itb10g13460 transcript:itb10g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLNGGTSGDAYEKLRSLHLSSLDDEEEEDEVLIDDSVHDDLYDDEDDEDKVPVALGFVEKKRNSWSLLRQLFPSKAGGTPAWLEPINLPSGRSCLCDFCGEPLQFMLQVYAPLTEKDSTFHRTVFVFMCPSMSCLLRDQHEQWKRHPGATLRSVKVFRCQLPRLNSFYSSEPPKNDGTDKPSGDGAALCSWCGTWRGDKVCSGCKTVRYCSEKHQAVHWKSGHKKHCFSNISLNESNSNGTAARMLKVVSNSLWPEYEISNEDECDEVSNDHAHSTSLVSASQADETYNSLLDSFEGDDDKKSWASFQERIMRAPDQVLRYCRYAKAKPLWPMSSGQPSNSDIPKCNYCGGPRAFEFQILPQLLYYFDVGNDVNSLDWATVAVYTCEASCEGSVAYKEEFAWVQVASQSNT >itb10g13460.t2 pep chromosome:ASM357664v1:10:19691881:19697431:-1 gene:itb10g13460 transcript:itb10g13460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLNGGTSGDAYEKLRSLHLSSLDDEEEEDEVLIDDSVHDDLYDDEDDEDKVPVALGFVEKKRNSWSLLRQLFPSKAGGTPAWLEPINLPSGRSCLCDFCGEPLQFMLQVYAPLTEKDSTFHRTVFVFMCPSMSCLLRDQHEQWKRHPGATLRSVKVFRCQLPRLNSFYSSEPPKNDGTDKPSGDGAALCSWCGTWRGDKVCSGCKTVRYCSEKHQAVHWKSGHKKHCFSNISLNESNSNGTAARMLKVVSNSLWPEYEISNEDECDEVSNDHAHSTSLVSASQADETYNSLLDSFEGDDDKKSWASFQERIMRAPDQVLRYCRYAKAKPLWPMSSGQPSNSDIPKCNYCGGPRAFEFQVI >itb05g00580.t2 pep chromosome:ASM357664v1:5:504214:509606:-1 gene:itb05g00580 transcript:itb05g00580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVLSLSTSMISQNHAIDIEEAIIVEHVKATHDPRGEMDVDASSILNFVDDIFNSGSNTILQEATQDKLMLKEYIQNEMPSHVLQLSLKVVACSCLNNTDSHSIAICLLSALSVYPWHTKVVMMLASFAIIYGKLVLSEQMSCSNRKNLKDTSIKSVLDLVKLMVELKHSSPMIVANYWIARFVVAYTRLCILDPESQIELTDEQSMLSTKIKEIMTSGHSLLEAKRREENYQALLHAFNNSSDVLEVLKLIFDIKNDEDKVILYLHWVFYEFLFYNYTSEREQALWDKESWNLKLVASKISYQLNNRIDNEECIFLCGGNDNKQVQEFTLKIQEVCSKTQMNMKITYIGRSEKVKVEMQRVGCLVFSSSGIKEFWRRIQSMALSRIQYLIAMGLGEGNDEIMQGLKKLLAYEAEGSTVGAWALLSKGNRIIACDIGDKMLGVMNEYEKWKDNAQAKGFEHAFRDCYEMLSYSSHTPYQHPCCSLNYPSNCDKILDTESCPQCNHNMHKLVTFSCCHTFEEY >itb05g00580.t1 pep chromosome:ASM357664v1:5:504214:509606:-1 gene:itb05g00580 transcript:itb05g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVLSLSTSMISQNHAIDIEEAIIVEHVKATHDPRGEMDVDASSILNFVDDIFNSGSNTILQEATQDKLMLKEYIQNEMPSHVLQLSLKVVACSCLNNTDSHSIAICLLSALSVYPWHTKVVMMLASFAIIYGKLVLSEQMSCSNRKNLKDTSIKSVLDLVKLMVELKHSSPMIVANYWIARFVVAYTRLCILDPESQIELTDEQSMLSTKIKEIMTSGHSLLEAKRREENYQALLHAFNNSSDVLEVLKLIFDIKNDEDKVILYLHWVFYERPSCSTYISSYGAKKRISARQLDMFRREGEKIIFPRGLHMFREKGVLLLISSGEHFPFYAYDVPIDPRGVEMIWVPITKVEKMGIQKIYHYIDSSKKSIMVHHKPVSQAFRRFLKDKCFPAFQAGSDPIVISLDKRGRLVHYNALHMILTWRGQLYEERTMSHDLIPSLENELRERTLGADRVIDDIDTQILDFTRVVRKNINNWVEDIRYKMEKSFLFYNYTSEREQALWDKESWNLKLVASKISYQLNNRIDNEECIFLCGGNDNKQVQEFTLKIQEVCSKTQMNMKITYIGRSEKVKVEMQRVGCLVFSSSGIKEFWRRIQSMALSRIQYLIAMGLGEGNDEIMQGLKKLLAYEAEGSTVGAWALLSKGNRIIACDIGDKMLGVMNEYEKWKDNAQAKGFEHAFRDCYEMLSYSSHTPYQHPCCSLNYPSNCDKILDTESCPQCNHNMHKLVTFSCCHTFEEY >itb05g02220.t1 pep chromosome:ASM357664v1:5:1761255:1763686:-1 gene:itb05g02220 transcript:itb05g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSSQSSSAQRDDESQRPHQSDDDDDQGTSSFLRSCFNGVNILLGVGIVSVPYALSEGGWLCLMILVLVAFSCFYTGLLIQKCMDSSPRTNTTYPDIAERAFGKRGRWLISTFMYLELYLVAVEFLILEGDNLEKLFPYANVHLGKLRIGPKQAFVLLAALVVLPTTWLRNLRLLAYVSAGGVLASLVLVCCTFWIGAMEGVGFHERGEVWGSLSGVMRALSLFSFCYSGHPVFPELRNSMRDRTQFSKVLIVSFAISTLSYGSMAILGNLMYGHHLQSQLTLNLPTNKTTSKIAIYTTLANPITKYAIVVAPIATAIEDTIQALSRRRRRCNNNNAAVTMLTTRTCLVISTVIVALTVPLFGPLMAFVGAFLNVGLSFLFPCACYLKINAGGRRFGMEFCVIVMISVLGSLIAVVGTYISVRDIIAGKR >itb05g04250.t1 pep chromosome:ASM357664v1:5:3768208:3771314:-1 gene:itb05g04250 transcript:itb05g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELQPTPPIPLSLNSTIFAIATIAEHHLLAVNQPSPSPSPSTQPSSNPDVSLSLAIALPLSLCDYGRDVVSPSPPRRCTASPAATSHRHLRRDVAQPAPPRPRTACYTATSHRQRTQHTADHQVFKELVCKEDWAEADCNKGAVEL >itb14g11350.t1 pep chromosome:ASM357664v1:14:12979439:12980615:1 gene:itb14g11350 transcript:itb14g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATALSTVLSDINDFVVKQGHGVKGLSELGLQTLPNQYVHPPEERLSSMDVVTDDSIPVIDVSNWEDPKVAKLICDAAEKRGFFQIVNHGIPLEMLEKAKAATYRFFREPAEEKKKYSKENSATSHVRYSTSFLPQIEKALEWKDHLSMFYVSDEEAAQYWPPSCRDDALEYLKSCEMVSRKLLEALMQGLNVNQIDDAKESLLMGSRRININYYPKCPNPDLTVGVGRHSDISTLTLLLQDDIGGLYVRKLEHEAWSHVPPVKGALVINIGDALQIMSNGRYKSIEHRVMANESNDRISVPVFVNPRPNDIVAPLPEVLASGEKPVYKPVLYSDYAKHFYRKAHNGKDTIAFARIE >itb05g22750.t1 pep chromosome:ASM357664v1:5:28059985:28061350:-1 gene:itb05g22750 transcript:itb05g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVMVEDVLVYLKNRPVLHTFGGLSVFFYGFGVAVYAFEGIGMVLPLESEMREKSKFGTTLGLTMGFISLMFGGFGALGYFAFGEETQDIITTNFGQGLLSCIVQIGLCINLFFTFPAMMNPVYEVMERRLCEGKYSLLVRWGMVLGVSLVALLVPNFADFLSLVGSSVCVVLGFVLPAMFHLIVHKEELGVLGFACDGVIIVLGIVFAVYGTWSSLVVIFGTSA >itb12g23590.t2 pep chromosome:ASM357664v1:12:25266082:25269285:-1 gene:itb12g23590 transcript:itb12g23590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIVSPFLQCPYVVTPQISGSLVPTSFSSKNHSEMDYKIVCGPVSLHPVRARNFLRLRFQRGDAIKRICCVNVNGVFGEEGFEFRDRLAEKIELAEDKGDYCCAIYEKSLGLSSRKKDSKFPSHFDPLEPAMLGINPDPPNWPERQLIMWENVEQKAKSFGLPLSLRMIKKKLQWEVGVRDLGESACCSVKRAFSSMVSIIVQLQTYVLQMREVLCDEDLEVIVAKVQREMCASYVWLFQKVFSRTPALMIYLMILLANFSVHSASLNIPVADATLLGSVRACEIAEAEAVSRLNKNLDVVPEESSAFADQELRNETDIQLWNSIVDEVTKMRGLEEAGLDREVMQSFVSPLSVHVEPDFLVDYFKMDFLYQMMLSQDPYNTLLLCNYAQFLHLVAQDYDR >itb12g23590.t1 pep chromosome:ASM357664v1:12:25266058:25269307:-1 gene:itb12g23590 transcript:itb12g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIVSPFLQCPYVVTPQISGSLVPTSFSSKNHSEMDYKIVCGPVSLHPVRARNFLRLRFQRGDAIKRICCVNVNGVFGEEGFEFRDRLAEKIELAEDKGDYCCAIYEKSLGLSSRKKDSKFPSHFDPLEPAMLGINPDPPNWPERQLIMWENVEQKAKSFGLPLSLRMIKKKLQWEVGVRDLGESACCSVKRAFSSMVSIIVQLQTYVLQMREVLCDEDLEVIVAKVQREMCASYVWLFQKVFSRTPALMIYLMILLANFSVHSASLNIPVADATLLGSVRACEIAEAEAVSRLNKNLDVVPEESSAFADQELRNETDIQLWNSIVDEVTKMRGLEEAGLDREVMQSFVSPLSVHVEPDFLVDYFKMDFLYQMMLSQDPYNTLLLCNYAQFLHLVAQDYDRAEECFKRGMEVEPPDGEVLSQYATFLWTVRKDLSEAEEMYRQATDVEPGNPYYAAQYSNFLWSNGGGEESCSRTNSSYDNIKTLNS >itb02g20690.t2 pep chromosome:ASM357664v1:2:18791761:18794838:-1 gene:itb02g20690 transcript:itb02g20690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTPFLHVMDLAYAAADLIISRSGAMTCYEILATGKPCILIPSPNVAEGHQLKNACLMAKLAGARVITENELDSFALRSATEEILGDESLMADMSERALKAAKLDASAEISKHILSLVNFSAISCESS >itb02g20690.t7 pep chromosome:ASM357664v1:2:18791761:18794838:-1 gene:itb02g20690 transcript:itb02g20690.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMATISHSSPLLHLQTHTSIVNSSPFSFQNIHILHRKIRFISCPALNKPNNAAAAADTLRIIFAAGGTGGHIYPAVAIADELKAINPSMQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTPFLHVMDLAYAAADLIISRSGAMTCYEILATGKPCILVL >itb02g20690.t5 pep chromosome:ASM357664v1:2:18791776:18794747:-1 gene:itb02g20690 transcript:itb02g20690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMATISHSSPLLHLQTHTSIVNSSPFSFQNIHILHRKIRFISCPALNKPNNAAAAADTLRIIFAAGGTGGHIYPAVAIADELKAINPSMQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTPYHHQMLQKGTSSKMLV >itb02g20690.t6 pep chromosome:ASM357664v1:2:18791776:18794747:-1 gene:itb02g20690 transcript:itb02g20690.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTPYHHQMLQKGTSSKMLV >itb02g20690.t3 pep chromosome:ASM357664v1:2:18791786:18794747:-1 gene:itb02g20690 transcript:itb02g20690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMATISHSSPLLHLQTHTSIVNSSPFSFQNIHILHRKIRFISCPALNKPNNAAAAADTLRIIFAAGGTGGHIYPAVAIADELKAINPSMQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTPFLHVMDLAYAAADLIISRYHHQMLQKGTSSKMLV >itb02g20690.t1 pep chromosome:ASM357664v1:2:18791761:18794838:-1 gene:itb02g20690 transcript:itb02g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMATISHSSPLLHLQTHTSIVNSSPFSFQNIHILHRKIRFISCPALNKPNNAAAAADTLRIIFAAGGTGGHIYPAVAIADELKAINPSMQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTPFLHVMDLAYAAADLIISRSGAMTCYEILATGKPCILIPSPNVAEGHQLKNACLMAKLAGARVITENELDSFALRSATEEILGDESLMADMSERALKAAKLDASAEISKHILSLVNFSAISCESS >itb02g20690.t4 pep chromosome:ASM357664v1:2:18791786:18794747:-1 gene:itb02g20690 transcript:itb02g20690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMATISHSSPLLHLQTHTSIVNSSPFSFQNIHILHRKIRFISCPALNKPNNAAAAADTLRIIFAAGGTGGHIYPAVAIADELKAINPSMQALFVGMPGGMESATVPAAGYSFAPVPASPLARPFFSPYNLFILPFLLINSLLKCFQLLQVFKPQIVVGTGGFVSFPICLAAALGGVKLVIQEQNAAPGIANRVLSLFADKIFLAFDSSIECFWQKKKCVVCGNPVRSSLKRNVPKEVARQHFFPDLEGKGKVVLVLGGSLGANTINVKLLNLYSNVLDERKDLFLIWQTGVEAFHEIQSLVNTHPQLVLTP >itb11g16360.t1 pep chromosome:ASM357664v1:11:14371750:14372588:-1 gene:itb11g16360 transcript:itb11g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKQMVILLSCKLEQLQFSVKLESLEIDTEANKPEVAGEVTVTEKSVLDEILAAASGGSALRLDGERNGLESS >itb07g17790.t1 pep chromosome:ASM357664v1:7:22072150:22079523:1 gene:itb07g17790 transcript:itb07g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRTKNLWFSSRYYVPQHVFIAQTLEVLDLSFCTLDTYRIVHVDLPHLETLSLSRCWILGDKLLQKIVCGSPKTKHIVISWCQGPQLVCSLFIRNLVSVAMRLEVLDLSGCILGEHCFVGIEFPSLERLFLRNCMFVGFFLRCDDEFKRIKIDAPNLRTFSYESTNAACVIDLTSCTNLENLYGASRNLAFSLLQTLVLTQSALSPRSSSLLTSSDGQTSAFYQSTLTPRLKFSPKGRAALLSTEGLSFRAVASERFSFPASRGCFSEMEYVSPEGLRLDGRRPLEMRQLRAEIGAVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVIQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSSPLLDLNYVEDSAGGPDVTVGFLPKLDKVTLLQMDAKLSLDTFENVMQLAIEGCKAVANYIREILLENTKQLECRRGL >itb07g06560.t2 pep chromosome:ASM357664v1:7:4702998:4707847:1 gene:itb07g06560 transcript:itb07g06560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMGSEGSSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGQDYPDKPPSVRFQTRINMSCVNQETGVVEPILFPMLADWKREHTMEDILLQLKKDMMSPQNRKLAQPPEGNEDGRVDQKGIVLRCCIL >itb07g06560.t1 pep chromosome:ASM357664v1:7:4702998:4707847:1 gene:itb07g06560 transcript:itb07g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMGSEGSSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGQDYPDKPPSVRFQTRINMSCVNQETGVVEPILFPMLADWKREHTMEDILLQLKKDMMSPQNRKLAQPPEAGNEDGRVDQKGIVLRCCIL >itb06g13090.t1 pep chromosome:ASM357664v1:6:17681331:17684738:1 gene:itb06g13090 transcript:itb06g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEVNLIGKSSFWPETMSLEGLDQTEQEYNLDSQSQLNEDEQIARALWECLSIEYPPLQANQNGSWQGCGYGNGNFYQLVTSPYTTSYRLLIGLILVHGNIHAWLQLKGYQTLGQYVEEGMLSAGAYVARISDYVLVVQQQCSTHTLKSQDQFL >itb09g27680.t1 pep chromosome:ASM357664v1:9:27940485:27943837:-1 gene:itb09g27680 transcript:itb09g27680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEFEHVDKFHKFCQQNNNNTLLSSRANTATAGLLLLPLSRRGGGRRRSCCPRHHCLIAIDEGRAASLIYFPLLTPDRKGKTRERITLLQLFAEGNQSCPREGGEVVGSAIRHSSSHRRGRTAAKLLRSLGEQGTLAAASAAEEGFRQPLTSSSADCIMRDLTSLLCRSNVTDSSQPHLDLTLRSVVRLPCLEASLIFTRAKYVP >itb12g22650.t1 pep chromosome:ASM357664v1:12:24670922:24671393:1 gene:itb12g22650 transcript:itb12g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAEVVIITDVSPAAESGGDEESGEDARRQAQVSHDIVVMVIYHQGNQEPLALFITTHQPVHEDILRHSFRIR >itb06g12620.t1 pep chromosome:ASM357664v1:6:17261517:17267784:-1 gene:itb06g12620 transcript:itb06g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLGACPAGKESERCTDVKFVLPQVEETSRKIKEESLSILFISAANVEGKCLVGKVPFELVFNSWMNTPNFASGETADLHKSIKLYPYFIQSSCSQFEIPSFRLIPSSEDNEAPLQQLQVAISTQQIHRIRRGSVCFHFSYDGNLHMTQVAQDFSCPFCSISCLHLEGLKCHFSACHDEFIFEYSVTDDVPDVYVSVNFDQKKSEMADVVDPRADTFIFSSKSLRRRKSQTVVKYPKHGKHISDLVFPTAVNELQHKTDGSNSV >itb12g01750.t7 pep chromosome:ASM357664v1:12:1189765:1194735:1 gene:itb12g01750 transcript:itb12g01750.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g01750.t5 pep chromosome:ASM357664v1:12:1189432:1194178:1 gene:itb12g01750 transcript:itb12g01750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g01750.t4 pep chromosome:ASM357664v1:12:1189425:1194697:1 gene:itb12g01750 transcript:itb12g01750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g01750.t3 pep chromosome:ASM357664v1:12:1191149:1194697:1 gene:itb12g01750 transcript:itb12g01750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g01750.t6 pep chromosome:ASM357664v1:12:1191149:1194697:1 gene:itb12g01750 transcript:itb12g01750.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g01750.t2 pep chromosome:ASM357664v1:12:1189435:1194646:1 gene:itb12g01750 transcript:itb12g01750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g01750.t1 pep chromosome:ASM357664v1:12:1189405:1194735:1 gene:itb12g01750 transcript:itb12g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G02410) UniProtKB/Swiss-Prot;Acc:Q8GWR0] MSFFRVFGRTQALHSFNTTLQLHSSTSRNYLQIIAPRYSLFSVGNNGRWKVSSFGCGSLMPRCEFRSVVDSNNLFSNLGRHSIARSCSMLNFQHQYATKAASTEKKSKKMLLYLTGLVFAMVGCSYAAVPLYRRFCQATGYGGTVQRKESVEEKIARHSKDGTVSTREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVSDE >itb12g11420.t1 pep chromosome:ASM357664v1:12:9658061:9659843:-1 gene:itb12g11420 transcript:itb12g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSPAVLPISNAQTTGAAVPSSDTVVVAPTPAFRAFINHITDTVRNGLANRRPWAELVDRSAFSKPDSISDATLRIRKNCAYFKINYLSLVAVVLGFSLITNPFSLLILAALLAAWLFLYLFRPSDPPLVLFGRQFSERETLGALLVSTVVVVFLTSVGSVLVSALMVGVAIICTHAAFRVPEDLFLDEQDAPSTGFLSFLTGGASSVAATVTAPAVAARV >itb09g29430.t1 pep chromosome:ASM357664v1:9:30159822:30162435:-1 gene:itb09g29430 transcript:itb09g29430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFCIFKDKLKSKESAPELKKSRTKQQTSGARSKGSKPKSIREMYREKGQHLRVFSLSELREATCNFNRLLKVGEGGFGKVYKGSIKPPDGQGDSIVVAIKKLNVNGSQGHKQWVAEVQFLGVVEHPNLVKLLGYCGIDGRNGIQRLLVYEYMPNRSLEDHLFSNSMPTISWRTRLNIILGAAQGIAYLHEGLDVKVIYRDFKTSNVLLDKDFNAKLSDFGLAREGPTGDKSHVSTAPIGTMGYTAPEYIETGHLSVKTDVWSFGVVLYEIITGRRAMERNRPSSEQKLLEWVKQYPADSSRFSVIIDSRLRNQHSLKAARRIAKLADSCLNKDARDRPTMSHVVEILKQVIDDSELETSKASG >itb11g09550.t1 pep chromosome:ASM357664v1:11:6463691:6469680:-1 gene:itb11g09550 transcript:itb11g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRQRVGDAEGEAETPREGRGCEIERENEYAEAESRRRRRRSGDAQGGNAMGVGCREDGCNKKVDLKGGMYVCGKCGTHCDTPKLRYKIKLRVYDAKAEELKAMQPKVTITAEELKAMQPKVPTKIPKEIVSLVGRGMLFKINIERDRLEKRNLAFPVMQIKEDIAVVNEYCPGMLKVTNQKPTNSYEQLDDDFDSDEGFFFSDEEAESPLPNPLTQVSKGGANDSEALTQVSKGGANDSEAVKRRLLDEFSSTQVSKKKTHTVFSSTQVSKKKTHTVLIKVEKDSELAIDQNSQNIEI >itb11g04930.t3 pep chromosome:ASM357664v1:11:2654453:2660355:1 gene:itb11g04930 transcript:itb11g04930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGLAVFCLSLKVMVGLFLILAIRMVDGANPKDVAAMNNFYAALKSPPLPGWSVDGDPCNGKWQGVQCEGTNIVSIIINGANLGGELGNNLGSFSSIKKIDLSNNHIGGAIPSNLPVTLDNLFLSDNEFTGNIPDSLSSLSQLSAMSLNNNHLTGEIPDSFEGLVHLKNLDLSNNNLSGRLPPSLGNLSLVTLHLQNNQISGTLDVLQDLPLQDLNIENNLFSGPIPQKLLTIPVFKKDGNPFNSSIVPSPPPTPSPAPPSDKRPGKQVDGPSPTEQPNSKKSKISAATRRIVWISIVAVISFIMLVLAILLCLAKCFKKRQDTHRIPSRLEIAPPVASRMSPSDNGSMVQPGHDLKKATPPVVTSKEEHQPNRPEVTAENVSAIPKDSHEINISRFDIDAIPPPPPPLAPTEERVIENSISHVQETVAKPPMRRLPPTSVNSYTIASLQQYTNSFSQDNLIGTGTLGTVYKAELPRGKLLAVKKLDKRVCNQQKDYEFIDLVNNVDRIRHANVVELMGYCAEHGERLLVYEYCNNGTLQDALHNNDEFKKNLSWNMRITMALGAARALEYLHESCEPPVVHRNFKSANILLDDELAVHVSDCGLAPLISSGAVSQLSGQLLTTYGYGAPEFESGIYTLKSDVYSFGVVMLELLTGRMSYDRTRSRGEQFLVRWAIPQLHDIDALTGMVDPSLNVKYPIKSLSHFADIISRCVQQEPEFRPPMSEVVQDIIQMIRRESSNRSDDG >itb11g04930.t1 pep chromosome:ASM357664v1:11:2654453:2660355:1 gene:itb11g04930 transcript:itb11g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGLAVFCLSLKVMVGLFLILAIRMVDGANPKDVAAMNNFYAALKSPPLPGWSVDGDPCNGKWQGVQCEGTNIVSIIINGANLGGELGNNLGSFSSIKKIDLSNNHIGGAIPSNLPVTLDNLFLSDNEFTGNIPDSLSSLSQLSAMSLNNNHLTGEIPDSFEGLVHLKNLDLSNNNLSGRLPPSLGNLSLVTLHLQNNQISGTLDVLQDLPLQDLNIENNLFSGPIPQKLLTIPVFKKDGNPFNSSIVPSPPPTPSPAPPSDKRPGKQVDGPSPTEQPNSKKSKISAATRRIVWISIVAVISFIMLVLAILLCLAKCFKKRQDTHRIPSRLEIAPPVASRMSPSDNGSMVQPGHDLKKATPPVVTSKEEHQPNRPEVTAENVSAIPKDSHEINISRFDIDAIPPPPPPLAPTEERVIENSISHVQETVAKPPMRRLPPTSVNSYTIASLQQYTNSFSQDNLIGTGTLGTVYKAELPRGKLLAVKKLDKRVCNQQKDYEFIDLVNNVDRIRHANVVELMGYCAEHGERLLVYEYCNNGTLQDALHNNDEFKKNLSWNMRITMALGAARALEYLHESCEPPVVHRNFKSANILLDDELAVHVSDCGLAPLISSGAVSQLSGQLLTTYGYGAPEFESGIYTLKSDVYSFGVVMLELLTGRMSYDRTRSRGEQFLVRWAIPQLHDIDALTGMVDPSLNVKYPIKSLSHFADIISRCVQQEPEFRPPMSEVVQDIIQMIRRESSNRSDDG >itb11g04930.t2 pep chromosome:ASM357664v1:11:2654453:2660355:1 gene:itb11g04930 transcript:itb11g04930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIINGANLGGELGNNLGSFSSIKKIDLSNNHIGGAIPSNLPVTLDNLFLSDNEFTGNIPDSLSSLSQLSAMSLNNNHLTGEIPDSFEGLVHLKNLDLSNNNLSGRLPPSLGNLSLVTLHLQNNQISGTLDVLQDLPLQDLNIENNLFSGPIPQKLLTIPVFKKDGNPFNSSIVPSPPPTPSPAPPSDKRPGKQVDGPSPTEQPNSKKSKISAATRRIVWISIVAVISFIMLVLAILLCLAKCFKKRQDTHRIPSRLEIAPPVASRMSPSDNGSMVQPGHDLKKATPPVVTSKEEHQPNRPEVTAENVSAIPKDSHEINISRFDIDAIPPPPPPLAPTEERVIENSISHVQETVAKPPMRRLPPTSVNSYTIASLQQYTNSFSQDNLIGTGTLGTVYKAELPRGKLLAVKKLDKRVCNQQKDYEFIDLVNNVDRIRHANVVELMGYCAEHGERLLVYEYCNNGTLQDALHNNDEFKKNLSWNMRITMALGAARALEYLHESCEPPVVHRNFKSANILLDDELAVHVSDCGLAPLISSGAVSQLSGQLLTTYGYGAPEFESGIYTLKSDVYSFGVVMLELLTGRMSYDRTRSRGEQFLVRWAIPQLHDIDALTGMVDPSLNVKYPIKSLSHFADIISRCVQQEPEFRPPMSEVVQDIIQMIRRESSNRSDDG >itb11g04930.t4 pep chromosome:ASM357664v1:11:2654453:2660355:1 gene:itb11g04930 transcript:itb11g04930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIINGANLGGELGNNLGSFSSIKKIDLSNNHIGGAIPSNLPVTLDNLFLSDNEFTGNIPDSLSSLSQLSAMSLNNNHLTGEIPDSFEGLVHLKNLDLSNNNLSGRLPPSLGNLSLVTLHLQNNQISGTLDVLQDLPLQDLNIENNLFSGPIPQKLLTIPVFKKDGNPFNSSIVPSPPPTPSPAPPSDKRPGKQVDGPSPTEQPNSKKSKISAATRRIVWISIVAVISFIMLVLAILLCLAKCFKKRQDTHRIPSRLEIAPPVASRMSPSDNGSMVQPGHDLKKATPPVVTSKEEHQPNRPEVTAENVSAIPKDSHEINISRFDIDAIPPPPPPLAPTEERVIENSISHVQETVAKPPMRRLPPTSVNSYTIASLQQYTNSFSQDNLIGTGTLGTVYKAELPRGKLLAVKKLDKRVCNQQKDYEFIDLVNNVDRIRHANVVELMGYCAEHGERLLVYEYCNNGTLQDALHNNDEFKKNLSWNMRITMALGAARALEYLHESCEPPVVHRNFKSANILLDDELAVHVSDCGLAPLISSGAVSQLSGQLLTTYGYGAPEFESGIYTLKSDVYSFGVVMLELLTGRMSYDRTRSRGEQFLVRWAIPQLHDIDALTGMVDPSLNVKYPIKSLSHFADIISRCVQQEPEFRPPMSEVVQDIIQMIRRESSNRSDDG >itb11g04930.t5 pep chromosome:ASM357664v1:11:2654453:2658511:1 gene:itb11g04930 transcript:itb11g04930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGLAVFCLSLKVMVGLFLILAIRMVDGANPKDVAAMNNFYAALKSPPLPGWSVDGDPCNGKWQGVQCEGTNIVSIIINGANLGGELGNNLGSFSSIKKIDLSNNHIGGAIPSNLPVTLDNLFLSDNEFTGNIPDSLSSLSQLSAMSLNNNHLTGEIPDSFEGLVHLKNLDLSNNNLSGRLPPSLGNLSLVTLHLQNNQISGTLDVLQDLPLQDLNIENNLFSGPIPQKLLTIPVFKKDGNPFNSSIVPSPPPTPSPAPPSDKRPGKQVDGPSPTEQPNSKKSKISAATRRIVWISIVAVISFIMLVLAILLCLAKCFKKRQDTHRIPSRLEIAPPVASRMSPSDNGSMVQPGHDLKKATPPVVTSKEEHQPNRPEVTAENVSAIPKDSHEINISRFDIDAIPPPPPPLAPTEERVIENSISHVQETVAKPPMRRLPPTSVNSYTIASLQQYTNSFSQDNLIGTGTLGTVYKAELPRGKLLAVKKLDKRVCNQQKDYEFIDLVNNVDRIRHANVVELMGYCAEHGERLLVYEYCNNGTLQDALHNNDEFKKNLSWNMRITMALGAARALE >itb10g02100.t1 pep chromosome:ASM357664v1:10:1702595:1710015:1 gene:itb10g02100 transcript:itb10g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKLYKTRLCVLYQKGHCHRQSCSFAHGDAELRRFSAPFDGRRDYRGDLREKLDRRRSPVHRYSPKRDSSGRHASHGDSPSGSLGKRRKHQKRQHPDGPSDFSGSLQTSNGTEDLLKNKKCISADSKDALKIRQLQSEIKMLDDRKRELEVYLEDKSRDADNLTLKVQELEMQLFKEKEECKRITSKIKKFTEAHKRLSRLQDEVKRSDAQLQKLGEKLCSNAGASGDDLSMNIMTDGEAVGIFPTTYGGMKKNMSPHKKRSRVSLGAVEASDQGNASKGGASMEKIRLENLSRRNVLQIQSSNDKKAKADINRKNGYQVTANEDRPKRGIDHSTNTTLVEKPKVFSDACLLLPPTGLAAHATDEDVEIVDMEEKREMFGNSAAVSGKEAVSNVTKFPFLPPPPPPPISKDAYPQLKGEDENVDIDGVDEETVEVDIV >itb10g02100.t2 pep chromosome:ASM357664v1:10:1702595:1709500:1 gene:itb10g02100 transcript:itb10g02100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKLYKTRLCVLYQKGHCHRQSCSFAHGDAELRRFSAPFDGRRDYRGDLREKLDRRRSPVHRYSPKRDSSGRHASHGDSPSGSLGKRRKHQKRQHPDGPSDFSGSLQTSNGTEDLLKNKKCISADSKDALKIRQLQSEIKMLDDRKRELEVYLEDKSRDADNLTLKVQELEMQLFKEKEECKRITSKIKKFTEAHKRLSRLQDEVKRSDAQLQKLGEKLCSNAGASGDDLSMNIMTDGEAVGIFPTTYGGMKKNMSPHKKRSRVSLGAVEASDQGNASKGGASMEKIRLENLSRRNVLQIQSSNDKKAKADINRKNGYQVTANEDRPKRGIDHSTNTTLVEKPKVFSDACLLLPPTGLAAHATDEDVEIVDMEEKREMFGNSAAVSGKEAVSNVTKFPFLPPPPPPPISKDAYPQVS >itb14g01770.t1 pep chromosome:ASM357664v1:14:1437783:1438153:-1 gene:itb14g01770 transcript:itb14g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSGLGSVFGAFLLKLWLAVSSVLLNLCMQRFFLCCSVQQRVAVVFPSVSMRLRPKRTCSGVECFGGFHIKRFLHTFPIRP >itb10g24430.t1 pep chromosome:ASM357664v1:10:28263349:28265617:-1 gene:itb10g24430 transcript:itb10g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYLRRPDGMGETAAEAVTEVVVGGNRVGLKSRAPEVSLCCPSSKRRKVSSQCGNDGDDEVASDNSVSQAATTAAVVCEAVTSKCSSCESSESVKEDLRSIDLKAKKDYEEFVTDNSGSMNNGISRETPPSSELCGDSIAEMESSSSTAKKKSPEADSRRKPDAAKTPSAAEIEEFFSASEKYVQKRFAEKYNYDIVKDVPLEGRYQWVRLKP >itb13g13840.t1 pep chromosome:ASM357664v1:13:20422820:20424412:-1 gene:itb13g13840 transcript:itb13g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSDDNGMDEFTDMPVEGFGAALLKGYGWSKDRGIGRNAKEDVKVREYKRWSAKEGIGFTAELPNDTKVHKVDGGEKRDKKTNANGKEERGEKEGKGLPPPLLHLPFLASYIFQPLQIHHLCSSSGTLVPQYWRAFKKFVFAPLSVSQTLRRLWLSALSFPALHHRHLQLSALGFSGSPPSASRALCPRRFRLSALIVSLCLAASPVPILRPRLLRLPAQRAFMFEAPLSAYL >itb05g00040.t1 pep chromosome:ASM357664v1:5:17218:21451:1 gene:itb05g00040 transcript:itb05g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTSAGASKSAPPSILDRFKAMLKERDEEVRVSSEDGVISPPTMDEVVRLYEIVLSDLTFNSKPIITELTIIAGEQREHGQGIANAICARILEAPVEQKLPSLYLLDSIVKNIGREYIRHFSARLPEVFCEAYRQVQPNMFAALRHLFGTWSTVFPSSVLRKIEACLQFSPSTSHQSSGLTNLRASESPRPGHGIHVNPKYLEARRQLAGHSTINAVGAEKLSSTGRAGLISSDIDAVKLLPTAAARTVRSSSPFGVGRARSLSPPVDDFAVESTPQISERPSPSHSRIDYGLNGVMAGDDETIDWHRNLLHDGSSQRLENSVAYSVKKGVDLQGPRALIDAYGIDEREKAFNYHHHKLGQPDANGIGKRVGVKTWQNTEEEEFNWEDMSPTLGDPSRRNDFSSSMPPSGRFITGPRVGSLQALSAINDSRRSLSDQAQHSLVKSGREITSKIPGFYEEASLISAPSYSQEPQFLPQDFPQQSHLRIRVEGGGRAPSMRLSGTGLSTKVGEQKLHLVGNLTTADGKFWRPPSVASRVNPGFNSSVQDVQAVNTGLSTGAWPTISTHNSQLLNSTSMIPPQKQIKDQFDAMNTVANHGLNERRIDHIDLKPQFELPRLPVQHPGAVPLILPRSGQISLSQPQLPSQDVLQNKVPPAAMAVPTHTLMPPSNYGYTPQGQGVSGVQSTLPMVNIPNASLQFTGAALPPIARVPTPAAPQMMPTLQPSGQGTQSAPQGGTFSNLINTLMAQGLISLSNQAPPEDSVGTEFNMELLKERHESTITALYSALPRQCTTCGLRFKSQDAHSSHMDWHVTKNRLSKNRKHNPSRKWFVSLSMWLSSAEALGTDAVPGFLPLEDVVEKKDDDELAVPADDEQNACALCGEPFDDFYSDETEEWMFRGAVYMNAPTGSTTGMDRSQLGPIVHAKCRSESSSSCIEASKKHDEDYTDDGGLRKRMRS >itb04g11260.t2 pep chromosome:ASM357664v1:4:10828292:10836321:-1 gene:itb04g11260 transcript:itb04g11260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKARSEDADLLKEEKRKEKKHKKEKKDKEKGEGKEKREKDRSDGKHREKKDKKDKHKEKDKDKKEKNRDKKRDKDKSKDKDKKSISEEAKAAGQPGTSSTETDKKNEHFKNINSEEKKNNVQLQVQHGQKAIHGSGPDGETEESKFVQELGRRIRDEENGKGIQLAGRFSAEWKRDERMDRVGVKVGSSLAEDKGTNMERSIDNKKMDVQATRNIDNKKMDVQATRNESRFSGNAIAPSIIGASKNKIEGMPRVGVKDSGNFTENKETHVEKSLDNRKMDVHAIRNESKFSGNLAVPNITGFSKSKVEGMGRPLEENNERKEEKEKTKERGDGKLGNKNKDKNGDKKRHKKDKGQEKEKKKEKSKEKSKEKSEHKGIEKDRLQDINKNEFSAVSSNKAPALSRDTNAGTAFDVNLKKRKLVTNGSLSENETRPVKMPRPASHEPIQNGNKVETLQVPLLTSNRQEGASELKVVNKEHRINGAIHGLPLSVPRPKPLLSTTGSGQIPETSKKPTQADQITEAFRKTPHTDQIAEVSRKPRANPIAEASKKPPHTDLIIEAAKKAPHPDSKYLSQILTVPKMEEWIESDDNEWLFGSKNPSDRKPGVGSNGVNEELQVWSEARYIESTDVYALPYVIPY >itb04g11260.t1 pep chromosome:ASM357664v1:4:10828286:10836363:-1 gene:itb04g11260 transcript:itb04g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYENKARSEDADLLKEEKRKEKKHKKEKKDKEKGEGKEKREKDRSDGKHREKKDKKDKHKEKDKDKKEKNRDKKRDKDKSKDKDKKSISEEAKAAGQPGTSSTETDKKNEHFKNINSEEKKNNVQLQVQHGQKAIHGSGPDGETEESKFVQELGRRIRDEENGKGIQLAGRFSAEWKRDERMDRVGVKVGSSLAEDKGTNMERSIDNKKMDVQATRNIDNKKMDVQATRNESRFSGNAIAPSIIGASKNKIEGMPRVGVKDSGNFTENKETHVEKSLDNRKMDVHAIRNESKFSGNLAVPNITGFSKSKVEGMGRPLEENNERKEEKEKTKERGDGKLGNKNKDKNGDKKRHKKDKGQEKEKKKEKSKEKSKEKSEHKGIEKDRLQDINKNEFSAVSSNKAPALSRDTNAGTAFDVNLKKRKLVTNGSLSENETRPVKMPRPASHEPIQNGNKVETLQVPLLTSNRQEGASELKVVNKEHRINGAIHGLPLSVPRPKPLLSTTGSGQIPETSKKPTQADQITEAFRKTPHTDQIAEVSRKPRANPIAEASKKPPHTDLIIEAAKKAPHPDSKYLSQILTVPKMEEWIESDDNEWLFGSKNPSDRKPGVGSNGVNEELQVWSEARYIESTDVYALPYVIPY >itb05g24800.t2 pep chromosome:ASM357664v1:5:29481705:29487896:-1 gene:itb05g24800 transcript:itb05g24800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPSGVLFLFLYFYCFKYLTTSTDLFCKENYYYYCCYIYLPITSGQCYLFFSPCSLQEDFPHNAHHREIGISISNYTVRHGDSGLVIVGGRMEMQPHIHMDPFEEIEIAEKTAEQHRRSAAENQTSESSGMVDLRHFAFYAFAGRTGEIRWSRKSENIQARSSEESLIIPQHNYKLDAHALNSRHPGEFECREFRESILGVMPHRWDRREDTLLKLSHFKRHKRKTLKKMPGKTSTSYPLHKPEENHPPGKDTTKRISNAIGNAVKYAKSSKIKKPLPYIPTITNYTQLWWVPNVVVAHEKEGIEAVHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGIEQTVVSGSMEVLRPCWAVATSGVPVREQLFNATICRHSPFNLFQHGEFTRGFGQQFDANSLEVASPILIPRNDGHHHRKGSHGDVIFLTNRGEVTSYSPGLHGHDAIWNWQLLTGATWSNLPSPSGMVEGGMVVPTLKAFSLRVHDNQELILAAGDQEAIIISPAGSILATIELPAPPTHALVTEDFSNDGLTDLIILTSNGVYGFVQTRQPGALFFSTLVGCLIVVMGVLFVSQHLNSIKGKPRASSGQF >itb05g24800.t1 pep chromosome:ASM357664v1:5:29481705:29487896:-1 gene:itb05g24800 transcript:itb05g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWFHLSDEYPIKYEAERLPPPVVADLNGDGRKEVLVATHDAKIQVLEPHARRVDEGFSQARVLAEVSLLPDKVRITTGRRPVAMATGVIDRNYNPREPRKEVLVVVTSGWSVMCFDHNLKKLWETNLQEDFPHNAHHREIGISISNYTVRHGDSGLVIVGGRMEMQPHIHMDPFEEIEIAEKTAEQHRRSAAENQTSESSGMVDLRHFAFYAFAGRTGEIRWSRKSENIQARSSEESLIIPQHNYKLDAHALNSRHPGEFECREFRESILGVMPHRWDRREDTLLKLSHFKRHKRKTLKKMPGKTSTSYPLHKPEENHPPGKDTTKRISNAIGNAVKYAKSSKIKKPLPYIPTITNYTQLWWVPNVVVAHEKEGIEAVHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGIEQTVVSGSMEVLRPCWAVATSGVPVREQLFNATICRHSPFNLFQHGEFTRGFGQQFDANSLEVASPILIPRNDGHHHRKGSHGDVIFLTNRGEVTSYSPGLHGHDAIWNWQLLTGATWSNLPSPSGMVEGGMVVPTLKAFSLRVHDNQELILAAGDQEAIIISPAGSILATIELPAPPTHALVTEDFSNDGLTDLIILTSNGVYGFVQTRQPGALFFSTLVGCLIVVMGVLFVSQHLNSIKGKPRASSGQF >itb04g26390.t1 pep chromosome:ASM357664v1:4:30685397:30688267:1 gene:itb04g26390 transcript:itb04g26390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVRDAKMAGDVAVMEVSQSTVGVRTRAKTLALQRLQSSAPPPTPDSCYLQLRSRRLEKPPPVIPNSKKLPKRNLKSGSKQSFLQHEESWTCRNSDAQSCSGLRGGSMNSGSVNHSITDERYFRESNGGIETNDGTDFGIVEASFGENILDCEPRDRFTRETTPCSLVREADDVKTPSSTTRRTNVRSTTHRMRSSVRNIPSTGEMDEFFGCAEQQQQALFIEKYNFDIVNDLPLPGRYEWATISQ >itb03g19700.t3 pep chromosome:ASM357664v1:3:17688698:17693142:1 gene:itb03g19700 transcript:itb03g19700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIDSAKGIPDPMEITMVESRPNGSPHPQKRRLNKFAFLCAVFASTNSILLGYDLGVMSGAVLFIKETFKISSVQHELLVSLLNVSSLFGSLASGKTSDLIGRRYTIVLAAATFIIGALLMGLAPTYGYLLAGRVVAGIGVGYSLMIAPVYTSELSPAMTRGFLSSLPEVFINVGILIGFISNILLSGLPANISWRLMLGLSGVPAIGIAAGVIKMPESPRWLVMKGRLSEAKMILRRTSESEEEADLRLEEITKAAAFSGEWRGQGAWKELLCPTAPIRRMLVTAIGINFFMQASGNDAVVYYTPLVFKSAGIAHRKAQIGVTIIMGMAKTTFVLVSALFLDNFGRRPMLLLGTVGQALSLAGLGLGSTYLLHAHHKPIWAIGLCVLAVCADVSFFSIGLGPITWVYSAEIFPLRLRAQGSALAVSVNRLVSGVVSATFLSISKKITFGGMFFVLSGVMVVATLFFYLLLPETKGMSLEEIGSLFEDKQSDGEKDKEIELER >itb03g19700.t2 pep chromosome:ASM357664v1:3:17687942:17693142:1 gene:itb03g19700 transcript:itb03g19700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIDSAKGIPDPMEITMVESRPNGSPHPQKRRLNKFAFLCAVFASTNSILLGYDLGVMSGAVLFIKETFKISSVQHELLVSLLNVSSLFGSLASGKTSDLIGRRYTIVLAAATFIIGALLMGLAPTYGYLLAGRVVAGIGVGYSLMIAPVYTSELSPAMTRGFLSSLPEVFINVGILIGFISNILLSGLPANISWRLMLGLSGVPAIGIAAGVIKMPESPRWLVMKGRLSEAKMILRRTSESEEEADLRLEEITKAAAFSGEWRGQGAWKELLCPTAPIRRMLVTAIGINFFMQASGNDAVVYYTPLVFKSAGIAHRKAQIGVTIIMGMAKTTFVLVSALFLDNFGRRPMLLLGTVGQALSLAGLGLGSTYLLHAHHKPIWAIGLCVLAVCADVSFFSIGLGPITWVYSAEIFPLRLRAQGSALAVSVNRLVSGVVSATFLSISKKITFGGMFFVLSGVMVVATLFFYLLLPETKGMSLEEIGSLFEDKQSDGEKDKEIELER >itb03g19700.t1 pep chromosome:ASM357664v1:3:17687942:17693142:1 gene:itb03g19700 transcript:itb03g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIDSAKGIPDPMEITMVESRPNGSPHPQKRRLNKFAFLCAVFASTNSILLGYDLGVMSGAVLFIKETFKISSVQHELLVSLLNVSSLFGSLASGKTSDLIGRRYTIVLAAATFIIGALLMGLAPTYGYLLAGRVVAGIGVGYSLMIAPVYTSELSPAMTRGFLSSLPEVFINVGILIGFISNILLSGLPANISWRLMLGLSGVPAIGIAAGVIKMPESPRWLVMKGRLSEAKMILRRTSESEEEADLRLEEITKAAAFSGEWRGQGAWKELLCPTAPIRRMLVTAIGINFFMQASGNDAVVYYTPLVFKSAGIAHRKAQIGVTIIMGMAKTTFVLVSALFLDNFGRRPMLLLGTVGQALSLAGLGLGSTYLLHAHHKPIWAIGLCVLAVCADVSFFSIGLGPITWVYSAEIFPLRLRAQGSALAVSVNRLVSGVVSATFLSISKKITFGGMFFVLSGVMVVATLFFYLLLPETKGMSLEEIGSLFEDKQSDGEKDKEIELER >itb03g01780.t1 pep chromosome:ASM357664v1:3:992975:995891:1 gene:itb03g01780 transcript:itb03g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGESGGVVNHDARAENFDPNVANPGLKVSGSPSIRKSAIKAQRIASKSPRVIPSPVASPSAQKSASKFQAVVASPPAQKSASRSLSLNLTPAASPSPHKRIRQRKFVVAKRSSKREIAAVPVACCKCDKGNGEHKCFCVAYENLRSSQDEFFKNRQTIVDEEGELEEPKTRDGTEIGSKEGEEKNKKLGSDDCFPINGTEKQGSDEGLLKEARVKVAEPSSGRVLHLVKAFEKLLSPAKSNYAEEKDERTVVGDGEDGMKSASPAKAPFSPPEFLLTCECLGLDSRCSSSLNSSKGSISRRASSASDRRSRRKSVESDGTLARRKRRQLTRTTTSREPFKLRTEQRGKCKEEKLSIKVKEMMEEEEKRRIPIAQGLPWTTDEPERLVKPPVKERTRAIDLVLHSDVRAVERAEFDHQVAEKLNFIEQYKAERERQQKLAEEEEIRRLRKELVPKAQPMPYFDKPFIPQRPEKNKKVK >itb07g05890.t1 pep chromosome:ASM357664v1:7:4071382:4073433:1 gene:itb07g05890 transcript:itb07g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLNMRNWGYYEPTHKGHLGLQLMSSVVDRDTKPFLSGRDNPSMIVGNGIFHARDSIVSQVPITHIDYIRDGWINHRDKFLHMLPGNPYGGGVMAEPSGTHSSMQMLQQQQQQPDSTKDASASAEDPSLGKDGGLSKKRAAAAPAKGKKSKKGPGASKKNDNSPAQRAKPAKKSIDVVISGVDMDISSIPTPVCTCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMNNKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRTHWAKHGTNKFVTIR >itb03g13630.t1 pep chromosome:ASM357664v1:3:13710776:13711123:-1 gene:itb03g13630 transcript:itb03g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVNYIEQMHSNLKELSWRDLEEAMAAASSSSPSPGYVSVSGCRDGGLEILVDTGCKLEERFPLSTLLRTLLNEGVEVESFSTTTSHGRLLHRLHSKVHNTATKTYIQQIILN >itb04g25890.t2 pep chromosome:ASM357664v1:4:30322774:30324821:1 gene:itb04g25890 transcript:itb04g25890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 56 [Source:Projected from Arabidopsis thaliana (AT5G43980) UniProtKB/Swiss-Prot;Acc:Q8GXV7] MGQSRILSPFSLVFLILGSFVVVGLCSDYKELVFKGCANQKSQDGTGVFSQNLETLFETLVSQSSAAKFYKTAAGSGQSSIAGLFQCRGDLSASDCSDCVQKTTDMSKNLCGDSIAGRVQLVGCYIRYEVSGFRQVGPTELLYKLCGSTQVSGSGFDDRVETALGEIAKGVETGNGFYTGGYESVFVLGQCEGDLGSGDCVNCVKNAAEKSKSSCGSAISAQIYLQQCYISYTYYPNGVPTKSFPSSSSSGNPPKFNNIILYMTIFIIKIHCINGHSSDYRDKT >itb04g25890.t1 pep chromosome:ASM357664v1:4:30322774:30324695:1 gene:itb04g25890 transcript:itb04g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 56 [Source:Projected from Arabidopsis thaliana (AT5G43980) UniProtKB/Swiss-Prot;Acc:Q8GXV7] MGQSRILSPFSLVFLILGSFVVVGLCSDYKELVFKGCANQKSQDGTGVFSQNLETLFETLVSQSSAAKFYKTAAGSGQSSIAGLFQCRGDLSASDCSDCVQKTTDMSKNLCGDSIAGRVQLVGCYIRYEVSGFRQVGPTELLYKLCGSTQVSGSGFDDRVETALGEIAKGVETGNGFYTGGYESVFVLGQCEGDLGSGDCVNCVKNAAEKSKSSCGSAISAQIYLQQCYISYTYYPNGVPTKSFPSSSSSGTRHNTQKTVAIILGGLAGVGLVLACLLFTKSAFKKKTHSKYYGG >itb12g05360.t1 pep chromosome:ASM357664v1:12:3961670:3963675:-1 gene:itb12g05360 transcript:itb12g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNSASLRNSGTYTSPSTPEYGDNHVGGIQKGWSSERVPLPTNSIRRHVSATALMPFNSGRSFPSKWDDAERWITSPLSSYGLCKASAVQPRRHAKSKSGPLGTPGLMYLPNFSPSIPVYEGGSIGNFAGSSPFTTGVLVPDGLCFHYGAGIDPKANSLYPEKRIARASSVPGLSDLLSEASLPSSQDDKLDASKVAETDDLHVVSRRDMATQMSPDGSIHSSPKGSPPLPSFPLPVPGVEEQNKYSAKVEIRDVQVDRGASISSQYGSRKMRRDSQDTNNSFSPWDVVETTKSTSKEEARISAWENLQKAKAEAAIQKLEMKLEKKRSASMNKIMNKLRTAQMKAQEMRGAISEKHDAKDSTKAISFGKQFKMAFFSGCFSCRVH >itb15g15120.t1 pep chromosome:ASM357664v1:15:13674056:13678643:-1 gene:itb15g15120 transcript:itb15g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRWSNGQLGMHPDNQQHDNEADEASILEDLTEDFRLPIGHRPTENVDLENVEQASLDKQLTSSNVGFRLLQKMGWKGKGLGKDEQGIIEPIKSGIRDAKLGLGKQEEDDYFTSEENIQRKRLDIEVEETEELAKKREVLAEREQKIQTEVKEIRKVFFCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQIAGAHKKQQEQQKQEESGTIQASSPAVRTATALADQDQRKTLKFGFSAKGGQSKNIFGNTVKKPKVAVASAFSNESDEE >itb01g26390.t1 pep chromosome:ASM357664v1:1:31567175:31575159:1 gene:itb01g26390 transcript:itb01g26390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMKMTRFVARRVAINFTRFKFAQRALCSNAGAELPGSNRDQIVKEPLNKHELIDNSEQYDIAIVGGGMVGMALACSLASTPLTRQLNVAIIDSNPALQNADLLKKEGPPDPRVSTVTPATISFYRDVGAWQHVQQHRHAFFDKMQVWDYTGLGYTRYSARDIGKEVLGCVVENKVLHGSLLSSIQSMDFKKRIYPAKLSSMTVNSSSPLTTSAGTASQPCGGAARLELSNGDSLYAKLVVGADGSKSRVRELAGIETTGWKYSQSAIICTVEHAEQNHCACQRFLPNGPIALLPIGDNFSNIVWTMDPKESSERISASENDFVNMVNHALDQGYGPRPKSQPFGSAGLLSWLRPGITSSANERFEVPPRVIKLSSARMVFPLSLMHANSYASKRVVLIGDAAHTVHPLAGQGVNMGFGDAIALSKVIAEGVAVGSDIGEVSVLKKYESERKPANIAMMAVLDGFQKAYSVDFMPVNLLRAAAFQAAHYISPLKRNIISFASGEHKIPLFT >itb01g26390.t2 pep chromosome:ASM357664v1:1:31567212:31575159:1 gene:itb01g26390 transcript:itb01g26390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMALACSLASTPLTRQLNVAIIDSNPALQNADLLKKEGPPDPRVSTVTPATISFYRDVGAWQHVQQHRHAFFDKMQVWDYTGLGYTRYSARDIGKEVLGCVVENKVLHGSLLSSIQSMDFKKRIYPAKLSSMTVNSSSPLTTSAGTASQPCGGAARLELSNGDSLYAKLVVGADGSKSRVRELAGIETTGWKYSQSAIICTVEHAEQNHCACQRFLPNGPIALLPIGDNFSNIVWTMDPKESSERISASENDFVNMVNHALDQGYGPRPKSQPFGSAGLLSWLRPGITSSANERFEVPPRVIKLSSARMVFPLSLMHANSYASKRVVLIGDAAHTVHPLAGQGVNMGFGDAIALSKVIAEGVAVGSDIGEVSVLKKYESERKPANIAMMAVLDGFQKAYSVDFMPVNLLRAAAFQAAHYISPLKRNIISFASGEHKIPLFT >itb10g19880.t1 pep chromosome:ASM357664v1:10:25550838:25557674:1 gene:itb10g19880 transcript:itb10g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFGRASTAEPTQTASPTTSPLPPFPPPPANTATGPARPIRFVYCDEKGKFQIDPEALAVLQLVKEPVGVVSVCGRARQGKSYILNQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTEYNLLLIDTEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRNTASELGQFSPIFVWLLRDFYLDLTEDNRKITPRDYLELALRPIQSGGRDVSSKNEIRESIRALFPDRECFTLVRPLSNENELQRLDQIALDKLRPEFKAGLDSLTRFVFERTRAKQVGGTVMTGPIFARITQSFIDAINNGAVPTITSSWQSVEEAECQRAYDLAAEAYMSSFNRSTPPEEAALREAHEDAVQNSMTIFNGTAVGAGGIRQKYEKRLQSFIKRAFEDIKRDAFREAFLQCSNAIQDMEKELRMACHAPDAKIDGVLKVLDHLVSKYEESIHGPEKWRKLSVFLRQSLEGSLLDLSKKQLDQIGLEKTSLALKCRSIEDKMGLLNKQLEASEKYKSEYLKRYEDAINDKKKLSDDYMSRITNLQSKCSSLEERCSSLSKTVDSAKHESLEWKRKYEQVLSKLRADEDQVNAEIAILKSRTSAAEARVAAAKEQSQSAQEEAEEWMRKYEIAVKEAKKALEKAAVVQERTNTQTQMREEALRKEFSLTLAEKDDEVKEKASKLEKAEQQLTTLSVELKAAESKVKNYDLEVSSLKLEIRNMVEKLENVSATAQSFEREARILEQEKLHLEQKYKSEFERFEDVQKRCKSAEIEAKRATELADKARSEAAIAQKERSEIQRTAMERSAQIERAERLIESLERAKADLTNELVRHRAAEVDAQSKVSMLEARVEEREKEIESLLKSNNEQRASTVQVLESLLETERAARGEANNRAEALSVQLQATQGKLDLLQQQMTAVRLNETALDSKLRTASHGKRARGHEYEAGGESVQDMDTNDRVRRVSKRAKSTSSPLKMGSPDDGASVYRGSEENESQQTEDYTKFTVQKLKQELTKHNFGAELLQLKNPNKKDILALYEKCVLHKS >itb05g01000.t1 pep chromosome:ASM357664v1:5:834244:835801:1 gene:itb05g01000 transcript:itb05g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYLFLNERNTKILDPRSDVMNVWNHVFLVVCLISLSLDPLYFYIPYVGGKACMSTHTIASIAITYFRTITDSFYLINMLFKFRTAFVAPRSRVFGRGELIVVWIVIPATKGNGGHDNNIIALVVLIQYLPRFLVSITLNQRIIKTTGFIAKTAWAGAAYNLLLFMLATHVLGASWYLSSIVRQQSCWNMQCRSERNAVPPCIPSFLDCKSLNTNSLEREYWLNTTSLLTRCDPKNDDSDFKFGMFADAFTSEVASSRFIHKYLYCLWWGLRNLSSYGQNLRTCTYIGETLFCILVCINGLILFSHLIGNMQLCQVTWSNYSHLSS >itb11g10040.t3 pep chromosome:ASM357664v1:11:6838012:6846160:-1 gene:itb11g10040 transcript:itb11g10040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKEIASWSPESQQNIVIKDDQKCCSYLQLISYAGRIHDQLTNLHQKNGDSIQQKDLGGARIGIVAKPCAEFVAGILGTWLCGGVAVPLALSYPETELLHVMNDSDISMILSTEDHREIMTSVAAKTGAQLSFLPTIPISSTDHTQLHNESNGGKRVLQFEFSQNVQGEDPALILYTSGTTGRPKGVVHTHTTVLAQVQMLTNAWEYTSNDYFLHCLPLHHVHGLFNALFAPIYAGSKVEFMPKFRVRGIWQRWRESYPIDGSKSDDAITVFTGVPTMYTRLIQGYEAMDTELQTASASAARHLRLMMCGSSALPLPVMQQWETITGHRLLERYGMTEFVMAISNPLRGQRKGGTVGKPFAVVQAKLLSEDVNSDDKTGVGELCIKSPSLFKGYWKLPEVTKESFTDDGFFKTGDAARIDEDGYYIILGRTNADIMKVGGYKLSALEIEAVLLEHPVISECCVLGLPDKDYGEVVCAIVVLDADLKQKREAESKPALTLQELCDWAKEKLAPYKIPSRFYVWDSLPRNAMGKVNKKELKRNFSDGDN >itb11g10040.t2 pep chromosome:ASM357664v1:11:6838012:6846160:-1 gene:itb11g10040 transcript:itb11g10040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKEIASWSPESQQNIVIKDDQKCCSYLQLISYAGRIHDQLTNLHQKNGDSIQQKDLGGARIGIVAKPCAEFVAGILGTWLCGGVAVPLALSYPETELLHVMNDSDISMILSTEDHREIMTSVAAKTGAQLSFLPTIPISSTDHTQLHNESNGGKRVLQFEFSQNVQGEDPALILYTSGTTGRPKGVVHTHTTVLAQVQMLTNAWEYTSNDYFLHCLPLHHVHGLFNALFAPIYAGSKVEFMPKFRVRGIWQRWRESYPIDGSKSDDAITVFTGVPTMYTRLIQGYEAMDTELQTASASAARHLRLMMCGSSALPLPVMQQWETITGHRLLERYGMTEFVMAISNPLRGQRKGGTVGKPFAVVQAKLLSEDVNSDDKTGVGELCIKSPSLFKGYWKLPEVTKESFTDDGFFKTGDAARIDEDGYYIILGRTNADIMKVGGYKLSALEIEAVLLEHPVISECCVLGLPDKDYGEVVCAIVVLDADLKQKREAESKPALTLQELCDWAKEKLAPYKIPSRFYVWDSLPRNAMGKVNKKELKRNFSDGDN >itb11g10040.t1 pep chromosome:ASM357664v1:11:6838012:6846477:-1 gene:itb11g10040 transcript:itb11g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFMSRFNHFLTLKPPTSLPHHYTIITSFHTRLFSCKGRTFISNHSRLLSSAPHISTFMELVKEIASWSPESQQNIVIKDDQKCCSYLQLISYAGRIHDQLTNLHQKNGDSIQQKDLGGARIGIVAKPCAEFVAGILGTWLCGGVAVPLALSYPETELLHVMNDSDISMILSTEDHREIMTSVAAKTGAQLSFLPTIPISSTDHTQLHNESNGGKRVLQFEFSQNVQGEDPALILYTSGTTGRPKGVVHTHTTVLAQVQMLTNAWEYTSNDYFLHCLPLHHVHGLFNALFAPIYAGSKVEFMPKFRVRGIWQRWRESYPIDGSKSDDAITVFTGVPTMYTRLIQGYEAMDTELQTASASAARHLRLMMCGSSALPLPVMQQWETITGHRLLERYGMTEFVMAISNPLRGQRKGGTVGKPFAVVQAKLLSEDVNSDDKTGVGELCIKSPSLFKGYWKLPEVTKESFTDDGFFKTGDAARIDEDGYYIILGRTNADIMKVGGYKLSALEIEAVLLEHPVISECCVLGLPDKDYGEVVCAIVVLDADLKQKREAESKPALTLQELCDWAKEKLAPYKIPSRFYVWDSLPRNAMGKVNKKELKRNFSDGDN >itb01g02670.t1 pep chromosome:ASM357664v1:1:1737782:1739146:-1 gene:itb01g02670 transcript:itb01g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMLTDYFFNGFTFTPFHAMASLFLLLLLLLLYYCVFGSHPVYLLDFCCYRPPDHTRVTTGYFVEHGLHCTAPEGFDFHVMSGMRSGISSESCAPHVLHQLPPDCSLEASREETETVLFTVVENLLKRHNVSPESIDIIVSNCSLFCPTPSITAMVINRFGLRSNVKSFSLCGMGCSAGILSIGVAKDILKVHKNSLALVVSMEAITPNAYLGSVKSMILTNTLFRMGGVAILLSNKRQDKKRAKYSLKHLVRTHMGADDDSYHSVFQENDESGHMGVYLSRNLLQVAGKALKTNISDLAPRVLPLSELLLYACSLLATKLPGRTPPRKGGGTYTPNFKKSFEHFCIHAGGRAVIDAVEDSLRLSKQDAEASRMTLYRFGNTSSSSIWYELCYLEAKGRVKKGDRVWQIAFGSGFKCNSAVWKCISELDPKPYNAWSDRIDRYPVEVPRILDH >itb14g04430.t1 pep chromosome:ASM357664v1:14:3999968:4002240:-1 gene:itb14g04430 transcript:itb14g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVTAWNKRRRSMSEGQINPWVYKLAEDWQIEDLNPPAKRHHRSSVFTFKEMEEATNSFSDENLLGKGGFGRVYKGTLRSGEIVAIKKMDLRPFKEVEGEREFRVEVDILSRLDHPNLVSLVGYCADGKHRFLVYEYMQKGNLQDHLNGIGEGKKKMDWPSRLKVALGAARGLAYLHSNSAVGMPIVHRDFKSTNILLNTNYEAKISDFGLAKMMPEGQEICVTARVFGTFGYFDPEYTLTGKLTLQSDVYAFGVVLLELLTGRRAVDLNLGPNDQNLVLQVRHILNDKKKLLKVIDPEMSKSSYTMESVTMFANLASRCVRTDSSERPSMVECVEELQQILYTNTRGIGWAMHAFRMI >itb07g17380.t1 pep chromosome:ASM357664v1:7:21534392:21534770:1 gene:itb07g17380 transcript:itb07g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKKTRSGYNHCKLSLRNSGVFEKNCEHGLTRNGRFCVCRLRFELKGGKQRSFSRCENGSESCDYVFTLVKTFGGAVAVFFSCPHLCYLEKA >itb07g02490.t1 pep chromosome:ASM357664v1:7:1614029:1617407:1 gene:itb07g02490 transcript:itb07g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRISSPTESGLKKNPLERVPYTKPKFSLSDIKKAIPPHCFERSLIRSFSYVVYDLFFVALFYYIANNYIHLLPSTYQFLAWPIYWALQGCVCTGIWVIAHECGHHAFSDYQWVDDTVGLILHSALFVPYFSWKYSHRRHHSNTGSLERDEVFVPKPKSKVRWFSKYLNNPPGRLITMTITLTLGWPLYLAFNVSGRHYDRFACHYDPYGPIFNARERLQIFISDAGLFATTYVLYRLAMLKGITWLICIYGVPLLIVNGFLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGVLNKIFHNITDTHIAHHLFSTMPHYNAMEATKAIRPILGEYYQLDSTPFYKAMWREARECLYVEPDEGSQEKGVYWYRNKLE >itb07g02490.t2 pep chromosome:ASM357664v1:7:1615762:1617402:1 gene:itb07g02490 transcript:itb07g02490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRISSPTESGLKKNPLERVPYTKPKFSLSDIKKAIPPHCFERSLIRSFSYVVYDLFFVALFYYIANNYIHLLPSTYQFLAWPIYWALQGCVCTGIWVIAHECGHHAFSDYQWVDDTVGLILHSALFVPYFSWKYSHRRHHSNTGSLERDEVFVPKPKSKVRWFSKYLNNPPGRLITMTITLTLGWPLYLAFNVSGRHYDRFACHYDPYGPIFNARERLQIFISDAGLFATTYVLYRLAMLKGITWLICIYGVPLLIVNGFLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGVLNKIFHNITDTHIAHHLFSTMPHYNAMEATKAIRPILGEYYQLDSTPFYKAMWREARECLYVEPDEGSQEKGVYWYRNKLE >itb05g27130.t2 pep chromosome:ASM357664v1:5:30662115:30664517:-1 gene:itb05g27130 transcript:itb05g27130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPANSPNSGSDSDTDNTPTPSLSSKTDPNANVTPDSLSPTNPSSPPVVCLYRFAADSFGGAFMGSIFGYGAPQALLQSCLTFGAFSFIIEGLNKQQPALALPAPLGLRSSQHPALLPLSFPLPNELKESFSSFCQSLKRHGKNNNARR >itb05g27130.t1 pep chromosome:ASM357664v1:5:30662115:30664517:-1 gene:itb05g27130 transcript:itb05g27130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPANSPNSGSDSDTDNTPTPSLSSKTDPNANVTPDSLSPTNPSSPPVVCLYRFAADSFGGAFMGSIFGYASGLLRKKGLKGSFAEAGSSAKTFAVLSGVHSVVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALALPAPLGLRSSQHPALLPLSFPLPNELKESFSSFCQSLKRHGKNNNARR >itb07g18880.t2 pep chromosome:ASM357664v1:7:23304737:23308015:1 gene:itb07g18880 transcript:itb07g18880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKQKPESAINENEIRITSQGLVRNYINYATTLLQERCGKDILLKAMGQAISKTVAITELIKRRFPGLHQHTSISSVSITDVWEPIEEGLQMYQAPSHVEQGKRYNNYQSQQPPRQLRPVYGGGNEDSYGRGRGHGRGRGQGWNRGGYGNYQGNYRGNYQGNYQGNNHESYQENYQWNYQGNYQENVGYSNWGRGGSHGGWGYHGSGYGGGGGGGGRAYGRGGSRGRIGNRGPRGGGNQA >itb07g18880.t1 pep chromosome:ASM357664v1:7:23304737:23308015:1 gene:itb07g18880 transcript:itb07g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKQKPESAINENEIRITSQGLVRNYINYATTLLQERCGKDILLKAMGQAISKTVAITELIKRRFPGLHQHTSISSVSITDVWEPIEEGLQIVEQTRHVSMISITLSIKELNKNSPGYQAPSHVEQGKRYNNYQSQQPPRQLRPVYGGGNEDSYGRGRGHGRGRGQGWNRGGYGNYQGNYRGNYQGNYQGNNHESYQENYQWNYQGNYQENVGYSNWGRGGSHGGWGYHGSGYGGGGGGGGRAYGRGGSRGRIGNRGPRGGGNQA >itb07g22730.t2 pep chromosome:ASM357664v1:7:27259170:27263301:-1 gene:itb07g22730 transcript:itb07g22730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAAYGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKATFCQSADPDDVWYKKMDVCAL >itb07g22730.t1 pep chromosome:ASM357664v1:7:27259170:27263301:-1 gene:itb07g22730 transcript:itb07g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAAYGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKATFCQSADPDDVWYKKMDVCAL >itb07g22730.t3 pep chromosome:ASM357664v1:7:27259629:27263301:-1 gene:itb07g22730 transcript:itb07g22730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAAYGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKATFCQSADPDDVWYAVHLVFF >itb15g15990.t13 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t23 pep chromosome:ASM357664v1:15:15092068:15093576:1 gene:itb15g15990 transcript:itb15g15990.t23 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVSFWWWCTKVHNKVNFVHRFPKIC >itb15g15990.t16 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t20 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t20 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t4 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t11 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t19 pep chromosome:ASM357664v1:15:15092068:15093576:1 gene:itb15g15990 transcript:itb15g15990.t19 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVSFWWWCTKVHNKVNFVHRFPKIC >itb15g15990.t21 pep chromosome:ASM357664v1:15:15092068:15093576:1 gene:itb15g15990 transcript:itb15g15990.t21 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVSFWWWCTKVHNKVNFVHRFPKIC >itb15g15990.t1 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t24 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t24 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t12 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t5 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t2 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t3 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t8 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t18 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t9 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t10 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t14 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t6 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t7 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t15 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t17 pep chromosome:ASM357664v1:15:15092068:15094613:1 gene:itb15g15990 transcript:itb15g15990.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPC >itb15g15990.t22 pep chromosome:ASM357664v1:15:15092068:15093576:1 gene:itb15g15990 transcript:itb15g15990.t22 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVSFWWWCTKVHNKVNFVHRFPKIC >itb15g15990.t25 pep chromosome:ASM357664v1:15:15092068:15093576:1 gene:itb15g15990 transcript:itb15g15990.t25 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYHFPGYYNNPQATSLAIDKQGWVHTGDLGYFDEDGLLYIVDRIKELIKYKGFQVSFWWWCTKVHNKVNFVHRFPKIC >itb05g16630.t2 pep chromosome:ASM357664v1:5:23801411:23806883:1 gene:itb05g16630 transcript:itb05g16630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSALLTSVGINLGLCVLFFILYSVLRKQPGNADVYAPRLLADGKSRRRVHFDLDRLLPSAGWITGAWRPSEAELLSVSGLDAVVFMRIFIFSFKVFAFATAIGVFVLLPINYMGNQLILDFTDLPNKSLESFTISNVDDGSNRLWIHFSAVYVFTVFVWYLLYAEYDYISSKRLASFHSSKPKPHQFTVLVRSIPPSPGKSYSDVIESFFTEYYPSTYLSHCVVRRKNKLKGLISDRAEQSSVVVKEVAAAFVSFKTRFGTAVALHIRQGIKPTEWVTEPAPDPEDVYWPFFSASFAKRWFFNVAVYIASVVLTILFLGPVLLVQGLTHLEQLEIWFPSLKSVLEVKFVSQVVTGYLPSLILQMFLALVPPIMIIFSSIQGYIALSQIGRSACFKVLWFTIWNIFFANVLSGSVLYRVQIFLELKNIPSILAVAVPSQVSAYWSSML >itb05g16630.t4 pep chromosome:ASM357664v1:5:23803053:23806883:1 gene:itb05g16630 transcript:itb05g16630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSVQYALQSDRAEQSSVVVKEVAAAFVSFKTRFGTAVALHIRQGIKPTEWVTEPAPDPEDVYWPFFSASFAKRWFFNVAVYIASVVLTILFLGPVLLVQGLTHLEQLEIWFPSLKSVLEVKFVSQVVTGYLPSLILQMFLALVPPIMIIFSSIQGYIALSQIGRSACFKVLWFTIWNIFFANVLSGSVLYRVQIFLELKNIPSILAVAVPSQASFFIAYVVTSGWTSTASEVFRLKPFLFSFFKKRFCKASNEDFEVPSMKYHRDIPRLLLFGLVGIIYFFLAPLILPFIVLYYCLGYVIYRHQLLNVYAPKYETDGQFWPIVHDSTIFSLILMHVIAIGIFGLKKLSLASSLTIPLPILTLIFNSYCRRRFLPIFKSFSAESLIKKDRSDEKDPTISAFHNELATAYEDPSLMPANYTGNNDTHTTPLLHTL >itb05g16630.t1 pep chromosome:ASM357664v1:5:23801411:23806883:1 gene:itb05g16630 transcript:itb05g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSALLTSVGINLGLCVLFFILYSVLRKQPGNADVYAPRLLADGKSRRRVHFDLDRLLPSAGWITGAWRPSEAELLSVSGLDAVVFMRIFIFSFKVFAFATAIGVFVLLPINYMGNQLILDFTDLPNKSLESFTISNVDDGSNRLWIHFSAVYVFTVFVWYLLYAEYDYISSKRLASFHSSKPKPHQFTVLVRSIPPSPGKSYSDVIESFFTEYYPSTYLSHCVVRRKNKLKGLISDRAEQSSVVVKEVAAAFVSFKTRFGTAVALHIRQGIKPTEWVTEPAPDPEDVYWPFFSASFAKRWFFNVAVYIASVVLTILFLGPVLLVQGLTHLEQLEIWFPSLKSVLEVKFVSQVVTGYLPSLILQMFLALVPPIMIIFSSIQGYIALSQIGRSACFKVLWFTIWNIFFANVLSGSVLYRVQIFLELKNIPSILAVAVPSQASFFIAYVVTSGWTSTASEVFRLKPFLFSFFKKRFCKASNEDFEVPSMKYHRDIPRLLLFGLVGIIYFFLAPLILPFIVLYYCLGYVIYRHQLLNVYAPKYETDGQFWPIVHDSTIFSLILMHVIAIGIFGLKKLSLASSLTIPLPILTLIFNSYCRRRFLPIFKSFSAESLIKKDRSDEKDPTISAFHNELATAYEDPSLMPANYTGNNDTHTTPLLHTL >itb05g16630.t3 pep chromosome:ASM357664v1:5:23801411:23806883:1 gene:itb05g16630 transcript:itb05g16630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSALLTSVGINLGLCVLFFILYSVLRKQPGNADVYAPRLLADGKSRRRVHFDLDRLLPSAGWITGAWRPSEAELLSVSGLDAVVFMRIFIFSFKVFAFATAIGVFVLLPINYMGNQLILDFTDLPNKSLESFTISNVDDGSNRLWIHFSAVYVFTVFVWYLLYAEYDYISSKRLASFHSSKPKPHQFTVLVRSIPPSPGKSYSDVIESFFTEYYPSTYLSHCVVRRKNKLKGLISDRAEQSSVVVKEVAAAFVSFKTRFGTAVALHIRQGIKPTEWVTEPAPDPEDVYWPFFSASFAKRWFFNVAVYIASVVLTILFLGPVLLVQGLTHLEQLEIWFPSLKSVLEVKFVSQVVTGYLPSLILQMFLALVPPIMIIFSSIQGYIALSQIGRSACFKVLWFTIWNIFFANVLSGSVLYRVQIFLELKNIPSILAVAVPSQASFFIAYVVTSGWTSTASEVFRLKPFLFSFFKKRFCKASNEDFEVPSMKYHRDIPRLLLFGLVGIIYFFLAPLILPFIVLYYCLGYVIYRHQVGRLHTAPRFLNCSNFFLYM >itb04g01690.t3 pep chromosome:ASM357664v1:4:971447:974551:1 gene:itb04g01690 transcript:itb04g01690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCGKNGGENLSAEEWLVKAQQLVPEALKRAREVKGFPGRWKMIVSKLEQIPSKLSDLSSHPCFSKNTLCKEQLQAVLQTLNEAMELAEICVGEKFEGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEVTFPAAEAQAVSAPGNGNLRELLARLQIGHLEAKNRALDCLLDAMKDDEKSVLAVLGRSNIAALVQLLTATSPKIREKTVTVICSLAESGNCEDWLVSESVLPPLIRLVESGTNLGKEKATISLQRLSMSPETARAIVGHGGVRPLIDICRIGDSVSQAAAACALKNISAVPEVRQVLVEEGIIKVTINLLDGGILLGAKEYAAECLQNLTSSNDSLKRSVISEGGIRSLLVYLDGPLPQESAVGALRNLISHVAMDSLVSLGIIPRLVHVLKSGSIGAQQAAASAICKICTSTEAKSMVGEAGCIPLLVKLLEAKANSAREVAAQAIASLMSVAQNCREIKKMDKSVPNLVQLLEPSPQNTAKKYAVSCLALLSSSHKCKKLMVSYGAIGYLKKLSEMDVPGAKKLLERLERGRLRSLFTRKLL >itb04g01690.t2 pep chromosome:ASM357664v1:4:970052:974551:1 gene:itb04g01690 transcript:itb04g01690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCGKNGGENLSAEEWLVKAQQLVPEALKRAREVKGFPGRWKMIVSKLEQIPSKLSDLSSHPCFSKNTLCKEQLQAVLQTLNEAMELAEICVGEKFEGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEVTFPAAEAQAVSAPGNGNLRELLARLQIGHLEAKNRALDCLLDAMKDDEKSVLAVLGRSNIAALVQLLTATSPKIREKTVTVICSLAESGNCEDWLVSESVLPPLIRLVESGTNLGKEKATISLQRLSMSPETARAIVGHGGVRPLIDICRIGDSVSQAAAACALKNISAVPEVRQVLVEEGIIKVTINLLDGGILLGAKEYAAECLQNLTSSNDSLKRSVISEGGIRSLLVYLDGPLPQESAVGALRNLISHVAMDSLVSLGIIPRLVHVLKSGSIGAQQAAASAICKICTSTEAKSMVGEAGCIPLLVKLLEAKANSAREVAAQAIASLMSVAQNCREIKKMDKSVPNLVQLLEPSPQNTAKKYAVSCLALLSSSHKCKKLMVSYGAIGYLKKLSEMDVPGAKKLLERLERGRLRSLFTRKLL >itb04g01690.t1 pep chromosome:ASM357664v1:4:970052:974551:1 gene:itb04g01690 transcript:itb04g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCGKNGGENLSAEEWLVKAQQLVPEALKRAREVKGFPGRWKMIVSKLEQIPSKLSDLSSHPCFSKNTLCKEQLQAVLQTLNEAMELAEICVGEKFEGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEVTFPAAEAQAVSAPGNGNLRELLARLQIGHLEAKNRALDCLLDAMKDDEKSVLAVLGRSNIAALVQLLTATSPKIREKTVTVICSLAESGNCEDWLVSESVLPPLIRLVESGTNLGKEKATISLQRLSMSPETARAIVGHGGVRPLIDICRIGDSVSQAAAACALKNISAVPEVRQVLVEEGIIKVTINLLDGGILLGAKEYAAECLQNLTSSNDSLKRSVISEGGIRSLLVYLDGPLPQESAVGALRNLISHVAMDSLVSLGIIPRLVHVLKSGSIGAQQAAASAICKICTSTEAKSMVGEAGCIPLLVKLLEAKANSAREVAAQAIASLMSVAQNCREIKKMDKSVPNLVQLLEPSPQNTAKKYAVSCLALLSSSHKCKKLMVSYGAIGYLKKLSEMDVPGAKKLLERLERGRLRSLFTRKLL >itb04g01690.t4 pep chromosome:ASM357664v1:4:971629:973348:1 gene:itb04g01690 transcript:itb04g01690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCGKNGGENLSAEEWLVKAQQLVPEALKRAREVKGFPGRWKMIVSKLEQIPSKLSDLSSHPCFSKNTLCKEQLQAVLQTLNEAMELAEICVGEKFEGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEVTFPAAEAQAVSAPGNGNLRELLARLQIGHLEAKNRALDCLLDAMKDDEKSVLAVLGRSNIAALVQLLTATSPKIREKTVTVICSLAESGNCEDWLVSESVLPPLIRLVESGTNLGKEKATISLQRLSMSPETARAIVGHGGVRPLIDICRIGDSVSQAAAACALKNISAVPEVRQVLVEEGIIKVTINLLDGGILLGAKEYAAECLQNLTSSNDSLKRSVISEGGIRSLLVYLDGPLPQESAVGALRNLISHVAMDSLVSLGIIPRLVHVLKSGSIGAQQVRFSQTSIL >itb02g02090.t1 pep chromosome:ASM357664v1:2:1153328:1154394:-1 gene:itb02g02090 transcript:itb02g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLQFRQDLPEIQEFRPDSPETRLPRIKTGSSVSSGVTDDDGGNGVIPAAADNKRCSGGGDREEEEECRTPKSPRNFLPETLVCPPAPKKPRRAAPSCKRKLGDELQFFEVVGRDEIDSFFRRVHDRDFVGSGGFNKRSRTAKP >itb05g18490.t1 pep chromosome:ASM357664v1:5:25262279:25264168:-1 gene:itb05g18490 transcript:itb05g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMMDYRHGGNGRSVDLSFAKKLEESAVVKEAASGLESVHTFIRLLSQQKHKAAEIREKSTVEIEMVADVAVNKFQKVINLLGRTRTGHARFRRAPVVSSLPVPAKVDTKVYNPTPIQQVPPPVSAAAAGKTISFSYSPEVSRANSFNISSLTGETESKQASSSSAFQITNLSLASSGGKPPLSSSSLKRKCSSSENNLSGKCSGGSSGRCHCSKRKKLRQKRVTRVPAISMKMADIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLIVTYEGEHNHSLSLAETNSLILESS >itb05g18490.t2 pep chromosome:ASM357664v1:5:25262279:25264168:-1 gene:itb05g18490 transcript:itb05g18490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMMDYRHGGNGRSVDLSFAKKLEESAVVKEAASGLESVHTFIRLLSQQKHKAAEIREKSTVEIEMVADVAVNKFQKVINLLGRTRTGHARFRRAPVVSSLPVPAKVDTKVYNPTPIQQVPPPVSAAAAGKTISFSYSPEVSRANSFNISSLTGETESKQASSSSAFQITNLSLASSGGKPPLSSSSLKRKCSSSENNLSGKCSGGSSGRCHCSKRKKLRQKRVTRVPAISMKMADIPPDDFSWRKYGQKPIKGSPHPR >itb13g06670.t1 pep chromosome:ASM357664v1:13:8069549:8075812:1 gene:itb13g06670 transcript:itb13g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSHVPPGFRFHPTDEELVDYYLRKKVALKRIDLDVIKEIDLYNIEPWDLQELCKIGCEEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSKHCLIGMRKTLVFYKGRAPNGLKSDWIMHEYRLETNENGAPQEEGWVVCRAFKKRMPTMARKEGADHESPLCSNGWYDDQISSFIPSDFESPRRISTHHHHHPNYNNINPPPYNMNPHFLNSCKQELHHHQLHYNIIVPHEHEHHQPFIHQSSSLPQLESPKLPHSTTQFHMINSSSSSPSLLYCNDQSVADDHDHLTTDWRVLDKFVASQLMSHEEDNNGDGKETSLRASSSFQP >itb12g27120.t1 pep chromosome:ASM357664v1:12:27690117:27692712:-1 gene:itb12g27120 transcript:itb12g27120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKGPWPEKPVRKSSILRYNSPVVQVSLIGLVCFCCPGMFSALVSMGGGGQVDTTAVNNANTALYTTFAVFGILGGGIYNILGPRTTLSAGCSTYVLYAGSFLYYNHHKHQAFAVVAGALLGVGAGLLWAAQGAIMTSYPPHNRKGIYISLFWSIFSLGGITGGLIPFFSNFHRNDAVSVNDGTYIGFMVFMSAGTLLSLAILHPSRIIRDDGSKCTNIRFSSVAVESREILRLFFKWEMILLLPASWASNFFYTYQFNNVNGLLFNLRTRGLNTVFYFAAQMIGSVFIGFVMDSSFKRRRTRGLVGIATVAAVGTAIWGGGLAKQLDYSREHPPEHRLDFKDGVEFAGPFVLYFGYGLLDSMFQSMVYWVIGALADDSEILSRYTGFYKGVQSAGAAVAWEVDTKKVTFLNQFIANWALTTISYPLLVILVLLAVKDDDDDDDNDKAEENKFTQLTVS >itb06g10010.t1 pep chromosome:ASM357664v1:6:14252594:14257502:-1 gene:itb06g10010 transcript:itb06g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGVKLVLVLCIICLLVSEGWAKGGGGGGGRGGYGRSGGGSRRTTRREWRCVSSLLFSCLGGQESSSAAAAKHSSSDENAAAEEQRRGGPVVVDRSSYHRLSRSCSST >itb04g00170.t1 pep chromosome:ASM357664v1:4:121767:131404:1 gene:itb04g00170 transcript:itb04g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRITKFEMPKLKRCIVAENDEKFSPKRLRTDELSAVPINEFEDCSTCLADSGCRGVSSNDGEAESNMGIPDPIQPLRGVKKGLQVHNAPLLKSSRGRLQVLPSKFNDSVLHSWKKERTGTDESELCFSNGDSACNKKTSERKVSCSDLQLYKKQSLDSRISFDNLHSTKSIDNLAKPEEAEFGYTGQVDCDSILYSSSKSSLTSISGGGVSSFIESQPKVKSGFVRSEKYTKGKAEKKDYYEPGNFVMGDIVWAKCGKKYPAWPAIVIDPRWQAPESVLRAFVADAICVMFYGYSRNRQRDYGWIKAGMIFPFQEYMERFQGQTKLFGSRPADFREAIEEAVLADQGYLNKDSENWQETPSFVNQAETAEDTGSNLELECCLSDQDAYYKKKETRSCDSCGLIIPCRSIKKVKGKNAQTQFLCAHCIKLRRSKQYCGICKKVWHHSDGGDWVCCDGCNIWMHAECTGFSGNGFEDLSSTEYFCPECKVKSSHISVDLQLREQKASLSSRAMENNKQTAMPEKVEVVCMGVEGIYYPNLHLVQCKCASCGTRKQTLNEWERHTGSRAKKWKLSVKVKGSMITLEKWIMDHSVAALKLDLQQLFAFLQEKYKPVNAKWTSERCAICRWVEDWDYNKIIICNRCQIAVHQECYGATDIQDFASWVCRACETPEIERECCLCPIKGGALKPTDIDTLWVHVTCAWFRPEVAFLDVNKMEPATGILRIPPDSFVKACTICEQVHGSCTQCCKCATSFHAMCALRAGYHVELKCSEGNGVQLSKWVSYCALHRTPNADNVVVMRTPFGVFSNGNLLQKQSKEHCPSGSRLISSKCLELPDTSDNGITEFDPFSAARCRIFKRSMKKRDGQEAVFHRLMGPRHHSLDRIENLSSHSEEVADVKSFSTFKERLSHLQKSENLRVCFGKSGIHGWGVFARRNIQEGEMVFEYRGEKVRGKVADLREARYQLEGKDCYLFKISDEVVIDATNKGNIARLINHSCMPNCYARIISLGEEESRIVLIAKTNVSAGDELTYNYLFDPDERKVPCLCGAPNCRKFMN >itb07g18470.t1 pep chromosome:ASM357664v1:7:22937836:22945026:1 gene:itb07g18470 transcript:itb07g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MEKYKILKELGDGTCGTVYKAINMRTSEIVAVKKMKRKFYDWVECINLREVKSLCKLNHPNIIKLKEIVRENNELFFIFEYMEHNLYQTMKDRQRPLSEEEIRGLLSQLLQGLAHIHRNGYFHRDLKPENLLVTNDIMKIADFGLAREVSSMPPFTDYVSTRWYRAPEVLLQSSSYTPAIDMWAVGAILAELFTLCPIFPGESEMDQLYKICCVLGTPDWNAFPEARNISRLIDISYLHIMPVNLSDMIPNASLEAIDLIEQLCSWDPLRRPTADQCLQHPFFHAGTWIPHSLGDPLQLKLSNDGSKPNLELNLWDFGMERDDCFLGLTLAVNPSSSSQEIETKKQAAVKDMLLCSGFQDHSQQSVVWSLLPSDQHRISAPMESSLSLSFSSIPHTSAGVPQSAGFAVASLQTNIFDRPLLAMSSPFQQGHYL >itb07g15610.t1 pep chromosome:ASM357664v1:7:18655788:18658038:1 gene:itb07g15610 transcript:itb07g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHISNHYCSLLKLCCDTQNRAQLKKLHCRIIKSVSNAETFLLNNLINAYSKLDEFVYARRVFDQIPRPNQFSWNTLLSAYSKAGNFLEMQEVFRMVPKKDGVSWNLVISGYASHGSVDEGLRAFKLMLRDGRVNLNRITFSTMLILSLSNGWIQLGRQIHGQIVKCGFESYVFVGSPLTDMYAKLGFISEAKQVFDELPERNLVLSNTMIMGFLRCGMVKEAERLFMCLPERDSISWTTMITGLTQNGLDIKALEIFREMRLEGLAIDQFTFGSILTACGSLLALEEGKQIHAYAIRSYHMDNIFVGSALVDMYSKCRSINYAENVFRRMKSKNVVSWTAMVVGYGQNGYSEEAVWTFCEMQRNRVKADEFTLGSVVSSCANLASIEEGAQFHGQALVSGLISFITVSNALVTLYGKCGSIEESHKLFNEMEVKDEVTWTALVSGYAQFGKAAETIGLFEKMLAQGLQPDGVTFVGVLAACSRAGLVDKGKYYFDSMVREHRIVPTLDHYTCMIDLLSRSGQLEEAKHFIQKMPCHPDAIGWATLLSSCRTRGNMEIGKWAAESLLQIEPQNPASYVLLSSMYAAKGDWNEVAQLRKAMRDNGVKKEPGFSWIKYKNKLHVFSADDKSSPYSDKIYAELDTLYCRMIEEGYIPDVSSVQHNIEESEKVKLLNHHSEKLAIAFGLIFVPPELPIKVVKNLRMENVLVAISGDMPLVY >itb04g28760.t1 pep chromosome:ASM357664v1:4:32375331:32377217:-1 gene:itb04g28760 transcript:itb04g28760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSPAIRFPYTLSNLTKSVNHCLYRAITTHNYLSQYPENYLASCSCKSQSFTVNGQEGDIIDLDVLPRLQKHGFFINTFLLNKVVSTCAKTASLSAGFQIHSQIIRLGFGSNVHVNTSLVDMYGKFGTVSLAQKLFDEMPEKNAVTWNALISGYVDASCSEIALGVFVNMLREGVDPTPFSVSTVLVGYMQLEAFELGAQLHALSVKAGFNSQVAVGTGLIDIYSRCLNIEASRRVFDEMPHKNVTTWTSMVTGYAWNQCKFDAMILVKSMLFIGIKPNCKTYTSLLSSFCCPHDLVHCKQIHSLNQLTWNVAISGFSNLGAVDKALINFGKMRQAGFAGDCFTFTSILKAVGVVSGLEEGQQTHCLSLKTGCDSRVHVQNGLLSMYSRCGKLEDAERIFSAMGRRDLISWNSLLTCYAYHGSGREVITLFEQMKSSGIRPDSTTFLVVISACRHAGLIYEGLEFFDMMITEDSLPPPKVEHYACIVDLYCRAGLLHEAEGFIKQMPMEPDPSVLKALLSACRVHDNKEITLRCARKLVELCPNDVATYVLLGNVLATGGNWNDAELVRKDSCDRGMKKHPGCSWI >itb11g06280.t1 pep chromosome:ASM357664v1:11:3724423:3725160:-1 gene:itb11g06280 transcript:itb11g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVELVSKEMMIKPASPTPPHLKTFKLSFLDQISSPVFIPLTFFFNHAINGEDNHGRSSQLLKKSLSKVLTMFYPLAGRIKGNDFVDCSDDGALWVEARVHGFLKDVVENPLMEELEKFLPVEPSNGDDSELMLGVQVNYFVDGGIAVGVCMSHKIGDGLSLVNFVNAWAITARGGEISPPNFGLVTSLFPPTTMDLSGSGFSPTVGLTREKIVTRRVVFDKENLAALKKSAAAESPGVGLHF >itb11g07690.t2 pep chromosome:ASM357664v1:11:4795051:4800441:-1 gene:itb11g07690 transcript:itb11g07690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARFLSIPILSVLFLVGVLYYVTVFIFIDDWLSLQTSAGSLNALIFTFFASLCIFSYFVCVLKDPGTVPSSYVPDVEENQLSDQESGRIGVQAVKCDKCLGHKPPRAHHCRVCKRCILRMDHHCLWINNCVGHKNYKSFVLLVFYATVASTYSSIMLISSILDKEWSSSRVNGWNPMTSLKLFYVTSGVMIFGLTLTLGTLLSWHIYLIAHNMTTIEYYAGSRASWLARKSGVTYRHAFDVGTYKNITLVSLSLSLSQGRVHMHIFVSVCIIPFLKSWWCVMHLYGDGDAHNT >itb11g07690.t1 pep chromosome:ASM357664v1:11:4795028:4800477:-1 gene:itb11g07690 transcript:itb11g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARFLSIPILSVLFLVGVLYYVTVFIFIDDWLSLQTSAGSLNALIFTFFASLCIFSYFVCVLKDPGTVPSSYVPDVEENQLSDQESGRIGVQAVKCDKCLGHKPPRAHHCRVCKRCILRMDHHCLWINNCVGHKNYKSFVLLVFYATVASTYSSIMLISSILDKEWSSSRVNGWNPMTSLKLFYVTSGVMIFGLTLTLGTLLSWHIYLIAHNMTTIEYYAGSRASWLARKSGVTYRHAFDVGTYKNITLVLGPNMLKWLCPTAVNHVKDGLTFPTLRDNS >itb13g24020.t1 pep chromosome:ASM357664v1:13:29773475:29775919:1 gene:itb13g24020 transcript:itb13g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDQQMRSVADTRGKHRISAELKQLEQEARFLEEELEQVDRMEKASAVCKEMLSNVETRPDPLLPESYEPTLGSVV >itb13g24020.t3 pep chromosome:ASM357664v1:13:29773475:29775919:1 gene:itb13g24020 transcript:itb13g24020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDQQMRSVADTRGKHRISAELKQLEQEARFLEEELEQVDRMEKASAVCKEMLSNVETRPDPLLPE >itb13g24020.t2 pep chromosome:ASM357664v1:13:29773475:29775919:1 gene:itb13g24020 transcript:itb13g24020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDQQMRSVADTRGKHRISAELKQLEQEARFLEEELEQVDRMEKASAVCKEMLSNVETRPDPLLPETTGPTNPLWDRWFEGPADASGCRCWIL >itb10g24010.t1 pep chromosome:ASM357664v1:10:28047246:28049234:-1 gene:itb10g24010 transcript:itb10g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGMFSGFVTGETAALLKPSVSPSSVRLAAPPFANLQPARTVSFAASSNPASETKKSPVRGIMKPRRVSPEMQAFLGGVAEAPRTEVVKQVWAHIKQHNLQDPADKKVIVCDDELKKIFGGREQVGFLEIAGLITPHFL >itb05g02420.t1 pep chromosome:ASM357664v1:5:1952390:1956357:-1 gene:itb05g02420 transcript:itb05g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTQLESSSPFFLRKAFFLPYFSILVVMAMFISFYALVFSPSLFTSFANSWLPPQFKTEDKLAFAIGRSENGCDIFSGQWVRDEESHPLYKESECAYLQSQQTCLAHGRPDRDYLYWKWKPHGCSIPRFNGTMMLEALRGKRMMFAGDSLSRGHYGSMVCLLQKFIPDINAKSIEDAGQRTIFTAKEYNATIEYYWSPLLLESNADNPWKHRVEERIARKDSINEHGQHWRGVDILVFHTYLWWYRDEYFKILEGSFEDKVKKIIDVPSDDAYRMALESMLKWVEENMDPTKTRVFFTSISPTHGRNVLWGGDKKGNCYNETTLIKNLNYDIPRPLKRTMRVIDEVLSKSNVQITFLNITRLSNYRKDGHLSIYKKQWTPLTAEQLANPVSYSDCIHWCLPGVQDTWNELLFTKLFYP >itb04g12730.t1 pep chromosome:ASM357664v1:4:12444498:12450277:1 gene:itb04g12730 transcript:itb04g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSEHHIVMLPFMAQGHLIPFLALARKLQETAAFKITIASTPLNLQYLRSAIVAQGLEEQSCGIRLAALPFNPADHGLPPNGENTEALALKDIGRLFSASTWLESPFRDLVSEITVREGKPPVCIISDIFMGWANRVAEGFGSVNVNFCTGGCYGYAAYVSFWVHLPHRSAKDDNGPVGAKEFSMPGFPESTRFHTSQLHPFMRAGNGSDSWSLFFRHNLAISNSFGWLCNTVQEIEPTGFQVLRNISKSPVWCIGPLLPPGMLKTRESLSDLSPVSGLIGRNSGREPGLSPEKCIEWLNKHPEESVLYISFGSQNTISPSQMMALALGLEDTQKPFLWVIRPPIGFDTKGDFQPEWLPEGFEERMGKKGQGKLVHSWAPQLEILCHRSVGAFLSHCGWNSVMESLSQGIPLIGWPLAAEQGFNSKMLMEEMGVSVELCRGNQSSISREEVKSVIERVLDKEEGKGKEMKNNAVKIMELIRRALKEDNGAKGSSVQAMDDFVTTILGRTNV >itb03g02600.t1 pep chromosome:ASM357664v1:3:1476742:1481276:-1 gene:itb03g02600 transcript:itb03g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLVSRLHVKKGEPLTRKNWRLLEGKCRVTLRSLNDETMWGVSGFGLFSVDMGVSHSRRRRKSPTPPPPSPPPSPPPQPPPPPSPPPSSPSPSPQKSPEKSPPRLWKSTEPFVFPETQSTVLPNPSNFFAPHLLSNPLPTNSFFQNFVLKNGDQPEYIHPYLIRSSQQALTLCYPSNFNSPSFTYQIFSADLTITTLSKPQPDAHIISSFNDLSVTIDFPGNNLKFLLVRGCPFVTCSMDKKVELTLSTIREILECCSQYNQTKHIIKLSNNQTWLLYASSPINLTHNTSTITSTSFSGIIRIALLPNPDPKSEAILHRFSACYPISGEAFFSRPFSVEYKWEKKGRGNLLMLAHPLHLKLLSDTDCTVSVLEALKYNSIDGDLVAVVGDSWVLRSDPISVTWHSIEGFSREESRAEIICALKRDVEALDSKAVINTSSYTYGKFIARAARLALIAEEVCCFDVIPVVQSFLKGNAFLYESKWGGIVTKQSLSDTGADFGFGIYNDHHYQIGYFIYAIAVLAKIDSGWGRRYKRQAYSLVGDYMNLGRREGSHYPKLRCFDLWKLHSWAAGLTESADGRNQESTSEAINAYYAAALMGLVYGDPNLVAIGSTLSAMEILSAQTWWHVREDPENSVYTQEFRKNNRVVGVSWANKRDSGLWFAPPEWRECRVGIQVLPLLPISETLFSDIQFVKQLVQWTEPVLSRDGVEDGWKGFVYALEGVYDKEGALGKIRNLNGYDDGNSLTNLLWWIHSRDDIGEESDRARKLCWSRHYNH >itb09g22510.t1 pep chromosome:ASM357664v1:9:20909366:20911596:1 gene:itb09g22510 transcript:itb09g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSFSEPPSSIGSSSSSSERLSSLDASSPNSRVVAEGYVFRIPPHPLFNEICNSYSKLDPDIKVISITMEDDERARARSSEMEALCTRVNYRALEQFRLNALEEVRRSAVDWEIRGLSEKQEIPMDHEYLNSSANLQVLLDLSNPDIFVGYLDSVEIANVISHHNEGAKQGDASSHALAI >itb09g15720.t1 pep chromosome:ASM357664v1:9:10983523:10985250:-1 gene:itb09g15720 transcript:itb09g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHPRPPTPSPPPINHQTTISLLKHTASIFVSDLLLFLFLSLLIFIFRSNVHTGTHYLTSFIDRDPSLKSLLSRLDLSSSQSNHQPLHHHRRRRGPFLHLSRVGTLDDDFFSGDSDLDRSLFHPSSKPPPNSTSVILSDFNPNLGFSHPIVDNGIDLPQAVRNGFFSFKALSYAEKNDTVLDAPRNDYKIDDLPFFIKGMELGRRDATTLLFLVVLLSTAYGYVITSFLVTYTWVHGIVFLKVLDNLLGNYRSFCGTVWRGSNLGLKRLCAFVLIRWAVRDALAQLLGIWSFGEVEDQSSFFNVFIRMKLMPFSDVAPWITGHEKESLCFIISWFLVELLVGFMFAVDSWIVIVDSRKSSWEVVKEGCHLLVALLGPAVQIKCWEVVICGSLSKWILGEYFGDEFAVAILSAIEVYFMVAWLVFYLAARSVDASSLGRTFGRRELEGFLLGAR >itb10g15260.t1 pep chromosome:ASM357664v1:10:21533589:21534245:-1 gene:itb10g15260 transcript:itb10g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEFSQDERRHAIYTFSSVIQGLCRPKDDGEKKVQEAKNLLLSMVDAGPPPGNGVFNTLITALSKQGDMEEATKLVKMMEDRGLKPDVYTYTVIISGYVRKLEMDEARKILDDVKEKHSKLSSATYHTLIRGGYCKLEQFDEALALLREMKEPSADEYNKLIQSLCLNAVDWEKAEKLLEEMKGNGVQLNAITKGLIRAVKEMEQDELERKEMRVFL >itb03g11330.t1 pep chromosome:ASM357664v1:3:9427061:9427874:1 gene:itb03g11330 transcript:itb03g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSRMDLPSSQLIPGLPNDVAIQCLARVPRIYHPILSLVCKSWRFTTRSPALFATRSHLRTTQTFLYLNLRINSASHWFTFLPRPNSSSNTTNPVLLPPIPSRPIGAAYAVLGHKIYVLGGSINDIPSNHVWVFDCWFNCWEVGPRMKISREFAAAGVVGGRIYVMGGCVVDNWVRSMNWAEVFDPVSGSWSALPSQIEVRDKWMHASTVMDGRVYAMADRGGVVYDVGLGTGGLSQSG >itb15g02060.t1 pep chromosome:ASM357664v1:15:1230119:1231647:-1 gene:itb15g02060 transcript:itb15g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQVPPYFLCPISLEIMKDPVTVSTGITYDRENIEKWIFSGKNNTCPVTKQGILDGDGGELTPNIILRRYIQSWCTLHAAHGIERFPTPKPPVSRSQIAKLLKDAESPHMQAECLQRLRVIASESEANRRCMESAGAAVFLASIIRNNNNGSDEALSLLHNLRLSEESLKSLAGHDNYSNNLQFIESLIRAMQTQNYESRAFAVMLLKSILKVSEPLQLTTLKPSLYHATVQILKDSISQKASKAALHILIHVSPWARNRIKAVEAGATAVLIDLLLESTEKRTCEMILTSLDQLCQCAEGRAELLSHPAGLAVVSKKIFRVSHAASDRAVKILYSVSRFSATAAVVHEMLQLGVAAKLCLVTQVDCGSKTKERAKEILKMHAKSWKNSPCIPMNLASSYPSS >itb09g29150.t1 pep chromosome:ASM357664v1:9:29878395:29878844:1 gene:itb09g29150 transcript:itb09g29150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVFSLLSVLLYITILFPQQFSAAADSRNRVLHTACAQTKSPDVCISAIQSDPRSAAAKGDVRVFSLIALEKALSEIGGMKERCPEVYRRLIGDAGDAIKKLKARRDVPSVNLSIRSAIKDVVTCSKGEDIVLTLLGISKDVLNIIN >itb04g31040.t2 pep chromosome:ASM357664v1:4:33995841:34005228:-1 gene:itb04g31040 transcript:itb04g31040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKSENGVDGDDEREEEESEEDEEEEEEDEPRLKYQRMGGSVPSLLSNDAASCIAVAERMIALGTHGGSVHILDFLGNQVKEFHVHTAAVNDLCFDVEGEYIGSCSDDGSVVINGLFTEERMKFEYHRPMKAIAVDPCYANKSSRRFVTGGLAGQLYFNVKKWIGYRDQVLHSGEGPIHAVKWRASLIAWANDAGVKVYDAANDQRITFIERPRGSPHPEHLLPHLVWQDDTLLVIGWGTSVKIAVIRTNQNKGVNGTYKQIQMSSLNQVDIVASFQTSYSISGIAPYGDTLVILAYIPGEDREKDFSSTIPSRQGNAQRPEVRVVTWTNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPFYYIVSPKDVVIAKPRDAEDHINWLLQHGCHEKALEAVEASKGRSELVDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPIENPRLRDTAYEVALVALATNPSFHKDLLETVKSWPPGIYSTSPVISAIEPQLSTSSMTDPLKEALAELYVIDGQHDKAFSLYADLMKPDLFDFIEKHNLHDAVSEKVAQLMMIDCKRAIPLLIQHRDLIPPPEVVSQLMASENKDDSRYLMHLYLHALFEINPHAGRDYHDLQVELYAEYDPKMLLPFLRSSQHYTLEKAYDICVKRNLLKEQVFILGRMGNSKQALAVIINKLGDIEEAIEFVNDQHDDELWEELIRQCLNKAEMVS >itb04g31040.t1 pep chromosome:ASM357664v1:4:33995841:34005228:-1 gene:itb04g31040 transcript:itb04g31040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKSENGVDGDDEREEEESEEDEEEEEEDEPRLKYQRMGGSVPSLLSNDAASCIAVAERMIALGTHGGSVHILDFLGNQVKEFHVHTAAVNDLCFDVEGEYIGSCSDDGSVVINGLFTEERMKFEYHRPMKAIAVDPCYANKSSRRFVTGGLAGQLYFNVKKWIGYRDQVLHSGEGPIHAVKWRASLIAWANDAGVKVYDAANDQRITFIERPRGSPHPEHLLPHLVWQDDTLLVIGWGTSVKIAVIRTNQNKGVNGTYKQIQMSSLNQVDIVASFQTSYSISGIAPYGDTLVILAYIPGEDREKDFSSTIPSRQGNAQRPEVRVVTWTNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPFYYIVSPKDVVIAKPRDAEDHINWLLQHGCHEKALEAVEASKGRSELVDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPIENPRLRDTAYEVALVALATNPSFHKDLLETVKSWPPGIYSTSPVISAIEPQLSTSSMTDPLKEALAELYVIDGQHDKAFSLYADLMKPDLFDFIEKHNLHDAVSEKVAQLMMIDCKRAIPLLIQHRDLIPPPEVVSQLMASENKDDSRYLMHLYLHALFEINPHAGRDYHDLQVELYAEYDPKMLLPFLRSSQHYTLEKAYDICVKRNLLKEQVFILGRMGNSKQALAVIINKLGDIEEAIEFVNDQHDDELWEELIRQCLNKAEMVGVLLEHTVGNLDPLYIVNMLPNGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLIKYYKEAKRGIHLSDEVDEARSKRGEQRASNLVDRSLSIKSMEVKSKTRGGGRCCICFDPFSMQNVSIIAFFCCHAYHLTCLMESTNSVSSKKGSAAPSQGASSYYEYDNGEADEDEDEDTSSGAPQMRCILCTTAAG >itb11g01710.t2 pep chromosome:ASM357664v1:11:860854:864044:1 gene:itb11g01710 transcript:itb11g01710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFDENDFLACCGSTRFAKEMAAAAPFSDCDTAVVAARDIWFNKVDVNGWLEAFSAHPQIGHMPSQNHKSPAFAQWSKGEQSTALSTATDSSLQELSHWNARYREKFGIVFLIFASGRSSSEILTELKRRYENRPIIEFEIAAQEQMKITELRLAKLFLAKADSTIATKATDASKVEAPTGKPSHIPSRTRPPITTHILDIARGCPANGVEVLLEAWKGSQPRPHFGVTDKGGWVFQGSSATDKDGRSGQLMSMVDALNPGTYRISFNTGKYNPDGFFPFVSIVFEIRESQKWEHFHVPLLLSPFSFSTYRGS >itb11g01710.t3 pep chromosome:ASM357664v1:11:860854:864044:1 gene:itb11g01710 transcript:itb11g01710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFDENDFLACCGSTRFAKEMAAAAPFSDCDTAVVAARDIWFNKVDVNGWLEAFSAHPQIGHMPSQNHKSPAFAQWSKGEQSTALSTATDSSLQELSHWNARYREKFGIVFLIFASGRSSSEILTELKRRYENRPIIEFEIAAQEQMKITELRLAKLFLAKADSTIATKATDASKVEDRVNIIGSHLTAPTGKPSHIPSRTRPPITTHILDIARGCPANGVEVLLEAWKGSQPRPHFGVTDKGGWVFQGSSATDKDGRSGQLMSMVDALNPGTYRISFNTGKYNPDGFFPFVSIVFEIRESQKWEHFHVPLLLSPFSFSTYRGS >itb11g01710.t1 pep chromosome:ASM357664v1:11:860854:864044:1 gene:itb11g01710 transcript:itb11g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFDENDFLACCGSTRFAKEMAAAAPFSDCDTAVVAARDIWFNKVDVNGWLEAFSAHPQIGHMPSQNHKSPAFAQWSKGEQSTALSTATDSSLQELSHWNARYREKFGIVFLIFASGRSSSEILTELKRRYENRPIIEFEIAAQEQMKITELRLAKLFLAKADSTIATKATDASKVEGKPSHIPSRTRPPITTHILDIARGCPANGVEVLLEAWKGSQPRPHFGVTDKGGWVFQGSSATDKDGRSGQLMSMVDALNPGTYRISFNTGKYNPDGFFPFVSIVFEIRESQKWEHFHVPLLLSPFSFSTYRGS >itb10g06280.t4 pep chromosome:ASM357664v1:10:6976056:6980727:-1 gene:itb10g06280 transcript:itb10g06280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t3 pep chromosome:ASM357664v1:10:6976046:6980727:-1 gene:itb10g06280 transcript:itb10g06280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t1 pep chromosome:ASM357664v1:10:6976046:6980727:-1 gene:itb10g06280 transcript:itb10g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t2 pep chromosome:ASM357664v1:10:6976046:6980727:-1 gene:itb10g06280 transcript:itb10g06280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t8 pep chromosome:ASM357664v1:10:6976209:6980308:-1 gene:itb10g06280 transcript:itb10g06280.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t9 pep chromosome:ASM357664v1:10:6976221:6978865:-1 gene:itb10g06280 transcript:itb10g06280.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t7 pep chromosome:ASM357664v1:10:6976056:6980727:-1 gene:itb10g06280 transcript:itb10g06280.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t6 pep chromosome:ASM357664v1:10:6976056:6980727:-1 gene:itb10g06280 transcript:itb10g06280.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb10g06280.t5 pep chromosome:ASM357664v1:10:6976056:6980649:-1 gene:itb10g06280 transcript:itb10g06280.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSILIETRERAMSQRATGLSRPSSSNRRLRDLMLQRENRVCADCGAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDNEIDAMIEVGGNASANSIYEAYIPQGVSKPGPDAGHDQRAKFIRSKYELQDFLKPSLRILSAPKNHSLQSSFSSSRKSMESSFRSSSSVDNSGGMVEFIGMLKVKVIKGTNLAIRDMLKSDPYVVLTLGKQTVRTNVIKSNLNPLWNEELMLSVPLHHGALKLQVYDHDTFSADDIMGEAEVDIQPMLTSAMAFGDPKILGDMQIGRWLKSHDNALIQDSTINIVDGKVKQEVFLKLQNVESGEIHLELEWISLDH >itb01g19800.t1 pep chromosome:ASM357664v1:1:26082887:26087630:1 gene:itb01g19800 transcript:itb01g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRKGSISGADGLGDRFKNSLRCNEPEIDKPDFRELDLGSPVSPLLFRRRGTSSSTATTTSSSSSSSGSVSGRNGSNPVQKRSDLNHSGELSGSVDSSPTARGSKPGYAKSDSGGSHPLIYSGASSPALNTLPAGNICPSGRVLTTGMANRATKNDVLGSGTVNYGHGSIMRGGGVHKSSGASSTGLPTNSKGFVVGETVKGGMRNDPEELKLMGNEHYKKGQYSEALNLYEKAIAISPRNAAYHCNRAAALIALKRVDEALRECEEAIRLDQGYVRAHHRLGSLFLSLGQVENARRHMCLAGHQPDHVEVTKLERVEKHIGKCNDARRLGDWRSMLREADAAIASGADASPQLFACRAEALLKLSQLDDADSSLSNVPQIKPITASYTQSKIFGMLSEAYLLFVRAQVELALGRFGNALSAIEKAGQIDSRNIEVSLLLSNVRLVSQARIRGNDLFKSERYTEACAAYGDGLRLDPSNSVLYCNRAACWYKLGQWEKSVGDCNQALHIQPKYTKALLRRAASNMKLELWAEAVRDYEVLRRELPHDNEVAESLLHARGALKKSRGEEVHNSKFGGEIEIVSGLEQFRAAILSPGVSVVHFKAASNVQCWEISAFLDTLCSKYRSINFLKVDVEDCPVLANAENVRIVPTFKIYKKGERVKDLVCPSPEELESLVRHYCI >itb15g11390.t1 pep chromosome:ASM357664v1:15:9213305:9220003:1 gene:itb15g11390 transcript:itb15g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDHKFLRRAVEEAYKAVECGHGGPFGAVVVCNDEVVVSCHNMVFNNTDPTAHAEVTAIREACKKLNRVNLSDCEIYASCEPCPMCFSAIQFSQMKRLVYGAKAEAAIAIGFGSDRIIAXIYACKKLNRVNLSDCEIYASCEPCPMCFSAIQFSQMKRLVYGAKAEAAIAIGFGSDRIIADALRGTTFYQKANLEIKRADGNEAIIAEQDRDEIFLRRAVDEAYKAAECGEGAPFGAVIVCNDEVVVSCHNMMYNNTDPTAHAEVTAIREACKKLNRIKLSDCEIYASCEPCPMCFSAIQLSKMKRLVYGAKAEAAMAVGFGSIADALRGTGFYQKANLEIKRVDGNEAIIAEQVFENTKAKFPIHSK >itb13g06180.t1 pep chromosome:ASM357664v1:13:7370221:7370919:1 gene:itb13g06180 transcript:itb13g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSQGSSYRIKNLVRVYKPWTVCISEPMREKCHRKDLSISLGWDMRKGTENDKMWIAWSEEVRVDVVDVTEQAITLKVGLAEVDKEVLMTFIYASCNTGVRRELWEYLESFAEGITGEQCWIALGDFNCILSSEEKKGGTPYNMAKAGLRDVAYYGGSFSWWNGRQGEGAVWKRLDRGLVNTGWEDKLKTYIQYLSKTSSDHSPMVLDVESHMKIGKKPFMFLNMWSDHE >itb15g07520.t1 pep chromosome:ASM357664v1:15:5153840:5154247:1 gene:itb15g07520 transcript:itb15g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKISMPTPNDDLLLLDHHSSSTRRKKQKREFIVVLVLCFTLILATIISVLAVLKHHIESESPAKNSTLAIQSVCSLCGYPNAHCYSILSPLRSSSETNPTQILTLAVRSAIDAITEPTLLPDSTDPETNSTL >itb01g12420.t1 pep chromosome:ASM357664v1:1:11938996:11940838:1 gene:itb01g12420 transcript:itb01g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEEWEVLPEDGFLEINDDGSGKSIFSRKYNNTHFNMNYTYFVCPSQFVDPTPTPTKPSHVVPPPPAVGASEDKISQVCFKKMNDHHHQEAAAAENMKMDSPANMTTVVVPQTDDDDDDVGGEEFEDTKDVVVSSSPGRADHQENEEGGGGFNLWQWSLSGIGAICSFGVAAATTICIVFIENQQKHKRQHHNHNIRFQIYTHHDKRIKEVVEHASKLKEAISTARGVPFTRAHITFGGYCDAF >itb13g22190.t2 pep chromosome:ASM357664v1:13:28530403:28532315:-1 gene:itb13g22190 transcript:itb13g22190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MESNKDEALRCVDIAKEAIASGKKEKALKFIKIARRLNQNLSVDDLLAACENIDSSGPGPSRNEKDVRDVEDKRDKDKLDEASNGSRNYTDENVQLIRHIKSKKDYYAVLGVEKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFKCLSDDESRKQYDLTGLVDQFEYNEQHNVRRRRRRTGNDFFDEEFDPDEIFRAFFGQTDMFRTTQAYRARAHGGAGAHQREDLGASGPSFILILQMLPFLLIVLLAYLPFSEPEYSLQKGYSYQFKKMTEKHGVEYFVKSAEFDQDYPLGSPGRDKIEEHVIRDYKNVLGRYCHIELQRRQWNRNYPTPHCDRLQNFAVA >itb13g22190.t1 pep chromosome:ASM357664v1:13:28527719:28532376:-1 gene:itb13g22190 transcript:itb13g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MESNKDEALRCVDIAKEAIASGKKEKALKFIKIARRLNQNLSVDDLLAACENIDSSGPGPSRNEKDVRDVEDKRDKDKLDEASNGSRNYTDENVQLIRHIKSKKDYYAVLGVEKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFKCLSDDESRKQYDLTGLVDQFEYNEQHNVRRRRRRTGNDFFDEEFDPDEIFRAFFGQTDMFRTTQAYRARAHGGAGAHQREDLGASGPSFILILQMLPFLLIVLLAYLPFSEPEYSLQKGYSYQFKKMTEKHGVEYFVKSAEFDQDYPLGSPGRDKIEEHVIRDYKNVLGRYCHIELQRRQWNRNYPTPHCDRLQNFAVA >itb13g13120.t1 pep chromosome:ASM357664v1:13:19634034:19635159:-1 gene:itb13g13120 transcript:itb13g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSLIWHCSTFSFQTHDPHCVGLSTITLLDTESRSRPDGDSSLFTSSAAGCSLQSSLATLSSPPPPHDASRLPGTPPPTAHRPPADACHRRQVTHRLIAALPTAHLHCRISVCPSPLLQDSILLISSNEQSTIG >itb11g00960.t1 pep chromosome:ASM357664v1:11:411377:413266:-1 gene:itb11g00960 transcript:itb11g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCSSTGSGGGGSGGGGGGGPCGACKFLRRKCVAGCIFAPYFDSDQGASVFASVHKVFGASNVSKLLHHIPPHKRLDAVITVCFEAQARLRDPVVNLQAELSFLQAHLASLQVPTPPPPPMSAAINIADLSTVSPAAYDTLSSLFDPTSLTTVQAAWPPPVQQTRHFNRDPAESSSSPPAGSGVLQELTRHLMRRPPQGC >itb13g00590.t1 pep chromosome:ASM357664v1:13:536768:537712:1 gene:itb13g00590 transcript:itb13g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPIDHSEIATIITTDERSYQYHQHRHHHYYHHDQDRQGKPTYQVYCRADHKYALTIRDNNVILAPSDPSNPRQHWYKEHKFGTQVKDAIGLPSFALVNKATGEAIQHGVAGAQPVKVVKYEANDDGVDHSILWSEACIKDDNGEYKAIRMVNNIGMNMDAYGATKERGGVRDGTAIGLWEWNGGDNQRWKIIPY >itb11g05050.t2 pep chromosome:ASM357664v1:11:2945904:2947955:1 gene:itb11g05050 transcript:itb11g05050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRHFPEELQIEILKRLPVKPLLRFTAVSKSWYFIITSPAFISAHRRHHRNGNSATNLLLRRYDKSCKVEKYSVLNDTDHQSLTLSNSIELSFPISCGIGYFRTVGFYNGVVCLSDDYFNDWHTITLWNPSIQKLRTLPSPSIRPTYPFRFVFGFGASQRSENDLKVVRIVYERKGVHLDKCRIPPVVEIYSLSTGLWRRIAATGVNYYMVDYIWTQVFVNGAVHWIGYKLLEDERVQSLIAVFTMADELFDEIMLPDELAAENPSNLSIMVFEELVAVVKYSRELHGGSCELWIMKEYGVVDSWTRLHTIELVGGMEKIVGFRKNGELLLCTNKSELVSYCPNTRVINDLGIPGNSRSFYVDKYLESLVLLQQQNHLVDGRYEEIRNL >itb11g05050.t1 pep chromosome:ASM357664v1:11:2945904:2947955:1 gene:itb11g05050 transcript:itb11g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRHFPEELQIEILKRLPVKPLLRFTAVSKSWYFIITSPAFISAHRRHHRNGNSATNLLLRRYDKSCKVEKYSVLNDTDHQSLTLSNSIELSFPISCGIGYFRTVGFYNGVVCLSDDYFNDWHTITLWNPSIQKLRTLPSPSIRPTYPFRFVFGFGASQRSENDLKVVRIVYERKGVHLDKCRIPPVVEIYSLSTGLWRRIAATGVNYYMVDYIWTQVFVNGAVHWIGYKLLEDERVQSLIAVFTMADELFDEIMLPDELAAENPSNLSIMVFEELVAVVKYSRELHGGSCELWIMKEYGVVDSWTRLHTIELVGGMEKIVGFRKNGELLLCTNKSELVSYCPNTRVINDLGIPGNSRSFYVDKYLESLVLLQQQNHLVDGRYEEIRNL >itb11g05050.t3 pep chromosome:ASM357664v1:11:2945904:2947545:1 gene:itb11g05050 transcript:itb11g05050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRHFPEELQIEILKRLPVKPLLRFTAVSKSWYFIITSPAFISAHRRHHRNGNSATNLLLRRYDKSCKVEKYSVLNDTDHQSLTLSNSIELSFPISCGIGYFRTVGFYNGVVCLSDDYFNDWHTITLWNPSIQKLRTLPSPSIRPTYPFRFVFGFGASQRSENDLKVVRIVYERKGVHLDKCRIPPVVEIYSLSTGLWRRIAATGVNYYMVDYIWTQVFVNGAVHWIGYKLLEDERVQSLIAVFTMADELFDEIMLPDELAAENPSNLSIMVFEELVAVVKYSRELHGGSCELWIMKEYGVVDSWTRLHTIELVGGMEKIVGFRKNGELLLCTNKSELVSYCPNTRVINDLGIPGNSRSFYVDKYLESLVLLQQQNHLVDGRYEEIRNL >itb01g07250.t1 pep chromosome:ASM357664v1:1:5469027:5469787:1 gene:itb01g07250 transcript:itb01g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNPGSLFSFGRLNKKRSAAGGGDGGGGASHSSGLRWRLKTKGKKRPFKFVVWFVDSVVFKIVSFLEAVVLVSRLAFFFLFCGCHI >itb09g26740.t1 pep chromosome:ASM357664v1:9:27436398:27437189:1 gene:itb09g26740 transcript:itb09g26740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAVIRRKQISFPRLNDDADTCSTSTPVNRDHFVVYTADQKRFVVPLSYLENEIIRQLLSMSEEEFGLPSNGPITLPCDAAFMDYIISLLSRGLSRELENALLISVISSRCSSASLHQEAWRNLELPVC >itb08g08980.t1 pep chromosome:ASM357664v1:8:8202962:8203306:1 gene:itb08g08980 transcript:itb08g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQKGEDDQQSIHVEMQGTGSSNGSWVGRIQEQYSKIKENAETYPYVWGSYIVVYGGFGLWFAYRWRKLRKTEDRVGVLQEQLRKLVDSQEPGSSSGAATSRSRPPHDKPTA >itb15g07240.t1 pep chromosome:ASM357664v1:15:4896190:4900652:-1 gene:itb15g07240 transcript:itb15g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDWIGANITGNGIGYGDQEWPRLFMVIIWWLWRWRCDRVFTGREVMSHYKVAWIKETVEEIARAFDRSSLARGVRILQLRWGASQDHRFTLNVDGSVKTGSNKAGVGGVIRNKMGVWVEGSAIAQTRSNAPIARPGCPTQCGNLTVPYPFGIVDPSGGFGGTETGSQCALDPGFQIFCDTTSSGQALPIFIGWNGLGLLEPPRPPPPPGPPRSPPPPGQPGPPRSPPPPGQPGPPGSTPPPGPLRQFGFVYNISETQISVLQPRAIPTNCYDSKGALVPNPPFLHSTYWVLSIERFYHYSLSPENKITTVGCDDTLVISDGTNIISTCTSTCSNASQLPHNGTCSGIGCCQLPIPKGSNKDYNISTVSATNHTRVWSFNPCSHTFLGDTSRFRFLGASDLSNPDFTWRISETVPVVLDWAIGVMSCKEAQNSSGYACQANSHCVDSDTGYGGYRCQCNYGYKGNPYLTQGCVIGLGKAFYS >itb03g11150.t1 pep chromosome:ASM357664v1:3:9108433:9109229:1 gene:itb03g11150 transcript:itb03g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGRCTVPLLLLLAIFLQLFLAAQGTDDAANKQLGVDVDSSQNSLHMQAKAQSGKGRDEVEDKEGNAGSEKRYVFENEDYIYTQSLP >itb09g24960.t1 pep chromosome:ASM357664v1:9:24878600:24885132:1 gene:itb09g24960 transcript:itb09g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDKSIALTAVIKEAVDLENIPLEEVFDHLKCTREGLSADAVQERVAMFGYNKLEEKKENKILKFLGFMWNPLSWVMEAAAIMSIALANGGGKGPDVYDFAGIIALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASMLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQQVLTSIGNFCICSIAIGMVIEIIAIYGIQGRGYRTGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFPKNVDKDTVVLYAAMASRLENQDAIDAAIVSMLSDPKEARAGITEVHFLPFNPTDKRTALTYTDKAGKMHRVSKGAPEQILNLAHNKKEIERKVHSIIDKFAERGLRSLGVAYQAVPEGTKESPGGPWEFTGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQTKDEAVATLSVDELIEKADGFAGVFPEHKYEIVKKLQARKHICGMTGDGVNDAPALKIADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPVPDSWKLSEIFTTGIVLGAYMALMTVIFFYLAYETNFFEKTFRVKDFNSHHFDMENDEDVAKRLKEHLASAVYLQVSTISQALIFVTRSRGFSFTERPGLLLVTAFIIAQLIATVISATADWGFAGIRNIGWRWTGAIWLYNIVTYMLLDPIKLAVRYALSGRAWGLVVNKRTAFSSAKDFGREAREAAWAAEQRTLHGLDGGETKLFSDRTTFKEISLIAEEAKKRAEIARLREIHTLKGRVESSAKLRGFDIDAMNNHYTL >itb07g18140.t1 pep chromosome:ASM357664v1:7:22566822:22570510:1 gene:itb07g18140 transcript:itb07g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQSVEILMHSTTSKIQQLQKAFAELESHRAITLNMKWKQLEEHFHGLEKSLKRRFTELEDQEKEFETKIVQSKEILEKREAAVVAKERASLERLQEKRDAAVSAISFALEKRRKPFSVEPTVNIANQHDSSVMQEKPLNAMVTESNIEDNGEHYENGNVEVKSYPELVKLCQEMDSDGIHKFISDNRKDLAAMREEIPPALKAAADPASLVLDSLKGFYNSEIINSDAKKDANLLGLRRTCIMLMECLSSLLSNMKMESIPRVISESVKARAKTIAEDWKPKLDDLDVDANHGNSLEAHAFLQLLATFGINSDFDQEDLTKLIPMVSRRRQTADLCRSLGLSDKMPGVINVLVNIGRQIDAVNLAFAFELTEKFPPVPLLKSYLNEASKAPGKSGNTPTVQNDINEKELNALKAVIKCIEDHNLEEQYPVDPLQKRVLQMEKAKADKKRATEVPKPQPKRPRANGVCHGSRVANVATDKNFYARTTDRYPPCLYERQYAYTGPTDTHIPSFMATPAYNLPGHGNFFANGYHYQATYLH >itb12g26390.t1 pep chromosome:ASM357664v1:12:27328131:27332094:-1 gene:itb12g26390 transcript:itb12g26390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEAIRAKTIAEKKLEQKDFAGAKKFVLKAQTLYPGLEDLSQMLTILDVYISAENKIGGEVDWYGVLGVSPSADDDTLRKQYRKLALILHPDKNKAIGADGAFKLLSEAWSFLSDKSKRLMYNQRRSSKGFQQKAPVHSSGPSAPARANGFHNVSGRATSSSKSISGGARAPSTSVRPPSNPRNDTFWTICQRCMMHYEYLKVYLNHTLLCPNCHEAFLAREIPPPFNKTSNSVPRPRQQNSGNHAANGNQSHPGRNVASNKSSGPSMGGLNSANYTNLQQDPFSGMARFGSTDPSIAAKATNIVHQAHERMKRGRDDLQSATGWDRAHAHSSVMKNEIPFKKMRLGEDINRYSSNPVHYADKGYASSGRYGRPNSTREFTALEINKMLMEKAKKEILNKLNEWKSETVHKALDTEKEKVKEKKKEKERNNKVSGLGMSGKSNSSALRNVESLNTSADDVNKEDPAVAAMSVPDPDFHNFDLDRTEGSFQENEVWAAYDDDDGMPRFYALIHKVMSVKPFKLRLSWLNSKTNTEFGPMEWVTSGFYKTCGEFRVGRYEMSKSINSFSQKVKWSKGPRGTIHIFPKKGDVWALYRDWSPDWSEHTPDEVIHKYDMVVVLDDYDEGKGVSVASLVKVSGFKTVFRPHLDPEKVKPIPKEEMFRFSHQVPSHLLTGEEAQNSPKGYLELDPAATPLELLQIITDAKEVPTMQNGGDMMGNIPQRSPGTMTDEIAEVAFSPREEKFVQNSEEDSIKKDKMVFPEVMKEVEI >itb03g21710.t1 pep chromosome:ASM357664v1:3:19670876:19673657:1 gene:itb03g21710 transcript:itb03g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTYSRFEVVVAHIWRCATMARGNLPEQPTNAYITVEFRNRLKPPLPMNYFGKAILRLAATATAGELQKNPLGYAVSRIRQAIDKATSEYLSLNLAFYKQLKDISSYRLSGNTALCGNPNFRFTSWINLPLSGMDFGWGKEIYTGPGAIKGDGMCFIIPSNEDDGSLKYLRRDRKRNGRGGDAIFGAADGCFDASGGDCDTNFGVEIGCCDGTNFGVEGVGCETCFCVDDGGCDTFFGVGNSDCDTFWGVFDTCFGVGGDGFCDVGLGVCGCETNFGAPTCDLWFVGSDCWDPDFYDGGFDANFEDSFDASFNVGNDGCDPNFGDGDGGCDVEFAVFSDGCNANFGVGDDGCDANFGVGEGGCDANFGLGDDTCVANFGAGDGGCDVDFAVFSDCCDANFGVGDGGCEANFGCPSFDASFDVGNDFCDPNFAVDNSDSDPNFNAVGGNFVGIFRASGSGCDATFGVAVLVMVVAISTLVLATVNMISIPVQT >itb07g18980.t2 pep chromosome:ASM357664v1:7:23416600:23420795:-1 gene:itb07g18980 transcript:itb07g18980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQKGKTRNLKEPFPGCLGRMVNLFDLNIGVPGNKLLTENPYQDGFPPRNQSDMASMPPLKDQIADKVIVSKLSGTISNRKSNGTPMKMLIAQEMSRAIDSKHNPPSVVAKLMGLDPLPPQQADSSEQRNYAGGIAGVHADSQLSYCSKRSGSLNTELQHEFQQYSEQNQCKDVYEVRQHPQKNSLRNKSSQKRRFDEKDKRMAFVRQKFIEAKCLSIDEKCRQSNQFQDALEVLSSNTDLFLKFLQEPNPMFSQQISNLQSILPPTEIKRITVLRPSKMVENCKFAGKEKDAEEENRTSLVGQVNKMDENHLDHSPSSASCNIDENSTQPTRIVVLKPSPSRSGNLKAVSSPPCISPGGLHHKSLLENPEDNNAQESREGTTEITQQKHENLVGHQRDEILLSSVFSNGYIGDESSFNKSENEYAMGNLSDSEVLSPASRHSWDFINKFNSPFSCSSLSRASYSPESSVSREAKKRLSERWAMVASIGICQEQRPLRQSSSTLGEMLSLSDAKKSGEPDEGRDKEPKDLNSNPAVDTNKKEGLDSSSRNLLRSKSVPVPSTRFDSQLQVESPEAKMVKTEILQETIKERSIKSSFKGKVSSLFSRNKKPSKQMFGTLECGEESHLGEKYLDPPRKVDDDMSRCLNSNGLECSSPDVHGSSGTTVSSSLPEMHGFISSEVGPGPSVTKYRHSGSPSENQDQPSPISVLGTSFEEDECVTEISLDNIKPYCHGELPVHSIRSNLIDKSPPIGSMARTLSWDYSCEDIATSFSLIPSSMQRTKEEEEKEWFFIVQTILSEAGLDEVQYDSFMSRWHSPESPLDPSLRDKYIVDSHEEETLHEAKRRKRRSTRKLIFDCVNAVLMDIAGHRHDTCQKIVPSVVHSTLLEEGESLILVDHIWEKMREWFSGNMTCLSGYSGDGNSFVVERMVEKELVGKGWIEYWKLEWESIGKEIEGKLLEEIVHEAVFEMTRRM >itb07g18980.t1 pep chromosome:ASM357664v1:7:23416520:23421612:-1 gene:itb07g18980 transcript:itb07g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQKGKTRNLKEPFPGCLGRMVNLFDLNIGVPGNKLLTENPYQDGFPPRNQSDMASMPPLKDQIADKVIVSKLSGTISNRKSNGTPMKMLIAQEMSRAIDSKHNPPSVVAKLMGLDPLPPQQADSSEQRNYAGGIAGVHADSQLSYCSKRSGSLNTELQHEFQQYSEQNQCKDVYEVRQHPQKNSLRNKSSQKRRFDEKDKRMAFVRQKFIEAKCLSIDEKCRQSNQFQDALEVLSSNTDLFLKFLQEPNPMFSQQISNLQSILPPTEIKRITVLRPSKMVENCKFAGKEKDAEEENRTSLVGQVNKMDENHLDHSPSSASCNIDENSTQPTRIVVLKPSPSRSGNLKAVSSPPCISPGGLHHKSLLENPEDNNAQESREGTTEITQQKHENLVGHQRDEILLSSVFSNGYIGDESSFNKSENEYAMGNLSDSEVLSPASRHSWDFINKFNSPFSCSSLSRASYSPESSVSREAKKRLSERWAMVASIGICQEQRPLRQSSSTLGEMLSLSDAKKSGEPDEGRDKEPKDLNSNPAVDTNKKEGLDSSSRNLLRSKSVPVPSTRFDSQLQVESPEAKMVKTEILQETIKERSIKSSFKGKVSSLFSRNKKPSKQMFGTLECGEESHLGEKYLDPPRKVDDDMSRCLNSNGLECSSPDVHGSSGTTVSSSLPEMHGFISSEVGPGPSVTKYRHSGSPSENQDQPSPISVLGTSFEEDECVTEISLDNIKPYCHGELPVHSIRSNLIDKSPPIGSMARTLSWDYSCEDIATSFSLIPSSMQRTKEEEEKEWFFIVQTILSEAGLDEVQYDSFMSRWHSPESPLDPSLRDKYIVDSHEEETLHEAKRRKRRSTRKLIFDCVNAVLMDIAGHRHDTCQKIVPSVVHSTLLEEGESLILVDHIWEKMREWFSGNMTCLSGYSGDGNSFVVERMVEKELVGKGWIEYWKLEWESIGKEIEGKLLEEIVHEAVFEMTRRM >itb05g04720.t1 pep chromosome:ASM357664v1:5:4347238:4350195:1 gene:itb05g04720 transcript:itb05g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRALTSAAAALRQRHSCHALVARRSSSSSSSSGSTAVNSIILRSLKDHYLEVSKMTPPPKISPPSPFTVVKGALDGNGPVLERTHGNEEIRISVMRLANIIPGGGDENDEDDINQLFVHVDISKPGQKESLHFLCGLYPDALGIHSVSLRTRDDTGFLEASNKYGGPVFQDIDERTRDAFHSYIEERGISESLFPFLQAWLYVKDHRNLMRWFRTIGTFINEGKGASATHA >itb13g26310.t1 pep chromosome:ASM357664v1:13:31624038:31625937:-1 gene:itb13g26310 transcript:itb13g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGCGCTGMARASTSKGKDVFKRFTKSEGKFKESLINNVQAILSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEISEALRQPFHKRIRRLEAKRYISIYEVDETHDPMLLKLAKLDFNMLQKEHKRELEYMKICYMALLDVYAEMEKELAETGELYRIDYAKTEMKILVRTYLKEDKWFRDRYAPKFEEYMKLATETCGVRLLVVISLVGMQEDFVTKEAFDWVSKGH >itb01g05620.t1 pep chromosome:ASM357664v1:1:3860770:3869516:-1 gene:itb01g05620 transcript:itb01g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAVDPPHNTVDPASESEQEMEEEEEEYEEIEEEIEEEIEEEIEVEEEEEVEEVEEEEEEEEEEEEDVEEAGADSDQELAPSNGEDSGKGTAEAGIAEAAAKLFNEHDHKKQDMEEEDAGKEGADNAEELTKLNEEDHAEEVEETAAAEIAEGLSQCNKEVHEKENRQVLEPARLEKLLGSDSATPQESVAHPPLDASVVRDSDDNNETASPNDTNEGKKSMVDGNKTHRGDANISLHGGGVMPEKAFGCEINAAMLDVDPSSNGIIGVQYSKDMADQEAAQAVEDMEISPRSDVPQLRPRSLSPGAEFNDKNKRPAIICDFFAKGWCIKGSSCRFLHVRDNAANSSKEGSVDASKGKGILAGEGLKYTTEISAKNMHSDLGLSRIEHEATLRLKSGIEEVPLDKNLSVSDTSFKDNDIGREYLEHKPYLANYNHSSSMFKDYSQTFGNSSFGRGLPSENCRNVGYSSYFSHLEGIGSKGSQFLHDDHPSNCPAERVPLYPSASWNASPLGLQKPIEGGKELSASGSVSFKHKSPLFTGSESTLISHPDLSAPTHHSSLYRPKLSFDNWEPSVPFQPSFLITQRMLSEASQYDPIRDSIEQTVAQDKPSQFPFSGQGASVSGTHVCENADPVVTGNLGPEQSSNKHSVSSHSSHKDAVPRNLSEKEKELMDTAGVHQENIYYTSLKEKQLLESADFGDTTEADKTHFSNDTLQKNGAQCKMELKVERVESGNDVDGLVHQDSRALKQFRSALVEFIKELLKPTWQEGLLSRDAYKVIVKKTAHKFISTMQPEQIPDNSDSSKEYLSFVQPKLEKLVLGNVDKYGRS >itb01g05620.t2 pep chromosome:ASM357664v1:1:3860849:3869516:-1 gene:itb01g05620 transcript:itb01g05620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAVDPPHNTVDPASESEQEMEEEEEEYEEIEEEIEEEIEEEIEVEEEEEVEEVEEEEEEEEEEEEDVEEAGADSDQELAPSNGEDSGKGTAEAGIAEAAAKLFNEHDHKKQDMEEEDAGKEGADNAEELTKLNEEDHAEEVEETAAAEIAEGLSQCNKEVHEKENRQVLEPARLEKLLGSDSATPQESVAHPPLDASVVRDSDDNNETASPNDTNEGKKSMVDGNKTHRGDANISLHGGGVMPEKAFGCEINAAMLDVDPSSNGIIGVQYSKDMADQEAAQAVEDMEISPRSDVPQLRPRSLSPGAEFNDKNKRPAIICDFFAKGWCIKGSSCRFLHVRDNAANSSKEGSVDASKGKGILAGEGLKYTTEISAKNMHSDLGLSRIEHEATLRLKSGIEEVPLDKNLSVSDTSFKDNDIGREYLEHKPYLANYNHSSSMFKDYSQTFGNSSFGRGLPSENCRNVGYSSYFSHLEGIGSKGSQFLHDDHPSNCPAERVPLYPSASWNASPLGLQKPIEGGKELSASGSVSFKHKSPLFTGSESTLISHPDLSAPTHHSSLYRPKLSFDNWEPSVPFQPSFLITQRMLSEASQYDPIRDSIEQTVAQDKPSQFPFSGQGASVSGTHVCENADPVVTGNLGPEQSSNKHSVSSHSSHKDAVPRNLSEKEKELMDTAGVHQENIYYTSLKEKQLLESADFGDTTEADKTHFSNDTLQKNGAQCKMELKVERVESGNDVDGLVHQDSRALKQFRSALVEFIKELLKPTWQEGLLSRDAYKVIVKKTAHKFISTMQPEQIPDNSDSSKEYLSFVQPKLEKLVLDLVLLQLQGNVDKYGRS >itb07g03700.t1 pep chromosome:ASM357664v1:7:2483105:2485374:-1 gene:itb07g03700 transcript:itb07g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISWIFLSLTCLATLALLSKLFSRRQPSRRRLPPGPKPWPIIGNLHLLGPIPHQSFHSLSKKYGDLMLLKFGSRPVVVASSPEMAKQFLKTHDAVFASRPLHAGGKYTSYNYQDMTWAPYGPYWRQARRIYLNEVFSTRRLDSFEHIRVHERRSFLNTLKSLSGNTVFLKDHLSRFSLCTMSKMVLSNKYFSEPEAEESVVTACFPRHHSNSTTKAEESVVTASFPRDHSNLTAEAEESVVTASFPRHPPNLTAEAEGSVVTAGFSRHHSNLTAEAEGSVVAAGFPCPNLTAEVEGSSPVRLEDLQRLVDQWFLLNGAFNLGDWLPWLSFLDLQGYVKQMKELNRVFDRFHNIVLDDHMAKKKEAAEKNDGFVPKDMVDVLLQMAEDPNLEVKLTKDCVKGLVQDLLTGGTDSLAAAVGWAFQELMRKPDIIAKATEELDREIGRERWVDESDCSRLPYIEAIIKETFRLHPLGTMLAPHYAMEDCNVAGYDIAKGTTILVNAWSIGRDPMFWDDAEEFKPERFLNSNIDMDGQNFAFLPFGSGRRRCPGYSLGLRVVRATLANMLHGFTWKLPPDMKPEDISMEEHYGLTTHPRFPIPLVMEPRLPSHLYSQS >itb15g00760.t2 pep chromosome:ASM357664v1:15:442696:447911:1 gene:itb15g00760 transcript:itb15g00760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFATTQSPNLRCKPLPPAAAIAPNYTVKPADVRRATSGASIRAALSRPEAQVLSATPTAAEKQLRPATRPDLPVVSPASLTSEPGCVIPNLACHDGNEPYSYKAVEYLTAILSSKVYDVAFESPLQLASKLTARLGNKIWLKREDLQPVFSFKLRGAYNMMAKLPREVLDKGVICSSAGNHAQGVALSAQKLGCDAVIVMPVTTPEIKWKSVEQLGATVALVGDSYDEAQAYAKKRAEEEGRTFIPPFDHPDIIAGQGTIGKEIIGQSKCPIHAIFVPVGGGGLIAGIAAFVKMVNPEVKIIGVEPYDANALALSLRHGERVMLDQVGGFADGVAVKVVGEETFRICRELVDGVVFVGRDAMCASIKDMFEEKRSILEPAGALSLAGAEAYCKYYNLKDQNIVVINSGANMNFDRLRLVTELADVGRQREAVLSTNLPEEPGSFKKFVELVGPMNITEFRYRYSSGQGQTLVLYSVGLHKKMELDAMVDRMTLSQFHTIDLTDNDLVKDHLRHLIGGRSSVQDELLCRFVFPERPGALMKFLDAFSPRWNISLFHYRSQGEAGANVLVGLQVASNEIDEFKQRANNLGYEYAVETCNEAFQLLMH >itb15g00760.t3 pep chromosome:ASM357664v1:15:442696:447876:1 gene:itb15g00760 transcript:itb15g00760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFATTQSPNLRCKPLPPAAAIAPNYTVKPADVRRATSGASIRAALSRPEAQVLSATPTAAEKQLRPATRPDLPVVSPASLTSEPGCVIPNLACHDGNEPYSYKAVEYLTAILSSKVYDVAFESPLQLASKLTARLGNKIWLKREDLQPVFSFKLRGAYNMMAKLPREVLDKGVICSSAGNHAQGVALSAQKLGCDAVIVMPVTTPEIKWKSVEQLGATVALVGDSYDEAQAYAKKRAEEEGRTFIPPFDHPDIIAGQGTIGKEIIGQSKCPIHAIFVPVGGGGLIAGIAAFVKMVNPEVKIIGVEPYDANALALSLRHGERVMLDQVGGFADGVAVKVVGEETFRICRELVDGVVFVGRDAMCASIKDMFEEKRSILEPAGALSLAGAEAYCKYYNLKDQNIVVINSGANMNFDRLRLVTELADVGRQREAVLSTNLPEEPGSFKKFVELVGPMNITEFRYRYSSGQGQTLVLYSVGLHKKMELDAMVDRMTLSQFHTIDLTDNDLVKDHLRHLIGGRSSVQDELLCRFVFPERPGALMKFLDAFSPRWNISLFHYRSQGEAGANVLVGLQVASNEIDEFKQRANNLGYEYAVETCNEAFQLLMH >itb15g00760.t1 pep chromosome:ASM357664v1:15:442696:447911:1 gene:itb15g00760 transcript:itb15g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFATTQSPNLRCKPLPPAAAIAPNYTVKPADVRRATSGASIRAALSRPEAQVLSATPTAAEKQLRPATRPDLPVVSPASLTSEPGCVIPNLACHDGNEPYSYKAVEYLTAILSSKVYDVAFESPLQLASKLTARLGNKIWLKREDLQPVFSFKLRGAYNMMAKLPREVLDKGVICSSAGNHAQGVALSAQKLGCDAVIVMPVTTPEIKWKSVEQLGATVALVGDSYDEAQAYAKKRAEEEGRTFIPPFDHPDIIAGQGTIGKEIIGQSKCPIHAIFVPVGGGGLIAGIAAFVKMVNPEVKIIGVEPYDANALALSLRHGERVMLDQVGGFADGVAVKVVGEETFRICRELVDGVVFVGRDAMCASIKDMFEEKRSILEPAGALSLAGAEAYCKYYNLKDQNIVVINSGANMNFDRLRLVTELADVGRQREAVLSTNLPEEPGSFKKFVELVGPMNITEFRYRYSSGQGQTLVLYSVGLHKKMELDAMVDRMTLSQFHTIDLTDNDLVKDHLRHLIGGRSSVQDELLCRFVFPERPGALMKFLDAFSPRWNISLFHYRSQGEAGANVLVGLQVASNEIDEFKQRANNLGYEYAVETCNEAFQLLMH >itb04g09500.t1 pep chromosome:ASM357664v1:4:8782687:8784079:1 gene:itb04g09500 transcript:itb04g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARGMKPDHYSFLAVLIAFYHVGLGEEAERWFRRMKEEYGLEPWLEHYTCLIGRWDEVKEEWKTMKDKRVRKEGGRSWIEVRGEVHVFLAEDRTHERRDEIYGKLAELMEAIESEKLALAFGLLSGSTPLDKPLRIVKILRICRDCHEAFKYISKVVDMEIIVRDVNRYHTFLNGNCSCGITGFEERRDTAFNNLVGGIPSANGFYTTSYESVYVLGQCEGDVGNSDCG >itb12g25200.t2 pep chromosome:ASM357664v1:12:26465996:26468340:-1 gene:itb12g25200 transcript:itb12g25200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFFTLSNQLAIPPPLPILPLPSFSQLKWQQRELIMFFHFGVNTFTDREWGTGDENPAIFNPTSLDADQWVETAVQAGVSHVILTAKHHDGFCLWPSNYTAHSVVKSPWKNGRGDVVGELVNAAKARGVDVGLYLSPWDRHDRRYGVNKEYNEYYLGQLQELLNNYGSVREIWFDGAKDSDTPNMTYYFSDWFAMVKELQGTINILSDAGPDVRWVGNEQGFAGTTCWSTINRASLSIGSSTILDYLNTGDPKGTDWMPAECDVSIRPGWFWHKDEEPKSLDELLETYYNSVGRNCVLLLNVPPNKKGLISKIDVSRLKEFRHAIDTIFSTNLAKNCSIKASSRRGGAFGPKKMVDSDHLWTYWAPSDHDRGPYWIRLNATKRPVKFNVVRIQEAIGLGQRIAEHEIYVDGTKIVSGTTIGYKKLHRLEKGAVKVKSVMIKITGTRAAPLISSIGLHFDPFWHPDRD >itb12g25200.t1 pep chromosome:ASM357664v1:12:26465996:26468003:-1 gene:itb12g25200 transcript:itb12g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSLGSLLTPLRLAASTSGCTSRRGIAMIAGMALTKSITNTIWVNYKNFSTISNVWLDFVSSYGSVREIWFDGAKDSDTPNMTYYFSDWFAMVKELQGTINILSDAGPDVRWVGNEQGFAGTTCWSTINRASLSIGSSTILDYLNTGDPKGTDWMPAECDVSIRPGWFWHKDEEPKSLDELLETYYNSVGRNCVLLLNVPPNKKGLISKIDVSRLKEFRHAIDTIFSTNLAKNCSIKASSRRGGAFGPKKMVDSDHLWTYWAPSDHDRGPYWIRLNATKRPVKFNVVRIQEAIGLGQRIAEHEIYVDGTKIVSGTTIGYKKLHRLEKGAVKVKSVMIKITGTRAAPLISSIGLHFDPFWHPDRD >itb11g21620.t1 pep chromosome:ASM357664v1:11:23302805:23304612:-1 gene:itb11g21620 transcript:itb11g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLNSSNNRDKLNGLKQSIKFLYSYGGRIVPRRPDGKLRYIGGLTRVLSVHRSINFAELMVKFGESCGESMSLRCKLPTEDLDVLVSVRSDEDLANVIEEYDRVSAATNQEMKIRAVLSPINPLKKVFAGHYWWDVIGTVNKWEHSCRTQFWEQLVGHVHLMPLLPNKSINFLIGF >itb11g21620.t2 pep chromosome:ASM357664v1:11:23302912:23304612:-1 gene:itb11g21620 transcript:itb11g21620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLNSSNNRDKLNGLKQSIKFLYSYGGRIVPRRPDGKLRYIGGLTRVLSVHRSINFAELMVKFGESCGESMSLRCKLPTEDLDVLVSVRSDEDLANVIEEYDRVSAATNQEMKIRAVLSPINPLKKVSPPSSPMSCFDFPATSRLYPVKISGYHSPPQYKASSSSRCSSPVFGYPVGGERLRHCHHCKGAASHRPQHVYTVLHGNRSQ >itb12g11280.t1 pep chromosome:ASM357664v1:12:9465707:9467709:1 gene:itb12g11280 transcript:itb12g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQIQIPPTSTSTSISSPVKHKKSITNIDYSFSENPAPAPSNLLLYLTNAVFFAAVYFLLVSWRDKIRHSKPLHVISSSEMAALLAFAASFVYLLGFFGIGSNPLQDQQEEEKQITLKKKKENDDDHVVTPPPPPPAAIKSDPIIISSDDEEIVKGVAEGRIPSYSLESKLGDCKRAAAIRRKALERITGKSLDGLPLESFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGEEYSVPMATTEGCLVASTNRGCKAIYASGGATSVVLRDGMTRAPVVRFSTAKRAAQLKLFLEDPLSFDTIATAFNKSSRFARLQTIKCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDIIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVNKVLKTDVASLVELNMLKNLTGSAMAGALGGFNAHAANIVSAVYIATGQDPAQNIESSHCITMMEAVNEGKDIHISVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASNKVPGANSRRLATVVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSSNKP >itb11g13270.t1 pep chromosome:ASM357664v1:11:10266423:10267950:-1 gene:itb11g13270 transcript:itb11g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSNPIITTLNVLTLLISLGAIAFATWLHLNPRATPCARGLQRPVLVLGASLLAVSLVGLAGSWRRISALLWAYLALLFVFILGMVCFTVFTIAVTNKSVGRALSGKGYNEARLGDYSHWLQKYVVNAENWDGIKSCLVEINFCGNLAEDRGPRYYKNSVAATQWGCCKPPIDCGFKSDNATYWTRPPKGGRPEEEDPECKKWSNVQTQLCFDCESCKKAVLYNIQKEWKKLAIINASILVVVVVVYSVGCCALRSNRAKQNQKA >itb03g00990.t1 pep chromosome:ASM357664v1:3:516535:519269:-1 gene:itb03g00990 transcript:itb03g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERIVGATLYSLILLLALLQVEAVHGRPFLLSVPRPSPEHAAAFARWLVSQSSWGVLNTIASDLGGAPFGNVVSFSDGPPDKSSGTPYFYLTTLDPTADYGLKDSRSSFTISEYPLGTCGNKDPENPTCSKITLTGKFKLLDGNPEETQFAQTALFTKHPEMTGWPENHNFQIFKLEIEEIFLINWYGGPKPLTVDQYLQSQIPSSKPDKMVSFKRLISTSVNFLSWLNQSL >itb03g00990.t3 pep chromosome:ASM357664v1:3:516637:519269:-1 gene:itb03g00990 transcript:itb03g00990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERIVGATLYSLILLLALLQVEAVHGRPFLLSVPRPSPEHAAAFARWLVSQSSWGVLNTIASDLGGAPFGNVVSFSDGPPDKSSGTPYFYLTTLDPTADYGLKDSRSSFTISEYPLGTCGNKDPENPTCSKITLTGKFKLLDGNPEETQFAQTALFTKHPEMTGWPENHNFQIFKLEIEEIFLINWYGGPKPLTVDQYLQSQIPSSKP >itb03g00990.t2 pep chromosome:ASM357664v1:3:516535:519269:-1 gene:itb03g00990 transcript:itb03g00990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERIVGATLYSLILLLALLQVEAVHGRPFLLSVPRPSPEHAAAFARWLVSQSSWGVLNTIASDLGGAPFGNVVSFSDGPPDKSSGTPYFYLTTLDPTADYGLKDSRSSFTISEYPLGTCGNKDPENPTCSKITLTGKFKLLDGNPEETQFAQTALFTKHPEMTGNSFPLIPFYDLFLITR >itb08g09450.t2 pep chromosome:ASM357664v1:8:8696182:8698686:-1 gene:itb08g09450 transcript:itb08g09450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMSCEGCVKSVKGKLQNVEGVKNVDVDLSNQVVRVLGSSPVKMLTEALEQTGRKSRLIGQGVPEDFLISAAVAEFKGPDIFGVVRLAQVNMELARIEANFSGLSPGKHGWSINEFGDLTRGVASTGKLYSPTTDTEKLLGDLGTLDVDEKGDAFYSGVKEKLRIAELIGRAIAVYETEDKRDPGLKAAVIARSAGVGENYKKLCTCDGTTIWEASSKI >itb08g09450.t3 pep chromosome:ASM357664v1:8:8696182:8698686:-1 gene:itb08g09450 transcript:itb08g09450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEALEQTGRKSRLIGQGVPEDFLISAAVAEFKGPDIFGVVRLAQVNMELARIEANFSGLSPGKHGWSINEFGDLTRGVASTGKLYSPTTDTEKLLGDLGTLDVDEKGDAFYSGVKEKLRIAELIGRAIAVYETEDKRDPGLKAAVIARSAGVGENYKKLCTCDGTTIWEASSKI >itb08g09450.t1 pep chromosome:ASM357664v1:8:8696228:8699182:-1 gene:itb08g09450 transcript:itb08g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSDHQPSSVQNGAVLPELLTEFMVDMSCEGCVKSVKGKLQNVEGVKNVDVDLSNQVVRVLGSSPVKMLTEALEQTGRKSRLIGQGVPEDFLISAAVAEFKGPDIFGVVRLAQVNMELARIEANFSGLSPGKHGWSINEFGDLTRGVASTGKLYSPTTDTEKLLGDLGTLDVDEKGDAFYSGVKEKLRIAELIGRAIAVYETEDKRDPGLKAAVIARSAGVGENYKKLCTCDGTTIWEASSKI >itb08g16440.t1 pep chromosome:ASM357664v1:8:18559309:18562995:-1 gene:itb08g16440 transcript:itb08g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHS17 [Source:Projected from Arabidopsis thaliana (AT4G38390) UniProtKB/TrEMBL;Acc:A0A178V2M6] MMMKRRKQQRDQLFIGLQCLLLRRRRRLHHSLPLLSALSASILFVFALLSFLSPPTTNHRRRLTHHHANLALGLFNNGTEFRMNVEKESEFRIPTNGGSLSSDLWSWKQSKFYYGCSNSSIKFPTAGFNTLPNRYLLIATSGGLNQQRTGIIDGVVAAHILNAAFVVPKLDHKSFWKDSSNFSEIFDVDWFISFLSNDVKVIKELPMNGGKTMTPYSTSVPRKCDEKCYQTRILPLLVKKHAVRLRKFDYRLSNQLETDLQKLRCRVNYHALKFTDPILEMGRKLVERMRNKSKHFVALHLRFEPDMLAFSGCYYGGGDKERNDLGAIRKRWKTLHASNPDKERRHGKCPLTPEEVGLMLRALGFGNDVHIYVASGEIYGGEETLVPLKALFPNFYSKDTIASKEELEPFLPFSARMAALDFIVCDESDVFVTNNNGNMARMLAGRRRYFGHRPTIRPNSKKLYRVFQNRNNMTWEAFASQVRTNQIGFMGEPMEVRAGRGEFHENPSSCICESSDSKAQEEDVSYVSDDKNTTNNSRESGKLSNEEDEQGLSDGDYLETVARVGHRELPKAVETDSVHPFKPDPLELEDDMFSD >itb03g01490.t1 pep chromosome:ASM357664v1:3:844247:845622:-1 gene:itb03g01490 transcript:itb03g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPISIAPSVDSTAMPKSANSLDKFRQINRAQRKIPAKNRSCEKSRSAIVDVMILIAVIGACGVLLYPYLSLLAQKFADFFEAIGGAVTEELLRAPMVYICLGLSIFFAGIALLSITVFTSKRCGKPGCKGLRKAAEFDIELQTEDCVKNLKLAGKNGVKKGLFELPRDHHLELESELKKMAPPNGRAVLVFRARCGCSVGTMEVPGPRKPRKHIW >itb14g17060.t5 pep chromosome:ASM357664v1:14:20383695:20386865:1 gene:itb14g17060 transcript:itb14g17060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t2 pep chromosome:ASM357664v1:14:20383690:20387352:1 gene:itb14g17060 transcript:itb14g17060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t8 pep chromosome:ASM357664v1:14:20383690:20387298:1 gene:itb14g17060 transcript:itb14g17060.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t4 pep chromosome:ASM357664v1:14:20383695:20387352:1 gene:itb14g17060 transcript:itb14g17060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t7 pep chromosome:ASM357664v1:14:20383690:20387298:1 gene:itb14g17060 transcript:itb14g17060.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t1 pep chromosome:ASM357664v1:14:20383690:20387352:1 gene:itb14g17060 transcript:itb14g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t6 pep chromosome:ASM357664v1:14:20383876:20387298:1 gene:itb14g17060 transcript:itb14g17060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb14g17060.t3 pep chromosome:ASM357664v1:14:20383876:20387298:1 gene:itb14g17060 transcript:itb14g17060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHQFSRLTNSVNRFLLEDENIFSSLKRSPDIPGSYNVDSLPLDIVDAPLVIHDPNLGSYAPTLDYPDDHDSDTVLKYLNQILLEENIDENPSMFYDPIALKAAENSFYEALKEKPPSPHQAPLFVNSNARSPDSILPSSGGYSTSSSSIGSCNADPQWIVDPGESKSSVTSYSPEFSFQSSSQANSYRLNGSLNSFSSVTNAQMDSFVNANLVPNIFSDTESILQFKRGMEEASRFLPTGNQLVIDLDKYSLPPKTDELSGDAVIKIEKDEKDRSANSSRGKKHHHPDDSGLEEEERSSKHSAVYEEEVELSEVFDRVLLCTDNYGCNINVEGKQQNGASGGKGRTKKQGGNRETVDLRSLLSSCAQSIAAADYRTANDQLKKIRQHSSPSGDPNQRLASVFANGLEARLAGTGTQLYAALAPKTITASEKLKAYHTYLSFCPFKRIAIFFANKMIYEVASKGNTLHVIDFGIFYGFQWPILIQHLSQRPGGPPKLRVTGIELPHPGFRPAEKVEQTGRRLANYCERFGVQFEYNAIASQNWETIKIDDLKLASGDVVAVNCLFRFKNLLDETAVADSPRDAVLSLIRKINPDIYVQAVISGSYSSPFFVTRFREALFFYSAVFDMFDATLSRDDHQRLDFEQEFIGREIMNVVACEGMERLERPETYKQWQVRNMRAGFKPLPVKPELVKKLRGKVKAGYHKDFVFDEDGHWILQGWKGRIMCGSSCWVPA >itb03g00380.t1 pep chromosome:ASM357664v1:3:183322:185958:-1 gene:itb03g00380 transcript:itb03g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLVRRAISRFESSPAAKQIFIRAHASEAQAQQVESKAAGNIKKFQIYRWSPDNPQKPELQEYQIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGKNGLACLTKISSGADSMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPPETPGKEILQSKADRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLEAVDHEFKLYRCHTILNCAKACPKGLNPGKQIQNIKALEVNRRFV >itb03g00380.t2 pep chromosome:ASM357664v1:3:183322:185958:-1 gene:itb03g00380 transcript:itb03g00380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLVRRAISRFESSPAAKQIFIRAHASEAQAQQVESKAAGNIKKFQIYRWSPDNPQKPELQEYQIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGKNGLACLTKISSGADSMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPPETPGKEILQSKADRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLEAVDHEFKLYRCHTILNCAKACPKGLNPGKQIQNIKALEVNRRFV >itb04g14400.t3 pep chromosome:ASM357664v1:4:14989964:14994927:-1 gene:itb04g14400 transcript:itb04g14400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLWPVISLLICGLLSNIVGAFVGINIGTDVSNPPSALDTVALLKAHQITHVRLFDADAHMLKALSNTGIEVIVGVTNDEVLGIGESSSTAAAWVNNNVAAYMPSTNITAIAVGSEVLTSIPNAASVLVPALNYLHKALVAANLNDRVKVSTPQSMDIIAKAFPPSTAAFNSSWNSTVFQILQFLKSTNSYYMLNAYPYYEYVQSDGIFQIDYALFQPLSPVKQVVDPNTLFHYNSMFDAMVDATYNAITAFNFSDIPVVVTETGWPWFGGTKEPDATLENAETFIKNLVQRVSNDSGPPSQPGIPINTYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPLNLDGSGDISDNSSAVFCIAKPGVETKKLQSGLNWACGQGHANCSDIQPGKSCYFPDSLENHASYAYNDYYQKMHTLGGTCDFDGTATTTTRDPSYGSCSFTGSSNSSIVGLFPSTALGPASPVTAKGWRTLAPDLGNILAIFLAVLLLEVNVMSRC >itb04g14400.t4 pep chromosome:ASM357664v1:4:14989964:14993684:-1 gene:itb04g14400 transcript:itb04g14400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLWPVISLLICGLLSNIVGAFVGINIGTDVSNPPSALDTVALLKAHQITHVRLFDADAHMLKALSNTGIEVIVGVTNDEVLGIGESSSTAAAWVNNNVAAYMPSTNITAIAVGSEVLTSIPNAASVLVPALNYLHKALVAANLNDRVKVSTPQSMDIIAKAFPPSTAAFNSSWNSTVFQILQFLKSTNSYYMLNAYPYYEYVQSDGIFQIDYALFQPLSPVKQVVDPNTLFHYNSMFDAMVDATYNAITAFNFSDIPVVVTETGWPWFGGTKEPDATLENAETFIKNLVQRVSNDSGPPSQPGIPINTYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPLNLDGSGDISDNSSAVFCIAKPGVETKKLQSGLNWACGQGHANCSDIQPGKSCYFPDSLENHASYAYNDYYQKMHTLGGTCDFDGTATTTTRDPSYGSCSFTGSSNSSIVGLFPSTALGPASPVTAKGWRTLAPDLGNILAIFLAVLLLEVNVMSRC >itb04g14400.t2 pep chromosome:ASM357664v1:4:14989876:14995241:-1 gene:itb04g14400 transcript:itb04g14400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLWPVISLLICGLLSNIVGAFVGINIGTDVSNPPSALDTVALLKAHQITHVRLFDADAHMLKALSNTGIEVIVGVTNDEVLGIGESSSTAAAWVNNNVAAYMPSTNITAIAVGSEVLTSIPNAASVLVPALNYLHKALVAANLNDRVKVSTPQSMDIIAKAFPPSTAAFNSSWNSTVFQILQFLKSTNSYYMLNAYPYYEYVQSDGIFQIDYALFQPLSPVKQVVDPNTLFHYNSMFDAMVDATYNAITAFNFSDIPVVVTETGWPWFGGTKEPDATLENAETFIKNLVQRVSNDSGPPSQPGIPINTYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPLNLDGSGDISDNSSAVFCIAKPGVETKKLQSGLNWACGQGHANCSDIQPGKSCYFPDSLENHASYAYNDYYQKMHTLGGTCDFDGTATTTTRDPSYGSCSFTGSSNSSIVGLFPSTALGPASPVTAKGWRTLAPDLGNILAIFLAVLLLEVNVMSRC >itb04g14400.t1 pep chromosome:ASM357664v1:4:14989876:14995241:-1 gene:itb04g14400 transcript:itb04g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLWPVISLLICGLLSNIVGAFVGINIGTDVSNPPSALDTVALLKAHQITHVRLFDADAHMLKALSNTGIEVIVGVTNDEVLGIGESSSTAAAWVNNNVAAYMPSTNITAIAVGSEVLTSIPNAASVLVPALNYLHKALVAANLNDRVKVSTPQSMDIIAKAFPPSTAAFNSSWNSTVFQILQFLKSTNSYYMLNAYPYYEYVQSDGIFQIDYALFQPLSPVKQVVDPNTLFHYNSMFDAMVDATYNAITAFNFSDIPVVVTETGWPWFGGTKEPDATLENAETFIKNLVQRVSNDSGPPSQPGIPINTYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPLNLDGSGDISDNSSAVFCIAKPGVETKKLQSGLNWACGQGHANCSDIQPGKSCYFPDSLENHASYAYNDYYQKMHTLGGTCDFDGTATTTTRDPSYGSCSFTGSSNSSIVGLFPSTALGPASPVTAKGWRTLAPDLGNILAIFLAVLLLEVNVMSRC >itb02g05050.t3 pep chromosome:ASM357664v1:2:3017022:3022490:1 gene:itb02g05050 transcript:itb02g05050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb02g05050.t5 pep chromosome:ASM357664v1:2:3017022:3022490:1 gene:itb02g05050 transcript:itb02g05050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb02g05050.t6 pep chromosome:ASM357664v1:2:3017855:3022490:1 gene:itb02g05050 transcript:itb02g05050.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb02g05050.t1 pep chromosome:ASM357664v1:2:3017015:3022490:1 gene:itb02g05050 transcript:itb02g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIVFSFCLTAAVLALASPGGGDGGGAAFVNESEIYTNNNSGNGAVIELQGGPEDVAWIVQLSDLHFSAHHPERAVDFKDIVGPTLAMVNPSLVFITGDLTDAKSKDLLTTKQDEAEWAEYQKVMGDVIKRSGLKINNFFDLRGNHDTYGASIGGSFDFYSKYSINAQLRRTGLVNSVRVQTGTHRILFVGFDSTMSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb02g05050.t4 pep chromosome:ASM357664v1:2:3017855:3021386:1 gene:itb02g05050 transcript:itb02g05050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVIKRSGLKINNFFDLRGNHDTYGASIGGSFDFYSKYSINAQLRRTGLVNSVRVQTGTHRILFVGFDSTMSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb02g05050.t2 pep chromosome:ASM357664v1:2:3017022:3022490:1 gene:itb02g05050 transcript:itb02g05050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRFFLSFCLTAAVLALASPGGGDGGGAAFVNESEIYTNNNSGNGAVIELQGGPEDVAWIVQLSDLHFSAHHPERAVDFKDIVGPTLAMVNPSLVFITGDLTDAKSKDLLTTKQDEAEWAEYQKVMGDVIKRSGLKINNFFDLRGNHDTYGASIGGSFDFYSKYSINAQLRRTGLVNSVRVQTGTHRILFVGFDSTMSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb02g05050.t7 pep chromosome:ASM357664v1:2:3017022:3021906:1 gene:itb02g05050 transcript:itb02g05050.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRFFLSFCLTAAVLALASPGGGDGGGAAFVNESEIYTNNNSGNGAVIELQGGPEDVAWIVQLSDLHFSAHHPERAVDFKDIVGPTLAMVNPSLVFITGDLTDAKSKDLLTTKQDEAEWAEYQKVMGDVIKRSGLKINNFFDLRGNHDTYGASIGGSFDFYSKYSINAQLRRTGLVNSVRVQTGTHRILFVGFDSTMSSGLRGPTNLFGHPTDQLLTEINSELSQWNTQPTKSVLKISFGHFPLSLSAPAYSGRTLKHVFLDQSLSIYLCGHLHRTFGTNLKWLHERPKHFPMKDNEVHEFWEWELGDWKKSRTMRILAIDRGCISFIDFDFRLGVKETIILPTFPLDSRFILDKSQSVDPTFYSYIRALVFSSLPMVSVVARIYDSRPGNLMLAFESPMKKIGNASRGDLYSCPWNFKAFEDPSPERFLLQIEAVDIRGRSSLTELRPFSVGGRRAMLSHNWKEFIVMGCQWDALYYPLLWSFHMFILSILLIPKAILSFSRKKYTYKNFNTNRGLASYVTWITMELYSIPRVWCCIIAYLLYLILCPLLCGQGLVDGKKLYMTYRGWALKFNSKEQFEGFPDIMVIVIPHLYVVVLPTVFVIGALVAETGIHRDNIRVLSSKKEDDYDAKNKGSISFTHGRNYSLKLVLFRVRWIRKVLLVFCLTICCIHFLSCRALSKAYEMNPFVHFPIYSMSVPLLMVYAIYRTSSTF >itb12g24790.t1 pep chromosome:ASM357664v1:12:26213473:26215223:-1 gene:itb12g24790 transcript:itb12g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] MESYNRSRKQPAPVIPRSPAASPRLLRSRSGGNSSTATPPYSSETGSKFVGRSNSTTILRSYENNVSAIAQKHNKKSQKDNHRSSMKSSMSPSAWALSPGRSLPSSPAMAVPNSPRSRSFKMDASAVSGVLKYFKQKKVSPVQQEEYHQFRIMHNRFLQWRLANARAMASIPNIKSAAQNKLFNVWLRIWTMRKFMAEKRIKIQQLKHEIKLNRIFNSQRGLLKDWAKLEAKNSEAVGRVARKLSAISICLPLVDEAQLGRCGVSEGCYCQSRRNGGQHRRIDHENAMAGRRGKLKSTSYPTNLGVEKRLMKALSKEAIT >itb12g05880.t1 pep chromosome:ASM357664v1:12:4401107:4402201:1 gene:itb12g05880 transcript:itb12g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTDANPLHHPQFLVASTTFHGGTHQTTLLPHLQDHYLFPNTHMLPSNNNNNNNNNNNVVNCKPLNSYVFPSNCRRRTTSGKKKDRHTKILTAQGPRDRRVRLSICSARKFFDLQDTLGFDKPSKTLNWLFAQSKLAIEELFHTKMGAERQITVSENSQEMGIRANEEEKEKEGNIGVFTDLANKHSRAKARERARARTINKMCFKELSEAKMLLPSPLIKLPLHKNELITTKESIAPRICSVWDIPKHLMMPKGLGVGHSNVSSSQVLQIAENPGAALITTIKPNS >itb01g17650.t1 pep chromosome:ASM357664v1:1:22432448:22436984:-1 gene:itb01g17650 transcript:itb01g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRRSPLLSPALITIALFLTFHHARSFYLPGVAPEDFHKGDLLSVKVNKLSSTKTQLPYSYYTVPFCRPEKILDSRENLGEVLRGDRIENSAFVFKMREPEMCHIVCRVVLDDKTAKEFKSKIDDEYRVNMILDNLPLVVPVRRLDQESPPAYQQGYYIGVKGQYAGSKDEKFFIHNHLTFTVKYHRDLQTDSARIVGFEVMPFSVKHEYEGEWSANTRLTTCDPHAKRTVSNSNSPQEVENKQEIIFTYDVEFQESEVKWASRWDTYLLMADDQIHWFSVVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHSDVFRPPTHSNLLCIYVGTGVQFFGVMLVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGTEWKKIALRTALLFPATVFAIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYVGFKKPVIEDPVKTNKIPRQIPEQAWYMNPIFSVLIGGILPFGAVFIELFFILTSIWLNQFYYLFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >itb09g26780.t1 pep chromosome:ASM357664v1:9:27441024:27441722:1 gene:itb09g26780 transcript:itb09g26780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLVKRWQKFAAIRRKRISFPRLNDEAGSCSTSSAVNKGHFAIYTSDNTRFVVPLSYLENEIIRQLLNMSEEEFGLPSSGPITLPCDAVFMNYIISLLSRGLSKELENALLVSVTSHRCSSASLHQEGWRYQELLVC >itb01g16930.t1 pep chromosome:ASM357664v1:1:21447597:21451551:-1 gene:itb01g16930 transcript:itb01g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADERVEAVLQLLKKHAPLTLKQEKFCNRRCVERFLKAKGDSVKKAAKHLRSCLSWRDSMGIDQLMADEFSAELAEGVAYVAGHDDESRPVLIFRIKQDYHKFHSQKQFTRLLVFTVEVAIQTMAKNVEQLVILLDASFFRSASAFMNILLGALKVLAEYYPGQLHKAFVIDPPSLFSYLWKGARAFVELASLTMVVSSLDYEDSWEYNDFASYPRAASFRLNPSSVTSSTTKIGGPCSSSRFAFSVSHHNDSLKPWYLSFTDTPTSKVGPTVHSSALLGPSISPLSGRSMSFASPIGRTPRGNISNNMRKSFFPSTPMPQKTQELDSSVNHPRVTKASFFQSPAVFFKKVDSHINRVDMSRKSFLPFLKFYRRPYDEMTYRSKMRPPLGGLIAIVPHHLKRRHMSLSQRF >itb15g12900.t1 pep chromosome:ASM357664v1:15:10843751:10846377:1 gene:itb15g12900 transcript:itb15g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADPSAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRMSSEMTKKRVYVCPEASCIHHNPARALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHAKVCGTKEYTCDCGTIFSRRDSFVTHRAFCDALAEENTKVLNTHGLQASELNPSSPTTLPETIQSDMKTPPLDFLPAPPPKPLNMAPSMFSGCSPTTLFSTPKILPASSSSSLHFNAIPPNMSATALLQKAAQIGATTSTNIVCSPMLQRGFGSSMEPSLINHGVQVQPDKSQLAGVISGFYYHQNQNLSSGLDLQKLTGNNKEMRRDENLTVDFLGVGGRNFHELQHQEMGLENQAVSQQRMQGLSAVWDDA >itb01g32350.t1 pep chromosome:ASM357664v1:1:35854152:35857421:1 gene:itb01g32350 transcript:itb01g32350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAKFVRQHHISFFGLLETKLPPHKVDLLFQTHFSNWHCFVDFNIIQRGRIILAWNPSKVDCSVLDVSPQVIHCSLRCKITNKNFLCSAVYGLYSVVERRLLWEKLISLGPLQSVPWMIGGDFNVVKDPEEKRGGQIPTSYMTRDFVECCAHLNLTDAPSSGNLFTWTNGRVKAKLDRILINIPWLNSGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGSIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEKFQAFIQNFEVESARDEDRERLKSLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHRDGAINFVLDHNGEPTTSIDQIFEARDKISLAKGGVQNAKEFLHNSVNNNKFQVSQIYDFLREKSQPAFAWRFVWRSYIPRKFSFITWLAVHQRIPTKDRLAFLDINTDCSMCVGDKETAQHLFFKCPFSLQVWNQVRMHFGFHKCTNAIKSSIKWINRLHGGARLRSKAITIALICTIYHLWRNRNSVHHDEDWLPIDGLVKNIAKDVYRVIFYLYPIT >itb05g22810.t1 pep chromosome:ASM357664v1:5:28089821:28094072:1 gene:itb05g22810 transcript:itb05g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGAEIISTRYTKSVIKSCAALSYVEAQARMDDSRLVDPLTTDLRNMNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANISVAEKILNHYPICSLLRRHPSPTKEMLEPLLRVAAAVGIVLDTTSSKALADSLDRAVGDDPYFNKLIRILTTRCMTQAVYFCSGELSPPEFCHYGLAAPLYTHFTSPIRRYADVIVHRLLAASMGIYKLPDVFGDKAQLTSISDNLNYRHRNAQMASRASVELHTVIFFRKNPTDTEARILKIRANGFIVFVPKYGIEGPVYLTSKREKSGEWLVDEQEQRIKKLDGSVTYGILQSVRIHLEVVEPQPNRPVLQMTLI >itb15g06890.t1 pep chromosome:ASM357664v1:15:4654444:4656637:-1 gene:itb15g06890 transcript:itb15g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDQRAAGHHASSWIIRYNLHSIIGSFLLAFVVVTFYMTGDTGDVVQSQVHQISRKGAGLSQRCNLFSGRWVYDNESDPLYKEQECSFMVEDFACQKYGRKDFEYQHWKWQPHDCDLPRFNATKLMEKLGGKRLIFVGDSLIKNQWMSLVCMVESSLAPSFKSSIWKGNLITFESKEYNATIDFYWSPLLVESNCDDPINHHVRDRIARIKAIEKHARHWSDADIVVFDSFMWWLEPTMTLLWGSFDSQDAVYKTVAMKLRRYEMALETWSDWLEFNVNRTRTQLFFMSLSPYHKLAGDWGMPEDQNCYNETQPISRMDYWGRGSDKGMMRTAERVIQRLESRGLRIQYMNITQLSDYRKDAHTSVYKKQWQPPTREQLLDPKSYSDCVHWCLPGVPDVWNQILYTYIMVQDS >itb12g22540.t1 pep chromosome:ASM357664v1:12:24609738:24610901:1 gene:itb12g22540 transcript:itb12g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKKIKIEAAASTVSLKLLIDSKARRVLFAEADKSFVDFLFHLLSFPLGSVIKLVSENSMVGSLGNLYSSIQNLSDTYLQPDANRDVLLNPKAPPILSSDVPLLPAGDDVSPPSDTGAVYACTQGCEYYSDDSESICPKCVKASIKCLMKYVAPVKTATTGGSTRDGSGSCSGFVKGVVTYMVMDDLKVMPHSTISTINVLNQYDIKDFGSLEVKVVPLGVDEGLKVLKASLQTKSVLTTVFLP >itb10g22470.t1 pep chromosome:ASM357664v1:10:27313171:27315761:1 gene:itb10g22470 transcript:itb10g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNNNNNIDLSLNLNESRRRPRSSEQESSEPGKMLQSNAAEGEISTGSSLSENQKIEELSVLQREMKRMKEENKALRDAVEQTMKDFHDLHRKFSCIQQNNHEDKGFAKDFLTLSGTDETRNHRELQERSHQITSDPSPEDGGDEDDDGDGELGLSLTLKSSSSSRSLIGRRMHGEGEERGEKSKAEEMNSTTGFTPTPPPPAAMIQNNPPPGFTATSPPNKKTRVSVRARCEAATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDRSILITTYEGTHNHPLPVGATAMASTASAASFMSHLDSTNPISNLNHAFLIPNYNHPNPHLIINPNNPSSHLNIPNLVRNNIPASNPSSSSSSPHFWGPKLPDHPHHRQIELRRSNGGDRSGDNTNNKNDNNKVVVADHQNMSAAIAADPKFRVAVAAALSSLISTKDQTHASS >itb12g02240.t2 pep chromosome:ASM357664v1:12:1487832:1491646:1 gene:itb12g02240 transcript:itb12g02240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGGEIPVEGELEGDMEAHGADVDMSTADEDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQGDTDPASAASQASKEEVDSRSIFVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFVEQEAIQEALQLNESELHGRQLKVMPKRTNVPGMKQFRPRRFNPYMGGYRFRRPYVPPYFYSPYGYGKVPRFRRSSPYTPY >itb12g02240.t1 pep chromosome:ASM357664v1:12:1487832:1491684:1 gene:itb12g02240 transcript:itb12g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGGEIPVEGELEGDMEAHGADVDMSTADEDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPASAASQASKEEVDSRSIFVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFVEQEAIQEALQLNESELHGRQLKVMPKRTNVPGMKQFRPRRFNPYMGGYRFRRPYVPPYFYSPYGYGKVPRFRRSSPYTPY >itb04g34120.t2 pep chromosome:ASM357664v1:4:36181851:36187870:1 gene:itb04g34120 transcript:itb04g34120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKGAVTSGIENIFSKLITEIGDPVDFELPDWLNKWQPVPYTFIKRNIYLTKRIKRRLEDDGIFCSCNSVAGSSDVCGRDCLCSMLMSSCSSGCKCGGSCLNKPFHQRAAKKMKIVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHLGETNFYLCEINRDTVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPTKPKISSSDAALHLVACQVAATSPKVRTLLSARQAYPNGARVAGSSCNDSAQRVRSTRNCIGQIIRISRSSDMRSYGIIKQFDSTTRKHLIMFEDGKTEYLDLSREDWQLCNFSV >itb04g34120.t6 pep chromosome:ASM357664v1:4:36181686:36186241:1 gene:itb04g34120 transcript:itb04g34120.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYFLMLLIWSSLAIYMCSHFPLPNECLSYILWLLFAISYQGAVTSGIENIFSKLITEIGDPVDFELPDWLNKWQPVPYTFIKRNIYLTKRIKRRLEDDGIFCSCNSVAGSSDVCGRDCLCSMLMSSCSSGCKCGGSCLNKPFHQRAAKKMKIVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHLGETNFYLCEINRDTVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPTKPKISSSDAALHLVACQVAATSPKVRTLLSARQVAVIVLSFLFFFLP >itb04g34120.t3 pep chromosome:ASM357664v1:4:36181686:36188718:1 gene:itb04g34120 transcript:itb04g34120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYFLMLLIWSSLAIYMCSHFPLPNECLSYILWLLFAISYQGAVTSGIENIFSKLITEIGDPVDFELPDWLNKWQPVPYTFIKRNIYLTKRIKRRLEDDGIFCSCNSVAGSSDVCGRDCLCSMLMSSCSSGCKCGGSCLNKPFHQRAAKKMKIVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHLGETNFYLCEINRDTVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPTKPKISSSDAALHLVACQVAATSPKVRTLLSARQAYPNGARVAGSSCNDSAQRVRSTRNCIGQIIRISRSSDMRSYGIIKQFDSTTRKHLIMFEDGKTEYLDLSREDWQLCNFSV >itb04g34120.t4 pep chromosome:ASM357664v1:4:36184369:36188698:1 gene:itb04g34120 transcript:itb04g34120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSCSSGCKCGGSCLNKPFHQRAAKKMKIVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHLGETNFYLCEINRDTVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPTKPKISSSDAALHLVACQVAATSPKVRTLLSARQAYPNGARVAGSSCNDSAQRVRSTRNCIGQIIRISRSSDMRSYGIIKQFDSTTRKHLIMFEDGKTEYLDLSREDWQLCNFSV >itb04g34120.t1 pep chromosome:ASM357664v1:4:36181686:36188745:1 gene:itb04g34120 transcript:itb04g34120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKGAVTSGIENIFSKLITEIGDPVDFELPDWLNKWQPVPYTFIKRNIYLTKRIKRRLEDDGIFCSCNSVAGSSDVCGRDCLCSMLMSSCSSGCKCGGSCLNKPFHQRAAKKMKIVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHLGETNFYLCEINRDTVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPTKPKISSSDAALHLVACQVAATSPKVRTLLSARQAYPNGARVAGSSCNDSAQRVRSTRNCIGQIIRISRSSDMRSYGIIKQFDSTTRKHLIMFEDGKTEYLDLSREDWQLCNFSV >itb04g34120.t5 pep chromosome:ASM357664v1:4:36181686:36186241:1 gene:itb04g34120 transcript:itb04g34120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKGAVTSGIENIFSKLITEIGDPVDFELPDWLNKWQPVPYTFIKRNIYLTKRIKRRLEDDGIFCSCNSVAGSSDVCGRDCLCSMLMSSCSSGCKCGGSCLNKPFHQRAAKKMKIVKTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHLGETNFYLCEINRDTVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPTKPKISSSDAALHLVACQVAATSPKVRTLLSARQVAVIVLSFLFFFLP >itb05g15290.t1 pep chromosome:ASM357664v1:5:22689359:22694579:-1 gene:itb05g15290 transcript:itb05g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRHYDEDDYSSESCLDGLDMQLIGNFLSFASRGDRVGLNKMLREGINPNVQDYDKRTALHLAASEGHAPIVELLLAYKANVNLKDRWHAKEYGHQDICRILEVNGGKESDAHPMAVRREDDSVENIIDISELNLQNSTTFDQGLFGESKKVKWRGTWVAKTQIRKQDNTLLRELRHPNILQFLGSIVQGEEMSLITEYLPKMLEGKFNDKSKYERKQLKAIDLQKKFYVGRCPGRILQMIEDCTSKDPSQRPDFASVIRILEEVSMTSKTAGCPPCIKNKNTEHNMSFASNWRL >itb06g02020.t1 pep chromosome:ASM357664v1:6:3432430:3434761:-1 gene:itb06g02020 transcript:itb06g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLKVSLMKAEYFAPREYVILQNEAPTDLYILVTGSLEVISQRNGVQQVVGELKAGDVCGEIGVFCYRPQLFTIRTKRLSQLLRLDRTSFFNTVKANVGVGTIIMNNLLQHLKDRRDPMMESILAETEHMLAQGRMDMPLSLCFAANRGDDLLLNQMLKRGMYPDESNTNGHSEGFVPLWDAITGKHEAVIKLLIDNGATLSSGDVAHFACSAVEQANLELLKDFVKYGGDVTLLNSLGTIALHMAVIEENVEIVKFLVEQGADIDKPDVHGWSPRALADHQANEEIKEVFTDTSKPPPAVIYPEQPKGHYSLKKYQSESAIPQLHSRDTQQPTRFSSSQAPTSLPAYVRGGSSVSNNNHPKVRTRLASTHRKSLIGFMSVPSCANLVCEVGQQIAARVTITCPEKGEKGGRMVALPQSIKELLEIGAQKFGFTPTKVLNTEGALIDDIAVIRDGDVLALASDHTD >itb04g13810.t1 pep chromosome:ASM357664v1:4:13952916:13953389:-1 gene:itb04g13810 transcript:itb04g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFGSEYFLTKEDTEEIAYALELSNVNFIWVLRFPKGREISTREALPPGFLERIGERGRIVEGWALQGRILGHLSTSGFVSHWEWNSIMESLSLGVLIIAMPMQLDQPVNARLMVEIGAAVEVERDDDGKLHWEEMAEVVRGAVGGEIGEKLKRM >itb06g11120.t2 pep chromosome:ASM357664v1:6:15646930:15651893:-1 gene:itb06g11120 transcript:itb06g11120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSEDEQPEDYLFKIVLVGESAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMIINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRPTFESIGRWLNELQTHSDMNVVTILVGNKSDLKDAREVTTAEGKALAEAEGLFFIETSALESSNVASAFQTVVREIYNILSRKVMQSQEIQHKDSGRLANGKTVVLQGGGDQEADGEEAKSGCC >itb06g11120.t1 pep chromosome:ASM357664v1:6:15646856:15651912:-1 gene:itb06g11120 transcript:itb06g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSEDEQPEDYLFKIVLVGESAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMIINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRPTFESIGRWLNELQTHSDMNVVTILVGNKSDLKDAREVTTAEGKALAEAEGLFFIETSALESSNVASAFQTVVREIYNILSRKVMQSQEIQHKDSGRLANGKTVVLQGGGDQEADGEEAKSGCC >itb11g22940.t1 pep chromosome:ASM357664v1:11:24823197:24825151:1 gene:itb11g22940 transcript:itb11g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVNEQASNEVLEVEQKNNEIRRPVYDKRNEVIKSIPDFWLTAFLSHPALGDLLSEEDQKVCHFVVCITTGNLNFVDLDLLN >itb06g20830.t1 pep chromosome:ASM357664v1:6:23644789:23646682:-1 gene:itb06g20830 transcript:itb06g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQENGLPADELWPELRLPNSLYTASVSELHAAIENDWDNLRRSACQTAAGRALWNHVIHDPLAEVLAGETYLKSLYDKILKDRLKNASEVSGVIIAVRTLWFDRKLEAAINSFDGGAAQVVLLGAGMDARAFRLSCLKDIDVFEVDFPEVLQIKSTILQAAAEATNEHKKIMMTAKSLRRVAADIRESNWLEKLQVSGFEIKKNTVWILEGILYYLSHSHAMEVLKLIADNCAFTHTVLLADFMNKQSTTMSPSLYHFYSDWPDQLLPTLGFSDLKLSQIGDPDAHFGLLNDPLNLFNKLRSLPRSLQTNPADGTPCCRLYLLQASGAPN >itb13g00460.t1 pep chromosome:ASM357664v1:13:353076:354941:1 gene:itb13g00460 transcript:itb13g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLLAFVAALATTFLFLTFLYNLSFHNGSTRKPPEAGGAWPIIGHLHLLACPRPPFKILGDMADKYGPIFRIRLGAHQVLVVSDSRIAKECFTTNDKALAGRPKALATEIMGYNYAMFGLVPYGQYWRHVRKVVVLELLSNRRLDGLRRVWESGVKSFTQDIYRSWARDKNNESEDVKLEMKEWFGKLIMGVTMQMLLGQQYEEEGRRSVEMVRGLFDLLGVPVVSDYLPWLRWLDIGGHEKAMKETAKKMDSVVEGWLQEHKRKRNTKSKQEEDFMDGLLSSFQDEEDTPKDFDADTIVKATCMAVLLAATDTTTITLTWALSLILNNYGVLEKIRAELDINVGRERHVKQSDLNNLTYLQAVVKETLRLYPPSPLLLPHESIDDCNVSGYYIQKGTRILVNVSKIHRDSSFWPNPNVFRPERFLCEHKEIDVRGNHFELIPFGNGRRMCPEISLGLQIVELTLASLIHSFDLKRISDEPIDMTEGIGLTNMKATPLYALFTPSLPAHLYN >itb10g15440.t1 pep chromosome:ASM357664v1:10:21652415:21653305:-1 gene:itb10g15440 transcript:itb10g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLVLKNLQEKIASKSSHLLSPENTKWGSQLLRRLSTAEPTAAGAAKSEVAVSDAGKKSKLFPRRRRRGGLWTRNDRDFTPSIWDLFPSGFGNALFQATENMNRLFDRLSLINPTQLMGRYKEDDKCYKIRYDVPGLRKEDLKITAEDGYLTIKGEHKEEEEGGDEDDELWSSRRYGFYDASVMLPDDAKADEIKAEMKNGVLTITIPRTEQPKKNVKEIQVM >itb01g30230.t3 pep chromosome:ASM357664v1:1:34305422:34312128:-1 gene:itb01g30230 transcript:itb01g30230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSHDDLLPPLMMQRNYRYFFCFVSSAMLLCIYVFAMCAFYIKVLMDDKQSTVLRAMKESPASIILMAYCFISLWFVGGLTGFHFYLISTNQTTYENFRYRSDHRLNVYNHGCLNNFFEVFCTKVKPSRNHFRAFVQEEPPRPPLPTTREGEMEEMCEDRRAKVEDDLDIGGDLLKISQRHDIEDIEADIRSRGSDVPHHNSSEAESVIGSERKAPSLQSDARHSSWERRSGSWEIAPDVVGANANVTESRSVGASKEAYQ >itb01g30230.t1 pep chromosome:ASM357664v1:1:34305422:34312128:-1 gene:itb01g30230 transcript:itb01g30230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGVPVKVKYCDTCMLYRPPRCSHCSICDNCVERFDHHCPWVGQCIGLRNYRYFFCFVSSAMLLCIYVFAMCAFYIKVLMDDKQSTVLRAMKESPASIILMAYCFISLWFVGGLTGFHFYLISTNQTTYENFRYRSDHRLNVYNHGCLNNFFEVFCTKVKPSRNHFRAFVQEEPPRPPLPTTREGEMEEMCEDRRAKVEDDLDIGGDLLKISQRHDIEDIEADIRSRGSDVPHHNSSEAESVIGSERKAPSLQSDARHSSWERRSGSWEIAPDVVGANANVTESRSVGASKEAYQ >itb01g30230.t2 pep chromosome:ASM357664v1:1:34305422:34312128:-1 gene:itb01g30230 transcript:itb01g30230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYQVWKGSNKFFLGGRLIFGPDARSLLITLLLVIVPVTIFCVFVARHLRHQFSPHNAGYAVIVAAIVFTIHVLVLLLLTSARDPGIVPRNSHPPEEEFRYDTSADTGGRQTPSLQFPRTKEVMVNGVPVKVKYCDTCMLYRPPRCSHCSICDNCVERFDHHCPWVGQCIGLRNYRYFFCFVSSAMLLCIYVFAMCAFYIKVLMDDKQSTVLRAMKESPASIILMAYCFISLWFVGGLTGFHFYLISTNQTTYENFRYRSDHRLNVYNHGCLNNFFEVFCTKVKPSRNHFRAFVQEEPPRPPLPTTREGEMEEMCEDRRAKVEDDLDIGGDLLKISQRHDIEDIEADIRSRGSDVPHHNSSEAESVIGSERKAPSLQSDARHSSWERRSGSWEIAPDVVGANANVTESRSVGASKEAYQ >itb15g19700.t1 pep chromosome:ASM357664v1:15:22178527:22183452:-1 gene:itb15g19700 transcript:itb15g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWERTSLSKEEEKRFLSLLTGRRQDNEASLPFSLSLSLYSSAIWYLCLSTNTRSSSKGLKMNANGCFLTSGGVYTPFEANSKSCNSTSVRLSLLPFRAAFSSIRNSYAPHHNLTSCFSKGTRFLSLSSASSPVICGEYRGFLDALPKLPRRSRFSLSPRASKDVPKSFRYPAMTKKPRWWWRTIACLPYFMPFHETWMYAETAYHLHHQLEAFEFLTYPFLQSLGRLPSWFLMAYFFIAYLGIVRRKEWPHFLRFHVVMGMLLEISLQVIGTISRWMPLSVYWGKIGMHFWTAVAFGYLFTVTQCIGCALTGMYADVPFLCDAAYIQIPYD >itb13g03890.t1 pep chromosome:ASM357664v1:13:3894585:3903716:1 gene:itb13g03890 transcript:itb13g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSMAGSSLHCEMIRCEAGCTNSGNNLEAYRMKEMSFGLNFSSWNSSQAVQGLRSNCNGVGYRQRHRLIVAASPPTEDAVVSTEPLTKKDLIDYLTSGCKPKEKWRIGTEHEKFGFESRTLRPMKYAQISELLNGIAERFDWEKIMEGENIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGIKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMINKFRAGLSLQPIATALFANSPFTEGKPNGFLSMRSHIWTDTDKNRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKGYIDCAGMSFRDFMTGKLPPIPGDYPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEISLQAVLDMTADWTLEEREMLRKKVPVTGLKTPFRDGLLKHVAQDVLKLAKEGLERRGFKETGFLNEITEVVNTGITPAEKLLDLYHGNWGQNVDPVFEELLY >itb13g03890.t2 pep chromosome:ASM357664v1:13:3894585:3903716:1 gene:itb13g03890 transcript:itb13g03890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSMAGSSLHCEMIRCEAGCTNSGNNLEAYRMKEMSFGLNFSSWNSSQAVQGLRSNCNGVGYRQRHRLIVAASPPTEDAVVSTEPLTKKDLIDYLTSGCKPKEKWRIGTEHEKFGFESRTLRPMKYAQISELLNGIAERFDWEKIMEGENIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGIKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMINKFRAGLSLQPIATALFANSPFTEGKPNGFLSMRSHIWTDTDKNRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKGYIDCAGMSFRDFMTGKLPPIPGDYPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEISLQAVLDMTADWTLEEREMLRKKVPVTGLKTPFRDGLLKHVAQDVLKLAKEGLERRGFKETGFLNEITEVVNTGITPAEKLLDLYHGNWGQNVDPVFEELLY >itb07g00970.t1 pep chromosome:ASM357664v1:7:610104:614394:-1 gene:itb07g00970 transcript:itb07g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLVGLLLLMCACMATRAESEYMVYKDPKMSMGSRIRDLMGRMTLAEKIGQMAQIDRENLTAEIVRDYAIGSVLSGGGGWPKPEATPQDWVDMVNRFQGGAVSSRLGIPIIYGIDAVHGNSNVYKATIFPHNIGLGATRDPELVKRIGAATALETRATGINYAFAPCIAVCRDPRWGRCYESYSEDVEIVKSMTVIISGLQGDVPSNVTKGIPYVGGKTKVAACAKHFVADGGTTKGVDESNTVTDWHGLMSIHMPPYLPSIIKGVSTVMASYSSLNGVKMHANRHLLTDILKGHLNFRGFVISDWLGIDRITAKEHSNYTYSVLASINAGIDMVMVPNNYKEFTGTLLSLVKNRFIPMSRIDDAVQRILRVKFTMGLFENPFADYSLVHYLGCQAHRDLAREAVRKSLVLLKNGKDENQPLLPLPKNASRILVAGTHANNLGYQCGGWTLTWQGLSGYTTAGTTILNGIANTVDPSTQIVYNESPDSDFMKSNNFSYAIVVVGEKPYTEYYGDNLNLTIPAAGADTIKNVCAGGVKCAVVLVSGRPLVVEPHLPSMDALVAAWLPGSEGQGVADVLFGDYPFTGKSSRTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTKGRH >itb08g03680.t1 pep chromosome:ASM357664v1:8:2999655:3002636:1 gene:itb08g03680 transcript:itb08g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGNVSDTAAAVDTGKADRSVWLMKCPLVVSKSWQSQAAAASSSADTPLVGKVVVSLDPLKPEESLQFSMEMAGNDAGNIPKGYSLNMFKDFVPMCIFSESNQAKVAMEGRIEHKFDMKPHTRNMEEYRKMCRERTNKSMIKNRQIQIIDNDRGVHMRSMPGMLGLIASGSKEKKKATPVKGPEVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSAEDTGAD >itb08g03680.t2 pep chromosome:ASM357664v1:8:2999655:3002189:1 gene:itb08g03680 transcript:itb08g03680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGNVSDTAAAVDTGKADRSVWLMKCPLVVSKSWQSQAAAASSSADTPLVGKVVVSLDPLKPEESLQFSMEMAGNDAGNIPKGYSLNMFKDFVPMCIFSESNQAKVAMEGRIEHKFDMKPHTRNMEEYRKMCRERTNKSMIKNRQIQIIDNDRGVHMRSMPGMLGLIASGSKEKKKATPVKGPEVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAVCCLIIAS >itb03g03310.t1 pep chromosome:ASM357664v1:3:1892003:1894383:1 gene:itb03g03310 transcript:itb03g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQVDRAAFKPVMLSSSSSRSTKQFVVSVAVDRRYNNPAALKSGGRLSLRVKASLDSTATATTTPTLGKVTEVNKDTFWPIVEAAGEKAVVLDMYTQWCGPCKVIAPKFEELSAKYLDVVFLKLDCNQDNRPLAKELGIKVVPTFKVLKSGKIVKEVTGAKIDDLIAAIECARSG >itb10g19170.t1 pep chromosome:ASM357664v1:10:24936142:24937015:1 gene:itb10g19170 transcript:itb10g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDVSAFKATIKNLRTVLLFAGLFRAFPSRPLPPNYKMIAITIIVPVILGAITLVAVGRALALHLVIRAQAGQVLPIDRRRSRGLLVGEHDGIGERIVYEGYTGVIILRISYITYMFAIF >itb03g15730.t3 pep chromosome:ASM357664v1:3:14980753:14983875:1 gene:itb03g15730 transcript:itb03g15730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHIMEREISAHSLSSRGNSEMGSRFTMETGIYMSSFAATVFIAGLVTVGVSIMTLLITLTVMLQSCQNKNSGVIEGLRASKSTYNYHYCLAFAMHMELNNLDSNSFSEICKDAAIQYIREGQYMNDLNVTVQLAENYFSTIEPLEDGKDVVLMDADDFFPEEDLLYPNLSFHQSRENGCSDCTDDYAKNLKLVFVQKLYMKLQARGWQLVLLSRKPEKLRNATIEYLLSSECSGWSSLIMRKNHEMQTDTLEYFSAQRTALQDAGFRIVAAISSRLDALTGPSPGKRVFKLPNPISVSSQQHISSA >itb03g15730.t2 pep chromosome:ASM357664v1:3:14980530:14983934:1 gene:itb03g15730 transcript:itb03g15730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHIMEREISAHSLSSRGNSEMGSRFTMETGIYMSSFAATVFIAGLVTVGVSIMTLLITLTVMLQSCQNKNSGVIEGLRASKSTYNYHYCLAFAMHMELNNLDSNSFSEICKDAAIQYIREGQYMNDLNVTVQLAENYFSTIEPLEDGKDVVLMDADDFFPEEDLLYPNLSFHQSRENGCSDCTDDYAKNLKLVFVQKLYMKLQARGWQLVLLSRKPEKLRNATIEYLLSSECSGWSSLIMRKNHEMQTDTLEYFSAQRTALQDAGFRIVAAISSRLDALTGPSPGKRVFKLPNPISVSSQQHISSA >itb03g15730.t1 pep chromosome:ASM357664v1:3:14980530:14983934:1 gene:itb03g15730 transcript:itb03g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGHIMEREISAHSLSSRGNSEMGSRFTMETGIYMSSFAATVFIAGLVTVGVSIMTLLITLTVMLQSCQNKNSGVIEGLRASKSTYNYHYCLAFAMHMELNNLDSNSFSEICKDAAIQYIREGQYMNDLNVTVQLAENYFSTIEPLEDGKDVVLMDADDFFPEEDLLYPNLSFHQSRENGCSDCTDDYAKNLKLVFVQKLYMKLQARGWQLVLLSRKPEKLRNATIEYLLSSECSGWSSLIMRKNHEMQTDTLEYFSAQRTALQDAGFRIVAAISSRLDALTGPSPGKRVFKLPNPISVSSQQHISSA >itb12g19910.t1 pep chromosome:ASM357664v1:12:22357255:22360964:1 gene:itb12g19910 transcript:itb12g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHAVCIPFPAQGHIKPMLELAKLLHHKGFHITFVNNEFNHNRLLRSRGSKAMEGLPSFRFEAIPDGLPPSNPDATQDVASLTVSSTNYCLDPFRELVKRLNDNPSSESPPVTCIVSDGIMSFTHKVADELCIPVVFFWTCSACGLDGYVHYRQLAEKNMTPINGPNSLTNGYLDNVIDWIPGLKSIPAKYIPSFIWNSGQDDDPNYVIFQFAIREVEAIPKASAIILNTFDELEPDAINAIRSKFPGIYTIGPLHLLRNQFPLGDDLKSIGYNLWKEDPYCLEWLDTKEAGSVVYVNYGSVTVMTPEQLLEFAWGLANSKFTFLWIIRPDLVKGESAILPPEFLTETGERGLLASWCPQEQVLNHPSVGCFLTHCGWNSTLESISAGVPMLCWPFFAEQQTNCWYSCTELGVGMEIDSNGDRNSPDEASVRMAVAEEAADEREKEDRGR >itb07g20610.t3 pep chromosome:ASM357664v1:7:24977140:24982926:-1 gene:itb07g20610 transcript:itb07g20610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKKCRIWWPEHLSSTPQLSSHPCHFLFGWFISSSEAFLDIVIAFSCSESTLNSSASCLDLRGILHEINKNLPMFPEDDCKLSLLGCYVANGGDRQLIKVGDDIKAVVNSSGQRIYFSGDCDGQDTSGRINGKLSYGCHKFDVLALQNSSPAEVNWIQLAYDRSVICGRNIALIPNLLCLKWKGLVITQLDVHVIVYETPLFGSHYYSLGYCPSEQVTAHCKKPMWVEDLYQMKPQTDLNIVIQAINTANATKVLFESHFPAKRSIASFHVFSLFTNFAWPLFAIFLALLSTILFIILQCFHVVWSYASRSYIYTMLVNIFCKTCKNIKLHFSQLLYWPVFLQDSSLRCQSCVEYAEMATLRKHSMWSSIVVDLLLGNLLSILLYSRAEAACLFILNSADGITNHILRTGCVWLMGNPAGFKLNAELAGLLGMVSLNAIQIWSTLWLFLNFFLAYLMKMIAVSGSLFGLTTAAALTIDIISLATMHLSALHWLLSLIYSWQIQAISALWRLFRGRKWNPLRQRLDSYGYTVEQHVVGSLLFTPLLLLLPTVSAFYIFFTILNATISLICIVIELGILVIHATPYTKVAVWLVRKKRFPSGIWFEIVSSQCDVTNSSHTGSAAEINLEPSPHCFKSLAMVSFLRGNYLSLREVVSPHYGCVFSAISRSSMALSAYGILTGKSISYTLGTGQHMKLPWMVIPCKAYWRLCRDAILARRED >itb07g20610.t2 pep chromosome:ASM357664v1:7:24977140:24982926:-1 gene:itb07g20610 transcript:itb07g20610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKKCRIWWPEHLSSTPQLSSHPCHFLFGWFISSSEAFLDIVIAFSCSESTLNSSASCLDLRGILHEINKNLPMFPEDDCKLSLLGCYVANGGDRQLIKVGDDIKAVVNSSGQRIYFSGDCDGQDTSGRINGKLSYGCHKFDVLALQNSSPAEVNWIQLAYDRSVICGRNIALIPNLLCLKWKGLVITQLDVHVIVYETPLFGSHYYSLGYCPSEQVTAHCKKPMWVEDLYQMKPQTDLNIVIQAINTANATKVLFESHFPAKRSIASFHVFSLFTNFAWPLFAIFLALLSTILFIILQCFHVVWSYASRSYIYTMLVNIFCKTCKNIKLHFSQLLYWPVFLQDSSLRCQSCVEYAEMATLRKHSMWSSIVVDLLLGNLLSILLYSRAEAACLFILNSADGITNHILRTGCVWLMGNPAGFKLNAELAGLLGMVSLNAIQIWSTLWLFLNFFLAYLMKMIAVSGSLFGLTTAAALTIDIISLATMHLSALHWLLSLIYSWQIQAISALWRLFRGRKWNPLRQRLDSYGYTVEQHVVGSLLFTPLLLLLPTVSAFYIFFTILNATISLICIVIELGILVIHATPYTKVAVWLVRKKRFPSGIWFEIVSSQCDVTNSSHTGSAAEINLEPSPHCFKSLAMVSFLRGNYLSLIDSGEVVSPHYGCVFSAISRSSMALSAYGILTGKSISYTLGTGQHMKLPWMVIPCKAYWRLCRDAILARRED >itb07g20610.t1 pep chromosome:ASM357664v1:7:24977140:24982926:-1 gene:itb07g20610 transcript:itb07g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYVIVYETPLFGSHYYSLGYCPSEQVTAHCKKPMWVEDLYQMKPQTDLNIVIQAINTANATKVLFESHFPAKRSIASFHVFSLFTNFAWPLFAIFLALLSTILFIILQCFHVVWSYASRSYIYTMLVNIFCKTCKNIKLHFSQLLYWPVFLQDSSLRCQSCVEYAEMATLRKHSMWSSIVVDLLLGNLLSILLYSRAEAACLFILNSADGITNHILRTGCVWLMGNPAGFKLNAELAGLLGMVSLNAIQIWSTLWLFLNFFLAYLMKMIAVSGSLFGLTTAAALTIDIISLATMHLSALHWLLSLIYSWQIQAISALWRLFRGRKWNPLRQRLDSYGYTVEQHVVGSLLFTPLLLLLPTVSAFYIFFTILNATISLICIVIELGILVIHATPYTKVAVWLVRKKRFPSGIWFEIVSSQCDVTNSSHTGSAAEINLEPSPHCFKSLAMVSFLRGNYLSLREVVSPHYGCVFSAISRSSMALSAYGILTGKSISYTLGTGQHMKLPWMVIPCKAYWRLCRDAILARRED >itb05g17150.t1 pep chromosome:ASM357664v1:5:24180452:24184189:1 gene:itb05g17150 transcript:itb05g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLQKFQVSRFPRFMAQSSANSTGRKLIHIDISSDSVCPWCFVGKRNLDKAIASSKDQYDFEIKWHPFFLDPSAPKEGVIKKEFYLRKFGARSEQLGRRMSEIFKGLGMEYDTSGLTGNTLDSHRLIYFAGKQGQDKQHKLVEELFIGYFTQGKYIGDKEFLKEAARKVGVEGAEEFLEDPNNGLKEVNEELQQYSSNISGVPHYVINGKYKLSGGQPPETFLQAFQTA >itb01g19810.t1 pep chromosome:ASM357664v1:1:26088023:26091375:-1 gene:itb01g19810 transcript:itb01g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEVEAVETAKEWTRLYASGA >itb04g11080.t2 pep chromosome:ASM357664v1:4:10604416:10610188:1 gene:itb04g11080 transcript:itb04g11080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLQSPTSGNLEGEGVVPHAANSENTEKSKKGDYMNPHHPQEDPKAGSKVLEGLDGSRTLTDSPQNQHSGAKPESSKTDMETPKGPEPLKPKHERQLSQEEGDLRRTTDSPLRHESAGRKSPLESPLHRNAGFSAGDTPKRASRQSDRSLEVSPLHPHSHARLGGKGSGVSSPSWERKGSSEGGRGIAPSTPARSRLRPVAKVDDTPDNSPVVPKFGDWDDNDPASAEQFTQVFDRVRDDKLSGAGKVPALPTDASYSNSERQYRNENAKGCSCFPWVRK >itb04g11080.t1 pep chromosome:ASM357664v1:4:10604416:10610188:1 gene:itb04g11080 transcript:itb04g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQSPTSGNLEGEGVVPHAANSENTEKSKKGDYMNPHHPQEDPKAGSKVLEGLDGSRTLTDSPQNQHSGAKPESSKTDMETPKGPEPLKPKHERQLSQEEGDLRRTTDSPLRHESAGRKSPLESPLHRNAGFSAGDTPKRASRQSDRSLEVSPLHPHSHARLGGKGSGVSSPSWERKGSSEGGRGIAPSTPARSRLRPVAKVDDTPDNSPVVPKFGDWDDNDPASAEQFTQVFDRVRDDKLSGAGKVPALPTDASYSNSERQYRNENAKGCSCFPWVRK >itb03g22440.t1 pep chromosome:ASM357664v1:3:20489532:20490707:-1 gene:itb03g22440 transcript:itb03g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHESIPKHDSLSLKSQSPLELYTLTKDTTDYAWYSTRITLNKRDLPMRSDILPVLEIRSRGHALLAFVNGEYVGSNHGSNIEKGFDFRMPVNMKPGDNEITIFHILVGYPNSGAYMEKRFSGLKRVVLQGLMSGTLDITLNSWTQSVGVSGEKLKLFTEEGSEKVKWSPAGKVHPPLTWYKTYFDVPEGNDPVAVRTNNMAKGMVWINGKSIGRYWVAFLSPLGQPTQSEYHIPRTYLKPKNNLMVVFEEIGGDPQGVEVMLVNRDTICSYISEYYPSNVKSWERKGDEFRSVSDDLKPTVRLSCPDDKVMKKIEFAEYGDPEGVCGNYFPGNCTFPNADKIVEKACLGKSQCKIPVDKSLFEEGGKDLCPNIYKSLAVQAKCGRQGKDD >itb13g19050.t3 pep chromosome:ASM357664v1:13:26070664:26074720:1 gene:itb13g19050 transcript:itb13g19050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDPDNGLDSDPWTKSSLSCNDFLENVPSDDQSFNVFTLQQQKWESSFLNQVGIPNELSQVNQPSQNQSSVSTINNIQIRVPDQQDTQACDSNKIQEWDPKTMLNNLSFLEKKIHELQDLVHVIVGRRGQVGFQANELLVQQQQLITADLTSIIVQLISTAGSLLPTVKHTLSYAGQAAQLVQSGGVATPSGAGFNSSALPQSVNVSKVEDHSIHIDPVGDSGMDQNCTVEEHELKDEDEAEEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEVSSVPALIKRYSCPYVGCKRNREHKKFQPLKTILCVKNHYKRTHCEKSYTCSRCNIKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDHKGSSSGLSDQGQNTAGQIDPKLNNAYSGSGSGSPQNAIDVKGSVNDPGNYFSPMNYDTGDLGGFQDFPRVPFEDSNSSFSFLLSGSCDYPQKAGKYGSSSE >itb13g19050.t5 pep chromosome:ASM357664v1:13:26070935:26074717:1 gene:itb13g19050 transcript:itb13g19050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDPDNGLDSDPWTKSSLSCNDFLENVPSDDQSFNVFTLQQQKWESSFLNQVGIPNELSQVNQPSQNQSSVSTINNIQIRVPDQQDTQACDSNKIQEWDPKTMLNNLSFLEKKIHELQDLVHVIVGRRGQVGFQANELLVQQQQLITADLTSIIVQLISTAGSLLPTVKHTLSYAGQAAQLVQSGGVATPSGAGFNSSALPQSVNVSKVEDHSIHIDPVGDSGMDQNCTVEEHELKDEDEAEEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEVSSVPALIKRYSCPYVGCKRNREHKKFQPLKTILCVKNHYKRTHCEKSYTCSRCNIKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDHKGSSSGLSDQGQNTAGQIDPKLNNAYSGSGSGSPQNAIDVKGSVNDPGNYFSPMNYDTGDLGGFQDFPRVPFEDSNSSFSFLLSGSCDYPQKAGKYGSSSE >itb13g19050.t2 pep chromosome:ASM357664v1:13:26070669:26074717:1 gene:itb13g19050 transcript:itb13g19050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDPDNGLDSDPWTKSSLSCNDFLENVPSDDQSFNVFTLQQQKWESSFLNQVGIPNELSQVNQPSQNQSSVSTINNIQIRVPDQQDTQACDSNKIQEWDPKTMLNNLSFLEKKIHELQDLVHVIVGRRGQVGFQANELLVQQQQLITADLTSIIVQLISTAGSLLPTVKHTLSYAGQAAQLVQSGGVATPSGAGFNSSALPQSVNVSKVEDHSIHIDPVGDSGMDQNCTVEEHELKDEDEAEEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEVSSVPALIKRYSCPYVGCKRNREHKKFQPLKTILCVKNHYKRTHCEKSYTCSRCNIKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDHKGSSSGLSDQGQNTAGQIDPKLNNAYSGSGSGSPQNAIDVKGSVNDPGNYFSPMNYDTGDLGGFQDFPRVPFEDSNSSFSFLLSGSCDYPQKAGKYGSSSE >itb13g19050.t4 pep chromosome:ASM357664v1:13:26070935:26074717:1 gene:itb13g19050 transcript:itb13g19050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MVLFELDFSTSKRRLIMDPDNGLDSDPWTKSSLSCNDFLENVPSDDQSFNVFTLQQQKWESSFLNQVGIPNELSQVNQPSQNQSSVSTINNIQIRVPDQQDTQACDSNKIQEWDPKTMLNNLSFLEKKIHELQDLVHVIVGRRGQVGFQANELLVQQQQLITADLTSIIVQLISTAGSLLPTVKHTLSYAGQAAQLVQSGGVATPSGAGFNSSALPQSVNVSKVEDHSIHIDPVGDSGMDQNCTVEEHELKDEDEAEEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEVSSVPALIKRYSCPYVGCKRNREHKKFQPLKTILCVKNHYKRTHCEKSYTCSRCNIKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDHKGSSSGLSDQGQNTAGQIDPKLNNAYSGSGSGSPQNAIDVKGSVNDPGNYFSPMNYDTGDLGGFQDFPRVPFEDSNSSFSFLLSGSCDYPQKAGKYGSSSE >itb13g19050.t1 pep chromosome:ASM357664v1:13:26070587:26074720:1 gene:itb13g19050 transcript:itb13g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MDPDNGLDSDPWTKSSLSCNDFLENVPSDDQSFNVFTLQQQKWESSFLNQVGIPNELSQVNQPSQNQSSVSTINNIQIRVPDQQDTQACDSNKIQEWDPKTMLNNLSFLEKKIHELQDLVHVIVGRRGQVGFQANELLVQQQQLITADLTSIIVQLISTAGSLLPTVKHTLSYAGQAAQLVQSGGVATPSGAGFNSSALPQSVNVSKVEDHSIHIDPVGDSGMDQNCTVEEHELKDEDEAEEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEVSSVPALIKRYSCPYVGCKRNREHKKFQPLKTILCVKNHYKRTHCEKSYTCSRCNIKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDDHKGSSSGLSDQGQNTAGQIDPKLNNAYSGSGSGSPQNAIDVKGSVNDPGNYFSPMNYDTGDLGGFQDFPRVPFEDSNSSFSFLLSGSCDYPQKAGKYGSSSE >itb04g07660.t1 pep chromosome:ASM357664v1:4:5250979:5251494:-1 gene:itb04g07660 transcript:itb04g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSSSLSISFLIRKSLPLYTSPFIAVSLRKVNGNKSPKFVSLSSHAMMGNDPFAKPGKTPPEFPSAPPPEGPSVPPEMPPRVPDVPEFDPIPPENPADPPPDFPGPPSPSPPGDDPPPLGPDVPGKPEIIPPHGPDVIPPKPPKPPEPPRPLQPDIPPPIMFGSIVVNY >itb02g15590.t1 pep chromosome:ASM357664v1:2:11337195:11340027:-1 gene:itb02g15590 transcript:itb02g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDNDVINFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDMGLEYICTTAERFFAVGRVLGNMVVALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDILRDATTFSNCLHEDPTTRRWLQQLLQNVQGPRAPLQAGGGFDHMMVS >itb02g15590.t2 pep chromosome:ASM357664v1:2:11337195:11340027:-1 gene:itb02g15590 transcript:itb02g15590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDNDVINFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDMGLEYICTTAERFFAVGRVLGNMVVALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDILRDATTFSNCLHEDPTTRRWLQQLLQNVQGPRAPLQAGGGFDHMMVS >itb05g26160.t1 pep chromosome:ASM357664v1:5:30191639:30195124:1 gene:itb05g26160 transcript:itb05g26160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRKPNPRIPSAKGNGRKAKSDGIELLVKNGSEPADHWEFLDEIDAPMWVDLSVESKSMYTDKDDEWFHMSHLFHQSSSKELKAAFSRIGEICAEMDFGGHEQSSPKLPPSVGRSRGKDYRSREWGKGNRKISLGKKHPVKDLNSKSSRVNSGFTKAVKDRTSHVNVKHRGASKSISVGPSSLSLNSKPPCSQPIPSRGSVAAERGESSLSTVTSEVSDQRHQKSSVTSNSSSTVTYEDVEQQHKNSLEMRNSTCTVTSRLTELQPRKCTDINSQVFGRTSDFLSTLRVSVRKSCVTRQALRLVVNSPRQSEGRKSASSSKSSIESSNPHEDRNQSTSQTKGTTPDSRNVFGLNDPPKEKTKLPRMYKGHHRTNTTQQKAFVPNRGNGNVPSNEVRKTKESTGTKIKRTMATGKENAPRIVVPKSSSRERAATVKVQVPKVSKERIPGTSSSTTLTGVKARVDNRREVKNPRKMAEQVFLR >itb05g26160.t2 pep chromosome:ASM357664v1:5:30192885:30195124:1 gene:itb05g26160 transcript:itb05g26160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGHEQSSPKLPPSVGRSRGKDYRSREWGKGNRKISLGKKHPVKDLNSKSSRVNSGFTKAVKDRTSHVNVKHRGASKSISVGPSSLSLNSKPPCSQPIPSRGSVAAERGESSLSTVTSEVSDQRHQKSSVTSNSSSTVTYEDVEQQHKNSLEMRNSTCTVTSRLTELQPRKCTDINSQVFGRTSDFLSTLRVSVRKSCVTRQALRLVVNSPRQSEGRKSASSSKSSIESSNPHEDRNQSTSQTKGTTPDSRNVFGLNDPPKEKTKLPRMYKGHHRTNTTQQKAFVPNRGNGNVPSNEVRKTKESTGTKIKRTMATGKENAPRIVVPKSSSRERAATVKVQVPKVSKERIPGTSSSTTLTGVKARVDNRREVKNPRKMAEQVFLR >itb07g10840.t1 pep chromosome:ASM357664v1:7:12113293:12114931:1 gene:itb07g10840 transcript:itb07g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTTAPPQLQKITPLSSKVLTGKIFKLLAISGIIVYVIYILLPIHPCCYSSTIFNSYLTHRRHAEPDNLNRPAATNLSHVVFGITSSVKTWKSKKWYAESWWRPNTTRGYVFLDGPPGRGRWAASSPPFRVSEDTSRYRAYDKHPLKHAIRMSRAVVEAFREGKEGARWFVLCDDDTVFFVENLVRVLGKYDHGGYLYVGMNSECHTSNWLYSFGMAFGGGGFALSYPLAEAVVKNMDICLQRYPTLYGSDHILQSCVADLGVSLTQEKGFHQIDLHSDISGFLSAHPQSPLVTLHHLDFVDPIFPTMDRYEGLNHLMKAAKADQSRLLQQSICYHRQKNWTFSVSWGYSVHLYEAILPQSLLRKPLQTFTPWSKSATPFFVFNTRIPSNNPCEAPHVFFFDSVENRDYSFITRYTQKKKRGLPACSLNGTHSASHVPEIRVVSPLWELDWVSKAASIA >itb12g03130.t1 pep chromosome:ASM357664v1:12:2082253:2083765:-1 gene:itb12g03130 transcript:itb12g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIKCFFMAITLLAFAPLSLCHKGYGGGGGDSLYPQYYEKSCPRALEIVRFEVAKAVAKEARMAASLLRLAFHDCFVQGCDASILLDSGNGITSEKNSNPNRKSARGFNVIDDIKAALEKECPHTVSCADIMQLAARDSTHLSGGPFWEVPLGRKDSRSASLSGSNNNIPAPNNTFQTILTKFKRQGLDLVDLVALSGSHTIGNSRCTSFRQRLYNQSGNSKPDSTLDQYYAAQLRNRCPRSGGDQNLFFLDFVSPTKFDNSYFKLLLANKGLLNSDQVLTTKSEASLQLVKAYAENNELFLQHFASSMIKMANISPLTGSKGEIRKNCRKINS >itb05g26900.t1 pep chromosome:ASM357664v1:5:30547781:30549088:-1 gene:itb05g26900 transcript:itb05g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTNSGFPSQEEDKKPLDQSQSGVHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGVVA >itb07g07990.t1 pep chromosome:ASM357664v1:7:6255805:6262587:-1 gene:itb07g07990 transcript:itb07g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRLPITTAMMQKDSTKPPLDGAIYPLTRHVMNFLAFLVDCSGTVSEIIADWLPSSQSPLPESYFSSLFLQSLNLIILPLPLPLLISPEPSLAPLCRSPVQLNSQMPPFSFLQYPQPLPLIYRLLLADQHGYGNRIPDTMVTNL >itb05g06140.t1 pep chromosome:ASM357664v1:5:6328252:6330825:-1 gene:itb05g06140 transcript:itb05g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDVTMVPAGEGSSGATPSSSTKKGKKFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >itb09g27380.t1 pep chromosome:ASM357664v1:9:27693815:27694895:-1 gene:itb09g27380 transcript:itb09g27380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLRRSSSRTNRESEVPKGHFAVYVGETEKKRFVIPVSYLKDPSFQDLLCQAEEEFGFDHPMGGLTIPCLEDTFIDIISSLNRS >itb05g15010.t1 pep chromosome:ASM357664v1:5:22346834:22351717:1 gene:itb05g15010 transcript:itb05g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNRNNNQFYYTPTSKPNSTNPNFSSLKGTKLAYLTLVALLCVLSYLVGSWNSGGGTAAASSMSAVIAAAVPCIFQKNATAESRPLDFATHHATDAGGGVGSPEDDAVKVYPPCDVKYSEYTPCEDPQRSLSFSRDRLIYRERHCPEKKEVLKCRIPAPFGYKNPFVWPASRDLAWYANVPHKELTVEKAVQNWIRFEGNRFKFPGGGTMFPNGADAYIDDIGELINLKDGSIRTAIDTGCGVASWGAYLMSRNIVAMSFAPRDTHEAQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGQYDGSYLIEVDRVLRPGGFWILSGPPIRWRKYWQGWDRTKEDLNAEQTQIEEVAKRLCWKKFVEKDNIAIWQKPFNHLECKEHRTTSKNPPMCPAGDPDKAWYTDLQTCLTPLPEVSGGEEVAGGKLEKWPERLHAIPPRIATGTIEGVNSEIFKQDSQLWNKRVSYYKNVNGQLGSAGRYRNLLDMNAFLGGFAASLIDQPLWVMNVVPVEAKVNTLGVIYERGLIGTYQSWCEAMSTYPRTYDLIHADSIFTLYKDRCEMEDIMLEMDRILRPEGSVIIREDVDLLNKVKRIADGLKWESRIVDHEDGPLVREKLLFAVKSYWTAPAASTQ >itb12g18720.t2 pep chromosome:ASM357664v1:12:20975852:20978519:-1 gene:itb12g18720 transcript:itb12g18720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGRPDTDFLYWRWKPRDCNLPRFKPKKFLELMRDKTWALIGDSISRNHVQSILCMLSKVEEPIEVYHDEEYRSKRWIFPVFNFTVSVIWSPFLAEAAIFEDYNGVSTSEIQLHLDRLDRNWTQHFDSFDYMMFSSGEWFVKSTIYFENDTALGCHNCPKRNLTQLGFNYAYREVLRHVFSFILSSNHRGTIFFRTSTPDHFENGEWHSGGSCRRTEPAKEGEFQLTEINKILRRIELQEFEEALANAGEKRVKLKLFDVNPLSLLRPDGHPGPYRFFQPFAKDKNESVGNDCLHWCLPGPIDSWNDLVMEMVVRGGEDQFGAAIDVS >itb12g18720.t3 pep chromosome:ASM357664v1:12:20975852:20979412:-1 gene:itb12g18720 transcript:itb12g18720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSWKSWWRSLNKQGLLVLKFGLSVLVVSLAFRILFSGTTRILEIPETKTTFLGHSLASPLPLHLRLPQTVDHDFPQEKAETCDLFVGDWIHNPDGPSYTNETCSFIGGHQNCMKNGRPDTDFLYWRWKPRDCNLPRFKPKKFLELMRDKTWALIGDSISRNHVQSILCMLSKVEEPIEVYHDEEYRSKRWIFPVFNFTVSVIWSPFLAEAAIFEDYNGVSTSEIQLHLDRLDRNWTQHFDSFDYMMFSSGEWFVKSTIYFENDTALGCHNCPKRNLTQLGFNYAYREVLRHVFSFILSSNHRGTIFFRTSTPDHFENGEWHSGGSCRRTEPAKEGEFQLTEINKILRRIELQEFEEALANAGEKRVKLKLFDVNPLSLLRPDGHPGPYRFFQPFAKDKNESVGNDCLHWCLPGPIDSWNDLVMEMVVRGGEDQFGAAIDVS >itb12g18720.t1 pep chromosome:ASM357664v1:12:20975852:20979361:-1 gene:itb12g18720 transcript:itb12g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSWKSWWRSLNKQGLLVLKFGLSVLVVSLAFRILFSGTTRILEIPETKTTFLGHSLASPLPLHLRLPQTVDHDFPQEKAETCDLFVGDWIHNPDGPSYTNETCSFIGGHQNCMKNGRPDTDFLYWRWKPRDCNLPRFKPKKFLELMRDKTWALIGDSISRNHVQSILCMLSKVEEPIEVYHDEEYRSKRWIFPVFNFTVSVIWSPFLAEAAIFEDYNGVSTSEIQLHLDRLDRNWTQHFDSFDYMMFSSGEWFVKSTIYFENDTALGCHNCPKRNLTQLGFNYAYREVLRHVFSFILSSNHRGTIFFRTSTPDHFENGEWHSGGSCRRTEPAKEGEFQLTEINKILRRIELQEFEEALANAGEKRVKLKLFDVNPLSLLRPDGHPGPYRFFQPFAKDKNESVGNDCLHWCLPGPIDSWNDLVMEMVVRGGEDQFGAAIDVS >itb06g24370.t1 pep chromosome:ASM357664v1:6:25961135:25961482:1 gene:itb06g24370 transcript:itb06g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEASHGGFWQWNTPLPYLYCGIAIVVAIIASSVLFLLCSHKDDPVATVLSDSATADREEGDEKKEKKKQEMEIRVLDPEPKMVVVFGGDGRPLYVARPQSSINNKQHFCDQV >itb05g03310.t1 pep chromosome:ASM357664v1:5:2746778:2747523:-1 gene:itb05g03310 transcript:itb05g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPGSSCGACKFLRRRCSSGCVFAPYFCYDQAASNFAAVHKVFGASNVSKMLLHLPVDCRGEAAIAISYEAIARMQNPKYGCVAQILTLQQQVENLKQEIENFEKQMPRPACEVPEQNTIDEIQFSSKYKNTSINNYMNQNSEQSYLEDMSVKPFDRQTTMQHPPTMTRWEDEIILPSYDTDIYDLLDGMEQNIYLQHLLMNSGSIYH >itb11g06600.t1 pep chromosome:ASM357664v1:11:3978038:3980372:1 gene:itb11g06600 transcript:itb11g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIAQTWFTGGPSDNLQETPPSLLADWNAYASSQDNGSPSSLGFDLEAAVQTANDKVSGTFGVVSKRVRDLPGNLQTATTNVPTGKALMYFGLLLAVGVFFIFIAISMFLPIMVLMPQKFAICFTIGCILIISAFFALRGPKNQLSHMLSKERLPFTACFIGSMGGTIYVSMVLHSYILCVLFSVLQVLSLSYYAISYFPGGSSGMKFLSSTLTSSILRCFGR >itb09g01110.t1 pep chromosome:ASM357664v1:9:677437:677817:-1 gene:itb09g01110 transcript:itb09g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKANEHTQKHNRFLLTGVKLSSFDVPNQLACSPSSGSESSAVSYTTQTTIAGSATTYETSNPQVVETSQEGLVSDTSSISEYLLETLPGWHVQDFLDYPSSDPQPPTTSVSFVLICLLQSWLLTS >itb05g20170.t2 pep chromosome:ASM357664v1:5:26373873:26377952:-1 gene:itb05g20170 transcript:itb05g20170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNSSQNNSFPHGRQGVQNGAVALAAHQAKQDRQDALRETGLEVKQHEDNIKFLKSKMNMLDDSIVDHQVALGKYHSASVPTMVTNDLSHPRCQEKMFERILRHERSAAAIVCQLKALEGTQTYNRPLSSDVLGIVATLGTVADDNLSWILSDYLGSETMLAVVCKTYDGIKELEKYNHDGFINKSSGLHGLGTSTGMPLDGRFLAICLESLSPYAGNFIVDDPEKRLDLQKPRLPSGETPPGFLGFAVNMIDVDGKNLYCVTSSGCGLRETLFYSLFSSLQVYKTRTEMLMAMPCISNGAVSLDGGMIKSTGIYSLGNREVDVEFPKTMGTWKLPETYVGIETRLKEMRWMKERTSEELHREQALLEHARHNFEKKKQAFLKNLVACSSYTNQKFGKLREMSLKDYEILINDSELRAKEYKILMKETSTMTVEQRNVHLFLCNKIRKYWGV >itb05g20170.t1 pep chromosome:ASM357664v1:5:26374926:26377952:-1 gene:itb05g20170 transcript:itb05g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNSSQNNSFPHGRQGVQNGAVALAAHQAKQDRQDALRETGLEVKQHEDNIKFLKSKMNMLDDSIVDHQVALGKYHSASVPTMVTNDLSHPRCQEKMFERILRHERSAAAIVCQLKALEGTQTYNRPLSSDVLGIVATLGTVADDNLSWILSDYLGSETMLAVVCKTYDGIKELEKYNHDGFINKSSGLHGLGTSTGMPLDGRFLAICLESLSPYAGNFIVDDPEKRLDLQKPRLPSGETPPGFLGFAVNMIDVDGKNLYCVTSSGCGLRETLFYSLFSSLQVYKTRTEMLMAMPCISNGAVSLDGGMIKSTGIYSLGNREVDVEFPKTMGTWKLPETYVGIETRLKEMRWMKERTSEELHREQALLEHARHNFEKKKQAFLKNLVACSSYTNQP >itb04g33020.t1 pep chromosome:ASM357664v1:4:35377137:35380054:-1 gene:itb04g33020 transcript:itb04g33020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIGNFFESVGHFFSGGDQIPWCDSDVVAGCEREVAEAEKGSSEELKSECIMRLSWSLVHSKRSEDVQRGIAMLEASLSSNCSPLQMREKLYLLSVGYYRSGEYPRSRNLVERCLEIAPDWRQALTLKEAVEEKITKDGVIGIGIAATAVGLLAGGIAAALTRR >itb15g17670.t1 pep chromosome:ASM357664v1:15:18693448:18695084:-1 gene:itb15g17670 transcript:itb15g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTPFHGGSTTSATAVSLVLTLFLILDSPAAMGNPVVDLSSIEEVVNMAGYGEEKLSTVLIDGTVLCHPCLYAEKAAARDDGLRQPPPPQPISGASVGVFCGGRWRRLWARNTTDEYGEFLIDLPSHLHALPNLHNICRVKILHLPRGSTCSTHPSFKGKGIKLTAIRDVGVRTYTAHNIHITPKTCTKR >itb13g18190.t2 pep chromosome:ASM357664v1:13:25204307:25206111:-1 gene:itb13g18190 transcript:itb13g18190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSNGNLEEDSKQQPEYDRRSEVEAFEDSKAGVKGLFDAGLTKIPRIFHNKKAILENNSAADAANMIIPVIDFEGLGGSRRVEIVNMIRDACGSWGFFQVINHGIPQDMMDKMLQNIRHFHEQDFEAKKPFHSRDVKKKVTYNSNFDLLVAPTANWRDIMIKYGEHVMSLGCTLFELLSEALGLDPDHLKGMGCSEGLYMIGHYYPPCPEPDLTLGFSSHTDSGFLGVVLQDQMGGLQVLHQDVWVDVPLLPGSLIVNIGDTLQLLTNDKFKSVHHRVVAKQIGPRISVASFFRMHFQEGSDSKSLGPMKELLSEEKPAIYRETNMDEVLMVRYNKGLDGSSLLSHFKL >itb13g18190.t1 pep chromosome:ASM357664v1:13:25204307:25206111:-1 gene:itb13g18190 transcript:itb13g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSNGNLEEDSKQQPEYDRRSEVEAFEDSKAGVKGLFDAGLTKIPRIFHNKKAILENNSAADAANMIIPVIDFEGLGGSRRVEIVNMIRDACGSWGFFQVINHGIPQDMMDKMLQNIRHFHEQDFEAKKPFHSRDVKKKVTYNSNFDLLVAPTANWRYSLYCVMAPNPPQPEEFPYICRDIMIKYGEHVMSLGCTLFELLSEALGLDPDHLKGMGCSEGLYMIGHYYPPCPEPDLTLGFSSHTDSGFLGVVLQDQMGGLQVLHQDVWVDVPLLPGSLIVNIGDTLQSGSKADRSQNLGGKFFQNAFSGRQRLKITWSNERVVIGRKAGNLPRDQHG >itb13g18190.t3 pep chromosome:ASM357664v1:13:25204307:25206111:-1 gene:itb13g18190 transcript:itb13g18190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSNGNLEEDSKQQPEYDRRSEVEAFEDSKAGVKGLFDAGLTKIPRIFHNKKAILENNSAADAANMIIPVIDFEGLGGSRRVEIVNMIRDACGSWGFFQVINHGIPQDMMDKMLQNIRHFHEQDFEAKKPFHSRDVKKKVTYNSNFDLLVAPTANWRYSLYCVMAPNPPQPEEFPYICRDIMIKYGEHVMSLGCTLFELLSEALGLDPDHLKGMGCSEGLYMIGHYYPPCPEPDLTLGFSSHTDSGFLGVVLQDQMGGLQVLHQDVWVDVPLLPGSLIVNIGDTLQLLTNDKFKSVHHRVVAKQIGPRISVASFFRMHFQEGSDSKSLGPMKELLSEEKPAIYRETNMDEVLMVRYNKGLDGSSLLSHFKL >itb04g29040.t2 pep chromosome:ASM357664v1:4:32554614:32557689:-1 gene:itb04g29040 transcript:itb04g29040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDFRLDSVLGEGGFGPVFKGWIDKNSLSPAKPGTGLVIAVKRLNRGGLQGHSEWLAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t5 pep chromosome:ASM357664v1:4:32554647:32557490:-1 gene:itb04g29040 transcript:itb04g29040.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDFRLDSVLGEGGFGPVFKGWIDKNSLSPAKPGTGLVIAVKRLNRGGLQGHSEWLAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t1 pep chromosome:ASM357664v1:4:32554614:32557689:-1 gene:itb04g29040 transcript:itb04g29040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGICLSIRIKAESPHPGLSSKCVISTDGSGLSKSDSKNSPPQTPKSEGEILQSPNLKSFSFADLKMATRDFRLDSVLGEGGFGPVFKGWIDKNSLSPAKPGTGLVIAVKRLNRGGLQGHSEWLAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t3 pep chromosome:ASM357664v1:4:32554647:32557490:-1 gene:itb04g29040 transcript:itb04g29040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGICLSIRIKAESPHPGLSSKCVISTDGSGLSKSDSKNSPPQTPKSEGEILQSPNLKSFSFADLKMATRDFRLDSVLGEGGFGPVFKGWIDKNSLSPAKPGTGLVIAVKRLNRGGLQGHSEWLAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t7 pep chromosome:ASM357664v1:4:32554647:32557490:-1 gene:itb04g29040 transcript:itb04g29040.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMGICLSIRIKAESPHPGLSSKCVISTDGSGLSKSDSKNSPPQTPKSEGEILQSPNLKSFSFADLKMATRDFRLDSVLGEGGFGPVFKGWIDKNSLSPAKPGTGLVIAVKRLNRGGLQGHSEWLAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t8 pep chromosome:ASM357664v1:4:32554668:32557689:-1 gene:itb04g29040 transcript:itb04g29040.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFSIVMCLCGLQAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t6 pep chromosome:ASM357664v1:4:32554668:32557689:-1 gene:itb04g29040 transcript:itb04g29040.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFSIVMCLCGLQAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb04g29040.t4 pep chromosome:ASM357664v1:4:32554647:32557490:-1 gene:itb04g29040 transcript:itb04g29040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDFRLDSVLGEGGFGPVFKGWIDKNSLSPAKPGTGLVIAVKRLNRGGLQGHSEWLAELNYLGQFSHPNLVNLIGYCLEDEHHLLVYECMPRGSLDNHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAEAKVIYRDFKTSNILLDLNYNAKLSDFGLAKNGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDIYSFGVVLLEMLSGRRVIDYNRPCGEHNLVEWAKPYLANKRKVYRVLDNRLEGQYTLEVSQKVANLALQCLSKDPRFRPTMDDVVKELEQLKESKDMTILVNKHNGSRHRRRSDGAVKELAALEESEDTTTLGSRHRRRSDGDAINRNATTVDCLSKTINIPSVCQINEGCF >itb03g24590.t1 pep chromosome:ASM357664v1:3:23315401:23316864:1 gene:itb03g24590 transcript:itb03g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLPSGTRYSFHPENYVRPEFARPRLSELTFCNDVPVIDLGCGERELMVKQIAQACSEYGFFQVINHGVPKKVIEDMLKVAEEFFQLPVEEKMKLYTDYPSKTMRLSTSFNVKKLTVHNWRDYLRLPCLPSGKYSPEWPSNPSSFRDIVSNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHLRLAEPELTYGLPAHTDPNALTILLQDTQVSGLQVLKDGKWLAVKPHPDAFVINLGDQLQALRRNNGKYKSVCYSAIVNKHGARMLNISFKMEEPIPNLQETEFNVTATPQQRPTNYPPPPASDHTPPPHGRSRFWGKVVKIAIRLTVGFISNVLSDELADDLDI >itb10g01050.t1 pep chromosome:ASM357664v1:10:764001:767395:-1 gene:itb10g01050 transcript:itb10g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFYCIRPSSKDVRDYDEDMARGSANTSGRKGGSLRGKTVEGSAHKGNVARSFTFKELATATQNFRQSNLLGEGGFGSVFKGRLDSDLIVAVKRLNLDGLQGNQEFIVEVLMLSLLHHQNLVNLIGYCTDGDQRLLVYEFMSMGSLENHLYDLEPGIKPLSWCTRLKIAAGAAQGLEYLHCKANPPVIYRDLKSSNILLDDNFKPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVMLELITGRKAYDNARKQGEQNLVVWSQPFLRDRRKFVQMVDPLLEGQYSVRCLQHAVAITAMCLQEQANFRPLISDIVVALEYLVSQAECSDSQKPGVHGKTHLVPPLDPNDDHKR >itb10g01050.t2 pep chromosome:ASM357664v1:10:764001:767395:-1 gene:itb10g01050 transcript:itb10g01050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLHHQNLVNLIGYCTDGDQRLLVYEFMSMGSLENHLYDLEPGIKPLSWCTRLKIAAGAAQGLEYLHCKANPPVIYRDLKSSNILLDDNFKPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVMLELITGRKAYDNARKQGEQNLVVWSQPFLRDRRKFVQMVDPLLEGQYSVRCLQHAVAITAMCLQEQANFRPLISDIVVALEYLVSQAECSDSQKPGVHGKTHLVPPLDPNDDHKR >itb01g24280.t2 pep chromosome:ASM357664v1:1:29950255:29955473:-1 gene:itb01g24280 transcript:itb01g24280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVNSIADKIFRRLIETRNYRRSMASLSSGKRYKSVARERVDAVVVGAGIVGIAVARELAVKHGRDVLVIDSAPTFGTGTSSRNSEVIHAGIYYPPNSLKARFCVRGKELLYKYCKDHGVPHKEIGKLIVATGSSEIPKLSALMTRGIENGVDGLRMIEAYEARRLEPELQCIKALWSPTSGIVDTHSLMLSLVGEAESHGTTFTYNTAVIGGHLDGNQIHIHVSESSTLTNWHRSSPLYPDLILVPRLVVNSAGLSATAVAKRFSGLNGGAIPNAYYARGCYFTLSNTRTPFTHLIYPIPEVGGLGVHVTLDLNGQVKFGPDVEWIEGIDDVSNFLNMFDYSVCEDRAKLFYPAIRKYYPGLKDGSLEPGYAGIRPKLSGPEVGFTDFVVQGEDIHGIAGLVNLFGIESPGLTSSMAIAEHVAAKLLT >itb01g24280.t1 pep chromosome:ASM357664v1:1:29950255:29955473:-1 gene:itb01g24280 transcript:itb01g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVNSIADKIFRRLIETRNYRRSMASLSSGKRYKSVARERVDAVVVGAGIVGIAVARELAVKHGRDVLVIDSAPTFGTGTSSRNSEVIHAGIYYPPNSLKARFCVRGKELLYKYCKDHGVPHKEIGKLIVATGSSEIPKLSALMTRGIENGVDGLRMIEAYEARRLEPELQCIKALWSPTSGIVDTHSLMLSLVGEAESHGTTFTYNTAVIGGHLDGNQIHIHVSESSTLTNWHRSSPLYPDLILVPRLVVNSAGLSATAVAKRFSGLNGGAIPNAYYARGCYFTLSNTRTPFTHLIYPIPEVGGLGVHVTLDLNGQVKFGPDVEWIEGIDDVSNFLNIPCKAILPSNKEILSWPEGWIS >itb14g06670.t1 pep chromosome:ASM357664v1:14:5902059:5910088:-1 gene:itb14g06670 transcript:itb14g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRMFSILVSMLALVFMSDITETVPVLAQAPTLGFGGGGNNSTVVPAMFIFGDSLIDNGNNNNLFSFAKANYPPYGIDFNNNPTGRFSNGYTIVDAIAQQLGLPMIAAYLESPNINQMRYGVNYASAAAGILDYTGQHFVERIPFNQQIDNFEQTKNQLSGNLSADVVAQGLSKSIFFVGMGSNDYLNNYFMPGYPTSYIYDPDQFAALLIQQYTQQLTRLYTLGARKFVLTGIGKMGCIPTMLARADNGQCLESVNQAVLPFNANVKLMINKFSTTHPDAKFVFIDTDKMFQHIMNNSKKYGFSVFDRGCCGVGKNSGEVTCLPFETPCPNRKQYLFWDAFHPTSAVNVLLGNLAFNGSNYLVERIPFNQQIDNFEQTKNQLSGNLSADVVAQGLSKSIFFVGMGSNDYLNNYFMPGYPTSYIYDPDQFAALLIQQYTQQLTRLYTLGARKFVLTGIGKMGCIPTMLARADNGQCLESVNQAVLPFNANVKLMINKFSTTHPDAKFVFIDTDKMFQHIMNNSKKYGFSVFDRGCCGVGKNSGEVTCLPFETPCPNRKQYLFWDAFHPTSAVNVLLGNLAFNGTRRVRTRGGGFGVKTDVGPTIWSFHRDGSLARAHRKLDEDSFTAENAFGGKSLETRTVFPGDDWPSTLHAYKIATCSFGSHFSPPQLSGNQSFPSETRAAAVFRRKVFTSFCRLTLGCQGISPILQAGRKSSPATVVPNLCMDKKRGRGSKGKIRLNATISGVTVADLRHRCHSFSSGFINQETLIRYMHGIISVSAIFRHGHQRRS >itb11g19150.t1 pep chromosome:ASM357664v1:11:19796410:19796700:1 gene:itb11g19150 transcript:itb11g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGERWLDSGAVAGLAGRGWAGGRCLGGWAVARRCRSAVRCGRAAAKRRGRAAAVRWVCDVEVRRLCDGCPMWSAMAVAVERGGCGWGVRFWRFS >itb09g00310.t1 pep chromosome:ASM357664v1:9:294380:294850:1 gene:itb09g00310 transcript:itb09g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRCAWPRKVESRSSRSNYCCKMSSGQKAAMSLSISNNVNYYYMLDSIRQVKNAVVGCDNNGRRMKIVVRKEDVKQILDAVVGLEAAAGGAGSSKSRCRMIRSNNNVPTTTLEQRLNNLMRKRQLLRKTSRQVLKSNNNNAASWRPSLLSIPEE >itb12g23080.t1 pep chromosome:ASM357664v1:12:24956698:24962650:1 gene:itb12g23080 transcript:itb12g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDEYRRMRSAMGGSIDFGEELEVLLREQRRQVEEADNREGELCIFRSGSAPPTIKGSPEAFGGGGDLNGVSEEEMRSNAAYVSYYYSNGNVNPRLPPPLLSKEDWRSAQRLQGGGGGSGTGSHVRLGGIGDRRKVSRVVETSQISMGMGFSGKNLESGSEAQKDWGGNGLIGLPGLGLGSHQKSIAEIVQDDISHTIPTSRQPSHPASRAVDAIIESPKSQIDHFQTELSSLEALHSRANIPDVSSLPNIGSSASHTYASALGASLSRSSTPDPQRIARAPSPQIPPIGGGRESSADKISLNTSNSFHDASTYTLESADLVAAFSGMNLSVDNIVDDRNCPKPQVHQESGNNQDFLNVQNQQNHIRQFSHLNKSAVLHGGSFLKGHSTPTLNSAGRSPPSQYLNGHSPDSVFPGFSMNGSQFEGSNLAYLSNNAGAFGLDSRAMGGGMPSGPKSLGAGEELQNLYRHRNQNIGSSLQKPFLDPLYLQYLQSTEYGAAQLRALNDPAVNNGSLCDVYMDLLELQKAYLGKLIASQKSQYGLPCFSKADSLNHGYFHGPGSPMASPIIPNSPYGPGSPVRYAERDLHFSSGMRSFANCIPGAWHSDLLSNLGGSFTSSLIDEFKHNKTKCFELSEIDGHVVEFSADQYGSRFIQQKLETATIEEKNMVFHEIMPHALSLMTDVFGNYVIQKFFEHGSASQIRELADQLDGQVLTLSLQMYGCRVIQKALEVVDLDQKTKMVIELDGHIMRCVRDQNGNHVIQKCIECVPEEAIQFIVTTFYDQVVMLSTHPYGCRVIQRVLEHCHSAKTQSIVMNEILQSVCMLAQDQYGNYVIQHVLEHGKPDERSAIICQLIGQIVHMSQQKFASNVVEKCLTFGTPDERQTLVNEMLGSNDENEPLQAMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIMILSSNSAQM >itb15g00410.t1 pep chromosome:ASM357664v1:15:253996:256479:1 gene:itb15g00410 transcript:itb15g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPDSKVACETCSKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFISPDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYRNDNGAMVPIRVHTVLISTQHDETVTNDQIAKDLKEHVIKPVIPAQYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVAEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMITINLDLKRGGQFRYQKTAAYGHFGRDDADFTWETVKILKPKA >itb03g01810.t1 pep chromosome:ASM357664v1:3:1027971:1031217:-1 gene:itb03g01810 transcript:itb03g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANRIGNVLKQAVSRHMNPELSHSNSSLYQAYRSMSSSKIFVGGLSFGTDETSLKETFDQFGTVLEARIIMDRDTGRSRGFGFVSFSTADCATSAMQAMDGQELHGRRIRVNYATEKPRGGFGGGGYGNNSYGSGGGNYGRGDGYGGGSYGSGGGNYNSGAGGYGNYGSSGDGSYNSGAGGYGGGGNYGSSGGYGSDYGSNVGYNSSYATGERNIDGGNSGIGNNGNSYANTGFDRTAGYGGGQQQLNTNQEIPEPVTGDFTHDPLDGNYRDDDNEPDGYANKSG >itb04g30580.t1 pep chromosome:ASM357664v1:4:33670968:33671618:1 gene:itb04g30580 transcript:itb04g30580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISDKFYIMVPVLSFLLILSHTESRILTGGKTDAALECTNAVGAESGDTCQGVATQFNLTLEQFLGVNPNINCDSMFVGQWLCIV >itb14g06410.t1 pep chromosome:ASM357664v1:14:5652096:5653288:1 gene:itb14g06410 transcript:itb14g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKNTESADEVEELLRAAEDETLLRLSINSHTARGSSAQFIDADLDRRFQALKSRPKTSAVPNKPQKKPAPTASSRPDDVQGPKKVADGDLDGADDLFARFTALKSSLPSYSSSSGSVNDPGRAKLESGEEEDEDDEVEKLMKWAIDAARLDPSPPSDTDDDDVHDDSDEEEDEDSDGAKRKTKRK >itb12g19050.t1 pep chromosome:ASM357664v1:12:21352118:21353387:1 gene:itb12g19050 transcript:itb12g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLKSSSKRVKNEKVQDIDDDQNKEAASSSSSSSTSLTVWRKSLLFSCNGFTVIGSDGSLVFRVDNYSGHPHQIILMDGHGNPILTICRHKEKLRLVDNWLIYEGDIEGHSAAKISSSKKPIFCVRKQKKMLQQGNLNVLAHVYRGDISERRVVYVIEGSYVHRSCKVFDESRKMVAEIKKKQSRVSHGGVCVSFGLEVFVLVVRQGFDSGFAMAMVLLLDQMFS >itb06g23540.t1 pep chromosome:ASM357664v1:6:25454696:25456954:1 gene:itb06g23540 transcript:itb06g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSSPFSYIKIPKPPVSSSCTSMRFCATRPIIFTVRSSQAEGPFRRPVAPSPPTPVKPVPPSPPSSPPKPAAVAAVEDKTVITLEFQRQKAKELQEYFKQKKAEEANQGPFFGFIGKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGILDLE >itb05g04800.t1 pep chromosome:ASM357664v1:5:4423641:4425386:-1 gene:itb05g04800 transcript:itb05g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMKVKLLKKLKTIKIVGHNPKQERVLRLKASDGYVPISPPPPPHSPLSPIFIQEEEEEPEIIEVSELMKDLEDEEMEFLDAIDDKENIRPVSEAKNSENLSPLKPKIEAFYGKELQRGDSRFPSPLSEIDVSTFRFLQATIEAKIQHLTSSFNEEKEEEEEEEEQEPPMKAQKLEENTNPLLGFEEKCPAGGSDSVILYTTGLRGVRKTYEDCQNTRLLLQNLRVLFMERDISMHSEFKEELWGMLGQKVVPPRLFIKGRYIGGAERVFALHQQGKFKALLQGIPLDVLQEPCGGCGGMRFILCFRCHGSRKVHVDDDQNDDDDEWKKKCPECNENGLIVCPLCC >itb07g01150.t1 pep chromosome:ASM357664v1:7:711004:713624:-1 gene:itb07g01150 transcript:itb07g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTTTQSLSHAKIPKLFSNGGTFFAQNSVNFLGYNCLPRNLQCSAKHLRSLGAIHASEGQNTSTAVSIKWLLEPVGDGDTKHIGYPTAMPGAFEISSGAVTVGRVSEKADMVIPVPTVSGAHARLRHTEDCLVVTDLDSTNGTFIDEKRLRPGVAAAALPGTRITFGDTHLAIFRVSKLEKVDDAPEEQEESAEAS >itb08g06920.t1 pep chromosome:ASM357664v1:8:5919506:5925969:1 gene:itb08g06920 transcript:itb08g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MGSTSTLSLCSHPKIYFRIDTRKQGLQSEALFSSRRYCASGALVQFPSLRISFSRSRKCLHRRRLMVRAASSTGGSSSSRRVYRESQAEAPPVPMNEIASFVLPAGALVVVSFVLWKLVGKFFAPPKPASPSSEENKATEGLKWSFAPGTNLLSGFGQKVARESKQKLNEFAKELRLFSSVDMSGRNFGDEGLFFLAESLAYNQTAEEVSFAANGITADGLKAFDGILQSNVALKTLDLSGNAFGDEGAKCLSEILVDNAGIQKLQLNSTSIGDEGAKAIAEMLKKNSNLRVLELNNNLIDYSGFSGLAEALLENKSLQSLYLSGNYGGALGAAALAKGLEGNKSVLEIYLHGNSIGDEGVRALMSGLFSRRGKLVRLDISNNSISAEGAFHVAEHVKKSKSLQWLNLYMNDIKDEGVEKLAEALRENRSIVNLDLGGNDIHAHGISVFARVLKDNSVITVLELGYNPIGPDGAKALAEVLKFHGNIKDLMLGWCQIGAKGAEYIADMLKYNGTLSSLDLRANGLRDEGAICLAKSLKVVNEALTSLNLGFNEIRDEGAFAIAQALKANEDVRLTSVNLSNNFFTKLGQTALSDAKDHVYEMTERELNVLF >itb12g02260.t1 pep chromosome:ASM357664v1:12:1493664:1497185:1 gene:itb12g02260 transcript:itb12g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMEGLKKLQKLQSMMEMMQSFGIIITSSSDDDSTSLRFLANLALFVVQECCELDIDTKCHLIREHFPKFSPSFFVQASKCISSGASFQEPKTQDGNEEIQNTSLLCRDDKAGLGQKQMDYEDVALISLDSMERANSTLEDFCRSYFMFHKMDAHQPQSIFEYLPILSFTESFIYQLDSLNEQLLQPPKENTVLYNKSLQGADQSLALKPVNMKADPFSPLVAMLESSGLLTDRINEEFKSGIEYWSLERKLCYALSSKMEISLMDVMQAIRLKSFDYRVLNLLLYQLRGEKVNELHMEFLSISEFLVEICDDLFDYEDDVLNNNFNILRMFGRIYGPRAAPVILAQCITEAEEKYDSLLKALDPDLSVSHQRRCEEATKEGGKTCGPSLGTWCIPPIIADEDLYRSKVLRSKP >itb04g32260.t1 pep chromosome:ASM357664v1:4:34907481:34907827:-1 gene:itb04g32260 transcript:itb04g32260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPVLCSSIRNTGIQGSPRQSAQMHAHIDAQRQHTRSHACSSAKSVASSADACLQEPMAINKSALVTIIGRPREVALNVPRDS >itb12g12230.t1 pep chromosome:ASM357664v1:12:10762715:10766837:1 gene:itb12g12230 transcript:itb12g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCGTREESAVVSTAHRQVQQQLQGFSVANKNVGAEKKHNHSRSTSDLSDPSTPRDVEDFRKNVVLYTHVIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTTVPLSWSTRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKMLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCSGIANEASSSSLSGVGVPFGVGRVPEFQLHHRFAGNLGTAAGCRSPNPNCSPGGPAPCRVR >itb01g20790.t1 pep chromosome:ASM357664v1:1:27013110:27014195:-1 gene:itb01g20790 transcript:itb01g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSQGGQNLCPLNQELSRELRKLKKGSSNQLKEFEPVEINMETGESSNPGQMSPGGSSSRASPSQGAHTEAQPNTSQDPVAPTVTTSTMIERGHGQAVPQQEGISVIVASGGGSGSGVSC >itb06g04600.t1 pep chromosome:ASM357664v1:6:7394645:7397285:1 gene:itb06g04600 transcript:itb06g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAVNTNSEWSQNSSRSCSTAIPICQCSQPLQLRTLWTNDNPGRRFWVCTKDRGMSVGGCGFVSWYDPPMCSRSKSIIPGLLRRLNRNDEEIERLQLKLRATASRDKKSKLNCPCRVVMVWFLLSMMFVMWYYFKCTCGGSVKVVKRLPIA >itb05g23890.t1 pep chromosome:ASM357664v1:5:28906146:28907021:1 gene:itb05g23890 transcript:itb05g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLQFTRGPTDRRSRRGGGGFSSSGSHGSASRIKRGQKFGSDVDNGIITGGFGGRGKAAGGGGGCGGTVAAAAIVAAALAGTGFDIWGHSYKHSRHYVADLNNQPLKLHSESVWQIEGAALSTIHVTPEDGFSYASFEAVGYDLEAVKLSTMIERALRCFEPSEFSVAVYADVAGKLLDQNCSLDVKGYCLGEKSVTLKSLGLVVVLLSTRSFVGLLLPVVVHRSLFFKAAGNRKIKKKSSSVEGCFSFFIFKFCLRSLVCCSSLLH >itb07g11160.t1 pep chromosome:ASM357664v1:7:12567010:12572259:-1 gene:itb07g11160 transcript:itb07g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIESAWSYLITHFSDFQLASLGSFFLHESVFFLSGLPFIYFERSGRLSKYKIQTKNNTTAAQEKCITRLLLYHFCVNLPVMVLSYPVFRYMGMRSSLPLPSWKVISTQIIFYFIVEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAVTGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPVYGGADFHDYHHRLLYTKSGNYSSTFIYMDWIFGTDKGYRKLKALQGSGNEADKKEIENSCPTFPNPHHALIFIHAPSVKLKTPSLVNYTHRFGVCHNFSCFLLHCLLCKLLILLLIRSSNNGDAMVLDPNPIPKPYLEVPATRTDASNQDVSFDLYARLNRCRGSWNSSRYKRNTSRMN >itb05g06920.t1 pep chromosome:ASM357664v1:5:7847904:7848164:1 gene:itb05g06920 transcript:itb05g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAERKRRGLDTDDSCGVCQWRSETTEHILRTCNEAKEIWRVFTTIEQRRRWGQLDFCQWIADNIAQGRDEMDGEEWPRRFTIII >itb07g16970.t1 pep chromosome:ASM357664v1:7:20994225:20997184:-1 gene:itb07g16970 transcript:itb07g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSVGNFEGSQPNNGDVVCPNGGVSAVGDSHSPSTIAPPDATLGRHIARRLVEIGVRDVFTVPGDFNLTLLDHLIAEPSLNNIGCCNELNAGYAADGYARQRGVGACVVTFTVGGLSVINAIAGAYSENLPVICLVGGPNTNDYGTNRILHHTIGLPDFSQEFRCFQTVTCYQALINNLDDAHEQIDTAISTALKESKPVYVSISCNLAGIPHPAFSREPIPFSISPRLSNKLGTEAAVEAAATFLNKAVKPVMVGGPKLRAAKACEAFVELADANISTMLRCNQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAISNGESKCWTTKVFTEEDLINAIGKATGEMADCLCFIEVIVHKDDTSKELLEWGSRVASANGRPPNPQ >itb14g00710.t1 pep chromosome:ASM357664v1:14:492708:496311:1 gene:itb14g00710 transcript:itb14g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MDDVKDKFKGFIKKVNNQISSSSSSSGKFKGQGRVLGSSSSGPTPNSISSYSNPRPNQPTNSKPAVQQSSNSEQRAEKKPEIRVESEEKIQGKSEIRADQEAKVEARSGFDPYGSLITSGKRNPNGYELNVIECPVCGRGYGSEEEVSAHVESCLSSGVSNVNVSNDSEISEKGIEVKTELEACVSTYLSGKPSEGSLEIVLKLLRNIVKEPGNAKFRKVRMGNPKIKEAIADVAGGVELLEFVGFGLKDEGGEMWAAMDAPSEEGIGLIKKAVSLLEPQKVENFQSKAPAKAEEPAEPKKIDRQVRVFFAVPESVAAKIELPDSFFNLSREELRREAEMRRKKLEESQLLIPKSYKEKLAKATKKKYTKTVIRIQFPDGVVLQGVFLPSEPTSSLYEFVSSALKEQSLEFELLHPVLIKRRVIPCFPAAGEKARTLEEEDLVPAALIKCKPIETDSVVFTGLSNELLVISEPLVSENAVAP >itb05g26220.t2 pep chromosome:ASM357664v1:5:30218450:30222239:1 gene:itb05g26220 transcript:itb05g26220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKFVCRTYSLPFCFLSPPRFFPKTQILFHFSTASAFSSATVAVQSTPCKALHYGPSLHKGRKLPQFQSPIVPSSLELNSSFEEESFTRVFDIAALRVPSDLCSSLESRLRGHLLNWPRIRNVARVPGDEIEDELKEFLVNRSNSEADGDSLVNLQRRIYGKAEGDGERLSPVLYRDKLSKTFNSKGFVNFRNLAKISRPKERKKRGDEKEREKKGVGKNEMALIEVVETEVTGDEDMRGLLGDEFKGGKWRGSTRLLLLDEHCANKGFDEMPEAIKAVFREHSETTERPALEIVKCKLTLFYPYWQMDEVLEAILPEGMIVPSSFETVGHIAHLNLRDEHLPYKKLIAKVVLDKNKARIQTVVNKIDAIHSDYRTMQLEILAGNHSLVTRVIENGVHFHVDLATVYWNSRLATERQRLLSSFTHDDVVCDVFAGVGPLAISAAKKVKYVYANDLNPQAVEYMERNCVLNKLERKIEVYNMDGRRFIGTIFSSQKTRIITQVVMNLPNDAVDFLDVFRGLFRENHKYKDSTLPRIHVYGFSKAQDPEFDFHERIRIALSEVAFEVEMRSVRAVAPGKWMLCASFVLPERVAFGRAV >itb05g26220.t1 pep chromosome:ASM357664v1:5:30218450:30225522:1 gene:itb05g26220 transcript:itb05g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKFVCRTYSLPFCFLSPPRFFPKTQILFHFSTASAFSSATVAVQSTPCKALHYGPSLHKGRKLPQFQSPIVPSSLELNSSFEEESFTRVFDIAALRVPSDLCSSLESRLRGHLLNWPRIRNVARVPGDEIEDELKEFLVNRSNSEADGDSLVNLQRRIYGKAEGDGERLSPVLYRDKLSKTFNSKGFVNFRNLAKISRPKERKKRGDEKEREKKGVGKNEMALIEVVETEVTGDEDMRGLLGDEFKGGKWRGSTRLLLLDEHCANKGFDEMPEAIKAVFREHSETTERPALEIVKCKLTLFYPYWQMDEVLEAILPEGMIVPSSFETVGHIAHLNLRDEHLPYKKLIAKVVLDKNKARIQTVVNKIDAIHSDYRTMQLEILAGNHSLVTRVIENGVHFHVDLATVYWNSRLATERQRLLSSFTHDDVVCDVFAGVGPLAISAAKKVKYVYANDLNPQAVEYMERNCVLNKLERKIEVYNMDGRRFIGTIFSSQKTRIITQVVMNLPNDAVDFLDVFRGLFRENHKYKDSTLPRIHVYGFSKAQDPEFDFHERIRIALSEVAFEVEMRSVRAVAPGKWMLCASFVLPERVAFGRAV >itb05g25290.t1 pep chromosome:ASM357664v1:5:29769781:29771797:1 gene:itb05g25290 transcript:itb05g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNLVLGCLVSIIIFHVLQTGKGDETEESQPKKHISTDQILMFSGYFLLAMAVLLGICLWLCRNGRKNQSESHDVNKKVAAVEDSDFSTDAMSASTDFIKTSSKNMPETSSEFSSEMAAAPSHALVVLTSPEVNGLRFEDLLKSPAELIGRGKGGSSYKVMCENPRMILAVKRIKDWEISSRDFKTRMQRLDRAKHRSVLSAMAFYSSSQEKLLVFEYQNNGSLLRRLQATQTGESFEWMSRLNTAATIAESMSFMHGDLKNETIPHGNLKSSNILLTQAMEPRISEYGLKPAAENADFTQDVYAFGVILLELLTGKLPAKNNNSTEIMDLASWVVSVLREEWTVEVFDTALIREGADEERMVNLLQVAVSCVNRSPAARPAMNQVAAMINGIREEEERSMDVSRVSVISSR >itb12g13200.t2 pep chromosome:ASM357664v1:12:11969945:11970221:-1 gene:itb12g13200 transcript:itb12g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRIGINNLRLTLSPLLATDREDYPSPLTASSQRRRRLHPLASRPVAAAAGLHSPHVAVSELAAS >itb12g13200.t1 pep chromosome:ASM357664v1:12:11969945:11973850:-1 gene:itb12g13200 transcript:itb12g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDVSVRPSSSSRTLHPSAGSHLRRPHPVPLVSVQPALPLSKMQICAILQSQILVEHRPQVVNINPTVLAPFSFVLGRLSHGGRKRNRLREEHGAEPRHERRKRAANSGCRLLGLFHHPRRLPADLTAFPTPHASHPQIGINNLRLTLSPLLATDREDYPSPLTASSQRRRRLHPLASRPVAAAAGLHSPHVAVSELAAS >itb09g30780.t1 pep chromosome:ASM357664v1:9:31377064:31378813:1 gene:itb09g30780 transcript:itb09g30780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENALIDYKEKEKVKVKSVAFALCSDDKETHRTPTSAQKASDDGVLKSTERLKDRDAALAQLELEKRLALIKAWEENEKAKADNKAHKKLSAIAAWENTKKASVEAQLKQIEEIFEKKKAEYGEKMKNKVAEVHREAEEKRAEVEVKRGEQMVKLEEDAAKFRSTGYVPTRFLGCFSCGLGTELV >itb09g30550.t1 pep chromosome:ASM357664v1:9:31183271:31184863:-1 gene:itb09g30550 transcript:itb09g30550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMADSTTPLLTETPVFQDSDHPRLQSLPKPDFWSIEEMIEPLMSGFGWYQLLQVILVSMASFFEGQQTFITIFTDATPSWHCTDSFCNSQSDICELPTNSWSWDKPSHTSIISEWSLYCSQSSIITGLPSSSFFLGSFLGGIVLTLIGDSFGRKTVLSLSCFTMSMASVFSAFSANIWVYSGLRLVSGLGKAAIGSCVLVLSRESVGKQWESQVGTLGFLCSTLGFLSLPGIAYLTQGYSWRVLYLATSLPAVIYSLILVQFCVYESPRWLLAQGRVDEAEDVLNRFSDNPNSSNLDLSEVLLIRKDNQDPKSTKTPQSAVKILLQKGWFFRQLVLAMLAGFGIGMIYYGMPLGVGNLDIDLYLSSALNACLEIPSTLIIYFVVDKWKRRTTLLGLSTTSGVSGMLCIVLSEWKAAECAVELVSLFAACTAFSLLLIFTAELFPVSIRNSALSAVWLAVVLGGVVSPVLLASTDEKNKVWSYVVLGVLAIVLGSAVIWLPETMGSIEEEEGKDDQIVWNDSSKDENA >itb03g16330.t2 pep chromosome:ASM357664v1:3:15339322:15341370:-1 gene:itb03g16330 transcript:itb03g16330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDLLMQQPSEEKEQNRMDNNNLEEEVEELRQELDGQMQMKTVLQAALHGPALTSSCPCLSSLPPMVRELIEELALVEDEIFWLERKVDELKLNLFREKGRRERWGRQLHHQNKLLIPRPANSVHEQPCNSQSCEEFIKCRSIQRERRASIGSPLDFRTISSSTMSNGESSRGSRRRRHYSQPDIEISCEKPNKLSEELIKCVITIFLKLNKASLECRGGSSPVLSCKKSKGFMSKTSLNCAAPTFSFNDYASNLDPYGILVDVGDGSSITEIGSYKNFIQVSRTSLDTRHISECLPEMGKLRILLHKLSSADLSFLAYKQKLAFWINIYNACIMHVFLQHGLPSSEEDQLALVNKAAINVGGIVLNALAIEHFILRHPSDTEYVSKHISSPCSVLIYCQFKLLVKTEHMLLISLLAPVHRSYLLSI >itb03g16330.t1 pep chromosome:ASM357664v1:3:15338652:15341370:-1 gene:itb03g16330 transcript:itb03g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDLLMQQPSEEKEQNRMDNNNLEEEVEELRQELDGQMQMKTVLQAALHGPALTSSCPCLSSLPPMVRELIEELALVEDEIFWLERKVDELKLNLFREKGRRERWGRQLHHQNKLLIPRPANSVHEQPCNSQSCEEFIKCRSIQRERRASIGSPLDFRTISSSTMSNGESSRGSRRRRHYSQPDIEISCEKPNKLSEELIKCVITIFLKLNKASLECRGGSSPVLSCKKSKGFMSKTSLNCAAPTFSFNDYASNLDPYGILVDVGDGSSITEIGSYKNFIQVSRTSLDTRHISECLPEMGKLRILLHKLSSADLSFLAYKQKLAFWINIYNACIMHVFLQHGLPSSEEDQLALVNKAAINVGGIVLNALAIEHFILRHPSDTEYDLRDEKEMMLRNAYGLGYPEPNITFALCRGSWSSPALRIYTGDVVNELERAKVEYLEAAVGVSSKKKIMVPKLMQWHMKDFADDMESLIEWIYSQLPHSSTLKALIMESLQDKAPLAKMIDIQPYASEFRYLLPL >itb03g16330.t4 pep chromosome:ASM357664v1:3:15338652:15341370:-1 gene:itb03g16330 transcript:itb03g16330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDLLMQQPSEEKEQNRMDNNNLEEEVEELRQELDGQMQMKTVLQAALHGPALTSSCPCLSSLPPMVRELIEELALVEDEIFWLERKVDELKLNLFREKGRRERWGRQLHHQNKLLIPRPANSVHEQPCNSQSCEEFIKCRSIQRERRASIGSPLDFRTISSSTMSNGESSRGSRRRRHYSQPDIEISCEKPNKLSEELIKCVITIFLKLNKASLECRGGSSPVLSCKKSKGFMSKTSLNCAAPTFSFNDYASNLDPYGILVDVGDGSSITEIGSYKNFIQVSRTSLDTRHISECLPEMGKLRILLHKLSSADLSFLAYKQKLAFWINIYNACIMHVFLQHGLPSSEEDQLALVNKAAINVGGIVLNALAIEHFILRHPSDTEYDLRDEKEMMLRNAYGLGYPEPNITFALCRGSWSSPAVSAFVPSFFFFLTVLHCSICSSVLSCS >itb03g16330.t3 pep chromosome:ASM357664v1:3:15338652:15341370:-1 gene:itb03g16330 transcript:itb03g16330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDLLMQQPSEEKEQNRMDNNNLEEEVEELRQELDGQMQMKTVLQAALHGPALTSSCPCLSSLPPMVRELIEELALVEDEIFWLERKVDELKLNLFREKGRRERWGRQLHHQNKLLIPRPANSVHEQPCNSQSCEEFIKCRSIQRERRASIGSPLDFRTISSSTMSNGESSRGSRRRRHYSQPDIEISCEKPNKLSEELIKCVITIFLKLNKASLECRGGSSPVLSCKKSKGFMSKTSLNCAAPTFSFNDYASNLDPYGILVDVGDGSSITEIGSYKNFIQVSRTSLDTRHISECLPEMGKLRILLHKLSSADLSFLAYKQKLAFWINIYNACIMHVFLQHGLPSSEEDQLALVNKAAINVGGIVLNALAIEHFILRHPSDTEYDLRDEKEMMLRNAYGLGYPEPNITFALCRGSWSSPAVM >itb10g13690.t1 pep chromosome:ASM357664v1:10:19893985:19894314:-1 gene:itb10g13690 transcript:itb10g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVLVDGINFIPQGTQIQNILFSLVVTKSSCIPLINDLPSQGKIVWSIDHIFSWDIGNWVLLVQLKKTDQELDSRLSSPACIMNETMMFVMMMRMGKDLLAEITAMT >itb05g24490.t1 pep chromosome:ASM357664v1:5:29266291:29270029:-1 gene:itb05g24490 transcript:itb05g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFCPPNPAFSVSTSAHSHRKATLRHFAVRLPARRRLESNAPNRLKVFATSSAIPVMDQSPQSKASSHVPAIVEVDLGNRSYPIYIGSGLLNQPQLLQRHIHGKKVLIVTNTTVAPLYLDKTISALTDGNPNVSVETVILPDGEKFKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASYLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLVDTDTLNTLPDRELASGLAEVIKYGLIRDAEFFEWQENNMSALLARDPEAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSRRLGWIDDSLVHRVDKILQQTKLPTAPPKTMTVEMFKSIMAVDKKVADGKLRLILLKGPLGNCVFTGDYDKKALDQTLHAFCKS >itb12g22260.t1 pep chromosome:ASM357664v1:12:24390741:24391286:1 gene:itb12g22260 transcript:itb12g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAGAFTFLVLAVTSCLFQTQATRPSQFITITSSPALAPTTSEISFPLASLSTPASAPGPAIGDISSLPHPCRLQVQHRKLVFQWIQHPHLDGWTGRRPWKATPGTSFMDSRRGRTRPPRHSASPGRQRILSTTISTTMAASLRGILTNTTATPSPRGRETGNERYQVHGQRQVLQWLL >itb10g16920.t1 pep chromosome:ASM357664v1:10:23166072:23168762:-1 gene:itb10g16920 transcript:itb10g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPMDTHEAQVWFALERGVPAMIGALGSQRMPYPSRAFDMAHCSRCLIPWADFDGLYLAEVDRVLSPGGYWILSGPPVGWKRHWRGWERTQEDLKKEQDSIEDHARKLCWKKVIEKGDLAVWQKPINHLECTRVKSTSDSLSFCKPDANADAAWYKDMELCMTPLPVVGNADEVAGGALEKWPDRAFAVPPRIISGTVPGITPEKFQADNEEWKRRMSHYSRIVSPLAQGQYRNVMDMNANLGGFAAALLKYQVWVMNVVPVTVEPDTLGIIYQRGFIGTYQDWCEAFSTYPRTYDLIHAARVFSIYQDRCDIAYILLEMDRILRPEGTVIIRDVVEVLVKVKSITDGMRWRSRITDHESGPFNPEKILIAVKTYWTGGKAKGE >itb15g04150.t1 pep chromosome:ASM357664v1:15:2626636:2628130:-1 gene:itb15g04150 transcript:itb15g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRSWLNYVKQLFIPARKPKAEISLVQKTLHDTTEEQRKHALTVALATAAAAEAAVASAKFAATVFREANARHEILEIKNSAAIKIQSAYRAYLARKALSALKGLVKIQAMIRGEIARRRLIAILKCMIPFPNSLLHIQLLRAPILDDSRYENQKKLLMSQNDITRSRELKQLRFHVHGTRDFNVDSNKDVENLWPRKQEAIVRRKRIKKYYSSSPRGRRNGEILLEPLAIKEDQGGCRFNQWTESNLLSKIAVSNSPRGGMNQMKQVHGRHARNQDLIDEPTSTPPRRLLSPIRQKICYDSSPVHSPTFPNYMTDTESERARVRARARSRSTPRQQSRFYDDYPAKHSPYSARCSRSLYTDEMSARDGNTRITDIVSMDVQGFYCY >itb06g11990.t1 pep chromosome:ASM357664v1:6:16545938:16546384:-1 gene:itb06g11990 transcript:itb06g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTSHPIVLLLLLSLIITQSSWQVDGRGIETTIYLFNQLPQESDIFKVHCFSKDDDLGYHDVSRANSPFAWSFIENFWGTTLFACHFWWGSKDQAFEVYGGAIHPKMDPTKWRKFHAGLYYYYVRSDGFYLSHDANPVQARQVMTW >itb04g22880.t2 pep chromosome:ASM357664v1:4:28129260:28134064:-1 gene:itb04g22880 transcript:itb04g22880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPHSSLGYSGTVQPPHQGTHSPLPDSALSSPPFPSTSGPRFPPPPIVQPNQVPSPSIKTPNLPSSANGIRTGSPVPHLSTPPGPPRFSPPIQPAAVPFRTSPAVPQPVVFSSGSSLPTSSPPHFSNGSLEELHQTSGDREEWTNSTESPNVVFSAYKVFKQKKLANVLSLGFGALVSPGREVSLGPQIIQRDPIRCHNCGAYANLYCNILPGSGQWQCVICRHLNASEGRYVASSKEELRNLPELSSPLVDYVQTGNKRPGFFPVSDTRVSAPIILVIDECLDEPHLQHLQSSLHAFVDSLPPTTRLGIIVYGRTVSVYDFSEESMASADVLPGNISPSEESLKALIYGTGIYLSPMHASLPVAHSIFSSLRPYKLTTPEASRDRCLGTAVEVALAIIQGPSAEMSRGVVKRPGGNSRIIVCAGGPNTCGPGSVPYSFSHPNYPHMEKTALKWMENLGREAHRHSTVIDILCAGTCPVRVPVLQPLAKASGGVLILHDDFGEAFGVNLQRASGRAAGSHGLLEIRCSDDIFISQVIGPGEESHVDNHESFKSDNALSIQMLSVEETQSLALCMETKRDIKSDFVYFQFAFNFSDVYQSDISRVISARLPTVDSISAYLASVQDEVAAVLIAKRTLLRAKNANDALDMQGTVDERIKDIAIKFGSQMPKSKLYQFPKELSLLPELLFHLRRGPLLGSILGHEDERSVLRNLFLNASFDLSLRMIAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELDAQDGKGAAALAACRTLAEELTEMRFPAPRILAFKEGSSQARYFVSRLIAAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFLHFDDPSFCEWMRSLKVLPPEPS >itb04g22880.t1 pep chromosome:ASM357664v1:4:28129260:28134374:-1 gene:itb04g22880 transcript:itb04g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPHSSLGYSGTVQPPHQGTHSPLPDSALSSPPFPSTSGPRFPPPPIVQPNQVPSPSIKTPNLPSSANGIRTGSPVPHLSTPPGPPRFSPPIQPAAVPFRTSPAVPQPVVFSSGSSLPTSSPPHFSNGSLEELHQTSGDREEWTNSTESPNVVFSAYKVFKQKKLANVLSLGFGALVSPGREVSLGPQIIQRDPIRCHNCGAYANLYCNILPGSGQWQCVICRHLNASEGRYVASSKEELRNLPELSSPLVDYVQTGNKRPGFFPVSDTRVSAPIILVIDECLDEPHLQHLQSSLHAFVDSLPPTTRLGIIVYGRTVSVYDFSEESMASADVLPGNISPSEESLKALIYGTGIYLSPMHASLPVAHSIFSSLRPYKLTTPEASRDRCLGTAVEVALAIIQGPSAEMSRGVVKRPGGNSRIIVCAGGPNTCGPGSVPYSFSHPNYPHMEKTALKWMENLGREAHRHSTVIDILCAGTCPVRVPVLQPLAKASGGVLILHDDFGEAFGVNLQRASGRAAGSHGLLEIRCSDDIFISQVIGPGEESHVDNHESFKSDNALSIQMLSVEETQSLALCMETKRDIKSDFVYFQFAFNFSDVYQSDISRVISARLPTVDSISAYLASVQDEVAAVLIAKRTLLRAKNANDALDMQGTVDERIKDIAIKFGSQMPKSKLYQFPKELSLLPELLFHLRRGPLLGSILGHEDERSVLRNLFLNASFDLSLRMIAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELDAQDGKGAAALAACRTLAEELTEMRFPAPRILAFKEGSSQARYFVSRLIAAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFLHFDDPSFCEWMRSLKVLPPEPS >itb01g18540.t1 pep chromosome:ASM357664v1:1:24077141:24078380:1 gene:itb01g18540 transcript:itb01g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRLRRTLLELSAAGLDQYRTLLGNSSCFTSPTSSPSRSCRRRASAITVGEGAAARCSLSHRRASAVAELQPSPPSRHGPPVATVAEHRSHHRWRRRCCPLPLSHGLRRYKSRRCDIALQ >itb08g05570.t1 pep chromosome:ASM357664v1:8:4604437:4607793:-1 gene:itb08g05570 transcript:itb08g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKGYISIWYKKIPVPTVIWTANTQTPLPNTTSAVLKIVKSGRLLLTHPNHSTIWSTNNNTTSQSPKNPIAQLLDSGNLVVRDADDENPQNFLWQSFDYPTDTYFPGMKLGWNFETGHEVFLTANKNESSPAPGNFTAHLDPTGYPQIVIKSGTQEVYTTGPWNGLRWSGTPSINPDDPHFKYKMNMNPREVYTRYEIVNNSIYLRLVLSSSGVFESWGWVNETKSWFSFIKSPMDICDNYAFCGSNGICNLAKSPLCGCLENFVKNTGGADSCHRRKALKCKNGTDGFKKYSGIKLPDTKYSWFNKTMNLVECEHECLKNCSCTAYSSLDISKGGSGCLLWFNGLFGIRVLSKNGQEIYIRLDSSEIPEPITKGSHPSSKGKKGKIIFGSLLLLTIMILLGLSLCFYFYKKSNKKERKLKESLDIPLFDLSTILRATNNFSNNNKLGKGGFGDVYKGVLRNKQEIAVKRLSKNSTQGIEEFKNEVIYIAKLQHRNLVKLLGCCIQGEEKLLVYEYMANKSLDTFIFDETKSKLLDWPKRFSIIIGIARGVLYLHQDSRLRIIHRDLKANNVLLDNMMNPKISDFGLARSVLGDATEANTNRIVGTHGYISPEYAGDGIFSVKSDTFSFGVLLLEIVTGKRNRGFRHPNHSLNLITHAWKVYKENRELELIDEHLAPSCDLSQAQRCIQVGLLCVQQHPEDRPTMSSVVTMLSNDCTLPEAKEPGFFTERRASESNYSTSTQGESSKNECSISLLDPR >itb02g06530.t1 pep chromosome:ASM357664v1:2:4068790:4072385:-1 gene:itb02g06530 transcript:itb02g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATKNPGFPLYEIQFRNNRISRAIEIIILFVLLSLICYRLFSLRSHDQIPWLLALICEAWFTFVWVLAVNAKWNQIQTKTYPQRLLQWLGDGASEFPAVDMFVTTADPELEPPIITVNTVLSLLAVDYPAKKLACYVSDDGASPLTFYSLVEASKFAKLWVPFCKKYNVAVRSPFRYFQANPTFSQDSSLDFQHEWKKMKDEYSKLCAKIEDASQDSLSHNFIGEFSVFTKIERRNHPTIIKVIWENKESVASSDDVPHLIYISREKYPKYPHHFKAGAMNVLTRVSGVITNAPFMFNVDCDCYANDPKVVLHAMCFFLGVDDEKEAGFVQFPQSFSGGLKDDPYGNQMKIIMEFLGRGIAGIQGPFYQGTGCFHRRKVIYGLSPNVADTNADKEQWETFGKSKSFTLSTSQILSGSLYPEIPIFSNSLDAANQVASCGYESGTAWGQKVGWMDMLTGVTIHSKGWKSAYCAPDPPGFLGSAPTGGPGALTQQKRWATGLMEILICKKSPIISTVFRKLQFRQCLAYLWVMVWPIRPIFELCYALLPAYCIINNSHFQPNINEGAIIIAASIFIIYNLYTLSEYIRTGESLRAWWNNQRMLKVNSSAPWLFGLLSVILKVVGLSETVFEITKKDQSSDDDNEDSNVGRFTFDNSPLFVPGTTILLVNMAALFIGFLDFIQEKSRSWSLGEVICSVWVILMYWAFLKGLFGKGKYGIPLSTIAKSVGLGLGLLFVHVCK >itb15g14170.t1 pep chromosome:ASM357664v1:15:12372260:12403919:1 gene:itb15g14170 transcript:itb15g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLSPPLSTLSSSSSSSQSKLAQFSRSKQASFVRFYPKHFANSGRRKSGLAVNACVKLEETNVSQAGNQWGKVSAVLFDMDGVLCNSEEPSRRAAVDVFAEMGVQVTVEDFAPFTGMGEANFLGGVANVKGVHGFNPEAAKKRFFEIYLDKYAKPNSGIGFPGAFELVTQCKSKGLKVAVASSADRIKVDANLTAAGLSLSMFDAIVSADAFENLKPAPDIFLAASKILNVPPSECVVIEDALAGIQAAKAAKMRCIAVTTTLAEDTIEAAAPSLIRKGISDISLDDILSGGSGSNNVKMQGPQPINYPAPSSLEPNSKRMTPVQDKYPTIGSVSSIGGVQVSRRNVVRYASLGIAASCLFFAITNWKAMQYTSPKAIQNLLFGVSSPPFGQNEDTASSQRIQQFINYISDVEGRKNATIVPEFPSKLDWLNTAPLQLRRDLRGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFVVVGVHSAKFDNEKDLEAIRNAVLRYEITHPVVNDGEMNLWRELGISSWPTFAIVGPNGKLLAQIAGEGHRKDLDDLVEAALLFYGRKKLLDNTPIPLRLEKDNDPRLLASPLKYPDVKMQGPQPINYPAPSSLEPNSKRMTPVQDKYPTIGSVSSIGGVQVSRRNVVRYASLGIAASCLFFAITNWKAMQYTSPKAIQNLLFGVSSPPFGQNEDTASSQRIQQFINYISDVEGRKNATIVPEFPSKLDWLNTAPLQLRRDLRGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFVVVGVHSAKFDNEKDLEAIRNAVLRYEITHPVVNDGEMNLWRELGISSWPTFAIVGPNGKLLAQIAGEGHRKDLDDLVEAALLFYGRKKLLDNTPIPLRLEKDNDPRLLASPLKYPGKLAVDVLNNRLFISDSNHNRIVVTDLEGNYIAQVGSTGEEGLRDGNFDEATFNRPQGLSYNAKKNLLYVADTENHALRVIDFVNEIVRTLAGNGTKGSDYEGGGTGSAQVLNSPWDVCFEPDNEIVYIAMAGQHQIWEHNTLDGVTKAFSGDGYERNLNGSSSRNTSFAQPSGISLSPDRKEAYIADSESSSIRVVDLRTGGSRLLAGGDPNFSDNLFRFGDSDGIGSGALLQHPLGVFCGQDGQIYIADSYNHKIKKLDPVSKAVSTLAGTGQAGFKDGASSTAQLSEPAGIVQAESGRLFIADTNNNLIRYLDVNNEKAELHTLELKGVLPPAPKSRSLKRLRRRSGADTQTIVVSGGSSKEATLRLQISVPEGYHFSKEAQSKFSVEMEPEDAALVDPLDGNLSAQGSAVLHVRRSSTSSSMGRINCKVYYCKEDEVCLYQSLTFEVPFEEVNTDSSSPAEITLAYVVKPKVSTDSFQTPVNR >itb15g14170.t2 pep chromosome:ASM357664v1:15:12372260:12403919:1 gene:itb15g14170 transcript:itb15g14170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLSPPLSTLSSSSSSSQSKLAQFSRSKQASFVRFYPKHFANSGRRKSGLAVNACVKLEETNVSQAGNQWGKVSAVLFDMDGVLCNSEEPSRRAAVDVFAEMGVQVTVEDFAPFTGMGEANFLGGVANVKGVHGFNPEAAKKRFFEIYLDKYAKPNSGIGFPGAFELVTQCKSKGLKVAVASSADRIKVDANLTAAGLSLSMFDAIVSADAFENLKPAPDIFLAASKILNVPPSECVVIEDALAGIQAAKAAKMRCIAVTTTLAEDTIEAAAPSLIRKGISDISLDDILSGGSGSNNVKMQGPQPINYPAPSSLEPNSKRMTPVQDKYPTIGSVSSIGGVQVSRRNVVRYASLGIAASCLFFAITNWKAMQYTSPKAIQNLLFGVSSPPFGQNEDTASSQRIQQFINYISDVEGRKNATIVPEFPSKLDWLNTAPLQLRRDLRGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFVVVGVHSAKFDNEKDLEAIRNAVLRYEITHPVVNDGEMNLWRELGISSWPTFAIVGPNGKLLAQIAGEGHRKDLDDLVEAALLFYGRKKLLDNTPIPLRLEKDNDPRLLASPLKYPDVKMQGPQPINYPAPSSLEPNSKRMTPVQDKYPTIGSVSSIGGVQVSRRNVVRYASLGIAASCLFFAITNWKAMQYTSPKAIQNLLFGVSSPPFGQNEDTASSQRIQQFINYISDVEGRKNATIVPEFPSKLDWLNTAPLQLRRDLRGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFVVVGVHSAKFDNEKDLEAIRNAVLRYEITHPVVNDGEMNLWRELGISSWPTFAIVGPNGKLLAQIAGEGHRKDLDDLVEAALLFYGRKKLLDNTPIPLRLEKDNDPRLLASPLKYPGKLAVDVLNNRLFISDSNHNRIVVTDLEGNYIAQVGSTGEEGLRDGNFDEATFNRPQGLSYNAKKNLLYVADTENHALRVIDFVNEIVRTLAGNGTKGSDYEGGGTGSAQVLNSPWDVCFEPDNEIVYIAMAGQHQIWEHNTLDGVTKAFSGDGYERNLNGSSSRNTSFAQPSGISLSPDRKEAYIADSESSSIRVVDLRTGGSRLLAGGDPNFSDNLFRFGDSDGIGSGALLQHPLGVFCGQDGQIYIADSYNHKIKKLDPVSKAVSTLAGTGQAGFKDGASSTAQLSEPAGIVQAESGNVTFMQLHSPYIF >itb01g21260.t1 pep chromosome:ASM357664v1:1:27420093:27425774:-1 gene:itb01g21260 transcript:itb01g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKMIRERSLKFVVSASLLLLATCVYSTISSDASSDGAASTATTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFFRVLTGRHEAAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDAEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSKKGENSYSHHLDSDVGVSVIDRFTFYTLAFFERVNMYSNASLSSLFNSYNPNLLMSTAYYRTDLYPRLLEEVPVTNFFGSVMETIHTDSAYKAFSTERATIQKPVDQSDHYIRRTLSSSDDQEQTSDSNTKGQPVNCPFTRFWSSIHIKMERIKDVDSLMSYGLALMLPLVAISSWVSHR >itb01g21260.t2 pep chromosome:ASM357664v1:1:27420093:27425774:-1 gene:itb01g21260 transcript:itb01g21260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKMIRERSLKFVVSASLLLLATCVYSTISSDASSDGAASTATTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFFRVLTGRHEAAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDAEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSKKGENSYSHHLDSDVGVSVIDRFTFYTLAFFERVNMYSNASLSSLFNSYNPNLLMSTAYYRTDLYPRLLEEVPVTNFFGSVMETIHTDSAYKAFSTERATIQKPVDQSDHYIRRTLSSSDDQEQTSDSNTKGQPVNCPFTRFWSSIHIKMERIKDVDSLMSYGLALMLPLVAISSWVSHR >itb01g21260.t3 pep chromosome:ASM357664v1:1:27421049:27425760:-1 gene:itb01g21260 transcript:itb01g21260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKMIRERSLKFVVSASLLLLATCVYSTISSDASSDGAASTATTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFFRVLTGRHEAAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDAEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSKKGENSYSHHLDSDVGVSVIDRFTFYTLAFFERVNMYSNASLSSLFNSYNPNLLMSTAYYRTDLYPRLLEEVPVTNFFGSVMETIHTDSAYKAFSTERATIQKPVDQSDHYIRRTLSSSDDQEQTSDSNTKGQPVNCPFTRFWSSIHIKMERIKDVDSLMSYGLALMLPLVAISSWVSHR >itb01g21260.t4 pep chromosome:ASM357664v1:1:27421049:27425760:-1 gene:itb01g21260 transcript:itb01g21260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLKMIRERSLKFVVSASLLLLATCVYSTISSDASSDGAASTATTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFFRVLTGRHEAAVPRSKRLLSDEGSHILVYMTGHGGDEFLKFQDAEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSKKGENSYSHHLDSDVGVSVIDRFTFYTLAFFERVNMYSNASLSSLFNSYNPNLLMSTAYYRTDLYPRLLEEVPVTNFFGSVMETIHTDSAYKAFSTERATIQKPVDQSDHYIRRTLSSSDDQEQTSDSNTKGQPVNCPFTRFWSSIHIKMERIKDVDSLMSYGLALMLPLVAISSWVSHR >itb08g01390.t1 pep chromosome:ASM357664v1:8:1025263:1030102:1 gene:itb08g01390 transcript:itb08g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARAEPGDFEDYKVSRVRHIPVKNKEARFLKRRQNYDYAYAETGIAPESSQGFRKSFDEDTSNRSSSGSAVSNSESSAQFGGTEVSDLTGPAQPNIWDTTVPSRKRTCLGRPKPSPVEKLTKDLYTILHEQQSSYLSGSSEEDLLFESDKPMVSVEIGHGSVLIRHPSSIGREEESEASSLSVDYKQHSLNEAYLRFSTPSSKGANAPNVGSERIKKPTGQGMDQELVKRDKDQLEKLQILAHHDSPLCYIDLKEIVNFDEFTAHLTIEEQQQLVKYLPIIDTFAPPDSFKGMFESSQFKENLLSFQKLLVDGIFDNSLSGVKVEDCRTLKRYALCNLTKSKWVEQHNHLEDVKCKNRSKGSEVAGESKVVGTGFLVNVKRPRDGQHPKLSGANTVMKSPKRATNRFSSGHKETAGSDASCFMPKLLSALPPDYGSIVLDSFGFATESSDQDLLLDVPSNSSFPQAELLPVSSLIAQASTGSSSVYHHLARP >itb05g02540.t1 pep chromosome:ASM357664v1:5:2035615:2039126:-1 gene:itb05g02540 transcript:itb05g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDTLYNNLFSSVISDIKSYAGRDPLLPWLRGIRKMKESLPPQALREKLPRFLQKCAQTFETDRRYSNDLRYLRVWLQLMDYVDDPKAVLRSMEMNRIGMKNALFYQAYALYYEKMKKFEAAEKMYHLGVQNLAEPLDELHKSYDQFINRLEKHKNKKIQRQERKIMSRLPCTISVPLNDKGTKENNENLLTTENTAKIGHDLQVKEVNHQNLGLNPRNMGGFAGPSTDNGALDDFSRKLCVKESNDHRKFSGEDTIVVKKFVGNAIVGKSDFEDARHHGLVEPTINTKEAINAINSMFREPLEPSFAVKKSTRSQPKVDPVSNNGFEIYVDEKTVDGVQPSDQILTSGASTSGTARIGTQQPLQEPFQIYVDEDEYNDESNDAMEGVCSDRSNHNKLQHFTGSTSIPNEVVKGFVFPRPSDAVSEPLKDHDTERPPQERLRREDTVVIRFVGSTISDDLEVENACHHGLVDPTINLKEAMADINSMFGKPIEFTRKSRAKKQNTANKMEGDTAGFMILPDDDVDHSQEKKSQLSSSLRDNQPKYQFLPTKMEGDSGGFLILPDDEIDHPQEKKSQLSSTLRRENDLFEKTVCTKEAMDEINKMFSMPLDF >itb01g36180.t1 pep chromosome:ASM357664v1:1:38066903:38069348:1 gene:itb01g36180 transcript:itb01g36180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLFVRRRIFPSQVVVPTTFLVLALVVMITPSAVLQNAAAITCRNFCNNILIKYPFGIDDGCGAPQYRHMLNCSSTDLFFLTPSGSYKVQSIDYDHSSMLIFDPAMSTCSILQPHHDFLMTDLQSAIIPPSPDTVFALLNCSVDSPVLNRYKNLCFNFSAHSCDELYGACTSFKLFHLLSNTTPPCCFTGYDTLKYMSMNILDCTHYTSVYNTDDLKGVGPLDWLYGIKLSFSVPDTGCERCTNSGGTCGFDVETQGTSCICSTTTNSTRECD >itb04g33210.t1 pep chromosome:ASM357664v1:4:35525075:35531669:1 gene:itb04g33210 transcript:itb04g33210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAANGGGGGGIEEGVRRQGAAYLVWEDLTVVLPNFGHGPTKKLLHGLSGYAQPGRIMAIMGPSGSGKSTLLDSLAGRLSSNVVMTGNILLNGKKRRLDYGVVAYVAQEDVLLGTLTPRETITYSAKLRLPTTLTDDEIKQIVEGTIMEMGLLDCADRLVGNWQMRGISGGEKKRLSIALEILVRPHILFLDEPTSGLDSAAAFFVVHALKNISSDGRIVVSSIHQPSSEVFALFDDLYLLSGGETVYFGEAQMAKEFFAEAGFPCPSRRNPSDHYLRCINSDFDIVTATLKGSQRIQETQKSDYLMNMATADIKALLVQNYRSSKYGTGARDRLQEFSKIQDIEIQSIKGSQAGWGKQLKTLTQRSFLNMNRDVGYYWSRIVIYILVALCVGTLFFNVGTKYSSILARGACGGFVTGYMTFMSIGGFPSFVEEMKVFSKERLNGHYGVGAFILANFFSSFPFLVGISVMTGTIVFYMVYKASFSHFVFYCLNLFGCIALVESCMMIVSALVPNFLMGIIVGAGVLGVMMMTAGFFRLLPDLPKPVWRYPVSYIGYGAWSLQGGYKNDLLGLVFDPLFPGQSKLSGEEVIQQMFGLPLDHSKWWDLLALYCLIICYRFTFFLVLKLKEKSGPFLRSVYAKRKLKRLKKRPSFMAKPSFPSKRHQPLHSLSSQEGLSSPIP >itb04g23700.t2 pep chromosome:ASM357664v1:4:28656378:28660183:1 gene:itb04g23700 transcript:itb04g23700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEYQEGYIRNSRGVQLFTCRWLPFSSPKALVFLCHGYGMECSDFMRDVGIKLASHGYAVYGIDYEGHGRSMGARCYIESFDNIVKDCSLYFKSVCAQEEYREKRRFLYGESMGGAVALLIHKMDPSFWHGAILVAPMCKISEKVKPHPLVISLLTKVEDVIPKWKIVPTKDIIDSAFKDPVKREEITLPFFVLHGEADTVTDPEVSKALYKQASSKDKTIKLYPGMWHGLTSGEPDDNIEIVFSDIISWLDKRSSNETDATSQSQVIPVPASGMIPRRTTSHTSYFCRRAQFHSAM >itb04g23700.t1 pep chromosome:ASM357664v1:4:28656176:28660184:1 gene:itb04g23700 transcript:itb04g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEYQEGYIRNSRGVQLFTCRWLPFSSPKALVFLCHGYGMECSDFMRDVGIKLASHGYAVYGIDYEGHGRSMGARCYIESFDNIVKDCSLYFKSVCAQEEYREKRRFLYGESMGGAVALLIHKMDPSFWHGAILVAPMCKISEKVKPHPLVISLLTKVEDVIPKWKIVPTKDIIDSAFKDPVKREEIRGNKLIYQKKPRLKTALEMLRTSMNLEESLWKITLPFFVLHGEADTVTDPEVSKALYKQASSKDKTIKLYPGMWHGLTSGEPDDNIEIVFSDIISWLDKRSSNETDATSQSQVIPVPASGMIPRRTTSHTSYFCRRAQFHSAM >itb04g23700.t3 pep chromosome:ASM357664v1:4:28658288:28660183:1 gene:itb04g23700 transcript:itb04g23700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVALLIHKMDPSFWHGAILVAPMCKISEKVKPHPLVISLLTKVEDVIPKWKIVPTKDIIDSAFKDPVKREEIRGNKLIYQKKPRLKTALEMLRTSMNLEESLWKITLPFFVLHGEADTVTDPEVSKALYKQASSKDKTIKLYPGMWHGLTSGEPDDNIEIVFSDIISWLDKRSSNETDATSQSQVIPVPASGMIPRRTTSHTSYFCRRAQFHSAM >itb09g25410.t1 pep chromosome:ASM357664v1:9:25446520:25451754:1 gene:itb09g25410 transcript:itb09g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPYFTALTTFFSYILLFAFGQFRDFFRKIFDWWHSSNLQGYAPICLGLEDFYTRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTTKVSKCLNLGSYNYLGFAASDEYCTPRVIDSLKRFSPSTCSTRVDGGTLSLHTELEECVANFVGKPAAIVFGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVVVEGIYSMEGELCNLPEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYACPAHLYATSISPPAAQQIISAIKVILGEDGSSRGAEKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPSKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISAAHTREDLIKALEVISRVGDLVGIKYFPAEPKKQQLEENRLKLE >itb09g25410.t2 pep chromosome:ASM357664v1:9:25446520:25451754:1 gene:itb09g25410 transcript:itb09g25410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIPYFTALTTFFSYILLFAFGQFRDFFRKIFDWWHSSNLQGYAPICLGLEDFYTRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTTKVSKCLNLGSYNYLGFAASDEYCTPRVIDSLKRFSPSTCSTRVDGGTLSLHTELEECVANFVGKPAAIVFGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVVVEGIYSMEGELCNLPEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYACPAHLYATSISPPAAQQIISAIKVILGEDGSSRGAEKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPSKIPAFSRECLRQNVKFSLLFCFLFPCFFKLHPFCQLSD >itb05g23960.t1 pep chromosome:ASM357664v1:5:28944454:28947801:1 gene:itb05g23960 transcript:itb05g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAVSIEEVVEEIMRLHRSLPARPGIDDVEAAKSLVANVEREDQLKIDTISRQRKGKDVPEELFKVLQEMQRSMVQFQSKEQKREALKLLDLENAHLVFDDLVQRASKCLPSNSHTIYPSSNSSTDSSTLSLTNSASVSGSSFGSPATTATTSSFYNEREPLKASELFSKDDSYLTKAKSAIHVDGIGVVRYSDAPSIPKIVDSTLMPSSSSSHDGEKLSLIKLASLIEVSAKKGTLDLNLQSKLSEQVEWLPDSIGKLSSLITLDLSENRLIALPTTIGGLASLQKLNLSGNRIAELPDSVGDLLKLISLDLGGNHLKSLPVSIARLVNLQELDLSSNMLSSLPETIGCLVSLKRLIVETNDLEELPHTIGQCSSLVELRADYNRLKALPEAVGRIKTLEILSVRYNNVRQLPTTMASMENLKELNVSFNELESVPESMCFATTLVKLNVSNNFADLQSLPRSIGNLEMLEELDISNNQIRVLPDSFRMLSKLSVLKTEGNPLEVPPADIVAKGAKAVVQYMADLHAMRNMKAAQPVKQKKSWAQKLFSRSRVNKQRRVEYVKT >itb05g23960.t3 pep chromosome:ASM357664v1:5:28944454:28947801:1 gene:itb05g23960 transcript:itb05g23960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAVSIEEVVEEIMRLHRSLPARPGIDDVEAAKSLVANVEREDQLKIDTISRQRKGKDVPEELFKVLQEMQRSMVQFQSKEQKREALKLLDLENAHLVFDDLVQRASKCLPSNSHTIYPSSNSSTDSSTLSLTNSASVSGSSFGSPATTATTSSFYNEREPLKASELFSKDDSYLTKAKSAIHVDGIGVVRYSDAPSIPKIVDSTLMPSSSSSHDGEKLSLIKLASLIEVSAKKGTLDLNLQSKLSEQVEWLPDSIGKLSSLITLDLSENRLIALPTTIGGLASLQKLNLSGNRIAELPDSVGDLLKLISLDLGGNHLKSLPVSIARLVNLQELDLSSNMLSSLPETIGCLVSLKRLIVETNDLEELPHTIGQCSSLVELRADYNRLKALPEAVGRIKTLEILSVRYNNVRQLPTTMASMENLKELNVSFNELESVPESMCFATTLVKLNVSNNFADLQSLPRSIGNLEMLEELDISNNQIRVLPDSFRMLSKLSVLKTEGNPLEVPPADIVAKGAKAVVQYMADLHAMRNMKAAQPVKQKKSWAQKLFSRSRVNKQRRVEYVKT >itb05g23960.t2 pep chromosome:ASM357664v1:5:28944469:28947787:1 gene:itb05g23960 transcript:itb05g23960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAVSIEEVVEEIMRLHRSLPARPGIDDVEAAKSLVANVEREDQLKIDTISRQRKGKDVPEELFKVLQEMQRSMVQFQSKEQKREALKLLDLENAHLVFDDLVQRASKCLPSNSHTIYPSSNSSTDSSTLSLTNSASVSGSSFGSPATTATTSSFYNEREPLKASELFSKDDSYLTKAKSAIHVDGIGVVRYSDAPSIPKIVDSTLMPSSSSSHDGEKLSLIKLASLIEVSAKKGTLDLNLQSKLSEQVEWLPDSIGKLSSLITLDLSENRLIALPTTIGGLASLQKLNLSGNRIAELPDSVGDLLKLISLDLGGNHLKSLPVSIARLVNLQELDLSSNMLSSLPETIGCLLRADYNRLKALPEAVGRIKTLEILSVRYNNVRQLPTTMASMENLKELNVSFNELESVPESMCFATTLVKLNVSNNFADLQSLPRSIGNLEMLEELDISNNQIRVLPDSFRMLSKLSVLKTEGNPLEVPPADIVAKGAKAVVQYMADLHAMRNMKAAQPVKQKKSWAQKLFSRSRVNKQRRVEYVKT >itb09g05700.t1 pep chromosome:ASM357664v1:9:3254094:3256866:-1 gene:itb09g05700 transcript:itb09g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHLPLLFTPKRKPSSSCRALYVLLYLAAIVGSVALVSIWALRTPSSSPSVLKARVCDRAHDPPSCMAIVSEVSSTETDGVDLLQMVLHSSLLRIQDAVKLSANVNRRINDRAVSDCMELLDLSLDKVVDSMVALTNLSARARTDAHSWLSTVLTNHDTCLDGLSEPARPVMEPVIKDLITRARSSLAVLVAVAPATEDDLRALNGEFPSWVSASDRRLLEASANAVAANVVVAKDGSGKYKTVAEAVAAAPDNGKTRYVIYVKKGTYKENVEIGKKKTNVMLIGDGMSATIITGSLNVVDGTTTFNSATVAAVGDGFIAQDLCIQNTAGPEKHQAVALRLGADQSVINRCKIDAYQDTLYAHSQRQFYRDCYITGTVDFIFGNAAAVIQNSQVVARKPMAAQKNMVTAQGRTDPNQNTGTSIQNCDVSPSSDLGPVKGTIKTYLGRPWKEYSRTVFMESNIGDHIDLAGWSPWDGDFALKTLYYGEYMNRGPGAGTSKRVDWPGYHVITDKAEAMKFTVAQLIQGGSWLKNTGVDYTEGL >itb12g25670.t1 pep chromosome:ASM357664v1:12:26844110:26845758:1 gene:itb12g25670 transcript:itb12g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFSSPTNAETSQTTQQEEDVDGERARCEWDFRISAVVSSPTSAAGAVSDALGVLEFDPSDNFLATGGIARKIRVYSVNSLVPIRDQDEGYDAVSLDHSTACDYYICTPAKLSSLKWKPGWNSRVLGSGDYDGVVMEYDLERRVPVFERDEHGGRRVWSVDYSHSDPVVGASGSDDGTMQTWDPRCQNGKCLATVQPSKARSSVCCVQFSPFAPSIAAGCADRKTYTYDLRKTAEPLFILDGHLKPVTYARFLDHRTILTSSIDGCIKMWDTDHRNLVRTYKGHINSTRFVGLSVWKKGGLISCGSENNQVFVYDTRWGEPIWVYGCKSTGQPGPEHGFISCVCWMQRGDDHCTLVAGGSDGVLRVFAGKRRKAAVTYNLA >itb15g12360.t1 pep chromosome:ASM357664v1:15:10276986:10279355:1 gene:itb15g12360 transcript:itb15g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable microtubule-binding protein TANGLED [Source:Projected from Arabidopsis thaliana (AT3G05330) UniProtKB/Swiss-Prot;Acc:Q84M91] MVARTPPKLQHRKMAVPPLNPILLRETLSKVDKCMARLQELQYTVTGGSKVISGVTLSPRSTRGYLRTSLRCKQESLRIKNATSNRSPHGKLPATTKTGEWKRMSLPAMLLGETVNEILEASQFARKIVEEAAAKDDPKTPPLTIRQKKKPSPENSELRARRKKEKQVKLLQATPSLQRARSRRINFKVVVSPPNCERENCNKYYMANRVSPRHRPWAKKTVLFPNPVFHSSSPPTQKLSRTKSPVIANAQPKTPHKFLIKSPHQPSKFQVKIRSPPLSISPTRTAAVSKKSPKMSTAAKLRRSFSPSRLANKLVPSSSPSKMRKSFSPSRLANKLVPSSSPSKMRRSFSPSRLANKLVSSSPSKMMRRSFSPSRLANKLVSPLKSRKPVQNDADAMKMMMSGLKQRPSGSSLPKQFPARRL >itb09g12760.t1 pep chromosome:ASM357664v1:9:8063195:8068325:-1 gene:itb09g12760 transcript:itb09g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDFESDAEIGNEEGRNTKIDSEIETETLAILLRSHPGKPPSTATTTNPSLAGSMLPDETCFVANSWPNDLYLNPQHDVIEEDALNEKSCIQVLKVLIAKADREILEIEEEKVMLQSELALAGEKWHAMCFTTLIQKITCLDKLIQDLKNANVNGVLHLGNEQMHPKPAERIHEVLSALLQDHFSQSDEQNEVSLADHNRPCSNVQKVASTQPMEKNLNGSTSNIVCGISVTAERTLNSLSSGSRITVDEEVTNAISKDSAAEPLEDFCEDKVEELSNTHECIGSSTTEEVKGVDLSETSKFQGSKVRVDIKQEVKDFSRKQKNKEVATAQNIEKETKSQLLETKMVSIESPWGVKGKGALLSEVDQSVGGSLLALFNQKDKNERKTQIKVEPQEYFPPKTQVTAPAESNSSLSVQLRSWEQMDKEWSLYANMVREPCLTEELGLKSPPKKLRKQLRMPGSGYKPANSDLGNKNSYESPLALVASKSVDPTPQTKICLDLPVMKEHENLRDYQMRLTKSRGTSKDVQDTGCPSSHNLAAAAAAADPSGCIADLEHMTKTQLQALARQHKLKRWYSLKKVQLQQLLHLRLQGKNGDM >itb10g24620.t1 pep chromosome:ASM357664v1:10:28338347:28340455:-1 gene:itb10g24620 transcript:itb10g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTILSRTATAVGCFVFFVLVSFFVSSQALTNAEVEYISRRQLLHFGAGDIPADFEINVDPKLKFANERQKKAFVALQAWKEAIYSDPKKTTKNWVGPDVCTYNGVFCDHALDDPKSWVVAGIDLNFADIAGHLPVEIGLLTDVAVIHLNSNRLCGIVPISINKLKLLYELDLSNNRLVGPFPEIVLELELKYLDLRFNDFEGKLPPALFDKNIDVIYLNNNRFDSNLPENFGNSSASLIVIANNKFSGCVPASIGNGRMADSLEQLLLLNNQFSGCLPEEITNLKNVTLVDMQSNKLTGELPAGFEYMENVQSIVISNNMFTGKVPDKLCTRPGLKNLSFANNFFEQEDEACVPPRDGIEINDSGNCLVGRPGQRSESECRKVLSTPVDCTKTGCRKGGNKPPESVPKAPPMPEPNAPSPVVETPPEEPEDSGEGPEPYNPPIYLPPAPEQESPSIQPEFTPETPNLAPEQSPVPETPEVPIPTPSASPEGSTPPITLAPTSGPGKGPALAPEVTFFTPDAPESSPTPGPGERPAPAPEVPFFTPDAPESSPTPGPGEVPALAPEVPFFTPDAPESSPTPGPGEEPALAPEVPFFTPDAPESSPSISEAPGAGAPAPEPDSTPSRQTFEDETGTGAFSPETEPFSPESSITLSPTSEPPIPATPEPQAPPPFANVNLPPNVGNLYASPPPPIFKGY >itb10g24620.t2 pep chromosome:ASM357664v1:10:28339119:28340446:-1 gene:itb10g24620 transcript:itb10g24620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTILSRTATAVGCFVFFVLVSFFVSSQALTNAEVEYISRRQLLHFGAGDIPADFEINVDPKLKFANERQKKAFVALQAWKEAIYSDPKKTTKNWVGPDVCTYNGVFCDHALDDPKSWVVAGIDLNFADIAGHLPVEIGLLTDVAVIHLNSNRLCGIVPISINKLKLLYELDLSNNRLVGPFPEIVLELELKYLDLRFNDFEGKLPPALFDKNIDVIYLNNNRFDSNLPENFGNSSASLIVIANNKFSGCVPASIGNGRMADSLEQLLLLNNQFSGCLPEEITNLKNVTLVDMQSNKLTGELPAGFEYMENVQSIVISNNMFTGKVPDKLCTRPGLKNLSFANNFFEQEDEACVPPRDGIEINDSGNCLVGRPGQRSESECRKVLSASNAGAKCSVAGGGNSAGGTGRFRGGAGTL >itb09g01410.t1 pep chromosome:ASM357664v1:9:872901:874328:1 gene:itb09g01410 transcript:itb09g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYEKLLSEAPKYKLITPSVLSDRLRISGSLARRAIRELMARGLIRMVSAHASQQIYTRATNT >itb13g07440.t1 pep chromosome:ASM357664v1:13:9195651:9197535:-1 gene:itb13g07440 transcript:itb13g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFLFFMFLLLFPLYLSEVLILPLTHSLSTAQFNTTHHLLKSTSTRSATRLHRHRHRQVSLPLAPGSDYTLSFSLGSQTISLYMDTGSDVVWLPCHPFDCILCEGKYSPAAIPNPGPLNLSSAAPVSCKSRACSSVHSSRSSDLCAMARCPLEDIETSDCRKYSCPPFYYAYGDGSFVARLYSDSLTVPMSSPSLVLTNFTFGCAHTALGEPIGVAGFGRGVLSMPAQLATSSPDIGNYFSYCLVSHSFDTDRVRRPSPLILGRTQNKAKQLPTDDFAYTPMLDNPKHPYFYCVGLEALSVGRRRIAAPESLIRVDRKGNGGMVVDSGTTFTMLPQEFYNSVVTEFDKRVGSVYKRAAEVEDQTGLGPCYYMDSGKVSSSGSNVPQILLHFAGNSSVAMPARNYFYEFLYGGDKEKAKRRVGCMMLMNGGDETGGPAGLLGNYQQQGFEVVYDLEKRRVGFARRKCASLWDTLNRH >itb01g33820.t1 pep chromosome:ASM357664v1:1:36791547:36795454:-1 gene:itb01g33820 transcript:itb01g33820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRESIKNQVDLSLKLANHIFSTEAGGDSNLVFSPLSINVILSLIAVGSDSPTRDQLLAFLKSDSTDDLNTFYSQIVGYILVDGSLTGGPSLSVANGLWMDRTLPIKPSFKHVVDTVYKAASESVDFRHKASQVVDEVNLWVEKKTKSRINHILPPSAVNNRTRLLFTSALYFNGAWREFDASMTKDHEFHLLNGSSIQVPFMSNYLKQSVKAFSGFKVLKLSYNRGNDYKERRSFSMSFFLPDAMDGLPSLLEKTSSESSFIERHLPTHMVSMGKFLIPKFQIYFQFEGSRVLEELGVVTPFNPTGGGLTEMVDSPEGSELYVSKILHGSFIEVNEGGTEAVGVSFGVMYTCCMVEKEDKTDFVADHPFLFAIREDFSVHVFNSSFPEANMDVNSKSITNQVDLSLKLAKHVFFTQAEGDSNLVFSPLSINVILSLIAVGSNSPTRDELLAFLKTDSIDDLNTFYSQIVDNIRVDGSLTGGPCLSVANGLWIDRTLPLKPSFKHVVDTVYKATSESVDFRNKASEVADQVNSWAEKETKGLINNLLPPNAIDDTIRLIFASALYFKGDWSNKFNESKTQDHEFHLLNGSSIQVPFMRTCEKQYVKAFSGFKVLKLSYNKGNDDNKRRRFSMYFFLPDAMDGLPSLLEKASSESGFLERHLPTKLVSVGKFRIPKFQISFQFEVSRVLGELGVKVPFNPRGGGLTEMVDSPVSSDLYVSKILQKSFIEVNEGGTEAAAVSVSLMPPGAGFRIEKEDKTDFVADHPFLFAIREDFSGAILFVGTVLHPHYKSKNLSSKTTPALPPPCVIWEWPKSMGSLGSWKQSLKSLDGSENKELLEWDLAEIERLFMSVPNSKKMDV >itb12g13190.t1 pep chromosome:ASM357664v1:12:11968594:11969289:-1 gene:itb12g13190 transcript:itb12g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGWEIFVNALKKTLLRYMTYFPNTEPCYEDIIVLLPGGDSAVVGKGREGPHLLQVSGRFYSSFERISLQFVERGLFELYLSWCPIESETGKLYVGYLIVVKWFFSLLIGIGEFLSMILNSFPGPDITSAVCQCGCPTFRMVTSLTCNSFSLKLWKCNT >itb05g23730.t2 pep chromosome:ASM357664v1:5:28793620:28797216:-1 gene:itb05g23730 transcript:itb05g23730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGHTNFNAKLVLLGDMGAGKSSLVVRFVKGQFYEFQESTIGAAFFSSTVSVNNTTVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDVTNTDSFERSKKWVQELQKQGNPNMVVALAGNKADLEDKRKVTAEEARLYAEENGLFFMETSAKTALNVNDIFYEIAKRLPRAQPVQNPAGVALTDGQAGGSRAACCS >itb05g23730.t1 pep chromosome:ASM357664v1:5:28793620:28797216:-1 gene:itb05g23730 transcript:itb05g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGHTNFNAKLVLLGDMGAGKSSLVVRFVKGQFYEFQESTIGAAFFSSTVSVNNTTVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDVTNTDSFERSKKWVQELQKQGNPNMVVALAGNKADLEDKRKVTAEEARLYAEENGLFFMETSAKTALNVNDIFYEIAKRLPRAQPVQNPAGVALTDGQAGGSRAACCS >itb04g19590.t1 pep chromosome:ASM357664v1:4:23983674:23984024:1 gene:itb04g19590 transcript:itb04g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMGLEWARNKGINRLEIQCDNADVVRDVRNGTGREKTGCRILENCRRLIGEGEKRRLVHVFREQNAVADWLAQRAHVGAEEMIVFTKLPLGCVNLVQKDWIGGIVMRSVHESE >itb12g12300.t1 pep chromosome:ASM357664v1:12:11010832:11012944:-1 gene:itb12g12300 transcript:itb12g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRSILLLLVLAFFICCFSFSSADAASHDLNHGGNITINWDVISWTPDGYVAVVTIYNYQRLRKIREPGWTLGWRWAKYEVIWSMMGAQTTEQGDCSRFKGNIPHSCRRAPEVVDLLPGTPYNQQVANCCKGGVLSSWGSRAAVSEFQLSVGEAGTTNKTMRLPKNFTFEAPGSGGGYACGAAKIVRPTRFVTPDGRRVTQAMMTWKLSCLYSNKSPKVA >itb05g01260.t1 pep chromosome:ASM357664v1:5:1094313:1097045:-1 gene:itb05g01260 transcript:itb05g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MLTRKVTALLDKALAINQAKQIHGIILVSGLHDLEPLLVRRVLMSAGSYNRNTIQYVKLMLRYMQTLDIFSVASTIRFLTEHSQFREAISVYVQLQRSGLSPSTFAISSAIKACSRILDKIGGTSLHGQSYKYGFCRVVYVQTALVDFYAKMGKMESARSIFDEMVGKNVVSWNSMLAGYVKSGDLVMAQSVFDLIPEKDVVSWNSMVSGYVRAGNMEQAYALFQKMPERSSASWNAMISGYIDCGKIDLARSFFDAMDQKNHISLMTMISGYSKCGDVESARKLFGQMAEKEHRVYNAMISTYAQNSRPKEALQLFREMLQLNVQPDYMTLASAISACSQLGDLKFGSWIESYMKEVGIQMDDHLATAFIDLYAKCGSTEKAYGLFHGLQKKDVVAYTAMILGCGINGRANDAIELFDEMVNFEIDPNLATLTAVLTAYSHVGLVEKGYQCFVSMQKYGLSPNVDHYAIAADLLSRAGRLEEAYDLIKSMPMQPHAGVWGALLLGCSLQNNLEIGEVAARHCFDLEPDSTGYRSLLANIYASAGRWDDAERLRMSVEANGHTKLPGSSWT >itb05g20190.t1 pep chromosome:ASM357664v1:5:26382786:26385814:1 gene:itb05g20190 transcript:itb05g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGSGWFSSVKKVFKSSSREKKENNVDRKWQPEAAEVVPLDRLPAAPDSPVNVIDGKSDEDSSSSSPAAEDRDHAIAVAVATAAAAEAAIAAAQAAAKVIRLAGYGRQSNEDRAAVLIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAHMTMRCMQALVRVQARVRARRLQIAQQKLQNNYKLDDDDDEKGGGEKLPLLGEETKLRSPVMNMEREGNYYWDNRNQSLDKIMETGQRKRDGEMKRERSLAYAFANQFLHCDAEAFGNERPEWGWSWLERWMASQPYQRSKHTAAAAPPESSRVTISTVDDVSEKTVEMDFGSSIPPENINSGRHSVNQSEASPFTSRGHRRQSQTSFDGVPSYMAPTKSAKAKVRSHGGLKNLSSPSAQSNTSSKKGTSPGLNWESVYQAHRSPSPNPKGLVNRGGQAQWTETYSPESSGDDKTMSFMNHGRRHYFG >itb05g20190.t2 pep chromosome:ASM357664v1:5:26382786:26385814:1 gene:itb05g20190 transcript:itb05g20190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGSGWFSSVKKVFKSSSREKKENNVDRKWQPEAAEVVPLDRLPAAPDSPVNVIDGKSDEDSSSSSPAAEDRDHAIAVAVATAAAAEAAIAAAQAAAKVIRLAGYGRQSNEDRAAVLIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAHMTMRCMQALVRVQARVRARRLQIAQQKLQNNYKLDDDDDEKGGGEKLPLLGEETKLRSPVMNMEREGNYYWDNRNQSLDKIMETGQRKRDGEMKRERSLAYAFANQQQFLHCDAEAFGNERPEWGWSWLERWMASQPYQRSKHTAAAAPPESSRVTISTVDDVSEKTVEMDFGSSIPPENINSGRHSVNQSEASPFTSRGHRRQSQTSFDGVPSYMAPTKSAKAKVRSHGGLKNLSSPSAQSNTSSKKGTSPGLNWESVYQAHRSPSPNPKGLVNRGGQAQWTETYSPESSGDDKTMSFMNHGRRHYFG >itb02g14180.t1 pep chromosome:ASM357664v1:2:10376487:10380025:-1 gene:itb02g14180 transcript:itb02g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDNIFSDGDRFSGELTEPDLQIITSGGRRIPAQSSVLAAASPVLESIIERPRKRRSSEGTIRILGVPCDAVSAFVQFLCSSKCGEEQLEKHGIHLLALSHVYLVPQLKQRCIKGLVDRLTTENVVDVLQLARLCDAPDLYLKCMKMLSVNFRKVEETEGWKFLQNNDPWLELEIMQFIDEAELRKKRTRRHREEQNLYLQLSEAMHCLEHICQEGCTIVGPSHMDPSKKKLPCSKFTTCQGLQLLIRHFAMCKKRVNGNCLRCKRMWQLLRLHSSICDQPEECGVPLCRQFKLKVQQKRDDARWKLLVRKVVSAKAMSSLSLPKRKREEEEPRMKMNNHPQMRSFSLQAFSEG >itb12g22880.t3 pep chromosome:ASM357664v1:12:24811048:24812984:1 gene:itb12g22880 transcript:itb12g22880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMEVFGQNPERIVSGYSEEFEDSFLEHMKRSHRFSRVAATVVYNEYIADRHHVHMNSTQWATLTDFVKHLGRAGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRMRADIVEEEKQEREIKKQRERAEQLMGATDENGSQQKLEPELKPLQKSETDQKIKLSLALSKSNVKERSESSKSVFDVMDNREEGRKDTEKIGKGGSGVSGGGSSTLDELMREQEQAKEKQNRKDYWLCEGIIVKVMSKALANKGYYKQKGVVRKVIDKYVGEIEILENKHVLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLSIDTDKFAAKVQIEKGIYDGKVLKAIEYEDICKLVQ >itb12g22880.t1 pep chromosome:ASM357664v1:12:24811017:24813030:1 gene:itb12g22880 transcript:itb12g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMEVFGQNPERIVSGYSEEFEDSFLEHMKRSHRFSRVAATVVYNEYIADRHHVHMNSTQWATLTDFVKHLGRAGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRMRADIVEEEKQEREIKKQRERAEQLMGATDENGSQQKLEPELKPLQKSETDQKIKLSLALSKSNVKERSESSKSVFDVMDNREEGRKDTEKIGKGGSGVSGGGSSTLDELMREQEQAKEKQNRKDYWLCEGIIVKVMSKALANKGYYKQKGVVRKVIDKYVGEIEILENKHVLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLSIDTDKFAAKVQIEKGIYDGKVLKAIEYEDICKLVQ >itb12g22880.t2 pep chromosome:ASM357664v1:12:24811432:24812964:1 gene:itb12g22880 transcript:itb12g22880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMEVFGQNPERIVSGYSEEFEDSFLEHMKRSHRFSRVAATVVYNEYIADRHHVHMNSTQWATLTDFVKHLGRAGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRMRADIVEEEKQEREIKKQRERAEQLMGATDENGSQQKLEPELKPLQKSETDQKIKLSLALSKSNVKERSESSKSVFDVMDNREEGRKDTEKIGKGGSGVSGGGSSTLDELMREQEQAKEKQNRKDYWLCEGIIVKVMSKALANKGYYKQKGVVRKVIDKYVGEIEILENKHVLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLSIDTDKFAAKVQIEKGIYDGKVLKAIEYEDICKLVQ >itb01g30270.t2 pep chromosome:ASM357664v1:1:34334119:34335918:-1 gene:itb01g30270 transcript:itb01g30270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQAKPSKTSSPPQGLEKLKLQNGYVTARRSTGQRHFSEELRGPGPSRPEPRTRIVDNYVSSRRSSAGSRVAGGERKLIGVEVEGENPKNGSIGVANSRPIAREEELVDGWPKWLVDNIPREVLAGLVPKSADSFDKIDKIGSGTYSNVYKARDRKTGKIVALKKVRFDTSEPESIKFMAREILILQKLDHPNIIKLEGLATSRMQFSLYLVFEYAQCDLSSIISRPDGRLTEPQVKCYMFQLLCGLQHCHERGILHRDIKGSNLLIDKDGMLKIADFGLANFFNPAKPRPLTNRVVTLWYRAPELLLGATEYGAGIDLWSAGCLMAEMFAGRPIMPGRTEVEQLHKIFKLCGTPSNDYWAKTRILTTFRPPYAYKSNMTDAFRHFPASSLGLLFVLLALDPAHRGTAASALKNQASVYTHTHIYIYLQNHAYPFLLLNHPVK >itb01g30270.t1 pep chromosome:ASM357664v1:1:34333027:34336176:-1 gene:itb01g30270 transcript:itb01g30270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQAKPSKTSSPPQGLEKLKLQNGYVTARRSTGQRHFSEELRGPGPSRPEPRTRIVDNYVSSRRSSAGSRVAGGERKLIGVEVEGENPKNGSIGVANSRPIAREEELVDGWPKWLVDNIPREVLAGLVPKSADSFDKIDKIGSGTYSNVYKARDRKTGKIVALKKVRFDTSEPESIKFMAREILILQKLDHPNIIKLEGLATSRMQFSLYLVFEYAQCDLSSIISRPDGRLTEPQVKCYMFQLLCGLQHCHERGILHRDIKGSNLLIDKDGMLKIADFGLANFFNPAKPRPLTNRVVTLWYRAPELLLGATEYGAGIDLWSAGCLMAEMFAGRPIMPGRTEVEQLHKIFKLCGTPSNDYWAKTRILTTFRPPYAYKSNMTDAFRHFPASSLGLLFVLLALDPAHRGTAASALKNQFFHTSPLACDLSELPVICKEHPEAEFKYERRRQKASRQRSQSQKERSSKGDSGGSNEEAAGGKYTDSTVFTQEPGSSSTTTSTSSSSKPTWKEDRPPPIPSPVMKNRRSSPRSGAHPNAAKNIKNRPPIPNGKNMAHMYRGNHAYRLSHVQRSVSTREFRNMDHKNLLSSYALED >itb13g14360.t1 pep chromosome:ASM357664v1:13:20929835:20934687:-1 gene:itb13g14360 transcript:itb13g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSREPNFSKLSSDGPPDHDLYTIPSYTSWFSWNNIHEVERLSLGEFFDGNSITRTPRIYKEYRDFIISKYREDSTRKLTFTEVRKSLVGDICVLQKVFTFLEKWGLINFDPSKPEPVQAGAGEEEEEDEKWRVRVEEGAPHGVRVIAAPNSLKPLAPLPPPPSLGVAADAAESGLKMPPLASYSDVYAELLEQQRREILVCGNCKESCASGHYEYSKEGSFILCEKCFKDGNFDKDKSADDFKFIESGDHQVVWTEAETLLLFESVLKHGDDWDLVAQNVKTKNKLECISKLIQLPFGDLMLGSAHRKNRFCDTNGDVNGLKQAQPASSEPQATMTTEHQPNDCKNEQQNGDNENQEPPTKRRCSVPISGPSSSLMKQVAHISTVVGPWVTSSATEAAVTALCYENQCSREIFDDSDSFGDESESSLENNEQERDPQAEGAQIDKTSSDTQDKSSQKSTIPLTLQMRAATAAALGGAAAHAKLLADQEHREMEYLVSTLVDTQLKKLQRKVKHMEELERIMENQQRQMKELEATILDERLDALQGIFSSGSAKSKGDASTIIKSQNNIDAAV >itb05g27600.t1 pep chromosome:ASM357664v1:5:30890191:30892734:-1 gene:itb05g27600 transcript:itb05g27600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIGRDESPTSLLPETKLESKIVEAMRRRAKKGSPIRSFNTVVLKFPKIDDNMRKCKTTFEEFDEDGNGIIDHKELKHCFHKLEKNFTDEEIDDLFEACDINRDRKMEFNEFIVLLCLVYLLKDGPEALHAQSRMDLPKLDFTFETLVDAFVFLDKNKDGYVSKNEMVQAINETAYGERSSGRIAMKRFEEMDWDKNGMVSFKEFLFAFTRWVGLEDTEDEDGEGDV >itb03g02440.t1 pep chromosome:ASM357664v1:3:1388966:1392277:1 gene:itb03g02440 transcript:itb03g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAVAVRGGRGVGGGSGGGSALRNFFSYRVFVSAMFTLLFVATLSVLFSSRPTHHGSTAGNVYVHRTFLALQSDPLKTRVDLIHQQANDHVALVNAYAAYARKLKLDISKQLRLFEDLAQTFSDIQMKPNYQTALFETDGPMDEDALRQFEKEVKDKIKVTRSIIAETKESYDNQLKIQKLKDTIFAVSELLQKAKKNGAFASMIAAKSTPKSLHCLAMRLMGERIANPEKYRDQPPKPEFEDPTLYHYAIFSDNVIAVSVVVNSVIKNAKEPWKHVFHIVTDKMNVAAMKVWFKMRPVGGGAHIEIKSVEDYKFLTSSYVPVIKQLESVNLQKFYFQNRAENATKDVNSMKFRNPKYLSVLNHLRFYLPEMYPNLHRILFLDDDVVVQKDLTALWNIDMGGKVNGAVETCFGSFHRYAHYLNFSHPLIREKFNPKACAWAFGMNIFDLDAWRREKLTEQYHYWQNLNEDRTLWKMGTLPPGLLTFSSTTKSLDRSWHVLGLGYNPSVGMDEINNAAVIHFNGDMKPWLDIAMNQYKNLWTKYVDSEMEFVQMCNFGM >itb05g09260.t1 pep chromosome:ASM357664v1:5:13500351:13502861:-1 gene:itb05g09260 transcript:itb05g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWLILLKKIAHQSHNLLSEFELGRLIINHTIPPFICDLKHLTLLDLNNNNIPGSFPAFLYNCSNLEYLDLSFNNLSGIIPDDISLLFPRLQVLKLSSNWFVGGVPAGIEGLKGLKELQLAELFTNGSFPPQIGNLLNLEVLVLSQNSFSPQEIPPSFTQLKKLRHLWMKEANLIGKIPENISSMEALEYLDLCKNDLSGNIPSDLFLLKNLTTVFLYTNRLSGPIPHLVMALNLNVIDFSNNCLTGSIPEDFGKMVKLENLALFMNQLSGKIPVGIGRLPALSSIELFMNNLSGELPPDLGRFSKLKVFDVSTNHLTGSLPDGLCYNKVLYGIYAFDNNLTGELPKSLEDCNTMSGVRVQRNNLSGTIPDGLWTIGPLTELLINNNQFTGQLPQKVASNLSLVDISNNRFSGEIPSAISSWSKLDTFRASNNLLTGKIPQELTALRSLSVLMLDGNMLSGNFPSNIISWKSLSTLKVQLKDPP >itb03g18630.t1 pep chromosome:ASM357664v1:3:16896354:16898571:-1 gene:itb03g18630 transcript:itb03g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKPKVVIIGAGMAGLTAANKLYTGAGSRGVIEVCVVEGGERIGGRINTSEFGGDRIEMGATWIHGIGGSPIHRIAQEINSLESEQPWECMDGCLDEAAVTIAEGGYNLNSSLIAPISNLFKKLMDFAQGGSSNGCTGDLSLSVGCFLRKGLDEYWESMKEREEEVEGFGNFWCRKSLEEAIFGMFENTQRTYTSAGDLQTLDYGAESEYRMFPGEEITIAKGYLSIIESLASVLPPGLIQLGKKVVRIEWNPQNPHSLSSAENGNNNNGAWRPVRLHFVDGSIMEADHVILTVSLGVLKQGITQEFGLFDPPLPSFKTEAISRLGFGVVNKLFLQIHPSFKLPHLQMVFHQPKNHSRIPWWMRRTASICPIYTESSVALSWFAGKEALALESLDDQEIIDGVSTTISNFLPHFPLCNGHKQPEIIVKVLKTQWGTDPLFLGSYSYVAVGSSGDDLDTMAEPLPPNYKDTPKIHHGSSSPSSSPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYNCSDL >itb04g13620.t1 pep chromosome:ASM357664v1:4:13725020:13725940:-1 gene:itb04g13620 transcript:itb04g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNQLTDVSTESIPILIVALLANAVAYLRSLVFSLLRLVGLASRFDPDRIDDTLYDAVGSGLAGVIVLAEQLNLNRVFSYRLQGAGPEAAACPNCVVCLDRLGDGDHVRKLDCRHVFHKECFDGWLDQLKFNCPICRFPLVSEESVSLTRQRVAGDVLAWFSLR >itb04g10660.t1 pep chromosome:ASM357664v1:4:10196274:10196585:-1 gene:itb04g10660 transcript:itb04g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGEYQAARKMLIHVRTNEAITSYAVLERKLMALGWERYYDEPELLQYHKRSTVHLISLPRDFNKFRSMHMYDIVVKNRNEFEVRDI >itb09g06410.t1 pep chromosome:ASM357664v1:9:3688415:3690645:1 gene:itb09g06410 transcript:itb09g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMEALEKGVVGGEGRGEEEERLLEGVAVLDFDMLCATVAMQAQNGKWGKFVNLNDEEDIGGYGYGNGDGRGAFRMWEGELLYDCLDDRRIAIQSTCCPCYRFGKNMKRAGSGSGLIQGFIYMVAVVIALLNMLAFAITKRHYFLYLAIAFTISIGAYLGFHRTQIRKKFNIRGSDSSLDDCVYHLICPCCTLCQEARTLEMNNVQDGIWHGRGDTVCVGSYCVGDKAFFGLNPPAVLSMKSPEPIAPLITPNDANQPNASDVGHPSLLA >itb04g29470.t3 pep chromosome:ASM357664v1:4:32824852:32827701:1 gene:itb04g29470 transcript:itb04g29470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRVCSCSH >itb04g29470.t1 pep chromosome:ASM357664v1:4:32824755:32828431:1 gene:itb04g29470 transcript:itb04g29470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLSDTDSKAEPSTIKINQPESGAASGQLAQKSACCGS >itb04g29470.t2 pep chromosome:ASM357664v1:4:32825026:32828431:1 gene:itb04g29470 transcript:itb04g29470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLSDTDSKAEPSTIKINQPESGAASGQLAQKSACCGS >itb15g23310.t1 pep chromosome:ASM357664v1:15:26044491:26049876:1 gene:itb15g23310 transcript:itb15g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCGLHKTLKVVLQDMESLEVMIQNENEKESNFKNEMIEHEEIKLEILPKSGGQLKVIHIIGRRYLVVIDDIWNEVAWDEIRCYFPENTNGSRILITTQQIKTLLWLKVNGQDPTTTDFTTVPKLKKLWVLIDNELPSNAFDSLVHLDSLQKLKLKVDSVRFYFPTDLPKNLKRLTLSGTFLPWEDMYIIERLPNLEVLKLTKFAFSGPKWKLEDGSKFGCLKLLLIADSDLEYWEATHENFPVLERLILKVCWDLKEIPGDFENICTLKLIQLDNCYSSLVESAKKIQEDSMMYGGTLVIRDLETKDGLLNKKSSEKMGAKMMEMDKKMKAIVGDEEALMEVDENLMILSMSKTGESQDHSEHKFLISPSLSLTLNFSSFNPPRSCLCRCCGGDLGRSGKVHKVRREHSPSFRAIGLNPKSLQRKGSCCVLEEDDVMGFDRGAKTIKDCCELTFGCLLQGQILINILRVTLPRRTKNIMMCLNSTNS >itb15g06310.t1 pep chromosome:ASM357664v1:15:4129454:4130547:-1 gene:itb15g06310 transcript:itb15g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLVVCVAVLCSMVVVAPHAEAAMTCGKVASSLGSCLTYLKGTGPLIGGCCNGVKGLVAAAKTTADRQTACGCLKSMATSISGLKPNLASSLPGKCGVKVGYPISTSTDCSKVH >itb14g17010.t1 pep chromosome:ASM357664v1:14:20351041:20354375:1 gene:itb14g17010 transcript:itb14g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHILSKVLISLFSIGVLALLRRVYEVLVAEPARIRRVLKKQGIDGPPPAFLLGNISEVKKPMQAKPRTESLVNEKGEFDHNWINSLFPFFDPWRKKYGELFVFSLGTRQILYVNNPDMVKEITTCKSYDLGKPRYHKEEFGTLLGEGILTSNGQTWAYHRKVLAPELYMEKVKGMAPLFAESARILVEKWKAVVEAAEGGVADINIDEYMRAFSGDVISRACFGSSYERGKEIFNRLKDLQEATSAKVTTIGIPGLSRLPTKGNREGWALDKEIHDLIIEVVKERKEKGAEKDLLQMVLEGAEAGQMSPQEIDAFIVSNCKNVYLAAHEASAIGASWCLMLLAAHPDWQQRCRDEIAEICHGQTPDAEMLRRMKTVTMVLQETLRLYPSGPALAREALNDIKIGGYDIPKGVNIWTMVETLHVDTENWGPDALSFNPERFTNGVSGACKLQHSFLPFGFGPRLCVGQHLAMVELKMLVSALLTNFSFSISPRYIHSPALKLVIKPEFGVDLLITKL >itb02g09260.t1 pep chromosome:ASM357664v1:2:5902187:5904951:-1 gene:itb02g09260 transcript:itb02g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPFYFHNHMGSGRINTFPFFGDDNSDHNPSSIYSSSDHHPPPSAPTQNLLHQEFLPSPFMSFTESLQGSMDYHTLSNAFGMSCSSSEVVCTPTDHHHQQQQQNQESSRKSSVSAGEAAGENIPFVAANSSVSSSSSEAAVGDGEEDSSKSNKDLLLPKGCEDGDDKSTKINKGAAKKKGEKKQREPRFAFMTKSEIDNLEDGYRWRKYGQKAVKNSPFPRSYYRCTSQKCTVKKRVERSYEDPTIVVTTYEGQHNHHCPATLRGNAVALLSPASFLSPSPAALMPNFHQDLLLNPMLSGAPNFQPSSMYGGYHLHHNHHLGLNPHHYDHQITQSPVDQYTLFQDMVVSSLGHKQEHP >itb07g13950.t1 pep chromosome:ASM357664v1:7:16276598:16281672:-1 gene:itb07g13950 transcript:itb07g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNLNLQVQAVLEKCSHLHHLKQLQAHLITLGHGHTQFYASKLVRFCTLSLSNLAYARFIFDHLQSPNVFLYTAMITAYNLHSDHTSAFLLYREMVRKGRSKPNEFIFPLALKSCPEVVKNFGTPMLHAQIEKTGFGKYPVVQTALLDSYSRFSADITIARQLFDEMSERNVVSWTAMVSGLTRVGKMGDAILVFEEMPEALRDTPSWNSIISGCTQNGLFTEALSFFRRLVLEGNGNRPNQTSMVCALSACGHCGMLQLGKCIHGYMLRIGLRLDSFTLNALIDMYGKCGSLKGARTVFDTSAKRSLTCWNSIINSFALHGHWKGAISVFAEMLQQHGDVVKPDGVTFVGLLNACTHGGLVEEGLSYYDSMTREYMIEPEIQHYGCLIDLLGRAGRFNEMMEVVKGMRVPPDEVVWGSLLNACKIHGRTDLAEFALKKLIEMEPNNGGYYAMLANLCGGLEKWDDALRLRKSINEQNAYKLPGCSWIEIDKQVSQFYSVDKSHPKTAQIYSILESLVISTSLL >itb07g13950.t2 pep chromosome:ASM357664v1:7:16276625:16280585:-1 gene:itb07g13950 transcript:itb07g13950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGRSKPNEFIFPLALKSCPEVVKNFGTPMLHAQIEKTGFGKYPVVQTALLDSYSRFSADITIARQLFDEMSERNVVSWTAMVSGLTRVGKMGDAILVFEEMPEALRDTPSWNSIISGCTQNGLFTEALSFFRRLVLEGNGNRPNQTSMVCALSACGHCGMLQLGKCIHGYMLRIGLRLDSFTLNALIDMYGKCGSLKGARTVFDTSAKRSLTCWNSIINSFALHGHWKGAISVFAEMLQQHGDVVKPDGVTFVGLLNACTHGGLVEEGLSYYDSMTREYMIEPEIQHYGCLIDLLGRAGRFNEMMEVVKGMRVPPDEVVWGSLLNACKIHGRTDLAEFALKKLIEMEPNNGGYYAMLANLCGGLEKWDDALRLRKSINEQNAYKLPGCSWIEIDKQVSQFYSVDKSHPKTAQIYSILESLVISTSLL >itb12g09860.t1 pep chromosome:ASM357664v1:12:7851157:7852377:1 gene:itb12g09860 transcript:itb12g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQESAMAGRWSLQSMTALVTGGTRGLGHAIVEEFASLGATVYTCSRNQKELDECLKIWKDRAITFMALLVTYYNHLNKEHGKIVEALIQRTPQHRLAEPREVSAAVAFLCFPAASFITGQVICVDGGATVYGL >itb06g07210.t1 pep chromosome:ASM357664v1:6:10547089:10549789:1 gene:itb06g07210 transcript:itb06g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNASSAIPTALADEELPKPACHCLSSAPQPTVLTVWKKSLLFNCDGFTVFDDKGNLVYRVDNYYDSSNRAEIILMDASGNSLFTIRRKRLSLADNWVVYDGETADRPLYSVRKHVHPNASSAIPTALADEELPKPACHCLSSAPQPTVLTVWKKSLLFNCDGFTVFDDKGNLVYRVDNYYDSSNRAEIILMDASGNSLFTIRRKRLSLADNWVVYDGETADRPLYSVRKHVSLLNSKSLAHVTSLRGSGAKGSKNARIYEIEGSYSNRSCVMYDDVRRRVAEIKRKEAVAGGVVFGRDVFRLIVQPEIDPSVSMAMVILLEQMFGGGSSKRFSY >itb09g05860.t1 pep chromosome:ASM357664v1:9:3323836:3326404:1 gene:itb09g05860 transcript:itb09g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQKRVAFLFLNLHFYARAVPQDDSIIQHVCLSGGNYTQNSTYQKNLNTILSSLSENVDEYGFYNASIGQDSDRVSAVVLCRGDVELNLCRGCVKDAARKIVQQCPDRKVAYGWYVKCLIYFSNENIIGSMSSDTLSLPCNNQNATQPAKFNQDLRSMLDRLLSEATQGGPFLKFAAGNTSAPDLNTIYALVQCTPDLSAQGCSDCLTAAFGALSAAQCVDRVGAIVLTRSCNFRYENYRFFNYTLIEPPLPLPQSGKDDRTIPTVVIILVPIAVVLMLLAICNFVVLTKRQKQKAKKGVKRSTSGWDRNCCEETVWKFSASGYMAPEYAMHGHFSTKSDVFSFGVLVLEIVTGRRNTSFRYEESAQDLLSYVWIQWQNWTASTVIDQMLRGVSSPVHEIMKCIHIGLLCVQDNVADRPTMGEIVLMLSSSSLSLAVPSRPAFFVHNIITAGTVDNTEASRNEVSCTEFYPR >itb06g14540.t1 pep chromosome:ASM357664v1:6:19080616:19083399:1 gene:itb06g14540 transcript:itb06g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTKCTILVHQWLPLVSLLALLPFSHSLDYKDALSKSFLYFEAQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTITLLSWAMLEYGEEIASAGEYRHALEAIKWGTDFFIKAHTNPHVLWIQVGDGDTDHYCWQRPEDMATSRRAYKIDESHPGSDVAAETAAAMAAASIVFRPTNPHYAHLLLDHAQQLFEFGDKFRGKYDESVGAAKGYYPSLSGYKDELLWGALWLYKATDNKYYLNYAMENAESFGGITWAMTEFSWDVKHAGLQLLAATLPIKGKLREKHGEILKEYRAKAEHYICANLNQNNATNVRRTPGGLLFVRRWNNLQYVSSAAFLMTIYSDHLRSTGGVLRCERRPVGPDELFAFAKSQVDYILGLNPAGRSYLVGFGPKYPLRVHHRAASIVSYKREKSFIGCMQGYYTYFGRRDPDANVITGAVVGGPDANDQFEDRRGNYMQSEACTYNTASLVGVFAKLYYTQENGISRRDFSLVSSG >itb04g28250.t1 pep chromosome:ASM357664v1:4:32041695:32042627:-1 gene:itb04g28250 transcript:itb04g28250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVVTVYGNGAIAETAKQSPFSVKVGLAQMLRGGVIMDVVTAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEALGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIQAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEVLAEVSCGLGEAMVGINLNDAKVERYANRSE >itb02g21170.t1 pep chromosome:ASM357664v1:2:19713379:19714904:-1 gene:itb02g21170 transcript:itb02g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSFDEECDYLFKAVLIGDSAVGKSNLLSRFAKDDFQLDSKPTIGVEFAYKNIKVGDKVVKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRVTFENIRKWLVELKEFGSSEMVVVLVGNKSDLGNSREVKTEDGQRVAELEGLLFLETSAMENLNVEEAFLQMITKIHEIMSQKSLDAKMNEPNTTHLLQGKKEIINIDEITAPTKHPPPIPNCCLY >itb11g23240.t1 pep chromosome:ASM357664v1:11:25145283:25147231:-1 gene:itb11g23240 transcript:itb11g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVNMVVVLAFCVSVFAAVRLFLYRSALVFALRKWSDWIDDRIHVHQFFKVPQLNQNAQENQLYRKVFVYVNSLSSLEDSDFINLVKSGGKSNDILLCLDDNQVVQDQFLGARISWMNKVEVGGRSLVLRIKKKDKRRILRPYLQHIQTVSDNIGQRRRELKLFMNRSPSDDCASGRWRSVPFTHPSTFDTVVMESDLKNRVKSDLETFLKSQQYYHKLGRVWRRSFLLYGPSGTGKSSFIAAMANFLNYDVYDIDLSRVSDDSDLKLLLLQTASKSVIVVEDVDRLIQEKLMAVSFSGLLNFMDGIVNSCCGDEKIMVFTMNCKDHIDPAMLRPGRIDVHIHFPLCDFNSFKCLANSYLGVKEHKLFPQVEEIFQTGATLSPAEIGELMLVHRSSPSRAIKSVITALQTDGRHGGRWRRLTEISSLATPSPPRTDEQGCATWKDTVPVAKEFRKLYGLLRMKSAKRSEPLDHDLESIQR >itb13g03680.t1 pep chromosome:ASM357664v1:13:3581487:3583949:1 gene:itb13g03680 transcript:itb13g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFQNLQQVGEDAEMMLFQNLRQVSEKTQNFIQNLPKVHTLPLDIVGSDHKSGSSQRFSKIEDRMVGRTSELHTIMDQLIGHPWKVISILGVGGIGKTTLAKRVYEDPLVISHFHLSAWTTVSQEVNLRQILCNLLWSIERGMNTDGSTDDLAHKLRQRLMGKRYLIVVDDVWETGVWDHLTRCFPKSHGSSVLVDLSAKRDCRLLYIRNIVDKCGGLPLTIVVAAGLLSRLSKVEEWENIEEEMSYLASTDIGEQCSRILIVSYNNLPQHLKACFLYLGVFSGHSEIPVKKLVRLWIAEGFVKKSVKDKELEEVGVGYLQDLISRSLVQIDKLKCDGQIKTCRMHHLLRVLCVRQARNEELLYVEDDGFDMCNSSSKEACSGACRWLSFRSSKPKNFDLCNRSVNKARSVLCLHNDEMPMVNDPKLVRFSLLRVLDLTSPLYNKGVYLSFQNLSNLVLLEYLAFMSNRSLGSEGLDSVLSKNQKLHTLVVWHSAAGWRPNYSLLPSTIWGLPQLRHLEFRNCFRVEPPSIVKEKLQSLYWLSIFHCTEEVISKIPNIKTLGIFCEGRLIPNNGATSLSLENLCHLNQLEALTIEAEYAIPKCISLPGINAFPCNLRKLKLSKTYLPWKDNIATIALLPRLEVLKLKMDAFYGPEWEPTEGGFQRLKFLLLENTDLKIWNAFGDEFPILEHLVLKHCENLEGIPTVFSNVTTLKSIDLERCRQALSSCAKNIQQEQLDYGNNELILLDRNPQVCTFFYNF >itb14g18290.t1 pep chromosome:ASM357664v1:14:21362722:21364921:-1 gene:itb14g18290 transcript:itb14g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVRLKAVVYALSPFQQKVMPGLWKDLPGKINHKVSENWISASLLLGPLVGVYTYVQQYQEKEKLAHRY >itb07g06250.t1 pep chromosome:ASM357664v1:7:4399262:4402935:-1 gene:itb07g06250 transcript:itb07g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MKRKQFRGAVAEIDPLPPPSQQQELNFAVKKHLRSKLPRRIRTRFSPILRSLFIERSALVTSEASSARVKSKESVVERKDVQMELSECSCVESSSGAFGGDSELKLKLKLKKSGNANVIEDPDAVVQSEISSVQRFSLSGKARKPNSEIMSVTEKAKEIDESEISSQRKFSGKVSEFREWKMKRSKAASELNDNDVVSFNSALESAAESKLAENRAFGEEVSKPESAAGRAHKLLTTDFDLECSENLSINDDVSDDYSSAYSELQSDILQESSDLDFSEYSPSMWYDSGSQFSEKSISDDCPSHSFHLSRQFSEEFCRSTYALEDSSFLEEHNISDEISLELEEEDGERYRMLRSRERRQVYLRDYAEEYCLDTGYGDLVVQQRLQMVHWIVQQSSKNELQKETMFLGVNLFDRFLTKGYFRNKKNLQIAGIACLTLATRIEENQPLNSIQDETFNIGGNVYSRCEVVAMEWVVLEVLNFQCFLPTIYNFLWFYLKAARASDMMEKRTKYLAVLALLGHEQLSYWPSTVAAGLVILASSFIDQHASCDLVTECHSRTKDDDLSKCIKSLEWLVKYI >itb02g14270.t1 pep chromosome:ASM357664v1:2:10434760:10435672:1 gene:itb02g14270 transcript:itb02g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKLSLVLLLACCTLPIQSVVAETICENLPTSVCAFSVSSSGKRCVIEDSVLVDGKVDYKCKTSEVVVGSSTSEEYLETDECVKACGVSRKSVGMSSDALLDSTFTGKLCSHACYNKCPNLVDLYSNVAAGEGVYLPDFCEKRRSGGSRRAMGELQSGSDSALAPDAAEPPRRAMAEEELLNLDADTPTPSPF >itb02g14270.t2 pep chromosome:ASM357664v1:2:10435132:10435431:1 gene:itb02g14270 transcript:itb02g14270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDALLDSTFTGKLCSHACYNKCPNLVDLYSNVAAGEGVYLPDFCEKRRSGGSRRAMGELQSGSDSALAPDAAEPPRRAMAEEELLNLDADTPTPSPF >itb11g04740.t3 pep chromosome:ASM357664v1:11:2524828:2526189:-1 gene:itb11g04740 transcript:itb11g04740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHLVNLTKRISAYAMKGDVGRARVLFDEMRHRDAVSWNVMIKSYIENNRLDDARELFDEMPERTSYSWNSMIMGYIKGNKLYIALKLFTVMPEKDVVSWTAIITGMCRASRVDEAWRLFKQMPEANSISWSSIVSGFQQNGFPLESLHVFKEMLVAGFHPTSHSITSALAACADSATLSVSEQAYSQLYKRGFNTNTRIGNSAISMFIKSGSFENARNVFIQLDKPDTVTWNSMIMGYAQHGHGVAAMAMFHQMQKARFLPDRISFLGVLQGCSHSGLVHEGKQHFLAMQTDYGISPGPEHFAGLVDLLSRAGELEEANEVILNMPFDPTPIFWRTLLNGCRIYGNLDLGIYVADQILKLEPYNSSACLMVIDMYALAGKWKEVAEMRRHMERERESRKELGCSLIDVKGKIHLFTKSDCSHPQTNCIYLVIGLLSYEAAECFNICDGNFS >itb11g04740.t2 pep chromosome:ASM357664v1:11:2524828:2525211:-1 gene:itb11g04740 transcript:itb11g04740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDPTPIFWRTLLNGCRIYGNLDLGIYVADQILKLEPYNSSACLMVIDMYALAGKWKEVAEMRRHMERERESRKELGCSLIDVKGKIHLFTKSDCSHPQTNCIYLVIGLLSYEAAECFNICDGNFS >itb11g04740.t1 pep chromosome:ASM357664v1:11:2524774:2526286:-1 gene:itb11g04740 transcript:itb11g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHLVNLTKRISAYAMKGDVGRARVLFDEMRHRDAVSWNVMIKSYIENNRLDDARELFDEMPERTSYSWNSMIMGYIKGNKLYIALKLFTVMPEKDVVSWTAIITGMCRASRVDEAWRLFKQMPEANSISWSSIVSGFQQNGFPLESLHVFKEMLVAGFHPTSHSITSALAACADSATLSVSEQAYSQLYKRGFNTNTRIGNSAISMFIKSGSFENARNVFIQLDKPDTVTWNSMIMGYAQHGHGVAAMAMFHQMQKARFLPDRISFLGVLQGCSHSGLVHEGKQHFLAMQTDYGISPGPEHFAGLVDLLSRAGELEEANEIRY >itb03g28730.t1 pep chromosome:ASM357664v1:3:29424275:29427034:1 gene:itb03g28730 transcript:itb03g28730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSNNLLGILNILTFLISIPIIGGGIWLSRQANTECERFLDKPVIALGVFIMLLSIAGLVGACCRVTWLLWIYLFVMFLLILLLFCFTIFAFVVTNKGAGEAISGKGYKEYRLGDYSNWLQKRVNGNWRRIRSCIQDSKVCKSLIDQGSETPVQSFYNQHLSALQSGCCKPSDDCKFSYVSPTNWTSTSTSSSTNSDCSRWSNEPNILCYNCESCKAGLIDNVKSDWKKVAIINIIFIVFLIIVYTVGCCAFRNNRADNSWKRYP >itb03g13590.t4 pep chromosome:ASM357664v1:3:13648548:13655633:1 gene:itb03g13590 transcript:itb03g13590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVELKRIENATSRQVTFSKRRVGLRKKAYELSVLCDVEVALIIFSQKGKLFEFSSSNIRQIIQRYHEYSKEARSNNNGGGAGGQYMEHLKHETILMEKNLELLQISQRKLLGQGLESCSMGELNEIDCQLEKSLQNIRTRKDQVYKEQIESLKAKHTQLLQENAILFDKLPTSTPIPTPPSPRPSPAKQKEIVGGSNNTQNSMVETDLFIGLSATRCS >itb03g13590.t1 pep chromosome:ASM357664v1:3:13648546:13655640:1 gene:itb03g13590 transcript:itb03g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVELKRIENATSRQVTFSKRRVGLRKKAYELSVLCDVEVALIIFSQKGKLFEFSSSNIRQIIQRYHEYSKEARSNNNGGGAGGQYMEHLKHETILMEKNLELLQISQRKLLGQGLESCSMGELNEIDCQLEKSLQNIRTRKDQVYKEQIESLKAKHTQLLQENAILFDKCGLKPNMIQLPTSTPIPTPPSPRPSPAKQKEIVGGSNNTQNSMVETDLFIGLSATRCS >itb03g13590.t3 pep chromosome:ASM357664v1:3:13648637:13655609:1 gene:itb03g13590 transcript:itb03g13590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMVRGKVELKRIENATSRQVTFSKRRVGLRKKAYELSVLCDVEVALIIFSQKGKLFEFSSSNIRQIIQRYHEYSKEARSNNNGGGAGGQYMEHLKHETILMEKNLELLQISQRKLLGQGLESCSMGELNEIDCQLEKSLQNIRTRKDQVYKEQIESLKAKHTQLLQENAILFDKCGLKPNMIQLPTSTPIPTPPSPRPSPAKQKEIVGGSNNTQNSMVETDLFIGLSATRCS >itb03g13590.t2 pep chromosome:ASM357664v1:3:13648546:13655640:1 gene:itb03g13590 transcript:itb03g13590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVELKRIENATSRQVTFSKRRVGLRKKAYELSVLCDVEVALIIFSQKGKLFEFSSSNIRQIIQRYHEYSKEARSNNNGGGAGGQYMEHLKHETILMEKNLELLQISQRKLLGQGLESCSMGELNEIDCQLEKSLQNIRTRKDQVYKEQIESLKAKHTQLLQENAILFDKCGLKPNMIQLPTSTPIPTPPSPRPSPAKQKEIVGGSNNTQNSMVETDLFIGLSATRCS >itb03g13590.t5 pep chromosome:ASM357664v1:3:13648546:13655633:1 gene:itb03g13590 transcript:itb03g13590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVELKRIENATSRQVTFSKRRVGLRKKAYELSVLCDVEVALIIFSQKGKLFEFSSSNIRQIIQRYHEYSKEARSNNNGGGAGGQYMEHLKHETILMEKNLELLQISQRKLLGQGLESCSMGELNEIDCQLEKSLQNIRTRKDQVYKEQIESLKAKHTQLLQENAILFDKLPTSTPIPTPPSPRPSPAKQKEIVGGSNNTQNSMVETDLFIGLSATRCS >itb04g21460.t1 pep chromosome:ASM357664v1:4:26571876:26573429:-1 gene:itb04g21460 transcript:itb04g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITWSPFQSKGFPHNSQVTSYQDREKEAIRWRGREFNSANSTATAPLSFIRHPTRRWQESRLPPLFVAAQHHCSMRHTLGSSRGVHAKPNSRSINLN >itb04g21460.t2 pep chromosome:ASM357664v1:4:26569565:26573429:-1 gene:itb04g21460 transcript:itb04g21460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITWSPFQSKGFPHNSQVTSYQDREKEAIRWRGREFNSANSTATAPLSFIRHPTRRWQESRLPPLFVAAQHHCSMRHTLGSSRGVHAKPNSRSINLN >itb09g15660.t2 pep chromosome:ASM357664v1:9:10919212:10925521:-1 gene:itb09g15660 transcript:itb09g15660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNQILLVGFLGSLAWMNFSVVMVMVIVVSMLLKSGMNIMPEKVTMTHCMARLIMMRLLHMLSKKNCQSCQLQKLLSLHIGIHMYKPRLMSNLGHSGVSDAIDDMGSSSSCSSPGDRSCDGDEVAHTLEIMDESETDGEVARRLNQMTALKHIPRINRDIPSVDEATSDHERLLNRLLQNGLVEHKVEGDGNCQFRALSDQFYRTPEHHKFVRQEVVNQLRSHPETYEGYVPMAYDEYLTRMSKSGEWGDHVTLQAAADSYGVKILVITSFKDTCYLEILPKIQKSKRVIHLSFWAEVHYNSIYPEGESPPRDVKKKKKNWWSFGNKH >itb09g15660.t1 pep chromosome:ASM357664v1:9:10919013:10925539:-1 gene:itb09g15660 transcript:itb09g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPESDSASGVLRFLGMDELFSCNGYGDSSQHAVEVWHEHYARESYNDTLYGEIDNDEVIAHALQEELSELSVTEAAEPSHRDTYVQASTDVQPWSSPSRNYYTGHSGVSDAIDDMGSSSSCSSPGDRSCDGDEVAHTLEIMDESETDGEVARRLNQMTALKHIPRINRDIPSVDEATSDHERLLNRLLQNGLVEHKVEGDGNCQFRALSDQFYRTPEHHKFVRQEVVNQLRSHPETYEGYVPMAYDEYLTRMSKSGEWGDHVTLQAAADSYGVKILVITSFKDTCYLEILPKIQKSKRVIHLSFWAEVHYNSIYPEGESPPRDVKKKKKNWWSFGNKH >itb05g06130.t1 pep chromosome:ASM357664v1:5:6295040:6298941:1 gene:itb05g06130 transcript:itb05g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCCSEDGVFVLFGWSSGTPAFRGAFGVYVVLRRVAHSSPCFSLNRIFVRSLSRHRALFYIKLLCRYAALKMACSSSLGGPPVPRPSEELLGFMSYSGELHNSISAVLMLAVICSFGH >itb01g07590.t1 pep chromosome:ASM357664v1:1:5977686:5980610:-1 gene:itb01g07590 transcript:itb01g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIHIERFNHSCSVSGEMTATALQSRNLQQQGLEFLLVLDLEGKVEILEFPVLLFDTKTMDVIDFFHRFVRPSKMTEKRINEYIEGKYGKIGVDRVWHDTAIPFKEVIQEFETWLVKNELWRKERGGCLSKAAFVTCGNWDLKTKVPQQCEVAKMKLPPYFMEWINLKDIYLNFYKRRAPGMLSMMRELNIPLLGSHHLGIDDSKNIARVLQHMLNDGAYLQVTARRNPDSPEVVKFLFENRIR >itb01g07590.t2 pep chromosome:ASM357664v1:1:5977686:5980610:-1 gene:itb01g07590 transcript:itb01g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRVSVFSRNPVRSPPLPFTSSSPFSLCIQRNRQIGAFAVHSMAEESTSSPIAAAPPPKNTRWRPTCLYFTQGKCTKMDDAIHIERFNHSCSVSGEMTATALQSRNLQQQGLEFLLVLDLEGKVEILEFPVLLFDTKTMDVIDFFHRFVRPSKMTEKRINEYIEGKYGKIGVDRVWHDTAIPFKEVIQEFETWLVKNELWRKERGGCLSKAAFVTCGNWDLKTKVPQQCEVAKMKLPPYFMEWINLKDIYLNFYKRRAPGMLSMMRELNIPLLGSHHLGIDDSKNIARVLQHMLNDGAYLQVTARRNPDSPEVVKFLFENRIR >itb03g00860.t1 pep chromosome:ASM357664v1:3:461354:462916:-1 gene:itb03g00860 transcript:itb03g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAEDREVRWVQFQRDAIFKYPPPPSPYWASGNIQRQINPPPSGNKITPAVLFIIVIVAVLFFVSGLLHLLVRFLVKNPSARSGRNAEVPSADALQRQLQQLFHLHDSGVDQAFIDALPVFIYREIVGPKEPFDCAVCLSEFLEKDKLRLLPMCGHAFHINCIDTWLLTNSTCPLCRGTLFNPGFSIENPMFDHDELLEEPRDNGTSAAQKAVDLDEIVVEKGTEKGSFPVRLGKFRKLNNGETEEDGEASSSALDARRCYSMGSYQYVVGDAKLRVALNNLRNGRDVEKLVKPRDNQDNNPDNTVEGEGKRLSIGTKTDSFSISKIWLWSKKGKFGSSDSHV >itb03g00860.t2 pep chromosome:ASM357664v1:3:461456:462916:-1 gene:itb03g00860 transcript:itb03g00860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAEDREVRWVQFQRDAIFKYPPPPSPYWASGNIQRQINPPPSGNKITPAVLFIIVIVAVLFFVSGLLHLLVRFLVKNPSARSGRNAEVPSADALQRQLQQLFHLHDSGVDQAFIDALPVFIYREIVGPKEPFDCAVCLSEFLEKDKLRLLPMCGHAFHINCIDTWLLTNSTCPLCRGTLFNPGFSIENPMFDHDELLEEPRDNGTSAAQKAVDLDEIVVEKGTEKGSFPVRLGKFRKLNNGETEEDGEASSSALDARRCYSMGSYQYVVGDAKLRVALNNLRNGRDVEKLVKPRDNQDNNPDNTVEGEGKRLSIGTKTDSFSISKIWLWSKKGKFGSSDSHV >itb03g00860.t3 pep chromosome:ASM357664v1:3:461456:462767:-1 gene:itb03g00860 transcript:itb03g00860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAEDREVRWVQFQRDAIFKYPPPPSPYWASGNIQRQINPPPSGNKITPAVLFIIVIVAVLFFVSGLLHLLVRFLVKNPSARSGRNAEVPSADALQRQLQQLFHLHDSGVDQAFIDALPVFIYREIVGPKEPFDCAVCLSEFLEKDKLRLLPMCGHAFHINCIDTWLLTNSTCPLCRGTLFNPGFSIENPMFDHDELLEEPRDNGTSAAQKAVDLDEIVVEKGTEKGSFPVRLGKFRKLNNGETEEDGEASSSALDARRCYSMGSYQYVVGDAKLRVALNNLRNGRDVEKLVKPRDNQDNNPDNTVEGEGKRLSIGTKTDSFSISKIWLWSKKGKFGSSDSHV >itb09g19030.t1 pep chromosome:ASM357664v1:9:15099671:15102573:1 gene:itb09g19030 transcript:itb09g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIEPVQKFVSRISDAHLAIRELEVDVKTLGNGFCQKCQNQLKEPHVCFYDADHVLKTEEVLFYVDKVKKITRPGCPPEVLDVALTSMSSLVKILSQMSSKPYPPSLL >itb10g22760.t1 pep chromosome:ASM357664v1:10:27498334:27500676:1 gene:itb10g22760 transcript:itb10g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAAVLHGLSSSFLTSGKNQALLAAPARAAVAAPAAAPKRFVVVAAAATKKSWLPGVRGGGNLVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFVGQAWSGVPWFEAGAQPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFSNATGEQGYPGGKFFDPLGFAGTLKNGEYIPDTEKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >itb10g19620.t1 pep chromosome:ASM357664v1:10:25422560:25424240:-1 gene:itb10g19620 transcript:itb10g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGEDGECRPLGFLLGLPFAFVALVLSIVGVAIWIVGSLVSCVCPCCFCVTWIVELAVSLIKAPFSVIKWFTEQIPC >itb04g23410.t1 pep chromosome:ASM357664v1:4:28481082:28482458:1 gene:itb04g23410 transcript:itb04g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEQMRSESEERNRSSKDNSPVKSCSDCHTTRTPLWRGGPAGPKSLCNACGIKYNKKRRQMLGLERGRNEKGKKRRKSGGGGDGGGSAETAKSLRMRWMALEGETALRRSEKLLSQLREEEQAAILLMALSYGSVYA >itb12g26140.t2 pep chromosome:ASM357664v1:12:27155654:27158824:1 gene:itb12g26140 transcript:itb12g26140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKEIHSENNSPVELPVLINYISTNGLDGFDSVKEDGQHSLNHLTHVAGKAVSESPKTVHFNAESKHITENQLPGCAAIPESAEKQQAGHGRFYSQPIPKGSSAFSVAASNANSASGTPKSGKLKDKRFDTFKTWSGKLERQLTNLGGRQREPIQDSDAQTSPEIGTITVDRYFDALEDPELNTLRASEISALPEDQKWPFLLRFPISSFGICLGVSSQAIMWKALATDTSTRFLHISPDVNLVLWCISVALVAIVALIYGLKIVFYFEAVRREYYHPVRVNFFFAPWIALLFLAMGVPPSITENLHKSLWYILMTPILCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGATMGLKEGPIFFFAVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDFGSKIAYFIALFLYVSLVSLSATLWFFLASLLTWSNLVMIKPKRYS >itb12g26140.t1 pep chromosome:ASM357664v1:12:27155654:27158948:1 gene:itb12g26140 transcript:itb12g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKEIHSENNSPVELPVLINYISTNGLDGFDSVKEDGQHSLNHLTHVAGKAVSESPKTVHFNAESKHITENQLPGCAAIPESAEKQQAGHGRFYSQPIPKGSSAFSVAASNANSASGTPKSGKLKDKRFDTFKTWSGKLERQLTNLGGRQREPIQDSDAQTSPEIGTITVDRYFDALEDPELNTLRASEISALPEDQKWPFLLRFPISSFGICLGVSSQAIMWKALATDTSTRFLHISPDVNLVLWCISVALVAIVALIYGLKIVFYFEAVRREYYHPVRVNFFFAPWIALLFLAMGVPPSITENLHKSLWYILMTPILCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGATMGLKEGPIFFFAVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDFGSKIAYFIALFLYVSLAVRINFFRGFNFSLAWWAYTFPMTGAAIATIRYSSAISTTVSKILAVIFCAISTLAVTALLVTTIIHAFVLRDLFPNDSAIAVSERRPKTQPRWYHRRGGSSDHKDIIDQYLKVVDSAVVKDIEAALSPPNVVPQ >itb11g22150.t1 pep chromosome:ASM357664v1:11:23981151:23982049:1 gene:itb11g22150 transcript:itb11g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLIRQSAQQLQVVSIVGMGGIGKTTLARKVYEDSSITFHFDKRAWVTVSQEYNKEQMLQCLIGCVNATSRDELHEQSNEFHEQRQRNSKENLRKHLMGQRYLIVMDDIWSTTAWDSVQGCFPNDNNGSRILLTSRLKMVAEYASSSNSTINMPFLDANESWNLFCNVFGQTEFLSVFEQIGRDIVKKCNGLPLAITLVASLLSKIEAATEKWNNVAKNVSSGPSKYGGSSHGMLARSC >itb03g12130.t1 pep chromosome:ASM357664v1:3:11117597:11121444:1 gene:itb03g12130 transcript:itb03g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDDGEQSDLMLLEELINPEHNGDPQEIGIGDTPSWWMMTLLWTVLRPLKEVTWTLSSKVHVGSLVSSVGGLDHLGDEFDELSWMLEVSERKRRGMTGDRRCDLCPECDETTEHILKDCKQAKEVWKTVMGNARVNAWNRDSYGRWLVSNIMGERQADNKDWPHTFAIIVWWLWRWRNNRISKMEDMSIQRKTAWIKEAQEEISRAFMREESMKGVTVMERVICVGILL >itb01g33420.t2 pep chromosome:ASM357664v1:1:36552867:36555145:1 gene:itb01g33420 transcript:itb01g33420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSMNGQISPPVVYFDGSNLPDRSQHPPYVPPFQVVGLVPVPVEEATLDLQWNYGLEAKKRPEEQDFLENNSQISSVDFLQRRSVSTGLGLSLDNGRLASSGDSSFLGLSGDDIERKLQSLDAEIDRYVKLQGDQLRQAILEKVQASQLQTISYLEEKIIQKLHEKEAEAEYTNKKNLELEIQMEKLSMEAEAWQQRAKYNENLIHTLKFNLQQVYAQNIDSKEGCGDSEVDDTASCCNGRAIDFHLLSQDKNGMKKLMTCKFCRVNEVCMLLLPCRHLCLCKECESKLRLCPLCQSSKYLGMEVYM >itb01g33420.t1 pep chromosome:ASM357664v1:1:36552707:36555145:1 gene:itb01g33420 transcript:itb01g33420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHFQQNSPQPHQRSMPFRDMHNSMNGQISPPVVYFDGSNLPDRSQHPPYVPPFQVVGLVPVPVEEATLDLQWNYGLEAKKRPEEQDFLENNSQISSVDFLQRRSVSTGLGLSLDNGRLASSGDSSFLGLSGDDIERKLQSLDAEIDRYVKLQGDQLRQAILEKVQASQLQTISYLEEKIIQKLHEKEAEAEYTNKKNLELEIQMEKLSMEAEAWQQRAKYNENLIHTLKFNLQQVYAQNIDSKEGCGDSEVDDTASCCNGRAIDFHLLSQDKNGMKKLMTCKFCRVNEVCMLLLPCRHLCLCKECESKLRLCPLCQSSKYLGMEVYM >itb06g15360.t1 pep chromosome:ASM357664v1:6:19745588:19746157:-1 gene:itb06g15360 transcript:itb06g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPKSDVPIITPNDLAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMGSVKGGSPYGAGTYAGDGSRQPSELELQQALHQGKYIAAIAKKLKSAA >itb03g23080.t2 pep chromosome:ASM357664v1:3:21209859:21218062:1 gene:itb03g23080 transcript:itb03g23080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPTVVRTLKNIMESQPAAPKKVYHYNHTDSCKFSRWSSKECYQFMSARPWQKIGAFYTEMVKGQSNLAGLFQKEVPPLSPPIQDDAEAIEDFVKSELGSFPVEDRTGRWARVTFKIVLSYHGASFGGWQKQPGLTTVQELVERALGQFVDEKKAQQLKDKNLPLEGCAVVAGRTDKGVSASQQVCSFYTWRKDVKVQDIADAINSIAPGKIRVVSVTKVSREFHPNFSAKWRRYLYIFPLEQEDCQHRKDVTDKYCSNVHQPNQCADNDECVVGNAEGEVVPGNKPTRFEVSKVNHLLRQLEGKLLSYKMFARDTKPSRNIGPPTECFVFHARAIEVSLPCAKDGSHTKTMCIELVANRFLRKMVRVLVATAIREAVAGADEDALLKLMDATCRRATAPPAPPDGLCLVDVGYAEFDHNSCLIP >itb03g23080.t1 pep chromosome:ASM357664v1:3:21209859:21218062:1 gene:itb03g23080 transcript:itb03g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPTVVRTLKNIMESQPAAPKKVYHYNHTDSCKFSRWSSKECYQFMSARPWQKIGAFYTEMVKGQSNLAGLFQKEVPPLSPPIQDDAEAIEDFVKSELGSFPVEDRTGRWARVTFKIVLSYHGASFGGWQKQPGLTTVQELVERALGQFVDEKKAQQLKDKNLPLEGCAVVAGRTDKGVSASQQVCSFYTWRKDVKVQDIADAINSIAPGKIRVVSVTKVSREFHPNFSAKWRRYLYIFPLEQEDCQHRKDVTDKYCSNVHQPNQCADNDECVVGNAEGEVVPGNKPTRFEVSKWSTNRVLCLPCPSY >itb03g23080.t3 pep chromosome:ASM357664v1:3:21209802:21215094:1 gene:itb03g23080 transcript:itb03g23080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPTVVRTLKNIMESQPAAPKKVYHYNHTDSCKFSRWSSKECYQFMSARPWQKIGAFYTEMVKGQSNLAGLFQKEVPPLSPPIQDDAEAIEDFVKSELGSFPVEDRTGRWARVTFKIVLSYHGASFGGWQKQPGLTTVQELVERALGQFVDEKKAQQLKDKNLPLEGCAVVAGRTDKGVSASQQVCSFYTWRKDVKVQDIADAINSIAPGKIRVVSVTKVSREFHPNFSAKWRRYLYIFPLEQEDCQHRKDVTDKYCSNVHQPNQCADNDECVVGNAEGEVVPGNKPTRFEVSKVNHLLRQLEGKLLSYKMFARDTKPSRNM >itb12g19780.t1 pep chromosome:ASM357664v1:12:22200008:22204097:1 gene:itb12g19780 transcript:itb12g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTPGSAPAPTSPPAPPTNSSTTPPPAAGAPPPSTPAQAPPPSTPSPPPPSTPAPSTSPPPPATPSTPAPSGTPPSTPSPPSSSPSPPSGTSPSTPAPPSRSTPSPPGTRDSPSPPAPRSGGGGGSSSTPSPPSDGGSSGISTGLVVGIAIGGVLILAVLSLMFICCRRKRRRDHGHYYPPPPPQPPSGPKVDPYGGQMQQWQHYAPPPSDHVVTIPPKPTPPPPGASRPPLSPSRVPTPQPPPPPLPYMSSSGASSNYSGSSNPLPPPSPDMALGFSKSTFTYEELARATDGFSDANLLGQGGFGYVHRGVLPNGKEVAVKRLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCITGSQRVLVYEFVPNNTLEFHLHGKGRPPLDWSMRLKIALGAAKGLAYLHEDCNPKIIHRDIKAANILLDFKFEAKVADFGLAKLTSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELITGRRPVDSNQAFMDDSLVDWARPLLNRALEDGNFNSLVDPRLENDYNQNEMSRMIACAAASVRHSARRRPRMSQVVRALEGDVSLSDLNEGIRPGHSAAYSSYGSSDYDTMQYNEDMKKFRKMALGSQEYGSTGQYSNPTSEYGLNPSGSSSEGQTTQEMEMGRMRKDSRGYGGGSKGFN >itb01g09210.t1 pep chromosome:ASM357664v1:1:7414094:7419960:1 gene:itb01g09210 transcript:itb01g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLQNIPADDSVLIRVTHANIKSFSADIRFSLQVTVEAVKEKLWKKCGTSVSSMRLELYDDTGAKISDLSDNTRPFGFYSPHDGYRLHVIDTDPSSVTSGGWLEDTSLVEKYTISEEAYDKRDGTFRKFKEKLACKSATDYEAKICDNYMEDLCAHIKVGDRCQVELGERRGIVKFVGQAETLAPGFWVGVQYDEPVGKHDGMVKGKRYFSCPPLHGGMVRPDKVKVGDYPERDPFEEEEI >itb14g00160.t1 pep chromosome:ASM357664v1:14:112491:118680:1 gene:itb14g00160 transcript:itb14g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLRQICPGLLFVLLLISGLCVSAVHGHSAAEQCSHGHDHHHGDAEHGHASTHQCSHGHGHDHDHHHHHHHHSGAEVNKRKLLPEELAEEEDLKLYGFGTRDEHHHHHHDHGHVDSELSGLGLWLHAMSCSLLVSLASLVCLIILPLIFLKGRPSKAIVDALALFGAGAMLGDAFLHQLPHAFGDNHSHSESHEVHSHDHDHVGHSHSHSLKDLSVGLSILTGIVLFLIVEKLVRYVEELSGGVNAWGHGHHHHRHSKKLKDDNNPDNDLQDLTHEKTGSLPEKESGGSKIDGQSAEMLNGEKDSKNAVLRKRNTGGDGGELETNGEALNSSKDSAKSTKEEPAKSQSSLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFSVSKALFFNFLSALVALAGTALALVLGQDPGQSSLIEGFTAGGFIYVAVAGVLAESNRGGSLSVRSTVIQLISLSLGMAVALSISLVE >itb01g19050.t1 pep chromosome:ASM357664v1:1:25033074:25034468:-1 gene:itb01g19050 transcript:itb01g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTADRAAPWVDLPQELTANILQRLSAVDIFHSAQVCSAWRRLCEDPSMWSYVELWNPAGKEREWDKICREVVNRSEGQLISIKLGSFATDDLLFYIAQRAKQLRHLGIWCNYVSDEGFIKAVNELPLLEGLQIKHCCAISKRGIEAAGRSCPFLNSFNFFMVSENVNRPYDGEAIAIAENMRGLKHLRLYGNKMTDKGVEAILDGCPRLQSLSIVHCNHVRLEGELGKRCSQQIKDLNYIQKPRMGFL >itb12g11810.t1 pep chromosome:ASM357664v1:12:10282227:10283634:1 gene:itb12g11810 transcript:itb12g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQSVALLACMVVLLHVHAGGAITCSEVQNDLMPCITYIMGGASGVTPSCCDGVRNLKNAAATADDRRAACQCLKSAAGSIPAGADVGTAAKNLLRQCNVKLPYRISVSTNCDKIN >itb15g14690.t1 pep chromosome:ASM357664v1:15:12984334:13007585:-1 gene:itb15g14690 transcript:itb15g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEMGGGKELEHRRWEDIERWATEIRGGRDNKSEYDDMRKSILRQRERERERNDEASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQKLIFERPPQNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQSGECYHLYPQCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIGFLKMIGALDEHENLTYLGKYLSVLPVDPKLGKMLVMGAIFRCFDPILTIVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILKDAGLLEADNATNNKLSHNQSFVRAVICSGLYPGITSVVHRETSMSFKTMGDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGNLGNGATAGHLKMLDGYLEFFMDPSLAECYMNLKEQLDELVQKKKLIFERPPQNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQSGECYHLYPQCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIGFLKMIGALDEHENLTYLGKYLSVLPVDPKLGKMLVMGAIFRCFDPILTIVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILKDAGLLEADNATNNKLSHNQSFVRAVICSGLYPGITSVVHRETSMSFKTMGDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGNLGNGATAGHLKMLDGYLEFFMDPSLAECYMNLKEQLDELVQKKLEDPGKDMHKEGKYLMLAVQELVAGDQCEGRFVFGRESKKPKESSNNDRFTKDGTNPKSLLQTLLMRAGHHPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKALAEKDAAIEALAWLTHTSDKKGEEDDNSPPDVTDNMLKLLGKRRRSKRRSS >itb03g28210.t1 pep chromosome:ASM357664v1:3:28948153:28951060:-1 gene:itb03g28210 transcript:itb03g28210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MIFRSLLFCKNIRSAKYFLTIYPPLRKNHGFSWAVNVFNQKCTKSQFLSPSCNNDVIKSPYLSRSYCSGKGTEDSNEWTEDIVYLDESGGFISSGKGIRSVEPGLDDHVMVGGLKKPILNASAVAKIVEIVKRWRWGPDMETQLDRLHFVPNMTHITQALKVMEDADSSLSLFRWVKRQPWYKPSDECYMMLFDRLNQTRDFDGIQSVFDDMVLDSGENGASLFNAYNQVIQYLAKAEKLEVAFCCFKKIQESGCKVDSQTYTSLITLFLNKGMPFKAFEIYENMENARCSLDECSYELMIPSLAKSGRLDVAFKLYQEMKEKKFRPSFGIFASLVDTMGKAGRLDTSMKVYMEMQGFGLRPSATMFVSMIESFVKAGKLDTALRLWDEMKKAGFRPNYGLYTMIVESHAKSGKLDIAMSVFSDMEKAGFMPTPTTYSSLLEMHATSGQVDAAMKLYNSMTNAGLRPGLSTFTALLSLLAKKKLVDVAAKVLLEMKAMGYSVDVTASDVLMVYIKDSSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGLYESAKPLLETYVSSAAKVDLILYTSILAHLVRCQDETDERHLMSILSATKHKAHTFLCGLFTGPEQRKLPVLSFVREFFQGIDYELEEGAARYFINVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVVAQMLSLVESPFEVSKVVLRAPGDTVLEWFKKPIVQQFLLNEIPSRADILMHKLNVLFPSSAPEIRSLSPPKPLLAGKAI >itb10g08710.t1 pep chromosome:ASM357664v1:10:11147423:11147823:-1 gene:itb10g08710 transcript:itb10g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEAAALTGGNLDLALEAPSAPTPRLAPGFRFHPTDEELVVYYLRRKVRRKPFHVEAIAVVDIYKHEPWELHAFCAVNTTDQEW >itb09g07860.t1 pep chromosome:ASM357664v1:9:4698781:4703485:1 gene:itb09g07860 transcript:itb09g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLGTAITYCIVLVLFVSTGHVAGCYKAIVSFGDSLTDTGNLIRMSQSSNGYVMSAVLPYGETFFHHPTGRYSDGRLIIDFIALSMGLPFVEPYFGGKSSGDRSFAKGVNFAVAGATAMDISFFMERGITNRCTNASLGTELEWFKQMMSSFCDTPSRCKQYLRSSIVLMGEIGGNDYNYAFLQGYPREKIVSFVPKIIAIIASAINELIEYGAQTIIVPGNFPIGCSASYLTYFMTTNASEYDPKTGCLNWLNDFSAYHNRLLQNELDRLREQYPDTTIIYADYYNAAMRLYNSPSKYGFNNTVVACCGGGGPYNYDRLVDCGSASSTVCDNPSSYISWDGSHLTEAAYRWIADGLLKGPYTTPQINGECIFRSPKTKLSDS >itb13g20340.t2 pep chromosome:ASM357664v1:13:27157358:27161609:1 gene:itb13g20340 transcript:itb13g20340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVQGISCRTQFIFRRPIPYCIRKVRSRSSAAAVESLVEPLNDSKEEKKRLKAHSNAKGSGYKNASDSEWKKLSSEELGIRTSMISKSTRLVLNCLKQKGYDVYLVGGCVRDLILKRIPKDFDVITSAELKEVLRTFPRCEIVGRRFPICHVHVDDVIVEVSSFTTMGRKFGMNSYHAVRRPPRCNDADFMRWKNCLGRDFTINGLMFDPFARIIYDYMGGLEDIRRAKVRSVIPASTSFMEDCARILRGVRIASRLGFRFSRETAHFVREFSPSVLRLDKGRILMEMNYMLAYGSAEASLRLLWKFGILEILLPIQAAYLVSQGFRRRDRRSNMLLSLFSSLDNLLAPDRPCHTSLWIAILAFHKALADRPRDPLVVAAFCIVVHTSGSSSDALGIVRKISHPHDPRFSELSIDHDLKSDEVLLDEVMDLAADVKSALKKMTDEYFVSQALIEYPEAPHSDMVFISQALSQKVCAIFECVKRGKQKGYAQKQGSKIDYEALTLGRLHEVRRVFARVVFDTVYPLQTNRDNSVDKVSR >itb13g20340.t1 pep chromosome:ASM357664v1:13:27157358:27161609:1 gene:itb13g20340 transcript:itb13g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVQGISCRTQFIFRRPIPYCIRKVRSRSSAAAVESLVEPLNDSKEEKKRLKAHSNAKGSGYKNASDSEWKKLSSEELGIRTSMISKSTRLVLNCLKQKGYDVYLVGGCVRDLILKRIPKDFDVITSAELKEVLRTFPRCEIVGRRFPICHVHVDDVIVEVSSFTTMGRKFGMNSYHAVRRPPRCNDADFMRWKNCLGRDFTINGLMFDPFARIIYDYMGGLEDIRRAKVRSVIPASTSFMEDCARILRGVRIASRLGFRFSRETAHFVREFSPSVLRLDKGRILMEMNYMLAYGSAEASLRLLWKFGILEILLPIQAAYLVSQGFRRRDRRSNMLLSLFSSLDNLLAPDRPCHTSLWIAILAFHKALADRPRDPLVVAAFCIVVHTSGSSSDALGIVRKISHPHDPRFSELSIDHDLKSDEVLLDEVMDLAADVKSALKKMTDEYFVSQALIEYPEAPHSDMVFISQALSQKVCAIFECVKRGKQKGYAQKQGSKIDYEALTLGRLHEVRRVFARVVFDTVYPLQTNRDNSVDKVSR >itb13g20340.t3 pep chromosome:ASM357664v1:13:27157358:27161609:1 gene:itb13g20340 transcript:itb13g20340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVQGISCRTQFIFRRPIPYCIRKVRSRSSAAAVESLVEPLNDSKEEKKRLKAHSNAKGSGYKNASDSEWKKLSSEELGIRTSMISKSTRLVLNCLKQKGYDVYLVGGCVRDLILKRIPKDFDVITSAELKEVLRTFPRCEIVGRRFPICHVHVDDVIVEVSSFTTMGRKFGMNSYHAVRRPPRCNDADFMRWKNCLGRDFTINGLMFDPFARIIYDYMGGLEDIRRAKVRSVIPASTSFMEDCGEHEYLFFLAYLTPMQCCNSNSHSHLTARILRGVRIASRLGFRFSRETAHFVREFSPSVLRLDKGRILMEMNYMLAYGSAEASLRLLWKFGILEILLPIQAAYLVSQGFRRRDRRSNMLLSLFSSLDNLLAPDRPCHTSLWIAILAFHKALADRPRDPLVVAAFCIVVHTSGSSSDALGIVRKISHPHDPRFSELSIDHDLKSDEVLLDEVMDLAADVKSALKKMTDEYFVSQALIEYPEAPHSDMVFISQALSQKVCAIFECVKRGKQKGYAQKQGSKIDYEALTLGRLHEVRRVFARVVFDTVYPLQTNRDNSVDKVSR >itb06g19700.t1 pep chromosome:ASM357664v1:6:22925009:22927360:1 gene:itb06g19700 transcript:itb06g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFYTPRPEFLVVGLLLFSVSVHAQISIPSKQDGFWYKDRAAGIDSILIEAFLDPVCPDSRDAWPPLKQAFEFYGSRVSLVVYPFALPYHDNAFLCSRALHIVNKFNSSSPYKLLESFFGSQEEFYNQKTFNLSRASVVAYVAKFAANAVGNTLYDEIKAGFSDSTTDQATRYSFKYGCLKGVYGTPFFFVNGYPLPDAGSALSYKDWRGFIDPLVAEAEASRVEPSHYLL >itb15g05430.t4 pep chromosome:ASM357664v1:15:3490242:3492118:1 gene:itb15g05430 transcript:itb15g05430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFHIAEREEDISYYAGYLGSSFMLGRTLTSALWGIIADRYGRKPVVIIGTVTVVIFNALFGLSVNYWMAIITRFLLGALCGIIGTMRAYASEICRREYHALGISAVSTAWGIGLVIGPAVGGYFAQPAEKYPGIFSAESFFGKFPYFLPCLMISMFALVATVISFWLLETMHTHKKEHKEEHDVSHNAHEVLTSQKSLLKNWPLISSILVYCVFEIHDIAYLEVILEKTSINLKKINVQYKEE >itb15g05430.t1 pep chromosome:ASM357664v1:15:3489460:3494693:1 gene:itb15g05430 transcript:itb15g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFHIAEREEDISYYAGYLGSSFMLGRTLTSALWGIIADRYGRKPVVIIGTVTVVIFNALFGLSVNYWMAIITRFLLGALCGIIGTMRAYASEICRREYHALGISAVSTAWGIGLVIGPAVGGYFAQPAEKYPGIFSAESFFGKFPYFLPCLMISMFALVATVISFWLLETMHTHKKEHKEEHDVSHNAHEVLTSQKSLLKNWPLISSILVYCVFEIHDIAYLEIFSLWAVSPRKLGGLSYTTSDVGQVLAITGIGLLLFQLFAYPLVENVLGPVMISRIGAALSIPLLSSYPYIALLSGLCLSVVLNCVSMLKNVLSVSITTGLLILQNRAVSQEQRGAANGISMSAMSLFKAIGPAAGGSLLSWSQVRQDAKFMPGDQLVFFVLNVFVLLGLIMTFKPFLQLPENDISKSKQDEAAEENCLQTQNCIA >itb15g05430.t2 pep chromosome:ASM357664v1:15:3489460:3494693:1 gene:itb15g05430 transcript:itb15g05430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNRAPQSEQNGGHLDDEYFCYDESCAGCRVELLKRANTGVPVKHVFFISMVTLCAALPITSLFPFVYFMIRDFHIAEREEDISYYAGYLGSSFMLGRTLTSALWGIIADRYGRKPVVIIGTVTVVIFNALFGLSVNYWMAIITRFLLGALCGIIGTMRAYASEICRREYHALGISAVSTAWGIGLVIGPAVGGYFAQPAEKYPGIFSAESFFGKFPYFLPCLMISMFALVATVISFWLLETMHTHKKEHKEEHDVSHNAHEVLTSQKSLLKNWPLISSILVYCVFEIHDIAYLEIFSLWAVSPRKLGGLSYTTSDVGQVLAITGIGLLLFQLFAYPLVENVLGPVMISRIGAALSIPLLSSYPYIALLSGLCLSVVLNCVSMLKNVLSVSITTGLLILQNRAVSQEQRGAANGISMSAMSLFKAIGPAAGGSLLSWSQVRQDAKFMPGDQLVFFVLNVFVLLGLIMTFKPFLQLPENDISKSKQDEAAEENCLQTQNCIA >itb15g05430.t3 pep chromosome:ASM357664v1:15:3489460:3492118:1 gene:itb15g05430 transcript:itb15g05430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNRAPQSEQNGGHLDDEYFCYDESCAGCRVELLKRANTGVPVKHVFFISMVTLCAALPITSLFPFVYFMIRDFHIAEREEDISYYAGYLGSSFMLGRTLTSALWGIIADRYGRKPVVIIGTVTVVIFNALFGLSVNYWMAIITRFLLGALCGIIGTMRAYASEICRREYHALGISAVSTAWGIGLVIGPAVGGYFAQPAEKYPGIFSAESFFGKFPYFLPCLMISMFALVATVISFWLLETMHTHKKEHKEEHDVSHNAHEVLTSQKSLLKNWPLISSILVYCVFEIHDIAYLEVILEKTSINLKKINVQYKEE >itb04g19670.t1 pep chromosome:ASM357664v1:4:24111507:24121590:1 gene:itb04g19670 transcript:itb04g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTYFPFVVAVVLFSFGVIGGEGRSQLSGISSEVWARQEADRVTGLPGSPPVNFRQYAGYVRVSQKHGRALFYWFFEAMENPEDKPLVLWLNGGPGCSSVGYGLLEELGPFLSQKGKPELRFNNYSWNTAANMLFLEQPVGVGFSYTNTSSDIGRQGDKFAGHYVPQLSELIYDKNKVVPKVDQINFKGLLIGNAVLDDEADQTGLIDYAWDHAVISDRLRDDIKAACNFSSATSSAECDAQLNNYFAVYDIIDMYSLYTPTCVRTNSTATKKSVPVVRGIAPHLFSKMAGWHKKPSGYDPCATDYTEAYLNRPDVQKALHANVTGISYPWTHCSDIISDWKDAPSTILPIIRKLAAAGLRIWVFSIKTTKTTSYLLIRPQTSALCSLASARSLARQSPLTRLHATAVALTPPPSHSRHRAALTPPPSHSRHRAALTPPPSHPRLLHTTKPHATATASALVSFNLSLL >itb10g18920.t2 pep chromosome:ASM357664v1:10:24708251:24711107:1 gene:itb10g18920 transcript:itb10g18920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAMASGKLITACSWVVLGVSVIVVAMIGGGEAQPQVPCLFIFGDSLVDNGNNNNINSLAKANYLPYGIDFPRGPTGRFSNGKTTVDAVAQLLGFNEDDIPPYARARGQQILRGVNYASAAAGIRDETGQQLGGRTSFSGQVNNYKTTVRQLVQLLGDENSTANYLSKCIFSVGVGSNDYLNNYFMPLYYSSSRRYTPQQFADLLIQQYTQQLRVSHLFFHRQILFFWLTFVSVTRLLYHLLVTPKCIIHSKGATLFPYTATHF >itb10g18920.t1 pep chromosome:ASM357664v1:10:24708251:24711107:1 gene:itb10g18920 transcript:itb10g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAMASGKLITACSWVVLGVSVIVVAMIGGGEAQPQVPCLFIFGDSLVDNGNNNNINSLAKANYLPYGIDFPRGPTGRFSNGKTTVDAVAQLLGFNEDDIPPYARARGQQILRGVNYASAAAGIRDETGQQLGGRTSFSGQVNNYKTTVRQLVQLLGDENSTANYLSKCIFSVGVGSNDYLNNYFMPLYYSSSRRYTPQQFADLLIQQYTQQLRILYNYGARKFALIGVGQIGCSPNALAQNSADGKTCVKRINDANQMFNNNLRQLVDSSNRNTPDAKFIYINAYGIFQDLIDNPSAFGFKVTNAGCCGVGRNNGQITCLPLQNPCPNRNEYVFWDAFHPGEAANIIVGRRSYTAQKPSDAYPYDISRLAQA >itb10g18920.t3 pep chromosome:ASM357664v1:10:24708251:24711064:1 gene:itb10g18920 transcript:itb10g18920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAMASGKLITACSWVVLGVSVIVVAMIGGGEAQPQVPCLFIFGDSLVDNGNNNNINSLAKANYLPYGIDFPRGPTGRFSNGKTTVDAVAQLLGFNEDDIPPYARARGQQILRGVNYASAAAGIRDETGQQLGGRTSFSGQVNNYKTTVRQLVQLLGDENSTANYLSKCIFSVGVGSNDYLNNYFMPLYYSSSRRYTPQQFADLLIQQYTQQLRILYNYGARKFALIGVGQIGCSPNALAQNSADGKTCVKRINDANQMFNNNLRQLVDSSNRNTPDAKFIYINAYGIFQDLIDNPSAFGKFLPSQLLIIE >itb06g23530.t1 pep chromosome:ASM357664v1:6:25451830:25454241:1 gene:itb06g23530 transcript:itb06g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIGTVEQRLKSFLSQLQSEFGILDRIVYKNKNQHRRCSYFQYLMKVRRDLRLLKSANPEEIFSASFDVIHGKRPKQKVQLLESLKKKRRTDSNKHNFLDRLLGVAHLLSQMAEPMLKAATQVSTLLARSFFMGFSLMVLALLARIRVLVQQMLLDVVFVFNRVSSLSQKEQAVRLTQERFEVFREYYPAKQQQPTFLECIWETDKYRLIERKGGSEVENQEKKIKEDAACIETSKIQYQSVEIFLGEDECDKTVPDEVNIERSSFLNEKDKSSSLLSPVLITPGSNDDEPQVPRGSDIAVSPDKSIVSTGSGMLKSDAEVKIKAKAQRNVAFVSVKVSAPPSLSSVNEPGHCLQGKEKGGSSTTEEDPFFSLLTTGGNKNSTLF >itb06g23530.t2 pep chromosome:ASM357664v1:6:25451830:25454241:1 gene:itb06g23530 transcript:itb06g23530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIGTVEQRLKSFLSQLQSEFGILDRIVYKNKNQHRRCSYFQYLMKVRRDLRLLKSANPEEIFSASFDVIHGKRPKQKVQLLESLKKKRRTDSNKHNFLDRLLGVAHLLSQMAEPMLKAATQVSTLLARSFFMGFSLMVLALLARIRVLVQQMLLDVVFVFNRVSSLSQKEQAVRLTQERFEVFREYYPAKQQQPTFLECIWETDKYRLIERKGGSEVENQEKKIKEDAACIETSKIQYQSVEIFLGEDECDKTVPDEVNIERSSFLNEKDKSSSLLSPVLITPGSNDDEPQVPRGSDIAVSPDKSIVSTGSGMLKSDAEVKIKAKAQRNVAFVSVKVSAPPSLSSVNEPGHCLQGKEKGGSSTTEEDPFFSLLTTGGNKNSTLF >itb04g34200.t1 pep chromosome:ASM357664v1:4:36232571:36234180:1 gene:itb04g34200 transcript:itb04g34200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRQIDEMLFQLAKTIPHLLQLHSLNIKTGLDHHEFLLSQFVLSACSISLQFARSVFEKSPITPSLFTWNTMIREYSKSSSEIESVKLFIRLLRAGIRPDKFVFPSVLKSCGCCSMVGAGGSVHSMAIKTGFVFDVHVNNTLLKMYAACGVVEFARKVFDEMHHRDMVSWSCMIASYVDCNLPSDAIVAFKKMKLANEKESSITLVSLVAACTSLLNVRLGQSIHSQILTNGIEFHVGLATSLLQMYAKCGRVEEAFQIFNSMSTKNLQSWTIMISALADNGRGEEAISLFPRMEEAGLRPDSLSFSAILSACSHLGLVEEGHKYFNRMVNLYDIRPTMEHYGCMVDLLGRAGKIEQAYEVIMSMPMEPNSVILRSFISACKQNGHSNILRLDEHLKELLLKIEPGIAANYVLAANLSSLCGYWDDADGLQSAIKEKGIKKTPGCSWVQLRSGYSENVKGDTVNCFI >itb01g02940.t1 pep chromosome:ASM357664v1:1:1923733:1927201:1 gene:itb01g02940 transcript:itb01g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAEADGWERSDFPIICESCLGDSPYVRMSKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKVRANDTILKLQRTTPYYKRNRAHVCSFYVRGQCTRGLECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPNDESIKTLYVGGVDARITEQDLRDQFYAHGEIESVKMVLQRGCAFVTYTTREGAERAAEELANKLVIKGLRLKLLWGRPQAPKPESEMTDELRQQAAVAHGGLLPRAVISQQQNQPDNQDQPPYMPYFNIPHMPQPERAFYPSMDPQRMGALVSSQDGSSSAGASGSGENRSASDQQPQGQHFGYPPAPQQPQGQFYRPYYPPIPPPYGYIPPPPPPYQQFPPPPYQPMIPPPPPGGNPTGHQPQPQPHTGPAQQGPGQQDPGQQS >itb09g14460.t4 pep chromosome:ASM357664v1:9:9731022:9737329:1 gene:itb09g14460 transcript:itb09g14460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARASAAASKLREPEKIIETEEQIDLDEDNEEEEEVEYEEVEEEVEMEEEEEVEEEVEEEEEEENDDDDDDEEEEENGSVNVDLEVDEKKHNELLALPPHGSEVYIGGINQDVSEGDMRQFCESIGEVTEVRIMKGKEPNQNKGYAFVTFRTKEFASKAIKELNNTELKGRKIKCSEAQAKHRLFIGNIPRNWGEEDLKRVVTKIGPGAINVELVKDPQNSSRNRGFAFIEYYNNACAEYSRQKMSNPKFKLDDNAPTVSWADPKNTDSSSSSSQVKAVYVKNLPRNITQDQLKNVFEHHGKITKVVLPPAKAGHEESRYGFVHFAERSSAMKALKNTEKYEIDGKVLDCSLAKPQADQKSSGPSISQKGVTLPTYPPHVGYGMIGAPYGAVGAGIAQPLLYGRGASPAGLAMMPMLLPDGRIGYVLQQPGVLHTPPPAARGSHGSSGRGSSGGRRGGGDSGRGRGRGRSRYNPY >itb09g14460.t3 pep chromosome:ASM357664v1:9:9731022:9737302:1 gene:itb09g14460 transcript:itb09g14460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARASAAASKLREPEKIIETEEQIDLDEDNEEEEEVEYEEVEEEVEMEEEEEVEEEVEEEEEEENDDDDDDEEEEENGSVNVDLEVDEKKHNELLALPPHGSEVYIGGINQDVSEGDMRQFCESIGEVTEVRIMKGKEPNQNKGYAFVTFRTKEFASKAIKELNNTELKGRKIKCSEAQAKHRLFIGNIPRNWGEEDLKRVVTKIGPGAINVELVKDPQNSSRNRGFAFIEYYNNACAEYSRQKMSNPKFKLDDNAPTVSWADPKNTDSSSSSSQVKAVYVKNLPRNITQDQLKNVFEHHGKITKVVLPPAKAGHEESRYGFVHFAERSSAMKALKNTEKYEIDGKVLDCSLAKPQADQKSSGPSISQKGVTLPTYPPHVGYGMIGAPYGAVGAGIAQPLLYGRGASPAGLAMMPMLLPDGRIGYVLQQPGVLHTPPPAARGSHGSSGRGSSGGRRGGGDSGRGRGRGRSRYNPY >itb09g14460.t2 pep chromosome:ASM357664v1:9:9731012:9737329:1 gene:itb09g14460 transcript:itb09g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARASAAASKLREPEKIIETEEQIDLDEDNEEEEEVEYEEVEEEVEMEEEEEVEEEVEEEEEEENDDDDDDEEEEENGSVNVDLEVDEKKHNELLALPPHGSEVYIGGINQDVSEGDMRQFCESIGEVTEVRIMKGKEPNQNKGYAFVTFRTKEFASKAIKELNNTELKGRKIKCSEAQAKHRLFIGNIPRNWGEEDLKRVVTKIGPGAINVELVKDPQNSSRNRGFAFIEYYNNACAEYSRQKMSNPKFKLDDNAPTVSWADPKNTDSSSSSSQVKAVYVKNLPRNITQDQLKNVFEHHGKITKVVLPPAKAGHEESRYGFVHFAERSSAMKALKNTEKYEIDGKVLDCSLAKPQADQKSSGPSISQKGVTLPTYPPHVGYGMIGAPYGAVGAGIAQPLLYGRGASPAGLAMMPMLLPDGRIGYVLQQPGVLHTPPPAARGSHGSSGRGSSGGRRGGGDSGRGRGRGRSRYNPY >itb09g14460.t1 pep chromosome:ASM357664v1:9:9731012:9737329:1 gene:itb09g14460 transcript:itb09g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARASAAASKLREPEKIIETEEQIDLDEDNEEEEEVEYEEVEEEVEMEEEEEVEEEVEEEEEEENDDDDDDEEEEENGSVNVDLEVDEKKHNELLALPPHGSEVYIGGINQDVSEGDMRQFCESIGEVTEVRIMKGKEPNQNKGYAFVTFRTKEFASKAIKELNNTELKGRKIKCSEAQAKHRLFIGNIPRNWGEEDLKRVVTKIGPGAINVELVKDPQNSSRNRGFAFIEYYNNACAEYSRQKMSNPKFKLDDNAPTVSWADPKNTDSSSSSSQVKAVYVKNLPRNITQDQLKNVFEHHGKITKVVLPPAKAGHEESRYGFVHFAERSSAMKALKNTEKYEIDGKVLDCSLAKPQADQKSSGPSISQKGVTLPTYPPHVGYGMIGAPYGAVGAGIAQPLLYGRGASPAGLAMMPMLLPDGRIGYVLQQPGVLHTPPPAARGSHGSSGRGSSGGRRGGGDSGRGRGRGRSRYNPY >itb02g10950.t1 pep chromosome:ASM357664v1:2:7166093:7166845:1 gene:itb02g10950 transcript:itb02g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAVERHPLSVSQAPTGRSRVLQRNCSCRSGFPASPKSQIHHHHHARPAVRGARQGIVPSHSRLSRRQANKEIIRRAITPPARRPTLRWLDFKPTPSRLCNMSDV >itb04g21760.t1 pep chromosome:ASM357664v1:4:26858237:26859491:-1 gene:itb04g21760 transcript:itb04g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTELYCDDTAIQEPPSSFSLLKKLKFLTFRGCKPLASQSQRSLFLSRLLQPRTFQDIKASPSVSLSGLSSLVQLDISCCSMFDGGICCDLGELPSLQVLNLSNNKFVSIPAESLAHLTGLKKLDLVGCYRLETLPDLPSSIKEVYLDECKALRGNIDMFAKYPKLSRISFTRCIQLLQNPLYSDVVDAIWQHLLKGLSVADRHCSVFFPGNSVPEWFTYKNLGLSISANLPQNWYNDKFMGFAICVPDVRSITCVCSYDVSEKYGIEVVFGYRSHDGGENCVLTFIGMVGSEEYMVSERSCLAYLSYGSLILPGCEDPNEWSQIVVEGVGDYEGIGGYGLQLVYEDDVKQAHAQANELLMIQDDSS >itb10g01370.t1 pep chromosome:ASM357664v1:10:996311:998834:-1 gene:itb10g01370 transcript:itb10g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G03280) UniProtKB/Swiss-Prot;Acc:Q9ZR03] MASSTLSPVTPSQLCSSRNGMCSGSQALMVKSVRSGAGGKKKGLKVTCMATSIPADDRVPDMGKRQLMNLLLLGALSLPTSAMLVPYAAFFVPPGSGGGSGGTVAKDALGNDVLASEWLKTHAPGNRTLTQGLKGDPTYLVVENDGQLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALAHADVDDDKVVFVPWVETDFRTGENPWWA >itb06g16700.t1 pep chromosome:ASM357664v1:6:20754729:20756866:1 gene:itb06g16700 transcript:itb06g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWASPEDIHLSTSLASYLDKKLLVLLRDSRKLLGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYIIRGENVVLIGELDLDKEELPPHMTRVSEAEIKRAQKAEREATDLKGTMRKRMEFLDMD >itb12g18560.t1 pep chromosome:ASM357664v1:12:20867916:20868745:-1 gene:itb12g18560 transcript:itb12g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLIFPCGCFNHSMLQLCHTVPAGIYAKVKYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRAALRKTSDSIIDTKSIGSSEFKDKNPLP >itb01g13400.t1 pep chromosome:ASM357664v1:1:13453940:13455015:1 gene:itb01g13400 transcript:itb01g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGFGYLAAITQPSFGQAACESDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESPVKWNTTLADFAESYATKNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb09g05130.t1 pep chromosome:ASM357664v1:9:2907182:2909935:1 gene:itb09g05130 transcript:itb09g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGGKKKKGGVNQNQGSGGNNQTPVVNGSVELDSSLFLKRAHELKEEGNRRFQGKDFVGALQQYENALKLTPKTHPDRAVFHSNRAACLMQMKPIDYDTVISECTMALQVQPQFVRALLRRARAYEAIGKYEMAMQDVQMLLNADPNHQDALDIAGRMRMALGPRQEAQQDLQSRPSPAALGASAVGAAPIAGLGPCLPARPAPKKPTPSVGTSALLANNKHNPAMPTENGVQAKVQLPKVVLKPSNGSPKPNANPIKDDKKEQTSSSVSITSHGHSRQAVILWRPLKLIYDHDIRLAKMPVNCSFRELRDVIGKRFPMSKSVLIKYKDSDGDLVTITCSAELRSAEAGVDNLVPQDPDTEKGDSIGMLRLHIVEVSPEQEPPVLEEEEEKPVESEGTKADESVSHSSLSDSMVDTVDSEINKVEKITEKVKSAASEDPESKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQALFDKAALKFQEVATLAFFNWGNVHMCAARKRIPIEDPGSKELLTSQLQAAYDWVKDKYSLAKGKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFVLAKKEDLSKWDATETFRLFDSAEEKMKVATEMWEKLEEQRIKELKDPNASKKDELKRRKKQANGLDAESSAAGGQSEISADEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGLAGWKKNLDTAVERFKLAGASEADISTVLKNHCSNEAAEEPEKMVANITAKVADKTEDKDDADQM >itb08g00770.t2 pep chromosome:ASM357664v1:8:575582:580285:-1 gene:itb08g00770 transcript:itb08g00770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFSAPGDYIYFKSQVPLHKIPIGSKQWRYYDFGPKVVPPLICLPGIAGTADVYYKQIMSLSMKGYRVISVDIARAWNHSEWVQAFEKFLDAIDVHHIHLYGTALGGFLAQLYAQHRPRRVRSLVLSNTFLETTSFAATMPWAPVVAWTPAFLLKRYVLTGIRDVPQEPFIADSVDFVVSQVEALAKDDLASRLTLTADAASVGPLLLPDSSITLMDTNDYCATPQQLKDQVRERYPGARQAILKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVRSVPRDGNGESSDGPKDERGDSDDGSKDDANHSESPSHTDEPPLTPGGTDPPLAPQGTHSHTLANQVLSNARIPESNQLPALLLLHAISETELNMASRVLLHFIHRLLPLYVRAFCANSKNCLEVRNLV >itb08g00770.t1 pep chromosome:ASM357664v1:8:575582:580397:-1 gene:itb08g00770 transcript:itb08g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFSAPGDYIYFKSQVPLHKIPIGSKQWRYYDFGPKVVPPLICLPGIAGTADVYYKQIMSLSMKGYRVISVDIARAWNHSEWVQAFEKFLDAIDVHHIHLYGTALGGFLAQLYAQHRPRRVRSLVLSNTFLETTSFAATMPWAPVVAWTPAFLLKRYVLTGIRDVPQEPFIADSVDFVVSQVEALAKDDLASRLTLTADAASVGPLLLPDSSITLMDTNDYCATPQQLKDQVRERYPGARQAILKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVRSVPRDGNGESSDGPKDERGDSDDGSKDDANHSESPSHTDEPPLTPGGTDPPLAPQGTHSHTLANQVLSNARIPESNQLPALLLLHAISETELNMASRVLLHFIHRLLPLYVRAFCANSKNCLEVRNLV >itb13g20230.t1 pep chromosome:ASM357664v1:13:27051692:27060130:1 gene:itb13g20230 transcript:itb13g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLKTLRGFAATRHERKERRIRSLTQADELAQATQDMVDMRDCYDGLLSAAAATANSAYEFSESLQEMGECILEKTSLSDDEETGKVLLMLGKVQFQFHKLLDSYRSHINQTITVPSESLLNELNVVEDMKRQCDEKRESYDQLIKKYTEKGNLRGAKGECFSSHQLQAAYDEYDEGANVFVLRMKSLRQGQSRSLLTQAARHHAAQISFFRKALKYLEEIEPHVKLVTEQQYIDYHFSGLEDDDEDDAVNDGDHDSKDDSESHDGELSFDLGQNELEYVSANSMELDNTDVTFPQVAKPDLAKENLERNIGGTPFAFRRETRVSSKSAPLHAELKHDPTERAIPISSSPSYKIHSYVLPTPDKSETSVPVKSNLEAPQTRQPNLRSKANLWHSSPLDKNKYEKPGPAEKLSGPMIFSAQPILRQGDNNPKPSRLSPPLSGRSSPQLDPSAHSSPQLDPSAASDAKKAKRQAFSGPLIGKPGPNNPHFSASGSVAFQGFPQFSGPLLRTPLSQSSSTPKLGSHASPSSISTPKISELHELPRPPAHLPSTRPLRGIVHSGPLVSRGPGPSTNKAAVSPVASTLPMPPPVLPRSYSIPSSGQIETAHVSKPSKDFASPPLTPEAISNSVEPPPPAT >itb13g20230.t2 pep chromosome:ASM357664v1:13:27051692:27060130:1 gene:itb13g20230 transcript:itb13g20230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLKTLRGFAATRHERKERRIRSLTQADELAQATQDMVDMRDCYDGLLSAAAATANSAYEFSESLQEMGECILEKTSLSDDEETGKVLLMLGKVQFQFHKLLDSYRSHINQTITVPSESLLNELNVVEDMKRQCDEKRESYDQLIKKYTEKGNLRGAKGECFSSHQLQAAYDEYDEGANVFVLRMKSLRQGQSRSLLTQAARHHAAQISFFRKALKYLEEIEPHVKLVTEQQYIDYHFSGLEDDDEDDAVNDGDHDSKDDSESHDGELSFDLGQNELEYVSANSMELDNTDVTFPQVAKPDLAKENLERNIGGTPFAFRRETRVSSKSAPLHAELKHDPTERAIPISSSPSYKIHSYVLPTPDKSETSVPVKSNLEAPQTRQPNLRSKANLWHSSPLDKNKYEKPGPAEKLSGPMIFSAQPILRQGDNNPKPSRLSPPLSGRSSPQLDPSAHSSPQLDPSAASDAKKAKRQAFSGPLIGKPGPNNPHFSASGSVAFQGFPQFSGPLLRTPLSQSSSTPKLGSHASPSSISTPKISELHELPRPPAHLPSTRPLRGIVHSGPLVSRGPGPSTNKAAVSPVASTLPMPPPVLPRSYSIPSSGQIETAHVSKPSKDFASPPLTPEAISNSVEPPPPAT >itb13g20230.t3 pep chromosome:ASM357664v1:13:27051692:27058307:1 gene:itb13g20230 transcript:itb13g20230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLKTLRGFAATRHERKERRIRSLTQADELAQATQDMVDMRDCYDGLLSAAAATANSAYEFSESLQEMGECILEKTSLSDDEETGKVLLMLGKVQFQFHKLLDSYRSHINQTITVPSESLLNELNVVEDMKRQCDEKRESYDQLIKKYTEKGNLRGAKGECFSSHQLQAAYDEYDEGANVFVLRMKSLRQGQSRSLLTQAARHHAAQISFFRKALKYLEEIEPHVKLVTEQQYIDYHFSGLEDDDEDDAVNDGDHDSKDDSESHDGELSFDLGQNELEYVSANSMELDNTDVTFPQVAKPDLAKENLERNIGGTPFAFRRETRVSSKSAPLHAELKHDPTERAIPISSSPSYKIHSYVLPTPDKSETSVPVKSNLEAPQTRQPNLRSKANLWHSSPLDKNKYEKPGPAEKLSGPMIFSAQPILRQGDNNPKPSRLSPPLSGRSSPQLDPSAHSSPQLDPSAASDAKKAKRQAFSGPLIGKPGPNNPHFSASGSVAFQGFPQFSGPLLRTPLSQSSSTPKLGSHASPSSISTPKISELHELPRPPAHLPSTRPLRGIVHSGPLVSRGPGPSTNKAAVSPVASTLPMPPPVLPRSYSIPSSGQIETAHVSKPSKDFASPPLTPEAISNSVEPPPPAT >itb07g22260.t2 pep chromosome:ASM357664v1:7:26754035:26757266:1 gene:itb07g22260 transcript:itb07g22260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLISSWDNSLRLYDVESSKLRLESPGEAALLDCCLENDFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLITAGWDKNIIFWDAQSAKSVGCLNNLTSEPKSMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDIRVKCVRPILHYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVESVNDIAFSPL >itb07g22260.t1 pep chromosome:ASM357664v1:7:26754035:26757266:1 gene:itb07g22260 transcript:itb07g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLISSWDNSLRLYDVESSKLRLESPGEAALLDCCLENDFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLITAGWDKNIIFWDAQSAKSVGCLNNLTSEPKSMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDIRVKCVRPILHYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVESVNDIAFSPLNSGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVENFQSESPSIGAS >itb01g23030.t1 pep chromosome:ASM357664v1:1:29117058:29118202:-1 gene:itb01g23030 transcript:itb01g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSTERVILVAHSLGGLAISKAMETFPQKISVAVFVTAIMPGPSLNISTLTAQDLALATTLLRPIYLYTEEDMSKEVILSQKRYGCVDRVYIKAGEDKSGNKDVEQWMIQRNPPNEVIEIAGGDHMVMMSRPLQLFLHLLSIAHTYH >itb01g32410.t1 pep chromosome:ASM357664v1:1:35921921:35923959:-1 gene:itb01g32410 transcript:itb01g32410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSKSSSPSSLVSTNQTHFFSSHKSPMAPHFDDDDDQEQLHRWPTPKEAMEEIKAIGKISGPTAMTGLLMYSRAMISMLFLGYLGELELAGGSLAIGFANITGYSVIAGLAMGMEPICGQAYGGKQMKLLGLTLQRTVLLLLSTSIPISFSWMNMKNILLWCGQDEEIASMAHTFIVFAIPDLFFLSLLHPLRVYLRTQGITLPLTYCSAISVLLHVPLNFVLVKYFDLGVAGVAVAMVLTNLNLFLLLCSFVYFSGVYKDSWVGPSSDCLRGWSSLLALAIPTCISVCLEWWWYEFMILLCGILGNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGAGRPARARISMIVSLFCAAGLGVAAMLFATLMRHKWGRFFTADEEILELTAIALPIAGVCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVSILLGFALKMGFAGLWLGLLAAQATCAILMLCVLCRTDWTLQADRAKELTKSPPSPSSSSPSPPLSPPPSLKPNLEDGLCSKDDDTLETDPLIIIVN >itb13g18380.t1 pep chromosome:ASM357664v1:13:25350770:25351270:-1 gene:itb13g18380 transcript:itb13g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIEALAMADSSHSSSPYLSPLNSFPPEISPIDYPPPDSSVLEFTENYLASLPKDLKEEKSEEYKTIVDICKLALEQYEPKELYDFESVPEGEEVFVTAVGCMQYTLKFRATKVDGPVEVFEVTGQLMGGDLIIIQKCTLLK >itb09g04200.t1 pep chromosome:ASM357664v1:9:2339100:2343783:-1 gene:itb09g04200 transcript:itb09g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLSAPATQLIAAHSSAHNHPKLSLSAAAAAFSFPATHNGHSSSGLVSISAPCNDPKWRAGVSFFPGFLKLNKTKDPEPIKEELFEALAPLDRGADATPEDQEQIEQIVRKLEAANPTKEPLKSPFLNGKWELIYTTSQSILQTNRPKFLRSRTNYQGINADTLRAQNLESWPTFNQVTADLTPVNASKVAVKFDYFKIAGLIPIKAPDTARGSLDITYLDKELRVSRGDKGNLFILKMVDPSYRVPV >itb15g05560.t1 pep chromosome:ASM357664v1:15:3578525:3581555:-1 gene:itb15g05560 transcript:itb15g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRMFKIWVFVYIPILFCTSSMHVGGLGVNWGTMATHQLSPKVVVQMLKDNGIQKVKLFDADQSTMGALAGTSIEVMVAIPNDQLLAMNDYGRAKDWVKRNVTRYNFRGGVNIKYVAVGNEPFLASYNNSFIHTTFPALQNIQNALNEAGLGSNIKATVPLNADVYNSPESNPVPSAGRFRADISEEMIQIVQFLHQNNAPFTVNIYPFLSLYLNENFPVDYAFFDGTANSVVDHGIPYTNVFDANFDTLVSALTAAGYGDTPVLVGEVGWPTEGDKNANVNLAYRFYRGLLPRLAANRGTPLRPGYIEVYLFGLLDEDAKSIAPGNFERHWGIFRYDGQPKFPMDLSGQGQDRYLVGAKGVEYLPKRWCMLRPEARDLSRLGDNINYACTHSDCTALGYGSSCNGLDTSGNASYAFNMYFQVQNQDDLSCNFQGLAMVTDQNISQGNCNFTIQIVALSPRLLPGIMALLTGFTFLLLL >itb06g07690.t1 pep chromosome:ASM357664v1:6:11425583:11428264:1 gene:itb06g07690 transcript:itb06g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTKESDIQLMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIQNPQDIVVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSYNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMNYVDIGIPANNKGKHSIGVLFWLLARMVLQMRGTIPAGHKWNVMADLFFYREPEEAKESQEEEVPALPEYPEYGATLAGDWSSSQIPEAQWSTDVAPPVPAAAGEWSADAGGVGGWDSAAAPPLPVQLSGETEVQVPPVAPAGWE >itb15g12640.t1 pep chromosome:ASM357664v1:15:10523868:10524285:-1 gene:itb15g12640 transcript:itb15g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGSVKDAQAVARQQATKDTAKTTSVDSSKLDEDVPDEEDDGQRRRKRPRKVPSKESLDHSGIYQVHPLKVILHVHDDETPYSTPTKLVTLKFEYLMKLNVSFLIRQPR >itb06g03960.t1 pep chromosome:ASM357664v1:6:6443752:6450568:1 gene:itb06g03960 transcript:itb06g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLVGFDPAFIAGFDDLYEEDERVLYHDEEDDDDEEEEEEEEEDSEEETDGGEEEEQDTSTLMPRRVLQGDGGATTSSQDAVVNVQGSESITETMAEDGGAQGAKSVERLAVINSEMVDGSGGGGEEWSRSDIDGLFCPICMEAWSNGGNHQICCLPCGHIYGISCIKKWLQQRKSSGKCPQCNKKCTMKDVRLLYASQLHVVDEELQKKIQSLEAQCASLEKKCSDWCKKEVEWERKEANLQLQLCELKERRTNSDYLPRDKQSGPSELYTSGHSCNYAWRGYFNGFSLKEEMRIEGARFFDVDVSGQILIIARRLPGMGGIHVLTKMSLLSHHEKEDIQLPLNIKAIKDLHISPYDRLVLVASLGKKLSVLSTESNNTVLTYDLPAAAWSCSWDVNNSYYVYAGLQNGMVMEFDRRQTVKPVESMNGLAAKPIHTVHSVLNNPVTGSALRRSILTASSVGLCHWNFGCSEERPHLIPESEDQGVCISLAYASRTSDIVASFRPKVEMPGDFTATQTMLSPSASLMEQAVQGSHVVYRVAGSGYHKVGSSCANVSGIRFPKSTIIERDGTKPIFAYGDEASCELVLQELPSLKDVMRLQAPRNPIRDVKYTHALNSGLLSCLRQDTMQLFTVNGS >itb04g27700.t1 pep chromosome:ASM357664v1:4:31663420:31664770:1 gene:itb04g27700 transcript:itb04g27700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFILLVVLFFTSLTAIWGWTSATPRLRFPAILIFGDSLADTGNNNFLITPIQANHDPYGHSFPAHLPNGRFTDGKILSDFLASDLGIKATVPPFLDPQLPKDELLTGVCFASSGAGFDNLTSVKTMATPIIKQIDYFQDYIEQLKSFVGGGVAHDVVSNSLAVVVGGSNDFSFNFFRDVIRKAQYDIGGYQDFVLQHLQDFLKELYSLGSRNMVITGLPPLGCLPLLVTTNLIGFGRKCVESLNSDSQSYNQKLIALLPQIQASLPGSKIVYGDLYTPWLDMVDNPQKYGLVETNKGCCGSGVMEVTYFCNKLSKVCADSTQYFYFDSVHPTEVAYRTLADYIWKDALQKWA >itb10g20700.t1 pep chromosome:ASM357664v1:10:26159800:26161666:-1 gene:itb10g20700 transcript:itb10g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMKQLSLVLSFIALALAGCAVYQNTQTAMKDQLKVTPTWLDNTLKSTNLLSLGLGKPSGGKLGDEACVFSAVKEVVVAAINAEARMGASLIRLFFHDCFVDGCDAGLLLNDTATFTGEQTAAGNLNSVRGFGVIEQAKQNVKTKCPDMSVSCADILSIAARDSFEKFSGSTYTVTLGRKDARTANLTGANTQLVGPNENLTSQLTKFAAKGFNGTEMVALLGSHTIGFARCPLLCVSAFINPARVSTLNCNCSGTVNATGLVGLDPTPTTWDQRYFSDVVNGQGLLFSDNELLKGNTTNAAVRRYRDAMGAFLTDFAAAMVKMSNLPPSPGVALEIRDVCSRVNANSVASM >itb15g01610.t2 pep chromosome:ASM357664v1:15:957651:959736:-1 gene:itb15g01610 transcript:itb15g01610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQTTTANSRLSRQLGGTEQTWCKAVPGGTGITVSALLFSNPPDFSVITDAVGKLQTAHPILSSQIRHDPATGLFSYVTPATPHLKIQSFDSPSTAEILRQLPNPNSSVSDFHLIVEHELNRNDWRSPNPESHVDSDVFFAGVYALPGDKLAVALRLHTSACDSATAWTLCMELRALLGEEEGIIQRELECETKIAPAMEDCIPEEKLRKPFWARGIDMFGYSMNSLRMSNLNFEDTESPRASNFVTLHMNKEDTHQILNGCKARGIKLSGLLSAAAMMSAYSSKGLLDYQQEKYAVVTLINCRSILDPVLSSNRAG >itb15g01610.t1 pep chromosome:ASM357664v1:15:957561:959749:-1 gene:itb15g01610 transcript:itb15g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQTTTANSRLSRQLGGTEQTWCKAVPGGTGITVSALLFSNPPDFSVITDAVGKLQTAHPILSSQIRHDPATGLFSYVTPATPHLKIQSFDSPSTAEILRQLPNPNSSVSDFHLIVEHELNRNDWRSPNPESHVDSDVFFAGVYALPGDKLAVALRLHTSACDSATAWTLCMELRALLGEEEGIIQRELECETKIAPAMEDCIPEEKLRKPFWARGIDMFGYSMNSLRMSNLNFEDTESPRASNFVTLHMNKEDTHQILNGCKARGIKLSGLLSAAAMMSAYSSKGLLDYQQEKYAVVTLINCRSILDPVLSSNRAGFYHSGILNTHDIRGGEELWELAGRAYTAFADAKNNNKHFTDLADLNFLMSKAIENPGLTPSSSLRTSLISIFEETPVDDHGPCGYGVEDYVVCSSAHGVGPNIAIFNTIRGGELDCICLYPSPLYSRKRMLELIDGMKRILVEAK >itb10g00650.t1 pep chromosome:ASM357664v1:10:425512:429229:-1 gene:itb10g00650 transcript:itb10g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELKEESLPPGFRFHPTDEELITFYLVNKISDAGFTARAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSSTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSSYRTNKQDEWVVCRVFQKSAGAKKYPSNHSRAVNPYTLEIGPSMMSSQMIPPDHGFHFAMAAPPGRNPAAAAYITPAEMQEFNRVFRGGAGATSAAINFPIHQPHQMNYPMGPPGAAGAGTGCFTISGLNLNLGGSGGSASSQAVLRPMPPPPTPPTAMAQADVLTSCEAAGYVAGDMGNPANRYMGMDQCADLDNYWPTY >itb12g06600.t3 pep chromosome:ASM357664v1:12:4915629:4917224:-1 gene:itb12g06600 transcript:itb12g06600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKELACERECSRGEDYRLIKLTITDFNTRRERDVIVECKGHDAARLNNVDHAHGWDNDVMTLLEEKHQKQNILVSFECETLKAEKEAEDHINKFMPKLAGMDAVVNIGKMTISGLDFEEETE >itb12g06600.t2 pep chromosome:ASM357664v1:12:4915686:4917803:-1 gene:itb12g06600 transcript:itb12g06600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKELACERECSRGEDYRLIKLTITDFNTRRERDVIVECKGHDAARLNNVDHAHGWDNDVMTLLEEKHQKQNILVSFECETLKAEKEAEDHINKFMPKLAGMDAVVNIGKMTISGLDFEEETE >itb12g06600.t1 pep chromosome:ASM357664v1:12:4915629:4918173:-1 gene:itb12g06600 transcript:itb12g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKENALARGKLMEGMASIALLPSGSISGHFIHLPHSICYGLHGTELACERECSRGEDYRLIKLTITDFNTRRERDVIVECKGHDAARLNNVDHAHGWDNDVMTLLEEKHQKQNILVSFECETLKAEKEAEDHINKFMPKLAGMDAVVNIGKMTISGLDFEEETE >itb01g10060.t1 pep chromosome:ASM357664v1:1:8383505:8386106:-1 gene:itb01g10060 transcript:itb01g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGISVNTSQQKQNVETAAAGGSPRIAGGSPRVAAGGSPRVAAGGSPRVAAGGSPRIGADSSPRTSASPRHYFESPKARGSPKSAEVGEIDTRAPFQSVKAAMSLFGETGASPRSPAAFAVKKTNKSPEERVLEKESQLHMALNQLDAYKIQLRNSEATKAEALRDLEEARRTLQQLSAKIGAINPSDPPTRTDAPQPRETDPLHINNNNNNIPSAAELNSVKQQIAQLRHDFDAAMEAKTTAIQKASRAQNSAVVNRERVIELSKEVATLREALAKLTSLQSQEEEHEKAIAAKQESLKSLQKAKEDAEAKMQAINEEFHPDLTQHLELKLDETNKEIEVLREKLKNAKSADLNAWKTAASELETAKKAMQEVVALENSLRSQVDSLQQELENNPDKSKNNNNPSKEELRASIKEDTKDDDDSDYIASKILQLTSEAELAIKEAEEMKIYAESFRKEAEIARVAAKEAEEKLESMLKEARTTCRLLVTADSSRTATGEVADPPAISKLNGKIKLSKDEYESLKKKTEPTTKKLSESKSGKRMSMTNCHPGCFN >itb07g02820.t1 pep chromosome:ASM357664v1:7:1882123:1883558:-1 gene:itb07g02820 transcript:itb07g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMLSSVLSNITDFVVHEGNGVKGLADMGLEALPKQYVQPEEERITTSTVIVDDTIPVIDLSEWGSDPKVGDMICEAAEKWGFFQIVNHGVPLEVLEEVKAATYRFFRLPAEEKNKHSKENSPSNNVRYGTSFTPHAEKALEWKDFLSLFYVSDEEAAALWPLACRDEALTFMRNCDAVIKRLLKSLMKGLNVTEIDGTKESLLMGSKRINMNYYPKCPNPELTVGVGRHSDVSTLTILLQDQIGGLYVRKLDSDTWVHVPPINGAIVINVGDALQILSNGRYKSIEHRVIANGSNNRISVPIFVNPRPNDIIGPLPELLESGEKPVYKNVLYSDYVKHFFRKAHDGKETVDFAKIN >itb04g31910.t2 pep chromosome:ASM357664v1:4:34619664:34625401:1 gene:itb04g31910 transcript:itb04g31910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYYQGSSEVQGDGLQTLYLMNPNYIGFSDTTALPQSQQAMFLNSGNALHVGGMAHARLPQSQHFVGVPLPPSALGSAQLHDPSRSLWAAVEQAGSGGGGQSQQQIPSAVVSGGGTTDFASQLGFHRSVVLSPTAQQGLSLSLSPQQQPFRSLPMESPVGLLSARPGHDSGASSSSITNGISGLITGSKYLKAAQELLDEVVNVEKSLKLDAADKEKAKMKKESMPPFGAAGDPPPTVAETSSQKTAAAAAAELTTAQRQDLQMKKAKLLSMLDEVEQRYRQYHHQMQVIVGAFEQAAGVGSARSYTHLALNTISKQFRCLKVAIASQIKATSKSLGEEEGVGGSRLKFIDHHLPQQRALQHLGMMQPNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKGQEQYGGSDENAAASKRSEANKEIGSTEKHESNIIKDNINNNNASPTEISTSIMTASPTGVSSLQPHGGAFSFINTLNMETAGGERNGKKPRTNVDIQSSPSSILSVDMDIKSAGDHKFTADRTPAAAENFPDLMAANPGGFGGFTIGDFGRFNPENLTASGFHGNGVSLTLGLPPSENLTVSATQQNYLSTHQDMDLGRRLEMGRMGIDNNPQPSSHSNINGYETIDFQNGKRFAAQLLPDFVA >itb04g31910.t3 pep chromosome:ASM357664v1:4:34620062:34625369:1 gene:itb04g31910 transcript:itb04g31910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYYQGSSEVQGDGLQTLYLMNPNYIGFSDTTALPQSQQAMFLNSGNALHVGGMAHARLPQSQHFVGVPLPPSALGSAQLHDPSRSLWAAVEQAGSGGGGQSQQQIPSAVVSGGGTTDFASQLGFHRSVVLSPTAQQGLSLSLSPQQQPFRSLPMESPVGLLSARPGHDSGASSSSITNGISGLITGSKYLKAAQELLDEVVNVEKSLKLDAADKEKAKMKKESMPPFGAAGDPPPTVAETSSQKTAAAAAAELTTAQRQDLQMKKAKLLSMLDEVEQRYRQYHHQMQVIVGAFEQAAGVGSARSYTHLALNTISKQFRCLKVAIASQIKATSKSLGEEEGVGGSRLKFIDHHLPQQRALQHLGMMQPNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKGQEQYGGSDENAAASKRSEANKEIGSTEKHESNIIKDNINNNNASPTEISTSIMTASPTGVSSLQPHGGAFSFINTLNMETAGGERNGKKPRTNVDIQSSPSSILSVDMDIKSAGDHKFTADRTPAAAENFPDLMAANPGGFGGFTIGDFGRFNPENLTASGFHGNGVSLTLGLPPSENLTVSATQQNYLSTHQDMDLGRRLEMGRMGIDNNPQPSSHSNINGYETIDFQNGKRFAAQLLPDFVA >itb04g31910.t1 pep chromosome:ASM357664v1:4:34619664:34625401:1 gene:itb04g31910 transcript:itb04g31910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYYQGSSEVQGDGLQTLYLMNPNYIGFSDTTALPQSQQAMFLNSGNALHVGGMAHARLPQSQHFVGVPLPPSALGSAQLHDPSRSLWAAVEQAGSGGGGQSQQQIPSAVVSGGGTTDFASQLGFHRSVVLSPTAQQGLSLSLSPQQQPFRSLPMESPVGLLSARPGHDSGASSSSITNGISGLITGSKYLKAAQELLDEVVNVEKSLKLDAADKEKAKMKKESMPPFGAAGDPPPTVAETSSQKTAAAAAAELTTAQRQDLQMKKAKLLSMLDEVEQRYRQYHHQMQVIVGAFEQAAGVGSARSYTHLALNTISKQFRCLKVAIASQIKATSKSLGEEEGVGGSRLKFIDHHLPQQRALQHLGMMQPNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKGQEQYGGSDENAAASKRSEANKEIGSTEKHESNIIKDNINNNNASPTEISTSIMTASPTGVSSLQPHGGAFSFINTLNMETAGGERNGKKPRTNVDIQSSPSSILSVDMDIKSAGDHKFTADRTPAAAENFPDLMAANPGGFGGFTIGDFGRFNPENLTASGFHGNGVSLTLGLPPSENLTVSATQQNYLSTHQDMDLGRRLEMGRMGIDNNPQPSSHSNINGYETIDFQNGKRFAAQLLPDFVA >itb09g11960.t1 pep chromosome:ASM357664v1:9:7507713:7510797:1 gene:itb09g11960 transcript:itb09g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGVSAADVVSTPEMAPAYLSMVDPFLVEALQNPRHRLTILRMELDVQKFLQNPDLEQFEFPHFPTSYLRLAAHRVAQHYGLLTMVDNVVDGQGTRILVRKKVDTKYPTLCLSDVPPKQSESDKLDQKKIVLRPRPSRALTGQSGELGAKHSAIRTMEERKEEYDRARARIFNGPNNSEPEDSLVHGASDGRDSVDENDYIKSSVLDLEKIFRSRENRTASRVAIFRDREKDLTDPDYDRSYERYVKNIPVNQSFNMTPFSIQKFQPPYVQYDSGFPVQGQMPGTPASFNYSNPVPNPYCVMGGLNQMSTDAAVNMQWPTQSMMYAHSYEQLRHVAFQAPFYQQPLSFDYSQHHS >itb02g15620.t1 pep chromosome:ASM357664v1:2:11358837:11375991:1 gene:itb02g15620 transcript:itb02g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFRDRDYRGEAEAHALPSVPAAIHPLSTPSPPHHQGDVADYGKDDFFDPLRRHDVKADASSKDLPDEVKSLKSLSEVSPHLSEKEWTSFRNFLMKRFPISRMISISSMSGSIMKSAKGNEKQSTNVHLEELEDPDTFAEEEIKVISHREYVSHLYELKDEINHAWKADNRVASLKLSIKVARLLMDTSGTQFYPTLFVLATDVIDTLGHLVWERIIQKAKYGEDGKVIHSLPDNFQASDICTDAKETCYNWFCKIASVRELLPRIYLELALLPCWRFLLDKPMDCIHRLVMMIRGISDPLASFYCRLYLVHCAQKLPDHSIGYLVNCINDMKTLFMDIASVEKSKYQSLSEKKMLVGLVEPAVEYIMKCLFKDCNPLQVGDILVGLGLGRSQSKLFGNSSCSSIILHHLLKELPVGIICLNALDILHLIEYSNDYSFDQSLNYKLLGLRLCENTSQVSEVDIVIKKVIQVVSRYDSLDEYIKVVDAFAEIILQKQMDSYLNVILDGIFDRASSEEIRDIELTSLQSILMKLLNHFGNIDNILNMNHFIDILDVMYGSSRNTVNMKILSIATGENASIRDPTTIQFLFEVSRALHDEINLLSKRDDENREAACLISRFVNMVDYGPDVEHHLEFLLESRGAFGCMNEVKETLVHSCNHLAIKSMRNDNRHPSFVKSCVAYCEVTLPSISSCLKQLNLYLETAEVALMAGLVLHSEGLIDSVFTCLHNVDQLEELQILSYADRVTNLLCKLCSLLVMLPGNPDQGVTSIHKNILSFLNSQSGLTLGMKIKVLCGLIRSSAALSQNTPPYHELHKKMNNVCLFYGDPTYKEELLQVSAVILQSINNIVLLESNQAARGYLALDACNCIASTFSVCDEISEMCFQLLNTAKLAVSVDNKYLKSSVKFLNECQLLPREDHTE >itb02g15620.t3 pep chromosome:ASM357664v1:2:11358837:11375477:1 gene:itb02g15620 transcript:itb02g15620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFRDRDYRGEAEAHALPSVPAAIHPLSTPSPPHHQGDVADYGKDDFFDPLRRHDVKADASSKDLPDEVKSLKSLSEVSPHLSEKEWTSFRNFLMKRFPISRMISISSMSGSIMKSAKGNEKQSTNVHLEELEDPDTFAEEEIKVISHREYVSHLYELKDEINHAWKADNRVASLKLSIKVARLLMDTSGTQFYPTLFVLATDVIDTLGHLVWERIIQKAKYGEDGKVIHSLPDNFQASDICTDAKETCYNWFCKIASVRELLPRIYLELALLPCWRFLLDKPMDCIHRLVMMIRGISDPLASFYCRLYLVHCAQKLPDHSIGYLVNCINDMKTLFMDIASVEKSKYQSLSEKKMLVGLVEPAVEYIMKCLFKDCNPLQVGDILVGLGLGRSQSKLFGNSSCSSIILHHLLKELPVGIICLNALDILHLIEYSNDYSFDQSLNYKLLGLRLCENTSQVSEVDIVIKKVIQVVSRYDSLDEYIKVVDAFAEIILQKQMDSYLNVILDGIFDRASSEEIRDIELTSLQSILMKLLNHFGNIDNILNMNHFIDILDVMYGSSRNTVNMKILSIATGENASIRDPTTIQFLFEVSRALHDEINLLSKRDDENREAACLISRFVNMVDYGPDVEHHLEFLLESRGAFGCMNEVKETLVHSCNHLAIKSMRNDNRHPSFVKSCVAYCEVTLPSISSCLKQLNLYLETAEVALMAGLVLHSEGLIDSVFTCLHNVDQLEELQILSYADRVTNLLCKLCSLLVMLPGNPDQGVTSIHKNILSFLNSQSGLTLGMKIKVLCGLIRSSAALSQNTPPYHELHKKMNNVCLFYGDPTYKEELLQVSAVILQSINNIVLLESNQAARGYLALDACNCIASTFSVSHRLPFLVLRILC >itb02g15620.t2 pep chromosome:ASM357664v1:2:11358837:11373249:1 gene:itb02g15620 transcript:itb02g15620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFRDRDYRGEAEAHALPSVPAAIHPLSTPSPPHHQGDVADYGKDDFFDPLRRHDVKADASSKDLPDEVKSLKSLSEVSPHLSEKEWTSFRNFLMKRFPISRMISISSMSGSIMKSAKGNEKQSTNVHLEELEDPDTFAEEEIKVISHREYVSHLYELKDEINHAWKADNRVASLKLSIKVARLLMDTSGTQFYPTLFVLATDVIDTLGHLVWERIIQKAKYGEDGKVIHSLPDNFQASDICTDAKETCYNWFCKIASVRELLPRIYLELALLPCWRFLLDKPMDCIHRLVMMIRGISDPLASFYCRLYLVHCAQKLPDHSIGYLVNCINDMKTLFMDIASVEKSKYQSLSEKKMLVGLVEPAVEYIMKCLFKDCNPLQVGDILVGLGLGRSQSKLFGNSSCSSIILHHLLKELPVGIICLNALDILHLIEYSNDYSFDQSLNYKLLGLRLCENTSQVSEVDIVIKKVIQVVSRYDSLDEYIKVVDAFAEIILQKQMDSYLNVILDGIFDRASSEEIRDIELTSLQSILMKLLNHFGNIDNILNMNHFIDILDVMYGSSRNTVNMKILSIATGENASIRDPTTIQFLFEVSRALHDEINLLSKRDDENREAACLISRFVNMVDYGPDVEHHLEFLLESRGAFGCMNEVKETLVHSCNHLAIKSMRNDNRHPSFVKSCVAYCEVTLPSISSCLKQLNLYLETAEVALMAGLVLHSEGLIDSVFTCLHNVDQLEGLVVITFSVDNLDCPFSKFCVLESI >itb02g15620.t5 pep chromosome:ASM357664v1:2:11363216:11375991:1 gene:itb02g15620 transcript:itb02g15620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEAKCGCKVARLLMDTSGTQFYPTLFVLATDVIDTLGHLVWERIIQKAKYGEDGKVIHSLPDNFQASDICTDAKETCYNWFCKIASVRELLPRIYLELALLPCWRFLLDKPMDCIHRLVMMIRGISDPLASFYCRLYLVHCAQKLPDHSIGYLVNCINDMKTLFMDIASVEKSKYQSLSEKKMLVGLVEPAVEYIMKCLFKDCNPLQVGDILVGLGLGRSQSKLFGNSSCSSIILHHLLKELPVGIICLNALDILHLIEYSNDYSFDQSLNYKLLGLRLCENTSQVSEVDIVIKKVIQVVSRYDSLDEYIKVVDAFAEIILQKQMDSYLNVILDGIFDRASSEEIRDIELTSLQSILMKLLNHFGNIDNILNMNHFIDILDVMYGSSRNTVNMKILSIATGENASIRDPTTIQFLFEVSRALHDEINLLSKRDDENREAACLISRFVNMVDYGPDVEHHLEFLLESRGAFGCMNEVKETLVHSCNHLAIKSMRNDNRHPSFVKSCVAYCEVTLPSISSCLKQLNLYLETAEVALMAGLVLHSEGLIDSVFTCLHNVDQLEELQILSYADRVTNLLCKLCSLLVMLPGNPDQGVTSIHKNILSFLNSQSGLTLGMKIKVLCGLIRSSAALSQNTPPYHELHKKMNNVCLFYGDPTYKEELLQVSAVILQSINNIVLLESNQAARGYLALDACNCIASTFSVCDEISEMCFQLLNTAKLAVSVDNKYLKSSVKFLNECQLLPREDHTE >itb02g15620.t6 pep chromosome:ASM357664v1:2:11358837:11375991:1 gene:itb02g15620 transcript:itb02g15620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFQEFLDEKISHFKNDFNFFNVRFNYEECQGYDIFHIKLFVLLLTSNFFRVFFVPLLFNSNLLLLNHGNLLLLSLNGVYELLVAGNEKQSTNVHLEELEDPDTFAEEEIKVISHREYVSHLYELKDEINHAWKADNRVASLKLSIKVARLLMDTSGTQFYPTLFVLATDVIDTLGHLVWERIIQKAKYGEDGKVIHSLPDNFQASDICTDAKETCYNWFCKIASVRELLPRIYLELALLPCWRFLLDKPMDCIHRLVMMIRGISDPLASFYCRLYLVHCAQKLPDHSIGYLVNCINDMKTLFMDIASVEKSKYQSLSEKKMLVGLVEPAVEYIMKCLFKDCNPLQVGDILVGLGLGRSQSKLFGNSSCSSIILHHLLKELPVGIICLNALDILHLIEYSNDYSFDQSLNYKLLGLRLCENTSQVSEVDIVIKKVIQVVSRYDSLDEYIKVVDAFAEIILQKQMDSYLNVILDGIFDRASSEEIRDIELTSLQSILMKLLNHFGNIDNILNMNHFIDILDVMYGSSRNTVNMKILSIATGENASIRDPTTIQFLFEVSRALHDEINLLSKRDDENREAACLISRFVNMVDYGPDVEHHLEFLLESRGAFGCMNEVKETLVHSCNHLAIKSMRNDNRHPSFVKSCVAYCEVTLPSISSCLKQLNLYLETAEVALMAGLVLHSEGLIDSVFTCLHNVDQLEELQILSYADRVTNLLCKLCSLLVMLPGNPDQGVTSIHKNILSFLNSQSGLTLGMKIKVLCGLIRSSAALSQNTPPYHELHKKMNNVCLFYGDPTYKEELLQVSAVILQSINNIVLLESNQAARGYLALDACNCIASTFSVCDEISEMCFQLLNTAKLAVSVDNKYLKSSVKFLNECQLLPREDHTE >itb02g15620.t4 pep chromosome:ASM357664v1:2:11358837:11375991:1 gene:itb02g15620 transcript:itb02g15620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFRDRDYRGEAEAHALPSVPAAIHPLSTPSPPHHQGDVADYGKDDFFDPLRRHDVKADASSKDLPDEVKSLKSLSEVSPHLSEKEWTSFRNFLMKRFPISRMISISSMSGSIMKSAKGNEKQSTNVHLEELEDPDTFAEEEIKVISHREYVSHLYELKDEINHAWKADNRVASLKLSIKVARLLMDTSGTQFYPTLFVLATDVIDTLGHLVWERIIQKAKYGEDGKVIHSLPDNFQASDICTDAKETCYNWFCKIASVRELLPRIYLELALLPCWRFLLDKPMDCIHRLVMMIRGISDPLASFYCRLYLVHCAQKLPDHSIGYLVNCINDMKTLFMDIASVEKSKYQSLSEKKMLVGLVEPAVEYIMKCLFKDCNPLQVGDILVGLGLGRSQSKLFGNSSCSSIILHHLLKELPVGIICLNALDILHLIEYSNDYSFDQSLNYKLLGLRLCENTSQVSEVDIVIKKVIQVVSRYDSLDEYIKVVDAFAEIILQKQMDSYLNVILDGIFDRASSEEIRDIELTSLQSILMKLLNHFGNIDNILNMNHFIDILDVMYGSSRNTVNMKILSIATGENASIRDPTTIQFLFEVSRALHDEINLLSKRDDENREAACLISRFVNMVDYGPDVEHHLEFLLESRGAFGCMNEVKETLVHSCNHLAIKSMRNDNRHPSFVKSCVAYCEVTLPSISSCLKQLNLYLETAEVALMAGLVLHSEGLIDSVFTCLHNVDQLEGNPDQGVTSIHKNILSFLNSQSGLTLGMKIKVLCGLIRSSAALSQNTPPYHELHKKMNNVCLFYGDPTYKEELLQVSAVILQSINNIVLLESNQAARGYLALDACNCIASTFSVCDEISEMCFQLLNTAKLAVSVDNKYLKSSVKFLNECQLLPREDHTE >itb03g17830.t1 pep chromosome:ASM357664v1:3:16259786:16262744:-1 gene:itb03g17830 transcript:itb03g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGRLISQAARCRSQSRLVKSYAAESGGLRAYCRLLFVSSSSQVTSAFSNFTSLKSPHLPSSPYSATWATNGGQRRTMFIQTQSTPNPLSLMFYPGKPVMETGSADFPNARSAMNSPLAKALFGIDGITRVFFGSDFVTVTKSDDASWDLLKPEIFAAIMDFFSSGKPLFLDSNTAASMDTAIQEDDSETVAMIKELLETRIRPAVQDDGGDIEYRGFDAETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFYEDEDPSLTGAPHE >itb12g22180.t1 pep chromosome:ASM357664v1:12:24315841:24316116:-1 gene:itb12g22180 transcript:itb12g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAGLMMALLLIHEVMPPLHLTMGARELHPNIDDSRVVNIIQSDRETLPPPTPRTNNPQHYSPPRRWPPPPAPLRTPLAPSWCSPPPSS >itb04g08890.t1 pep chromosome:ASM357664v1:4:7814047:7830167:-1 gene:itb04g08890 transcript:itb04g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFALATANIAYDSFGSSDPLNGVCGEISEQDYVLLYKGAEGSDSTAKLVHNNELIREFIVFHGVFLQNQSHHKQGYCLTPVFLRATTDSINDNKKNIYNMLHFSPIMKACRCCTCSLQRAEEERPPASTVAAGRTERSAPSLLSATLQRRETGKARRVVTLLVLSEKERDAAGSRWPSASAAVAVGKNREDERRKAAAALPLLRAGERRTTRSRRPCKGSVEGFLPDVLEHGDALTAESHRRRTEELLRRCCGAPPQPPPRSLVVGDGGSDFAGDVLVAVDIPASRRCLEGNESDGKEMDDGRQRRLITQRRHAVAALARFNVRRRRDRPHPPLLLAERRGALRRCCPLLCNVAKPEKLAEL >itb07g06240.t1 pep chromosome:ASM357664v1:7:4394903:4398783:1 gene:itb07g06240 transcript:itb07g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYWCGVEASFRCVPGDDNRVPPDAAAVDNKPGDDCPPTWAINVNEVRTLKVSNISVSASEDDIKEFFSYPGDLHFIEVQRESDTTQLAYVTYKDPKGAETAMLLSGAVISNLSISIAPAENYDLPPNAPPLILGTQPESDSDAVKKAEDVVSSMLAKGFILGKDAVNKAKSLDESYHVTSNASATVASVDLKMGISEKLSIGTAVVNERVKEMDQKYQVTEKAKSALAAAEQKGSAIMSNPYVSTGASWVSNAYNAVAKAAEDVSTMTKEKVEKTEEERRAAIGNDSEAALLDQSLAGDPAPNYSTDSKKLV >itb10g18260.t3 pep chromosome:ASM357664v1:10:24287947:24291969:-1 gene:itb10g18260 transcript:itb10g18260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLATVVEHLVTGETMQMTTSADQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVSMMAFEYGKNLGLAFQLIDDVLDFTGTSATLGKGSLSDIRHGIVTAPILFAMEEYPQLRDVVDQGFDDPRNVDLALEYLGKSRGIQRTRELATKHANLASDAIDSLPESDDEDVQRSRRALVELTQRVITRTK >itb10g18260.t2 pep chromosome:ASM357664v1:10:24287942:24294008:-1 gene:itb10g18260 transcript:itb10g18260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLSLSQEQLDPFSLVADELSLVANKLRAMVIAEVPKLASAAEYFFRMGVEGKRLRPTVVLLMATALNAPVPRSPSEVAVDFSSKEVRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLATVVEHLVTGETMQMTTSADQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVSMMAFEYGKNLGLAFQLIDDVLDFTGTSATLGKGSLSDIRHGIVTAPILFAMEEYPQLRDVVDQGFDDPRNVDLALEYLGKSRGIQRTRELATKHANLASDAIDSLPESDDEDVQRSRRALVELTQRVITRTK >itb10g18260.t1 pep chromosome:ASM357664v1:10:24287942:24294008:-1 gene:itb10g18260 transcript:itb10g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRELSRISRGGFNRFRWLLSLPAEQQQHHRHHLFSPTNSSIFPAPLDASQQVLGRRAIYYWVSNAVKSIGQQVHHQSSAVAEEQLDPFSLVADELSLVANKLRAMVIAEVPKLASAAEYFFRMGVEGKRLRPTVVLLMATALNAPVPRSPSEVAVDFSSKEVRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVSLLATVVEHLVTGETMQMTTSADQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVSMMAFEYGKNLGLAFQLIDDVLDFTGTSATLGKGSLSDIRHGIVTAPILFAMEEYPQLRDVVDQGFDDPRNVDLALEYLGKSRGIQRTRELATKHANLASDAIDSLPESDDEDVQRSRRALVELTQRVITRTK >itb04g23800.t1 pep chromosome:ASM357664v1:4:28725416:28727300:-1 gene:itb04g23800 transcript:itb04g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLHASSTTKISSPQRTPSATWSWRLRRRLIKFRLGQFKVFGVEEGLWVESRTTQTADLLGLRMENSIMSSSSGSWIASLSCSAPEEDVSVSSAIRWLRFIFLSPCPQRALLSVIDVLFLLTLVVFAIQKLYSKFNSDRLSNGSSNGIENPLIQSQRVRVQTDVWFKLSIILSAILGIASLALCIFTFSRSSSSSCSSSDCCVKGSTGITVTRDSESEVDDEASEYETVLGKSNVTGYASASLLSRTFWIWMNPLLRKGYKAPLKLDDVPTLSPEHRAERMSELFERNWPKPEENSKHPVRTTLLRCF >itb03g09610.t1 pep chromosome:ASM357664v1:3:7411345:7413030:1 gene:itb03g09610 transcript:itb03g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAAHNVKVLGSGERTIVLGHGFGTDQSVWKHLVPHLVDEYRVVLYDNMGAGPTNPDYFDFERYATLEGYAYDLLAILEELQITSCIFVGHSLSSMTGVIASIFRPDLFSKIILISASPRFINTDDYYGGFEQEDVDQLCEAMESNYKAWISGFAPLVVGGDMDSVAVQEFSRTLFNMRPDIALSVFRTIFNFDLRGFLSRVTVPCHIIQSSKDLAVPVAVAEYIHTNLGGKSIVEVVSTEGHLPHLSAPEITIPVLLRHIQHDIDADAC >itb13g23740.t1 pep chromosome:ASM357664v1:13:29637950:29641014:1 gene:itb13g23740 transcript:itb13g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSDIFPRTIRKGNFSGNMWYIYFMMILLVDCSPPENPIKCSNIAKNCNITNKYNAFPDSTICRAASVEFPKTEKELVAIIARATKEKRKMKATTRFSQSIPKLACPGAGDDNSVLISTKYLNQIVKVDKQSMTMTVDSGVTLQQLISEAAKVGLALPYTPYWWGLTIGGLISTGAHGSTLRGLGSAVHDYVVCIRIVTPATPEEGYATIRQLEEGDPEINAARVSLGVLGVISQVTLKLEPMFKRSITYVGKDDANLGDEAVMFGSQHEFGDITWFPSEHRVLYRVDDRVPTNTPGDGLYDFLAFQTTDSVSLVEDRNAEEIQESRNDANGKCAKAVTSRVMTEAVGNGLKNNGYNFTGYPVIGYQNRMQSSGSCLDSKEDGLRTACPWDPRVKGSFFHQTTFSISLSKVKGFIEDVQKLANLEPKAMCVLGLYGGVVMRYMTASSAYLGKQDNSIEFDFIYYRSKDPLAPRLYQDALEEIEQLGFFKYGGLPHWGKNRNVGFLGAINKYAKYREFLKVKKKYDPTGLFSSDWSDQILGLKDGLTITKEGCASEGLCICSDDSHCAPSKGYFCQPGKVYKKARVCTKINTN >itb02g09270.t1 pep chromosome:ASM357664v1:2:5912539:5915458:-1 gene:itb02g09270 transcript:itb02g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSLNTELSKKTSFFGLKLWVVIGICIGVFIVGVLCLLSVCATFRRKSRRTLDKYSHCKIPNISKDITVDRIDAPNANEHPESLSLTVNDKSSEKHSEKLTVHLGRSKSSDTDNISQCSSSYRLERGCSSQSGEEGSYGTAHKQSSYVPMPSPLVGLPEFSHLGWGHWFMLRDLEHATNHFSAENVIGEGGYGIVYRGQLINGTEVAVKKLLNNYSGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHSTLTWEGRMKVLLGTAKALAYLHESIEPKVVHRDIKSSNILIDGEFNAKVSDFGLAKLLESGESHITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGNRRAEEVVDPNLEVKPSTRSLKHALLVALRCVDPDSEKRPKMSQVAQMLEADEFPYREFVLSSRNTNPKANTYPNWGRDFKLLKVII >itb13g02800.t1 pep chromosome:ASM357664v1:13:2723220:2724461:-1 gene:itb13g02800 transcript:itb13g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVFFFFWTLELIPTGRDSEGSVPLWEAILGKHESVARLLADNGAKLSSGDVGQFSCTAAEQNNLELLREILRRGGDVTLPRSNGSTALHVAVCEGNVEIVKYLLAQGADIYKADSYGWTPRDLSEQQGHEEITALFESHKEAKAAQPHATTVPEDRPGVRFLGRFKSEPTILPASHSSPFPTTDGSWGRSSRPRRRTNNFHNSLFGIMSAAQNGDQPLLYSVKEDTPAATEQTYAARVIVSCPERGDVAGKVVSLPKTFHELLQIGVKKYGFLPAKVVSKEGAEIEDIELIRDDDHIVFISENRTTEDSHQTGEL >itb09g07120.t1 pep chromosome:ASM357664v1:9:4132758:4135352:1 gene:itb09g07120 transcript:itb09g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEHKFIEVNGLKIHVAEAGSGSGPVVLFLHGFPEIWYSWRYQMLAVDKAGYRAISLDYRGYGLSDQPPQPEKATFLDLVNDLAALLDTLSIPKVFVVGTDFGSCVLTLFCLLHEERVVGCVTLDVPFLLPRSSTTRSSTSAEKLPEGFYMERWKEPGRAEADFGRLDAKTVVKNIYILFSRSEVPIASEKQEIMDIVEPSTPLPPWFTEEDLAAYGALYEKSGFRTALQVPYRALENEHIELPAEPRIKAPALFISGKKDYVMKFPGMEEYVTSGMLKALVPNVEIVYIPEGSHFLHEQFPEEVNQLILNFLDSHC >itb09g28140.t2 pep chromosome:ASM357664v1:9:28736045:28739524:1 gene:itb09g28140 transcript:itb09g28140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDVESESPLVGDYENHQRGSVNHERDVHILSCAFLLIFLAYGAAQNLESTINTEADLGTISLGVLYLSFTVFSIVASLVVKKIGSKNALLLGTTGYWLFIAANLKPTWYTMIPASLYLGFAAAIIWVGQGTYLTSTAESHASDHNLHEGTVIGKFNGEFWGIFASHQVVGNLITLALLRDGTGGGSGDTTVLFIVFLCSMTLGTILMCFLSRRNAKEEASPQHSSVSFLSSVVSSSKSIISLLLDIRMLLVVPLIAYSGLQQAFVWAEFTKYLVQPKMGESGVGGAMAIYGLFDAICSLAAGRFTFGLKSITMIVSGGGIIQAVVLLWILLNHRFGEISYYFYFLRTSCFGFLRAHLSEQMSNKSLNLLLFVQLGHRT >itb09g28140.t1 pep chromosome:ASM357664v1:9:28735984:28739524:1 gene:itb09g28140 transcript:itb09g28140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDVESESPLVGDYENHQRGSVNHERDVHILSCAFLLIFLAYGAAQNLESTINTEADLGTISLGVLYLSFTVFSIVASLVVKKIGSKNALLLGTTGYWLFIAANLKPTWYTMIPASLYLGFAAAIIWVGQGTYLTSTAESHASDHNLHEGTVIGKFNGEFWGIFASHQVVGNLITLALLRDGTGGGSGDTTVLFIVFLCSMTLGTILMCFLSRRNAKEEASPQHSSVSFLSSVVSSSKSIISLLLDIRMLLVVPLIAYSGLQQAFVWAEFTKYLVQPKMGESGVGGAMAIYGLFDAICSLAAGRFTFGLKSITMIVSGGGIIQAVVLLWILLNHSVDYGIVGILVIAGLWGIGDGVLNTQLSALLGILYKHDLEGAFSQLKLWQSFTIALVFFFSPLISLKAMLVIMFAALCISMVAVLFLMLKVEKAFSDPDSL >itb09g02960.t1 pep chromosome:ASM357664v1:9:1679629:1690393:-1 gene:itb09g02960 transcript:itb09g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MASNSQFTGMQPPRPPLAGPPQSALPPMSMQQFRPPMAPSQPPQPFIPGPSQQFQPLGHTNVMLPPPPPSQVQFPQPMPQMHGRPVAGVHNLPSGQVIPPPDFANRPVTPVAAPPQQNFQISNNYMPCPSGPSLPLSSSYTMDSDSSGTQYPTQASDPGFPVGGQPWLLTGNPNIKPVNTPVQSTSELVPKIEESNAAIPEANPQPGSVDKMPSDWTEHTTRNGKKYYYNRRTKISSWEKPLDLMTAIERADATTDWRECTSPIGKKYYYNKVTKVSKWAMPDELKLAREQVKVEPIKALEHEKTGLAHAPDTISFSSAKAYSPKTEGSSVLPQVAGASPIPVAPVVTSELSSLPDNASSTPSDSVAVQTPTKAASPVVAKSDGHGVSVTPAADSVTPQMTTTEISSALDAATNTDGGSPGKTQEVEKSAGISEKGSATSSDEKMAEASPLVYESKLEAKNAFKALLDSAGVGTDWNWDQAMRAIITDRRYGALKSLSERKQAFNEYVGQKKKLEAEERRARQKKAREDFKKMLEESKELTSSMRWSKAISIFENDERYKAVERAKDREDLYQDYIEELDKKERAKALEENKRNRIEYLEFLKSCDFIKASSQWRRVQDRLEADERSSRLEKFERLEIFQEYIRDLERDEDEQRKLRMEELRKTERKNRDEFRKLMEEHVAEGSLTVRTHWRDYSTKVKDSPAYIAVSSNTTGSTAKDLFEDVAEELEKQYLDDKARIRDAVKMREISLTSSWTFEDLKAAISVDISSPPISDTNLKLVFEELMERAKEKEEKEVKRRKRLADDFYELLCDSKEITASSRWEDCKPLLEDRKMNEEGFLQEVFDKFVSELKEKAKEKERKRRDEKARKEKDRKDGDKKEKHGREKDRGDESSRGGKDRGRKESTDSDKTESYSIEDSRRTGSDRDKKHRKRHSSSMDDASVDEGEKDRSRSSHRHSSDHKKLKQGEWETKPEGQHKKHKRDHRSSSHRSGEYEDYKDGEDGEVR >itb09g02960.t2 pep chromosome:ASM357664v1:9:1679630:1690384:-1 gene:itb09g02960 transcript:itb09g02960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MASNSQFTGMQPPRPPLAGPPQSALPPMSMQQQFRPPMAPSQPPQPFIPGPSQQFQPLGHTNVMLPPPPPSQVQFPQPMPQMHGRPVAGVHNLPSGQVIPPPDFANRPVTPVAAPPQQNFQISNNYMPCPSGPSLPLSSSYTMDSDSSGTQYPTQASDPGFPVGGQPWLLTGNPNIKPVNTPVQSTSELVPKIEESNAAIPEANPQPGSVDKMPSDWTEHTTRNGKKYYYNRRTKISSWEKPLDLMTAIERADATTDWRECTSPIGKKYYYNKVTKVSKWAMPDELKLAREQVKVEPIKALEHEKTGLAHAPDTISFSSAKAYSPKTEGSSVLPQVAGASPIPVAPVVTSELSSLPDNASSTPSDSVAVQTPTKAASPVVAKSDGHGVSVTPAADSVTPQMTTTEISSALDAATNTDGGSPGKTQEVEKSAGISEKGSATSSDEKMAEASPLVYESKLEAKNAFKALLDSAGVGTDWNWDQAMRAIITDRRYGALKSLSERKQAFNEYVGQKKKLEAEERRARQKKAREDFKKMLEESKELTSSMRWSKAISIFENDERYKAVERAKDREDLYQDYIEELDKKERAKALEENKRNRIEYLEFLKSCDFIKASSQWRRVQDRLEADERSSRLEKFERLEIFQEYIRDLERDEDEQRKLRMEELRKTERKNRDEFRKLMEEHVAEGSLTVRTHWRDYSTKVKDSPAYIAVSSNTTGSTAKDLFEDVAEELEKQYLDDKARIRDAVKMREISLTSSWTFEDLKAAISVDISSPPISDTNLKLVFEELMERAKEKEEKEVKRRKRLADDFYELLCDSKEITASSRWEDCKPLLEDRKMNEEGFLQEVFDKFVSELKEKAKEKERKRRDEKARKEKDRKDGDKKEKHGREKDRGDESSRGGKDRGRKESTDSDKTESYSIEDSRRTGSDRDKKHRKRHSSSMDDASVDEGEKDRSRSSHRHSSDHKKLKQGEWETKPEGQHKKHKRDHRSSSHRSGEYEDYKDGEDGEVR >itb03g13310.t1 pep chromosome:ASM357664v1:3:13507700:13508694:-1 gene:itb03g13310 transcript:itb03g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGGRVIKKFKGNKRSFAEVVSDHPRFANENMEMENDVDWLADKIEVEPDCEEDNTNVVDGIPVVKLTQAWRLWKSSLRKQLYNTPKMSTLSLFTNVPVDAVVASDILKDATKAVAKIIGKPESYVMIVLNGSVPIAFAGTEVPAAYGELMSIGGLVYPPRLTTPCS >itb09g09780.t1 pep chromosome:ASM357664v1:9:6002447:6005998:1 gene:itb09g09780 transcript:itb09g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAAESTRRVSGQQQILSVVEEKNQVSKVKHSSKFQDKYDYPFGHADSSKHHEVPKHAVNKNMVQLNSSADCQKQRLGSKADNDEELVKHMSNLPKYLQRTELDKNVRAKALNFGVIDWNHLEKWKYNERMPPTRAHRKVASSSGGDSFSVAGRPPKAYGSSPQHKNKHKHTRPHGPIPSLSHEQKPVEPVKHAHGNSMHGQDFWTAPSSTLDGQHKNVHSKPTSRRSYSETIFDKDIKKVSDNKTKSGKDDLFSDQFKNDRRNAQDGRSRIKAESQVNPTIQNCAPEPQNIVILIPKAKHSPKTKCMETSQVSESRTISNEDTAEPEKNRYSDTCSSQESYSRELYPIPHSCPLPSSESGMKQHESYAQGVNSDLFTCRHQGEMPTTPTQVQAKCLRDQKSSVQSLDSVEMSQMDLDLVEQQAVKGRHPSPIRRLSFSLGRMSRSFSFKETSAVSQSSDEHTVHKSGPVSSGVCDSPIVGGGNREKANASGKGRSSSPMRRLFDPLLRSKGIHSAETSKPRNENLNSMTLKPASSNEHLCEKKHQPSTLGALLQLTIKDGLPSFRFVVDNSNDILVAAVKQLPKSGKVDECLIYSFYTVSEIKKKSGGWMSQGSKGKCSGFGYNMIGLMKVSNSSVLNSNFEDSKDGSIVRESILYSVNLGQVDKQAPTFLPDREIAAIMVRNQIVNSDNQCNQHFPGDSNSKDNDKNPGNIIAILPGGVHGLPQKGAPSSLIERWKSGGLCDCGGWDVGCKLQVLTEQEKNCNNQDRIALYLQGGEHERKLIFSLAPMKNGLHSVQFDQSIPLLEAFSICVAILTSQKLCSMLVIDPLEQKFISDTVRETDTTNASTAVQGQGPARYVPSPPPSPVARI >itb09g09780.t3 pep chromosome:ASM357664v1:9:6001970:6006005:1 gene:itb09g09780 transcript:itb09g09780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAAESTRRVSGQQQILSVVEEKNQVSKVKHSSKFQDKYDYPFGHADSSKHHEVPKHAVNKNMVQLNSSADCQKQRLGSKADNDEELVKHMSNLPKYLQRTELDKNVRAKALNFGVIDWNHLEKWKYNERMPPTRAHRKVASSSGGDSFSVAGRPPKAYGSSPQHKNKHKHTRPHGPIPSLSHEQKPVEPVKHAHGNSMHGQDFWTAPSSTLDGQHKNVHSKPTSRRSYSETIFDKDIKKVSDNKTKSGKDDLFSDQFKNDRRNAQDGRSRIKAESQVNPTIQNCAPEPQNIVILIPKAKHSPKTKCMETSQVSESRTISNEDTAEPEKNRYSDTCSSQESYSRELYPIPHSCPLPSSESGMKQHESYAQGVNSDLFTCRHQGEMPTTPTQVQAKCLRDQKSSVQSLDSVEMSQMDLDLVEQQAVKGRHPSPIRRLSFSLGRMSRSFSFKETSAVSQSSDEHTVHKSGPVSSGVCDSPIVGGGNREKANASGKGRSSSPMRRLFDPLLRSKGIHSAETSKPRNENLNSMTLKPASSNEHLCEKKHQPSTLGALLQLTIKDGLPSFRFVVDNSNDILVAAVKQLPKSGKVDECLIYSFYTVSEIKKKSGGWMSQGSKGKCSGFGYNMIGLMKVSNSSVLNSNFEDSKDGSIVRESILYSVNLGQVDKQAPTFLPDREIAAIMVRNQIVNSDNQCNQHFPGDSNSKDNDKNPGNIIAILPGGVHGLPQKGAPSSLIERWKSGGLCDCGGWDVGCKLQVLTEQEKNCNNQDRIALYLQGGEHERKLIFSLAPMKNGLHSVQFDQSIPLLEAFSICVAILTSQKLCSMLVIDPLEQKFISDTVRETDTTNASTAVQGQGPARYVPSPPPSPVARI >itb09g09780.t2 pep chromosome:ASM357664v1:9:6001962:6005998:1 gene:itb09g09780 transcript:itb09g09780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAAESTRRVSGQQQILSVVEEKNQVSKVKHSSKFQDKYDYPFGHADSSKHHEVPKHAVNKNMVQLNSSADCQKQRLGSKADNDEELVKHMSNLPKYLQRTELDKNVRAKALNFGVIDWNHLEKWKYNERMPPTRAHRKVASSSGGDSFSVAGRPPKAYGSSPQHKNKHKHTRPHGPIPSLSHEQKPVEPVKHAHGNSMHGQDFWTAPSSTLDGQHKNVHSKPTSRRSYSETIFDKDIKKVSDNKTKSGKDDLFSDQFKNDRRNAQDGRSRIKAESQVNPTIQNCAPEPQNIVILIPKAKHSPKTKCMETSQVSESRTISNEDTAEPEKNRYSDTCSSQESYSRELYPIPHSCPLPSSESGMKQHESYAQGVNSDLFTCRHQGEMPTTPTQVQAKCLRDQKSSVQSLDSVEMSQMDLDLVEQQAVKGRHPSPIRRLSFSLGRMSRSFSFKETSAVSQSSDEHTVHKSGPVSSGVCDSPIVGGGNREKANASGKGRSSSPMRRLFDPLLRSKGIHSAETSKPRNENLNSMTLKPASSNEHLCEKKHQPSTLGALLQLTIKDGLPSFRFVVDNSNDILVAAVKQLPKSGKVDECLIYSFYTVSEIKKKSGGWMSQGSKGKCSGFGYNMIGLMKVSNSSVLNSNFEDSKDGSIVRESILYSVNLGQVDKQAPTFLPDREIAAIMVRNQIVNSDNQCNQHFPGDSNSKDNDKNPGNIIAILPGGVHGLPQKGAPSSLIERWKSGGLCDCGGWDVGCKLQVLTEQEKNCNNQDRIALYLQGGEHERKLIFSLAPMKNGLHSVQFDQSIPLLEAFSICVAILTSQKLCSMLVIDPLEQKFISDTVRETDTTNASTAVQGQGPARYVPSPPPSPVARI >itb01g26340.t1 pep chromosome:ASM357664v1:1:31534300:31535747:1 gene:itb01g26340 transcript:itb01g26340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVAEVLHMNGGNGLTSYANNSLLQRNVIHMTKPITQQAMTSLYTSLDNPKTISIADLGCSSGPNTFLAISNLVKAVDDHRKKLRRPHSPEFQIYLNDLPTNDFNAIFQSLPKHRREIAGDGCGMCFFNGVPGSFYGRLFPTDSLHFVHSSYSLNWLSQVPKGIKENKGNIICVATASPPNVIKAYNDQFETDFSVHLKCRSKELISGGKMVLTMQGIKSENPTFYKRELLALAINDLVLEGLVEEEKLNSFNFPLYLPTLSEIKSVVEKDGSFTIDCLHASQIHWTGIGIEDNDDNITVSDEDINGAGNNVAMFMRAGLEPVLVSHFGEGIIDELFHRYSNKIAHSMSTYPEKAKVTSVTVSMTKI >itb15g00920.t1 pep chromosome:ASM357664v1:15:523127:528433:-1 gene:itb15g00920 transcript:itb15g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNHLLLEEPIRMASILEPSKASFFPALTKIVGTLGPKSRSVEVISGCLNAGMSVARFDFSWGDPKYHQETLENLRTAIKSTKKLCAVMLDTVGPELQVVNKSKNPISLKADAAVTLTPDKGQDASSEVLPINFAGLAKAVKKGDTIFIGRYLFTGSEATSVWLEVDEVKGEDVVCITKNSTTLAGSLFTLHASQIHIDLPTLTDKDKEAISTWGAENKIDFLSLSYTRHAEDIREARDFLSKLGDLSQTQIFAKIDNVEGLTHFDEILQVADGIILSRGNLGIDLPPEKVFLFQKAAVHKCNMAGKPAVITRVVDSMTDNLRPTRAEATDVANAVLDGTDAILLGAETLRGLYPIECISIVGKICSEAEKVFNQDLYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESILKVALDHGKAAGVVKSHDRVVVCQKVGDASVVKIIELEE >itb15g00920.t2 pep chromosome:ASM357664v1:15:523257:527948:-1 gene:itb15g00920 transcript:itb15g00920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGFVDRSVVARFDFSWGDPKYHQETLENLRTAIKSTKKLCAVMLDTVGPELQVVNKSKNPISLKADAAVTLTPDKGQDASSEVLPINFAGLAKAVKKGDTIFIGRYLFTGSEATSVWLEVDEVKGEDVVCITKNSTTLAGSLFTLHASQIHIDLPTLTDKDKEAISTWGAENKIDFLSLSYTRHAEDIREARDFLSKLGDLSQTQIFAKIDNVEGLTHFDEILQVADGIILSRGNLGIDLPPEKVFLFQKAAVHKCNMAGKPAVITRVVDSMTDNLRPTRAEATDVANAVLDGTDAILLGAETLRGLYPIECISIVGKICSEAEKVFNQDLYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESILKVALDHGKAAGVVKSHDRVVVCQKVGDASVVKIIELEE >itb07g10410.t1 pep chromosome:ASM357664v1:7:11455272:11455783:-1 gene:itb07g10410 transcript:itb07g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETLRLLQQVSQVYQTIQIDILSKMISFFDFVVEKISVEAVKHNLIAMKADHIKGAVFFGKQNIESEGLRNHLSTLAKTLSRVRII >itb07g10410.t2 pep chromosome:ASM357664v1:7:11455272:11457414:-1 gene:itb07g10410 transcript:itb07g10410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAGQVSKGVMACVTQEVAAAGAHPLLSVGHSIISVEAVKHNLIAMKADHIKGAVFFGKQNIESEGLRNHLSTLAKTLSRVRII >itb07g07590.t1 pep chromosome:ASM357664v1:7:5771378:5776228:1 gene:itb07g07590 transcript:itb07g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLYNDLHPHANNTIQFSPILFCYDHLIFPLTKLSVSACIMVSEQRRSTYAAAVSGTGESGHRSRPSTPIVQSPIRTSDLQRNSAPQRSANADDVENPLHLNSNERGEEFHFQNIPITDDSIQNPSSPLAEPMGEVSPINDTQQSESSSGTAPFQNTCDDQSSTHTDSISDSGQIPSIIPPRRSTRPRQVPNRLHDYYCDTLIQGRTSPHALSKWPVLRSPSSSSRLAGRLRASLPQSPVGSPRLASAIARKRNRHMRIGD >itb14g01720.t1 pep chromosome:ASM357664v1:14:1413256:1418507:1 gene:itb14g01720 transcript:itb14g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVGQLVNRLVQVVDENVVLIKEIKNQVDTLVGDLKSLEAYLKQASKKQSASDNDVLKDVVDKIRGVVSDAEDAISKYTVERKKYKDKGWVRCFQSPAYYARVKASAKEIQGIIEKVERIRRNHGEDLKELIHDNNPKDQPAALPIMAPVVEEVDVVGFDGEATTIKNLLKGGSNDLTVISIEGMAGLGKTTLTKMVFEDRDIQFEFFTRLWVYVSKTFNRRQIFLDILSSFTKTTKDFQNMSDQSLVDKIKEYLKGGKYFIVVDDVWRENDWDCLKIAFPDSKNGSRVLLTTRHHTVASHADSTRDPHQLKFLENEESWELLKKKVFRKERCPKPLEDTGKRIALKCNGLPLAVVVIAGVLDKNSTAAEWKQLAEDPFPVINKENQSYNTLVKLSYDHLHYTLKDCFLYLGVFPTGHEIAAWKLIRLWIAEGFILPAEGGNRLELEGTAEKYLKELVDRNLLMVLKRRADGQIKTCRIHDTLHEFCKTEAAAKNLFHEMDGVRLESLKKTPRRICVHSTVLDFLKSERKPSGEHVHSFLSFCSNEIDTPIEHLATIPKSFPILRVMDVESLKFKLLPKQLYELSYLKYLAVSTDLKLLPKVFSRLWNIQTLVFNTTENSLEVKADIWSMSKLRHVHSNTSMLLPPPPKNNSGSIEIQTLSTISPSSCTEEILEKTPNLQKLGIRGNLAELMESKGGVSLFDNLQRLDYLENLKLINNVHQTSKLRRFPHADKFPRTLRTMTLSNTSFEWKDLNILGSLDELEVLKLEENAFRGEFCDLSNIVFKQLQYLRIGRTNLLSWKVSKDSFPALKHLILRHCTALEAVPCDFAQVESLKVMELFCTNKKAATSAKEIQKLNGFQLLTYPPDH >itb14g01720.t2 pep chromosome:ASM357664v1:14:1415914:1418507:1 gene:itb14g01720 transcript:itb14g01720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKTTLTKMVFEDRDIQFEFFTRLWVYVSKTFNRRQIFLDILSSFTKTTKDFQNMSDQSLVDKIKEYLKGGKYFIVVDDVWRENDWDCLKIAFPDSKNGSRVLLTTRHHTVASHADSTRDPHQLKFLENEESWELLKKKVFRKERCPKPLEDTGKRIALKCNGLPLAVVVIAGVLDKNSTAAEWKQLAEDPFPVINKENQSYNTLVKLSYDHLHYTLKDCFLYLGVFPTGHEIAAWKLIRLWIAEGFILPAEGGNRLELEGTAEKYLKELVDRNLLMVLKRRADGQIKTCRIHDTLHEFCKTEAAAKNLFHEMDGVRLESLKKTPRRICVHSTVLDFLKSERKPSGEHVHSFLSFCSNEIDTPIEHLATIPKSFPILRVMDVESLKFKLLPKQLYELSYLKYLAVSTDLKLLPKVFSRLWNIQTLVFNTTENSLEVKADIWSMSKLRHVHSNTSMLLPPPPKNNSGSIEIQTLSTISPSSCTEEILEKTPNLQKLGIRGNLAELMESKGGVSLFDNLQRLDYLENLKLINNVHQTSKLRRFPHADKFPRTLRTMTLSNTSFEWKDLNILGSLDELEVLKLEENAFRGEFCDLSNIVFKQLQYLRIGRTNLLSWKVSKDSFPALKHLILRHCTALEAVPCDFAQVESLKVMELFCTNKKAATSAKEIQKLNGFQLLTYPPDH >itb09g24710.t1 pep chromosome:ASM357664v1:9:24511942:24513604:-1 gene:itb09g24710 transcript:itb09g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMTSTVPAKSQPLHNFALPHLKWKKNHHSNNHHRGRSPKLPESASSPSRVDSPIRKSQSPLLHSFQSPNHESLAPPPRQSPMLGGDLVSESSRRNSASPEKLEKKHRVSETDAIGQKESRSKIVLKLPRKNKGVEIQEETKADEAQEEGKTAVAPVDQESSEEPVPKTWNLRPRKPIQKSLNLNGVQFKVAMIEDKTQSPHRTPIRSEAELNSAEKKEKRQRFSLALSREEIEEDIFALTGSKPVRRPKKRAKSVQKQLDTLFPGLWLASITPDSYKVSEIPLKG >itb07g23960.t1 pep chromosome:ASM357664v1:7:28274612:28277297:1 gene:itb07g23960 transcript:itb07g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGKGGAESRRLGNGDVDHRVDSVKEMKMKNHVKFERKLVKYEALPEYLQDNEFIRDYYRCEWPLKHIVLSVFSLHNETVNIWTHLLGSLLFVALTVMTLTEKASLEHLAGVVRPAATMISNYSAAIFPETYPRKFSKSPITLDVNRGEEVIPMWPWFVFLVGAMGCLVFSSVSHLFACHSQRCTLFFWRLDYSGISLMIVTSFFAPLYYIFSCHPHWRMFYLTSITIVGILAIITLLSPALSSGRFRSFRAALFLAMGFSGVIPATHATFLYRDHPEVLVALAYEIAMGFLYATGTALYVSRIPERLKPGAFDIVGSSHQIFHVFVVAAALAHSAATLVIMDWRRGLPACNATLLG >itb05g02800.t1 pep chromosome:ASM357664v1:5:2303761:2304351:1 gene:itb05g02800 transcript:itb05g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHNNALPPPEIAPTPPWDIRQQMNVSPAAYYPRPITILPASASAFSYSGAGIRPPTAYYSPARPISLPPTIPAATRAPMIPAVKVEEAAPSNIGPPMITAVKVEEADPSDMWVYVEHTGYLVKFKLALPLMKELKAAVKIRFRYLRTRGMLLKFTHKDLEGDRIVIADDEDLELCFQYFKALNQPVRLLLEAFY >itb07g24150.t1 pep chromosome:ASM357664v1:7:28430426:28430918:-1 gene:itb07g24150 transcript:itb07g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSHSSSSDLSPLDSFPSDFYRLVPPEISPTHYPPPDPSVLEFIEFSENYLAKRKNLEEYKIIIDICKLALEQYESEELYDFESVPEGEEVPAVGCMQYTLKFRAMKVDGPVEVFEVTGQLMGGDLIIKECTPK >itb01g20360.t1 pep chromosome:ASM357664v1:1:26629051:26629896:1 gene:itb01g20360 transcript:itb01g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYHPKKVIVNVRKRFCGTAGGRRRFSGRHYRPFSGDSKNKAVWDGGLTGIRKRKLSEEQVNLLEQSFGDESKLESERKYRLASELGLYPRQVAIWFQNRRARWKNKKLEKEYSKLKAEHESTVLENCARS >itb05g03500.t1 pep chromosome:ASM357664v1:5:2979007:2983020:1 gene:itb05g03500 transcript:itb05g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRPSPFSLFFLVSAFLFFHGVRSIGVNYGTLGDNLPPPADVARFLKEKTVIDRIKIFDVNPDILRAFAGTGILVTVTVPNGEIIPLKDGGYAAQWVAANIKPFYPATKINIIAVGNEVLHWGTPEMMNGLVAAMRSLYNALVQSGIKDIKVSSPHSLGIMLRADPPSMGRFRPGWDVGILAPMLKFLRETNGPFMVNPYPYFGYAPDKADLALFRPNKGYVDRFSKRTYGNMFDMLLDAVFMAMRRLGYPDVKIIAAETGWSSAGEVYEPKCTVENAASYNGGLMRKYASGKGTPLMPRSKIETYIFALFNENLKPGSKAERNFGLFRPDFTPVYNVGILKGQPTPALPQPRPHPNPQPKPKPKPAPGRTGKFCTPKAGATDAQLQANINYVCSQGVDCRPIQPGGACFNPNTVRSHAAYIMNTFYQTKGRQPFQCDFSGTAAITSANPSHGSCKYLS >itb07g17140.t1 pep chromosome:ASM357664v1:7:21342742:21343074:1 gene:itb07g17140 transcript:itb07g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGLGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >itb15g23630.t1 pep chromosome:ASM357664v1:15:26444329:26447045:-1 gene:itb15g23630 transcript:itb15g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRTESSAYACPGEAMHAQVPTTVKETTATRTWKVMVAIDDSGESFYALKWAIDYLLRRNYPSAADTADETPAGPMVTLVNVQPTFRPLIYPPGPDPVELTPMVVEAVKKGQEHNASEVLSRAFQICRENKVRAETLILEGDAKDRICEAAEEMHVDLLVVGSRGLGKIKSHLRPPPSLGQRFRGIDQGLAKFGLAPYQGLTKPKSILLGGLGRGLAVLESASAEPRSVRGELRRGRAR >itb06g14970.t1 pep chromosome:ASM357664v1:6:19343564:19347339:-1 gene:itb06g14970 transcript:itb06g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAIQLDHSNSQLALPMKRKRGRPRKDPSLPRMSSVQRPLGVDSVKNSQTPQIEKNIDVNDAMVGKAVTGIVEAVFDAGYLLSVRIGDSNTNLRGIVFKPGHYVPITAENDVAPHVQMIQRNETHQPVENQKHGHKRFRQKTELNGSQLGRHRQVNRASKAMVASLTVVAPSVPPVGARGTVVPVVLQPVNLTNGSSTSQVSSDASQAAFQEKDVQMVTPLAMLPPIGSTTMTNTHVVQPSLTQAGQQVASNILQNENDSVSEKRRDVEHGEETNQILPNDVVMRSKGTEGIQRSSLASENSRQQIGDMNEPPLKETFEAVQPNLHNSKSISKTFMNYGTGRMTELLQALQENLIEIQKPDGRDVAVGMRNESNGMKSTESEDRRGTALQ >itb03g23690.t3 pep chromosome:ASM357664v1:3:21923029:21926487:-1 gene:itb03g23690 transcript:itb03g23690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSCLRSVIIHAGQFGFIRTGHKGKYSVGRQFNKFPGFLNVNSSTKYTSWGNRFTTIRKMMVDTGSTAKHEPELDALPQKDDNGGYSSGGWKSEDGRLSCGYSSFRGKRASMEDCYDIKTSKIEGETVFLFGIFDGHGGSRASEYLKEHLFENLTKHPEFLKNTKLAISETYQQTDKEFLESEKDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKNGKGIFNVHRY >itb03g23690.t1 pep chromosome:ASM357664v1:3:21920583:21926492:-1 gene:itb03g23690 transcript:itb03g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSCLRSVIIHAGQFGFIRTGHKGKYSVGRQFNKFPGFLNVNSSTKYTSWGNRFTTIRKMMVDTGSTAKHEPELDALPQKDDNGGYSSGGWKSEDGRLSCGYSSFRGKRASMEDCYDIKTSKIEGETVFLFGIFDGHGGSRASEYLKEHLFENLTKHPEFLKNTKLAISETYQQTDKEFLESEKDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKNGKAIPLSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIKEQEINEDLELLVLASDGLWDVVPNEDAVLISQREEKPEAAARKLTETAFTRGSADNITCIVVKFHHEKTPQPEGSQQQ >itb03g23690.t2 pep chromosome:ASM357664v1:3:21920583:21926492:-1 gene:itb03g23690 transcript:itb03g23690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSCLRSVIIHAGQFGFIRTGHKGKYSVGRQFNKFPGFLNVNSSTKYTSWGNRFTTIRKMMVDTGSTAKHEPELDALPQKDDNGGYSSGGWKSEDGRLSCGYSSFRGKRASMEDCYDIKTSKIEGETVFLFGIFDGHGGSRASEYLKEHLFENLTKHPEFLKNTKLAISETYQQTDKEFLESEKDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKNGKAIPLSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIKEQEINEDLELLVLASDGLWDVVPNEDAVLISQREEKPEAAARKLTETAFTRGSADNITCIVVKFHHEKTPQPEGSQQQ >itb11g01420.t1 pep chromosome:ASM357664v1:11:661049:661753:1 gene:itb11g01420 transcript:itb11g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEEQTESPELEVTFSDDDLSSLDILLNHSEISDLLMLSDDTNIAASNHSSPSAPPPNTAPKKAAAASADWKRYRGVRRRPWGKFAAEIRDPGRKGARIWLGTYETPEDAAVAYDRTAFKLRGSRALLNFPHLIGSNMPEPHRVKPRRRARSTEPPRASSSSSSPSSSSLPSSPPSSSSSLPPSSPAASLSENGGARDSPRKRKIDLINAVANTNSLLGSQTMLKMFKLCKF >itb13g10390.t1 pep chromosome:ASM357664v1:13:15036548:15037002:-1 gene:itb13g10390 transcript:itb13g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLGASSNCSGSSTVRRKCYLYGECISVEVCGCGQEMVLRTSWTNENPGRRYWECSRHKDGFMRWYDPPMCPRSKRIIPGLLRRINKIEEENVKLKSKLRSLGKILKSNIFVGVENAHP >itb12g05390.t1 pep chromosome:ASM357664v1:12:3979419:3981197:1 gene:itb12g05390 transcript:itb12g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKFLCTFALFLLSNLVEGANINIAAKPNVDIAPELEKAWKDACAATGASTIVIPKGTFPMGQIKLAGPCKGPIDLQIQATLKASSDIKTLDRDKEWLTFRYVDKLTVSGGGVLDGQGTAAWAANDCKKTGVCNNLPNNLSLNFLTNSVIRDITSLDSKLFHVNVLAGKNLTFDHFTIKAPGDSHNTDGIHIAKITDVNVIDSVIGTGDDCISIGDGTENLHITNVTCGPGHGISVGSLGKTPGEEPVKGVFLKDIKFIGTDNGVRIKTWPNSHLGVVTDIHYENIEMEDVKNPIVIDQEYCPNNECSKVKPSQVKLSKISYKNIKGTSATEEAVIFACSSGVPCEGVEVGDINLTFKGGAAKTVCSNVKPTLTGKQVPPITCGGGAAAPGGGAAAPGGGAAAAPA >itb04g27490.t1 pep chromosome:ASM357664v1:4:31469435:31472225:-1 gene:itb04g27490 transcript:itb04g27490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLQNQRMECAVKISKPQSNNISEIVSKVAKACRYRSIGVFSSENPHLNYHGLSLASGKYGPLVTEESGSDVTEEGESNAVKVHPEPVGVRGEVKNCSVGVQGEVKRCGDGEISKLFDGVSALKLAYVQLQQAHVPYDPDKIRAADEVIVSQLESLCRIKQAYKGRQMKGSKSASACSPILLGEIRVREKLLNQLKSGVKEKESKVVALQQELRDSVSRNKRLGEELKKRDEDVKVLNFSYVEEIVGAVSKAIHDFAKPLITLMKVSGWDLDQAANSIEGSVVYSKRSHKKYAFEAYIARRMFHRFSFQSSSLDTLKKYDDPIDALIEDPKSSFATYCREKYLSIVHPAMEIAFFGNVDHRTFVSNGFHPPTPFYAAFVKMARSVWILQGTAASVQPTCDIFRVERGREFSNLYMEYVEEISEDSVPSNKEQERLKVEFMVMPGFIIGDNIIKSRVYLSKT >itb07g02510.t1 pep chromosome:ASM357664v1:7:1631152:1634304:1 gene:itb07g02510 transcript:itb07g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKFLASALFMAAVLGGAKAQLSASFYDTTCPNVSGVVRGVLEQAQGNNVRIGAILVRLHFHDCFVNGCDGSILLDNADGIESEKDAIQNTNLNAGFDAIDDIKTALENVCTGVVSCADIVAIASQISVALAEGPSWDVPMGRRDSRTANRDGTSEIPSPFESLDVVSRKFSDKQLDSTDLVALSGAHTFGRASCAVFVHRLYNFSGTGNPDPTIDATYLETLRGICPEGGNGGTVANLDPSTPNGFDSNYFTNLQNNQGLLQTDQELFSTAGADTVAIVNRFGNSQSEFFDSFAQSMIRMGNISPLTGNQGEIRTNCRRVN >itb09g06170.t2 pep chromosome:ASM357664v1:9:3551581:3554955:1 gene:itb09g06170 transcript:itb09g06170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIILNTMSSNVSIPCFSIGTALHCRRRTPVVFRCQSVNSSSLRSENMTATLSKDEFLLKSKGKREEWQRGLLYQFQEDDMQVLNVIDAIQHLGIDHHFHDQIGAILQRQHQKLKIDFQKINDLYDISLRFRLLRQGGYHVSSDVFTKFTSEKGQFKEELSKDIRGLMALHEASHLSIEGEDVLDEAAKFSRESLIASMVNLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLATMDYSITQSFYQEEFRQILRWWKGLGLKDELAKNQQLKWYIWTLALISDASMSRERIELTKPIALVYLIDDIIDVYATFDQTKQFVDAINRWDLSVGEGLPDYMKRCLVVLFDTTNDINNLVFDKYGWSPIDHLKRAWKCLCSAYITEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFVGTIDIEDMITSVGAILRLLDDLDATQVKLVAYI >itb09g06170.t1 pep chromosome:ASM357664v1:9:3551501:3554955:1 gene:itb09g06170 transcript:itb09g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIILNTMSSNVSIPCFSIGTALHCRRRTPVVFRCQSVNSSSLRSENMTATLSKDEFLLKSKGKREEWQRGLLYQFQEDDMQVLNVIDAIQHLGIDHHFHDQIGAILQRQHQKLKIDFQKINDLYDISLRFRLLRQGGYHVSSDVFTKFTSEKGQFKEELSKDIRGLMALHEASHLSIEGEDVLDEAAKFSRESLIASMVNLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLATMDYSITQSFYQEEFRQILRWWKGLGLKDELAKNQQLKWYIWTLALISDASMSRERIELTKPIALVYLIDDIIDVYATFDQTKQFVDAINRWDLSVGEGLPDYMKRCLVVLFDTTNDINNLVFDKYGWSPIDHLKRAWKCLCSAYITEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFVGTIDIEDMITSVGAILRLLDDLDATQGEKQDGNDASYAEYYVKEHEGLSISDGKQRIINMVSEQWKLINKQCLSPTPIPASFRKSCLNIARMVPMMYNYSDTHCLPILQKQIMSMFSTINGESIRLR >itb15g00650.t1 pep chromosome:ASM357664v1:15:377319:383073:-1 gene:itb15g00650 transcript:itb15g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSQKQNNKPSPSPSSGERVDFRFSNFQALQVPKGWDRLSLSVVSTGTGKVIAKLGKAMVRGGSCQWPETLLESVWISRDDSSTEVEEYLYKCVVSMGSARSGILGEATMNLASYVSSRSASPISLPLKKCNYGIILQVKIHCLTPRTKLRDEESNGSHSLVKEQSLDYHDSGRNSNVSSSLLAAGNVGIHDSGFMSRPGKFDIQERSFSPSGSSEKGFCSVDKLLLKNHLRSEASKHQNGHTGEISENNSPRDSFLFEDRSASNRPSLNQPGVMSIMEKNLQHYGKDLAALTNSGSSKKLLEAAEDTIEELRVEAKMWERNARKLMLDLDILKNEFSNQSKKQADLVMELSAAYAEHDSLKREIENLKLILEESSAAVKQATLEDSTFQTKHLTRIHEELENEIKHQQELSNSLALQLKRSQESNIDLVSVLQELEETIEQQKAEIENLSDAEKEYEHKLSVKEEEIARLEAELQKLEDYSIKFEENKNSKAELEVQCTNLLKELSEKTSEVDRLKADFLKKEEETNFLMQHRRELDTKVADLQKKRDQLEEDLEIMSGENSSSSRCLDDLQNKLKILCENNEILQRKSEEIECDNRKLENQLTKLKEENLYLESQMKCIATDRDSCHLKLDNSRSVAKKLKGKIMELEMEMENKVAGLKQNLEEAQNQQSEAQRECEHLKEENQKLEESIKNLLEEVKILEKSNSELRAKNVEMQEYCVQVDDRLKQSEKSLWDSYKKVETLEEKLNSMIKDFSLKEEKFKSELDELVRENEMQMEKLAQQEVSSQEKLNEIESLQKQVEHLTTKISAMNEENERSATAAANEISSLQADKARMEGVLEEIQSKVSVTENELNTVRKNSELKVQGLMIELAGSKQSYEKLRADHEKTLKLLTSYRTTEEKLKTSMNDLELKLTISQCEHQQLLEDTVNLKFQLQKITELQDEILDLRNQLHECRNEKEKLEASMQTIFGEMKSENFSFHDKISSLKEAVLVSENCKREKVSLEEKLLQMEYNLTEREVQNEGLGTELTKIKRENKIYQQKIHQLEEENKQNCRKTGENGHGFHDGVPNDTTVCIEKIKLLESKLAETLEANKKFKIQLQRFRSEERKTQGASSKRAIAENELAERERFEQQTKSSLEAELKDIRERYFEMSLKYAEVEAQRETLVMKLKTAKNGKKRSS >itb07g22660.t1 pep chromosome:ASM357664v1:7:27212873:27215324:-1 gene:itb07g22660 transcript:itb07g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGDALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQVVNVPSFMVRLDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDEE >itb13g23860.t1 pep chromosome:ASM357664v1:13:29671583:29672089:-1 gene:itb13g23860 transcript:itb13g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSILNGYIICMILVVVLVDCSPPNDPINCANNCTVTNTYGAFPDRAICRAAEVVYPKTEEELVAIVAGATKENRKMKVATRFSHSIPKLVCPDGENGLLISTKYLNRTLRVDSRSMRITVESGVTLRELISEAAKVGLALPYSPYWWGLTIGGMMGTGAHGSTLWV >itb08g04130.t1 pep chromosome:ASM357664v1:8:3279193:3279815:1 gene:itb08g04130 transcript:itb08g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQYVEVLRCAGYILSPEVITSLEASDQLIRPSQALIRSRSIEDWKVRLGLCHRGGPCPSLMIISLTDPMEAMNKEMDGSRATIIVGGDELPLVGDCSPRHVDQRDSLVDVAKTGSGVSSALVGSIAMAEISSQVMDGQQHRAGGTVPSVVNPVTPCVCPDRIISAKDQVDKYLGSWF >itb05g05590.t1 pep chromosome:ASM357664v1:5:5358715:5359863:-1 gene:itb05g05590 transcript:itb05g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >itb01g15950.t1 pep chromosome:ASM357664v1:1:19378385:19379099:1 gene:itb01g15950 transcript:itb01g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITGYGLGGSSMALYGRVGGGIYTKVADVGADLVGKVERNIAEDDPRCWWHLLKFEVNACNGFYCDWFSPNKAYKPKMWTEAWTAWFTEFGGPVPYRPAEDLAYSVAKFIMKGGSFINYYMILHFGLEYAPISTILNK >itb14g02850.t1 pep chromosome:ASM357664v1:14:2596798:2599134:-1 gene:itb14g02850 transcript:itb14g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPLIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPNTLKKSAKPLGRPMGGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFRGGAGGRPGFGRGAGGFGGGAPPSSSFS >itb14g02850.t2 pep chromosome:ASM357664v1:14:2596832:2599134:-1 gene:itb14g02850 transcript:itb14g02850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPNTLKKSAKPLGRPMGGPPGDRPRGPPRFGDGERRFGDRDGYRGGPRGPPGEFGGEKGGAPADYQPAFRGGAGGRPGFGRGAGGFGGGAPPSSSFS >itb06g12800.t2 pep chromosome:ASM357664v1:6:17360943:17363423:1 gene:itb06g12800 transcript:itb06g12800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFWLQTQMPQSQILCRKKEKDKGGQNHQPYKVIEITPPPKNLGIRCFPSNLQCGESVTIEGRAYTISSVTHRYQLRKGKYEPSEKRLDVLSTGRYILNLYLENLLQQS >itb06g12800.t1 pep chromosome:ASM357664v1:6:17360943:17363427:1 gene:itb06g12800 transcript:itb06g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSNSSSSFRKTQMPQSQILCRKKEKDKGGQNHQPYKVIEITPPPKNLGIRCFPSNLQCGESVTIEGRAYTISSVTHRYQLRKGKYEPSEKRLDVLSTGRYILNLYLENLLQQS >itb13g24990.t1 pep chromosome:ASM357664v1:13:30498855:30504729:1 gene:itb13g24990 transcript:itb13g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGEVPEEPVVSTKSGLLFEKRLIERHISDYGKCPVTGEPLTMDDIVPIKTGKIVKPRPVQAASIPGMLGMFQIEWDSLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARELLAQAERQLPNAAAMESADTATTLSNGKRAAEDVEMDPGGKRIRPGISSAIITELTDCNTTLSQQRKKRQIPPTLAPLDTLERYTQLNSYPLHKTNKPGILSLDIYYPKDIIATGGVDTNAVVFDQPSGQIISTLSGHSKRVTSVKFAGESEMVVTGSADKTVRVWQGSEDGSYACRHVLKDHTAEVQAVTVHATNNYFVTASLDNTWCFYELASGLCLTQIGDSSESDGYTSAAFHPDGLILGTGTTGAIVKIWDVKSQANVARFDGHVGAVTAISFSENGYFLATAAQDGVKLWDLRKLRNFRNFSPYDENTPTQTVEFDHSGSYLALGGSDIRVYQVASVKSDWNSIKTFPDLSGTGKSTCLKFGPDAKYIAVGSMDRNLRIFGLPGDDGQPED >itb12g13140.t1 pep chromosome:ASM357664v1:12:11901492:11902835:1 gene:itb12g13140 transcript:itb12g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHQQMGRKTQPIREEKKPTRINGTTAPPAVYLRLSLSLPPTSAPPTNQLNHAATAPSGCNASTFAICDSKSRCTGESTSTSRRLQRTSNKAIGFSFIILFRNYFLYILKNNV >itb01g20890.t1 pep chromosome:ASM357664v1:1:27089971:27090817:1 gene:itb01g20890 transcript:itb01g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHVLAKSRSHGQSIQIKGWNLSAADGNFLAFPPTILQTWRRNRSLLQVWTVETLYIPVRIRLLYLALPLHLSQALAPANLGLNHRIDGGDDPRSLSQSLAAV >itb06g24010.t1 pep chromosome:ASM357664v1:6:25734602:25736135:1 gene:itb06g24010 transcript:itb06g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTLRSSKGKLILISNNCPPLRKSEIEYYAMLAKIGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSLPSEQ >itb13g24100.t1 pep chromosome:ASM357664v1:13:29839566:29842534:-1 gene:itb13g24100 transcript:itb13g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKENKNNNNNLQSSVIQNHVVKPSSHFLITHFMYLVFVPLLGAVCAHFWSTIKPYLAPVLACTSFLVFLAKFYSKNRPRHVYLVDFACYKPKPELMCSTERYVERSRQEKVFTEENLCFQRKVLERSGLGQKTYFPESILSVPAKSCLEEARREAGMVIFGCIDEVLEKTGVRAEEIGIVVVNSSLVGPTPSLSAMIVNHYKLVGSVKSYNLGGMGCSAGLVSIDLAKHLLQVSPNCYALVVSTEIQTLNWYLGNDRSMLMSNCLFRLGGAAVLLSNHPSDRRRSKYQLMRTVRTHTGAHDNSHSCVSLKEDEEGRLGVALTKDLMAMAGEALKTNITTLGPLVLPMSEQLLFFLTLVARKVFKMKKVKPYIPDFKLAFEHFCIHAGGKAVLDAIEKNLELREWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALKNINPAMEKNPWMDEIHEFPVHNSSKI >itb07g15770.t1 pep chromosome:ASM357664v1:7:18817367:18821996:-1 gene:itb07g15770 transcript:itb07g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTESWCDVFELDFDGYLDLDDYDEDSDVCSNDFGIDYDSDGGNSSSVRRIRVGSDLNGCDFEFVWNGFTEYVDDFEHEFDYWEPWEDFGQECGDATWDDIECGFNDFDTYSNQTAHEGGKIQEKKKSSNPNCEANCSAKTSTAVCSNQSLVEFHVGRTVSGEAQHSGNPGRLTRGREHDFNRMASYGEEVLSFMKLALEQAKLALDSLEVPVGCVMVEDGEVIASGRNRTTETRNATRHAEMEAIDILLEQWKKSGLSPSEVSERFSKCVLYVTCEPCIMCAAALSFLGIKEAYYGCGNDKFGGCGSILSLHTCAGVAQKKSFKCVGGIMASEAVALLRSFYEQGNPNAPKPHRPVKQTT >itb10g24320.t3 pep chromosome:ASM357664v1:10:28209279:28213645:1 gene:itb10g24320 transcript:itb10g24320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSILDSFPETIDLNQGSVHSNTSMDQSNPWDNYLLDPVEGRFSNSMVAVGSRNADCAPSFSGWDQGESSSTANSNDRVFGSDLNIGHGWSSSSSNYAVANESDPRLEERGFEPSNDFIHERGGSQYGGNHLIGRSSMPPFSSNHSPGNANMNGSYHYDDDHLATRRSLPPSLRKSGGSETELIPAFGVSSNNLGTSYHSDYFMGNNDASDSSLGMWGLSCKRKGLEGKPGQSCAGGSSSSNPRADDIVHHSVPAFYASSGSNLSSSSNGGILDQVNSRNGLGTRVEAVDMLPPLSVDIGVTDSSTRNFGIRDNIGNQQSVAFGLQPGGSSMGHSNVSSGHVPPRPFSISYRESRHHRSPPVDSSNPPNQSESTYAPRFSRDIHSDPWSGSQDAQGGSSSSPNMLCGERSFGLWGESSFRRPLRNSGEHRIFYSATEARNLVQDGANWNLATSCPSQDIPSSSGTCPGSSQQAYPTRRVVNQNPTIRSHQRLSESSPWTLFTPSEYEQGSQRGHLSQLPSGTTSSEEPVMSSLSSSRSNRRPYLQSTVRAVEAPRDDHGSWRALAADIEGRHRMVSEIRQVLNAMQRVENLRAEDYAMFDPFINGVAELHDRHRDMRLDVDSMSYEELLALEERIGNVNTGLSEETILGSMKQHKYERLLGRGLPSNVEPCCICQEEYVAGENIGTLQCGHLFHTDCIKKWLTLKNLCPICKITALET >itb10g24320.t4 pep chromosome:ASM357664v1:10:28208470:28213645:1 gene:itb10g24320 transcript:itb10g24320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSILDSFPETIDLNQGSVHSNTSMDQSNPWDNYLLDPVEGRFSNSMVAVGSRNADCAPSFSGWDQGESSSTANSNDRVFGSDLNIGHGWSSSSSNYAVANESDPRLEERGFEPSNDFIHERGGSQYGGNHLIGRSSMPPFSSNHSPGNANMNGSYHYDDDHLATRRSLPPSLRKSGGSETELIPAFGVSSNNLGTSYHSDYFMGNNDASDSSLGMWGLSCKRKGLEGKPGQSCAGGSSSSNPRADDIVHHSVPAFYASSGSNLSSSSNGGILDQVNSRNGLGTRVEAVDMLPPLSVDIGVTDSSTRNFGIRDNIGNQQSVAFGLQPGGSSMGHSNVSSGHVPPRPFSISYRESRHHRSPPVDSSNPPNQSESTYAPRFSRDIHSDPWSGSQDAQGGSSSSPNMLCGERSFGLWGESSFRRPLRNSGEHRIFYSATEARNLVQDGANWNLATSCPSQDIPSSSGTCPGSSQQAYPTRRVVNQNPTIRSHQRLSESSPWTLFTPSEYEQGSQRGHLSQLPSGTTSSEEPVMSSLSSSRSNRRPYLQSTVRAVEAPRDDHGSWRALAADIEGRHRMVSEIRQVLNAMQRVENLRAEDYAMFDPFINGVAELHDRHRDMRLDVDSMSYEELLALEERIGNVNTGLSEETILGSMKQHKYERLLGRGLPSNVEPCCICQEEYVAGENIGTLQCGHLFHTDCIKKWLTLKNLCPICKITALET >itb10g24320.t1 pep chromosome:ASM357664v1:10:28208456:28213656:1 gene:itb10g24320 transcript:itb10g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSILDSFPETIDLNQGSVHSNTSMDQSNPWDNYLLDPVEGRFSNSMVAVGSRNADCAPSFSGWDQGESSSTANSNDRVFGSDLNIGHGWSSSSSNYAVANESDPRLEERGFEPSNDFIHERGGSQYGGNHLIGRSSMPPFSSNHSPGNANMNGSYHYDDDHLATRRSLPPSLRKSGGSETELIPAFGVSSNNLGTSYHSDYFMGNNDASDSSLGMWGLSCKRKGLEGKPGQSCAGGSSSSNPRADDIVHHSVPAFYASSGSNLSSSSNGGILDQVNSRNGLGTRVEAVDMLPPLSVDIGVTDSSTRNFGIRDNIGNQQSVAFGLQPGGSSMGHSNVSSGHVPPRPFSISYRESRHHRSPPVDSSNPPNQSESTYAPRFSRDIHSDPWSGSQDAQGGSSSSPNMLCGERSFGLWGESSFRRPLRNSGEHRIFYSATEARNLVQDGANWNLATSCPSQDIPSSSGTCPGSSQQAYPTRRVVNQNPTIRSHQRLSESSPWTLFTPSEYEQGSQRGHLSQLPSGTTSSEEPVMSSLSSSRSNRRPYLQSTVRAVEAPRDDHGSWRALAADIEGRHRMIRQVLNAMQRVENLRAEDYAMFDPFINGVAELHDRHRDMRLDVDSMSYEELLALEERIGNVNTGLSEETILGSMKQHKYERLLGRGLPSNVEPCCICQEEYVAGENIGTLQCGHLFHTDCIKKWLTLKNLCPICKITALET >itb10g24320.t5 pep chromosome:ASM357664v1:10:28208470:28213645:1 gene:itb10g24320 transcript:itb10g24320.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSILDSFPETIDLNQGSVHSNTSMDQSNPWDNYLLDPVEGRFSNSMVAVGSRNADCAPSFSGWDQGESSSTANSNDRVFGSDLNIGHGWSSSSSNYAVANESDPRLEERGFEPSNDFIHERGGSQYGGNHLIGRSSMPPFSSNHSPGNANMNGSYHYDDDHLATRRSLPPSLRKSGGSETELIPAFGVSSNNLGTSYHSDYFMGNNDASDSSLGMWGLSCKRKGLEGKPGQSCAGGSSSSNPRADDIVHHSVPAFYASSGSNLSSSSNGGILDQVNSRNGLGTRVEAVDMLPPLSVDIGVTDSSTRNFGIRDNIGNQQSVAFGLQPGGSSMGHSNVSSGHVPPRPFSISYRESRHHRSPPVDSSNPPNQSESTYAPRFSRDIHSDPWSGSQDAQGGSSSSPNMLCGERSFGLWGESSFRRPLRNSGEHRIFYSATEARNLVQDGANWNLATSCPSQDIPSSSGTCPGSSQQAYPTRRVVNQNPTIRSHQRLSESSPWTLFTPSEYEQGSQRGHLSQLPSGTTSSEEPVMSSLSSSRSNRRPYLQSTVRAVEAPRDDHGSWRALAADIEGRHRMVSEIRQVLNAMQRVENLRAEDYAMFDPFINGVAELHDRHRDMRLDVDSMSYEELLALEERIGNVNTGLSEETILGSMKQHKYERLLGRGLPSNVEPCCICQEEYVAGENIGTLQCGHLFHTDCIKKWLTLKNLCPICKITALET >itb10g24320.t2 pep chromosome:ASM357664v1:10:28208456:28213656:1 gene:itb10g24320 transcript:itb10g24320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSILDSFPETIDLNQGSVHSNTSMDQSNPWDNYLLDPVEGRFSNSMVAVGSRNADCAPSFSGWDQGESSSTANSNDRVFGSDLNIGHGWSSSSSNYAVANESDPRLEERGFEPSNDFIHERGGSQYGGNHLIGRSSMPPFSSNHSPGNANMNGSYHYDDDHLATRRSLPPSLRKSGGSETELIPAFGVSSNNLGTSYHSDYFMGNNDASDSSLGMWGLSCKRKGLEGKPGQSCAGGSSSSNPRADDIVHHSVPAFYASSGSNLSSSSNGGILDQVNSRNGLGTRVEAVDMLPPLSVDIGVTDSSTRNFGIRDNIGNQQSVAFGLQPGGSSMGHSNVSSGHVPPRPFSISYRESRHHRSPPVDSSNPPNQSESTYAPRFSRDIHSDPWSGSQDAQGGSSSSPNMLCGERSFGLWGESSFRRPLRNSGEHRIFYSATEARNLVQDGANWNLATSCPSQDIPSSSGTCPGSSQQAYPTRRVVNQNPTIRSHQRLSESSPWTLFTPSEYEQGSQRGHLSQLPSGTTSSEEPVMSSLSSSRSNRRPYLQSTVRAVEAPRDDHGSWRALAADIEGRHRMIRQVLNAMQRVENLRAEDYAMFDPFINGVAELHDRHRDMRLDVDSMSYEELLALEERIGNVNTGLSEETILGSMKQHKYERLLGRGLPSNVEPCCICQEEYVAGENIGTLQCGHLFHTDCIKKWLTLKNLCPICKITALET >itb09g02830.t1 pep chromosome:ASM357664v1:9:1588474:1589178:1 gene:itb09g02830 transcript:itb09g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFSLVLLLALTLSFQAIVFADIICENLPENVCAFSVSSSAKRCVLENLIEDDGKVEYQCKTSGVVVGNNVAEYIETDLCVEACGVDRESIGMSSDSLLDPTFMTKLCSPACYHNCPNIVDLYFNLALGEGVFLPNLCQKQSGSLQLQSDGATTAATPRRGMAEIFGNAVAPVADTATAATPRRGMAEIFGNAVAPVADTATQQSYPRRAMIESSDRAEAPAPISSDDLLY >itb14g18370.t1 pep chromosome:ASM357664v1:14:21424315:21429685:-1 gene:itb14g18370 transcript:itb14g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADTAAGNGNGNSNGQEGTGNANGATSNGVSVPENSVLGATQAALRHNPGISVEWTPEEQSILEELLATYGKENNIVRYAKIAMQLNDKTVRDVALRCRWMTKKENSKRRKEDHSRKNKDKKEKITESMPKSSHVANRTNGPFYAQSTTPMDNDDGISYKAIGGPAGQLLEQTAHALDQISTNFASFKIHENIGLFCQARNNIVSILNDMNDMPEIMKQMPPLPVKLNDELASTLLPQPPLPKQA >itb12g10040.t1 pep chromosome:ASM357664v1:12:7995738:7998917:-1 gene:itb12g10040 transcript:itb12g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEERYGQVQRPKYDCLLFDLDDTLYPLSAGLAAACLKNIGDYMVEKLGIEPAKIPDLCDLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYQNLKPDPVLRNLLLSLPYRKIIFTNADKVHSLKVLGILGLEDCFEGIICFETLNPIQKNTISDDAPTSSPEIFDIIGHFLRPNAGSELPKTPVVCKPSEAAIEKALEIAKIDPRRTLFFEDSVRNIQAGKRVGLDTVLVGKSQRVQGADYALESIHNLKEALPELWETEKVAEVGYPGVAVPTSVTA >itb12g10680.t1 pep chromosome:ASM357664v1:12:8793808:8797300:-1 gene:itb12g10680 transcript:itb12g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB1 [Source:Projected from Arabidopsis thaliana (AT3G09230) UniProtKB/Swiss-Prot;Acc:Q42575] MELDTAELEDAPVSAGDATVESGGGGGTGEEDDEVVVLEDGSGNSDQMSDKKAAADRVKGPWSPEEDAILSRLVSNFGPRNWSLIARGISGRSGKSCRLRWCNQLDPAVKRKPFTEEEDRIILQAHAVHGNKWASIAKLLPGRTDNAIKNHWNSTLRRHYAALGKLKGEFGNMGEDVSPERSKASSEDTQSCGDVNSLKASEGKDFFSVENPNDVPSEDKNQDAVQSIEEPREPPTLFRPVARVSAFSVYNSLDGPEAFFSVPRVTPLQGSSLQATSNPNIGISKLLEGAFGEHLVPRQCGHGCCGNSPQGNHNSSLLGPEFVDYSESPSFPIHELAALATDISNVAWRNSGLENGSINLFSNSASSVMCGASHAQGESLEEMRKSDHHHSQLEKGKALMADPASTPISRQPLQVNTKT >itb03g23860.t1 pep chromosome:ASM357664v1:3:22147746:22156620:-1 gene:itb03g23860 transcript:itb03g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRNLKSVMPSLKRCRTGDSGGDDDEGSRNRKRRKDNNKNGGYYPLHLLGEVAAGVIPFSGYGLQRIMAGRGKSGAAAAASWCTEVSYCQDEEEEAKSKKKERSNRVQEVINARPPLVRTSRGRVQALPSRFNDSVLDNWKKEKSKATTVRELSLDPEFNPYKEKIGMKNGKIRNEVGNKKSIDDGVHYQCRKPSPLLDAETVEFGINGSKSFDIRKFSSSRSSLTSLHDRYWEVDKSLNEDFEECVELSCIEALATREGERRPQRFGPENFNSGDIVWAISGKHCPAWPAIVLDPKTQVPQQVSSFQVAGTVCVMFFGYSGNGTQRDYAWIRRGMLFPFLDYVDRFQGQTNLNDSAPSDLRSAIEEAFLAESGFNEMLMVEINAAAGNLDYLQSLTRGVFEVSDSNQDLECNSLNKDLLMKKESDSCEACGSYIPPKASGKMNSSAPGGHRLCAACARLKKIKHYCGICKKIRNPSDSGTWVRCDGCKVWIHAECDKISSSNFKELGTMDYYCPECKARFNFELSDSENMNSKSKNNKKDGQVALPDKVSVVCAGVEGIYFPRLHLVVCKCGFCGTEKQALSEWARHTGSKTKDWKTSVKVKDSLLPLEQWMLQIAEYHEQSVVPTKSVKRPSLKVRKQKLLTFLQEKYDPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVRDITSWVCRACETPDIERECCLCPVKGGALKPTDVAPLWVHVTCAWFQPEVCFASDEKMEPAVGILRIPSNSFVKICVVCKQIHGSCTQCCKCSTYYHATCASRAGYRMELHCLEKNGKQVTRMVSYCAYHRAPNPDTVLIIQTPKGVFSARSLLQTKRTGSRLISTNRLKLEEAPGAEVDEIDPFSAARCRVFKQLRNKRTGEEAIFHRVKGSCHHSLAAIQSLNPIKKVEEPKTFSTFRERLRHLQRTENDRVCFGRSGIHRWGLFARRNIPEGEMVLEYRGEQVRRSIADLREAQYRIEGKDCYLFKISEDVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDEADEFKVPCLCKAPNCRKFMN >itb15g01220.t1 pep chromosome:ASM357664v1:15:736544:739357:-1 gene:itb15g01220 transcript:itb15g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDDPAISRSSRRSLASSSRRSWQSASLREVAWLATPEAFGRSARREDEEDELRWAAIERLPTFDRMRKGVLKKHAVDGDGRLVAEEIDITKLGKQEKEMLMESILRAVEEDNDRFLLRFRERIDRVGVDIPKIEVRYEHLSVVGETYVGRRALPTLANTTMNVLENVLRMVHLAPSNRRSIQILKDVSGIIKPSRMTLLLGPPGAGKTTLMRALAGKLDNDLKKTGKITYCGHEFHEFVPQRTCAYISQHDLHHGELTVRETLDFSGRCLGVGTRYELLAELSRRERDAGIKPDPELDAFLKSISVAGQKTSLVTDYIIKILGLEICADITVGDEMRRGISGGQKKRVTTGMF >itb04g11050.t1 pep chromosome:ASM357664v1:4:10586000:10586685:-1 gene:itb04g11050 transcript:itb04g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGRGRKYKTQVRGSSSCFAQGVDDDLTSNPSHFVNRHGLQSTHLNASLTDGQQSNAPPHVSPLSSGSQSNVRPDHVASISDGLQSDAPLLNTPLDDHVEEAIEDTVQSLHESATTLTKQTKRRGPNRGRALPSDPSRKIKLNVISGSDFLEDGVAADISAMVKSNFNDHWPTWAQFSDETKAAL >itb14g17750.t1 pep chromosome:ASM357664v1:14:20953753:20956581:1 gene:itb14g17750 transcript:itb14g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTMTNPWRLYYDQKPLIQRLYLQFKLNSPSPRFAFISTLSAVHDFHNPVILRFTGTIDSPSTLSSYTKLLSHLSQIKSLVPGLQIHACVTKLGLSQDSKHRNHLINLYSKCGVFGHAHKLIEESPEPDLVSWSSLMSGYAQNGLGEEATLAFQKMHSLGLKCNEFAFPSVLKACSLKKDLLLGKQIHGVVMVTGFGSDVFVANTLVVLYAKCGELLDSRRLFEEIPERNVVSWNALFSCYTQSDYFGEAVCLFQEMIESGIRPDEYSLSTILNACTGLADIGLGKKIHGYLLKLGYDSDPFSSNALVDMYSKLGDLTDAIAVFQGIAEPDIVSWNALIAGCVLRECHDHALDMLCQMRRSGMRPNMFTLSSALKACAALQLQRTGKTLHSLLIKNDIVLDPFVSVGLIDMYCKCDLMNNARLIYDLMPEKDLIALNAMISGYSQNEADTECLTLFTEMHEKGIIFDQTTLLVVLNSTAGLQAADVCKQVHALCVKSGFQSDAFVINSLIDSYGKCSLLVDAAKIFDECPIVDLPSFTSLITAYAQYGQGEEAFKLYLRLQDIGIMPDSFVCSSLLNACANLSAYEQGKQMHVHVMKHGFMSDTFAGNSLVNMYAKCGSIEDAGRAFSEVPNKSIVSWSAMIAGLAQHGHATKALNLFSEMLRDGISPNHITLVSVLCACNHAGLVAEAKNYFQTMNETFGVEPTQEHYACMIDVLGRAGELDEAIDLINKMPFEANASVWGALLGAARIHKNVQLGEEAAHKLFNLEPEKSGTHVLLANIYASVGLWGNVAEVRRLMKDSKVKKEPGMSWIEVKDMVYSFIVGDRSHPRSQEIYAKLEELGDLMVKAGYVPMVEVDLHDVERRQKEILLSYHSEKLAVAFGLIATPPGAPIRVKKNLRICLDCHTAFKFICKIVSREIIIRDINRFHHFKDGSCSCGDYW >itb11g06560.t4 pep chromosome:ASM357664v1:11:3939240:3943952:1 gene:itb11g06560 transcript:itb11g06560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETSSSGNDHSTAENGGFKLVGFKDFVRVNPKSDHFAVKRFHHVEFWCGDATNTARRFSWALGMPIAAKSDLSTGNSAHASYLLRACGGELQFLFTAPYASSICNSASAAIPSFSADAHRAFAATHGLAVRAVALVVEDTRAAFSASVSRGAKPVSEPVTLNNQVVLAEVHLYGDVVLRFVSYLSDASAVTFLPGFEAMDGTASFQDLDYGIRRLDHAVGNVHELAPAVDYLKSFTGFHEFAEFTAEDVGTAESGLNSVVLANNDETVLLPLNEPVYGTKRKSQIQTYLEHNEGPGLQHLALTSEDIFRTLREMRKRSGIGGFEFMPSPPPTYYKNLKSRAGDVLNDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTMFIEIIQRVGCMMKKEDGQLYQKGGCGGFGKGNFSELFKSIEEYEKMLEAKQATRAGEVSSSTMIYECDSVIH >itb11g06560.t2 pep chromosome:ASM357664v1:11:3939240:3943952:1 gene:itb11g06560 transcript:itb11g06560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETSSSGNDHSTAENGGFKLVGFKDFVRVNPKSDHFAVKRFHHVEFWCGDATNTARRFSWALGMPIAAKSDLSTGNSAHASYLLRACGGELQFLFTAPYASSICNSASAAIPSFSADAHRAFAATHGLAVRAVALVVEDTRAAFSASVSRGAKPVSEPVTLNNQVVLAEVHLYGDVVLRFVSYLSDASAVTFLPGFEAMDGTASFQDLDYGIRRLDHAVGNVHELAPAVDYLKSFTGFHEFAEFTAEDVGTAESGLNSVVLANNDETVLLPLNEPVYGTKRKSQIQTYLEHNEGPGLQHLALTSEDIFRTLREMRKRSGIGGFEFMPSPPPTYYKNLKSRAGDVLNDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTMFIEIIQRVGCMMKKEDGQLYQKGGCGGFGKGNFSELFKSIEEYEKMLEAKQATRAGEVSSSTMIYECDSVIH >itb11g06560.t1 pep chromosome:ASM357664v1:11:3939240:3943952:1 gene:itb11g06560 transcript:itb11g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETSSSGNDHSTAENGGFKLVGFKDFVRVNPKSDHFAVKRFHHVEFWCGDATNTARRFSWALGMPIAAKSDLSTGNSAHASYLLRACGGELQFLFTAPYASSICNSASAAIPSFSADAHRAFAATHGLAVRAVALVVEDTRAAFSASVSRGAKPVSEPVTLNNQVVLAEVHLYGDVVLRFVSYLSDASAVTFLPGFEAMDGTASFQDLDYGIRRLDHAVGNVHELAPAVDYLKSFTGFHEFAEFTAEDVGTAESGLNSVVLANNDETVLLPLNEPVYGTKRKSQIQTYLEHNEGPGLQHLALTSEDIFRTLREMRKRSGIGGFEFMPSPPPTYYKNLKSRAGDVLNDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTMFIEIIQRVGCMMKKEDGQLYQKGGCGGFGKGNFSELFKSIEEYEKMLEAKQATRAG >itb11g06560.t3 pep chromosome:ASM357664v1:11:3939240:3943952:1 gene:itb11g06560 transcript:itb11g06560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETSSSGNDHSTAENGGFKLVGFKDFVRVNPKSDHFAVKRFHHVEFWCGDATNTARRFSWALGMPIAAKSDLSTGNSAHASYLLRACGGELQFLFTAPYASSICNSASAAIPSFSADAHRAFAATHGLAVRAVALVVEDTRAAFSASVSRGAKPVSEPVTLNNQVVLAEVHLYGDVVLRFVSYLSDASAVTFLPGFEAMDGTASFQDLDYGIRRLDHAVGNVHELAPAVDYLKSFTGFHEFAEFTAEDVGTAESGLNSVVLANNDETVLLPLNEPVYGTKRKSQIQTYLEHNEGPGLQHLALTSEDIFRTLREMRKRSGIGGFEFMPSPPPTYYKNLKSRAGDVLNDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTMFIEIIQRVGCMMKKEDGQLYQKGGCGGFGKGNFSELFKSIEEYEKMLEAKQATRAGEVSSSTMIYECDSVIH >itb08g01750.t1 pep chromosome:ASM357664v1:8:1406044:1408566:1 gene:itb08g01750 transcript:itb08g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLPPGFRFHPTDDELVGYYLKRKTDGVEIELEVIPEVDLYKFDPWELPDKSFLPKRDMEWFFFCPRDKKYPNGSRTNRATKSGYWKATGKDRRIVCQPATVGYRKTLVFYRGRAPLGDRTDWVMHEYRISDEDSHGNPSFQGPFALCRVIKKNDVSKKTTSEASGVTAAAAASKETGSSSSHGGFSSVAVNEPIILSDDIPTQTAFMSTESNYSTPIASPYQASHMGDYEFGMQPDTASVWMSADMILDSSKECPQQQNVPGFHSTQYGFPGSTLWQPYENHEFSSSSSYSNFRGEVELSDDPSRYGCVSPYFGHGNYLGFYGNDGRPFEGYDQNSSSRNPNLF >itb01g02190.t1 pep chromosome:ASM357664v1:1:1423484:1428946:1 gene:itb01g02190 transcript:itb01g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAASKSDTSSSDSDSGDSQRPSRIRRFGQRLRLRPRLRPSLRRHCRHARRKKTTSGSHSSKLLSEEDFAGIARLRLVNATMKFKDKWLACVTLGFQTFRTHTSDHTDKPTWNSERKLLLESHGAHIARISVFETNKLSRNNLIGYCEIDLLDYLSRDSNSDIEAFDLLDPSSPSVVVGCISISCSIQDPVETERSFVKRILSIVDYNEDGELSLAEFSDLVDAFGNQFAAEKKQELFRQADTNGDGVVSLDELAMLLTVHKERDPLINCCPVCGEVLELSDRLNCMIHLTLCFDEGTGSQVMTGGFLTDKQASSGWMFKLSEWANFSTYEIGLRSGASASRILVYDRRKKRLVEEIIDRKIMLAMRAIYQSKFGVGLIGSGAKEILQSISEKQGRKMDSLESAKDITKFVEFFKDQINMNEVKYPLEHFKTFNEFFIRELKPGARPIARLEHDYIAVCAADCRLMAFNSVTDSSRFWIKGRKFSIQGLLGKEVCSDAFIDGSLVIFRLAPQDYHRYHSPVSGTVKTFIDIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTEDFGKVAFVAIGATMVGSITFSKKEGDYVRKGEEFGYFSFGGSTVICIFEKGSLRIDKDLLENSAKSLETLVSVGMQLGVSTKTQDYC >itb04g31900.t1 pep chromosome:ASM357664v1:4:34565561:34571830:-1 gene:itb04g31900 transcript:itb04g31900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKEALKTLCGVNRWSYAIFWKIGCQNPKLLIWEECYYEPALYSGIHGIPGSQNPELAFQNWSACWTSRDACNSQLLHTAERVQLLVNKMMIENQFNVVGEGLVGRAAVTGNHQWILSEGYCREAYPIEVLKELSQQFSAGMQTIAVIPVLPHGVVQLGSYKLITENVGVVNDVKMLICQLGCVPGVLLSDENAMKEPVQNTGVLFHLENSASVDFTTRSEVLKSEPTSSSFLRQNRERQPTGATFQSSSISQSVVEYHDDDHRQGNVVPLMKPNNSPKNHLRKEEVKAEVIPSNPNMWPNQQSSTYIPWPPNHEQRIMSSACHTKTNPMPNGYKISQPRTDPGPIPNCLENSVLPSVGAQQLCNGAERHLESIPDIDSFLDANRSPRKNLSFPHSGAASGLQSSEFFSNLEASSSGNVNHLPTNYSADNGCAQLQLDNMGTVENDLFQALGIVLTQTNENQGLSEHVPDFSYDAKHEYRLQSAMLENNCEDKDPCVHQSGDDLFDILGADFKNKLLNGSWNNFTNAKDMGHNPSTSLISQDASSTVNQGKSDSGTFAVTSFDHLLDFGPSTPSAKQGLDDNVSCRTTLTNMSSSSGPNASCSYGRVGASTQMQGELFGCPKSNANTRVLTSCSFDSTKETPATYPQTSSMYGSQVSSWIEQGHDIKKTTSVSTASSKKPDETSKPSRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKLISKEGGLRLKDNFEGGATWAYEVGSESMVCPIIVEDLNQPRQMLVEMLCEQRGFFLEIADIIRGLGLTILKGVMEMKNEKIWARFAVEANRDITRMEIFISLVHLLEQTTKNGVETPNGTDNEAMKAHQFHQAASVPAASSLH >itb04g31900.t2 pep chromosome:ASM357664v1:4:34565561:34571705:-1 gene:itb04g31900 transcript:itb04g31900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKEALKTLCGVNRWSYAIFWKIGCQNPKLLIWEECYYEPALYSGIHGIPGSQNPELAFQNWSACWTSRDACNSQLLHTAERVQLLVNKMMIENQFNVVGEGLVGRAAVTGNHQWILSEGYCREAYPIEVLKELSQQFSAGMQTIAVIPVLPHGVVQLGSYKLITENVGVVNDVKMLICQLGCVPGVLLSDENAMKEPVQNTGVLFHLENSASVDFTTRSEVLKSEPTSSSFLRQNRERQPTGATFQSSSISQSVVEYHDDDHRQGNVVPLMKPNNSPKNHLRKEEVKAEVIPSNPNMWPNQQSSTYIPWPPNHEQRIMSSACHTKTNPMPNGYKISQPRTDPGPIPNCLENSVLPSVGAQQLCNGAERHLESIPDIDSFLDANRSPRKNLSFPHSGAASGLQSSEFFSNLEASSSGNVNHLPTNYSADNGCAQLQLDNMGTVENDLFQALGIVLTQTNENQGLSEHVPDFSYDAKHEYRLQSAMLENNCEDKDPCVHQSGDDLFDILGADFKNKLLNGSWNNFTNAKDMGHNPSTSLISQDASSTVNQGKSDSGTFAVTSFDHLLDFGPSTPSAKQGLDDNVSCRTTLTNMSSSSGPNASCSYGRVGASTQMQGELFGCPKSNANTRVLTSCSFDSTKETPATYPQTSSMYGSQVSSWIEQGHDIKKTTSVSTASSKKPDETSKPSRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKVPYVVKRLTQAKLYSRLFF >itb05g18880.t1 pep chromosome:ASM357664v1:5:25566957:25570578:-1 gene:itb05g18880 transcript:itb05g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSDSNDYAEFLPIPPPLPHPYAESIHHRRSRATRKQWRPYAASNHRMDHLFDEGYRADVAISTDDGGVVYAHASVLGVSSPIMKAMLRKPRRRRGDRIAISIHGVPAEAVSVFVRFLYSSRYDEEKLREHILSLLALSHSYAIPHLKRECEWLLEDGMMTVDNVVDVFQLALLCDAPRLSLMSHRFILRNIKSVSFTEGWIAMRYSHPILEKHLLDSVIDENFRQQEKARKMSERSNYLELYEAMEALVHIYKEGCRTIGPHDKSLKEDQETCKYAACKGLEALIRHFAACKMRVLGGCKRCKRMWQVFELHSRLCADSDNCKVPLCRNFKEKRRMQNKKDEMKWRILVRKIVRSKSISGAPFFSVEAT >itb02g02670.t2 pep chromosome:ASM357664v1:2:1558427:1562112:1 gene:itb02g02670 transcript:itb02g02670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNWLPNRISTSSSSLLNLTTHSHHLIFAAVPTTGEISFHRSPSCYFDSIFTISFSLNKRAYPHTYRLRRNLKFAPLVPRCSSSVSNGEGKGEEEVKIGEARDALCDYLQQLGVSTEEAMEIVLAAPNYLRMLIDSVQDLDELSLWNSWTNSAAAVPHSQLTPPPPPSFRTKVYEMAQQKGDKGMLPYLESTGLTLSSATHLARYLSSSHTLPDLIRKVKYLKEVLFSHSDDERSTGKSAQRMMTHLSISIDEDVQQTLSFFEKIQARRGGLELLGSKDGSFRYLIESFPRLLLLPLETKMKPILEFLEEIGIAHGFKRQILLLFPPIIFYDVEEDIRPRLHSFLKVGATDKDFGKMLLKYPWILSASILQNYERILDFFNREKVPNASVARAIKRWPLLLGCSVDKLKLMLEQFQDLGIINKKLGKVIATSPQLLVQKPQDFLKVVCFLKDLEVDEDTIGRILVRCPELFASSIEKTLERKLGFLNDIGVSRHHFPRVIRKYPEFLVCDVDEALLPR >itb02g02670.t1 pep chromosome:ASM357664v1:2:1558427:1562112:1 gene:itb02g02670 transcript:itb02g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNWLPNRISTSSSSLLNLTTHSHHLIFAAVPTTGEISFHRSPSCYFDSIFTISFSLNKRAYPHTYRLRRNLKFAPLVPRCSSSVSNGEGKGEEEVKIGEARDALCDYLQQLGVSTEEAMEIVLAAPNYLRMLIDSVQDLDELSLWNSWTNSAAAVPHSQLTPPPPPSFRTKVYEMAQQKGDKGMLPYLESTGLTLSSATHLARYLSSSHTLPDLIRKVKYLKEVLFSHSDDERSTGKSAQRMMTHLSISIDEDVQQTLSFFEKIQARRGGLELLGSKDGSFRYLIESFPRLLLLPLETKMKPILEFLEEIGIAHGFKRQILLLFPPIIFYDVEEDIRPRLHSFLKVGATDKDFGKMLLKYPWILSASILQNYERILDFFNREKVPNASVARAIKRWPLLLGCSVDKLKLMLEQFQDLGIINKKLGKVIATSPQLLVQKPQDFLKVVCFLKDLEVDEDTIGRILVRCPELFASSIEKTLERKLGFLNDIGVSRHHFPRVIRKYPEFLVCDVDEALLPRIKYLMHAGISKRDVSFMVRRFSPLLGYSIEEVLRPKLEFLQNTMGKSINEVVDYPRYFSYSLEKKIKPRYWVLRRRNVDCKLKDMLGKNDEEFAAEFMGVERILVPLPPSH >itb02g02670.t3 pep chromosome:ASM357664v1:2:1558433:1560669:1 gene:itb02g02670 transcript:itb02g02670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNWLPNRISTSSSSLLNLTTHSHHLIFAAVPTTGEISFHRSPSCYFDSIFTISFSLNKRAYPHTYRLRRNLKFAPLVPRCSSSVSNGEGKGEEEVKIGEARDALCDYLQQLGVSTEEAMEIVLAAPNYLRMLIDSVQDLDELSLWNSWTNSAAAVPHSQLTPPPPPSFRTKVYEMAQQKGDKGMLPYLESTGLTLSSATHLARYLSSSHTLPDLIRKVKYLKEVLFSHSDDERSTGKSAQRMMTHLSISIDEDVQQTLSFFEKIQARRGGLELLGSKDGSFRYLIESFPRLLLLPLETKMKPILEFLEEIGIAHGFKRQILLLFPPIIFYDVEEDIRPRLHSFLKVGATDKDFGKMLLKYPWILSASILQNYERILDFFNREKVLDS >itb04g23810.t1 pep chromosome:ASM357664v1:4:28739162:28740156:1 gene:itb04g23810 transcript:itb04g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVIECIEEIGAGAHGISSYPYASTLCTTPQIQENHTPSAYHCGLRTSRPISCTIVCLKFVASKVIYVVVACLVVWDLLPVGTCVLPLRAGHLILQSTGNDGHGREGRLNLCHLRAPQQAAPPKQGGFTLPQSAAYPLGWTSSLRSCIILFMLFVSSLKNPCPWNL >itb05g24560.t1 pep chromosome:ASM357664v1:5:29304289:29306596:-1 gene:itb05g24560 transcript:itb05g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCTAIPSLVLLLCFQGAIAAKFTFVNNCEQTVWPGILGTPELDATGFELTKGNSRTFQAPTGWSGRFWGRTGCNFDDSGQGSCLTGDCGSGQMECNGEGAQPPATLAEFTLGAGGSQDFYDVSLVDGFNLPMMVDVSGGGSGPCAPTGCGDDLNQKCPAELRTEGGRACRSACDAFKTPQYCCSGAYGSPSTCSPSVYSQIFKSVCPKSYSYAYDDTTSTFTCTDADYIITFCPPSSSSASKKGSNSSTTVGSGSGSEPAPESGSGAGAAVGTGETAMLADGTWLASLATGDSTTIHPFTAIQFLSSIIALVVLHNLFMLL >itb09g09070.t1 pep chromosome:ASM357664v1:9:5425173:5427796:-1 gene:itb09g09070 transcript:itb09g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCAESERQALLDFKHGLVDDYDVLSSWGTDVHQRECCDWWGVHCHNNTDHVTMLDIHDPIYDNNGFPTPQLQKDSISLIHPYLEGYKVSSSLLELRHLKYLDISHVDFQGIHFPDFIGSFKGLRVLKLMYTNFFGIVPPRLGNLTDLHVLYISGPDLKIKNLEWLSLLPLLRSLYLYAIDIDTRATNVSLSPFLEKLRLPSCELHGTLPFLLNSSSSSLFSVVDLSYNSLTPPIFHLLHNASKQFTSIDLSYNNFAGPIPDTFGDMSILENFYLDGNSFTGETPKSFENLTHLQILSLGGNHLKESIVELLEKLSKDTIPEWFWNSAVNFEHMNLSYNNIGGRLSDLSTNFSTSSSLEFDLSYNNFSGSIPLFSPEILIINLSNNMFVGPISSLCSTINSIVIDLSYNQLSGEIPDCWNNSTSLFVLDLGNNHFIGKVPPSLGSIFSLQSLHLRNNHLTGELPSSLQNCTSLLVMDLGGNEFMERIPSWIGGSLSDLIILSLRHNKFYGDIPSSICHLNQIQILDLSVNELTGKISQCFNNFTHLMQDKYSRKLQNVWMFSKPLLISDWISLDNILIQWKNKEWKYRKQVELLKSIDLSSNQLIGDIPEQFSSLNGLLSLNLSNNHLIGKIFPTIYQMENLEVLDLSKNQLFGAIPIGLASLNYLAVLDLSNNSLSGKIPTGTQLQSSMLLSMPEILGCAVTHFPNVRQMLLHKTTTPTTTTTTIIKKVMIF >itb09g09070.t2 pep chromosome:ASM357664v1:9:5425173:5425925:-1 gene:itb09g09070 transcript:itb09g09070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPSWIGGSLSDLIILSLRHNKFYGDIPSSICHLNQIQILDLSVNELTGKISQCFNNFTHLMQDKYSRKLQNVWMFSKPLLISDWISLDNILIQWKNKEWKYRKQVELLKSIDLSSNQLIGDIPEQFSSLNGLLSLNLSNNHLIGKIFPTIYQMENLEVLDLSKNQLFGAIPIGLASLNYLAVLDLSNNSLSGKIPTGTQLQSSMLLSMPEILGCAVTHFPNVRQMLLHKTTTPTTTTTTIIKKVMIF >itb15g15620.t1 pep chromosome:ASM357664v1:15:14625078:14632747:-1 gene:itb15g15620 transcript:itb15g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFRSKPKSKLKVTAKHRNHPPRQPQPRGSPTEKTTHGPREQLSCAVPELKAAQGEVFRLETQAVAPGDAKAKFSSVLSASKRRRQPVDHYQLSGESATSRCSRLRIQAVQRPASLRPLPTLQVFASRTPESSRQPLQSQAAQAWSTAASSPPQQSMGKRAKSSRKGKKAWRANISTEDIEDFFDNSTKDALSGGSLADVPSESLFYVDKSRDLSAKRKIEKKRDKVLHFESLLQKNAFVQPVPSSTTKKSKKKSKDAKKAKDAAEECQKDAAAIGSGLVDIWNEKGEKIVKTKRKSVTSIIPAVEVDPPGCSFNPPSESHQDALASAVADEMQKVYRDELGPEPVPLTVPGEIVDEENMYFLDADDGSGDDDENLIEDGNLDVEKRLQKTKLVTKVEKNRRARRKEQLRTEAEAKKVENLSKEIDSLSDIIQEIAKEDEEKQKRHLRRVVAKQERLKSCPPRLGKRKFQPAPVQVLLSEEITGSLRQLKGCSTLARDRFKSLEKRGLVVPSKKTSRK >itb01g00640.t1 pep chromosome:ASM357664v1:1:288709:290133:1 gene:itb01g00640 transcript:itb01g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKDEFSLESKSTIGVEFATRTIHVADKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRQTTLENAARWLKELRDHTDSAIIIMLVGNKADLRHLRAVTVEEAKEFAEKESTFFMETSALESTNVESAFTEVLAQIHCVVSRASLEGGDSPTSLPKGQSINVGSKDDVSAVKNPGCCSA >itb08g08400.t1 pep chromosome:ASM357664v1:8:7375755:7377222:1 gene:itb08g08400 transcript:itb08g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIRRLLCLQPRHLSSIDDNATLASETAFTVNEVEALRILYEQLSRSITDDGLIHKEEFLLGLFKCSSKQNFFADRLFKLFDQKDNGVIEFGEFVRSLSVFHPQTPEADKIAFAFRLYDLKHTGYIERDELKEMVLASLTEYDFMPTEDIIEAIVDKTILEADINGDGKIDPEEWKECVARNPSLIKNMTLPTLKEITLAFPSFVMSTRVQDWELVF >itb04g22040.t1 pep chromosome:ASM357664v1:4:27226244:27227821:-1 gene:itb04g22040 transcript:itb04g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTALLLSLTVLFSLCHAVTAASCHPDDEAGLLGFKSGITSDPSGLLSSWVPGSNCCQWSGVRCETKNRVTTLALYGQEEFNQTLKGTISPALAKLKYLDTILLQYLKGLTGPFPNFLFNLPRIQTVFIVDNKLSGRIPNTIGKLTQVLLLSFEGNRFTGPIPSSVGELTQLTELNFGGNLLTGTIPDSIRQLKKLTYLSLEKNRLSGNLPDFFDSFRELIRLKLSYNRFSGKIPSSISSLSPQLQFLELGHNNLRGKIPDFLGTFQAILTIDLSGNHFSGVVPQSFLNLTTLFDLDLSHNSLEDPFPEMKVARAQTLDLSYNNFHLKDIPSFVTSSPNMFTLRLVKCGLKINLDDWKPQEYLFYNYIDLSENDITGSPVGLLNRTDYMRGFYASGNKLKFDLEKLRINVAELKELDLSRNMVFGKVPEDIAQLQKVNLSHNRLCGQLPPTNFSASAFAGNACLCGAPLPPCKAA >itb08g07440.t1 pep chromosome:ASM357664v1:8:6363868:6365054:1 gene:itb08g07440 transcript:itb08g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKVEVSENKKTDDQEENENIIQESSALRISTATQKEVVPENNKKDYQQEENIMQESSALGLSTAALHYEREISWFMGVEKIVPSSFRHMRNKDGKTPKQLFLKEHMKLKMNAEKSIRGTADSCMIVATLIATVAFSAAFTAPGGNDDQTGIPIFIKRASFTIFAISDAVAMIFSMVSILTFLSILIWRNTDDDFHLALKRLFVGFAAMGVSICGMLFAFTAAFFLVYGRAWQPILIATFVALPIASFLYLNTRLWIDVA >itb02g02120.t3 pep chromosome:ASM357664v1:2:1172476:1174984:-1 gene:itb02g02120 transcript:itb02g02120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNYLIGSSSLPLQSFTASTRKLSPPLSVTTTLGVVKAADHGFRIVAQAIPGSKSSTGKEGVKVDEKSDTYSINMTEAMGAVLTYRHELGMNYDFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQNSDLEYFDVDISAIREYANKCGDIQHLRAEIRDFDAFNLRMRLPAVVSKLHKAINENGGVTYIHCTAGLGRAPAVAVSVL >itb02g02120.t1 pep chromosome:ASM357664v1:2:1170761:1174984:-1 gene:itb02g02120 transcript:itb02g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNYLIGSSSLPLQSFTASTRKLSPPLSVTTTLGVVKAADHGFRIVAQAIPGSKSSTGKEGVKVDEKSDTYSINMTEAMGAVLTYRHELGMNYDFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQNSDLEYFDVDISAIREYANKCGDIQHLRAEIRDFDAFNLRMRLPAVVSKLHKAINENGGVTYIHCTAGLGRAPAVALSYMFWVQGYKLSEAYDLLLSKRSCCPKLDAIKSATADILTGLKKKSIILTWYGDDCLTVEISGLDIGWGQRLPLEFDEYRGLWILRKELPEGYYEYKYIVDGEWVYNRFEPVTSPNEDGHVNNCMNVFDRNSNSTSAATRKRLADADPDLTQNERLTVRQFLDTFPVED >itb02g02120.t2 pep chromosome:ASM357664v1:2:1170761:1174984:-1 gene:itb02g02120 transcript:itb02g02120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMGAVLTYRHELGMNYDFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQNSDLEYFDVDISAIREYANKCGDIQHLRAEIRDFDAFNLRMRLPAVVSKLHKAINENGGVTYIHCTAGLGRAPAVALSYMFWVQGYKLSEAYDLLLSKRSCCPKLDAIKSATADILTGLKKKSIILTWYGDDCLTVEISGLDIGWGQRLPLEFDEYRGLWILRKELPEGYYEYKYIVDGEWVYNRFEPVTSPNEDGHVNNCMNVFDRNSNSTSAATRKRLADADPDLTQNERLTVRQFLDTFPVED >itb03g30570.t1 pep chromosome:ASM357664v1:3:33073716:33076189:-1 gene:itb03g30570 transcript:itb03g30570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYTQRQRIIQGMKKQLLKSLFHNEVYYSLFSRYKKRERGKLVHSYSSHDLEGILVKKTKSAPANDVLDVAKVVEASEIQIVPDAEGRNASTP >itb03g30570.t2 pep chromosome:ASM357664v1:3:33073716:33076189:-1 gene:itb03g30570 transcript:itb03g30570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYTQRQRIIQGMKKQLLKSLFHNEDIRKEKGGSLSTHILLMILKEFLSRRQSLHQPMMFWMWQR >itb06g24120.t2 pep chromosome:ASM357664v1:6:25807949:25809350:-1 gene:itb06g24120 transcript:itb06g24120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLGLKLTRPADEFSSANFQLLKDHRSPLFQSRETITMFILTAHLRGYKRGDIKIEINEDGTVMVISGEKAVEETVMMGWRLYKKGTETLKLKKSFRIPNGVVLDKIEAKFNEDESVLTISMPKKVTGIVGSVVEGMEDPAEIPRQESAENLSEEIPQSPRKPGQDSWEDDKILDEDSPDDQEITRLQNPMEETGDEGESSGAKQEIADDEELPRSDEGWEYEEDEDQRRREEEEEEGGEKCSILCAPIVAGSALLLSFVVFVIQCIRTKRPTPQNNVE >itb06g24120.t1 pep chromosome:ASM357664v1:6:25807832:25810796:-1 gene:itb06g24120 transcript:itb06g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLGLKLTRPADEFSSANFQLLKDHRSPLFQSRETITMFILTAHLRGYKRGDIKIEINEDGTVMVISGEKAVEETVMMGWRLYKKGTETLKLKKSFRIPNGVVLDKIEAKFNEDESVLTISMPKKVTGIVGSVVEGMEDPAEIPRQESAENLSEEIPQSPRKPGQDSWEDDKILDEDSPDDQEITRLQNPMEETGDEGESSGAKQEIADDEELPRSDEGWEYEEDEDQRRREEEEEEGGEKCSILCAPIVAGSALLLSFVVFVIQCIRTKRPTPQNNVE >itb10g25320.t1 pep chromosome:ASM357664v1:10:28695357:28695698:1 gene:itb10g25320 transcript:itb10g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRNERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb04g11680.t1 pep chromosome:ASM357664v1:4:11359200:11360848:1 gene:itb04g11680 transcript:itb04g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKALFFFRLLLLINLLTLSFCDDVAVMSKLLAALSPAPSGWNASKDPCTWNNVICDNSTGIVSINLYSKSISGKLPSEITQLASLRTFSVQKNSLSGTLPSFANMSSLQELYLDSNEFSSIPQDFLLGLPNLRTFSISDNGNLSPWQIPSYLAENTNLEFFYASNAGITGVIPNFFDSFPNLQNLILSYNNLTGSLPGSFGSSKIQNLWLNSQQQPLSGTIDVLSSMTQLSQVWLHENAFTGPIPDLSKCVNLFNLQLRDNQLTGVVPVSITALQNLANITLQNNNLQGPIPDFGNNVKINVVGNSFCKDTPGPCDPQGNVITVTLRNQGFSGTISPALANLTSLRNLYLNDNNLTGPIPESLTTLPNLQVLQVSNNNLSGPIPVFPPSVMFSHGGNLFLGNPLSGNSDAPIPSDNPGGGSPVSGLNLDASILSHNSNGVSISVAMIVGAVITVIVGVVVFFVSCKCYMKRQHKMKVSVKGTAVLTEIKKLDIVKCVGERRIWSGIFG >itb01g11180.t2 pep chromosome:ASM357664v1:1:9850923:9856628:-1 gene:itb01g11180 transcript:itb01g11180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAAEHSGAPRLEQITSQVVEKEEKTAGFGEASQGSNMYRGESFQENVSGTEALDKGYMKYGCSHYQRRCKIRAPCCNEIFDCRHCHNEAKNNIDVDKNLRHDIHRHQVKWVICSLCSTEQEAQQVCINCGVCMGRYFCETCKLFDDDTSKRQYHCGGCGICRIGGEENFFHCSKCGCCYSIILKNSHPCIEGAMHHDCPICFEYLFESRNDVTVLPCGHTLHKNCLKEMQEHYQYTCPICSKSVCDMSKVWEKFDAEIAATPMPESYRNEKVWILCNDCGTTSEVQFHIVARKCPSCMSYNTRQTRGR >itb01g11180.t1 pep chromosome:ASM357664v1:1:9850923:9856914:-1 gene:itb01g11180 transcript:itb01g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAAEHSGAPRLEQITSQVVEKEEKTAGFGEASQGSNMYRGESFQENVSGTEALDKGYMKYGCSHYQRRCKIRAPCCNEIFDCRHCHNEAKNNIDVDKNLRHDIHRHQVKWVICSLCSTEQEAQQVCINCGVCMGRYFCETCKLFDDDTSKRQYHCGGCGICRIGGEENFFHCSKCGCCYSIILKNSHPCIEGAMHHDCPICFEYLFESRNDVTVLPCGHTLHKNCLKEMQEHYQYTCPICSKSVCDMSKVWEKFDAEIAATPMPESYRNEKVWILCNDCGTTSEVQFHIVARKCPSCMSYNTRQTRGR >itb05g11640.t1 pep chromosome:ASM357664v1:5:17779494:17783825:-1 gene:itb05g11640 transcript:itb05g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQWVFALSTLFLHFSTFVSSDSLLRKEGSAKIRLNFLESAKSPELFDWMVKIRRAIHENPELGYEEFETSRLIRDELDKMGITYKHPFAVTGIVGSIGTGKPPFVAIRADMDALPIEEKVEWEHKSKIQGKMHACGHDAHVAMLLGAAKLLQKHSNKLQGTILLVFQPAEEGGGGAKEMVDAGILEDVDAIFGLHVSSLYPVGTVAIRSGPILAGSGFFDAVISGKGGHAAIPQNAIDPILAASSIIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKHNLFQLKQRIEEVITRQAGVQRCNASVKFSEKSFYPPTVNDEALHHHFWSVAERLLGAKNIVEKKPLMGAEDFSFFAEAVPGYFYFVGMANETRGENVFSSHSPFFELNEDVLPYGAALQASVAATYLEEYHRKSTTQEEGHFHDEL >itb04g03570.t1 pep chromosome:ASM357664v1:4:2198768:2203224:1 gene:itb04g03570 transcript:itb04g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMESLIGLVNRIQRACTALGDHGGGDNAFSSLWDALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLQKIDEGQEEYAEFGHLPRRRFTDFSLVRKEIQDETDRITGKTKQISPVPIHLSIYSPYVVNLTLIDLPGLTKVAVEGQPESVVKDIEDMVRSYVEKPNCIILAISPANQDIATSDAIKLSREVDPAGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMLAARRREREYFATSPDYSHLASKMGSEYLAKLLSMHLESVIRGRIPSITSLINKSIEELESEMDHLGRPIAVDGAAQLYTILELCRAFDKIFKEHLDGGRAGGDRIYGVFDNQLPAAFRKLPFDRHLSIQNVRKVVSQADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQAAIAAASNESLEKFREESRKTVARLVEMEASYLTVDFFRRLPQEMEKGANPAAPAAADRRGGNPAPPPPVAIDRYSEAHFRRIGSNVSSYVNMVSDTLKNTIPKAVVYCQVKEAKQSLLNHFYIQIGKKEADALGELLDEDPALMEKRLQCAKRLELYKKARGEVESVSWAR >itb05g13430.t1 pep chromosome:ASM357664v1:5:20413846:20414806:1 gene:itb05g13430 transcript:itb05g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHITPCITALSCLLLLLSSFLQCQAQLSPTFYDKTYPNVLNIIRTVVRQAVSRERRMAASLIRLHFHDCFVQGCDASILFDESLTIKSEKTTLPNFGSARAYDVIDAAKGELEKVCPGIVSCADVLSVAARDATVAVGGPSWTVKLGRRDSTMASRTIDLPSPFDNLDRLISSFASKGLNTRDMVALRNCPKDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYARSPQAFQVDFASAMIKMSEIQPLTGQNGIIRKVCGALN >itb13g00210.t1 pep chromosome:ASM357664v1:13:170904:171242:1 gene:itb13g00210 transcript:itb13g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRVVEAREAVHEIRGGEGRSGQGMTLATAVAATEGGGGEEKVDEDEGGEAKEEEEEGEYEGHDDAFEEDEKELSWAATRVSLRREALPVIVVRYDWHVVAVDLQSTANG >itb06g11330.t1 pep chromosome:ASM357664v1:6:15923121:15927176:-1 gene:itb06g11330 transcript:itb06g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEIHANDSATDDDRSRNLQDLDRAALSRSLDETQQSWLLGPTEQKKKRYVDLGCIIVSRKVFKWTVGSVLAAAVLVGLIVLIVKTVPRHRRPNPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCMRDGKSDDATIFKDLVGGYYDAGDAIKFNFPQSFALTMLSWSVIEYSAKYEAAGELNHVKDIIKWGTDYLLKTFNSSADTIDRVVAQVGSGVTADGSTTPNDHYCWMRPEDIDYDRPVFECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFKFSRDQRGRYSAGGTEAAIFYNSTNYYDEFVWGATWLYYATGNSSYLQLATTPGIAKHAGAFWGGKYYGVMSWDSKLPGAQVLLSRLRLFLSPGYPYEEILSTFHNQTSIVMCSYLPLFTSFNFTKGGLIQLNYGAPQPLQYVANAAFLAALFSDYLAAADAPGWYCGPNFYSVDVLRNFAESQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKVRYNCKGGWKWRDSKKPNPNILVGAMVAGPDVHDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGERSTRIDKNTIFSAVPPMFPTPPPPPAPWKP >itb12g24070.t2 pep chromosome:ASM357664v1:12:25729719:25733221:-1 gene:itb12g24070 transcript:itb12g24070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVSMKNASFSYQCSLPFGNKLISSVNKNRRLVASCAKVSETNVSAISNGTILTDSDQKKPAEKNPPFKATFPDGFEALITEVCDETEVAELKLKVGDFEMHLKRSIEVPVAQAPVISHTPPPPPTPSKPVVESSPVPPPTPSKNTNPFINVSADKSAKLAALEASGSSAYVLVSSPTVGTFRRSRTLKGKKLPPACKEGDVIKEGQTVGFLDQFSTEFPVKSDVDGEVLKILYNDGDAVGYGDPLMAVLPSFHDINIH >itb12g24070.t3 pep chromosome:ASM357664v1:12:25729719:25733221:-1 gene:itb12g24070 transcript:itb12g24070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVSMKNASFSYQCSLPFGNKLISSVNKNRRLVASCAKVSETNVSAISNDSDQKKPAEKNPPFKATFPDGFEALITEVCDETEVAELKLKVGDFEMHLKRSIEVPVAQAPVISHTPPPPPTPSKPVVESSPVPPPTPSKNTNPFINVSADKSAKLAALEASGSSAYVLVSSPTVGTFRRSRTLKGKKLPPACKEGDVIKEGQTVGFLDQFSTEFPVKMLLVMVIHSWLFCRHFMTSTSTEASVMLLV >itb12g24070.t1 pep chromosome:ASM357664v1:12:25729719:25733221:-1 gene:itb12g24070 transcript:itb12g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVSMKNASFSYQCSLPFGNKLISSVNKNRRLVASCAKVSETNVSAISNDSDQKKPAEKNPPFKATFPDGFEALITEVCDETEVAELKLKVGDFEMHLKRSIEVPVAQAPVISHTPPPPPTPSKPVVESSPVPPPTPSKNTNPFINVSADKSAKLAALEASGSSAYVLVSSPTVGTFRRSRTLKGKKLPPACKEGDVIKEGQTVGFLDQFSTEFPVKSDVDGEVLKILYNDGDAVGYGDPLMAVLPSFHDINIH >itb01g30750.t1 pep chromosome:ASM357664v1:1:34649594:34650746:-1 gene:itb01g30750 transcript:itb01g30750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTEVRKSSNREVMKSSNTKTSKSKPRKLQDIAPPELRSPGGTTNSPAYKRPQIPNYIHMDEEENHRCK >itb10g14210.t1 pep chromosome:ASM357664v1:10:20538825:20542898:1 gene:itb10g14210 transcript:itb10g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVTSMVWMDGKEEDQTGSWAHNNNGGAGAGGGGLAAKEEMEMATIKSMLEAEEVEWYMANNHHGHNNGAPMQGHGGISFSTNFSEPDNNLILHPVDSSSSCSPSSASVFNALDPSQVHYFLPHKAAMMSHPLDQGGFDLGCESGFLETQALSGLSRGGGVLGGGFGDLSCQNFLGAPNLSSVPQFGSTHLLQLPHNGGGGGFGPLGFGEGCVNLNVNENENENALFLNRSKLLKPLDNFASIGAQPTLFQKRAALRKNLGNSSGNLALLGGEIGHTDSSFDKKSEVNERKRKGSNGGDELEDVSIDGSNLNYDSDELVENSGKVDESVKNGVISSSNATGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLHNELESTPPCSALTPNSSFYPLTPTASALPCRIKEEISPTAFASPLSSPTGQPARVEVRVREGRAVNIHMFCSRKPGLLLSTMKALDNLGIDIQQAVISCFNGFALDIFRAEQCKEGQDIHPDQIKAVLMDSASFNGMI >itb15g06120.t1 pep chromosome:ASM357664v1:15:4007171:4010782:-1 gene:itb15g06120 transcript:itb15g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLFVVLILSCLLLALVQVSGQIEVLLSCGGPNRSTDEYGREWSTDIGSNFLMSSGKSFTSPADSQKPSVPQVPCTNARVFQSEFTYSFPVSSGRKFLRLYFYPAVYNKLNPYNGIFSVRVGQYTLLRNFSAAQTTEALNFDYMIKEFSIYVPSGALNVTFTPSGNYSNSFAFVNGIEVVAHPDIYNTDDGSALIVGQSSSLFYIGNTTALEGVYRLNIGGNVISPSSDTGMFRSWDEDSKYIFGGDGVTETADDYNLTIPPTSIAPVDVYKTLRSMGPNSSVNVNSNLTWFFPVDSGFFYLVRLHFCEVTNIITKQNQRAFHVYLNNQTTEPDADVIAWAGKNGVATHHDYVVFFPVGAPQVDLWLALHPKAGSEYLNAILNGLEIFKVNTSDGNLAGPNPIPAPKQTEIDPFNPSSLSGRSKNRTAAIAGGVGGGIGAVLLIGLAIYFASRRPRQKTDSSPNDRPSGWLPLSLYGDSHSSASEKTHTTGSYASSLPSNLCRHFSFAEIKAATKNFDEALVLGVGGFGKVYRGEIDSGTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPALDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPVLIPTLPKEQVSLAEWALHCHTKGILDQIMDPYLKGKIAPECFKKVAETAVKCVSDVGTDRPSMGDVLWNLEFALQLQESSEGSGNGLGGMDVEDSFNATYEAKRDPNASPGFDVSTTDSRSSGMTMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >itb06g01760.t1 pep chromosome:ASM357664v1:6:3037877:3038586:-1 gene:itb06g01760 transcript:itb06g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLFIHLRTQAGARHNTSHLQANSLCLFSLSLPRFLSLLYTLLGFSVASGLGFSAFQQGKHSILSGIDE >itb05g25750.t1 pep chromosome:ASM357664v1:5:29989830:29994909:1 gene:itb05g25750 transcript:itb05g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQTLASKNRLLLRGVGRRFFAASPEEYAKRNYANNDSEYNTVITSITAQRRHYLLRDVYDDMMLDGVKPERDTFHSLIVGTMKGARLQDALFFRDEMKAMGLVPDVALYNFLISTCGKCKNSDQAIRVLEEMKRSEVKPSGQTYVCLLNACAAEGRLDRVYAIVRDMTAAAVGLNKYCYAGLIAAHKNQEPLADDVASKIIELVEQSKSWSEVEAPRDIPQRFMTITSEEELYNIPTAEFVNRRAFLNKQLTVYHVAFHACADLKNVEAIDTLRQMLEKDDKTPDVFILMQLMRCYLHTGDLDRGHKIFEDYINSGRPPIIELYVTLIEGAMVGYTTRGMQIAQDTLLAMNQRNFVLSAKMGTDLLLAAAGEKSGGYTVANLIWDMMVGRNINISLHAVEAYYQGLKDREIPADDPRLMQVEKSYKDLKRRFGRTF >itb09g31000.t2 pep chromosome:ASM357664v1:9:31527385:31533932:1 gene:itb09g31000 transcript:itb09g31000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALCTSFLIIKRSPFSASIILIGLLSFYDLLDKNQIMAIGKEVLVVGYLMKPSREEDFAKRGAFPLKPTQNGLIFVPLNYELPISSQIENIDGVLHKATDEIIAAEMSSSSDFANRVVFTKGMQELQRCTECHPNCSVIDPFNNIHSIMDRLKIQQLLLGLENLNSEGHPKIRGPHFLKLNSFGESQLEKRLADAKLCLPTIVKPQVACGVADAHSMAIVFKVDDFKDLNVPLPAVVQEYVDHSSTLYKFYVLGKKVFYAVKKSTPNADTLMKLCDRNGFKPLLFDSLKSLPIDKENAHSGDTSDKGIDLQLVTDAANWLKRTLDLTILGFDVVIQEGTGDHVVVDVNYLPSFKEVPDDIAIPAFWEAIRDKLDLNSTSAS >itb09g31000.t1 pep chromosome:ASM357664v1:9:31526928:31534064:1 gene:itb09g31000 transcript:itb09g31000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVKGIVLDASALLAATVDGDGNGSSASLRPGAEYLLRKLRYSKIPTGISYAEGLSALEVNLLQDKAKLYSFDCFVLKSSTTDDLVQETSLVWGDNEGSFMYIISDYKKEPFLSLNNTNWVTVILRSPGQESDNDVKCATRSEIPSTVFINKLEEVPFTICLFNKKAIGKEVLVVGYLMKPSREEDFAKRGAFPLKPTQNGLIFVPLNYELPISSQIENIDGVLHKATDEIIAAEMSSSSDFANRVVFTKGMQELQRCTECHPNCSVIDPFNNIHSIMDRLKIQQLLLGLENLNSEGHPKIRGPHFLKLNSFGESQLEKRLADAKLCLPTIVKPQVACGVADAHSMAIVFKVDDFKDLNVPLPAVVQEYVDHSSTLYKFYVLGKKVFYAVKKSTPNADTLMKLCDRNGFKPLLFDSLKSLPIDKENAHSGDTSDKGIDLQLVTDAANWLKRTLDLTILGFDVVIQEGTGDHVVVDVNYLPSFKEVPDDIAIPAFWEAIRDKLDLNSTSAS >itb07g21400.t1 pep chromosome:ASM357664v1:7:25788603:25789367:1 gene:itb07g21400 transcript:itb07g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEMDLAKAAEQTKRRRGKVSRSAMKKNKTTGYKHYSSNNNHAPEFPHLQTHHTHNDTIPSLCTDPVNNNVAASAVQISSTPPILMDKEDIDTSSFLCMAGEYFSLDDIMPVLEEEMDPTGTILSTSLNGSLENSVKEFGLQVVQSQHDLAKSGNSSVDIYSGLIPNDHHQFGGENNESTATSSSFPVEHHCSLAQNISDWDDWQYYWDDSGNNLCNIQNLMPQQNEDDVMLSSPWPWDDTFYDIMHGNAGE >itb07g03330.t1 pep chromosome:ASM357664v1:7:2249281:2250503:-1 gene:itb07g03330 transcript:itb07g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNIVCFGEMLIDFVPDTAGVSLAESKGFLKAPGGAPANVACAITKLGGASAFIGKVGDDEFGRMLVDILKKNGVNSEGVCFDKDARTALAFVTLKSNGEREFMFYRNPSADMLLKEAELNTALIKAAKIFHYGSISLISEPVRSAHLAAMKAAKAAGVLLSYDPNVRLPLWPSEDAARSGIMSIWKEPDFIKVSDDEVQFLTQKDPAKEESVMSLWHDNLKLLIVTDGPKGCRYFTKSFKGSVGGLAVKTVDTTGAGDSFVGSLLVSIAKDPSVVQDEGKLKEALKFANACGALCTTQKGAIPALPTPAEAQALIASAK >itb11g02570.t1 pep chromosome:ASM357664v1:11:1313042:1317161:-1 gene:itb11g02570 transcript:itb11g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVSSIITAGVYSVATPFHPFGGAVDIIAVKQQDGSFRCTPWYVRFGKFQGVLKRSEKVVRIQVNGVEADFHMYLDNSGEAYFVREADADKESESNRGLIVSDEGPREDNGNDGDYRQKGVLHRHETNESIDSELERQDERIASSVAHLDRTESGLYEFYDVQSSLEDSINLSEYGSGHYENLDTVEHILESQSSSPEVVLVSVDGHILTAPISSSDNTENVELSMPRFHLGPGESADFCKGNAKFSTGKAKRATDCSGDTETSVRSVGFEDDSNINNESSPSQHQTEVYQLQETFVIENRDTKFHRNDSLESTSSDIKNDDVFKSCLELSELALEIGNKPNQKDTLSSLEMQESFGGSEEKSHFSPLTIKETEDGSDKFGNDNVLPPGSDLSSSSPFPSVLVEVENLVESTSKIDLTESDTPQIEGTIVVKELQSVQQGSDKCDQSENSEPHARNSVADAHGGLEISRCGNLLHPGMGSSAAAEVFKAHRISEEEYKTDAESIIKNKDLVVRIRGKYLPWERAASIVLGMAAYNLELPIESTDVIPVERDEGPRTREDDSGFSGTPSGRRWRLWPNPFRRVKSLEHTSSGNSTTEDASVDSECTLQSQAVEPNATPRGGKESPHKQFVRTNIPTSDQIASLNLKEGQNTVSFIFSTRVLGEQKVEAHMYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTHSGIARLYSAIKENGYQLLFLSARAIVQAYLTRSFLFNLKQDGQTLPNGPVVISPDGLFPSLYREVIKRAPHEFKIACLQDIKALFPPDYNPFYAGFGNRDTDELSYRRIGIPKGKIFIINPKGEVAISHRLDVKSYTSLHTLVNDMFPPTSMIGQEDFNDWNHWKMPLPEINGRS >itb11g02570.t2 pep chromosome:ASM357664v1:11:1313042:1317161:-1 gene:itb11g02570 transcript:itb11g02570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRVSSIITAGVYSVATPFHPFGGAVDIIAVKQQDGSFRCTPWYVRFGKFQGVLKRSEKVVRIQVNGVEADFHMYLDNSGEAYFVREADADKESESNRGLIVSDEGPREDNGNDGDYRQKGVLHRHETNESIDSELERQDERIASSVAHLDRTESGLYEFYDVQSSLEDSINLSEYGSGHYENLDTVEHILESQSSSPEVVLVSVDGHILTAPISSSDNTENVELSMPRFHLGPGESADFCKGNAKFSTGKAKRATDCSGDTETSVRSVGFEDDSNINNESSPSQHQTEVYQLQETFVIENRDTKFHRNDSLESTSSDIKNDDVFKSCLELSELALEIGNKPNQKDTLSSLEMQESFGGSEEKSHFSPLTIKETEDGSDKFGNDNVLPPGSDLSSSSPFPSVLVEVENLVESTSKIDLTESDTPQIEGTIVVKELQSVQQGSDKCDQSENSEPHARNSVADAHGGNNRRLEISRCGNLLHPGMGSSAAAEVFKAHRISEEEYKTDAESIIKNKDLVVRIRGKYLPWERAASIVLGMAAYNLELPIESTDVIPVERDEGPRTREDDSGFSGTPSGRRWRLWPNPFRRVKSLEHTSSGNSTTEDASVDSECTLQSQAVEPNATPRGGKESPHKQFVRTNIPTSDQIASLNLKEGQNTVSFIFSTRVLGEQKVEAHMYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTHSGIARLYSAIKENGYQLLFLSARAIVQAYLTRSFLFNLKQDGQTLPNGPVVISPDGLFPSLYREVIKRAPHEFKIACLQDIKALFPPDYNPFYAGFGNRDTDELSYRRIGIPKGKIFIINPKGEVAISHRLDVKSYTSLHTLVNDMFPPTSMIGQEDFNDWNHWKMPLPEINGRS >itb01g33170.t1 pep chromosome:ASM357664v1:1:36383664:36385117:1 gene:itb01g33170 transcript:itb01g33170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEELILDLELHKQHIKQKQVFILAGQSNMLGRGGVTKTTSYSGEQIKVWDGVVPPESHPNPAVFRLDGELRWELAREPLSHGIYCKITCGVGIGMAFANRLLEMDPNFGVVGLVPSAAGGTSITNWSGDSFNKPYKVLAKRAKFAVKSGGNLRAIFWYQGETDTRWSRNAKNYKIHLQTFIHKIRNDLGLPTLPFYQVIIPRLKKPFQGPYVEEVRKAQMETNATNLIKIEAEGLPIGADGVHLTTEGYIQLGHILADTFLKTNSKLLRNETVVSIYNSSDYKVASYSSYAGFYTLALRLMAKWLPRTSI >itb03g20800.t2 pep chromosome:ASM357664v1:3:18641804:18643940:-1 gene:itb03g20800 transcript:itb03g20800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLKNFDVEKLMLWSNDLVEVLKDGEDVNSLKQLLEQSNSLQSQCNTDFNETQRSIEDYEKKVDMCKRKTLEAKSEASTDAEIESLQKDLEEELQRESLLREELRVIAEEMNDLEHQRFSIEEQGKSLKQLERDDSRAEMKLSLFASVTNIIPSLDDQSKISGCILIEKLMFMFIYFSFISCHVAVLIRTVRHLLQLDSPSLNTDIVQRDKNVVEDFDFDPKDMSEFETCNRIWKMINLDTL >itb03g20800.t1 pep chromosome:ASM357664v1:3:18641804:18643946:-1 gene:itb03g20800 transcript:itb03g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLKNFDVEKLMLWSNDLVEVLKDGEDVNSLKQLLEQSNSLQSQCNTDFNETQRSIEDYEKKVDMCKRKTLEAKSEASTDAEIESLQKDLEEELQRESLLREELRVIAEEMNDLEHQRFSIEEQGKSLKQLERDDSRAEMKLSLFASVTNIIPSLDDQSKISGYIVQRDKNVVEDFDFDPKDMSEFETCNRIWKMINLDTL >itb15g05660.t2 pep chromosome:ASM357664v1:15:3655623:3664166:1 gene:itb15g05660 transcript:itb15g05660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMDVSSEDDPQKAEVLRKLTVAGGPLPSSIAKLSASSRGLPAEKDFHFYNNFPEFKSPAKTTDKKSKEILEKVGTLSELWGKAMPLPDDSDDEYDWLVNVNDDVLERLDASLDEFQASRKIEKGVSVRMELDGGFQVVLGRKNKKLASASGSGKIGVERSEEKVADGVKVAAKPKPKVPFHIPTIPRPQDEYKIVVNNSNQPFEHVWLQRSDDGSRFIHPLEKLSVLDFVDGAGTIDPIEPPPIESTPFKLVEQVKDLKELAAKLRKVDEFAVDLEHNQYRSFQGLTCLMQISTRSEDFVVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLKLARNSLEYLLHHYCGVAAKKEYQNAEWRLRPLPIEMVRYAREDTHYLLYIYDVMRKQLLELPTDTESSDSPLVEVYKRSYDICMQMYEKELLTDSSYLHIYGLLGAGLNAQQLAIVAGLCEWRDAIARAEDESTGYILPNRTLIEIAKQMPLAGNKLRRFVKSKHPYVEHNLSAVISIIRHSIQNSAAYEAAAKQLKERHMELVSQENTQADTEGAEAPESPEQLKMSTETEDTNISVELDNSTHGSSVAGKQQKNRYLEPGNCTTKVSPSSRDHTNEHRCENENVKVTTSKQAEVIVPALRKPSRGLGMLLGCSAKRKLDSDRRDQDEMKLEQIKSSLNLRFQTFSERSEQLHQAVQEPAQPLESSHQKEAVDVPVTTSNLEDIIVLDDDDDDMEEPGNEESEAPKSSHHEEEPVAEPVTTHCEDVIVLDDEDSDNAEEPVKGDSEAANVQPLQTGNGDSASSANIEEGDGSVSLSDLSSSFQKCFQSINESRKATLAEKSKAQEVNLQVQPFDYEEARNQVDFGQDAVGRKADKTNKTKTVPGDPLNKEVTNEFQQGKRRQAFPATGNRSYTFR >itb15g05660.t1 pep chromosome:ASM357664v1:15:3655623:3664166:1 gene:itb15g05660 transcript:itb15g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMDVSSEDDPQKAEVLRKLTVAGGPLPSSIAKLSASSRGLPAEKDFHFYNNFPEFKSPAKTTDKKSKEILEKVGTLSELWGKAMPLPDDSDDEYDWLVNVNDDVLERLDASLDEFQASRKIEKGVSVRMELDGGFQVVLGRKNKKLASASGSGKIGVERSEEKVADGVKVAAKPKPKVPFHIPTIPRPQDEYKIVVNNSNQPFEHVWLQRSDDGSRFIHPLEKLSVLDFVDGAGTIDPIEPPPIESTPFKLVEQVKDLKELAAKLRKVDEFAVDLEHNQYRSFQGLTCLMQISTRSEDFVVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLKLARNSLEYLLHHYCGVAAKKEYQNAEWRLRPLPIEMVRYAREDTHYLLYIYDVMRKQLLELPTDTESSDSPLVEVYKRSYDICMQMYEKELLTDSSYLHIYGLLGAGLNAQQLAIVAGLCEWRDAIARAEDESTGYILPNRTLIEIAKQMPLAGNKLRRFVKSKHPYVEHNLSAVISIIRHSIQNSAAYEAAAKQLKERHMELVSQENTQADTEGAEAPESPEQLKMSTETEDTNISVELDNSTHGSSVAGKQQKNRYLEPGNCTTKVSPSSRDHTNEHRCENENVKVTTSKQAEVIVPALRKPSRGLGMLLGCSAKRKLDSDRRDQDEMKLEQIKSSLNLRFQTFSERSEQLHQAVQEPAQPLESSHQKEAVDVPVTTSNLEDIIVLDDDDDDMEEPGNEESEAPKSSHHEEEPVAEPVTTHCEDVIVLDDEDSDNAEEPVKGDSEAANVQPLQTGNGDSASSANIEEGDGFSPSTMKKQGTK >itb03g14990.t1 pep chromosome:ASM357664v1:3:14486463:14487565:-1 gene:itb03g14990 transcript:itb03g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLNPNAPIFVPSAYRAVEDFSDQWWDLIRSSPWFRDYWLRECYADVDDFDFDFAGFDFDFSGLDSDPFGDGAVVDSGNTDQEETKTDLVTLGALKWQKPRVAAEIPKYGQKAPKIVNVKVSPRPIQQPR >itb05g21710.t2 pep chromosome:ASM357664v1:5:27368750:27374365:1 gene:itb05g21710 transcript:itb05g21710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MELHSICSASSACNVLSWVQRRVFLWEKNYRYRSGIRNISILLNAKLEKGYSLESVRGRINCLNKPESDGSSPPQTIGSGAKVIYAAAPALGHNKESHPECNSRVPAILNALEKMELTSKHRGSDIIELQTFRPATIDDITSVHARAYVSGLEKAMDQASEEGLIFIDGSGPTYATSTTFQESLMAAGAGISVLDSVVAASRVSQDPPVGFALIRPPGHHAIPKGAMGFCVFGNIAIAARYAQRVHGLKRVFIIDFDVHHGNGTNDAFYEDPDVFFLSTHQDGSYPGTGKIDQIGAGQGEGSTLNLPLPGGSGDTAMRKVFDDVIVPCAQRFKPDIILVSAGYDAHMLDPLAHLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSHSVADSFRAFLGEPSLATMVDDLSFLYEEPSTKIKQAIQKIKHIHSL >itb05g21710.t1 pep chromosome:ASM357664v1:5:27368750:27374365:1 gene:itb05g21710 transcript:itb05g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MELHSICSASSACNVLSWVQRRVFLWEKNYRYRSGIRNISILLNAKLEKGYSLESVRGRINCLNKPESDGSSPPQTIGSGAKVIYAAAPALGHNKESHPECNSRVPAILNALEKMELTSKHRGSDIIELQTFRPATIDDITSVHARAYVSGLEKAMDQASEEGLIFIDGSGPTYATSTTFQESLMAAGAGISVLDSVVAASRVSQDPPVGFALIRPPGHHAIPKGAMGFCVFGNIAIAARYAQRVHGLKRVFIIDFDVHHGNGTNDAFYEDPDVFFLSTHQDGSYPGTGKIDQIGAGQGEGSTLNLPLPGGSGDTAMRKVFDDVIVPCAQRFKPDIILVSAGYDAHMLDPLAHLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSHSVADSFRAFLGEPSLATMVDDLSFLYEEPSTKIKQAIQKIKHIHSL >itb08g00790.t1 pep chromosome:ASM357664v1:8:597955:603457:1 gene:itb08g00790 transcript:itb08g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRFLRNLFLIFTVVLLAVFTLQRLPSTPSNGADLLDCATNSPWCTFKNRFQAKTNGLLKNPPKSTRRRHDAASDVPRHPLDPLTVQELNKVRDVMKSYPPFTATPYALHYITLDEPEKRVVSGWKTGDPLPTRKASVVARAAGVSHELSVDLETGEVSVLNTGRHSGSPMLTLEDLTTATAAPLANAKFNRTIRERGVDIADLACLPVSSGWYEREMEDKRRLIKIQCYTMKGTANFYMRPIEGLTVLIDLDTKEVIEISDQGKTIPIPNAANTDYRYSAQNEKVKFINPISIEQPMGPSFTVEGHLVKWANWEFHLKPDSRAGVIISRAMVRDPGTGEMRSVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDAVFSAADGTPYVRSNMICVFESYAGDIGWRHSECPITGMDIREARPKVTLVVRMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKATPYVNMNQVNQPEDYLYGTLLSENVIGVIHDHYVTFHLDMDMDGANNSFVKVNLQNHRTSPGESPRRSYLKAVRSVAKTEKDAQIKLKLYDPSEFHVINPNKMTRVGNPVGYKIVPAGTAASLLDHDDPPQMRGAFTDNQIWVTPYNRSEQWAGGLYVYQSHGDDTLAVWSERDREIENKDIVLWYTLGFHHIPCQEDFPIMPTVTSSFDLKPVNFFESNPILGIPPNQEKDLPVCKAAASSA >itb04g20620.t1 pep chromosome:ASM357664v1:4:25477069:25477899:-1 gene:itb04g20620 transcript:itb04g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGYSRIRSKSIDFADPSQTAKQLPPEPKSTSKTHSSTQEQEQEDGGWEIFGVILERSRSVSSALPSTHKPEKQRALLLHSAAIKRTFSMRRSSSMSGGYYCRIHHQSAGCIAENDENLQRRSVKRRGNILKACMRLLGL >itb01g04400.t1 pep chromosome:ASM357664v1:1:2972182:2975042:1 gene:itb01g04400 transcript:itb01g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMVIWFCLFMSLITKGDSASALRYYCQNSTSYTPNSTYKANLDTLLSNLFSNGTRNNNGYYQTTVGGGASNDTVYGLFLCRGDASPEVCGGCVGDARERILELCSDGKTAMIWYDNCILRYSEESMLGILDQSTWFTMRNRDNDTQPNGYMQLVGNVLDQITTQASSGAGKKFAVLEANFSVFERVYALGQCTPYLSNSDCQICFRNAIAMLPRCCYGAVGARAVYPSCNVRYELYPFYNLSAVAPPAPPPTNPPPPPPTMLPNSASSKGNKGKVVIIIAASIAPLTGILLFVLCFCFLKKRRANKDLSHVKETTNDMSEISIEESVQFEFSTIEAITNCFSPNNKIGEGGYGAVYKGRLPTGQEVAVKRLSKSSSQGVEEFKNEVSLVAKLQHRNLVRVLGFCLEGEEKILIYEFVPNKSLDYFLFDPEKKKLLNWSTRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGDMNSKISDFGLARIVMVDQTQANTNRVIGTYGYMPPEYALYGLFSVKSDVFSFGVLLLEIITGKKNNSLSMQSSAEFGAQDLLSYAWKHWRDDRALEVVDQSLGGLYSRNEVIQCIRVGLLCVQEEVEDRPTMANVVLMLNSHSATWRSPNQPAFFNGGREMNLGGQEGDLSASKSLPLSVNEASISELDPR >itb13g21000.t1 pep chromosome:ASM357664v1:13:27672686:27673750:1 gene:itb13g21000 transcript:itb13g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASIARRSRNLYYNSSKYYAFSLSRGFASGSDEENDVVVIGGGPGGYVAAIKAAHFGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATRSFAKHGVKCSSVELDLPAMMAQKDEAVSDLTKGIEGLFKKNKVNYVKGYGKFLSPSEIKVFLG >itb09g02290.t1 pep chromosome:ASM357664v1:9:1340095:1344378:1 gene:itb09g02290 transcript:itb09g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSSEVPNPQPVTNGTVDSVPPNPAHDGPSKLSSWAKNLKIPQPFVGPQGEETSGNVAKSPFARFTSGLGLSLSPKSPKDDNSEGTATTSPSGFIGTFRKGLVDSSKNAVKAVSIKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPVQLILSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCIDGKALVLPSQIRYVKYFERILTGFNGENQPGRRCMLRGFRLHRCPYWIRPSITVSDHNGVLFSTKKHPRTKDISPEEYWFSAPKKGIMVFALPGEPGLTELAGDFKVHFHDKQGDFYCWLNTTMIENRKILNTADLDGFDKRKLPSPGFLVEAVLADYDAAFPATPQPEATGVKSADNSSSKTTSSPSPGSADESAADSNQQSASNKKDDVFSDNEAEESGKRKVTAPSEGVDAEVSGSGTNTGSTQISSLTHKVEQISMEEPGAKHNEPKKDAAVGAAPGSDTPSLAEVSDFKAMAADASVFTFGDEEDYESE >itb01g12710.t1 pep chromosome:ASM357664v1:1:12314546:12315409:1 gene:itb01g12710 transcript:itb01g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTATWKPNVEISPTCPRCGSMNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKTRRAKSSSIRVVSNHRRGGVFGISAADNNNPAGSTTSPASAAAGTNGPAPNIDLAAVYANFMNPNPNPQPQPAHIPETTLANNDDGGGGGAGPSFEFSGYPAMLNVDYFVPEAAMAPQDGGFVVDEFGNNNNSAAALFQEQFCGESLPPMLPPHEELTASEGWPTHNSDMNMNMNMMFPLHHTISAHHHEPELQGCPNHHTTSSASLFSIPTTYDSIFRP >itb02g00210.t1 pep chromosome:ASM357664v1:2:180455:183995:1 gene:itb02g00210 transcript:itb02g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEHNEENSEESETPNYRLLRSCLGLVLFVLGFFLLSIFVLYFAVYIESLSIWNPISLPSRCKIVSSSVDLRSSKVCELGLLNYKAKNVLYPYERKKFRCHYDYYWASVFKVEYTDHSGQSRLALAEAPNEALPSDCRPNFIAAWLTKDRFKVNETYKCWYSLGISNINIYEDGFFNCQAKDPSAIEMSVRYLILFMRISKSTLASANLLQSWGWGVVAGLITGFCSSFLLIFLVGLLRKFWSYHHQLSVTRWLALHCTAVRLKRVCFFVAYVSFSSWLAVQYLQRIGLPEVRVQYSRY >itb03g18540.t1 pep chromosome:ASM357664v1:3:16785739:16788392:1 gene:itb03g18540 transcript:itb03g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSPSNPNPSPAMNSDEDRVDASAARILRNQLLSFERGPEESFQSLNTSPEIQRRVIALREIQNNHDEFEVEYNKKKAALDAKYRKIYYALYKQNKHGELEVEYIMEKATLDAKYQKIYYPLYQQICEKDEGALAFLKDIEWSVVDPETFKIDFFFEKSNPFFSNPVLTKTYYMLRHEILLGTEGSLIEWYPGKSLTENTTRKKAAKRAAVLSAKNKEPMTESDEEESFSFFNFFSPIEILMADHHDAEEAKQLMQQDYRIG >itb10g19420.t1 pep chromosome:ASM357664v1:10:25269720:25272787:1 gene:itb10g19420 transcript:itb10g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGLVDQFVGDLGEASGNWGNGSKGVSQSLVLDSEKCELVKTCGVVRKKGGDGASGVKTTAALKSHSEAERRRRERINAHLATLRSLVPSNEKMDKAALLAEVIRQVKQLKETARQVSDSLFLPMDSDEIQVEQVTGTAGDGTRFFQASICCDYRPELLSDLRQCVDSLGSNLVKSEISILGGRVKCVFFFRDAIPGSNGRSEAWELLKRSIHQTLRSVLEKDSKPPEYSKIAPYPNKRHRISSFNSSYLA >itb12g26710.t1 pep chromosome:ASM357664v1:12:27479448:27486163:-1 gene:itb12g26710 transcript:itb12g26710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNNKGFQSSFTSHSPSLPFPLSFSLLGFSGAATFALFDPKSWCLLAFHSQAFRNSMAMEVTQFLLNAQSIDSTIRKHAEETLKQFQEQNLPGFLLSLSGELSNEGKPVDSRKLAGLILKNALDAKEQHRKYELVQRWLSLDAGVKTQIKKCLLQTLSSPVLDAHSTASQVIAKVAGIELPQKQWPELIVELLSNVQQVPAHVKQATLETLGYLCEEVSPDVMDQDQVNKILTAVVQGMNANEGNNDVRLAATRALYNALGFAQANFNNDMERDYIMRVVCDATLSPEVKIRQAAFECLVSISSTYYEKLAPYMQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEFGSDCTADSDVPCFFFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVADDIVQLVMPFIEDNIAKPDWRQREAATYAFGSILEGPSPDKLTPIVNVALNFMLAALTNDPNSHVKDTTAWTLGRIFEFLHGSTIETPIINQTNCQQIVTVLLQSMKDAPNVAEKACGALYFLAQGYEDVGTLSPLTPYFQEIVHSLLTVTHREDAGESHLRTAAYETLNEVVRCSTEETASIVLQLVPVMMLELHKTLEAQKLSSDEKEKQGELHGLLCGCLQVIIQKLGGHEPTKYVFMQYADQIMNLFLRVFACRSATVHEEAMLAIGALAYAAGPDFAKYMPDFYKYLEMGLTNFEEYQVCAVTVGVVGDICRALEDKVLLYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLLYAMPMLQSAAELSAHTTGADEEMIEYTNLLRNGILEAYSGIFQGFKHSPKTQLLIPYAPHILQFLDSIYMGKDMDEVVMKTAIGVLGDLADTLGSNAASLIQQSLSSRDFLNECLSSSDHLIKESAEWAKLAISRAISV >itb01g16460.t1 pep chromosome:ASM357664v1:1:20774260:20777831:1 gene:itb01g16460 transcript:itb01g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMLKRTTMVKSMVNRLRSYASVAVGSDLISAAPNASLQKARSWDEGVSSNFSTTPLKDIFKGKNVVIFGLPGAYTGVCSAQHVPSYKNNIDKFKAKGIDSVVCVAVNDPYTMNGWAEKLQAKDAIEFYGDFDGSFHKSLDLTIDLSGALLGIRSHRWSAYIVDGQVKVLNIEQAPSDFKVSGGDVILGQI >itb10g23220.t2 pep chromosome:ASM357664v1:10:27676574:27679477:1 gene:itb10g23220 transcript:itb10g23220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTGTPLSTAVLHQDAAEQHLPQIADEEEDNDDSGADGCGGEDSIDEASPHVQFEPHSHSQPLHNGSMDVAAPMNGVDGVSPRALYAPGGSEIVVHPTAGGGADQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPSAIPTVGVPSQSQRGVGEYPGRLSQHQRAAFLDRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKSVSDEAGSSSADCNSVSGQEDQEIFCRHCGISSKATPMMRRGPAGPRSLCNACGLKWANKGILRDLSKVPATAVQDHAIKIGGQVCMPFPLP >itb10g23220.t1 pep chromosome:ASM357664v1:10:27676574:27679477:1 gene:itb10g23220 transcript:itb10g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTGTPLSTAVLHQDAAEQHLPQIADEEEDNDDSGADGCGGEDSIDEASPHVQFEPHSHSQPLHNGSMDVAAPMNGVDGVSPRALYAPGGSEIVVHPTAGGGADQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPSAIPTVGVPSQSQRGVGEYPGRLSQHQRAAFLDRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKSVSDEAGSSSADCNSVSGQEDQEIFCRHCGISSKATPMMRRGPAGPRSLCNACGLKWANKGILRDLSKVPATAVQDHAIKIGGQIIGEANGSHAMIIAGDAIMSNYDNPAPTAEK >itb06g12550.t1 pep chromosome:ASM357664v1:6:17180412:17180843:-1 gene:itb06g12550 transcript:itb06g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNDFYKVMCAMVPLYFAMLVAYGSVRWWRIFSPEQCAGINRFVAVFAVPVLSFHFISQNDPYQMDPKFILADTLSKLLVLLLLSSWAICKGQLDWLITLFSLSTLPNTLVMGIPLLQAMYGEFTQSLMVQLLVLQCIIWY >itb11g13650.t1 pep chromosome:ASM357664v1:11:10684583:10688630:1 gene:itb11g13650 transcript:itb11g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRRRIEHDFFSGKICGIAATNALELGIDVGHIDVTLHLGFTGSIASLWQQAGRSGRRGKPSIAIYVAFEGPLDQYFMKFPNKLFRSPIECCHVDANNQQVLEQHLTCAAFEHPLSLHHDKKYFGPGFEAAVMTLKNKGYLNTDVSRDSSARIWSYIGHEKMPSNAVSVRAIETERYKVIDKQKNELLEEIEESRAFFQVYEGAVYMNQGKTYLVTDLDLSSKIAWCQQADLKYYTKTRDYTDIHITGGNIAYPARNSNIQFARTTAQAQFCRVTTTWFGFRRIWKKSNQVFDTIELSLPNYSYESQAVWVPVSETIKKTVEALNYSFRGGLHAACHAILNVVPLYIICNRSDIASECVNPYDARYVPERILLYDPRPGGTGIAAQVQPLFTELLTAALELLTSCHCSSDAGCPNCIQNLACQEYNEVLHKDAATMIIKGVIQAEKLRD >itb09g28620.t2 pep chromosome:ASM357664v1:9:29173368:29177715:1 gene:itb09g28620 transcript:itb09g28620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGESLTFGRAGKKLSRVATVAGVLSELDDESRSNGGSDVPSSISQERMIIVGNQLPLRAHRRTDGEEGWNFSWDEDSLLLQLKDGLGEDVEVIYVGCLKEEIDPNEQDDVAQTLLETFKCVPAFIPPELFSKFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRNLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRAELLRALLNSDLIGFHTFDYARHFLSCCSRMLGIPYQSKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLDLPETEARVAELRDKFRGQTVLLGVDDMDIFKGISLKLLAFEQFLIQHPALRGKIVLVQIANPARGRGKDVQEVQSETDTTVKNINRKFGKPGYEPVILIDTPLQFYERIAYYVVAECCLVTAVRDGMNLIPYEYVICRQGTDRLDETLGLNPTTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALVVSEPEKQMRHEKHYKYVSTHDVAYWAHSFMQDLDRACGDHVKRRCWGIGFGLGFRVIALDPSFRKLSVEHIVSAYKRTKNRAILLDYDGAMTLPNSISKGPNDEAVGILNNLCKDPKNVVFLVSGKDRQTLTQWFSSSENLGIAAEHGYFVRPSRNEEWETSIAVPDFYWKQIAEPVMVLYTETTDGSFIETKDSALVWNYQYADRDFGSCQAKELQDHLESVLANEPVSVKSGPHIVEVKPQGVNKGLVAERLLAMMKQKDMLPDFVLCIGDDRSDEDIFEVIMSAVAQESLSPVAEVFACTVGQKPSKAKYYLEDTSEILRMLQGLATASEQSARAIPRSSQQVIIDRE >itb09g28620.t1 pep chromosome:ASM357664v1:9:29173339:29177715:1 gene:itb09g28620 transcript:itb09g28620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGESLTFGRAGKKLSRVATVAGVLSELDDESRSNGGSDVPSSISQERMIIVGNQLPLRAHRRTDGEEGWNFSWDEDSLLLQLKDGLGEDVEVIYVGCLKEEIDPNEQDDVAQTLLETFKCVPAFIPPELFSKFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRNLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRAELLRALLNSDLIGFHTFDYARHFLSCCSRMLGIPYQSKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLDLPETEARVAELRDKFRGQTVLLGVDDMDIFKGISLKLLAFEQFLIQHPALRGKIVLVQIANPARGRGKDVQEVQSETDTTVKNINRKFGKPGYEPVILIDTPLQFYERIAYYVVAECCLVTAVRDGMNLIPYEYVICRQGTDRLDETLGLNPTTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALVVSEPEKQMRHEKHYKYVSTHDVAYWAHSFMQDLDRACGDHVKRRCWGIGFGLGFRVIALDPSFRKLSVEHIVSAYKRTKNRAILLDYDGAMTLPNSISKGPNDEAVGILNNLCKDPKNVVFLVSGKDRQTLTQWFSSSENLGIAAEHGYFVRPSRNEEWETSIAVPDFYWKQIAEPVMVLYTETTDGSFIETKDSALVWNYQYADRDFGSCQAKELQDHLESVLANEPVSVKSGPHIVEVKPQGVNKGLVAERLLAMMKQKDMLPDFVLCIGDDRSDEDIFEVIMSAVAQESLSPVAEVFACTVGQKPSKAKYYLEDTSEILRMLQGLATASEQSARAIPRSSQQVIIDRE >itb06g13510.t2 pep chromosome:ASM357664v1:6:18181559:18183099:1 gene:itb06g13510 transcript:itb06g13510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSACVNSTGMSPENFLDCPPSKYRTSFSREVMDDMQSSKASGAKHSSSPPRAADKCGDDKQEDSDPEVLGDDAADFEFRLDRPEIMLPADELFSDGKLLPLQVPTIRLPATETGEAAGMEVKLPDTPMIETRNEISTKAPRSSSRWKELLGLKKLYQNRNVKDDSIKTTSPPPLSSPSQSNCSHKSMKNLLQRCSKSLNSSIDESLSFPLLKDSDNESASNSSSRLSLSSSSSGHENDDLPRISLDSEKAINLCRNPPRVRLVKARALSSENPAGRVCRRAADAATIGGVSADSPRMNSSGKIVFHSLERSSSSPSSLNGEPRYKHRGMERSYSANVRVTRVLNVPVCSLRSSSKPGVFGFPLFSSSSQQKKEAVGSSNGGNGVGYKNHHGSSRHRIKK >itb06g13510.t1 pep chromosome:ASM357664v1:6:18177095:18183099:1 gene:itb06g13510 transcript:itb06g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSACVNSTGMSPENFLDCPPSKYRTSFSREVMDDMQSSKASGAKHSSSPPRAADKCGDDKQEDSDPEVLGDDAADFEFRLDRPEIMLPADELFSDGKLLPLQVPTIRLPATETGEAAGMEVKLPDTPMIETRNEISTKAPRSSSRWKELLGLKKLYQNRNVKDDSIKTTSPPPLSSPSQSNCSHKSMKNLLQRCSKSLNSSIDESLSFPLLKDSDNESASNSSSRLSLSSSSSGHENDDLPRISLDSEKAINLCRNPPRVRLVKARALSSENPAGRVCRRAADAATIGGVSADSPRMNSSGKIVFHSLERSSSSPSSLNGEPRYKHRGMERSYSANVRVTRVLNVPVCSLRSSSKPGVFGFPLFSSSSQQKKEAVGSSNGGNGVGYKNHHGSSRHRIKK >itb14g18200.t1 pep chromosome:ASM357664v1:14:21303471:21305975:-1 gene:itb14g18200 transcript:itb14g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDIDMPLHRIPVEPSFCSRSTEDLFSSPTPNLFNKKISPVPDYDMFEDIFEDEELFAKERRKNIWKASPSFLPYGIIDQEEHGSPCKDKLDQIDSGPIHYADRNYEHQDFSFETHQWKKSMISPLEINDTDSPALFSKRWMAQYFEDPSVPNIKCSNYSLGTREYDLDDCKKQTEYSYSTCNDTKESFCFPSEESCFSASGFLTAPLLNLISMICLNYFTSSLSLSPVKGDLLDNSRSRHGIYHKQAMETKYSHRNVFGKGIFCSDRNDRNAGGLETFPVSSNIARERSLYPTNHGFSKDIFNLGEVILGSDLYTEDSFAASPQPRSHFDKCRDFPAEHSHCCKHRPEEPSIRQPTRIIVLNPTSGSKMPALFQTSKSQCGPQNSYFDLQRDISEASNVSSSDKKLVDSSSYLEDQCSDTRKEPSCRAPDVTDTTNASKPLEQAEETSSCAEVPVAVEEIHDTGDPSKAQSE >itb05g04670.t2 pep chromosome:ASM357664v1:5:4293397:4301430:1 gene:itb05g04670 transcript:itb05g04670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTKSAAPLCSSGHCHRINSAPCKSVIFGGVLNSKPQRTLILKQLSSSSSWMLSQNAQCSSAVLPCKASLKAQETPAPDRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRCDVLTIEIEHVDAATIEKLEQQGVDCEPKASTIRIIQDKYLQKVHFCKSAIPLPKFMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSDEDLSSAVNALGGCDRGLYVEKWAPFVKELSVIVARGRDGSILCYPVVETIHRENICHIVKSPANVSWKVMKLATDVACKAVGSLAGAGVFAVELFLTDDDQILLNEVAPRPHNSGHHTIESCFTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNILGEDEGEPGFALANQLIGRALEIPGASIQLIGRALEIPGASIHWYDKALEIPGASIHWYDKPGWLKGASIHWYDKPDLALRENITDSQPSGSVAPRVGIIMGSDSDLPVMKDAAKILREFDVPTEVKIVSAHRTPETMYSYALSAVERGIQVIIAGAGGAAHLPD >itb05g04670.t1 pep chromosome:ASM357664v1:5:4293397:4301430:1 gene:itb05g04670 transcript:itb05g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTKSAAPLCSSGHCHRINSAPCKSVIFGGVLNSKPQRTLILKQLSSSSSWMLSQNAQCSSAVLPCKASLKAQETPAPDRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRCDVLTIEIEHVDAATIEKLEQQGVDCEPKASTIRIIQDKYLQKVHFCKSAIPLPKFMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSDEDLSSAVNALGGCDRGLYVEKWAPFVKELSVIVARGRDGSILCYPVVETIHRENICHIVKSPANVSWKVMKLATDVACKAVGSLAGAGVFAVELFLTDDDQILLNEVAPRPHNSGHHTIESCFTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNILGEDEGEPGFALANQLIGRALEIPGASIQLIGRALEIPGASIHWYDKALEIPGASIHWYDKPGWLKGASIHWYDKPDLALRENITDSQPSGSVAPRVGIIMGSDSDLPVMKDAAKILREFDVPTEVKIVSAHRTPETMYSYALSAVERGIQVIIAGAGGAAHLPGQYSTTSNA >itb03g19140.t1 pep chromosome:ASM357664v1:3:17289770:17291090:1 gene:itb03g19140 transcript:itb03g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIEGNKLTAAAILGHDGSLWAQSANFPKYKPEEITAILKDFDEPGSLAPTGMFLGGQKYMVIQGEPGAVVRGKKGPGGICIKKTTQCLLFGIYDEPMTPGQCNMVVERLGDYLVDQGY >itb05g04790.t1 pep chromosome:ASM357664v1:5:4409849:4413401:-1 gene:itb05g04790 transcript:itb05g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAIDGSESEVVVDDAHSLLNKISAIRTSGPSKLQVIADFDGTLTKYWINGRRGQSSHSLLQQDDPEYNMKRQKLAEYYHPLEFNPAIPLDEKTKLMEEWWGKTHDLLIEGGLTYDAIRNSVANANIAFRDGVVEFFELLEERNVPVLIFSAGLADIIEEVLRQKLGRSFKNIRVVSNRMVFDENGHLLRFKGKTIHVLNKNEHALDMAEPLHEQFGEEDENGITINDEEESSLKQRTNVLLLGDHTGDLRMSDGLNYETRISVGFINHNVEASLHGYKKAFDVLYLNDATMHGVVKLASHLCST >itb05g04790.t2 pep chromosome:ASM357664v1:5:4409849:4412434:-1 gene:itb05g04790 transcript:itb05g04790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKLAEYYHPLEFNPAIPLDEKTKLMEEWWGKTHDLLIEGGLTYDAIRNSVANANIAFRDGVVEFFELLEERNVPVLIFSAGLADIIEEVLRQKLGRSFKNIRVVSNRMVFDENGHLLRFKGKTIHVLNKNEHALDMAEPLHEQFGEEDENGITINDEEESSLKQRTNVLLLGDHTGDLRMSDGLNYETRISVGFINHNVEASLHGYKKAFDVLYLNDATMHGVVKLASHLCST >itb12g15840.t1 pep chromosome:ASM357664v1:12:16357949:16358362:1 gene:itb12g15840 transcript:itb12g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrogen ion transporting ATP synthases, rotational mechanism;zinc ion binding [Source:Projected from Arabidopsis thaliana (ATMG00640) TAIR;Acc:ATMG00640] MTLDGRIQAIQEESQQFPNPNEVVPPESNEQQRLLRNSLRICGTVVESLPMARCAPKCEKTVQALLCRNLNVKSATLPNATSSRRIRLQDDIARRFHVLVGKRFSPWCISKAERVELIRESLVVLRMVRVGDSSKIK >itb04g27720.t1 pep chromosome:ASM357664v1:4:31669838:31672767:-1 gene:itb04g27720 transcript:itb04g27720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPESQGEPDLPTSKDASMETLALDDEEDLTSKSYSNGRSADKMVSDSREPLSPSIVGVSADSHPLSSPPHPIQSLGASDSRQDSHLGSPSCAVSPPEDNASFVGEVNGSHSAVIDFDNSVTISRFRSSDSTYLKVVVSDPEKVVESSSSIVPGGNTFYTYLITAETNLADYGGSIFTVRRRFNDVVILADRLSEAYRGFFIPPRPDKSVVESQMMQKQDFAEQRRVALERYLQRLAVHPVIKKSDELRVFLQVQGRLPLPSTTDVASRMLDGAVKLPKQIFGDYGKNSIEPQDVVQPARNGRDLLRLFKEMKQSVVNDWGKSKPLIEEDDKEFLEKKERLHDLEQHLSNSSKQAESIVKAQQDMGETLGHLGLAFVKLMKFENEWGNSETQRERAAGMKIVATAAVKTSRLYHGLNVHTVKYLDILHEHLGLMLAVRNAFSDRSSALLTVQTLLSEMSSLNSRVEKLETASSKIFEGDKSRNRKLEELKDAIKTTEVAKTCAVKEYERIKEHNRSEIERLDRERHDDLIIMLKGFVISQVDYSEKIEIEWAKLAEETRQYARDAA >itb09g04660.t1 pep chromosome:ASM357664v1:9:2602258:2606602:-1 gene:itb09g04660 transcript:itb09g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPAAEAAKAAILSIGCGYDISLDLRLKYCKGDPCSRRLIEIDEDKGREIVLPGGILVPDVPKSIKCDKGERTRFRSDVLPFQQMSEQFNQDISLTGKIPSGLFNAMFDFSGCWQKDAAYTKTLAFDGVFITLYTIALEKSQMVLCDHVKKEVPSTWDPAALARFIEKFGTHIIVGIKMGGKDVVYMKQQHSSSLEPADVQKRLKAVADKRFCDASEQYGTDSELGYQNDKFENRETRLRFAEASTSSSYVYKEDIMSICKRRGGSDYRNLTHNEWLHTVQLEPDAISMSFIPITSLLNGITGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSDLPLGPQRRQQSTASLQFSFLGPKLYVNTAPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPHRNFHREPHDRKYYEKVQWKNFSHVCTAPVDSDEDLSIVTGAKLEVGDYGLKKVLFLRLKFSTVLGATMVRHSEWDGSPGLARKSGLISTLISHHFTSVQKPPPQPADVNINSAVYPGGPPVPIQAPKLLKFVDTTEMTRGPQETPGYWVVSGARLMVEKGRISLKVKYSLLTVIQPDEGTLE >itb13g19320.t2 pep chromosome:ASM357664v1:13:26316159:26328117:1 gene:itb13g19320 transcript:itb13g19320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAREARHRMEIWGKLGSDDVELRAFCSKHSEVHNNGSSRQNGNMAVHLSVVSYSNDTNQMTASTMNKPQKLKIAQRNGDNMANGIRGANLDQEKLNNDVSHEEGLLGRQGSVSNSKCQTTLGDSLQHISKDLLEVCINEDGNAADPLSVTIILKKLIDRGSVDVDDLASEMGVSSDSFASMLNDDHMVGELHCKVVKWLRNRPNVGNVQKTLRVKIKPSLASKSVTAVADNADSVKLTDPEISNNIPVKSVPPHRRTKNNTRIGKDDKLCSATDIPMNDRLKKDEVQPYLHDVVDSSPIKDQDPPCSSPQKISSEHVSSHDTSANESYNDEAVGLSTASANGHSDEGAAATDQCPALKDDSKIPSFFAPNSVPDIMKLEKCASYIHPLIVHKLNDLGEAVTVESVTCLRQREASRSEASSSSGTCCNDHNQPSTSGDSISKYNGTTLEQLIKSKNTGLLKLSPMDDVEGEIIFYQHKLLSNTAARKRLSDDLISKVARSLQEEINAARKQEWDSVLVSKYLYELREARKQGRKERRHKEAQAVLAAATAAAAASSRMSSLRKDNLEESIHHEDLSKMTTSNQMPQQNPRVKESFSRSSGIQGLSESISDPIQLGSDFSRDHPRICDVCGRSETVLKSILVCSGCKVAVHLDCYCSFRNSAGPWYCELCDDKLHGGLGIPAANLWDKEKPCFVAECGLCGGTTGAYRKSTDGQWVHAVCAEWVLESTFRRGQVNSIEGMAIVRKGNDVCGICHRKHGVCIKCSYGHCRSTFHPYCARSAGLFMTSKTVGGKLLRKAYCDKHSMDQRLKAETHKLGIEELQRLRHIRVDLEKIRLLCERIIKREKLKRELVFCSHEVLASRRDAVVLSALSRHPFSQQDASSDSATTTSMRGYTDGCKSGSETVQRSDDITVDSAIAGKRRGKFPVSMDNDQKTDDSSTSPLFFTHKPMERVSSSGKQIPLRHLLSRNPAHDEDKRMSYRKHMETFQKELVMTSDQASMKNQRLPKGYVYVPIQCLSKEEAVPDTCSQEPLDHDG >itb13g19320.t1 pep chromosome:ASM357664v1:13:26316122:26328040:1 gene:itb13g19320 transcript:itb13g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLPSEVGMDFYTQACKALCKRSPFDSEDSLASTLSLTLPTGLAQLLFKHSDSRKRHKKSHSGSETKSSSRQDKGRLSGFWAEMEDYFRELTVDDIERLYQLPSFAPSKSDKLLSIPSVCNAGNAEAGVHSANAVALNSGVSDKQGSVREENEQRLMDVDSVGTTSLSKSVKMEKAFPPFSGLEWLLGSRSKIYLTSERPNKKRKLLGGEAGLEKLMVAHPVEGSASLCHYCSFGDMGDTLNRLVVCGSCGMAVHQRCYGVQDDVDGSWMCSWCKQKNPLLSHEKPCLLCPKQGGAMKPSQKRGLGCQEQSAVEFAHLFCCQWMPEVYVENTRMMEPIVNIDGIKETRRKLICYLCKVKHGACVRCSNGACRTSFHPMCAREARHRMEIWGKLGSDDVELRAFCSKHSEVHNNGSSRQNGNMAVHLSVVSYSNDTNQMTASTMNKPQKLKIAQRNGDNMANGIRGANLDQEKLNNDVSHEEGLLGRQGSVSNSKCQTTLGDSLQHISKDLLEVCINEDGNAADPLSVTIILKKLIDRGSVDVDDLASEMGVSSDSFASMLNDDHMVGELHCKVVKWLRNRPNVGNVQKTLRVKIKPSLASKSVTAVADNADSVKLTDPEISNNIPVKSVPPHRRTKNNTRIGKDDKLCSATDIPMNDRLKKDEVQPYLHDVVDSSPIKDQDPPCSSPQKISSEHVSSHDTSANESYNDEAVGLSTASANGHSDEGAAATDQCPALKDDSKIPSFFAPNSVPDIMKLEKCASYIHPLIVHKLNDLGEAVTVESVTCLRQREASRSEASSSSGTCCNDHNQPSTSGDSISKYNGTTLEQLIKSKNTGLLKLSPMDDVEGEIIFYQHKLLSNTAARKRLSDDLISKVARSLQEEINAARKQEWDSVLVSKYLYELREARKQGRKERRHKEAQAVLAAATAAAAASSRMSSLRKDNLEESIHHEDLSKMTTSNQMPQQNPRVKESFSRSSGIQGLSESISDPIQLGSDFSRDHPRICDVCGRSETVLKSILVCSGCKVAVHLDCYCSFRNSAGPWYCELCDDKLHGGLGIPAANLWDKEKPCFVAECGLCGGTTGAYRKSTDGQWVHAVCAEWVLESTFRRGQVNSIEGMAIVRKGNDVCGICHRKHGVCIKCSYGHCRSTFHPYCARSAGLFMTSKTVGGKLLRKAYCDKHSMDQRLKAETHKLGIEELQRLRHIRVDLEKIRLLCERIIKREKLKRELVFCSHEVLASRRDAVVLSALSRHPFSQQDASSDSATTTSMRGYTDGCKSGSETVQRSDDITVDSAIAGKRRGKFPVSMDNDQKTDDSSTSPLFFTHKPMERVSSSGKQIPLRHLLSRNPAHDEDKRMSYRKHMETFQKELVMTSDQASMKNQRLPKGYVYVPIQCLSKEEAVPDTCSQEPLDHDG >itb15g16680.t1 pep chromosome:ASM357664v1:15:16595599:16597344:1 gene:itb15g16680 transcript:itb15g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEWRRRGLSMNDECEICPGVSETAEHIFRSCSKARELWRVFASADKRRRWWQLNVHSWIMENISRDKVEVEGEDWSRRFAIIVWWLWRWRCNKVFKDEEVATQHKSTWIKEAEEEMERVFARHSVMETTTTGRLNVVRLLWEASTAHLFTLNMDGSVKIDRNIAGLAVVLRDNKGAWIGGIACSSSHSIFDGHPLSGGFY >itb04g18780.t4 pep chromosome:ASM357664v1:4:22500140:22508206:1 gene:itb04g18780 transcript:itb04g18780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQVATDNYAEEVATSLSTFAQTPARFVAASNCDMTGVHIGQVSSRTTTDFACTSSRDVLKDPDVLSIHKDGITSMHKLRIDSAEKSGFFACNVGREIHNPASRIVGFESKASNRPANLFEPNPLDGILPSAAVSITDKTPETSGSVVRKRLLSPLNGMVLSDQFSGEYLDIGGSTSFRSTSYLRNGTHKISSQEHKKANIRSSSNFEAWSTQYASVESCSPDENFKMNSFIITDGPLFEDEETLSRSLFTPTSSELNFHRKVTEMPFQNGGIDIVNRNAYSPPLSLSPLGPNCCRRIRDSKWHRDVWEEYDVKRSLDGNLLDALSSEKEDDSRMEIKSNLDVEDFPMNFEQFTLDSVNEVRGQWAQNSIQTSQRTRLGRSLSGLTVRRSLVGSFEESLFSGRLASGTVSQKIEGFLAVLSISGGNFSPHPKKLPFAVTSVDGGNCLVYYSSIDLGGHFLTNECKGSKMKRSLSINDSKTNNGRLRIPMKGRIQLVLSNPERTPIHTFFCNYDLTDMPAGTKTFLRQKITLDTDKGGHKDAKGKSDGRQPPVTKAGDSLQSSELLSDCRKSNDCSSGAVEGIDWPFAGTGSKSVDCPSKINENAAGTGVLRYALHIRFLCPYSKRNSKTVLRCKSDPSSVPTKNGMDIEGERRFYLYNDMRVVFPQRHSDADEGKLHVEYQYPSDPKYFDISE >itb04g18780.t3 pep chromosome:ASM357664v1:4:22500140:22508206:1 gene:itb04g18780 transcript:itb04g18780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQVATDNYAEEVATSLSTFAQTPARFVAASNCDMTGVHIGQVSSRTTTDFACTSSRDVLKDPDVLSIHKDGITSMHKLRIDSAEKSGFFACNVGREIHNPASRIVGFESKASNRPANLFEPNPLDGILPSAAVSITDKTPETSGSVVRKRLLSPLNGMVLSDQFSGEYLDIGGSTSFRSTSYLRNGTHKISSQEHKKANIRSSSNFEAWSTQYASVESCSPDENFKMNSFIITDGPLFEDEETLSRSLFTPTSSELNFHRKVTEMPFQNGGIDIVNRNAYSPPLSLSPLGPNCCRRIRDSKWHRDVWEEYDVKRSLDGNLLDALSSEKEDDSRMEIKSNLDVEDFPMNFEQFTLDSVNEVRGQWAQNSIQTSQRTRLGRSLSGLTVRRSLVGSFEESLFSGRLASGTVSQKIEGFLAVLSISGGNFSPHPKKLPFAVTSVDGGNCLVYYSSIDLGGHFLTNECKGSKMKRSLSINDSKTNNGRLRIPMKGRIQLVLSNPERTPIHTFFCNYDLTDMPAGTKTFLRQKITLDTDKGGHKDAKGKSDGRQPPVTKAGDSLQSSELLSDCRKSNDCSSGAVEGIDWPFAGTGSKSVDCPSKINENAAGTGVLRYALHIRFLCPYSKRNSKTVLRCKSDPSSVPTKNGMDIEGERRFYLYNDMRVVFPQRHSDADEGKLHVEYQYPSDPKYFDISE >itb04g18780.t2 pep chromosome:ASM357664v1:4:22499745:22508206:1 gene:itb04g18780 transcript:itb04g18780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQVATDNYAEEVATSLSTFAQTPARFVAASNCDMTGVHIGQVSSRTTTDFACTSSRDVLKDPDVLSIHKDGITSMHKLRIDSAEKSGFFACNVGREIHNPASRIVGFESKASNRPANLFEPNPLDGILPSAAVSITDKTPETSGSVVRKRLLSPLNGMVLSDQFSGEYLDIGGSTSFRSTSYLRNGTHKISSQEHKKANIRSSSNFEAWSTQYASVESCSPDENFKMNSFIITDGPLFEDEETLSRSLFTPTSSELNFHRKVTEMPFQNGGIDIVNRNAYSPPLSLSPLGPNCCRRIRDSKWHRDVWEEYDVKRSLDGNLLDALSSEKEDDSRMEIKSNLDVEDFPMNFEQFTLDSVNEVRGQWAQNSIQTSQRTRLGRSLSGLTVRRSLVGSFEESLFSGRLASGTVSQKIEGFLAVLSISGGNFSPHPKKLPFAVTSVDGGNCLVYYSSIDLGGHFLTNECKGSKMKRSLSINDSKTNNGRLRIPMKGRIQLVLSNPERTPIHTFFCNYDLTDMPAGTKTFLRQKITLDTDKGGHKDAKGKSDGRQPPVTKAGDSLQSSELLSDCRKSNDCSSGAVEGIDWPFAGTGSKSVDCPSKINENAAGTGVLRYALHIRFLCPYSKRNSKTVLRCKSDPSSVPTKNGMDIEGERRFYLYNDMRVVFPQRHSDADEGKLHVEYQYPSDPKYFDISE >itb04g18780.t1 pep chromosome:ASM357664v1:4:22499745:22508206:1 gene:itb04g18780 transcript:itb04g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQVATDNYAEEVATSLSTFAQTPARFVAASNCDMTGVHIGQVSSRTTTDFACTSSRDVLKDPDVLSIHKDGITSMHKLRIDSAEKSGFFACNVGREIHNPASRIVGFESKASNRPANLFEPNPLDGILPSAAVSITDKTPETSGSVVRKRLLSPLNGMVLSDQFSGEYLDIGGSTSFRSTSYLRNGTHKISSQEHKKANIRSSSNFEAWSTQYASVESCSPDENFKMNSFIITDGPLFEDEETLSRSLFTPTSSELNFHRKVTEMPFQNGGIDIVNRNAYSPPLSLSPLGPNCCRRIRDSKWHRDVWEEYDVKRSLDGNLLDALSSEKEDDSRMEIKSNLDVEDFPMNFEQFTLDSVNEVRGQWAQNSIQTSQRTRLGRSLSGLTVRRSLVGSFEESLFSGRLASGTVSQKIEGFLAVLSISGGNFSPHPKKLPFAVTSVDGGNCLVYYSSIDLGGHFLTNECKGSKMKRSLSINDSKTNNGRLRIPMKGRIQLVLSNPERTPIHTFFCNYDLTDMPAGTKTFLRQKITLDTDKGGHKDAKGKSDGRQPPVTKAGDSLQSSELLSDCRKSNDCSSGAVEGIDWPFAGTGSKSVDCPSKINENAAGTGVLRYALHIRFLCPYSKRNSKTVLRCKSDPSSVPTKNGMDIEGERRFYLYNDMRVVFPQRHSDADEGKLHVEYQYPSDPKYFDISE >itb08g14840.t1 pep chromosome:ASM357664v1:8:16657915:16662394:1 gene:itb08g14840 transcript:itb08g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEAAAAVSGGELPVKRQREDGQNGESVSVEMEDANNNNNNAAAGDKEEQCFSSVIPGWFSEISPMWPGEAHSLKVEKVLFKGKSDYQDVMVFQSSTYGKVLVLDGVIQITERDECAYQEMITHLPLCSIQNPKKVLVIGGGDGGVLREVARHASVDQIDICEIDKMVVDVAKQFFPDVAVGYEDPRVTLHIGDGVAFLKNVAEGTYDAVIVDSSDPIGPAQELFEKPFFQTVARALRPGGVVCTQAESIWLHMHIIENIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFKHPINPIDEVNDANSKLPLKFYNSEIHSAAFCLPSFAKRVIESKAE >itb08g14840.t2 pep chromosome:ASM357664v1:8:16657915:16662383:1 gene:itb08g14840 transcript:itb08g14840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEAAAAVSGGELPVKRQREDGQNGESVSVEMEDANNNNNNAAAGDKEEQCFSSVIPGWFSEISPMWPGEAHSLKVEKVLFKGKSDYQDVMVFQSSTYGKVLVLDGVIQITERDECAYQEMITHLPLCSIQNPKKVLVIGGGDGGVLREVARHASVDQIDICEIDKMVVDVAKQFFPDVAVGYEDPRVTLHIGDGVAFLKNVAEGTYDAVIVDSSDPIGPAQELFEKPFFQTVARALRPGGVVCTQAESIWLHMHIIENIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFKHPINPIDEVNDANSKLPLKFYNSEVSFSIIQTIIICFPISEERLLCMAFHLYLSHC >itb11g18120.t1 pep chromosome:ASM357664v1:11:18291510:18296121:-1 gene:itb11g18120 transcript:itb11g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISLGLIGAGTNNARIVGMLHDLSSYYYKESDLLFCVRIAQGLVNLGKGLLTLSPYHSERFLFSQTALAGLLVTLHACLDMKALILGEYHYLLYFLSLAMMPRMLMTVDENLKPLSVPVRVGQAVHVAYQPGRPKAIIGFRTYSTPVLLSAGDKAELATDKAGVLISIASPAARPRAFYTLNFTPRPRGMLQANRVGFPDAKSFLCSSSSDGLFICMYRPNGPFTVCNVSTGQRIFLPRLIQYEECELLMGYDSESKRYKVLMTACRESISRGGLIRCGFEYKHWVFTVGVDKSWREINNYCSRPFFPFEGNRYPYYFSTNVYIDGVIYSYTALTKHNMIPRNHIVAFEVGCESFSVITLPDKVSSLSWFFLKMSALLEVGGRLAMVHVSVPERGEGLCYMNVWTWEKSKECWEEITMTIPLKWSRMINNSARLLRFATNHDGEIVLLCIYIKKFYILVCNLRSEAWRKFDVSGLEDFPLGNSLDFTMHNLVDHVFPLE >itb15g12670.t1 pep chromosome:ASM357664v1:15:10538436:10547553:-1 gene:itb15g12670 transcript:itb15g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQAQEQENGASSSAYHSDVHSLDASVSGLRDKHQKELENLTLATQPVRTIRFFILAVLKFLQRPLLCTSSKLSWLFLISTVAAGFGILFLSFRKPYGEELFNYLQFGTWWVALGVASSVGLGSGLHTFVLYLGPHIALFTLKSVNCGRIDIKSAQYDTIQFRRGPSWMDKDCSEYGPPIYSSPDARIPLTSILYKVQMEAMLWGIGTALGELPPYFISRAASISGQKLDMEDMDSSSEEYSGVIGNYLNQIKKWFLLQSRYLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFTATLIGKAIIKTHIQTAFIISLCNNQLLDLIENELLWVLNLIPGVASILPNIMSKLNVIKQKYLAVSPPIPSNVKGKKWDLSLASIWNTVVWLMLANFSAKVVNATAQGCLKEQQEKELAAFPTS >itb15g12670.t2 pep chromosome:ASM357664v1:15:10538436:10547545:-1 gene:itb15g12670 transcript:itb15g12670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMCGRCIFLCLGLRDKHQKELENLTLATQPVRTIRFFILAVLKFLQRPLLCTSSKLSWLFLISTVAAGFGILFLSFRKPYGEELFNYLQFGTWWVALGVASSVGLGSGLHTFVLYLGPHIALFTLKSVNCGRIDIKSAQYDTIQFRRGPSWMDKDCSEYGPPIYSSPDARIPLTSILYKVQMEAMLWGIGTALGELPPYFISRAASISGQKLDMEDMDSSSEEYSGVIGNYLNQIKKWFLLQSRYLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFTATLIGKAIIKTHIQTAFIISLCNNQLLDLIENELLWVLNLIPGVASILPNIMSKLNVIKQKYLAVSPPIPSNVKGKKWDLSLASIWNTVVWLMLANFSAKVVNATAQGCLKEQQEKELAAFPTS >itb15g12670.t3 pep chromosome:ASM357664v1:15:10537481:10547545:-1 gene:itb15g12670 transcript:itb15g12670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMCGRCIFLCLGLRDKHQKELENLTLATQPVRTIRFFILAVLKFLQRPLLCTSSKLSWLFLISTVAAGFGILFLSFRKPYGEELFNYLQFGTWWVALGVASSVGLGSGLHTFVLYLGPHIALFTLKSVNCGRIDIKSAQYDTIQFRRGPSWMDKDCSEYGPPIYSSPDARIPLTSILYKVQMEAMLWGIGTALGELPPYFISRAASISGQKLDMEDMDSSSEEYSGVIGNYLNQIKKWFLLQSRYLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFTATLIGKAIIKTHIQTAFIISLCNNQLLDLIENELLWVLNLIPGVASILPNIMSKLNVIKQKYLAVSPPIPSNVKGKKWDLSLASIWNTVVWLMLANFSAKVVNATAQGCLKEQQEKELAAFPTS >itb02g04680.t1 pep chromosome:ASM357664v1:2:2775010:2777299:1 gene:itb02g04680 transcript:itb02g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATGGDIGFEVLPEDCIANALSLTSPEDACRLSLVASAFYSAAQFDAVWERFLPADYRDIISRSGDAADLLASASKKDLYFRLCDNPILIDDGTKSFSLEKKSGKKCYMLAARSLKIVWSDTPRYWQWISLPESRFGEAAELLDVCWLEICGNINSSMLSPNTNYAAYLVFTLQPRAYGFEYQPVEASIEINGHEAQKKTVYLDHQRPQKEGYTVIPRRIGIFNQRVIHRMRQRENAGYSKERSDKWMEVELGEFFVKNGQDAEIAMCLMQIKSHWKSGVIVQGIEVRPKGEDK >itb10g19310.t10 pep chromosome:ASM357664v1:10:25166354:25169633:-1 gene:itb10g19310 transcript:itb10g19310.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t11 pep chromosome:ASM357664v1:10:25166354:25169633:-1 gene:itb10g19310 transcript:itb10g19310.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t12 pep chromosome:ASM357664v1:10:25166187:25169083:-1 gene:itb10g19310 transcript:itb10g19310.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MQALGSICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t3 pep chromosome:ASM357664v1:10:25166187:25171503:-1 gene:itb10g19310 transcript:itb10g19310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t9 pep chromosome:ASM357664v1:10:25166187:25170900:-1 gene:itb10g19310 transcript:itb10g19310.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t4 pep chromosome:ASM357664v1:10:25166354:25171503:-1 gene:itb10g19310 transcript:itb10g19310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTALSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t6 pep chromosome:ASM357664v1:10:25166354:25171503:-1 gene:itb10g19310 transcript:itb10g19310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t8 pep chromosome:ASM357664v1:10:25166187:25171392:-1 gene:itb10g19310 transcript:itb10g19310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t5 pep chromosome:ASM357664v1:10:25166187:25171392:-1 gene:itb10g19310 transcript:itb10g19310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t2 pep chromosome:ASM357664v1:10:25166187:25171392:-1 gene:itb10g19310 transcript:itb10g19310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTALSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t7 pep chromosome:ASM357664v1:10:25166354:25171503:-1 gene:itb10g19310 transcript:itb10g19310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb10g19310.t1 pep chromosome:ASM357664v1:10:25166187:25171503:-1 gene:itb10g19310 transcript:itb10g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAMGVRLTAYGGIQFLYLALLILALDIHGCCSLNSEGLALLKFQERVVYDPYEIFLNWNSDDCDPCLWTGVHCFDGKVEMLDLSGYDLKGTLAPELGNLPHLKSLELSNNHFSGVVPREIGQLEMLEVLDLRDNRLNGRIPAEIGGLHLLRSLLLCNNDFEGNVPLEIGQLELLTDLQYDTNLISTTDLQFDTNLISAGTGFIHRKLGGCICHSSWRPLKKMGFYLAPIKGILVRYLNLVSILNFGKGFLHGNYNYSFEHQSTLSRLHAITRRKLAEQSNNLAAAPANGGAPLGNISPQPFSRSSGSFPAVIGAKAKPPQSPPPSGDSKQHSKSNPQQTDNQDSGLSWKYLVAILCGVFLLIIALVIICICRCRAARTIGPWKTGLSGQLQKAFVTGVPKLNRPELEAACEDFSNIIRTNEVTTVYKGTLSSGVEIAVVSTSIHALKDWSKCSELTFRKKIDTLSRINHKNFLNLIGYCEEEEPFTRMMVFEYAPNGTLSEHLQDQELEHLDWSARMRIVMGVAYCLQYMHNLNPPLSHSNMTSDNIFLTDDYAAKIGEITFWKEFTDKTKLGENEEHSELPPLAEPETNIYSFGLMLLEIISGKLPYSEEQGPILNWATPFLNDKQNTDKLIDPTLKSYKDNELAVICEVIQQCVQQDTRKRPTINEVNSKLREVLGISPEAAVPRLSPLWWAELEILSAEAP >itb04g02800.t2 pep chromosome:ASM357664v1:4:1719722:1727151:1 gene:itb04g02800 transcript:itb04g02800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MYEHASKESKESLPDVLQMPSLPFDVLLTTYEIALIDQEFLSQVPWHYVIIDEAQRLKNTSSVLYNVLKEQFLMPRKLLMTGTPIQNNLSELWALMHFCMPSIFGTSEQFLSAFKEAGDPSCYNAEKVKGQLKILKYILAAFMLRRTKNQLIESGTLVLPSLTEITVMAPLVALQKKLYMSILRKELPQLLALSSGGSTRSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQGSGKLLVLDHLLQKLHASGHRVLLFAQMTQTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFGQRSAKGSSDSEADQQGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMKDVLSIHLVTRRTVEEVCDMIIYFHVLSVGFTVEYQSVQVIMRRAYRKLQLSHNIIGEDTIDRSEKETEGVEAGDLRSIVLGLQMLDPMDMSKENFDKVDMSELTAMAEKVISFRKQQQLDKFDKKFEINCMDIVNGSCSITEGVSDPVDNDPVEDENSYQSWIEKFKEALQANNTPIMELGSRRRLVEENHLKAEAARKRAEEKKLSEWEALGYHSLSVKDPVCPDDKDIKSDSGSVHFVYGDCTLPSKVCPSEPTVIFSCVDDSGNWGHGGMFNALARLSASIPMAYERASEFGDLHLGDLHLIEIRDDCNGDSNDNTSPHIPQWVALAVVQSYNPRRKVPRSNISIPSLEDCLLKASYVAAQKSASIHMPRIGYQDGTDRSEWYTIERLLRKYAAMFGVKIFVYYFRRSAQG >itb04g02800.t1 pep chromosome:ASM357664v1:4:1719720:1727151:1 gene:itb04g02800 transcript:itb04g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MYEHASKESKESLPDVLQMPSLPFDVLLTTYEIALIDQEFLSQVPWHYVIIDEAQRLKNTSSVLYNVLKEQFLMPRKLLMTGTPIQNNLSELWALMHFCMPSIFGTSEQFLSAFKEAGDPSCYNAEKVKGQLKILKYILAAFMLRRTKNQLIESGTLVLPSLTEITVMAPLVALQKKLYMSILRKELPQLLALSSGGSTRSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQGSGKLLVLDHLLQKLHASGHRVLLFAQMTQTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFGQRSAKGSSDSEADQQGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMKDVLSIHLVTRRTVEEVIMRRAYRKLQLSHNIIGEDTIDRSEKETEGVEAGDLRSIVLGLQMLDPMDMSKENFDKVDMSELTAMAEKVISFRKQQQLDKFDKKFEINCMDIVNGSCSITEGVSDPVDNDPVEDENSYQSWIEKFKEALQANNTPIMELGSRRRLVEENHLKAEAARKRAEEKKLSEWEALGYHSLSVKDPVCPDDKDIKSDSGSVHFVYGDCTLPSKVCPSEPTVIFSCVDDSGNWGHGGMFNALARLSASIPMAYERASEFGDLHLGDLHLIEIRDDCNGDSNDNTSPHIPQWVALAVVQSYNPRRKVPRSNISIPSLEDCLLKASYVAAQKSASIHMPRIGYQDGTDRSEWYTIERLLRKYAAMFGVKIFVYYFRRSAQG >itb15g01360.t2 pep chromosome:ASM357664v1:15:814133:817763:-1 gene:itb15g01360 transcript:itb15g01360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPPPPQAPPNEQQLPPQQQLPPLQSAALPTAPPLTSSSAAPSSLSLPPPAATDTVRSPNPNLNPALNISQSRPASQPATSFSRPWQQPSPFQHFSLPPPPPPPGPHSASASASAAPSSMPPPPRGGMAIGVPAHHVGPGPPPPPASFSSLAPPSFGQQFGGLGRNVPDSVPTSSSSQVRQPIQGMQGVGIMGAIGSSSAMRAAGVPQHPLRSITSSLRAQAVTQSPGSQNFQGHGMLRVQSVGSPISPATSQSPQSQNQPWLSSAAQGKPPLPPPSLRPQMSPQLLQQRSHIPPQHHHTMPTSPQPQHTSSAQQSQPSSSVPAPENLAQQVQPSRNQQSFPNQPPIARGQGLGIQRPPSLATLQPGSVQAGSLFRTAAVETEEPCNRILSKRSIQELVTQIDPSEKLDPDVEDILLDIAEDFVESITTFGCSLAKHRKSSVLESKDILINVERNWNISLPGFSGDEIRTYKKPFTTDIHRERLAVIKKSVATAEASNSKSGAGQGGNLKSHMGKAPANIMSPPNTKT >itb15g01360.t3 pep chromosome:ASM357664v1:15:814133:817763:-1 gene:itb15g01360 transcript:itb15g01360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPPPPQAPPNEQQLPPQQQLPPLQSAALPTAPPLTSSSAAPSSLSLPPPAATDTVRSPNPNLNPALNISQSRPASQPATSFSRPWQQPSPFQHFSLPPPPPPPGPHSASASASAAPSSMPPPPRGGMAIGVPAHHVGPGPPPPPASFSSLAPPSFGQQFGGLGRNVPDSVPTSSSSQVRQPIQGMQGVGIMGAIGSSSAMRAAGVPQHPLRSITSSLRAQAVTQSPGSQNFQGHGMLRVQSVGSPISPATSQSPQSQNQPWLSSAAQGKPPLPPPSLRPQMSPQLLQQRSHIPPQHHHTMPTSPQPQHTSSAQQSQPSSSVPAPENLAQQVQPSRNQQSFPNQPPIARGQGLGIQRPPSLATLQPGSVQAGSLFRTAAVETEEPCNRILSKRSIQELVTQIDPSEKLDPDVEDILLDIAEDFVESITTFGCSLAKHRKSSVLESKDILINVGQ >itb15g01360.t4 pep chromosome:ASM357664v1:15:814575:817763:-1 gene:itb15g01360 transcript:itb15g01360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPPPPQAPPNEQQLPPQQQLPPLQSAALPTAPPLTSSSAAPSSLSLPPPAATDTVRSPNPNLNPALNISQSRPASQPATSFSRPWQQPSPFQHFSLPPPPPPPGPHSASASASAAPSSMPPPPRGGMAIGVPAHHVGPGPPPPPASFSSLAPPSFGQQFGGLGRNVPDSVPTSSSSQVRQPIQGMQGVGIMGAIGSSSAMRAAGVPQHPLRSITSSLRAQAVTQSPGSQNFQGHGMLRVQSVGSPISPATSQSPQSQNQPWLSSAAQGKPPLPPPSLRPQMSPQLLQQRSHIPPQHHHTMPTSPQPQHTSSAQQSQPSSSVPAPENLAQQVQPSRNQQSFPNQPPIARGQGLGIQRPPSLATLQPGSVQAGSLFRTAAVETEEPCNRILSKRSIQELVTQIDPSEKLDPDVEDILLDIAEDFVESITTFGCSLAKHRKSSVLESKDILINVGQ >itb15g01360.t1 pep chromosome:ASM357664v1:15:814133:817763:-1 gene:itb15g01360 transcript:itb15g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPPPPQAPPNEQQLPPQQQLPPLQSAALPTAPPLTSSSAAPSSLSLPPPAATDTVRSPNPNLNPALNISQSRPASQPATSFSRPWQQPSPFQHFSLPPPPPPPGPHSASASASAAPSSMPPPPRGGMAIGVPAHHVGPGPPPPPASFSSLAPPSFGQQFGGLGRNVPDSVPTSSSSQVRQPIQGMQGVGIMGAIGSSSAMRAAGVPQHPLRSITSSLRAQAVTQSPGSQNFQGHGMLRVQSVGSPISPATSQSPQSQNQPWLSSAAQGKPPLPPPSLRPQMSPQLLQQRSHIPPQHHHTMPTSPQPQHTSSAQQSQPSSSVPAPENLAQQVQPSRNQQSFPNQPPIARGQGLGIQRPPSLATLQPGSVQAGSLFRTAAVETEEPCNRILSKRSIQELVTQIDPSEKLDPDVEDILLDIAEDFVESITTFGCSLAKHRKSSVLESKDILINVERNWNISLPGFSGDEIRTYKKPFTTDIHRERLAVIKKSVATAEASNSKSGAGQGGNLKSHMGKAPANIMSPPNTKT >itb02g18610.t1 pep chromosome:ASM357664v1:2:15005028:15006484:1 gene:itb02g18610 transcript:itb02g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSKLVLCNLLTTIIVVVIIIIALCNFQIETSGNPAILFKTHPNVNKELFSNENILGLKDPNRPFPAGQSGDGVGLLKWRMQSTDESILPLTLNCWPSVSGNETYVNIEYETPANIDLQNVIISVPLPALREAPNVQQIDGDWRYDSRNSVLEWSIVLIDASNRSGSLEFVVPAADPSSFFPISARFSSSKTFSDLEVTNILPLKGGATPKFSQRTQLVTENYQVV >itb13g00240.t3 pep chromosome:ASM357664v1:13:182004:185527:1 gene:itb13g00240 transcript:itb13g00240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPLLSSSSLQYTFPSLSSLSPKPTLTLTLAPYRYRSRLILSQVSHHHQPPPVVIDRSLLNVSEAKSESELWAAASLRVRTFYVSHNDSINIEEHKKYLAEREFEALKERIAGKRLGFGRVSCINATVPLSQVSSVSLDLCTSYKCPDNMENRVVIGSLDLNQCISLPDEIVGMKPKGIGADFARAYLSNVCVAKELRRNGLGYALIAQARMVAEEWGISDLYVHVAIDNEPALNLYKKCGFVYESEEPAWQARFLDRPRRLLLWMGLPVPYDL >itb13g00240.t2 pep chromosome:ASM357664v1:13:182004:185527:1 gene:itb13g00240 transcript:itb13g00240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPLLSSSSLQYTFPSLSSLSPKPTLTLTLAPYRYRSRLILSQVSHHHQPPPVVIDRSLLNVSEAKSESELWAAASLRVRTFYVSHNDSINIEEHKKYLAEREFEALKERIAGKRLGFGRVSCINATVPLSQVSSVSLDLCTSYKCPDNMENRVVIGSLDLNQCISLPDEIVGMKPKGIGADFARAYLSNE >itb13g00240.t1 pep chromosome:ASM357664v1:13:182004:185685:1 gene:itb13g00240 transcript:itb13g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPLLSSSSLQYTFPSLSSLSPKPTLTLTLAPYRYRSRLILSQVSHHHQPPPVVIDRSLLNVSEAKSESELWAAASLRVRTFYVSHNDSINIEEHKKYLAEREFEALKERIAGKRLGFGRVSCINATVPLSQVSSVSLDLCTSYKCPDNMENRVVIGSLDLNQCISLPDEIVGMKPKGIGADFARAYLSNVCVAKELRRNGLGYALIAQARMVAEEWGISDLYVHVAIDNEPALNLYKKCGFVYESEEPAWQARFLDRPRRLLLWMGLPVPYDFVLHK >itb03g09250.t3 pep chromosome:ASM357664v1:3:7103971:7110837:1 gene:itb03g09250 transcript:itb03g09250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLSSELQPPVLPSSTVAAKPILDSTLILLCFLRTLMSMPSALSRPCISIQSQRPRRSFSSTAGARNSFHFGERSVGVHSVSLSSFSCDRAMRLLSRCKVYGETEGAGIDASSAVKDELFVRFFREAWPYFLAHRGSTFVVLISAEIVDSPHLDPLLMDISLLHGLGIKFVLVPGTHVQIDRLLAEQGSEPKYVGRYRVTDSYSLEAAMSAAGRIRIMLEAKLSPGPSLSGVRRHGDNNRWHDGVSVASGNYLAAKRRGVVEGVDYGSTGEVKKIDVSRIRTRLDRDCIVLISNLGYSSSGQVLNCNTYEVATACALALGAEKLICIIDGPILDQSGRLIRFLTLQDADLLVRKRAEQSETAANYVKAVGQDELAQSGYKGSNGSVHSENGNGLSHRYNATFQNGVGFDNGNGLWSSEQGFAIGGQERLSRLYGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARADDIPGIKQLLQPLEESGTLIRRTEEELLDMLDSFIVVEREGQIIACTALIPHYKEKCGEVAAIAVSPDCRGQGKGDKLLDYVEKKACSLGLEMLFLLTTRTADWFIRRGFSECSIDNIPEERRKKINLSRRSKYYMKKLLPDRSGIRLDNAFT >itb03g09250.t1 pep chromosome:ASM357664v1:3:7104054:7110837:1 gene:itb03g09250 transcript:itb03g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLLHGLGIKFVLVPGTHVQIDRLLAEQGSEPKYVGRYRVTDSYSLEAAMSAAGRIRIMLEAKLSPGPSLSGVRRHGDNNRWHDGVSVASGNYLAAKRRGVVEGVDYGSTGEVKKIDVSRIRTRLDRDCIVLISNLGYSSSGQVLNCNTYEVATACALALGAEKLICIIDGPILDQSGRLIRFLTLQDADLLVRKRAEQSETAANYVKAVGQDELAQSGYKGSNGSVHSENGNGLSHRYNATFQNGVGFDNGNGLWSSEQGFAIGGQERLSRLYGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARADDIPGIKQLLQPLEESGTLIRRTEEELLDMLDSFIVVEREGQIIACTALIPHYKEKCGEVAAIAVSPDCRGQGKGDKLLDYVEKKACSLGLEMLFLLTTRTADWFIRRGFSECSIDNIPEERRKKINLSRRSKYYMKKLLPDRSGIRLDNAFT >itb03g09250.t4 pep chromosome:ASM357664v1:3:7103971:7109565:1 gene:itb03g09250 transcript:itb03g09250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLSSELQPPVLPSSTVAAKPILDSTLILLCFLRTLMSMPSALSRPCISIQSQRPRRSFSSTAGARNSFHFGERSVGVHSVSLSSFSCDRAMRLLSRCKVYGETEGAGIDASSAVKDELFVRFFREAWPYFLAHRGSTFVVLISAEIVDSPHLDPLLMDISLLHGLGIKFVLVPGTHVQIDRLLAEQGSEPKYVGRYRVTDSYSLEAAMSAAGRIRIMLEAKLSPGPSLSGVRRHGDNNRWHDGVSVASGNYLAAKRRGVVEGVDYGSTGEVKKIDVSRIRTRLDRDCIVLISNLGYSSSGQVLNCNTYEVATACALALGAEKLICIIDGPILDQSGRLIRFLTLQDADLLVRKRAEQSETAANYVKAVGQDELAQSGYKGSNGSVHSENGNGLSHRYNATFQNGVGFDNGNGLWSSEQGFAIGGQERLSRLYGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARADDIPGIKQLLQPLEESGTLIRRTEEEV >itb03g09250.t2 pep chromosome:ASM357664v1:3:7104054:7110837:1 gene:itb03g09250 transcript:itb03g09250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGRIRIMLEAKLSPGPSLSGVRRHGDNNRWHDGVSVASGNYLAAKRRGVVEGVDYGSTGEVKKIDVSRIRTRLDRDCIVLISNLGYSSSGQVLNCNTYEVATACALALGAEKLICIIDGPILDQSGRLIRFLTLQDADLLVRKRAEQSETAANYVKAVGQDELAQSGYKGSNGSVHSENGNGLSHRYNATFQNGVGFDNGNGLWSSEQGFAIGGQERLSRLYGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARADDIPGIKQLLQPLEESGTLIRRTEEELLDMLDSFIVVEREGQIIACTALIPHYKEKCGEVAAIAVSPDCRGQGKGDKLLDYVEKKACSLGLEMLFLLTTRTADWFIRRGFSECSIDNIPEERRKKINLSRRSKYYMKKLLPDRSGIRLDNAFT >itb03g25400.t1 pep chromosome:ASM357664v1:3:24427702:24439605:-1 gene:itb03g25400 transcript:itb03g25400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVALLDATVNAFYGTGSKEERAAADHILRDLQNNPDMWLQVVHILSNTQNLNSKFFALQVLEGVIKYRWNALPVEQRDGMKNYISELIVKLSSDEASLRRERLYLNKLNVILVQILKHEWPTRWRSFIPDLVAAARTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPVHAYRNLTLQCLTEVAALSFGDFYNMQYVKMYTIFMAQLQTILPSNTNIPEAYAQGTNEDQAFIQNLALFFTSFFKSHIRVLENSQENINALLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNVDNPAMTAALMGLQMPLLPGMADGLGAQQMQRRQLYAGPMSKLRLLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLRKLSKQLDGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIIQVGENEPFVSELLTTLPTTIADLEPHQIHSFYESVGNMIQAESDAQKRDEYLQRLMQLPNQKWAEIIGQARVSVDYLKDQDVIRVVLNILQTNTSVASSLGTYFLSQITLIFLDMLNVYRMYSELISTSIAQGGPFASRTSVVKLLRSVKRETLKLIETFLDKAEDQPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKSTMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVESGMLTEPLWDASTVSYSYPNNAVFVREYTIKLLSTSFPNMTSSEVTQFVSALFESTTDLSVFKNNIRDFLVQSKEFSAQDNKDLYAEEAAAQRERDRQRMLSIPGLIAPNEIQDEMVDS >itb05g10180.t1 pep chromosome:ASM357664v1:5:15136191:15136596:1 gene:itb05g10180 transcript:itb05g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALVAAIQAASLASSSMARLGCRRRQVFAPRRRPAFSSPPSDGLSLLLLRRRTCWSRSRRHSRTSRERRHIASGLGGGGEELVFLFSAEGCCLAPFS >itb01g30130.t1 pep chromosome:ASM357664v1:1:34216483:34224268:1 gene:itb01g30130 transcript:itb01g30130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGRLIAGSHNRNEFVLINADEIGRVTSVKELSGQSCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEDDFDDLDHEFDYNSNVRRDPHHVAEAALAARLNAYGVNGSGIMTPSEADPSAPGSEIPLLTYGEEDDGISADKHALIIPPFMGRGKKVHPVPFSDTASVTSLPPRPMDPKKDLAVYGYGTVAWKERMEEWKKKQGDKLQVVKHEGDKGGGKNGGDEPDDPDLLKMDEGRQPLSRKIPIPSSKLSPYRLIILLRMVILGLFFHYRILHPVNDAYGLWLTSIICEIWFAVSWIFDQFPKWFPIQRETYLDRLSLRYDKEGKPSELAHIDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKRYCIEPRAPEWYFAQKIDYLRNKVDPTFVRERRAIKREYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVHDIEGNELPRLIYVSREKRPGYEHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKKAKPPGKTCNCWPKLCCCCCGSRRKNTKGKSKDKKKTKAKEASTQIHALENIEEGIEGIDSVKASLMPQIKLEKKFGQSPVFVASTLLENGGIPPGATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGCGLKPLERFSYINSVVYPLTSIPLIAYCSLPAVCLLTGKFIVPEISNYASILFMAMFISIAATSIMEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVSTNFTVTSKAADDGEFSELYLFKWTSLLIPPMTLLIINIIGVIVGVSDAINNGYDSWGPLFGKLFFAIWVIVHLYPFLKGLMGKQNGVPTIIVVWSILLASIFSLLWVRINPFVSRDGLVLEVCGLDCE >itb05g04360.t1 pep chromosome:ASM357664v1:5:3898536:3905929:1 gene:itb05g04360 transcript:itb05g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPCVAEVKVESYVFPATAKPPGTAKTLILGGAGARGLNIDGKFVKFTAIGVYLEADAVPSLAVKWNGKSAEELTDSVQFFRDIVTGPFEKLTRITMILPLSGKQYSEKVSENCVAFWKAAGIYGDAESKAIEKFNDVFSDQMFPPGASIFFTQSPLGSLTISFSKDGSMPEIASAVIENKPLSEAVLESIIGVKGVSPEAKQSLAVRLSELFKNGINGGDAISGKVGCENDAIPQAVVSK >itb02g01520.t1 pep chromosome:ASM357664v1:2:849800:852997:-1 gene:itb02g01520 transcript:itb02g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKGLVIQQPHSALDQENMSNLTSASGEASLSDTNGGIYPQQQQVFGSQPVKKKRNQPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVRKKVYVCPEPSCVHHEPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARRVMTGGSQIHPSQPGCSSASNINLQFPAFQAFPPPQIGGHGDHSPSPAFPSVKKEQQNYNGGGFNLRPEIPPWLITCQPLVGATHGPPPPLFHPGGLEFHHEFTQMAQNPNPNLGGGPTLPPFHQTASSPQISATALLQKAAQMGATGIGSGTASSVAPPMLMIGAHTAHVSADQANNLSSRDTARDDVISDNNGLPIKSSSAAAPPSHGGAFLHDITAAATSFSSSTTDPHGFDASSFEDPFGGIGGANDDMTKDFLGLRPLSHSDIFNITSLVDNPASDHHHHHHHHQPQVQKAWPS >itb02g01520.t2 pep chromosome:ASM357664v1:2:849800:852997:-1 gene:itb02g01520 transcript:itb02g01520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKGLVIQQPHSALDQENMSNLTSASGEASLSDTNGGIYPQQQQVFGSQPVKKKRNQPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVRKKVYVCPEPSCVHHEPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARRVMTGGSQIHPSQPGCSSASNINLQFPAFQAFPPPQIGGHGDHSPSPAFPSVKKEQQNYNGGGFNLRPEIPPWLITCQPLVGATHGPPPPLFHPGGLEFHHEFTQMAQNPNPNLGGGPTLPPFHQTASSPQISATALLQKAAQMGATGIGSGTASSVAPPMLMIGAHTAHVSADQANNLSSRDTARDDVISDNNGLPIKSSSAAAPPSHGGAFLHDITAAATSFSSSTTDPHGFDASSFEDPFGGIGGANDDMTKDFLGLRPLSHSDIFNITSLVDNPASDHHHHHHHHQPQVQKAWPS >itb02g01520.t3 pep chromosome:ASM357664v1:2:849800:852912:-1 gene:itb02g01520 transcript:itb02g01520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKGLVIQQPHSALDQENMSNLTSASGEASLSDTNGGIYPQQQQVFGSQPVKKKRNQPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVRKKVYVCPEPSCVHHEPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARRVMTGGSQIHPSQPGCSSASNINLQFPAFQAFPPPQIGGHGDHSPSPAFPSVKKEQQNYNGGGFNLRPEIPPWLITCQPLVGATHGPPPPLFHPGGLEFHHEFTQMAQNPNPNLGGGPTLPPFHQTASSPQISATALLQKAAQMGATGIGSGTASSVAPPMLMIGAHTAHVSADQANNLSSRDTARDDVISDNNGLPIKSSSAAAPPSHGGAFLHDITAAATSFSSSTTDPHGFDASSFEDPFGGIGGANDDMTKDFLGLRPLSHSDIFNITSLVDNPASDHHHHHHHHQPQVQKAWPS >itb11g10340.t1 pep chromosome:ASM357664v1:11:7106958:7108374:-1 gene:itb11g10340 transcript:itb11g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGAEIIRIKIPMTSNSFKPEAELVFSNPSQPLPLEMGLFSHNHIVYMVGGYHGRRAVGVDSPKVVEADGLQYFDRVYMFDPTKFDEIPLENIKSLQNLNLNCERMVYPNVIRAEDRIYLLSLRDFFCDHLDMIGPAFDFQYFDPNKNLVKTLPPPPVLRDYKMDLVRLGADCHFFLRGYIYVFITDAETCFQTFKFNTINSDWEECDSMVDKFKEKNIPFPFLHVGDMGISNELTDNTRILVALNGDALPIAYNVHLSDKGDIDPISHRVLAELYTSDFDLYGLENDWKQLADMGGGRFCVMCCALDEVFLIYGFKIEFDLEWTIQRNKTKVSSSCIIFKMEFNHNYPIPLKRSLTGFCIASAPPPLASPSSAPPPLASPDDEDQDKNDRKSKRKGGSFVYLLPTMTAMSALLLLHLIRGR >itb13g16040.t1 pep chromosome:ASM357664v1:13:22949263:22954186:1 gene:itb13g16040 transcript:itb13g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYMETPKGHHLDFQKNPSFASSSLRDVTYSCGCCGYELNLCSSSRNTSTIGSKYNKCIKKGIISFLYIDESRFTQVKEVRCMPYFVSKHSWGFFPRRTKLLCRKCGNHVGTAYDNDVAYPLVADELDSTIPDETATHRRYDIKIRSLQPSSEDPRTPAVI >itb15g10510.t3 pep chromosome:ASM357664v1:15:8271671:8275616:1 gene:itb15g10510 transcript:itb15g10510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSTQRCFIRNSLHSPTCPSNTPTQQASPIISRRSAVILISVLPLTQLPPQPLLARERRNRKNIPLEDYQTTSDGLKYYDLVEGSGPVAEKGSTVQVHFDCVYRGITAVSSRESKLLAGNRIIAQPYEFQVGAPPGKERKRDFVDNPNGLFSAQAAPKPPKAMYKITEGMKVGGKRTVIVPPEEGYGPKGMSEIPVRTEIHCCIYSQMLQYDYHQLLWTLSQATELSIACYVFPINVIYIIELEPVPIYIYILALGPTRYTFEVVPSIV >itb15g10510.t4 pep chromosome:ASM357664v1:15:8271671:8277128:1 gene:itb15g10510 transcript:itb15g10510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSTQRCFIRNSLHSPTCPSNTPTQQASPIISRRSAVILISVLPLTQLPPQPLLARERRNRKNIPLEDYQTTSDGLKYYDLVEGSGPVAEKGSTVQVHFDCVYRGITAVSSRESKLLAGNRIIAQPYEFQVGAPPGKERKRDFVDNPNGLFSAQAAPKPPKAMYKITEGMKVGGKRTVIVPPEEGYGPKGMSEIPPGETFQLNIELLQVATPERKQ >itb15g10510.t1 pep chromosome:ASM357664v1:15:8271671:8277128:1 gene:itb15g10510 transcript:itb15g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSTQRCFIRNSLHSPTCPSNTPTQQASPIISRRSAVILISVLPLTQLPPQPLLARERRNRKNIPLEDYQTTSDGLKYYDLVEGSGPVAEKGSTVQVHFDCVYRGITAVSSRESKLLAGNRIIAQPYEFQVGAPPGKERKRDFVDNPNGLFSAQAAPKPPKAMYKITEGMKVGGKRTVIVPPEEGYGPKGMSEIPPGETFQLNIELLQVATPERKQ >itb15g10510.t2 pep chromosome:ASM357664v1:15:8271671:8275847:1 gene:itb15g10510 transcript:itb15g10510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSTQRCFIRNSLHSPTCPSNTPTQQASPIISRRSAVILISVLPLTQLPPQPLLARERRNRKNIPLEDYQTTSDGLKYYDLVEGSGPVAEKGSTVQVHFDCVYRGITAVSSRESKLLAGNRIIAQPYEFQVGAPPGKERKRDFVDNPNGLFSAQAAPKPPKAMYKITEGMKVGGKRTVIVPPEEGYGPKGMSEIPPGETFQLNIELLQVATPERKQ >itb12g27160.t1 pep chromosome:ASM357664v1:12:27706567:27709635:-1 gene:itb12g27160 transcript:itb12g27160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFEGDDDDVEKLSKIEINKEFARRFEHNKKREDLQRFEELKKKGLVDEDDSSDESEDVDDDEDFGKPISEKDAEFFNALIKIRNKDPILQNKDAKLFESESESEPDSEADDKKKEKAKKKMYLKDVASKHLIEEGPEFNDEDEEEDKDKMKVKTYSEEQEELRKEFLDSVEKMEMENERDGEDFFKVKERGDEDVEDDEDAEEFSKKLDEYFGEDDKLDENDRFLKDYFRNKMWMNSDEKVGKEDDVGLEFSEDEEEIEKQEDYERDFNFRFEENAGDRVWGHSRKVEGSVRKKMNARKLQRERKEERMAKEEDERKEELKRLKNLKKKEMKEKLQKIKETAGIGDDGVCLLDEDDLEEEFNPEEYDKKMKKAFGEAYYETEDVNPDFGSDEDADEGDLEKPDFDKEDELLGLPKGWDEMNQPREGFLSVRERILKSQENTGEEHETVDEEDGVSEEGKRKKKRRKDSVVMQAAKDQLMEEYYKLDYEDAIGDLKTRFKYKPVNAKRFGLSTEEMLILDDKELNQYVPLKTLAPYRDKDWKVPRAKRHQQKQKIKELIQGKTLDIRKNEKKRPRDQETTIVANYTENEKPHLEGSNGDTSTLSRKKRRKMRLAELKTSHRRLLAFGFNKKK >itb12g27160.t2 pep chromosome:ASM357664v1:12:27707260:27707745:-1 gene:itb12g27160 transcript:itb12g27160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKDQLMEEYYKLDYEDAIGDLKTRFKYKPVNAKRFGLSTEEMLILDDKELNQYVPLKTLAPYRDKDWKVPRAKRHQQKQKIKELIQGKTLDIRKNEKKRPRDQETTIVANYTENEKPHLEGSNGDTSTLSRKKRRKMRLAELKTSHRRLLAFGFNKKK >itb12g01110.t1 pep chromosome:ASM357664v1:12:783107:788772:1 gene:itb12g01110 transcript:itb12g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22480) UniProtKB/Swiss-Prot;Acc:Q8VYN6] MESLSSAIGPRTVLPPARKVHCRNNHLFSTPLRRSSAVSRNSRSRTLSAVRVKAAENAKSSIDFSDPDWKLKYQKEFESRFNIPHITDVFPDAVSYPSTFCLRMRTPVSEEFAQGYPSDEKWNGYINNNDRVLLKTIYYSSPTSAGAECIDPSCTWVEQWVHRAGPREKIYYKPEEVKAAIVTCGGLCPGLNDVIRHIVITLEIYGVKKIVGIPFGYRGFSDEDLAEMPLSRKVVQNIHLSGGSLLGVSRGGPKVTDIVDSIEQRGINMLFVLGGNGTHAGANAIHNECLKRRLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMHASLASGQIDICLIPEVPFNLHGPHGVLRHLKYLLETKGSAVLCVAEGAGQNFLQKTNATDASGNAVLGDIGVHIQQEVKKYFREIGVPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISQARCVDHNSRMWHRCLTSTGQPDFI >itb13g19570.t2 pep chromosome:ASM357664v1:13:26540653:26544541:1 gene:itb13g19570 transcript:itb13g19570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCSLPCFKSSPAKSISSFSSPPSHPLHLRLTSVPSLPKSSSLHLDQTLPRPRHSRPPQAYTPGFVVVASANKAEPLRIMISGAPASGKGTQWTENGKRAKEYMEKGQLVPDEVVVMMVKDRLMQPDSQEKGWLLDGYPRSLSQATALKEYGFQPDLFILLEVHEEKLVERVIGRRLDPVTGKIYHLKYSPPETDEISARLTQRFDDTEEKVKLRLQTHNQNVDSVLAIYEDITFKVDGSVSKVEVFALIDDALAKVLEQKQSKLGHVAA >itb13g19570.t1 pep chromosome:ASM357664v1:13:26540653:26544541:1 gene:itb13g19570 transcript:itb13g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCSLPCFKSSPAKSISSFSSPPSHPLHLRLTSVPSLPKSSSLHLDQTLPRPRHSRPPQAYTPGFVVVASANKAEPLRIMISGAPASGKGTQCELIAKKYDLVHISAGDLLRAEISAGTENGKRAKEYMEKGQLVPDEVVVMMVKDRLMQPDSQEKGWLLDGYPRSLSQATALKEYGFQPDLFILLEVHEEKLVERVIGRRLDPVTGKIYHLKYSPPETDEISARLTQRFDDTEEKVKLRLQTHNQNVDSVLAIYEDITFKVDGSVSKVEVFALIDDALAKVLEQKQSKLGHVAA >itb13g19570.t3 pep chromosome:ASM357664v1:13:26540653:26542219:1 gene:itb13g19570 transcript:itb13g19570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCSLPCFKSSPAKSISSFSSPPSHPLHLRLTSVPSLPKSSSLHLDQTLPRPRHSRPPQAYTPGFVVVASANKAEPLRIMISGAPASGKGTQWTENGKRAKEYMEKGQLVPDEVVVMMVKDRLMQPDSQEKGWLLDGYPRSLSQATALKEYGFQPDLFILLEVRFYHL >itb13g19570.t4 pep chromosome:ASM357664v1:13:26540653:26542219:1 gene:itb13g19570 transcript:itb13g19570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCSLPCFKSSPAKSISSFSSPPSHPLHLRLTSVPSLPKSSSLHLDQTLPRPRHSRPPQAYTPGFVVVASANKAEPLRIMISGAPASGKGTQCELIAKKYDLVHISAGDLLRAEISAGTENGKRAKEYMEKGQLVPDEVVVMMVKDRLMQPDSQEKGWLLDGYPRSLSQATALKEYGFQPDLFILLEVRFYHL >itb06g23050.t1 pep chromosome:ASM357664v1:6:25190753:25192768:-1 gene:itb06g23050 transcript:itb06g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQTPAPAADHSQTHPPKSEPQSHSSDYAPYPKLDPNDTVPVSLSSDPPLQQQQQPRSPPAAGGPAPIAGNAATTMPSESNPYVSPAPASGPGASVKNTMDTMKEVLGKWGKKAVEATKKGQDLAGNMWQHLKTGPSMTDAAVGRLAQGTKILTEGGYEKVFRQTFETVPEEKLLKAYACYLSTSAGPVMGLLYLSSAKIAFCSDSPLSYKVGEQTQWSYYKVVLPIHQLKAVNPSASKVNPAEKFIQIISVDNHEFWFMGFVNYDNAVKSLQGILSGDS >itb01g02490.t1 pep chromosome:ASM357664v1:1:1616888:1619325:-1 gene:itb01g02490 transcript:itb01g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEPGPEFMKDIYSLRDFVLKQVEDQVHVTTMTGSDFITAVQCFLDIINGDNGVPTIKSSWESFTEARGEKTYAEAVKFYMSGFASDPFPSFENGVTKDECQSAYSSAIEAYVSAIDNFMPREEAVKSSKDAFQKAAEISKCKFKKAAEISKHAFQEATGNEEVAAQYNSTILRKYNKDLILAIAKRFEWDGWSRHIGQNFEIIPNLRVSIKEVINEYSVMGLLGEDKLKIGMLAIKTPRKVDIATPFLCLGDVSEIIKDWLIIHECEFTSSLTLLLESMKMVPKKVFLCDG >itb13g16270.t3 pep chromosome:ASM357664v1:13:23204673:23206434:1 gene:itb13g16270 transcript:itb13g16270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTGKSDVFLDWNTRFQIILGVARGLQYLHEDSHIRIVHRDIKASNILLDDKFLPKIGDFGLARFFPEDQAYLSTNFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWRLYERSKLMELVDPKLRENEFPEKDVLHTILVAFLCLQPHANVRPPMSEIVARLTCKVEVGEIPMRPAFLDRKRRVQDKLSWDTISEAFPSPLRSSSPSLSQPAK >itb13g16270.t2 pep chromosome:ASM357664v1:13:23203296:23206434:1 gene:itb13g16270 transcript:itb13g16270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGRLTAVKKLSADKSQQGETEFLSEVKLITSIQHKNLVRLLGCCSDGAQRLLVYEYMKNRSLDLLIYGKSDVFLDWNTRFQIILGVARGLQYLHEDSHIRIVHRDIKASNILLDDKFLPKIGDFGLARFFPEDQAYLSTNFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWRLYERSKLMELVDPKLRENEFPEKDVLHTILVAFLCLQPHANVRPPMSEIVARLTCKVEVGEIPMRPAFLDRKRRVQDKLSWDTISEAFPSPLRSSSPSLSQPAK >itb13g16270.t1 pep chromosome:ASM357664v1:13:23202847:23206434:1 gene:itb13g16270 transcript:itb13g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVLEVISPTPPYMVAEQRGESNMTSPGLFFFLGSVVVFFILLIILFVLWKFVKFPHLRALVDRVKKPPAREDFLGGNTISHFDFQTLKKATKNFHPSNLLGRGGFGPVFLGMLADGRLTAVKKLSADKSQQGETEFLSEVKLITSIQHKNLVRLLGCCSDGAQRLLVYEYMKNRSLDLLIYGKSDVFLDWNTRFQIILGVARGLQYLHEDSHIRIVHRDIKASNILLDDKFLPKIGDFGLARFFPEDQAYLSTNFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSEMQYLPEYAWRLYERSKLMELVDPKLRENEFPEKDVLHTILVAFLCLQPHANVRPPMSEIVARLTCKVEVGEIPMRPAFLDRKRRVQDKLSWDTISEAFPSPLRSSSPSLSQPAK >itb15g07630.t1 pep chromosome:ASM357664v1:15:5226172:5227335:1 gene:itb15g07630 transcript:itb15g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLIDRASQAGSEPDEVMGQSKSSSCLRTLIMWTLASHVRWFNIAAEYALFLLMTYLTDAWGLGFAYAAGIINIWTGLSKLLSLYGASMVDKFGNYRVLLYSSIVYSIVSTIFIFTYIE >itb05g02920.t2 pep chromosome:ASM357664v1:5:2348413:2352080:-1 gene:itb05g02920 transcript:itb05g02920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGWIGGVVGLILAVAISLYASTLIAKLHELGGKRHIRYRDLAGFIYGPTAYSLIWALQYANLFLINIGYIIMGGQSLKAFYTLFRDDHVMKLPYFIMIAGVACLLFAIAIPHLSALRIWLGVSTILSMVYIIAPCVLALKDGINSPPRDYGIPGTKVSRIFTTVGTAGNLVFAFNTGMIPEIQATVKQPAVKNMLKALYFQFTVGVVPMLAVTFIGYWAYGSSSSVYLLNNVHGPLWVKAFANLCAFLQAVISLHIFASPTYEFLDTKYGIKGGALLLRNLGFRTLVRGGYLVFTTFLAALLPFLGDFMSLTGATSVFPLTFILANLMYLTANGSKLSSLQKSWHWLLVTFFGLLSVAAFVAAIRLIVVDSRTYHLFADL >itb05g02920.t3 pep chromosome:ASM357664v1:5:2348413:2351753:-1 gene:itb05g02920 transcript:itb05g02920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGWIGGVVGLILAVAISLYASTLIAKLHELGGKRHIRYRDLAGFIYGPTAYSLIWALQYANLFLINIGYIIMGGQSLKAFYTLFRDDHVMKLPYFIMIAGVACLLFAIAIPHLSALRIWLGVSTILSMVYIIAPCVLALKDGINSPPRDYGIPGTKVSRIFTTVGTAGNLVFAFNTGMIPEIQATVKQPAVKNMLKALYFQFTVGVVPMLAVTFIGYWAYGSSSSVYLLNNVHGPLWVKAFANLCAFLQAVISLHIFASPTYEFLDTKYGIKGGALLLRNLGFRTLVRGGYLVFTTFLAALLPFLGDFMSLTGATSVFPLTFILANLMYLTANGSKLSSLQKSWHWLLVTFFGLLSVAAFVAAIRLIVVDSRTYHLFADL >itb05g02920.t1 pep chromosome:ASM357664v1:5:2348321:2352085:-1 gene:itb05g02920 transcript:itb05g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDDIMMQSPGETGGDGVAIEIPETAHEVSSDSWFQVGVVLSTGVNSAYVLGYSGTIMVPLGWIGGVVGLILAVAISLYASTLIAKLHELGGKRHIRYRDLAGFIYGPTAYSLIWALQYANLFLINIGYIIMGGQSLKAFYTLFRDDHVMKLPYFIMIAGVACLLFAIAIPHLSALRIWLGVSTILSMVYIIAPCVLALKDGINSPPRDYGIPGTKVSRIFTTVGTAGNLVFAFNTGMIPEIQATVKQPAVKNMLKALYFQFTVGVVPMLAVTFIGYWAYGSSSSVYLLNNVHGPLWVKAFANLCAFLQAVISLHIFASPTYEFLDTKYGIKGGALLLRNLGFRTLVRGGYLVFTTFLAALLPFLGDFMSLTGATSVFPLTFILANLMYLTANGSKLSSLQKSWHWLLVTFFGLLSVAAFVAAIRLIVVDSRTYHLFADL >itb05g02920.t4 pep chromosome:ASM357664v1:5:2348321:2352085:-1 gene:itb05g02920 transcript:itb05g02920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGWIGGVVGLILAVAISLYASTLIAKLHELGGKRHIRYRDLAGFIYGPTAYSLIWALQYANLFLINIGYIIMGGQSLKAFYTLFRDDHVMKLPYFIMIAGVACLLFAIAIPHLSALRIWLGVSTILSMVYIIAPCVLALKDGINSPPRDYGIPGTKVSRIFTTVGTAGNLVFAFNTGMIPEIQATVKQPAVKNMLKALYFQFTVGVVPMLAVTFIGYWAYGSSSSVYLLNNVHGPLWVKAFANLCAFLQAVISLHIFASPTYEFLDTKYGIKGGALLLRNLGFRTLVRGGYLVFTTFLAALLPFLGDFMSLTGATSVFPLTFILANLMYLTANGSKLSSLQKSWHWLLVTFFGLLSVAAFVAAIRLIVVDSRTYHLFADL >itb08g14410.t1 pep chromosome:ASM357664v1:8:16201880:16203974:-1 gene:itb08g14410 transcript:itb08g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMPEFSHSSFNSRARAFIDDSNVVPMVRWLKHNSLSFPQIGNLVKHNSLSFPQIGNLVCKSRRDVTYIRRFAEWLKWVNVKWRFIGVAMLRSGENVFSRNFDDLDENIEYLEKNGIRRDWIGFVISRCPEILSFSMEELKMCVEFYLNLGMNENDFGTMVLDYPKVLGYLSMEEMNQTVAYLKEFGLSNEDVGRVIALKPHLMGCNIEEKWKPLVKFFYYIGISKEGMRRILIARPIVFCIDLQNTIVPKVQFLREIGVQEDASGDVLARFPRIFTYSLEKKIRPTVIFLLTKAGVSQRNVGKVIAFQPELLGCSIAHKLDPNVKFFLSLGIPLRKLGEMITDYPLILRYDIEKHLRPKYKYLRRTMVRPLEDLIEFPRFFSYSLEDRIIP >itb05g11520.t1 pep chromosome:ASM357664v1:5:17589751:17594607:1 gene:itb05g11520 transcript:itb05g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L15, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25920) UniProtKB/Swiss-Prot;Acc:P25873] MASLLSLSSPISSTSSGAVYPQLCSAFKGNVSTLKANRSQFRCLKLSNQKPSSRTSFTVFNQAPVLAATMTAGVRFRLNNLGPQPGSTKNRKRKGRGHSAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYVPVNLKDIEAAGFGEGEEVSLESLKEKGIINPSGRERRLPLKILGDGELSVKLSLKARAFSAAAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAAAESAGSSS >itb08g14620.t1 pep chromosome:ASM357664v1:8:16362723:16365746:1 gene:itb08g14620 transcript:itb08g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSEKKGKKVIGKNSKRNLNGGSDSPQVEVEGYSQSNLERRPCMMPLLPIPNSESSSKASLILSGTCKGVTGPPIGAFDIGVSESAYYFRVALPGVKKDPGEFNCEIERDGMVHIRGVTSTGGKTVSKYYRVFTMTLLQQCPPGAFTLSFRLPGPVDPRLFSPSFGCDGIFEGVVVKS >itb03g08020.t1 pep chromosome:ASM357664v1:3:6004755:6007077:1 gene:itb03g08020 transcript:itb03g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLECDGLVIEMFQHFFSLRPLEILIQIMLEEKVFADSALKLKPYLTQAVKSLDLSLHEYSKVVTSILEGATIVVQHSRDHTLKNQLTVGSKAGVKQLLHLHMKQARHPHRAEDTQKDVCSEDVSHAANTSPKSITSNGSRTRNGGTSVQIKSVEERASWSIGPFQKLPQYPLPTHDVVLRAKSKVSKAIFDTIPHHIS >itb09g11070.t1 pep chromosome:ASM357664v1:9:6916213:6919936:-1 gene:itb09g11070 transcript:itb09g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRMAKRIVSGKAFLGQTWARTSILFPSLSRAMSTLGSSILKSPPLVSLDQHDEWSHGFSHPDNAPTQKCTDNKTVRIIDGKSIADEIRLGIAIEVSRMKESIGKVPSLAVILVGQRRDSVTYVRNKILACEEVGFRFSLDHLPVNCTEEEICDKLSSFNDDPSIHGILVQLPLPQHLNKEKILNMLSLEKDVDGFHPLNMGNLAVQGKEPLFIPCTPKGCIELLLRSDVEIKGKKAVVIGRSNIVGLPMFLLLQRHHATVTIVHAFSNNPEIIAREADILIAAAGVPNLVRGSWLKPGAVVVDVGTTPIEDPESEHGYRLIGDVCFEEAVRVASAITPVPGGVGPMTVAMLLLNTLESAKRVLRFS >itb11g03810.t1 pep chromosome:ASM357664v1:11:2044723:2048087:-1 gene:itb11g03810 transcript:itb11g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIYTLLGVCVSVGLFAFAWGVMGWVWFKPKKLEKCLKQQGLKGKPYRILSGDMKEFAKMTTDALSKPMNLSDNIAPRVIPYYVHLADKYGILLSLLDPELVKEVLNKHDLFQKPRNNPLGRKLVRGLVSYEKEQWAKHRRLINPAFYSEKLKLMQPAFLLSCSEMLSKWEGIVYGKGSSYCEVDVWPDLQALACDVISRTAFGSSYEEGKRIFELLKEQAMHFVEAVRQVYIPGWRFVPTKRNRRMNAIDKEVKSSMRVIVEKRMKAMQAGETNNDDLLGILLESNSQEIRQQGNKEFGMSIEQIIDECILFYFAGQETTSAMLVWTMVLLSRYQDWQARAREEVLQVFGDTKPDFEGLNDLKVVTMILYESLRLYSPVPGLVRKTIEETKLGEMVLPPGVLLSLPTLLIHLDTEIWGEDAKEFKPERFREGIMKATNGKQAFFPFSGGPRICIGQNFALVEAKMAMAMVLQRFSFELSPSYAHAPFRRMMTQPQHDILGSGAVQTDPKERSEEDLSELGPESELHLDHGSSADPGSVLCDFGWTCVGGRCRPRVSTLDLGFGLRSWLQVLTLGQPWTLVRP >itb02g15250.t1 pep chromosome:ASM357664v1:2:11090307:11101222:1 gene:itb02g15250 transcript:itb02g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAALEPYLLNRSAFRVLRSRRLGISAGISARSLCYAAKSAGRGYSVPYGSRILLNSERHQSRPGLSPVVSVSRGCACRNVEDGVTSVNVGLVEVLVKRGLILVALVCGVFVFGCRRVLAIEEVVSAGYGALDQTLVALRNFWPKVLPVLRVFKEQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKESENGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTEAATAAFGEAGVSAATGVMTVAVLLLTEITPKSIAVHNATEVARVVVRPVAWLSLILYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHNLWVTHQYSRVPVFEQRIDNIVGVAYAMDLLDYVQKGELLESSLVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVLRAEGIFDVDANTSIDQLSDELEIKMPEDHQYETVSGFVCEAFGYIPRTGESIKVILEKSNQEEHNDYSGKESDQGDKNEKNQIYKLEILAGNARKVSAVRFERINHDDAELETRGVRHLAPKIIAKWNKQDESNNEDDNEVSFHGKTDNGSCLSNNFVKSEHKNSHDLHNVE >itb02g15250.t4 pep chromosome:ASM357664v1:2:11090445:11094620:1 gene:itb02g15250 transcript:itb02g15250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAALEPYLLNRSAFRVLRSRRLGISAGISARSLCYAAKSAGRGYSVPYGSRILLNSERHQSRPGLSPVVSVSRGCACRNVEDGVTSVNVGLVEVLVKRGLILVALVCGVFVFGCRRVLAIEEVVSAGYGALDQTLVALRNFWPKVLPVLRVFKEQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKESENGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTEAATAAFGEAGVSAATGVMTVAVLLLTEITPKSIAVHNATEVARVVVRPVAWLSLILYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQVIQFVLWFYSASSHCLKTNMKHRSYILNANNTITDYYMIHWLLVHELNLSKIAHDFDRVLTAAFPLMHTNIQYIF >itb02g15250.t2 pep chromosome:ASM357664v1:2:11090433:11101222:1 gene:itb02g15250 transcript:itb02g15250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAALEPYLLNRSAFRVLRSRRLGISAGISARSLCYAAKSAGRGYSVPYGSRILLNSERHQSRPGLSPVVSVSRGCACRNVEDGVTSVNVGLVEVLVKRGLILVALVCGVFVFGCRRVLAIEEVVSAGYGALDQTLVALRNFWPKVLPVLRVFKEQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKESENGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTEAATAAFGEAGVSAATGVMTVAVLLLTEITPKSIAVHNATEVARVVVRPVAWLSLILYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHNLWVTHQYSRVPVFEQRIDNIVGVAYAMDLLDYVQKGELLESSLVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVLRAEGIFDVDANTSIDQLSDELEIKMPEDHQYETVSGFVCEAFGYIPRTGESIKVILEKSNQEEHNDYSGKESDQGDKNEKNQIYKLEILAGNARKVSAVRFERINHDDAELETRGVRHLAPKIIAKWNKQDESNNEDDNEVSFHGKTDNGSCLSNNFVKSEHKNSHDLHNVE >itb02g15250.t3 pep chromosome:ASM357664v1:2:11090433:11099159:1 gene:itb02g15250 transcript:itb02g15250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAALEPYLLNRSAFRVLRSRRLGISAGISARSLCYAAKSAGRGYSVPYGSRILLNSERHQSRPGLSPVVSVSRGCACRNVEDGVTSVNVGLVEVLVKRGLILVALVCGVFVFGCRRVLAIEEVVSAGYGALDQTLVALRNFWPKVLPVLRVFKEQGLVLALLLGLSAFFSMAETSITTLWPWKVRELAEKESENGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTEAATAAFGEAGVSAATGVMTVAVLLLTEITPKSIAVHNATEVARVVVRPVAWLSLILYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHNLWVTHQYSRVPVFEQRIDNIVGVAYAMDLLDYVQKGELLESSLVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKVRLIRKIRFHAIQVIIILSLVSDESLLQFITRPSPL >itb04g26730.t1 pep chromosome:ASM357664v1:4:30956913:30957752:-1 gene:itb04g26730 transcript:itb04g26730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTHFLAIPLLFIFSLLYIFWRNNTTTSQPDARPKRLPPEPAGARPFIGHLPMLMSSQVHLVRTLGGLADKYGPVFTIRIGMPRALVVSRWEAVKDCFGTYDKIFASRPASCAGTYLGYDNAALAFSTYDSYWRKVRKMVVVELLSSTKLEKLKHVWMSELTSNVKELYNHIVRNGGDNGVKVDMNEWMRHLNYNMISKIVVGRRYKFTIEHKEFMSLAGELVSADALPFRIFRWLDFEGHIKNMKRVTRTMNAFLQVWIDEHVXPDHERVSSSLDR >itb08g10540.t1 pep chromosome:ASM357664v1:8:10142678:10143208:1 gene:itb08g10540 transcript:itb08g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHNTVSVNGINMHVAEIGDGPAVLFLHGFPELWYTWRHQMLYLASKGYRAIAPDLRGYGDTDAPPSADTYSVFHIVGDVVALLDALQLPQVFLVGHDWGAIIAWQFSMFRPDRIKALVNMSVALSPRHPTRKPLENMREAFGDDFYICRFQVILLYTF >itb03g19210.t1 pep chromosome:ASM357664v1:3:17351270:17355104:-1 gene:itb03g19210 transcript:itb03g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTLITLTLLLFTLPFPGNSQPSINNPERTILLQIKNHFSNPSNLSHWTSSSDHCTWPEITCGDGSVTGIRLAYLNLNQTIPPSICDLKNLTILDLNHNLIPGPFPLALYNCSNLEILDLSFNSFNGSIPTDINRLSPRLTAFNLSSNYFTDGIPPAIGGLKQLKELQFAATFYNGSFPPEIGELVNLEVLTLYENPFAPQGIPSSFAQLKKLRNLWIQNSNLVGEIPENIIGNMTALEFLDLSGNSLSGNIPNNLFLLKNLTTVYLQVNKFSGPIPQSIEALDLNWIDFSNNTLTGKIPQDFGKLTKLEGLVLFMNQLSGEIPGSIGRLPALWDVRLFTNNLSGKIPGEFGKHSKLQTFDVSTNNLVGSLPEGLCDNKVLSSIIAFSNNLTGELPKSLGDCQTLESVRVEKNQLSGTIPDDLWAARSLSRFLISDNLFTGELPRKIASNVSLVDISNNRFSGEIPAGVSSWNNLVNFKASNNLFTGEIPQELTVLQRKSRKGKQGLDPNWKLTPFHRLNFTESNILSNLVEDNVIGSGGSGEVYLVQPLHHTGEKVAVKRIWNCKKLDRKLEKEFEAEVQILGTIRHSNIVKLLCGISSEDSMLLVYEYMENRSLDLWLHPKRRPYFASPPYCQVLEWPTRLHIATGAAQGLCYMHHGSSPPIVHRDVKSSNVLLGSEFNAKIADFGLARMLIRPGEANTVSTLAGTFGYIAPEYAHTTKVNEKIDVYSFGVILLELVTGREPNDGSAELCLADWARQYVQEGKPIAEALDADIKKPQYSDEMQSVFKLGIFCTDTSPYRRPTMKEVLEILLKFKSQSPYAAGNINGSERDASPLLKHSGSEGSSESEDDEFKSIV >itb14g05250.t2 pep chromosome:ASM357664v1:14:4608877:4612757:-1 gene:itb14g05250 transcript:itb14g05250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb14g05250.t1 pep chromosome:ASM357664v1:14:4608790:4612781:-1 gene:itb14g05250 transcript:itb14g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb15g23780.t1 pep chromosome:ASM357664v1:15:26634049:26636501:-1 gene:itb15g23780 transcript:itb15g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAFNFISFVFFLSFIILLHTQWRKKAKTRRKEPPGPWKLPIIGNLHQLSASSQQPTHRVLRELVKKYGSLGMMKLQIGEINAVVVSSPAVAKELLRTHDLTFATKWQSLASKTLFYNDLGVVFSPYGDYWRQLRKVYAIELLNAKNVRSFSSIRHDEIHSLLANVHSSSGQLVNFTHRIFLLMSSIICKSAFGKVFTGREEFLEQIKEISELLGEFDFADVFPSWKVLHGLFSNKKRIMETRRKVDEIIENIIKEHREKVGSGDCLIDVLITQMDSGGLQLPITHDTIKGVIVEIFAAGSETSASTTVWAMSEMMKNPRVLVKAQAEVREAFRGKEKLEEEDMEDLTYLKSVVKETLRFHPPIPVFVPKECMEESMVCGYTIPLKSKVLINVWAMGRDPQYWEDPESFIPERFEKSSIGRRICPGLGFGFANALSPLAHLLFHFDWKLPPGITADTLDMTEINGIAVARKNDLFLIPTPIHASPSC >itb04g03050.t1 pep chromosome:ASM357664v1:4:1892111:1894731:-1 gene:itb04g03050 transcript:itb04g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDYDYLFKLLLIGDSGVGKSCLLLRFADNTYLDSYISTIGVDFKIRTVEQGGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDEDSYNNIKEWLNEINRYASETVNKLLVGNKCDLASKRAVSYETAKAFADEIGIPFMETSAKDATNVEQAFMAMCCAIKNRMAAQPSSNSSKPPTINIKGQPVVQSSGGCCS >itb09g22170.t1 pep chromosome:ASM357664v1:9:20451707:20457655:1 gene:itb09g22170 transcript:itb09g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEDEAEDGVTRGAAPIVDLTSSDGTLARRNSSAEVWKLSDEVTVVSRTSEASLLPRLVTWRKSEDIAQNILDFIESEMRKCLVGLGEANLNGKPSLDYDAIFKPSELHSLKKSPMFLQNFENQTLYTTHQILESWIYASKSILNRIAEQIESKSFGNAVSDCWVLEKTWNLLTEIENLHLLMDPDDFLRLKHQLSIKNVPGILDVEVDPNGGPRVQEATMKLYAEKDGFERIHLVQGLQAIEMGMKRFYYLYKQLLTVVMGSLKAGDSGDSLDQWFTMILVTPAIFANTAMQYIGLNSELIKLCAPVHQNILRVVVMGN >itb01g32030.t1 pep chromosome:ASM357664v1:1:35635000:35642326:1 gene:itb01g32030 transcript:itb01g32030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDLKDASQCVRVAVNVRPLLTSELLVGCTDCITVVPGEQQVQIGSHAFTFDYVFGSAGYPSSRIFDECALPLVDALFQGYNSTVLAYGQTGSGKTYTMGTNYNGEDYTGGVIPRVMETIFSRVDALKDSTEFLIRVSFIEIFKEEVFDLLDTNPPAICKGEGARAAGHARAPIQIRETANGGITLAGVTEAEAKTKEEMASYLLQGAVSRATASTNMNSQSSRSHAIFTISIEQKRIGKCPIGGAPDDIGDDILCAKLHLVDLAGSERAKRTGADGMRLREGIHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPTAAQMQRMKSEIEQLQAELLYLRGDSNVPLEELQILKHKISLLEVSNMELQQELMECRTNCDHLSKRAIDAQVERDRLIIKLDGAEHSNHFDSTDDKLDQFDLVKNYVSKIQELEGELHRLQRLNNSRRTVSDGYLGLDYDDFHSKGSSSAESDTRSTDVNGGAEVEEKELEHCSLQEKLDRELKELDKRLEQKEAEMKRFATVDTSVLKQHYEKKVQELEQEKKSLQKEIEKLRHNLANLASNSDDSAQKLKEEYLQKLNFLESQVAELKKKQDAQSQLLRQKQKSDEAAKHLQDEIQRIKTQKVQLQQTIKQESERFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAANATKRLKELLESRKTSREASSIGNSNGPGSQALLQAIEHELEVFVRVHEVRSEYERQMEERAKMAKEVADLKQSNISDSPQIMSPGARNSRIFALENMLATSSSALVSMASHLSEAEERERAFSGRGRWNQVRTLAEGKNIMNFLFNLASSSRCQLRDKEVECREKDSEIRELKEKVVNLVGQLESHKAEIIRKEQQIKKLVSKKQSKVENNGQGHVYDLRPKGSRSSFVFNGGGLLEDMDMEISDSDNNDNDSDVEYMPPCCECSKNSSCKTQRCECRAAGRDCIVSCRSSKCSNMEHNANKSSEHAGNTGNTTEGDETEGEKNHQALASHGAMLLQTAFSDKTETKDEGGSKRKPLSDIGNTLVKSNAPKPPNQRKTWRKSMRKSVIQLVPSAEPAPAASQDQNSAAPTKPDNNNSTAETPDIPLKLPRAISSTLANNTLKDRNSSSSDSVHDKDFHLPAPKSPQRRPRTKNEKENN >itb01g32030.t2 pep chromosome:ASM357664v1:1:35635000:35642324:1 gene:itb01g32030 transcript:itb01g32030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDLKDASQCVRVAVNVRPLLTSELLVGCTDCITVVPGEQQVQIGSHAFTFDYVFGSAGYPSSRIFDECALPLVDALFQGYNSTVLAYGQTGSGKTYTMGTNYNGEDYTGGVIPRVMETIFSRVDALKDSTEFLIRVSFIEIFKEEVFDLLDTNPPAICKGEGARAAGHARAPIQIRETANGGITLAGVTEAEAKTKEEMASYLLQGAVSRATASTNMNSQSSRSHAIFTISIEQKRIGKCPIGGAPDDIGDDILCAKLHLVDLAGSERAKRTGADGMRLREGIHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPTAAQMQRMKSEIEQLQAELLYLRGDSNVPLEELQILKHKISLLEVSNMELQQELMECRTNCDHLSKRAIDAQVERDRLIIKLDGAEHSNHFDSTDDKLDQKFDLVKNYVSKIQELEGELHRLQRLNNSRRTVSDGYLGLDYDDFHSKGSSSAESDTRSTDVNGGAEVEEKELEHCSLQEKLDRELKELDKRLEQKEAEMKRFATVDTSVLKQHYEKKVQELEQEKKSLQKEIEKLRHNLANLASNSDDSAQKLKEEYLQKLNFLESQVAELKKKQDAQSQLLRQKQKSDEAAKHLQDEIQRIKTQKVQLQQTIKQESERFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAANATKRLKELLESRKTSREASSIGNSNGPGSQALLQAIEHELEVFVRVHEVRSEYERQMEERAKMAKEVADLKQSNISDSPQIMSPGARNSRIFALENMLATSSSALVSMASHLSEAEERERAFSGRGRWNQVRTLAEGKNIMNFLFNLASSSRCQLRDKEVECREKDSEIRELKEKVVNLVGQLESHKAEIIRKEQQIKKLVSKKQSKVENNGQGHVYDLRPKGSRSSFVFNGGGLLEDMDMEISDSDNNDNDSDVEYMPPCCECSKNSSCKTQRCECRAAGRDCIVSCRSSKCSNMEHNANKSSEHAGNTGNTTEGDETEGEKNHQALASHGAMLLQTAFSDKTETKDEGGSKRKPLSDIGNTLVKSNAPKPPNQRKTWRKSMRKSVIQLVPSAEPAPAASQDQNSAAPTKPDNNNSTAETPDIPLKLPRAISSTLANNTLKDRNSSSSDSVHDKDFHLPAPKSPQRRPRTKNEKENN >itb14g17680.t1 pep chromosome:ASM357664v1:14:20903765:20909013:1 gene:itb14g17680 transcript:itb14g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSTARQCLTDEAARVLDDAVGVARRRSHAQTTSLHAVSALLALPSSALREACGRARSCAYSPRLQFRALELSVGVALDRLPTAKALDEPPISNSLMAAVKRSQANQRRHPDTFHLYQQLQHQGAASSSISTLKVELKHFVLSILDDPIVSRVFGEAGFRSYDIKLAILNPPTLSRLSSPRYPPLFFCNLTDSEFNRRPFNFPFSRLPGNENVDENSRRIGEILARKTSKNPLLIGACANDALNNFIDCVQKGKDSVFPQEINGLRVISIGKETLEFFRQPESEKIIGLKIKEVSEAVESSKGSGIVVNYGELKVFVDGEPVEAVKFVVSELSRLVEVHRGKLWLVGAAASDDVYMKFLARFPSVQKDWDLHLLPITSTSPPGGLNARSSLMGSFVPFAGFFPTPSEFENLQNSRSQSPARCNLCNEKYEQEVSTLLKGLTTSVADQHPANVSPWLQMAESGPSNRLAGIEAKDDNAVFNVKVVGLQKKWNEICQRVHHAQSFQPDVLHARFRVSGVDTFHSPPARSESKSKDLVLDESRFSDQNPGTPLSLQNLSPSSKQILSSKSVIREDGSDLQVEPPAKDLKLQQPKAGNIWNSGASHLPLDSTSSSLTTSVSTDLGLGTIYVSTEKKLPEPSSQHHKDRLQYFSGSVSSDKTSEHASNYITKSSCSFIPRVGDGLDIKDFKYLHKSISEIVYWQDEAIYAISHTVSCCRNGLGRGHGPNKGNIWLTFGGPDKVGKRKVSRMLAEKVFGSKDSLLFVDLNSNNEVHPANTFFDHHDLKSRYVNFRGKTVVDYIADELSKKRHSVVLLENIEKADFLVQNSLSQSVKTGKFPDLHGREISINNMMFVITSNVPKAKNECLSGKDSPLFSEESVLAARDLQMQIIVGSRTRDGTRIENTNVFITSRNRTLTPFSLNKRKPTDSSNANRGEACQSSKRACTASKVCLDLNLPVVEEMEEDENNDSDNSNSESGSSEGSKAWLDDFKEQMDGNVTFKPFDFNTLANKLLNQINHKLLETVGSNTTLEIDLDIMTQILAAAWLSDRKEGVEDWIQEVLCRSLIEAQKRFHLTATTSSDKALKLVPFEGIPGEAHHACGIHLPARICVN >itb14g16030.t1 pep chromosome:ASM357664v1:14:19304468:19305430:-1 gene:itb14g16030 transcript:itb14g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRLFGGRRNGNVSDPFSLDVWDPFRDLSFPFPASGETSAFVNTRVDWKETPEAHVFKADVPGLKKEEVKVEIEDDRILQISGERNVEKEEKNDAWHRMERSSGKFMRRFRLPENAKMEEIKASMENGVLTVTIPKAEVKKPDVKSIEISG >itb01g30120.t1 pep chromosome:ASM357664v1:1:34204662:34205863:1 gene:itb01g30120 transcript:itb01g30120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVKHHHHFGHHKDNEEERQSSYGENTYGTEKPYGQSGGYGEESYERKNTYGDDSYERKNTYGDDSYEKKNTYGDDSSCERKNTYGDDSYERKNTYGDDSYEKKNTYGGEESYERKNSYGDGQTDKYGSEGGIEAEEGRSHEDYEKEKKHHKHLEQLGGLGTVAAGAYALYEKHEAKKDPENAHKHKIAEEVAAAAAVGSGAFAFHEHHEKKETKEEEEEAEGKKKHHFF >itb13g26220.t1 pep chromosome:ASM357664v1:13:31560328:31561907:1 gene:itb13g26220 transcript:itb13g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYFEPQYALARRFLLKVIAMTSLIDDIYDVYGTLDELHIFTDAIQRWDAALVNELPEYMRVCYAALLDVYAEMQKELVVKGESYRINYAKNEMKKLVGAYYEEAKWFHNRRTPEFKEYMKVTLVTCGYMMLSTTSIVGMQGDFVTKEAFDWVNTAVEIYMKQYGKSKEETFNEFQKRVSNAWNDINQECLNPTAFPMPILIRVVNLARVMDLLYKDEDTYTHSATETKDIITSVLIDPII >itb10g03330.t1 pep chromosome:ASM357664v1:10:3045243:3061455:-1 gene:itb10g03330 transcript:itb10g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETVKTRGTTQNICTQTLHLADKQIKPLGTEDGRNLHGQLSEIMGNLTHLTSLTLSDNSFGGPIPPQVASLKNLISLDLSSNSFGGPIPTQLASLKNLTYLYLFENLFNGSFPTHLSSLVNLQYLDLSGNLFDGPIPPQLNSFVNLVYLDISNNDFSGPLPDKLENLVLLKYLIIQGTNLSGRIPDFTGQLQNLTQLILMGNNFEGPLTTATFSSLTNLVALMVSDLVGGGESQFTNFTNMDSLKYLTLRNCSLAGPIPDIIWNLRGLYLLDLSFNSLFGQIPNHSTLISPMYIFLRGNKLNGTIPTWMINSTMNIDVSENLFTNNVAQIQKLHSNSSTLNFFSSLNSSDDGGTDWEHVGYSCSSKLKDQLNDSLFINCGGESMQINGNNYEGDLNSNGSSTFFLSSSLRWGYSSMGTYSNGEYIMNNTCIVGVGDEALYSTARVSPISLKYYGFCLKDGEYTVELHFAELVSNGNYKTPYLNKSGRVFNVDIQGINVLNDFNIEKEARGVGKAYINKTENVTVKNNSRLEIHLYWLGKGSMYTGPLISAISVYPYKAKETKSDPSPPMMAPPMMAAISLSVLILLIVLIVYFWKIEDNSHEGMVELYPGGLYNFQKVKAAAKNFKHELGNGAFGTFYEATLGNGMVVAVEKASATKDIIRAFRENDSTISLKEHPNFVKLMGCIAEKNQLLLVYEDIGHNSLQNALFGSDRSRLNWPKRHNICLGIAEGLAFLHEGKQKNVHGNIKPTTIFLDKQDNAKISDFRFSRLHDQGKLREEGTVVYMAPEYAKYDLLTTKADVYSFGVVVLIVVSGKKEKFSMSSSGADTEYLPDLAAREKQREGHFMNLVDKSISNTVDWDQAETMLELALMCLDQYPDQRPTMSQVVKVLKEQLPLKDLKESLKQHSDPQPHGEISTT >itb06g02800.t1 pep chromosome:ASM357664v1:6:4826897:4827178:-1 gene:itb06g02800 transcript:itb06g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSVFGNDMVAYYEKLLVGRERHPPSIGLTPPNSPPDSAARFGVLSLRVILTIRTGLHLSQSPGENLILAVEDGNVDDDRGNNKFQFCSYY >itb03g28270.t1 pep chromosome:ASM357664v1:3:28997904:29006053:-1 gene:itb03g28270 transcript:itb03g28270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTKLHTALKLPKRCSLPSLPTLLKSGFTPTLGDFNRFLLFLSRTKRFSAIIHFIFQMQSNKLKGNSQTHAILTTALLRDKKFEEAALFAKTQIVKTPNSSHRSQILDSLVQGLCITEPEMALSVLRDCLRIDGVVPSSYTLCSLLYRLCSFGMMDGATQVLELMSDERISYPFDNFVCSCVISAFVSVGKPELAVRFYSNAVKSGSLKPNVITCTSVMSAYCRLGRVKEVYSLVDMLGRNGLELDGVFYSNWIHGYFSEGMIQDALERYREMVEGSTELDTVCYTILIDGFSKEGIVEKAVGFLYKMRKDGIEPNLVTYTAIMQGFCKKGKLEEAFSVFKMVEDLGTEMDEFPYVILIDGVCRKGDYHRALKLLDEMEKKGVKPSVITYNAIINGLCKAGRTAEADDVSKGIVGDVITYSTLLHGYIQEKNVMGILETRRRLEAADVCLDVAMCNLLIKGLFMMGLFEDGHSIYKRMQEIGLEADVVTYSTMINGYSKADQIDVALEIFDQFRKKSISSDACHNCVIQWLCRKGMVDMAVEVFLELIERDFGSNTAINRMVIKAIFQDKGAEGVLNLIHRLENFAHEIFDSICNIAICFLCKKGGLEAACNVLIKLERKGSTVNSKSYYLILKALLFDGKSLLSRQILTTFIKKYGMYDLRVSKIIVSFLCMNDVNLALSFLAKGSLNVALPAAVLRTLTKDGQVLDAYRLITEAGSSLPIMDVADYSIVIDGLCKGGQIGWALNLCDFAMSKGVPLNVITYNSVINGLCRQGFLLEAFRIFGSMEKNGIYPTEITYGTLIDALIKEGLLQDSILLFEKMLCKNIPLNIHVYNSLINVYTKLGDVQNAMELLHDIEAKGLKPDEFTVSTLINGYCQKGDMEGALGLFAEFKGKSISPDFLGFMYLIRGLCAKGRMEESRCILREMLQTQSVTNMLDRVEAETKAESLKTFVSLLCEQGSINEAVSILNEVGCMYFPVDKGHSAFNNISEKPKEPCDKEAIDAVECKVTISESKSCNNGQLEMDLENDTDLEANIFHLKNFGSYYSLIALYCSKGELNKANILAKKITSFL >itb08g15380.t1 pep chromosome:ASM357664v1:8:17521106:17522512:-1 gene:itb08g15380 transcript:itb08g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSIIYGFITALLVFSSFGDAHFFPNITSIPRSLAGNGSAWGVFNKFLGCHAGQKVDGLARLKNYFHYFGYIGNHSGSNFTDDFDDLLESAIKTYQLNFNLNTSGELDAPTIQHMMRPRCGNADIVNGSSTMASGKAAPPPTTVHTVAHYSFFPGQPRWPAGTSELTYAFLPGNQLTATVKSVFTRAFERWSEVIPITFTQTEVYRSADIKIGFYTGDHGDGEAFDGSMGTLAHAFSPPSGHLHMDGDEDWVLDGNFLNAPASILSAVDMESVAVHEIGHLLGLGHSSVEDSIMYPTLGSATRKVELAQDDIQGIQVLYGSNPSFNGTTSVLTPGQGNDTSGAYSSSSLWGHRLLFIVLGFLFIFSHTFI >itb11g03490.t5 pep chromosome:ASM357664v1:11:1852595:1856849:-1 gene:itb11g03490 transcript:itb11g03490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNKYTSKMLLTAIDETHKNSYDFLYLPIDFKVSITIISDFPYLYFREILT >itb11g03490.t4 pep chromosome:ASM357664v1:11:1851403:1856513:-1 gene:itb11g03490 transcript:itb11g03490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNKYTSKMLLTAIDETHKNSYDFLYLPIDFKNKCNVGYAFINMVSPQSIISFYEIFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKWCRPILFQSEGQEPAEEEPLLSSNLNIFIRHLDGSYSGDSLDSPKGNSLEEKPTFTLENNGGGF >itb11g03490.t2 pep chromosome:ASM357664v1:11:1851422:1856853:-1 gene:itb11g03490 transcript:itb11g03490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNKYTSKMLLTAIDETHKNSYDFLYLPIDFKNKCNVGYAFINMVSPQSIISFYEIFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKWCRPILFQSEGQEPAEEEPLLSSNLNIFIRHLDGSYSGDSLDSPKGNSLEEKPTFTLENNGGGF >itb11g03490.t1 pep chromosome:ASM357664v1:11:1851403:1856853:-1 gene:itb11g03490 transcript:itb11g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNKYTSKMLLTAIDETHKNSYDFLYLPIDFKNKCNVGYAFINMVSPQSIISFYEIFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKWCRPILFQSEGQEPAEEEPLLSSNLNIFIRHLDGSYSGDSLDSPKGNSLEEKPTFTLENNGGGF >itb11g03490.t6 pep chromosome:ASM357664v1:11:1852595:1856849:-1 gene:itb11g03490 transcript:itb11g03490.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNK >itb11g03490.t3 pep chromosome:ASM357664v1:11:1851403:1856512:-1 gene:itb11g03490 transcript:itb11g03490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNKYTSKMLLTAIDETHKNSYDFLYLPIDFKNKCNVGYAFINMVSPQSIISFYEIFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKWCRPILFQSEGQEPAEEEPLLSSNLNIFIRHLDGSYSGDSLDSPKGNSLEEKPTFTLENNGGGF >itb11g03490.t7 pep chromosome:ASM357664v1:11:1851403:1856853:-1 gene:itb11g03490 transcript:itb11g03490.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSKNPVFDDSLGKVRNAWSIPLGIAAHHASTDASLFSSSLPVLPHEKLISNKTEQCGQSIDDSSPSLSQFHLEDGGKFPLEDETSPPGNFLPGDEDELLAGIMDDFDLNELPTQLEELEDDLFGGGGFEMESESQENLLNGFAKLSMSPGSSIAPYGYSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGTYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTLELEHDDVRTFRNSVGSPIANSPPGSWPNFGSPVEPNPLHNFSQSPGLRNLSPVNGNHMSGLASILPSHLSNPVKITPIGKEPGRVSHVNQVTSNNTRSTQGVAYHHSYSLNENKLSSSSGSVSLGDSKPSGIGTLSGPQFLWGSPPIHSERNGSSGWPTSSIGKPFVSNAQGQGYPYSHRQGPFLGSHHHVGSAPSGIPLERHFGFFPESPETSYISPVSFSSASSSHNNGSHVMSMGSPGAMNMGVAFAGSFTESGSPSARMMPLSRNVPIFFGSGSYGGIGSSNGEGLIDRGRSRRIDSANQMDNKKQYQLDLEKIMNGEDPRTTLMIKNIPNKYTSKMLLTAIDETHKNSYDFLYLPIDFKNKCNVGYAFINMVSPQSIISFYEVNLLFLTITSVNNAKKLKILLLESATVSMN >itb15g03710.t1 pep chromosome:ASM357664v1:15:2322368:2326302:-1 gene:itb15g03710 transcript:itb15g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRVLESDLIMGFLRFLSVLVVGLVISGEAVQEQTILVAIGKELGISGWGSNTSDFCSWHGIGCSSNLSMVERLDLSGLRLQGNVGLISELKALKWLGLSGNNFQGSIPPVLGNLSELEFLDLSFNKFGGSIPGELGRLRNLRALNVSNNLVSGTIPDELGGLERLQDFQIYTNRLNGSIPMWVGNLTNLRVFAAYENEFIGSIPANLGLNSWLRSLNLHSNLLQGIIPDSLFAMEKLEVLVLTQNQLIGYIPDSIGRCKGLSSIRIGNNRLIGSIPRTIGNISSLTYFEVDNNNLSGEIAPEFAQCSNLTLLNLASNGFTGTIPPEFGNLTNLQELIVSGNSLYGEIPTSVLGAKNLNKLDLSNNRFNGTIPQDICKTSRLQYLLLGQNSIRGEIPHEIGNCMKLLELQMGRNYLTGSIPAEIGHMKNLQIALNLSYNHLHGQLPQELGKLDKLVSLDVSNNQLSGSIPPELKGMLSLIEVNFSNNQFTGQIPLFVPFQKSLNTSFSGNKGLCGDPLSNACGNLDDYGRGYHHRVSYRIILAVIGSGLAVFISVTVVVLLFMLREKEEKAAKSEGTTTDETCSKPVIIAGNVFVENLRQAIDFDAVVKAVMKDSNKLSIGTFSTVYRADMPSGLVLSVKRLKSMDKTIVQHQSKMIRELEKLSKLCHDNLMRPIGFAIYEDTVLLLHQYYPNGTLAQFLHESAKKPEFKPDWPTRLSIAIGVAEGLAFLHHVAIIHLDISSGNVLVDSNFRPLVGEVEISRLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSFGVVLLEILTARLPVDEAFGEGIDLVKWVHGAPARGETPEQILDARLSTVSFAWRKEMLAALKVALICTDTTPAKRPKMKKVIEMLREITEN >itb08g04120.t1 pep chromosome:ASM357664v1:8:3277608:3278672:1 gene:itb08g04120 transcript:itb08g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIQESLLTFLLLLFLALHFIAAVESATLPISEQFREAPEYYNSQECPSINGATDEDSYYICSDDAVHVAMTLDAAYIRGSMAAILSTLQHSSCPQNAVFHFVASASANASRLRATIRASFPYLKFQVYRFDDSTVAGLISTSIRSALDCPLNYARSYLANILPLCVRRVVYLDSDLVLVDDIAKLAATPLGGAAVLAAPEYCNANFTAYFTPTFWSNPSLSLTFANRRPCYFNTGVMVIDLDRWRTGDFTTKIEEWMELQKRMRIYELGSLPPFLLVFAGKIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDASRPCPLDALWAPYDLLKPQFSFDS >itb03g27410.t1 pep chromosome:ASM357664v1:3:27176522:27177412:1 gene:itb03g27410 transcript:itb03g27410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSIDLRCYLLFIYVAIEDECKRTWMRGQAEVDGVRVWFSRWTPEWYAKEDLSLALVWIQLLFLSIQLFQFDTIVAICEPIGREISLRSATIHRTEPNLTKVIVEIDATKHVSEKIWIEIDSDWRGFCQYIVMEKVSDVTEEFYDDYWSDLIESHQLEELILSNNFYVVEGMQFDRSHISPYFVTDNEKVVVEYENCKLILVDKKIANARDLVNVLEEAIRGGCPIIVIAEDIEQEPLATLGVSKLRGSLKLAVVKAPGYECFKAVETESLRQITSVDFRFVAAAGNSEDAGDS >itb11g10760.t1 pep chromosome:ASM357664v1:11:7685183:7687585:-1 gene:itb11g10760 transcript:itb11g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDQRVNSLSTNRARASPYPCSLRDASPKSESSLPNVGDEKEWEEARCPICMEHPHNAVLLLCSSLGKGCRPYMCDTSYRHSNCLDQFCKSSAAAQSISIPVANTTSGTAFHRGRTYAQFRSLGGQQLVCPLCRGQIEGCLVVESARAVMDSKPRSCSLETCNFTGTYIELRKHARNEHPSFRPSEADPSRESEWRRLQVQRDFGDTLSAYQSQFGDDLGEVDLLTDFPLDGGAFIDLDGVLPQAEDEWGDENGFPMDFELELSFTFLNDLVFDSWAWDDSLDADSEMMVASPPETRSRRSTATSNYYWEGLDANSEPETRSRRPTATPNYYSEGLDAADSERIIARPPETRPRSRRSTLTSNYYRERSDADSERIIARPPETRSRRSTATSNYYRDGSSSRRSTDRLLDSRSRNSTSRLNYHSGSRNSTSRHYYSGSRNSTSRHYYYSGSRNSTSRLNYHSDGSASRRSTD >itb06g25700.t1 pep chromosome:ASM357664v1:6:26631515:26633462:1 gene:itb06g25700 transcript:itb06g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGASLRFASSVHHFCVKPLLLLHFFAPSSMAVTGVNFKCFLTLIRIIVAINWKRCGNGIGFWVAANLCPFLWKNIVALLLYPFLWTWTIISTLWFMSARSWVLFDMIQVPDWAIEAAGQEMRAMDQDADAYHPGVYLTPAQREAVETLIQELPKFRLKAVPTDCSECPIWKSFVWEMRFVVWLVLTTSTQNALISGFN >itb13g05170.t1 pep chromosome:ASM357664v1:13:6115641:6118230:-1 gene:itb13g05170 transcript:itb13g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMAPQLNESERAFPPLLLHLILPLLLLIIIIFKLFLKPSKPLKLPPGPTPWPLVGNIFHMGKMPHITLTTFSKTYGPLISLKLGTQHLIVGSSPSAASEILKTHDRVLSGRHVPNAFPTETSELDHTSIGWTSECHEAWRSLRALCRAEMFCSRALESQALLREKKAGEMVGFLRSKMGSEEVVDVGEIVFATVLNMLSNVMLSRDVISFEGGEIKGFIRSVMEVASAPNLSDFYPFLQRLDLQGLRKRALGLGMRIRSMWEPIIEERREIRCSGGSCSQQDFLDALLDNGFSNEKIHQLFMELFAAGTDTSTSTIEWAMAELIISPESMEKVYKELKREINGGDIKESHLVHLPYLQACVKETLRLHPPAPLLLPHRAIQACQLMDYTIPKDAQILINVWAVQRDASIWDEPLKFRPERFLGETGCLDFKGNDFGFLPFGAGRRICPGLPMAAKTIPLVLASMIHSFDWSLPDGQNPKDLNMNEKFGVTLQKEQPLFLIPKTRKNM >itb05g20070.t1 pep chromosome:ASM357664v1:5:26299455:26302169:-1 gene:itb05g20070 transcript:itb05g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MISLSLPAPSKLASSPSIKPRSISTQNPASVQYQKSTDFETLKGRLIRQANGGNIRQAISTLDLIAQMGFTPDLTSYTVLLKSCIRTRSFELGKSIHSRLAASQLEPDSIVLNSLISLYAKCSDWETAKGIFDGMGARRDLVSWSAMISCYAHCNMEMEAVSTFFRMVEFGEHPNQFCFSAAIKACCNATYAWIGLVVFGFVIKTGYFDSDVCVGCALVDLFTKGFGDLESAQKVFDKMPEKNSVSWTLMITRFSQLGSPRDAIDQFEQMLLTGFSPDRFTLSSVLSACAEVGWLSFGQQLHCWVVKSGLSKDVCVGCSLVNMYSKCAADGSMDNSRKVFDRMPDHNVMSWTAAITGYVQTGIGDREAIDLYCRMIEGRVKPNHFTFSSLLKACGNLSSPDIGEQIYNHAVKSGLAGVNCVANALISMYAKSGRMEDARKAFEFLFEKNLVSYNIIVDGYAKNSDSLEAFELYSQTEESGVGVDAFTFASLLSAAASIGAVGKGEEIHARLLKTGFQSNQSVCNALISMYSRCGNIEAALRVFDEMENRNIISWTAIITGFAKHGFAKTALELFSQMLRAGVKPNEVTYVAVLSACSHVGLVDAGWQHFNSMSKEHGISPRMEHYACMVDLLGRSGHLEKAVQFIKSMPFTPGALVWRTLLGACQVHGNVELGEHAAKMILSQDPNDPAAHVLLSNLYASNGNWGEVAQIRKDMKERKLVKEAGYSWIETENRINKFYVGDTKHPLAKEIYQELDRLATKIKALGYTPDTNLVLHEVEEEQKEQYLFQHSEKIAVAFGLISTSKPKPIRIFKNLRVCRDCHTAMKYISVATGREIVVRDSNRFHHIKDGACSCNDYW >itb13g02670.t1 pep chromosome:ASM357664v1:13:2609418:2617957:-1 gene:itb13g02670 transcript:itb13g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQNNLTLPIFSAALTVSLFHSLAAPLLLHPRTAAPLLIHRRLRISDSAISSSLSIFQPVRNRFSSLSAFASLPSALASSKPISKHTCEAIHRTNGVFEPFTVKDFAHKAEDAIEIQLRNILQLQQKDDEGELHLHLNLSSQEVAKEAEELLKLINDEDEEAANDDSLPLIGWSEAAASSHPNNIIASGESLQCSPQFEDIIMVGHNNFFSLTADLLRLAEIYPKRSVLTIVGAPGIGKTTFCKKLYTHKKVVSRFDIQAWITIPPRYNGNVQQLLCHLLQSMSPTPLNHMLQGSTVSQLKHQLHKHLKKCKRYFIVLDDVPNTQLWDDIHQCFPVHSKESCILLTTIFIDVAEYTTQGQNISRLPYLNDSESWVLFSHTFSLKQQMKPKFKEIANHLVEECRGLPRSIVTVADRLSKCNYTLKECKKIEKELLSLGILHKDTQHSISSNSNLQTLTVYGIVESTLGARTLHLLPSKIWELQHLRHLKLGDKYMIDPPNMVKEHLQTLVCAMPIHFREKEVYYCRFPSIRKLKVVYKDILVQCCRNPVENFEDLLRLETLTVTVPVGSITLLERVGFPAKLKKLRLSGTNFPVKVLMVIGQLPKLKGSFEGNHESVMEEITEGVNNINIASGDLQKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSGLGMAIATVVSVTEILKNNGLAVEKKIMTSTVDVRDDSRGRPITKAKIEIVLGKSEKFDETIAAAAEKDQAGDELS >itb01g10000.t1 pep chromosome:ASM357664v1:1:8296242:8301302:-1 gene:itb01g10000 transcript:itb01g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGIAVESFADEINDSPIEEVRLTVPITDDPTMPCVTFRTWVLGVTSCAILAFLNQFFGYRQNSLYISSVPAQIVVLPMGKLMAAYLPTKVVQIPSTKWSFSLNPGPFNIKEHVLITIFASSGSDSSFAVRIITMMKAFYHRDIHPLAALLLTFSTQQGYDSYSNIYLSTTFAYTYGLSFATLAAVVSHVALFHGRTIWEQTKASVQDKFSDIHTRLMRKNYEPVPQWWFYLLLVIVVGVALVACQGFGGQLQLPFWGVLLAVLLSLFFTLPVGVIVATTNQEAGLNVIAELIIGYMYPGRPLANVTFKMYGFSSLSQSLMFLSDFKLGHYMKIPPRSMFMVQLMGTLISCCVHFGTGWWLLTTVENICNPSKLPEGSQWTCPGDDVFYNASIIWGVVSPRRMFGNLGIYQATNYFFLVGFLAPIPVWYLGRKYPEKRWVSLINMPILISGAGGIPVVKSVNYVCWFVVGLYFNLYVYRKHKGWWARHNYILSAGLDAGVAFMAILCYYTLQSGGINGPKWWGLELDDHCPLASCPTAPGIVVKVMSRVLSFSPRVLLGFLAFSDHVSRSDQV >itb01g10000.t2 pep chromosome:ASM357664v1:1:8296867:8301023:-1 gene:itb01g10000 transcript:itb01g10000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGIAVESFADEINDSPIEEVRLTVPITDDPTMPCVTFRTWVLGVTSCAILAFLNQFFGYRQNSLYISSVPAQIVVLPMGKLMAAYLPTKVVQIPSTKWSFSLNPGPFNIKEHVLITIFASSGSDSSFAVRIITMMKAFYHRDIHPLAALLLTFSTQMLGYGLAGIFKKFLIDSPYMWWPSNLVQVSLFRALHDVETRPRGGLTRLQFFIIVLVCSFAYYVVPNYLFPSITALSLLCWIWKDSITAQQLGSGLKGLGIGSFALDWATVAAFQGSPLATPGFAIINMLIGYILVAYIAIPVSYWTNLFDAKKFPIFSSHVFDSNGHVYNISRVLNKKTFEFDQQGYDSYSNIYLSTTFAYTYGLSFATLAAVVSHVALFHGRTIWEQTKASVQDKFSDIHTRLMRKNYEPVPQWWFYLLLVIVVGVALVACQGFGGQLQLPFWGVLLAVLLSLFFTLPVGVIVATTNQEAGLNVIAELIIGYMYPGRPLANVTFKMYGFSSLSQSLMFLSDFKLGHYMKIPPRSMFMVQLMGTLISCCVHFGTGWWLLTTVENICNPSKLPEGSQWTCPGDDVFYNASIIWGVVSPRRMFGNLGIYQATNYFFLVGFLAPIPVWYLGRKYPEKRWVSLINMPILISGAGGIPVVKSVNYVCWFVVGLYFNLYVYRKHKGWWARHNYILSAGLDAGVAFMAILCYYTLQSGGINGPKWWGLELDDHCPLASCPTAPGIVVKGCPVFQ >itb13g24300.t1 pep chromosome:ASM357664v1:13:29995713:29997571:-1 gene:itb13g24300 transcript:itb13g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGMDSPILPFWGTITDYKLPTVSGRIVRRPYSVLDDESKEKFETAVRKLKELPVNLADYDLIIRSLNQAQFPPEASSGVIFPSYHRMLLHFIEKSFQDDIPVQAMPYWKDWLDIDLPGPADSGLQFSSIDFGMENLKFSSDVDRWWTVWQILGGKRAAITDPELLQTSFVLYDENNNLVRIKVEDCLDIQKLGYTYEDLPKPRSKKFEPFTRKNPSSSGSSLPTATAILPATLNETVKFYVTRPPVTEDKEAVLNLYVEYDETKNIRFDAYLNEYKDANSLDVEMAEYAGSFINFARPLQGSEQTKTTTWSLEIAQVLQDLTLAGENKIPVTLVPKSSGDLVTVKRVDITG >itb09g15020.t1 pep chromosome:ASM357664v1:9:10294192:10295233:1 gene:itb09g15020 transcript:itb09g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGVEWSKERNLGRMVIESDDERVLEKEEWEGTVVGKCREKVNCVAECLVKNEKEGNVIYLTREAMSRSFRQVLDLEGLPHFFFSPSVYYRWKWNMGITLLEGCWVWLDKAFPSKIQSSVRERLIHALRVGALGTTIAVGAGCRAPCGKTQRSVQRGLLVPTWWVSLLRLLAIKASPCQMQRSV >itb12g08410.t2 pep chromosome:ASM357664v1:12:6601031:6608414:1 gene:itb12g08410 transcript:itb12g08410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIKVAQAVHMLNHDTQSCNRVAANQWLVQFQQTDAAWEVATAILTSDHRHQFISDYEVQFFAAQVLKRKIQNEGCYLQLGAKEALLNALLLAAKRYSSGPHQLLTQICLALSMLILHAVEHGKPIEKLFYSLHNLQSEDDGKIAVLEMLTVLPEVIEDQNADCRISSVQRYEYGRELLSHTSMVIEFLLQQSEKNIDSGTQVHDRNRKLLRCLLSWVRAGCFSEISPGSLPTHPIMNFVFNSLQVSSSFGLAIEILVELLSRHEGLPQVLLCRIGYIKDILLLPALNNGDETVISGLACLMSEIGHAAPSLIVKASPEAFMLTDALLSCVAFPSEDWEIADSTLQFWCSLMDYILGIGVDSQENRKDVEEMFFHVFSALLDALLLRSQLGDATFIDGGRVLELPDSLVQFRMNLVEALVDICQILSPAPFIQKV >itb12g08410.t1 pep chromosome:ASM357664v1:12:6601031:6608414:1 gene:itb12g08410 transcript:itb12g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIKVAQAVHMLNHDTQSCNRVAANQWLVQFQQTDAAWEVATAILTSDHRHQFISDYEVQFFAAQVLKRKIQNEGCYLQLGAKEALLNALLLAAKRYSSGPHQQLLTQICLALSMLILHAVEHGKPIEKLFYSLHNLQSEDDGKIAVLEMLTVLPEVIEDQNADCRISSVQRYEYGRELLSHTSMVIEFLLQQSEKNIDSGTQVHDRNRKLLRCLLSWVRAGCFSEISPGSLPTHPIMNFVFNSLQVSSSFGLAIEILVELLSRHEGLPQVLLCRIGYIKDILLLPALNNGDETVISGLACLMSEIGHAAPSLIVKASPEAFMLTDALLSCVAFPSEDWEIADSTLQFWCSLMDYILGIGVDSQENRKDVEEMFFHVFSALLDALLLRSQLGDATFIDGGRVLELPDSLVQFRMNLVEALVDICQILSPAPFIQKV >itb09g05190.t1 pep chromosome:ASM357664v1:9:2944142:2950566:1 gene:itb09g05190 transcript:itb09g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARMLRCSRAIASLETLASSSPHLRSTLPNRPLVHLLQIPAHQGPHSRSIPGFMVPAVLAGLLGVGLLDVASADADETSSGTALPSGSPPTPNHSFLEELAMKEKNRLGELIKAKGMLIGSYPRYTVAVKGQKVAIKFQVPPTCEIPVLITKLVSRLGLKLEDNGSGSDMTLRAWDSGIAWQLMLSRPKKQSETVGNEGKGKDTNANEDLCILFFRPVISADRAEIEFMKPGRFTDEELNTLVYILQLAGQPRAQETKPRGDAARAPPNKTVSSLEAMGVKIFGINESNTDIGKAEISWENIAGYSHQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAHQAGVPLLYVPLEVIMSKYYGESERLLGKVFSLANDLPNGAIVFLDEVDSFATARDGETHEATRRILSVLLRQIDGFEQEKKVVVVAATNRKQDLDPALISRFDAMIVFPLPDQQTRKEIGAQYAKHLTESELSEFAKATEGFSGRDIRDVCQQAERHWASKIIRGQTQRDEHCPLPPLEEYTESAMTRQRALLDLAQQNRLNRESVLGRKPQLDCV >itb01g09600.t1 pep chromosome:ASM357664v1:1:7848081:7850078:-1 gene:itb01g09600 transcript:itb01g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTILSHFPRTATIFSPHFKLLPRPLSSSPLGYLQNGHATIYSYNRAIDNLIKCGSLDSALQLFGEMPECDVISWNTVISGLNRSGFPRKSLYFYKSMVSQGITENSSTFSSVLSICNNAGFYRKGFEIQCRVIVFGLDMNIYIVSALVDLYMKVGLIEFALKLFYGLPERNLTLWNIVLRGICDLGRSKELLRLYTDMKLAGVEPNGLSFCYLLSGCGSGRLLLEGRQIHCFVLKNGWLVTNLFLANGLVDFYSACGVLSDARKSFEGIPPEDVISWSSMVSVYAANGLLQDALKVFEKMQSWGKRPSAQSFLGLLSLSSARKELLLGEQIHCFVLKLGFDYGNVVIQSALINMYGKCGHVESLVSLFENAPEASLESCNSLMTSLLHCNVIEDVLELFGFMVDESIGFDEVSLSSTLKALSLSASVSLNSCALLHCCAIKVGFEYNIVVSCSLIDAYSKSGHIEHSDQIFIELPSPNAICFTAMINAYARLGKGTEALEMFGAMIQQGLKPDEVTFLCVLMGCSHSGMVEEARTVFDSMRTHHGINPDRRHYSCMVDLLGRRGLVSEAEELMNCAATVEGDAVMWSSLLRSCRTHKNEQVGRRVAEKLMKLEAEEAAVWLQVSNFYCEIGDFDTSVQIREVAMARKMSREIGYSLVHNKVV >itb08g10060.t1 pep chromosome:ASM357664v1:8:9478633:9479076:-1 gene:itb08g10060 transcript:itb08g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIALSKTVSHIIPQTIRSSLSWNLYSPNPRFSPKPPSFLATNLLKSYFDKGLIREARTLFDEMPERDVVAWTAMISGYTSCNLYPCAWAVFYEMMRDTSVHPNVFYEMMRDTSVHPNEFTFSSTLKACKGMKSPSCGALVHGXRY >itb15g22380.t1 pep chromosome:ASM357664v1:15:25127498:25130449:1 gene:itb15g22380 transcript:itb15g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAGERGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLAEGA >itb03g04350.t1 pep chromosome:ASM357664v1:3:2714017:2716312:-1 gene:itb03g04350 transcript:itb03g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLRSRFMVVVYLVLLLLPESSSISFDFKRFEPNMDGMIFQGDAYPTNGAIQVTRNQRDGNLTRSVGRASYALPVRIWDRKSRRLSDFNTHFSFTINALNSSSYGDGLAFFLAPFHAGIPPNSSAGYLGLFRNTTQSPDPNPAENQIVAVEFDTFKDVWDPSPDHVGIDINSIESVKHVDWRNSIKTGAVANAWVTYDSATKNLSVFLTYANSPTFSGNSTVSYVVDLSKVLPEMVQIGFSAATGEWIELHTILSWSFRSSLDSKKPKKLLPIFLSIGIVLFVSILGFILFIRWRKVRKNLVVFGDASMEEDFQKGAGPKRFTFWELSRATNNFSEEGKLGEGGFGGVYKGVLSEKRLEVAVKRVSRGSKQGKKEYVSEVRVISRLRHRNLVQLVGWCHEKGEFLLVYEYMANGSLDSHLFGKKPGLTWGVRYKIAQGLGSALLYLHEEWEQCVVHRDIKSSNIMLDSNYNIKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGVVALEIACGRRPVEPKAEAGKVRLVEWVWDLYGEGKILEGADKGLNMEFDEKEMECLMVVGLWCCHPDHTLRPSIKQVINALNFEAPLPKLPAKLPVAMYFAPPMSMCRFSYTSSADHTDSWRYTTRCSCRNGCNASAFLASSKALLTPRDDSAVHTHKTMML >itb06g15280.t1 pep chromosome:ASM357664v1:6:19625247:19627753:1 gene:itb06g15280 transcript:itb06g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKNMPAVWFSLKRSMHCKSEPSEVHNPKTRKELNTILTRKPAGRSGCSRSIANLKDVIHGSKRHLEKPPSCSPRSIGSSEFLNPITHEVVLRNNSRCELRITSFGGFPDGLGGGGGSFVGTLRPGTPGPGGHHTMHYFSSSQRNPAATPPRRTNSASFGEKEGIGFASKPRASLQIDSNGCSSVSCHKCGEQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICRSSWLKSESQGGRIEKVLKVHNTQKTLARFEEYREVVKIKASKLPKKHPRCLADGNELLRFYGTTVGCSLGTGGGCSLCTSDKCYVCQIIRNGFSTKNEIKDGIGVFTTSTSGRAFESIEASEGDPPPRKALIVCRVIAGRVHRPLENIQEMAGQIGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKS >itb01g30910.t1 pep chromosome:ASM357664v1:1:34743057:34744281:-1 gene:itb01g30910 transcript:itb01g30910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRARQSINRTTSMSEFALDLGIEEASMAASANDFEPFDPRNAFKAGGLLRPPASDAVTARTLNRRRSADFTETAHFLRACYLCKRCLIPNRDIYMYRGDSAFCSLECRQQHMAQDERKEKYLQMTSNKKETATTSSSAGAGAAESEATAV >itb14g05280.t1 pep chromosome:ASM357664v1:14:4626519:4627731:-1 gene:itb14g05280 transcript:itb14g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVEDCRRDGEEVSDVEPARELLSQLELSQGVDGEEIEYEDEENEEDDEDEEEEEEFSFICPGDDAAQIAAEDAFFNGQIRPVYPLFNRDLLLGAADFDGLDEKPPVENVFVETAEVTSSDAEALSCEWSGKAVVAAAGPDGCKKSNSTGFSKFWRFRDLLHRSNSDGRDAFVFLNNPAPSTSAAGKTEEKSSPGKKTGKAKVNGDSAGDQKKKKSKKQLSAHERYMKSKAKDEDRRRSYLPYRPELVGFFTNVKGGLTRNVHPF >itb01g23460.t1 pep chromosome:ASM357664v1:1:29458441:29460946:1 gene:itb01g23460 transcript:itb01g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKETGCQASEGPIFCVNNCGFFGSAATMNMCSKCHKDMILKQEQAKLAASSIENIVNGSTSNPKESTAAAADVIGVQSGPVELKVISPQASSDSSLGQTSEVKAKEGPNRCNTCNKRVGLTGFSCKCGNLFCAAHRYSDKHECPFDYQNAARDAIAKANPVIVAEKLNKI >itb07g23390.t1 pep chromosome:ASM357664v1:7:27805717:27807523:1 gene:itb07g23390 transcript:itb07g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSSSSLPGSFVPFSKTTDGSSAPKKPLVTAFHSSSQWKLHFDNSKQTNKLMVIDFTATWCGPCKRMEPTINEFAARYTDVEFVKIDVDELPDVAQYFEVQAMPTFVLVKNGNVIDKVVGADKDVLQNKILKHRY >itb01g34700.t7 pep chromosome:ASM357664v1:1:37245462:37247584:1 gene:itb01g34700 transcript:itb01g34700.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MHQALKSHQGKISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKASCIDLDLKPLERMKYYYELDQKIVSCYKDGES >itb01g34700.t3 pep chromosome:ASM357664v1:1:37244202:37247584:1 gene:itb01g34700 transcript:itb01g34700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLLGSRYVDAGIRIPVSREFLEAVEKNVLHQRPSWRVDAAKVNLLSDSAMLISDHSVFPHGTLKEEFCLSVEIKPKCGFLPHSKFIAESNAVKRRITRFRMHQALKSHQGKISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKASCIDLDLKPLERMKYYYELDQKIVSCYKDGES >itb01g34700.t1 pep chromosome:ASM357664v1:1:37243858:37247584:1 gene:itb01g34700 transcript:itb01g34700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAGENAKEWSYRGEGAVNLVLAYSGESPSFVGKVLRIQKVPRNVSECENGHSGLTRLECHLWRNVNELVSAPSREIADHIFVRLVMCPLLGSRYVDAGIRIPVSREFLEAVEKNVLHQRPSWRVDAAKVNLLSDSAMLISDHSVFPHGTLKEEFCLSVEIKPKCGFLPHSKFIAESNAVKRRITRFRMHQALKSHQGKISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKASCIDLDLKPLERMKYYYELDQKIVSCYKDGES >itb01g34700.t8 pep chromosome:ASM357664v1:1:37244202:37247584:1 gene:itb01g34700 transcript:itb01g34700.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MHQALKSHQGKVFFASSIISTRYNALPETFLFRNSVDSELFLGFMFSISHLNPCFRVSVPISHTSMCFLPSLYQISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKASCIDLDLKPLERMKYYYELDQKIVSCYKDGES >itb01g34700.t2 pep chromosome:ASM357664v1:1:37243858:37247584:1 gene:itb01g34700 transcript:itb01g34700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAGENAKEWSYRGEGAVNLVLAYSGESPSFVGKVLRIQKVPRNVSECENGHSGLTRLECHLWRNVNELVSAPSREIADHIFVRLVMCPLLGSRYVDAGIRIPVSREFLEAVEKNVLHQRPSWRVDAAKVNLLSDSAMLISDHSVFPHGTLKEEFCLSVEIKPKCGFLPHSKFIAESNAVKRRITRFRMHQALKSHQGKVFFASSIISTRYNALPETFLFRNSVDSELFLGFMFSISHLNPCFRVSVPISHTSMCFLPSLYQISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKASCIDLDLKPLERMKYYYELDQKIVSCYKDGES >itb01g34700.t5 pep chromosome:ASM357664v1:1:37244202:37247447:1 gene:itb01g34700 transcript:itb01g34700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLLGSRYVDAGIRIPVSREFLEAVEKNVLHQRPSWRVDAAKVNLLSDSAMLISDHSVFPHGTLKEEFCLSVEIKPKCGFLPHSKFIAESNAVKRRITRFRMHQALKSHQGKISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKV >itb01g34700.t4 pep chromosome:ASM357664v1:1:37244202:37247584:1 gene:itb01g34700 transcript:itb01g34700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLLGSRYVDAGIRIPVSREFLEAVEKNVLHQRPSWRVDAAKVNLLSDSAMLISDHSVFPHGTLKEEFCLSVEIKPKCGFLPHSKFIAESNAVKRRITRFRMHQALKSHQGKVFFASSIISTRYNALPETFLFRNSVDSELFLGFMFSISHLNPCFRVSVPISHTSMCFLPSLYQISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKASCIDLDLKPLERMKYYYELDQKIVSCYKDGES >itb01g34700.t6 pep chromosome:ASM357664v1:1:37243858:37246870:1 gene:itb01g34700 transcript:itb01g34700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAGENAKEWSYRGEGAVNLVLAYSGESPSFVGKVLRIQKVPRNVSECENGHSGLTRLECHLWRNVNELVSAPSREIADHIFVRLVMCPLLGSRYVDAGIRIPVSREFLEAVEKNVLHQRPSWRVDAAKVNLLSDSAMLISDHSVFPHGTLKEEFCLSVEIKPKCGFLPHSKFIAESNAVKRRITRFRMHQALKSHQGKISYISGYDPLDFFSGSKDRLHKAIRDLFMTPQNNFRVFLNGCLILGGLGGVADTTSSKVGQAFDDALKDKILAGEGMRTECFLELVSEAVLSSGLPAQILEVQKLDNFDIEGAIHAYYDVVSQPCKICKELGDHLSKRFTALHSMSMEDSLKIVRDYLIAATAKDLSMMISFRPRIDGNRESPYSFVSLKSTNQNFDYKV >itb04g06900.t1 pep chromosome:ASM357664v1:4:4581699:4584439:-1 gene:itb04g06900 transcript:itb04g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHLVNLTKRISAYAMKGDVGHARVLFDEMGHRDTVSWNVMIKSYIENNRLDDARELFDEMPERTSYSWNSMIMGYIKDSKLYAALKLFTVMPEKDVVSWTSIITGMCRASRVDEAWWLFKQIPEANSVSWSSILSGFQQNGFPQKSLHVFKEMLVAGFQPTSHSITSALAACADLAMASVSEQVYSQLYKRGFNNNTHIGNSAISMFIKSGSFENARRIFLELHKPDTVTWNSMIMGYAQHGHGVAAMAAFHQMQKARFLPDRISFLGVLHGCSHCGLVNEGKKYFYAMQTEYGISPGPEHFASLVDLLSRVGELEEANEVILNMPFDPKPIFWRTLLNGCRIYGNLDLGIYVADQILKLEPYNSSACLMVIEMYSLAGKWKEVAEMRRHMQRERESKKQLGSSFIDVKGKIHLFTTGFDLCWCLNQIKLSRKQSL >itb10g20180.t3 pep chromosome:ASM357664v1:10:25818981:25820383:1 gene:itb10g20180 transcript:itb10g20180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKGLVDPPKELNSPAPLQASVELVPPQEAINNFLAANKSNGFSLGFGEKAFLAYSAQDCSNLKQERLFCGVNDIYCIFMGSLHNLCSLNRQYGLSKGANEAILVTEAYRTLRDRGPFPAHQVLKDLEGSFGFVIYDHKAGTVFAALGANESVKLFWGIAEDGSIMISDNVALIKASCAKSFAPFPDGTAQ >itb10g20180.t1 pep chromosome:ASM357664v1:10:25818981:25820409:1 gene:itb10g20180 transcript:itb10g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKGLVDPPKELNSPAPLQASVELVPPQEAINNFLAANKSNGFSLGFGEKAFLAYSAQDCSNLKQERLFCGVNDIYCIFMGSLHNLCSLNRQYGLSKGANEAILVTEAYRTLRDRGPFPAHQVLKDLEGSFGFVIYDHKAGTVFAALGANESVKLFWGIAEDGSIMISDNVALIKASCAKSFAPFPDGCMYHSERGLMSFEHPMKQMKAMPRVDSEGAMCGAYFKVDHYSKSKVNTMPRVGSEANWTL >itb10g20180.t2 pep chromosome:ASM357664v1:10:25818981:25820344:1 gene:itb10g20180 transcript:itb10g20180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKGLVDPPKELNSPAPLQASVELVPPQEAINNFLAANKSNGFSLGFGEKAFLAYSAQDCSNLKQERLFCGVNDIYCIFMGSLHNLCSLNRQYGLSKGANEAILVTEAYRTLRDRGPFPAHQVLKDLEGSFGFVIYDHKAGTVFAALGANESVKLFWGIAEDGSIMISDNVALIKASCAKSFAPFPDGTAQ >itb15g05700.t1 pep chromosome:ASM357664v1:15:3685163:3687152:1 gene:itb15g05700 transcript:itb15g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQCGKRQAAVGRSVCGFCIIFLALAPSAFWFCNNHQNTVFLEPKRIFSRNLNWLISLADRGLKE >itb09g01010.t1 pep chromosome:ASM357664v1:9:632354:633753:1 gene:itb09g01010 transcript:itb09g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMRDDDEQEGGSSGSNSRCQDCGNQSKKDCAYMRCRTCCKSRGFECPTHIKSTWVPVCKRRPRHHHLSTTASQHPLTANPKRYRQLQNPPFFGGEEGNFPAEVSFPAVFRCVRVSAVDNGEDQYAYQTSVNIAGHVFKGILYDQGPESRYNAAGESSSGGGGFQQQPSNLLSTAATAATSNASPPSYPSPFSTTNFMPGTHFFPYPKS >itb13g23780.t1 pep chromosome:ASM357664v1:13:29650194:29650619:1 gene:itb13g23780 transcript:itb13g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLAISLLFFFILTAAAFSAPAATPVATYTVQIESIMPETLVATCDINGRSLPTHKIKILKQANFTVHLRDDKDDAKTMTCDLRSGDKHGKFVMFDSRKWNVSSYCAPGAVCRWKVVSEGICLFVMSAFDCLISYYWT >itb12g27690.t2 pep chromosome:ASM357664v1:12:27944651:27945923:1 gene:itb12g27690 transcript:itb12g27690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISEKFMLLCISVGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPVSSAQNTAAADTAAVPVANNLDQQHETAAGGCLPWMRNTKAENSNNTSSTTEDSNSSNGLSSEEIELHPAAPLINLELSISLPQSPAPSPSTTKLTGKEFNDQTLKSHIFFSQRAVCLCYNLGFQNSNACNCDKMMTTSSINAEAGMHSFYRPLSL >itb12g27690.t1 pep chromosome:ASM357664v1:12:27944271:27945923:1 gene:itb12g27690 transcript:itb12g27690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLIRYIKKHGEGCWRTLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPVSSAQNTAAADTAAVPVANNLDQQHETAAGGCLPWMRNTKAENSNNTSSTTEDSNSSNGLSSEEIELHPAAPLINLELSISLPQSPAPSPSTTKLTGKEFNDQTLKSHIFFSQRAVCLCYNLGFQNSNACNCDKMMTTSSINAEAGMHSFYRPLSL >itb04g20190.t2 pep chromosome:ASM357664v1:4:24728298:24730113:-1 gene:itb04g20190 transcript:itb04g20190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSGNSTMMVCCTKDPKFKANLTKDEEFLPRKDGDLTIMYDITRSYDSNYWAQVTIANHNPLGRLDNWQLSWDWMRDEFVYNMQGAYPSVVDSSDCLFGRQGEFYKDLDFSNVLNCERRPTIIDLPLEKANDTTLGKIPFCCRNGTILPPAMDPSKSVSAFLMNVFKMPPDLNRSSFTPPQNWKISGRLNPDYKCGAPVRVSPSEFPDPSGLLPSKAVFASWQVVCNITQPKGASPKCCVSFSAYYNESVVPCSTCACGCPANTASTCSTKAPALLLPAQSLLVPFENRTKLALAWAGINHLPVPNPLPCADNCGVSINWHLFTDYRGGWSARITLFNWDDSAFADWFAAIELDKAAPGFEKVYSFNGSTLSGVDNTIFMQGLPGLNYLVGETEGANPQNDPRVPGKQQSVISFSKKNTPGINVAAGDGFPTKVFFNGEECSLPKFLPKSGSFRVSSSMLTSTILALLVFMLFLRL >itb04g20190.t1 pep chromosome:ASM357664v1:4:24728247:24731151:-1 gene:itb04g20190 transcript:itb04g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSLFLFLFLPLLLLSLPIHFAQAQKSPPLAAEPPSPADACNGIFLQYVFSSGSILKPTVKTRQPYRFESALSIFNNGVDELKSWRVFVGFQHDEFLVSASNSVLDDGTSLPAAVGNGTVFAGFPNSDLKTAIETAGDSTQTSARVELVGTQFGVGPGNAPMPSNISLVNDGFICPKPSLQGNSTMMVCCTKDPKFKANLTKDEEFLPRKDGDLTIMYDITRSYDSNYWAQVTIANHNPLGRLDNWQLSWDWMRDEFVYNMQGAYPSVVDSSDCLFGRQGEFYKDLDFSNVLNCERRPTIIDLPLEKANDTTLGKIPFCCRNGTILPPAMDPSKSVSAFLMNVFKMPPDLNRSSFTPPQNWKISGRLNPDYKCGAPVRVSPSEFPDPSGLLPSKAVFASWQVVCNITQPKGASPKCCVSFSAYYNESVVPCSTCACGCPANTASTCSTKAPALLLPAQSLLVPFENRTKLALAWAGINHLPVPNPLPCADNCGVSINWHLFTDYRGGWSARITLFNWDDSAFADWFAAIELDKAAPGFEKVYSFNGSTLSGVDNTIFMQGLPGLNYLVGETEGANPQNDPRVPGKQQSVISFSKKNTPGINVAAGDGFPTKVFFNGEECSLPKFLPKSGSFRVSSSMLTSTILALLVFMLFLRL >itb13g13880.t1 pep chromosome:ASM357664v1:13:20455350:20456577:-1 gene:itb13g13880 transcript:itb13g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHQRSNVTTASMPQSLYLSSTISPNATLLKPQLPAPPPKLLRLPPAPPSSSAISLPPNPKSLNPQLQENLFYLDSLGIDSFHCLTSHPLLVSASLPQFKSVVDFLHNLHLNIQEIRRVLHMCPEILTASLQLTLRPAVTFLLREARVEGRHLPAVIRRRPRLLTRSVEEQLRPALYFLQSAVGIEDVSKCATLLSCSVETKFIPRLDYFQKLGFSKREATVMFRRFPSLFCYSIEENFEPKSKYFLVDMGRELKELLDFPQYFSFSLENRIKPRHLICVEKGVCLSLPLMLKLSEVRFWDRLEVCCSSSIPVRTSPFWCTKIDDSVT >itb07g24630.t1 pep chromosome:ASM357664v1:7:28863816:28866679:1 gene:itb07g24630 transcript:itb07g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSGLVSTAPLQLRIAARPRHRPIKTRIVVSQSRTTTIDDPSSYSSSISMATAPRWAQKTITLPPQTRGCHLVTSKILKEIGQDLSGFKCGLAHLFLQHTSASLTINENYDSDVRDDTETFLSRIVPEGVSAPWKHTLEGPDDMPAHIKSSMFGCTLMIPISDGKLNMGTWQGIWLCEHRDEATPRRIVITLNGI >itb11g16840.t1 pep chromosome:ASM357664v1:11:15431749:15432252:-1 gene:itb11g16840 transcript:itb11g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFLQTTNVMYKNGEYSTSTLDGSVDNCAWVESDGSVPLVGKQSNDEVQRTTSAGRAAAKLWSSAFPIQRSSHHYTDWEFHCHMN >itb03g24220.t1 pep chromosome:ASM357664v1:3:22851845:22856385:1 gene:itb03g24220 transcript:itb03g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPSELCLKILRLLDHHNLAAAQLVCRRWKALGSEESLWCDLFKERWGLDHAAFYAPTGSRSWKDTYAVQDRCDRVGLGLKIIREGDDYYLIHGGEIQRHLGKKRDESSSNTAKSVEEEEKPCLGMLDKILFFIGDLESATMQAKRNHLIGDDDAEDGDGPEEEERGGLLAEVDYGARDLPAEADGAPSLLAAEVDDAPLLLGAAVEVSVYAGGVPFFSGPKQRLDFGVIMRVAMDLLPPYVGNSVCYGWAIMAELTEIDKF >itb06g16600.t1 pep chromosome:ASM357664v1:6:20706434:20706778:-1 gene:itb06g16600 transcript:itb06g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIFSGIANGTQIDSKVLQTLQKSFKQVQNLLDQNRLLINEINQNQESKIPDNLTRNVGLIRELNNNVRRVVDLYADLSDSLTKSREASSEGESAATVKSDGRGGPKRIRSS >itb04g13970.t1 pep chromosome:ASM357664v1:4:14205548:14207764:-1 gene:itb04g13970 transcript:itb04g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAYKEEKLNTRKRNLVGYILYFLGTSALLLLDLGTSGKGGLGNYIGICVVAASFGVADANVQGGMVGDLSFMCPEFMQSYLAGLAASGALTSVFRLVTKAAFEHSSNGLRKGVILFLAISAFIEFLCIVLYAFVFPKFPIVKYYRSKAASEGLKTVLADLAAAGITTPTQIGDDANNQVGRLSKKELFIKNIDYALDLNLIYVLTLSIFPGFLYENTGTHNLGSWYAIVLIAMYNALDLIARYIPLIKKLELKSRKGLMVAILSRFLLIPCFYFTAKYGNQGWMIMLVSFLGLTNGYLTVCVLTTAPKGYNGPEANALGNILMLCLLIGMCFGVALGWLWLIGNSDF >itb02g11920.t1 pep chromosome:ASM357664v1:2:7959781:7963666:1 gene:itb02g11920 transcript:itb02g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKSRGSDSTSKSFVSRKLTILLCIGCFCVGMLFTGRMWAVPEPKGMSRPKQIEDGDIKLASEGCDPRIKDVRTELRYTIGDVSNTKHAIRTLDKTISNLEMELAAARTLQDSILSGSPISEDLKIPELTKKRKYVMVVGINTAFSSRKRRDSIRNTWMPQGDKRKKLEEEKGIVVRFVIGHGATAGGILDRAIEAEDKKHGDFLRLEHVEGYLELSGKTKTYFATAVTLWDADFYVKVDDDVHVNLGTLGTTLARHRSKPRVYIGCMKSGPVLAQKGVRYYEPEHWKFGEGGNKYFRHATGQLYAISKDLATYISINENVLHKYVNEDVALGSWLIGLDVEHIHDRQWCCGTPPDCEWKAQAGNICVASFDWRCSGICGSVERIKEVHRRCGEGEKELWNAMV >itb02g11920.t2 pep chromosome:ASM357664v1:2:7959781:7963666:1 gene:itb02g11920 transcript:itb02g11920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKSRGSDSTSKSFVSRKLTILLCIGCFCVGMLFTGRMWAVPEPKGMSRPKQIEDGDIKLASEGCDPRIKDVRTELRYTIGDVSNTKHAIRTLDKTISNLEMELAAARTLQDSILSGSPISEDLKIPELTKKRKYVMVVGINTAFSSRKRRDSIRNTWMPQGDKRKKLEEEKGIVVRFVIGHGATAGGILDRAIEAEDKKHGDFLRLEHVEGYLELSGKTKTYFATAVTLWDADFYVKVDDDVHVNLGTLGTTLARHRSKPRVYIGCMKSGPVLAQNLFFDLQGSEIL >itb05g17170.t1 pep chromosome:ASM357664v1:5:24189315:24191680:-1 gene:itb05g17170 transcript:itb05g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLPSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCDGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERERHEMYEMSTGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISYCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17170.t5 pep chromosome:ASM357664v1:5:24189315:24191680:-1 gene:itb05g17170 transcript:itb05g17170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLPSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCDGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERERHEMYEMSTGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISYCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17170.t4 pep chromosome:ASM357664v1:5:24189315:24191680:-1 gene:itb05g17170 transcript:itb05g17170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLPSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCDGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERERHEMYEMSTGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISYCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIESKLLKSINFTQDRSNLISMAVCLIFPILVVPGPIAPLLS >itb05g17170.t3 pep chromosome:ASM357664v1:5:24189315:24191680:-1 gene:itb05g17170 transcript:itb05g17170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLPSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCDGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERERHEMYEMSTGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISYCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17170.t2 pep chromosome:ASM357664v1:5:24188987:24191680:-1 gene:itb05g17170 transcript:itb05g17170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLPSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCDGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERERHEMYEMSTGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISYCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb08g14560.t1 pep chromosome:ASM357664v1:8:16285058:16293031:-1 gene:itb08g14560 transcript:itb08g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTLTNFQSETVPPSSEPLNQAQQSPPTSAVDVASNLPESAGNTNNGDAAAAAASIEQPTASVTTPKWPGWPGDNVFRLVVPVLKVGSIIGRKGELVKKMCEESRARIRILEGPLGNADRIVLISGREDPDAPLSPAMDATLRVFKRVSGLSSAEESGAGSTATMAAFCSLKLLVAASQAVHLIGKQGSTIKLIQESSGASVRVLTEDELPAFATSDERIVEIHGEALKVLRALEAVLGQLRKFLVDHSVLPIFEKTYNSNISQDRAAEPWTEKPQASYLPASVPVLTTQALSEFSLPIKRDPYLLDHETSLDTKHQRSSVSLFGQDPVLHGLRSSISLRAAPVVTQMTKIMQVPLSYAEDIIGIGGTSIAYIRRTSGAILSVQESRGLPDEITIEIKGTSSQVQTAEQLIQEFMNKHKEPASSMYGKAETGHGSFSRFPDYSSSSYQPQPLGGYGSSSLGGYGGFRY >itb08g00260.t1 pep chromosome:ASM357664v1:8:250773:254347:-1 gene:itb08g00260 transcript:itb08g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMYIPGIKDLLDTKAVNMASNGEILRWLLSTPVQFIIGRRFYIGSYNALRHGSANMDVLIALGTNAAYFYSVYSVLRAATSSDFKSCSDFFETSSMLITFILLGKYLEVLAKGKTSDAIAKLMELVPEKAILVTSEGSEEEIDRRLIQKNDVIKILPGGKVACDGIVVWGNCHVNESMITGESRPVAKREGDVVIGGTVNENGVLHIRATRVGSESALSQIVRLVESAQMAKAPVQKFADRVSKYFVPLVIVVSFSTWLAWFLAGKLNGYPRSLIPASMNSFQLALQFAISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGGQALESAHKVKCVVFDKTGTLTVGKPVVVNTRLLKAAMTLQEFNELVGATEVNSKHPLANAIVEYAKKNRREDDEEEVWVWPEAREFESITGHGVKAVVQNKEVVIGNKSLMVSRGIAIGDSGEDILAETEELAQTGIFVAIDGELVGILAVSDPLKPGAAQVISILKSMKVKSLLVTGDNWGTAKSIAKEVGITDLVAEAKPEDKAKKVKELQASSKAVVAMVGDGINDAPALVAADVGIAIGAGTDIAVEAADIVLMKNHLEDVITAIDLSHKTFYRIRLNYLWALGYNLLGIPIAAGVLFPFTGFRLPPWIAGAAMAASSVSVVCSSLSLKNYRRPKKLDRMEMVGVTIQ >itb08g00260.t2 pep chromosome:ASM357664v1:8:250773:254347:-1 gene:itb08g00260 transcript:itb08g00260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFPKGEEKRMLQGRAAKAVFSVAGMTCSACAVTVEKAVKRLPGITYAAVDVLNNRALVLFYPTSVNEETIRETIEDVGFQAVLIEEETIEKSATVCRIAIKQMTCTSCSTTVESALEAIPGIQKAQVALATGEAQVHYDPKMLTYNHILQAIQDTGFEAMLISTGEDTSKIALKVDGMEGENSIMLIANSLQVLPGVQDIHIDPQLNKVSISYKSAVTGPRVFIQVIESIGSAGSFKAKLYPDEGDKETHRQQEISQYRRSFLWSLVFTIPVFLTSMIFMYIPGIKDLLDTKAVNMASNGEILRWLLSTPVQFIIGRRFYIGSYNALRHGSANMDVLIALGTNAAYFYSVYSVLRAATSSDFKSCSDFFETSSMLITFILLGKYLEVLAKGKTSDAIAKLMELVPEKAILVTSEGSEEEIDRRLIQKNDVIKILPGGKVACDGIVVWGNCHVNESMITGESRPVAKREGDVVIGGTVNENGVLHIRATRVGSESALSQIVRLVESAQMAKAPVQKFADRVSKYFVPLVIVVSFSTWLAWFLAGKLNGYPRSLIPASMNSFQLALQFAISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGGQALESAHKVKCVVFDKTGTLTVGKPVVVNTRLLKAAMTLQEFNELVGATEVNSKHPLANAIVEYAKKNRREDDEEEVWVWPEAREFESITGHGVKAVVQNKEVVIGNKSLMVSRGIAIGDSGEDILAETEELAQTGIFVAIDGELVGILAVSDPLKPGAAQVISILKSMKVKSLLVTGDNWGTAKSIAKEVGITDLVAEAKPEDKAKKVKELQASSKAVVAMVGDGINDAPALVAADVGIAIGAGTDIAVEAADIVLMKNHLEDVITAIDLSHKTFYRIRLNYLWALGYNLLGIPIAAGVLFPFTGFRLPPWIAGAAMAASSVSVVCSSLSLKNYRRPKKLDRMEMVGVTIQ >itb13g01610.t1 pep chromosome:ASM357664v1:13:1507409:1513605:1 gene:itb13g01610 transcript:itb13g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSLPDFPENRSLKSLKLRWTMFSGNLPQSIGNLTLLSHIDLTVCHFSGPIPASIIKLSKLVELSLSGNSFSGPIPASLFFLPSLQTLSLSWNKLSGHLTELRNVTSPLESLDLWDNNLEGTIPSFFFRLQSLTSLDLSSNNFFGQMIDLQNVTSPLQSLDLSSNDFEGTIPLFFFRLQSLTSLDLSSNKFFGRLIDLQNVTSPLQILVLSDNNLEGTIPLFFFHLQNLTSLDLSSNKFFGQMIDLQNVTSPLQILDLSNNNLEATIPSFFFRLQNLTVLDLSSNKFFGRMIDLQNVTSPLQSLDLWDNNLEGTIPPFLFQLQNLTMFDLSSNIFDGIVHLTKFKSQYFDTVDFSHNNLVIETTISTSELPLLPLFGELNLASCNLQKIPDFLKSQSKLRWLDLSNNTINGEIPNWIWGIGNGKLSGLNLSHNRLTHMKEPMEYSSLTSLDLNSNMLSGQIPRPPPWALYLDFSNNNFSMIPLDLLIKSQMSISFPLQKIEIPRSTQLDTFDASSFMGNKGLCGFQINVSCSGIDEPASPIPESEEKESTHHADLYISVAFGFVADGSASFFRPISFILVVSLNGIGEVKGLVGVVRMLRFFTLKWLDGRQWCCLSFRRCLPNGERRSCMSPAATDLQSEKPTMAEVGGGRRRCMVPVRERVLRIQLLCGRSLNQEERTMGHSNIWNAHPKTYGPGSRACRVCGNSHGIIRKYGLMCCRQCFRSNAKEIGFIKYR >itb15g22210.t1 pep chromosome:ASM357664v1:15:24923501:24931349:-1 gene:itb15g22210 transcript:itb15g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNTAMGNQQISEYDNMVSRPSGSLVKIDSITIDLGHIAMQKIEEGNCQHSFSIRGYVAGMREKDKKSCSPFTKSSDNSDPEEQLPPLVVRKFRWWRCENCVQDLLSENAGKDNGIASNSCRSTPFICNPCIPTPSRGNTEKLPLEMEHVPTSGKNERNTAVTDASAALGDNRSLSLSRHTSETNSGIEGTNAHDDRSEYIVQERESHSDGDGQRIASTTHEKAKLYTNTSEALDNSVEEARRLPSIKLRDDGEGSSESDGETGKARFRLLTDLLSGQVNLENSCTNSAQASLDQMPVAYSDGGSADLEKGIKSPHRKRKTPQELECKVSEAGSRINTLKNARASKEVTEKSPIAIDIPDSQSEQDGSAEGGLHARTKIRQNKRKIDRETGTKKKKSKQAHVDDGCSSIIPWPTTPLGSGDLKKYGTATNAGIIQSSHCASSEGNMEHHLENMRLLMETRIEARKSSCASSNNNKFPEIGYYPSSVVYPGNHLLGESSNKRKNVESWTTHSEVGNFRMPHDASAKVGLDLSLNSFRDPKARGIEIDFNRSVNLQKGDKIGDPRRKGLIREQNAVKADQSLKGVLCDLNAQTTITFQGKQNFSTLVEERSLPLHKKMDFSIQKNEAKEFRGSAEANKHKKSQRRDEESEQGPPDDIPMEIVELMAQNQYERGLGEKPKSQANSNAAKGYSDGYGNKSMAWQSPGLNYFQHDHARRDLTVASKVMGGNGGSFTSSKLNRGHFEVNQMEENQLHMSGVFPLSLKKLSSGGQLSACGSVRNGFRVSEEANPLWAAPATTHFGRGTIQKSTSQSKNKELDAQVQNLLHHKGKTISDIKANEVKKQSESHPFFSKPREGEASHKNMVSLESYANEAIPAMQLLSLMDKKVPSSRGFNLDANKIPEKPFMPCDYHPNFSRDVTQNFFDRSLFPHQHSKQFSDLRSGVSNPGESSGQPLPSLYNQISFKSQEQEKPRRLYAPSLLGGSKLHSSASSSGTPHMTQGSFSVRDMQGGFLCLPGPTSFPLQDHILEHRPKNFELGRGSIPVTAWPMKSTVESNTCSLNQNPAEFTDPEAWNMFTISGKDLKIGKKNSSRERSYAAANVDGRKRQRNTKVRTGKEPEYGNL >itb08g07420.t1 pep chromosome:ASM357664v1:8:6360093:6362004:-1 gene:itb08g07420 transcript:itb08g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHMQTTPSSTALAAGCAAIHKPSELASITCLELGEVCKEVGLPPGALNILTGLGQEAGAPLVSHPHVDKETVTNLKQTQPQEAMIHRKSNIGSSTSHSSCEARIKQLEEQNQVMQQQQQDMHEENRRIRDIVQKMEATLAHFSANLGSLDQDPNKNSSNDDTLAPSSQD >itb05g23290.t1 pep chromosome:ASM357664v1:5:28438577:28441270:-1 gene:itb05g23290 transcript:itb05g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHEEEHPVKAFGYAASDTSGLLSPFKFSRRATGEKDVQFKVLYCGICHSDLHQIKNEWGFTKYPIVPGHEIVGVVTEVGSKVEKFKAGDKVGVGCLVGSCRKCDNCANDLENYCPLSVQTYNDLRTTTYGGYSDIMVADEHFVVRWPESLPMEAAPLLCAGITTYSPLKYFGLDKPGMHIGVVGLGGLGHMAVKYAKAFGTKVTVISTSPSKKQEALERLGADLFLVSTDPEQIKAGMATLDGIIDTVSAVHALLPLIGLLKSHGKLIMVGAPEKPLEIHMFPLLMGRKLIAGSCIGGMKETQEMLDFSAKHNITPEVEIVPADYVNTAMDRLKKSDVRYRFVLDIGKTLKAA >itb10g00630.t3 pep chromosome:ASM357664v1:10:403223:407199:1 gene:itb10g00630 transcript:itb10g00630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMILTPISSTSRTAINLAQSKQPIFFFTRKFPIIMSSSRSAAVHPVPGLSWEEMERVTAEPIFQSRYSSSPSGGDIRRGKGVAIVWFRNDLRVLDNEALYKAWISSEAVLPVYCVDPRLFSTTHYFGFPKTGALRAQFIVESLADLKRNLMKRGLNLLIQHGEPEEILPSLAKTYNAHTVYAQKETCSEELNVERAVSKNLRDAIQPSSNSKNATRLELNWGCTMYHIDDLPFNGKDLPDVYTQFRKSVESKSAIRNCIKIPTSLGPSPSVAEWGSVPEVTQLGLQPEKVSKGMKFVGGETAALSRVHEYFWKKDLLKIYKETRNGMLGPDYSTKFSPWLASGCLSPRLIHAEVKRYEKERLSNASTYWVLFELVWRDYFRFLSIKVGNSLFHPGGPRKLNSNWSKDQKLFDAWRNGQTG >itb10g00630.t2 pep chromosome:ASM357664v1:10:403223:407962:1 gene:itb10g00630 transcript:itb10g00630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMILTPISSTSRTAINLAQSKQPIFFFTRKFPIIMSSSRSAAVHPVPGLSWEEMERVTAEPIFQSRYSSSPSGGDIRRGKGVAIVWFRNDLRVLDNEALYKAWISSEAVLPVYCVDPRLFSTTHYFGFPKTGALRAQFIVESLADLKRNLMKRGLNLLIQHGEPEEILPSLAKTYNAHTVYAQKETCSEELNVERAVSKNLRDAIQPSSNSKNATRLELNWGCTMYHIDDLPFNGKDLPDVYTQFRKSVESKSAIRNCIKIPTSLGPSPSVAEWGSVPEVTQLGLQPEKVSKGMKFVGGETAALSRVHEYFWKKDLLKIYKETRNGMLGPDYSTKFSPWLASGCLSPRLIHAEVKRYEKERLSNASTYWVLFELVWRDYFRFLSIKVGNSLFHPGGPRKLNSNWSKDQKLFDAWRNGQTGYPLIDANMKELLTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQVSVLKKP >itb10g00630.t4 pep chromosome:ASM357664v1:10:403223:406581:1 gene:itb10g00630 transcript:itb10g00630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMILTPISSTSRTAINLAQSKQPIFFFTRKFPIIMSSSRSAAVHPVPGLSWEEMERVTAEPIFQSRYSSSPSGGDIRRGKGVAIVWFRNDLRVLDNEALYKAWISSEAVLPVYCVDPRLFSTTHYFGFPKTGALRAQFIVESLADLKRNLMKRGLNLLIQHGEPEEILPSLAKTYNAHTVYAQKETCSEELNVERAVSKNLRDAIQPSSNSKNATRLELNWGCTMYHIDDLPFNGKDLPDVYTQFRKSVESKSAIRNCIKIPTSLGPSPSVAEWGSVPEVTQLGLQPEKVSKGMKFVGGETAALSRVHEYFWKKDLLKIYKETRNGMLGPDYSTKFSPWLASGCLSPRLIHAEVKRYEKERLSNASTYWYVIPLSIPA >itb10g00630.t1 pep chromosome:ASM357664v1:10:403217:408722:1 gene:itb10g00630 transcript:itb10g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMILTPISSTSRTAINLAQSKQPIFFFTRKFPIIMSSSRSAAVHPVPGLSWEEMERVTAEPIFQSRYSSSPSGGDIRRGKGVAIVWFRNDLRVLDNEALYKAWISSEAVLPVYCVDPRLFSTTHYFGFPKTGALRAQFIVESLADLKRNLMKRGLNLLIQHGEPEEILPSLAKTYNAHTVYAQKETCSEELNVERAVSKNLRDAIQPSSNSKNATRLELNWGCTMYHIDDLPFNGKDLPDVYTQFRKSVESKSAIRNCIKIPTSLGPSPSVAEWGSVPEVTQLGLQPEKVSKGMKFVGGETAALSRVHEYFWKKDLLKIYKETRNGMLGPDYSTKFSPWLASGCLSPRLIHAEVKRYEKERLSNASTYWVLFELVWRDYFRFLSIKVGNSLFHPGGPRKLNSNWSKDQKLFDAWRNGQTGYPLIDANMKELLTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQNYDPEGKFVAYWLPELRPLPKEKRHSPGLSYIKPLVPLKHGYTTKSNHTQDKNRWKGRKF >itb07g10450.t1 pep chromosome:ASM357664v1:7:11489145:11489829:1 gene:itb07g10450 transcript:itb07g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTDGKWKLSKDEPNSPSTSSSSSAAMTMMRSMSQRGAASSNHPPLPRSYSHRNPAASSSSSSKSATNFGRKCKNLAKEQKAKFYIVKRCIAMLVRRNKHRHHDDDSS >itb04g19180.t1 pep chromosome:ASM357664v1:4:23292606:23293865:1 gene:itb04g19180 transcript:itb04g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFGEIGMLKEAVDMVFEMRNQRLVFSARTLNCIVSVAAETGCIEIAENVFDEMCERGLYPDSFCFESMVVAYCKLGRAVDADRWVSAMLERGFIVDNATCTLIMSVLCQKGFVNRAFWIFNRLIELGLTPNLINFTCLINGLSKCGSVKQTFELLEEMVGKGWKPNVFTHTALIDGLCKKGWTEKAFRLFLKLVRSDSYKPNVHTYTVMITGYCKEEKLNRAEMLLSRMQEQGLVPNAQTYTSLIDGYCKVGNFTRAYELMEVMKKDDLLLSISTYNSVIDGLCKKGEAREAYKLLKKGLETGLSVDLVTYTILISDCCKHADSRLAFALWCKMVKFGINPDIHTYTTLIVAQSRKGLVPTKETFTSMISGYFRDKNISSAMKFFQSMGEYGCAPDCVTYGALVSGLCKESMLDEG >itb07g18640.t1 pep chromosome:ASM357664v1:7:23103819:23108973:-1 gene:itb07g18640 transcript:itb07g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVESGARVSVRDRLNGNAVGGSARRRQITGKRLREDDDKWEHDLYEADEPQISSRKLGVKDLRLKLQKRSTQETSQSLNRSVSRGTRDLREKLSGTIYSEAGEVDPSKPKRNPAPDISKPVRKSVIAEAPVLETKKVASTISKKKSQQKAESVGSFLQSLGLEKYEITFQAEEVDMAALIHMTDEDLKAMGIPMGPRKKILLALESKR >itb07g22320.t1 pep chromosome:ASM357664v1:7:26795245:26798292:1 gene:itb07g22320 transcript:itb07g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKQEKVRRYEEFVDNRLKPDLVHAIAERDKVFEQQKIFSDLRRNIENLEKNSVTSLRSLVNLGSEVYMQAEVPDTRHIIVDVGLGFHVEFTWSEALTYISAREKKLAGQIEEYTRLIASIKAQIKMVCEGIRELLQLPADSFRRERDL >itb07g22320.t2 pep chromosome:ASM357664v1:7:26795245:26797544:1 gene:itb07g22320 transcript:itb07g22320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKQEKVRRYEEFVDNRLKPDLVHAIAERDKVFEQQKIFSDLRRNIENLEKNSVTSLRSLVNLGSEVYMQAEVPDTRHIIVDVGLGFHVEFTWSEALTYISAREKKLAGYTPNLSTVILHFLNL >itb06g15470.t2 pep chromosome:ASM357664v1:6:19803794:19804691:-1 gene:itb06g15470 transcript:itb06g15470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADDNRKRMLTWQKRFEIAVGISRGLLYLHQDSRFKIIHRDLKASNILLDAELNPKISDFGLARIVGEDDALAKTKRVIGTYGYMSPEYAIDGKFSVKSDVFSLGVVLLELISGRKNRAFHHLEHHHNLLGHCIHVALLCVSKLPEDRPTMASVVFMLENEKVALPQPKEPGFFLETNSTPPSPINEESCCSEIATMTFCSILQAR >itb06g15470.t1 pep chromosome:ASM357664v1:6:19803794:19806800:-1 gene:itb06g15470 transcript:itb06g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEGLRLYFFCSILLSLFTFCTSVDTITPDHPITDGTTIVSAGGNFELGFFSPGKSKNRYVGIWYSKMPTKDVVWVANRETPLNNTSGKLMLKDNGILVLLDGSNEEIWSSNSSTSLKNPVARLLDTGNLVVREGNGHSSKNSAWQSFDYPGNTFLPGMKVGRNLATGHAWSLNSWKSPDDPGLGEYTEMLDLNGFPQIFQFRGANKSATFRHGPWNGKTFTGVPYIKINPYFTFEYVMDDTEIYYRYELINSSVPFRVVITPTGLIQRLTWIERTKSWFLYSTAQADICDHYAMCGAFGKCNINNSPPCDCLKGFIPKYPQQWDATDWSNGCARTTPLDCGDEDRFFVYTGLKLPDTRDSWYDRNISLDECKRLCLKNCNCSAYSNLDVRDGGSGCLLWFGDLTDIKENGEIDQYVYVRVAASDFDDNRKRMLTWQKRFEIAVGISRGLLYLHQDSRFKIIHRDLKASNILLDAELNPKISDFGLARIVGEDDALAKTKRVIGTYGYMSPEYAIDGKFSVKSDVFSLGVVLLELISGRKNRAFHHLEHHHNLLGHCIHVALLCVSKLPEDRPTMASVVFMLENEKVALPQPKEPGFFLETNSTPPSPINEESCCSEIATMTFCSILQAR >itb14g15990.t3 pep chromosome:ASM357664v1:14:19282798:19287857:-1 gene:itb14g15990 transcript:itb14g15990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDKKEPSSAEEVREVVISIPMTESSSGITEEKMPAGHWKKPNLFLEIPTRTLEDSCEELVQIKSFPTPTPTPKKVNFVLTPSSADPSGKGSPGPISSRGKSSLRNLLPKLSFKNRSSNPDTEKAADIDPGSSATTSQEKLSISRSWSLTKIFTPRMKNASSLPGTPIAHSNPESVRGGSSRGAKMRISRSISLPVNNKDQSIRKVESFFRVIPSTPCAKDANSVTSATAPTGDSEENEQDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVLNLPVTLLRIQSIQNADIGASRLSQMEINGYRVWEEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLVSSMTASTMGMLYEVGKKY >itb14g15990.t2 pep chromosome:ASM357664v1:14:19281947:19287857:-1 gene:itb14g15990 transcript:itb14g15990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDKKEPSSAEEVREVVISIPMTESSSGITEEKMPAGHWKKPNLFLEIPTRTLEDSCEELVQIKSFPTPTPTPKKVNFVLTPSSADPSGKGSPGPISSRGKSSLRNLLPKLSFKNRSSNPDTEKAADIDPGSSATTSQEKLSISRSWSLTKIFTPRMKNASSLPGTPIAHSNPESVRGGSSRGAKMRISRSISLPVNNKDQSIRKVESFFRVIPSTPCAKDANSVTSATAPTGDSEENEQDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVLNLPVTLLRIQSIQNADIGASRLSQMEINGYRVWEEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLVSSMTASTMVKRKFVWVFASIQFALVVLFAHIFYSLVHLQVIMSILLSTFAGIGIAMSGSSIIVEYSRWRRRRQLAFLSRQVNPPAAPPPGQQPRQQNQETSGPHQSDVENPEMLSGRVANAE >itb14g15990.t4 pep chromosome:ASM357664v1:14:19281947:19287857:-1 gene:itb14g15990 transcript:itb14g15990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDKKEPSSAEEVREVVISIPMTESSSGITEEKMPAGHWKKPNLFLEIPTRTLEDSCEELVQIKSFPTPTPTPKKVNFVLTPSSADPSGKGSPGPISSRGKSSLRNLLPKLSFKNRSSNPDTEKAADIDPGSSATTSQEKLSISRSWSLTKIFTPRMKNASSLPGTPIAHSNPESVRGGSSRGAKMRISRSISLPVNNKDQSIRKVESFFRVIPSTPCAKDANSVTSATAPTGDSENEQDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVLNLPVTLLRIQSIQNADIGASRLSQMEINGYRVWEEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLVSSMTASTMVKRKFVWVFASIQFALVVLFAHIFYSLVHLQVIMSILLSTFAGIGIAMSGSSIIVEYSRWRRRRQLAFLSRQVNPPAAPPPGQQPRQQNQETSGPHQSDVENPEMLSGRVANAE >itb14g15990.t5 pep chromosome:ASM357664v1:14:19282798:19287857:-1 gene:itb14g15990 transcript:itb14g15990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDKKEPSSAEEVREVVISIPMTESSSGITEEKMPAGHWKKPNLFLEIPTRTLEDSCEELVQIKSFPTPTPTPKKVNFVLTPSSADPSGKGSPGPISSRGKSSLRNLLPKLSFKNRSSNPDTEKAADIDPGSSATTSQEKLSISRSWSLTKIFTPRMKNASSLPGTPIAHSNPESVRGGSSRGAKMRISRSISLPVNNKDQSIRKVESFFRVIPSTPCAKDANSVTSATAPTGDSEENEQDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVLNLPVTLLRIQSIQNADIGASRLSQMEINGYRVWEEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLVSSMTASTMGMLYEVGKKY >itb14g15990.t1 pep chromosome:ASM357664v1:14:19281947:19287857:-1 gene:itb14g15990 transcript:itb14g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDKKEPSSAEEVREVVISIPMTESSSGITEEKMPAGHWKKPNLFLEIPTRTLEDSCEELVQIKSFPTPTPTPKKVNFVLTPSSADPSGKGSPGPISSRGKSSLRNLLPKLSFKNRSSNPDTEKAADIDPGSSATTSQEKLSISRSWSLTKIFTPRMKNASSLPGTPIAHSNPESVRGGSSRGAKMRISRSISLPVNNKDQSIRKVESFFRVIPSTPCAKDANSVTSATAPTGDSEENEQDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVLNLPVTLLRIQSIQNADIGASRLSQMEINGYRVWEEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLVSSMTASTMVKRKFVWVFASIQFALVVLFAHIFYSLVHLQVIMSILLSTFAGIGIAMSGSSIIVEYSRWRRRRQLAFLSRQVNPPAAPPPGQQPRQQNQETSGPHQSDVENPEMLSGRVANAE >itb09g03410.t3 pep chromosome:ASM357664v1:9:1914510:1921130:-1 gene:itb09g03410 transcript:itb09g03410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKGRSSPSPRKSKFAAFEFTAEDERVEKDSMITLCKFKKRKSPQKHSPINKYTFLKSFARGVKNIVYEFVDGLVDTGSSDGPMDVGIIKSHTVASSGSSGCKPSEHNHGSEFSSPSSARISGIVTDDKHVCSRPPLIPADNEPVVVDSDDDPVIELRSPKSPSIAQLQGLPDEHALKYSSNVHDTETLVIVIPDHIIYRHFFSTHSCLSFSPKCITLEAFPISETMPLTFKWKVSAIVDIRSVWIDSVKTANVIVRLISRTPRSRAVRILSFAVCDPDWSDRQEAIQSLDFRYKDRWRTAEVDTVGSELFFGQERFSPSSTSFPIFEESFNEVIYPKGDPDAISISKRDVDRLQPETFINDTIVDFYITYLKNNIRSEEKHRFHFFNCFFFRKLTDLDKDPSQACEGRAAFQRVRRWTIKVDIFKKDYIFVPINFSYHWSLIVICHPGEVAGYRDNEMEKSSRVPCILHMDSLRGSHKGLKDLFQSYLWEEWKERHGELAEDMHRKFRNLQFVHLKLPQQENLFDCGLFLLHYVELFLEQAPVNFSPAGITESSKFVSYHLEPLDLNLFCTRFHCPCAYASGVVL >itb09g03410.t5 pep chromosome:ASM357664v1:9:1912967:1921130:-1 gene:itb09g03410 transcript:itb09g03410.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKGRSSPSPRKSKFAAFEFTAEDERVEKDSMITLCKFKKRKSPQKHSPINKYTFLKSFARGVKNIVYEFVDGLVDTGSSDGPMDVGIIKSHTVASSGSSGCKPSEHNHGSEFSSPSSARISGIVTDDKHVCSRPPLIPADNEPVVVDSDDDPVIELRSPKSPSIAQLQGLPDEHALKYSSNVHDTETLVIVIPDHIIYRHFFSTHSCLSFSPKCITLEAFPISETMPLTFKWKVSAIVDIRSVWIDSVKTANVIVRLISRTPRSRAVRILSFAVCDPDWSDRQEAIQSLDFRYKDRWRTAEVDTVGSELFFGQESKRDVDRLQPETFINDTIVDFYITYLKNNIRSEEKHRFHFFNCFFFRKLTDLDKDPSQACEGRAAFQRVRRWTIKVDIFKKDYIFVPINFSYHWSLIVICHPGEVAGYRDNEMEKSSRVPCILHMDSLRGSHKGLKDLFQSYLWEEWKERHGELAEDMHRKFRNLQFVHLKLPQQENLFDCGLFLLHYVELFLEQAPVNFSPAGITESSKFLSKDWFQPKDVSYKRDHIRKLIYEILKHTQDDCSTDSDYKHSSNSINKEHAGVEFVNELCSSRETSQINDYHSPADADVQILSPPRTHVKSLKFAGVTDLVPGVVSPQGDTPKPGPINNYMHSGQKLTSRGSITMSPIEEDEETGEEFMDFSPVLKRGRRQQIEHFVLCPVNEDIKPSFGMKTGGLSMPSQVCPGEEVNVESSSSSDEESVDCIVVDSQGEIDTCDDIHDTSECSFRQKTITCLHRSLEADSTEHEANRIQSYMQEEEQARPKHLPEIIEVSGSDTEDKDECLVTSTAESAAFIVEDSEEDEDNVEGSMNHRVQPLLRSKPRWKTDMDDECGLIAKLKMVES >itb09g03410.t1 pep chromosome:ASM357664v1:9:1912967:1921130:-1 gene:itb09g03410 transcript:itb09g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKGRSSPSPRKSKFAAFEFTAEDERVEKDSMITLCKFKKRKSPQKHSPINKYTFLKSFARGVKNIVYEFVDGLVDTGSSDGPMDVGIIKSHTVASSGSSGCKPSEHNHGSEFSSPSSARISGIVTDDKHVCSRPPLIPADNEPVVVDSDDDPVIELRSPKSPSIAQLQGLPDEHALKYSSNVHDTETLVIVIPDHIIYRHFFSTHSCLSFSPKCITLEAFPISETMPLTFKWKVSAIVDIRSVWIDSVKTANVIVRLISRTPRSRAVRILSFAVCDPDWSDRQEAIQSLDFRYKDRWRTAEVDTVGSELFFGQERFSPSSTSFPIFEESFNEVIYPKGDPDAISISKRDVDRLQPETFINDTIVDFYITYLKNNIRSEEKHRFHFFNCFFFRKLTDLDKDPSQACEGRAAFQRVRRWTIKVDIFKKDYIFVPINFSYHWSLIVICHPGEVAGYRDNEMEKSSRVPCILHMDSLRGSHKGLKDLFQSYLWEEWKERHGELAEDMHRKFRNLQFVHLKLPQQENLFDCGLFLLHYVELFLEQAPVNFSPAGITESSKFLSKDWFQPKDVSYKRDHIRKLIYEILKHTQDDCSTDSDYKHSSNSINKEHAGVEFVNELCSSRETSQINDYHSPADADVQILSPPRTHVKSLKFAGVTDLVPGVVSPQGDTPKPGPINNYMHSGQKLTSRGSITMSPIEEDEETGEEFMDFSPVLKRGRRQQIEHFVLCPVNEDIKPSFGMKTGGLSMPSQVCPGEEVNVESSSSSDEESVDCIVVDSQGEIDTCDDIHDTSECSFRQKTITCLHRSLEADSTEHEANRIQSYMQEEEQARPKHLPEIIEVSGSDTEDKDECLVTSTAESAAFIVEDSEEDEDNVEGSMNHRVQPLLRSKPRWKTDMDDECGLIAKLKMVES >itb09g03410.t2 pep chromosome:ASM357664v1:9:1912967:1921123:-1 gene:itb09g03410 transcript:itb09g03410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIIKSHTVASSGSSGCKPSEHNHGSEFSSPSSARISGIVTDDKHVCSRPPLIPADNEPVVVDSDDDPVIELRSPKSPSIAQLQGLPDEHALKYSSNVHDTETLVIVIPDHIIYRHFFSTHSCLSFSPKCITLEAFPISETMPLTFKWKVSAIVDIRSVWIDSVKTANVIVRLISRTPRSRAVRILSFAVCDPDWSDRQEAIQSLDFRYKDRWRTAEVDTVGSELFFGQERFSPSSTSFPIFEESFNEVIYPKGDPDAISISKRDVDRLQPETFINDTIVDFYITYLKNNIRSEEKHRFHFFNCFFFRKLTDLDKDPSQACEGRAAFQRVRRWTIKVDIFKKDYIFVPINFSYHWSLIVICHPGEVAGYRDNEMEKSSRVPCILHMDSLRGSHKGLKDLFQSYLWEEWKERHGELAEDMHRKFRNLQFVHLKLPQQENLFDCGLFLLHYVELFLEQAPVNFSPAGITESSKFLSKDWFQPKDVSYKRDHIRKLIYEILKHTQDDCSTDSDYKHSSNSINKEHAGVEFVNELCSSRETSQINDYHSPADADVQILSPPRTHVKSLKFAGVTDLVPGVVSPQGDTPKPGPINNYMHSGQKLTSRGSITMSPIEEDEETGEEFMDFSPVLKRGRRQQIEHFVLCPVNEDIKPSFGMKTGGLSMPSQVCPGEEVNVESSSSSDEESVDCIVVDSQGEIDTCDDIHDTSECSFRQKTITCLHRSLEADSTEHEANRIQSYMQEEEQARPKHLPEIIEVSGSDTEDKDECLVTSTAESAAFIVEDSEEDEDNVEGSMNHRVQPLLRSKPRWKTDMDDECGLIAKLKMVES >itb09g03410.t4 pep chromosome:ASM357664v1:9:1914510:1921118:-1 gene:itb09g03410 transcript:itb09g03410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIIKSHTVASSGSSGCKPSEHNHGSEFSSPSSARISGIVTDDKHVCSRPPLIPADNEPVVVDSDDDPVIELRSPKSPSIAQLQGLPDEHALKYSSNVHDTETLVIVIPDHIIYRHFFSTHSCLSFSPKCITLEAFPISETMPLTFKWKVSAIVDIRSVWIDSVKTANVIVRLISRTPRSRAVRILSFAVCDPDWSDRQEAIQSLDFRYKDRWRTAEVDTVGSELFFGQERFSPSSTSFPIFEESFNEVIYPKGDPDAISISKRDVDRLQPETFINDTIVDFYITYLKNNIRSEEKHRFHFFNCFFFRKLTDLDKDPSQACEGRAAFQRVRRWTIKVDIFKKDYIFVPINFSYHWSLIVICHPGEVAGYRDNEMEKSSRVPCILHMDSLRGSHKGLKDLFQSYLWEEWKERHGELAEDMHRKFRNLQFVHLKLPQQENLFDCGLFLLHYVELFLEQAPVNFSPAGITESSKFVSYHLEPLDLNLFCTRFHCPCAYASGVVL >itb09g03410.t6 pep chromosome:ASM357664v1:9:1912967:1921130:-1 gene:itb09g03410 transcript:itb09g03410.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIIKSHTVASSGSSGCKPSEHNHGSEFSSPSSARISGIVTDDKHVCSRPPLIPADNEPVVVDSDDDPVIELRSPKSPSIAQLQGLPDEHALKYSSNVHDTETLVIVIPDHIIYRHFFSTHSCLSFSPKCITLEAFPISETMPLTFKWKVSAIVDIRSVWIDSVKTANVIVRLISRTPRSRAVRILSFAVCDPDWSDRQEAIQSLDFRYKDRWRTAEVDTVGSELFFGQERFSPSSTSFPIFEESFNEVIYPKGDPDAISISKRDVDRLQPETFINDTIVDFYITYLKNNIRSEEKHRFHFFNCFFFRKLTDLDKDPSQACEGRAAFQRVRRWTIKVDIFKKDYIFVPINFSYHWSLIVICHPGEVAGYRDNEMEKSSRVPCILHMDSLRGSHKGLKDLFQSYLWEEWKERHGELAEDMHRKFRNLQFVHLKLPQQENLFDCGLFLLHYVELFLEQAPVNFSPAGITESSKFLSKDWFQPKDVSYKRDHIRKLIYEILKHTQDDCSTDSDYKHSSNSINKEHAGVEFVNELCSSRETSQINDYHSPADADVQILSPPRTHVKSLKFAGVTDLVPGVVSPQGDTPKPGPINNYMHSGQKLTSRGSITMSPIEEDEETGEEFMDFSPVLKRGRRQQIEHFVLCPVNEDIKPSFGMKTGGLSMPSQVCPGEEVNVESSSSSDEESVDCIVVDSQGEIDTCDDIHDTSECSFRQKTITCLHRSLEADSTEHEANRIQSYMQEEEQARPKHLPEIIEVSGSDTEDKDECLVTSTAESAAFIVEDSEEDEDNVEGSMNHRVQPLLRSKPRWKTDMDDECGLIAKLKMVES >itb09g18460.t1 pep chromosome:ASM357664v1:9:14223535:14224185:1 gene:itb09g18460 transcript:itb09g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFQNITERRLASICCCPGYTRRCSPRSPEIEEAAGVDLLDCCCPSAIGEKENNREETEVHGAATPQESYRRRRCLLRRERERTGWPRSTCCAVHRHGKPRHRWCCSMLPAGKGERKREGEGESERDEPALCYLTSASAAAIAHLVAGLLQFIE >itb06g24290.t1 pep chromosome:ASM357664v1:6:25926356:25927662:-1 gene:itb06g24290 transcript:itb06g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVARNPEPPSAVKISGHHQPRRRRRGTLPPTTTQLTKNINRNPSLKSLHLSTLLRIPTGHRSGEPHRKPPLTFPYRQSAAHIVSTLRPTNLDPKRESLSVFPLTHQRNSKIPAPAEKRERKRLTPAEKRCLDFESKKSEEKGVQILLLRRRRKERKHHYCVRL >itb01g05100.t1 pep chromosome:ASM357664v1:1:3466382:3475065:-1 gene:itb01g05100 transcript:itb01g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSNPFEEEEEVNPFADHGARGKAAGGSAFSGGAFYTTSSGSVPPATNSRLSPLPPEPAGFYNSNDSVDIPLDNTSDLKKKEKELQAKESELRRREQDLKRREDAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFTTLLGLVACLLWNIVAVTTAWIKEGDVKIWFLSLIYFISGVPGAYVLWYRPLYRAFRNESAMKFGWFFMFYLLHIGFCIFAAVAPPVVFRGKSLTGILPAVDLVGKQVLVGIFYFIGFGFFCLESVISVWVIQQVYMYFRGSGKAAEMKREAARGALRAAI >itb09g12130.t1 pep chromosome:ASM357664v1:9:7638213:7639773:1 gene:itb09g12130 transcript:itb09g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPIVGCFPQLLRNKPVFKWMLNMMEEMNTEIACFKLGGTNVIAVTSPEVAREVLKKQDSVFASRPTCMSAELISSNYLTSVVAPMGEQWKKMRRVLSSHVVSPNALNWLSHKRATEADHLVRYIYNQCTAGGFGGVVVDVRAMGNHFCGNVMKQMVFSKRYFKAGTEDGGPGVEDEEHIDATFGVLDLLYSFGISDYFPWLRMFDLEGHRKAIQKAVEGVRKYQDPEVDERIKMWKDGTKTDDDEKQDILDVLINLKDAHGKSLLTSEEIKAQILELMITIVDNPSNVVEWVVAEMLNQPEILRRAIEELDNIVGRERLVQESDLPRLNYLNACLKEAFRIHPLSSFVPPHLCSSDTTLSNYFIPKGSHVMISRHGLGRNPRIWEEPLKFKPERHLKNDGSEVSLADPEVKILSFSAGRRGCPGVQLGSLVSGMLLGRLLQGFEWSVPHGGRVDLKESKDSILLANPLSAVAKPRLPHHIYSSLKS >itb03g04970.t1 pep chromosome:ASM357664v1:3:3294755:3297003:-1 gene:itb03g04970 transcript:itb03g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENTIRFGIMGCADIARKVSRAIHLAPNATLHAVASRSVHKAKQFAIKNGLAETVKIYGSYNELLDDPSVDAVYMPLPTSLHSHWAVLAAEKKKHLLLEKPTALNVDELDKILDACERNGVQFMDASMWYHHPRTAKMKELLSDSDIFGQVNSIHSSSSYSAPPAFLENDIRVKPDLDALGALGDAGWYCIGSILWAMNQKLPTTVVALPAIEKNSAGVILSCSASLYWGEGTVATFFCSFLAHESMDILVCGSKGSLSVKDFIIPFAETSGSFSFASGSKFLDLHVGWNAQPDEVQVASQLPQEAMMVMEFSELVNAIKFLGRKPEHKWPHSSRLSQLVLDAVKNSIDLGFQPVHL >itb06g13280.t1 pep chromosome:ASM357664v1:6:17989817:17990893:1 gene:itb06g13280 transcript:itb06g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASLPNSSVFLVFAVLIMLGITNVSAGTFTLHNACTHTVWPGTLSGNGGALLGEGGFALSPGESIQLQAPAGWSGRFWARTGCNFDAKGNGKCVTGDCGGLKCAGGGVPPVTLAEFTIANGNADKDFYDVSLVDGYNVDLGIHPSGGSGDCQYAGCVADLNTNCPQVLQVTDSGSVVACKSACAQFNTPEYCCTGDHNTPATCSPTQYSQIFKNACPNAYSYAHDDTSSTFTCAGSDYFITFCPSS >itb04g25900.t1 pep chromosome:ASM357664v1:4:30326640:30334694:1 gene:itb04g25900 transcript:itb04g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MADHGTVVTQSSAVMDYVSADHSNAGSNASDLENTGNPVVPETSISTEHLGTHTGIGDPVTSSSVMDSTRSSTVGADSITDLTQQDTPTVVTYDTNENVGGHLDASQVASYATSINGTNNEATDVASTGITENGIPSDNIHDAAAMHQPVDGSALSAEEERLWSIVRANSLDFGAWTALIEETEKMSEGDIMKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDMWLHYCVFAINTYGDPETIRRLFERALAYVGTDYLSFPLWDKYLEYEYTQQAWSHVAAIYTRVLEIPNQQLDRYFEGFKELVASRPLSELRTAEEAAAAAAADSEASGEQVEGEVHPNSESSKPVSASLKDAEELEKYIAVREEIYKKAKEFDSKIIGFETAIRRPYFHVRPLNSAELENWHNFLDFIEGGDDFNKVVKLYERCLIACANYPEYWIRYVLCMEASQSMDLADNALARATQVFVKRQPEIHLFAARFREQHGDIPGARAAYHLVHTEISPGLLEATIKHANMEHRLGNLDDACSLYEQAIAIEKGKEHSQTLPLLYAQYSRFLYLVSGKVEKAREILDQVVENAQLSKPLLEAIIHLESIQPQPKRIDYLDSLVDKFIVPTIDTSTVASIDEREELSSIFLEFLDLFGDAQSIKKADDRHAKLFLRNRTSSESKKRHAEDYLVSDKTKLSKGVAPAAAASVMGSYPGGQNQWPGGYSSQPQTWPQTTQTQAQQWNPAYTQQAAYGAYYGSGYTHPQAPTSVPPAAPYGAYPSTYPAQSYAQPAVAATLTPAQPAPAAVPPTGYYGGGSYY >itb12g25100.t1 pep chromosome:ASM357664v1:12:26380728:26381489:-1 gene:itb12g25100 transcript:itb12g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSAENTAKAYIRTMKMGSMQGERTKGLNGAEFISALAAGNNSQFMVVVCTSAADPTMQALAAAAQQTRGRVLCILQAGQEEPHVSELIPSTNQGNSVEFVNGDAQNLLLNHYKQADFLAIDCNLKNHNGILRSVQGVSRYKDAIVLGYNTFCKQSWRSSSLHTHLLPIGEGLLLTRIRAKKKMVNSPTTQKRGRWIIKVDNCTGEEHVFRVKSHPSKVLEA >itb08g01650.t1 pep chromosome:ASM357664v1:8:1312665:1325404:-1 gene:itb08g01650 transcript:itb08g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMQTKCYLCWCLLCGNLFIISLSVGVWQHKGEPAGLERGLSDHSISFGSHESRNYITSNFQESSISTEVKLSSCQDLEGVGSFDTTCYLSSNLNITSDLYVSGSGNLEILSQVSIVCPIEGCTISFNLSGDVKVGQDVAIIAGSVILSALTLTMESNSSINTTALGGAPPSQTSGTPVGYEGAGGGHGGRGASCLKTNQTNAWGGDVYAWSSLSNPWSYGSKGSGTSDEHKFGGSGGGRVLLDVKDLLYTNGSITADGGDGGSDGGGGSGGSIILRAQKLKGCGIISAAGGRGWGGGGGGRISLKCYSKQEDVKVTVHGGWSIGCPWNAGASGTYFDDYMLSLRVDNDNVTTETETPLLDFSTTPLWTNVYVENNAKVLVPLLWTRVQVRGQISLLCGSSIVFGLSDYPVSEFELVAEELLMSDSIIKVYGALRVAVKMLLMLQSQIQVDGGEKSVVTTSVLEVRNLVVLKGSSVISSNANLAVYGQGFLELTGNGDAIKSQRLSLSLFYNITVGPGSLLQAPLDDDRSRSKVTESLCDKSTCPVDLITPPDDCHVNYTLSFSLQICRVEDVLVNGIVKGSVIHIHRARTVIVDTGGVITASALGCSNGIGMGNYSNGAGAGAGHGGKGGSGFYGGNLSEGGQRYGSADLPCELGSGTAGPVQSYGPVAGGGIIVLGSIQWPLIRLDVYGSVKANGQSCNKPTTNTDGTLIGGLGGGSGGTILLFLQTLSLMDNSSLSVAGGCGGPMGGGGGGGGRVHFHWSKINEGIEYVPLAIVDGTINSSGGLGDGEGLHGEEGTLTGKKCPKGLYGTFCVECPVGTYKSDEGSDPSLCNACPLELLPSRANFIYVRGGVTQSSCPYKCISDKYRMPNCYTPLEELIYTFGGPWPFSLLLACIVVILSLLLNALRMKLIGPGCSHQASSSMDHHGDHHFPHLLSLSEVRGTKTEETQSHVYRMYFMGPNTFREPWHLPYSPPDAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILCVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDMVASIQKRFPMCIIFGGNGSYMSPYNLHSDTLLTNLLAQHVPSTVWNRLVAGLNAQLRTVRHRSIRSALVPVINWIKSHANPQLDFHGVKIELGWFQATTAGYYQMGILVVVGDYFLHDLHQPEMSDSSDDFSRKFNTIVRRSLVQPQQNQTCSSPTVSRKRISGGINGGLLTEATLKSLDVRRDYLFPFSLLLHNTRPVGRQDTVQLLITMVLLVDLFVTLLTLLLFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSKGPRRASLARVYALWNAASLSNVAVAFVCGLIHYGISALKPPDPSDKWSSKREDDKWWLLPVILLVFKSVQARFVDWHIANLEVQDFSLFSPNPDTFWAYEAAS >itb11g04870.t2 pep chromosome:ASM357664v1:11:2608251:2612881:-1 gene:itb11g04870 transcript:itb11g04870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYTGKHPRNVYGRIHIAEDCWQKFCKESAFALYTPFIVSLASGNLKVESFRKFVAQDVCLLKIFANAFELAETNAQDDYAKHQINELRKTAINLHDSFVQEWGSYFVKDTTLNPATSKCQDFLLATASGKIDGVTAPIERTKLPAYTLGAIASFMKLYAYIGKELKGLTDHNCYKKWIENYSSDRFQDLSFQTEYLLNRLSDSFTAEELDIIETLYSQGFKHEIDFFLAQPLIQKAVVPLSGEHNLEERQLMIFSEFNFSFASVDSYVFFAEMAIAKESDKVRKEYTYTYPYEPTLKTICWREAHKNYINAYVKCIEDILATEKAENLNHKGLRKALEKVSYIEKEANLSVIKFGGLKGLKLKDIILAGERSILHDVCFEFFQTVKKKESLNADVHVLSYCWCGDLIRSTLSAGGLNGIKVHANELEFEESVCTGQILRKVESPIDKVEAFAKILESCGKGNDKKELLTVYIGDSFKDLLCLLEADIGIMVYPSPNLIDLAEHFGIRLIPLFHGVVDKQKKSVEGAETWKGGLSGILYTAISWVEIHAFLIGS >itb11g04870.t3 pep chromosome:ASM357664v1:11:2608251:2612779:-1 gene:itb11g04870 transcript:itb11g04870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYTGKHPRNVYGRIHIAEDCWQKFCKESAFALYTPFIVSLASGNLKVESFRKFVAQDVCLLKIFANAFELAETNAQDDYAKHQINELRKTAINLHDSFVQEWGSYFVKDTTLNPATSKCQDFLLATASGKIDGVTAPIERTKLPAYTLGAIASFMKLYAYIGKELKGLTDHNCYKKWIENYSSDRFQDLSFQTEYLLNRLSDSFTAEELDIIETLYSQGFKHEIDFFLAQPLIQKAVVPLSGEHNLEERQLMIFSEFNFSFASVDSYVFFAEMAIAKESDKVRKEYTYTYPYEPTLKTICWREAHKNYINAYVKCIEDILATEKAENLNHKGLRKALEKVSYIEKEANLSVIKFGGLKGLKLKDIILAGERSILHDVCFEFFQTVKKKESLNADVHVLSYCWCGDLIRSTLSAGGLNGIKVHANELEFEESVCTGQILRKVESPIDKVEAFAKILESCGKGNDKKELLTVYIGDSFKDLLCLLEADIGIMVYPSPNLIDLAEHFGIRLIPLFHGVVDKQKKSVEGAETWKGGLSGILYTAISWVEIHAFLIGS >itb11g04870.t4 pep chromosome:ASM357664v1:11:2608251:2612039:-1 gene:itb11g04870 transcript:itb11g04870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYTGKHPRNVYGRIHIAEDCWQKFCKESAFALYTPFIVSLASGNLKVESFRKFVAQDVCLLKIFANAFELAETNAQDDYAKHQINELRKTAINLHDSFVQEWGSYFVKDTTLNPATSKCQDFLLATASGKIDGVTAPIERTKLPAYTLGAIASFMKLYAYIGKELKGLTDHNCYKKWIENYSSDRFQDLSFQTEYLLNRLSDSFTAEELDIIETLYSQGFKHEIDFFLAQPLIQKAVVPLSGEHNLEERQLMIFSEFNFSFASVDSYVFFAEMAIAKESDKVRKEYTYTYPYEPTLKTICWREAHKNYINAYVKCIEDILATEKAENLNHKGLRKALEKVSYIEKEANLSVIKFGGLKGLKLKDIILAGERSILHDVCFEFFQTVKKKESLNADVHVLSYCWCGDLIRSTLSAGGLNGIKVHANELEFEESVCTGQILRKVESPIDKVEAFAKILESCGKGNDKKELLTVYIGDSFKDLLCLLEADIGIMVYPSPNLIDLAEHFGIRLIPLFHGVVDKQKKSVEGAETWKGGLSGILYTAISWVEIHAFLIGS >itb11g04870.t1 pep chromosome:ASM357664v1:11:2608251:2612881:-1 gene:itb11g04870 transcript:itb11g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYTGKHPRNVYGRIHIAEDCWQKFCKESAFALYTPFIVSLASGNLKVESFRKFVAQDVCLLKIFANAFELAETNAQDDYAKHQINELRKTAINLHDSFVQEWGSYFVKDTTLNPATSKCQDFLLATASGKIDGVTAPIERTKLPAYTLGAIASFMKLYAYIGKELKGLTDHNCYKKWIENYSSDRFQDLSFQTEYLLNRLSDSFTAEELDIIETLYSQGFKHEIDFFLAQPLIQKAVVPLSGEHNLEERQLMIFSEFNFSFASVDSYVFFAEMAIAKESDKVRKEYTYTYPYEPTLKTICWREAHKNYINAYVKCIEDILATEKAENLNHKGLRKALEKVSYIEKEANLSVIKFGGLKGLKLKDIILAGERSILHDVCFEFFQTVKKKESLNADVHVLSYCWCGDLIRSTLSAGGLNGIKVHANELEFEESVCTGQILRKVESPIDKVEAFAKILESCGKGNDKKELLTVYIGDSFKDLLCLLEADIGIMVYPSPNLIDLAEHFGIRLIPLFHGVVDKQKKSVEGAETWKGGLSGILYTAISWVEIHAFLIGS >itb11g04870.t5 pep chromosome:ASM357664v1:11:2608251:2611140:-1 gene:itb11g04870 transcript:itb11g04870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYTGKHPRNVYGRIHIAEDCWQKFCKESAFALYTPFIVSLASGNLKVESFRKFVAQDVCLLKIFANAFELAETNAQDDYAKHQINELRKTAINLHDSFVQEWGSYFVKDTTLNPATSKCQDFLLATASGKIDGVTAPIERTKLPAYTLGAIASFMKLYAYIGKELKGLTDHNCYKKWIENYSSDRFQDLSFQTEYLLNRLSDSFTAEELDIIETLYSQGFKHEIDFFLAQPLIQKAVVPLSGEHNLEERQLMIFSEFNFSFASVDSYVFFAEMAIAKESDKVRKEYTYTYPYEPTLKTICWREAHKNYINAYVKCIEDILATEKAENLNHKGLRKALEKVSYIEKEANLSVIKFGGLKGLKLKDIILAGERSILHDVCFEFFQTVKKKESLNADVHVLSYCWCGDLIRSTLSAGGLNGIKVHANELEFEESVCTGQILRKVESPIDKVEAFAKILESCGKGNDKKELLTVYIGDSFKDLLCLLEADIGIMVYPSPNLIDLAEHFGIRLIPLFHGVVDKQKKSVEGAETWKGGLSGILYTAISWVEIHAFLIGS >itb14g00530.t8 pep chromosome:ASM357664v1:14:368680:370571:-1 gene:itb14g00530 transcript:itb14g00530.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPSSISQTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQVLSKSF >itb14g00530.t1 pep chromosome:ASM357664v1:14:365935:370894:-1 gene:itb14g00530 transcript:itb14g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVFKAAFKSSSVISWKSTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQVEQMLQSGLIQGGTLESAIVCSESKGWLNPPLRYHDEPSRHKVLDLIGDLSLFARAGSQGLPVAHIVAYKGGHALHTDFARRLSRID >itb14g00530.t2 pep chromosome:ASM357664v1:14:365935:370894:-1 gene:itb14g00530 transcript:itb14g00530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVFKAAFKSSSVISWKSTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQVEQMLQSGLIQGGTLESAIVCSESKGWLNPPLRYHDEPSRHKVLDLIGDLSLFARAGSQGLPVAHIVAYKGGHALHTDFARRLSRID >itb14g00530.t3 pep chromosome:ASM357664v1:14:365935:370571:-1 gene:itb14g00530 transcript:itb14g00530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPSSISQTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQVEQMLQSGLIQGGTLESAIVCSESKGWLNPPLRYHDEPSRHKVLDLIGDLSLFARAGSQGLPVAHIVAYKGGHALHTDFARRLSRID >itb14g00530.t6 pep chromosome:ASM357664v1:14:367969:370571:-1 gene:itb14g00530 transcript:itb14g00530.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPSSISQTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQEERKSRYKWKWKPTNQSSTRFAAHK >itb14g00530.t7 pep chromosome:ASM357664v1:14:368680:370894:-1 gene:itb14g00530 transcript:itb14g00530.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVFKAAFKSSSVISWKSTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQVLSKSF >itb14g00530.t4 pep chromosome:ASM357664v1:14:365935:370571:-1 gene:itb14g00530 transcript:itb14g00530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPSSISQTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQVEQMLQSGLIQGGTLESAIVCSESKGWLNPPLRYHDEPSRHKVLDLIGDLSLFARAGSQGLPVAHIVAYKGGHALHTDFARRLSRID >itb14g00530.t5 pep chromosome:ASM357664v1:14:367969:370894:-1 gene:itb14g00530 transcript:itb14g00530.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVFKAAFKSSSVISWKSTGKLQQTVVNRIERTGLGLHSGKKSTVRIWPELAGEGRYFMIGSNRIDASIDFAKETPLCTTLCKDGYTVRTIEHLLSALEASGVDNCRIEIENSVSDDQSVEVPIFDGSAREWVNAIEEVGLKVAADSGGKSCDKLAPYVNEPFHVWKNDTFVAAFPSSKLRISYGINFPQAPAIGCQWFSCSLSDNCVYVEQIASSRTFCIYEQEERKSRYKWKWKPTNQSSTRFAAHK >itb08g07740.t1 pep chromosome:ASM357664v1:8:6650315:6651270:-1 gene:itb08g07740 transcript:itb08g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANEQRSQAEAIFNLVKQNDPNSLVLKLAQVLTSSVHVEARAICAIFLRKLLTCDDSYIWPRLAASTQSDIKSVLLTCIQREEVKTIIKKLCDTILELASSILPENQWPEILPFMFQCVTSDSLKLQESAFLIFAQLAQCIGEILLPY >itb07g22390.t1 pep chromosome:ASM357664v1:7:26846361:26848686:-1 gene:itb07g22390 transcript:itb07g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSETLLRLDSRQMMKFRMLCPSGLIGYKAAGVRDLGSQTGCRIFVENAVRPCLERVINVTGGAAVEMAIFLNDGTGELEMVVVSAAQEGLFRVLETILELEGNGDDEERVVECRLLVDSSHIRALMGETPGQNVDAIRRIHGATIKVLNKEHLPACIGKAEELIIQIMGRSLCVKRALVLEVSRCLQDCATGRIQANMSSGVMPINENRDRVLSVDEENVQLKIAFRLLCSNKSAGGVIGCDGTVVHALEKETGAAISFYPAVHGSNYRVAFISSLEKRDPVCPSAQNAVIRVFEKSMEVASDWGLISCLCNETIVTAKLLVARHELRCLIDDKGQIGTDIRIASGVKIKLSPTDLPQNLDAENDEVIKIVGEYDNVKVALFQVTGKLRENIFSRFVSEGVACEQYPNSSVPKSSHNEAAKRS >itb07g16210.t1 pep chromosome:ASM357664v1:7:19591375:19594593:-1 gene:itb07g16210 transcript:itb07g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRWTADFDTRFETSLAPMWVLLPNLKANCFSIPCLKQIVKPIGRFLHVDAATAKFCRPNVAKVKVEVDLLKPLSSRMFIRMGSKRSGKEDEGFWQPIEYEKVPPYCLTCRKQGHLAPSCRFGVRRSGPTVGPEQPLPRGPPPPGSGGGGGGSSNPLPAPRAPPPSGGGNARLGGGVSQPPNAQNQVGDAVGTSTNPMLGLSSQPVAPIPISTCNTFTLLQDVNETVLAEGAHTQEDLHATTLADKAQTQEADTRDFDADLDDHLAKALDQEGVPQAQSKEEPQVQPHNDTLTPVGAHTPTQAHNDDGSYSSPTQVEDSSTQGDASSVAPTSLGLQTPKQTLFEC >itb04g02050.t2 pep chromosome:ASM357664v1:4:1261167:1263993:1 gene:itb04g02050 transcript:itb04g02050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRSKLLQFNRSHPTLIRDFYSEGSLFHRSFTRLLCSPRCPKDAWFQPPPTTHFIPALCNTKLFSSSSAMDSNLSSPSESSSKLVLYSYWQSSCSWRVRFALSLKGLSYEYRAVNLSKGEQFGPEFEKLNPLRYVPVLVDGGDAVIADSYAILLYLEEKYPKHPLLPLDPQLRAINLQKHVDERFGPNEGQTWAKLNIEKGFCALEKLLIRYAGRHATGNQVYLADVFLAPQIAIAAERFHIDMSKFPTLHAIYNSCKELPEFQASSPQKQPDAAL >itb04g02050.t1 pep chromosome:ASM357664v1:4:1261146:1264086:1 gene:itb04g02050 transcript:itb04g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRSKLLQFNRSHPTLIRDFYSEGSLFHRSFTRLLCSPRCPKDAWFQPPPTTHFIPALCNTKLFSSSSAMDSNLSSPSESSSKLVLYSYWQSSCSWRVRFALSLKGLSYEYRAVNLSKGEQFGPEFEKLNPLRYVPVLVDGGDAVIADSYAILLYLEEKYPKHPLLPLDPQLRAINLQAASIVCSSIQPLHMLSLLKHVDERFGPNEGQTWAKLNIEKGFCALEKLLIRYAGRHATGNQVYLADVFLAPQIAIAAERFHIDMSKFPTLHAIYNSCKELPEFQASSPQKQPDAAL >itb13g08430.t1 pep chromosome:ASM357664v1:13:10684180:10685560:-1 gene:itb13g08430 transcript:itb13g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKSGGARMHVHKGAWTAEEDKKLTQYIENHGAKKWKTVAIKSGLNRCGKSCRLRWLNYLRPNIKRGNIAEDEADLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKKVTQVGKSSLSATQNQPPKANAEQVGGNKESEEEEDPELNFDVDEFFDFSVEGTYGTEWVNKFLEVEN >itb04g12190.t1 pep chromosome:ASM357664v1:4:11962054:11964763:-1 gene:itb04g12190 transcript:itb04g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTQNIRRTQNQKRSAQSQLGRRRRRHTEKARRRSCKSREMNGGSGEGAGVTLEELQKKMADFARERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPRGLPGWEEEEKQHLGEELSDVLLYLVRLSHICSIDLGKAALRKLELNAKKYPVNLCKGSSKKLNLQTNSTPTTTSTKNNISNDTENGVADELV >itb15g22180.t2 pep chromosome:ASM357664v1:15:24887290:24891140:-1 gene:itb15g22180 transcript:itb15g22180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MMNPVAPSPYTGAAPPPQPPALSPFPPPSAAFWNAANVHDRLKELHDTINLAEALKTELEVIIRANNVTGNSEGSATSDASVDSFSRFLCVNNINLKCQELLSLEAANASISTLRAQLEPFRIVADESSPWEEKSAALRLSNKLDKYKRNKLWRKRKRKRVAEKLAMERDRFEQADKEADEWRAREIAKDAANQKVQKMKEIAKRKEKEERRKLESELELALMVEKLQELRSIRIQKLKKQGRFLPEEDDKFLERVKAAVEEEERQAMAAAGTGAAKDAIANAEESRKATQSYKPDSKDAKDEKEHGNNDVKDKTTSSSEKEHGNNGGGGSSAYDSVSNLPMEFYHYYYGSNHDMGTLIEVRRTWDSYIRPGGSRIPGHWVQPPPPADEIWASCLVKP >itb15g22180.t1 pep chromosome:ASM357664v1:15:24887290:24891401:-1 gene:itb15g22180 transcript:itb15g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MMNPVAPSPYTGAAPPPQPPALSPFPPPSAAFWNAANVHDRLKELHDTINLAEALKTELEVIIRANNVTGNSEGSATSDASVDSFSRFLCVNNINLKCQELLSLEAANASISTLRAQLEPFRIVADESSPWEEKSAALRLSNKLDKYKRNKLWRKRKRKRVAEKLAMERDRFEQADKEADEWRAREIAKDAANQKVQKMKEIAKRKEKEERRKLESELELALMVEKLQELRSIRIQKLKKQGRFLPEEDDKFLERVKAAVEEEERQAMAAAGTGAAKDAIANAEESRKATQSYKPDSKDAKDEKEHGNNDVKDKTTSSSEKEHGNNGGGGSSAYDSVSNLPMEFYHYYYGSNHDMGTLIEVRRTWDSYIRPGGSRIPGHWVQPPPPADEIWASCLVKP >itb09g17640.t1 pep chromosome:ASM357664v1:9:12989504:12990485:-1 gene:itb09g17640 transcript:itb09g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMTPRFNHFSHRHPLQLSRSTFKPDKHKEEEEEAICCGCEFHIAGAGYASKYTCTKPSCGFVLHDSCFDLPRKIRHDAHPKHALTLLPSPPYSDREFTCDACGNSGHAFIFHCSRCKFDLHVECASVPETEVREDHPHPLALCYSNLKGKEIGEEEGEEEFECFVCKRVVLKWGCWFYYCFTCKCGTHMECATN >itb01g24820.t1 pep chromosome:ASM357664v1:1:30345503:30347281:-1 gene:itb01g24820 transcript:itb01g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB56 [Source:Projected from Arabidopsis thaliana (AT5G17800) UniProtKB/Swiss-Prot;Acc:Q6R053] MSFKAFESSSSGAFRFPPPPGPAALGLEEPEKRTGEQSHRLCARGHWRPHEDSRLRELVAKHGPQNWNLIAEKIPGRSGKSCRLRWFNQLDPRINTKAFTEEEEERLLTAHRLYGNKWAIIARLFPGRTDNAVKNHWHVIMARRHRHQTTGGARRRHPRNQNNNNTMDSNNLVQIKNLNDESAASTCTDLSLSTSSSSSSKLPPNHFHLTGFGPTPTHHHQILHTTPGGVKAAEASGRRPESSPDSLITASDSVANNNNYGSEAEMCGQNQTAIYDNKFNNKMLFFDFLGVGAN >itb06g12200.t2 pep chromosome:ASM357664v1:6:16755535:16762853:1 gene:itb06g12200 transcript:itb06g12200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNKTRSLFNGLLKDGSFKWLNKTLSSLGEDAEEMEKDSSSSGKNWLPELSPLANVVVRRCSKILDTPMKQLHEIFDGEASDTLKHPSQYARNFLEYCSFRTLPLAVQVSGYLGDRSFRRLTFDMMAAWEFPAAASKPFASMDEDVTVGVEAFSRIAVAVPIIANVIVSDNIFSVLSSSTGGRLQFAIYDKYLSGLERGVKKLKGNSESSHLSSLRSARGEKILELEGTVTTQPVLQHVGISTWPGRLTLTDHALYFEPLRVMSYDKPQKYELSEDLNQVVKPELTGPWGTRLFDKAVLYKSDSLSAIMDFPELKGHARRDYWLTIIREILYAQRFMHKFQITGINREEALMKAIFGILQVQALKDISSTNSLCYDNLLMFNVCDKLPGGYLILETLATKSVMRELESTNSLKASTGMHSISALTIASNLGFVLGTSPTVPNEMGIVVGEIAVGEMTSLEKVVRESRSNYKKVVSAQATVDGVKVDGLDTNLAVMKELMSPIHQLWKCLLSLAYWEDSLKSLIFCLVFTCIIIRGWLGYACALLLAFFALYLGLTCFFSQSRASRTLKVIVPPSMNTMEQLFAVQSVVSQAEELIQDGNIVLLKCRAILLSIFPQATEKLVGALLVMALLLAFLPSRYIAVLVFLELFTKYSPLRKPNTERCTRRLREWWFSIPAAPVIVERHKEDKKKR >itb06g12200.t1 pep chromosome:ASM357664v1:6:16755535:16762853:1 gene:itb06g12200 transcript:itb06g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNKTRSLFNGLLKDGSFKWLNKTLSSLGEDAEEMEKDSSSSGKNWLPELSPLANVVVRRCSKILDTPMKQLHEIFDGEASDTLKHPSQYARNFLEYCSFRTLPLAVQVSGYLGDRSFRRLTFDMMAAWEFPAAASKPFASMDEDVTVGVEAFSRIAVAVPIIANVIVSDNIFSVLSSSTGGRLQFAIYDKYLSGLERGVKKLKGNSESSHLSSLRSARGEKILELEGTVTTQPVLQHVGISTWPGRLTLTDHALYFEPLRVMSYDKPQKYELSEDLNQVVKPELTGPWGTRLFDKAVLYKSDSLSAIMDFPELKGHARRDYWLTIIREILYAQRFMHKFQITGINREEALMKAIFGILQVQALKDISSTNSLCYDNLLMFNVCDKLPGGYLILETLATKSVMRELESTNSLKASTGMHSISALTIASNLGFVLGTSPTVPNEMGIVVGEIAVGEMTSLEKVVRESRSNYKKVVSAQATVDGVKVDGLDTNLAVMKELMSPIHQLWKCLLSLAYWEDSLKSLIFCLVFTCIIIRGWLGYACALLLAFFALYLGLTCFFSQSRASRTLKVIVPPSMNTMEQLFAVQSVVSQAEELIQDGNIVLLKCRAILLSIFPQATEKLVGALLVMALLLAFLPSRYIAVLVFLELFTKYSPLRKPNTERCTRRLREWWFSIPAAPVIVERHKEDKKKR >itb10g25020.t3 pep chromosome:ASM357664v1:10:28524549:28528510:1 gene:itb10g25020 transcript:itb10g25020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAQSYQECDERTGQSMYSFQSVWISRWTGTGQNEKAQALSSPNPLESKKINGGTRLNEDAVGVGDMSNSTESLRTSSGNIRIESSAHHSPAMSAPSRNGLSSKDGQETRGFLTLKPHRDAKVASGMAMGATNESYLGSGSMLPVAPAATAISSGKYYSEPEASLRNPAKTHLFFRNSDTAASMPSLDNCRESASHILPYRFDYGKFKEDTSQPVMPLLMGRSSKSQLPNSGLRMLEGERNYNSHSEPGNSMNVSASSNSHPPEFRGDWFHKLQSGSRSVVFRNNCTPFEAIEPKKNQQGVKLQLLDSSTASEHEEDTGNAEPSEVEAKNESSAETDTMDMDVFPGKAHLLDSNSSPLKKAPERDQYLPQLAIGSPMEVVGSEGIRNRLPDMNLQLPAIPVEATPTQQLEPSSKTQSLDMDSLLAQADQPSTSKPDLSPLNLLGPEPSSRWVKRLKLSNHDALALGTMSSNSKEDSSYERYSFRGKTTQGGITSSGPTTSKNQGKDLIAQDNCAGITGNSESYSADRELLTSHSWIQRLLRNRAITMQKKPEVVVCEPRSSKLVEPPEQLEKKQFPSIAAMALMGKAMKGFQPCEFQKKGSFVVWNTNGS >itb10g25020.t1 pep chromosome:ASM357664v1:10:28524549:28528527:1 gene:itb10g25020 transcript:itb10g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAQSYQECDERTGQSMYSFQSVWISRWTGTGQNEKAQALSSPNPLESKKINGGTRLNEDAVGVGDMSNSTESLRTSSGNIRIESSAHHSPAMSAPSRNGLSSKDGQETRGFLTLKPHRDAKVASGMAMGATNESYLGSGSMLPVAPAATAISSGKYYSEPEASLRNPAKTHLFFRNSDTAASMPSLDNCRESASHILPYRFDYGKFKEDTSQPVMPLLMGRSSKSQLPNSGLRMLEGERNYNSHSEPGNSMNVSASSNSHPPEFRGDWFHKLQSGSRSVVFRNNCTPFEAIEPKKVLDDICVPQELPCSLHDVKTMRICTTMDSVVGLTGDYPRFSKTTRSLLIMKKADVNICKQNQTVGTSRTYNEFNDFNNLSPFLGQNQQGVKLQLLDSSTASEHEEDTGNAEPSEVEAKNESSAETDTMDMDVFPGKAHLLDSNSSPLKKAPERDQYLPQLAIGSPMEVVGSEGIRNRLPDMNLQLPAIPVEATPTQQLEPSSKTQSLDMDSLLAQADQPSTSKPDLSPLNLLGPEPSSRWVKRLKLSNHDALALGTMSSNSKEDSSYERYSFRGKTTQGGITSSGPTTSKNQGKDLIAQDNCAGITGNSESYSADRELLTSHSWIQRLLRNRAITMQKKPEVVVCEPRSSKLVEPPEQLEKKQFPSIAAMALMGKAMKGFQPCEFQKKGSFVVWNTNGS >itb10g25020.t4 pep chromosome:ASM357664v1:10:28524549:28528510:1 gene:itb10g25020 transcript:itb10g25020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAQSYQECDERTGQSMYSFQSVWISRWTGTGQNEKAQALSSPNPLESKKINGGTRLNEDAVGVGDMSNSTESLRTSSGNIRIESSAHHSPAMSAPSRNGLSSKDGQETRGFLTLKPHRDAKVASGMAMGATNESYLGSGSMLPVAPAATAISSGKYYSEPEASLRNPAKTHLFFRNSDTAASMPSLDNCRESASHILPYRFDYGKFKEDTSQPVMPLLMGRSSKSQLPNSGLRMLEGERNYNSHSEPGNSMNVSASSNSHPPEFRGDWFHKLQSGSRSVVFRNNCTPFEAIEPKKNQQGVKLQLLDSSTASEHEEDTGNAEPSEVEAKNESSAETDTMDMDVFPGKAHLLDSNSSPLKKAPERDQYLPQLAIGSPMEVVGSEGIRNRLPDMNLQLPAIPVEATPTQQLEPSSKTQSLDMDSLLAQADQPSTSKPDLSPLNLLGPEPSSRWVKRLKLSNHDALALGTMSSNSKEDSSYERYSFRGKTTQGGITSSGPTTSKNQGKDLIAQDNCAGITGNSESYSADRELLTSHSWIQRLLRNRAITMQKKPEVVVCEPRSSKLVEPPEQLEKKQFPSIAAMALMGKAMKGFQPCEFQKKGSFVVWNTNGS >itb10g25020.t2 pep chromosome:ASM357664v1:10:28524549:28528527:1 gene:itb10g25020 transcript:itb10g25020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAQSYQECDERTGQSMYSFQSVWISRWTGTGQNEKAQALSSPNPLESKKINGGTRLNEDAVGVGDMSNSTESLRTSSGNIRIESSAHHSPAMSAPSRNGLSSKDGQETRGFLTLKPHRDAKVASGMAMGATNESYLGSGSMLPVAPAATAISSGKYYSEPEASLRNPAKTHLFFRNSDTAASMPSLDNCRESASHILPYRFDYGKFKEDTSQPVMPLLMGRSSKSQLPNSGLRMLEGERNYNSHSEPGNSMNVSASSNSHPPEFRGDWFHKLQSGSRSVVFRNNCTPFEAIEPKKVLDDICVPQELPCSLHDVKTMRICTTMDSVVGLTGDYPRFSKTTRSLLIMKKADVNICKQNQTVGTSRTYNEFNDFNNLSPFLGQNQQGVKLQLLDSSTASEHEEDTGNAEPSEVEAKNESSAETDTMDMDVFPGKAHLLDSNSSPLKKAPERDQYLPQLAIGSPMEVVGSEGIRNRLPDMNLQLPAIPVEATPTQQLEPSSKTQSLDMDSLLAQADQPSTSKPDLSPLNLLGPEPSSRWVKRLKLSNHDALALGTMSSNSKEDSSYERYSFRGKTTQGGITSSGPTTSKNQGKDLIAQDNCAGITGNSESYSADRELLTSHSWIQRLLRNRAITMQKKPEVVVCEPRSSKLVEPPEQLEKKQFPSIAAMALMGKAMKGFQPCEFQKKGSFVVWNTNGS >itb10g25020.t5 pep chromosome:ASM357664v1:10:28524549:28528510:1 gene:itb10g25020 transcript:itb10g25020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAQSYQECDERTGQSMYSFQSVWISRWTGTGQNEKAQALSSPNPLESKKINGGTRLNEDAVGVGDMSNSTESLRTSSGNIRIESSAHHSPAMSAPSRNGLSSKDGQETRGFLTLKPHRDAKVASGMAMGATNESYLGSGSMLPVAPAATAISSGKYYSEPEASLRNPAKTHLFFRNSDTAASMPSLDNCRESASHILPYRFDYGKFKEDTSQPVMPLLMGRSSKSQLPNSGLRMLEGERNYNSHSEPGNSMNVSASSNSHPPEFRGDWFHKLQSGSRSVVFRNNCTPFEAIEPKKVLDDICVPQELPCSLHDVKTMRICTTMDSVVGLTGDYPRFSKTTRSLLIMKKADVNICKQNQTVGTSRTYNEFNDFNNLSPFLGQNQQGVKLQLLDSSTASEHEEDTGNAEPSEVEAKNESSAETDTMDMDVFPGKAHLLDSNSSPLKKVCYLALPFYCFINCSVVP >itb10g25020.t6 pep chromosome:ASM357664v1:10:28524549:28528510:1 gene:itb10g25020 transcript:itb10g25020.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAQSYQECDERTGQSMYSFQSVWISRWTGTGQNEKAQALSSPNPLESKKINGGTRLNEDAVGVGDMSNSTESLRTSSGNIRIESSAHHSPAMSAPSRNGLSSKDGQETRGFLTLKPHRDAKVASGMAMGATNESYLGSGSMLPVAPAATAISSGKYYSEPEASLRNPAKTHLFFRNSDTAASMPSLDNCRESASHILPYRFDYGKFKEDTSQPVMPLLMGRSSKSQLPNSGLRMLEGERNYNSHSEPGNSMNVSASSNSHPPEFRGDWFHKLQSGSRSVVFRNNCTPFEAIEPKKVLDDICVPQELPCSLHDVKTMRICTTMDSVVGLTGDYPRFSKTTRSLLIMKKADVNICKQNQTVGTSRTYNEFNDFNNLSPFLGQNQQGVKLQLLDSSTASEHEEDTGNAEPSEVEAKNESSAETDTMDMDVFPGKAHLLDSNSSPLKKVCYLALPFYCFINCSVVP >itb05g25340.t1 pep chromosome:ASM357664v1:5:29789000:29791557:-1 gene:itb05g25340 transcript:itb05g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILFSEVHLLEKSFEEENKLEPERKSQLAHKLGLQPRQVAVWFQNRRARWKTKQLERDYDQLKSSYESLLSDYDSILKEKEKLKSELASLNEKLEAKEVVSHKAASGYPVVVPGDAAAAVGANVKVEDRLSSGSAGSAVVDQDSPQLVDSGDSYFHNQDHHHHHNYHECGEGLQALQSEEDDGSDDGQNNYFSAMFVAAEQPQHDDDDDGEPLGWFWS >itb06g17160.t2 pep chromosome:ASM357664v1:6:21091832:21100259:1 gene:itb06g17160 transcript:itb06g17160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEGVVGAQDQQPSQQPPARVVEKLNPAVQQQLNLESVKIRATSLFKAISRILEDFDAIARTNAVPKWQDILGQFSMVNLELFNIVEDIKKVSKAFVVHPKNVNAENAAILPVMLSSKLLPEMEIEDNSKREQLLLGMQNLSVASQIEKLKTRIDMIGAACESAEKVIADTRKAYFGTRQGPTILPTIDKAQAAKIQEQETLLRTAVNQGEGLRVPADQRQITSSLPVHLADILTVSDGHQAFNDSSGMYLKNTPPLTSSTTSGQGALLQPSGAQLIGRTAASPGPSGTTSFDTMTASPLQYANSPRSGTNMMNTPSPQQQSHQQPTQQQQQQQQRQKMMQLPQHQQQLLAQQTFRQSSMTGLNQNQLAQLHDLQQGQSQQKFQSMHGQPQMQFSQPLGAQQFQGRQLASGAIQHAMSQNQLNQGNQLNRHLNQFSGPMNSALFNPAQSTPTSQMISNMPTMMSSQSLLPRVQVKYSPTMAVLKTYN >itb06g17160.t1 pep chromosome:ASM357664v1:6:21091825:21101321:1 gene:itb06g17160 transcript:itb06g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEGVVGAQDQQPSQQPPARVVEKLNPAVQQQLNLESVKIRATSLFKAISRILEDFDAIARTNAVPKWQDILGQFSMVNLELFNIVEDIKKVSKAFVVHPKNVNAENAAILPVMLSSKLLPEMEIEDNSKREQLLLGMQNLSVASQIEKLKTRIDMIGAACESAEKVIADTRKAYFGTRQGPTILPTIDKAQAAKIQEQETLLRTAVNQGEGLRVPADQRQITSSLPVHLADILTVSDGHQAFNDSSGMYLKNTPPLTSSTTSGQGALLQPSGAQLIGRTAASPGPSGTTSFDTMTASPLQYANSPRSGTNMMNTPSPQQQSHQQPTQQQQQQQQRQKMMQLPQHQQQLLAQQTFRQSSMTGLNQNQLAQLHDLQQGQSQQKFQSMHGQPQMQFSQPLGAQQFQGRQLASGAIQHAMSQNQLNQGNQLNRHLNQFSGPMNSALFNPAQSTPTSQMISNMPTMMSSQSLLPRVQFGMPGGNRTLAAPNLSDQMFNMGATNPGNMMQMQQQQQQHGSFGNMAQNLQQMPLQNVPQNHPSYQQQRPQGQQ >itb01g00570.t3 pep chromosome:ASM357664v1:1:259628:268287:-1 gene:itb01g00570 transcript:itb01g00570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDDPSALLVDEVYEFSAPRFYDFVHGESEEDARKAELWFETALSYGPSPFMQRIKSGRSVKLDSICDFSEAEDMQKAQDSTKSATASSYTTANETLVAKEQTSDLCETRPPTEPIEPKPVLLQEEVTPDGEANLGSGDKQSAVHGSVSAVISLSSQGSCKKLSSLQGACTPQPPKITSQKTAQQTDVKKHQTAKKIASMIRNPSALKSKTQSQVKSINPACAKRNTNMKIASETPNFAQENQAIKRQKLEEGKARQILSIKPQTLLHKTRPGKSTSNLYTSTAKTRIEDRKIYVREPAAPFISMAEMMKKFESSTREMSMPRMNCSMSHDGMAGSVQRKPKLTLTRPKEPELETAQRYRPVKLKSSAELEEEMMAKIPKFKARPLNKKIFEAPGVPPLPKSTPQPPEFKEFHLETMARANQNAETSTVASVESNQCHPWRPHLTAPKSPTLQTLLRARPPKIKSSEELEKEELEKLPKFKARPLNKKIFESKGDVGIFCNTKKQVTVPQEFHFATDERIPPPPTNVADIFDKLSLNSEPQNDKYVPRNTAPNPFHLHTEERGAEKERRLFMELLNKQIEEERARLPKATPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLMRHEQEIQKEMEERQRLEKEEAMMRVFKAQPVLKDCDCRDPIPVPEKARKPLTEVQEFNLHAEHRAVDRAKFYEKIKEKEMMYKRYREEAEAEKMMEEEKALKQLRRTLVPHARPEVGITLSDYLEWPPSQACFILINMADGSKTAPVFQKIHGQSFLFSQISPHMHSKYVGSYNLTGGYVNEVMMSRLVPATRGAGVGILSLQSPILIQAPSEKKASSFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGALSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLLDVYKKTIQADGLAGLYRGFTVSCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFWASFLLGWAITIGAGLASYPLDTVRRRMMMTSGEAVKYKGSMDAFSQIVKKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLVVLGKKYGSGGGG >itb01g00570.t2 pep chromosome:ASM357664v1:1:259628:268287:-1 gene:itb01g00570 transcript:itb01g00570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDDPSALLVDEVYEFSAPRFYDFVHGESEEDARKAELWFETALSYGPSPFMQRIKSGRSVKLDSICDFSEAEDMQKAQDSTKSATASSYTTANETLVAKEQTRPPTEPIEPKPVLLQEEVTPDGEANLGSGDKQSAVHGSVSAVISLSSQGSCKKLSSLQGACTPQPPKITSQKTAQQTDVKKHQTAKKIASMIRNPSALKSKTQSQVKSINPACAKRNTNMKIASETPNFAQENQAIKRQKLEEGKARQILSIKPQTLLHKTRPGKSTSNLYTSTAKTRIEDRKIYVREPAAPFISMAEMMKKFESSTREMSMPRMNCSMSHDGMAGSVQRKPKLTLTRPKEPELETAQRYRPVKLKSSAELEEEMMAKIPKFKARPLNKKIFEAPGVPPLPKSTPQPPEFKEFHLETMARANQNAETSTVASVESNQCHPWRPHLTAPKSPTLQTLLRARPPKIKSSEELEKEELEKLPKFKARPLNKKIFESKGDVGIFCNTKKQVTVPQEFHFATDERIPPPPTNVADIFDKLSLNSEPQNDKYVPRNTAPNPFHLHTEERGAEKERRLFMELLNKQIEEERARLPKATPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLMRHEQEIQKEMEERQRLEKEEAMMRVFKAQPVLKEDPIPVPEKARKPLTEVQEFNLHAEHRAVDRAKFYEKIKEKEMMYKRYREEAEAEKMMEEEKALKQLRRTLVPHARPEVGITLSDYLEWPPSQACFILINMADGSKTAPVFQKIHGQSFLFSQISPHMHSKYVGSYNLTGGYVNEVMMSRLVPATRGAGVGILSLQSPILIQAPSEKKASSFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGALSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLLDVYKKTIQADGLAGLYRGFTVSCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFWASFLLGWAITIGAGLASYPLDTVRRRMMMTSGEAVKYKGSMDAFSQIVKKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLVVLGKKYGSGGGG >itb01g00570.t1 pep chromosome:ASM357664v1:1:259628:268287:-1 gene:itb01g00570 transcript:itb01g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDDPSALLVDEVYEFSAPRFYDFVHGESEEDARKAELWFETALSYGPSPFMQRIKSGRSVKLDSICDFSEAEDMQKAQDSTKSATASSYTTANETLVAKEQTRPPTEPIEPKPVLLQEEVTPDGEANLGSGDKQSAVHGSVSAVISLSSQGSCKKLSSLQGACTPQPPKITSQKTAQQTDVKKHQTAKKIASMIRNPSALKSKTQSQVKSINPACAKRNTNMKIASETPNFAQENQAIKRQKLEEGKARQILSIKPQTLLHKTRPGKSTSNLYTSTAKTRIEDRKIYVREPAAPFISMAEMMKKFESSTREMSMPRMNCSMSHDGMAGSVQRKPKLTLTRPKEPELETAQRYRPVKLKSSAELEEEMMAKIPKFKARPLNKKIFEAPGVPPLPKSTPQPPEFKEFHLETMARANQNAETSTVASVESNQCHPWRPHLTAPKSPTLQTLLRARPPKIKSSEELEKEELEKLPKFKARPLNKKIFESKGDVGIFCNTKKQVTVPQEFHFATDERIPPPPTNVADIFDKLSLNSEPQNDKYVPRNTAPNPFHLHTEERGAEKERRLFMELLNKQIEEERARLPKATPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLMRHEQEIQKEMEERQRLEKEEAMMRVFKAQPVLKDCDCRDPIPVPEKARKPLTEVQEFNLHAEHRAVDRAKFYEKIKEKEMMYKRYREEAEAEKMMEEEKALKQLRRTLVPHARPEVGITLSDYLEWPPSQACFILINMADGSKTAPVFQKIHGQSFLFSQISPHMHSKYVGSYNLTGGYVNEVMMSRLVPATRGAGVGILSLQSPILIQAPSEKKASSFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGALSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLLDVYKKTIQADGLAGLYRGFTVSCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFWASFLLGWAITIGAGLASYPLDTVRRRMMMTSGEAVKYKGSMDAFSQIVKKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLVVLGKKYGSGGGG >itb12g20380.t1 pep chromosome:ASM357664v1:12:22850143:22853350:-1 gene:itb12g20380 transcript:itb12g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRALLKLIVYCANVLRLRWKFGKVTQLNESMARRDVRGGRRDESASLMTRAVNKVFAFVRFAEFEILFVLFFVIAYIIFKDLTARPEYNQILVKKPGGPDWWPY >itb15g14430.t1 pep chromosome:ASM357664v1:15:12654927:12655861:1 gene:itb15g14430 transcript:itb15g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQITEEIRSSATELYKGDEICQEKSKFLLKEVGLPNGLLPMKDMEECGYVKDTGFVWLKSKKKTDHKFEQIGRAVQYSTEVTAYVEPGQIKKLTGVKAKELMLWLTLNEIRVDDPPTGKIHFKTTTGLARTFPVSAFQVNEEGEKEEEDEDQKKEKPVATPAINAPLPVKEL >itb14g19020.t1 pep chromosome:ASM357664v1:14:21818313:21822290:1 gene:itb14g19020 transcript:itb14g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRDSWCFCNGGGKSERMKASIFSSKGPAMAVIAAGNGGGGTGFLIHRNLLLTTHVNLPSAAAAEAAEIRIQNGAAACLFPHRFFITSSILDLSIVGLDAMDGDTNGQVQHPHFLKTCSKPNLGLGSVVYLLGYSEKKELTVGEGKVVIATDNLIKLCTDGVAWSPGSAGFDVHGNLAFMVCDPMKLATSPNSKSSTTSPSSSSSWKKDSPMQFGIPIPIICDWLNQHWEGNLDELNKPKLPLMRLMSGGQKSEHSCASFTLRRVFKSTEGENEGTPTSSNTVLKPREQPGPSCSTIVNNLEDETLTTDQRATTHVQGIPTPEIYESPKVTSIAVRKKESAQVQLLDINFPPRNAKTAASPQSARKMPSNHDENCVDQPPSHQVLRKQENFSDGPQIDPLADAEVASSTGSINGAQSEVQSSSPVEASEMQHDYSSEGETTMYSAETAESRNIPSPRHGKFQQVGRSQSCMNYNRWGAVQRSSAAHRTTTPEKHRNFMQGRKVYSQGATSQRSNDYFSPTVSSIMKKRNTPPEVVPSRPRQSTGNSSPRWMF >itb07g07790.t1 pep chromosome:ASM357664v1:7:6030299:6031912:-1 gene:itb07g07790 transcript:itb07g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSKSGEIQSLSNNNEERFGELRRGPWTLEEDTLLIKYIAAHGEGRWNALAKCAGLRRTGKSCRLRWLNYLKPDIKRGNLTPQEQILILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLKIDSNSKKFIEAVKRFWMPRLIEKMEQHQTSTLSSSFISSPSSSSSISTMEKQSPLNSLPSPITIPEPPKTDNPENKNLNICSTDSFQLHDDDDCYHVEIMGNSCYAGEEEGFGHPAMSAFESRDISMLECQLAPDDWFGNDVADSLWNIDETWQYRKLEDFGDLS >itb15g05360.t1 pep chromosome:ASM357664v1:15:3434324:3441411:-1 gene:itb15g05360 transcript:itb15g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF GAP-like zinc finger-containing protein ZIGA4 [Source:Projected from Arabidopsis thaliana (AT1G08680) UniProtKB/TrEMBL;Acc:F4HXP0] MSNRREEERNEKIIRGLMKLPPNRRCINCDSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMAKFTSQEVESLQNGGNQRARETYLKNWDPQRQKLPANSNVDKVREFIKNVYVDKRYAGAQSMDRPPRGTQNFRGHVDETRRASSYHSYSQSPPYDFQYEERLYGKHGPVLTRKPGSDRGLYEGKFSSFSSPSHLSDQAYEDRFANEGSNPRASDFSVSSGGDPFRSEQSPNYQRDTGFPGRPQRTASLGSFGSLENSLSINSASSFDLLDAGSEPEQSVKTHHNKLSPLPSLPRSSVHLSSAPATSQTIPSVSNIAHLTQSSATSSLDLFQHSPISTDQTPGSHQMPQAPASGLDLFPEVPTQQSAGPSGDNTLKNEGWATFDMPQHTAPAGSEEFTQVTTPFPDANSLNLFSGMPQQQSDALSNKSSSDAVIHKNDGWAAFDMPQHTAPIGADTSLGNYSPLLSLLQDPSVQGSTLNGLSFPYSDAQGAYSLMPAPLHEGNQNAEATPSGSSEQWKAFENSSDGLASLSAQSSNQQVFTDHHPVTDQYTGLRGLENFANDEIQTTAVEGGHHISSISSYVGGHELANNKSTNPFDLPYESDMETNNMPHFWDMSSLQAALPNGELPTSFVGDVSGPWFAQDSVASYVPAGSEATLGFISAQPTGSPISKVPTQGPVAPVGGNPFA >itb15g05360.t2 pep chromosome:ASM357664v1:15:3434324:3441411:-1 gene:itb15g05360 transcript:itb15g05360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF GAP-like zinc finger-containing protein ZIGA4 [Source:Projected from Arabidopsis thaliana (AT1G08680) UniProtKB/TrEMBL;Acc:F4HXP0] MSNRREEERNEKIIRGLMKLPPNRRCINCDSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMAKFTSQEVESLQNGGNQRARETYLKNWDPQRQKLPANSNVDKVREFIKNVYVDKRYAGAQSMDRPPRGTQNFRGHVDETRRASSYHSYSQSPPYDFQYEERLYGKHGPVLTRKPGSDRGLYEGKFSSFSSPSHLSDQAYEDRFANEGSNPRASDFSVSSGGDPFRSEQSPNYQRDTGFPGRPQRTASLGSFGSLENSLSINSASSFDLLDAGSEPEQSVKTHHNKLSPLPSLPRSSVHLSSAPATSQTIPSVSNIAHLTQSSATSSLDLFQHSPISTDQTPGSHQMPQAPASGLDLFPEVPTQQSAGPSGDNTLKNEGWATFDMPQHTAPAGSEEFTQVTTPFPDANSLNLFSGMPQQQSDALSNKSSSDAVIHKNDGWAAFDMPQHTAPIGADTSLGNYSPLLSLLQDPSVQGSTLNGLSFPYSDAQGAYSLMPAPLHEGNQNAEATPSGSSEQWKAFENSSDGLASLSAQSSNQQVFTDHHPVTDQYTGLRGLENFANDEIQTTAVEGGHHISSISSYVGGHELANNKSTNPFDLPYESDMETNNMPHFWDMSSLQAALPNGELPTSFVGDVSGPWFAQDSVASYVPAGSEGIE >itb04g01910.t1 pep chromosome:ASM357664v1:4:1143146:1147953:-1 gene:itb04g01910 transcript:itb04g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD18 [Source:Projected from Arabidopsis thaliana (AT2G45420) UniProtKB/TrEMBL;Acc:A0A178VVX7] MSSNPSTSSAAAGGGAGPGGGGGGGGSSGGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVITICYEAQSRLRDPVYGCVAHIFALQQQVVNLQAELAYLQAHLATLEVPNAPPPAAQQSQPQPPLFVPPPVLNIADLPTGVPMMPATYDLSSLFDPMVQPAWAIQPRQLDPRQLFGAAAATRVDMTSSSSSAAVSGGGDLQELARELMHRHGSPTVPCTNAPSSAAPPPHSK >itb11g23080.t1 pep chromosome:ASM357664v1:11:24948610:24951385:-1 gene:itb11g23080 transcript:itb11g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIINEASFSAANPAAYSLAEIWPFTAAGNGGGHVGGGLGLRMCSFPGLAEAAANSVDESTVTEQSGSRGNVAGERKRRGVNSGDESSKVVSANDLNECSDKRMKASESKDENGGAKVEAESSSRTVSKPAEHSNKPEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQCQVEFLSMKLEAVNSRMNPTLEAFSQKDLAPEGFDAPRMLLNTQPPREYTQETQHPEWLHMQVGSSFERAT >itb11g12170.t1 pep chromosome:ASM357664v1:11:9059733:9064127:1 gene:itb11g12170 transcript:itb11g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAKVFKGANVFMSRNLVPPEHFDALHDALKLNGAQVFLCCDPSRNAPNDYHVISSIDHEKFEDLRSKNCNLIGPQCVLFCAKEQRPLPNQGFTCCLAMDGVKILASGFEKDEKVEIKKLVAAMGGVLQAKASMDVNFVIVKNVLAAKYRWALNVLKKPIVSINWLHQCWKEHRFVPHESFRVHPFSGLTISVTGFPADERKEVEKIVLQNGGKYYRELTKACTHLICSISFLL >itb03g19040.t2 pep chromosome:ASM357664v1:3:17192893:17195928:1 gene:itb03g19040 transcript:itb03g19040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQPDHGLLVEDKPIPFDGNELILYGGFAVPETLSSNNGGFDAPEINAFGQSFRDYEAESERKESVEEFYRVQHINQTYDFVKRMREEVYGKLDKVEMSIWECCELLNDVVDDSDPDLDEPQIEHLLQTAEAIRQDYPHQDWLHLTALIHDLGKVLLHPSFGGLPQWAVVGDTFPLGCAFDESIVHHKYFKENPDYENPLYNTENGVYEERCGLDKVLMSWGHDDYMYLVAKQNGCTLPSAALFIIRYHSFYGNFFTSSLIDILIHIS >itb03g19040.t1 pep chromosome:ASM357664v1:3:17192827:17195967:1 gene:itb03g19040 transcript:itb03g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQPDHGLLVEDKPIPFDGNELILYGGFAVPETLSSNNGGFDAPEINAFGQSFRDYEAESERKESVEEFYRVQHINQTYDFVKRMREEVYGKLDKVEMSIWECCELLNDVVDDSDPDLDEPQIEHLLQTAEAIRQDYPHQDWLHLTALIHDLGKVLLHPSFGGLPQWAVVGDTFPLGCAFDESIVHHKYFKENPDYENPLYNTENGVYEERCGLDKVLMSWGHDDYMYLVAKQNGCTLPSAALFIIRYHSFYALHRAGAYTHLMNEEDEANMKWLKIFNKYDLYSKSKVRVDVEKVKPYYLSLIEKYFPAKLKW >itb13g12840.t1 pep chromosome:ASM357664v1:13:19232574:19235257:-1 gene:itb13g12840 transcript:itb13g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRLPAMNMWAPSADASDDNASMMEAFISSDHPPVFWAPPTSMAPPPHHHNHNHNSSSSSAASSVVLNQETLQQRLQTLIDGARETWTYAIFWQASVVDFAAPSLLGWGDGYYKGEEDKGKRNRPASSPAEQAHRKKVLRELNSLISGPASTDESVDEEVTDTEWFFLVSMTHSFINGSGLPGQAFCSSSPIWIAGQDKLSSSPCERARQAQTFGLRTMVCIPSANGVVELGSTELILQSFDLMNKVRVLFNFNNPDMGSISGSVSWAAPPENDPSALWLTEPTSSSGVDVKESVNTSVQENSIPSSSVKEIVFRNENPSTGNNHHDSQQSQGYFTRELNFSEFGFDGGNNNNLRNGNGNGNSSNSCKPEAGEILNFGESGTKRNGNGNSCQFPGGDESKSKKRSATLKASNEEGMLSFGSGMGSGMVKSSMGIGDSSDHSDLEASVVKEADSRAVEALAPAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAIAYINELKSKLETSESYIDDLKVQAQSLKKELSTKEPRHDRHPDPKKPTPHKIPDMDVDVKVMGWDAMIRIQCSKKNHPAARLMVALMELDLDVTHASVSVVNDLMIQQAAVKMGTRFYNQDQLRVALTSKLAETL >itb12g17550.t1 pep chromosome:ASM357664v1:12:19660372:19663465:-1 gene:itb12g17550 transcript:itb12g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIIQMVGSWKVRYRAGYAYNDRVIFWIYKEDDIDAVASGGPYLLLGKRLFLDYLPANFALRFEDYCNLPVWVRLPDLPQKCWHPKALSRIATKLGKPIFMDRFTKEREKVSFARGMNAWMTNVNWMLEFTTRRPLWEKLDEYGLQTSEPWMITSDFNVVLSSAERRGGVAPTRYDIWDFALCYFNNLLEDVWSNPEGGTKQFILSSYLKALKAPLKSLNKLAFGHISERVKRANEDFSAAHKALDVVNALEEKREHVKKETTLTEEQGDENGDDVYLEAPPVKGQPGHDYYQKVNTNMKIPHGGVCLSTSGVLLSCDPAAYVRPVAHAS >itb15g18600.t1 pep chromosome:ASM357664v1:15:20326991:20330823:1 gene:itb15g18600 transcript:itb15g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSYKRGGRRKRPVPSALSREVISSYFHMPLQHATEKLGIGEATLKERCRKVGIPRWPHRKLKSLEALMKNVQDFEENPEDAVTKATVRVLKNRHKLLYDMPGLELDLETLCLRNAYFKLNYMKRKLRKMVMPPSPSISSSCTPFSAACIDTEDMDIPLIQLLRRKLTAVVRRTFSGSVEEHGGIGGA >itb10g01860.t1 pep chromosome:ASM357664v1:10:1518716:1519944:-1 gene:itb10g01860 transcript:itb10g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNINSEEEKWWGDKHLELINGFWFMPFFIPGVHRVLAEFNPRPSDVILSSFPKTGTTWLKSLLYSIINRSSLDSLVNNNPHNLVPYLEVQVYGDHHQESSESSTHLSSEDTTRLFSTHIPYQLLGKTLESSGCRVVYVARNPKDTLNSLWHFVNKWKMGEEAPWELEDAVEKFLRGTVPYGPYYEHVFGYRMASLKNPSKFFFITYEELKDDTKSHLKRLAEFLGCPFAEEDDKEVEEIVKCCSIEVLKNHEVNKSEDCLDWFPTPYNSFFRQAKVGDHTNYFSDEAIKRIDAFTEEKFHKSGFVYGI >itb09g02850.t1 pep chromosome:ASM357664v1:9:1595595:1597523:1 gene:itb09g02850 transcript:itb09g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFRRMPESSPLNAGMQLDPVPDPSFIIRLFESEHNHVPHPDYRVQLLRHRPVHLAARQDSINWILKVHAHYHFNPATAFLSINYFDRFLSLHTLPVGGWPLQLLSVACLSLAAKMEEPNAPVLMELQLFDPKYVFEPKTIQKMELRVMHALNWRLHSVTPFDYLDYFVSELRISRPPDPRRRFEDIIRTFPDFVLNTARVTDFLGFPPSVIAAAAVITAVGDAMDIPESFYNRVDKVRGINYN >itb13g11170.t1 pep chromosome:ASM357664v1:13:16022934:16023440:1 gene:itb13g11170 transcript:itb13g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKVFGQDKKGTRPLTPRDKSGLLAMTWESMREGSRTRFRPNRARSQNENGFSRTRYEINDLKDRCREKLLDFFIALRREIEDEDFLTQCGHWMEKTDKGTTHRKGIPQGAPISPGKDLSARSRPMDRKKNAGKANEI >itb09g07540.t1 pep chromosome:ASM357664v1:9:4424389:4431951:-1 gene:itb09g07540 transcript:itb09g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFGRGSAQDSPPAGSSSPSSPSPFPPLSINTTAGPARPIRFVYCDEKGKFQIDPEALAVLQLVKEPVGVVAVCGRARQGKSYILNQLLGRSSGFHVASTHRPCTKGLWLWSAPVRRTALDGTEYNLLLLDTEGIDAYDQTGTYSMQIFSLAVLLSSMFIYNQMGGIDEVALDRLSLVTEMSKHIRVRASGGGSSISELGQFAPTFIWLLRDFYLELTEDTRKITPRDYLELALSPVVGRERDVVDKNKIRESIRVLFPERECFTLVRPLSNENELQRLDQIPLDRLRPEFKAGLDTLTRFVFERTKAKQIGSLVMTGPIFARITQSFLDALNNGAVPTITTSWQSVEEAECQRAYDLAAEIYMSTFDRSKPPEEAALREAHEYAVQKSLSAFNATAVGAGPIRQKYEKRLQSFVKKAFEDIKRDSFSEAYQQCSNSIQEMEKDLRKACHAPDAKIDNVLKVLDHLLSKYEASCHGPEKWRKLVIFLQQSLEGPLLDLTKKQIDQIGAEKTSLVLKCRSIEDKMGLLNKQLEASEKYKSEYLRRYEDAINDKKKLGDDYMSRITTLQSKCSSLEERCSSLSKTLDSARQESLEWKRKSDQLLSKHRADEDQINAEISILKSRTSAAEARVAAAKEQAHAAQEEAEEWKRKYEIAVKEVKVALEKAAVFQEQANKQTQLREEALRKEFSITLAEKEDYIKDKTSKLEHTEQRLTTLSLELKAAEAKIKNYDLEVSSLKLEIKELGERLENINATAQSFEREARILEQEKVHLQQKYQSEFDRFEEVQERCKLAEREAKRLTEFADKARAEAALAHKERSETQRLAMERLARIERAERLIENLERQKADLTDEVSRHRAAEVDAQSKVAMLEARVEEREKEMESLLKSNNEQRATTVQVLERLLESERAARAESSNRAELLSVQLQATQGKLDLLQQQMTRVRLNETALDSKLRTASIGKRARVDEYETGVESVHDLGTNDGVRRGNKRYKSTTSPLKMASPEDGGSVYRGDDDSQSQQTNSGGDYTRFTVLKLKQELTKHNFGAELLQLKNPNKKDILALYEKCILQKS >itb03g20880.t1 pep chromosome:ASM357664v1:3:18713056:18715427:1 gene:itb03g20880 transcript:itb03g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVNNINISGGGGSGDLQKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVSVAEILKNNGLAVEKKIMTSTVDVKDDSRGRPISKAKIEIVLGKTDKFDEIMATAAEDHGNGQEEN >itb09g02040.t1 pep chromosome:ASM357664v1:9:1231882:1235565:-1 gene:itb09g02040 transcript:itb09g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIWSEDAVPRASHFAVAVYFAFAFFAARFLLDRFVFRRLAIWLLGYGATHNMNEAKKGKIGKFTESMWKLAYYATVEYCALKATYHQPWFYDTKQYFRGWPNQELQPSIILIYMCQCGFYVYSIVALLVWETRRKDFAVMMSHHIVTVILISYSYITRFFRIGSVILALHDASDILLEAAKLNKYSKKEFGASLCFGLFAISWLVLRLVFFPFWVIKSSSVYILEVLRMSDSYHKSLYYVFNTMLLTLLVFHIYWWFLICSMICKQLKNKGKVGEDVRSDSEDED >itb12g01240.t3 pep chromosome:ASM357664v1:12:898595:902179:-1 gene:itb12g01240 transcript:itb12g01240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFQFCIRACGGGSDGAQEEKKMMIRKEKDGWEIDFSGDKPPTPLLDTINYPVHMKNLSTLDLEQLAAELRAEIVHTVAKTGGHLSASLGVVELTVALHHVFNTPDDRVIWDVGHQTYAHKILTGRRSKMHTIRKTSGLAGFPKRDESTYDAFGVGHSSTSISAGVGMAVARDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDTNLIVILNDNKQVSLPTATLDGPATPVGALSSALSKLQASPKFRQLREAAKSVTKQIGPRAHEVAAKVDEYARGMLSASGSTLFEELGLYYIGPVDGHNLDDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVQFDPRTGKQFKSKSPTLSYTQYFAESLIKEAEIDEKIVAIHAAMGGGTGLNYFQKKFPERCFDVGIAEQHAVTFAAGLATEGLKPFCTIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAVTIDDRPSCFRFPRGNGVGVPLPPNNKGTPLEIGKGRILREGSRVAILGYGSIVQQCLGAAEMLNSIDISVTVADARFCKPLDADLINRLAKEHEILITVEEGSIGGFGSHVSHFLSLNGILDGPLKLRSMVLPDRYIDHGSPQDQIEAAGLSSRHITATVLTLLGRPKEALTLK >itb12g01240.t1 pep chromosome:ASM357664v1:12:898595:902179:-1 gene:itb12g01240 transcript:itb12g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCGLVTRIHQPSTCPCFTPARRLNKIGSSKFCIRACGGGSDGAQEEKKMMIRKEKDGWEIDFSGDKPPTPLLDTINYPVHMKNLSTLDLEQLAAELRAEIVHTVAKTGGHLSASLGVVELTVALHHVFNTPDDRVIWDVGHQTYAHKILTGRRSKMHTIRKTSGLAGFPKRDESTYDAFGVGHSSTSISAGVGMAVARDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDTNLIVILNDNKQVSLPTATLDGPATPVGALSSALSKLQASPKFRQLREAAKSVTKQIGPRAHEVAAKVDEYARGMLSASGSTLFEELGLYYIGPVDGHNLDDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVQFDPRTGKQFKSKSPTLSYTQYFAESLIKEAEIDEKIVAIHAAMGGGTGLNYFQKKFPERCFDVGIAEQHAVTFAAGLATEGLKPFCTIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAVTIDDRPSCFRFPRGNGVGVPLPPNNKGTPLEIGKGRILREGSRVAILGYGSIVQQCLGAAEMLNSIDISVTVADARFCKPLDADLINRLAKEHEILITVEEGSIGGFGSHVSHFLSLNGILDGPLKLRSMVLPDRYIDHGSPQDQIEAAGLSSRHITATVLTLLGRPKEALTLK >itb12g01240.t2 pep chromosome:ASM357664v1:12:898595:902834:-1 gene:itb12g01240 transcript:itb12g01240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCGLVTRIHQPSTCPCFTPARRLNKIGSSKFCIRACGGGSDGAQEEKKMMIRKEKDGWEIDFSGDKPPTPLLDTINYPVHMKNLSTLDLEQLAAELRAEIVHTVAKTGGHLSASLGVVELTVALHHVFNTPDDRVIWDVGHQTYAHKILTGRRSKMHTIRKTSGLAGFPKRDESTYDAFGVGHSSTSISAGVGMAVARDLLGKNNNVISVIGDGAMTAGQAYEAMNNAGFLDTNLIVILNDNKQVSLPTATLDGPATPVGALSSALSKLQASPKFRQLREAAKSVTKQIGPRAHEVAAKVDEYARGMLSASGSTLFEELGLYYIGPVDGHNLDDLVTIFQKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVQFDPRTGKQFKSKSPTLSYTQYFAESLIKEAEIDEKIVAIHAAMGGGTGLNYFQKKFPERCFDVGIAEQHAVTFAAGLATEGLKPFCTIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAVTIDDRPSCFRFPRGNGVGVPLPPNNKGTPLEIGKGRILREGSRVAILGYGSIVQQCLGAAEMLNSIDISVTVADARFCKPLDADLINRLAKEHEILITVEEGSIGGFGSHVSHFLSLNGILDGPLKLRSMVLPDRYIDHGSPQDQIEAAGLSSRHITATVLTLLGRPKEALTLK >itb05g00490.t1 pep chromosome:ASM357664v1:5:411608:411979:-1 gene:itb05g00490 transcript:itb05g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGPVSAGEVVELAAAGEDDDGDVNVAQHGELLRLLYQSVPALREGHLPATLVFDSLYRYLSPPHWIEKSPSVPMSPSSLSASLSPLNGIHKVTKPLTLRTPPPPIPRGNLPSNQKEKVKIS >itb08g03400.t1 pep chromosome:ASM357664v1:8:2809507:2809956:-1 gene:itb08g03400 transcript:itb08g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACSKIRHIVKLRQMLRRWRRKAAAAATRSRSRVPSDVPAGHVAVTVGTTRRRFVVRATYLNHPIFSKLLSLAEEEYGFAAAAGPLAIPCDEAVFEEIIRFISARSDPGKIAAARFEDFQRHCHVGIRNGLELFPESRPLLRGENSTW >itb04g33650.t1 pep chromosome:ASM357664v1:4:35832407:35834071:1 gene:itb04g33650 transcript:itb04g33650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSSNITSSPTELDLDNLRAIKVLGKGAMGTVFLAHHASTDPSALSPFALKVVDKSLSFAADADRRARWEISVLSRFRLHDPLHPFLPSLLGSSETADILCWAVPYCPGGDLNVLRHRQNDSVFSPAVIRFYLAEIILALEHLHGLGIVYRDLKPENILIQQSGHVTLTDFDLSRSLTPKKTVDPLPVCSDPETDFVPSRTFTRFVCPRKKKSVTNQKGLRKAKSARVSPVSRRNPGSFYERSNSFVGTEEYVSPEVVRGDGHEFSVDWWALGVLCYEMLYGKTPFRGKNRKDTFKRILMMQPEFMGKPNALTDLIGKLLEKDPTRRLGYRRGASEIKEHEFFRGLRWDLLTEVVRPPFLPSKDETELTEEVKKGGIDIREYFDKLKAPSSPLWSPSLDEQRHNVSLTEF >itb12g17840.t1 pep chromosome:ASM357664v1:12:20097891:20105323:1 gene:itb12g17840 transcript:itb12g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVAGPTAAERRREEKNLVRHLRRDAVRMVVTSPTEKRKANGDGWSNGEEKSEWHGGSNGEEKSGGLCDYVFCSELAGAADRDDAVGVEAFVDDVAANGVVGVKFAKTDRNRHPLHGVTVSVCSVTKHLISATGNPLPLSFSENLFLITKIFAQAFFSASEDPIFESKLPLPHLSFFSRARHGGRG >itb02g12390.t1 pep chromosome:ASM357664v1:2:8439480:8443314:1 gene:itb02g12390 transcript:itb02g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILFILLLNSLQLLRPAAPFPLCTNSRPPFAQNSPLEFCTYSGPSCCTSADDSRLHKQFQAMNISDSVCAAIFKSILCAECNKFSAELYKISSFPRQVPILCNSSSSGTSNKTSQDASNFCSEVWNSCQNVSVMNSPFAASLKGRNPSPLVSNFTKLRDFWKSETDFCHAFGGASVDESLCFDGGSIILNSTEPPSPPSGLCLERIANGSYLDMAAHPDGSNRAFFASQQGKIWLATIPKVDSGGLLELDEASPFLDISDQVYFNSELGMMGIAFHPKFSQNGRLFVSFNCDKQQSPGCGGRCACNSDVNCDPSKLPPDSGAQPCQYQAVIAEFTVNGTSSQPSQAKIANAKEVRRIFTMGLPFLSHHGGQILFGPTDGYLYFSMGDGGGLGDPYNFAQNKNTLLGKIIRLDIDTIPSATEVMKLGLWGNYSIPKDNPYAEDKELQPEIWALGLRNPWRCSFDSSRPSYFMCADVGQDRYEEVNIITKGGNYGWRVYEGQYPYTPPKSPGGNTSANSINPLFPVMGYNHSEVHNNEGSASITGGYFYRSTTDPCMYGRYLYADLYAGGIWAGTENPRGSGVFNTSEIPFSCSRDSPINCTLVPGSSVPALGYIFSFGEDNNKDMYILASSGVYRVVPPSRCKYICSKENGTSTIVSPSPPSSPSGVTLSAVLYNNLVLLLLTLYFLLVC >itb03g22730.t1 pep chromosome:ASM357664v1:3:20793172:20794914:-1 gene:itb03g22730 transcript:itb03g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVYIKNKELVKAEKLYGSMPERNVVSDSAMLHGYAKAGRIGEARIIFDQMPDRNVYSWTSLISGYFQIGNVDEARRLFQQMPEKNAVSRTAALLGFARNGLIDEARSVFDEMPCVNVIAWTAMIRAYVENRQVDTALELFNLMPERNLYSWNVMIQGCLDYYRVDEALELFNRMPRKNEVSWTTMVTGLAHNGLTELARKYFDQMPYKDVAAWNAMITVYSGEGLMGKASELFDLMPNKNLVSWNAMIDGYAKSGPEGEALKFFQSMLRSGIRPNQTTITSVMTSCMGVLELLQVHALALLLGFENETSLTNALVTMYSRHGDLSSSIMAFENLNTKDVVSWTAMILAYAYHGLANQALQTFARMLRSGNTPDEITFVGVLSACSHAGLVMKGQKLFDAMGHAYDLKPRAEHYCCLVDILGRARLLDKAMMVVAHMPPEERDGAVLGALLGACKLYGDAALASQIGNELIELEPSSSGGYVLLANAYAASGKWDDFAQVLKKMKRREVKKVPGFSQIEVNGKYHVFCVGDRSHPEMNEIYKVLQEKLQPLMQETVLRDQKPLFTDVLSQQSEEYFSFT >itb02g00220.t1 pep chromosome:ASM357664v1:2:189931:197292:1 gene:itb02g00220 transcript:itb02g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTEHPGGGESSDPQETGAGRGAQRPPQQHQQEGSYQGGRGGWGPQRGGHGGQGGGAPRGGMAPQQSYGHPEYQQGRGAQQYQRGGAPLQRRGGIGGRGAPSGGGPPRPLVPELHQATQLPYQAIATQPMSYPRPVESPVEAGSSSRQPEQAQMTQQLQKLTLQPEAAPTQAIQPASSKSMRFPLRPGPGRSGTRCIVKANHFFAELPDKDLHQYDVSITPEVASRGVNRAVMDQLVRLYRESHLGRRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEEDRSGGARREKEFKVVIKLASRADLHHLGMFLQGRQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPNLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDEMGTTKSVVEYFRETYGFVIQHTQWPCLQVGNQQRANYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPRDRELDILRTVEHNAYAQDPYAQEFGIKISERLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRNVQDSVARGFCSELAQMCQISGMNFNPNPVLPPITARPDQVERALKARYHDAMTKLQGRELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVSLKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIEDLFKSWQDPVRGNVTGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAAAGRGGGGAAAGRNTRAPGAANAVVRPLPALKDNVKKVMFYC >itb14g01140.t1 pep chromosome:ASM357664v1:14:845051:849396:1 gene:itb14g01140 transcript:itb14g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRISDFLSHINLGERSVKGCLEAYSCKHTGTDKKLSLSLENEILGYLENSLDNNSSSPIEYLYCRSSQKTLIYLLLTLHQIYPDYNISAANAHQLFSEESWDSFKQVFDIYMFEASKEWLETNESSSLLATLYKALDEVVKVPECEIYGYNPDSDADPCEERGTIWSYHFLFYNKKLKRIVSFRFSCVSNLDLEDGISY >itb14g01140.t2 pep chromosome:ASM357664v1:14:844939:848487:1 gene:itb14g01140 transcript:itb14g01140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRISDFLSHINLGERSVKGCLEAYSCKHTGTDKKLSLSLENEILGYLENSLDNNSSSPIEYLYCRSSQKTLIYLLLTLHQIYPDYNISAANAHQLFSEESWDSFKQVFDIYMFEASKEWLETNESSSLLATLYKALDEVVKVPECEIYGYNPDSDADPCEERGTM >itb09g24870.t1 pep chromosome:ASM357664v1:9:24724226:24731709:-1 gene:itb09g24870 transcript:itb09g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLTCTSSFPWIWVVEALASSNQVDASLLIDLVKRIPKISDDLGRSAREMVSFRILENLLIKNNWIKDDSSLSAGPKISFDPSESCEDVLGKILQELSASNMKMPGPQVLKWDIQSFVTYKRSTLPQYALLKMKDMIVKGTDPRLATLKERSGLSTMNQSGNVTSVDGIAVKGTKEMREDSNFKCLAQNVNSVASTQENNNREETLPDRDLVLAKKRKSIAADHQGREIPGGGSISENGCDPCTVTKKCKQSVVFNKDREHIIEYIGREGCSLENESQAGALEESGSPLRQINGNQQQHDCSISEMPQGTNAGRGVKNICIDAAGEVNGHAELRNSNDAKHPLVQQVSHADETERNFQHNLSNGGPINESRDSIDCFHGPDPCNDNNEYHEERVEIASKKTAFLSCQCRYSQDSFATTDLRELCMKCNRGGQLLVCSSETCPLVVHDSCLGSIPNFEKDGKFYCPFCAYSRAISEQMKFKKKASLARKDLAAFLRFSIEPLKNVSTRSSRSNTSQSRQDEELCEKGEANSSRNSLRDNSSPECRANTEDKQQERPSVSPAEGRPPSNDKRISSTSTVPVIFAKDLQENQAEQDTLSPRGGYQQTNETLAITIYRKPVFPFGDPEVSNLDEPCTEVDVEKATVPQPVTSLHHQPELSSSTEVEESSDEENEKYVASKYFIRFNRPEKQCSHPVFPQWKRKRVPWTEEEEEALKEGVAKCKSIGQRNIAWREILEFGGDRFWKGRTPMDLKDKWRNICKGSPNKR >itb10g16580.t5 pep chromosome:ASM357664v1:10:22889931:22893185:1 gene:itb10g16580 transcript:itb10g16580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLSWICWFFPRSVLAQQLGSGLQGLGIGAVGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISTIIDSNFHLDLEAYDQEGKLYLSTFFALTYGIGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYRQVPEWWFWCILVANIALTVFACEYYQEQLQLPWWGVLLACVIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAIAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTATNTVWTCPSDHVFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVLVWVATKAFPRQEWIRLINMPVLISATSQMPPATAVNYTTWIIVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16580.t4 pep chromosome:ASM357664v1:10:22889078:22893185:1 gene:itb10g16580 transcript:itb10g16580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLGTFSCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAARITDRVFFRGTKWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVVTAVKVFYGKHITFFVSLIIVLTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERPKGGITRTQFFIIAFICSFAYYVFPGYLFQMLTSLSWICWFFPRSVLAQQLGSGLQGLGIGAVGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISTIIDSNFHLDLEAYDQEGKLYLSTFFALTYGIGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYRQVPEWWFWCILVANIALTVFACEYYQEQLQLPWWGVLLACVIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAIAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTATNTVWTCPSDHVFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVLVWVATKAFPRQEWIRLINMPVLISATSQMPPATAVNYTTWIIVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16580.t2 pep chromosome:ASM357664v1:10:22889078:22893185:1 gene:itb10g16580 transcript:itb10g16580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPANLVQVSLFRALHEKEERPKGGITRTQFFIIAFICSFAYYVFPGYLFQMLTSLSWICWFFPRSVLAQQLGSGLQGLGIGAVGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISTIIDSNFHLDLEAYDQEGKLYLSTFFALTYGIGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYRQVPEWWFWCILVANIALTVFACEYYQEQLQLPWWGVLLACVIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAIAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTATNTVWTCPSDHVFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVLVWVATKAFPRQEWIRLINMPVLISATSQMPPATAVNYTTWIIVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16580.t1 pep chromosome:ASM357664v1:10:22889008:22893185:1 gene:itb10g16580 transcript:itb10g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISADEIKTPLLPKLEEYEGRHDFASSSKSKVESLPEAEENSPIREVALTVPTTDDPSLPVLTFRMWVLGTFSCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAARITDRVFFRGTKWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVVTAVKVFYGKHITFFVSLIIVLTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERPKGGITRTQFFIIAFICSFAYYVFPGYLFQMLTSLSWICWFFPRSVLAQQLGSGLQGLGIGAVGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISTIIDSNFHLDLEAYDQEGKLYLSTFFALTYGIGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYRQVPEWWFWCILVANIALTVFACEYYQEQLQLPWWGVLLACVIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAIAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTATNTVWTCPSDHVFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVLVWVATKAFPRQEWIRLINMPVLISATSQMPPATAVNYTTWIIVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16580.t3 pep chromosome:ASM357664v1:10:22889008:22893185:1 gene:itb10g16580 transcript:itb10g16580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISADEIKTPLLPKLEEYEGRHDFASSSKSKVESLPEAEENSPIREVALTVPTTDDPSLPVLTFRMWVLGTFSCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAARITDRVFFRGTKWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVVTAVKVFYGKHITFFVSLIIVLTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRSVLAQQLGSGLQGLGIGAVGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISTIIDSNFHLDLEAYDQEGKLYLSTFFALTYGIGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYRQVPEWWFWCILVANIALTVFACEYYQEQLQLPWWGVLLACVIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAIAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTATNTVWTCPSDHVFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVLVWVATKAFPRQEWIRLINMPVLISATSQMPPATAVNYTTWIIVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLENVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb01g30580.t1 pep chromosome:ASM357664v1:1:34549002:34553598:-1 gene:itb01g30580 transcript:itb01g30580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKILKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNSKIHPMIIISGFRMAAECARNALLEKVVDNRQDAEKFRSDLMKIAMTTLSSKILSQDKDHFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLTDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAELEAAEKEKMKEKVQKIISHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVDMGQACTIVLRGASPHVLDEAERSLHDALCVLSQTVNDSRVLHGGGWPEMVMAKAVDELAKKTPGKRSHAIEAFSRALLAIPTTIAENAGLDSAELIAQLRAEHHTDGCTAGIDVISGSVGDMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >itb04g11460.t1 pep chromosome:ASM357664v1:4:11084687:11092851:1 gene:itb04g11460 transcript:itb04g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSIHPMALKVEVYQKEKVRPCSPTPQSLRNYKLSLLDILISIFYTPIVFFYDSRAGGHDYDELKDSLMKTLSVWYPLAGRIKDGTTIECNDEGADFVRAKVTNCDLGEFLRHPKLEDIRQMLPLDPYPNAIHPALPMLAVQLNRFRCGGTAVAFCVWHGLADASAMMGLFNTLAAFNRGEGPINPGGVIVDASAIFRPGNIVGPPPIPPSLKNRGKYSSKRFVFGKQDIERLRNDYYHPSEHPRRPSRVEALSAFIWAAVIKASVVIHASTAYKYRIDMDEIMHPSIHPMALKVEVYQKEKVRPCSPTPQSLRNYKLSLLDILISISYTPMVFFYDSSAGGHDYDELKDSLMKTLSVWYPLAGRIKDGTTIECNDEGADFVRANVTNCDLGEFLRHPKLEDIRRLLPLHPYPYPIDPAQPMLAVQVNRFRCGGTAVAFCVWHGLADARAMMGLFNTFAAINRGEGPINPGGVIVDASAIFRPGNLAGPLPMPPSLKNRGKYLSKRFVFGKQDIESLRNNYYHASEHCRRPSRVEAFRLCVGLLFLFVVVSPGVVRWVGVVLVVVSPGVVILKLQSVVRWVGFVMYKSLKSTY >itb11g03370.t1 pep chromosome:ASM357664v1:11:1764958:1766456:-1 gene:itb11g03370 transcript:itb11g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLAHRRAVSTEEGEQFAKENGLIFMEASAKTAQNVEEAFIRTASTIYKKIQEGVFDVSNESYGIKVGYGGIPGPSIGRDGAPAPGGGCCS >itb11g00390.t1 pep chromosome:ASM357664v1:11:174754:177317:1 gene:itb11g00390 transcript:itb11g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNKRRDTDLLKLMMSDFQVEMINDSLHEFFVHFHGPSDSLYQGGVWKIRVGLPDAYPYVSPSIAFVNKIYHPNVDERSGSVCLDVIKQAWSPMYDLVNVFEVFLPQLLLYPNPSDPLNREAGALMMEDSDAYEQTVKEYCQAYAKAEDGEAGLEAKLSDNEFSEDDDSDSDY >itb15g17900.t1 pep chromosome:ASM357664v1:15:19100704:19102443:-1 gene:itb15g17900 transcript:itb15g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEENIPVCIVLLGLGRCQNCRVEYQGAFAWWSSVDYVAKLSSGSEKMVKVILALSLIFLLVTSAVTPSYGGFGGRGGGGGGARVGGMGTGGGASNRKPGTGNSGSGTGGGGNWDLPTVPQPPPDGSL >itb11g16860.t1 pep chromosome:ASM357664v1:11:15442259:15445760:1 gene:itb11g16860 transcript:itb11g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGILKEVSSDGCVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLKIAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITVTTDYTVKGDEEMICMSYKKLAVDLKPGNTILCADGTITLTVLSCDPAAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKRIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWSISDETPARHSLIYRGLIPLLAEGSAKATDTESTEVILEAALKSAVQRGLCLPGDAVVALHRIGAASVIKICIVKK >itb11g16860.t2 pep chromosome:ASM357664v1:11:15442435:15445760:1 gene:itb11g16860 transcript:itb11g16860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGILKEVSSDGCVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLKIAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITVTTDYTVKGDEEMICMSYKKLAVDLKPGNTILCADGTITLTVLSCDPAAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKRIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWSISDETPARHSLIYRGLIPLLAEGSAKATDTESTEVILEAALKSAVQRGLCLPGDAVVALHRIGAASVIKICIVKK >itb11g21100.t1 pep chromosome:ASM357664v1:11:22541543:22544796:1 gene:itb11g21100 transcript:itb11g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED IMPAIRED RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G15090) UniProtKB/Swiss-Prot;Acc:Q9SWG3] MINSGDSMQSSHQMVAHMGDDVEEAQENRDGGMSHSPKRAIVASQGNTDLEPHDGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSCSSRRPSVKKTDCKASMHVKRKRDGNWYIHEFIKDHNHELLPALAYHFRIHRNVKLAEKNNIDILHATRYEDEAIADFDTLHKQPALKSPSPWEKQMSTIYTHAIFKKFQIEVLGVVGCHPKREGVNGENVTFRVDDCEKDDNFMVTWNEAKLEVSCSCLLFEYKGFLCRHAMIVLQMCGLSSIPPHYILKRWTKDAKSRHWMVEGTERVQTRVQRYNDLCKRAIELGEEGSLSELSYSIAFRVLDEALKNCVNVNNRTGAACSSNAVVLRDVEEDTQGSHATKITKKKNVTKKRKVQSEPGLAIADAQDSLQQMDNLNSDGMALSGYYGTQHNVQGLIQLNLMEPPNDAYYVNPQNIQGLGQLTSITPSHDGFFGSQQSMPGLGHLDYRPSFGYSLQDEPNMRSAQLHGDNARHS >itb06g15040.t1 pep chromosome:ASM357664v1:6:19379746:19380858:1 gene:itb06g15040 transcript:itb06g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEVERKALPFVRTLKQNLPNSISKSLLEALRDFGEGNTETADLLPKVSELLRDHPDLLAGFNYFLPENLKLPLPTAADAVEIPTTSDPQPQLPLKRRREVQNDAVEFVNKIRKFSKDDQIYASFLRAITDFEVHGDILQVDKQISDLFKQNPDLYINFTWFTADDNCRSHRVRVSESARKLTTLEECEDQLYESDMLFHSLESTKKATEKLLQKENGNGDNNGGIINLQDYFSAMNLRCIERMYGEDYVDQIMELLQTHPTAAATILLRRLNQKLQDAGELRERIREKCNQTMRREHNPRSTMRR >itb05g10610.t1 pep chromosome:ASM357664v1:5:16331741:16333205:-1 gene:itb05g10610 transcript:itb05g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVIMSLLVISFFNVCFASRKLASLVKDEPGQLLQYHKGPLLAGKISVNLIWYGKFKPAQRAIISDFVSSLSAAPKPHPSVSAWWKITEKYYHLASSKNRLSLYLNRQVLDESYSLGKSLTQKQIVDLAGKGEGKNAINVVLTASDVTVDGFCVNRCGTHGSSFSKTAPVKGKNYKFAYIWVGNSETQCPGYCAWPFHQPVYGPQSPALIAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGEAGAPLEAASACPGIYGKGAYPGYAGDLLTDPTTGASYNAHGTNGRKYLLPALYDPSTTSCSTLV >itb09g11530.t3 pep chromosome:ASM357664v1:9:7185291:7190210:1 gene:itb09g11530 transcript:itb09g11530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGDGGGSGGAHTHTQDSQSSALVRQGSLYSLTLDEVQHQLGHLGKPLSSMNLDELLKTVWTAEASQGEGGTDFGVAQPGDSSLPLSGDLSKKTVDEVWQDIQQGQKRSDLDRKATLGEMTLEDFLVKAGIVAESSPGNKNLADSMVLLEQNAVQQPTQWTHYQIPTIHQSQQPPPPQQQLPNMLPVYVTGQPLPVVPNPIMDTSFPETQVAMSPTMMGTLSDTQAPGTKRTAPDTVVEKSAERRQKRMIKNRESAARSRARKQVSFKCLEGAAHFNLS >itb09g11530.t1 pep chromosome:ASM357664v1:9:7185291:7190210:1 gene:itb09g11530 transcript:itb09g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGDGGGSGGAHTHTQDSQSSALVRQGSLYSLTLDEVQHQLGHLGKPLSSMNLDELLKTVWTAEASQGEGGTDFGVAQPGDSSLPLSGDLSKKTVDEVWQDIQQGQKRSDLDRKATLGEMTLEDFLVKAGIVAESSPGNKNLADSMVLLEQNAVQQPTQWTHYQIPTIHQSQQPPPPQQQLPNMLPVYVTGQPLPVVPNPIMDTSFPETQVAMSPTMMGTLSDTQAPGTKRTAPDTVVEKSAERRQKRMIKNRESAARSRARKQAYTHELENKVSRLEEENERLKKQKV >itb09g11530.t2 pep chromosome:ASM357664v1:9:7185291:7190210:1 gene:itb09g11530 transcript:itb09g11530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGDGGGSGGAHTHTQDSQSSALVRQGSLYSLTLDEVQHQLGHLGKPLSSMNLDELLKTVWTAEASQGEGGTDFGVAQPGDSSLPLSGDLSKKTVDEVWQDIQQGQKRSDLDRKATLGEMTLEDFLVKAGIVAESSPGNKNLADSMVLLEQNAVQQPTQWTHYQIPTIHQSQQPPPPQQQLPNMLPVYVTGQPLPVVPNPIMDTSFPETQVAMSPTMMGTLSDTQAPGTKRTAPDTVVEKSAERRQKRMIKNRESAARSRARKQAYTHELENKVSRLEEENERLKKQKEKEMALPYVPPPEPKYQLRRTSSAPF >itb15g06440.t2 pep chromosome:ASM357664v1:15:4288587:4291190:-1 gene:itb15g06440 transcript:itb15g06440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGSVTLQHYELGKLIGQGSFAKVYHARNLKNGVSVAIKIIDKEKIFKAGMMDQIKREISVMRLVKHPSIVHLHEVMASKTKIYFVMEYVKGGELFNKVVKGKLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWSCGVILYVLLAGCLPFQDPNLMEMYRKIKKAEFKYPNWFSPDARKLISKILDPNPKTRISIAKIMESSWFRKGLDLNAGPDFRSKDTEGKDALVDVCESINKTTEANQESEKLKNLNAFDIISFSSGFDLSGLFVEDDQKGEDRFTSRQPAKAIISKLEDIAQHLKLKIMKKKGGFLRFEGSKLGRRGVVSIDAEIFEITPAFHLVELKKSNGDTLEYQKMMKHDLRPALQDIVWAWQGDQPQPEQLEEHSLLSY >itb15g06440.t1 pep chromosome:ASM357664v1:15:4288587:4291202:-1 gene:itb15g06440 transcript:itb15g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGSVTLQHYELGKLIGQGSFAKVYHARNLKNGVSVAIKIIDKEKIFKAGMMDQIKREISVMRLVKHPSIVHLHEVMASKTKIYFVMEYVKGGELFNKVVKGKLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWSCGVILYVLLAGCLPFQDPNLMEMYRKIKKAEFKYPNWFSPDARKLISKILDPNPKTRISIAKIMESSWFRKGLDLNAGPDFRSKDTEGKDALVDVCESINKTTEANQESEKLKNLNAFDIISFSSGFDLSGLFVEDDQKGEDRFTSRQPAKAIISKLEDIAQHLKLKIMKKKGGFLRFEGSKLGRRGVVSIDAEIFEITPAFHLVELKKSNGDTLEYQKMMKHDLRPALQDIVWAWQGDQPQPEQLEEHSLLSY >itb02g18760.t1 pep chromosome:ASM357664v1:2:15292182:15293972:1 gene:itb02g18760 transcript:itb02g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAVVWSREEEKAFENAIAMHWVEDSKEQWNKIASMVPSKSVDELKQHYQLLVEDVCAIEAGHVPVPTYIGEEPPTSTHANSAITSSDRRSNASAAYGTGFSGAATHDSAAPAAGKGGSRSDQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNGGDVAAAHQPPITGQQVNPTPSNVAAALGPAAKHRNQANPHGGLGMYGAPLGHPVPAPPGHIASAVGTPVMLPPGVGHHPPYMLPLAYPIAPPQPPNMHQ >itb09g26400.t1 pep chromosome:ASM357664v1:9:26977484:26977987:-1 gene:itb09g26400 transcript:itb09g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPKAEGDGEGKGNGDKGKRKMVSSTDTFKKLLEESLRKTMGEIMESKATETCSSSAAPGSDDNIIYDVQPLRIIVPQWHNLTAEISALKMAAAGESSSQQGGIKREWECVMCLTEAKSVVFLPCSHQALCRDCNVLHERQGMKDCPVCRTPIMQRIQAHFVPPKV >itb04g07650.t1 pep chromosome:ASM357664v1:4:5229328:5230037:-1 gene:itb04g07650 transcript:itb04g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMPVAMIALSTTHHFVSIKLTHLSRQQHTSPHSAEARTTLQQIGRYFPPPLTTQQRSTPSLAAVADAATARRLLLPPQPSLLSDYSINSRQRSKV >itb11g06620.t1 pep chromosome:ASM357664v1:11:3989840:3994083:-1 gene:itb11g06620 transcript:itb11g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHKGIKRGPLGSKKRSGKVKKKQKMVPFNEKKPKIDKKMRKLFEKRARDYNSDEDDEDDKDNEVERSGKAKKKQKTVPFNEKKPKIDKKMRKLFEKRAKDNNSDEDDEDDKDNEAVGGAPLHGEQDEFEEDSFDEEGDGGEEDEVSEDEGGELQPGITKFTEGCKAFRMAFRKLLKKTASASDDVLGPVLSAHKKLVAEKLAEEETERKVKGEAKKEKHLIGEKGHVKPANYLDSHEKLLIGIATKGVVKLFNAVNKAQHAQRGLNPSRAKDEKTIKKRKKEAFFSELGKTSSQSTSIVQKVGTSGGSRDKDGPAWAPLRDNYMLANPKLKDWDKKPDTALGDDSGMPADTDSSSDDD >itb05g23850.t3 pep chromosome:ASM357664v1:5:28879615:28882940:-1 gene:itb05g23850 transcript:itb05g23850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRELFLVALMPVLKTLFIAIVGLFLALDRASILSAPARHHLNNSRWFIPVSILITFMVGSALGWILVKITRAPRELHGLVIGCCAAGNMGNLPVIIIPAICAEKNNPFGDSSTCSKNGMSYVALSMGIGAVFVWSYVYNIVRACGNNIPTDAKVDSRISEKQHDETSGITLDYNCTEALLSENYLSSGEKRSTQEVQLPVFGKIKQQVKTWMENIELRMIFRPPTIATILGIIIGVISPIRNIMVGESAPLRFIESSVVLLGDAAIPSMTLIMGANLLRGFRRSGIGLWLLVGIIIVRFVALPVVGIVVIKAARNLGMVGSDPLYHFVLLLQYSVPPAMAIGTITQLFEIGETECSVIMFWNYGVASVALTLWSTYFMWFLS >itb05g23850.t4 pep chromosome:ASM357664v1:5:28879615:28882014:-1 gene:itb05g23850 transcript:itb05g23850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSIVSLWFIPVSILITFMVGSALGWILVKITRAPRELHGLVIGCCAAGNMGNLPVIIIPAICAEKNNPFGDSSTCSKNGMSYVALSMGIGAVFVWSYVYNIVRACGNNIPTDAKVDSRISEKQHDETSGITLDYNCTEALLSENYLSSGEKRSTQEVQLPVFGKIKQQVKTWMENIELRMIFRPPTIATILGIIIGVISPIRNIMVGESAPLRFIESSVVLLGDAAIPSMTLIMGANLLRGFRRSGIGLWLLVGIIIVRFVALPVVGIVVIKAARNLGMVGSDPLYHFVLLLQYSVPPAMAIGTITQLFEIGETECSVIMFWNYGVASVALTLWSTYFMWFLS >itb05g23850.t1 pep chromosome:ASM357664v1:5:28879615:28882980:-1 gene:itb05g23850 transcript:itb05g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRELFLVALMPVLKTLFIAIVGLFLALDRASILSAPARHHLNNLVFYIFFPALLASSLVDSMTATSIVSLWFIPVSILITFMVGSALGWILVKITRAPRELHGLVIGCCAAGNMGNLPVIIIPAICAEKNNPFGDSSTCSKNGMSYVALSMGIGAVFVWSYVYNIVRACGNNIPTDAKVDSRISEKQHDETSGITLDYNCTEALLSENYLSSGEKRSTQEVQLPVFGKIKQQVKTWMENIELRMIFRPPTIATILGIIIGVISPIRNIMVGESAPLRFIESSVVLLGDAAIPSMTLIMGANLLRGFRRSGIGLWLLVGIIIVRFVALPVVGIVVIKAARNLGMVGSDPLYHFVLLLQYSVPPAMAIGTITQLFEIGETECSVIMFWNYGVASVALTLWSTYFMWFLS >itb05g23850.t2 pep chromosome:ASM357664v1:5:28879642:28882957:-1 gene:itb05g23850 transcript:itb05g23850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSALGWILVKITRAPRELHGLVIGCCAAGNMGNLPVIIIPAICAEKNNPFGDSSTCSKNGMSYVALSMGIGAVFVWSYVYNIVRACGNNIPTDAKVDSRISEKQHDETSGITLDYNCTEALLSENYLSSGEKRSTQEVQLPVFGKIKQQVKTWMENIELRMIFRPPTIATILGIIIGVISPIRNIMVGESAPLRFIESSVVLLGDAAIPSMTLIMGANLLRGFRRSGIGLWLLVGIIIVRFVALPVVGIVVIKAARNLGMVGSDPLYHFVLLLQYSVPPAMAIGTITQLFEIGETECSVIMFWNYGVASVALTLWSTYFMWFLS >itb01g25940.t1 pep chromosome:ASM357664v1:1:31213483:31216722:-1 gene:itb01g25940 transcript:itb01g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAVSAGAAQPPPHRFTAKSWRAKRLRAWAGDFPAFLPKQVENIKDQFARKLASRIERVPVSLSKGCIMSSCVKPAVRSEENPVVLLHGFDSSCLEWRYTLPMLEEAGLETWAIDILGWGFSDLERLPALDVASKRKHLYEFWNTYIKRPMTLVGPSLGSAIAIDFSVNYPEAVDRLVLIDASVYAEGTGNLATLPKAAAYAGVYLLKSIPLRLFATSLAFNGLPFNILADWANIGRLHCLLPWWEDATVNFMISGGYNVTAQINAVKQKSLIIWGENDQIIDYKLGIRLHCELPDAIIRQIPNCGHIPHVEKPAAVSNLIREFVSADRPSSEKPAVPISTAV >itb15g03730.t1 pep chromosome:ASM357664v1:15:2339829:2347695:1 gene:itb15g03730 transcript:itb15g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSLSFLLIFSLCLSFVFAAAAPPPLDGLVPNGNFEEGPKRSNLNKTVIIGKRSLPKWEISGIVEYVSGGPQPGGFYFAIPRGAHAVRLGNEASISQYLKVKPRAIYSVTFGATRTCAQDEVLAVSIPGQKSELPIQTLYSADGGDTYAWAFRAPSGVVKLTFHNPGVQEDPACGPLIDAVAIKEIPPLKYTKGNLVKNGGFESGPHLFTNFSTGVLVLPKGKDPYSSIPAWIVESLKPVKYIDSKHFLVPRGRAAVELIGGRETAIAQIIRTRPNWRYTLTFTIGDASNGCHGSMAVQAFAARETVRAAFVSTGKGWFKTVSFNFRATSTRTRITFYSPFYHTNINDFAHVCGPVIDDVRVFPLWLVVAFLPKMSKSGALDLVSGVGGKIEKKEVLSAVERYEKYHAQDEGAEEQRKANYTDMVNKYYDLATSFYEYGWGESFHFAPRWKGESLRESIKRHEHFIALQLGLRPEQKMLDVESVVLYEKLLDLVVHLSRVNNNEYQITRGKELNHIAGVDTTCNFVQNEVELGNGLPDIRSTEECIEALKLAGFEVVWDKDVAADSPVPWYLPLDKNHISIANFRVTAFGRFVTRNMVKILEGLRLAPEGSQRVQAFLEKAADALVAGGRKGIFTPLYFFLAQKPNANVEASTD >itb09g00910.t1 pep chromosome:ASM357664v1:9:565292:568999:-1 gene:itb09g00910 transcript:itb09g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIMSFRFLIPFFTALLLHRLPITQSSYHNYPDALSKCILFFEGQRSGYLPSEQRMTWRGHSGLGDGWTVNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGQYMPASELQNTLVAIKWATDYLLKTVSQPNRIFVQVGDPISDHSCWERPEDMDTARTVYAVDAPNPASDVAGETAAALAASSMAFRSSDSGYADTLLQTATRVFSFADSYRGAYSDNSNIRGGVCPFYCDFDGYQDELLWAAAWLRRATQGDTYLNYLQDNGQTLGANDNINEFGWDNKYAGLNVLVSKEVLEGNIYSLQSYKASADSFMCTLIPESPSSHIEYTPGGLIYKPGGSNLQHATLVSLMLLVYAASLERSSQAVNCGSVSVSPAMLREVAKRQVDYILGDNPKGISYMVGYSYYYPQRIHHRGSSLPSTKDHPQFIACKEGSVYFNSSGPNPNVLVGAIVGGPGENDEYDDDRDDFRKSEPTTYINAPFVGALAYFAANYPSG >itb06g18830.t1 pep chromosome:ASM357664v1:6:22385069:22387938:-1 gene:itb06g18830 transcript:itb06g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISGILADAPVVFPSTATTAGGGCKLPYEFNHFRSFSDSTKSLFSPAFPTGYLSFSVRKLKIGQDRSQTRRLKVRASANSGGDSVPFAPLQLESPIGQFLEQTLQTNPHLLLAAIDQQLENLQNEKDEQKKEKLPPSQDLLYKRIAEVKEKERRKALVEILYSIVVHKFIDKDISMIPKISATSDPTGRVDFWPNQEQKLESVHSPEAFEMIVSHLSLVLGERAVGPLDNIVQISKIKLGKLYAASIMYGYFLKRVDQRYQLERSMNTLPEGFLGSQPRPEQPAPNQLWDPDSLIVIEPEDVDTEGLMGSVTDGSKSYRLRSYVMYLDAETLQRYATLRSKEALSVIEKQTQALFGRPDIKVSEDGTLDVSNDEEVSLSYSGLTMLVLEAVALGSFLWEAESYVESKYHFLNS >itb09g00600.t1 pep chromosome:ASM357664v1:9:438562:439102:-1 gene:itb09g00600 transcript:itb09g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVREIAVVGGTGLFRMARGYAMAKTHTFDAATGDAIVAYNIDGQESSRTSPSSSMLTAAVNIVIDLREVLQPAVLAGVSTSAAGFSLQNLRR >itb02g08310.t1 pep chromosome:ASM357664v1:2:5236052:5237674:-1 gene:itb02g08310 transcript:itb02g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPHIAEKDSEILDAQTHIWNHIFNFINSGSLKCAVELEIPDIIHKHGQPMTLTQLVNALPINNAKSTHLARLMRTLIHSGFFVNTKIPESQQGSEGYALAPPSILLLKDNPLSVRPFLLAMLDPILTQPWHNMSEWFRNDDPTPFDTVHGMTLWDYAGKEPKLNRTVNDAMASDARLVMHMVVKYCRVVFEGLNSVVDVGGGIGTAAKIIADAFPDLKCTVFDLPHVVHGIKGTKNLDYVGGDMFASIPPGDAILLKWILHDWNDEVSVKILKKCKESIPSKENGGKVIIIDMVVDNKTKDDKSIETKIFFDMLMMVLVSGRERAEKDWAKLFFEAGFSGYKIIPILGLRSLIEAYP >itb04g33070.t1 pep chromosome:ASM357664v1:4:35419748:35428082:1 gene:itb04g33070 transcript:itb04g33070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSTLPANPTHTAPFSTTPRFKFLLPTLSTPSLRLSGRRHRLRFRINATANGSVVAAPEKPAADTTAYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAVLPPIEIVKGSISNADPTCPEEWEDGLADRVEYDSAGNIKTEIIKSPFVQIPLGVTEDRLIGSVDVEESVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMSFENRVAAVDIATQFQERSGEVLKMVDEETDFAKTQIILAREYLKDVTISREQLKYLVMEAVRGGCQGHRAELYAARVAKCLAALEGREKANVDDLKKAVELVILPRSIISENPPDQQNQQPPPPPPPQNQDSSEEQNEEEDQEEDKDEENEQQQEQIPEEFIFDAEGGLVDEKLLFFAQQAQRRKGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDIQKTRKVFVEKTDMRAKRMARKAGALVIFLVDASGSMALNRMQNAKGAALKLLAESYTSRDQVCIIPFRGDAAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGMNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAASSDAPRPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIAKIAQGKYYYLPNASDAVISATTKDALAALKSS >itb04g33070.t2 pep chromosome:ASM357664v1:4:35419748:35427133:1 gene:itb04g33070 transcript:itb04g33070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSTLPANPTHTAPFSTTPRFKFLLPTLSTPSLRLSGRRHRLRFRINATANGSVVAAPEKPAADTTAYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAVLPPIEIVKGSISNADPTCPEEWEDGLADRVEYDSAGNIKTEIIKSPFVQIPLGVTEDRLIGSVDVEESVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMSFENRVAAVDIATQFQERSGEVLKMVDEETDFAKTQIILAREYLKDVTISREQLKYLVMEAVRGGCQGHRAELYAARVAKCLAALEGREKANVDDLKKAVELVILPRSIISENPPDQQNQQPPPPPPPQNQDSSEEQNEEEDQEEDKDEENEQQQEQIPEEFIFDAEGGLVDEKLLFFAQQAQRRKGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDIQKTRKVFVEKTDMRAKRMARKAGALVIFLVDASGSMALNRMQNAKGAALKLLAESYTSRDQVCIIPFRGDAAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGMNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAASSDAPRPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIAKIAQGKYYYLPNASDAVISATTKDALAALKSS >itb15g05280.t1 pep chromosome:ASM357664v1:15:3385807:3389954:1 gene:itb15g05280 transcript:itb15g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNENLPPNVIKQLVKELKNLDETPPEGIKVGVNDDDFSTIYADIEGPAGTPYENGVFRMKLILSNDFPHSPPKGFFLTKIFHPNIATNGEICVNALKRDWSPNLGLRHVLMVVRCLLIEPFPESALNEQAGKMLLDNYEEYARLARLYTGIHAMKPKPKLKGGAISESTTALNVDQSKSSAFVDKKSVAVSSVPLQQPSPLAPSSKAGNSLDQPALPSSTANCGSAAAPLAQKKEAGLAKVHADRKKMDARKKSLKRL >itb13g05490.t1 pep chromosome:ASM357664v1:13:6573517:6584514:-1 gene:itb13g05490 transcript:itb13g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSISSSVDSMSLLLDFLTLLSRRSSSSKVHRRVRESLRDRAPIKPLVATLSSWKFIFQLESSDALRDLEFQIREFALKAEDDIEIHLSNFVLAKDTEHEEEASQELYQTLQEAAEIAAQISDKEEVRERGREVACNALTSLISTIQHLFLQRTHFLRVSRYDQPRLVSFLGNLSSFQAFLQKKKKPSGDDGGALRLRDLEIQIRDFALETQDAIVYREVAPHQLRQTWLEAAKNVTDLLKILTGENESHLSDGEMASAALTILIAKFKYYFLQIFPSVYDRDKATMIYFLANLYSLQVCVMQMKSNGAAAAIKIRDFALKAVDDIEIQLKTFPRAKYAERERKAFQRLCQTLLEAAEIAAKLLKIIHKEEQYERKRVMTCGIKSTLLEHSSLQVFLRLNTFMTKFYYDFLHSTPIVPLDDEAAMISFFQNLSSLQDYLLQKESSGGGGGGATIDDLETEIRYFVIEVGDDVETQVKNLVQAKHEAEYQEQASQLNQTLQEAAETVEELLMSINSEKECKTDLEIACDALSSLMGTIEEFLYAEVATKSFLKSPHDKAGIKSNLLGKLFSLQVFLQKESNGGAATMKYLETKIKDFTLKAKDDIKIQLYNFLQAKDTEYEEKVSHELYHTMQEAAESAAELLKIINSRSNEVDDANETQPSNTWLKHASRSANVESDGSSHGLLMPGGRMVGRHHDCRVIKDQLFSAEELKVISIVGMVGIGKTTVAKNVYEDPSVASRFDVRGWVTIPQDYDKSRMLSDLLQSIMPAERNVIKKRGTPDELEMQVRECLRRWRYLIVLDNLLSNQAWIDIIQCLPDYCRGSCILLTTSHLNRYDYQNKYIHNLTLLDPKGSWELFGNILSIEEHLAPKFEKIRNHVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKQLESLGLLDRNALSFSYNMLPHHLKVCFLYFGVFPKRKKILVKMLIRLWIAEGFLKPLKHNELEDQAYEYLQELIDRSLLLIEDRSCNGKIKTCRMHSALHCFCVGEAQKGGILCAVNTQQHSGLSLKSFTNSCRWLSSYSHSFDYYVLFGTNIPRSIFLFLENPEMFVPPKLLRVLAFDTSISLQRVPVQLGGLVFLRYLSITQWFEDLDDVVSNNPNLETLVVSGNGAPTIHLPSSIWKPPQLKHLALGNSYTVDPPSADKMNLQTLSWVVRPLHCRKQVYSKFPNIKNLKILLKDDIEPSYIGGSCSNPIISDHFDYLKAVEKLSISVSIGCNAALPEQCMYPSRLEKLKLSGTNISERDLNVIAMLPGLKVLKLENAFRGTVWEVAEGGFCKLIFLLLEAKELKQWVANLREHFKELRHLVLRSCNCLEQMPMDFAGYKLESIELVGCHNSLVASAMQLQQKRRSKDLEIKILDPKSDESQNTHTEGCEESLKRFTSDLENGFYWKSMEELLWCFTEILSLRSIKLDQCGPSAVAFAKQI >itb01g10790.t1 pep chromosome:ASM357664v1:1:9392173:9395685:-1 gene:itb01g10790 transcript:itb01g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLTVKPCLILIRGRILGISIPKPHPHVPLISPNRLNLREFHAHPKPLFYLSWVQSSGFRSPWIRTSFSLVCSVAPNPRRFSTIIGENSEGKDLVAENIDLDAVNREGVEESKGNGEADAIISAKGSNVEEQAWKLLKDSVVTYCGNPVGTLAANDPNDSMPLNYDQVFIRDFVPAALAFLLKGENEIVKNFLLNNLQLQSWEKTVDCYSPGQGLMPASFKVRTVALDEDKFEEVLDPDFGESAIGRVAPVDSGLWWIVVLRAYGKITGDLSLQERVDVQTGIKLILNLCLSDGFDMFPSLLVTDGSSMIDRRMGVHGHPLEIQALFYSALRSAREMLALDEGSKNLVRAVANRLSALSFHIREYYWIDKKKINEIYRYKTEEYSTEATNKFNIYPEQIPRWLMDWIPTEGGYLIGNLQPAHMDFRFFTLGNLWSIITSLGTPRQNEAILRLIDTKWDDLMGLMPVKICYPALEFEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACLKMGREDLASKAIELAEKRLAVDHWPEYYDTKHGKFIGKQARLYQNWTIAGFLTSKMFLENPEKASLLIWEEDYDLLENCVCSLSNSSRKRCSRSAAKSQFLV >itb13g26750.t1 pep chromosome:ASM357664v1:13:31877867:31880229:-1 gene:itb13g26750 transcript:itb13g26750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIDALLGRGSLKSAKLKATSNLAISRLAVLKNQRQAKCSIARSDVLQLLNLGQHDRALLRVEHVIKEQNMLDVFVVVEGYCNLLTERINQLEHEKVCPDELREAISSLIFAATRCGEFPELQELRAIFTAKFGKEFTARAVELRNNCGVNPKMIQKLSTRMPSHETRLKVLKEIAKENDIVLQLEEATKEEKGVDSKEDRAAAHHRIPNPPVLDEDGAEIMEVKGKYKDVADAAQAAFESAAYAAAAARAAVELSRSESGGSDHPPYNSPRLHTTKAPHTIQTNEEKDVKGTDDEDNGDVEGGGFDPKIQPAKTEDRSADNLNEDRKPISMRTQPKHRWALTRN >itb06g11560.t1 pep chromosome:ASM357664v1:6:16119824:16120221:1 gene:itb06g11560 transcript:itb06g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTSLTVSVNSLFSIFTSLFFRPLRTTGEASIGTQEIVEDEGIQTHSGTIHRLKSSLLPLKFPARRAPCMHL >itb01g35460.t1 pep chromosome:ASM357664v1:1:37653140:37655358:-1 gene:itb01g35460 transcript:itb01g35460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQRKNAEKNQKSKGSQIEARAVGLKVICPICKAQLANQNQLVDHYGSKHPKEKAPSNSG >itb07g17690.t1 pep chromosome:ASM357664v1:7:21979479:21980992:-1 gene:itb07g17690 transcript:itb07g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAFSHRIHHRRSLRPSQPPPPLTSNAAFRLLPRSFLSSLGLSSTVLPRHRQPDSQPGEKGRDAQKLSRPEPPLAVTAGATARRHGQRRHRRRARRNAKGDKKTSPCGTTLTEATCDSGGTNGYCRRRLPLSATRPR >itb09g18600.t1 pep chromosome:ASM357664v1:9:14530422:14532027:-1 gene:itb09g18600 transcript:itb09g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKSKGCSSEPQPILTKAPLQVDDLPLVKEEDRSSERVFNEDSKGEFERELDYKEEVVENDISENVDGEDGKGENEQDKLLDGIYVAEAVRKKQAGVQSVGLTGAKKRKSGPVKRFKSVPSTIINEIMSDDFEDMRNDITEIVKVVSFPIYSTDDTDIQDVDEIMLLVKRSNGKHIVVNKNFLKEHYLNMLIHFYEKLLFS >itb13g11480.t1 pep chromosome:ASM357664v1:13:16214140:16215345:-1 gene:itb13g11480 transcript:itb13g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYETLDTDILAAFRVSPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTNLDRYKGRCYHIEPVAGEENQYICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYQDRMKSQRANRCFTTNHKFRVGRSIHTGNYDQRFLSQPPSTSSIPTETETLFTYKNSAEFLYVKSVSVSVGIDEVDGG >itb12g24610.t1 pep chromosome:ASM357664v1:12:26071568:26073623:-1 gene:itb12g24610 transcript:itb12g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKASYGDVSSDSDSESDPTLPPPSTSTSIAAETFTPLPPPPVSLLDTPSSLGSFDYLQSSQVNRVRSFPHVEGNYALHVYIPVHIPSASRKELAQFLERVTALVPGLNVVDVDVPLSSLVRDNSKLEQVALGREFHISLGRTVPVRVHQINSIVSMLRQRLQSQKRYWINFDKWEIFVNDDCTRTFLSLEVVKGGLFEITKQIQAVNEVYRLHNLPEFYKDPRPHISIAWALGDISDTLKRMVEEEMKRYLVGSSQQKPIFTTKFSSILCKIGNKSYEICKFHGE >itb07g15710.t1 pep chromosome:ASM357664v1:7:18735400:18736935:-1 gene:itb07g15710 transcript:itb07g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNYLHEVDLPIALGSLTSLAHLDLSGSCYLQNLPFTLCHLSNLKSLRLDNLQNLRALPELPPNLEELSAENCVSLEKVAYISNLRRLKGLYIPNCKSLVELPGLACLESLEVLDLASCNALITSYNYLQEVDLSITVGDGDGDFSLSTYLDLNGNCSLQTLPFNLCHLSNLKSLNLEDLQNLRALLQLPPSLEELSIKNCVRLERVADISNLKSLEELNIRNCKSLVELPGLKNLESLRNLEITNCSALSISSTEKWFKARYEGDSVKIWLQVWGTLVFCNIPTCLGFESLKLIISENEQNRYNGVRVRVRSKTTGAWIVKEPKYIQINGYDKIEFEVPTVIAQVLEVYAEFCPLQTVCLFEIYRNREEEVRFFPSTRGLLEFEETATDGSLSSLQNEENRLMIETVADSTGDEQNGRGRRRHTRCCIWEFLLKCFCWDNEDEHY >itb06g20630.t1 pep chromosome:ASM357664v1:6:23545322:23547513:1 gene:itb06g20630 transcript:itb06g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVDDPGPLAPLVKWRSEFSKMFRYYLDRSAPYVVERWIGTLAAASIYVLRVYYVRGFHIISYGVGTYLLNLLIGFLSPKFDPELEALDGPSLHTRDSDEYRPFVRRLPEFLLWYATTKAFIVAFFMTFCSVFDVPVFWPILLCYWIVLFILTMKRQITHMIKYKYVPFNYGKMRYDQKKSAASSSGSPRD >itb06g20630.t2 pep chromosome:ASM357664v1:6:23545834:23547513:1 gene:itb06g20630 transcript:itb06g20630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVDDPGPLAPLVKWRSEFSKMFRYYLDRSAPYVVERWIGTLAAASIYVLRVYYVRGFHIISYGVGTYLLNLLIGFLSPKFDPELEALDGPSLHTRDSDEYRPFVRRLPEFLLWYATTKAFIVAFFMTFCSVFDVPVFWPILLCYWIVLFILTMKRQITHMIKYKYVPFNYGKMRYDQKKSAASSSGSPRD >itb06g20630.t3 pep chromosome:ASM357664v1:6:23545322:23547513:1 gene:itb06g20630 transcript:itb06g20630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVDDPGPLAPLVKWRSEFSKMFRYYLDRSAPYVVERWIGTLAAASIYVLRVYYVRGFHIISYGVGTYLLNLLIGFLSPKFDPELEALDGPSLHTRDSDEYRPFVRRLPEFLLWYATTKAFIVAFFMTFCSVFDVPVFWPILLCYWIVLFILTMKRQITHMIKYKYVPFNYGKMVRSPLPLMVCSVYNHMNLIVPYVQIFDRLFCFLKLNA >itb03g30020.t3 pep chromosome:ASM357664v1:3:31273986:31278524:1 gene:itb03g30020 transcript:itb03g30020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKIQARHSINHLSYFFQSTASNLHSLINANTPPSFPSPRPGTPILFSESTPHPPLPPLLHSSPFESADSNSSCPTPSSAINGMSSRSGSGFPAPVRISGLSSGGKGGPAFVGQVFSMCDLAGTGLMTVSTHFDVPFLSKRTPEWLKKTFAAVTKSEKNGPVFRFFMDLGDAVSYVKRLNIPSGVVGACRLDVAYEHFKKKPHLFQFVPNEKQVKEANKLLNTMPRNGRRKCVDGVPVFSARNLDIAIATKDGVKWYTPYFFDKSMLDNILEDSVDQHFHSLIRRRHFQRRRGVMDDDIGNIAADLVEEMDNSIWEPPEEQKLDNIWEPPEEQKLDSIWQSPEEQKSDSIWDPPELQEAMNEIFPDFPLMSAISKVAEIKLLDAVDEVVLGNRWLRKAIGVQPKFPYMVDSFERRSAASLQRACKSSNIDAISESDTQLKCIGSSADKMDSVPDKRRLDLHFPFSDSLSLPWLNQQQRQNNIADKKEVKGNDPYPSAFLPKITMVGVSMGGPGQMNKSTLKKTMEDLTKELERNDQRNLTGNSNDTLICEERDPLFLANIGDHLKTVQRKFFASQF >itb03g30020.t1 pep chromosome:ASM357664v1:3:31273986:31278517:1 gene:itb03g30020 transcript:itb03g30020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKIQARHSINHLSYFFQSTASNLHSLINANTPPSFPSPRPGTPILFSESTPHPPLPPLLHSSPFESADSNSSCPTPSSAINGMSSRSGSGFPAPVRISGLSSGGKGGPAFVGQVFSMCDLAGTGLMTVSTHFDVPFLSKRTPEWLKKTFAAVTKSEKNGPVFRFFMDLGDAVSYVKRLNIPSGVVGACRLDVAYEHFKKKPHLFQFVPNEKQVKEANKLLNTMPRNGRRKCVDGVPVFSARNLDIAIATKDGVKWYTPYFFDKSMLDNILEDSVDQHFHSLIRRRHFQRRRGVMDDDIGNIAADLVEEMDNSIWEPPEEQKLDNIWEPPEEQKLDSIWQSPEEQKSDSIWDPPELQEAMNEIFPDFPLMSAISKVAEIKLLDAVDEVVLGNRWLRKAIGVQPKFPYMVDSFERRSAASLQRACKSSNIDAISESDTQLKCIGSSADKMDSVPDKRRLDLHFPFSDSLSLPWLNQQQRQNNIADKKEVKGNDPYPSAFLPKITMVGVSMGGPGQMNKSTLKKTMEDLTKELERNDQRNLTGNSNDTLICEERDPLFLANIGDHLKTVQRKFFASQF >itb03g30020.t2 pep chromosome:ASM357664v1:3:31273986:31278524:1 gene:itb03g30020 transcript:itb03g30020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEKIQARHSINHLSYFFQSTASNLHSLINANTPPSFPSPRPGTPILFSESTPHPPLPPLLHSSPFESADSNSSCPTPSSAINGMSSRSGSGFPAPVRISGLSSGGKGGPAFVGQVFSMCDLAGTGLMTVSTHFDVPFLSKRTPEWLKKTFAAVTKSEKNGPVFRFFMDLGDAVSYVKRLNIPSGVVGACRLDVAYEHFKKKPHLFQFVPNEKQVKEANKLLNTMPRNGRRKCVDGVPVFSARNLDIAIATKDGVKWYTPYFFDKSMLDNILEDSVDQHFHSLIRRRHFQRRRGVMDDDIGNIAADLVEEMDNSIWEPPEEQKLDNIWEPPEEQKLDSIWQSPEEQKSDSIWDPPELQEAMNEIFPDFPLMSAISKVAEIKLLDAVDEVVLGNRWLRKAIGVQPKFPYMVDSFERRSAASLQRACKSSNIDAISESDTQLKCIGSSADKMDSVPDKRRLDLHFPFSDSLSLPWLNQQQRQNNIADKKEVKGNDPYPSAFLPKITMVGVSMGGPGQMNKSTLKKTMEDLTKELERNDQRNLTGNSNDTLICEERDPLFLANIGDHLKTVQRKFFASQF >itb06g22150.t1 pep chromosome:ASM357664v1:6:24544127:24544616:-1 gene:itb06g22150 transcript:itb06g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVVVLGVFVVQLIWSSSLYGHANAASPVKFLPGFQGPLPFHLETGYIGVGDVQYFYYFIKSESDPKSDPLMIWLSGGPGCSSLSGLIYDIGNSPNKLSSNGSFC >itb12g26900.t1 pep chromosome:ASM357664v1:12:27585374:27588421:-1 gene:itb12g26900 transcript:itb12g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFPVSKPTFFHVRCSSPIDSSLSSSPFKALKLPLFWPWQKVKVGPLSVSPMGFGTWAWGNQLLWGYEESMDTQLQETFNFAVQNGINLFDTADSYGTGKLNGQSEKLLGKFIRQFQGKKQVQNDIVIATKFAAYPWRLTPGQFVNACQSSLDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMYEKGLVRAVGVSNYGPKQLLKIHDYLESRGVPLSSAQVQFSLLSMGSDQMEIKDICDSLGIRVIAYSPLGLGMLTGKYGPSDLPKGPRGLLFSQILPGLKPLLDSLRDIAQRRRKTIPQVAINWCICKGTIPIPGVKSLKQAEENVGALGWQLSADEVVELENAALESPRKMIQNVFQTR >itb12g25440.t1 pep chromosome:ASM357664v1:12:26683834:26685427:-1 gene:itb12g25440 transcript:itb12g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHYHDSHVYQAHEDIKRRQLVAKPRRRNRAPNGLCLGVPLATQQDESIFCLPNNNNLNAVVAAVPTIYSAQGEFDYNYDQKMACFTESYVGPATPTICPPISAPQAAAFDVMNPCYSNQRTFALSSRHQISGLWT >itb11g11810.t1 pep chromosome:ASM357664v1:11:8688041:8693522:1 gene:itb11g11810 transcript:itb11g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASVAAARGVSLPVSSPQVSRKEWRVVSDPSETERSKFGQTDERLIYEVQQGRGPADVDFCSITVDGSLDNDPLQHRLSTVAKQREELQRMETELRAQLIAKSEILEMRNSFDAQVKEQANANAKLQEQLREREQKIYELERRMEEKERELHAIRLDNEAAWAKEDLLREQSKELQTYRRERDNSEAERTQYIKQIHELQEHIQEKERQFMELQEQNRIAQETIVYKDEQMREAQAWMGRVQEIDALQQAEIRERTEQCNQLWLGCQRQAAEMERLHLHIQQLQIELTEVRERNGTFSDGSQVSKANSKDATQIGKSANNQLYESGNDAPGGNSGNLPNGNDENSSSFAAVNVSTQSDHVHGLPLAPSSLLGMPTYIPPGQITALHPFVVHQQGIPPSVPQSHVVHFHSVPASAMPSLQQWPNQQVMSEGSQLSSHNQSPLQTDSTLLRSNSSYQYEASVNGNAIHSDYLDANISQEIEPKDSVAHSSEGGQQVPQSVDENYLPDPQTQQSVQHISSQFHDGLRLDPVEQNNEFQGKNVNSSPIPVLESQGLMTGEVSSAADKLPSNVPEPASNLNEKVTNSNSNAAIPETLVSMGQKNAYTAAKAVEPTLLDEQSLLACIVRTIPPGSSGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIDGDYIQLREGAQEIIAATAAVAKVAAATATPSLYPSRIHSVAVTPMAQSHRLKKTADDISQLMAMQSQHSNGAYSGGGGISNVKILAKPRGPMELNASETRDASSVPLAVGNGSSHGRTSLNAHGLQQGRITSVSSNSRR >itb11g11810.t2 pep chromosome:ASM357664v1:11:8688041:8693456:1 gene:itb11g11810 transcript:itb11g11810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASVAAARGVSLPVSSPQVSRKEWRVVSDPSETERSKFGQTDERLIYEVQQGRGPADVDFCSITVDGSLDNDPLQHRLSTVAKQREELQRMETELRAQLIAKSEILEMRNSFDAQVKEQANANAKLQEQLREREQKIYELERRMEEKERELHAIRLDNEAQAWAKEDLLREQSKELQTYRRERDNSEAERTQYIKQIHELQEHIQEKERQFMELQEQNRIAQETIVYKDEQMREAQAWMGRVQEIDALQQAEIRERTEQCNQLWLGCQRQAAEMERLHLHIQQLQIELTEVRERNGTFSDGSQVSKANSKDATQIGKSANNQLYESGNDAPGGNSGNLPNGNDENSSSFAAVNVSTQSDHVHGLPLAPSSLLGMPTYIPPGQITALHPFVVHQQGIPPSVPQSHVVHFHSVPASAMPSLQQWPNQQVMSEGSQLSSHNQSPLQTDSTLLRSNSSYQYEASVNGNAIHSDYLDANISQEIEPKDSVAHSSEGGQQVPQSVDENYLPDPQTQQSVQHISSQFHDGLRLDPVEQNNEFQGKNVNSSPIPVLESQGLMTGEVSSAADKLPSNVPEPASNLNEKVTNSNSNAAIPETLVSMGQKNAYTAAKAVEPTLLDEQSLLACIVRTIPPGSSGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIDGDYIQLREGAQEIIAATAAVAKVAAATATPSLYPSRIHSVAVTPMAQSHRLKKTADDISQLMAMQSQHSNGAYSGGGGISNVKILAKPRGPMELNASETRDASSVPLAVGNGSSHGRTSLNAHGLQQGRITSVSSNSRR >itb11g11810.t3 pep chromosome:ASM357664v1:11:8688048:8692992:1 gene:itb11g11810 transcript:itb11g11810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASVAAARGVSLPVSSPQVSRKEWRVVSDPSETERSKFGQTDERLIYEQGRGPADVDFCSITVDGSLDNDPLQHRLSTVAKQREELQRMETELRAQLIAKSEILEMRNSFDAQVKEQANANAKLQEQLREREQKIYELERRMEEKERELHAIRLDNEAQAWAKEDLLREQSKELQTYRRERDNSEAERTQYIKQIHELQEHIQEKERQFMELQEQNRIAQETIVYKDEQMREAQAWMGRVQEIDALQQAEIRERTEQCNQLWLGCQRQAAEMERLHLHIQQLQIELTEVRERNGTFSDGSQVSKANSKDATQIGKSANNQLYESGNDAPGGNSGNLPNGNDENSSSFAAVNVSTQSDHVHGLPLAPSSLLGMPTYIPPGQITALHPFVVHQQGIPPSVPQSHVVHFHSVPASAMPSLQQWPNQQVMSEGSQLSSHNQSPLQTDSTLLRSNSSYQYEASVNGNAIHSDYLDANISQEIEPKDSVAHSSEGGQQVPQSVDENYLPDPQTQQSVQHISSQFHDGLRLDPVEQNNEFQGKNVNSSPIPVLESQGLMTGEVSSAADKLPSNVPEPASNLNEKVTNSNSNAAIPETLVSMGQKNAYTAAKAVEPTLLDEQSLLACIVRTIPPGSSGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIDGDYIQLREGAQEIIAATAAVAKVAAATATPSLYPSRIHSVAVTPMAQSHRLKKTADDISQLMAMQSQHSNGAYSGGGGISNVKILAKPRGPMELNASETRDASSVPLAVGNGSSHGRTSLNAHGLQQGRITSVSSNSRR >itb11g11810.t4 pep chromosome:ASM357664v1:11:8688042:8693522:1 gene:itb11g11810 transcript:itb11g11810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASVAAARGVSLPVSSPQVSRKEWRVVSDPSETERSKFGQTDERLIYEQGRGPADVDFCSITVDGSLDNDPLQHRLSTVAKQREELQRMETELRAQLIAKSEILEMRNSFDAQVKEQANANAKLQEQLREREQKIYELERRMEEKERELHAIRLDNEAAWAKEDLLREQSKELQTYRRERDNSEAERTQYIKQIHELQEHIQEKERQFMELQEQNRIAQETIVYKDEQMREAQAWMGRVQEIDALQQAEIRERTEQCNQLWLGCQRQAAEMERLHLHIQQLQIELTEVRERNGTFSDGSQVSKANSKDATQIGKSANNQLYESGNDAPGGNSGNLPNGNDENSSSFAAVNVSTQSDHVHGLPLAPSSLLGMPTYIPPGQITALHPFVVHQQGIPPSVPQSHVVHFHSVPASAMPSLQQWPNQQVMSEGSQLSSHNQSPLQTDSTLLRSNSSYQYEASVNGNAIHSDYLDANISQEIEPKDSVAHSSEGGQQVPQSVDENYLPDPQTQQSVQHISSQFHDGLRLDPVEQNNEFQGKNVNSSPIPVLESQGLMTGEVSSAADKLPSNVPEPASNLNEKVTNSNSNAAIPETLVSMGQKNAYTAAKAVEPTLLDEQSLLACIVRTIPPGSSGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIDGDYIQLREGAQEIIAATAAVAKVAAATATPSLYPSRIHSVAVTPMAQSHRLKKTADDISQLMAMQSQHSNGAYSGGGGISNVKILAKPRGPMELNASETRDASSVPLAVGNGSSHGRTSLNAHGLQQGRITSVSSNSRR >itb01g09610.t1 pep chromosome:ASM357664v1:1:7867306:7871072:-1 gene:itb01g09610 transcript:itb01g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMNDDWLAAAMTDDAVVVDLLFRLKQSPFSEACTPPPPPAVTRLLHMRLPSPSWGHRQPRSKPTASRKEAAAAAAGSSARFSPTTPLSWSGGAASPSDGGFDESSRLASSSDLTSAFRSKVNEPSNFESSLEAQGCVRKKTFGELVEEENSLLKERVHLKRELASLHVTLNQQKARSQDLKRIKIDLNMQTACDRGVGPHAADESSTQCNNPMQVLSRQRHTADDEEEEEVVLSDSSQNKGGGFILPDLNMTPLEDEWN >itb01g09610.t2 pep chromosome:ASM357664v1:1:7867180:7871072:-1 gene:itb01g09610 transcript:itb01g09610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMNDDWLAAAMTDDAVVVDLLFRLKQSPFSEACTPPPPPAVTRLLHMRLPSPSWGHRQPRSKPTASRKEAAAAAAGSSARFSPTTPLSWSGGAASPSDGGFDESSRLASSSDLTSAFRSKVNEPSNFESSLEAQGCVRKKTFGELVEEENSLLKERVHLKRVTPFACLPNFYLRDMILGLV >itb01g03570.t2 pep chromosome:ASM357664v1:1:2334502:2338071:-1 gene:itb01g03570 transcript:itb01g03570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGEVSETKLVAESGNEGEGEKKVPRNMSESSNYTTEDEEDEDTESKIELGPQVSLKDQIEKDKDDESLRRWKEQLLGSVDINSVGESLDPEVKILSLAIKSPDRADIILPIPEDGNPSGPWFTLKEGSRYSLTFTFQVSNNIVSGLKYTNAVWKTGIKVDGTKQMLGTFSPQPEPYKHEMTEETTPSGMFARGSYTAKSKFVDDDNKCYLEINYTFEIKKDWPAT >itb01g03570.t3 pep chromosome:ASM357664v1:1:2334502:2338071:-1 gene:itb01g03570 transcript:itb01g03570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVGVASSSGSMGEGAGEVSETKLVAESGNEGEGEKKVPRNMSESSNYTTEDEEDEDTESKIELGPQVSLKDQIEKDKDDESLRRWKEQLLGSVDINSVGESLDPEVKILSLAIKSPDRADIILPIPEDGNPSGPWFTLKEGSRYSLTFTFQVSNNIVSGLKYTNAVWKTGIKVDGTKQMLGTFSPQPEPYKHEMTEETTPSGMFARGSYTAKSKFVDDDNKCYLEINYTFEIKKDWPAT >itb01g03570.t4 pep chromosome:ASM357664v1:1:2334502:2338071:-1 gene:itb01g03570 transcript:itb01g03570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGEVSETKLVAESGNEGEGEKKVPRNMSESSNYTTEDEEDEDTESKIELGPQVSLKDQIEKDKDDESLRRWKEQLLGSVDINSVGESLDPEVKILSLAIKSPDRADIILPIPEDGNPSGPWFTLKEGSRYSLTFTFQVSNNIVSGLKYTNAVWKTGIKVDGTKQMLGTFSPQPEPYKHEMTEETTPSGMFARGSYTAKSKFVDDDNKCYLEINYTFEIKKDWPAT >itb01g03570.t1 pep chromosome:ASM357664v1:1:2334502:2338071:-1 gene:itb01g03570 transcript:itb01g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGEVSETKLVAESGNEGEGEKKVPRNMSESSNYTTEDEEDEDTESKIELGPQVSLKDQIEKDKDDESLRRWKEQLLGSVDINSVGESLDPEVKILSLAIKSPDRADIILPIPEDGNPSGPWFTLKEGSRYSLTFTFQVSNNIVSGLKYTNAVWKTGIKVDGTKQMLGTFSPQPEPYKHEMTEETTPSGMFARGSYTAKSKFVDDDNKCYLEINYTFEIKKDWPAT >itb01g03570.t5 pep chromosome:ASM357664v1:1:2334502:2338005:-1 gene:itb01g03570 transcript:itb01g03570.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCVMCSPPNPRLSFLQTFMSRTPLSGSMGEGAGEVSETKLVAESGNEGEGEKKVPRNMSESSNYTTEDEEDEDTESKIELGPQVSLKDQIEKDKDDESLRRWKEQLLGSVDINSVGESLDPEVKILSLAIKSPDRADIILPIPEDGNPSGPWFTLKEGSRYSLTFTFQVSNNIVSGLKYTNAVWKTGIKVDGTKQMLGTFSPQPEPYKHEMTEETTPSGMFARGSYTAKSKFVDDDNKCYLEINYTFEIKKDWPAT >itb05g02560.t1 pep chromosome:ASM357664v1:5:2042043:2045892:1 gene:itb05g02560 transcript:itb05g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSEENQTQSIHSASGGGGGGDEDDYEEIDEDEEEDEDEAEAVSEESRMRADKSRMESLFRRLSSERVPVRVHDVLIKGNSKTKDSLIEAEVEFLKSATTLQQLLQASGIANARLQRLEIFDSVNITLDAGPPELPGTANVIVEVIEAENPITGSIGMFSKPEARSWSLEGSLKLKNIFGYGDLWDGSLAYGWDQSSEISAGVSLPRFKRLITPVTARLSLLSQDWLKFSSYKERALGLSLGLLSSKNHDLAYNISWRTLTDPSQMASRTVRRQLGHGLLSVLKYTFKIDRRNSPLRPTEGYAFVSTSQISGLVPDYRGLRFLRQEFDFRYALPFGFYNAALNIGISAGALFPWGTGFMNMPSYLPDRFFLGGNTSPVCSLGGPTSIFGFKSRGLGPAEPRRLVREGGNDESSDSSGMDFVGGDLAVTAFADLSFDLPLKILREAGIHGHAFACTGSLNKLTENAFRDLSYQKFRESFRASAGFGVIVPTKLFRMEINYCYILKQEEHDRGKTGLQFSFSSSY >itb03g24930.t1 pep chromosome:ASM357664v1:3:23810898:23815548:1 gene:itb03g24930 transcript:itb03g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISREYTGGKDKGTISGAITGQCNLNNNNRDNNVVYNNQNKEVENVSVVRNNRRPNQAEDCRIVVRGWAEGRSVEWMVVNTNDTDAYNMGIMDLECMEHNGDPPDNMIERYDENLQAGGVTTVDVCWLPLVWARDRILIQDFIGEDITSEEYKTVADYWNVNKGWDRQKLHGKIPDEILEELDYFMIDNSPNGKDEIFWDKNGSGLFSVASAYQVARGDSSHEKDKCWMEIWKQKVPNKIYVFLRLLKHQRILCNAKRKKRGFTVIGDCMHCPGVEECADHIVRKCRKAREIWNCFVPIWQTEKWDRLSFEDWLTCNIKGEFGNPNKGNWSAFFSLTTSWLWRWRNAAVFSKDNWDIHKKVGFLKEKEMETHEAFKINNRDDVRKSPTGNFSIPLQEWCEA >itb04g15980.t1 pep chromosome:ASM357664v1:4:17264220:17265788:1 gene:itb04g15980 transcript:itb04g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLELLEQVVSCLWCQKGNIDKSGKDGLDLPCDTVDLVKVNTRNEKIKTDSGSDEHNSLVEVDTSKHVEVLGGDVQDAETVNAEGSPNGPANSKEHIIDSLRINFGVDILRSPIGNDRVIFWAKQVLAVVCGLRRTLAAASALTN >itb05g19180.t1 pep chromosome:ASM357664v1:5:25748800:25750063:-1 gene:itb05g19180 transcript:itb05g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAESLFAPAVTTFSNPKPAGRVCFSYAAYAKNIIDHLRSLDIPVEGGLSDAEISAVESNFGFSFPPDLSSILREGLPVGPGFPNWRSASAQQLEVQTNLPVLGLCKEISRRKFWIDSWGKRPEDSDKAVVLAKRFLRMVPILVPIYRHFYIPSAPCLAGNPVFYVHGGEVKVWSFDLAGFFQRVEFTRRDEAALRLPSLSNLLNAPAWAATEARRIEFWTELVEAAAAACGGGGGDGPRWWGEDLDGCLEEVARRLRNGGWKEEDVREMMMMDGGDQGEDLDHDHDKMNGGMITDREGVAWRVRSLSKTLLRAGWSTEDVVDSLGSPDDDFPDLQRSCFDFHYTAGGDFD >itb10g24550.t1 pep chromosome:ASM357664v1:10:28300209:28301144:-1 gene:itb10g24550 transcript:itb10g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQAFLLLAAVFTSMAAVAMSSRPNFGNWGNWKPPGAGGFNKTNCPFTHPNTTHTSNEITVGGPAHWQFGVNYIDWVAKNGPFFVNDTLVFKFDPPNATTHAHSVVLLPNLRAWMKCDVSKGKKLATPTQGGGEGFKYVLKKWQPYYFACGEKEGFHCNNGTMKFAVMPMMGRFH >itb11g10430.t1 pep chromosome:ASM357664v1:11:7344282:7346834:-1 gene:itb11g10430 transcript:itb11g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTPLKLPVIDFTKEDMKPGTDSWVSARRESVSALEEYGCFVALYDKVSSEVHERVFKGLVELFDLPTNVKVQNKSTKPLYGYVGQIPIVPLYESMGIDHANTLQGIQSFTKLMWPHGNHDFSEAMLVYSKVAAELEEMVVRMVFESYGVEKYYESHVKSACYLARVMKYREAQENEPKLGFVSHTDKSFMSTIYQNCNINGLEIKTKNGDWFGVQLSPSSIVVMAGDAIMAWSNNRIKSPHHRVMMEGKGPRYSIAQFSFMEKTMIQTPTELVDDDHPLQFKPFDHLHYLDFFSKEENRRLPCALKTYCGV >itb01g24480.t1 pep chromosome:ASM357664v1:1:30118062:30119561:-1 gene:itb01g24480 transcript:itb01g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPENTPEIFDYYNNNYYYCSSLAGNNGGGLPATYEIPPPSNHESFCSSGFYHTELPETVSDSTPEARALAASKNHREAERRRRERINSHLDCLRTLLPCNSRTDKASLLAKVVERVRELKEEASELKEIETGIPSESDEITLLLSSDDECAGDGRLVIKASLCCEDRLDLIPDLIHTLKSLRLSPLRAEMVTLGGRIRNVFILAGDLKDHACADESILFLRNALKSVVERSACGAGGERSKRRRMIDHGVIN >itb02g02960.t1 pep chromosome:ASM357664v1:2:1705909:1709422:-1 gene:itb02g02960 transcript:itb02g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVAFITFLASPVGGQNASAVKVDVGVILDWDTISGKMRNVCMSLSLSYFYANRNHTTQIVPHFRDSKSDDVEAASAAIDLLKNAEVQAILGPQASTEADFVTDIGKKVKVPIISPALSPALSPSQNPYFVRVAYCSCWQAKAIAAIIKAFGWREVVFIYEDSIFGGGILPHLTNAMMEIGVSVPDQSVLSPLADDDQIMVELLKLKTKQTRVFIVHLRHTFASKFFKKVKEAGMMSSGYVWIITDAFTSLLVSMDPSVLDSLQGVIGVKPYVPSSPELDSFTRRWKSKTFRQQHPDMDGVELNVFGLWAYDSVTALATALEKVGTSGLKFNRTINRESSTDLGAIGTSEFGPLLLESIRNIKIRGLSGDFHIVDGELQPSAFQIVNVIGREKGIGFWTEKYGISKIPNPNHTTVYSANKNDLGAAIWPGDTTAVPRGWEIPTGEEKLRVGVPVRGGIEQFINTTIDNKTNTVKEATGFCIDVFEQVMKSMPYFIPFEYIHYNIPPGENLPNFNDLVDEVFDGKLDAAVGDITILSNRSEHVDFTLPYTESGVTLVVPVKQDGRSWIFLKPLDKKLWMMIAAFFVLIGTVVWVFEHQVNKDFEGSIQKKLGMIFWFSFSSPVSPDRASVKSNLSRFMIIVWTLVVLVLISSYTASLASMLTVQQLQPTVTDVKDLIKNGKYVGCQDGSFVAGMLKTVFGITKVRHYSSLEEYDEALTKGSENGGVDAIMDELPYLRIFLLKYHRKYTTVGPTYHTAGFGFAFPRGSPLVPDVSRAILRVTESEAMIRILEKWFGVETYRSLQDGSLNDPESLPLDRFKELFLIAGGSILLALIIFFVSFINENKATLAPDMSIWEKLSALAKAFLEKEEDSSRESQKPNEEGNQGVVSSAESVPEHHCPPNSENAESPEQGGLTQDAGSNTSESRPPVHEA >itb07g24560.t7 pep chromosome:ASM357664v1:7:28809595:28811567:1 gene:itb07g24560 transcript:itb07g24560.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQVSLKLQMNN >itb07g24560.t1 pep chromosome:ASM357664v1:7:28809581:28812417:1 gene:itb07g24560 transcript:itb07g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQFVDEEAEKYGAEVEKAVASFRLM >itb07g24560.t2 pep chromosome:ASM357664v1:7:28809581:28812417:1 gene:itb07g24560 transcript:itb07g24560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQFVDEEAEKYGAEVEKAVASFRLM >itb07g24560.t6 pep chromosome:ASM357664v1:7:28809601:28812413:1 gene:itb07g24560 transcript:itb07g24560.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQFVDEEAEKYGAEVEKAVASFRLM >itb07g24560.t4 pep chromosome:ASM357664v1:7:28809581:28812417:1 gene:itb07g24560 transcript:itb07g24560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQFVDEEAEKYGAEVEKAVASFRLM >itb07g24560.t5 pep chromosome:ASM357664v1:7:28809601:28812413:1 gene:itb07g24560 transcript:itb07g24560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQFVDEEAEKYGAEVEKAVASFRLM >itb07g24560.t3 pep chromosome:ASM357664v1:7:28809581:28812417:1 gene:itb07g24560 transcript:itb07g24560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATSLSSSLLHSQCGSFLATAVVSRSATATATRLHPKTKSLNNTVNSYISCCNNPGKPREQSLSKRRELLLQAGIVAFSLSAFPSVAFAADNVAVKESPRVYSDDVNKFKILIPADWEVGTGEGDGVRSLIAFYPQEASNSNVSIVITSLGADFTRLESFGKVDEFAENLVSGLDRSWKRPPGVAAKLIDSKSANGLYYIDYTLQNPGQSLRHLFTVLGIANNGFYNRLYTITGQFVDEEAEKYGAEVEKAVASFRLM >itb09g24620.t1 pep chromosome:ASM357664v1:9:24429696:24431704:1 gene:itb09g24620 transcript:itb09g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLNARDNVSTQKYKSPTRGKATILALGKAFPSQLVPQDCLVEGYIRDTKCDDLAIKEKLERLCKTTTVKTRYTVMCKDVLEKYPELATEGSPTITQRLEVANPAVIAMAKEASLACIKDWGRPVEEITHVVYVSSSEIRLPGGDLYLATELGLRSDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIVGAHPREDLENPFMELSFAAQQFLPGTQSVIDGRISEEGINFKLGRDLPEKIEHNIEEFCKKLMGKANNNNNPNLSYNDLFWAVHPGGPAILKRLEGTLGLRTEKLECSRRALMDFGNVSSNTIFYVLEYMRDELKRKSEEDNEEWGLALAFGPGITFEGILLRNLH >itb08g09180.t1 pep chromosome:ASM357664v1:8:8424643:8427481:1 gene:itb08g09180 transcript:itb08g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALIALFTFSVLLLSVVVWVYAKSISNKKAAPLPPGPRGFPVVGYLPFLRPNLHHHFTDLARKYGPIFKLQLGSRLVVVLNSPSIAKQVVRDHDAVFANRDPPIAAIVGTYGCRDIAFAPTGTYWREIRKLFVREMLSSANLRACYEHRREEVRKAIRSVRSRAGEPVNIGELASSTEINVVARMIWGSTLGSDEAKIDEIGAEFREIVGKFMAMVGEINISDFFPWLARLDLQRVQARMEDMVKVVDNIFDPIIKEGVRIVCEKSGSTTKDDEKKDFLQILLELKNHDDNAGKSLDFQAIKAILLDIVVGGTDSTSTMVEWVMTALLDNPEIMEKVQKELEEIVGMTSILEEVHLPKLKYLDAVVKETFRLYPALPLLVPKCPSQTTEVAGYTIPKDTWVFLNMYAIHRDPKLWVNPLQFSPERFLNQTFGFDCTGNDHRFLPFGSGRRICAGIPLAEKMLIYILGSLLHSFDWHIPEGEKLDLSDGFGLVIKKNTPLIAIPTPRLFNSELYQ >itb04g13600.t1 pep chromosome:ASM357664v1:4:13700718:13701155:-1 gene:itb04g13600 transcript:itb04g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEYTYVSTRWNPTPEQLQILRDLYHNHGMRNPSQQGIRGITQRLSQYGKIEEKNVFYWFQNQKGRERQQKKRLAKLATEAAGPQDLQQQATVGGLGGHAAKHPMLETLPLFPIQSDRAGKSKADTSTSQPSLELTLRPYSPAP >itb09g19370.t1 pep chromosome:ASM357664v1:9:15574872:15579544:1 gene:itb09g19370 transcript:itb09g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTEQLLNFDYGVEENEHRRAEPTLAARQILPGTGNTSDGSLWSSSRWFAVGGNDFWFAVGGDCFWFAVDGDGLWFAVDGDGFWFAVDGDGFWFAVDGNERKNGKKRRDTRVGDRGGLLAAASCPG >itb13g17190.t1 pep chromosome:ASM357664v1:13:24089647:24091046:-1 gene:itb13g17190 transcript:itb13g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALISRIVDLRTRASSSIRISSHFVQYTPFSSLSRTLKSKPNDADDKDSFVVSYLIDKLGFSPEEAVSASKYLNFKTPDKPDSVVSFLKDHGFTDRQILNVVKKVPSLIVSDPKRTLLPKIEFSRTLGFSQDTVTTILSASPTLFKSSVDNCLVPALNLLRTMIPEEQALMTSLKSCIRLLTHDLKVNWEPNIQLLRETGVPESNIVYLLKYQPRAFLYDRDKFRKLVEKVKELEVDRKHVSFVIVLKAMRMGRLTWEKKMEIFKNAGMSEDEVLESFRKYPWLMMISQEKLVRLLDFLVNKMGLESSILLRRPQLSSFSLEKRIIPRCVVYKALVERGLVKEDGSLLLHILNATEHKFLQKFVKCHGELAPILLKIYQNSQEVGFSQLS >itb14g00110.t2 pep chromosome:ASM357664v1:14:72927:85389:1 gene:itb14g00110 transcript:itb14g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MELPVPISTRLQSTSRIPFRIGLVNFRSPNNDNRRTRLGCNTAPVRAELSSDVSHKSGDSEKSVVSSVNSNCKGSSLKGEEGEGLFHRNVWEETDFVEVIGIGSRKDAILDFCLASSSRFPALRICYMLCRCLCRNILVKDSGKVLLQQRFLTEDISARVVEVPLSLSSCSKAIILVASAAYGEDIIPSLDILTRVRSADGLVIGIILKPFSFEGQRRKDEVNELVDELQKQANFCIVIDTDALLAKDLLTLDEALKTSYNAVLMATNAISVLVSENHIKFRDLPSNCCELLEVPQLKNILEIYREAKIGYGAGYNIKTSILRATYDCPFLGVSLKELDGVILCVIASSNALDSSNVHTICHTFRQTTGWKGDIVISIVYEPNVEANLILTTIIMCGCVEQQPSHRNSLLSRLAQHFPFIFNILKKPDSPLYSHTKERYSLGNPQISDASNLQCTGEMLDMLSVDGTRPMDSGAEDASLYDEQLQEIFNTGGETLLSKGCEVGPEQSKTDISEADFSFNDAVDVEGVPTFRRELLTRQNLHPGFQIPQDWGKEGTDDMETYLADNVSTFKLPVGVKQLEQSKNGSTTSNSWNWAEWMTEEIKRAQTQDTKDVPWDRVNSDSEAKLEMDNHASRVTQADQSNFSKKKGVLSIRAASMLESERASQKKWVPVVEMKYRGGIYRGHIQGGLPEGKGHLSLRDGSVYDGMWRYGKRSGLGTFYFSNGDIYRGLWRDDVMHGKGWFYFHTGDRWFVNFWKGKANGEGRFYYKHGDISFGHFKDGWRHGQFLHINIDGTRCLEVWDEGTLESRKNSDSDIPAG >itb14g00110.t1 pep chromosome:ASM357664v1:14:72849:85576:1 gene:itb14g00110 transcript:itb14g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MELPVPISTRLQSTSRIPFRIGLVNFRSPNNDNRRTRLGCNTAPVRAELSSDVSHKSGDSEKSVVSSVNSNCKGSSLKGEEGEGLFHRNVWEETDFVEVIGIGSRKDAILDFCLASSSRFPALRIWNILVKDSGKVLLQQRFLTEDISARVVEVPLSLSSCSKAIILVASAAYGEDIIPSLDILTRVRSADGLVIGIILKPFSFEGQRRKDEVNELVDELQKQANFCIVIDTDALLAKDLLTLDEALKTSYNAVLMATNAISVLVSENHIKFRDLPSNCCELLEVPQLKNILEIYREAKIGYGAGYNIKTSILRATYDCPFLGVSLKELDGVILCVIASSNALDSSNVHTICHTFRQTTGWKGDIVISIVYEPNVEANLILTTIIMCGCVEQQPSHRNSLLSRLAQHFPFIFNILKKPDSPLYSHTKERYSLGNPQISDASNLQCTGEMLDMLSVDGTRPMDSGAEDASLYDEQLQEIFNTGGETLLSKGCEVGPEQSKTDISEADFSFNDAVDVEGVPTFRRELLTRQNLHPGFQIPQDWGKEGTDDMETYLADNVSTFKLPVGVKQLEQSKNGSTTSNSWNWAEWMTEEIKRAQTQDTKDVPWDRVNSDSEAKLEMDNHASRVTQADQSNFSKKKGVLSIRAASMLESERASQKKWVPVVEMKYRGGIYRGHIQGGLPEGKGHLSLRDGSVYDGMWRYGKRSGLGTFYFSNGDIYRGLWRDDVMHGKGWFYFHTGDRWFVNFWKGKANGEGRFYYKHGDISFGHFKDGWRHGQFLHINIDGTRCLEVWDEGTLESRKNSDSDIPAG >itb15g01260.t1 pep chromosome:ASM357664v1:15:774291:777083:-1 gene:itb15g01260 transcript:itb15g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFKYVILGGGVSAGYAAREFANQGVQPGELAIISRESVAPYERAALSKGYLFPEGASRQRLPSFHVCAGAGWEILLPEWYEEKGISLIMNTEIVEADVASKTLISAAGEAFKYNVLIIATGSTVLRLSDCGLRGANAKNIFYLRDIDEAEQISQAIDAKKTGKAVVIGGGYIAVEISASLSMNNLDVTMVFPEPWCMPRLFTAGIAAFYEGYYKNKGIKIIKGTVAIGFEAHPNGEVKNVLLADGRALEADIVAVGVGAMPTTTLFYWQLKEETDAFLRTNVPDVYAIGDVSSFHCKIYDDVRRVEHVDHARRSAEQAVKAIYASEQGTTIEEYDYLPFFYSKTFDLSWQFYGDNVGETVLFGDPDPKSPTHKFGQYWIDNGKVVGVFLESGTVEETEAIALVARVQPAALSMDEMAKQGIYFALGISGHSGVSITVKGLKKTHSGLPYSP >itb01g03990.t1 pep chromosome:ASM357664v1:1:2636303:2637157:-1 gene:itb01g03990 transcript:itb01g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALPSILLILALAFTVATTVVANHPSPPSTEAIVMKKCREVLGPRRLIVTFCAHNLLGHRAALLATCERRKTVAVVIKEVHNKAKAFEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEEPSKESIMMLKRSIPGEIAKTKEKCDSTAPGRQNGLWLELRIKELESITADIVASAFVNNLYSTTH >itb01g34880.t1 pep chromosome:ASM357664v1:1:37349434:37351077:-1 gene:itb01g34880 transcript:itb01g34880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFSLGGGPGNKDPQVQEQGEDQNLGATNNNNSSLYLFKNEEIYNKGFELWQQYYHMHQQRAHHVHHHHPHLVDFSVGVGAAAPPNNPAAAGGGGSSSSSGNLVGDDQNQSAGAGYSFRSSSSGFRVMRPSSGGASGGVNCQDCGNQAKKDCTHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQHLASLQQQNLSLGAAAADNAKRQRESPSSLVCTRLPTTSSGLEVGTHYPAEVNSPAVFRCVKVSAMDDEVDQYAYQTAVSIGGHVFKGILYDQGPENRYNSSSVPGESSSAAAQHHQPLNLISGATASHHQHQQPAITMLDPSLYPTPLTAIMAGTQFFPPPRP >itb02g11120.t1 pep chromosome:ASM357664v1:2:7261956:7266303:1 gene:itb02g11120 transcript:itb02g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFLFIVNAKRIAHSQLATLTNSRLEVWSPCSIGLPYIFSKLSAECRVFHRGFASCTSYNSKAVFWRSRGLQNSIFTTNNKVSHHAQMVWKSLFQMHSCCGAIIPPLSRIACAISLALSRSHLVSPGILAFLIGKFTWKQSLYAEAECFPIKESLYTQAKDGHIYLTSFVFSLLESFILLLRAVYLTILFSPCVLTAPVANILDIEYRKKWLRLVRTTLEKAGPAFIKWGQWAATRPDLFPNDLCTELAELHTKAPAHSFSYTKRTIEKAFGRKLPEIFEQFEEHPVASGSIAQVHRAILKFQYPRKPVKPMMVAVKVRHPGVGEAIRRDFVLINLLGQVSKFIPTLKWLRLDESIQQFAVFMMSQVDLSREAANLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETFEHGDNVLHYVDGLEGQEHIKSSLAHIGTHALLKMLLVDNFIHADMHPGSSHIAGIL >itb02g11120.t3 pep chromosome:ASM357664v1:2:7261966:7266295:1 gene:itb02g11120 transcript:itb02g11120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFLFIVNAKRIAHSQLATLTNSRLEVWSPCSIGLPYIFSKLSAECRVFHRGFASCTSYNSKAVFWRSRGLQNSIFTTNNKVSHHAQMVWKSLFQMHSCCGAIIPPLSRIACAISLALSRSHLVSPGILAFLIGKFTWKQSLYAEAECFPIKESLYTQAKDGHIYLTSFVFSLLESFILLLRAVYLTILFSPCVLTAPVANILDIEYRKKWLRLVRTTLEKAGPAFIKWGQWAATRPDLFPNDLCTELAELHTKAPAHSFSYTKRTIEKAFGRKLPEIFEQFEEHPVASGSIAQVHRAILKFQYPRKPVKPMMVAVKVRHPGVGEAIRRDFVLINLLGQVSKFIPTLKWLRLDESIQQFAVFMMSQVDLSREAANLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETFEHGDNVLHYVDGLEGQEHIKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRQKAPSKGMFKSKPHVIFLDVGMTAELSNKDRLTLLEFFKAVALRDGRTAAECTLRLSKQQKCPSPEAFVQEVENIFNFWGTAEGGNFHPADCMHHLLEQVRRHRVNIDGNVCTVIVTTLVLEGIEE >itb02g11120.t4 pep chromosome:ASM357664v1:2:7261956:7266303:1 gene:itb02g11120 transcript:itb02g11120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFLFIVNAKRIAHSQLATLTNSRLEVWSPCSIGLPYIFSKLSAECRVFHRGFASCTSYNSKAVFWRSRGLQNSIFTTNNKVSHHAQMVWKSLFQMHSCCGAIIPPLSRIACAISLALSRSHLVSPGILAFLIGKFTWKQSLYAEAECFPIKESLYTQAKDGHIYLTSFVFSLLESFILLLRAVYLTILFSPCVLTAPVANILDIEYRKKWLRLVRTTLEKAGPAFIKWGQWAATRPDLFPNDLCTELAELHTKAPAHSFSYTKRTIEKAFGRKLPEIFEQFEEHPVASGSIAQVHRAILKFQYPRKPVKPMMVAVKVRHPGVGEAIRRDFVLINLLGQVSKFIPTLKWLRWKDVSFPKPLYPLVHPAVLVETFEHGDNVLHYVDGLEGQEHIKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRQKAPSKGMFKSKPHVIFLDVGMTAELSNKDRLTLLEFFKAVALRDGRTAAECTLRLSKQQKCPSPEAFVQEVENIFNFWGTAEGGNFHPADCMHHLLEQVRRHRVNIDGNVCTVIVTTLVLEGWQRKLDPEYDVLQTLQTLLFKVDWAESLYYTLEGLMAP >itb02g11120.t2 pep chromosome:ASM357664v1:2:7261956:7266303:1 gene:itb02g11120 transcript:itb02g11120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFLFIVNAKRIAHSQLATLTNSRLEVWSPCSIGLPYIFSKLSAECRVFHRGFASCTSYNSKAVFWRSRGLQNSIFTTNNKVSHHAQMVWKSLFQMHSCCGAIIPPLSRIACAISLALSRSHLVSPGILAFLIGKFTWKQSLYAEAECFPIKESLYTQAKDGHIYLTSFVFSLLESFILLLRAVYLTILFSPCVLTAPVANILDIEYRKKWLRLVRTTLEKAGPAFIKWGQWAATRPDLFPNDLCTELAELHTKAPAHSFSYTKRTIEKAFGRKLPEIFEQFEEHPVASGSIAQVHRAILKFQYPRKPVKPMMVAVKVRHPGVGEAIRRDFVLINLLGQVSKFIPTLKWLRLDESIQQFAVFMMSQVDLSREAANLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETFEHGDNVLHYVDGLEGQEHIKSSLAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRQKAPSKGMFKSKPHVIFLDVGMTAELSNKDRLTLLEFFKAVALRDGRTAAECTLRLSKQQKCPSPEAFVQEVENIFNFWGTAEGGNFHPADCMHHLLEQVRRHRVNIDGNVCTVIVTTLVLEGWQRKLDPEYDVLQTLQTLLFKVDWAESLYYTLEGLMAP >itb02g23880.t1 pep chromosome:ASM357664v1:2:24171941:24173127:-1 gene:itb02g23880 transcript:itb02g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIPESISNSSKLIHIELSYNNFSGSIPRSLGNLRYLQLLSMQNNTLHYEPSVEEPSIIDFLTKFRYLRELRITFNPLGGALSTSVGNLSSALEIFVAAGCGLKGNIPTQIGNLRNLIELTLSFNDLFGFIPTTLDRLQQLQKLELGNNKLRGPLPTSLCRLRNLGLLSLSFNQMSDGIPECFSNLSFLRELYIDHNGFSFGIPQSFWDLKGLLTVDMSANSLNGSLSVDLVKFEDVIHLDLSNNQFSGNIPPAVTEMQNLEFLQLANNKLQGPIPEFKEPTIALEFLDISHNTLTGVIPKSLENLKSLKYLNLSFNNLSGEIPSGGCFQNLTRQSFMFNEALCGSPRSPEFIVLHRYCILVGEKI >itb02g09430.t1 pep chromosome:ASM357664v1:2:6020967:6022623:-1 gene:itb02g09430 transcript:itb02g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALISSSSITSSAEVARQVLGGRPLQSSRKVSFVVRASSSTPPVKQGADRPLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPAETALPWFKTGVIPPAGTYNYWADPYTLFVLEMALMGFAEHRRFQDWAKPGSMGKQYFLGLEKGLGGSGDPAYPGGPLFNPLGFGKDEKSMKDLKLKEVKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPVNNNILTNLKFH >itb01g10230.t1 pep chromosome:ASM357664v1:1:8526362:8530008:1 gene:itb01g10230 transcript:itb01g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAEKTTLMILNVDLQCSSCYKKVKKVICKFPQIKDRKFDEKANKVFITVVCCSPEKIRDKLCYKGCGVIKSIEIVPPPNVEKSKPTRNKSKEPVKLETPKPKIQESEPAAQPPYRFCSEGIPGGPPPPPPPPQPCYEPFFGCRCGQYRCYYGCRCVCYYGCRCGCNNYYCDLDNSSCSIM >itb11g16380.t1 pep chromosome:ASM357664v1:11:14438321:14440502:1 gene:itb11g16380 transcript:itb11g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSTSSEKNDYLAAVLDAARPFLRGELEKVDEKLPSLVAVLRSVGAGECWHKHGSFLEHLVDIYRILKLWKAPDSVCLCGLFHSAYSNSYVNLAIFDPSTGRDTVRAHVGDAAERLIHLFCVVPRQPLIHDDLLFKYTDSEITQHLALSHASLNNAKEKGVFNEDETWRKKLQSVLPASGITVKHIKTGENIAISRRIVAIFLLITMADFSDQLFGFQDMLFENSNGRLEFSGNDVQSGLWPGDGKPGLWVNSVSRMGGIYQLIAREEEIYMEQRRRESGITFESERDEEIELVIPPVFDFCTRIVDAGDQISGRDLYWEAVCEGAKKGMDWAEERLRKSIEKNPFVGEAHVVLGQIYVGQGRFEEGKREAENGLTLILEWGSPWDKRMSWEGWVSWARVLVMKAQQKSWPQHAWGILNLGLVR >itb12g04740.t1 pep chromosome:ASM357664v1:12:3134625:3136944:-1 gene:itb12g04740 transcript:itb12g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLTLLVSVLSVSLCLFCASAQLRQNYYSNVCPNVENIVKNVVTQKFQQTFVTVPATLRLFFHDCFVEGCDASVVVASTAGNTAEKDHPDNLSLAGDGFDTVIKAKAAVDAIPSCKNKVSCADILAIATRDVISLAGGPWYPVELGRLDGLVSKAANVEGRLPKPTFNLNQLNSMFASHGLSQTDMIALSAAHTLGFSHCNRFSNRIYNFSPKSPVDPTLNKQYASQLQANCPRNVNPLIAINMDPVTPRTFDNVYFKNLQKGMGLFTSDQVLYTDSRSKSTVDLWANNPKAFQNAFKVAITKLGRVGVKTGRNGNIRFDCGRFN >itb09g06850.t1 pep chromosome:ASM357664v1:9:3938696:3943468:-1 gene:itb09g06850 transcript:itb09g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKCVVFLYLSSLIILLSTAQPELRYSICGASEKNYTQNSIYHTNLNTALSSLSSNLNQYGFSNVSMGENPDRVSAVALCRGDVEPDICRSCVEDTRRKTLQTCPNQKEAFGGYDECMIRYSNVSTLGSWSRLPGIYLWNPNNSSSPDQFNQDLRTLLDDLRGRAANGFPLRKFAANNTGGPDFRTIFAAVQCSPDLAAPNCFDCLTSAFEDYAGCEQCKGKKGGRVVRPSCNFRFETERFFNFTLIGSPPPQPGNEAPPPKSEIEAPPPKSGKNDNTVRTIIIIVVPIVTIIILTLCVCIILKKRQKRKLMSDMDVVDEISSVDDISTVESLQFNFGAIKTATNNFSDSNKLGQGGFGPVYKGKLPNGREIAVKRLSVNSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGREKLLIYEFVPNTSLDHFLFDSVKRENLDWETRYKIIGGISRGLLYLHEDSRLRIIHRDLKASNVLLDTEMNPKISDFGMAKLFEIDESEGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEIISGQKNSCFRNGESVQDLLSYAWTQWREGTAMNLVDPILKRKSGAGSSVGEMMRCIHLALLCVQENVGDRPTMSTVVLMLSGFSMSLPVPSSPAFFMHSTISPEATLELNEVAAAAAYSSQNEASITELYPR >itb01g18690.t1 pep chromosome:ASM357664v1:1:24318235:24318582:-1 gene:itb01g18690 transcript:itb01g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEVDVRRPPGNNMPVVRGIDDTAVVGGGARQPVAEDNIRAGKDTDAALRVVEVVNSMRVAAEDIDVALPVVDDTAAAAAADDNIRAADAVEAVGYNIQTDEVAAAADRYTLPF >itb15g05190.t1 pep chromosome:ASM357664v1:15:3327762:3343437:1 gene:itb15g05190 transcript:itb15g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEVLCERLYNSQDSAERAHAENTLKCFSLNSSYISQCQYILDNASTPYALMLASSSLLKQVTEQHLSLQLRLDIRNYIIKYLATRGPDLEPFVTASLIQLFCRVTKYGWFEEDSFRDVVKESTNFLNQTPLHYAIGLKILNQLISEMNQPSPGLPSAQHRRVTCSFRDQSLLQVFQISLTSLSQLKNDVGGKLQELAIALSLKCLSFDFMGTTVDESSEDFSNIQIPSAWKQILEDPSTVQIFFDYYENNEPNVSKEALECLVRLASVRRSVFTNDTARMKYLLHLMTGTKDILRTGKGLTLHDNYHEFCRLLGRFKVNFQLQELVNVECYGDWIRLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVSSVPYLKGDTPSLLNEFVPEIVKCFITSRFSSFQAGLPDPSENPLDNVELLQDQLDCLPYLCRFQYESCSSYIMQITDPLLQLYMEAVDLQVISVVETKLAWIVHIVAAIVKTKQLSGYSGESQEIHDAELSARVLRLINITDSGLHTQRYSETNKQRLDIAILIFFQNFKKSYVGDQAIHSSKQLYAKLSELLGLHDHMLILNVIVGKIATNLKCYGESDEVIDQSLNLMLEMATGYMTAKLLLKLDTTQLIISNCNREQFPFLRDYRSSRSRTTFYYILGLLIFMEDSFLKFKASMDPLLQVFLSLESIPDSLFRSDDVKQVLVGLMRDLRGIAMATSSRRTYGFLFDWLYPARMPLILKATTVWADVSEVTTPILKFIAEFVLNKSQRLNFETSSANGILLFREVSKMIVVYGSRILSLPNQVDMYQFKYKGMWISLTILVRALCGNYVNFGVFEIYGDRALADALETAMRMALSIPLTDVLAYRKLAAAYFTFLEALMKTQISFVLNLDTSSFMFIAGSLESGLKVLDANIKSQCASAVDNLATFYFECITAGESPTSSAALKLAQHFSDCPNIFLEILKTLFEIVLFEDCGNQWSLSRPMLSLILISDEMFSNLRAQILASQPVDQQQRLSLCFDKLMSDITRSLDQKNRDKFSNNLTRFRNEFRTR >itb15g05190.t2 pep chromosome:ASM357664v1:15:3327531:3343124:1 gene:itb15g05190 transcript:itb15g05190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEVLCERLYNSQDSAERAHAENTLKCFSLNSSYISQCQYILDNASTPYALMLASSSLLKQVTEQHLSLQLRLDIRNYIIKYLATRGPDLEPFVTASLIQLFCRVTKYGWFEEDSFRDVVKESTNFLNQTPLHYAIGLKILNQLISEMNQPSPGLPSAQHRRVTCSFRDQSLLQVFQISLTSLSQLKNDVGGKLQELAIALSLKCLSFDFMGTTVDESSEDFSNIQIPSAWKQILEDPSTVQIFFDYYENNEPNVSKEALECLVRLASVRRSVFTNDTARMKYLLHLMTGTKDILRTGKGLTLHDNYHEFCRLLGRFKVNFQLQELVNVECYGDWIRLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVSSVPYLKGDTPSLLNEFVPEIVKCFITSRFSSFQAGLPDPSENPLDNVELLQDQLDCLPYLCRFQYESCSSYIMQITDPLLQLYMEAVDLQVISVVETKLAWIVHIVAAIVKTKQLSGYSGESQEIHDAELSARVLRLINITDSGLHTQRYSETNKQRLDIAILIFFQNFKKSYVGDQAIHSSKLYAKLSELLGLHDHMLILNVIVGKIATNLKCYGESDEVIDQSLNLMLEMATGYMTAKLLLKLDTTQLIISNCNREQFPFLRDYRSSRSRTTFYYILGLLIFMEDSFLKFKASMDPLLQVFLSLESIPDSLFRSDDVKQVLVGLMRDLRGIAMATSSRRTYGFLFDWLYPARMPLILKATTVWADVSEVTTPILKFIAEFVLNKSQRLNFETSSANGILLFREVSKMIVVYGSRILSLPNQVDMYQFKYKGMWISLTILVRALCGNYVNFGVFEIYGDRALADALETAMRMALSIPLTDVLAYRKLAAAYFTFLEALMKTQISFVLNLDTSSFMFIAGSLESGLKVLDANIKSQCASAVDNLATFYFECITAGESPTSSAALKLAQHFSDCPNIFLEILKTLFEIVLFEDCGNQWSLSRPMLSLILISDEMFSNLRAQILASQPVDQQQRLSLCFDKLMSDITRSLDQKNRDKFSNNLTRFRNEFRTR >itb02g00420.t1 pep chromosome:ASM357664v1:2:301216:301860:-1 gene:itb02g00420 transcript:itb02g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFLVFAAITTSVHCTTDPPNPHKQVRDTDGDLVRVETNYYIIPTKKETGGGLSLRSTTDESCPLGIFQEDEDDDESLGIPVTFYPVNPKKSVIRVSTDLNIEFSESPLECDNSNVWKVGNYSGHSKRHYISPDGVKGHPGSNTISNWFTIEVFESGYKLKHCPSVMDNDTYDDGEEDDVEVLCKDVGFHKYSGQQRLALSDTPFGVVFQKA >itb11g01740.t1 pep chromosome:ASM357664v1:11:872570:873430:-1 gene:itb11g01740 transcript:itb11g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMKRKGSPSKPSTKVSLKTREVREDKDLAERSTTKMVADVFYIPKNNPAKPLGEDSHFIFSEAQTIGVADGVGGWAKKGIDAGAYARELMYNAIQSVRYQRWTVGSVDPMTVLDEAYVDTDVEGSSTACILTLVDDTVIAVNVGDSGFCVLRGGRTVFHSPPQQSRFNCPVQLGKTSDDPVAAKKFEVKVKPGDIIVMATDGLFDNVYEHELLDLVFGADDKKKPMKKPPMNLARKIARYALKNALNKDFLSPFSGESRIAGINEHAMGGKYDDITVIVAYIQ >itb12g12250.t3 pep chromosome:ASM357664v1:12:10954959:10961506:-1 gene:itb12g12250 transcript:itb12g12250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVSAVKWVDEVIPDAPYAITEDFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSTGDTHSHASLQRQFSHGHSQKSEDGTAWSGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRLARSLGDFLLVGIHTDQTVSATRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVTKDMITTFDISLVVHGTVAEYSDYQKEAENPYAVPISMGILKVLESPLDITTSTIIRRIVSNHDAYLKRNEKKVESEKRYYEGKSYISGD >itb12g12250.t2 pep chromosome:ASM357664v1:12:10954959:10961511:-1 gene:itb12g12250 transcript:itb12g12250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEASQSENATLSSRVVATWLIGGVALGLSLLGFRLACPEGAWSKKKKRPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDEEITVNKGPPVTPLSERMIMVSAVKWVDEVIPDAPYAITEDFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSTGDTHSHASLQRQFSHGHSQKSEDGTAWSGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRLARSLGDFLLVGIHTDQTVSATRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVTKDMITTFDISLVVHGTVAEYSDYQKEAENPYAVPISMGILKVLESPLDITTSTIIRRIVSNHDAYLKRNEKKVESEKRYYEGKSYISGD >itb12g12250.t1 pep chromosome:ASM357664v1:12:10954959:10961511:-1 gene:itb12g12250 transcript:itb12g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEASQSENATLSSRVVATWLIGGVALGLSLLGFRLACPEGAWSKKKKRPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDEEITVNKGPPVTPLSERMIMVSAVKWVDEVIPDAPYAITEDFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSTGDTHSHASLQRQFSHGHSQKSEDGTAWSGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRLARSLGDFLLVGIHTDQTVSATRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVTKDMITTFDISLVVHGTVAEYSDYQKEAENPYAVPISMGILKVLESPLDITTSTIIRRIVSNHDAYLKRNEKKVESEKRYYEGKSYISGD >itb04g14700.t1 pep chromosome:ASM357664v1:4:15395683:15398607:1 gene:itb04g14700 transcript:itb04g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGKLVSQIEIKSDGDVFHEIFRYRPNQINTMSPDKIHGCDLHEGEWGTVGSVICWTFTHDGKQQIAKEIIEAIDEEHKTVKFKVIEGDLIELYKSMSISVHVDTRGINNLVTWTIEFEKQNESIPEPHTLVQFVLALTKDIETHHLK >itb04g04830.t1 pep chromosome:ASM357664v1:4:2982152:2982643:-1 gene:itb04g04830 transcript:itb04g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFAMAFSAVPLTLYVPPIRRLNMFVESMEDLWRESSSYTGRVYPRFRHAWLRILNCMLCNTTRNFIPFGLSSIFVNFNV >itb02g23100.t1 pep chromosome:ASM357664v1:2:22872560:22874941:1 gene:itb02g23100 transcript:itb02g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVHSSLHYPRSLHLFSRSIPSPRTSLFTGEATGQSPATGCGQQSLTIINCFQSRGVKNSKSEVWKGFKRTGINLQKGSIIAQMEMEPSASASSKAAAEDLISTASATNSNATGSSVEEVVVQYVVLRRDLIDTWPLGSIVTQGCHAAVAAIWSNKEDPVTLQYCAPANLDSMHKVTLEVKGEAQILNLSGKLKEGGIAHKLWIEQPENIPTCLATKPYPKSLVSSFFKKLKLS >itb02g23100.t2 pep chromosome:ASM357664v1:2:22872555:22874720:1 gene:itb02g23100 transcript:itb02g23100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALVHSSLHYPRSLHLFSRSIPSPRTSLFTGEATGQSPATGCGQQSLTIINCFQSRGVKNSKSEVWKGFKRTGINLQKGSIIAQMEMEPSASASSKAAAEDLISTASATNSNATGSSVEEVVVQYVVLRRDLIDTWPLGSIVTQGCHAAVAAIWSNKEDPVTLQYCAPANLDSMHKVTLEVKGEAQILNLSGKLKEGGIAHKLWIEQPENIPTCLATKPYPKSLVSSFFKKLKLCK >itb03g20770.t1 pep chromosome:ASM357664v1:3:18601721:18603137:-1 gene:itb03g20770 transcript:itb03g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVLKVQINCLGCRKKVKKLLKKIEGVYHVSMDVEEQKVTVSGNVDAKIVSMRLLKSGKHAELLSLQELANQEKEPEPQYRDGEYQNPELLNGDYHNMELLK >itb01g26990.t3 pep chromosome:ASM357664v1:1:31974961:31979115:1 gene:itb01g26990 transcript:itb01g26990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMGSFSRGKIDTAGGHYPHSQLTIWKTSLCPQIVARFLAMPQKNSECFPNCGLVMNGSGYSGHMLVQASKLKDNGEVEESVGSTARSTGNDISRSLNGKATKGSGTTARGRRLLKVREEKRKREYDRLHNYPAWAKVLEDACQHDTELRAVLGDSIGNPELMRKRVEERVRTKGRDFQKSKTGSVLAFKVSFRDFNPLDSYIWFELYGAPSDREVELIGSVVQAWYVMGRLGAFNSSNLQLANSSMDNNPLYDEDAGSKVMPSSFHDISDVEFQDNWGRVWYDFL >itb01g26990.t2 pep chromosome:ASM357664v1:1:31974938:31979115:1 gene:itb01g26990 transcript:itb01g26990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMGSFSRGKIDTAGGHYPHSQLTIWKTSLCPQIVARFLAMPQKNSECFPNCGLVMNGSGYSGHMLVQASKLKDNGEVEESVGSTARSTGNDISRSLNGKATKGSGTTARGRRLLKVREEKRKREYDRLHNYPAWAKVLEDACQHDTELRAVLGDSIGNPELMRKRVEERVRTKGRDFQKSKTGSVLAFKVSFRDFNPLDSYIWFELYGAPSDREVELIGSVVQAWYVMGRLGAFNSSNLQLANSSMDNNPLYDEDAGSKVMPSSFHDISDVEFQDNWG >itb01g26990.t1 pep chromosome:ASM357664v1:1:31974938:31979115:1 gene:itb01g26990 transcript:itb01g26990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMGSFSRGKIDTAGGHYPHSQLTIWKTSLCPQIVARFLAMPQKNSECFPNCGLVMNGSGYSGHMLVQASKLKDNGEVEESVGSTARSTGNDISRSLNGKATKGSGTTARGRRLLKVREEKRKREYDRLHNYPAWAKVLEDACQHDTELRAVLGDSIGNPELMRKRVEERVRTKGRDFQKSKTGSVLAFKVSFRDFNPLDSYIWFELYGAPSDREVELIGSVVQAWYVMGRLGAFNSSNLQLANSSMDNNPLYDEDAGSKVMPSSFHDISDVEFQDNWGRVWVDLGTSDFFSIDVLLNCLTALSSEYLGIQQVVFGGRRMGDWEDGMTDPEDGYKYFKI >itb07g21390.t1 pep chromosome:ASM357664v1:7:25782222:25782629:1 gene:itb07g21390 transcript:itb07g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKVGLKRGRWTAEEDQILTDYIHANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKRGKFSPQEEEIIIKSHAILGNRLVP >itb06g04990.t1 pep chromosome:ASM357664v1:6:7741218:7745523:-1 gene:itb06g04990 transcript:itb06g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRRASSVSSDIGIEALSDRLRNSVRPEMNKPDFRELDLGSPASPLRTVPTTSSSSSSSGSLSGRNGAPKSAELSGSVESSPSPSPTPTARAPFNRSGGSCVNSPPPSNAFPTGNICPSGRILKTGMASRASKTDVLGSGTGNYGHGSIIRGGFGTIHKPTNLRGSLMAAEETQKKKEMLGNDPEELKRTGNENYKKGNFAEALNLYDKAISISPGNAAYHCNRAAALIGLKRLVEAVRECEEAIRLDPRYVRAHHRLGSLFLSLGQVENARRHICLPGHQLDHLELRKLEAVEKHIEKCNDARGASDWRSVLREADAAIASGADASPQLFACRVEALAKLHQLDNADSSLSKVPKIESTASFSQSKIFGMLSEAYVFFVRAQIELAHGRFESALAAIERAKQIDCQNVEVSVLLNNVRLVTQARTRGNDLFKSERFTEACAAYGEGLRFDPSNSVLYCNRAACWYKLGQWEKSVDDCSQTLRIQPNYTKALLRRAASNMKLERWAEAVRDYEALRSEFPHDTKAAESLFHAQVALKKSRGEEVYSGKFGGGVEIVSGLEQFQSAISSGTSVVHFKVASNIQCTQISPILDTLCTRYPSISFLKVDVEDSPAIGTAENITTVPMFKIYKNGSQLKELVCPSPEELESSVRHWAI >itb05g20140.t1 pep chromosome:ASM357664v1:5:26353710:26357022:-1 gene:itb05g20140 transcript:itb05g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSIECVSSSDGMEDEEIASSMAPHHPHHQQYNSSAKPHAKIAGIGPTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKFYSLGCPEIFPYYSKLKHETGCNFRPYNCPYAGSECSVTGDIPQLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGANGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCT >itb10g16850.t2 pep chromosome:ASM357664v1:10:23094274:23097752:1 gene:itb10g16850 transcript:itb10g16850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSLERELLIEVGDTPVPSKSSLGSKVCGGAPCGFSDAKTSSKDAQERAASMRKLWIAVVLCVIFMTVEVVGGIKANSLAILTDAAHLLSDVASFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVYEAIERLINSSGEVQGFLMFAVAAFGLVVNIVMALLLGHDHGHSHGHGHGHDHGHHDHAGHGHDHGHEAQDMHGISVNRHHHHHHQEHPNPDHHHHHQEHPSNDDDDHHHHDAHEADLARPLLNASTEGESEPKNTKKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLVCTLIFSIVVLFTTIRMLRNILEVLMESTPREIDATRLEKGLCEMEEVVAIHELHIWAITVGKVLLACHVKIKPDADADLVLDKVIDYIRREYNISHVTIQIERG >itb10g16850.t1 pep chromosome:ASM357664v1:10:23094274:23097752:1 gene:itb10g16850 transcript:itb10g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSLERELLIEVGDTPVPSKSSLGSKVCGGAPCGFSDAKTSSKDAQERAASMRKLWIAVVLCVIFMTVEVVGGIKANSLAILTDAAHLLSDVASFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVYEAIERLINSSGEVQGFLMFAVAAFGLVVNIVMALLLGHDHGHSHGHGHGHDHGHHDHAGHGHDHGHEAQDMHGISVNRHHHHHHQEHPNPDHHHHHQEHPSNDDDDHHHHDAHEADLARPLLNASTEGESEPKNTKKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLVCTLIFSIVVLFTTIRMLRNILEVLMESTPREIDATRLEKGLCEMEEVVAIHELHIWAITVGKVLLACHVKIKPDADADLVLDKVIDYIRREYNISHVTIQIERG >itb09g29470.t1 pep chromosome:ASM357664v1:9:30191259:30195232:-1 gene:itb09g29470 transcript:itb09g29470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKEVEVVITKGIPDISPASTTPRHPRPPSISGLTHPRPDLEIEIPSCSDSGTHHQIHFKNEPICPPIQSPTQTLVSTPHKRSVMAQSLSLSHSPTLSSLHHHQHHSPFRPTVLKSGPPSNPPSFLCSCSLPVLLATKRITLRLLHHSCQASWLRVHMKLFILLSLPTFYLLTTTYFRSFVIYFVCVVSVFLVVIALSLAVPFLPSIRLFLARIRLCSLPTASKPHHPVVWSIGSKPKFEKKPSSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYHLTGRYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGLRHGVGLYRSYTGDVYAGEWLNGQCQGCGVHTCEDGSSYVGEFKWGVKHGLGCYQFRNGDTYAGEYFADKMHGFGVYKFGNGHRYEGAWHEGRRQGFGTYTFRNGETQSGHWQNGVLNVSSAPGTRTGSSATDDHSKVLNAVQEAIRAAEKANDVVKVDERVKRAVATANKAATAARVTAVKAVQNQMHHDNNHFNVPVPIV >itb14g07720.t1 pep chromosome:ASM357664v1:14:7088173:7089041:1 gene:itb14g07720 transcript:itb14g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHPISPHIYFLSRSHTLPPPSIMASATAKTAALPSIFLILFVAFAVATIATAHHSPSPSDKTRLVKACSEALGPGLGGRSLVTFCARDFLAGKASLLAHSGKREAAAIVVNEARKKAKVVEDFKSRIDSDKPLSKGELKDLKSCWESMSILIKTVGEVYVNVAFKKLSVDVVGKNMDNNIAKAMGQCKFSAAERQGGLWAEFHAKADASFNAQIVALAFMNEYRSIGFVA >itb14g08830.t1 pep chromosome:ASM357664v1:14:8635891:8638468:1 gene:itb14g08830 transcript:itb14g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGSIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEEFQAFIQNFEVESARDEDRERLKSLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHRDGAINFVLDHNGIRDNRLDFSGMPKGQLPVKYLGIPLDGQRLKVAQFSPLITAITRLIEKWKGCTLSYAGRLELIISVIQGTISFWIQNFPLPANVIFLWGRRVSLITWDKICFPKEEGGLGIHDFKVWNTSFFSKVLWDIHSKRDSLWIRWVNSVYLNGNDVWEFCLNKRDSALFKKIFEARDKISLAKGGVQNAKEFLHNSVNNNKFQVSQIYDLLREKSQPAFAWRFVWRSYIPRKFSFITWLAVHQRIPTKDRLAFLDINTDCSMCVGDKETAQHLFFKCPFSLQVWNQVRMHFGFHKCTNAIKSSIKWINRLHGGARLRSKAITIALICTIYHLWRNRNRVHHDEDRLPIDGLVKNIAKDVYRVIFYLYPIT >itb10g15770.t1 pep chromosome:ASM357664v1:10:22014967:22015955:1 gene:itb10g15770 transcript:itb10g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPQSPGQEQVQPLAPAVSGHSHRADDVENGGARAPPDSKAGKKRKCIKCCACCSVVTLIIILVILILAFTVFRVKDPTVRMDSIQIEGLSSLTTRNLNPNVNLTLFAGVSVKNPNAASFRFDEATMSLLYDGRVVGEAQVPPGNARARRTLKINATVIVMVQNLMGVPRLGSDLIAGELPVGITTRIHGRAKVLGIIKKSATVRLNCTMSFDLSSQGIENLDCDRKVSL >itb04g02380.t1 pep chromosome:ASM357664v1:4:1412135:1417500:1 gene:itb04g02380 transcript:itb04g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQTEAPPSSMAAEDQSAAKSSANFVESEDGKLRLLDSYTRDGSTDRHGKPALKRKTGGWKSGILLLVSEGLAALAFTGVEVNMVLFSKSVMRKSNADAANLFSRWMGTLYIFALFGAFLSDSYMGRYLTCIIFLAVMNFGLVALSLLTHMFMLEPEGCGRIGEVCNSQSRIEVAMYYVSIYLLAIGSGSIEPVLATLGADQFDEEDPEESKSKTKFFSYFYVALNLGSLVAETVLVYIENLGKWVLAFWISTSCGFVSLSLLIAGAYRYRHIRPFNNPVCRFCQVIVASLRKLKLQVPLHGEGLYEVHGRAEKCNRRISHTDGFEFLDRAAIMVSSDMTLLPDKTRVPNPWRLCTVTQVEEVKCVLRLLPIWVCTILASIMFVQVLSLFVEQGAAMNTKIADFDMPPASMTSFDIISTSTFIICYENIILPVYIKLMKRKPKPPSELQRIGIGLVISIVAMVIAGLVEQHRQQYANRKEETSSLSIFWQTPQYVLVGVAEAFIYVAQWEFFASQIPDSLKSMGLGLSMSSSALGSYLCSIILSVVMKITTKHGKPGWVPPNLNDGHLDRFFFLSAAIVAVDLVLFILCAKRYKAIVLEKREEIDAEGTPLS >itb14g17380.t1 pep chromosome:ASM357664v1:14:20633897:20638715:-1 gene:itb14g17380 transcript:itb14g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCIYRDPRAPVEARVKDLLSRMTQQEKLGQMTQIERSVATPSVLRDLSIGSILSVGGSCPFENALSKDWADMIDGFQRAALESRLGIPLIYGVDAVHGNNNVYGATIFPHNIGLGATRDDELARQIGVATALEVRASGSPYTFAPSVAVCKDPRWGRCYESFSEDTEIVRKMASVITGLQGQPPPGHPSGYPFLAGRNNIVACAKHFVGDGGTHEGRNEGNTILSYEDLERIHLAPYLDCLSQGVCTIMASYSSWNGTQMHTSKFLLTDVLKGKLGFKGFIITDCAALDRLSNPFGSNYRRCVLAAINAGIDMVMVPLRYQLYLDDLKCLVESGEIPMTRIDDAVERILRVKFIAGLFEYPLSDRSLLDKVGCKLHRDLAREAVRKSLVLLKNGKDTTKPFLPLDKNAKRILVAGQHADDLGFQCGGWTKTWDGQSGRITIGTTILDAIRASVGGETEVVYEQNPSLDTFSNQQFSFSIVVVGEAPYCESGGDSKDLIIPFNGSKLISFVADRVPTLAILISGRPMYLEPSLLEKVDGFVAAWLPGTEGAGVTEAIFGDHEFHGRLPMSWFRSIDQVPMNPGENGYDPLFPVGFGLTSKNKVL >itb14g17380.t2 pep chromosome:ASM357664v1:14:20633897:20638715:-1 gene:itb14g17380 transcript:itb14g17380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVITGLQGQPPPGHPSGYPFLAGRNNIVACAKHFVGDGGTHEGRNEGNTILSYEDLERIHLAPYLDCLSQGVCTIMASYSSWNGTQMHTSKFLLTDVLKGKLGFKGFIITDCAALDRLSNPFGSNYRRCVLAAINAGIDMVMVPLRYQLYLDDLKCLVESGEIPMTRIDDAVERILRVKFIAGLFEYPLSDRSLLDKVGCKLHRDLAREAVRKSLVLLKNGKDTTKPFLPLDKNAKRILVAGQHADDLGFQCGGWTKTWDGQSGRITIGTTILDAIRASVGGETEVVYEQNPSLDTFSNQQFSFSIVVVGEAPYCESGGDSKDLIIPFNGSKLISFVADRVPTLAILISGRPMYLEPSLLEKVDGFVAAWLPGTEGAGVTEAIFGDHEFHGRLPMSWFRSIDQVPMNPGENGYDPLFPVGFGLTSKNKVL >itb02g19550.t1 pep chromosome:ASM357664v1:2:16807025:16808441:1 gene:itb02g19550 transcript:itb02g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCNKRARDESELDSVSPEPKRVDTKADSRVNSSDSERVNSRGPHSGESERVEVDSAANSDEFNLDSPVAMQFRDDILEILDEPDALTERDPVIQDLDSVIKSFEEEILHPAPAEQTVVDLASSHSGESQPDLGFLLEASDDELGLPPTVPSPEKQRTNDDVADLPAGAAVLDNLMGFEGELTSYDSFDLGIDRITEGDNYGGNNGGEFVTVDGLFDYSEPSDFAEFSWRPESLPAV >itb12g14050.t1 pep chromosome:ASM357664v1:12:13379064:13380979:-1 gene:itb12g14050 transcript:itb12g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSWMQSLLNPSKNWFAAQHMKAISNRLRKYGLRYDDLFDPKEHLDIKEALERLPQEVIDARNQRLKRAMDLSMKHEYLPDDLQKMQTPFRSYLHEMVALVEKERAERKAFGALPLYQRTFP >itb09g28760.t1 pep chromosome:ASM357664v1:9:29361280:29364747:-1 gene:itb09g28760 transcript:itb09g28760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHIAVSCRSHIYSQAFQLGWGLEEVSLAQQFRLPRFISLFCRCGWLIALKMGDVAKDLTAGTVGGVAQLICGHPFDTIKVKLQSQPAPLPGQAPKYSGAMDAVRQTIAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMETLVRSEPGARLTVSQQIICGAGAGTAVSFLACPTELIKCRLQAQSVLAVAGGDVVAAVKYSGPMDVVRHVLRSEGGTMGLFKGLVPTLAREVPGNAAMFGVYEAIKQYLAGGQDTSGLGRGSLIVAGGLAGASYWVSVYPTDVIKSVIQVDDYKNPKFSGSIDAFKKILASEGVKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >itb02g23090.t1 pep chromosome:ASM357664v1:2:22868598:22870425:1 gene:itb02g23090 transcript:itb02g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSTQLTSATGNHNMEDVMCSPQGGNNEQVKENKMQAPHTATRHRKNIHYRRGGFPATHGGSRRSLASEFGPCYQNATTTRKAEMYSFFFLMMYLASILAEQRRNSGSPCSSSNSNANPPSVPASNATAMVELPLVSMKVSYVLRGGNRGFRRQL >itb04g04920.t2 pep chromosome:ASM357664v1:4:3065963:3073132:-1 gene:itb04g04920 transcript:itb04g04920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDKVTMDSEADTKGKSLKTLGDQVCQICGDGVGTTVDGEQFVACHVCAFPVCRPCYEYERKDGNQSCPQCKTIYKRHKGSPAIHNEEVEDVDAHDDSENQNEKQKIAERMLSWHMTFGREETGGPKYDKEVSHNNIPLLTNGTDVSGELSAASPGRLSMASPGPGVGTKHIHPLTYSIDANQSPNTRVVDPVREFGSLGLGNVAWKERVDGWKMKQEKNVIPMTTSHPPSERGGGDIDASTDILVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAYPLWLLSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETAEFARKWVPFCKRYSIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKKNRKPGLLSSCFGGSRKKSSKSSKKGSDKKKYGNHVDPTVPVFNLEDIEEGVEGAGFDDEKSLQMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPITSIPLLLYCTLPAVCLFTGKFIIPQISNIASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTTLLIPPTTLLIINLVGVVAGVSYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVQECGINC >itb04g04920.t1 pep chromosome:ASM357664v1:4:3065963:3073329:-1 gene:itb04g04920 transcript:itb04g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDKVTMDSEADTKGKSLKTLGDQVCQICGDGVGTTVDGEQFVACHVCAFPVCRPCYEYERKDGNQSCPQCKTIYKRHKGSPAIHNEEVEDVDAHDDSENQNEKQKIAERMLSWHMTFGREETGGPKYDKEVSHNNIPLLTNGTDVSGELSAASPGRLSMASPGPGVGTKHIHPLTYSIDANQSPNTRVVDPVREFGSLGLGNVAWKERVDGWKMKQEKNVIPMTTSHPPSERGGGDIDASTDILVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAYPLWLLSVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETAEFARKWVPFCKRYSIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKKNRKPGLLSSCFGGSRKKSSKSSKKGSDKKKYGNHVDPTVPVFNLEDIEEGVEGAGFDDEKSLQMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKWLERFAYVNTTIYPITSIPLLLYCTLPAVCLFTGKFIIPQISNIASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTTLLIPPTTLLIINLVGVVAGVSYAVNSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVQECGINC >itb06g13430.t1 pep chromosome:ASM357664v1:6:18154582:18154866:-1 gene:itb06g13430 transcript:itb06g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKEEITYGTAQARLSEDDALRVRYKGGDPLEGGKIAESEPVELFSAAHNIEKQRRRESGESDRSGERRAGEAAASNEGRDKNQQPQPQLQH >itb02g18140.t1 pep chromosome:ASM357664v1:2:14581197:14584375:1 gene:itb02g18140 transcript:itb02g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLALFWISILMFISSPCVVHPQPGINQPIKLNLPQNASGPEDFDCDPIDKGCYTGVSDGRIFKFFNNGTFSDFATTTPLRTKEKCDGISFTNVQAECGRPLGLYFDRRGEELYIADSFYGLLKVGKNGGLATQLAAGVDGRNFSFTNAVVVDEQYGDAYFVDSGAIFVLLFRTLDLTGLLQGGDISGRLLKYEAATGQVTVLLNGLSGPAGIAMGGDSYGTFLMISEFIGRKVIKYYITGPKANTIKTVLKNLPGFPDNIKKGATKGFWVAVSIPKLLPLQLPLPQTESIAVHFDMNGTILNTRNLTNGFPNTLSVYFESGLHNKAYAGSLPSKYVGLYNAI >itb10g00130.t1 pep chromosome:ASM357664v1:10:90090:94851:-1 gene:itb10g00130 transcript:itb10g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAGDNGQPHQNHHKLLPKRIILVRHGESQGNKDDAAYTVTPDYKIPLTPHGIEQAHRAGSNIQLVVSDHGASRNWKVYFYVSPYERTRSTLREIGRAFPRKRVIGVREECRVREQDFGNFQVAERMKVIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDVDMHRFHCQSSDELNLVIVSHGLAIRVFFMKWFKWTVEQFEHLNNLGNCEFRVMQIGRGGEYSLAVHHSDEEMRSWGLTPEMIEDQKWRANAPRGAWNENCSWYLDAFFDSYAVESDDCGAEDEK >itb12g19430.t1 pep chromosome:ASM357664v1:12:21843028:21848383:1 gene:itb12g19430 transcript:itb12g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTRVERPASPPKSPSPVKGRRSRSRSRSLSASRRSRSRSRDAEVATNPGNNLYVTGLSTRVTSSDLEKFFSSEGKVVECHLVTDPRTKESRGFGFVTMETNEAADRCVKYLNRSVLEGRLVTVEKAKRKRGRTPTPGRYQGVRNTRGSDRRRSRSYSPRRRHDRDSYYGDRRGRSRSPYNRRDDDHGSYRRHRD >itb11g05490.t1 pep chromosome:ASM357664v1:11:3251495:3254381:1 gene:itb11g05490 transcript:itb11g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDALASSSDERKLEESNNRILQFVEDVTTNVVQIQERVLNDILSSNAGVEYLLRQGLNGRTDRETFKKLIPVVTYEDVKPDIDRVVNGEPASIICSKPISEFSTSSGTSRGENKLIPMSEEELERKLLFFSLLMPVMNQFVPDFHKGKTMHFLFVREEAKTPGGLLARPLLTSIQKRSYFKEKTYNNPYTDYSSPKETILCTDSFQSMYSQMLCGLWYGDQVLRVGAIFASAFVRAIHFLEKHWRLLCHDIRTGTLNPRITDPSVREAVARIVKPNPELAEFIEKECSQESWKGIIPRIWRNTKYIDVVVTGAMAQYIPTLNYFGNDLPLVSTSYASSECCIAVNLNPLSKPSEVAYTIIPTMAYFEFLPVSREIGVPEPNPVEVVDLVDVKLGQEYEVVVTSYAGLYRYRVGDILRVAGFKNNTPQFNFVCRKNVALSIDSDKTDEVELHNAVMNASNILLPFGASIIEYTSHPNTSTIPGHYVLYWEISQSSENAIPPHVFEDCCLAVEESLNSVYRECRVSDKSIGALEIRIVENGTFDKMMDYAIANGGSSINQYKTPRCVTHAPMVEHLDSRVISNYFSPKPPEWAPGHKHWSTN >itb10g24640.t1 pep chromosome:ASM357664v1:10:28349124:28351086:-1 gene:itb10g24640 transcript:itb10g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVVSEVDRSIMAVEVAVFFMMMMMAMKSAFCDDTNPVFNPCLDTQIQKWDGFTFGLVFSSKDNFFFNQTQLSPCDRRLSLSGKSAPLAVFRPKVDEISLLTLNSSTFNPRLAGGYMVAFAGRQYAARSFPVLVADKSHIITSHTLVLEFQKGTLQNLYWKKFGCDSCNGDSFICLNNTDCAVQINKCNGNSGGTIDCNLSIQLAFSGTDKNDDVFNSWYEVKNLRQYSLYALYSNLRNSITNPFQNLF >itb02g07630.t1 pep chromosome:ASM357664v1:2:4767852:4768839:1 gene:itb02g07630 transcript:itb02g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHRRNVPRSYQPKKSSTSCCCKCLCCCCCFLLIFVLVLGGLAFYVYSVYKPKMPTYTVEGLDVKNFDVQDDFSLKTVFLVSVKAENPNAHLGFIYGKHSSVVVTYTDSVLSSGELPNFHQEPLNTTVMKIEMRGVSEFGSGLQDAFEENKKTGRIPLLVKVKAPVNVVMGKVESREFIVYVNCSLVVDNLQPGKKVGIVKTGYSFDVQF >itb01g21300.t1 pep chromosome:ASM357664v1:1:27449970:27453001:1 gene:itb01g21300 transcript:itb01g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLLKYSVSSFSISQLSSHTKLACSCSDFSSGIIGHRRRRRNFRFQSKRNRSFRLLIDRKLRVSCRTQDNDTPTNGEEPPESLFMKELKKRGMTPTSLLEESNRTIFEDEETKIKEEDGGFSRRNAISTESGRNLTNQREQSMALNSEGIEGLIPRAKLLLTLGGTFFLGFGPLILVTVAFFSALYLYFGPTFVHDGSNSRVTPPQYVDPYALLEEERISQTAPGLN >itb09g25530.t1 pep chromosome:ASM357664v1:9:25589594:25592491:-1 gene:itb09g25530 transcript:itb09g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGVPDQFHHFIASSRAPLQIPFPFPLHATTSPPPPPPQPPPPSPPTPLAQTFPTFDPYPSHQTLQPHQHHQHQHHHLHHHLSSAPPPPQPKNGGSKEDQTTTLLSTSLVLERERSSGEPGGPWSNEEVLALLRIRSSMENWFPDYTWEHVSRKLAELGFKRSPEKCKERFEEENRNLNSLSFNKNSFRFFSELDELYQHQGGDHKNQHNEESVQTRNINNQPPALRREAAAVAVAVAAEAQSLEEGSGNVDHNSTTSRSSKSNNNNGDEKKRKRKGKRKRELEMFKGFCEEIVERIMAQQEELHSKIIEDMVKREEEKIARDEAWKSQERERLNKEIEAREKEHEIASNRQAKIIEFLNKFASSSSPSSLHSPLDQSLFDKFNDLFDPPNNNPKTPSSTHPHGEIMGTKKTKKVSSFPHQNPTSNNPTQSSTNPSFTSPTETLIMPSMDLGPENHPTPQSKDTQLEPNTQNGGGDIGKRWPREEVLALINMRCSLNNNNSDNSSKDGAKGPLWERISQGMQELGYNRSAKRCKEKWENINKYFRKTKDNNKKRSVDSRTCPYFHQLSSLYSQGKLRGDSPENRSSAD >itb01g31200.t1 pep chromosome:ASM357664v1:1:35023390:35027013:-1 gene:itb01g31200 transcript:itb01g31200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVVARLLAIFSLHSFLLLLSSNVIPASSNEEAKGLLKWKSTFNHPNNTLDSSWTISENGGSPCNNWYGVHCVAGSVNRLNLTTSKINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLSKLVYLDMSVNYFTGTIPPQIGLLTRLKTLHMFANILHGPIPDEIGNLTSLTELALLGNSLNGSIPASIGNLKHLSSLQLYSNSLSGSIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDDNQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGKLSESFGIYPDLQFMWLSQNQFHGEISKNWGISRNLTNLQMAENNLTGRIPPEFQNLTQLGILNLSSNKLGGEIPAELGSLSSLLSLYLGDNNLSGQLPQELASLKKLNVLDLSKNQFSGPIPSFIGDYEYMHELDLSHNNFSQHLPVELSKISHLTTLDLSNNSLSGEIPHLFNSLVDLVNVDLSYNQLTGPIPDTMGFKQAFLKGNKGLCGDNKDLPPCSSTPTEMSSVEKKSGHKKQILSIVLPIVGALVLVSVFAVVLFTCGGKGDRGPDEEQCNSLRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMFRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEITDRKGFFNEITALTTIRHRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb02g16510.t1 pep chromosome:ASM357664v1:2:12408866:12409632:1 gene:itb02g16510 transcript:itb02g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIISFSIAGFTLLSSKATDLLKVALACMILSFIASFQDFCTFFPLLLRLILFLFSLPQYCFSSRRNKAIADGVECRENIDDELFVVDGLINTRVCLEEVSHLFDESEPSFEEIKGTFGMFDEDGDGYIDEKDLGKLLFRMGFSEFSQQDCRGMIKAFDENKDGRIDIGEFLKLMEDSFD >itb05g04030.t1 pep chromosome:ASM357664v1:5:3517515:3521058:-1 gene:itb05g04030 transcript:itb05g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPSDEQHLIWIGCGRRECVSCLFNHPSYPSLTNLSLWPHPRKDHHQMMHYLPRQYIYNSAYPPLRMFSGEDEIFAPPGGSWNIYPKFVAKEINLTPQSSGIGPNYKGVPIYEKGEEYCSCALTLGRGSNGKGKEPINVSSMVGSSNNVVVPPSFFSLQLPSGDGGWGFTDDNINDIDNNVPGKNKRKRQYTHRKTSVYSFPTNVKNLLSTGIFEGVPVKYVSWSRGAVNAYEFEKHAGWDTKHPNNHIYFPSGKSLYSVVQELKVILENDLLFEAIQTASGTPINIKNFNAWKALYESASSSLGPNPMNY >itb05g25270.t2 pep chromosome:ASM357664v1:5:29753188:29755975:1 gene:itb05g25270 transcript:itb05g25270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >itb05g25270.t3 pep chromosome:ASM357664v1:5:29754047:29755962:1 gene:itb05g25270 transcript:itb05g25270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASILSVSFSFTFPVSFPVKYWPVSLMCCLLSGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >itb05g25270.t1 pep chromosome:ASM357664v1:5:29753188:29755975:1 gene:itb05g25270 transcript:itb05g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >itb13g26500.t1 pep chromosome:ASM357664v1:13:31734302:31735893:1 gene:itb13g26500 transcript:itb13g26500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLFHAIAFFLSLFTLPFVSGAGVSAGFQYLKLPLLRKNPFPPNPAKTLSADSRRLSALFTSVPGRRAKLPVTSGANFGTGQYFVQLRVGTPAQELFLVADTGSDLVWVTCSACRECLSRGNGTAFLTRRSSSFRPLHCFDSKCKLVPPPANATCRRTRRGSACRYEYSYADGSLTSGIFARETTTFNVNSGRAVTFKNVELGCSFEASGPSVTGPSFNGAQGVLGLGRGPISLATQLGRRFGNKFSYCLKDYTLAPTPTSYLLIGGAKAEAGAVNDTKMNFTPIINNPLSPTFYYIGIENIFIDNVKLPISPSVWATDEMGNGGTILDSGTTLTFLVEPAYTRIVTEFKRRVKLPKPAAFTSTFDLCVNVSGVPNPSLPQLSIQLAGGSVFSPPTGNYFLDTAPDIKCLSLQPVTSSSGSSVIGNLMQQGFVFEFDNDHSRLGFSRHGCSLS >itb12g26290.t1 pep chromosome:ASM357664v1:12:27268385:27268615:-1 gene:itb12g26290 transcript:itb12g26290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGHNNPNREPIKMPEKQRYGDFKMPLHYPRYTAADYESMPEWKLDALLSEYGLPATGSVHHKRKFAIGAFLWPH >itb08g00690.t1 pep chromosome:ASM357664v1:8:531393:534155:1 gene:itb08g00690 transcript:itb08g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPAYLDEYEKLVIRMTTPRVMIDNAVCPDATRVMIDSARKHEVLLEAVQVLTDLNLSIKKANISSDGRWFMDVFHVTDLNGEKLTDESVINYIQQSLGRTQYGSSKSFDGLTALELTGTDRIGLLSEVFAVLSDLQCSVVESKVWTNNGRIAALIYLKDCDSGSPIEDCQKMDRIGARLRYVLKGDNDIRSAKTSVSMAVMHPERRLHQMMFADRDYERKPVVPVVSVLNCLERGYSVVNVHCKDRAKLLFDVVCTLTDMDYVVFHATVNTVGDRASLEFFIRHTDGTPISSDAEKQRVILCLQAAIERRASEGTRLELSTSDRQGLLADVMRTFRENGINVKRAEISTKGDTAQNAFYVTDATGNCAEPKAIEAVRQKIGWGVLEVKELPVMYHRAAEEAEQPAGGVGGAMLLSLGSIVRRNLYNLGLIKSCS >itb08g00690.t2 pep chromosome:ASM357664v1:8:531608:534154:1 gene:itb08g00690 transcript:itb08g00690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNAVCPDATRVMIDSARKHEVLLEAVQVLTDLNLSIKKANISSDGRWFMDVFHVTDLNGEKLTDESVINYIQQSLGRTQYGSSKSFDGLTALELTGTDRIGLLSEVFAVLSDLQCSVVESKVWTNNGRIAALIYLKDCDSGSPIEDCQKMDRIGARLRYVLKGDNDIRSAKTSVSMAVMHPERRLHQMMFADRDYERKPVVPVVSVLNCLERGYSVVNVHCKDRAKLLFDVVCTLTDMDYVVFHATVNTVGDRASLEFFIRHTDGTPISSDAEKQRVILCLQAAIERRASEGTRLELSTSDRQGLLADVMRTFRENGINVKRAEISTKGDTAQNAFYVTDATGNCAEPKAIEAVRQKIGWGVLEVKELPVMYHRAAEEAEQPAGGVGGAMLLSLGSIVRRNLYNLGLIKSCS >itb02g14310.t1 pep chromosome:ASM357664v1:2:10460379:10461854:1 gene:itb02g14310 transcript:itb02g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGGGLTGGRRLPHMLVVLALLAISNVVSGDNSYLYSSPPPPVYQYKSPPPPSPSPPPPYEYKSPPPPVHSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPKKSPPPPYYYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYYYSSPPPPMKSPPPPYYYTSPPPPKKSPPPPYYYTSPPPPVKSPPPLYYYSSPPPPMKSPPPPYYYTSPPPPKKSPPPPYYYTSPPPPVKSPPPPYHYSSPPPPVKSPPPPYYYSSPPPPKKSPTPYYYTSPPPPKKSPPSPYYYTSPPPPTHYYPPHHDQLVVKVVGKVYCFKCYDWAYPKKSHNMKHLKGRIFRSTIFTIVWLVFKLYFILGFIDKILI >itb06g16760.t1 pep chromosome:ASM357664v1:6:20794942:20799648:-1 gene:itb06g16760 transcript:itb06g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTILGYKTSAPIIIAPTDRHKLAHPDGEVATARAAAACDVIMGLSFSPSCSIEEVASSCNAVRFFQIYVYKRRDITELMVRRADRNGFKAIILTVDTPRLGRREASIKNKLVLPPSKNFEGLISTAVVPGSGSGLEAYASSTFDSSLSWKDIAWLKSITKLPILLKGILTHEDAIKALEVGVAGIIVSNHGARQLDYTPATISVLEEVVLAVKGKVPVLFDGGVRRGTDIFKALALGAQAVLIGRPVLFGLATNGEHGVRQVIEMLKNELELTMALSGCCTIKDITRSHVRTEHESLICRL >itb06g16760.t2 pep chromosome:ASM357664v1:6:20795252:20799648:-1 gene:itb06g16760 transcript:itb06g16760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTILGYKTSAPIIIAPTDRHKLAHPDGEVATARAAAACDVIMGLSFSPSCSIEEVASSCNAVRFFQIYVYKRRDITELMVRRADRNGFKAIILTVDTPRLGRREASIKNKLVLPPSKNFEGLISTAVVPGSGSGLEAYASSTFDSSLSWKDIAWLKSITKLPILLKGILTHEDAIKALEVGVAGIIVSNHGARQLDYTPATISVLEEVVLAVKGKVPVLFDGGVRRGTDIFKALALGAQAVLVKLLFFL >itb05g11900.t1 pep chromosome:ASM357664v1:5:18135112:18139202:-1 gene:itb05g11900 transcript:itb05g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVLPNGNAAAAAKVELFADGRFNPTAIVTEPVPPPVDSDKLDSPPAANGGKADKREIVLGRNMHTTSLEVTEPDADNESTGDKEAYMASVLARYRKTLVERTKYHLGYPYNLDFDYGDLSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWDIEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMECVKVNTLITGEIDCADFKIKLLPNKDKPAIINVNIGTTVKGAVDDLDLVIQTLEECGYSHNRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQLTRLDHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLNDKLRGAGISAMLNELSSTVVFERPKDEEFVRRWQLACERNMSHVVVMPSVTVEKLDCFLDELIQARSVWYEDENSKPPCLAEDVGIENCCCDLHK >itb07g22160.t2 pep chromosome:ASM357664v1:7:26636044:26637930:-1 gene:itb07g22160 transcript:itb07g22160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSISSAIAGFGQKKDCAEARRACDEFSDDDDEICSNDSGDEGLECPICWESFNIVENVPYVLWCGHSICKNCLLGLKWAALKISAQQIQVPLFISCPWCNLLTFRLVYKGNLRIPSKNFFLLWMVESRNGDRVKSPLCTDHQQSWPPRCTSAVENSPANVNHRRPHAHTSASQRPQFSLHKSLDFFLRFTSKFPLVIVLLLLVIFAIPSSAAILVVYLVITIVFAVPSFLVLYFAYPALHWLVKEITS >itb07g22160.t1 pep chromosome:ASM357664v1:7:26636044:26637930:-1 gene:itb07g22160 transcript:itb07g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSISSAIAGFGQKKDCAEARRACDEFSDDDDEICSNDSGDEGLECPICWESFNIVENVPYVLWCGHSICKNCLLGLKWAALKISAQQIQVPLFISCPWCNLLTFRLVYKGNLRIPSKNFFLLWMVESRNGDRVKSPLCTDHQQSWPPRCTSAVENSPANVNHRRPHAHTSASQRPQFSLHKSLDFFLRFTSKFPLVIVLLLLVIFAIPSSAAILVVYLVITIVFAVPSFLVLYFAYPALHWLVKEITS >itb07g22160.t3 pep chromosome:ASM357664v1:7:26636042:26637930:-1 gene:itb07g22160 transcript:itb07g22160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSISSAIAGFGQKKDCAEARRACDEFSDDDDEICSNDSGDEGLECPICWESFNIVENVPYVLWCGHSICKNCLLGLKWAALKISAQQIQVPLFISCPWCNLLTFRLVYKGNLRIPSKNFFLLWMVESRNGDRVKSPLCTDHQQSWPPRCTSAVENSPANVNHRRPHAHTSASQRPQFSLHKSLDFFLRFTSKFPLVIVLLLLVIFAIPSSAAILVVYLVITIVFAVPSFLVLYFAYPALHWLVKEITS >itb14g18000.t4 pep chromosome:ASM357664v1:14:21144004:21145987:-1 gene:itb14g18000 transcript:itb14g18000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIFRLTLSNSPFNSRAIVESPKLNPHSISFRRSRRRILCCAPPDSGAGGDGAGVSLSVGSYAIPHPNKVEKGGEDAFLVSSYNGGVIAVADGVSGWAEQNVNPALFSQELMANAFFFLEHEEVNFDPCILIKKAHAATSSIGSATAIVAMLERSGILKIANVGDCGVKVIRKGQMIFSSSPQEHYFDCPYQLSSEAVGQTYLDATV >itb14g18000.t2 pep chromosome:ASM357664v1:14:21140546:21145931:-1 gene:itb14g18000 transcript:itb14g18000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIFRLTLSNSPFNSRAIVESPKLNPHSISFRRSRRRILCCAPPDSGAGGDGAGVSLSVGSYAIPHPNKVEKGGEDAFLVSSYNGGVIAVADGVSGWAEQNVNPALFSQELMANAFFFLEHEEVNFDPCILIKKAHAATSSIGSATAIVAMLERSGILKIANVGDCGVKVIRKGQMIFSSSPQEHYFDCPYQLSSEAVGQTYLDATV >itb14g18000.t3 pep chromosome:ASM357664v1:14:21141638:21146022:-1 gene:itb14g18000 transcript:itb14g18000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIFRLTLSNSPFNSRAIVESPKLNPHSISFRRSRRRILCCAPPDSGAGGDGAGVSLSVGSYAIPHPNKVEKGGEDAFLVSSYNGGVIAVADGVSGWAEQNVNPALFSQELMANAFFFLEHEEVNFDPCILIKKAHAATSSIGSATAIVAMLERSGILKIANVGDCGVKVIRKGQMIFSSSPQEHYFDCPYQLSSEAVGQTYLDATVSRVEVQEGDTIVMGSDGLFDNVFDHEIVSVVAAQQDASNAGTCFLQSCHT >itb14g18000.t1 pep chromosome:ASM357664v1:14:21141638:21146022:-1 gene:itb14g18000 transcript:itb14g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIFRLTLSNSPFNSRAIVESPKLNPHSISFRRSRRRILCCAPPDSGAGGDGAGVSLSVGSYAIPHPNKVEKGGEDAFLVSSYNGGVIAVADGVSGWAEQNVNPALFSQELMANAFFFLEHEEVNFDPCILIKKAHAATSSIGSATAIVAMLERSGILKIANVGDCGVKVIRKGQMIFSSSPQEHYFDCPYQLSSEAVGQTYLDATVSRVEVQEGDTIVMGSDGLFDNVFDHEIVSVVAAQQDASNAAKALADLAQSHSLDPNFDSPYSQEARARGFDVPWWKKILQMKLTGGKLDDITVIVGQVKG >itb02g11740.t1 pep chromosome:ASM357664v1:2:7838708:7841395:-1 gene:itb02g11740 transcript:itb02g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSFSTQTATPVKRSSFAPNRYFWLCPCTFSTSHSFWFSCSPKACHSGEDCKTLSANNALSSLSILGDSCQIKRTLRHEIKPFSSGIAQRIEEDHVAARSAWGAEAEAIRQDRRRNGSYNNVAYFQVAPDLHSDEYRHIDSSNNSATDKRYDVMRNAKGSLILEHVTYGPKPHYNSSSGQQKAPTNVQFRHHLPQSSVHVSQPRSNELRSINRYSAPEKSITQANIRERLESIYDKVFIVDNISVAKEVVSKLTNDFRDFIHACDTEVAKIDVKQETPVGHGEITCFSIYSGPDADFGNGKTCVWVDVLDGGGKDILVEFAPFFEDPSIKKVWHNYSFDCHIIENYGFKVSGFHADTMHMARLWDSSRRTEGGYSLEALTGDHFVMCDARVSPGEELLGKVSMKTIFGRKKLKKDGTEGKVDIIPSVEELQRVERKSWICYSALDSISTLMLYES >itb01g04530.t1 pep chromosome:ASM357664v1:1:3063105:3065339:1 gene:itb01g04530 transcript:itb01g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MAAYRWKSFFDDEDRPEKPRSYGVTEMRSPHYSLFSRPLLQDIFESMGQFVDGLKFSGGSHSMMPKTYIRDVIDMAHKHNVYVTSGDCAENLLRKGPSHLKEYIEEYKQLGFDTIELDVTSLDIPEETLLRYVRLIKSDGLRVKPQFSVKFNKSDVPLSSNRAFGAYVVPTPRSSDYVEDVDLLVRRAERCLEAGADMIMIDADDLCRHADSVRGDLIAKIIGRLGLEKTMFEASSPQTSEWFVKQYGPKVNLFVDHSQVMDLECLRGQNLGKNHTSILGSSYYLF >itb01g23810.t1 pep chromosome:ASM357664v1:1:29692335:29700554:-1 gene:itb01g23810 transcript:itb01g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTQRNDQHSGAVSFNGSVSGNGFWSKHRDDVSYNQLQKFWSELPSKARQELLRIDKQALFEQARKNMYCSRCNGLLLEGFWQIVTYGKSLQQEGAGMHHACNGIGTLKSQGEDDVQDPSVHPWGGLTTTRDGALTLLDSYIFTKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMAGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSRDTIQVDWHHTFIDTFGTYHHFEWAVGTGEGKSDILEFENVGLSGRVQVNGLDLSGLSACYITLRAWKMDGRCSELSVKAHSMKGQQCIHCRLMVGDGFVTITRGETIRRFFEHAEEAEEEEDDDSMDKDGSDLDGECTRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEEKVHVACKDIITLEKQMKLLEEEEKEKREEEERKERRRIKEKEKKLRRKERLREKEKDREKKSSDLNQNHSDIVKEELISCLDEESKVIENRHSGSEMEEATASSPLCQNIQNDVLLNGYTPSDTQHYADDCADGDIASLKDDSESYPLDDLRYSHQNMKFWKDFQMDSGLKWPGRRLLVSENRDMVSKHEARRHCDGFESSRSTNRLNKQLRSNAAKSNVRNGAPKFTEKFQCANHRQNDRYDFHSCSCNQHTDHRVKVEPSMSRGTWEAKPVSKSGSASDINKQYHISKYSQTEYMRENYASPKNKISTWSNRSNRDLPTTKKVWEPIESRKYPRSNSYSDVTLRSSTFCTETSETDKHLKSSAANSSDEMSEIPVLIRHEETDEQDLRKSSTETKNSCQSGTHLEVKSPECQKEVADVEVELQIRNKSSLQGASDLSIHSSSTSDNCSSCLSEGDSNSSSANLLNPESSSTSDSEDSSQHSEERPLCLQSGFSECHDVAPGVKRTAERRADVNSSVPVSSGADFSVKVPCSSTSISQGEKPSVTLGIQPQAVLPPVGSQNIHFPMFQASTMGYYYQSPVSWAAAPANGLMPFPHPSHYLLTSPFAYGLSGSAPVMHYGALQHMPQPLFNPGHLTVLQSVAQTNGGVNSKEHNKVCCNQGGVKEGAPIGQETVEAPISGTTAQNGKCNKSNVENAGFSLFAFSDPTSVKEGTVGNFTSELSTTDNRNGDHGCCNKKDPIEEYNPFANGIKFSFSDIVK >itb13g09850.t2 pep chromosome:ASM357664v1:13:14264336:14265682:1 gene:itb13g09850 transcript:itb13g09850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNPNPNPNPPLISLQSQPHQLIDLTQLHASPHPNVVSTGLRLAFADHRQHHHHSLSPQSSQSSLFFSVLAEDLGTHIKQQRDEIEQYLRAQGEQLRRTLEEKRQRHYRALLGAAEESLTRRLREKEAEVDKATRRNAELEARAAQLAAEAQAWLARARAQELTAATLQAQLQQAMARPGCSTAHHPPERNDGATAAGEAEDAESAYIDPDRVEVSAGSNCRSCGKRGASVVLLPCRHLCLCRRCDAAAQACPLCLTVRSSSVEVFFC >itb13g09850.t1 pep chromosome:ASM357664v1:13:14263506:14265682:1 gene:itb13g09850 transcript:itb13g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQHHPSNVLFLNRSGAQDGKGNDYSLHPYHAGAGGGTFIDETEMLFNHGVDANSRKRGREHTSSTTAAAMSNPNPNPNPNPPLISLQSQPHQLIDLTQLHASPHPNVVSTGLRLAFADHRQHHHHSLSPQSSQSSLFFSVLAEDLGTHIKQQRDEIEQYLRAQGEQLRRTLEEKRQRHYRALLGAAEESLTRRLREKEAEVDKATRRNAELEARAAQLAAEAQAWLARARAQELTAATLQAQLQQAMARPGCSTAHHPPERNDGATAAGEAEDAESAYIDPDRVEVSAGSNCRSCGKRGASVVLLPCRHLCLCRRCDAAAQACPLCLTVRSSSVEVFFC >itb07g01370.t1 pep chromosome:ASM357664v1:7:845672:852752:-1 gene:itb07g01370 transcript:itb07g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDNNGASDALPPPPPVPPDFTPSKVEAEPVKKKSNRLPMARRNLGTKGQRVPILTNHFKVNVAKVDGHFFHYSVALFYEDGRPVDGKGIGRKVLDRVHETYDTELAGKDFAYDGEKSLFTIGALPRNKLEFTIVLEDVTSNRNNGNCSPGSPNESDKKRIRRPYHSKTYKVEISFAAKIPMQAIANALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTAQDGLSLNIDVSTTMIIQPGPVVDFLIANQNAKDPFSLDWAKAKRMLKNLRVKTSPTNQEYKITGLSEKSCREQLFTLKQKGKDNDGEVQTVEVTVYDYFVNHRNIDLRYSAELPCINVGKPKRPTYFPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMQVLSNALKINNYDAEPLLRSCGISISSNFTQVEGRILPAPKLKVGNGEDFFPRNGRWNFNNKRLVDPSKIERWAVVNFSARCDIRGLVRDLIKCGEMKGITVEAPFDVFEESPQFRRAPPLVRVDKMFEEVQSKLPGAPKFLLCLLPERKNCDIYGPWKRKNLAEYGIVTQCMAPTRVNDQYITNCLLKINAKLGGLNSMLTVEHSPSLPMVSKAPTIILGMDVSHGSPGQTDVPSIAAVVSSRQWPSISRYRASVRTQSAKVEMIDNLFKRVSDTEDEGIMRELLLDFYVSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPKFVVIIAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADELQELVHSLSYVYQRSTTAISVVAPICYAHLAATQLGQWMKFEGSSDTSSNPGGGGSKNGGPAPVPQLPKLEEKVSSSMFFC >itb01g15030.t1 pep chromosome:ASM357664v1:1:17201080:17201888:1 gene:itb01g15030 transcript:itb01g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSQSLPQNPDDVEADFSKYRSLYRAILAGNWDEAQIVFNQEVATIQSPLVNSKETALHVAAKVGNASFMENLVALLFEDGGVNALAPRDAFGSTPIHIAARHGNIEVAKILVLRSSTLLYLPQNDGLFPIHSAASNLSKSKDAFLYFLSVTKDDEHGQPNPYAGPSGVSILVTLIEYKFYGTYTTQSL >itb03g02190.t1 pep chromosome:ASM357664v1:3:1193774:1196834:-1 gene:itb03g02190 transcript:itb03g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARSQQRYQNEINWDKLDKTKFYVLGAGIFTGITVALYPISVVKTRLQVADHYAAEKNAFSVFRGVLKTDGIPGLYRGFGTVITGAIPARIIFLTALETIKVATFRMVEPFKLSEPTQAAIANGVGGMLASLCSQSIFVPIDVVSQRLMVQGYSGHANYNGGLDVVRKVLKSEGIRGLYRGFGLSVVTYSPSSAVWWASYGSSQRLMWTLLGHGTENGQPAPSQGMVVSVQAAGGIIAGATASCITTPLDTIKTRLQVMGHKNDRPNARQVVKQLIAEEGWSGLYRGLGPRFVSMSAWGTSMILAYEYLKRLCAKE >itb14g11720.t2 pep chromosome:ASM357664v1:14:13393212:13396832:-1 gene:itb14g11720 transcript:itb14g11720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEATAAAAAALISSSSAASYRRSYQCYHCNHAFHITATAGTTPFRCPRCYQRHLLPHHTIALTSAPNPSTPPPPLHNSLALDSSDSDSDWTDSEDSFFEFSSSHNPRSPTLKSIVDSLPLVTIADSSSCSICMDEFEVGTGASQLPCDHFFHKDCIVPWLNRSNTCPLCRHKLPKEEEEPEKSSYSHWGLSAEELEAIEVAEYDLERSLARIVGASVDDNSGWIVDPMRDADGDTLMLDAAL >itb14g11720.t1 pep chromosome:ASM357664v1:14:13393212:13396832:-1 gene:itb14g11720 transcript:itb14g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEATAAAAAALISSSSAASYRRSYQCYHCNHAFHITATAGTTPFRCPRCYQRHLLPHHTIALTSAPNPSTPPPPLHNSLALDSSDSDSDWTDSEDSFFEFSSSHNPRSPTLKSIVDSLPLVTIADSSSCSICMDEFEVGTGASQLPCDHFFHKDCIVPWLNRSNTCPLCRHKLPKEEEEPEKSSYSHWGLSAEELEAIEVAEYDLERSLARIVGASVDDNSGWIVDPMRDADGDTLMLDAAL >itb10g13510.t1 pep chromosome:ASM357664v1:10:19743085:19746693:1 gene:itb10g13510 transcript:itb10g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGDKVTRLMLILVLIVVITISTLANVSTAALQVGFYHSTCPHAEAIVRKVVKKAVRRDPSLGAGLLRLYFHDCFVRGCDASVLVDSTHNNKAEKDHPANNPSLRGFDVIDKAKYWVESQCPHTVSCADIVAFAARDSAAVLGGINYGVPAGRRDGRVSLFDDPTRHLPSFLADAEELEKNFGRKGLSLDEMVTLSGAHSVGRSHCSSFTNRLYSSNSSTQLLQDPSLEAELGNKLRQMCPRKTSVDPTAPLDFRTPNRLDNEYYVNLMKGRGVLTSDQTLADSPLTARIVRYNANYGGVWAKKFAAAMVKMGSIEVLTGEEGEIRKSCRVIN >itb15g00740.t2 pep chromosome:ASM357664v1:15:429863:434688:1 gene:itb15g00740 transcript:itb15g00740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MAAPQTPVTTPPASLYVGDLHPDVTDDHLYDVFSEFKSLDSVRVCRDSSTGRSLCYGYVNFISPQDAVQAIEVKNHSTLNGKVIRVSWSRRDPDIRRSGVGNVFIKNLSDVIDNTKLQEMFLRFGNILSCKVAMSDDGKSKGYGFVQFESEDSAIASIEQLNGSLVEGKQLYVGKFIKKSERILLSPDAKYTNLYIKNLDHDITVEHLTEKFSEFGKIASLAISKDVNGASKGFGFVCFENPDDAKQAQEAMDGTQLGSKKLYVARAQKKAEREQILQHQFDVKRKEQIMKFQASNVYLKNIDDDITEDELRELFSQCGSITSAKLMRDDKGMSKGFGFVCFSTPEAAVKAVATLHGFMFHRKPLYVSFAQRKEERQAQLQLQYAQCMTGLQGSSAIFPGRYPPIYYPPHGIVPPVSVRPGLVYQPLGMRPSWRAKGFVNSTRPSFQTSPVPMFPNATRQHRQNRGRVQGNIPAQYSAHMQPTQSVALGKESVNQQRVGQGKYVSSGSLHDMNKASTASTAVAIPDGSGAEGPEMLSSLLAAASPEQQKQILGERLYPLVSERKPDLAAKITGMLLEMDNSELLLLLESPESLAAKVEEALQVLNLSKAKISTPDAIHPNYISAEVAVN >itb15g00740.t1 pep chromosome:ASM357664v1:15:429863:435320:1 gene:itb15g00740 transcript:itb15g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MAAPQTPVTTPPASLYVGDLHPDVTDDHLYDVFSEFKSLDSVRVCRDSSTGRSLCYGYVNFISPQDAVQAIEVKNHSTLNGKVIRVSWSRRDPDIRRSGVGNVFIKNLSDVIDNTKLQEMFLRFGNILSCKVAMSDDGKSKGYGFVQFESEDSAIASIEQLNGSLVEGKQLYVGKFIKKSERILLSPDAKYTNLYIKNLDHDITVEHLTEKFSEFGKIASLAISKDVNGASKGFGFVCFENPDDAKQAQEAMDGTQLGSKKLYVARAQKKAEREQILQHQFDVKRKEQIMKFQASNVYLKNIDDDITEDELRELFSQCGSITSAKLMRDDKGMSKGFGFVCFSTPEAAVKAVATLHGFMFHRKPLYVSFAQRKEERQAQLQLQYAQCMTGLQGSSAIFPGRYPPIYYPPHGIVPPVSVRPGLVYQPLGMRPSWRAKGFVNSTRPSFQTSPVPMFPNATRQHRQNRGRVQGNIPAQYSAHMQPTQSVALGKESVNQQRVGQGKYVSSGSLHDMNKASTASTAVAIPDGSGAEGPEMLSSLLAAASPEQQKQILGERLYPLVSERKPDLAAKITGMLLEMDNSELLLLLESPESLAAKVEEALQGADALKLPIQI >itb10g02640.t1 pep chromosome:ASM357664v1:10:2247487:2249368:-1 gene:itb10g02640 transcript:itb10g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSLKLLVALALVFATSAYLAASRTLSDSLMVERHEQWMAQYGRVYKDEVEKAKRYNIFKGNVEYIESFNKAGNKPYKLGINQFADLTNQEFRASRNGYKLSLPHQYSSSDKLFRYENVSVVPAAVDWRKKGAVTPVKDQGDCGSCWAFSAVAAVEGITKLSTGKLMSLSEQELVDCDFRRQDYGCQGGFMDDAFKFIISNKGLTTESNYPYQGTDGSCNKTKSSDHAAKISGYEDVPANSESALEKAVAKQPVSVAIDAGGDFWSYSSGVFTGECGTELNHGVTVVGYGKTENGTKYWLVKNSWGTSWGENGYIRMQKDIGAKEGLCGIAMRGSYPTA >itb10g15540.t1 pep chromosome:ASM357664v1:10:21723270:21735426:1 gene:itb10g15540 transcript:itb10g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHGDKGRPSKKFKFSSKEEFRSAETDSYYPDEINDDPRDGEGEAKKRDFTKLELKPDHGNRPLWACADGRIFLETFSALYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLSKLSKTKLPKEMIDFVHASTANYGKVKLVLKKNRYFIESPFPEVLKRLLQDEVIGRSRLMSGGLHGSDGFTVSKSAGEIEGRHDELLNEAELAAAAEEKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILEAFKTSKDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPSDSGPELSYHRLDEQLSLLGKVLSAGDDAVGLEQLEEDTDDIALQKARRTMGSMSAMSGAHGMVYMEYHNGKKVHGHKSKPKDPAKRHHLFKKRFG >itb07g07290.t1 pep chromosome:ASM357664v1:7:5553384:5553785:1 gene:itb07g07290 transcript:itb07g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIEWAARPNHLGGVPRKMVIAAVGCFSKAVANLINTTTVHNADTLLRLVRSRPPGSPLITVSNHMSTLDDPVMWGFKGFPTCDAKLARWVLAAEDICFKNSVLSYFFRLGKCIPIKRGGGIYQEHMNEVH >itb10g21200.t2 pep chromosome:ASM357664v1:10:26506142:26508911:-1 gene:itb10g21200 transcript:itb10g21200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKSAIEGATKWDPGNSRLSRDVYHFHSHVRLCRTQQTPCLSFASALQFEVLKARNRVKELEVENKHSRKKLKHFVNKLSEERAFWMRKEHQKMRKIVDELKGELRRERRNCQQLDMLNSKLLSDLADTKVSVRRYMQDYEKERKAREILEKVCTDLAKKVEEDSAEIEALDGECTKIRDEVDEERKMLQLSEVWREERVQMKLVDARLILEEKYSEMSDLISGLETFLKSRNVSADTIEIGEARMIKRAIDTLKNHETPKFSPVSPLSNDKYAVSEGPETSRAKELGIDYYLGNSPSHASGIHNVNPGSDSFRKFIRSNYSKSFVDNDEGCKDANSTGTVTQVDDQRSNHTCGGSEKSVNRVVQNRYVKQNSDQGSPGFETSEISCVQPKHSKKKGTTLRKLWSSSPNNDDECRTTTSPDENRRLSNGSSHNIDVTSLEKVSSVEVTNSHDGLVNLSCSPVLGNHHIARAMKGCIEWPRGNPRQGLKAKLLEARLESQKSQLRNILKQRT >itb10g21200.t1 pep chromosome:ASM357664v1:10:26506142:26509076:-1 gene:itb10g21200 transcript:itb10g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITDEACKSWPASWRSVEGDAKLRRLRMRKIHHHGGARRRTGGSGVATPLLSWKFEDGDNTRLAVSGGGGAPRRAAKSGELVAVSARKLAAGLWQLAAEIGLHSIDGGGAKWDCGRVLDRLGFELHSWMQLPKSAIEGATKWDPGNSRLSRDVYHFHSHVRLCRTQQTPCLSFASALQFEVLKARNRVKELEVENKHSRKKLKHFVNKLSEERAFWMRKEHQKMRKIVDELKGELRRERRNCQQLDMLNSKLLSDLADTKVSVRRYMQDYEKERKAREILEKVCTDLAKKVEEDSAEIEALDGECTKIRDEVDEERKMLQLSEVWREERVQMKLVDARLILEEKYSEMSDLISGLETFLKSRNVSADTIEIGEARMIKRAIDTLKNHETPKFSPVSPLSNDKYAVSEGPETSRAKELGIDYYLGNSPSHASGIHNVNPGSDSFRKFIRSNYSKSFVDNDEGCKDANSTGTVTQVDDQRSNHTCGGSEKSVNRVVQNRYVKQNSDQGSPGFETSEISCVQPKHSKKKGTTLRKLWSSSPNNDDECRTTTSPDENRRLSNGSSHNIDVTSLEKVSSVEVTNSHDGLVNLSCSPVLGNHHIARAMKGCIEWPRGNPRQGLKAKLLEARLESQKSQLRNILKQRT >itb12g03610.t1 pep chromosome:ASM357664v1:12:2374533:2376823:-1 gene:itb12g03610 transcript:itb12g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALRLATARTPPPALCSVSPLPHRLRGRSHIRLRRPVTTGAAGSCPDAADDMQKVNDTEKPAPPPPAAAQPPKDMRRGRTRKALEGSTAEIHRKKKENTTKLSQEMLLLPLVTAFYVFEDVRFTGAAEKQRFRRLVSKKIAGLY >itb06g14480.t1 pep chromosome:ASM357664v1:6:19030161:19032156:-1 gene:itb06g14480 transcript:itb06g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAAAAAKQAAAAGTPQPGNANGSGGSQEIRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARTLRGPKAKTNFPLPINSHLPPYPHHQFIHTSNAPHDPFIDPRMYSQDPQIISTRPTSSGMSSTVESFSGPRPPRLQTTTPPARHHPRSPPVVPDDCHSDCDSSSSVVEDGDYENFASSSFRKPLPFDLNMPPPMEAVHAGAEAEADDLHCTALCL >itb14g09690.t1 pep chromosome:ASM357664v1:14:10206645:10207569:1 gene:itb14g09690 transcript:itb14g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLPSILLIILSVALSVAAHNSTTSNETRLLKTCTEALGQGDASLVSFCAYDFLGHKAAILAACGKREAAVIVVNEARKKAKIVEDFKSKIDSDKSLGKKEVKDLKSCWESMNSFIKTVGKVYVNVVVKKLSADVVKENISEKIARVTGQCKFSDAERQSGLWTEFHAKADASFNAQIVALAFMNQYRPI >itb01g24450.t2 pep chromosome:ASM357664v1:1:30082921:30087613:1 gene:itb01g24450 transcript:itb01g24450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSTLKVGREGNKIVTAGMPSFISQTPVSNPMGTEGNTTQNPVISDYGVLEQYLGFRIGDGANASRRPLLSSTSGTNSLMGTDVSGALNKNLASLSTSLSAAATGSQALQLQRGIQTNQVPLSTHHENWAESQMADSSSHTDTSTDMDADDKNQGHEMGQSAGVLASDSSDKSKGKNVDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSGDQSHGAGTNGALAFDVEYGRWLEEQNRHINELRTAVNAHASDTDLRTITDNVKAHFDEIYRLKGNAAKADVFHVLSGMWKTPAERCFMWIGGFRPSELLKLLVNQLEPLTEQQSEGIYSLQQSSHEAEDALSQGMDALQQSLAETLAKGASGPEGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARSLLAIHDYFSRLRALSSLWLARPRE >itb01g24450.t1 pep chromosome:ASM357664v1:1:30082921:30087616:1 gene:itb01g24450 transcript:itb01g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSTLKVGREGNKIVTAGMPSFISQTPVSNPMGTEGNTTQNPVISDYGVLEQYLGFRIGDGANASRRPLLSSTSGTNSLMGTDVSGALNKNLASLSTSLSAAATGSQALQLQRGIQTNQVPLSTHHENWAESQMADSSSHTDTSTDMDADDKNQGHEMGQSAGVLASDSSDKSKGKNVDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSGDQSHGAGTNGALAFDVEYGRWLEEQNRHINELRTAVNAHASDTDLRTITDNVKAHFDEIYRLKGNAAKADVFHVLSGMWKTPAERCFMWIGGFRPSELLKLLVNQLEPLTEQQSEGIYSLQQSSHEAEDALSQGMDALQQSLAETLAKGASGPEGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARSLLAIHDYFSRLRALSSLWLARPRE >itb09g19820.t2 pep chromosome:ASM357664v1:9:16143082:16146432:1 gene:itb09g19820 transcript:itb09g19820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKAGESAVEKIVNLAEEAKLAREEIKPTSHAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIIPNSAVKFFSYEQASKGILWLYREQTGNARGHCSVDGKSSICQAWGCGFELRTATSCTLSRSLGLYPFQPFQRPRLRGHHRTRSYCIHWCFDELLQDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPYQYRGMLHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLLKSNPLGLVEDSELGVVTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASIVTGEGKGRAALEYTGMIDAFRKTVRHEGVRALYKGLVPNSVKVVPSIAIAFVTYEQVKEILGVEIKISD >itb09g19820.t1 pep chromosome:ASM357664v1:9:16142604:16146866:1 gene:itb09g19820 transcript:itb09g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKAGESAVEKIVNLAEEAKLAREEIKPTSHAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIIPNSAVKFFSYEQASKGILWLYREQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPYQYRGMLHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLLKSNPLGLVEDSELGVVTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASIVTGEGKGRAALEYTGMIDAFRKTVRHEGVRALYKGLVPNSVKVVPSIAIAFVTYEQVKEILGVEIKISD >itb02g07260.t1 pep chromosome:ASM357664v1:2:4497496:4499156:-1 gene:itb02g07260 transcript:itb02g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDEKNIPQSTSNIIGEFKPLDVEEFRRQAHRMVDFIADYYNNIESYPVLSQVEPGYLRNGQPPTAPNRPEEFETILSDVHKDILPGMTHWLSPNFFAFFPATVSSAAFVGEMLCTCFNSVGFNWLASPAATELEMVVMDWLGNMLKLPKSFMFGGSGGGVIQGTTSEAILCTLIAARDRALGIIGYDNVGKLVVYGSDQTHSTYEKACKLAGIFPCNIRIIPTSHDTNFSLSPVALRGVIESDVAAGLVPLFLCATVGTTSTNAVDPVSRLSDVAGEHNIWVHVDAAYGGSACICPEFRHHLDGIERVDSLSLSPHKWLLTYLDCCCLWVREPGSLVKALSTYPEYLRNKRSDHEAVVDYKDWQLGTSRRFRSLRLWLVLRTYGVANLQTHIRSDVRLAKMFEGLVGSDPRFEIVAPRVFSLVCFRLKGSEILNMKLLECVNSTGRAYMSHTIAGGVYMLRFAVGATLTEDHHVATAWKLIQDSADALLANQ >itb03g14110.t1 pep chromosome:ASM357664v1:3:13978824:13981281:-1 gene:itb03g14110 transcript:itb03g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWRKKQSDVMRFLLRVRCWEYRQLPSIVRVTRSTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYYEVILVDPAHAAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >itb15g15760.t2 pep chromosome:ASM357664v1:15:14817901:14820535:-1 gene:itb15g15760 transcript:itb15g15760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSAEDLGIKHENSKSEKEDSEYVRLVITDEASTSGADLFQQPTETRKGDLRWWSKTIMWCSICIIIILIFIKWGVPFLFEKVLIPILQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTSIGMIFPFLVGLLFRDRIHQWLKRWPEKAAMIRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTNMRFWPYFFGSVAGMIPESFVYIYR >itb15g15760.t3 pep chromosome:ASM357664v1:15:14816832:14820279:-1 gene:itb15g15760 transcript:itb15g15760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSAEDLGIKHENSKSEKEDSEYVRLVITDEASTSGADLFQQPTETRKGDLRWWSKTIMWCSICIIIILIFIKWGVPFLFEKVLIPILQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTSIGMIFPFLVGLLFRDRIHQWLKRWPEKAAMIRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTNMRFWPYFFGSVAGMIPESFVYIYSGRLIRTFADVKYGNHHLTKVEIIYNIISFIIAIMIIAVFTAYAKRTLSELQQAERNGGESPTTYSNAKLEMEPLPLEKPMHRNLRL >itb15g15760.t1 pep chromosome:ASM357664v1:15:14816827:14820542:-1 gene:itb15g15760 transcript:itb15g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSAEDLGIKHENSKSEKEDSEYVRLVITDEASTSGADLFQQPTETRKGDLRWWSKTIMWCSICIIIILIFIKWGVPFLFEKVLIPILQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTSIGMIFPFLVGLLFRDRIHQWLKRWPEKAAMIRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTNMRFWPYFFGSVAGMIPESFVYIYSGRLIRTFADVKYGNHHLTKVEIIYNIISFIIAIMIIAVFTAYAKRTLSELQQAERNGGESPTTYSNAKLEMEPLPLEKPMHRNLRL >itb07g04200.t1 pep chromosome:ASM357664v1:7:2821016:2828378:-1 gene:itb07g04200 transcript:itb07g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILSLPLTFFLFLLLFYFSSADNNSDGDGRSNGNGNYSGSFLKVYSFGESETDTGNAHAIGNLKEHVQRVSSLPQTFHTYNNRRLTDGRLIIDHVAESLSLQPPIPYQSVSGTARSGASASFSHHHQISSSHSFSMSSHHNVSTSVHGGAASGSMSAHGGASGSMSAHGGASGSMSTHGGASASVSAHGGASASVSAHAGASASMSAHGGSSVSMSAHGGSSSETSAHGGSSSSMSAHGGSSASMSAHGGSSSSMSSHGESSSSMSSHGGSSSSMSSHGGSSSSMSSHGGSSSEMSGHGGASSEMSSDGSSNNGKDESSSSSKSNADESSAKSTDESSSKGGTDDESSKGSTDTSSSKNSTSESSSKSGKDESSSKGGTDDTSSSKSSTDESSSKSSTEESSSKGGTGDESSKRSTDTSSLKNSTGESSSQSNTDESSSKNDKDESSKGAKDESSSKGGTNDTSSSKSSTDESSTKGSTDNTSSSKSNTNESSSKSSIDESSSKNDKDDSSSKGGTDDTSSSKSSIDESSSKGGTDDESSKGNTDTSSSKSSTGESSSKSSADESSSKSNKDESSSTDGSSASSTNNSTNASSSQSNTNSSSSISGNDGSSSNSSTNDSSSKTSTGRSSSIDGKDTSSSENCTYASSSKTKNNTTSSSSMGSCDHSPSEGGKDYSNSKSHKDHSSSKNHKDSSKSKSSTDESSSKGGTDDESSKGSTDTSSSKNSTGESSSKSSIDESSSKDGKDESSSKDDTDESSSKGGIDDTSSSKSNTDESSSKNSTDESSSKSGKDDSSSKGDTNDTSSSKSSTDDSSSKGDIDDLSSKGSTNTSSSKSSTSESSSNNSTNESSSKSGKDESSSKGGTDDTSSSKSSTNESSSKNNTDESSSKSGKDDSSSKGGTDDTSSSKSSIEESSSKSGTDDESSKGNTNTSSSKSSTDESSSKSSADEASSKSSKDESSSTGGSDTSSTNNSTNASSSQNNTDSSSSISGTDGSSSNSSTNDSSSKTNADHSSSIGGIDTSSSVNCTYASSSKTENNTTSSSLMGGCDHSPSTGGKDYSNSKSHKDHSSSKNHKDSSKSKSHKDHSSSKSHKDSSKSKSHKDHSSSKSHKESSKSKSDKDHTSSKSHKESSQSKSDKDHSSSKSHKESSKSKSVKEHSSSKNHKESSKSKSYEDHSSLKSHEHSSKLKSDKDHSPSKSHKESSKSKSVKEHSSSNSNKNSSKSKSYEDHSSSKSNKHSSKLKSDKDHSSSKRHKDSSKSKTHNDHSSSKDNKESSSAKCNNDYSSSQSGKDSSLSQISNNSSSSQSSKDYSSAESSQDNSSPKGGIDVSSSSGNIDASSSNNGSNTSSSNCNTDTSSSKSNVDTSSSNINTDTSSSKSNANTSSLNDNTNDDTNNDSNTSSSESNANTSSSNDDTNASSSNNDTNNASNTSSSNSNTNTSSSKSNADTSSSNSNTDTSSSKSNADKSSSNNDTNGSSSKSNKDISSSNEDTNASSSNTDTSSSKNNTDASSSEDNKDTSSSNSETGTSSSNSNTNGSNDDTNASNSNTNGSNDDTNASSSNEDTNASSSNTDTSSSKNNTDASSSKDNKDTSSSNSNTNGSNDDTNASSSNEDTNASSSNTDTSSSKNNTGASSSEDNKDTSSSNSEIGTSSSNSNTNGSNDDTNVSSSNEDTNASSSNTDTSSSKNNTGASSLEDNKDTSSSNSETGTSSSNSNTNGSNDDTNASSSNEDTNASSSNTDTSSSKNNTGASSLEDNKDTSSSNSETGTSSSNSNTNGSNDDTNVSSSNEDTNASSSNTDTSSSKNNTDASSSEDNKDTSSSNSNTNGSNDDTNASSSNTDTLSSKNNTNASSSENNKDTSSSNSETGTSSSNSNTNGSNDDTNTSSSKSNKDISSSNDDTNASSSNTDTSSSKNNTDASSSKNNKDTSSSNSEIGTSSSKSNTDDSSSNSNTEDSSSKGNIDASMSNNNTSTSSSQSNTHDSSSTSNTNTSPSESNTDSASSNSGTNASSANNTNSSSSKSGTNDSSSMDKEGGSSLNNQTGASGETNDSSSMDNTGASSAKSHIGGSSSHSISGGSSSHSISGGSSSHSTSSGSSSHGISGDSSSHGISAGGSLSHNVSWSRHSHKSSSWSSSGTSASMSSESGMNFAIAGSTSLSREYYTSNKAHSFTWTNIPLNFHSQIIWFNKFLQSKGCHNAADARCKADIENALFWLGAIGVSDYFQINSKSIVSMRGISQLCIHHTTQLLQAVLNAGAKHIVVQGLPPVGCFPVSISSCPAQELDQMGCNSNVNTAIDLHNKLLQKIIERFRRQYPQCTIIYANYWKAFLTIFNDPGNYNFKETKKACCGGGGGEFNFDRNTMCGMSGASKCSDPDDYISWDGIHLSGAMNKHLSELLLNQDYCEPPFSELVNKKTSTGLMQK >itb09g14440.t1 pep chromosome:ASM357664v1:9:9714785:9728344:1 gene:itb09g14440 transcript:itb09g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTLTVASHHFSSSSFSSLPPTKVYLSDIPRRSYNPPSSSSWIGSTHSLSFSSRNSFTREAWRVINLKSAVNSRKNSLIRADMFGQLTSGLEAAWNKLKGEEALTRENIAEPMRDIRRALLEADVSLPVVRRFIESVTENAVGTSLIRGVRPDQQLVKIVHEELVKLMGGEVSELVFAKSGPTVILLAGLQGVGKTTVSAKLAFYLKKQGKSCMLIAGDVYRPAAIDQLAILGEKVGVPVYKAGTGVRPADIARQGLAEAKRNKVDVVIMDTAGRLQIDKEMMDELKEVKRVLNPTEVLLVVDAMTGQEAAALVASFNLEIGITGAVMTKLDGDSRGGAALSVKEISGKPIKLVGRGERMEDLEPFYPDRMASRILGMGDVLSFVEKAQEVMRQEEAEELQKKIMSAKFDFNDFLKQTRAIAQMGSMAQVIGMVPGMSKVTPAQIRQAEKSFKMMESMIEAMTPEERENPELLASNPVRRRRIAQDSGRTEQQVSQVIAQFFQVRARMKNMMGAMEGGSVSNFEEAMQFEQQATAGTAKRKQKSKSRKLFTESASTRPSARGFGAK >itb09g07770.t1 pep chromosome:ASM357664v1:9:4605761:4608792:1 gene:itb09g07770 transcript:itb09g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSAFLSCILLVSLSSSSSSQFADNGCYTSIFAFGDSLTDTGNYLSLCLKGLQTYDRFPFIGLPPYGETFFDRPTGRCSDGRIVLDFIAEHYGLPHVQPYFGGEKLNLEAGVNFAVAGVPALDVEFHQERGIDFRNNISMRTQLKWFKDLLPSLCKNSSCKEKFKRSLVVFGPFGGDDYANTMFQKSIEDAQSIQPVIVDAITSAIEDLIELGVVNLMVPGMLADGCLAITLSFFYTSYNAQDYDPDTGCLTWLNKFDENHNNLLQTALVGIRERHPHVSIVYADYYNASLQLYRSSETFGFIKRALKACCGGGGPYNYNSSVPCGYAPSHACADPSSYINWDGAHLTDEAYKWISKGLLNGDFTIPSINSLCLPYENKAYV >itb14g21310.t1 pep chromosome:ASM357664v1:14:23377557:23383013:-1 gene:itb14g21310 transcript:itb14g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIAARRVTGGSTRSVSSQTCWRYFSTSFREERDTFGPIQVPSDKLWGAQTQRSLQNFEIGGDRERMPEPIIRAFGILKKCAAKVNMEYGLEPSIGKAVMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKAVHPNDHVNRSQSSNDTFPTVMHIAAAMEINKRLLPNLKQLHTSLHSKSVEFKDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRVSVTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVESSGALNTIAASLMKIANDIRFLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVFGNHVGLTVGGSNGHFELNVFKPMIANSLLHSVRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTCLNPKIGYDNAAAVAKKAHKEGTSLKEAALNLKVLNAEEFDQLVVPEKMIGPTD >itb13g18290.t1 pep chromosome:ASM357664v1:13:25262455:25265380:-1 gene:itb13g18290 transcript:itb13g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTGGKAEAFSGGYDRKSELKAFDDMKTGVKGLVDSGITKLPRIFIHEQKKKEESEKLKPASTFKVPIIDMEGVHTDPTRRSEIIKEIRDACQTWGFFQILNHGIPTQVLDEMIEGVREFHEQDSEVKKQFYSRDPTRKVGFNTNFDFHTSPAATWRDTFYSIMAPHPPTPEELPQVCRDILIKYTNSVQKLGLCLLELFSEALGLDKNYLEKLGCAVGLSIFGQYYPACPEPELTFAIRDHSDSGFFTVLIQDPHIGGLQLFHENQWVDLPPLHGALVVNVADLLQLITNDKFKSALHRVIAQKIGPRVSVASFFRTRLGDVDAKKVYGPIKELVSDENPPVYRETTTEEYLMHFYKKGLDGTSGLLKFRLQKEDN >itb07g03180.t2 pep chromosome:ASM357664v1:7:2162477:2166653:-1 gene:itb07g03180 transcript:itb07g03180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLGALYNGALLANLVIALFALVAIESSSQSLGRTYAALLFCAILLDISWFILFAHEIWNVSSETYGGFVMFSVKLTLTMQIIGFCVRLSSSLLWIQMYRLGISYLDNSIPQEAYADLRHSFLNPATPSIIRQPSGADDVLGGSIYDPQYYSSLFGDGKDEAYLSETIQNHEMVVGSTTAEEITQVKPSMSRSVQSMDGRDVTRNLLHV >itb07g03180.t1 pep chromosome:ASM357664v1:7:2162476:2166653:-1 gene:itb07g03180 transcript:itb07g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCAALRTYIRTWLRDYVRIQGFAVILLYVQIGCAMIGSLGALYNGALLANLVIALFALVAIESSSQSLGRTYAALLFCAILLDISWFILFAHEIWNVSSETYGGFVMFSVKLTLTMQIIGFCVRLSSSLLWIQMYRLGISYLDNSIPQEAYADLRHSFLNPATPSIIRQPSGADDVLGGSIYDPQYYSSLFGDGKDEAYLSETIQNHEMVVGSTTAEEITQVKPSMSRSVQSMDGRDVTRNLLHV >itb15g02940.t1 pep chromosome:ASM357664v1:15:1873904:1874327:-1 gene:itb15g02940 transcript:itb15g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb10g13110.t2 pep chromosome:ASM357664v1:10:19195174:19207322:-1 gene:itb10g13110 transcript:itb10g13110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLISLSNSSSATTVARWDSPAAVYSSGALAPRSLRFCGLRREALGARSLNSSVCSTRFTSLKLSHSKRITSALAGNGAPSQGGFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDIVGGTCVNRGCVPSKALLAVSGRLRELQNEHHMKSFGLQVAAAGYDRQAVADHANNLASKIRSNLTNSLKALGVDILTGVGTILGPQKVKIGSGDTVVTAKDIIIATGSTPFVPKGIEVDGKTVITSDHALKLESVPEWIAIIGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFASKITPARDGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTHGLGLENINVQTQRGFVPVDERMRVIDANGELVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEISVSKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLVHEASNAIAMGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKVDSHSPVHEPVVKTPIPPRRRESCNQKTHNSQIKIPKSQIEIPTDETQSQFETNPQIRSVAPIRNISLFTILLLQSENPRYYVRCWFVEEFPLRSFNERSSDEADLILCYLLPGCEEPTEGIVGEEPTEGIHGEEPNEGIVGEPNEGRQSVGDEANEESDEVNEESDDEGNDESDDEGNEGDEDNYDSARDATEFSESENDFGGVTQEDNTGQGLEGEDLEIANGKRSEIKAKVKTTKDKASERNEVEGEACSDDYYYESEDPPSQDSEEEEVVTQTTTPPRKKLKLPSYNPEIYRRIYSHTIQPLNGEISWPRTNCEEIQAPLPRPMTGRPKKKRTREPNELPVGKISRQGRIITCSICFQKGHQKKVCPTKRSVPGIGGSVSSVDSASTSMPSAASAVDASSNMPFAAFAECVAPTSRRNKPRKRAGGFGVYINQNTGAQILNPGGRRQQVLSVGRNASARAKKKQ >itb06g15860.t3 pep chromosome:ASM357664v1:6:20112175:20117182:1 gene:itb06g15860 transcript:itb06g15860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREGDIYSMYKRAFSKDHPQPHEREEHPHSYEREEHPYPHDKEEAGLLQNGFYKEELGNPSWKRPLPHILVATLSSFLFGYHLGVVNETLETISLDLGFGGSTMAEGLVVSTCLAGAFVGSIFSGWIADGVGRRRAFQLSALPMIIGASMSATTSTIGSMLLGRFFVGTGMGLGPPVAALYVAEVSPAFVRGTYGSFTQIATCLGIMGSLFIGIPSKNVVGWWRICFWASTVPAALLAILMEFCVESPHWLVKRGRTGAAEEELEKLVGGSHVKSAMAEFSKTEKVDEGDNVKMSDLLHGLHFRVVFIGSALFALQQLSGINAVFYFSSTVFKSAGVPSDIANICIGIVNLTGSIIAMTLMDRLGRKVLLLWSFFGMACSMFSQVLAAVSFIPDSAKVYLSVGGILM >itb06g15860.t1 pep chromosome:ASM357664v1:6:20112124:20117182:1 gene:itb06g15860 transcript:itb06g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREGDIYSMYKRAFSKDHPQPHEREEHPHSYEREEHPYPHDKEEAGLLQNGFYKEELGNPSWKRPLPHILVATLSSFLFGYHLGVVNETLETISLDLGFGGSTMAEGLVVSTCLAGAFVGSIFSGWIADGVGRRRAFQLSALPMIIGASMSATTSTIGSMLLGRFFVGTGMGLGPPVAALYVAEVSPAFVRGTYGSFTQIATCLGIMGSLFIGIPSKNVVGWWRICFWASTVPAALLAILMEFCVESPHWLVKRGRTGAAEEELEKLVGGSHVKSAMAEFSKTEKVDEGDNVKMSDLLHGLHFRVVFIGSALFALQQLSGINAVFYFSSTVFKSAGVPSDIANICIGIVNLTGSIIAMTLMDRLGRKVLLLWSFFGMACSMFSQVLAAVSFIPDSAKVYLSVGGILMCVLTFSFGAGPVPSLLLSEIFPGRIRAKAMAICMAVHWVINFFVGLLFLRMLEQLGPQVVYTTFASFCLIAVVFVRKNVVETKGKTLQEIEFALVLSH >itb06g15860.t4 pep chromosome:ASM357664v1:6:20112124:20117182:1 gene:itb06g15860 transcript:itb06g15860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREGDIYSMYKRAFSKDHPQPHEREEHPHSYEREEHPYPHDKEEAGLLQNGFYKEELGNPSWKRPLPHILVATLSSFLFGYHLGVVNETLETISLDLGFGGSTMAEGLVVSTCLAGAFVGSIFSGWIADGVGRRRAFQLSALPMIIGASMSATTSTIGSMLLGRFFVGTGMGLGPPVAALYVAEVSPAFVRGTYGSFTQIATCLGIMGSLFIGIPSKNVVGWWRICFWASTVPAALLAILMEFCVESPHWLVKRGRTGAAEEELEKLVGGSHVKSAMAEFSKTEKVDEGDNVKMSDLLHGLHFRVVFIGSALFALQQLSGINAVFYFSSTVFKSAGVPSDIANICIGIVNLTGMLNVFSSIGSSLIYSRLGKSVSICWWHPNVCPDIFFWRWASA >itb06g15860.t2 pep chromosome:ASM357664v1:6:20112748:20117047:1 gene:itb06g15860 transcript:itb06g15860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREGDIYSMYKRAFSKDHPQPHEREEHPHSYEREEHPYPHDKEEAGLLQNGFYKEELGNPSWKRPLPHILVATLSSFLFGYHLGVVNETLETISLDLGFGGSTMAEGLVVSTCLAGAFVGSIFSGWIADGVGRRRAFQLSALPMIIGASMSATTSTIGSMLLGRFFVGTGMGLGPPVAALYVAEVSPAFVRGTYGSFTQIATCLGIMGSLFIGIPSKNVVGWWRICFWASTVPAALLAILMEFCVESPHWLVKRGRTGAAEEELEKLVGGSHVKSAMAEFSKTEKVDEGDNVKMSDLLHGLHFRVVFIGSALFALQQLSGINAVFYFSSTVFKSAGVPSDIANICIGIVNLTGSIIAMTLMDRLGRKVLLLWSFFGMACSMFSQVLAAVSFIPDSAKVYLSVGGILMCVLTFSFGAGPVPSLLLSEIFPGRIRAKAMAICMAVHWVINFFVGLLFLRMLEQLGPQVVYTTFASFCLIAVVFVRKNVVETKGKTLQEIEFALVLSH >itb02g11550.t1 pep chromosome:ASM357664v1:2:7701125:7705207:1 gene:itb02g11550 transcript:itb02g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHKEPEIRKVHLGIAVLRILALGIKDVQSFDFIDAPSPKAIEMAIRNLIHLGAIACIDDGYELTADGHYLVKLGIEPRLGKIILSCFHRCLGKEGLVLAAVMANSNSIFCRVGAEVDKLKSDCLKVQFSHPDGDLFTLLSVYKEWDAVPQEKKNAWCWNNSINAKTMRRCQETVEELQVCLQNELSIVVPTYWHWDQHMHTEHDETLKHIILSSLSENVAMFSGYDQLGYEVALTGKHVKLHPSCSLLNFCQRPTWVVFGDILASAEEYLVCVTAFDFKNLATSFPPPLFDFSKMDAQKLQKKTLTGFGSMLLKRLRGKSNCHINRFVSRMRTLCMDERIGVEVNVDQNEIAIYASTRDMERVFEDLNEAVEYEYKLLKNECLEKCLYYRGYASSAPTALFGAGAEIKHMELEKRCLTVDVFLSKGNSFDDKELLMFLERNAGDICVVHRSSGVGQDSGEMERWGRVTFVTPNAAERASALNSVPLSGGIVKVVPSKTMYDGDQHMITSPLLKAKVHWPRKESKGIAIVKCHPKDVAFMVDDFSSVLIGGRRVQCKLSIEHPDSIEIKKFDSELSEAEIFEVLSPVTDREILDLFLLRGNTVEGPPLVACEEALLREISSFMPKRNPYGNSTRVQVFYPEPKDYRMKAAITFDGSLYLEAARALEEMNGKVLPGCLSWQKIQCQQLFSSSVSCLAAVYHVIKNQLDTLLASFRHRKGVECNLHRNENGSYRVTISAGATKLVAEVRKPFEQLMKGKIIDHGGITPTVLQHLFAREGIVLMKSIQQETGTYILFDRHTHTLRIFGSSNKIDMAEKKFVDLLLSLHESKQLEVHLRGEALPPNLMKRVVQRFGADLNGIKEMFPEANFSLNVKQHCISISGPKEVKQKVEDVIYEMAQTSSLQNQRSDDEADCPICLCEVEESFKLENCFHVFCRSCLVEQCVCHQKQRRASLAAFVAASGGSYRFCPSPDCPLVYRAADPGTTGEPFICVACYAETCTSCHLEYHPFISCVKYREIKDDPDYNSLKAWKKGKENVKNCPDCSLTIEKVDGCNHIECKCGKHVCWVCLEFFATSDDCYDHLRSVHSTII >itb02g11550.t2 pep chromosome:ASM357664v1:2:7701125:7703314:1 gene:itb02g11550 transcript:itb02g11550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHKEPEIRKVHLGIAVLRILALGIKDVQSFDFIDAPSPKAIEMAIRNLIHLGAIACIDDGYELTADGHYLVKLGIEPRLGKIILSCFHRCLGKEGLVLAAVMANSNSIFCRVGAEVDKLKSDCLKVQFSHPDGDLFTLLSVYKEWDAVPQEKKNAWCWNNSINAKTMRRCQETVEELQVCLQNELSIVVPTYWHWDQHMHTEHDETLKHIILSSLSENVAMFSGYDQLGYEVALTGKHVKLHPSCSLLNFCQRPTWVVFGDILASAEEYLVCVTAFDFKNLATSFPPPLFDFSKMDAQKLQKKTLTGFGSMLLKRLRGKSNCHINRFVSRMRTLCMDERIGVEVNVDQNEIAIYASTRDMERVFEDLNEAVEYEYKLLKNECLEKCLYYRGYASSAPTALFGAGAEIKHMELEKRCLTVDVFLSKGNSFDDKELLMFLERNAGDICVVHRSSGVGQDSGEMERWGRVTFVTPNAAERASALNSVPLSGGIVKVVPSKTMYDGDQHMITSPLLKAKVHWPRKESKGIAIVKCHPKDVAFMVDDFSSVLIGGRRVQCKLSIEHPDSIEIKKFDSELSEAEIFEVLSPVTDREILDLFLLRGNTVEGPPLVACEEALLREISSFMPKRNPYGNSTRVQVFYPEPKDYRMKAAITFDGSLYLEAARALEEMNGKVLPGCLSWQKIQCQQLFSSSVSCLAAVYHVIKNQLDTLLASFRHRKGICYNQLHICS >itb13g07100.t1 pep chromosome:ASM357664v1:13:8770018:8770563:1 gene:itb13g07100 transcript:itb13g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAASSLTLFLALNLLFFTVVSSTNVPCPPPPPKHQPPSPSSSSQAGKCPKDALKLGVCANLLTDLLNLVVGSPATTPCCSLIAGLVDLEAAVCLCTAIKANILGINLDIPISLSLLLNNCGKNVPSGYQCQ >itb04g20780.t2 pep chromosome:ASM357664v1:4:25751521:25752466:1 gene:itb04g20780 transcript:itb04g20780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGHSFAKEMAIRKRIANIYNKREDDFPSLREYNDYLEEVENMICDLVEGIDVPAIEARIAEYQKENAEQIMIAQARKAEELAAALSKGPARNDGDTVSSSST >itb04g20780.t1 pep chromosome:ASM357664v1:4:25751521:25754246:1 gene:itb04g20780 transcript:itb04g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGHSFAKEMAIRKRIANIYNKREDDFPSLREYNDYLEEVENMICDLVEGIDVPAIEARIAEYQKENAEQIMIAQARKAEELAAALSKGPARNDGDTVSSSST >itb09g15830.t1 pep chromosome:ASM357664v1:9:11094282:11098372:1 gene:itb09g15830 transcript:itb09g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESEASRFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMSKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTPKELVKKLEDYVPCHEGAALKLSWETEQLGYPQTQEISR >itb09g15830.t2 pep chromosome:ASM357664v1:9:11094816:11098368:1 gene:itb09g15830 transcript:itb09g15830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPYPPPVCVYVFFCVSHLCLSKPQKVEALSLEFIPCWLLIQIRACKLCGTYKYMVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMSKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTPKELVKKLEDYVPCHEGAALKLSWETEQLGYPQTQEISR >itb09g15830.t3 pep chromosome:ASM357664v1:9:11095230:11098372:1 gene:itb09g15830 transcript:itb09g15830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMSKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTPKELVKKLEDYVPCHEGAALKLSWETEQLGYPQTQEISR >itb06g13540.t1 pep chromosome:ASM357664v1:6:18189152:18194043:1 gene:itb06g13540 transcript:itb06g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAEIKQEVNNKVYVCLEPTCIHHDSSRAPGYLIDIKKHYCCVGVDNWIFVFSGIELKDISFDLDLETWSSVTESLPQLEKRKMAKNEVIRKAEELVEKAMKGNDASHDAAHAFRVRDLALSLACEEGLTSSPDSMFIVELAALLHDIGDYKYVSDPSEAKVVEEFLENEGIEESKRINVLKIIKGMGFKEEVEGHANGISSLEFGVVQDADRLDAIGAIGIARCFTFGGSRHRVLHDPKIVPRSDLSKEKYMNKDEQTTINHFHEKLLKLKDLMKTQAGKKRAERRHKVMEDYLKEFYEEWDGRA >itb05g19860.t1 pep chromosome:ASM357664v1:5:26156185:26158363:1 gene:itb05g19860 transcript:itb05g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNPSDEKSESRLYIGNLDLRISEAALIKMFSPFGKIISEDFLWHTRGPKRGEPRGYAFVQFSTKEEAQQAKEKMHGKLVCGRPLIVRLASEKYLMEGGENSCKAVGGETTKPYLSGGSLTRMSRGAKIAAIKNKLKSMEEESHISKRQKPADSS >itb04g27590.t1 pep chromosome:ASM357664v1:4:31582572:31585391:-1 gene:itb04g27590 transcript:itb04g27590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLSLVAAIWLQSVNGSNLNFPAYSSRLKQLLSLSQVQLNNLAFANDAGKLFGWFSGVAAAYLPLWVVLLIGSTLGFIGYGVQYLFLVNQITSLSFWQVFLLTAVAGNSICWINTVCYILAIQNFPLDRQVAVGLSTSYVGLSAKIFTDIVDVVNVDSPAGERAKTYLLLNAALPLVVSAVAAPLARDIKIGRSRSLAGGFSIMFVITIATGVYAVITSLGAGVSRILPSYLSLTGMAAWLVFPVVVPIAEELKEQLQRKCWIRHDMKVYNQSEDEQSASRSPPSGATQSLPPPLPPSVWTESKECNSGPGLPPPSSLSGATQSLSLEGLSKERHAGLDGISSREEIGAKKMVTRIDFWLYFFVYFFGATLGLVYLNNLGQIAESRGSSETSTLVSLSSSLSFFGRLLPSLYDYFFSKSKYRISRPASIAATMVPMCGAFFLLLHGSHICLYISTGVIGICTGAITSIAVSTTTELFGAQNFGVNHNLLVTNIPIGSFLFGDMAALIYKREGRRHSSAGTGTCMGTTCFHTTFLIWGCLCSFGTLLALVFHARTRSFYSHRQPVNALP >itb02g25390.t1 pep chromosome:ASM357664v1:2:26143807:26144832:-1 gene:itb02g25390 transcript:itb02g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDESRKKPRSSEMHHLPVPSSDAGMRLDAQEACRRFSRGQCIKGELCRYAHVIGNVRETEGERGHWAVSQRRTERVNFCQFFLGGQPCPYGSKCRFLHETSGNLGDSDFRETSAICIATNNDGRRPKRQTNDPRVRQNQNTNPIWAKTKLCLMWEKMGRCWYGSTCTYAHGNAELRNVDYPNPLDSSRGHDQDTASNNTESGTVQHGKQFMFKWTDVKKISQVYADWIDDDASGQDGN >itb02g13080.t1 pep chromosome:ASM357664v1:2:9089244:9091539:-1 gene:itb02g13080 transcript:itb02g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHCIANPPKLCSSYGAGQVQQLGGLNCYVSGSLDSKLAVLLVSDVFDKIGGAEFYVVVPDFFHGDPYVENGAKPIGVWLKDHVVDNVSKDAKVVIEDLKAGGVTKVGIGGVCSGGKTAVDLAKIPCVEAAVLLHPAFVTLDDIQGVKVPISVLGAENDETTPPKVVKQFEIALQSKAGVDSLVKIFAGVTHGWTVRYDEDDKVAVKAAEEAHQDMLKWFIKHLY >itb14g03890.t1 pep chromosome:ASM357664v1:14:3515464:3518211:-1 gene:itb14g03890 transcript:itb14g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSHSPAFLNLIHGSSKPKLPFPKISFFPVKNGQFHLKSKYFATFHQFANPKNSKFPKLIVPRASTDDLIEAIENEGFLGDEEKPAKSLLWALFWASVSICLFAVSGDAKAAADSIKASGFGVKVATALRGLGWKDEIVVFALATLPVLELRGAIPMGYWLQLKPLPLTVLSVLGNMVPVPFIVLYLKRFANFLVQNNRSASRFLEMFLDWTKKKAGPVEEFRWLGLMLFVAVPFPGTGAWTGAIIASVLEMPFWSAVSANFVGVVLAGLLVNLLVNLGLKYAVITGVILFVVSTFMWGVLRGIKRSLNLSP >itb09g16090.t1 pep chromosome:ASM357664v1:9:11373734:11374908:-1 gene:itb09g16090 transcript:itb09g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCFNLQAASTLFLGCSLYGAGFREGVYLAFTTIAVSSFFLFFFLFFICIAFFFLFVLDYLILSQLICIIRLWRVIKIELFDISCSICAFQQHMKPFFNISFPTLLSSALFILYFRFMKQFLSQVAFNISSSLVFVYQQRNAIVVLHCTVAVQNRLLRFFWALLTTQQQWTCGLLVAYLVSFTFGDSELQQLLHIFRLLGTPNEQVWPGVSKLVN >itb07g20100.t1 pep chromosome:ASM357664v1:7:24560978:24570423:1 gene:itb07g20100 transcript:itb07g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSTLLKGGRRELDLNADPPEKMEDSLITTEPASHQDSDAHSVQIELEEGKSQFSSEECPGNCADSDRDAGLATDSAIAEKLNLEETGAMLIEDDPNSAKCSALPSPVGKNHRGRKRKRVENTQCVEHDEDETKVNADSDRDAGLATDSAIAEKLNLEETGAMQIEDDPSSTECSALPSPVGKNRRGRKRKRVENTQCVEHDEDKRKVNRLHLTGSNGNVGRVLRSRTIAITTDTRLNGENIGFAGVKINKEIDHLEFDHSKNKSRGNKNNEIASPIDKGIKKKKGRRGRPPKMLDKDCISRMVINQKDKFNGSKKIRKPRMAGSIAKSSKFVNSQLEEVVPQLLNDEKKKVIKSKTHDNKSREGEMSRREQKQVIRDQIVDILKKAGWTIEYRPRLGRDYSDAIYVDCEGRQHWSVTLAYRKLKEKVEGGKADDKSIAAFTLIPDEVLGTLFRITEKGTKKNKNKLIQKGKSAKKSMRSPQRKERSNSNTKSGYRTFRKKIKMNANRKRFALLARNSSEVSDQSGDGFVAYDGKRSLLSWMIDLGTIPSNAEVQYMSDRRTRAMHAGKITRDGILCECCGKILSLLEFESHAGSKLGEPLKHICLASGLSLLQCLTSSWSKLEETDHIGFHLVDVNGDDPNDDTCNICGDGGDLICCDGCPSTFHQSCLNIQKFPTGDWRCIYCSCKFCGTISGNSCHHVDDTTNDSELSSCLLCEGKFHLACTQTEGTEGFDSKDLSFCGNGCKKLFMGLQVLLGVRHELEEGLSWTLLHRRDVGKDETENNGSSRIECNSKLAVAFSVMDECFLPIVDPRGKINMVRNVVFNCGSNFRRLNYDGFYTFILEKGDELACAASIRLHGNQLAEMPFIGTRHTFRRKGMCRQLLTAIEMVLAELGVETLVIPAIPALNETWTKVFGFMPLEEAKRQEMRCMSMVVFPGTDMLQKPLLKPQEDTGRQGTCTGQQSEAEASASTSGDTFATCPSPSDLVCNPHEANQPVTVGSEQITPSDLVCNPHEADQPVTVGSEQISLVEPFSCASVDAKLQA >itb01g35230.t1 pep chromosome:ASM357664v1:1:37537547:37541810:1 gene:itb01g35230 transcript:itb01g35230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCAGGTARNRAEGRHERSSKGSKKSKSVKKSGQENKDTDSYEYSNASAAAKKMQNLYDSGDLHLSISRELKPSTPVRTVANKAPHGSSFIGKAGIVGLEKAVEVLDTLGSSMTNLNNSGFITGAGSRGNKIFILAFEVANTITKGASLLQSLSEESIQYLKEEILHLQGVQELVSTDMKELLAIAAADKREEFDVFSREVIRFGNMCKDPQWHNLDRFFSKLDSDPVNSKQLREEAEMTMQELATLAQHTSELYHEMHTLDRFEQDYRRQVDELLSLNLPRKGESLEMLHSELKQQRKAVRGLRKKSLWAKGLEEVVEKLVDIVTFVHHEINNAFGDSGLTYAVKDTAKRPERLGAAGLALHYANLITKIDNIASCPTSLPPNTRDTLYNGLPSAVKAALRSQLQALDTNEELTVPQIKAEMEKTLQWLVPVATDTTKAHQGFGWVGEWANTGNDFGKKADVQSTIIRLQTLYHAQKNKMDSYILELVSWLHRLITLVRFNGHRALAGRSPAPKRLVPLHPETPPNSNPKVPNAQLSAEDRGLLEGVMKSRKLVPGLSKSQELVSSNNKKDQTWSLSRSAGNSPCKKMDIPKANALDILDGLA >itb05g19520.t2 pep chromosome:ASM357664v1:5:25983751:25986378:-1 gene:itb05g19520 transcript:itb05g19520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAVIRVRATAAPLNPAYTAEEFEFYLSDSESKMLLIPKEGNEAAQAAAAKLSIPAVTATLSGAESDVVLFPSHPESDSDAVSKLVNDPSDVSLFLHTSGTTSRPKGVPLTQFNLASSVNNIKSVYKLTESDSTVIVLPLFHVHGLIAAMLSSIGAGGSVTLPAAGRFSASSFWSDMKTSKATWYTAVPTIHQIILDRHLSKPEPFYPKLRFIRSCSAALAPSILARLEEAFEAPVLEAYAMTEATHLMASNPLPEDGPHVPGSVGRPVGQEMAILDENGVAQESGAHGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDLGYLDAHGYLHLVGRIKELINRGGEKISPIEVDAVLISHPEVAQAVAFGVPDDKYGEEINCAIIPSEGSNINEEEVLRFCKKNLAAFKVPKKVFITDSLPKTATGKIQRRIVAEHFLAQISIAKLPKFGA >itb05g19520.t1 pep chromosome:ASM357664v1:5:25983712:25986862:-1 gene:itb05g19520 transcript:itb05g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTLTGLLKHVAGNFPSRRAISVPGKFELTHSRLHHLVERAASSLFAAGVRPGDVVALTFPNTVELVIMFLAVIRVRATAAPLNPAYTAEEFEFYLSDSESKMLLIPKEGNEAAQAAAAKLSIPAVTATLSGAESDVVLFPSHPESDSDAVSKLVNDPSDVSLFLHTSGTTSRPKGVPLTQFNLASSVNNIKSVYKLTESDSTVIVLPLFHVHGLIAAMLSSIGAGGSVTLPAAGRFSASSFWSDMKTSKATWYTAVPTIHQIILDRHLSKPEPFYPKLRFIRSCSAALAPSILARLEEAFEAPVLEAYAMTEATHLMASNPLPEDGPHVPGSVGRPVGQEMAILDENGVAQESGAHGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDLGYLDAHGYLHLVGRIKELINRGGEKISPIEVDAVLISHPEVAQAVAFGVPDDKYGEEINCAIIPSEGSNINEEEVLRFCKKNLAAFKVPKKVFITDSLPKTATGKIQRRIVAEHFLAQISIAKLPKFGA >itb05g19520.t3 pep chromosome:ASM357664v1:5:25983751:25986836:-1 gene:itb05g19520 transcript:itb05g19520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METSTLTGLLKHVAGNFPSRRAISVPGKFELTHSRLHHLVERAASSLFAAGVRPGDVVALTFPNTVELVIMFLAVIRVRATAAPLNPAYTAEEFEFYLSDSESKMLLIPKEGNEAAQAAAAKLSIPAVTATLSGAESDVVLFPSHPESDSDAVSKLVNDPSDVSLFLHTSGTTSRPKGVPLTQFNLASSVNNIKSVYKLTESDSTVIVLPLFHVHGLIAAMLSSIGAGGSVTLPAAGRFSASSFWSDMKTSKATWYTAVPTIHQIILDRHLSKPEPFYPKLRFIRSCSAALAPSILARLEEAFEAPVLEAYAMTEATHLMASNPLPEDGPHVPGSVGRPVGQEMAILDENGVAQESGAHGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDLGYLDAHGYLHLVGRIKELINRGGMLSSAQ >itb11g04310.t5 pep chromosome:ASM357664v1:11:2272099:2273935:1 gene:itb11g04310 transcript:itb11g04310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEGI >itb11g04310.t3 pep chromosome:ASM357664v1:11:2272099:2274735:1 gene:itb11g04310 transcript:itb11g04310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEGI >itb11g04310.t1 pep chromosome:ASM357664v1:11:2272099:2274735:1 gene:itb11g04310 transcript:itb11g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEGI >itb11g04310.t7 pep chromosome:ASM357664v1:11:2272099:2273935:1 gene:itb11g04310 transcript:itb11g04310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEGVDKTR >itb11g04310.t6 pep chromosome:ASM357664v1:11:2272099:2274735:1 gene:itb11g04310 transcript:itb11g04310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEAVNDDV >itb11g04310.t2 pep chromosome:ASM357664v1:11:2272099:2274725:1 gene:itb11g04310 transcript:itb11g04310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEAVNDDV >itb11g04310.t4 pep chromosome:ASM357664v1:11:2272099:2274735:1 gene:itb11g04310 transcript:itb11g04310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEGI >itb11g04310.t8 pep chromosome:ASM357664v1:11:2272099:2274738:1 gene:itb11g04310 transcript:itb11g04310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIVATSQFSNPKTLVRFPLSTGTEAKFKAKMAIGAVSAATRSIFRSSAVRNAASRVASEAKAARSPFHTASRSPLGPRIFRCPAELSACVESLQPYHTATASALMTSMLTVAPRSFGWLSEACNDDV >itb01g21080.t1 pep chromosome:ASM357664v1:1:27255342:27256940:-1 gene:itb01g21080 transcript:itb01g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g42920, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42920) UniProtKB/Swiss-Prot;Acc:Q9SJG6] MPPCFFALAPSSPSISKFISEQPYLSMLENKCTSMKDLKKIHAQLIKTALINDPIAASRVLAFSATSPASDINYAFLFFNQMKIRNLFAWNTMIRGFSQSSTPENAIFLFIDMLVDSGVEPGRLTYPSVFKAYTRIGLVRDGAQLHGRVIKLGLDFDTYVRNAVIHMYASCGVLSEARKLFDEDNVVDAVTWNSMIMGLAKCGKIDDSRKLFDKMPLRTDVSWNSMISGYVRNGKWVEALELFRRMQYENIEPSEFTLVSLLNACARLGALEQGEWICNYIKKKKVDLNVIVVTAIIDMYCKCGSVQMAREAFDTAPIKGLSSWNSMVLGLANNGFGKEAIQVFSRLESSNLEPDSVSFIGVLIACNHSGLVERAKYYFTLMREKYEIEPSIKHYGCLIDTLGRAGLLEEAEEIIRSMPVEPDAAIWGSLLSACRAHGDLEMAKWAARNLNELDANEGSGYVSMSNMYAASGDYQKAIEERISMKEKQIKKQPGCSLIEVNGEVHEFVAGGMLHSELQEIQSLLEGLGEIVA >itb01g00830.t1 pep chromosome:ASM357664v1:1:401106:402926:1 gene:itb01g00830 transcript:itb01g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPVKAPSRERYKIEAKNLSYRLPPTYGNFKKLCKQLISDSNNLRSIKSTRYVLRNVNCEAKPGEITAIAGPSGAGKTSLLDILAGIFVPSRLSGQILVNDQPMKPGKFRRVSGYVTQEEALFPHLTVEETLMYSARFRLSSGGYDKAKQTVSRLLKELGLGHVAGVRVGDESSRTLSGGEKRRVSTGVELVHNPAVLLLDEPTSGLDSASAVHVLLLLKSMAKNRGKTVVLTIHQPGFRILELLDRVVLLCNGFALHNGSQQFLEERLKSLGHYPIPYRANVLEFAIEVTDILAESLEIEEEEFDNSVVNNVKEKHSFHSNDPLKEVWILSQRFCRNIFRTKQLFLAKMVQALLNGVLLGTIFQNAYNHPKEAKVQTQLGFFAFSLTFLLSSNTEALPIFLDERRILMRETSRRAYRISSYTIANTIVFLPFLLVLSLVYTVPVYWLVGLRRDFNAFVYYSLLSWMILAMGNSFVAACAALVPDFIVGMSFLGGTIGAFFLFSGYFINKDGLPKFWLFMHYLSLFKYPFECLMINEYGGENGRSKCVQRVDGMCLLYGNELLEIEGIKESQKWFNLAVMAAFILGYRFLCFLILWCRSYRTRS >itb03g28400.t1 pep chromosome:ASM357664v1:3:29172022:29172757:-1 gene:itb03g28400 transcript:itb03g28400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDRWLLLGKLKKAVKKIRVLLNFDLNKWKLASMLGSASGKRRAISFNGRAAGLRACVEDSDEFNSAETSPVKGGLQRTTSFPMEEDVDKRAEMFIANFYRQLRLERQISLELRYCRVNSFGSPLISPSQ >itb01g04490.t1 pep chromosome:ASM357664v1:1:3027801:3028533:1 gene:itb01g04490 transcript:itb01g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGYMPPEYVMHGRFSVKSDVYSFGVLLLEIVTGKSRTKFSDPSGVHDLLSYAWKHWRDGTPLRIVDPVFGECYSRNEVIQCIHIGLLCVQKDVDKRPTMTNVDLMLNSYSITKSAPREPAFFYGGRSESKGGESDNSTSKSIPLSVNEMSITELDPR >itb10g15690.t1 pep chromosome:ASM357664v1:10:21913169:21914510:1 gene:itb10g15690 transcript:itb10g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQGSFLNRISIRRNQVVSVESNPHDQELEDLEFFQKHVADRFSELLPATKTADGDAADSPACDHAPLLSISWFRKLLDAFLLCEAEFKAVVLIGRDPLQFSKPPLDRLIPDLLDRSVKALDICNAVSHGVELVRHWQKLAQIAVTSLEQKPLGDGQVRRAKKALNTLMTSMTLDDKENNASHGKLSERSWSFGRRGTGASNNKDRAAGSFRSLSWSVAKSWSAAKQIQAMSSNLVAPRGGETTALPVYIMSTVLVFVMWALVTAIPCQERTGLSTHFPFPRQLTWAQAMIGLHEKIAEEWKKKEKKGVSGLLEEVQRMEKAAQSLIEFADSFQFPLEEEKADEVAMQVAEMADICRKMDDGLVPLQQQVREVFHRIVRSRAEVLDVLDQVGKMSAPVPY >itb13g09940.t1 pep chromosome:ASM357664v1:13:14426989:14429780:-1 gene:itb13g09940 transcript:itb13g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCFHVFKDKSRSKRRGESAPELGNRGNNNSSATTTTSSSRATKSTGSASSPRGIPEMYREKSQNLRAFSLSHLREATNNFHRTLKLGEGGFGSVYKGSLMPPDGQGDPLVVAIKKLNTQGLQGHKQWVAEVQFLAVLEHPNLVKLLGYCATDGERGIQRLLVYEYMPNKSLEDHLFRRAMPALPWRTRLNIILGAAQGMAYLHEGLEVQSSNVLLDENFNPKLSDFGLAREGPIGDRTHVSTAPVGTVGYAAPEYVETGHLTIKSDIWSFGVVLYEILTGRRTIERNRPTSEQKLLDWVKQFPADSNKFRMIIDPRLQGRYSLNAARQVAKLADSCLTKNARNRPSMSQVVEALCQIMQRSEEGTSEIRGPEPVRTSRAVASDKQFGKKPISGTSRQMTSVS >itb06g23290.t1 pep chromosome:ASM357664v1:6:25315988:25316551:1 gene:itb06g23290 transcript:itb06g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGEAAILPPEFLTETKDRGMLSSWCPQEQVLGHHAIGGFLTHCGWNSTLESICNGVPVLCWPFFADQQTNCWYSCTKWGIGRNIGLRNQEINELKLSVSLSLPHIM >itb10g23870.t1 pep chromosome:ASM357664v1:10:27985929:27987143:1 gene:itb10g23870 transcript:itb10g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTFVLPMFVFSFLAMQCSPQPHYACDKNDPKTSTYAFCNVSLSFTDRAKDIVSRLTLKEKAEQLVNTASGVSRLGVPAYEWWSEALHGVSNTGPGVTFNDVVPGATSFPSVILSGASFNASLWYALGQAVSTEARAMHNVGLAGLTYWSPNVNVFRDPRWGRGQETAGEDPLVVAKYAVNYVKGLQEFSPDSNPSRDNTHNRLKVSSCCKHYTAYDLDKWNGFDRFHFDAEVTAQDLEDTFQPPFKSCVEEGHASSVMCSYNRVNGVPTCADPNLLKGIIRDQWGLDGYIVSDCDSIQVYYESIHYTATPEDAVALALKAGLDSIFRNLLCTFVTFTNIIWCYS >itb07g21710.t1 pep chromosome:ASM357664v1:7:26264359:26265324:1 gene:itb07g21710 transcript:itb07g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSLSMLCIRNCNALSIPDNYLQDEDLPIAHRSLSSFKERDLMGRYYLQSLPCHQYSNLICLNLNNWQNLRSLPQLPPNLIELYTINCVSLEKIADLSNLKRLRWLYIQNCKRLVKLSGLEGISSLCGLGIANCSSLRIPPIEKWFKAPSKGDSVQIAIRVDEGEIFCDFPSMISFCERDDDLIDNYVIDGCTLSVRSKSSGAWILKEHSQIIYIHHFEVPTMMGEVLEVYVELHPLQKIYCLAEIHRNREGEVRVFPSTRGCIPSYKEEDGERKRKRKVQIG >itb10g15870.t1 pep chromosome:ASM357664v1:10:22105765:22109438:1 gene:itb10g15870 transcript:itb10g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLKRNFKPKILAFGRRFICSVIALETPSVFSDQHGQNCAISDQCCSLEEHSKSPNLALSKTKILHSRFLRAHILDEDYSAIKYLLDGYYKCSRMDYAVKLFDKMAIPYTFCWNLMISGCNKALLFSESWEYFCRMHVSAICMDRFTYGGVLSACEALQSVAFGEQVYGLVMKNGFFSNGYVRSGMIDLFVKSCRFDDALRVFYDFQCDNIICWNTIISGAVKNREYWVAIDKFIQMCTGSLMPNGVTISSVLKACAQVKEFEMGKMLHVWAIKCGCAKDDFVETALIDMYAKGGFMNEAVKEFIQKPVRNVVSWTAMINGFVQNDDYVSVFQLFDEMRKKEVDINSYNISSVLTACAKPVMTIEAMQIHSLIFKAGFYQDSLVKTSLINTYSKIGAGDLSEMVFAETEDLKHIGLWSNMISACSLGWNPSMAIHLFQRMFHEGLKPDEFCCSSVLGIVNCLYLGRQIHSYTLKFGLICDVIVCNCLFTMYSNCYSLLEAYTIFKLIECKDNVSWASMISAFTEHGYIDKSIQLFIEMLSEKIMPDEMTLSAVLAACSTLQSLKIGKEIHGFSLRCRIDESVIADSALVNMYTKCGDLVSARRLFLIMPYKDKFSCSSLIAGYTQNGYIGESFQVFHEMLMNYLHVDAFTISSILRSAAHLNRSGIGTQLHAQSIKWGLESDSSVGTSMVMMYSKCGSIDECCQAFKQIRNPDLISWTAMIVSYAQHGKGVEALKVYELMRKCNIKPDSVTFSGLLSACSHSGLVEEGYFFLNSMKEDYGIEPNYHHYACMVDVLGRSGRLKEAERFIYTMPIKPDALVWTTLLAACKVHGEIQLGKLAGEKIMELEQCGAGTYVSLSNIWADVGQWEEVLKTRSAMRGTGLSLSTPPKAALFCLSPLFSTTGSYQVKTEGKGFNFKDAEGFKERRGQSLRKESRSSEVAVLEEPLNQVLREWKVELNGPSLPCILFAGREFGIFNTSHIYRSVRMKMTAAVH >itb14g08900.t1 pep chromosome:ASM357664v1:14:8840993:8845495:1 gene:itb14g08900 transcript:itb14g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGTGPGSNGGDAAVAAKPKSKPKDGGEDGEDPDQAKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVKARQHMFKVHLGDTPHNLSESDFEDLAHKTEGFSGSDISVCVKDVLFEPVRKTQDAMFFIKTSNDTWMPCGPKHPGAVQTSMQELAAKGLASKIIPPPITKTDFDKVLARQRPTVSKADLDVHERFTKEFGEEG >itb11g04330.t1 pep chromosome:ASM357664v1:11:2279551:2280734:1 gene:itb11g04330 transcript:itb11g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGREEGGVKGGAGKVNGIKEVHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFHSPSENHSPSQSSTVESSGSETAGHAPQFPLELDLTRRLGSGEARSVNNNNTFQIFHPQPAVAVLPNGQPVLLFETLWRPGAVSRPLPDQFDPAPEIPSKRPALSDSSTFSVVEENQFVGVGVAEKRLNLDLNLAPPTEA >itb10g16630.t1 pep chromosome:ASM357664v1:10:22903048:22904847:1 gene:itb10g16630 transcript:itb10g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >itb05g18210.t3 pep chromosome:ASM357664v1:5:25015018:25016935:-1 gene:itb05g18210 transcript:itb05g18210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMGSSASAAATRGIAAIVGVGPDLGRSIARKFAHEGYTVAILARDLGRLSRFAEEIAREEKAQVFAIRIDCSDSRSIREAFEGVLSLGFVEVLVYNVYHQLSWLATNFTDIRIESFEKSLAASSVGAFLCAQQVVPGMVERGKGTILFTGCAASLNGIAGFSELCCGKFALRALSQCLAREFQAQGIHVAHVVIDGIVGTPRFVSRPDSSIPSIFLNHHIACLRAGLS >itb05g18210.t1 pep chromosome:ASM357664v1:5:25015018:25016933:-1 gene:itb05g18210 transcript:itb05g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMGSSASAAATRGIAAIVGVGPDLGRSIARKFAHEGYTVAILARDLGRLSRFAEEIAREEKAQVFAIRIDCSDSRSIREAFEGVLSLGFVEVLVYNVYHQLSWLATNFTDIRIESFEKSLAASSVGAFLCAQQVVPGMVERGKGTILFTGCAASLNGIAGFSELCCGKFALRALSQCLAREFQAQGIHVAHVVIDGIVGTPRGGMASVSWQQQSRGGGGDGGWMDPEALAQTYWQLHIQDRSAWTQEMDLRPCNSRVL >itb05g18210.t2 pep chromosome:ASM357664v1:5:25015018:25016935:-1 gene:itb05g18210 transcript:itb05g18210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNMGSSASAAATRGIAAIVGVGPDLGRSIARKFAHEGYTVAILARDLGRLSRFAEEIAREEKAQVFAIRIDCSDSRSIREAFEGVLSLGFVEVLVYNVYHQLSWLATNFTDIRIESFEKSLAASSVGAFLCAQQVVPGMVERGKGTILFTGCAASLNGIAGFSELFVMYDQAVGNLH >itb13g01420.t1 pep chromosome:ASM357664v1:13:1375544:1379081:1 gene:itb13g01420 transcript:itb13g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKAGTRPPWVGLGASVWMQIAAGNAYNFPLYSHTLKSVLGFSQQQLTMLGVANDIGENVGLLPGLVCNKFPPWVVLLIGAFACFLGYGTLWLSVSGTVLNMPYWVLWIALIVGTNSSAWFSTAVLVTNMRNFPLNRGTVAGILKGYGGLSAAVFTEVYGVLLKNSSANLLMFLAIGVPITCFVMMYFVRPCTPASGDDPLEHSHFLFIQLASAVLGVYVLSTTILEDVFALGVPVSYLILVIMVLLLLAPLAIPVKMTFYPSILSKSSTVNQSEETVAITDQDKGNEESTEPLLIASSSSANLGSFHENEDMSEVDMLLAEGEGAIKKKRRPRRGEDFKFKEAVVKADFWCLFLVYFFGVGSGVTVLNNLAQVGIAQGVNDTTILLSLFSFCNFVGRLGGGIVSEHFVRLKALPRTIWMTFTQVVMIITYFLFASALNGTLYVATALLGICYGVQFSILIPTTSELFGLKHFGLILSFMGIGNPLGAYLFSGLLAGYLYDIEAAKQHSDTCIGPNCFRVTFLVLAGLCSAGALLSVVLTVRLKPVYQMLYAGGSFRLPRSSNH >itb14g12120.t1 pep chromosome:ASM357664v1:14:13722754:13724860:1 gene:itb14g12120 transcript:itb14g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >itb13g20900.t1 pep chromosome:ASM357664v1:13:27625600:27628100:-1 gene:itb13g20900 transcript:itb13g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVREDGVSLSTTDVAPSHFMFKIQSVSLLTEYNVKKCSSAEFEAGGYKWKLIFYPNGNKRNHVTDHISVHLALMADTRSRGFQPGWEVHAVFRLFLLDRNNDTYLVIRDVQEKGRRFRATRTEWGFDRVIPVGTFNDPSYGYVVDDVCVFGAEVYVHKDLKFYTGECLSMVKDPDPVKYPWTIFNFFVPERAYHESPTFQQWKMRVYPNGKDDKGTHVSLSLHLASGGESKEPTPSRIYAEFTLRLIDRHHHKNWIVKGARWFNPRSPTNTFYEWPKLITKDYFKTKSAGFWSSCKDKCTIEAEVRVHGETTPLQS >itb13g20900.t2 pep chromosome:ASM357664v1:13:27625600:27628100:-1 gene:itb13g20900 transcript:itb13g20900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRSRGFQPGWEVHAVFRLFLLDRNNDTYLVIRDVQEKGRRFRATRTEWGFDRVIPVGTFNDPSYGYVVDDVCVFGAEVYVHKDLKFYTGECLSMVKDPDPVKYPWTIFNFFVPERAYHESPTFQQWKMRVYPNGKDDKGTHVSLSLHLASGGESKEPTPSRIYAEFTLRLIDRHHHKNWIVKGARWFNPRSPTNTFYEWPKLITKDYFKTKSAGFWSSCKDKCTIEAEVRVHGETTPLQS >itb01g17090.t1 pep chromosome:ASM357664v1:1:21756333:21757437:-1 gene:itb01g17090 transcript:itb01g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGSVYNSHNLPTVMVTNDDGVDAPGLRALVSVLVSTNRFNVLVCAPDSEKSAVSHSITWRHAIRAKRVHDIPGATAFAVAGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNGVPSVSLSYDWVHGKSNLNDFTLAAQAFIPIISAILGDIKNQTYPLNCFLNITVPTDVVNHKGYRLTKQGKSFIRTGWKHVTCEAEGGKMLSTMTMDMTPTQTAQQSVVSTQEDQFLFTREVRSKQVDKEGTDYSSLQEGYITVTPISALFNADIDGVTFFNKWLPSLDEHSNFACKFQASLQKEGKTRHLDSSTPSGLLC >itb05g03970.t1 pep chromosome:ASM357664v1:5:3414936:3419515:-1 gene:itb05g03970 transcript:itb05g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANLVKFLKLVKPYLAVIFLQFGYAGMAIVAKSALNEGMSPFTYAVYRNVFAAVLFAPFAVAFERKIWPKMTVSIFFKIMALALLEDEIHNGNFYNSIVQSSPSHYFYFGLDPEANTLKSYPAGLSLTCLICTMATLQASVIALVAEKGNPAAWALHWDTTLLANVYSGVICSGVAYYLSGVIMKEKGHVFITAFNPLSMIIVAIMGSFMLSEQLDFGKALGAGVIVIGLYMILWGKKQDRDSPESISDQVAPVDKSPSSTLVKFPIKQEPIIDTPKVIVGDEAV >itb03g06740.t1 pep chromosome:ASM357664v1:3:4879882:4882463:-1 gene:itb03g06740 transcript:itb03g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIAAPAYIECSSKTQENVKGVFDAAIKVVLAPPKGKKKKAKGQRSCSIL >itb03g05140.t1 pep chromosome:ASM357664v1:3:3498102:3502960:-1 gene:itb03g05140 transcript:itb03g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGHSNLNAKLVLLGDMGTGKSSLVLRFVKGQFLEFQESTIGAAFFSSTVAVNNNTVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDITSADSFARAKKWVQELQKQGNPNMVMALAGNKADLEDKRAVTADEARVYAEENGLFFMETSAKTALNVNDIFYEIAKRLPRAQTAQNPSGMVLVDRPAEGTRSASCCT >itb04g23100.t1 pep chromosome:ASM357664v1:4:28289509:28303292:1 gene:itb04g23100 transcript:itb04g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVSQLQAIKSKVLSDSAPQKQPFTRPSVLFSPEKAADYDINTIHSIALSGLDSLINTDERFRNYKNDLFSHKSRDLDRELMGIEENNRINASINSYLWLLSGYLELRSAMLTLEYLIRRYKIHVYNKEELILCALPYHDTHAFVDIVKLIETGNTKWKFLDDVKITCAPPSRKAIVQQCVRDLGILDVLCDYATRAKKIQPSRPVIGFCTAVVVEVLGSLMTIDNDVVKRVLPYVASGLQPHGKGGRDQKAGALMIVSLLAYKVALSPNAVRSLIRSLVDVACSDAKDSTDLRSFRMSFMALVNLVQLQSVEIIPKKSMGILNEIRDISEFLSGLTEEFNIDKFLGVLLDSLLEYSSSDDAFHQTLLAIIETVPVKSLLDRIVSKLLNTHLRTFKNSDLTASNTGNLTRQMLVSLYKKYPSELQKAVHRFLQTKKEASCHETLSQMFDENMEFSHTLIDSKVWFSLEHPKAEIRHSTILGLDVPSLLNDKAVGSPRFDTIQDAISRRLYDDDLSVVKAVLNVEALSEIVNPSFLLDAVQNVLWRCIGLLASSPEHKSSLAVDVAVSCLQHAVISCQEIGSFSKKFATLLFPLILIVPKTHELNVKALRIAKEIKWPLYTNLVSLSEQNKKWDVGCISSVNAENIRILARTFLMHIGDYLPWLVECCNASELSKTLFFLVLSELLVLPEIGDQFLTLFSTFFPILKTQWELLMSSEDVLSASRFNLGMLDGDHKGFLECMNGTKIKELNADMLICLFWRLLEAFILKAPEDVSLDKSGKWVSSLQDLLVFFMSQSKYNFKKHCDYIVTKCKIPPSHYLSKLFTEEGHSSAVQIGSLHSFSYLCAQMDDSLKFQLCAEFPSLLVPLSSDNQDVRMAAMSCIEELLTMWSHVNFSRYRNGLHTDWVNFLGELLALMVQQKKLIVSDKNVLPSFFTSLLSSSSQSLLVKQDIGKRFDQTTKHNILAFILLSALGLSAYAKLRILLLLKGLGSRVMRIASVKSFLRDLLEMRHQYLLGYDKSYPKLSKVEVNVLCLLLDICATPSTSADDFKDLILKALQFSAVPSEDPAIVEPCITALRNLTNSHYGVLDTTTQKQLFRDLVCLFRSANSEIQNASKGALLRINISCSIVSSMLDLISNQNINSICSAHAKRKRKEAIHQDFDACDDSNLKLEDPCAFTSSLLDVLLLKKNMKNRISLVGPLFKLLHKIFMDNDWTLLLRSSSQTTSSTVIHIQQTALLLLEDIATSITFKDDDGVEFKLELLIRCARLASDALTRNHVLSLLSTILKVMPNRVLGNISDIRIIVGETAVTQWDSYSQRVFENLISAIVPCWLSKYSMDALLKIFVDFLPEVSEHRRLSIITHLLKNLGENTSLGSLFFLLFCSVISRKSLSCTSDANPSLGYITSTISMEWEYAFAVLLSEQYPCTVWLPSIAILLQKIGIDCESEELFMVLVVAEHFVSNKLQDPEIAFMLDSGDVSESIQPTIGVILEKMVSHLQLVESNGKQMSAPLIRKELKERIRSVLKAIAKCLRPSIYFKIVIQLLGHADINVKKKALGILCDTVKATGVIDAKRGKKELTSTSRNSWTHLDEDSLEVFNTMCLVILKFIDDPASDSSTQLKLAAITTIEVLAIRFPSDNSVFHLCLASVCKSICADNSAVSSGCLRTTGALVNVLGPKALPELPCIMDNLIRKSRDFSNSLTSISDETDSRSIASSELSGSLFMSILVSLEAVVDKLGGFLSPYLGYILKLLVLCPQYTSTTEEKLKSKADDIRRLIASKVPVRLSLPPLLEIYSNAISYGDCSLSITFKMLGDLVTTMDRPSIGENHAKIFDKCLQALDLRRQRKTSVKNIELVEKNVINTMVVLTMKLTETMFRPLFMKSIEWSGSNVDDNEIRRPNDRTISFYGLVNMLAERHRSLFVPYFKYLLDDCVRHLTDAEDGKIVVAPKKKKAKLQEVNKKDAGCGLSVEMWHLRALILSSLQKCFLYDTGNQKFLDSSNFQVLLQPIVLQLDVDPPSLLEQHPSVPSVKEVDDLLVACVGQMAVTAGSDLLWKPLNHEVLMQTRSERIRSRILGLRIVKYVVEKLKEEYLQFLAETIPFLGELLEDVEVPVKSLAQEILKELESMSGESLAQYL >itb01g24980.t1 pep chromosome:ASM357664v1:1:30501269:30504192:1 gene:itb01g24980 transcript:itb01g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MSDQEDLDLLLSLEDRVLETPPASPSSHSPDYLSDDERPKRVGQTDMSVFRVAVQDCLDYDTETAKKALKSKSNIPKASNDSEVEKFSGLRIRNQLVSPVELSNRFSDIRFVRLPAIKNLLSGDTLSGCWATVGVLTETGQPRTSSTGKPYAIWKMGCLKENTVSVFLFGDAYQRNSNEKAGAVFALFNCGVRNDNSNGFSLSVYSASNILKMGTSVDYGVCKGKRKDGMACTLVINKRNGIYCSYHRQKTSEKYSVTRTELKGGNLRTAFRGPLKSEGIYMVDPLADKTNLAKNKAPVKLFSAEQLKEALSKAGKVTTNAYSQGIRFLNKITEESISSTKISTAQSQRISKTSERISLTKRKNPTQVKIGEEVHVHSKRSKSHEGTERVQQGQVKEKMIELDIVSSDDEL >itb01g24980.t2 pep chromosome:ASM357664v1:1:30501269:30504192:1 gene:itb01g24980 transcript:itb01g24980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MSVFRVAVQDCLDYDTETAKKALKSKSNIPKASNDSEVEKFSGLRIRNQLVSPVELSNRFSDIRFVRLPAIKNLLSGDTLSGCWATVGVLTETGQPRTSSTGKPYAIWKMGCLKENTVSVFLFGDAYQRNSNEKAGAVFALFNCGVRNDNSNGFSLSVYSASNILKMGTSVDYGVCKGKRKDGMACTLVINKRNGIYCSYHRQKTSEKYSVTRTELKGGNLRTAFRGPLKSEGIYMVDPLADKTNLAKNKAPVKLFSAEQLKEALSKAGKVTTNAYSQGIRFLNKITEESISSTKISTAQSQRISKTSERISLTKRKNPTQVKIGEEVHVHSKRSKSHEGTERVQQGQVKEKMIELDIVSSDDEL >itb12g19650.t1 pep chromosome:ASM357664v1:12:22049997:22055078:1 gene:itb12g19650 transcript:itb12g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHPQKLSKKIVKNQEPKKMTTSPRDHPPRKQQRKAENPVRIPPPTEQCVNFKCPKSWICKNSACQASISMDDKFCKRCSCCICHSFDDNKDPSLWLECISESGEGESCGLTCHVECAFKQGKVGVVDLGQLMQLDGSYCCVSCGKVSEILGYWKKQLCIAKDARRVDILCFRIYMSYRLLDGTSRFKELHNIIKEAKAKLEAEVGPVNGSSTVMARGIVSRLSVAGAVQSLCSLAIKKADEWLAKKYSAVPNYKESSLLAACKIHFEEATPSSVVVVLVDILSASSVDVKGYKIWYRRAEVDTYPKEPNCVLPKSQRRILISNLQSCMEYCFRVVSYTESGDLGHAEAKCFTKSDLSSVTGNQSKENLDSGGSSGAKTDDGATIVESDSGFKVRDLGKITRMNWEQQEGSVEALCGADTEKCLEVCNFPKPNAVQEDHNPSASCQLDLNVALIPDLNEELTPESSRDEHNGCVQIVDVEDAVSNDNEGNCVAGSHGSGDSQNWNHLQTRDVTAVDSELAGSRKRAASTNGDTQDSDSALLSGSPNRARNSSGLLDENFEHCVKTIRWLECEGHINNDFRLKFLSWFSLRSTERERRVVNTYIQTLIDDPSSLAGQLVHSFLEIISTKRPHTGFCGRLWH >itb07g08340.t1 pep chromosome:ASM357664v1:7:6548009:6553818:1 gene:itb07g08340 transcript:itb07g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNSLSLHSHKCSSFALPPMASSSLRSPKFVMASTLRSGTKEVENLKKPFSPPREVHVQVTHSMPPQKIEIFKSMEEWAEENILVHLKPVEKCWQPQDFLPDPASDGFHDQVKELRERAREIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRAIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARQAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGHDDNLFNHFSAVAQRLGVYTAKDYADILEFLVGRWKVGDLTGLSSEGRKAQDYVCGLPPRIRRLEERAQGRAKQGPIIPFSWIYSREVQL >itb09g09010.t2 pep chromosome:ASM357664v1:9:5397556:5401585:1 gene:itb09g09010 transcript:itb09g09010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPPEIEVVQKEEREETTLIQQQTRRPNLSSLQIPARSSECDLTSFTRIDVPSPGSARAGLPPRPNSARLISSVKNLIPQKSFRAKNLPPDAEKTVLIVPETPLSDKPSTSRSFSLNKILFSSSTKPAHSLPVTPKESAGPKPFEDSQLDDHSELPIFEVQQHIPRSFSVPANAKNKSLKRIDSSGNLIRVISSSARRNADSDALPDTAQEIENATDDNSEDIPEEEAICRICFVELGEGGETFKMECSCKGELALVHKECILKWFNIKGNKLCDVCRQEVRNLPVTLLKIQNPSTAVRRPTTGSQQREPTRYRVWQDVPILVMVSMLAYFCFLEQLLVSDMGARALAVSLPFSCALGFVSSMIASTMVSKSYIWAYASFQFAIVILFAHIFYAVLNVSAILAVLLSSFTGFGVAISTNSLLVEYLRWRATRRQNTRNESTLDQDRHNHNHPVQSA >itb09g09010.t1 pep chromosome:ASM357664v1:9:5397379:5402005:1 gene:itb09g09010 transcript:itb09g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPPEIEVVQKEEREETTLIQQQTRRPNLSSLQIPARSSECDLTSFTRIDVPSPGSARAGLPPRPNSARLISSVKNLIPQKSFRAKNLPPDAEKTVLIVPETPLSDKPSTSRSFSLNKILFSSSTKPAHSLPVTPKESAGPKPFEDSQLDDHSELPIFEVQQHIPRSFSVPANAKNKSLKRIDSSGNLIRVISSSARRNADSDALPDTAQEIENAATDDNSEDIPEEEAICRICFVELGEGGETFKMECSCKGELALVHKECILKWFNIKGNKLCDVCRQEVRNLPVTLLKIQNPSTAVRRPTTGSQQREPTRYRVWQDVPILVMVSMLAYFCFLEQLLVSDMGARALAVSLPFSCALGFVSSMIASTMVSKSYIWAYASFQFAIVILFAHIFYAVLNVSAILAVLLSSFTGFGVAISTNSLLVEYLRWRATRRQNTRNESTLDQDRHNHNHPVQSA >itb04g23680.t5 pep chromosome:ASM357664v1:4:28642379:28647659:-1 gene:itb04g23680 transcript:itb04g23680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMINDHVAESVKNVSNILQQEICEAYLLFKGETALGDGFRAFLYFMGIFYCFIGLSAITSRFFRSMENVVKHSRTVVEIDPCTKTKIVKKEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESLLTVLQFGLLLIHAYAQDKRWPYLSIPLERSERPEEWVPVQTASYGEVPDDCSGTLNVAVEDRREIVDIFSIHSGDGTVISTGPVYQNLPDTDVAESSAGLNCDETIVEESDILSIWKQQFFDALVLEHAESRKLDNKFVRLARISWQLLLVPWRLLFAFVPPYQIVHGWPAFICSLIFISGIAYVVTKLTDLISCVTGINPYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGIPWLIDTLYNYFAFNEPLRIQNAKGLSFSLLIFFATSVGCIGVLVFRRVTLGAELGGPRIWAWVTSVFFMLLWLTFVTLSSLRVSGII >itb04g23680.t4 pep chromosome:ASM357664v1:4:28642379:28647659:-1 gene:itb04g23680 transcript:itb04g23680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMINDHVAESVKNVSNILQQEICEAYLLFKGETALGDGFRAFLYFMGIFYCFIGLSAITSRFFRSMENVVKHSRTVVEIDPCTKTKIVKKEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESLLTVLQFGLLLIHAYAQDKRWPYLSIPLERSERPEEWVPVQTASYGEVPDDCSGTLNVAVEDRREIVDIFSIHSGDGTDVAESSAGLNCDETIVEESDILSIWKQQFFDALVLEHAESRKLDNKFVRLARISWQLLLVPWRLLFAFVPPYQIVHGWPAFICSLIFISGIAYVVTKLTDLISCVTGINPYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGIPWLIDTLYNYFAFNEPLRIQNAKGLSFSLLIFFATSVGCIGVLVFRRVTLGAELGGPRIWAWVTSVFFMLLWLTFVTLSSLRVSGII >itb04g23680.t2 pep chromosome:ASM357664v1:4:28642379:28647637:-1 gene:itb04g23680 transcript:itb04g23680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMINDHVAESVKNVSNILQQEICEAYLLFKGETALGDGFRAFLYFMGIFYCFIGLSAITSRFFRSMENVVKHSRTVVEIDPCTKTKIVKKEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESLLTVLQFGLLLIHAYAQDKRWPYLSIPLERSERPEEWVPVQTASYGEVPDDCSGTLNVAVEDRREIVDIFSIHSGDGTDVAESSAGLNCDETIVEESDILSIWKQQFFDALVLEHAESRKLDNKFVRLARISWQLLLVPWRLLFAFVPPYQIVHGWPAFICSLIFISGIAYVVTKLTDLISCVTGINPYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGIPWLIDTLYNYFAFNEPLRIQNAKGLSFSLLIFFATSVGCIGVLVFRRVTLGAELGGPRIWAWVTSVFFMLLWLTFVTLSSLRVSGII >itb04g23680.t3 pep chromosome:ASM357664v1:4:28642379:28647637:-1 gene:itb04g23680 transcript:itb04g23680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMINDHVAESVKNVSNILQQEICEAYLLFKGETALGDGFRAFLYFMGIFYCFIGLSAITSRFFRSMENVVKHSRTVVEIDPCTKTKIVKKEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESLLTVLQFGLLLIHAYAQDKRWPYLSIPLERSERPEEWVPVQTASYGEVPDDCSGTLNVAVEDRREIVDIFSIHSGDGTGPVYQNLPDTDVAESSAGLNCDETIVEESDILSIWKQQFFDALVLEHAESRKLDNKFVRLARISWQLLLVPWRLLFAFVPPYQIVHGWPAFICSLIFISGIAYVVTKLTDLISCVTGINPYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGIPWLIDTLYNYFAFNEPLRIQNAKGLSFSLLIFFATSVGCIGVLVFRRVTLGAELGGPRIWAWVTSVFFMLLWLTFVTLSSLRVSGII >itb04g23680.t1 pep chromosome:ASM357664v1:4:28642379:28647683:-1 gene:itb04g23680 transcript:itb04g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMINDHVAESVKNVSNILQQEICEAYLLFKGETALGDGFRAFLYFMGIFYCFIGLSAITSRFFRSMENVVKHSRTVVEIDPCTKTKIVKKEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGSLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESLLTVLQFGLLLIHAYAQDKRWPYLSIPLERSERPEEWVPVQTASYGEVPDDCSGTLNVAVEDRREIVDIFSIHSGDGTGPVYQNLPDTDVAESSAGLNCDETIVEESDILSIWKQQFFDALVLEHAESRKLDNKFVRLARISWQLLLVPWRLLFAFVPPYQIVHGWPAFICSLIFISGIAYVVTKLTDLISCVTGINPYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGIPWLIDTLYNYFAFNEPLRIQNAKGLSFSLLIFFATSVGCIGVLVFRRVTLGAELGGPRIWAWVTSVFFMLLWLTFVTLSSLRVSGII >itb09g03650.t1 pep chromosome:ASM357664v1:9:2005852:2009438:-1 gene:itb09g03650 transcript:itb09g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALYSPSSATSAATSFSNRSFPRLRSRASISLPSRTPVTTTLALRPISSPKFSLLNSKLFLFNPLSKPISKPPPLITRASSASDKISTATPQVTPKPQGAKPIPLIISVAIGLLVRFAIPKPPEVSPQAWQLLAIFLSTIAGLVLSPLPVGAWAFLGLTTSVLTKTLPFSAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSSGSKPGDPSAKKLGSYLIQSQFQSAGNSSALFLTAAAQNLLCLKLAEELGVVVSSPWVSWFKAASLPAFVALLATPFILYKLYPPETKDTPDAPAMAAKKLDLMGPVTRNEWTMIGTMLLAVSLWVFGDALGISSVVAAMLGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTNLGIVSWMSNCVAKSLQSLSLSWPAAFLILQASYFCIHYLFASQTGHVGALYSAFLAMNLASGVPGVLAALGLAYNTNLFGALTHYSSGQAAVYFGAGYVDLPDVFKFGFIMAVINALIWGVVGTFWWKFLGLY >itb03g04950.t1 pep chromosome:ASM357664v1:3:3289135:3294350:-1 gene:itb03g04950 transcript:itb03g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAVSQITTLTICNTGITATSGPQKLHKLNTKPLNSTIKSLSKIGKLDEALHQIESQPLKSPSTHLSPDSYAAVLHACISRKSFEHGQRLYLHLLLHHANGDFLSNPILKSKFITLFSVCGRLDEARRVFEHGVEAENLPESVWVAMAIGYSKNGRSKEALFLYSEMLSHVNEPGNFAFSVAVKACSDLLDLTVGRAVHAQIIKSTTEPDQVVYNGLLTMYMECGCFEDVLKVFEKMPERNVVSWNSLISGFVKRDMVFEAFETFRRMQRAEVGYSWVTFTTILALCADLTSIYSGKEIHAQIVKSSGTPDVLVLNSVLDMYAKCGEIKYCQRVFDKMKYKDLTSWNTMINGFAINGFMAEAMELFDEMAGCGVKPDGVTFIALLSGCSHKGLTDLGQVLFKRMSSEFRIEPSVEHYACLVDALGRAGKIKEALQVVKRMPMKPSGSVLGSVLNSCKIHGNVSLAEAVTIRLFEMEPNNAGNYIMLSNIYAKAGSWERVKKLREMMEKRGVKKDAGCSWIQVKSKVHTFVASGGFELRNSDEYKKMWNELEEAIEAAGYKPDTGVVLHDVNEEMKAEWVCGHSERLATVFGLINTGSGVPIRITKNLRVCADCHTWMKFVSEVTQRRIILRDTNRFHHFEKGDCSCNDYW >itb04g25340.t1 pep chromosome:ASM357664v1:4:29923272:29924045:1 gene:itb04g25340 transcript:itb04g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKSSTVVGAQSMSLWWQSVDPTPKDPILGITQAFLVDLSPNKVNVGVGAYRDDNGKPVVLECVREAERRIAGGFNMEYLQIGGSVNMIEESLNMDYKENYDLIKDKHIGAIQSLSRMDACRNFTNFQKHFCPDSQILIHVPTWSNHHSIWRGAHNPTGVDPTEEQWKEISHQLKVKGHFAFFDTAYQGFASGNTKKDARAIQIFIEDGHQVGCSQSYAKNMGLYGQRVGCLSMVRQEFFGVLQYVDSQSTPSTMN >itb09g27330.t1 pep chromosome:ASM357664v1:9:27660808:27661865:-1 gene:itb09g27330 transcript:itb09g27330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLVRRWQKFAAIRRKRISFPRENDDADSCSTSSAVNKGHFAIYTADQKRFVVPLSYLENEIIRQLLNLSEEEFGLPSDGPITLPCDAVFMDYIISLLSRGLSRELENALLVSVASYQCSSASLHQEGLRNQELLVC >itb01g18340.t1 pep chromosome:ASM357664v1:1:23303291:23303911:1 gene:itb01g18340 transcript:itb01g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVFLLFICKFEYCYSYNASCIEVERVALLRFKNSLIDTSNRLSSWTGLDCCAWEGVFCGSVTGHVWKLDLHNPVTYDEDDDSKGNFPNYCDNCLRGEISHSLINLTYLNYLDLSLNIFSEIQIPEFLGSFKNLRYLNLSSSGFVGNIPLHLGNLSRLEYLDLGRAPRDIHWWAVNNELVTNNLDWLANLSSLKSLDMSTVFIQ >itb01g35190.t2 pep chromosome:ASM357664v1:1:37522073:37525722:-1 gene:itb01g35190 transcript:itb01g35190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEIVKNFLLKTLRLQSWEKKVDKFKLGEGVLPASFKVLHDPVRNSETIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQRGIRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALRLLKHDEESRDCTDQIVKRLHALSYHMRNYFWLDIKQLNDIYRFKTEEYSHTAVNKFNVMPDSLPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSCLATPEQASAIMDLIESRWEELVGEMPLKICYPAMEGHEWRIVTGCDPKNTSWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIELAESRLLKDNWPEYYDGKHGRYIGKQARKFQTWSIAGYLVARMMLEDPSHIGMIALEEDRQMLPQMKRSSSWMF >itb01g35190.t1 pep chromosome:ASM357664v1:1:37521996:37525722:-1 gene:itb01g35190 transcript:itb01g35190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPSDAPQNGNAKHHESGSLFEIGDSDLARLLERPRPLNIERKRSFDERSFSELSISHSPPRQIYRNPENSSRVFDHYDGMYSPGRRSTISTPRSIYTFEPHPLIAEAWEALRRSIVSFRGQPVGTIAALDHSTEELNYDQVFLRDFIPSALAFLMNGEPEIVKNFLLKTLRLQSWEKKVDKFKLGEGVLPASFKVLHDPVRNSETIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQRGIRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALRLLKHDEESRDCTDQIVKRLHALSYHMRNYFWLDIKQLNDIYRFKTEEYSHTAVNKFNVMPDSLPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSCLATPEQASAIMDLIESRWEELVGEMPLKICYPAMEGHEWRIVTGCDPKNTSWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIELAESRLLKDNWPEYYDGKHGRYIGKQARKFQTWSIAGYLVARMMLEDPSHIGMIALEEDRQMLPQMKRSSSWMF >itb04g05750.t3 pep chromosome:ASM357664v1:4:3723975:3727348:-1 gene:itb04g05750 transcript:itb04g05750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVFPDHPSPVADAEAIYNACKGWGTHEKTVIGIIAHRNWTQRKLIKQAYHDQYQEDLIRRFEKELSGGLERAVYRLMLDPEDRDAVLLHVAIKQGGVPDYRVIIEQACIYCPEEFLGVRRAYQARYKRSFEEDLAQCSTADFRRLLVALVGVYRYSGSEIDAKLAQAEAEILHNAIKKKEYNHEEIVRILSTRSKAQLIATFNRYKDEHGIAITKDLKEDTGNQYLTALRMTIRAMVDPQKYYEKVGN >itb04g05750.t2 pep chromosome:ASM357664v1:4:3724817:3727348:-1 gene:itb04g05750 transcript:itb04g05750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVFPDHPSPVADAEAIYNACKGWGTHEKTVIGIIAHRNWTQRKLIKQAYHDQYQEDLIRRFEKELSGGLERAVYRLMLDPEDRDAVLLHVAIKQGGVPDYRVIIEQACIYCPEEFLGVRRAYQARYKRSFEEDLAQCSTADFRRLLVALVGVYRYSGSEIDAKLAQAEAEILHNAIKKKEYNHEEIVRILSTRSKAQLIATFNRYKDEHGIAITKDLKEDTGNQYLTALRMTIRAMVDPQKYYEKLVRQALTKTGADEDDLIRVIVTRAERDLRDIKDLYFKRNSVTLDQAVAKETSGHFEALLLALLGKQE >itb04g05750.t1 pep chromosome:ASM357664v1:4:3723975:3727348:-1 gene:itb04g05750 transcript:itb04g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVFPDHPSPVADAEAIYNACKGWGTHEKTVIGIIAHRNWTQRKLIKQAYHDQYQEDLIRRFEKELSGGLERAVYRLMLDPEDRDAVLLHVAIKQGGVPDYRVIIEQACIYCPEEFLGVRRAYQARYKRSFEEDLAQCSTADFRRLLVALVGVYRYSGSEIDAKLAQAEAEILHNAIKKKEYNHEEIVRILSTRSKAQLIATFNRYKDEHGIAITKDLKEDTGNQYLTALRMTIRAMVDPQKYYEKLVRQALTKTGADEDDLIRVIVTRAERDLRDIKDLYFKRNSVTLDQAVAKETSGHFEALLLALLGKQE >itb10g23040.t1 pep chromosome:ASM357664v1:10:27616343:27617104:1 gene:itb10g23040 transcript:itb10g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHRKLMFSDDGAISEEPCIRPCSYPTSYHDTIICPAECFDVCPSICGDTSPPPIVDPHPNALYIIVFLPVIMTTVLVCGCLSIYRWTAGQSWPPPQFAGGDGGARWYVRTPGGLHSSVIDAIAVRRYRKGEGAVEGSECPICLSEFREDEILRVLPNCEHAFHVPCVDAWLKSHANCPVCRGSVVESIENSDVTPPVLVMNREYGGIPESNGREREDSEAHELRSRVNEKEDESTQQNIVAKNNIVEHS >itb08g08060.t1 pep chromosome:ASM357664v1:8:6903768:6912935:-1 gene:itb08g08060 transcript:itb08g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPDSMCSMMENGSIEFPCTPEEERRIIQELTNKAEFNLKEGDLVYVISTRWYTAWQRYTGQPVVAYTFDQPLAVPNTAERPGPIDNSDIIVRGCDSDCDDAQLLRTLQEGLDYELVPKEAWEKLFEWYKGGPALPRKVISVGDNKQLSVEVFPLCLNIIDSKDKSEKVLRLSKKASLRKLYERVCGLKGIVLEKAKIWDYFNKKKHTLLAASDLTLEESNLQMDQDILLEVQAEGFQPPSFGMDSTGNNLALVPVEPMRSSVTIAGGPTFSNGFSNGYTSSIHQQSSSSSTLGDMEDGYENQRNTTKGESGGLAGLQNLGNTCFMNSALQCLVHTPPLAEYFLQDYSDEINRHNPLGMHGELALVFGELLRKLWSSGRTSVAPRAFKGKLGRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIETKDSDGRPDEEVADELWRYHKARNDSVIVDVCQGQYKSTLCCPDCNKISITFDPFMYLSLPLPSTATRIMTVTVFYGDGSGLPMPYTVTVSRDGCYKDLLQALGTESCLQSDEYLLLAEVYDHRIFRYLDNSSDQLHSIKDDEHIVAYRLPKRAARLTKLEICHRFLEKCSIDSSKAGERKLFLTPLVTFLEEPATGVNIDLAVDRMLSPLRRKSYFKTHDLGDGENGSASEVIEPGNNFSTQSGSIVKSADDIEPEGSSRSELSFHLCITDERGSSCRPIVNDTPIKHGTGGIVKVFLDWTDKEHESFDPSYLKDLPEVHKSGLTVKKTKPEAISLFSCLEAFLKEEPLGPDDMWYCPRCKEHRQATKKLDLWRLPDILVFHLKRFSYSRWLKNKIDTFVNFPIHNLDLSKYVKSKTASDGAHVYELFAVSNHYGSLGGGHYSAYCKLVDENKWYHFDDSHVSSVAESDIKTSAAYVLFYQRVKVQENGTTGGPSHNHTS >itb08g08060.t2 pep chromosome:ASM357664v1:8:6903768:6912719:-1 gene:itb08g08060 transcript:itb08g08060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPDSMCSMMENGSIEFPCTPEEERRIIQELTNKAEFNLKEGDLVYVISTRWYTAWQRYTGQPVVAYTFDQPLAVPNTAERPGPIDNSDIIVRGCDSDCDDAQLLRTLQEGLDYELVPKEAWEKLFEWYKGGPALPRKVISVGDNKQLSVEVFPLCLNIIDSKDKSEKVLRLSKKASLRKLYERVCGLKGIVLEKAKIWDYFNKKKHTLLAASDLTLEESNLQMDQDILLEVQAEGFQPPSFGMDSTGNNLALVPVEPMRSSVTIAGGPTFSNGFSNGYTSSIHQQSSSSSTLGDMEDGYENQRNTTKGESGGLAGLQNLGNTCFMNSALQCLVHTPPLAEYFLQDYSDEINRHNPLGMHGELALVFGELLRKLWSSGRTSVAPRAFKGKLGRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIETKDSDGRPDEEVADELWRYHKARNDSVIVDVCQGQYKSTLCCPDCNKISITFDPFMYLSLPLPSTATRIMTVTVFYGDGSGLPMPYTVTVSRDGCYKDLLQALGTESCLQSDEYLLLAEVYDHRIFRYLDNSSDQLHSIKDDEHIVAYRLPKRAARLTKLEICHRFLEKCSIDSSKAGERKLFLTPLVTFLEEPATGVNIDLAVDRMLSPLRRKSYFKTHDLGDGENGSASEVIEPGNNFSTQSGSIVKSADDIEPEGSSRSELSFHLCITDERGSSCRPIVNDTPIKHGTGGIVKVFLDWTDKEHESFDPSYLKDLPEVHKSGLTVKKTKPEAISLFSCLEAFLKEEPLGPDDMWYCPRCKEHRQATKKLDLWRLPDILVFHLKRFSYSRWLKNKIDTFVNFPIHNLDLSKYVKSKTASDGAHVYELFAVSNHYGSLGGGHYSAYCKLVDENKWYHFDDSHVSSVAESDIKTSAAYVLFYQRVKVQENGTTGGPSHNHTS >itb10g18070.t1 pep chromosome:ASM357664v1:10:24122223:24124693:1 gene:itb10g18070 transcript:itb10g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYVNGGSDEVNLSEGVNSSKSSMSSSDHSHCTSFSRLSFDLPTSSPEQNAAALKPHRSSDSSFHALRNERGLSFRDFSLVRQIGSGDIGRVYLCRLRGGGGGEGQVYAMKVVDGEVLALKKKVQRAETERKIMRMLDHPFLPTLYAEFQAAQFSCVVMEYCSGGDLHSLRHKQPNKRFSLTSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLTDFDLSLCSDAMAAVESPDFSVDPPPASPTSARVPTPFSCISGRLFRSKKIQTLSNSRLFVAEPVTARSCSFVGTHEYVAPEVASGKPHGNAVDWWAFGVFIYEMMYGRTPFAGASNEDTLRSIVKKPLAFPNETPASVSEVHARDLISALLNKDPTKRLGSKRGAADVKTHPFFKGLNFALIRSVAPPPSSRPGLRRHKTTPPLRGGDRHHVQPFDFF >itb01g35490.t1 pep chromosome:ASM357664v1:1:37666745:37670257:-1 gene:itb01g35490 transcript:itb01g35490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIARKLAKDCCKYGNENESGASPIARAALNFGASRAAMEDERETMLGILGQQVSEPLRSMINGAPLEDARHLTHRYDRMRQDLEAQFSEVIRRQSKFRDSSSESLVKLKNSEAKLTDLKSSMLVLGKEAIASMLSVEEEQQQTTYQKLLTMVDAERSYHRNVVATLEKLHSEMILEEQSNESSLQSSFSQREVLDAASNGSSHLGDDDKNGNDFVAKVIHPFDAQADGELSLELDDHVVVRQAAPTGWSEGESKGKCGWFPSAYVEKIGEAPAIKLGKEDSK >itb06g12680.t1 pep chromosome:ASM357664v1:6:17298065:17298898:1 gene:itb06g12680 transcript:itb06g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRGRQRIEMVKIQNKSSLEVTFSKRRAGLFKKASVFSTLCGADVAIIVFSPAGNKVFSFGHPTVEAVVERFIGENNPAPVNETGSGALATEQFIEAHRNARVQELTRLEAIFELEKKRGEAIDGFVEANREAHGWMRGSYDDLSFEQLVTLKSGMENLLKEIQQKAYHQLMAVHGNGTQFNPYAGGNIVSGDPTSEFNFGTSGGAAGALPFTGGVPGAHPSTSGAAAAATSAFPGSTSMAASGYNAVSQWVASTSDASKSAGGNLGASHAFF >itb10g14550.t1 pep chromosome:ASM357664v1:10:20907037:20908586:1 gene:itb10g14550 transcript:itb10g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVQESAATLDLSCDDYYFSLMFDDESVSDHDSPPISDDKYAEELQFQETILSSLIANPNAVTSEPPLVIIEVERMSVGAMEVVELEGGGEKGESSQMFCEICAERKESEEMFRLESCSHVFCTACISKHVATKVQDSIHVVTCPGLNCRGSLEFDSCKAIVPSDVLTRWDEVLCESLIPASEKFYCPYRDCSAMMVNDGDGMITASECPFCHRLFCALCNVPWHSGVGCEEFQKLNEDERGREDIMVRELAKQKNWRRCPFCRFYVEKTEGCLHMSCRCGSEFCYACGAKWTSTHGGCQ >itb15g07190.t1 pep chromosome:ASM357664v1:15:4869228:4872617:1 gene:itb15g07190 transcript:itb15g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMDIDRDTESGGENGAAEKKHSAAIMVVLVGAPGSGKSTFCDQVMRASSRPWVRVCQDTIGNGKAGTKAQCLTSAATALKDGKSVFIDRCNLDIEQRADFMKLGDSKVEKHAVVLDLPAKICISRSVKRTGHEGKLQGGKAAAVVNRMLQKKELPKLSEGFARITFCQDEKEVQAAIDTYCGLGPSDSLPSGCFGQKSSNAKVQLGIMKFLKKVDPVGDAGPVASTVQEHIPDQENKDKNSNQGAEDGTSTSASASKDVKNGEDLDSSSTCAAGSSYNVPTLAFPSISTADFQFNLEKASDIIVEKVEEFMNKLGSARLALVDLSSGSKILSLVKTKAAKKNIDPNKFFTFVGDITQLYSVGGLRCNVIANAANWRLKPGGGGTNAAIFNAAGPALESATKERIGSLAPGKAVVVPLPSSSPLFSREGVTHVIHVLGPNMNPKRPNCLNNDYVKGCKILSEAYSSLFDGFASILRTHREFSKENSEDQFKSQDQQGRGAQNGDQKVKREAAFEPERSKKYKSFPKELGPNTKCPLDTSNNPERKDEKHTTKTWGSWAQALYDIAMHPEKHKNDVLDTSQDVVVLNDMYPKSQKHLLVLARVEGLDRLADVSKEHLPLLKTMHAVGLKWAEEFLNENESLIFRLGYHSVPSMRQLHLHVISQDFNSKHLKNKKHWNSFNSLFFRDSVDVIEEVSQNGKATLNDDEKLLAMELRCHRCRSAHPNIPRLKAHIGSCQAPFPSFLLQNVRLVCTPGKMQIGS >itb01g18610.t2 pep chromosome:ASM357664v1:1:24244943:24251513:-1 gene:itb01g18610 transcript:itb01g18610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCFTNPPTLSSTAGSGSLQEIAGIKTYVSGSQDSKLAILMISDAFGYEAPKLRKLADKVAGAGFLVVVPDLFYGDPFNDQKYATAVQSWLAAHPPSRGCDDARTLVETLKNMGVSSVGATGFCWGGMVVAKLAKSYCIGAAVILHPGKISVEEIEEVKVPTAILGAEFDHICPAELIKQLGDALSTKPEIDSFVKVFPGVKHGWTLRYDDEDEEAIRVAEEAHSDMLNWLTNYIK >itb01g18610.t1 pep chromosome:ASM357664v1:1:24244943:24251513:-1 gene:itb01g18610 transcript:itb01g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCFTNPPTLSSTAGSGSLQEIAGIKTYVSGSQDSKLAILMISDAFGYEAPKLRKLADKVAGAGFLVVVPDLFYGDPFNDQKYATAVQSWLAAHPPSRGCDDARTLVETLKNMGVSSVGATGFCWGGMVVAKLAKSYCIGAAVILHPGKISVEEIEEVKVPTAILGAEFDHICPAELIKQLGDALSTKPEIDSFVKVFPGVKHGWTLRYDDEDEEAIRVAEEAHSDMLNWLTNYIK >itb01g18610.t3 pep chromosome:ASM357664v1:1:24245811:24251513:-1 gene:itb01g18610 transcript:itb01g18610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCFTNPPTLSSTAGSGSLQEIAGIKTYVSGSQDSKLAILMISDAFGYEAPKLRKLADKVAGAGFLVVVPDLFYGDPFNDQKYATAVQSWLAAHPPSRGCDDARTLVETLKNMGVSSVGATGFCWGGMVVAKLAKSYCIGAAVILHPGKISVEEIEEVKVPTAILGAEFDHICPAELIKQLGDALSTKPEIDSFVKVFPGVKHGWTLRYDDEDEEAIRVAEEAHSDMLNWLTNYIK >itb08g15860.t1 pep chromosome:ASM357664v1:8:18058143:18063203:1 gene:itb08g15860 transcript:itb08g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSFKVDKWGYGVKTHSDSCIAAINSYYDQVLGYGRERSVIMEAPKHDPCCVLGNILAAHYISSSDPSRAPPLLEAAKSNLENASLYEKSVFEAVNYLISPNRDDDLAVELHSQLLRDFPKDLASLKRAQVLCFYMARADLSLELVEKVLPANEEENYIYGMLAFPLLELGRYADAEKAAKKGFEINSADPWTQHALCHIYQYQCCFKEAVQFMEKCSSSWGSLSSFMYTHNWWHVALCYLEGHSSLERVREVYDLHIWKELERSDSTPAEVYLNAVALLLRVHIRDAIDVFEDRLKILANRLTDKSFWYLEWHLDVLTLWALACTGEVSKAEDLLEGLKARLSKMSKKKRGLMQRGLQLAEALFQYGKGDDKAALELLEPEFDAVNYKIIGASDEQADVFNEVWIILLLNNGHATRAIEAIEKQLKKREGAVFLWRLLERAHTMLGRKEATSIGEKARALQNTYFS >itb08g16410.t1 pep chromosome:ASM357664v1:8:18548904:18550968:1 gene:itb08g16410 transcript:itb08g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARARKSAVESERKDREAREKEDQYWRQAEGAKSRAAKKREEEAEKRAEAAAKKAEARRLAEQEEKELSKSLQKPDKKANRVSIPVPKMTEAELRRRREEEQAAMQKKAEEEKRKQSRVAEEEEYERMVLVTNTNRDDSIIEARTVDEALAKMTVVDNLPVPVDKHPEKRLKASFKAFEEAELPKLKEEKPGLTHTQYKDLIWKLWKKSPDNPLNQIADK >itb05g18680.t1 pep chromosome:ASM357664v1:5:25388424:25391021:-1 gene:itb05g18680 transcript:itb05g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEDAHNVRVVGSGPRTVVLAHGFGTDQSVWKHLVPHLVDGCRVVLFDNMGAGTTNPDYFDFDRYATLEGYAYDVIAILEELQVESCVYVGHSVSAMIGVIASVARPDLFTKLLTISASPRYLNDVDYYGGFELEDLEQLFDAMRSNYKAWCSGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALSVLQTIFRSDLRHVLSHVTVPCHIIQSMKDLAVPVVVAEYLHRNLSCESVVEVMSTDGHLPQLSSPDVVVPVILRHIQHDIQTQK >itb05g27160.t1 pep chromosome:ASM357664v1:5:30674979:30678013:-1 gene:itb05g27160 transcript:itb05g27160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESDLGKLFIGGISWDTDEKSLKEYFSSYGDVVEAVIMRDRNTGRARGFGFVVFADLAVAERVVKEKHMIDGRTVEAKKAVPKDDQNIMNRNNGNIQGSPGPGRTKKIFVGGLASTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRSLYKTFHELSGKMVEVKRAVPKERSPGPRQSPMMGYNYGLNRSENFLNSYAQGYNLSSVGGYGFRMDRFNPVASGRTGFSQFVNPAYGMSMNLDSVLNPSFAGGSNFSSTLGYGRVLSPYFGSNSSRYTTPIGYGKSSNRGDSFLSSPTRNVWGNGGLNTSPNAVSSSPFLASGSFGVFGNNGANWGSSVSAPVGRNSSNYAGVGNVGFRVGETSYVLGSEDFGRNNSAGVATSFTVSSGPYEGPYGDLYSSASMSGDPTRQAASPDTDDSVSFAYGLGNSGDANAKDSEGYIRGYNVANRQANRA >itb05g27160.t3 pep chromosome:ASM357664v1:5:30674979:30678013:-1 gene:itb05g27160 transcript:itb05g27160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEMTESDLGKLFIGGISWDTDEKSLKEYFSSYGDVVEAVIMRDRNTGRARGFGFVVFADLAVAERVVKEKHMIDGRTVEAKKAVPKDDQNIMNRNNGNIQGSPGPGRTKKIFVGGLASTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRSLYKTFHELSGKMVEVKRAVPKERSPGPRQSPMMGYNYGLNRSENFLNSYAQGYNLSSVGGYGFRMDRFNPVASGRTGFSQFVNPAYGMSMNLDSVLNPSFAGGSNFSSTLGYGRVLSPYFGSNSSRYTTPIGYGKSSNRGDSFLSSPTRNVWGNGGLNTSPNAVSSSPFLASGSFGVFGNNGANWGSSVSAPVGRNSSNYAGVGNVGFRVGETSYVLGSEDFGRNNSAGVATSFTVSSGPYEGPYGDLYSSASMSGDPTRQAASPDTDDSVSFAYGLGNSGDANAKDSEGYIRGYNVANRQANRA >itb05g27160.t4 pep chromosome:ASM357664v1:5:30675105:30678009:-1 gene:itb05g27160 transcript:itb05g27160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTESDLGKLFIGGISWDTDEKSLKEYFSSYGDVVEAVIMRDRNTGRARGFGFVVFADLAVAERVVKEKHMIDGRTVEAKKAVPKDDQNIMNRNNGNIQGSPGPGRTKKIFVGGLASTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRSLYKTFHELSGKMVEVKRAVPKERSPGPRQSPMMGYNYGLNRSENFLNSYAQGYNLSSVGGYGFRMDRFNPVASGRTGFSQFVNPAYGMSMNLDSVLNPSFAGGSNFSSTLGYGRVLSPYFGSNSSRYTTPIGYGKSSNRGDSFLSSPTRNVWGNGGLNTSPNAVSSSPFLASGSFGVFGNNGANWGSSVSAPVGRNSSNYAGVGNVGFRVGETSYVLGSEDFGRNNSAGVATSFTVSSGPYEGPYGDLYSSASMSGDPTRQAASPDTDDSVSFAYGLGNSGDANAKDSEGYIRGYNVANRQANRGIAA >itb05g27160.t2 pep chromosome:ASM357664v1:5:30674979:30678013:-1 gene:itb05g27160 transcript:itb05g27160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESDLGKLFIGGISWDTDEKSLKEYFSSYGDVVEAVIMRDRNTGRARGFGFVVFADLAVAERVVKEKHMIDGRTVEAKKAVPKDDQNIMNRNNGNIQGSPGPGRTKKIFVGGLASTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRSLYKTFHELSGKMVEVKRAVPKERSPGPRQSPMMGYNYGLNRSENFLNSYAQGYNLSSVGGYGFRMDRFNPVASGRTGFSQFVNPAYGMSMNLDSVLNPSFAGGSNFSSTLGYGRVLSPYFGSNSSRYTTPIGYGKSSNRGDSFLSSPTRNVWGNGGLNTSPNAVSSSPFLASGSFGVFGNNGANWGSSVSAPVGRNSSNYAGVGNVGFRVGETSYVLGSEDFGRNNSAGVATSFTVSSGPYEGPYGDLYSSASMSGDPTRQAASPDTDDSVSFAYGLGNSGDANAKDSEGYIRGYNVANRQANRGIAA >itb10g25300.t1 pep chromosome:ASM357664v1:10:28675877:28677718:1 gene:itb10g25300 transcript:itb10g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTLSAASPSSAPLMIFRRLLRSATPLLSFSLRPKQPVYFYPQTTSLFTPARPHFLYQTHHFSSNHPLQALLQFQDDVVEGTDENTDDDEIEELEPEAVAVTVTTESSEAQRLHSKLQRLTVKEKKELASYAHSLGKKLKSQQVGKSGITDTVILALGETLEKNEILKLKIHGTCPGELEDVVRQLEQATGSVVVGKIGRTVILYRPSLSKLKAEEKKKLVQRAILKKQMLNKDKKPVQVKGQGKGLVPRPSNRGRRGGSRFSLDTAQK >itb10g25300.t2 pep chromosome:ASM357664v1:10:28675877:28677718:1 gene:itb10g25300 transcript:itb10g25300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTLSAASPSSAPLMIFRRLLRSATPLLSFSLRPKQPVYFYPQTTSLFTPARPHFLYQTHHFSSNHPLQALLQFQDDVVEGTDENTDDDEIEELEPEAVAVTVTTESSEAQRLHSKLQRLTVKEKKELASYAHSLGKKLKSQQVGKSGITDTVILALGETLEKNEILKLKIHGTCPGELEDVVRQLEQATGSVVVGKIGRTVILYRPSLSKLKAEEKKKLVQRAILKKQMLNKDKKPVQVFSS >itb15g03090.t1 pep chromosome:ASM357664v1:15:1950181:1956247:1 gene:itb15g03090 transcript:itb15g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKETAANVGASAISGKEKTKAVVQEKMERMTAHDPMEKEMATKKKEERINQAELNKQEAKEQNAAARQGASTTGTDKPHSYSTTGRTGNPTGAHQMSALPGHGTGAPTGQVTEGVVESRPIGTATGTKRTTVAHNTHAEREVNKGYGTGGPYIQKPKPKTNPVARDTEGQNSEFIIAGNFPPVLTHNCGTFKASQRPPSPNFFSFVFIETLYTHISPHLYNTKERERERDLSFHALFGLVDPQISLYSSEFKLLIMAATATPSLQIAAAKPCVSASRKIFKSSTASFGLENRSRSAAELKSLSVISATKLSSQGFNCIPLKSRRIVTKAMSGASDNAPLPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEIKKVYPLDAVYDSPEDVPEDVKANKRYAGSSNWTVKEVVETVRQDFGTIDILVHSLANGPEVTKPLLETSRYGYLAALSASSYSYISLLKHFLPIMNPGGASLSLTYIASERIIPGYGGGMSSAKSALESDTRVLAFEAGRKHKVRVNTISAGPLRSRAAKAIGFIDMMIDYSIENAPLQKELSAEEVGNAAAFLASPLASAITGAVVYVDNGLNAMGVGVDSPVFKDLDIPKDKSHETVKQAVSV >itb14g04500.t1 pep chromosome:ASM357664v1:14:4036612:4037143:-1 gene:itb14g04500 transcript:itb14g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSVEMGNLGNVISDGDTTRNPLPETKPGNWIDYFQFKYWRDTARINPPSYIVQNAQQVSASSLNVFLVANTLSLSAAMTMIEYLTRNMPFQRELRISMACMMFGYGWSLANTRPVRGTKIALAISCLVPFLARFLPNLFKRIRKTEGVLEN >itb13g16340.t1 pep chromosome:ASM357664v1:13:23297128:23304140:1 gene:itb13g16340 transcript:itb13g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKNNAAEEARCQTAMTVKMASIAVYALGFFVAMVLCNFVHFLLRPMSQPRIISEAVVGLFLSNLRTVRKHLDEKDIKDTLSYIVDVIMICHMFVVGLEIDPNIFQHLHLPETKVAYSGVLTTFILANFLIPPLKMSSDTMINIGLCLVLSGTASPLLTRIITDLKIGKSDIGRFVVAAGIHSDVVSTLLISFGYIVFDPFENFQNRDARSVARMVTTLTVQTALASRAAPVVMNWVNHENPEGKSMKGSHLVITIAFVVLVCSTSPYFGQFNKVLSAFLAGLFMPRDGRISRMMITKVNYIFSTIFYPLFFFWVGTSAHLNKFAVAHWHTWVNVVCLFVITTVGKVVGSLVSGIMLGFNWPESVAIGLLLNTKGHFQVFLGVVGIVIRSTFPPKMKLGLSVIPEAVGLPVVFMTFLTIVYTPLVVAKIIRWARKRSPTQKMALQLLSASDELRVLLCLRGKQNVSCAINFIEISRGPVEPGIMIYLTEMIELTDMVAATMASNQGVVEDGVTVTDPEVIRLREEINSSVQAYVPERSEGINVKQMMALSTLNNMHQDVCILAEDLMVHLVVMPFHKNPTPDGKLDTGHSGFRHVNRKVLRHAPCSVGILVDRGLGAITVSKTSISLNAAVIFIGGKDDREALSYVGRLARHPGVKLTVIRFLLEAGEDNVASRISKAKAESSEHQEEMKLDDECFAQFYDRHVAGGRVAYREKYLVNSGQTFSTLRSLEGQYELFIVGRGGRVNSVLTVGMNDWEECPELGLIGDILSASDYSVATSVLIIQQHSFKGEIDGLQEEFSIM >itb04g05000.t1 pep chromosome:ASM357664v1:4:3117728:3125710:1 gene:itb04g05000 transcript:itb04g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISHTVMPACDQLCFFCPAMRPRSRQPVKRYKKMLANIFPRSPDAEPNERMVSKLCEYASKNPLRIPRITTSLEQRCYRELRNENLSSVKIVMYVYQRLLTSCNQQMPLFAGSFLDIVNVLLDQIKYDEVRIAACLALFHFITNQSDATYMFNLESLIPKLCLIAQEMGTEERILKIRCSGLQVLSSMVWFMGEFGHMPAEFDNVVAVVLENYEGPEDKPDCLNNDNQDTENDQDRQECAGVNQANASSEALSRATSWKNIVTDRGLSVTLEESTNPKFWSKVCLHNMAKLAKEATTVRRILESLFRYFDNEDLWSPLHGVAISVLLDMQWIVENSGHNAHFLLCTLIKHLDHKNVLKNPDKQVDIVEVATSLALKSKVESSVTIVGAFGDMMRHLRKSQHNSLDQSDLGEDIIEQNKKLHAAVDECLVQMSRKIGDPGPILDMMAVMLESISNATVTARDTIATVHRTAQIVSSLPNLSFQNKAFPEALFHQILLAMVSPDHETRIEAHRLFSVVLVPSSFCPCPSTSAKKGGIQRTLSRTVSVFSVSAALFDKLSKKKHPSQEIMDGKENILNDENEQMNNQSMLTRLKSSYSRAYSSKRLQLSEIDEGKGMGNVEREPDCNSLKLKTQHISLLLSSIWAQAISPTNTPKNYEAIAHTYSLVILFSRMKKSCHDSLIRSFQLAFSLRNISLQGGKLPPSRLRSLFTLSTSMIVFSSKVYNLTRLLASAKAALIDKTVDPFLKLGDECKLQDVTSTLDPMMKVYGSKEDDEDALRSLSALQKSEKKSTESFASMIVDSLKRSLDKDINAIQEQLLKTFIPDDVCPLRAKDVDPILTSNDSFSINGVESQTDPNPQIILEEPSLMDVNQFLDLVLDTTVDAETVSESPETAFMDYDSQCEALQMGKQNKMDIMSAEEVQENLFEDYFQENTNPFTFDDQNFPTAGNANDNPHPFSVFDLPSMNTAPMSCAAEFHCHPGFFVPPASSPYDNFLKAVTS >itb10g17770.t1 pep chromosome:ASM357664v1:10:23903579:23907073:1 gene:itb10g17770 transcript:itb10g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASLRSSNSAAGGGGVFYIKQWRLQIAVVAAMSAALVDLLEFNLYTNGSCCYEVNLELGINAQDWGVINVFFFLGNEFLCLVRLPDMDMGSKNVGKGLRVLVVEDDTTTQMVHKMLLKKYGVEAQVAKNGEEAVELHRSGARFDLLLMDKEMPVKDGVNATRELRELGVKSMIVGVTSHGPGVVRDEFMAAGLDECLMKPLGADMVLRLINQLVA >itb02g14920.t1 pep chromosome:ASM357664v1:2:10833081:10835081:-1 gene:itb02g14920 transcript:itb02g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRHEEIHEDLPLSPPTLGSMQIAGSNGFGHNIDFMPQAYLTSRSSEIDIVDETSHSYINHPLPIFLKFADVEYKVKISQSAFKNPVKAVVSNVASQVKSEQENYKQILKGITGSIGPGEILALMGPSGSGKTTLLRILGGRLRENVKGTITYNDIPYSAALKRRVGFVTQDDVLFPQLTVEETLVFAAFLRLPSDMSKREKYERVEMIIKELGLERCRHTRVGGGFVKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANRLLQILQRLSKARRTIITTIHQPSSRTYHMFDKILLISEGSPVYYGKARESMEYFSSLRFIPEIVMNPAEFLLDLATGQVNDISVPEDLLPTKGTSESERLIIRYLQHKYKIQLEPKEKKENHQTPNAPAHLQIAIQVKKDWTLSWWEQFLILSKRTYKERCRDYFDKLRLFQALGVAILLGLLWWKSVTATEAQLRDQANSKTPTLTFYSSLLHYSIFEKQSFP >itb14g06340.t1 pep chromosome:ASM357664v1:14:5609790:5613943:1 gene:itb14g06340 transcript:itb14g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTITAESPGCPRLRALTFDVLGLIKVIEARGGEQKEAPAVVERWGAPDSSRCVLATSMIDREHDPLLGVARKNGTIEVLSPINGDVRLAIPSSSGSGSVSDDDAIVALHLFSKQRLESSLMSCTLLDCTTKGQARIRSFEIPKSLGDSVTESSQTIWDVCGSGNILCSKVDSSEHYALFAGKGVEINVWDLEQSGKIWVAKSPPKNSLGIFTPTWFTSATFLSKDDHRKIIAGTNNHQVRLYDISAQRRPVISIDFREAPIRAVAEDLDGWTVYVGNGSGDLASFDMRTGKLLGSFLGKCSGSIRSIARHPELPVIGSCGLDSYLRIWDVKSRQLLSAVFLKQHLTNVVFDSHFSNEAPLPQEPQDVSETQEECEEETTMPTKRKKASKDHSRKKKLKSNKTARQDPEQQDVSEMSHSEDQENWLNDEAEEETTPPRKEKVSKRHGGKKKKTGKRKGDSSV >itb04g19080.t1 pep chromosome:ASM357664v1:4:23194390:23194947:1 gene:itb04g19080 transcript:itb04g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPQRPSKAPTGIEYPPNLSCIYRYKPQPAPLIRFNPVSATEEAVVLDGGLHDEDAQRQPSDGYFSAYRSFFKRKPTAMAY >itb15g05860.t1 pep chromosome:ASM357664v1:15:3797291:3801961:1 gene:itb15g05860 transcript:itb15g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEYWRGLHYKIPPPSLTSFHKTVPSFHQQSWEHHHIPILYCKQLTMGRQTKLSINGVALLLLLVSLCTADAGPYILYKDPKQPINRRIKDLMDRMTLEEKIGQMVQIDRTVASAQVMNKYYIGSVLSGGGSVPAKNASAETWVDMVNDFQKGSLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGMGATRDPELVKKVGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPNVVREMTEIVPGLQGQIPSKSRLGVPYVAGKHNVAACAKHYVGDGGTTKGINENNTVITRHGLLSIHMAGYYNSIIKGVSTVMISYSSWNGLKMHANHEMITGFLKNTLRFRGFVISDWQGIDRITSPPHKNYTFSIVTGVNAGIDMIMVPFNYTEFIDGLTSLVKNNFVPMSRINDAVKRILRVKFTMGLFENPLADYSLVKYLGSQEHRELAREAVRKSLVLLKNGGSGNEPVLPLPKKASRILVAGTHANNIGFQCGGWTIEWQGLNGDITAGTTILKAIQDTVDPKTEVVFKENPDAAFVKATKFDYAIVVVGEPPYAETFGDSVNLTIPEPGPSIMTNVCGTIKCVVVLVTGRPVVIQPYVAQIHALVAAWLPGTEGQGVADVLFGDYGFVGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGYGLTTEPEMK >itb03g06670.t1 pep chromosome:ASM357664v1:3:4839710:4842764:1 gene:itb03g06670 transcript:itb03g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHYLVAVSPSLKTSKPHSRTSILTPSFSSSLSNSLLKLKSRNLTFLPKLYRTGQKVKAKAQVSEATSAADAFTNFKHLLLPITDRNPYLSEGTRQAAATTAALAKKYGADITVVVIDENDKASLPQHETQLSSIRWHLSEGGFQEFKLLERLGEGNQPTAIIGEIADEMNLDLVVLSMDAIHSKHVDANLLAEFIPCPVLLLPL >itb04g11780.t1 pep chromosome:ASM357664v1:4:11409632:11413378:1 gene:itb04g11780 transcript:itb04g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYQKCLFLYRLLFCLSFLSLSFSDDATVMSKLFAAISPAPSGWSISKDHCTWTNVECAKSTGSVVSINLDSQSISGEIPSELTQLASLRSLSLRGNFLSGSLPSFANMSDLEELYLDNNKFSSIPSGFLLGVPNLQTFSISENGNLGPWQIPSYLIGSTNLNVFHASNASITGAIPDFFDSFPNLQNLRLSYNNLTGPLPWSIGRSKIQNLWLDNQKQGLSGTIDVISSMTQLSQVWLQGNAFTGPIPDLSKCLNLFDLQLGDNQLTGVVPVSLACHQNLENITLQNNKLQGPMPLFGNKVKATLGDTNSFCKDTPGPCDPQVTALLAIAGGLGYPIRLAQSWKGNNACQNWAYIICHSQGKNVTTVNLGNLRFSGTISPDFSKLTSLRNLYLNDNNLTGPIPEILTTLPHLQVLDVSNNNLSGPIPSFPPAVKISIHGNLFLGKNVSIGSGSPGSGRTANAHNDSPSSGNSNVSSMSGGMFVVVVIVVVMVVLVVLFVFYKWYMKREHKRFGRTKNPEKGTQILKTNEMGTAIKIQVLEKATNFFSEESVLGSGGYGVVYLGKLDDGTKVAVKKMKDGAAPTKGMNEFQAEIAFLSKVRHKNLVAPVGYCINDNEMLLVYEYMPKGTLAHHLFEWRKRGLDPLTWKQRVTIAWDVARGIEYLHSLTHPQSFIHRDIKSSNILLNDDIRAKVADFGLVKKAPDDKSSVETRVAGTFGYLAPEYANSLYMWPDVFPTGTGRVTKKVDVYAFGVVLMEMITGKKAVDETLPDEMCHLVTWFHKIIKKSHNLQKAIDPTLDPDDDEQTFESISKVAELAAHCTTNKYYRRPNMEHVVNVLGPFAHNWRPLRPEEIEEKFGGLDRNISLPLVFDDSSIESLSFTNAQHNGHRLNQSAQF >itb09g15740.t1 pep chromosome:ASM357664v1:9:10993212:10995955:-1 gene:itb09g15740 transcript:itb09g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIANGHTNDFCIKVDPLNWEMAADSLKGSHLDEVKRMVAEFRNPAVKIGGQTLTVAQVAAIAARDNAVKVELSEAARPGVKASSDWVMNSMNNGTDSYGVTTGFGATSHRRTKNGHALQQELIRFLNAGIFGTGTGASHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEALTAEEAFKLAGVNGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSYYMKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRQATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNSRLALASIGKLIFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDVLKLMSSTYLVALCQAIDLRHLEENLKNAVKNTVSQVAKRTLTMGVNGELHPSRFCEKDLLRVVDREYVFAYADDPCSANYPLFQKLRQVLVDHALQNGEHEKNVSTSIFQKIAAFEDELKAVLPKEVEGARSAIENGNPAIPNRISECRSYPLYKFVREELGTEMLTGEKVKSPGEVCDKVFTAVCDGGIIDPLLECLKSWDGAPLPIC >itb14g07760.t1 pep chromosome:ASM357664v1:14:7109001:7112297:-1 gene:itb14g07760 transcript:itb14g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVSVSEVPIKNFLGKRCRMLCSFGNFGYVLAVIVVLSSAFLGYCGDNDDDFSMVSVPLGFEISGFDRTKNWVSKNGVFAFGFLEKYGGYDVDGFVVGVRYNLGDLEDVNVPVWTVGGGVRVSGNSTFRLAMDGRLELIENHSGIVVWSSNSSTLGVQKASLLDSGNLVLLDDDNKVVWESFSSPINTLLPGQSLHFPQNLRAPSTLSVSSYYSLEIRRTGELALVWEHNVTYWRSHFGSSASVEEARFEPNGVLGLYEDSSEAVWSVTSKDFGDPSVTLRHLRIDQDGNLRIYSWNDEVRSWRVGWQAVVNQCNVFGSCGLYGICGYNTSGPTCNCLYTDSLDSGTSASAVDLGSSGCKKMVDLGNCRLHTSMMVMKQTVLYGLYPPLDVTMVLSEEACKEYCTNDTSCVAATSKNDGSGVCTIKRTSFISGYNNPSVPSVSFLKVCQVPLAVAARAANNHHYNGELVSLPSNRLADGESRKGLIEGVAIIVLMTVSIVLGVEGLALWVMFKRQKFKTRLRVPFGKDGHMNPHCTAVVRITFEEIKELTDEFAVQLGPSVFKGTLPNRIPVVAKILSETVVPEKEFRLAVSTLGSMHHRNLASLRGFCYEPEHKLLIYEFVPNGSLDKWLLDLNKDHTKWSWHQRLNIALEVARALAYLHLECPQCIPHGNLKLENVLLSENFSVKLTDFGLQTLMSKESASSSESPSERDIHMLGEMLLQIVTSQREVLMESPQEILDELSQKGTPVVGSEDMKALERVVRIAFWCMQTQPFLRPSISEVLKVLEGTLSVDNPPSDFVFRHESMTDSEAVA >itb09g00050.t2 pep chromosome:ASM357664v1:9:155123:167391:1 gene:itb09g00050 transcript:itb09g00050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVNHVKSGWKSVFMVFTAAATDERKNIVLLAFETMEKIMEDLFAMRKPKTTIFRFQQQMTMLQM >itb09g00050.t1 pep chromosome:ASM357664v1:9:155123:167417:1 gene:itb09g00050 transcript:itb09g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVNHVKSGWKSVFMVFTAAATDERKNIVLLAFETMEKIVREYFPYITETETVTFTDCVRCLIAFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVCNEKTKDNNFSIPAANDNASDVKCFTDEDDHMSFWHPLLTGLSGLTSDPRSAIRKSALEVLFNILKDHGHLFSQLFWVNVFNSVIFPIFSSARDKTESELKDYQSSPRSGSSQPDGRLWDSETSTVAAQCLADIFISFYDVARSQLPGVISILVGFIRSPGQGPASTGVSSLIHLAGELRGRLSEEEWQEIFLALQDAAASSVPNFLKLLQTMDNIEMPDISESNNDMETSSEVGSVNDDSEGENLQTAGYVVSRMKGHIAAQLLIVQVASDLSKMRRQSISAETVTILLGIYSSISSHAHQLNSDGVLELKLQRACSILEIPEPPLLHFENESYQNYINFLHDLLVNNRRLGKEKNLEPELVSVCEKILRIYLDCAGLSSVQQQAVNKPKLHWIPPLGSAKKEELAARTPLVLSVLHILSSLEGNTFKKYASQLFPLLVDLVRSEHSSGEVQRVLSGVFQSCIGPIIMNI >itb09g00050.t3 pep chromosome:ASM357664v1:9:155123:167391:1 gene:itb09g00050 transcript:itb09g00050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVNHVKSGWKSVFMVFTAAATDERKNIVLLAFETMEKIVREYFPYITETETVTFTDCVRCLIAFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVCNEKTKDNNFSIPAANDNASDVKCFTDEDDHMSFWHPLLTGLSGLTSDPRSAIRKSALEVLFNILKDHGHLFSQLFWVNVFNSVIFPIFSSARDKTESELKDYQSSPRSGSSQPDGRLWDSETSTVAAQCLADIFISFYDVARSQLPGVISILVGFIRSPGQGPASTGVSSLIHLAGELRGRLSEEEWQEIFLALQDAAASSVPNFLKLLQTMDNIEMPDISESNNDMETSSEVGSVNDDSEGENLQTAGYVVSRMKGHIAAQLLIVQVASDLSKMRRQSISAETVTILLGIYSSISSHAHQLNSDGVLELKLQRACSILEIPEPPLLHFENESYQNYINFLHDLLVNNRRLGKEKNLEPELVSVCEKILRIYLDCAGLSSVQQQAVNKPKLHWIPPLGSAKKEELAARTPLVLSVLHILSSLEGNTFKKYASQLFPLLVDLVRSEHSSGEVQRVLSGVFQSCIGPIIMNI >itb09g00050.t4 pep chromosome:ASM357664v1:9:155123:167391:1 gene:itb09g00050 transcript:itb09g00050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVNHVKSGWKSVFMVFTAAATDERKNIVLLAFETMEKIMEDLFAMRKPKTTIFRFQQQMTMLQM >itb09g00050.t6 pep chromosome:ASM357664v1:9:155203:167417:1 gene:itb09g00050 transcript:itb09g00050.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVNHVKSGWKSVFMVFTAAATDERKNIVLLAFETMEKIVREYFPYITETETVTFTDCVRCLIAFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVCNEKTKDNNFSIPAANDNASDVKCFTDEDDHMSFWHPLLTGSIVRISSCILALFLGSGIIRSDIRSSVSYSEECSGGSL >itb09g00050.t5 pep chromosome:ASM357664v1:9:155203:167417:1 gene:itb09g00050 transcript:itb09g00050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSRIWSVLSNFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVNHVKSGWKSVFMVFTAAATDERKNIVLLAFETMEKIMEDLFAMRKPKTTIFRFQQQMTMLQM >itb09g00050.t8 pep chromosome:ASM357664v1:9:155139:165299:1 gene:itb09g00050 transcript:itb09g00050.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKVISVSLYLFLFLEDFIDWSFHIKIWGHSFSPIVI >itb09g00050.t10 pep chromosome:ASM357664v1:9:155139:165150:1 gene:itb09g00050 transcript:itb09g00050.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKVISVSLYLFLFLEDFIDWSFHIKIWGHSFSPIVI >itb09g00050.t7 pep chromosome:ASM357664v1:9:155139:165150:1 gene:itb09g00050 transcript:itb09g00050.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVA >itb09g00050.t11 pep chromosome:ASM357664v1:9:155139:165299:1 gene:itb09g00050 transcript:itb09g00050.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKVISVSLYLFLFLEDFIDWSFHIKIWGHSFSPIVI >itb09g00050.t9 pep chromosome:ASM357664v1:9:155139:165150:1 gene:itb09g00050 transcript:itb09g00050.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVAPTTPAAPSQTLGGHSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSLVDDPLDPASCSPLYGLSPSDADFVLQPLILALDSASPKVVEPALDCTFRLFSFGLIRCEIEIGTSPSHIFRIIDSVCKCGALDDEAVELALLRVLLSAVRSPYVLIRGDCLVHIVRSCYNVYLGGLNGTNQICAKSVLAQMMILVFTRVEENSMTVHFKTISVAELLEFTDRNLNEGSSIQFAQDFINEIVDAKAKEILPDSKLSLLLENGNIQSKSEIVDDDESREGVDLGIYSKIREDGFMLFKNLCKLSMKFSSPEHSDDQILLRGKILSLELLKVVMDNAGPIWRSSERFLNAIKQFLCLSLLKNSALSVMTIFQLLCSIFENLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLSLLEKISQDPQIIIDIFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTSLSPAQDMTFRLESVKCLVRIINSMGAWMDQQLKVGESNPVKFSDSESKMENITPHSEEGNLADFELHLEASSEFSNAVTLEQRRAYKLEIQKGVSLFNRKPSKGIDFLMNNKKIGNSPEEVASFLKNTSGLNATMIGDYLGEREEFPLKVMHAYVDSFNFEQMDFGEAIRYFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSAETAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDNGKDLPEDYLGSLYDQIVKNEIKMNADSSAQQSKQGNSLNKLLGLEGILNLVWKQTEEKPLGTNGDLIRHIQEQFKAKSAKSESIFYTVANPAILRFMVEVCWGPMLAAFSVTLDQSDDKTATALCLQGFRHAVHVTAFMGMQTQRDAFVTTVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNFLQESWEHILTCLSRFEHLQLLGEGAPSDASFLTTATAETEEKALKSASFPSLKRKGTLQNPAVAAVVRGGSYDSTRHGVNSPVLVSPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVRALCKVSMSELQSPTDPRVFSLTKIVEVA >itb10g15910.t3 pep chromosome:ASM357664v1:10:22161543:22167413:-1 gene:itb10g15910 transcript:itb10g15910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQVFCGEKACSICIGHILIISANVLLLLFLAYLHYSHKLSSRKNLVSGTASNSRLLQVCSCSLNGGLGAGYFCLAVWEVVKNSSVLPLHSWLMLALQGFTMLFLGLSVSKKKQSTLISSVVKLCFLEALVVGFLCFLSIWEVISNKVVYVESVLDILSLPGMVLLLISAYKEEQRMSETDDCYYEALEEQQNVTAFAKAGVLNKMTFCWLSAVLKKGKEKTLGDGDIPELRSDDQAGTLNCLFKEQMNRQKQANPSFRPSVFSSIVASQRRALIVSGTFALVKILTVSTGPLFLYEFIEVANGRGGFEYEGYALTLGILVAKFIESLAERQWFFRTRLIGLQIRSLLTAAIYEKQLRLSNTAKTTHSPGEIMNYATVDAYKIGEFPFWFHQIWTTGIQICFGLGTMYYAVGLATIPAVLLVALSVLVNSPVAKLQHKYLTKLMVTQDRMLRAITEALTSMKVLKLYAWEMHFKNGIEGLRKEEFRWLKLVQVQKGYYMVLFWSSPIIVSAVTFWACYALRIPLNATNVFTFLATFRIIQEPIRSVPDVLGVFIEAKVSFTRILKFLEAPELHNEKKYRDQEPDYSVLVKCNRISWDDCSVTPTLEGINLCVKPGQKVAICGEVGSGKSTLIAAILGEVPFIDGTVQVHHGKLTYVSQNAWIQTGTIQDNILFGSIMDQLKYDEVIKRSSLEKDLQMLPFGDQTVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATNLFNEYVMGALSRKTVLLVTHQVDFLPAFDSILLMSEGKILKADTYDQLLISCQEFHSLVCAHRETANSEKNGGYSTQKKSINREEESIQNIISEDQQIESKGEQLIKQEERETGNTGLKPYKQYLGESHGFFYLLVAIIAHLAYMVGQLEQNLWLAADLQNPEMSKLNLILIYSAIGFGMSLTLFLRSYAIISLGLRRSISIFAKLMASLIRAPMSFYDSTPLGRILSRVSLDLSVVDLDLSFRFSQAVSATLTTYFSLGALAALTWPILILIIPTVYVTILLQRFYFATAKELMRIDGTTKSSVASHLAESIAGATTIRAFGEEERFCSQHMHLIDKNASSTFHSFSATEWLIQRLETICAIVLSSSALAMTLLPFEASKSGYIGMALSYALSLNINLVYAVQNQCALENSIVSVERLEQYMNIPSEAPEIIEGNRPAPEWPSIGKVEIHDLKVRYRDNAPLVLQGISCTFEGGYKVGIVGRTGSGKTTLISALFRLVEPTEGKIIIDGLNISAVGVHDLRSSLAIIPQDPTLFSGTIRHNLDPLSDHTDDQIWEVLAKCQLRDAVQQKDAGLDSPVLQDGSNWSMGQRQLFCLGRALLKRRKILVLDEATASIDNTTDSIIQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDRPMKLINEEGSLFGQLVKEYWSRSGNM >itb10g15910.t2 pep chromosome:ASM357664v1:10:22161543:22167360:-1 gene:itb10g15910 transcript:itb10g15910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQVFCGEKACSICIGHILIISANVLLLLFLAYLHYSHKLSSRKNLVSGTASNSRLLQVCSCSLNGGLGAGYFCLAVWEVVKNSSVLPLHSWLMLALQGFTMLFLGLSVSKKKQSTLISSVVKLCFLEALVVGFLCFLSIWEVISNKVVYVESVLDILSLPGMVLLLISAYKEEQRMSETDDCYYEALEEQQNVTAFAKAGVLNKMTFCWLSAVLKKGKEKTLGDGDIPELRSDDQAGTLNCLFKEQMNRQKQANPSFRPSVFSSIVASQRRALIVSGTFALVKILTVSTGPLFLYEFIEVANGRGGFEYEGYALTLGILVAKFIESLAERQWFFRTRLIGLQIRSLLTAAIYEKQLRLSNTAKTTHSPGEIMNYATVDAYKIGEFPFWFHQIWTTGIQICFGLGTMYYAVGLATIPAVLLVALSVLVNSPVAKLQHKYLTKLMVTQDRMLRAITEALTSMKVLKLYAWEMHFKNGIEGLRKEEFRWLKLVQVQKGYYMVLFWSSPIIVSAVTFWACYALRIPLNATNVFTFLATFRIIQEPIRSVPDVLGVFIEAKVSFTRILKFLEAPELHNEKKYRDQEPDYSVLVKCNRISWDDCSVTPTLEGINLCVKPGQKVAICGEVGSGKSTLIAAILGEVPFIDGTVQVHHGKLTYVSQNAWIQTGTIQDNILFGSIMDQLKYDEVIKRSSLEKDLQMLPFGDQTVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATNLFNEYVMGALSRKTVLLVTHQVDFLPAFDSILLMSEGKILKADTYDQLLISCQEFHSLVCAHRETANSEKNGGYSTQKKSINREEESIQNIISEDQQIESKGEQLIKQEERETGNTGLKPYKQYLGESHGFFYLLVAIIAHLAYMVGQLEQNLWLAADLQNPEMSKLNLILIYSAIGFGMSLTLFLRSYAIISLGLRRSISIFAKLMASLIRAPMSFYDSTPLGRILSRVSLDLSVVDLDLSFRFSQAVSATLTTYFSLGALAALTWPILILIIPTVYVTILLQRFYFATAKELMRIDGTTKSSVASHLAESIAGATTIRAFGEEERFCSQHMHLIDKNASSTFHSFSATEWLIQRLETICAIVLSSSALAMTLLPFEASKSGYIGMALSYALSLNINLVYAVQNQCALENSIVSVERLEQYMNIPSEAPEIIEGNRPAPEWPSIGKVEIHDLKVRYRDNAPLVLQGISCTFEGGYKVGIVGRTGSGKTTLISALFRLVEPTEGKIIIDGLNISAVGVHDLRSSLAIIPQDPTLFSGTIRHNLDPLSDHTDDQIWEVLAKCQLRDAVQQKDAGLDSPGMN >itb10g15910.t5 pep chromosome:ASM357664v1:10:22161543:22167360:-1 gene:itb10g15910 transcript:itb10g15910.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQVFCGEKACSICIGHILIISANVLLLLFLAYLHYSHKLSSRKNLVSGTASNSRLLQVCSCSLNGGLGAGYFCLAVWEVVKNSSVLPLHSWLMLALQGFTMLFLGLSVSKKKQSTLISSVVKLCFLEALVVGFLCFLSIWEVISNKVVYVESVLDILSLPGMVLLLISAYKEEQRMSETDDCYYEALEEQQNVTAFAKAGVLNKMTFCWLSAVLKKGKEKTLGDGDIPELRSDDQAGTLNCLFKEQMNRQKQANPSFRPSVFSSIVASQRRALIVSGTFALVKILTVSTGPLFLYEFIEVANGRGGFEYEGYALTLGILVAKFIESLAERQWFFRTRLIGLQIRSLLTAAIYEKQLRLSNTAKTTHSPGEIMNYATVDAYKIGEFPFWFHQIWTTGIQICFGLGTMYYAVGLATIPAVLLVALSVLVNSPVAKLQHKYLTKLMVTQDRMLRAITEALTSMKVLKLYAWEMHFKNGIEGLRKEEFRWLKLVQVQKGYYMVLFWSSPIIVSAVTFWACYALRIPLNATNVFTFLATFRIIQEPIRSVPDVLGVFIEAKVSFTRILKFLEAPELHNEKKYRDQEPDYSVLVKCNRISWDDCSVTPTLEGINLCVKPGQKVAICGEVGSGKSTLIAAILGEVPFIDGTVQVHHGKLTYVSQNAWIQTGTIQDNILFGSIMDQLKYDEVIKRSSLEKDLQMLPFGDQTVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATNLFNEYVMGALSRKTVLLVTHQVDFLPAFDSILLMSEGKILKADTYDQLLISCQEFHSLVCAHRETANSEKNGGYSTQKKSINREEESIQNIISEDQQIESKGEQLIKQEERETGNTGLKPYKQYLGESHGFFYLLVAIIAHLAYMVGQLEQNLWLAADLQNPEMSKLNLILIYSAIGFGMSLTLFLRSYAIISLGLRRSISIFAKLMASLIRAPMSFYDSTPLGRILSRVSLDLSVVDLDLSFRFSQAVSATLTTYFSLGALAALTWPILILIIPTVYVTILLQRFYFATAKELMRIDGTTKSSVASHLAESIAGATTIRAFGEEERFCSQHMHLIDKNASSTFHSFSATEWLIQRLETICAIVLSSSALAMTLLPFEASKSGYIGMALSYALSLNINLVYAVQNQCALENSIVSVERLEQYMNIPSEAPEIIEGNRPAPEWPSIGKVEIHDLKVRYRDNAPLVLQGISCTFEGGYKVGIVGRTGSGKTTLISALFRLVEPTEGKIIIDGLNISAVGVHDLRSSLAIIPQDPTLFSGTIRHNLDPLSDHTDDQIWEVLAKCQLRDAVQQKDAGLDSPGKTN >itb10g15910.t4 pep chromosome:ASM357664v1:10:22161543:22167360:-1 gene:itb10g15910 transcript:itb10g15910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQVFCGEKACSICIGHILIISANVLLLLFLAYLHYSHKLSSRKNLVSGTASNSRLLQVCSCSLNGGLGAGYFCLAVWEVVKNSSVLPLHSWLMLALQGFTMLFLGLSVSKKKQSTLISSVVKLCFLEALVVGFLCFLSIWEVISNKVVYVESVLDILSLPGMVLLLISAYKEEQRMSETDDCYYEALEEQQNVTAFAKAGVLNKMTFCWLSAVLKKGKEKTLGDGDIPELRSDDQAGTLNCLFKEQMNRQKQANPSFRPSVFSSIVASQRRALIVSGTFALVKILTVSTGPLFLYEFIEVANGRGGFEYEGYALTLGILVAKFIESLAERQWFFRTRLIGLQIRSLLTAAIYEKQLRLSNTAKTTHSPGEIMNYATVDAYKIGEFPFWFHQIWTTGIQICFGLGTMYYAVGLATIPAVLLVALSVLVNSPVAKLQHKYLTKLMVTQDRMLRAITEALTSMKVLKLYAWEMHFKNGIEGLRKEEFRWLKLVQVQKGYYMVLFWSSPIIVSAVTFWACYALRIPLNATNVFTFLATFRIIQEPIRSVPDVLGVFIEAKVSFTRILKFLEAPELHNEKKYRDQEPDYSVLVKCNRISWDDCSVTPTLEGINLCVKPGQKVAICGEVGSGKSTLIAAILGEVPFIDGTVQVHHGKLTYVSQNAWIQTGTIQDNILFGSIMDQLKYDEVIKRSSLEKDLQMLPFGDQTVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATNLFNEYVMGALSRKTVLLVTHQVDFLPAFDSILLMSEGKILKADTYDQLLISCQEFHSLVCAHRETANSEKNGGYSTQKKSINREEESIQNIISEDQQIESKGEQLIKQEERETGNTGLKPYKQYLGESHGFFYLLVAIIAHLAYMVGQLEQNLWLAADLQNPEMSKLNLILIYSAIGFGMSLTLFLRSYAIISLGLRRSISIFAKLMASLIRAPMSFYDSTPLGRILSRVSLDLSVVDLDLSFRFSQAVSATLTTYFSLGALAALTWPILILIIPTVYVTILLQRFYFATAKELMRIDGTTKSSVASHLAESIAGATTIRAFGEEERFCSQHMHLIDKNASSTFHSFSATEWLIQRLETICAIVLSSSALAMTLLPFEASKSGYIGMALSYALSLNINLVYAVQNQCALENSIVSVERLEQYMNIPSEAPEIIEGNRPAPEWPSIGKVEIHDLKVRYRDNAPLVLQGISCTFEGGYKVGIVGRTGSGKTTLISALFRLVEPTEGKIIIDGLNISAVGVHDLRSSLAIIPQDPTLFSGTIRHNLDPLSDHTDDQIWEVLAKCQLRDAVQQKDAGLDSPVLQDGSNWSMGQRQLFCLGRALLKRRKILVLDEATASIDNTTDSIIQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDRPMKLINEEGSLFGQLVKEYWSRSGNM >itb10g15910.t1 pep chromosome:ASM357664v1:10:22160325:22167448:-1 gene:itb10g15910 transcript:itb10g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQVFCGEKACSICIGHILIISANVLLLLFLAYLHYSHKLSSRKNLVSGTASNSRLLQVCSCSLNGGLGAGYFCLAVWEVVKNSSVLPLHSWLMLALQGFTMLFLGLSVSKKKQSTLISSVVKLCFLEALVVGFLCFLSIWEVISNKVVYVESVLDILSLPGMVLLLISAYKEEQRMSETDDCYYEALEEQQNVTAFAKAGVLNKMTFCWLSAVLKKGKEKTLGDGDIPELRSDDQAGTLNCLFKEQMNRQKQANPSFRPSVFSSIVASQRRALIVSGTFALVKILTVSTGPLFLYEFIEVANGRGGFEYEGYALTLGILVAKFIESLAERQWFFRTRLIGLQIRSLLTAAIYEKQLRLSNTAKTTHSPGEIMNYATVDAYKIGEFPFWFHQIWTTGIQICFGLGTMYYAVGLATIPAVLLVALSVLVNSPVAKLQHKYLTKLMVTQDRMLRAITEALTSMKVLKLYAWEMHFKNGIEGLRKEEFRWLKLVQVQKGYYMVLFWSSPIIVSAVTFWACYALRIPLNATNVFTFLATFRIIQEPIRSVPDVLGVFIEAKVSFTRILKFLEAPELHNEKKYRDQEPDYSVLVKCNRISWDDCSVTPTLEGINLCVKPGQKVAICGEVGSGKSTLIAAILGEVPFIDGTVQVHHGKLTYVSQNAWIQTGTIQDNILFGSIMDQLKYDEVIKRSSLEKDLQMLPFGDQTVIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATNLFNEYVMGALSRKTVLLVTHQVDFLPAFDSILLMSEGKILKADTYDQLLISCQEFHSLVCAHRETANSEKNGGYSTQKKSINREEESIQNIISEDQQIESKGEQLIKQEERETGNTGLKPYKQYLGESHGFFYLLVAIIAHLAYMVGQLEQNLWLAADLQNPEMSKLNLILIYSAIGFGMSLTLFLRSYAIISLGLRRSISIFAKLMASLIRAPMSFYDSTPLGRILSRVSLDLSVVDLDLSFRFSQAVSATLTTYFSLGALAALTWPILILIIPTVYVTILLQRFYFATAKELMRIDGTTKSSVASHLAESIAGATTIRAFGEEERFCSQHMHLIDKNASSTFHSFSATEWLIQRLETICAIVLSSSALAMTLLPFEASKSGYIGMALSYALSLNINLVYAVQNQCALENSIVSVERLEQYMNIPSEAPEIIEGNRPAPEWPSIGKVEIHDLKVRYRDNAPLVLQGISCTFEGGYKVGIVGRTGSGKTTLISALFRLVEPTEGKIIIDGLNISAVGVHDLRSSLAIIPQDPTLFSGTIRHNLDPLSDHTDDQIWEVLAKCQLRDAVQQKDAGLDSPGNRNELN >itb13g04860.t1 pep chromosome:ASM357664v1:13:5749805:5750278:-1 gene:itb13g04860 transcript:itb13g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGINDGEKMVRLRANDGEEFVVAKSVAVLSQTVKFVVEDAGLGEDSVIPLLKVDGRTLAKILEYCKVHSAADKTNPEKKEFDKKFAEVDQAELYDLHTTANYLVISELMEILVQRFVAMIKGKTVEDIRKVFNIKNDFTPEEQEEIRRENAWAY >itb09g00840.t2 pep chromosome:ASM357664v1:9:523798:527413:-1 gene:itb09g00840 transcript:itb09g00840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGEEVNTDMIHRLQSSFGKSSSPVLPKQQQPGSMNQLDIPQLNTSQFQSQMRQFSPNFSSENTSKRAGIPPSHPQMPPISPYSQIPVSRQVNQQMGVQNFSNSSPGPSHSRSLSQPSFFSLDSLPPLSPSPYRESPLTSLTDHMSTDASMGERDGNSHSLLPPSPFSKCNSMRAGESLPPRKSHRRSNSDIPFGFSSILQSSPPLVPLGSAGALDRSLPGKENSGGKPVQLVKRETSVDKGCESNAEGMGERKSEGEVVDDLFSAYMNLDTIDALNSSGADEKLGSENHEDLDSRASGTKTNGADSSDNEATSSVNESGNSMHMSGMPSSTEKREGVKRNAGGDIAPTTRHYRSVSMDSFMGKLNFSDDSPKLPPSPGTRPGNLSPTNSLDSNSNTFSLEFGNGEFSGAELKKIMANEKLAEIALTDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTLLQVLMLHCLKHFLWQTF >itb09g00840.t1 pep chromosome:ASM357664v1:9:523798:527542:-1 gene:itb09g00840 transcript:itb09g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGEEVNTDMIHRLQSSFGKSSSPVLPKQQQPGSMNQLDIPQLNTSQFQSQMRQFSPNFSSENTSKRAGIPPSHPQMPPISPYSQIPVSRQVNQQMGVQNFSNSSPGPSHSRSLSQPSFFSLDSLPPLSPSPYRESPLTSLTDHMSTDASMGERDGNSHSLLPPSPFSKCNSMRAGESLPPRKSHRRSNSDIPFGFSSILQSSPPLVPLGSAGALDRSLPGKENSGGKPVQLVKRETSVDKGCESNAEGMGERKSEGEVVDDLFSAYMNLDTIDALNSSGADEKLGSENHEDLDSRASGTKTNGADSSDNEATSSVNESGNSMHMSGMPSSTEKREGVKRNAGGDIAPTTRHYRSVSMDSFMGKLNFSDDSPKLPPSPGTRPGNLSPTNSLDSNSNTFSLEFGNGEFSGAELKKIMANEKLAEIALTDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTLLQRDSAGLTSQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKIATAELNGDATKFQQLSINPQMLPMHQHQSARVNMHQLQQQQQHQQSSQSQSQAHGQPQPQHNNTASAKHESK >itb02g10780.t1 pep chromosome:ASM357664v1:2:7016394:7018374:-1 gene:itb02g10780 transcript:itb02g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLLAHEVSELCLGKPPLRSLSVSATVGDALSVLRTSEGISISVWNCDHSKSRNSSFNGDVDCLCIGKICMVDVICYLCREENLSSPSSALKAPLTVLLSKSQGLVRHVEPSSSLLEAIDLILQGAQNLVVPIETRISRRKLLQKPSSTTVHNGQEFCWLTHEDVMRYLLSSIGLFSSLSTVSIHSLGIISDEFLAIGYHSPASAAVEAISLSLADQTSVAIVDDDGVLIGEISPFTLACCDETVAAAIATLSAGDLMAYIDCGGPPEDIVRAVKARLKERNLEGMLEEFCISSSFNSSSSSDEELPSPTTNHQSRLGRLGRSGSYSARMVSRSEATVCHAGSSLVAVMIQAIAHRVNYVWVIEDDDAVVGIVTFAKMLEVFRDYMLSMI >itb09g17760.t1 pep chromosome:ASM357664v1:9:13137506:13139196:1 gene:itb09g17760 transcript:itb09g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPFLNGPYRGKIKKELPPSIIKNTLIADSRETGEQNRLHDLLLPNSNYENFDQNTNTLEIGDILEIDAFSSILIHKIGKKVPRWSYKLISELEQLSFHYSPPAEHEIRSRRAVGEYLLFDPPETHTTTKATDKETKTNASKETDTVNKETKPNASKETDTIDKETKPNASKETDTIDKETKPNASKETDTIDKETKTNASKETNTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVDKETKTNASKETDTVNKETKPNASKETDTVNKETKTKTPEEEAKEDEEKELVLMHCAHRLDFKHGLSMRTQRRKMGNRTAFWLSPKNTFLF >itb04g11120.t1 pep chromosome:ASM357664v1:4:10666613:10667839:1 gene:itb04g11120 transcript:itb04g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKLRYLNQLFDAKVKEAKELLAKRGRIVGTFDRGDEGSDDIKEKICKNFLQELREVAKGAREKYVGSLSLNDEQFVKMLLLDGCFIIQLLRKDSMPELISEDDPIFKVDWMRTSLQRDLLLLENQLPFRVLCKLFELIDEHPNRYDRLAFLACRFFGNAFHGLTVKIPESFQANHAEHLLDLIHSFWSPPTSRAATVKLPPDSKESSLNRRFLSAKRIIENAVVFKISKTPGNLFVIDFPEKCLKFRKLIFQQLIIEGRTETFFRNFIAYEQYFKASKGNFVTNYVDFLGNLIESEEDAELLCYNGILDNKLGDSKSVVDLFTQINQCVTIENPEKSNSLYGPIYHQLNVHCSKRRNYWKAKLWESYCNPWGIASITLATLGIFLTVIMLAFAVFNYKFNKEHHQ >itb03g26230.t1 pep chromosome:ASM357664v1:3:25741993:25744085:1 gene:itb03g26230 transcript:itb03g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQENKKPLLSATGNASCSKHEEQEEEEYHNNMINGQMVPFVASSSSAMEVIETHNRYNDVKYMECLKNHAAAMGGTATDGCGEFMPAGEDGSLEALKCSACQCHRNFHRKLLIKGCPWARSCPLIFPCSQNKGATPVAYNNNNNNNASSGGGGGVVSDWEEKEDAAAEEEEEKEGLEMRKKRFRTKFSGEQKEKMVAFAERVGWKIQKVDSSVVHNFCQEIGVKRRVLKVWMHNNKNNFAGLSKPTN >itb05g08140.t1 pep chromosome:ASM357664v1:5:10914917:10916486:1 gene:itb05g08140 transcript:itb05g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHHLTLTPNKHAFTASHGRGRGENAPTFSHGRGRIPSVGSSMNDVSSHIQSHSVLLEKVEIGHDESSPLRYTRTKLLNVYSTTYMRSSSKYLEGVVQIPSLMQEEPLAPLAIGAPSAEELIVLKGIENGEVLSSGTPQINKDSSIGRNSTDFLQSRRNKQGSRNELLHGLDDSRDETIDNRRGGHSDGFYDRQMHSFESNAKVEAAQDYEKFSELKLNIEGVWRSPSIWLADERERSLSDSSYTKSEGLKWQHGNDPLLKRQHFAILDKELDKQKLPQASPEDFMLYYKDPQGEIQEPFSGSDIIGWLEAGYFGIELLVRLVGHHLIHHLPNLGMLCLTCPTEIDISRSEQRYNHSSTADAENRFLESLMSSSTSNAPPEKFVHSEGMPGYIGNTAGPMPSDLWVLKVG >itb11g07940.t1 pep chromosome:ASM357664v1:11:5023827:5028795:1 gene:itb11g07940 transcript:itb11g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAATSSSSTTNLSYVSFRFKNPKVCPPVALLSLTSPSYDSPRGSLRISADAAPKVRFIARRKESVSVRQLQRPLMEYMSLPASQYSVLDAERIERVDDNTFRCYVYRFKFFAFEVCPVLLVRVDEQPDGCSINLLSCKLEGSPIVVAQNDKFDASMVNRISYDGNRRDSAVQKLTSDAVIEVNIEIPFAFRALPVQAIESTGAQVLNQILGVMLPRFMAQLVKDYQAWAKGDTSRQPLGTGQI >itb01g14080.t1 pep chromosome:ASM357664v1:1:15443697:15447904:1 gene:itb01g14080 transcript:itb01g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSKKLYALCHGTSLPVLRPLSSLSSAPLPSTRMQTTMPHSVDIIYRVSSSIKKPNWEDDNGLKSLVSYMNPDSASKVLALQSKDVRLGLRFFKWVCRHSTYCYEFDGKIEMLNSLVTSNSIQIAHRVLILLITECCVSETETLKLMRTLESMTKAGFRLNYSCYSVLLMRLAKLNMGVPAFQLYERMVGDGFVLALIDYTAVVNALCKIGFIKSAEMFCSRVLKLGFMLNVHICTSLVLGYCKVGDVVDACKVFETMSKEDGCKANSVTYSVLIHGLCAVGRIEDAFQLKELMNEKGCEPSTRTYTVLMKAICDRGLTSKAFCLLDEMIKKGCKPNVHTYTVLIDRLCDEGKIEGANGLFRTMMKDGLFPSIVTYNTLINGYCKEGRVVSAFELLGVMERGKCRPNIRTYNELIEGLCKVNRPYKAMELLEKIISNGLWPNEVTYNILMDGFCKASQLSAAFRILQSMKLAGIEPDGFTYTMLIDELCKDGKLEQASGFFGLMLKKGIAPDEVTLTALINGYCRAGKIRDSFIIFDRMISSRFLTSPHAFNSFLDALIKQVKLAEGNSIFAKMLKYGFIPSVVTYTILVSALCRAGNISSSLKIIDVMKQDGCQPNVYTYTAVIYGLCHSGRIEEAEDFLFKMPDFGVFPNDITYSILVKSHVKGGSLERALAIVSTMVQNGCEPNTRIYFALLSQIVSSSMSGLDLKLPSNELDSDSWITTKGGVHFFADSVFRQMDASHVAHLRDKIKQCGGNTLGVYNFLILGLCKVGRIAEAEDLVQEIVKSGYTLDKAISSCIMEYFCRYQTYDYCLHWVKLMINNGWIPSFASCSSVILGLRNDGKFKEAKWLVSNLLRDIIDDETAISSHIEFLLKGDEPFKCFDLLNLIHKIHLSERPII >itb07g10090.t1 pep chromosome:ASM357664v1:7:10319344:10319775:1 gene:itb07g10090 transcript:itb07g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized mitochondrial protein AtMg00660 [Source:Projected from Arabidopsis thaliana (ATMG00660) UniProtKB/Swiss-Prot;Acc:P93318] MGLTLYLGIERGNIACRKSEARFGTLLREGRLASRAFRDEAFWRSQVNFGPPNPATDEKALWSKGKRVRCHTPCLPKVPRGRARRSRATTRERIPHWQGDRRRPSQGTSRPTGNTGETCEGNPIGSQRIHSTCSREDFIFILF >itb12g08290.t1 pep chromosome:ASM357664v1:12:6479704:6483387:1 gene:itb12g08290 transcript:itb12g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGWEYHKSGMLSKDQLLRLFDRFAFLTSQSDVKQKIADAVKDKQEAVAVTTAIQEEIFLEMGIDPRFGLACLGKVNMVYENDQDLMIRFYGFVAKEEMACEEAELGPNGFAEKMHMQHNLQEQQLEMLKYMRNFHLDDQSAIIDKVRLKMEMANFDSEASVLSMEEMQVVVSSKVAPLF >itb12g10870.t2 pep chromosome:ASM357664v1:12:8952181:8955393:-1 gene:itb12g10870 transcript:itb12g10870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGVLSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDELTREEAVQLVLKVLSKTMDSTSLTSEKLELAEVFLSNGKVEYKVHSPESLNKLLVRLGMTQLTVET >itb12g10870.t1 pep chromosome:ASM357664v1:12:8952181:8955393:-1 gene:itb12g10870 transcript:itb12g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGVLSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDELTREEAVQLVLKVLSKTMDSTSLTSEKLELAEVFLSNGKVEYKVHSPESLNKLLVRLGMTQLTVET >itb04g06480.t1 pep chromosome:ASM357664v1:4:4203169:4205580:1 gene:itb04g06480 transcript:itb04g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHHPIHHAFFFFILLLSFPNYSQSLTTHDDGERGILLSLKQHWENPSSLERWNSTSSPCHHWPEISCIDGSVTEIYLSGMNIRGSFPSKIICQLSNLSSIYLSMNYLWGTIPVGLSNCSKLEELDLSSNMLTGKIPGELFSMKTLRILNLRENMLSGEIPTIPMVAHTLEYLDLSFNQLNGSIPDDIGNLYNLGYLYLSENFLSDPIPARLLQLQQLSYLSLSFNKLSGEIPTQLFSMKKLISLRLGDNMLSGEIPTPMVSQSLKNLDLSSNHLNGSIPATLLQLRQLSYLSLASNNLTGEIPASLDLFSLIYINLSHNHLSGSIPRGFEELQQLETIDVSHNKFSGEICETVSRMSAARLTLRICKNHFSGRIPYQLMDRKYKHRCFDEANLCSYVMDKRLPTCPSQLFSDYSVPQHCASNKPSKSKKIISVIIAVGLIVGLGILILVFRHEWEMRRENDGEEWSIISLQRLEFNKWDILGNLTDENLIGNGGSGKVYRVITKKGQSVAVKSIWHEPKQGQGLMEKQFLAEVKILGRIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCVYKWLHGKKRGLTTQVLQWERRLKIAIGASQGLCYMHHSCNPPIIHRDIKSSNILVDSDFNAKIADFGLAKIMASEGDPETASAVVGTFGYIAPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVTGNEDMNLAQWAHKHQREGKSAADVLDEEIKEPRYLEAMISVFKLGLACTLSSPSSRPSMKDISQILQRCSENNHMSAES >itb03g19610.t1 pep chromosome:ASM357664v1:3:17639768:17644510:1 gene:itb03g19610 transcript:itb03g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDSAFAHVVRAHEDPILGVTVAYNNDPNPVKLNLGVGAYRTEEGKPLVLNVVRRAEEILVSNKARVKEYLPITGLAEFNKLSAKLIFGADSPAIQEGRVTTVQCLSGTGSLRVGGEFLARHYHEHTIYIPLPTWGNHPKVFTLAGLSVKTYRYYDPETRGLNFQGMLDDLSAAPTGAIVLLHACAHNPTGVDPTLEQWEKIRQLIRSKGLLPFFDSAYQGFASGSLDADAKSVRLFVADGGELLMAQSYAKNMGLYGERVGALSIVCRNTEVAGRVESQLKLVIRPMYSSPPIHGASIVATILKDRQMFEEWTIELKAMADRIISMRKQLFDAIQAKGTPGDWSHIIKQIGMFTYTGLNAEQVSFMTREYHIYMTADGRISMAGLSSQKVPHLADAIHAAVTKLA >itb07g07620.t1 pep chromosome:ASM357664v1:7:5795959:5798207:1 gene:itb07g07620 transcript:itb07g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNLSSISGGAALVLAFMFILLLFIKKKLSFCSKRKYQEAPEFLNRHGPLALKRFSYSEVKKITTSFKDELGQGGFGSVYKGKLRNGSLVAVKVLKELRPNGEEFINEVASIGRTSHVNIVSLIGFCIEGRKRALVYEFMPNGSLEKFIYNNNPLTRCQLGWNMLYKISIGIARGLEYLHRGCSTRILHLDIKPHNILLDEDFFPKISDFGLAKLCTKKESIVSIFGARGTIGYIAPELVCKNIGGVSHKSDVYSYGMMVLEMVGGRRNVDVGVSRNSKIYFPHWIYSRLVLDDELGLNGVMNEEDNECARKMVIVSLWCIQTDPSTRPSMSKVVEMLEGNELNNGDERANGEETNVSKGEDVQQKAMRWTWAGPSLAANGTLKHAAATKNSC >itb01g07080.t1 pep chromosome:ASM357664v1:1:5276517:5279474:-1 gene:itb01g07080 transcript:itb01g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMSPGALSSTYAVCSFAAGVAGNIFAFVLFVSPIPTFKRIIRSQSTEQFSGLPYIYAFLNCLICLWYGTPFVSPGIILVFTVNSVGAIFQLVYITIFIIYAERTKKLKMLGWLLGVFAVFAIIASVSICVFEPPNRQLFVGYLSVISLISMFASPLFIINLVIKTKSVEYMPFYLSLATFLMSLSFFVYGLFQHDLFISVPNGIGAVLGVVQLVLYFRYCGRSKEESRTHLLESCP >itb07g10280.t1 pep chromosome:ASM357664v1:7:11156293:11162058:-1 gene:itb07g10280 transcript:itb07g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLLSQPTYALLPPPLSAVLLLLAGLLFGLSLQWSGRRAPLSGKREQGRRRLPPGSMGWPYLGETLKFYTENPNSFFSNRLKRYGNIFKTHILGCPCVMISSPKAAKTILVSQAELFKPTYPPSKEKMIGPQALFFHQGSYHSNLKKLILTSFSPAAIRPLVPQIEQILLNFLPSWCNNNSINTLQQMKMYAFEVAMISVFGSEKELEVESIKQLYQTLDKGYNSMPLNIIGTPFYKAMKARKQLTETIKRLIEKRREKEDNGGGLLGALLRANNDESGNINSKNQRLSDSQIADNIIGVIFAAHDTTASVLTWLLKYLHDNLNVLEDVTREQEGIRSKIVEGTEGLTWDDTRRMPLTMRVIQETLRRASILSFTFREAVEDVEFDGYFIPKGWKVLPLFRTIHHSPDFFPNPEIFDPSRFETSPSPNTFMPFGNGMHSCPGNELAKLEMLLLLHHLTTSYRWRVIGDNDSIQYGPFPVPQGGLPIKVCRR >itb03g15410.t1 pep chromosome:ASM357664v1:3:14772576:14775440:1 gene:itb03g15410 transcript:itb03g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHFVAAVAVAVLTAALICPPSAVHALGGSSATLAVMYGSTVAVCGISAGTPFQEIHCRENRRKTPLAVYPNVSFDSIAGGLDVLCGVLSGGSSLVCWGPTFAPKRLYWNPAAPISAISIGDTQICGVNNQSDHNVICWRGDPVKLNGSPEIVSISSGLGFSCGVVKILNRVICWGEDNDKASIIESEFKNESMVDINAGGSFACGFNTTGFVICRGENLNGQLEVPQNSAYGYSGLSLGLNHSCGIRRLNRTVICWGGNGIRALEGISFESIISGFDFTCGLKTSDFSVVCWGPGWAGEDDGEILLPEILPGPCVQSNCSECGVYPQSQSLCSGNGNICRPCDRSIPIPIPTGTKFPAKDPKGLRGGLLASTILGSIGGFSGICTLLYCLCVCLRKQKIHNSVQPTINGGTNSPISRSSTLKRQGSQFMRRQKSGTSSKRTETAAEFAFSDLLAATNCFSLENKIGAGSFGVVYKGKLPDGRDVAIKRGETGNNNNKPPKRFQEKESAFESELVFMSRLHHKHLVKLVGFCEEDDERLLVYEFMKNGALYDHLHDKSNVEKSCSVLNSWKMRIKIALDAARGIEYLHSYAVPPIIHRDIKSSNILIDGDWTGRVADFGLSLMGPTEEGSCDYSRPMKAAGTVGYIDPEYYGLNILTAKSDVYGLGVVLLELLTGKRAIFKNGNEGVAPMSVVEYAVPVIMAGELEKILDGRVGRPERMAEAEAVELVAYTAMLCVNLEGKDRPTMADIVANLERALGLCDDSHGYLSSDEISIISE >itb15g01440.t1 pep chromosome:ASM357664v1:15:864575:867088:-1 gene:itb15g01440 transcript:itb15g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVIHFQGLNLYNIIKQVAEHIDIPKKNPHLSDSSLSCLGQDIIVTSDPDTLQDYCVPDTKNGTLKTSRIDTLPCKKPKEVTPDDFVFSGAAKCSENFSDTGLAAVYGSPPVFPGLNTLGMSFVRADLKGGGINPPHYHARATEIAYVVRGRVYAGFVDGANRVFAKVIGEGEVMVFPRGLVHFQMNVGETRATVFGCFNSQNPGVQKIPATMFGSGVNDELLEKAFGLSIKEISRIRKRFLPKKHG >itb06g01110.t1 pep chromosome:ASM357664v1:6:2310726:2313455:-1 gene:itb06g01110 transcript:itb06g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVFPMYESKTSDPLLSHSLTLQSLLTLSLRRRQPPPHSLRTLSDVAPPRTSSTATSADPTADCFVVSSDPTPPSTLRCHRLSCEDADAATASVPALVVHCRVQADFSSPDDTLPVPSSPPYLQKKYTSKTDLSKFDGIEEGGIRASLSYSSHEIDEHENEKALEGLQDRVNLLKRVS >itb03g21820.t1 pep chromosome:ASM357664v1:3:19753730:19756936:-1 gene:itb03g21820 transcript:itb03g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEKGHNKGCDLTSSSSPGDGGVKVAAAGKKGCCGGGGKKKGGGGKKGKRYGLVSFEELPEYMKDNEFILNYYRAEWPLKQALFSIFRWHNETLNVWTHLIGFVLFSILTFANVVHVPQLADFMTLFTWNFPTSGETNISQNSKDFFQGAKRMIDLKQGQSLQMDITTAAGEMITTGTTWPFYVFLGGSMFCLLSSSICHLFSCHSHHLTLLLLQMDYVGIAVMIITSFFPPIYYIFQCSPHWQIVYLTGITVMGICTIITLLTPAFSTGKYRSFRACLFMAMGFSGLIPAVHALVVNWDEPQRNVTLAYEGAMGLFYITGTMFYITRVPERWMPGFFDLAGHSHQIFHVFVILGALAHYGAAQIFLEYRDRLGCERIR >itb01g09570.t1 pep chromosome:ASM357664v1:1:7838490:7840371:1 gene:itb01g09570 transcript:itb01g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYWKSIGLGFKTPREATEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMTRTIIVRRNYLHFVKKYQRYEKRHSNIAAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSAGGGKKAFTGM >itb03g05210.t1 pep chromosome:ASM357664v1:3:3555438:3560891:-1 gene:itb03g05210 transcript:itb03g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEVDDEAEAIIHHKNLVDAVFSWSFEDVLNKDLYKDKVQQIPLEFQSIPSYMRAFVLPLIEETHADLSSSIDTVSNASTYSIMSIEAEKEEIEYDEPCRETKYTIEIETTEIENDVSREPEMGDLIAITDVRPKCVEDLNRPTMPYCIALIQKVTNEKDYIKLRILSSKPILLEQGNKIIRENNTLFAVFLMNILTNIRIWTALNLVPKERNLKIIEKVLQPDSTSKERCSQCLKNGTYYASKGQVLASTRSMDLNESQQEAIEKCFAMKYCRHESTAKLIWGPPGTGKTKTICALLFALLSQKCRTITCCPTNVSIVEVALRLLRLVMESLDPHSYGYGLGDVVLFGNRKRMKFNDESELIHVFLDHRVIVLKRCFAPSSGWTHLLDSLVCLIQDSEQQYQLYLMNGQEEDVAAEFDHGEYSDEDNFIPYGCDGAKDKGFKSDESNRIWQETIAKTLKLSRKESRIARRGVQAKKSVPKDWNLPRSIFRLNFEEFLIKKFNCKAKAMKFCIVNILSHLPTSLLSMGVAKDMTKALKSLGNLHSLLNSYTAAGSSLREVLVKRRGEQRNASGFTELELVKDECLQILKSLPRRFFDNVGEFSIREKILENSCLLFCTVSSSIKLHSTEVELLVIDEAAQLKECESTIPLQIPGLCHAMLFGDDQQLPALVKSEICKEIGFGRSLFQRLASLGCKKHLLNLQYRMHPSISLFPNKVFYQNQILDAPNVKSEIYQKHFLQGDMYGTYSFIDVRCGNEEVINGHKYRNMVEVAVVCEVVANLFQECAMLRQKLTVGIISPYAAQVDAIKENLGTTYSTDGDNNFSVDVRSVDGFQGGEKDIIIISTVRSNGSGSVGFLTSSQRANVALTRARYALWIFGNGATLRNRNSVWRQVLNDAKSRQCFYDACEDKNLAKAMAASLVDVDILDIRIYLESQLFKKAIWQVSIHENFWKSMARISSIDVRKKAISLLMKLSDDRLMPQHNQGSPPSVYEIDGLVYILATFDTIKEASDHTGIIKVLDIRPLTDPLLQLCGRFAGLSLKG >itb03g24480.t2 pep chromosome:ASM357664v1:3:23181076:23186939:-1 gene:itb03g24480 transcript:itb03g24480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t4 pep chromosome:ASM357664v1:3:23181076:23186962:-1 gene:itb03g24480 transcript:itb03g24480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t1 pep chromosome:ASM357664v1:3:23181304:23186965:-1 gene:itb03g24480 transcript:itb03g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t5 pep chromosome:ASM357664v1:3:23181289:23186835:-1 gene:itb03g24480 transcript:itb03g24480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t3 pep chromosome:ASM357664v1:3:23181304:23186965:-1 gene:itb03g24480 transcript:itb03g24480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t9 pep chromosome:ASM357664v1:3:23181076:23183336:-1 gene:itb03g24480 transcript:itb03g24480.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t8 pep chromosome:ASM357664v1:3:23181289:23182284:-1 gene:itb03g24480 transcript:itb03g24480.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t6 pep chromosome:ASM357664v1:3:23181076:23186962:-1 gene:itb03g24480 transcript:itb03g24480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb03g24480.t7 pep chromosome:ASM357664v1:3:23181132:23186741:-1 gene:itb03g24480 transcript:itb03g24480.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPTPSLNSVPQLPLNTVTTEQIQKCLDDNKNLILAILENQNLGKLAECAQYQAILQKNLMYLAAIADAQQPQGSANSSQAPSSVPQQGNQMQPTQATALPQQQVAVPKLPFQLNGGFPSQEQQQQLLQFQQQQQQQQQQQFQGHQGFGATVNNGMHQLMRPGLSGASGLDMRGNKQVGLEGNSSDGLGKLAPGQGGGSGGGGGRE >itb11g13340.t1 pep chromosome:ASM357664v1:11:10321075:10321631:1 gene:itb11g13340 transcript:itb11g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRTPPPSSSRRHNRPIVAVVARTSPELAPWIEQQRREQRKTSTTPKRPRPNFVSPPRAARKLATRRRPRCNPKACRHPRLSRFAKQPATMAVATNQRRRRLSASTAGRSLPLASPSTLRNHRKNSNPAIGKARTAGEQITGEGKKNAKQREKKKT >itb13g00660.t1 pep chromosome:ASM357664v1:13:612279:616940:-1 gene:itb13g00660 transcript:itb13g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPPPSSLSLLVVVFVFLRLAAPSLAKTEADILLKFKASLKNASALASWDAAKAPGPCAGNRPTWTAVLCADGKVLGLTLNHMGLGGTIDVDSLLELPAFRVFGAMDNNFQGPLPAFKKLSALKFLYLSLNKFSGDIPDDAFDGMESLKKVHLAYNEFSGAIPKSLATSRNLIELMLEHNMFYGKIPDFSLERLSAANFSNNNLEGEIPAILSNLDASSFAGNTALCGKPLGACQAGQGDTSTNNSGHSAKSKIFSMIAAVIVLVVAIAIVFAVCKKKPNDDTIDGSTRAQVGTQTALPTTEKQLDKMERGSPATGRSPPARGATAAAGKKPPEQQHNPAVKLTFLRENVIKFDLPDLLKASAEILGNGVFGSTYKAALGSGQVVVVKRFRHMNKVGKEDFVEHMRRLGRLNHKNLLPILGFYYRKEEKLLVFDHVVKSSLASHLHGKKRKSRSGNELDWATRLKIVKGVARSMVYLYNELPSMSVPNGHLKSSNVLLDSSYEPLISDYGLLPIVNQEHAEEHMIAYKSPDYKQGGRVGKKTDVWALGVLILEILTGKPPPDVDTVAWVQSIVPTLEALDPEMRGVTKNAEGEVVKLLNIGLSCCEVDVDKRPEMKETVERIEHVAVAGAGGASDDDFYSSYTSDDHSSRSLSQDFT >itb03g24340.t1 pep chromosome:ASM357664v1:3:23052356:23053794:1 gene:itb03g24340 transcript:itb03g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFQPKRISSNAWRGIVLALPTVQQGTRFGVKDDKTVSAYWKETEGWDWNALPNLPDNVRESMELISLQGGLSKDVVTWKGEASERKRRNMTMIGDCDSCHGKEETTVHILRDCSHAEAIWTALIDTVESHRKISWIREAEKEISRAFIREASMKTTPTTERIITLCWKASTTHQFTLNVDGSVRTGMRKAGIGGILRTAEGAWKGGFAGTSEYADPTITELRAIATALRWSWEKGIRDVEIQTDAREAVRWIQGEVQLRGIAWDLVTEVAYWCAKEWKVSIRVIFREQNRSADALAMVGSCQMVAWREFRSCLPVCDEVYTSDLVQTTQGRRVRETS >itb04g20590.t3 pep chromosome:ASM357664v1:4:25451076:25457284:1 gene:itb04g20590 transcript:itb04g20590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCPYAFRNLGRYSNFLTLTPKPKTLRWHFSSLYNTLHCSPLLYTIIRAIQLSAHNIAIATLYLSSRLSNAISVVEMGRRILNDALRTIVNAEKRGFASAQLQPISNVMANFLQIMKYRGYIKGFEVDDPHRVGKITVQLLGRINDCRAISYRQDIKAQNIENYAKSTLPTRQWGYVVITTPNGVLDHEEAIRQKVGGQVLGYFY >itb04g20590.t1 pep chromosome:ASM357664v1:4:25451076:25455843:1 gene:itb04g20590 transcript:itb04g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCPYAFRNLGRYSNFLTLTPKPKTLRWHFSSLYNTLHCSPLLYTIIRAIQLSAHNIAIATLYLSSRLSNAISDCEAYQALSTHMVEMGRRILNDALRTIVNAEKRGFASAQLQPISNVMANFLQIMKYRGYIKGFEVDDPHRVGKITVQLLGRINDCRAISYRQDIKAQNIENYAKSTLPTRQWGYVVITTPNGVLDHEEAIRQKVGGQVLGYFY >itb04g20590.t2 pep chromosome:ASM357664v1:4:25451076:25455986:1 gene:itb04g20590 transcript:itb04g20590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCPYAFRNLGRYSNFLTLTPKPKTLRWHFSSLYNTLHCSPLLYTIIRAIQLSAHNIAIATLYLSSRLSNAISVVEMGRRILNDALRTIVNAEKRGFASAQLQPISNVMANFLQIMKYRGYIKGFEVDDPHRVGKITVQLLGRINDCRAISYRQDIKAQNIENYAKSTLPTRQWGYVVITTPNGVLDHEEAIRQKVGGQVLGYFY >itb03g23050.t1 pep chromosome:ASM357664v1:3:21162896:21166804:1 gene:itb03g23050 transcript:itb03g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEANRAAFIEIQGRMIELTGKVKQVQTQMRNKEGEKKRAYLTLEELRQLSDDTVTYKSIGRTFVLEPVSVLMDEQEKKLKDSEAAITALQTSKEYLEKQMAEVENNLRELLQQDPGLARQIMSMSVM >itb10g13940.t1 pep chromosome:ASM357664v1:10:20230654:20232106:-1 gene:itb10g13940 transcript:itb10g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNRLQEYTQKLGLPLPSYKNVNEGLPHSPSFRSTVLVDGRTYEAKCTFPTRKQAEQEAARLALESLSKQINDTGSPTIYTEPRFCKSLLYQYALKNNLGMPSFKTINPAGPCLVYLSYMVFGGKTYTGEVAGSKKMAEQLAARVAIRSLLGADSLSKQLEKAPENSPLYQASIQVSKNLLQGQTSSQTATVSFNSYGTVGGPRKELTCKPEISTWEQNKMGETDYRNVPDHKPQINNWVQNAMKTADRRNGQVHKPGPGTTRPSNWEQNKTVVDMRNGPKRKLESNNWNLIKKKNLGS >itb14g10860.t1 pep chromosome:ASM357664v1:14:12360599:12362138:-1 gene:itb14g10860 transcript:itb14g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFFGFDTFHKILWNDRYNPKKKSKITTEISCKSDLVAVVARCRLPPRTDISSSPTTKNRASPSLAVAYHQERSPRRRLPPRMKPCNPDLLDQGGDEIKLQISDLLSPSVRRALVQTSDFKSGLNSLSSLLRSFSASVGTWYREAREQSRSNFRFPILF >itb01g16230.t1 pep chromosome:ASM357664v1:1:20167852:20171256:-1 gene:itb01g16230 transcript:itb01g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIFEFSGNNEFFSGVPMYPSSKDSGLVLSLHPHANAYFPPCKRARVSTPVVACEGLEPKKKPTIEVLPDECLFEVFRRIHDGKERSACACTSKRWLMLLSTLRRDEIFSNKSIQPSEPKEMSGSSMKVDIKSDKNGLVQSTVAETKVAYQDAKVEGYLSRCLDGKKATDVRLAAIAVGTGNHGGLGKLSIRGNNSIRGVTDSGLKAIARGCPSLKALSLWNISSITDEGLCEIANECHLLEKVDLCHCPSITDKSLMAIAKSCPNLTSLTIESCSKIGNESLKAVGACCPNLKFVALKNCPLVGDQGIGSLFSTAGEVLMKVKLQTLNLSDMSLAVIGHYGVALTDLAFTGLKNITERGFWVMGNGKGLQKLRCLSIIDCSGVSDFGIEAVGKGCPNLKQFSLRSCTFPSDNGLVAFAKAAGSLESLQLENCHRITQAGLFGVLVHCGGKLKSLALENCMGIKDLAFECPLLSPCNSLRSLWICNCPGFGDASLGILSKLCPKLVHVDFTGLHCITDDGLLNLVQNCEEGLVKVNLNGCVNVGDIAVSAIAKQHGETLEKLNLDGCGYVTDVSLEAIADHCSVLCELDVSKSRITNSGIVALTKAVQLSLQILSLSGCAMVSNKTLPLLLKLGTSLVGLNIQNCPGISSSGVDSLVEKLWRCDVLS >itb01g07010.t1 pep chromosome:ASM357664v1:1:5229413:5232914:1 gene:itb01g07010 transcript:itb01g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVLGLTFLTYFNGVMHFNLADAANHVTNFLGTNYILTVLIAVLADTYTGRFLAVLLSAWIEFLGLGLLAFQAHHRKLKPPPCIPNPSDPSVQCEEVSGRNAAILFLAVYLIALGSAGVKSAVPSHGADQFDEKEPKEAAQMSSFFNWLLLGLCVGAAASLTLIVWIIQDKGWDKGFGVCTLAMFLGGITFSAGLPFYRIYVIQGSSAITRVVQVYVAAMRNRNLQLPADSSVLYEISKDREAANETDFLPHTSKYRFLDKAAIQTSPEQSQNPNPWKLCTVTQVENAKILLSMIPVFLCTVIMTLCLAQLQTFSIQQGTTMDITITKSFSIPVPSLPILPITFLIILIPVYDQILVPFLRKLTGIPTGITYLQRIGVGLVLSCLSMATASILEVKRKKLAREHNMVDAIPILQPLPISVFWLSIQYFIFGIADMFTYVGLLEFFYSQAPRELKSVSSCFLWSSMSLGYFLSSIMVRVVNAATKGGTASGGWLAGNNINRNHLDLFYGLLSAMSFVNFLVYLVVATRYKYRQQKVQPADDEGDGEMQ >itb01g23150.t2 pep chromosome:ASM357664v1:1:29217596:29218938:-1 gene:itb01g23150 transcript:itb01g23150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFMSYVELKKQAMKDLESGPDPDIEMGQLDPGDERNLSKFFEDVGAIKADMEEISNLLLDLQDLNEETKSAQSAKILHGLRDRTNSDMVTVLRKAKIIKTKLELLDKSNLSNRSLYKEGTPIDRTRMSVTNGLRQKLRDLMNDFQCLRGKIVAEHKEGLKRSYYTATGTQPSEETIEKIMAGGGNERVFEGKAGEMAKENEERNKGVKEIQKSLAELHQVFLDMAVMVETQGEQMNNIEMNVANGGAYVKEGAEELNRAKQMKKKRTLLCWVGVIILAIFLVFLLAILF >itb01g23150.t1 pep chromosome:ASM357664v1:1:29217580:29218999:-1 gene:itb01g23150 transcript:itb01g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFMSYVELKKQAMKDLESGPDPDIEMGQLDPGDERNLSKFFEDVGAIKADMEEISNLLLDLQDLNEETKSAQSAKILHGLRDRTNSDMVTVLRKAKIIKTKLELLDKSNLSNRSLYKEGTPIDRTRMSVTNGLRQKLRDLMNDFQCLRGKIVAEHKEGLKRSYYTATGTQPSEETIEKIMAGGGNERVFEGKAGEMAKENEERNKGVKEIQKSLAELHQVFLDMAVMVETQGEQMNNIEMNVANGGAYVKEGAEELNRAKQMKKKRTLLCWVGVIILAIFLVFLLAILF >itb01g31750.t1 pep chromosome:ASM357664v1:1:35385402:35387800:1 gene:itb01g31750 transcript:itb01g31750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETRTRTKRILLFLSCIILSIGNCGGPLLIRLYFLRGGNRIWFSSWLQTAGFPALFVPLLVSYHRRRRACSDESSKTTKLFLINPFLSIAAAVLGVLVGVDNYLYSYGIAKLPVSTSSLIIATQLAFTAGFAFLLVKQKFTAFIVNAVVLLTVGAVLLGLRAGSDRPAGESNKAYILGFVLTVGAAALYGLILPLIELSYLKAKQAVSLSLVLEFQMIMGFVGTIFATVGMIINKDFEVIPREVREFELGVTKYYVLAVFSGIVWQFFFVGAIDVITYGSSLLSGVIITVLLPITEILAVIFYHEKFEAEKGIALFLSIWGFVSYFYGDIKNAIKDNKSSAETEMTTAPTVPVASTQAV >itb07g01880.t1 pep chromosome:ASM357664v1:7:1131303:1133591:-1 gene:itb07g01880 transcript:itb07g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQLVCFHRPRNWSFSVAWGYSADIYEEIFPRSYLNNPIETFQTWGPTPWPPHWIFDVRKYTTTDTCLVLHAFFLESVEEEEDGIVTTYRRAWRRGLPACFAGDGDQSADRISKIVVYSPMTKPAKIGRSECCDVTIDSVESESAVIKTRRCMADDIIA >itb12g24990.t1 pep chromosome:ASM357664v1:12:26324958:26326152:-1 gene:itb12g24990 transcript:itb12g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFHNHFLLFLTVSLVLSSSISKLSAMAAGAKNTEFIRTSCETTTYPRLCFNSLSSHARLIRADPQLLAHTALTVTLQTAQSTVGAMGRLARSHGLTRREIGAMRDCVEELSDSVDELRESLGEMKQLRGRDFEMKMNDIQTWVSAALTDDDTCTDGFAGKVTRRGVKTAVRGKIVRVAHLTSNALALINRFAELHG >itb07g24620.t3 pep chromosome:ASM357664v1:7:28857958:28862986:1 gene:itb07g24620 transcript:itb07g24620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLDCHSSSLPSALHSSAPNTELPSWDHVLNMSPKPSPHLNPQLHTERILVDDESSPCLHPQEEHCFHSAPSILSNNPPTSKSSMELMKEIAILEVEIMRMERYLLSLYRAAFQQHIPDLLGKHAANIESKINTPLHSTMDEPNYNFEFGMSKVYVDKYDRSSSPSALVCSDDQLESATKPSSRREKNADSGHRSLADHLGVSRIDDFINYPDRLSEEIVRCIASIYCKLANPSTVTQKGSSVSSTSSMSSSSTFSPRNLSGSWSLAVEEGTEQCEYEGFKDDKKANSTLIEVLKVSLDDDSFTYATTMLQNFRLLVKSLEKVDPMKLKREEKLVFWINIHNALVMHAYLAYGTQNCVRTSSIVRAAYNVGGHCINAYVIQNSILGIRPHYSAPWLQTLLSPGKKLVAGGAKHAYAIEYPEPLVHFALSLGASSDPAIRVYTARNVFRDLKVAKKEFIEASVCIQKETAKIFMPKIVCYYAKDMSFSMDGLVEDMNGCLSESQQKAVKSCVKGRPEKYIDWLPQSSSFRYLIHCTEKLPKEE >itb07g24620.t4 pep chromosome:ASM357664v1:7:28857844:28861373:1 gene:itb07g24620 transcript:itb07g24620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLDCHSSSLPSALHSSAPNTELPSWDHVLNMSPKPSPHLNPQLHTERILVDDESSPCLHPQEEHCFHSAPSILSNNPPTSKSSMELMKEIAILEVEIMRMERYLLSLYRAAFQQHIPDLLGKHAANIESKINTPLHSTMDEPNYNFEFGMSKVYVDKYDRSSSPSALVCSDDQLESATKPSSRREKNADSGHRSLADHLGVSRIDDFINYPDRLSEEIVRCIASIYCKLANPSTVTQKGSSVSSTSSMSSSSTFSPRNLSGSWSLAVEEGTEQCEYEGFKDDKKANSTLIEVLKVSLDDDSFTYATTMLQNFRLLVKSLEKVDPMKLKREEKLVFWINIHNALVMHAYLAYGTQNCVRTSSIVRAAYNVGGHCINAYVIQNSILGIRPHYSAPVRMHNNLCF >itb07g24620.t5 pep chromosome:ASM357664v1:7:28857844:28860276:1 gene:itb07g24620 transcript:itb07g24620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLDCHSSSLPSALHSSAPNTELPSWDHVLNMSPKPSPHLNPQLHTERILVDDESSPCLHPQEEHCFHSAPSILSNNPPTSKSSMELMKEIAILEVEIMRMERYLLSLYRAAFQQHIPDLLGKHAANIESKINTPLHSTMDEPNYNFEFGMSKVYVDKYDRSSSPSALVCSDDQLESATKPSSRREKNADSGHRSLADHLGVSRIDDFINYPDRLSEEIVRCIASIYCKLANPSTVTQKGSSVSSTSSMSSSSTFSPRNLSGSWSLAVEEGTEQCEYEGFKDDKKANSTLIEVLKVSLDDDSFTYATTMLQNFRLSAFTLT >itb07g24620.t6 pep chromosome:ASM357664v1:7:28857958:28860826:1 gene:itb07g24620 transcript:itb07g24620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLDCHSSSLPSALHSSAPNTELPSWDHVLNMSPKPSPHLNPQLHTERILVDDESSPCLHPQEEHCFHSAPSILSNNPPTSKSSMELMKEIAILEVEIMRMERYLLSLYRAAFQQHIPDLLGKHAANIESKINTPLHSTMDEPNYNFEFGMSKVYVDKYDRSSSPSALVCSDDQLESATKPSSRREKNADSGHRSLADHLGVSRIDDFINYPDRLSEEIVRCIASIYCKLANPSTVTQKGSSVSSTSSMSSSSTFSPRNLSGSWSLAVEEGTEQCEYEGFKDDKKANSTLIEVLKVSLDDDSFTYATTMLQNFRLLVKSLEKVDPMKLKREEKLVFWINIHNALVMHVWFA >itb07g24620.t2 pep chromosome:ASM357664v1:7:28857844:28862986:1 gene:itb07g24620 transcript:itb07g24620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLDCHSSSLPSALHSSAPNTELPSWDHVLNMSPKPSPHLNPQLHTERILVDDESSPCLHPQEEHCFHSAPSILSNNPPTSKSSMELMKEIAILEVEIMRMERYLLSLYRAAFQQHIPDLLGKHAANIESKINTPLHSTMDEPNYNFEFGMSKVYVDKYDRSSSPSALVCSDDQLESATKPSSRREKNADSGHRSLADHLGVSRIDDFINYPDRLSEEIVRCIASIYCKLANPSTVTQKGSSVSSTSSMSSSSTFSPRNLSGSWSLAVEEGTEQCEYEGFKDDKKANSTLIEVLKVSLDDDSFTYATTMLQNFRLLVKSLEKVDPMKLKREEKLVFWINIHNALVMHAYLAYGTQNCVRTSSIVRAAYNVGGHCINAYVIQNSILGIRPHYSAPWLQTLLSPGKKLVAGGAKHAYAIEYPEPLVHFALSLGASSDPAIRVYTARNVFRDLKVAKKEFIEASVCIQKETAKIFMPKIVCYYAKDMSFSMDGLVEDMNGCLSESQQKAVKSCVKGRPEKYIDWLPQSSSFRYLIHCTEKLPKEE >itb07g24620.t1 pep chromosome:ASM357664v1:7:28857844:28862986:1 gene:itb07g24620 transcript:itb07g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLDCHSSSLPSALHSSAPNTELPSWDHVLNMSPKPSPHLNPQLHTERILVDDESSPCLHPQEEHCFHSAPSILSNNPPTSKSSMELMKEIAILEVEIMRMERYLLSLYRAAFQQHIPDLLGKHAANIESKINTPLHSTMDEPNYNFEFGMSKVYVDKYDRSSSPSALVCSDDQLESATKPSSRREKNADSGHRSLADHLGVSRIDDFINYPDRLSEEIVRCIASIYCKLANPSTVTQKGSSVSSTSSMSSSSTFSPRNLSGSWSLAVEEGTEQCEYEGFKDDKKANSTLIEVLKVSLDDDSFTYATTMLQNFRLLVKSLEKVDPMKLKREEKLVFWINIHNALVMHAYLAYGTQNCVRTSSIVRAAYNVGGHCINAYVIQNSILGIRPHYSAPWLQTLLSPGKKLVAGGAKHAYAIEYPEPLVHFALSLGASSDPAIRVYTARNVFRDLKVAKKEFIEASVCIQKETAKIFMPKIVCYYAKDMSFSMDGLVEDMNGCLSESQQKAVKSCVKGRPEKYIDWLPQSSSFRYLIHCTEKLPKEE >itb10g09110.t1 pep chromosome:ASM357664v1:10:12030669:12031205:-1 gene:itb10g09110 transcript:itb10g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWEDDNILFEELTRQLLELTEEDDNKRIVNSKHGNRYRPSILQPQCRFEWIHSEDTNNKVPKYLVELWNREKSNNGTGVFIPKRSTNKGKGSIKENMLHPQCLVFSWISEYRGWVFIGTGASVPISLTLPEQITRKEEP >itb06g20430.t1 pep chromosome:ASM357664v1:6:23405610:23407685:1 gene:itb06g20430 transcript:itb06g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAAAMVEDRAFPVKPKLKPNTRKSTSTPESKYWKSFKTPKELPPQTPASGITSIAFSPTSPYDFAATHSASVSIFSAQTFKRRTISSAFSDTATAAAFRSDGRLLAAGDLSGAVHVFDLKSRVPLRRLRGHSRPVRVVRYPRADKLHLFSGGDDAVVKYWDVTTETRIYDLLGHKDYVRCGDCSPVSDDMFISGSYDHTVQVWDVRVMNSGSVMKINHGKPVEDVIYLPSGGLIATAGGNSVKIWDVIGGGGLLHEMESHNKTVTSLCVGRIGKETGEEANQYRILSVSLDGYMKVFDYSKFKITHSMRFPNPLLSVAFSPDCSTRVIGTSNGLLYIGKRKAKDSAGEELGSSMGFGAVEAPHRRALRPSYFRYFQRGQNEKPLEGDYLIMRPKKVKLAEYDKMFMKFMHKEALLAALNAKNPDSVVAVMEELVARKKLLKCVSNLDNEELGLLLKFLQRYLTMPRYAGFLMALAKKVIDLRGEDIKASDALKGHIRNLKRDVEEEIRIQQTLQEIQGIVSPLLKIAARR >itb09g02700.t1 pep chromosome:ASM357664v1:9:1538269:1543368:-1 gene:itb09g02700 transcript:itb09g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGSKGVILLGFLLLLILLQSAAGRFVVEKNSLRVLSPENIKGNYDCAIGNFGIPQYGGSMSGTVVYPKDNRKGCRKFEDFDISFKSKPGSLPTFVLLDRGECFFALKVWNAQNAGASAVLVADNMEEPLITMDTPEEDVSAAEYVENVTIPSALIDKNFGEKLKKAVNGADLVNVNLDWREAVPHPDDRVEFELWTNSNDECGFKCDMLMKFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTISRQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVLENLRQLCVFKVANESKKPWVWWDYVTDFQIRCPMKEKKYNKECADEVIKSLGLDLKGIEKCMGDPSADADNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICAGFEETTEPAVCLSDDLETNECLDNNGGCWQDKTANITACKDTFRGRVCECPMVDGVQFKGDGYSSCKPSGPGRCKLNHGGCWHETRNGRSYSACVDEEDGKCTCPPGFKGDGKSCQDIDECKEKKACQCPECSCTNTWGSYECTCSGDLLYMRDHDTCISKKAATEVRSAWTAVWVIIIGLAVAAGGAYLVYKYRFRSYMDTEIRAIMAQYMPLDSQNEVPSHVSDDRA >itb03g09040.t1 pep chromosome:ASM357664v1:3:6900361:6901917:-1 gene:itb03g09040 transcript:itb03g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSEKAACNSHGQDSSYFLGWQEYEKNPYDEARNPKGIIQMGLAENQLSFDLLESWMAQNPDAAAFKNDGESVFRQLALFQDYHGLPSFKNAMVQFMSEIRGNKVSFDSNKLVLTAGATSANETLMFCLANPGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCYSSNGFRITESALEEAYEEAKKRNLKVKGVLVTNPSNPLGTTLTRQELNLLLTFIDEKHIHLISDEIYSGTVFDSPAFVSAMEVLTERRNQLSTDVWDRVHLVYSLSKDLGLPGFRVGAIYSNDEMVVAAATKMSSFGLVSSQTQYLLAAMLSDKKFTRKYVSENQKRLKKRHAMLVKGLKEAGIGCLESNAALFCWVDMRHLLAENTFEAEIELWKKIVYDVGLNISPGSSCHCTEPGWFRACFANMSEETLHLAMQRIKSFVDLKPAVDDQTVHSPQHSNKNNSSKRKGFTKWVFRLSFNDRQRER >itb02g09000.t2 pep chromosome:ASM357664v1:2:5693564:5701196:1 gene:itb02g09000 transcript:itb02g09000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDLSFMGNRLSGPFPKVLTRITTLRNLSIEGNNFSGTIPSEIGNLIHLEKLTLSSNAFTGNLPSTFGKLKNLTDMRISDNNFTGKIPDFIGNWTNIEKLHIQGCSFEAPLPSSISSLTTLTDLRISDLKGGKSSFPQLEEMESMKTLILRNCRIDGELPEYLGQMKKLKALDLSFNNLTGEIPSSFDRLAKVDFIYLTGNRLTGDVPQWILSTNKNIDVSDNMFSWQSSGPAECPSGSVNLVESYSSSGDTSGVHPCLQQNFPCSNSRSRKSYSLHINCGGKETIISNGTKYEGDLEARGASMFYSRPDWAFSSTGNFMDNDIDADSYIYTSTSALNNVNGPDSELYKTARASPLSLTYYGLCMMNGNYTVKLHFAELLFTNDSSFNSLGKRIFDVYLQENLVLKDFNIVNEAGGPDKALVKTFTVAVTSNTLKIHFYWAGKGTTGIPERGVYGPLIAAISVDPNFTPPKIDDEKNIAVGELVGIVAGAVALGLLILGILFVIFLRGRKVSEDRDLKGLDLQAGIFTLRQIKAATKNFDAANKVGEGGFGSVYKGQLSDGTTIAVKQLSSKSKQGTREFLNEIGMISALKHPNLVKLYGCCVEGNHLMVIYEYMENNCVSRALFGKDRTNKLNLDWATRRKICLGIARGLAYLHEESSLKIVHRDIKTSNVLLDKDLNAKISDFGLAKLHEDDNTHISTRIAGTVGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWAYVLQERGNILELVDPDLGSNYSSEEAMVVLNVALLCTNASPTLRPTMSQVVSMLQGQTLVQDLLSDPGFSSTDLQFRSMRSHFWQNPSQAQSMSSNGPTTGSDVSYVDKEETAALVRKKTTSSNN >itb02g09000.t1 pep chromosome:ASM357664v1:2:5692632:5701230:1 gene:itb02g09000 transcript:itb02g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLSPPFLPRNLPFLCILCSLQLLLLSPSLLAATPKLHQQEVIALKEIAKKFGKTDWNFSKDPCSGVESWSPKIPRKSFETTVTCDCSFDNNATCHITAIALKSQNFSAGVPPEFAKFHHLKSLDLSRNYLNGSIPQQWASMRLLDLSFMGNRLSGPFPKVLTRITTLRNLSIEGNNFSGTIPSEIGNLIHLEKLTLSSNAFTGNLPSTFGKLKNLTDMRISDNNFTGKIPDFIGNWTNIEKLHIQGCSFEAPLPSSISSLTTLTDLRISDLKGGKSSFPQLEEMESMKTLILRNCRIDGELPEYLGQMKKLKALDLSFNNLTGEIPSSFDRLAKVDFIYLTGNRLTGDVPQWILSTNKNIDVSDNMFSWQSSGPAECPSGSVNLVESYSSSGDTSGVHPCLQQNFPCSNSRSRKSYSLHINCGGKETIISNGTKYEGDLEARGASMFYSRPDWAFSSTGNFMDNDIDADSYIYTSTSALNNVNGPDSELYKTARASPLSLTYYGLCMMNGNYTVKLHFAELLFTNDSSFNSLGKRIFDVYLQENLVLKDFNIVNEAGGPDKALVKTFTVAVTSNTLKIHFYWAGKGTTGIPERGVYGPLIAAISVDPNFTPPKIDDEKNIAVGELVGIVAGAVALGLLILGILFVIFLRGRKVSEDRDLKGLDLQAGIFTLRQIKAATKNFDAANKVGEGGFGSVYKGQLSDGTTIAVKQLSSKSKQGTREFLNEIGMISALKHPNLVKLYGCCVEGNHLMVIYEYMENNCVSRALFGKDRTNKLNLDWATRRKICLGIARGLAYLHEESSLKIVHRDIKTSNVLLDKDLNAKISDFGLAKLHEDDNTHISTRIAGTVGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWAYVLQERGNILELVDPDLGSNYSSEEAMVVLNVALLCTNASPTLRPTMSQVVSMLQGQTLVQDLLSDPGFSSTDLQFRSMRSHFWQNPSQAQSMSSNGPTTGSDVSYVDKEETAALVRKKTTSSNN >itb02g09000.t3 pep chromosome:ASM357664v1:2:5692632:5701222:1 gene:itb02g09000 transcript:itb02g09000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METQLSPPFLPRNLPFLCILCSLQLLLLSPSLLAATPKLHQQEVIALKEIAKKFGKTDWNFSKDPCSGVESWSPKIPRKSFETTVTCDCSFDNNATCHITAIALKSQNFSAGVPPEFAKFHHLKSLDLSRNYLNGSIPQQWASMRLLDLSFMGNRLSGPFPKVLTRITTLRNLSIEGNNFSGTIPSEIGNLIHLEKLTLSSNAFTGNLPSTFGKLKNLTDMRISDNNFTGKIPDFIGNWTNIEKLHIQGCSFEAPLPSSISSLTTLTDLRISDLKGGKSSFPQLEEMESMKTLILRNCRIDGELPEYLGQMKKLKALDLSFNNLTGEIPSSFDRLAKVDFIYLTGNRLTGDVPQWILSTNKNIDVSDNMFSWQSSGPAECPSGSVNLVESYSSSGDTSGVHPCLQQNFPCSNSRSRKSYSLHINCGGKETIISNGTKYEGDLEARGASMFYSRPDWAFSSTGNFMDNDIDADSYIYTSTSALNNVNGPDSELYKTARASPLSLTYYGLCMMNGNYTVKLHFAELLFTNDSSFNSLGKRIFDVYLQENLVLKDFNIVNEAGGPDKALVKTFTVAVTSNTLKIHFYWAGKGTTGIPERGVYGPLIAAISVDPNFTPPKIDDEKNIAVGELVGIVAGAVALGLLILGILFVIFLRGRKVSEDRDLKGLDLQAGIFTLRQIKAATKNFDAANKVGEGGFGSVYKGQLSDGTTIAVKQLSSKSKQGTREFLNEIGMISALKHPNLVKLYGCCVEGNHLMVIYEYMENNCVSRALFGKDRTNKLNLDWATRRKICLGIARGLAYLHEESSLKIVHRDIKTSNVLLDKDLNAKISDFGLAKLHEDDNTHISTRIAGTVGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWVTIISHFLSFIP >itb13g00730.t1 pep chromosome:ASM357664v1:13:673813:674472:1 gene:itb13g00730 transcript:itb13g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL3 [Source:Projected from Arabidopsis thaliana (AT4G28365) UniProtKB/TrEMBL;Acc:A0A178UTL1] MALIMASSLALGLVFFSAAGLCEAKDFVVGGQSGWKIPSSPDEYNRWAGENRFNIGYILVFKYPCNNDSVLEVYEDDYKKCNTKNPIKSYVSNGNTEVVLERSGPIFFISGQDGHCDNGQKLAVVVLSPKHTPPVPTPTLAPEANSNDGPPMVVAARLALLLLLLPTLFY >itb10g15280.t1 pep chromosome:ASM357664v1:10:21534975:21535322:-1 gene:itb10g15280 transcript:itb10g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSTISVGRKALLRRLEPKLKHSILQSASQVCYSIESPLNPIPDFTASRNCVCQYSFRFFSSNPSLDSEESEYEEKSEDGSSSVFSKGVENCDVPFVVKEEDGEPEVSLGEEGM >itb07g10930.t3 pep chromosome:ASM357664v1:7:12282994:12284283:1 gene:itb07g10930 transcript:itb07g10930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRRRKSEADARRDVISELRLEVKERILECLPTRDAARTALLSTHWNHVWLRHGQLVFDRCFFQCFSKYEGEKGIAQISTINDILMLRAGPVKHFTLHVYHASDPKPQQSDLDRWCRFVSRNGLQELSLFISRNHKLPSCIFSCRTIEELFLGEFIFDLSIPRCIFPSATSLAFKHTEFSDNVKGIVYRIPNLEELSFSHCKGITNFEISSPKLESLTVIGSLFSELDESRWFTLYLRTIKTLCLSVNLLPCKNPEIATMTFPTAINLQVIELYELNVSCGEKLAFVLQLLQHSPNLCELKITASDFVRHETYGPYIWQS >itb07g10930.t1 pep chromosome:ASM357664v1:7:12282994:12284376:1 gene:itb07g10930 transcript:itb07g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRRRKSEADARRDVISELRLEVKERILECLPTRDAARTALLSTHWNHVWLRHGQLVFDRCFFQCFSKYEGEKGIAQISTINDILMLRAGPVKHFTLHVYHASDPKPQQSDLDRWCRFVSRNGLQELSLFISRNHKLPSCIFSCRTIEELFLGEFIFDLSIPRCIFPSATSLAFKHTEFSDNVKGIVYRIPNLEELSFSHCKGITNFEISSPKLESLTVIGSLFSELDESRWFTLYLRTIKTLCLSVNLLPCKNPEIATMTFPTAINLQVIELYELNVSCGEKLAFVLQLLQHSPNLCELKITASDFVRHETYGPYIWQS >itb07g10930.t2 pep chromosome:ASM357664v1:7:12283002:12284376:1 gene:itb07g10930 transcript:itb07g10930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRRRKSEADARRDVISELRLEVKERILECLPTRDAARTALLSTHWNHVWLRHGQLVFDRCFFQCFSKYEGEKGIAQISTINDILMLRAGPVKHFTLHVYHASDPKPQQSDLDRWCRFVSRNGLQELSLFISRNHKLPSCIFSCRTIEELFLGEFIFDLSIPRCIFPSATSLAFKHTEFSDNVKGIVYRIPNLEELSFSHCKGITNFEISSPKLESLTVIGSLFSELDESRWFTLYLRTIKTLCLSVNLLPCKNPEIATMTFPTAINLQVIELYELNVSCGEKLAFVLQLLQHSPNLCELKITASDFVRHETYGPYIWQS >itb07g10930.t4 pep chromosome:ASM357664v1:7:12283052:12284042:1 gene:itb07g10930 transcript:itb07g10930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRRRKSEADARRDVISELRLEVKERILECLPTRDAARTALLSTHWNHVWLRHGQLVFDRCFFQCFSKYEGEKGIAQISTINDILMLRAGPVKHFTLHVYHASDPKPQQSDLDRWCRFVSRNGLQELSLFISRNHKLPSCIFSCRTIEELFLGEFIFDLSIPRCIFPSATSLAFKHTEFSDNVKGIVYRIPNLEELSFSHCKGITNFEISSPKLESLTVIGSLFSELDESRWFTLYLRTIKTLCLSVNLLPVRISTFAFFSFMQID >itb03g00430.t1 pep chromosome:ASM357664v1:3:208654:213104:-1 gene:itb03g00430 transcript:itb03g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb03g00430.t2 pep chromosome:ASM357664v1:3:208654:213104:-1 gene:itb03g00430 transcript:itb03g00430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb03g00430.t5 pep chromosome:ASM357664v1:3:208654:212857:-1 gene:itb03g00430 transcript:itb03g00430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb03g00430.t6 pep chromosome:ASM357664v1:3:208654:212858:-1 gene:itb03g00430 transcript:itb03g00430.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb03g00430.t3 pep chromosome:ASM357664v1:3:208654:212858:-1 gene:itb03g00430 transcript:itb03g00430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb03g00430.t7 pep chromosome:ASM357664v1:3:208654:212857:-1 gene:itb03g00430 transcript:itb03g00430.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb03g00430.t4 pep chromosome:ASM357664v1:3:208694:213101:-1 gene:itb03g00430 transcript:itb03g00430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSRDDSWRQASRSTSSSSWNYEYPQTAYPPQQPQESYNYPVQHAYPSYAPPPPQEQQYPPPSRNFASQNHAPQRRLDRKYSRIADNYNSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGKKSFSGRSLHNIGHGLNPYEQAISIIGKTLAAFDDDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSQYREIVPRLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVEASKFPLSIILVGVGDGPWDMMKEFDDNIPAREFDNFQFVNFTEIMSKSVPQSRKETEFALSALMEIPSQYKATMELGLLGEHKGKSPGRVALPPPLYGPASLSGSKPSRGTSFQHTSSSYYDYKDPASTVSSFPHTSSSYYDPRGEPTPSAPVSTYDNQVCPICLTNPKDMAFGCGHQTCCDCGKELDLCPICRSSIQTRIKLY >itb10g03590.t1 pep chromosome:ASM357664v1:10:3328356:3337124:1 gene:itb10g03590 transcript:itb10g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVISVFPSESSELHTTRSWDFINMYEGNGDPTSGEELLSKAGGAKDVIVGMVDTGIWPESQSFNDEGMEPVPMSWKGTCQEGLHFTSSHCNRKIIGARYHLKSVEAVFGHVNHTVDFRSARDSYGHGTHTASIVGGRKVANASAYGGFANGVATGGAPLTRLAIYKACWVVPFDDTKGVVCQDDDVLAAFDHAVADGVHVISVSLGFYTDGDYFKRNVVALGALHAAKRNIVVVCSAGNFGRPNTVLNVAPWIFTVGASTIDRSFPAPVVLGNNMGESISALKKMETFPLVYAGDVEIPGSTNFPGFCLPDTLSPEKVKGKAVFCLVGNVYRSTEVERAGGAAVILGTIGSEVSVGSFLIPGTSIFYPSETDAILKYIKTNKNPVATLIPGKTIVGAKPSPAMAPFTSLGPSSVEPNILKPDITAPGFNILAAWSEASSPSDTPEDHRRVKYNIISGTSMSCPHVSAVAALLKAIHPDWSSAAIKSAIMTSATTENVKGEAIEDAYGEVAGPFHYGAGHIQPSKAADPGLVYDSSYTDYLLFICSVTGTSLDPSFKCPEKDLSPSNLNYPSLAIAGLKGSMVVKRTVTNVGSANATYSVEVKAPAGYSVKISPMVLRFMEVGEKQSFSVSVKAESVKKVGEFGFGWYKWSDGIHMWGLMMDIFGMGLGKIEPRQWIKSTRADTVVNPRISKSTSEIDPESPRPTHLALSCQGVAS >itb07g20380.t1 pep chromosome:ASM357664v1:7:24752337:24760867:1 gene:itb07g20380 transcript:itb07g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPWLYPAPTYRSLETFWDTDEDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGNGGPGIRLAGVTNAIHSYDVLTRKWTRLQPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKLKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTFSGNDGKRVLSDAWALDTAQKPYVWQRLNPEGDRPSGRMYATASARSDGMFLLCGGRDASGTPLADAYGLLMHRNGQWEWTLAPGVAASARYQHASVFVGARLHVTGGVLRGGRAVEGEAAIAVLDTAAGVWLDRNGLVTSSRNNKGQTEHDPSLELIRRCRHAVASVGVRIYSFGGLRGDILLDDLLVAENSPLQSDLNSPVLSSERTPIMGTARSVNSDATPDGNVISSGGLSMDRNSMEKLAEASAAEAEAANAVWQAAQAASNNPEETSVSDDNSQAAETTSDGSDGEVDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMVPSQNDLSNPTKKFTRQKSPQGLHKKIISTLLRPRNWKAPVNRKFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKIERPITMDAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPLLSPDTSPERVTEDTWMQELNIQRPPTPTRGRPQPDLDRNSLAYI >itb07g20380.t2 pep chromosome:ASM357664v1:7:24752428:24760794:1 gene:itb07g20380 transcript:itb07g20380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPWLYPAPTYRSLETFWDTDEDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGNGGPGIRLAGVTNAIHSYDVLTRKWTRLQPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKLKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTFSGNDGKRVLSDAWALDTAQKPYVWQRLNPEGDRPSGRMYATASARSDGMFLLCGGRDASGTPLADAYGLLMHRNGQWEWTLAPGVAASARYQHASVFVGARLHVTGGVLRGGRAVEGEAAIAVLDTAAGVWLDRNGLVTSSRNNKGQTEHDPSLELIRRCRHAVASVGVRIYSFGGLRGENSPLQSDLNSPVLSSERTPIMGTARSVNSDATPDGNVISSGGLSMDRNSMEKLAEASAAEAEAANAVWQAAQAASNNPEETSVSDDNSQAAETTSDGSDGEVDVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMVPSQNDLSNPTKKFTRQKSPQGLHKKIISTLLRPRNWKAPVNRKFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKIERPITMDAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPLLSPDTSPERVTEDTWMQELNIQRPPTPTRGRPQPDLDRNSLAYI >itb04g02200.t1 pep chromosome:ASM357664v1:4:1322256:1323470:-1 gene:itb04g02200 transcript:itb04g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSNIHNEIIRHILLQLPMKAVIRCQCVCKQWRSWIDDSDFKLSYRGKRRVIIVSHEPKNQDYNWNSRFLVRSTSHGSCFQRHKLPFGEAAYPLIRASNEFPVRSLCSCNGFVLLLLIAERYILLWNPFTRCLTKVLQLPYPKESNIVILGGLCYVSCTRDYKAVILIRPLIGPDREFGYPFVIFASLNHKEWRPVQFPYNLNSANGNVEFRNTFYWWASDIKDWDYDCDFISGGDRNRILYFDPVCDEFRVLPTPELRQNVSIVGLGVIDDCLSMACMFYTQEKLKTMQILIMKEYGIQESWMTAFAIQMPQYPQTTRYPHYCEGYGITFYSQRNNAEEVLFMRTLCCFWGQVYVYDRKKDELREVLMDFLKDDSGRIRCLCLCFYVESLASLPCSHI >itb01g17010.t1 pep chromosome:ASM357664v1:1:21536815:21541879:-1 gene:itb01g17010 transcript:itb01g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFLDSESQRLELLLAVVTDCSYDRLEIGKYKATLGVLTQVTSSERGRKWLLSLTILFILSQNGEGIWFHLPETGSKCLSEKIYTDVIVLGNYYSFSPSRADPAPTIAVEVTSPLGNSLYHKENVTMGEFSFTTTEEGIYVACFRVDGHNSSGGLTASIDWKIRISTKDWESVARVEKIEGGKVQLDVYESLHRCFSSANVPEGCVIVREHIPISNLFTCLWFNEVDRFTPRDQISFSTVRDKIRSKTNWTVHMFLDCERCNFVVQGYHRDILEHWSPPPPSVVHPPPPILDGTLKASGNIPVRKGPQKRGRERRSRRHQKALPDIRMLA >itb10g01350.t1 pep chromosome:ASM357664v1:10:990140:991894:1 gene:itb10g01350 transcript:itb10g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLFACLALMLCSCGCSSAGLLSEPAEPGDSSNTVPAFPVVTESQICRLDLSDELFGGVSAACGRNLDRSRCCPVLAAWLFAAHARSALEVPAAAPPASSELPMMPDDSQKCVNSLQSSLQSRNIHIPQPNASCDAVLCFCGIRLHQITSLSCPAAFNITGARNATPTAAVKNLERNCRNSSYSGCTRCLGALQKITGGAKNGTHKARIGDDDSDRAAKMFRRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPPHESKCSPDQENMPLAVNSLQFEKTESSSPALTASPFTVFFPFLPLIFVNVFVN >itb04g24580.t1 pep chromosome:ASM357664v1:4:29383784:29389952:-1 gene:itb04g24580 transcript:itb04g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQHAFQLQIGSIMRLQAAALKALSQDGIMDLTTLCFCPFAKRLKLVVQFRHSLYPITENHIKVHINNEQIKFYH >itb04g24580.t2 pep chromosome:ASM357664v1:4:29383784:29384347:-1 gene:itb04g24580 transcript:itb04g24580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMLKSSYIFPSMKILSALCTTMNFQHAFQLQIGSIMRLQAAALKALSQDGIMDLTTLCFCPFAKRLKLVVQFRHSLYPITENHIKVHINNEQIKFYH >itb08g03230.t1 pep chromosome:ASM357664v1:8:2681914:2682734:-1 gene:itb08g03230 transcript:itb08g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASFLLSSPFVGSAISAAEVARPRCVSFRRPVSVSASCATAERTTVQTPQSSLYDVLGIRTGASGQEIKAAYRRLARVLHPDVASGSVIQESSSSPAEDFIRVHAAYSTLSDPEKRANYDRSLLRSRHPVAASVRGYSVRRSALRKWETDQCW >itb14g03480.t1 pep chromosome:ASM357664v1:14:3084553:3086232:-1 gene:itb14g03480 transcript:itb14g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGMSMATDESSLLALKSSIINMHSHPIILANWSNASSVCDWIGVTCGTRIQRVIALDISQMGLSATIPSQFGNLSSLVSLKASGNNFTGNLPDTLSHLHRLKIFDFRDNNLTGEISSWFGFLSNLQVLNLGQNHFTGSIPLSLFNLSKLETLDLSFNQVSGSIPSTIFNLSTLENLILVSNYLSGTLPPNLCLHLINIRRFEVTSNLLSGEMPKGLSMCFKQISLGLNYNHFEGTIPPEFGNLTSLEGFRVGGNNLRGRVPKEIGCLHDLKELQIENNHLTGSLPRHMFNMSSLQLLNMNTNNISGNYPIR >itb08g08210.t2 pep chromosome:ASM357664v1:8:7118857:7120884:1 gene:itb08g08210 transcript:itb08g08210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPRERERERMGLLSQRVGRSEIAAGIYVGGNKVVHFTREEKMDSTEYSFLSSTPSSGCSSIPDCGFRKSESGVVLSCLECFLGEGSLYCFEYGASPSVFLTKFRGGTCTTAKSDPPEAVIHRAMYLLQNGFGNYDIFERNCEDFALYCKTGLVIHDQGGLGRSGQACAVISAPLAAMLSSPLKLFTSSPVGMVLATAGMYCFSRYATDIGVRADVVKVKVEDMALFHGCEGQRELITNNECCKRELNRDQTDMPNLKRQRCS >itb08g08210.t1 pep chromosome:ASM357664v1:8:7118857:7120884:1 gene:itb08g08210 transcript:itb08g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPRERERERMGLLSQRVGRSEIAAGDHIYTWRTVFAYAHHGIYVGGNKVVHFTREEKMDSTEYSFLSSTPSSGCSSIPDCGFRKSESGVVLSCLECFLGEGSLYCFEYGASPSVFLTKFRGGTCTTAKSDPPEAVIHRAMYLLQNGFGNYDIFERNCEDFALYCKTGLVIHDQGGLGRSGQACAVISAPLAAMLSSPLKLFTSSPVGMVLATAGMYCFSRYATDIGVRADVVKVKVEDMALFHGCEGQRELITNNECCKRELNRDQTDMPNLKRQRCS >itb09g10430.t1 pep chromosome:ASM357664v1:9:6473718:6474752:-1 gene:itb09g10430 transcript:itb09g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEKRKSRMRRNGSESVEEILLRWKKLNNAPVETNAYAATNMINGGIKKRVKFPAKASRKGCMAGKGGPENSGCTYRGVRQRIWGKWVAEIREPVYTSDEYQSKGARIWLGTFPTAREAALAYDEAARVMYGPNAILNFPDSPALAKMSDSSNNVYAAEESMYVCMDSAIHLESRDCYSVLDSESKPNPQPLDFRSSTECDENEVFHYLRKGGENIELLQKEQEEQTHDAVSERELQLQEASSLCSNTQDEEDLEAALSQLVDSDFDFSSFFSLLFSK >itb01g10440.t1 pep chromosome:ASM357664v1:1:9041670:9043718:1 gene:itb01g10440 transcript:itb01g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFVGEGLPYGHLLILFPLRIMEMKKIACAALVAAAASMSVAMATDAASTPAAASAPSPASDAVAALPAIGSLVGASLVSFFAIYMH >itb04g10720.t1 pep chromosome:ASM357664v1:4:10286994:10290810:-1 gene:itb04g10720 transcript:itb04g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPSFPIKVGHIDDVQELGKTKPSYIPERFVRNMTERPTLSTTPPSCDLKIPVIDLSKLFNENNNSSQDFLSELSNLTLACQEWGFFQVINHGVEVSLLESMERMAMEFFMMPLEEKQKYPMAPGTVQGYGQAFVFSEEQKLDWCNMFALGLEPQYSRNPKLWPTKPAAFSETVEAYSREIRKLCKKLLKYIATSLGLGGDVFEEMFGVAVQAVRMNYYPPCPRPDLVLGLSPHSDGSAITVLQQGKCSNSVGLQILKDNAWIPVQPIPNALVINIGDTIEVLTNGRYKSVEHRAVTDKEKDRLSIVTFYAPSYEIEVGPMPELVDESNNPSKYRRYNHGDYSRHYVTNKLQGKKTLEFAKINN >itb09g22400.t1 pep chromosome:ASM357664v1:9:20806221:20815603:-1 gene:itb09g22400 transcript:itb09g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAHRREARPPPSTAWSRCNTSTAHRSSAAVVASRIAGRSSIAVGRTAGSSSALPRRRTAGRSPSASR >itb09g22400.t2 pep chromosome:ASM357664v1:9:20806221:20808123:-1 gene:itb09g22400 transcript:itb09g22400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRREARPPPSTAWSRCNTSTAHRSSAAVVASRIAGRSSIAVGRTAGSSSALPRRRTAGRSPSASR >itb01g07180.t1 pep chromosome:ASM357664v1:1:5391998:5395351:-1 gene:itb01g07180 transcript:itb01g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCPSVKNILLLDSEGKRVAVKYYSDEWPTNAAKDAYEKAVFTKTQKTNARTEAEITMFENNIVVYKFVQDLHFFVTGSEDENELILATVLQGFFDAVGILLRGNADKREALENLDLILLCLDEIVDGGIILETDADIIAGKVASNSMDAAAPLSEQTISQALATAREHLTRSLLK >itb07g16530.t1 pep chromosome:ASM357664v1:7:20354743:20355036:-1 gene:itb07g16530 transcript:itb07g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDFSAPLTENVPLAQIRPQPLQVQSTFFNMYQVVNAFDNDGWWVGQITGKIRNRYYVYFENFGEEILYHKDNIRIHQDWVHHSWVPNQTRIQPVF >itb15g12250.t1 pep chromosome:ASM357664v1:15:10190836:10196129:-1 gene:itb15g12250 transcript:itb15g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSMIWWSVMTLLLLSSSFSANKHGVLGGRFLQHQNGEHQLTHTTTTSNDDFVTVNREVPSSPDPLHNSSAMWTMVHAIICQLEVDSELGPRAFKWLDQDQPDTRRFQSMPWFFRLI >itb12g18820.t1 pep chromosome:ASM357664v1:12:21086335:21089572:-1 gene:itb12g18820 transcript:itb12g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTVDVDLKAGVEVIKDKNGIDQVLLQNCRGASARVSLHGGQVLSWKTDHGEELLFTSSKAIFKPPKAVRGGIPICFPQFGTRGTLEDHGFARNKMWVIDDNPPPLHSNDSNGNSYTDLLFKPCEDDLKVWPHGFEFRLRVGVTSDGSLILISRVRNINCKPFSFSFAYQTYFSVSDISEVRVEGIETLDYLDNLCNKERFTEQGDALTFESEVDRVYLSSSDVVAVFDHEKKRTFVIKKAGLPDVVVWNPWERKSRAIMDFGDEEYKQMLCVNGAAVEKPITLKPGEEWTGRLEIAVMPAI >itb12g18820.t2 pep chromosome:ASM357664v1:12:21086368:21089567:-1 gene:itb12g18820 transcript:itb12g18820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTVDVDLKAGVEVIKDKNGIDQVLLQNCRGASARVSLHGGQVLSWKTDHGEELLFTSSKAIFKPPKAVRGGIPICFPQFGTRGTLEDHGFARNKMWVIDDNPPPLHSNDSNGNSYTDLLFKPCEDDLKVWPHGVGVTSDGSLILISRVRNINCKPFSFSFAYQTYFSVSDISEVRVEGIETLDYLDNLCNKERFTEQGDALTFESEVDRVYLSSSDVVAVFDHEKKRTFVIKKAGLPDVVVWNPWERKSRAIMDFGDEEYKQMLCVNGAAVEKPITLKPGEEWTGRLEIAVMPAI >itb12g18820.t3 pep chromosome:ASM357664v1:12:21086335:21089572:-1 gene:itb12g18820 transcript:itb12g18820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTVDVDLKAGVEVIKDKNGIDQVLLQNCRGASARVSLHGGQVLSWKTDHGEELLFTSSKAIFKPPKAVRGGIPICFPQFGTRGTLEDHGFARNKMWVIDDNPPPLHSNDSNGNSYTDLLFKPCEDDLKVWPHGFEFRLRVGVTSDGSLILISRVRNINCKPFSFSFAYQTYFSVSDISEVRVEGIETLDYLDNLCNKERFTEQGDALTFESEIKLLLSSLSSHSFLLPYRWIEFISALQM >itb05g02600.t1 pep chromosome:ASM357664v1:5:2071519:2083221:-1 gene:itb05g02600 transcript:itb05g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKETLTLSSLGVNPQFITFTNVTMESDKFICVRETAPQNSVVIIDMNMPMQPLRRPITADSAIMCPTSRILALKAQVPGTTQDHLQIFNIEAKQKMKSHQMPEQVVFWKWITPKMLGLVTQTAVYHWPIEGDSEPVKMFDRTANLANNQIINYRCDPTEKWLVLIGIAPGSPERPQLVKGSMQLFSVDQQRSQALEAHAASFASFRVAGNDKDSVLISFATKSSNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISQRYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSVGGFYAVNRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPAQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDMALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQGLVEFFGTLSREWALECMKDLLLINLKGNLQIIVQVAKEYCEQLGLDACIKLFEQFKSYDGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMEADLWDKVLNPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRDINRAVEFAFRVEEDSVWSQVAKAQLRDGLVSDAIESFIRADDATHFLEVIRAAEDADVYHDLVKYLLMVRQKTKEPKVDSELIFAYAKIDRLGDIEEFILMPNVANLPNVGDRLFDEGLYEAAKIIFAFISNWGKLASTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWMELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIIVKVANVELYYKAVHFYLQEHPDLINDLLNVLALKVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQSEAKAKESEEKDIIKQQNMYAQLLPLALPAPPVPGMGGPGVGGGFAPPPMGGMGMPPMPPFGMPPMGSY >itb15g22580.t1 pep chromosome:ASM357664v1:15:25244689:25247438:1 gene:itb15g22580 transcript:itb15g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMWDGVKDTALHKAVRMEHLEVAKLLIEEDPEFEYLANDDGETPIYIAAELQFHGCLEEMLNKCIKPTYVGPLGRNALHAAILSGFGYTKISKSIKILFFEYRTKGSRGEFATECTKSLLKKKICLCEQTDIFGWTPLHYAIKNKNNKAARMILKRKTPATYICAGGNDEWTTAFHIAAKHGKVEMMKEISNRCPDCWEMVNSKGQNILHEAILSKNVNVIRHIEESSDQFENLVTHKDKDGNTPLHLLAFTRRSIRHQFIKEHPMLNYFAFNKKHQTMFDMDIWDGRLISDRTLRSKRLLLHSRKFAHRMIPDPEHGVNTLPTMEKRFEAVMKISKTQVVVATLVLTMTFAAGIAVPGGYHQEKGYPLLLQNTAFKAFIIADTISFLCSFCSIAVYVMLVRKASRYSRRRLEILAILHFWQAGLLTLACYGVVIAFLCAMYATLAPLRPLAIADLILGFFIPIVVNLAYVMTHKLLWVRNKFRLYKARQL >itb02g09860.t2 pep chromosome:ASM357664v1:2:6339004:6342740:-1 gene:itb02g09860 transcript:itb02g09860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 41 [Source:Projected from Arabidopsis thaliana (AT3G14850) UniProtKB/Swiss-Prot;Acc:F4IWA8] MFVGDSLSRNQWQSLTCLLYTSTPATNYNQTRVGDVSIFTFTDYDVKVMLDRTVYLVDVVREEKGRILKLDSIEGGKLWNGIDMLIFNTWHWWNRRGVTQPWDYIKIGDQYYKDMDRVAAFERALLTWASWVEANIDPSKTMVFFQGISPSHYNGTDWNEPGVKNCLGQKLPLSGSTYPGGLPPALTVLKRVLRTIEKPVTLLDVTNLSLLRKDGHPSMYGLGGRTGMDCSHWCLAGVPDTWNEILYNIIL >itb02g09860.t1 pep chromosome:ASM357664v1:2:6338992:6346566:-1 gene:itb02g09860 transcript:itb02g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 41 [Source:Projected from Arabidopsis thaliana (AT3G14850) UniProtKB/Swiss-Prot;Acc:F4IWA8] MILSLYIYTYQHMSTHTQQVQEESREREGCSNFFLSLSKTCCLHSNSISISRKMGVCIGCSFSVVLIGLLLLTILLHKPHNANGAQQQQHPFCDYFQGTWVEDQTYPLYDSSMCPFIEHEFNCLRNGRPDRSYLHYRWQPLDCILTRFDGRAFLEKFKGKSIMFVGDSLSRNQWQSLTCLLYTSTPATNYNQTRVGDVSIFTFTDYDVKVMLDRTVYLVDVVREEKGRILKLDSIEGGKLWNGIDMLIFNTWHWWNRRGVTQPWDYIKIGDQYYKDMDRVAAFERALLTWASWVEANIDPSKTMVFFQGISPSHYNGTDWNEPGVKNCLGQKLPLSGSTYPGGLPPALTVLKRVLRTIEKPVTLLDVTNLSLLRKDGHPSMYGLGGRTGMDCSHWCLAGVPDTWNEILYNIIL >itb02g09860.t4 pep chromosome:ASM357664v1:2:6342348:6346387:-1 gene:itb02g09860 transcript:itb02g09860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 41 [Source:Projected from Arabidopsis thaliana (AT3G14850) UniProtKB/Swiss-Prot;Acc:F4IWA8] MGVCIGCSFSVVLIGLLLLTILLHKPHNANGAQQQQHPFCDYFQGTWVEDQTYPLYDSSMCPFIEHEFNCLRNGRPDRSYLHYRWQPLDCILTRFDGRAFLEKFKGKSIMFVGDSLSRNQWQSLTCLLYTSTPATNYNQTRVGDVSIFTFTVTSIPFNVNLNPFIAIQLGVTISHLRIVTI >itb02g09860.t3 pep chromosome:ASM357664v1:2:6339179:6346387:-1 gene:itb02g09860 transcript:itb02g09860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 41 [Source:Projected from Arabidopsis thaliana (AT3G14850) UniProtKB/Swiss-Prot;Acc:F4IWA8] MGVCIGCSFSVVLIGLLLLTILLHKPHNANGAQQQQHPFCDYFQGTWVEDQTYPLYDSSMCPFIEHEFNCLRNGRPDRSYLHYRWQPLDCILTRFDGRAFLEKFKGKSIMFVGDSLSRNQWQSLTCLLYTSTPATNYNQTRVGDVSIFTFTDYDVKVMLDRTVYLVDVVREEKGRILKLDSIEGGKLWNGIDMLIFNTWHWWNRRGVTQPWDYIKIGDQYYKDMDRVAAFERALLTWASWVEANIDPSKTMVFFQGISPSHYNGTDWNEPGVKNCLGQKLPLSGSTYPGGLPPALTVLKRVLRTIEKPVTLLDVTNLSLLRKDGHPSMYGLGGRTGMDCSHWCLAGVPDTWNEILYNIIL >itb07g02070.t1 pep chromosome:ASM357664v1:7:1228211:1230812:-1 gene:itb07g02070 transcript:itb07g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHKELRQWPQLAFALALCLLASCVAEDSKPYIYASPPPPKHVDPVYYYKSPPPPYYYKSPPPPKKSPPLPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPPKKSPSPPYYYKSPPPPPKKSLPSPYYYKSPPPPKKSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPPKKSPPPPYYYSSPPPPKKSPRPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPKKSPHPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPHHYYYKSPPPPPPHRHY >itb06g06320.t1 pep chromosome:ASM357664v1:6:8967267:8971977:1 gene:itb06g06320 transcript:itb06g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPKRTDPLSGSTNGSDDLRIYQIWKGSNKFFLQGRFIFGPDARSLALTIFLIVAPVAVFCVFVARKLTDDYPDHWGISIMVVAIVFTFYVLVLLLLTSGRDPGIVPRNAHPPEPKDFDGNIEGGGQTPQLRLPRIKEVEVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFGFCWVYIRRIMDAEETTIWKAMIKTPASMVLIAYTFISVWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVIENFMEIFCTSIPPSKNDFRAKVPRDPALPARHTAAGGFVSPNMGKAVEDIEMGRKAVWGDVGADHQEGQLSDNDGVNMKEGGGLGEMSPEIRTTVDEGDRAAMHHHPRRSSWGRKSGSWEMSPEVLALASRVGEPNRMGGSSSGAMRPTDVNKQ >itb03g17710.t1 pep chromosome:ASM357664v1:3:16204294:16206160:-1 gene:itb03g17710 transcript:itb03g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAIPSCHLLGGVQPLRPSPFTNGARSLPLLSKTSKKPSLKSSSSPFKVSPMAAYNVKLISPEGEVKEIQVPEDQYILDAAEEAGIELPYSCRAGSCSSCAGQVVSGTVDQSEGAFLDESQMEKGFALLCISYPRSDCVIHTHKEEEVH >itb01g01130.t1 pep chromosome:ASM357664v1:1:573243:578002:1 gene:itb01g01130 transcript:itb01g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEMEILYNSTALLFAFILSTFLYKFLDWVWVKPRRIQRVLRHQGFSGNSYKPLIGDLVEIGVCTLKANRAGPLDNLSDDISTRILPFLHDAIQRYGKRPIMWFGTTPAVIILEPELIKEMLANYTTFHKPNQTAMLEVAKGLFACEADRWPMNRRIINPAFHMEKLKVMVPAFCESIGELLDKWDNIVKPEGSEVNVWPYVNIFTSDAIARTAFGSNFEEGRNIFSNLAELAMLIHELGPFAFIPQYWVLPTRMKMKIQRKRRQVRGLVREVVLKRMEEVRAGKAAASATDLLGILLESNLEETKNGNMKKGLSIEEVIEECKLFYLGGQETITNFITWSLIFLGKHLEWQQRLRDEIIQVLGVANIQSLDFSKLNQLKIMNMVLNEVLRLYPLAPALHRETREDTKLGNIMLPAGVNILVPLPSLHRNKDLWGDDALEFKPERFKDGIAKASKTLGVYYPFSGGPRTCIGQNYALVETKVALAAILQRFSFQLSPSYKHDPKLILTLQPGSNEANLILRKL >itb09g29600.t2 pep chromosome:ASM357664v1:9:30348539:30351690:-1 gene:itb09g29600 transcript:itb09g29600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKQNHFYSQVGIPPDYNQGLRKGLDEDTSNRSSSGSAVSNSESCAEFGGTEVSDLTGPTQPNVWDTMVPSRKRTCFTRPKPSPVEKLTKDLYTILHEQQSLFLSGSSAEDLLLESDKPMVSVEIGHGSMLIRHPSSIGREEESEASSLSVDNKQLTVNEAYSPLSTPVHNYNKKGAINSQDAGAERVRKPTGLGMELELVKRDKDQFEEFQILGHLDSPLHYIDLKDAKYKSSSSCYEVAGGPSDVGSVNVKRSRDGQDQKPSGAKAVMKSPIRVTIKGAYEHKELVETDGICFSPKHLFALPPDNSSLVLDSFGFATESSDQDLLLDVPSNSSFPQAELLADSQFRCPSDRNF >itb09g29600.t1 pep chromosome:ASM357664v1:9:30348523:30353767:-1 gene:itb09g29600 transcript:itb09g29600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARIDPDDSENYKISRVKIVSNRNKEEKVMKRKQNHFYSQVGIPPDYNQGLRKGLDEDTSNRSSSGSAVSNSESCAEFGGTEVSDLTGPTQPNVWDTMVPSRKRTCFTRPKPSPVEKLTKDLYTILHEQQSLFLSGSSAEDLLLESDKPMVSVEIGHGSMLIRHPSSIGREEESEASSLSVDNKQLTVNEAYSPLSTPVHNYNKKGAINSQDAGAERVRKPTGLGMELELVKRDKDQFEEFQILGHLDSPLHYIDLKDAKYKSSSSCYEVAGGPSDVGSVNVKRSRDGQDQKPSGAKAVMKSPIRVTIKGAYEHKELVETDGICFSPKHLFALPPDNSSLVLDSFGFATESSDQDLLLDVPSNSSFPQAELLADSQFRCPSDRNF >itb09g00240.t1 pep chromosome:ASM357664v1:9:263014:265560:-1 gene:itb09g00240 transcript:itb09g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKSEAARARKSAADSDRKEREAREKEDQYWREAEGAKSRASKKREEEAEKRAEAAAKKAEARRLAEQEEKELEKSLKKPDKKANRVAVPVPKMTEAELRRRREEEQAAIQKRAEEEKKRQSRIAEEEEYERVVLVTNTNRDDSIIEARTVDEALAHMTIADNLPVDKHPEKRLKASFKAFEEAELRRLKEEKPGLTHTQYKDLIWKLWKKSPDNPLNQISE >itb10g20810.t1 pep chromosome:ASM357664v1:10:26239294:26254314:1 gene:itb10g20810 transcript:itb10g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVVDKKTGGNRSLLTAWKSADDPGTGEYAVRLDMKGVPQAIVYKASSPAWRFGPWNGVRWSGIPEMTPNINSYNYYDNDEEVTMWYWTRDPSIYTVVMVNDSGAFSKIIWQQGNDGVMRWVGMWYFPNDDCDRYGHCGAFGVCHPREIDCRCVPGFKPKSSPEWSQGCWRNETEVCRNGEGFLKLENMKIPNTEMAEMNTAIGLKECEELCLNNCSCTAYTSANITDGGMGCIAWYGELIDMREFTSGGQDIYIRVSASDLGPLLKKSKEHHAKRLSLGDFADCCCDSCALLFDHYQYQRSGYMSPEYAMEGHFSVKSDVFSFGVLMLEIVCGKKNKYQRNQNSLNLIGDVWDLWNEERSMEIVDPSLGESYDGQEVLRTRFSSASLHSYLTVECRQQHIENMGRQTSIFTRIVLLTMFLSFLVCLCSSTDTITFNHTLKDGDLLISNDKSYALGFFTPGNSTSGKRYVGIWFQKLPEQMAVWVANRDNPVNGTSGILFIDSTGNLVIQDSKTNVSVWNTSLSFPAPTGIKGYSVQLQETGNLVLYHDHLDRRETQWQSLDYPTNTFLPHMKVGVDKKTGRNRVLTAWKSADDPGTGEYVVRLDPKGIPQAILYKESSPVWRLGPWNGVRWSGVPEMTPNINSYNYTDNDEEVTISCSTNDRSIYTVVMVNDSGAFSKIIWQQGNDGVMRWVGMWFYPNDDCDRYSHCGAFGVCDPYTPGNIDCRCVPGFKPKSSRDWSHGCWRNETEVCHNGEGFLKLENMKIPSTQMAEVNRAIGLKECEELCLNNCSCTAYASANISDGGMGCIAWYGELIDMRELTYGGQDIYVRVSASDLDQLVKKSEEHHGKRRGDSAHCCCDSCALLFDHYKYQRRSFGVLLLEIVSGKKNKHKYKETSLNLIGDVWDFWNEERALEIIDPSLGESYDGQEVLSSTDTITFNHTLKDGDLLISNGKSYALGFFTPGNSTSGKRYVGIWFQKLPEQTAAWVANRDSPVNGTSGILFIDSTGNLVIQDSKTNVSVWNTSLSFPAMGIKGYSVQLQETGNLVLYHDHPDRRETQWQSFDYPSNTFLPHMKFGVVDKKTGRNRCLTAWKSADDPGTGEYVMRLDPKGIPQAILYKASSPAWRLGPWNGLRWSGLPEMTPNINSYNYSENNEEVTMSYSTHDPSIYTVVMVNESGAFSKIIWQQGNDSVMRWVGMWFYPNDDCDRYGHCGAFGICDPYTPGNIDCRCVPGFKPKSSRDWRQGCWRNEAEVCHNGEGFLKLENMKIPSTQMAKLNKAIGLKECEELCLNNCSCTAYASANVSDGGMGCIAWYGELIDMRELTPGGQDIYVRVSASYLDQLVKKSKEHHGKRLIVSVILPIAVVTLVLYCFITTNIREAIKTLSITSQQGIEEFKNEVKLIARLQHRNLVRLLGCCIQQGEKMLVYEYLSNKALDSFIFDNRQGMLLEWKKRFEIILGIAQGLLYLHQDSRLRIVHRDLKASNILLDDSMNPKISDFGMARLFEDEQVEANTNRVVGTYGYMSPEYAMGGDFSVKSDVYSFGVLLLEIVSGKKNKHKYKETSCNLIGDVWDFWNEERALEIVDPSLGASYDDQEVLSSIDTITFNQPLKDGELLISNDSSYALGFFTPGNSIGKRYVGLWYLNIPEMVVVWVANRDHPVNGKSGILFIDSTGNLVIQDNKTGTSVWNTSLSFEPTGTRDYSAQLKDTGNLVLYHHDQERRVDKWQSFDYPTNTILASMKFGVDKKKSLNWFMRSWKSPDDPGTGEYTVGIDLTGKPQAFLYKNSSSRVWRVGPWNGIRWSGVPQMTPEITPYAFTENDDEFSEEYWIRDPLSVYSIVMLNDSGTLNKIMWKGSGTGEKKWDGVWYYPNDDCDYYSHCGSFGICDKAGFSCRCVSGFKPKSNQDWSVEQLEFSVKRSKRKLSSFSHQLKNECYRQLYWEIFPISPSITSFRAGTPTRPPSPARRTRKDAPTRRSLDLQFAQLQQQFRRGIHRRETAMQKI >itb12g20200.t1 pep chromosome:ASM357664v1:12:22678333:22679229:-1 gene:itb12g20200 transcript:itb12g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAGKSGAKSTRSVADARKDRRSATGMSGSPKKGGHGGKFTWSGDGYSQAEMGLAEKKAVDAHDPNFEEPEETVPVADS >itb11g04810.t1 pep chromosome:ASM357664v1:11:2566054:2569431:-1 gene:itb11g04810 transcript:itb11g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRSSMQSNLVRFLDCTTPLVPSQFLAKNEIGSLNRLWHPLEREGFEYFTLGDLWSCYDEWSVYGAGVPVRLDTGETIVQYFVPYLSALQIFTSSASANSLRDESDSSCETRDSFSDSFSDESESEKLSRWDGCSSEEGMPEHDSLLQLNYRLGYQYFQYFERSTPYGRVPLMDKINGLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGKTSKDLSTCFLTFHTLSSSFQDMDLDDDLNGRIRKQKAGESVPLPPFGLASYKLQGDVWISDKSGKDQERLVSLLSVADSWLKQLGVQHHDFNHFMGIRS >itb07g05760.t1 pep chromosome:ASM357664v1:7:3964722:3968064:-1 gene:itb07g05760 transcript:itb07g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASQSDVSVHSTFASRYVRSSLPRFTMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEAECDKLMMEAINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRQWQNKRRAQGKPCDKPNIVTGANVQVCWEKFANYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVKKNNETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKQDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMENCRENAIVLREGLEKTGRFNIVSKDEGVPLVAFSLKENKRHNEFEVSEMLRRFGWIVPAYTMPADAQHVTVLRVVIREDFSRTLAERLVLDIVKVLHELDTLPPRVNPNAPAKTSSLEVQRKTTEVWKKFVMERKAAAAAIC >itb02g06120.t1 pep chromosome:ASM357664v1:2:3795150:3796597:-1 gene:itb02g06120 transcript:itb02g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSILTFFFFLSSQPATVVLSAKCTTATAAKKFEKCTPLPSQDASIAWTFHAHNATLDVAFFGSFISPSGWVGWGINPTSAEMTGTRALIAFPDPNSGQLVLLPYIIDPTVKLQRNPLLSRPLDVHLLSSSAAMYGGRMATVHDGATIQIYASVKLVPNKTKIHFVWNRGVYVQGYSPTIHPTTINDLASVSTIDVLSGSSAEFHGSDFATLKIVHGILNAISWGIMLPVGVVTARYLRHVQSLGPTWFYAHAGIQLSTIVLGTIGFGIGLRLGELSPGRVYGLHRKLGLATFCLGILQTMALLFRPKTTNKFRRYWKSYHHFVGYACVVLGVVNVFQGFEVMGEGRSYGKLAYCLCLSTLAGVCVALEVNSWVIFCRKAKEEQLRREGLMGVSDKFSGGSSTH >itb14g06150.t3 pep chromosome:ASM357664v1:14:5344697:5347632:1 gene:itb14g06150 transcript:itb14g06150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHINESVILEEVMSTYDPDGKENFKINVIFDLVKSILYPITIGDSIDEDEDSDEEDSNGDESDEEDSTDNESDDAKKFNEEGSDSNKRFDDEKEFDSEEVYAKDMELPYQFKKFSFEMSLMCSKNMDPHSCVIYFLKMLSTFSWEGKLLIMLASFSLYFGEFNLVHGHKGLSGKLAILKGCESHLLPLVTHLIKLILHFTEYIVELAQSSSHYSSPIVPIGCYWIVASILTYASYFTSGLMIMHSGCFIGSETQLSSLIIKIKDVISDCRPILEKKREVDSYNALCHAFFDENPIPSTSSNLDVLKLIFNVKKGGKHKPIYDGARKEMVELHVLKNKSLLLLISPSLDIHTYLTSLLDFIQLRAQLHVLWIPILDSSTLWDIKHIKEQYKSFVNKSRLLSVRNVQKSVAPIFVRFVKEKFFPEFQIGGEPIIVSLDHNGRMVHRNAMHMVLMRGVDICQRMSSGIKMGDSITPILHKVLTERVSTVRDLVPGIDGKISEVSNKVDGIINDWFRDIEKQIENPVC >itb14g06150.t4 pep chromosome:ASM357664v1:14:5345255:5347632:1 gene:itb14g06150 transcript:itb14g06150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELPYQFKKFSFEMSLMCSKNMDPHSCVIYFLKMLSTFSWEGKLLIMLASFSLYFGEFNLVHGHKGLSGKLAILKGCESHLLPLVTHLIKLILHFTEYIVELAQSSSHYSSPIVPIGCYWIVASILTYASYFTSGLMIMHSGCFIGSETQLSSLIIKIKDVISDCRPILEKKREVDSYNALCHAFFDENPIPSTSSNLDVLKLIFNVKKGGKHKPIYDGARKEMVELHVLKNKSLLLLISPSLDIHTYLTSLLDFIQLRAQLHVLWIPILDSSTLWDIKHIKEQYKSFVNKSRLLSVRNVQKSVAPIFVRFVKEKFFPEFQIGGEPIIVSLDHNGRMVHRNAMHMVLMRGVDICQRMSSGIKMGDSITPILHKVLTERVSTVRDLVPGIDGKISEVSNKVDGIINDWFRDIEKQIENPVC >itb14g06150.t2 pep chromosome:ASM357664v1:14:5345255:5349173:1 gene:itb14g06150 transcript:itb14g06150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPYQFKKFSFEMSLMCSKNMDPHSCVIYFLKMLSTFSWEGKLLIMLASFSLYFGEFNLVHGHKGLSGKLAILKGCESHLLPLVTHLIKLILHFTEYIVELAQSSSHYSSPIVPIGCYWIVASILTYASYFTSGLMIMHSGCFIGSETQLSSLIIKIKDVISDCRPILEKKREVDSYNALCHAFFDENPIPSTSSNLDVLKLIFNVKKGGKHKPIYDGARKEMVELHVLKNKSLLLLISPSLDIHTYLTSLLDFIQLRAQLHVLWIPILDSSTLWDIKHIKEQYKSFVNKSRLLSVRNVQKSVAPIFVRFVKEKFFPEFQIGGEPIIVSLDHNGRMVHRNAMHMVLMRGVDICQRMSSGIKMGDSITPILHKVLTERVSTVRDLVPGIDGKISEVSNKVDGIINDWFRDIEKQIENPVDGNIFTSKKEKDLWMIETWCTKLVVELGAHKGWVEKNRCIFLIGGHDIQWVKTFESKVKLEYQFNPQSKIKMLYVGSNMKVASKIHEDKYCNVRGDPILSWLFWARLRSKFISRIKFVEETHGDEILGRVKKLLAYEANDLVVNDWAMLCKGNKIVVFDIGDKMLKVMNEYEKWKENAIAKGFDQAFKDYHDEIMLHSTSTSLYHHRCALKYPCNFDHVSENVKCLQCCQGMQKFVTFKCYHDNADEEDSG >itb14g06150.t1 pep chromosome:ASM357664v1:14:5344697:5349173:1 gene:itb14g06150 transcript:itb14g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHINESVILEEVMSTYDPDGKENFKINVIFDLVKSILYPITIGDSIDEDEDSDEEDSNGDESDEEDSTDNESDDAKKFNEEGSDSNKRFDDEKEFDSEEVYAKDMELPYQFKKFSFEMSLMCSKNMDPHSCVIYFLKMLSTFSWEGKLLIMLASFSLYFGEFNLVHGHKGLSGKLAILKGCESHLLPLVTHLIKLILHFTEYIVELAQSSSHYSSPIVPIGCYWIVASILTYASYFTSGLMIMHSGCFIGSETQLSSLIIKIKDVISDCRPILEKKREVDSYNALCHAFFDENPIPSTSSNLDVLKLIFNVKKGGKHKPIYDGARKEMVELHVLKNKSLLLLISPSLDIHTYLTSLLDFIQLRAQLHVLWIPILDSSTLWDIKHIKEQYKSFVNKSRLLSVRNVQKSVAPIFVRFVKEKFFPEFQIGGEPIIVSLDHNGRMVHRNAMHMVLMRGVDICQRMSSGIKMGDSITPILHKVLTERVSTVRDLVPGIDGKISEVSNKVDGIINDWFRDIEKQIENPVDGNIFTSKKEKDLWMIETWCTKLVVELGAHKGWVEKNRCIFLIGGHDIQWVKTFESKVKLEYQFNPQSKIKMLYVGSNMKVASKIHEDKYCNVRGDPILSWLFWARLRSKFISRIKFVEETHGDEILGRVKKLLAYEANDLVVNDWAMLCKGNKIVVFDIGDKMLKVMNEYEKWKENAIAKGFDQAFKDYHDEIMLHSTSTSLYHHRCALKYPCNFDHVSENVKCLQCCQGMQKFVTFKCYHDNADEEDSG >itb12g26040.t1 pep chromosome:ASM357664v1:12:27098401:27101062:1 gene:itb12g26040 transcript:itb12g26040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVDGEKLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEQEGDIEEVDEDKEKEDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGARIHRMLKLGLSIDEEEAGDGDDADMPALEEAGEESKMEEVD >itb08g02300.t1 pep chromosome:ASM357664v1:8:1838622:1842140:-1 gene:itb08g02300 transcript:itb08g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPDNCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQRLGAPFPANFKEVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQELIESIIVPY >itb09g24110.t1 pep chromosome:ASM357664v1:9:23731087:23733662:-1 gene:itb09g24110 transcript:itb09g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEARFRNYLVNLDSQMVYKIISDDPIGRDSANIPVYKVVHSVLDGTMVTFSNDFLCVKKAANRRREEGNSTTTLLHREIFTRNYALPYNHKNLMPVEAHFVNRLTDDLCVVMPFPERGSLRSIVAEKFPYGFPEAFISVALRPVLKALRFLHGAKILHGDVTAGHVYVKSGPQILLGFAATLYEHQVSNPECSSHSALPATQISTWAAAPEAYNNSNGGNGKAADIWLVGITALELAYGGIRVPNREALEVMIRGIIETKRLPKNYQLEGERKEGIKEKGKNKIMEGERGEEERSFSREFEKMVAECVAWNPADRPSARSLLKHEFFSRIKGLKKSALKSHFQHVVIDDENIMENSDFNSSSPSDYSPAVKNRRPKSHISIPGRPDESFDNEFDDEALDLNFNLFPTSLESYCDIVKEVPLKLRFFKTAKRKLFEDDEYMGDDEEERSEKTSVKLRPIRANQTLRIGTERNNSVQTPELFDQNMGDNASQKCNIQ >itb12g02290.t1 pep chromosome:ASM357664v1:12:1508540:1511552:-1 gene:itb12g02290 transcript:itb12g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTLSRDQCFRYSFRNAGLKSSTQDLGDGTIVHCWIPKTPKPQKPTLLLIHGIGANAMWQWNDFISPLASKFNVYVPDLLFFGESYTSRPERTEGFQAQCVMRAMEGHGVKRMSVVGLSYGGFVAYSMAAQFPEAVEKVVLGCAGVCLEEKDMENGMFIVKSVEEAVDILLPQSPQKLKELMRFSFYKPAKIAVPSCFLADFIDVMCTVNRQERKELIEALYKDRKLSDLPKITQPTLIIWGENDQVFPPELAHRLKRHLGDNAQLVMLKNAGHAINLEKPKQLYSHLKAFLMDSCSPPQQDGNNRNTE >itb12g02290.t2 pep chromosome:ASM357664v1:12:1508554:1511552:-1 gene:itb12g02290 transcript:itb12g02290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTLSRDQCFRYSFRNAGLKSSTQDLGDGTIVHCWIPKTPKPQKPTLLLIHGIGANAMWQWNDFISPLASKFNVYVPDLLFFGESYTSRPERTEGFQAQCVMRAMEGHGVKRMSVVGLSYGGFVAYSMAAQFPEAVEKVVLGCAGVCLEEKDMENGMFIVKSVEEAVDILLPQSPQKLKELMRFSFYKPAKIAVPSCFLADFIDVMCTVNRQERKELIEALYKDRKLSDLPKITQPTLIIWGENDQAFGRQRAASDAQERGACNQSGETEATVQPFESVSYGFLLSSPAGWQQQEHRVEA >itb08g05650.t1 pep chromosome:ASM357664v1:8:4655884:4656605:-1 gene:itb08g05650 transcript:itb08g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGLARSIGLNETGANTNRVAGTLGYMSPEYAGHGVFSIKSDVFSFGVLILEIVSGKRNRDFSHHQDHYENLLGHAWKLYRDRRLIELVDEHLVAPCDLPQVLRSIHVGLLCVQHYPEDRPGTSSIVHMLANNVELPIAKEPGFFTKTKVNDETKSSLGVEISCSINEVTISSFSPR >itb13g20000.t1 pep chromosome:ASM357664v1:13:26874714:26877928:1 gene:itb13g20000 transcript:itb13g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADNKVMNVGLLLIATLVAAKLIAALILPRSKKRLPPTVKAWPVLGGLVRFLKGPIVMLREEYAKMGSVFTLNLVNKNITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKGYVDQMVMEAEEYFSKWGETGEVDLKYELEHLIILTASRCLLGEEVRNQLFDDVSALFHDLDNGMQPISVLFPYLPIPAHRRRDRARKKLAEIFTNIISSRKRTGKAENDMLQCFIDSKYKDGRQTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLCNDKYMSSVVDEQKNLMRKHGNKVDHDILSEMEVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTKEGINYDIPKGHIVATSPAFANRLPHIYREPNTYDPDRFSPERDEDKAAGPFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPEIDWNAMVVGVKGKVMVRYKRRKLSIE >itb13g20000.t2 pep chromosome:ASM357664v1:13:26874714:26877928:1 gene:itb13g20000 transcript:itb13g20000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADNKVMNVGLLLIATLVAAKLIAALILPRSKKRLPPTVKAWPVLGGLVRFLKGPIVMLREEYAKMGSVFTLNLVNKNITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKGYVDQMVMEAEEYFSKWGETGEVDLKYELEHLIILTASRCLLGEEVRNQLFDDVSALFHDLDNGMQPISVLFPYLPIPAHRRRDRARKKLAEIFTNIISSRKRTGKAENDMLQCFIDSKYKDGRQTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLCNDKYMSSVVDEQKNLMRKHGNKVDHDILSEMEVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTKEGINYDIPKGHIVATSPAFANRLPHIYREPNTYDPDRFSPERDEDKAAGPFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPEIDWNAMVVGVKGKVMVRYKRRKLSIE >itb10g13450.t1 pep chromosome:ASM357664v1:10:19689034:19689486:-1 gene:itb10g13450 transcript:itb10g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPIIVYLSVNSQDELARFGLSDEDIFAGKVSDKWREFMKEQIRRARFYFNLAEEGASHLDKASHLPVWASLMLYRKILGAIEENEYDNLTKRAYVGRLGKLVTLPLAYSRAQSLPSLAF >itb04g03580.t1 pep chromosome:ASM357664v1:4:2203320:2206220:-1 gene:itb04g03580 transcript:itb04g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKPKLMTAPSISTGIFPSSIAVSKKIHLSPATPFPGNNSSKTKIASVAPRMSLTPPSAPLQSECSLPVDDPLEAILFDIDGTLCDSDPIHYYAFREMLQEIGFNGGEPITEEFFIKNISGMHNDELCHVLFPDWDFPRAMQFMEDKEAMFRRLASEQLKPVKGLDKLCEWMKERGLRRAAVTNAPRPNAELIISMLGLADFFEKLVIGSECDRAKPFPDPYLTALRGLGVSNKHAFVFEDSISGIKAGVAAGMPVVGLSLRNPESLLSETGATLVIKDFDDSKLWAALDQLDTKTKT >itb07g10340.t1 pep chromosome:ASM357664v1:7:11361481:11362185:-1 gene:itb07g10340 transcript:itb07g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITDLSSDFFTLFSIAFPSKAIDRKWQPFPSPHCIFILQTLKPHQTPQNSTNTQPSNPTMAFLSHPQETHFYSLSQIPSPFPPIHPASETPLSLLLRTPPDKIPLHWHSGRDGRSPTHRAGYEDPESFTMKIGSMFVLDGVNLSDSIGVNETCLTVTEVDTQLSKFTVGLALETLRKTSEHRSLVNLERAFVQGHVDKTRVILGLDPEGDSLWVKVKMTKELIKYMVDVFDDE >itb14g01790.t1 pep chromosome:ASM357664v1:14:1455262:1458901:1 gene:itb14g01790 transcript:itb14g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGAGVRLKGWQQAAVALGSAVGALLDPRRADLIAALGETTGKPAFERVLQRMKNSPEGRAILLERPRVISSKVSHAWDLPDNTFGAAYAKFMGSRNFSPDDRPPVRFMETEELAYVAMRAREVHDFWHTLFGLPTNLIGETALKVIEFEQMMLPMCMMSVVGGTARFSEKQRRLFYQHYFPWAMKAGLRCTDLMCVYYEQHFSEDLEDFRQRLGIIPAPPPPRPNYM >itb03g25200.t1 pep chromosome:ASM357664v1:3:24124570:24128081:-1 gene:itb03g25200 transcript:itb03g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLQCRGIAKRFLSIVGSSTRNTAPSPSLISARRAVHVSVYDKNPEEHVHYPSIVPDEVIENQSAKYWTPHPQTGVFGPPTNHTLTATDFHTGVAHSVLELKAFFRPLEDLEKPPHSAF >itb02g15240.t1 pep chromosome:ASM357664v1:2:11083084:11089024:1 gene:itb02g15240 transcript:itb02g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMLVSLSSLPFSLSYEGDCPLFNPIFLRSISASLHLLLLLLIVLVWMFKKIVNTQNYTKQKDHKNARMLCYKQTISSCLSLSLFNLVLCLLTQFYWYSSGWSEEKILTFSDFLIKAVSWLVISVVLHTQFLNSVENKYPLVLRAWWGCFFALSCYCLVVDIFYHKKNKTLSAQFWVSDLVSTVMGLFFCSVGFSGKKEAVGDLLKEPLLNGGEVKLPSGNESVTPYFSASLFSTLTYSWMNPLISLGTKRILNPEDIPQLAGLDSIRGIFPILRDKLGSSSEGGRKLTTFMLAKALIFTVWKEIMLSAIYILLYKLPTYVEAYLINNLVQFLNGSREFRNEGYLLVSAFLIAKLVESVAETQYFFKVRQAGFRVRAALVAKVYNKGLTLSCQSRQSHTSGEIINFMTVDAERIADFVWYIHDPWIILVQIGLALVILYENLGGASIVALLSTVIVMLANFPLAKLQERYQEKLMKSKDSRMKATSEVLRNMRILKLQAWEMKFLSKILGIRNTEVGWLRKFGYISALTTFVNWVAPSFVSVATFGAAVLMGVPLESGKVLSSLATFTTLKQPIYRIPDAISMIAQIKVSLDRIASFLSLDDLQPSAIEKLPRGSSDVAIDIVEGNFCWNETSSSPLLKDINVRVYHGMKVAICGTVASGKSSLLSCILGEMPKISGSVKLCGSKAYVPQSPWIQSGTIEENILFGMKMDSEKYDRVLEACSLKKDLEVLPFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGTHLFNECILRLLDSKTVIYVTHQVEFLPAADLILVMKDGRISQAGKYNDILKLESEFMELVGAHNEAISATDSFEERIVTVRNESGGMLTTNDAINEEATDGKIDSSVRPKGQLVQEEEREKGRVGFLVYWQYITMAFGGFLVPFILLAQIIFQTLQIGSNYWMTWATPVSEGETPPVGSSTLLLVYVAFAIGSSFCVLVRALLLVTTGFKTATLLFHKMHLCIFRAPMSFFDATPSGRILNRASTDQSVVDLNIPSQIGSFAFTIIDTLGIIAIMSLVSWQVFVFFIPVIAICVYYQRQYLPSARELARLAGVAKAPVIQHFAETLSGATTIRGFDQESRFCDTNMKLIDNYSRPRFHVYGAMQWICFRLNVLSVITFAFTLIFFVSVPVGTIDANFAVLAVTYGINLNSELIWIALCFCIVENSIISVERIIQYTCMSSEPPLVTESNRLDACWPPNGRVEISNLKVRYAPQLPLVLRGITCTFLGGKKTGVVGRTGSGKSTLIQTLFRVVEPADGEIVIDGINISSIGLHDLRARLSIIPQDPTMFEGTIRGNLDPLEEYTDEQIWEALDKCQLGSEVRKKEAGLDSAVTENGENWSVGQRQLVCLGRVLLKKSKILVLDEATASVDTMTDNLIQQTLRQHFSDSTVITIAHRITSVLDSDMVLLLDNGVVAENDTPANLLDNKSSLFAKLVAEYTMRSSSSGFEKLS >itb02g15240.t3 pep chromosome:ASM357664v1:2:11083084:11088761:1 gene:itb02g15240 transcript:itb02g15240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMLVSLSSLPFSLSYEGDCPLFNPIFLRSISASLHLLLLLLIVLVWMFKKIVNTQNYTKQKDHKNARMLCYKQTISSCLSLSLFNLVLCLLTQFYWYSSGWSEEKILTFSDFLIKAVSWLVISVVLHTQFLNSVENKYPLVLRAWWGCFFALSCYCLVVDIFYHKKNKTLSAQFWVSDLVSTVMGLFFCSVGFSGKKEAVGDLLKEPLLNGGEVKLPSGNESVTPYFSASLFSTLTYSWMNPLISLGTKRILNPEDIPQLAGLDSIRGIFPILRDKLGSSSEGGRKLTTFMLAKALIFTVWKEIMLSAIYILLYKLPTYVEAYLINNLVQFLNGSREFRNEGYLLVSAFLIAKLVESVAETQYFFKVRQAGFRVRAALVAKVYNKGLTLSCQSRQSHTSGEIINFMTVDAERIADFVWYIHDPWIILVQIGLALVILYENLGGASIVALLSTVIVMLANFPLAKLQERYQEKLMKSKDSRMKATSEVLRNMRILKLQAWEMKFLSKILGIRNTEVGWLRKFGYISALTTFVNWVAPSFVSVATFGAAVLMGVPLESGKVLSSLATFTTLKQPIYRIPDAISMIAQIKVSLDRIASFLSLDDLQPSAIEKLPRGSSDVAIDIVEGNFCWNETSSSPLLKDINVRVYHGMKVAICGTVASGKSSLLSCILGEMPKISGSVKLCGSKAYVPQSPWIQSGTIEENILFGMKMDSEKYDRVLEACSLKKDLEVLPFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGTHLFNECILRLLDSKTVIYVTHQVEFLPAADLILVMKDGRISQAGKYNDILKLESEFMELVGAHNEAISATDSFEERIVTVRNESGGMLTTNDAINEEATDGKIDSSVRPKGQLVQEEEREKGRVGFLVYWQYITMAFGGFLVPFILLAQIIFQTLQIGSNYWMTWATPVSEGETPPVGSSTLLLVYVAFAIGSSFCVLVRALLLVTTGFKTATLLFHKMHLCIFRAPMSFFDATPSGRILNRASTDQSVVDLNIPSQIGSFAFTIIDTLGIIAIMSLVSWQVFVFFIPVIAICVYYQRQYLPSARELARLAGVAKAPVIQHFAETLSGATTIRGFDQESRFCDTNMKLIDNYSRPRFHVYGAMQWICFRLNVLSVITFAFTLIFFVSVPVGTIDANFAVLAVTYGINLNSELIWIALCFCIVENSIISVERIIQYTCMSSEPPLVTESNRLDACWPPNGRVEISNLKVRYAPQLPLVLRGITCTFLGGKKTGVVGRTGSGKSTLIQTLFRVVEPADGEIVIDGINISSIGLHDLRARLSIIPQDPTMFEGTIRGNLDPLEEYTDEQIWEALDKCQLGSEVRKKEAGLDSAVTENGENWSVGQRQLVCLGRVLLKKSKILVLDEATASVDTMTDNLIQQTLRQHFSDSTVITIAHRITSVLDSDMVLLLDNG >itb02g15240.t2 pep chromosome:ASM357664v1:2:11083084:11089024:1 gene:itb02g15240 transcript:itb02g15240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMLVSLSSLPFSLSYEGDCPLFNPIFLRSISASLHLLLLLLIVLVWMFKKIVNTQNYTKQKDHKNARMLCYKQTISSCLSLSLFNLVLCLLTQFYWYSSGWSEEKILTFSDFLIKAVSWLVISVVLHTQFLNSVENKYPLVLRAWWGCFFALSCYCLVVDIFYHKKNKTLSAQFWVSDLVSTVMGLFFCSVGFSGKKEAVGDLLKEPLLNGGEVKLPSGNESVTPYFSASLFSTLTYSWMNPLISLGTKRILNPEDIPQLAGLDSIRGIFPILRDKLGSSSEGGRKLTTFMLAKALIFTVWKEIMLSAIYILLYKLPTYVEAYLINNLVQFLNGSREFRNEGYLLVSAFLIAKLVESVAETQYFFKVRQAGFRVRAALVAKVYNKGLTLSCQSRQSHTSGEIINFMTVDAERIADFVWYIHDPWIILVQIGLALVILYENLGGASIVALLSTVIVMLANFPLAKLQERYQEKLMKSKDSRMKATSEVLRNMRILKLQAWEMKFLSKILGIRNTEVGWLRKFGYISALTTFVNWVAPSFVSVATFGAAVLMGVPLESGKVLSSLATFTTLKQPIYRIPDAISMIAQIKVSLDRIASFLSLDDLQPSAIEKLPRGSSDVAIDIVEGNFCWNETSSSPLLKDINVRVYHGMKVAICGTVASGKSSLLSCILGEMPKISGSVKLCGSKAYVPQSPWIQSGTIEENILFGMKMDSEKYDRVLEACSLKKDLEVLPFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGTHLFNECILRLLDSKTVIYVTHQVEFLPAADLILVMKDGRISQAGKYNDILKLESEFMELVGAHNEAISATDSFEERIVTVRNESGGMLTTNDAINEEATDGKIDSSVRPKGQLVQEEEREKGRVGFLVYWQYITMAFGGFLVPFILLAQIIFQTLQIGSNYWMTWATPVSEGETPPVGSSTLLLVYVAFAIGSSFCVLVRALLLVTTGFKTATLLFHKMHLCIFRAPMSFFDATPSGRILNRASTDQSVVDLNIPSQIGSFAFTIIDTLGIIAIMSLVSWQVFVFFIPVIAICVYYQRQYLPSARELARLAGVAKAPVIQHFAETLSGATTIRGFDQESRFCDTNMKLIDNYSRPRFHVYGAMQWICFRLNVLSVITFAFTLIFFVSVPVGTIDAKNSIISVERIIQYTCMSSEPPLVTESNRLDACWPPNGRVEISNLKVRYAPQLPLVLRGITCTFLGGKKTGVVGRTGSGKSTLIQTLFRVVEPADGEIVIDGINISSIGLHDLRARLSIIPQDPTMFEGTIRGNLDPLEEYTDEQIWEALDKCQLGSEVRKKEAGLDSAVTENGENWSVGQRQLVCLGRVLLKKSKILVLDEATASVDTMTDNLIQQTLRQHFSDSTVITIAHRITSVLDSDMVLLLDNGVVAENDTPANLLDNKSSLFAKLVAEYTMRSSSSGFEKLS >itb10g02040.t1 pep chromosome:ASM357664v1:10:1668696:1670491:-1 gene:itb10g02040 transcript:itb10g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNLLPEEKWWGGQNLQQINGFWLLPMMIPGIQRAVAEFKPHPNDIILASFPKTGTTWLKSLLYAIINYSSLDSLVKNSPHDLIPFLEVDVYGESSKSNIMSDTNSCDATRMFNTHIPYQFLGETFESSGCRVVYVTRNPKDTLNSLWHFVNKWKMDEQAPWELEEAVEQFCRGVIPGAPYYEHVLGYRMASLKNPGKVFFITYEELKNDTNTHVKRLIEFLGCPFRDDDKKVEEIVKSCSFEILSSHEVNKFEECRPWFPMPNNSFFRQAEVGDHKRYLSEEAIERIDALTREKFHKSGFIYGI >itb03g07360.t1 pep chromosome:ASM357664v1:3:5398890:5401709:-1 gene:itb03g07360 transcript:itb03g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLLFVIIQCFLEVNIICGNCANTQCKGGVGCVCSVSYESTCRVVVELAIPCGEPRERVFEGFTVGFLPRTSEIVHNGMTQFGFEKAYKEFSFSTEQTHVDLYATAVASLSSLVQKASVKVYPEQGLKVTLSESTANGVSPTTLSPTILAIDWRCETARDTPYEVEVTIPITNYDPVQFTLSKMCESSESESGDASRGWAIFGVISCVFIVSSTLCCCGAFIYKTRVQNQYGRNALPGMTILSTCLETLSGGYHRYRGPEDSNGPLVNQDSWERQPPAAQGTWTTTETTYGSF >itb14g12170.t1 pep chromosome:ASM357664v1:14:13785233:13785895:1 gene:itb14g12170 transcript:itb14g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILNPSYLCDELVGEILVRLPTTVVVRFQAVCKRWHSLISSPYFIARFRHLRHQHIQSTSLVFRFAYSCDFSCGSRGCHQCHHSHFQIISNSSNSLLRKVYGESAFRLSYLPCSPTDLQTLRLEGSFADLILCSCITPTNTNTYKTDYYVSNPLTRQWIALPPVAYDVSEYVSIGFVCSECSSNPVAVDTKFMVVRLCSCRYEFTPRSCFKAQVFSSEN >itb03g08980.t1 pep chromosome:ASM357664v1:3:6803576:6804572:-1 gene:itb03g08980 transcript:itb03g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRALSLLIFLICSSSYLEARRLLLPTGNPKAESEEKMILRGQIGSRPPRCQRRCSSCEHCEAIQVPTNPQRKSGDTTPSSSSRISRASTDDNYSSNYKPMSWKCKCGNLIFNP >itb06g04690.t1 pep chromosome:ASM357664v1:6:7481269:7481652:1 gene:itb06g04690 transcript:itb06g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNRRHQLPESITPNQTPSSAHHNKLIYVNHAHAGFQSFTKLSFNYSPTVSLPLSGNGFRRAQSSSSADEFSLAVPLRKFARKPRGSFLETIPSFSLTSSRSHGENEESDEYEEADEEWKAFNLNC >itb05g26590.t1 pep chromosome:ASM357664v1:5:30382602:30386984:1 gene:itb05g26590 transcript:itb05g26590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRKGGLAEEENAAAAAAVSEVLLFTTMCIIGLPVDVHVKDGSIYSGIFHTACVEDSYAIVLKKAMMVKKGTRKANVTSGSVIDTLVILSEDLAQIVAKGITLPADGIPGYVKGDDGGAIADDIPSNEGAEREAKSAKPNESSTDVLLPNRKKDAQDSMKDRPTDNGESHVFQQGKIPLEARGSNPHLNVCETQSNAVENISVRPTLERSLANASPDFPLVSLVKLDQNFEQKNIHERRSIENSQSSATPPSSVLKDGTPIAGVTSKVSLGSSFVQTDLVPPRNSSCNKAAKESKLNPGAKIFRPSLGHHRAVNPPTVPTMAYAPDSCPVLPVATPEPEVEISPFVPRSSVPIKFVQCNNMVAGNGNIDVQYSQPAMGYVGNRTAPTRYASQYHQLQTGTGYVHPNSENVMVGRLGPVLYVHPVSHGIMQSGSAFSQVSSCPLLNPHQAHLPKHQGNATTQTLPVCMTPPFIAGAQQTYTIPSQIPISHPSFPVLRPMQVPGSNGFFSPKFA >itb05g26590.t3 pep chromosome:ASM357664v1:5:30382602:30386862:1 gene:itb05g26590 transcript:itb05g26590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRKGGLAEEENAAAAAAVSEVLLFTTMCIIGLPVDVHVKDGSIYSGIFHTACVEDSYAIVLKKAMMVKKGTRKANVTSGSVIDTLVILSEDLAQIVAKGITLPADGIPGYVKGDDGGAIADDIPSNEGAEREAKSAKPNESSTDVLLPNRKKDAQDSMKDRPTDNGESHVFQQGKIPLEARGSNPHLNVCETQSNAVENISVRPTLERSLANASPDFPLVSLVKLDQNFEQKNIHERRSIENSQSSATPPSSVLKDGTPIAGVTSKVSLGSSFVQTDLVPPRNSSCNKAAKESKLNPGAKIFRPSLGHHRAVNPPTVPTMAYAPDSCPVLPVATPEPEVEISPFVPRSSVPIKFVQCNNMVAGNGNIDVQYSQPAMGYVGNRTAPTRYASQYHQLQTGTGYVHPNSENVCNRSH >itb05g26590.t2 pep chromosome:ASM357664v1:5:30382602:30386859:1 gene:itb05g26590 transcript:itb05g26590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMMVKKGTRKANVTSGSVIDTLVILSEDLAQIVAKGITLPADGIPGYVKGDDGGAIADDIPSNEGAEREAKSAKPNESSTDVLLPNRKKDAQDSMKDRPTDNGESHVFQQGKIPLEARGSNPHLNVCETQSNAVENISVRPTLERSLANASPDFPLVSLVKLDQNFEQKNIHERRSIENSQSSATPPSSVLKDGTPIAGVTSKVSLGSSFVQTDLVPPRNSSCNKAAKESKLNPGAKIFRPSLGHHRAVNPPTVPTMAYAPDSCPVLPVATPEPEVEISPFVPRSSVPIKFVQCNNMVAGNGNIDVQYSQPAMGYVGNRTAPTRYASQYHQLQTGTGYVHPNSENVMVGRLGPVLYVHPVSHGIMQSGSAFSQVSSCPLLNPHQAHLPKHQGNATTQTLPVCMTPPFIAGAQQTYTIPSQIPISHPSFPVLRPMQVPGSNGFFSPKFA >itb02g11810.t1 pep chromosome:ASM357664v1:2:7875825:7879456:1 gene:itb02g11810 transcript:itb02g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPRFLITILAISLFLAPHGRASKLPFRPTDIAPLLLPQLSLPIINSLDNAENLLPSFVGAASTSNRRTVEWRGSCFRRNSAWLELNNNSKSAFGGGTLHIKVSKARSWTCLDIYVFATPYRVTWNYFMLSGDHKVNIDEWASEAELEYVQNTGVSIFLMPSKMLVTLNALYEVFPLFTNTGWGEKANINFLKRHMKASFEERSKPWVARISTDDIHSGDFLAISKIRGRWGGFETLEKWVTGSFAGHTAVCLRDPQGELWVAESGHDNSKGEEYIALIPWHEWWEYALRKDDSDPHIALLPLRADLRAKFNETAAWEYATSMDGEPFGYHNLIFSWIDTIDQNYPKPLDAHLMACAMTIWSHVQPAYAANLWNEALNKRLGTKGLDLPEILVEVERRGSSFGELLAIPEQDDWVYSNGKSRSCVAFVLQIYKKAGLFGRLARSIQFTEFTVKDAYSLKIFEDDTRRLPLWCSEGDTEQLPFCQIRGKYKMELPRYNTIEPYAHMNERCPSEPPKYSRPERC >itb09g02190.t1 pep chromosome:ASM357664v1:9:1308465:1308767:1 gene:itb09g02190 transcript:itb09g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWPVCAEQFVNEKLMTDILRTGIGVGSKEWKRTDSDGVKREAIAEAIKKVMISEESEEMRTRAKAMKDKAKKAIEEGESSYLGLSSLLDELRAYHAKH >itb14g07980.t1 pep chromosome:ASM357664v1:14:7368494:7372854:1 gene:itb14g07980 transcript:itb14g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT1G08350) UniProtKB/TrEMBL;Acc:A0A178WGW9] MCHPVAARLLLILLTVVVSATASPNDHRYNVGDEVPLYVNKVGPLNNPSETYEYYDLPFCSPGQVIPKQESIGEVLNGDRLANTLYELKFAVNKSNVVLCHKKLSRDEVANFRNAIINDFYFQMYYDDLPFWGFIGKVEDENWTLDGKRPMYFLFNHVQFDVLYNDNQVTEIRAFSDPNHAVDITEDVELDVEFTYSAFWNEEAPTQHKSRTARYSKASLLPLQQKIHWFSFINSIVIIVLLTGFFVMVLMQRLKNDLRKFSGGDEEEDKEVGWKYLHGDVFRCPSNMPLFCAILGAGTQLLTLICFLFVLSFLGVLYPYNRGALSTSLVIIYTLTSAIAGFTSASFYSKFVETGWERSVILAAMLFLVPFLLQGFILNLVAASFKATLALPFGTILVILLIYALIAIPLLTLGGVVGYRCRSEFQAPSAQKKSPREIPSLAWYQKTPGQMFLAGLLPFSAIVLELHHLYTSIWGHKIFTLPGVLFVMFVILILLTLILSIGLTYFQLTAEDHEWWWRSIFRGGSTTAFMFAYSIYFYYKSNMSGFLQTSFFFGYTASMCYAFFIVLATISFLASFMFVCHIYRAVKSE >itb15g07160.t1 pep chromosome:ASM357664v1:15:4848882:4851921:-1 gene:itb15g07160 transcript:itb15g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPVLQCRLFFFFFISSCLLLLQIISVGRVAAQAQAQCLSHQKRLLLQLRDSLDYYSDSSNIELWNRNPDCCVWPGVECDISGHVITLILDNEGIVVDYIHNLHTLFRLQYLETLNLAFNSFNFIPFPVQIYNLTNLTYLNLSNAGFQGQIPNGISRLTRLVTLDLSTDLFNLQIPNLNQFLENSTQLRQLYLHGVDLSSTVVDSKFLANFSNLNTLSLNHCNLSGSFPREIFLIHGLQELNLESNVDLSGDFASFPENGSLRMISVGGTQFSGSLPPSISNLSNLFMIDLSSCNFSGSIPSTMAQLTSLTYVDFSHNKFTGPLSSKHFEGFSKVVFISLGSNYLSGKIPPSLFSLPSLKRLHLSYNSFDGFVDEYVNVSTSQLKKLDLSSNRLNESSFPKYFFEFPKLSELLLSSNSISGRIQSSLFSLPSLQALDLSDNLFDGLVDEYVNASAVSQLERLDLSSNRLNKSFPKYFFEFPNLSRLLLSSNSLGEWIMFEGLQKLGLEILDLSDNGIRGEIPSWIWGVGNGTLHHLDLSCNFLDGFEKPYTLPRCLTMLDLHSNQLRGPLPNAPVPRSGFNIDPTIYLDYSNNFFNGSIPFDLESRTLVATFLSLSNNSFTGIIPESICNASYLKVLDLSNNKLSGMLPSCLFNIVGTLEVLNLGENQISGNIPNSFPRTCVLQTLDLSRNSIQGKIPKSLVKCSSLEVLNVGRNKIVDTFPCPLTKLSSLRVIVLESNGFYGDLHCVNANHMWPNLQIIDVASNNFIGELSPELLNWKGMTIEEDPAQSRFNIRGVPVDAFA >itb04g05660.t1 pep chromosome:ASM357664v1:4:3675538:3682895:1 gene:itb04g05660 transcript:itb04g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAESLLVSAAINIGLAIFILSLFSILKNQPFYASVYYARRLHLRQNPFASPTPSALHRLLPSLDWIRRAVRVSEDEILETHGLDVLVFIRFFKFGINFFVVCSLIGMVVLLPLNYTGSSVRPKSYHSMDAFAISNISSGSNRLWVHFSVLCFVSCYALYLLYKECSYILLKRIQQLRNKRQYPNQFTILVRRIPFCQEHKVRGCCIDHFFTKHHPYSYQSYQILYDGKELENLVNKAKSAAKRIEDLKVKQSTNKRSGKSFLSGACRKKDKIEKLEQMLQELHSKIRHVRRKMMLQEKELPVAFVTFRSRWGAVIAAQSQQHSNPLLWITEMAPEPRDVLWRNLAIPYRILPLYEIVILVAVVFLTLFFAIPVTAVQGIAKYERLSKWFPPVKALELIPGLKSVVTGYLPSAILNGFIYIIPFAMIGLSRLAGYITRSKKDMKACKMVFYFLVGNVFFLSLLSGSLLDQIGQSFSQPKYIPSRLASAVSAQADFFMTYILTNGLSGFSLEILQPGLLIWDAIKPSTWDRGKERNPYLYSLPYYRIIPFVALCTLIGIVYAVVSPLLLPFLVGYFLLGYVVVTNQIEDVYITSYETHGQFWPCIHHYIIVALVIMQITMIGLFGLKSKPSASFATIPLMILTLFFNEYCKIRFYPSFRHLSVQDATTNDELDQKDGVMEENVRNALDAYSPPCLLPMGFEVPESGSMALSGSTQPLLP >itb11g15120.t1 pep chromosome:ASM357664v1:11:12490539:12491306:1 gene:itb11g15120 transcript:itb11g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSIFGTRRSNIFDPFSLDLWDPYQGFPFSSAVANAPGASAGDASAFANARIDWKETPEAHIFKADLPGLKKEEVKVEVEEGRVLQISGERSREQEEKNDKWHRVERSSGKFLRRFRLPENAKMDEVKASMENGVLTVTVPKVEVKKPEIKAIDISA >itb11g14040.t1 pep chromosome:ASM357664v1:11:11094834:11097777:1 gene:itb11g14040 transcript:itb11g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSFGGGQSSLGYLFGSGEPPKPTINNAEAPLNQGTAVSAGTPQKSVAPAPAPPADATKQIPAGVPGSKTNNYFRADGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGSSSK >itb07g19390.t1 pep chromosome:ASM357664v1:7:23784136:23784743:-1 gene:itb07g19390 transcript:itb07g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAIVFTRQRQTAALGDDGSGLSGDLSAFSRRSPARSETALAVSTASGPSQRWNLCRICVSGDSNPGVMAPTLFVGGTAQPPRRRCCSAASSGSTPASLLFFDC >itb12g19450.t1 pep chromosome:ASM357664v1:12:21850564:21856810:1 gene:itb12g19450 transcript:itb12g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G11400) UniProtKB/Swiss-Prot;Acc:Q9LDD4] MEACSHLRDETTLDEDEIDHTSNWGNEFSLRHTVEDWVFDGCKKKLRCFFDQILGVFLKDVSKNRCFRPLPVMNGNGQEVNLFQLYSLVRRIGGYDAVSRENFWGFVAEECGFSFGDIAFLKLTYIKYLNEFDQWIRQHWRESRLEKVESGLVRRLELLSRELEMKFRSFSYEGIDVRKKSKKSCFLKNGDNGGMYPDKGQVDLDLLPVKNVDNICSNAKERVITVAQNNWDMNKDGGEVLFDVGIASVSDYVESSKKLAVKNVDKNKVHNNTKLIVPSAKGKNCCVVFDREQPIIDGKNDTDYAASAKKIVQKVLNAVPEFSQRQIDDDKKCFTKDSAVVTSAKNLIERVINKMHYFPEEVEKPAKDVEEIHTWKRKRGPSCFSGMLDWVTNAAKYSDNPEIGKIPDCSMWRDHSSNEFWVQVLLIREVLLNKKHFRSNSEESIPQKKLKMHPSMYDDDKPNHLSAEKTRCSKRILSLTKHDSCPCCHSCSATDNKAVSPQKGETEMCPKEPEPMRIELPATETASGISDEESTGPEVSVGPLYQAEVPEWTGVICESDSKWLGTRMWPPEETKKTIIESDRIGKGRRGRCDCKTPSSVQCIRFHTAENRHKLKLELGRLFHQWRFDRMGEEVSLSWTSKEEDKFKSLIGLHAATPNKFWNNAAKIFPSKTKAMLVSYYFNVFLIKRRSYQNRVTPNDIDSDDDEKEFGSVGGSLGHEAIHVRGSMPLLCTENKVCINLE >itb12g19450.t2 pep chromosome:ASM357664v1:12:21850637:21856621:1 gene:itb12g19450 transcript:itb12g19450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G11400) UniProtKB/Swiss-Prot;Acc:Q9LDD4] MEACSHLRDETTLDEDEIDHTSNWGNEFSLRHTVEDWVFDGCKKKLRCFFDQILGVFLKDVSKNRCFRPLPVMNGNGQEVNLFQLYSLVRRIGGYDAVSRENFWGFVAEECGFSFGDIAFLKLTYIKYLNEFDQWIRQHWRESRLEKVESGLVRRLELLSRELEMKFRSFSYEGIDVRKKSKKSCFLKNGDNGGMYPDKGQVDLDLLPVKNVDNICSNAKERVITVAQNNWDMNKDGGEVLFDVGIASVSDYVESSKKLAVKNVDKNKVHNNTKLIVPSAKGKNCCVVFDREQPIIDGKNDTDYAASAKKIVQKVLNAVPEFSQRQIDDDKKCFTKDSAVVTSAKNLIERVINKMHYFPEEVEKPAKDVEEIHTWKRKRGPSCFSGMLDWVTNAAKYSDNPEIGKIPDCSMWRDHSSNEFWVQVLLIREVLLNKKHFRSNSEESIPQKKLKMHPSMYDDDKPNHLSAEKTRCSKRILSLTKHDSCPCCHSCSATDNKAVSPQKGETEMCPKEPEPMRIELPATETASGISDEESTGPEVSVGPLYQAEVPEWTGVICESDSKWLGTRMWPPEETKKTIIESDRIGKGRRGRCDCKTPSSVQCIRFHTAENRHKLKLELGRLFHQWRFDRMGEEVSLSWTSKEEDKFKSLIGLHAATPNKFWNNAAKIFPSKTKAMLVSYYFNVFLIKRRSYQNRVTPNDIDSDDDEKEFGSVGGSLGHEAIHVRGSMPLLCTENKKMTVASTCWEF >itb09g17390.t5 pep chromosome:ASM357664v1:9:12603028:12608750:-1 gene:itb09g17390 transcript:itb09g17390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINLEAFTWEYDVFLSFRGEDTRKNFTDHLYFALCQNRIRTFRDEEELKKGEYLAPELTRAIQSSRISMIVFSKDYASSRWCLDELEQIVECKETRKQIIFPIFYDVDPSEVRKQSGNYGLALAKHEERFEGSNKVHKWRCALTKVANMSGWDLQGMANGYQSKFIDGIIQEVLLIVSQVPMFVEKHVVGLELHVNRLVKLMYGTHDDNNVRMIGIYGMAGIGKTLLAQTLYNKFFGYFKWSCFLEISSGISEIKRFQEEFLSKLLRRKIEVGSEGEGKALIKHWLQVKKCLIVLDNLEHLNQFEALCGERDWFGKGSRLILTTRDAHVFKELKEDEHYKVGPLCHNDSLELFSLHAFSGRLKPKEDYAKVLDGIVAYCAGLPLALKVLGAYLSDKSIEEWISAFDKLKEIPNNDVQAKLKISYDGLPDDRIKSLFLDLVCFSREISKETIDAMGYFSTIEIRNLVDKCLIDYCQWDGIGLHSLVREMGREIIRLESPEKPCERSRLWCPNDIHDVLIGQKGSEKIEVIVFNDSSTKDMKYNTKAFKNMENLRFLHIDGVYIDGKFKHLSKVLKYLRWTQCPLKYITIPLNCFFEKLVSLEMVESNIKEFKAPLKYFPHLESLNLNSCEHLTSTPNFSGAQNLRKLTFVGCYNLVKVHSSIGDLGRLLYLDFSCCDKLKKLPNSLCKLSSLQHLVAAYALKLKELPEDFGNLTSLQDLYLFHTNIPRLPSNLSHLFRLESICLNYCESLEIIQELPPNIRDIELRNCKNLKTISQLPPNLESITLLCCNNLKMLPKLPHKLRDLDAKNCESMEIVPNLSNCTELKKLYLSGCSRLQEIQGWENLHLRQMQLIRVPHINYFSESIKKVRLHQLHIKA >itb09g17390.t2 pep chromosome:ASM357664v1:9:12603010:12608750:-1 gene:itb09g17390 transcript:itb09g17390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINLEAFTWEYDVFLSFRGEDTRKNFTDHLYFALCQNRIRTFRDEEELKKGEYLAPELTRAIQSSRISMIVFSKDYASSRWCLDELEQIVECKETRKQIIFPIFYDVDPSEVRKQSGNYGLALAKHEERFEGSNKVHKWRCALTKVANMSGWDLQGMANGYQSKFIDGIIQEVLLIVSQVPMFVEKHVVGLELHVNRLVKLMYGTHDDNNVRMIGIYGMAGIGKTLLAQTLYNKFFGYFKWSCFLEISSGISEIKRFQEEFLSKLLRRKIEVGSEGEGKALIKHWLQVKKCLIVLDNLEHLNQFEALCGERDWFGKGSRLILTTRDAHVFKELKEDEHYKVGPLCHNDSLELFSLHAFSGRLKPKEDYAKVLDGIVAYCAGLPLALKVLGAYLSDKSIEEWISAFDKLKEIPNNDVQAKLKISYDGLPDDRIKSLFLDLVCFSREISKETIDAMGYFSTIEIRNLVDKCLIDYCQWDGIGLHSLVREMGREIIRLESPEKPCERSRLWCPNDIHDVLIGQKGSEKIEVIVFNDSSTKDMKYNTKAFKNMENLRFLHIDGVYIDGKFKHLSKVLKYLRWTQCPLKYITIPLNCFFEKLVSLEMVESNIKEFKAPLKYFPHLESLNLNSCEHLTSTPNFSGAQNLRKLTFVGCYNLVKVHSSIGDLGRLLYLDFSCCDKLKKLPNSLCKLSSLQHLVAAYALKLKELPEDFGNLTSLQDLYLFHTNIPRLPSNLSHLFRLESICLNYCESLEIIQELPPNIRDIELRNCKNLKTISQLPPNLESITLLCCNNLKMLPKLPHKLRDLDAKNCESMEIVPNLSNCTELKKLYLSGCSRLQEIQGWENLHLRQMQLIRVPHINYFSESIKKVLIGSKPDSYFECIVTTNELPRWMTCKEEGSSISFQWPLHNMERGFVGFFFWIVWEAHSLPPGLTRHYYFTIETSGFKTLWFSKYNFIAEVGEFSTLNLIPWSDPKFDGLEDIKVGEVIKVTPKAITDIQEYAEVMKIGVEALYTDRDGFLQFAPITKVG >itb09g17390.t1 pep chromosome:ASM357664v1:9:12603010:12608750:-1 gene:itb09g17390 transcript:itb09g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINLEAFTWEYDVFLSFRGEDTRKNFTDHLYFALCQNRIRTFRDEEELKKGEYLAPELTRAIQSSRISMIVFSKDYASSRWCLDELEQIVECKETRKQIIFPIFYDVDPSEVRKQSGNYGLALAKHEERFEGSNKVHKWRCALTKVANMSGWDLQGMANGYQSKFIDGIIQEVLLIVSQVPMFVEKHVVGLELHVNRLVKLMYGTHDDNNVRMIGIYGMAGIGKTLLAQTLYNKFFGYFKWSCFLEISSGISEIKRFQEEFLSKLLRRKIEVGSEGEGKALIKHWLQVKKCLIVLDNLEHLNQFEALCGERDWFGKGSRLILTTRDAHVFKELKEDEHYKVGPLCHNDSLELFSLHAFSGRLKPKEDYAKVLDGIVAYCAGLPLALKVLGAYLSDKSIEEWISAFDKLKEIPNNDVQAKLKISYDGLPDDRIKSLFLDLVCFSREISKETIDAMGYFSTIEIRNLVDKCLIDYCQWDGIGLHSLVREMGREIIRLESPEKPCERSRLWCPNDIHDVLIGQKGSEKIEVIVFNDSSTKDMKYNTKAFKNMENLRFLHIDGVYIDGKFKHLSKVLKYLRWTQCPLKYITIPLNCFFEKLVSLEMVESNIKEFKAPLKYFPHLESLNLNSCEHLTSTPNFSGAQNLRKLTFVGCYNLVKVARNSRLGESSFTSNAVNTCSPYQLFLRKH >itb09g17390.t3 pep chromosome:ASM357664v1:9:12603010:12608750:-1 gene:itb09g17390 transcript:itb09g17390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINLEAFTWEYDVFLSFRGEDTRKNFTDHLYFALCQNRIRTFRDEEELKKGEYLAPELTRAIQSSRISMIVFSKDYASSRWCLDELEQIVECKETRKQIIFPIFYDVDPSEVRKQSGNYGLALAKHEERFEGSNKVHKWRCALTKVANMSGWDLQGMANGYQSKFIDGIIQEVLLIVSQVPMFVEKHVVGLELHVNRLVKLMYGTHDDNNVRMIGIYGMAGIGKTLLAQTLYNKFFGYFKWSCFLEISSGISEIKRFQEEFLSKLLRRKIEVGSEGEGKALIKHWLQVKKCLIVLDNLEHLNQFEALCGERDWFGKGSRLILTTRDAHVFKELKEDEHYKVGPLCHNDSLELFSLHAFSGRLKPKEDYAKVLDGIVAYCAGLPLALKVLGAYLSDKSIEEWISAFDKLKEIPNNDVQAKLKISYDGLPDDRIKSLFLDLVCFSREISKETIDAMGYFSTIEIRNLVDKCLIDYCQWDGIGLHSLVREMGREIIRLESPEKPCERSRLWCPNDIHDVLIGQKGSEKIEVIVFNDSSTKDMKYNTKAFKNMENLRFLHIDGVYIDGKFKHLSKVLKYLRWTQCPLKYITIPLNCFFEKLVSLEMVESNIKEFKAPLKYFPHLESLNLNSCEHLTSTPNFSGAQNLRKLTFVGCYNLVKVHSSIGDLGRLLYLDFSCCDKLKKLPNSLCKLSSLQHLVAAYALKLKELPEDFGNLTSLQDLYLFHTNIPRLPSNLSHLFRLESICLNYCESLEIIQELPPNIRDIELRNCKNLKTISQLPPNLESITLLCCNNLKMLPKLPHKLRDLDAKNCESMEIVPNLSNCTELKKLYLSGCSRLQEIQGWENLHLRQMQLIRVPHINYFSESIKKVRFF >itb09g17390.t6 pep chromosome:ASM357664v1:9:12605597:12608717:-1 gene:itb09g17390 transcript:itb09g17390.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINLEAFTWEYDVFLSFRGEDTRKNFTDHLYFALCQNRIRTFRDEEELKKGEYLAPELTRAIQSSRISMIVFSKDYASSRWCLDELEQIVECKETRKQIIFPIFYDVDPSEVRKQSGNYGLALAKHEERFEGSNKVHKWRCALTKVANMSGWDLQGMANGYQSKFIDGIIQEVLLIVSQVPMFVEKHVVGLELHVNRLVKLMYGTHDDNNVRMIGIYGMAGIGKTLLAQTLYNKFFGYFKWSCFLEISSGISEIKRFQEEFLSKLLRRKIEVGSEGEGKALIKHWLQVKKCLIVLDNLEHLNQFEALCGERDWFGKGSRLILTTRDAHVFKELKEDEHYKVGPLCHNDSLELFSLHAFSGRLKPKEDYAKVLDGIVAYCAGLPLALKVLGAYLSDKSIEEWISAFDKLKEIPNNDVQAKLKISYDGLPDDRIKSLFLDLVCFSREISKETIDAMGYFSTIEIRNLVDKCLIDYCQWDGIGLHSLVREMGREIIRLESPEKPCERSRLWCPNDIHDVLIGQKVMIFFFHLSHSYCA >itb09g17390.t4 pep chromosome:ASM357664v1:9:12603027:12608750:-1 gene:itb09g17390 transcript:itb09g17390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINLEAFTWEYDVFLSFRGEDTRKNFTDHLYFALCQNRIRTFRDEEELKKGEYLAPELTRAIQSSRISMIVFSKDYASSRWCLDELEQIVECKETRKQIIFPIFYDVDPSEVRKQSGNYGLALAKHEERFEGSNKVHKWRCALTKVANMSGWDLQGMANGYQSKFIDGIIQEVLLIVSQVPMFVEKHVVGLELHVNRLVKLMYGTHDDNNVRMIGIYGMAGIGKTLLAQTLYNKFFGYFKWSCFLEISSGISEIKRFQEEFLSKLLRRKIEVGSEGEGKALIKHWLQVKKCLIVLDNLEHLNQFEALCGERDWFGKGSRLILTTRDAHVFKELKEDEHYKVGPLCHNDSLELFSLHAFSGRLKPKEDYAKVLDGIVAYCAGLPLALKVLGAYLSDKSIEEWISAFDKLKEIPNNDVQAKLKISYDGLPDDRIKSLFLDLVCFSREISKETIDAMGYFSTIEIRNLVDKCLIDYCQWDGIGLHSLVREMGREIIRLESPEKPCERSRLWCPNDIHDVLIGQKGSEKIEVIVFNDSSTKDMKYNTKAFKNMENLRFLHIDGVYIDGKFKHLSKVLKYLRWTQCPLKYITIPLNCFFEKLVSLEMVESNIKEFKAPLKYFPHLESLNLNSCEHLTSTPNFSGAQNLRKLTFVGCYNLVKQVARNSRLGESSFTSNAVNTCSPYQLFLRKH >itb04g16780.t1 pep chromosome:ASM357664v1:4:18726268:18727904:1 gene:itb04g16780 transcript:itb04g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFEGFEKRLELQFSGDDPVAEMGGLRQLEFEVLEEVLHEVQCTVVAAVGNTYFDSYVLSESSLFVYPTKIIIKTCGTTQLLKSVRPLVHLASRLGLSATHCRYTRGNFIFPKAQPYPHTSFKEEVLYLEQQLPTHLCYTKASLMHSKLTSHSWHVFTASNNPRNIGINNNNVPYTIEVCMTELDRLLARNFFTSGKPTVGRDMTDLTGIREINPNATICDFAFDPCGYSMNGVDGERYSTIHVTPEEGFSYASFECVGDDDRDDIAKVLRKVVEIFRPGTMSVSITSATHEAWTAVAKAVEGMGMRRRSCTVDEFPAAGTVMFQAFTLSKTGTMRVQET >itb03g00340.t1 pep chromosome:ASM357664v1:3:166766:174843:1 gene:itb03g00340 transcript:itb03g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPGLRAKLKVAALVIFVLWICLAALYSLTKPISNGCIMTYMYPTYIPVSAPENVSSTKYGLYLYHEGWKKIDFDEHLKQLSGIPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQSFYQEAFQSLGGGVQLDAGSVLLPSQYASMLDWFAVDLEGEHSAMDGRILEEHTEYVVYSIHRILDHYKDIRDARVKEGATVSRSLPRSVILVGHSMGGFVARAAIVHPHLRKSAVETVLTLSTPHQSPPVALQPSLGHYYAHVNNEWKKGYEIQTSRSGHYLSDPLLSRVVVISISGGYNDYQVRAKLESLDGIVPPTHGFMISSTSMKNVWLSMEHQVILWCNQLVVQVSHTLLSLIDEETSQPFPDAQRRLAIFTKMLQSAIPPNFNWVQQPPLPRQLGHVSSGSEGSNYACPSNTHWSDDGLERDLYIETTTVTILAMDGRRRWLDIQKLGANGKTHFVFVTNLSPCSGVRLHLWPEKGTSTSDLSVNKRILEVTSKMVNIPSGPAPRQIEPGSQTEQAPPSAILWLHPTDMHGFRFLTISVAPRQTVSGRPPPAASMGVGQFFNPDEGKIEVSPQSVIPMIYTQKDIQLKEDHPLAINMLFSISLGLLPVTLSVKTTGCGIQKSAFSVDEPGDMEISKLCKLRCFPPVAMAWDATSGLHVFPNLYSETIMVDSSPGTWSSNSESEKTNVLLLIDPHCSYRTSIDVPVTVAAGRFLLLYFSQIIGFSIAVIFFALMRQAYAWELDLPIPSLLSAIESNMRLPLPFIPLALVPILLALLLSYLYSQPLPSVISFIITSTVCYLFANGLMMVLITISLSVFYIAATIHAFVKKRWQTLENNWCFHFVHWFLNLSYAVLSSKVVRIVASNPSLVIALVASTLVCFVHPALGLFLLLLSHAVCCHNALSSFLMASFRSHIQTKELRGSGNEQLNKSRQPIPKYNGETSKHVPLKENSPTGLENVKSYADTQLEIFHHRHGLLILHLLATLMFIPSLVAWLQRIGVGQSFPWFLDSILCIGVLLHGICDSRPEFNFFFPFPGFQGWEIKLSLAYLLAGYASCLCGLALAPYGTFYAMASIGVISFAFRIIQRRNREKGETYYRSRKHSHRH >itb03g00340.t3 pep chromosome:ASM357664v1:3:168599:174843:1 gene:itb03g00340 transcript:itb03g00340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVARAAIVHPHLRKSAVETVLTLSTPHQSPPVALQPSLGHYYAHVNNEWKKGYEIQTSRSGHYLSDPLLSRVVVISISGGYNDYQVRAKLESLDGIVPPTHGFMISSTSMKNVWLSMEHQVILWCNQLVVQVSHTLLSLIDEETSQPFPDAQRRLAIFTKMLQSAIPPNFNWVQQPPLPRQLGHVSSGSEGSNYACPSNTHWSDDGLERDLYIETTTVTILAMDGRRRWLDIQKLGANGKTHFVFVTNLSPCSGVRLHLWPEKGTSTSDLSVNKRILEVTSKMVNIPSGPAPRQIEPGSQTEQAPPSAILWLHPTDMHGFRFLTISVAPRQTVSGRPPPAASMGVGQFFNPDEGKIEVSPQSVIPMIYTQKDIQLKEDHPLAINMLFSISLGLLPVTLSVKTTGCGIQKSAFSVDEPGDMEISKLCKLRCFPPVAMAWDATSGLHVFPNLYSETIMVDSSPGTWSSNSESEKTNVLLLIDPHCSYRTSIDVPVTVAAGRFLLLYFSQIIGFSIAVIFFALMRQAYAWELDLPIPSLLSAIESNMRLPLPFIPLALVPILLALLLSYLYSQPLPSVISFIITSTVCYLFANGLMMVLITISLSVFYIAATIHAFVKKRWQTLENNWCFHFVHWFLNLSYAVLSSKVVRIVASNPSLVIALVASTLVCFVHPALGLFLLLLSHAVCCHNALSSFLMASFRSHIQTKELRGSGNEQLNKSRQPIPKYNGETSKHVPLKENSPTGLENVKSYADTQLEIFHHRHGLLILHLLATLMFIPSLVAWLQRIGVGQSFPWFLDSILCIGVLLHGICDSRPEFNFFFPFPGFQGWEIKLSLAYLLAGYASCLCGLALAPYGTFYAMASIGVISFAFRIIQRRNREKGETYYRSRKHSHRH >itb03g00340.t2 pep chromosome:ASM357664v1:3:166766:174843:1 gene:itb03g00340 transcript:itb03g00340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPGLRAKLKVAALVIFVLWICLAALYSLTKPISNGCIMTYMYPTYIPVSAPENVSSTKYGLYLYHEGWKKIDFDEHLKQLSGIPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQSFYQEAFQSLGGGVQLDAGSVLLPSQYASMLDWFAVDLEGEHSAMDGRILEEHTEYVVYSIHRILDHYKDIRDARVKEGATVSRSLPRSVILVGHSMGGFVARAAIVHPHLRKSAVETVLTLSTPHQSPPVALQPSLGHYYAHVNNEWKKGYEIQTSRSGHYLSDPLLSRVVVISISGGYNDYQVRAKLESLDGIVPPTHGFMISSTSMKNVWLSMEHQVILWCNQLVVQVSHTLLSLIDEETSQPFPDAQRRLAIFTKMLQSAIPPNFNWVQQPPLPRQLGHVSSGSEGSNYACPSNTHWSDDGLERDLYIETTTVTILAMDGRRRWLDIQKLGANGKTHFVFVTNLSPCSGVRLHLWPEKGTSTSDLSVNKRILEVTSKMVNIPSGPAPRQIEPGSQTEQAPPSAILWLHPTDMHGFRFLTISVAPRQTVSGRPPPAASMGVGQFFNPDEGKIEVSPQSVIPMIYTQKDIQLKEDHPLAINMLFSISLGLLPVTLSVKTTGCGIQKSAFSVDEPGDMEISKLCKLRCFPPVAMAWDATSGLHVFPNLYSETIMVDSSPGTWSSNSESEKTNVLLLIDPHCSYRTSIDVPVTVAAGRFLLLYFSQIIGFSIAVIFFALMRQAYAWELDLPIPSLLSAIESNMRLPLPFIPLALVPILLALLLSYLYSQPLPSVISFIITSTVCYLFANGLMMVLITISLSVFYIAATIHAFVKKRWQTLENNWCFHFVHWFLNLSYAVLSSKVVRIVASNPSLVIALVASTLVCFVHPALGLFLLLLSHAVCCHNALSSHIQTKELRGSGNEQLNKSRQPIPKYNGETSKHVPLKENSPTGLENVKSYADTQLEIFHHRHGLLILHLLATLMFIPSLVAWLQRIGVGQSFPWFLDSILCIGVLLHGICDSRPEFNFFFPFPGFQGWEIKLSLAYLLAGYASCLCGLALAPYGTFYAMASIGVISFAFRIIQRRNREKGETYYRSRKHSHRH >itb14g16700.t1 pep chromosome:ASM357664v1:14:20037612:20038844:-1 gene:itb14g16700 transcript:itb14g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGKVKKGAGGRKGGGPKKKPVTRSTRAGLQFPVGRIGRYLKKGRYAERVGSGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSEKATKEAKSPSKATKSPKKAAA >itb09g06870.t1 pep chromosome:ASM357664v1:9:3953991:3959399:-1 gene:itb09g06870 transcript:itb09g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAKSEICMRMRDFMVLAIFAASTCWGFTDPRDVYAINSIYASLGFPLLPGWVPFGGDPCDEHWQGVLCVNANITGIVLNDSNLGGELSEDLGSFASIIQIDFSNNHIGGSIPSNLPSTVKTFFLSGNQFTGSIPDSLSTLGQLSDFSLSNNNLTGAIPDAFQQLKSLINLDLSGNNLSGQLPPSIGNLSSLTTLHLQNNQLSGFLDVLQDLPLTDLNIENNLFSGPIPDKLQSIPNFRKAGNPFNTTIIPSPPASSPSPSSSSPSPSPFGVPPPQLVPHRVEATGPSAQLQIGQTHNGSRSTRWIVIAGLVIVVLLLLGLCVYMSRYCKKGQSSNKEHNRHDISAYNLPRENPMYDQSLQKPDYRADKVVKPVTKDQGNNSSVTMPETTWTKYSKRKPSWSEKDDDHQLDMTAVDILPPPPPPFLTLPAERMILDANLPPITTRKLACMNLSSEKCYTVAALQQYTNSFSQDNLIGGGMLGRVFKAELPGGKLLAIKKLDTALASCQSDQDFVHLISTMSRLEHESIVRLVGYCVEHGQRLLVYEYYNNGTLYEALHVDEEMHRQLSWNVRVRIALQAARALEYMHEVCHPPVLHRNFRSANVLLDKELTVHVSDCSLATLVPSKSMAMLQRCGYGAPELELGSYTYQSDVYSFGVVLLELLTGRKSYDRSRPRGEQFLVRWAVSQLHDIDALSRMVDPSLAGAYPSKSLSRFADIISLCIQPEPEFRPPMSEIVQNLLHMM >itb09g06870.t3 pep chromosome:ASM357664v1:9:3953991:3959126:-1 gene:itb09g06870 transcript:itb09g06870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAKSEICMRMRDFMVLAIFAASTCWGFTDPRDVYAINSIYASLGFPLLPGWVPFGGDPCDEHWQGVLCVNANITGIVLNDSNLGGELSEDLGSFASIIQIDFSNNHIGGSIPSNLPSTVKTFFLSGNQFTGSIPDSLSTLGQLSDFSLSNNNLTGAIPDAFQQLKSLINLDLSGNNLSGQLPPSIGNLSSLTTLHLQNNQLSGFLDVLQDLPLTDLNIENNLFSGPIPDKLQSIPNFRKAGNPFNTTIIPSPPASSPSPSSSSPSPSPFGVPPPQLVPHRVEATGPSAQLQIGQTHNGSRSTRWIVIAGLVIVVLLLLGLCVYMSRYCKKGQSSNKEHNRHDISAYNLPRENPMYDQSLQKPDYRADKVVKPVTKDQGNNSSVTMPETTWTKYSKRKPSWSEKDDDHQLDMTAVDILPPPPPPFLTLPAERMILDANLPPITTRKLACMNLSSEKCYTVAALQQYTNSFSQDNLIGGGMLGRVFKAELPGGKLLAIKKLDTALASCQSDQDFVHLISTMSRLEHESIVRLVGYCVEHGQRLLVYEYYNNGTLYEALHVDEEMHRQLSWNVRVRIALQAARALEYMHEVCHPPVLHRNFRSANVLLDKELTVHVSDCSLATLVPSKSMAMLQRCGYGAPELELGSYTYQSDVYSFGVVLLELLTGRKSYDRSRPRGEQFLVRWAVSQLHDIDALSRMVDPSLAGAYPSKSLSRFADIISLCIQPEPEFRPPMSEIVQNLLHMM >itb09g06870.t2 pep chromosome:ASM357664v1:9:3953991:3959399:-1 gene:itb09g06870 transcript:itb09g06870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRAKSEICMRMRDFMVLAIFAASTCWGFTDPRDVYAINSIYASLGFPLLPGWVPFGGDPCDEHWQGVLCVNANITGIVLNDSNLGGELSEDLGSFASIIQIDFSNNHIGGSIPSNLPSTVKTFFLSGNQFTGSIPDSLSTLGQLSDFSLSNNNLTGAIPDAFQQLKSLINLDLSGNNLSGQLPPSIGNLSSLTTLHLQNNQLSGFLDVLQDLPLTDLNIENNLFSGPIPDKLQSIPNFRKAGNPFNTTIIPSPPASSPSPSSSSPSPSPFGVPPPQLVPHRVEATGPSAQLQIGQTHNGSRSTRWIVIAGLVIVVLLLLGLCVYMSRYCKKGQSSNKEHNRHDISAYNLPRENPMYDQSLQKPDYRADKVVKPVTKDQGNNSSVTMPETTWTKYSKRKPSWSEKDDDHQLDMTAVDILPPPPPPFLTLPAERMILDANLPPITTRKLACMNLSSEKCYTVAALQQYTNSFSQDNLIGGGMLGRVFKAELPGGKLLAIKKLDTALASCQSDQDFVHLISTMSRLEHESIVRLVGYCVEHGQRLLVYEYYNNGTLYEALHVDEEMHRQLSWNVRVRIALQAARALEYMHEVCHPPVLHRNFRSANVLLDKELTVHVSDCSLATLVPSKSMAMLQRCGYGAPELELGSYTYQSDVYSFGVVLLELLTGRKSYDRSRPRGEQFLVRWAVSQLHDIDALSRMVDPSLAGAYPSKSLSRFADIISLCIQPEPEFRPPMSEIVQNLLHMM >itb03g17460.t1 pep chromosome:ASM357664v1:3:16077072:16081090:1 gene:itb03g17460 transcript:itb03g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNESLSSYYHYHHHQQPPNPTLAAASPTNGIPPNPAASSHAVYPGSVPSAVSSPLETVKRKRGRPRKYGTAEQAAAAKRMSSASSSISSPKKREQGPLGGGSSHSVIKRSQLSAFGDAGRGFTAHIINVVAGEDVGQKIMAFAQQSKHEMCILSVSGTISNAFLLQPGGNVTYEGRFDILSLSGSYARNGPGRKTGGLSICLASGDGQIIGGGVGGPLIAAGPIQVIVGTFTVDKKKNLAEGLRRDVSAQIDGVSTSDIGVQSMVDSSHQSIVGSQFMMQSAPPQSTEWRGNTPENSDYDHLGD >itb04g29030.t1 pep chromosome:ASM357664v1:4:32553338:32554039:-1 gene:itb04g29030 transcript:itb04g29030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRREFNLRDCSNSKLEELKCRYYKDLRDGEDSIRGSGKYFRCPYCKDKRAKEYDMQGLLRHSYRIGYTSKSSSLRDKARHLGLLKYLERHRYAKSNARHPSPSDPSTNGANEETFVWPWMGIVVNIPVVYKDGKFVDESGQKLKTELVAKGYNPVKVYTLLANRGFSGYAIVEFNCNFSGFANAMAFAREFELDKHGKQEWQSSLKDESDDNLYAWIAGKEEYNSNSIVGN >itb05g08440.t1 pep chromosome:ASM357664v1:5:11809030:11811278:1 gene:itb05g08440 transcript:itb05g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYDVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEQEEQARQAAATSIVSNHTAAKRPFVAGTLAETIAATSRWANPPSNQEMEKMMKDSAEARHATLIRFLEHKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFSQVKHLAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREDENDEVNLEE >itb05g21340.t1 pep chromosome:ASM357664v1:5:27142092:27142903:-1 gene:itb05g21340 transcript:itb05g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLILAFAILAMASSVAHASDPSQLQDFCVAINDSKAAVFVNGKICKNPMEVNADDFLFQGLNKPGNLSNPLGSAVTAVNVNNLEGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPAPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGHSNAIAFAGLSSQNPGVITIANAVFGSDPPINPDVLTKAFQVENKVIEYLQSQFWYNNNNN >itb04g27060.t1 pep chromosome:ASM357664v1:4:31190792:31192446:-1 gene:itb04g27060 transcript:itb04g27060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPPPSSSSTTNKNRQSQREKKLKDVHVTALDGVVNVNSLFTIAIFLGLAMASPGQLKSLNVREECQPRANTAKMLVVFEILSFSFFLFSSLVAQSIKLSLNLQPSSSDQASSAAVDETLLTAGVLFSAMSSVVGCLFLLVSMVNVIELKLGVLSCMATSTIVSVAFLIPLSLAGILIYVFAAINRYVSRASNPGDDDSDDAKSTAAQHNA >itb01g21280.t1 pep chromosome:ASM357664v1:1:27442294:27443657:1 gene:itb01g21280 transcript:itb01g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIFHEVLRLYPPLSVLYRVTNADSKLGNITLPAGVDVIVPLLSLHVDKDLWGDNALEFNPERFKNGIVNASKIPGVYYPFGGGPRVCIGQNFALVEGKVTLAAILQRFSFELASSYKHSPQWNILIHPHHVNLVLHKL >itb01g09480.t1 pep chromosome:ASM357664v1:1:7743371:7746787:1 gene:itb01g09480 transcript:itb01g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRASHAGSWYTDSPQKLEEELDGWLRASGLAKSSDVRGVIAPHAGYSYSGRAAAFAFGNIDPANISRVFLLGPSHHYYTPKCALSRATVYKTPIGDLPIDMEVIEELKATGKFESMDLHVDEAEHSMEMHLPYLAKVFRGYEVKVVPILVGALSAENEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFRYTYYDKTHGAIYKSIEALDKMGMDIIETGDPDAFKQYLLETDNTICGRHPISVFLHMSKNCSTKIKIRFVRYEQSSQCKNMRDSSVSYASAAATKVDG >itb01g00850.t1 pep chromosome:ASM357664v1:1:408832:413076:1 gene:itb01g00850 transcript:itb01g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAPTSFSISSSAASSKTLKPSTGALPHSLGFISTSGASLKPLRARILQSTGTATGSAMAAVMVSAPIATKSPALLDFETSVFKKEKVSLAGHEEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSRSFNEARAAGFTEENGTLGDIWETVAGSDLVLLLISDAAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDIDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMAEDDAYKNTVECITGIISKTISTQGMLAVYNSLNEDGKKAFEAAYSASYYPCMDILYECYEDVAAGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGQRVRSVRPAGDLGPLYPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGTPINRDLIGNFLSDPVHGAIEVCAQLRPSVDISVPQDGQDADFVRPELRQSSN >itb12g02790.t1 pep chromosome:ASM357664v1:12:1823118:1827555:-1 gene:itb12g02790 transcript:itb12g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEMEEREGRSLSETPTWAFATVITALVCVGFLIHGGLKKCGKWLDRTKRKPLLAALEKIKEELMVFGLLSLLMGHWITFVAKICIKSSALTSRFYPCSARWDIKEASIVNHTVFMRFTHLNASVSRELMENAQTEFCPPGHESFASKESLEQLHRFLFVLGITHVSFSFVAVALAMIKISSWRRWENHAKSMVLQGLGGSRSSEAASYHTKMRRLSTFIFHHTSHPWSQHKVLVWLLCFSRQFWSSINQADYMALRLGFITTHQLPLMYDFHKYMLRSMEAEFRDIVGIGVHLWIFAISCVLLSFHGTNVYFWISFVPTVLLLLVGTKLHRIVVKLAVEIRDETSLEGFHHFNLRDELFWFGRPRFLLLLIQFISFQNAFEVAVYLWSLWEIKGASCFTRNHSFLVIRLAMGVASQIWCSFITFPLYVIVAQMGSEYKKAIISESVKKSLHRWRCRVKAGRQESSSTSSFHHRALTSTTSLNSVPDIADQAHNTVSGTTEEASATARQEYVKVSVQEGSLEHGETDEISECDDKNQVPVCPKYGSLATDDEEKGSL >itb12g05310.t2 pep chromosome:ASM357664v1:12:3559755:3561535:1 gene:itb12g05310 transcript:itb12g05310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHHTKTDSEVTSLAPSSPNRAVYYVQSPSRDSHDGEKTTNSFHSTPILSPMGSPGRASRDSSSTRFSGSLKPGSQKSSSGSRSNSRRHHHHHHRKDEKQWKEFDAIEEEGLLDEGHSRKGIPRRCYFPAFVVGFFLLFGFFSLILWGASRNQKPVVTMKSISFDEFVVQAGMDHSGVATEMVSMNSTVKLVFRNKGTFFGMHVTSTPLDLSFSELTVATGTVTYPQTLKLSRPFFFTQKRSVT >itb12g05310.t1 pep chromosome:ASM357664v1:12:3559755:3561535:1 gene:itb12g05310 transcript:itb12g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHHTKTDSEVTSLAPSSPNRAVYYVQSPSRDSHDGEKTTNSFHSTPILSPMGSPGRASRDSSSTRFSGSLKPGSQKSSSGSRSNSRRHHHHHHRKDEKQWKEFDAIEEEGLLDEGHSRKGIPRRCYFPAFVVGFFLLFGFFSLILWGASRNQKPVVTMKSISFDEFVVQAGMDHSGVATEMVSMNSTVKLVFRNKGTFFGMHVTSTPLDLSFSELTVATGTINKFYQSRKSQRTLTVTLRGKGIPLYGGGADLTSKEGKPTAPVLLTLGFTVRARAYVLGRLVKPKFYREVHCSVIMDPKKMNKALQFKNNCTYT >itb06g11400.t2 pep chromosome:ASM357664v1:6:15962652:15967871:1 gene:itb06g11400 transcript:itb06g11400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIYYILVALPCTVGAIALAILHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVLDKNAIYFNSIREIYEAWVIYNFLSLCLAWVGGPGAVVLNLSGRVLKPNCCLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVAVTFVLYAKGKYEDGNFNPRQSYLYLTIIYTISYSVALYALLLFYVACRDLLQPYNPVPKFVMIKSVVFLTYWQGVLVFLAAKSGFIKDTEEAAKFQDFIICVEMLIAACGHLYAFPYKEYAGANIGASAGFSSSLAHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGEEGSKKYRARTFVPTGIEMDSVRRNQHMFGNKLEDVQLPSISSSGSSSPQNADAAAMDTAKTETINSSFIIDASNTNSLPYDLSDIDIELSNYPAKVPAATETGVR >itb06g11400.t1 pep chromosome:ASM357664v1:6:15962638:15967978:1 gene:itb06g11400 transcript:itb06g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIYYILVALPCTVGAIALAILHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVLDKNAIYFNSIREIYEAWVIYNFLSLCLAWVGGPGAVVLNLSGRVLKPNCCLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVAVTFVLYAKGKYEDGNFNPRQSYLYLTIIYTISYSVALYALLLFYVACRDLLQPYNPVPKFVMIKSVVFLTYWQGVLVFLAAKSGFIKDTEEAAKFQDFIICVEMLIAACGHLYAFPYKEYAGANIGASAGFSSSLAHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGEEGSKKYRARTFVPTGIEMDSVRRNQHMFGNKLEDVQLPSISSSGSSSPQNADAAAMDTAKTETINSSFIIDASNTNSLPYDLSDIDIELSNYPAKVPAATETGVR >itb05g21010.t1 pep chromosome:ASM357664v1:5:26918628:26923068:-1 gene:itb05g21010 transcript:itb05g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSKSTLPETPKSKTSPATPRASKVSRGATKFDGDSPSQNLRSSADQSPRSAAPKPSVGRSTKLGTPPDKKPARVLRPSELQTELNLAQEDLKKAKEKLASVEKEKTQALDDLKEAKKLAEEANEKLREALIAQKRAEDDSEIEKFRTVEMEQAGIEAAQKKEEEWQKEVEAVRSQHALDVAALLSATQELQRVKQELAMTCDAKNQALAHADDATKIAEIHAGKVEILSAELIRVKSMLDSRVESESTEKNKLVEELNLEIETLRKELEMARSYEVKVMEKEAVLEQCKLDLEAAKMAASYAHNLVEEWKKRVEDLEFQTSEAQRTERSASESLESAMKELEGRNDLLHDAETEIASLKEKLGLLEFSVDRQKGELEESECHFKMAREEASKMAEKVESLSSELETVKEDKIQALNNEKLAADSVEQLLEEKNKLLDELKSSREEEEKNKKAMESLTSALHEVSSEAREAKEKLLSSQAETGNYETQIEDLQRVLKTTNERYENMLGDAKQEIDLLTNSVEQSKQDYDMLKAEQEEKERDLMNCVVKTEEANSSMEKEINRLVNSLKEAQEDASNAREDEARLKNSLKETESEVVYLKEVLGEAKAESMKLKESLMDKENEVQNILQENEELRSREAAALKKIEELSKMLEEALAKKQAEENGGLTDSEKDSEILPKSPEQNGVKDENPEMELRLYQPSIMEEFKEVNNHSSDNPEENAIGAKELDEKDKETKSEEKEESKSEEKEANDSVEAELKTSESFKFVEKDSSPEREPKQEEEPEPKAEVHENNDQSNGVSSIEKHDNGNTNTSPVKPQSLKKKKPLLSKFGSLLKKKGAVNQK >itb06g19000.t1 pep chromosome:ASM357664v1:6:22492516:22496368:-1 gene:itb06g19000 transcript:itb06g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTLSKGESLSGDQTLVSEDGTFELGFFSPGNSPTIYLAIWYKNRVNQTQRTSVWVANRQKPADKSKGDPSLKISHSGKLRVLMNLEVIWSSENEAMDAGVLLEAVLLNTGNLVLRERSNPENIIWQSFDDPTDTWLPGAKLGYNKLTGKSLQKLTAWRNGEDPSPGLFSVVAEEQQLFLKWNMSKEYQESGQLHGGTFSHFPELGYTLSFSSDTNENQTYFSYSVHKQDVLSRLVIDSSGELKQFMSQRANYNWTEVFTLPRKKSEIYGLCGGFGIYDNESVSSPCRCFQGFEPLNSTNDNTPAGCKRKSQLQCEKPENYGFQEILDVKWPDSSETHLGQSRNWCKSECQSICSCSAFAYNDNTCYLWHGDLLNAKLEHKNAPELNLKLSSSKIQEASPGGKKRVEVGIAVVVASAAVLLLASGKTFQGQEIAVKRLSRGSRQGLREFRNEIALTAKLQHRNLVRLLGCCTEQDERILVYEYLQNKSLDYFLFDFGMARTFAGNNSESSTNRIAGTFGYMAPEYLVGGLFSVKSDVFSLGVLILEIISGKKNGGFNQSSSFNLPEYAWELWTSNRALELKDTSVGCSSASELMLRYINIGLLCVQQNPNDRPTMLNIVSMLSNEAAALPQPKRPAFSTHSSPPNKATESSNSAESFSINGLSISVLLPR >itb09g02920.t1 pep chromosome:ASM357664v1:9:1654346:1662218:-1 gene:itb09g02920 transcript:itb09g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYIGVGEFQQVQLFYYFIESEKSPESDPLFLWLAGGPGCSGLSSLLYEIGPLRINYANSTGDIPALELNQYAWTKVANIIFLDQPVGTGFSYDKTWEAYVCNDTLSAQLAYSFLRGWLLDHPKFLSNPLYIAGDSYAGIIVPLIVREIYDGLESGIEPHLNLKGYVEGNPVTDQYADPNNRVKYAHRMGLLSDNLYQSTKVTCHGHYIGEDPENAECQYNLERVSKCTEKINQAQILEPVCSVESLLTLDVSSIGENLPQQWCRENNYLFSSTWANNKVVQKALHVHEGTITEWVRCNQSLKGDTNERTGAYNFNVQSTVDYHRSFTNKSCRVLIYSGDHDMVAPHLGTEEWIGSLQVAVEDDWRPWFVEDQVAGYTMKYSQNNYELTYATVKGAGHTAPEYKPRQCVGQFQEVQLFYYFIESEKSPESDPLLLWLTGGPGCSALSGILYEIGPFNINYANSTGEIPTLELNQYAWAKVANIIYLDQPAGTGFSYAKTPEAYMSNDTLSAQLAYDFLIQWLLDHPKFLGNPLYIAGESYTGIIVPQIVRKIYDGLESGIEPRLNMKGYVEGNPITDKYADPNSVFEYAYRMGLLSDNLYKSTKKSCKGDYMDKHPENAICQYDLQRVSECTERINPWQILEPICSEENGENPTGILLPQHWCRDDNYLYSEVWAQNKFVQKALRVREDTVREWVRCNESLMYDFGMERTEVYVYNVQSTVDYHRSFTNKSCRVLIYSGDHDMVVPHVSTEEWIESLKVEVEDEWRPWFVEDQVAGYTMKYSKNEYELTYATVKGAGHTAPEYKPQQCLSMLQRWLSNYPL >itb15g23320.t1 pep chromosome:ASM357664v1:15:26125180:26130270:-1 gene:itb15g23320 transcript:itb15g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHANMKNVSSNVDENAMPITRSRAKALASSGGLPPVNPSTNQDGKPLTRAKSKRGASDENKQTTGATSGQPKKRAALKDVSNVVCESASLNCMWETRMQSKKHPAKRTAKVTPAILVNKRPHLQVNTKQNIIEEHNEVKAEALQELQSPTNFTQSTLTQQSECDAIKQCGIPDLMPMHKSTSMTVTQQSTSTGDEHKLCKKEGLDSLGISLISDIDSKHRDPQMCSLYAPDIYTNLHAMELDRRLSSCFMERIQRDITKGMRGILIDWLVEVSEEYRLVPDTLYLTVNLIDRFLSENYIEKQKLQLLGVTCMLIACKYEEICAPRAEEFCLITDNTYTKEQVVKMESCVLNLLGFRLSIPTTKKFLRRFIQAAQASYEVPSVELEFMANYLAELTLIDYSFLKFLPSLIAASAVFLAQWTLDQSKHPWNPTLEHYTRYKASELKTTVSALQDLQLNANQCSLKAICEKYKQPKFKCVATLTSPNLLQSLFSESKVLSF >itb13g04870.t1 pep chromosome:ASM357664v1:13:5761950:5762878:-1 gene:itb13g04870 transcript:itb13g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLATLPLLLLLSLFTSSSAANFEVHNNCPYTVWAAATPVGGGQRLDQGQSWNINVPPGTAMARIWGRTNCNFDGSGRGSCQTGDCGGVLQCTGWGKPPNTLAEFALNQFNNLDFFDISNIDGFNIPISFAPTSPGADKCHSISCTADIIGQCPAALKVPGGCNNPCTTFGGQQYCCTNGPCGPTDYSRFFKGLCPDAYSYPQDDATSTFTCPGGSTDYRVVFCP >itb01g04750.t1 pep chromosome:ASM357664v1:1:3235558:3239562:1 gene:itb01g04750 transcript:itb01g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKELSVRVKKKEVVAAAPPPIPQHWVPQSNLDLLLPPVTFEVLFCYQKTPKLTFGAICSDLKAALAETLVSYYALAGEVVKNSGGEPEIMCNNRGVEFSEALGDVELRELNLYNPDESIENKLVPDVKHGVLAIQVTQLKCGGVVVGCSFDHRVADAYSANMFLVSWSEVALAKPLSQLPCFRRSFLFPRRPAHYDLSVDAMYTLISSLPPPPEPESLQTDEQSVISRIYYIESDEITRIQSLANSQKGSAKHHRITKMESFSAFLWKTIATGMCKDHNFKNLKLGVVVDGRTRLSDGDEKQAELLKGYFGNVLSIPFGDRKIEDLQEKPLSWVANAVREFLEKALTREHFLGLIDWVEAHRPEPALAKIYAGGGGGIRAAISGEKD >itb05g25070.t1 pep chromosome:ASM357664v1:5:29659168:29668525:-1 gene:itb05g25070 transcript:itb05g25070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYSNPQFKRPFGSLRGESCGQSQAPGNGGGGGGVGGSSGGGIGGSASAQKLTTNDALTYLKEVKDMFQDQRDKYDMFLDVMKDFKAQRIDTAGVIARVKDLFKGHPNLILGFNTFLPKGYEITLTDEEEVPQKRTVEFEEAINFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKSITEVYKEVADLFQQHPDLLEEFIKFLPDTSATGSATNPSFGRQPFHRFDERSSAMPTLRPSHMDKQRFRRDRIIGPYGERDHSVERPDADDDKSTIRIHKEQKRREEKENREWREYDHDYREPSSENNGDISMHRLNEKKKSARKVGEFGASSTLASYDDQDALRSMYSQEFTFCDKVKERLRSSASYQEFLKCLHLYSTEIITRADLQCLINDLLGKYPDLMEGFNEFLERCERVDGFLAGFVSKKPIWAEGHTSKSIKVEEKGKGPKREVDGGKEKDRFKEKYWGKSIQELDLSNCQRCTPSYRLLPDDYPIPVASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTIKRAEELLNTLDNYTSGVDGPIRIEDHFTALHLRCIERIYGDHGLDVSDILRKNPSLALPVILTRLKQKQEEWTKCRSDLNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKALVAEIKEMKEKQQKEDDVVHAIAAGNRHPISPNLEFEYADPEIHEDLYKLIKYSCEEVCSTKEQLNKVMRLWTTFLEPMLGVPSHSHGSEANENDASSKHHVVKSNGTNGGESDGSPGDAVMTNFKQSKVICNGDTNASPQRMNPSKTSFPNSDALAKDDGLVVANERLTNSDATVLVHGRSIVESTLGRSARPGNGNIEDGNGVKSNMDDIPSSEGGENYRAAALANEGFVEGSRINGYNEVSVDPSKNEKEEGELSPNGDFEEDNFVGYPNGASRDGTMQYQSGAGIETSRDAAGENGGDADDEDSDNASVAGEDVSGSESAADECSREEHEEEEDAEQDEVDGKTESEGEAEGTNDAHFVGDGSSLPLAERFLLASKPLTKHVVAAPCKGAKKCLRVFYGNDSFYVLFRLHQILYERLLLAKLNSSSPDSKWKTGKENSSDPYARFMTSLYSLLDGSSENSKFEDDCRSIIGNHSYVLFTLDKLIYKLVKQLLTVSSDELDNKLLQLYEYERSRKPDNSVDLVYYENSHVLLHEENIYRIESTSYPSGLSIQLMDDGNEKSEVVAVSVDPNFAGYLYSDYLSVEHGKKESSSIMLKRSKRKFANLDELSAVCLAMDNVVILNGLECKMASNSSKISYVLDTEDVFIRCGRKRKVSGERLSSHDRARVERFHRLLTSSL >itb05g25070.t2 pep chromosome:ASM357664v1:5:29659183:29668525:-1 gene:itb05g25070 transcript:itb05g25070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYSNPQFKRPFGSLRGESCGQSQAPGNGGGGGGVGGSSGGGIGGSASAQKLTTNDALTYLKEVKDMFQDQRDKYDMFLDVMKDFKAQRIDTAGVIARVKDLFKGHPNLILGFNTFLPKGYEITLTDEEEVPQKRTVEFEEAINFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKSITEVYKEVADLFQQHPDLLEEFIKFLPDTSATGSATNPSFGRQPFHRFDERSSAMPTLRPSHMDKQRFRRDRIIGPYGERDHSVERPDADDDKSTIRIHKEQKRREEKENREWREYDHDYREPSSENNGDISMHRLNEKKKSARKVGEFGASSTLASYDDQDALRSMYSQEFTFCDKVKERLRSSASYQEFLKCLHLYSTEIITRADLQCLINDLLGKYPDLMEGFNEFLERCERVDGFLAGFVSKKPIWAEGHTSKSIKVEEKGKGPKREVDGGKEKDRFKEKYWGKSIQELDLSNCQRCTPSYRLLPDDYPIPVASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTIKRAEELLNTLDNYTSGVDGPIRIEDHFTALHLRCIERIYGDHGLDVSDILRKNPSLALPVILTRLKQKQEEWTKCRSDLNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKALVAEIKEMKEKQQKEDDVVHAIAAGNRHPISPNLEFEYADPEIHEDLYKLIKYSCEEVCSTKEQLNKVMRLWTTFLEPMLGVPSHSHGSEANENDASSKHHVVKSNGTNGGESDGSPGDAVMTNFKQSKVICNGDTNASPQRMNPSKTSFPNSDALAKDDGLVVANERLTNSDATVLVHGRSIVESTLGRSARPGNGNIEDGNGVKSNMDDIPSSEGGENYRAAALANEGFVEGSRINGYNEVSVDPSKNEKEEGELSPNGDFEEDNFVGYPNGASRDGTMQYQSGAGIETSRDAAGENGGDADDEDSDNASVAGEDVSGSESAADECSREEHEEEEDAEQDEVDGKTESEGEAEGTNDAHFVGDGSSLPLAERFLLASKPLTKHVVAAPCKGAKKCLRVFYGNDSFYVLFRLHQILYERLLLAKLNSSSPDSKWKTGKENSSDPYARFMTSLYSLLDGSSENSKFEDDCRSIIGNHSYVLFTLDKLIYKLVKQLLTVSSDELDNKLLQLYEYERSRKPDNSVDLVYYENSHVLLHEENIYRIESTSYPSGLSIQLMDDGNEKSEVVAVSVDPNFAGYLYSDYLSVEHGKKESSSIMLKRSKRKFANLDELSAVCLAMDNVVILNGLECKMASNSSKISYVLDTEDVFIRCGRKRKVSGERLSSHDRARVERFHRLLTSSL >itb05g25070.t3 pep chromosome:ASM357664v1:5:29659168:29668389:-1 gene:itb05g25070 transcript:itb05g25070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYSNPQFKRPFGSLRGESCGQSQAPGNGGGGGGVGGSSGGGIGGSASAQKLTTNDALTYLKEVKDMFQDQRDKYDMFLDVMKDFKAQRIDTAGVIARVKDLFKGHPNLILGFNTFLPKGYEITLTDEEEVPQKRTVEFEEAINFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKSITEVYKEVADLFQQHPDLLEEFIKFLPDTSATGSATNPSFGRQPFHRFDERSSAMPTLRPSHMDKQRFRRDRIIGPYGERDHSVERPDADDDKSTIRIHKEQKRREEKENREWREYDHDYREPSSENNGDISMHRLNEKKKSARKVGEFGASSTLASYDDQDALRSMYSQEFTFCDKVKERLRSSASYQEFLKCLHLYSTEIITRADLQCLINDLLGKYPDLMEGFNEFLERCERVDGFLAGFVSKKPIWAEGHTSKSIKVEEKGKGPKREVDGGKEKDRFKEKYWGKSIQELDLSNCQRCTPSYRLLPDDYPIPVASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTIKRAEELLNTLDNYTSGVDGPIRIEDHFTALHLRCIERIYGDHGLDVSDILRKNPSLALPVILTRLKQKQEEWTKCRSDLNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKALVAEIKEMKEKQQKEDDVVHAIAAGNRHPISPNLEFEYADPEIHEDLYKLIKYSCEEVCSTKEQLNKVMRLWTTFLEPMLGVPSHSHGSEANENDASSKHHVVKSNGTNGGESDGSPGDAVMTNFKQSKVICNGDTNASPQRMNPSKTSFPNSDALAKDDGLVVANERLTNSDATVLVHGRSIVESTLGRSARPGNGNIEDGNGVKSNMDDIPSSEGGENYRAAALANEGFVEGSRINGYNEVSVDPSKNEKEEGELSPNGDFEEDNFVGYPNGASRDGTMQYQSGAGIETSRDAAGENGGDADDEDSDNASVAGEDVSGSESAADECSREEHEEEEDAEQDEVDGKTESEGEAEGTNDAHFVGDGSSLPLAERFLLASKPLTKHVVAAPCKGAKKCLRVFYGNDSFYVLFRLHQILYERLLLAKLNSSSPDSKWKTGKENSSDPYARFMTSLYSLLDGSSENSKFEDDCRSIIGNHSYVLFTLDKLIYKLVKQLLTVSSDELDNKLLQLYEYERSRKPDNSVDLVYYENSHVLLHEENIYRIESTSYPSGLSIQLMDDGNEKSEVVAVSVDPNFAGYLYSDYLSVEHGKKESSSIMLKRSKRKFANLDELSAVCLAMDNVVILNGLECKMASNSSKISYVLDTEDVFIRCGRKRKVSGERLSSHDRARVERFHRLLTSSL >itb01g25320.t1 pep chromosome:ASM357664v1:1:30789895:30790449:1 gene:itb01g25320 transcript:itb01g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRTSSSIFASLNMAFHSWFIFHCRHNPNDANSILAFLTSVLLNFLVLKFQSLDTTPFNTHTKTTYVSITAFLGYVMARATQLRLSSWLRYRPTYAYVVDHFVLAFGSLAVVSLASLLFPDRARPVLYVLSVLILAAEPVYKTIREYQVQVRRRMWALLVSLPRIMAFRRRVQRQLELEILPA >itb09g05720.t1 pep chromosome:ASM357664v1:9:3269715:3271176:-1 gene:itb09g05720 transcript:itb09g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTPTLLLLGALLLLLVSANHSLSPSDAVSELTRLRSQSPTGVIHLTDSLMRRIMSVSAPRPYSILIFFDAQQLHSKTELSLPALKTEFALLASSFLANNPDSKINSKLFFFNIEFQESQQTFSQFGVNSLPHIRVVPPSATDLKKDSIQMDASDFSRMAESMAEFVEAKTNLEVGPIHRPPVVSKKQMMFIVAILLIWSPFLIKKIVTGHTLLHDKYVWMAGAIFVYFFSVGGAMHNIIRKMPMFLADRNDPGKLVFFYQGSGMQLGAEGFSIGFLYTIVGVLLAFMTHVLVRVKSRSAQMMVMVFALFVSFWAVKKVISLDNWKTGYGIHAYWPSSWM >itb04g14210.t1 pep chromosome:ASM357664v1:4:14635470:14641355:1 gene:itb04g14210 transcript:itb04g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEITSLRLFFSTANHHLLSASPSLSRLFRLRPPKTSLKPPPLFAAFSSYSLKQRYGNSKNNPNSLSSGRRHSSSKIEKMEGGGNSGKEHIGFNKRRAEGKDGSDKPKKNLQRKVRKLDPANTISYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGIGEEGLSVNVWGPSDLKYLVEAMKSFIPNAAMVHTKSFGPLPGDNATIPAPEKFDNPIVHIDNEVVKISSVLLRPRHSKGSSEVNALTACVQERTKVFSEPSISSRAELSLKPGDLSVVYVCELPEIKGKFDPKKAADLGLNPGPKYRELQHGNPVKSDTQDIMIHPSDVLGPSIPGPIVFLVDCPTMSHLEELFALESLAPYYSNISSSASENCKLVNCVIHLSPSTITNTAMYQQWMSRFGGAQHIMAGHELKNIEIPILRSSARASTRLNYLCPQLFPAPGFWSLEQMKKLPSASEFASKGSLPQVFGGISAENLLKFHLRPYAQLGLDKSCTPNMMSSPEIINDLISGIPEIEDASQRITQLWHGNRETNGTSVRDTELMYEEPWLHEHGLPSCLEGITRDDMEIVLLGTGSSQPSKYRNVSSIFINLFSKGSILLDCGEGTLGQLKRRFGIEGADEAVRGLRCIWISHIHADHHTGLARILALRRELLKDVPHEPLIVVGPRQLKRYLDAYQRLEDLDMQFLDCRNTTESSLKAFESHEDNDVESGKAPGLKDQRTDSSLFVKGDRMQSYWKRPGSPVDSSVFFPILKNLKGVLNEAGLEALISFPVIHCPQAYGVVLKAADRTNSAGKRIPGWKIVYSGDTRPCPDLIEASRGATVLIHEATFEDAMVDEAIARNHSTTKEAVEVGDRAGAYRIILTHFSQRYPKIPVFDESHMHKTCIAFDMMSINAADLPMLPRVLPYLKLLFRDDLAVDESFDANITTAIRDELIVDESDDAAADVTTVLA >itb04g07820.t1 pep chromosome:ASM357664v1:4:5407093:5411989:1 gene:itb04g07820 transcript:itb04g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLYEEIKRGSATSAGAAVTTFKDQIDNDAQRTPNNNTVLHVAATFGRGETAAKILQLCPSLLTRANSKGETALHIAAKGGHLQAVQAILECAKRLDREMRGRSGGGESGICLEKEMLRMTDNVDDTALHMAVRNRHVEIVNVLVGEDSEFPFPPNQAGETSLYLAAESGHESSLQKMLTTCTSPAYSGPFGRNPLHAAAIFNYQGCMRLLLNWKQDLVEEEDEEGWSPLHHAAKKGNVEAIRQILGVNKTAAYRRTGRQDNGGWTTAVHIAASHGHSKVLKEIFSHCPDCCEMVNSKSQNFLHVTILNNESRLLKSVLAHRDLEHLIDEKDRDGNTPLHLLAASRCYPANLIAHPRANKRAYNNQNLTPLDIVYTDKYRLDRAPFIDYHMKKVGFLGNRQEVKERQDSPEVPKKIKEQKQLAETHLIVATLVATVTFAAGFTLPGGYNSSSPNAGMAILSRERAFQAFVVTNTIALMCSTSAVFLYFSAFYLDTYREIFDQYDLASGLVFVALGSMILAFVTGAYAVLSNTIGLAITVCLIGCSAALMYFYWMVKTAKKWTKQKDGDPCY >itb10g23160.t1 pep chromosome:ASM357664v1:10:27661169:27663800:1 gene:itb10g23160 transcript:itb10g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MENRKEDSNDAGAVSANSNAHAQSTTESSKNGSISGFDSLHRLLQASLTPQLFQEVSRLLLGLNCGRAVEAIELPEPAKALSAKHGFDLQAFLFRADKESLREPRIVRVGLIQNSIALPTTAPFLEQKRAIFQKLSPIIDAAGTAGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTLFLQEFARKYNMVIISPILERDVKHGETLWNTCVVIGNRGNIIGKHRKNHIPRVGDFNESTYYMEGNNGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVASINRVGTEVFPNAFTSGDGKPEHTDFGHFYGSSHFSSPDASCTPSLSRYRDGLIISDMDLNLCRQLKDKWGFRMTARYEMYADLLSRYVKPDFEPQVISDPLLHKNAS >itb06g23100.t1 pep chromosome:ASM357664v1:6:25209781:25210641:-1 gene:itb06g23100 transcript:itb06g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEHRKLFPVNQTELMDYSTAPPAPLSKHVLSISPYIIIAVALLASFLLLLGYYLIIVRNCSGWQRRRRGDLRVGGVENEDFFVENRRGPVVDHPIWYIRTIGLPAAVIGKITVLSYKSGEDFVDGTDCSVCLNEFRDGENLRLLPKCSHAFHVACIDTWLRAHTNCPLCRAAIVSDVPAAPPIPAASPGSEVPAENGGGESSNNNNNNQVGRNQENEVIRIQESGTVSSALRRSFSIDSSMAANFPNPTFKDSTTQKMAMKRSVSYGGRSFFSGPNPNSILPL >itb13g18440.t3 pep chromosome:ASM357664v1:13:25406856:25409497:1 gene:itb13g18440 transcript:itb13g18440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKSFPVCICLLMLNTSVGMDVASISMDHENDSVVSSLNGVSQDSTNEANTNRGVDHDHINVEGETNMPTENLEVKEYTMLIESSKLSEVRNCEDGDGASLKCEIVLPEKEIESEVSKAAEESKSSRASLKAVNKTGSGNCKTKCTVPQPFALATEKRASNGTRPVGNDADASQDPTPTKQNQLVSPGTTRKPLQPDNKKHPDDENSYAVTTLRGRKPRKGTALVPTFRSTERAERRKEFHTRLEEKHQALEAERIQWKARTKEECEAAVKQLRKSLVFKANPMPSFYHDGPPPKAELKKQLPPTRAKSPKLGRRKCCNIPPGSRKQIEDGDHRTRHNLGGYRDSATFASTNGRHHIGVQNSATFKYKDESNHEEETNESHMTKIHQEIEVNITVQS >itb13g18440.t6 pep chromosome:ASM357664v1:13:25407082:25409497:1 gene:itb13g18440 transcript:itb13g18440.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKSFPVCICLLMLNTSVGMDVASISMDHENDSVVSSLNGVSQDSTNEANTNRGVDHDHINVEGETNMPTENLEVKEYTMLIESSKLSEVRNCEDGDGASLKCEIVLPEKEIESEVSKAAEESKSSRASLKAVNKTGSGNCKTKCTVPQPFALATEKRASNGTRPVGNDADASQDPTPTKQNQLVSPGTTRKPLQPDNKKHPDDENSYAVTTLRGRKPRKGTALVPTFRSTERAERRKEFHTRLEEKHQALEAERIQWKARTKEECEAAVKQLRKSLVFKANPMPSFYHDGPPPKAELKKQLPPTRAKSPKLGRRKCCNIPPGSRKQIEDGDHRTRHNLGGYRDSATFASTNGRHHIGVQNSATFKYKDESNHEEETNESHMTKIHQEIEVNITVQS >itb13g18440.t5 pep chromosome:ASM357664v1:13:25406856:25409460:1 gene:itb13g18440 transcript:itb13g18440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHENDSVVSSLNGVSQDSTNEANTNRGVDHDHINVEGETNMPTENLEVKEYTMLIESSKLSEVRNCEDGDGASLKCEIVLPEKEIESEVSKAAEESKSSRASLKAVNKTGSGNCKTKCTVPQPFALATEKRASNGTRPVGNDADASQDPTPTKQNQLVSPGTTRKPLQPDNKKHPDDENSYAVTTLRGRKPRKGTALVPTFRSTERAERRKEFHTRLEEKHQALEAERIQWKARTKEECEAAVKQLRKSLVFKANPMPSFYHDGPPPKAELKKLPPTRAKSPKLGRRKCCNIPPGSRKQIEDGDHRTRHNLGGYRDSATFASTNGRHHIGVQNSATFKYKDESNHEEETNESHMTKIHQEIEVNITVQS >itb13g18440.t4 pep chromosome:ASM357664v1:13:25406856:25409460:1 gene:itb13g18440 transcript:itb13g18440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKSFPVCICLLMLNTSVGMDVASISMDHENDSVVSSLNGVSQDSTNEANTNRGVDHDHINVEGETNMPTENLEVKEYTMLIESSKLSEVRNCEDGDGASLKCEIVLPEKEIESEVSKAAEESKSSRASLKAVNKTGSGNCKTKCTVPQPFALATEKRASNGTRPVGNDADASQDPTPTKQNQLVSPGTTRKPLQPDNKKHPDDENSYAVTTLRGRKPRKGTALVPTFRSTERAERRKEFHTRLEEKHQALEAERIQWKARTKEECEAAVKQLRKSLVFKANPMPSFYHDGPPPKAELKKLPPTRAKSPKLGRRKCCNIPPGSRKQIEDGDHRTRHNLGGYRDSATFASTNGRHHIGVQNSATFKYKDESNHEEETNESHMTKIHQEIEVNITVQS >itb13g18440.t1 pep chromosome:ASM357664v1:13:25406856:25410153:1 gene:itb13g18440 transcript:itb13g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHENDSVVSSLNGVSQDSTNEANTNRGVDHDHINVEGETNMPTENLEVKEYTMLIESSKLSEVRNCEDGDGASLKCEIVLPEKEIESEVSKAAEESKSSRASLKAVNKTGSGNCKTKCTVPQPFALATEKRASNGTRPVGNDADASQDPTPTKQNQLVSPGTTRKPLQPDNKKHPDDENSYAVTTLRGRKPRKGTALVPTFRSTERAERRKEFHTRLEEKHQALEAERIQWKARTKEECEAAVKQLRKSLVFKANPMPSFYHDGPPPKAELKKLPPTRAKSPKLGRRKCCNIPPGSRKQIEDGDHRTRHNLGGYRDSATFASTNGRHHIGVQNSATFKYKDESNHEEETNESHMTKIHQEIEVNITVQS >itb13g18440.t2 pep chromosome:ASM357664v1:13:25406906:25409497:1 gene:itb13g18440 transcript:itb13g18440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHENDSVVSSLNGVSQDSTNEANTNRGVDHDHINVEGETNMPTENLEVKEYTMLIESSKLSEVRNCEDGDGASLKCEIVLPEKEIESEVSKAAEESKSSRASLKAVNKTGSGNCKTKCTVPQPFALATEKRASNGTRPVGNDADASQDPTPTKQNQLVSPGTTRKPLQPDNKKHPDDENSYAVTTLRGRKPRKGTALVPTFRSTERAERRKEFHTRLEEKHQALEAERIQWKARTKEECEAAVKQLRKSLVFKANPMPSFYHDGPPPKAELKKQLPPTRAKSPKLGRRKCCNIPPGSRKQIEDGDHRTRHNLGGYRDSATFASTNGRHHIGVQNSATFKYKDESNHEEETNESHMTKIHQEIEVNITVQS >itb08g12900.t1 pep chromosome:ASM357664v1:8:13406358:13408100:1 gene:itb08g12900 transcript:itb08g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSFVTSLSSLMKNWKEKFVYVSYNPGAAGYGFSTTWVQFPSPFTPPSYDGLDADRAKLCGDGPFDHRSTPSMLRVYHTQGRPTSSHTQSDDEESSVGVMADPTLDGVESGAVVSPPRTSAPQVITITSPARVDHPSETPLGSPIEYDPHDPLFARGHSGTSRPRPLRPYHPDHINFIRRGEAIGLQQRLQEASQDYAASEEALRELGDRHQQLQATHEATLKELDDLKTALTALQLTHSTFQSEHEKQQVAHTHALEHAIEDWQGTEDFSRAVDDYACSRMPGLLRYWLSSPDRSGQAMADAMSGWYDAQDYQAYVGPPLVQVLQEWAVIVGGKSAMGPVAEVWLRDTDEGHARVVRECEAAFYLGQREMQDQLYGKLRRRFTSFSIAGWKLPDYLPLRRPPAPVMPASTTTPDGFLMSPERAGGTSSVALLSDPVGGSGAVWSVDPGSGAVGSVDPSLSLPFTSGPGFSATGSAAP >itb07g20310.t1 pep chromosome:ASM357664v1:7:24687063:24691744:-1 gene:itb07g20310 transcript:itb07g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKQDVERGENSVAEADMRAPFITPQKEDGYESKEERWLVYFSTIVAVCGSYAFGSCAGYSSPTQSAIREDLNLSLAQYSLFGSILTFGAMIGAITSGPIADFIGRKGAMRMSGAFCVAGWLAIYFAKGAVLMDIGRLATGYGMGVFSYVVPVFIAEIAPKDLRGSLTTLNQLMICTGVSVAFILGTILTWRALALTGIIPCAILVIGLFIIPESPRWLAKIGHQKEFENSLRALRGKDADISKEAAEIMDYIETLEKLPKAKWLDLFQRRYLRSVTVGVGLMVCQQFGGINGICFYTSSIFESSGFWGRTCHWVCTDRHLILYEGT >itb04g07720.t1 pep chromosome:ASM357664v1:4:5316096:5318868:-1 gene:itb04g07720 transcript:itb04g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNNAGGRVAVEENRSGGGNNLPNFLLSVRLKYVKLGYHYLISHAMYLLLVPVLGAVSAHLTTLTLDDVVQLWHHLKFNLVTVVLCSALLVFLGTLYFMTRPRKVYLVDFACYKPPPEVMCPKEIFMDRSKKANIFTEENLSFQKRILERSGLGEKTYFPEALLRQPPNPCMAEARKEAEMVMFGAIDDLLAKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIQSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSMENITLNWYFGNNRSMLVSNCIFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDRSYSCVFQEEDDKREVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFVTLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSQWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALKTIDPAKLKNPWMDEIHDFPVEVPRVATINA >itb04g13760.t1 pep chromosome:ASM357664v1:4:13904351:13905786:1 gene:itb04g13760 transcript:itb04g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRNNKQRTMEDVFNKLPTDVLNCILDHLPVRDAARTSILSRKWRYIWAGHPNLVLNPKNIVTSSKNDFVGIVNDILLQHTGPILTFGVDISLVDMTRYPNIDLWILYLSRNGLRDLTVENSSPDLYALPSYVFLCQELTVLDLSNCIFKQPCGTIRSFQNLKQLYLTQVAFKPEVSASIFTASKLWYLGLTKCTGIDHLNFEGCSKSLLYLVLRMNHGVKLGCFMHCKRITSAYLGLPMEVESLHRNERINLTSLFEHWTQISKLTLDGHHLKIPSVTASDNLALQYLQEHSGMSEDINSLQTLMIKFFQGSRVEILFVKLILSCCSSLERITFVDNKVLPSEVSNILKELLLFPRASRKAQIVF >itb07g01690.t1 pep chromosome:ASM357664v1:7:1018057:1022424:-1 gene:itb07g01690 transcript:itb07g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGLQHSQFSTSVLLYCKGRSQPPKFGFLKCSPQSGLSSLDILKESCSILVLKLPSRSRNSRQHQGIRCEYPQNADLPRYYSRNEKKPFPVPILDLRRAARERQKKRLGQPRRPMPPPKVGLLVKDLIPVAYRVMNARITLINNLKRLLKVVPVNSCKWCNEIHVGAVGHPFKSCRGPQASIRRGLHDWGEAVVEDVMVPLECYHLYDRLGNRISHEERFSIPQIPAVVELCIQAGVDLPEYPTKRRRKPIIRIGKSEFIDADESDLPDPEPEEPKPPILTEMPDTDVLPPSSDEETVLLAEETLQAWEKMRGGAKRLMKMYPVRVCGYCPEVHVGSSGHKAQNCGARKHQQRNGQHGWQAAVLDDLIPPRYVWHVPDVNQPLQRELRNFYGQAPAVVEICIQAGAAVPEQYKPTMRLDVGIPNTVAEAEMVV >itb01g01960.t1 pep chromosome:ASM357664v1:1:1147283:1148168:1 gene:itb01g01960 transcript:itb01g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLFFSLSLYLLPNPTHSTFNPIRLPTADEPLASSTPVLDTDGEELRPGQDYYVTSVTWGAGGGGVKLAGLDSQSACPSDVIVSRNSFDLGNPITFTPADPNATEVSPSTYQSFSFNVASNKVCQDKLSWGVQYDRRSGQYIIKTGEFVENLSNQFKIEVAQPSLNAYKLTYCQFGSDKCYNLGKYTDRRSRATRLALSNNPFFVVFQKASDV >itb09g05960.t2 pep chromosome:ASM357664v1:9:3405673:3411288:1 gene:itb09g05960 transcript:itb09g05960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCMVFLYLSCIILLSTVQPDDDLFWYSQCGASEKNYTQNSTYHTNLNTVLSNLSSNLNDYGFSNVSMGDNPDRVSAVALCRGDVEADICRSCVEDAGGKTVQWCPNQKEAFGGHDECMIHYSNVSTLGSWSSFPEIYLANPYNSSIPEQFNQGCEECKGKKGGRVVSPSCNFRFETKRFYNHTLIGPPPDGNNQTVIIVVCIAADLIGIVICIFVIYKKLKKRKAPNSNTEWLLCGGSVVEPSMNFELSTLQNATDNFSEANKIGEGDFGIVYKGKLQNGKLIAVKRLSKSSRQGNLEFKNEVELIAKLQHKNLVKLFGYCQEEGEMILVYEFVPNGGLDDILFGSISHQTCFSLWFSNFLKSYLFN >itb09g05960.t3 pep chromosome:ASM357664v1:9:3405652:3412049:1 gene:itb09g05960 transcript:itb09g05960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCMVFLYLSCIILLSTVQPDDDLFWYSQCGASEKNYTQNSTYHTNLNTVLSNLSSNLNDYGFSNVSMGDNPDRVSAVALCRGDVEADICRSCVEDAGGKTVQWCPNQKEAFGGHDECMIHYSNVSTLGSWSSFPEIYLANPYNSSIPEQFNQGLQNLLEDLRGRAANGFPLRKFAANYTKGPDFRTIFAAVQCSPDLAAPICFDCLTSAFEFYAGCEECKGKKGGRVVSPSCNFRFETKRFYNHTLIGPPPGPDGNNQTVIIVVCIAADLIGIVICIFVIYKKLKKRKAPNSNTEWLLCGGSVVEPSMNFELSTLQNATDNFSEANKIGEGDFGIVYKGKLQNGKLIAVKRLSKSSRQGNLEFKNEVELIAKLQHKNLVKLFGYCQEEGEMILVYEFVPNGGLDDILFDPVKRGYLNWERRYKIIENIARGLVYLHEDSRHRIIHRDLKANNILIDEDLNPKIADFGMARLFALNQTQGSTNTLVGAYGYVAPEYARYGKFSEKSDVYSFGVLVLEIISGQRNTIQYGEFTRDLLFDVWTHWKKGSVLNVIDSILKRPSSPMHEIKRCIHLALLCVQESAVDRPKMSQVLQMLSRISSVNPPEPLDPGFFTQGSANS >itb09g05960.t5 pep chromosome:ASM357664v1:9:3405652:3412049:1 gene:itb09g05960 transcript:itb09g05960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCMVFLYLSCIILLSTVQPDDDLFWYSQCGASEKNYTQNSTYHTNLNTVLSNLSSNLNDYGFSNVSMGDNPDRVSAVALCRGDVEADICRSCVEDAGGKTVQWCPNQKEAFGGHDECMIHYSNVSTLGSWSSFPEIYLANPYNSSIPEQFNQGLQNLLEDLRGRAANGFPLRKFAANYTKGPDFRTIFAAVQCSPDLAAPICFDCLTSAFEFYAGCEECKGKKGGRVVSPSCNFRFETKRFYNHTLIGPPPGPDGNNQTVIIVVCIAADLIGIVICIFVIYKKLKKRKAPNSNTEWLLCGGSVVEPSMNFELSTLQNATDNFSEANKIGEGDFGIVYKGKLQNGKLIAVKRLSKSSRQGNLEFKNEVELIAKLQHKNLVKLFGYCQEEGEMILVYEFVPNGGLDDILFGSISHQTCFSLWFSNFLKSYLFN >itb09g05960.t6 pep chromosome:ASM357664v1:9:3405652:3412049:1 gene:itb09g05960 transcript:itb09g05960.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCMVFLYLSCIILLSTVQPDDDLFWYSQCGASEKNYTQNSTYHTNLNTVLSNLSSNLNDYGFSNVSMGDNPDRVSAVALCRGDVEADICRSCVEDAGGKTVQWCPNQKEAFGGHDECMIHYSNVSTLGSWSSFPEIYLANPYNSSIPEQFNQGCEECKGKKGGRVVSPSCNFRFETKRFYNHTLIGPPPGPDGNNQTVIIVVCIAADLIGIVICIFVIYKKLKKRKAPNSNTEWLLCGGSVVEPSMNFELSTLQNATDNFSEANKIGEGDFGIVYKGKLQNGKLIAVKRLSKSSRQGNLEFKNEVELIAKLQHKNLVKLFGYCQEEGEMILVYEFVPNGGLDDILFDPVKRGYLNWERRYKIIENIARGLVYLHEDSRHRIIHRDLKANNILIDEDLNPKIADFGMARLFALNQTQGSTNTLVGAYGYVAPEYARYGKFSEKSDVYSFGVLVLEIISGQRNTIQYGEFTRDLLFDVWTHWKKGSVLNVIDSILKRPSSPMHEIKRCIHLALLCVQESAVDRPKMSQVLQMLSRISSVNPPEPLDPGFFTQGSANS >itb09g05960.t4 pep chromosome:ASM357664v1:9:3405652:3411288:1 gene:itb09g05960 transcript:itb09g05960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCMVFLYLSCIILLSTVQPDDDLFWYSQCGASEKNYTQNSTYHTNLNTVLSNLSSNLNDYGFSNVSMGDNPDRVSAVALCRGDVEADICRSCVEDAGGKTVQWCPNQKEAFGGHDECMIHYSNVSTLGSWSSFPEIYLANPYNSSIPEQFNQGCEECKGKKGGRVVSPSCNFRFETKRFYNHTLIGPPPDGNNQTVIIVVCIAADLIGIVICIFVIYKKLKKRKAPNSNTEWLLCGGSVVEPSMNFELSTLQNATDNFSEANKIGEGDFGIVYKGKLQNGKLIAVKRLSKSSRQGNLEFKNEVELIAKLQHKNLVKLFGYCQEEGEMILVYEFVPNGGLDDILFDPVKRGYLNWERRYKIIENIARGLVYLHEDSRHRIIHRDLKANNILIDEDLNPKIADFGMARLFALNQTQGSTNTLVGA >itb09g05960.t1 pep chromosome:ASM357664v1:9:3405652:3412065:1 gene:itb09g05960 transcript:itb09g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCMVFLYLSCIILLSTVQPDDDLFWYSQCGASEKNYTQNSTYHTNLNTVLSNLSSNLNDYGFSNVSMGDNPDRVSAVALCRGDVEADICRSCVEDAGGKTVQWCPNQKEAFGGHDECMIHYSNVSTLGSWSSFPEIYLANPYNSSIPEQFNQGLQNLLEDLRGRAANGFPLRKFAANYTKGPDFRTIFAAVQCSPDLAAPICFDCLTSAFEFYAGCEECKGKKGGRVVSPSCNFRFETKRFYNHTLIGPPPGPDGNNQTVIIVVCIAADLIGIVICIFVIYKKLKKRKAPNSNTEWLLCGGSVVEPSMNFELSTLQNATDNFSEANKIGEGDFGIVYKIL >itb04g06890.t2 pep chromosome:ASM357664v1:4:4561664:4565664:-1 gene:itb04g06890 transcript:itb04g06890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVLGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIVSAPTAKELVKKMEEYVPCHEGVALKLSWPGDGAAWVPSDA >itb04g06890.t1 pep chromosome:ASM357664v1:4:4561664:4566539:-1 gene:itb04g06890 transcript:itb04g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMKASRFKKICVFCGSSQGKKSSYQDAAIEIGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVLGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIVSAPTAKELVKKMEEYVPCHEGVALKLSWPGDGAAWVPSDA >itb03g14350.t1 pep chromosome:ASM357664v1:3:14110436:14111084:1 gene:itb03g14350 transcript:itb03g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLHILATSLMILNLGLSCSARTYIVGDSSGWDISTDLDSWSFGKRFLVGDVLWFQYSSYHNVVEVAKEDFDGCNTGNVLSSNSTGNTTFPLTRPGQRLFVCGNRMYCLGGMKLQVNVESNQTLAPAPAPQAQAGGYGGSAAALLPPSSKSNNPSAVVPGSSNHVKVDLLMAGLGILGLMGNVLGML >itb07g09020.t1 pep chromosome:ASM357664v1:7:7759735:7760091:-1 gene:itb07g09020 transcript:itb07g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASDAPLAAVDPLTPAAFSLPLQPSRRSEISSVIASAPTRDSHHQMGRKTQPDEEEKKPTGIKAPPPLPSFLSQPAAGVSFAGKPAPPRRRRPFRLQHQRLRCPSSPLAALPPSSVA >itb05g01720.t1 pep chromosome:ASM357664v1:5:1358232:1360499:-1 gene:itb05g01720 transcript:itb05g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFCGSNDYVQSSPVEKDSGDSIRIPPGYRFYPTDEELINHFLRRKIEDPSFFTTAIEEADLKRLEPGVCVGVRKRFFFSRRDMIMKYGTGQRATDSGYWKTTGEDREIFKGKTLVGMKKTLIFYRGRAPSCERTNWVMHEYRLEGHNSLHNLPQNAKNEWVICKVFLKNPLEKKTFASNIHTLFLMAIQSLAWAYEVGKHYVNIKRKDYNNEYVGGVRQLQPKLHKSNSDAENPAAAAASSSMNSHNHNNNNTTMEDNSRVCVKVVGYNNDTVMFLLPFPTMDSLKAEILKRFNNLEAETFKIRYKDEDEEMVTIACDEDLHYCLEFFKSTGTTPVRLSLLI >itb08g07240.t1 pep chromosome:ASM357664v1:8:6196282:6196923:1 gene:itb08g07240 transcript:itb08g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLFGALEQKLLKFSQSAMSFAVGGSGSASPPSEFNLNKCDRLFKALTDCHRRIRGSLDRDIACRHLNRSLAQCLVAEACPDESDAVRSLCSSGGTALKRHQCRQAQVALSVCIASHQPP >itb12g16880.t2 pep chromosome:ASM357664v1:12:18397658:18402060:1 gene:itb12g16880 transcript:itb12g16880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFWRMILVISLQVLAIEGSHQRPPAATPAHATLNNALSFDYYMNSCPQLEQIVHNKIMQWIKRDRTLAPALIRLHFHDCAVRGCDGSILLNHKGSERKANASMSLRGFEAINDIKQEVEKKCPKTVSCADILTVAARDATLAVGGPFWMVPFGRKDGRVSLAKEADHTVPIGRESVTRLINFFQSKGLNVLDLVVLSGAHTIGRSTCESLQFRLSNYNGTRKPDPTINRHYRNLLRRKCKKASDYVNLDGVTPNAFDVQYYTNLEKKMGVLLTDQLLYSDRRTEPLVAVLASQPSVFEPQFAASMVKLGNILDHFNNGEVRLNYETNASSPADLLGRDLRHRNATTGSPATPTLPPHRLRPSYLRPRIPGQQQRETMLEDAALGALGSLAESAMDEFWPFYGIVMPYLKFTVVTAKADSDYLLVANSLKCIAVIAVVVGKSMFYADVDDVVRDLILLQESNSGNDGTVRGYLLQAWGGVCRSLGVDFLAYLSVSVPQLIQSAKRIDYLTDDVDSDDKRRSIILKEKFLACNTIGCFAAHINRGLHMWIKEVVDAVLPLINFKLDERVRIAVATARKIWACPRCNIFRPSYRSIKVM >itb12g16880.t1 pep chromosome:ASM357664v1:12:18400007:18402244:1 gene:itb12g16880 transcript:itb12g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDAALGALGSLAESAMDEFWPFYGIVMPYLKFTVVTAKADSDYLLVANSLKCIAVIAVVVGKSMFYADVDDVVRDLILLQESNSGNDGTVRGYLLQAWGGVCRSLGVDFLAYLSVSVPQLIQSAKRIDYLTDDVDSDDKRRSIILKEKFLACNTIGCFAAHINRGLHMWIKEVVDAVLPLINFKLDERVRIAVATARKIWACPRCNIFRPSYRSIKVM >itb12g03500.t1 pep chromosome:ASM357664v1:12:2298075:2300344:-1 gene:itb12g03500 transcript:itb12g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRDGCRRRPLKVPLLGMLPSLFLHVHKIHNRCAAVLSLHGGTFLLKGPWFTNLDILATVDPQNVHYIMSGNFENFPKGKEFKKIFDVLGDGIFNSDLDLWKNQRKLARALIIHQSWNKVEKGLIPVLEFAAERGGVVDLQDVFQRLTFDTTCTLVTGYDPGCLSVDFPDVPFSKAMDDAEEVIFIRHLLPESLWKLQQWLGIGPEKKLSRACEILDQVIGKYITMKRQELILSHKKQNPSSQKSKQSEEKQNFSSQRPTQSDEKQNSLSQGFTQSDEKQNSYSQGFTQFDVKQNSSSEGPTQSDDDGHDLLTSYINNNEGENDKFLRDTILNLMIAGRDTTSSALTWFIWLVSTHPEVENNIRDELSNAVKSPHKFRLFKSEDLKNLVYLHAALCESLRLYPPVPFQHKSPLHTDILPSGHKVSPNTRLVFSLYAMGRMQFIWGKDAREFKPERWISERGTVKHEPSYKFLAFNAGPRTCLGKEVAFTQMKAVAAAIIHNYHVRAVENHDASPNVSIILYMKHGLKVRVQRRWT >itb04g11610.t1 pep chromosome:ASM357664v1:4:11298542:11302011:-1 gene:itb04g11610 transcript:itb04g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPSSPTPQSLRNYKLSLLDILLATFYTPIVFFYDSRAGDHDYDELKDSLMKTLSILYPLAGRMKDGSTTIECNDEGADFVRANVTNCDLGEFLRHPKLEDISQLLPLDPKPNAIHPSQPMLAVQLNRFRCGGTAVAFCVWHGLADAGAMVGLFNTLAAINRGEEPSDPGGLVVDPSAIFRPGNFVRPMPRSLKNRGNYSSKRFIFGKQDIERLRNDYYHPSEHRHRPSRVKALSAFIWAASPRS >itb02g04880.t1 pep chromosome:ASM357664v1:2:2877448:2879822:-1 gene:itb02g04880 transcript:itb02g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seipin-1 [Source:Projected from Arabidopsis thaliana (AT5G16460) UniProtKB/Swiss-Prot;Acc:Q9FFD9] MPETRRADREDELAGAKEARNLSEWFSKLVSLQAETVSACLSALSSPLFSRRRLFDRTAANDTSEMGGGSGGLVRKIGVGILAAAYVGMILTILLVVAAVMGVAVVRIWVEEPVLLRERLNFDYTDAHPKAVFSFVHREFNTKFTVGKSKKMGVPVGQTFYVSLLLVMPESDYNRELGIFQVAAELISNNGGIVTRSSHPCMLQFRSQPIRLMRELIWGIPLLLGIASETQRIVIPMIRHKEGYPRTEAIRVILMPRASTLALPQVYEAEIILKSHLPWMKELLYRWKWTFYVWVSMYIYMALLVVSLCCFRSLMVPVLTTTVRGYDEEQLMLEPSEDRVGEERNVPESLKRRRLSSRRKAMLRQKVVAEPAETVDSSASSYTITRDDTAPLQEDTEDSESVCFRSGGEE >itb11g14890.t2 pep chromosome:ASM357664v1:11:12240760:12247605:1 gene:itb11g14890 transcript:itb11g14890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGALHFEEKNATVISYGVLTNSSTYIEVPRQIEDPTFKFRIPLQLAASREIARSLIGDSTFSWNIAFKQFAHPELRRRDDDDYSISNEIEINNFGPIFFYAVCMFAFVFQMSSIVAEKELKLRQAMAVMGLYDTAYWCSWIIWEGFMSLLSSLFIVLFGMAFQLHVFLENSFAFVFLLFFLFQLDMVAFAFLFSNFVRKTSSASSVGFAIFVVGVLTQAFSVLVYTDTKSKHMYQRLLWSLFPPNPFSGGLGLLLAASRHPGNGISQRRQSLCDIDDTYCRPIGYFYQWQIATFFMWLIVAIYVNNIWTNSTGVKKPYFYFLNPSYWTGKGEYKSEENGKCCGSSSSPPNDRFAANDEGVLEEESRVKQQAKEDNVDPNVAVQLRGLFKTYPKKIKLRCRSCCFCCYCCVCRTRKAYTAVKSLWLNFEKDQLFCLLGPNGAGKTTVINCLTGITSVTHGDAMVYGNSVRTSAGLSNIRKQIGVCSQYDTLWDKLSAKEHLELFASIKGLPQTSNKSEATNLLAQVKLEDVGKVRASSYSGGMKRRLSLAIALIGNPRLLILDEPTTGMDPVTRRHIWNVIESAKHGRSIILTTHSMEEADILADRIGIMAKGRLRCIGNATTLKSRFGAGFITKVSLDKGEDDDNTIEDHNKHHLAVKEFFKMHLDVMPKEEDPSSLTFVIPHEQERQLEEFFKEMENRKSDFGIQNVQIGLSTLEEVFLSIAQKAELDSATAEGNVKTLTLPSGTSIQVHLGAKYVEIPGSVSPDNPRGLMVEVYWEQDDNGNLCISGHSNETPMPSNFRRTPTGLVP >itb11g14890.t1 pep chromosome:ASM357664v1:11:12240760:12247605:1 gene:itb11g14890 transcript:itb11g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGALHFEEKNATVISYGVLTNSSTYIEVPRQIEDPTFKFRIPLQLAASREIARSLIGDSTFSWNIAFKQFAHPELRRRDDDDYSISNEIEINNFGPIFFYAVCMFAFVFQMSSIVAEKELKLRQAMAVMGLYDTAYWCSWIIWEGFMSLLSSLFIVLFGMAFQLHVFLENSFAFVFLLFFLFQLDMVAFAFLFSNFVRKTSSASSVGFAIFVVGVLTQAFSVLVYTDTKSKHMYQRLLWSLFPPNPFSGGLGLLLAASRHPGNGISQRRQSLCDIDDTYCRPIGYFYQWQIATFFMWLIVAIYVNNIWTNSTGVKKPYFYFLNPSYWTGKGEYKSEENGKCCGSSSSPPNDRFAANDEGVLEEESRVKQQAKEDNVDPNVAVQLRGLFKTYPKKIKLRCRSCCFCCYCCVCRTRKAYTAVKSLWLNFEKDQLFCLLGPNGAGKTTVINCLTGITSVTHGDAMVYGNSVRTSAGLSNIRKQIGVCSQYDTLWDKLSAKEHLELFASIKGLPQTSNKSEATNLLAQVKLEDVGKVRASSYSGGMKRRLSLAIALIGNPRLLILDEPTTGMDPVTRRHIWNVIESAKHGRSIILTTHSMEEADILADRIGIMAKGRLRCIGNATTLKSRFGAGFITKVSLDKGEDDDNTIEDHNKHHLAVKEFFKMHLDVMPKEEDPSSLTFVIPHEQERQLEEFFKEMENRKSDFGIQNVQIGLSTLEEVFLSIAQKAELDSATAEGNVKTLTLPSGTSIQFKWQ >itb11g14890.t3 pep chromosome:ASM357664v1:11:12240760:12246372:1 gene:itb11g14890 transcript:itb11g14890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGALHFEEKNATVISYGVLTNSSTYIEVPRQIEDPTFKFRIPLQLAASREIARSLIGDSTFSWNIAFKQFAHPELRRRDDDDYSISNEIEINNFGPIFFYAVCMFAFVFQMSSIVAEKELKLRQAMAVMGLYDTAYWCSWIIWEGFMSLLSSLFIVLFGMAFQLHVFLENSFAFVFLLFFLFQLDMVAFAFLFSNFVRKTSSASSVGFAIFVVGVLTQAFSVLVYTDTKSKHMYQRLLWSLFPPNPFSGGLGLLLAASRHPGNGISQRRQSLCDIDDTYCRPIGYFYQWQIATFFMWLIVAIYVNNIWTNSTGVKKPYFYFLNPSYWTGKGEYKSEENGKCCGSSSSPPNDRFAANDEGVLEEESRVKQQAKEDNVDPNVAVQLRGLFKTYPKKIKLRCRSCCFCCYCCVCRTRKAYTAVKSLWLNFEKDQLFCLLGPNGAGKTTVINCLTGITSVTHGDAMVYGNSVRTSAGLSNIRKQIGVCSQYDTLWDKLSAKEHLELFASIKGLPQTSNKSEATNLLAQVKLEDVGKVRASSYSGGMKRRLSLAIALIGNPRLLILDEPTTGMDPVTRRHIWNVIESAKHGRSIILTTHSMEEADILADRIGIMAKGRLRCIGNATTLKSRFGAGFITKVSLDKGEDDDNTIEDHNKHHLAVKEFFKMHLDVMPKEEDPSSLTFVIPHEQERQLEVRLSLYML >itb04g18690.t1 pep chromosome:ASM357664v1:4:22293800:22295920:1 gene:itb04g18690 transcript:itb04g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWVILAYVVAAEAAVAILITLPSPKALKSRIVSLISLALQPSFFIVPFAAFQLLDIYWKNEHRLMCTGEICTAAERDRYEKSIFKAQRNAILCMVACILYWCIYRVCKYYKEIQSMEEVEKRYKDQ >itb03g02590.t1 pep chromosome:ASM357664v1:3:1473506:1476037:1 gene:itb03g02590 transcript:itb03g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSRRRRRRTPSSPLPPSSSSPSPPPPPEPEQAALPSPSSSPQESPEMSPPRPDKSTDPFLFPETKSSVLPDPSKFFAPHLLSNPLPTNSFFQNFVLNNGDQPEYIHPYLIRSSQSALTLCYPSNFSSPSFTHQIFSADITITGLSRLEPAAHIISSFNDLSVTIDIPGSNLRFFLVRGCPFVTCSMNSKVEVTISTIHEILECCSQYNQTKYIIKLNNNQTWLLYASSPINLTHNTSTITSVSSFSGLIRIALLPNPDPKCEAILHRFSSCYPISGEAVFARPFSVEYKWEKKGWGNLLMLAHPLHLKLLSDTDCTVTVLEGLKYRSIDGDLVGVVGDSWVLRNDPIAVTWHSIEGINEESFPKIIQALNKDVEALDPKAIATNTESYFQGKLIARAARLALIAEEVCCFDVIPGIRSFLIDTIEPWLNGSLEGNAFLYESKWGGIVTKQSSSDTGADFGFGIYHHHHSQIGYFIYGIAVLAKIDSVWGRKYKRQVYSLVGDYMNLGRREGSHYPKLRCFDLWKLHSWEGGLTESADGRNQESTSEAVNAYYAAALMGLVYGDPNLVAIGSTLSAMEILSAQTWWHVREDPENSVYTQEFRKNNRVVGVLWANKRDSGMRFAPPEWRERRVGIQLLPLLPISEALFSDLQFVKELVEWTEPALRRDGVEDAWKGFVYALEGLYDQEGALEKIRSLNGYEEGNSETNLLWWIHSRDDLGEETDRARKLCWSRHYTH >itb13g24510.t1 pep chromosome:ASM357664v1:13:30176746:30182691:1 gene:itb13g24510 transcript:itb13g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFFNVTAFCICIALIIPFNQSESEIRFLGHLSGGLALLDAYSLAKKKALHNYFLVTVFAVGDWVTATLSLSAASSSAGITVLYYGDLGGCSFGEECTKFQLAAALAFLSWIMIALSSLIMFWIWARELSRLSTKTGLIKISDYPKETSRFAMAMKAIRGRILGKFQQFKPHILMVLAQLAYTFLYFITQASFNHGMNPHVYVTYRHIVSGLAFLPFAYFLERTKRPKLTLALFLEIFVLSLLGVSLTLNMYFASLNYTSPTFIASVVNAMAAVTFVIAIILRLEVVDIHSPRGIAKVLGTLVSLAGVMTMTLYKGPVLKKLWHPPISISKGNITIQENWVKGSILTVASCIAWAMWYIMQALALRRYPAQLSLTTWMSFVGAAQSAVYTVIVQHKKAAWTIGFNIDLWSTIYGGVVISGIVVYIQLWCTEQKGPVFVTMFNPLSTILVAVLSYFVLGEKLYTGSIIGAVIVIVGLYLLLWGKERDQEAEMKVKEQSEEIPAENCGESKRRLFTPDQNVVTAEP >itb08g04540.t2 pep chromosome:ASM357664v1:8:3726830:3731615:1 gene:itb08g04540 transcript:itb08g04540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHFETMSELGIQDPRHGFGARDISPDSVIFAADSNFSLYSSASGSVDRCSFASDAHDHEASFRAASRTTHLAEHGFHGSSGGPALDPIKHIVHRNSHLSRKEKSKATNSIAATEDEGLAVDLAATSFSQALKECQDRRLRSELKALDRQRHASLDMKHAANSSSPRYGLMKKPSVTTQQTSAFSSPEIPNYCHSSVGAQKDRSSVQVPLHTSANRRQVNTTFLPYNNGRALPFKWVDAERWIFSPVSRDGAITTSLQQPQMRPKSKSGPLGPPGSALYSPIVPVSKGGNDFDLQASSPFSTRVMSADGLSVQYGGHEIRGKLAACCDLCMTKSISVHGSSQNFCLSSFPAPRGESDCAKGADANIPHVVSRKDMTTQIRPEASPQSSPMRCSSSPTERPSIQPIMGVQSVLSSKADIRNVLVDEQVTLTRWRKKHKVRIPWGSLDIVDYRKSKPVGTLSGVGEILETAHSLSKIKKEESRITAWENLQKAKAEAEIQKLEMKLQKKRSSSMDKIMNKLRSAQKKAQEMRSSALARQSHDVVRISHRALFFRQTHQMGSFSGCFTCHEF >itb08g04540.t3 pep chromosome:ASM357664v1:8:3726830:3730933:1 gene:itb08g04540 transcript:itb08g04540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHFETMSELGIQDPRHGFGARDISPDSVIFAADSNFSLYSSASGSVDRCSFASDAHDHEASFRAASRTTHLAEHGFHGSSGGPALDPIKHIVHRNSHLSRKEKSKATNSIAATEDEGLAVDLAATSFSQALKECQDRRLRSELKALDRQRHASLDMKHAANSSSPRYGLMKKPSVTTQQTSAFSSPEIPNYCHSSVGAQKDRSSVQVPLHTSANRRQVNTTFLPYNNGRALPFKWVDAERWIFSPVSRDGAITTSLQQPQMRPKSKSGPLGPPGSALYSPIVPVSKGGNDFDLQASSPFSTRVMSADGLSVQYGGHEIRGKLAACCDLCMTKSISVHGSSQNFCLSSFPAPRGESDCAKGADANIPHVVSRKDMTTQIRPEASPQSSPMRCSSSPTERPSIQPIMGVQSVLSSKADIRNVLVDEQVTLTRWRKKHKVRIPWGSLDIVDYRKSKPVGTLSGVGEILETAHSLSKIKKEESRITAWENLQKAKAEAEIQKLEMKLQKKRSSSMDKIMNKLRSAQKKAQEMRSSALARQSHDVVRISHRALFFRQTHQMGSFSGCFTCHEF >itb08g04540.t1 pep chromosome:ASM357664v1:8:3726830:3730933:1 gene:itb08g04540 transcript:itb08g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHFETMSELGIQDPRHGFGARDISPDSVIFAADSNFSLYSSASGSVDRCSFASDAHDHEASFRAASRTTHLAEHGFHGSSGGPALDPIKHIVHRNSHLSRKEKSKATNSIAATEDEGLAVDLAATSFSQALKECQDRRLRSELKALDRQRHASLDMKHAANSSSPRVGAQKDRSSVQVPLHTSANRRQVNTTFLPYNNGRALPFKWVDAERWIFSPVSRDGAITTSLQQPQMRPKSKSGPLGPPGSALYSPIVPVSKGGNDFDLQASSPFSTRVMSADGLSVQYGGHEIRGKLAACCDLCMTKSISVHGSSQNFCLSSFPAPRGESDCAKGADANIPHVVSRKDMTTQIRPEASPQSSPMRCSSSPTERPSIQPIMGVQSVLSSKADIRNVLVDEQVTLTRWRKKHKVRIPWGSLDIVDYRKSKPVGTLSGVGEILETAHSLSKIKKEESRITAWENLQKAKAEAEIQKLEMKLQKKRSSSMDKIMNKLRSAQKKAQEMRSSALARQSHDVVRISHRALFFRQTHQMGSFSGCFTCHEF >itb11g05130.t1 pep chromosome:ASM357664v1:11:2986638:2988258:1 gene:itb11g05130 transcript:itb11g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNAVTVLEHIPVPPPPEATPKLTLPLTFLDLLCLHMIPVHRLIFYQHPISKTHFLDTLIPTIKNSLSLTLQHYAPLAGRLIASPDNSTLPEIRYEEGDTVPLVLAEANSGECHFNDLTSGDPRICTEFHPLVPSLPPASRAPDGSVIVPVLALQVTLFSGVGICIGITNHHAVGDASSIFGFMKAWALVSSHESPVSLPAEIQPFYDRTVIRDEKGLETFFWDNVKQIKVEDRHVHRLPQITDRIRATFTLTRDNIQRLKNRILSGRPNLAHISSFTTTCSYIWICWVKSRYESDTDKIGDDEDEFFNCAADCRLRLDPPVPGNYFGNCLVACFGYAKTKRLRGEEGLLDAAEGIGEAIRRRLYDKERGVLGGAEDWFKLMSKLKIDRVLTVIGSPRFDYYELDFGWGNPKMFQMPSTDLSRGISLSKAKDHEGGGLEIGLSLPATQFDNFTRIFTKDLEAL >itb06g10770.t1 pep chromosome:ASM357664v1:6:15271765:15272742:1 gene:itb06g10770 transcript:itb06g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKISNSGRRIHGTVFLGRLSSSSSTDPQVFAVKSSKLESSRSLRVEGRILNQLRGCPYIVHCFGDDTSLEHEKAVYNLLLEYAPGGSLETLIKSRPGNIMEFEVSFYAYQLLKGIEEVRGWGLVHCDLKPANVLVFPCGCGVNRLKIADFGLAKPSGVNIFGDCHRGSLLYTSPESLVSGMHETPKDIWAIGCMVVEMITGNPAWRFLDSKDASLNIAFKKPEIPEGISNRCKDFLERCFERNPSTRWTAAMLLNHPFVANEFNLLLGRKLEDHHQVNSPFGFEGWSSTTCLFSTRIRACSSRPSSSNQPVLFQKMKTVVLGH >itb12g28110.t1 pep chromosome:ASM357664v1:12:28175813:28176965:1 gene:itb12g28110 transcript:itb12g28110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLSGFSSGTGERPSPTRRELQLQGPRPTPLRVNKDSYKIKKPPVAPQPQQQPAAAAATTTTQNQPVIIYSVSPKVIHTTVSDFMGVVQRLTGSSSSSQLGQTSGSSSGSGDLSPAARLASIEKTSPSERERERHRDTGPSEQIEVSAIIENSSVEMGQIPGILSPAPTSLLPISPPGLFSPASDPWMLMQLNNMFPSPSALFSAPLVSPSPSSLHDLSPFFDF >itb08g09740.t1 pep chromosome:ASM357664v1:8:9056825:9058965:-1 gene:itb08g09740 transcript:itb08g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMVSILRKSIVKPSSPTPISLRTHSLSYIDQALSHMYIPFAFFFPKPQQQTITNNISQAIQTSLSKTLTAYYPYAGFLRDNASVDCNDTGVEFIDARIHCPMSDVSRFECGGIAISASLCHKIGDGFTASKFINDWAATTRDSNVKPYAHFVRDSVIPPPENSPPLPTPVILAQTQHCRQKRFFFSASKINSLKAMVAAKTGIQNPTRTEVVSAYLYKCIVKTREDSNTLRPSQLFQYADIRPLALPQRLAPTSAGNLLSTFCISTTHDDDLDVARLVADLRNRKQVLYGEDMIKENELALEIYESTKGGQKPYEGDGFDKYFFSSICNLPMYDVDFGWGRPMHVSVPMGPFKNFILLFGNKSMGGVDAWVTMEEDHMAALEQNEELLQEFTST >itb02g01180.t1 pep chromosome:ASM357664v1:2:651954:657237:1 gene:itb02g01180 transcript:itb02g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKIILLFTALLAALAVLVHAQDDQLGFVSIDCGLPEGSNYTDPITGIRYVSDAGFADSGTLNNISSTYVTADLIRQFLTVRSFPEGPRNCYTVRPPKSKFRTKYLIRARFFYGNYDGQNQVPTFDLHLGADHWKTITLDDASTPHTTEMIHVLSSDFLHVCLVNTGAGTPFISALELRLLNEDGLFAMYPAVNGSLQLYGRIDLGSTSNQSIRYKDDRWDRIWKPFTFDGMRILNNPSAKIQYDGFDPLIVPEKVMKTAGEIVESNNSSQLAFYWKPDSFDDEFYMYMHFAELQQLPKNQTRQLNIYLNGNLWFGNYSPRYLRPGTIFSDKPENQSFRYNVVLNRTGNSTLPPIINAVEVYKVTYILLNQTADQDVTAIRYVKSTYGVTKNWQGDPCYPQEYSWIGLNCSYDGNNSPRIISLNLASSGLTGEISPYMSSLSSLQLLDLSNNDLTGKIPGFLAQLPSLRVLNLKGNNFTGPVPPDLIAKANTGSLLLSFDQSDGKDISVCQSGPCNNNNGDGNKEKKKHKFVVPVAAFSFLLLVLISTLLIMKRRSKQKGHRKVGNGSATVPASGDAAVKIELRNRQFTYSEILQMTNNFETVIGKGGFGTVYLGFTEDSRVAVKMLSPSSVQGYKEFQAEASLLMNVHHKNLTSLVGYCIEGTNLGIVYEYMANGSLDRHLSGKTPHILTWEDRIRIASDAAEGLEYLHHGCKPPIVHRDIKTTNILLDDTFQAKLSDLGLSRVFPAEGGSHVTTIVAGTPGYLDPEYYTTNRLTEKSDVYSFGVVLLEIITGRNPLGESDNIYVVKWVTTMLEDNGDIASIVDPRLVENFDINSAWKVVELGMACVEHDSANRPTMASVASELNMCLMEITTNNRGDQSTVEGKDSMPLNVESDLYPSAC >itb13g02490.t1 pep chromosome:ASM357664v1:13:2391915:2394972:1 gene:itb13g02490 transcript:itb13g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPSLNAAYRSWANLSDLELPKLRLTTHLIKSLKNHTQAVQSKNRMIIPHAPFNQSTFTKLLDTSLPTRGFGFSALLFTQFSHLIDVEHCNCIIRRYTDSGKHLYSVFVYTQMHRLDIRPNNSTFPSVLKSAAQICYGRFGESVHCSVTTMGFGSDLYASTSLVHMYCVCGQPSLARMLFDEMPQRNVVSWNSLISGYLHCGKFREAIHVFREMQGSEIEPGEMTMASVLSACAHLGALDQGKSVHDYIINKGLMLNVYVGTALIDMYAKCGDIDEGERVFEAMQAKNVQTWNVLISGYAMNGRGEAALLTFNRMIVENFKPDVVTFLGVLCACCHQGFVEEGRKLFSRMRNEFGLQPKIEHYGCMVDLLGRGGFLDDAXHFREAIHVFREMQGSEIEPGEMTMASVLSACAHLGALDQGKSVHDYIINKGLMLNVYVGTALIDMYAKCGDIDEGERVFEAMQAKNVQTWNVLISGYAMNGRGEAALLTFNRMIVENFKPDVVTFLGVLCACCHQGFVEEGRKLFSRMRNEFGLQPKIEHYGCMVDLLGRGGFLDGCMVDLLGRGGFLDDAMEMIRSMNLKPDPVIWRALLVACRFHGRAELGEFAFQKLVELEPRNADNYVLLSNVYVHDKKWAEVGELRKLMDRRGIRKVPGCSSIEIENVMYVFKASDSIGPGYVDVHNMLGEMKEKLKFAGYAAETGMVLYDVEEEEKEHNLIYHSEKLALAFGLLNSSSTTLRIMKNLRICQDCHHFFKLVSAVYRRNIIVRDRYRFHHFTGGICSCKDYW >itb05g13640.t1 pep chromosome:ASM357664v1:5:20650495:20660987:-1 gene:itb05g13640 transcript:itb05g13640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLVERYGVKSRGNSTPLANLKAKSKPSSGFPKDLGYDSGQKSSNNSDPFNGSFVDDLDGVFRSGKVSGQQNYDNSDIFGGQFPSSNSTTAQQSHVDLDTFFKGSTNQNGNNRNNSNLRDDFDFFGSAPKPSDSVDDLFGNLGFKSEGAKKTVVQNVHGSDDLIPGFGSHSNSEKSARTSHSQQSSVHSYKQSSTFADDPFSVFESTPPAQNVSSWPFSDPLEKLAETNPSINGLEDFVSGRGTSTSQGQQHYVSRKESNVKKTHSAGDIFGNTSTDPMFDMLFNNNGGGTNDKWTSHGTQSTGKSKSPLKNFVDDFSSSLFGDLGSSFDEFREVEGESEERRRARLNHHTRTRERMARALAEKNQRDLQTQQEQEEKSRLAEALDEEIKRWAAGKEGNLRALLSSLQYVLWPECGWQAVSLTDLITSTSVKKVYHKATLCVHPDKVQQKRASLREKYIAEKVFDILKEAFIKFNAEELR >itb11g22090.t1 pep chromosome:ASM357664v1:11:23910310:23913681:-1 gene:itb11g22090 transcript:itb11g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFISVVAEGVLRKLFSLAAEELCALWGFKESLKNLAENLEMIQALMIDAENKQTDSRAVRLWLKKLTAVAFDADNVLDEFAYEILRIKAISENKVRDFFTLSNPLLLRVQFGQKVKIIDRNLEKLYKESNDIGLRVIEGLGRDSEAQLLRKTDPCVVESEVVGKLVSLQTLPFFVVSSDSRCQISDLGCLPNLKGHLNIYSVENVKNYNAAREAKLYEKENIHTLQIRWHPLDRRRKEFVDEGVLEGLEPHFNLRDLTIESFKGARFPSWLVENKLKNLMKITLWDCNWCEQIPTLGHLPSLRIVSITGMNSVKYIGPEFYCQTNIDCKSSSVTLFPSLRELTLSGMAILTQWSEAKLPSASRTKVFLHLEILKIEGCPELSSLPEMSGLTSLRYLSFVRCDKLASLPERLGSLALLQELEIRKCSNLAALPDVTGLQSLRKLDISMCEKLIALPTGLELCKALENLCIRQCPSLFPEGLSQLVHLKELRIGHFSRELDSFPWPSTPSGATPFASLVSLTLYGWATLKSLPNQLKELSGLKHLSIREFGVEALPDWFCCLSSLQSVCFTLCANLAYLPSMEAMQCLNNLQAFEIIVCPLLEERCFEGSRPEWHKISHIAKIRTNYQTIQCLY >itb08g05440.t2 pep chromosome:ASM357664v1:8:4477820:4483013:1 gene:itb08g05440 transcript:itb08g05440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHCCCSPHLKDSAMVLRFSTLRRCEQLTLSLLLGLVLRAATVDHILPLNFITVTMGSAALLLIGWRTLLLSILPDDQKKKNDVYKRDTPFELFEMSHNSTRRYHDDLTFHHRDRRREEISILEPSAENLKVPPSLSLLQRDRPNSLGMSNGYIEQPNIIRTPTFTPEWQMQVPHSPESIESPLDGPSGGKELIIPVEKNGFYPAKSNRAVMVCIKKYFTKAVKTYREASPELKEVWFNEFRKRYTWREEHNDDIRCNFDAKASLNLSMTFSRIRKARLKNKPPPKWISPEIYKDLEKLWDQEFDDTMNEPSKVPFERSRELITPVGEDGFYPAKANRAIMRCIKKHFTKAVKSYRKAPPELKEVWFDEFKKRYTWREEHNTNIRRIFDAKASNQLRNTLTDIRKSWRMNKPPPKWISPEIYKDLKKLWDEDFSDIRSEPSKVIFVQGRELITPVGEKGFYPAKANRAIMGCIMKHFTKAVKSYREAPPELKEVWFNEFKKTYIWREEHNTNIRRNFDAKASNQLRNTLTGIRKARRMNKPPPKCISPQVYKDLEQLWDDPEYITKCEILKQALNTVHVDDRPSSLYTDGSIPMSKRGQELITLDGKGGFHPAKVNGAIMGCIKKHFTKAVETYREVPPELKEVWFNEFRKMYIWKEEDETNIRRTFDAKASNQLLNNLIHIRKRRLENKSPPKWISLEIYKELEQLWDDYR >itb08g05440.t1 pep chromosome:ASM357664v1:8:4477820:4483013:1 gene:itb08g05440 transcript:itb08g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLLSGGGGASSLPSSHIFSLKFIQPIPPLFSSIFNHASMPKIRPNGASRPTSPPSNAAGDDAESSLTQDRVPLRGVIQKGKPNSSSPISKWGKVALLAGGDVAALLLFSALERFSYGFEVFDFETMRTADPFIVGWFLSASFLGGYGEDGRGMNGLSKACIAATKSCALGIPLGLVLRAATVDHILPLNFITVTMGSAALLLIGWRTLLLSILPDDQKKKNDVYKRDTPFELFEMSHNSTRRYHDDLTFHHRDRRREEISILEPSAENLKVPPSLSLLQRDRPNSLGMSNGYIEQPNIIRTPTFTPEWQMQVPHSPESIESPLDGPSGGKELIIPVEKNGFYPAKSNRAVMVCIKKYFTKAVKTYREASPELKEVWFNEFRKRYTWREEHNDDIRCNFDAKASLNLSMTFSRIRKARLKNKPPPKWISPEIYKDLEKLWDQEFDDTMNEPSKVPFERSRELITPVGEDGFYPAKANRAIMRCIKKHFTKAVKSYRKAPPELKEVWFDEFKKRYTWREEHNTNIRRIFDAKASNQLRNTLTDIRKSWRMNKPPPKWISPEIYKDLKKLWDEDFSDIRSEPSKVIFVQGRELITPVGEKGFYPAKANRAIMGCIMKHFTKAVKSYREAPPELKEVWFNEFKKTYIWREEHNTNIRRNFDAKASNQLRNTLTGIRKARRMNKPPPKCISPQVYKDLEQLWDDPEYITKCEILKQALNTVHVDDRPSSLYTDGSIPMSKRGQELITLDGKGGFHPAKVNGAIMGCIKKHFTKAVETYREVPPELKEVWFNEFRKMYIWKEEDETNIRRTFDAKASNQLLNNLIHIRKRRLENKSPPKWISLEIYKELEQLWDDYR >itb13g22110.t1 pep chromosome:ASM357664v1:13:28477775:28479997:1 gene:itb13g22110 transcript:itb13g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTHKTVLVNGINMHIAELGEGPLVLFLHGFPELWYSWRHQILFLAAQGYRAVAPDLRGYGDTTGAPTDDPSNFTAFRVVGDLVALLQSISPDEDKVFVVGHDWGALMAWYLCMFRPDKVKALVNLSVHFFPRNPHMSPVDGFRFLYGDDHYICRFQEPGEIEVELAPLGVKTCLKNFLTFRKPEPFYFPKGKGFSANTETDGSTALPSWLPEKDLDYFVSRFEKTGFIGGVNYYRCEFELGADCTLD >itb13g22110.t2 pep chromosome:ASM357664v1:13:28478281:28479659:1 gene:itb13g22110 transcript:itb13g22110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYLCMFRPDKVKALVNLSVHFFPRNPHMSPVDGFRFLYGDDHYICRFQEPGEIEVELAPLGVKTCLKNFLTFRKPEPFYFPKGKGFSANTETDGSTALPSWLPEKDLDYFVSRNWELTAPWTEAKVMVPTKFIVGELDLVYHIPGAKEYIHNGGFKNDVPLLEDVVVLKGVAHFINQEAPEEVNKHIFDFLKKF >itb12g03780.t1 pep chromosome:ASM357664v1:12:2473203:2475031:-1 gene:itb12g03780 transcript:itb12g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGINNNQVDDQMALISHFYPDIYTQILSDQGEAAEAKPRRRRKKNKAGGDGGLTGIRKRKLSEEQVNLLEQSFGDERKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEEYSKLKAEHESTVLENCRLETQVLKLKEQLCDAENRVQMLLDRRHHGGGGGGDGVSSNSPSTSSFPTEAPPYFGEFGMDGLDDVFYVDENHYGHVVDQWIKFYGM >itb12g22560.t1 pep chromosome:ASM357664v1:12:24615483:24617943:1 gene:itb12g22560 transcript:itb12g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKADSKKVDPKAQAAKVAKAVKGTTFKKTAKKIRTKVTFHRPKTLRKDRNPKYPRISAPARNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >itb07g04180.t3 pep chromosome:ASM357664v1:7:2796441:2800151:-1 gene:itb07g04180 transcript:itb07g04180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQHGACDYLLKPIRMKELRNIWQHVVRKRMQESRDIENHEGDQFDEAWMFNGIELQSGKKRKDFDYKFDERETSDSRSGDPSSVKKPRVVWTVDLHQKFVKAVNHIGFDKVGPKKILDLMGVPWLTRENVASHLQKYRLYLTRLQKENELKASSSGTKHPDLSPKESPSSACLQNLVDVKPSKSTNGKYAFHGEKFCVQEVESRNYKGEVKAAAPLSTTGVSRAQVGENCDSQKSISCSKASWASEVSKTGFKHEFKPQIQTEDNVNHLPSPKLPRNVPLDQAQPLLNLAPHKDINPGEIKSKPGNINTENPGVRTVSPLECAVDLLPAQPSQPQSCLTNFQAFEQIPSTTWSAKTPQILINGLESVEGNLFLGGGSWHKDFNAAALQGEFHSPCVVGPQSLELLDYSNTNLTGEIQPYFYDYEYAIDPVIDHGLFIL >itb07g04180.t2 pep chromosome:ASM357664v1:7:2796443:2800151:-1 gene:itb07g04180 transcript:itb07g04180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMAGGIFLPRSETFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLATEAISLLRERRNGFDIVISDVNMPDMDGFKLLELVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVVRKRMQESRDIENHEGDQFDEAWMFNGIELQSGKKRKDFDYKFDERETSDSRSGDPSSVKKPRVVWTVDLHQKFVKAVNHIGFDKVGPKKILDLMGVPWLTRENVASHLQKYRLYLTRLQKENELKASSSGTKHPDLSPKESPSSACLQNLVDVKPSKSTNGKYAFHGEKFCVQEVESRNYKGEVKAAAPLSTTGVSRAQVGENCDSQKSISCSKASWASEVSKTGFKHEFKPQIQTEDNVNHLPSPKLPRNVPLDQAQPLLNLAPHKDINPGEIKSKPGNINTENPGVRTVSPLECAVDLLPAQPSQPQSCLTNFQAFEQIPSTTWSAKTPQILINGLESVEGNLFLGGGSWHKDFNAAALQGEFHSPCVVGPQSLELLDYSNTNLTGEIQPYFYDYEYAIDPVIDHGLFIL >itb07g04180.t1 pep chromosome:ASM357664v1:7:2796441:2800496:-1 gene:itb07g04180 transcript:itb07g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMAGGIFLPRSETFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLATEAISLLRERRNGFDIVISDVNMPDMDGFKLLELVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVVRKRMQESRDIENHEGDQFDEAWMFNGIELQSGKKRKDFDYKFDERETSDSRSGDPSSVKKPRVVWTVDLHQKFVKAVNHIGFDKVGPKKILDLMGVPWLTRENVASHLQKYRLYLTRLQKENELKASSSGTKHPDLSPKESPSSACLQNLVDVKPSKSTNGKYAFHGEKFCVQEVESRNYKGEVKAAAPLSTTGVSRAQVGENCDSQKSISCSKASWASEVSKTGFKHEFKPQIQTEDNVNHLPSPKLPRNVPLDQAQPLLNLAPHKDINPGEIKSKPGNINTENPGVRTVSPLECAVDLLPAQPSQPQSCLTNFQAFEQIPSTTWSAKTPQILINGLESVEGNLFLGGGSWHKDFNAAALQGEFHSPCVVGPQSLELLDYSNTNLTGEIQPYFYDYEYAIDPVIDHGLFIL >itb11g00470.t1 pep chromosome:ASM357664v1:11:205218:207107:-1 gene:itb11g00470 transcript:itb11g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSVILRSGPQFISNFQTFTCRFCLLRPLVCAGAPLSLSLLPYRRDAVAPRVIGRVEVQMSGQTVAETQGSQDEEELGNLEEEVTQMAEKVAEYRATLPDQLKTTLASLLAAQRPALQMHFDVGSLPQPGSSNAPASDLGPAESETLGSLTEEEQEETEKVQLLKQKILSNASAIPIVLKRMKESMPRIDKLESHNGFIHPPFKRKRTS >itb03g01760.t1 pep chromosome:ASM357664v1:3:982107:982637:-1 gene:itb03g01760 transcript:itb03g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISGDDDEEDKDSISWKNISEICVNGCLRIEPFKNPEMDETSFDLHYLEMGETFQTSTSIQVSEAKPKLEARAECNLNTMEVETVMGIPETGTRSREHSCPVCFKVFQSGQALGGHKRAHYSATIEPKVKKENLSDIHEFLDLNLPVNAATGTAMDGAMEDRLWCIGSAGLLISN >itb03g01760.t2 pep chromosome:ASM357664v1:3:982107:983423:-1 gene:itb03g01760 transcript:itb03g01760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQEPKFFCKFCNKACFTGKSLGGHMRCHLDLIAAAKKQKLKPQSSEGEDLGVSEESMANKNQEKGKRMSFGENGDQASYGLREKPLKSWKVSDSKHCGLKKRNKDLCKECGKRFPSVKALAGHLKCHSRKGSGIEEEEEEEENHLCKKCGKGFDSIRALYGHMKIHSKRSKPSSSSNGEESTQNLSDLETMCPVRKKRSTIRYKLTSSSNPPLSAVSEFEEAQDAAMCLMMLSRGVKTWNEFLSSANCEESPPFFYKEEMPISGDDDEEDKDSISWKNISEICVNGCLRIEPFKNPEMDETSFDLHYLEMGETFQTSTSIQVSEAKPKLEARAECNLNTMEVETVMGIPETGTRSREHSCPVCFKVFQSGQALGGHKRAHYSATIEPKVKKENLSDIHEFLDLNLPVNAATGTAMDGAMEDRLWCIGSAGLLISN >itb14g04980.t1 pep chromosome:ASM357664v1:14:4326499:4328604:-1 gene:itb14g04980 transcript:itb14g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQKKQGPQDHVLNIDYSSGQTHNGRNDNEKEQTVATCKSSRGDYLKPTLVSIGPKYVFSEKHFKGVARFKNQEYKDKYFKSFLQRGGETRTKHDEYREHLKDPKLNLVQKARKYYEEIGINCPNSDQDLANILVNDGGFVVEFVLRCKKGGRDDPRIKEKGKEARKDMLCFENQLPFEVLTALYQKMISYTNEAETDPPSFIRLVKFAFASFAPNITLSNFFDDNKPENPLDILHVLHSLCLPPINNNPHQNQTMEWPTVVHINSATELRDVGVSFNNTGEVYTMPRQYHTISSLKECKDTTSLFDITFSGGVMKIPSFKVDDFTEIFFGNMIALEQRRTLKPKYYTDYARLMDHLVDTHMDVSLLRKNGTIHNLLGEDIKVADVFNSVCVKVDITTNFYFAPLYTAVNRHCRNTRLVWKARLKTDYFSDPWKGISSIAGAIVLLLGASLTLKSLLS >itb14g17290.t1 pep chromosome:ASM357664v1:14:20557326:20560201:1 gene:itb14g17290 transcript:itb14g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIEAAKELPFRVGFTGHSGHLTIEPLPPVERPKPLDSLPFVLPPAFPDETPETIQQYIKDKYLLPQLDADEFTPEKMGRQWEFDWFDRAKILPEPSLPRTIIVPKWEPPFRRPKNPPGGRWEPESVEIDVSELTAGAEDLGALPQITGPPKDFVRGSVNNRPFRPGGLDGSSSLGRALPDGASSGKWVHEVLDGGYAQTIPPGFKKGLDLGDLEMHSSSWNVYEDQSAVNSTSNKKNDFSVQFDELFKKAWEEDMTEYVEDGLYNLHADIMFHVLLCVKYDLMYTDLIISFAC >itb06g06850.t1 pep chromosome:ASM357664v1:6:9667774:9668841:-1 gene:itb06g06850 transcript:itb06g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKKERKDPQRDLTWDGRAVDPWRLCRVDQVEELKALIRVIPIWMTGAIMSINANQSSFAVLQATSMDRHIGPNLEIPAGSVTIFAFVSVIIWIVAYDRLIIPAASGIVGKPVHFSTRSRMGFGLVLSFLSMVAMAAVEGMRRGAAVKEGSAAMSILWVAPQYALLGLGTGINGIAQNEFYISEFPESMSGIASNLSDLGAAVGTLLASMVMSIINELSGRGGQQSWIASNINEGHYDYFNWVVAGLSMLNFVVFLVFRKAFGPCRDEVIDAAVVVEEGD >itb08g02980.t1 pep chromosome:ASM357664v1:8:2523886:2525316:-1 gene:itb08g02980 transcript:itb08g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRAVVKKRKIERRKEALFKKAEEISVLCGSKIGIVIVNPTERGPIIWPEVEAMTKIFSMFMEVPEEERVKRMVLHEEYMRVKVNEAIGKMRRLEEEVERKEMNVLMKEVIAGRRSLTEMDARQLKGLYALADDKMVELEKRKQDFPATENDGGAARNHRRRERNLAPVAANSGGADGGGSYYVPQPPAPAAGELLPATWFVPNMAAPPPSPPHGGAGGGEGSYHQQQMFFSLPTNNGGGNSASAYHHQVPNLNQDWIYNFFPGSI >itb05g18300.t1 pep chromosome:ASM357664v1:5:25097675:25098911:1 gene:itb05g18300 transcript:itb05g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAFRSRAAERARKGKIGGTAMRDTRLLKQTLPFKLRTRGEERNVRYEISGITRAALSGWVGLHL >itb01g06640.t1 pep chromosome:ASM357664v1:1:4865313:4866646:1 gene:itb01g06640 transcript:itb01g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLQRKVSFSKKKKLHILQSLTNSKSVGSSSIIMDAFMYIHRLKIQLEELTREYFHLLNIIQEVKVDKLHGRRFMVTVRCKKVEDLLVSILEAFEEMDVSVVEARVSCNYFFAIEAIVEAEDHQQGLIAEAVTQVIQKAIQRQSEIGTCQLQNYLTVPKAAS >itb15g13370.t1 pep chromosome:ASM357664v1:15:11380067:11380408:1 gene:itb15g13370 transcript:itb15g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNNKNKNIAVIGVCSLILVAMVVALAVGTQEASEDEEGVTTTSQKAIDSVCQPTTYKKTCVNSLSKSNAGNSTDPKELIQAAFSVTIDDIRRAIHNSTLLKNLKDNNRLN >itb07g21630.t1 pep chromosome:ASM357664v1:7:26080425:26086685:1 gene:itb07g21630 transcript:itb07g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHWLHLPYAMLPDQPYDQRGVWTGSATTLPDGQIMMIYTGNTDVQVQNLAYPANLSDPLLLKWVKYSGNPVIVPPPGIDLLEFRDPSSAWAGIEKGQWFVTIGSQVGKTGVAFIYETTDFKRYKLLDEFLHSVPSTGMWECIDFYLILADDEIGSDSSNKGSGVKHVLKASLFDETRDYYAIGTYDPIKKKWVPDFPELDIGHGLRLDYGKFYASKTFYDQKKNRRILLAWIGESDPQEVDMVKGWASLQGIPRTLHFDKKTRSHLLQWPIEEVKSLRSGHPIVTKVNLQPGAILPIHIPAAAQLDISAWFEVDEETVECEGEADFRYNCSSSGGAVKRGALGPFGLIVAADQTLSELTPVYFYVQKGGHGKKTKAHFCTDLTRSSQASGVTKEVYGSTVPVIDDKKYSARILVDHSIVESFAQGGRTVITSRIYPTKAIYEGARVFLFNNATGTSVKASVKIWQMNSADIKPFPF >itb14g12050.t1 pep chromosome:ASM357664v1:14:13637968:13641076:1 gene:itb14g12050 transcript:itb14g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTPFYFLLFVFHFPFHTFAQNNIAVGSTLTATDSTKPWLSPSGDFTFGFSQIEGKNQFLLCIWYANINERTIVWHANTTSPVPQGSTLRLDADFGLILRDPQGNRLWVTDGFVDKVAYGFLNDTGKFVLSRNDSEVLWDTFAHPTDTLLPTQEMEIGTMLISQRSEANFSLGRFYLRMPDNGNLVLSSKMVPTNSDYDADYYNSQTSDPSNSTNSGYKVIFSEKGSVSILKRNNATQELTPQSVSSVTENYLRLTLNFDGVLTLYRYPKGSAGNLSWIPLWSQPDNICTKITGDKGSGACGYNSVCSIDSNQRPSCNCPRGYSLFDPSDQYGNCKPNYVQTCEEPRKGSSEELFSLIEITDTDWPLSDFEQINPSNKDDCRTACLNDCLCAVAIFRSNSCWKKKFPLSNGRIDTSLDATAFLKVGKGDAPPLTPDPWREFPERKKDQGNLIIAGSTLLGSSVFVNVLLLTALLYGFFFIYKKKIKAFSPNNLSNLQYFSYQCLAEATNQFEQEIGRGAFGIVYKGEMPVGWGNIVAVKKLLDRVAHDTDKEFKTEVNVIGQTHHKNLVRLLGYCDEGQHRLLVYEYMKNGTLATFLFNDLKPCWRQRTKIALGIAHGLTYLHEECSTQIIHCDIKPQNILLDDYYTARISDFGLAKLLMMNQSRTNTYIRGTIGYFAPEWFRNNQVTVKVDVYSFGKLLMEIIACRRSLQGEEICYSKLENSQNT >itb03g06240.t1 pep chromosome:ASM357664v1:3:4614563:4614946:1 gene:itb03g06240 transcript:itb03g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSSKSKTETLDRTLHAVGFETGELTPSKVTKIKDRDIGSDTPRCGVRDRQADDEQETLDRTLHAVGFEIGKLTLSKVTGRLPVMEKCYH >itb02g04280.t1 pep chromosome:ASM357664v1:2:2540177:2546357:1 gene:itb02g04280 transcript:itb02g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKDSVSAATPQLISFDQNRDAYGFAVRPQHVERYREYANIYKEEEVERSDRWKDFLERQAESTQFPINGSSAVNNFNRELDSSSQNGAEVCDGLAENDTEIKELPTSANDKIHQVQIWSDIRPSLRAIEDMMSTRVKNRVGAVKREQDSSTPTEEAKRTKGEDSEDEYYDLERSDSLHDVPLNESPAQDVSSPKSLPPWKEELECLVQGGVPMALRGELWQAFVGVRARRVENYYQDLLSRETKSGNNSDHRSMELEETDSTKELSADECAVEKWKAQIDKDLPRTFPGHPALDKNGRNALRRLLIAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLIGILDDCFDGYYSEEMIESQVDQRVLEELVREKFPKLVNHLDYLGVQVQWITGPWFLSVFMNVLPWESVLRVWDVLLFEGNRVMLFRTALALMDLYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVKEARLQELRNKYRSTVKAALEERSKCIKGWRDSQDLVPANVDEAYVSMNGNTKNSGTDLEEQVKWLKVELSKLLEEKKSAELRGEELETALMEMVKQDNRRQLSARAEQLEHDVAELKQALAHKQEEENAMLQVLMRVEQEQKETEDARRFAEQDAAAQRYAVQVLQDKYEEAMASLAEMEKRAVMAESILEATLQYQTGQSRAQSSPQPSPRTPKLGSPDAQTNQDTSQEFQPRRVSLLSRPFGLGWRDRNKGSLGSADVKSDDKQERQDQSPRTEEEEIAQASSVQEKV >itb13g11660.t1 pep chromosome:ASM357664v1:13:16292390:16293619:1 gene:itb13g11660 transcript:itb13g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANRVINTWADIINRANRVINTWADIINRANLVINTWADIINRANLGINTWADIINRANLGMEVMHERNAHNFPLDLAAIEAPSTNG >itb11g20150.t1 pep chromosome:ASM357664v1:11:21119388:21127580:-1 gene:itb11g20150 transcript:itb11g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIGEDLVAIYYWAILLNQRSRKRALPDSATSQCHLSPSSKLRYGKTNSSVSKVNKTGEKVDSFAKGIRQHVKLRPKITDTVKGKLILGAKLVQAGGVKKVFKNNFSVRDDEKLLNAFQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSIRMHYKVSIPIAKIKRANETVDGQSRSPLWLLSLCLLICRRTAGNRSRSPPALSPRRPLACRRSGAHYLAESPVAAHCSWKPVISMPAGEEQETPHSLKSAEDLTLDQVTSNTDFYSNSVLLNDQETHAFRVLIVLRQCSLQTIIMSSVSCDCSDTCVTNCL >itb05g26390.t1 pep chromosome:ASM357664v1:5:30307518:30311499:-1 gene:itb05g26390 transcript:itb05g26390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASVGCEPVMGSLTPSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYIGEDKDESFYTVSWACNIDGTPFLVAGGLTGIIHVIDSGNEKICKSFAGHGDSINEIRTQALKPSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEKSFTWTDLASKFPTKYVQFPMFIASIHTNYVDCNRWLGNFILSKSVDNEIVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKFSCDFQYKAAAIGNREGKIYVWDLQTSPPLLIARLSHVQSKSAIRQTAVSFDGSTILSCCEDGMIWRWDVVSTS >itb01g27430.t1 pep chromosome:ASM357664v1:1:32249523:32250403:1 gene:itb01g27430 transcript:itb01g27430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSVRKYFLGFILVAACFVSNVVMASVAGIVTPAFFNAIANKAAGNNGCEGRGFYTRAAFLKAAGVNPRFGNTGSPDIVKREIAAFFAHVTHETGSFCYINEINGGSSDYCDESNREYPCAPGKNYYGRGPLQLSWNYNYGEAGKSVGFDGLRNPDIVAKDAVVSFKAALWFWMNNQCHSMITSGQGFGATIRAINGDKECVGRNADAVRSRMGYYTEYCKQLGVNPGANLSC >itb14g03140.t1 pep chromosome:ASM357664v1:14:2795262:2795765:-1 gene:itb14g03140 transcript:itb14g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNASNVFIFVFLVLICTFIFKKLLQMFPQSKVLNCVVSVAAQLKWGWDCLLFQSLCQFPNKFNVVAGIMSPENGASEVGVRVFEGECAVCLCKIDEGEEVRDLKCKHIFHRACLDRWLGTGRITCPLCRTHVKPPSRRFQDDLHHQEVIVFDFCSRRRDRCTWWLR >itb04g25490.t1 pep chromosome:ASM357664v1:4:30078627:30079595:-1 gene:itb04g25490 transcript:itb04g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAHIHESQVPTSPDSGNGGFPMIAIALLGIMATGFLLVSYYLFVTKCCFRWPQIDPLRRRRREEAAALVSSYSPSLQSRGLDELLIREIPTVQYGGGGGERSFRRCAVCLSEFQARETLRVLPKCDHAFHSDCIDIWLQNNATCPLCRTSIAAGKSRTPPLDRIIAPNSSPQDPRPFPGSAAASDDDLVVIELAGDDGTTAASPPQTAAQRSESRRSLLHSSRKPKPRKLHSASIMGDECIDVREKDSQFSIQPIRRSFSMDSAADRHVYLSVQEILRQIGHVDEVRNSGESSSSRMHRSIFSFGHGRGSRSAILPIQY >itb15g08700.t1 pep chromosome:ASM357664v1:15:6144686:6149849:-1 gene:itb15g08700 transcript:itb15g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARTEDCWVENKQSTPASSSSVSENSGSTNFKSPEISSPMTSSPSNQRASGPIRRAKGGWTPEEDDMLKKAVAAYNGKCWKKIAEFFPSRSEVQCLHRWQKVLNPDLVKGPWTQEEDDRIIELVGEYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWTLEEELALINAHHVHGNKWAKIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPAAKNGHQNGCKDISRTVTKNKRLQCSKKQSDSTGLESPATTDVCIFQVDGKVHEEPRTPVTVMNMGASTSVQQNKPANSESVQYEVQSSVCPQRIDTIHAISSTDLKFESCRVSDENGQHKLAFSGIPTYITVYYDSPQLHSYVQLERDHQNLPWAHHESQQSPSLLPATFLTPPSLKGSNLYGQTPESILKIAAQSFPNTPSILRKRKTDVRLITPSKVVDGKKPRTSSEEQRIDMSENSGLQDGSPSRNSSYNNSGLSSTQVYNASPPYRLKSKRTSVLKSVEKQLEFAFEKEQSDDTTSTVKQISQATKDSPHKSKMAIT >itb07g05380.t4 pep chromosome:ASM357664v1:7:3699370:3701963:-1 gene:itb07g05380 transcript:itb07g05380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb07g05380.t1 pep chromosome:ASM357664v1:7:3699289:3701936:-1 gene:itb07g05380 transcript:itb07g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb07g05380.t2 pep chromosome:ASM357664v1:7:3699104:3701937:-1 gene:itb07g05380 transcript:itb07g05380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb07g05380.t3 pep chromosome:ASM357664v1:7:3699104:3701857:-1 gene:itb07g05380 transcript:itb07g05380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb07g05380.t6 pep chromosome:ASM357664v1:7:3699104:3701478:-1 gene:itb07g05380 transcript:itb07g05380.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb07g05380.t5 pep chromosome:ASM357664v1:7:3699370:3701963:-1 gene:itb07g05380 transcript:itb07g05380.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb07g05380.t7 pep chromosome:ASM357664v1:7:3699370:3701863:-1 gene:itb07g05380 transcript:itb07g05380.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGGGGVSRRRMLTADRKFDSPNLRDFLRVKEVSNSNGGSDNMAGLTLGDVLCREPRSTTAELPHRTLLDIIRDEPFVSKENRKTWKHFTEKLRLKLPGSACTSTGPDPVPVPADVTPLQQIDNRTTDASRSTRFSAANSASEAERPSVRLIQPELTASSEPPRRESDSATLIVLLQRSSSRAVDRETSRFDEAEANGESREASGGGELEPDARVDQPARLSLMALLAETDREMGIDGAAYVLGEEETEDETAAAAAGDGGKFILENCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGSCPLCNNSISEILDIF >itb01g16580.t1 pep chromosome:ASM357664v1:1:20977373:20979422:-1 gene:itb01g16580 transcript:itb01g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQKKVKGLEGTREGWLPHHLQFEVLWRLPSKTLAWARFVSKEWKNIVSDRTFIKLQLKPREPLSGFFIQGRYEWSDGDVKSVSYISVDRDFIKVEKGVLNFLPENVVILSSSNGLIFCRSSFPCSEPVLYVCNPLNREWITLQWRNLPEDSSTALIFEPFKSQIDVSTDFKVVTVCQTYIEEDEDEDEDEDEDVVQFSFKIYSSQTKVWTKSKAICVGGYNLQNKACVFVEGVIFWLTNGDRILMFDPERDLSSVFMQPIPTSDPDFTPGMCMGEAEGRLQCVLISEDGLKVWQLESWFSDRWSQKLFISLEELKKENPECMYHISEKLESHLTTDDTFPWINPLSFKVTTLLLRISTDIYASTLTPRRPNYSALILHWDPTPCSLPL >itb11g11430.t1 pep chromosome:ASM357664v1:11:8355219:8360164:-1 gene:itb11g11430 transcript:itb11g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVHTAAAFSPSPSVSQLKLPRSSFSLIPFLHTRPQTYRKSLLPLKLRPTQCSKKEVGATAAAAASGDPKLGVAVYKPRSYDVLASDAANALFYALNEGKTRVEIEFPPLPSNISSYKGSSDEFSDANIQLALAVVKKLQEKKETRACIVFADKPEKRRASELFKTAFDSIDDLTIGTLDDIPSGPVTTFFRSVSRTLDFDFEDENEDRWQSDKPPSLYIFINCSTRDLSSIEKYVEKFAPSTPSLLFNLELDTLRADLGLLGFPPKELHYRFLSQFTPVFYIRTREYSKTVAVAPYIVNYNGALFRQYPGPWQVMLKQSDGSYACVAESDSRFTLGETKEELLRVLGLQEEKGSSLEFLRRGYKSATWWEEDVDLEKSSAWRT >itb11g11430.t2 pep chromosome:ASM357664v1:11:8355403:8360164:-1 gene:itb11g11430 transcript:itb11g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVHTAAAFSPSPSVSQLKLPRSSFSLIPFLHTRPQTYRKSLLPLKLRPTQCSKKEVGATAAAAASGDPKLGVAVYKPRSYDVLASDAANALFYALNEGKTRVEIEFPPLPSNISSYKGSSDEFSDANIQLALAVVKKLQEKKETRACIVFADKPEKRRASELFKTAFDSIDDLTIGTLDDIPSGPVTTFFRSVSRTLDFDFEDENEDRWQSDKPPSLYIFINCSTRDLSSIEKYVEKFAPSTPSLLFNLELDTLRADLGLLGFPPKELHYRFLSQFTPVFYIRTREYSKTVAVAPYIVNYNGALFRQYPGPWQVMLKQSDGSYACVAESDSRFTLGEVNIHLIHQSNTQNSLPILVMHS >itb03g06940.t1 pep chromosome:ASM357664v1:3:5039412:5041792:-1 gene:itb03g06940 transcript:itb03g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSTLTALAGETALQSSFVRDEDERPKVGYNDFSDEIPVISLKGIDDVNGRRVQIRNDIVKACEDWGIFQVVDHGVDAGLIGEMTRLSKDFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVRARDYSRWPDKPEGWRAVTEKYSEKLMDLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDGGKTWITVQPVDGAFVVNLGDHGHFLSNGRFKNADHQAVVNSERSRVSIATFQNPAPEATVYPLKVREGEKPIMEEPITFAEMYRRKMSKDLELARLKKFAKEQQQIIKAAADKNLETKPIDQILA >itb03g06940.t2 pep chromosome:ASM357664v1:3:5040321:5041792:-1 gene:itb03g06940 transcript:itb03g06940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSTLTALAGETALQSSFVRDEDERPKVGYNDFSDEIPVISLKGIDDVNGRRVQIRNDIVKACEDWGIFQVVDHGVDAGLIGEMTRLSKDFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVRARDYSRWPDKPEGWRAVTEKYSEKLMDLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDGGKTWITVQPVDGAFVVNLGDHGHVSNHITKLQCSGTRLLVKIENQIQTVIVRSQSTIIIMWTTVHAAIK >itb01g02370.t1 pep chromosome:ASM357664v1:1:1523537:1526437:1 gene:itb01g02370 transcript:itb01g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVPLPTESQLRSMNDFWNNYAGCLYHMFINHSLDWPTPTVEWLPDGEEPVRSHYTVQKLIVGTRAAGNAQNSLLLAQVRLPREDKKPKKKSSSSVELGKIEIVQQINHDGVVNRARYMPQNPSIVATKTGSAELFMFDCTKHPSNPPEEGVCNPDLRLTGHKDKGNALSWSPLKQGYLLSGSDDGQICIWDVNATPNDKALEAMHIFDIQHGCAKDVAWHMKNENLFGSVGEDNYLRIWDIRTPVIKLNQSVLSHEAMVNSLAFNPINGWVVATGSSDRKVILFDLRMISSSLQTLEWPLQEEVDNVRWSHKRENILASSSGKKLLVWDTSRIGRAQTSDEEKAGPPEFVFIHFAHLDSITDFSWSPTGPTNDLSIASVANDSSIHLWRMKEEK >itb04g21130.t1 pep chromosome:ASM357664v1:4:26218423:26219061:1 gene:itb04g21130 transcript:itb04g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPTLSFFILLLCLVSNTVHGLPAQISNINPFACSTAIPSCLSLLYQHNGLDRDSISTLYSVDPTDIYPISRNKNAKQDYLVLAPCSCQDVNGTVAYFYETPYTLQPKDTFESVSVNSYSGQAWKVGGEETSYKAGETVTMNLLCGCVGNNGGSSPLVTTYTVQPQDTLPSIAALLSTQVGDILKLNPHLKKNPGFIDVGWLIYVPMDTN >itb14g19570.t1 pep chromosome:ASM357664v1:14:22161392:22165449:-1 gene:itb14g19570 transcript:itb14g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEGKGSKYMESKRNWLQKLQPRDNSRTSNQESDSMGGGNEDSKQLSNEEASNVTKQKVAAAKQYIENHYKEKMRILQERRERRSLLEKKLADADVSEEDQNNLLKYLEKKETEFMRLRRHKMGADDFELLTMIGKGAFGEVRICREKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDILTDDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEKDFTADNTGEASKSDDHAQAPKRTQQEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRAHLKFPEEAKLSPEAKDIISRLLCDVTKRLGSNGATEIKAHPWFNGVDWDRIYHMEAAFIPEVNDELDTQNFEKFEESESHTHTSSKSGQWRKMLSSKDVNFVGYTYKNFEIVNDYQVPGMAELKKTSNKPKRPTIKSLFDGETETSDSQHSEASYKTVPSSRTEVSDDP >itb03g19990.t1 pep chromosome:ASM357664v1:3:17930776:17931810:1 gene:itb03g19990 transcript:itb03g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSFGKALDSLCLFSGSSLSSGSCFCSNGFDSPDDFEKKPFISPKVAGQVVKLKDVVAGPPTLAFHLKPKTVVLRVSMHCKSCAKKVEKHISKMEGVSSYQIDMETKMVVVIGDVVPFEVLESVSKVKNAQLWTTAPHLT >itb11g20760.t1 pep chromosome:ASM357664v1:11:22135553:22145128:-1 gene:itb11g20760 transcript:itb11g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MKILKLAFAVALASGLAAILIYITGLSNFNSTLRLSDKDLEALQSLQSSFQKCVNANGLGLQAVTGGNYCEVTLKFPSKTVPKWKDPKTGELEGLSYQLNLCEAVATWEQVRNSTTVLTREYIDNLPNGWEDYAWKRINKGIQLNRCENKTLCMEKLSLVLPDIPPLFPRQYDRCAVIGNSGDLLKTKFGKEIDSYDAVFRENGAPIQNYTEYVGSKSTFRLLNRGSAKALDKVAELYDAGKETLIIKTTIHDIMNQMIREVPILNPVYLMLGASFGSAAKGTGLKALEFALSICESVDMYGFTVDPGYKEWTRYFSESRQGHTPLQGRAYYQMMECLGLIKIHSPMRPDPNRVVEWLPSRSLITAARVVSEKLLRRVGAGYMDPLAACSIIAKQVKGKVRDMSSMRQAAIEHQKYVKGAILYPLEHDPGHGQLCTVPSSQS >itb15g20990.t1 pep chromosome:ASM357664v1:15:23668227:23674524:-1 gene:itb15g20990 transcript:itb15g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAEMEIPLIPESENDERRKCWDEVSGEVKKLMVLAGPLISVNLLLTSLQFISLMFVGNRGGELSLSGASMATSLASVTGFSLMRGFAGALDTLCGQSYGAKQYRMLGIFTQRGMVVMLLVCTPVAGVWAYTDRILRLCGQDPEISDAAGEYARFLIPSIFPFAILRCLVSFLQAQNNVVPMMFTAGIGALVHVLSCWILVFKSGMGFKGAAMANAISYWVNVVLLGVYVRVSPSCKETWTGFSKDMFHDIIKFLRLGIPSTAMVCLENWSFELMVLLCGLLPNPKLETSVLSIAVRVSNEVGGGRPNAAWVAVRTALGCVATEGILVGIAMVSVHKIWGYVFSTEEEVVNYAGQMLLLLSASHFLDGLQCILCEDCEVLKVELEKLIQCGRLTNQWHRDNASRTTDKVSVKGKYPTVEGERNKE >itb07g13720.t1 pep chromosome:ASM357664v1:7:16063049:16065515:-1 gene:itb07g13720 transcript:itb07g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLLVIVLVCSPGQANGADNSMAKRRAEKMIRQLNLFPLHDLNRGSAHSPAEADSPRLVEKRFKLNFLGESGANVQDLGHHAGYYRLPDTVDARMFYFFFESRSNKSDPVVIWLTGGPGCSSSLALFYENGPFYIADNQSLIWNDYGWDKVSNLIYVDQPTGTGFSYSSSKDDIRYDENGVSNDLYAFLQEFFKANPEYTKNEFFVTGESYAGHYIPALGTRINQGNKKKEGIYINLKLIPDCQKAVRKCNSNGGSACGDVVYSRCEPLFDQIMEKHGNLNYYDIRKNCVGSLCYDLSNAETFLNTQSVKDALGVGDIDWVSCSSTVFLAMEYDRFKNLAVGIPSLLEDGINLLVYVGEYDLICNWLGNLRWVRALQWFGHKGFRAAPNVKFLVDGKEKGIQKRHGPLTFLKVHNAGHLVPMDQPKASLEMIRRWMKGQLSN >itb13g15510.t1 pep chromosome:ASM357664v1:13:22375173:22382272:-1 gene:itb13g15510 transcript:itb13g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISVSTSAKEVDERGYHTSITEQHFRLRSCRLSSAHLPPVKGSSLELFPILILNTSSSKCLLPLTGCFPKSSLKAQETLGQSSPAASCCRSLPTIKSLLTSKHSWPQCPTARSKLARQLDRLSTSIFLLCPALCARHAFFHTFCTSAANHYRTTGDLLTLPHISMEFFFGPLKEKNAGCSLSEQNIQKCPFLRNIDKPTNFSFVSSLNFPLPEKGKKGPIFEDGPNFDLAFKFFHGKDGVVPLSGRSECLKDHLEADPTPCFNPLAAKAATISLSAFGPGGPFGFNHFSEKWKLQKKKSDSKKRESKPKGDSSKHEAMGNEWLETGNCPLAKSYRAVSHVLPLIATALQPPAGMKLKCPPAVVAARAALAKTALVKNLRPQPLSSKMLAIGALGMAANIPLGVWREHTKKFSPSWFVAVHAAVPFIAMLRKSVVMPKTAMALTIAASILGQVIGSRAERLRLKAKAEQSSPPAPTSVVAGSNLFSFDADKPSLSPANVCF >itb15g18960.t1 pep chromosome:ASM357664v1:15:20868150:20882972:-1 gene:itb15g18960 transcript:itb15g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGSAVWAGVSDIPFTRLCYGGVLPYYGMMIKWGSAVWAEVTGVADVWAPLTRLHCGEGPALLRPGVKMDSNVSDDIKISGNDDAPKYSPTSINIKIKTRDSQHTLRICKCIPVPSLKEQIGVFTGVSIYQQQLVFRGRDLRDDKLLSDYHGGSSSGQHQGNNCSEQETQEAVLRKFVTLLRLSSLTLGSESEEEEDDFDPSYCIVLPAERSPVVCALPAAHNNAASDSSTHQHGHSNNNSTDSQQAFDQSCGDHVPMPNSSVVAPPNADPQYTIPPLQDSSAEVRVREHTEQSKPKRQKDVDNDFNSKEQHYKCNVEEAACGGRLWRAVVAVGDCGGRSWLAAERAVVTVGGWPADCCSDGTEGAVCGWSRWLPTGVASVTGDGRLWRAVAIAVTVGRAVVVWGGFLTWPDLVWVKMDSNGSYDIKISGNDEAPKYSPTSINIKIKTRDSQHTLPICKCIPVLSLKEQIGVSTGVSSYQQQLVFRGRDLRDDKLLSDYHVEDGDTIGLIVTQNIISDPPQDGGSSSGQHQGNNRSGISNLSEQETQEAVLCKFVTLLRLGSLTLESESEEEEEEDGFDPSYCIVLPAQRSPVVCAVPAGHNNAASDSSTRPDLAHQHGHSNNNSQQTFDQSCGDHVPMPNSSVMAPENADPQYTIPPLQDSSAEVRVREHTEQPKPKRRKVYRTRSC >itb12g11950.t1 pep chromosome:ASM357664v1:12:10475850:10476089:-1 gene:itb12g11950 transcript:itb12g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAGAELRRPMISSAIAKAAPTAEMRGLGSLYEVLRVKQNVSPWEIKAAYRTLAKIYHFDIAAFLEESSSDDHLFMEI >itb05g18890.t1 pep chromosome:ASM357664v1:5:25571964:25574430:1 gene:itb05g18890 transcript:itb05g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPGLFSDIGKKAKDLLTRGYVSDHKLSVSTYSDTGVALTSTAVKKGGRSSGDVSVQYKYMNILADAKVDTESNVSTTLTFTDIVPSTKTIASLKFPDYNSGKLEVQYFHHHATLSTVVALKHSPIVDLSVTLGTPTFALGAEATYETASGKLTKYNAGISLTRPDSCAAIILADKGDTIMASFMHHLDAKKKTAAVGEVSRRLSSNENTFTVGGSFAIDHLTNVKLKLNNHGRLGAVLQHTVIAKSTLTISSEFDTKALDKTPKFGVALALVP >itb03g01020.t1 pep chromosome:ASM357664v1:3:538061:541882:1 gene:itb03g01020 transcript:itb03g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTRSEIVSSIISPIVTMAADHDHRHHHHRPHRLSLPPRTAVPTTTPTPSRHLYPPLTPTPTPSKISSFPLKSSHKTSSISFLFLLLFSLRSLYSLLPFLRSSPSSFSLFPFSFLVSLLSFLLTLSFSLFTSLSSSPSRDPFRQKQRYPIFSLTLMTQSQQSLLLAKSFLQAVIFLLRFQALRYCGAAAMILAEISGNVAARFFAGGKNRNFGNAHKFGSSKISGFVALFLGLLFLSVSWDRIECFPLSSVNISKFGISKNCVRVWPMLLPFLSGFLGCYERVSMNSGSIREMGQKRVRLVSLFYTTVWLFIPTVISMFVFEAEGDNISLSNLGWPLVNTVVFGVLLSENFTDERPNAASKDFQREYVVTYVCTLILELFYFPELSLWGLLVCGFLLWIAVRNLDPVYSNYLELELESSESLSVAVMKPLRHILSERKSRKIALFLLINTAYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANVQFNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILDPQEISTNSLLAVSVGGLFVNIVGLIFFHEEHHHAHGGGGSCSHSHSHSHSHSHSQSESHSPHVNDHHHTHDHGHPVHNHQEEYVTVVHDCHEKSCSSHDKQCHGDHEHHECSKGQHEHDNKGHGSKSSHSHDHGHPHHHDDHSHHECHHNADQHVAVSHQHSNEKVILNSGEKGHHHHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLVADPACSIFISVLIVSSVIPLLRNSAEILLQRVPRAHEHDLKEAIINVKKMKGVCDIQSLHAWSFTNTDVVGTLHLRVSTDTDKIATKNKVSDILHDAGIKDLTIQVECIKDS >itb04g01860.t1 pep chromosome:ASM357664v1:4:1099947:1101499:1 gene:itb04g01860 transcript:itb04g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPFFFLFAFLLTVFTFSDAHNITEILSHFPEYTQFNNYLTQTKLADEINSRTTITVLVLNDGALSSLTANHPLSVIKAALSLHVLLDYWDGSKLHDISKGTTVSTTLYQTTGNAAGNIGFVNITDLKGGKVGFGSAIAGSHLDSQYTKSVKQIPYNISVIEINKAIIAPGILNDTAPLAVNLTAALEKAGCKTFAGLLVSSGVIKTYETAASNGLTVFAPSDAAFKDAKLLDLKKLTNAEMVALLQFHAVAEYTPIGTLKATKHPISTLATNGAGKYGFRATTAGDAVTLDTGVDSSRVSSTVLDSTPLCIFTVDNVLLPTELFGKAPSPAPAPEASPAPSPEVSRSHAPTPAPEAVAAASPTPMFSPPAPPTSSPAGAPVEGPIADSERSTADKNSGDVTASALLKTILTVSVLAFASVYVS >itb05g25700.t1 pep chromosome:ASM357664v1:5:29958093:29963005:1 gene:itb05g25700 transcript:itb05g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAQLSKVSLAFLRFTSTASFARPRSIAVPSKLKLKLFSTTSTSYPLQYEMIVSRPVEPPRHHGRRRSLRSPIANSKPTESPEPDSELGFDDWVERKLSSTPSSSQRPDDRTNDTDTGMDKAKRKYYNKRRKRMFGSDSEDEGNRRDGSDFVELKQEVVELRTLHKKEEELHFYDAFAYPWEKDKHYKMVYQLEKKYFPDQCFDKAFLEPGQSNESKPKGKRKIGKSEDRKGEAADRGEDTGLVFFETEEKTENRESDNKDEKVDVSEKKVEEFFKCLKKVPNDSDRVGVSSAEPFFSSRNTGLPPKWDSPAGTVVLVNKPKGWTSFTVCGKLRRLTKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKNEDLRKAAASLSGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFDVERSLEDRQNVIFRVICSKGTYIRSLCADFGKALGSCAHLSALRRDSIGEYKANDAWEFQELEEAITKGYL >itb03g23190.t1 pep chromosome:ASM357664v1:3:21341691:21354662:-1 gene:itb03g23190 transcript:itb03g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAEELSSTVAKRKSAEESSTGAEVPKEESASKRRNLTRTCVHEVAVPSGYSLCKDESVHGTLGNPVYNGEMAKNYPFKLDPFQEVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIVFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDETEKFREDNFVKVQDAFVKQHPANGSKGINAKSSGRIARGGNASGVSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTEEEKDAVEQVFRNAVLCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLLSTFRLSYYTILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDIGKKVSKLEQEAAMLDASGEAEVAEYHKLKLEISQLEKKMMAEITRPERVLYFLLPGRLVKVREGGKDWGWGVVVNVVKKPPTASGSLPAALSALRGSTYIVDTLLHCSLGSSENESRPKPCLPLPGEKGEMHVVPVQLPLISALSKLRISVPPDLRPLEARQSILLAVQELEKRFPQGLPKLNPVKDMGIEDPEFVDMMSQIEELEKKLFAHPLHKSQDEHQLKSFQRKAEVNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDNHQIAALASCFIPGDRSSEQIHLRAELAKPLQQLQESARRIAEIQHECKLEVNVEEYVEASVRPYLMDVIYCWSKGATFAEVIQMTDIFEGSIIRLARRLDEFLNQLKAAAHAVGEVGLENKFTAASESLRRGIMFANSLYL >itb12g19200.t2 pep chromosome:ASM357664v1:12:21572661:21573971:1 gene:itb12g19200 transcript:itb12g19200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMKLVLKLELIDDKDKKKAMKAVSTLPGIDSIAIELKEKKLTIIGDVDPIVVVGKLRKSWHTEILTVGPAKEPEKKKVEEEKKGNQSADHQQQQQQQIAELMKLYRSYNPHIPTQYYHVYSAEEDPNGCVIS >itb12g19200.t1 pep chromosome:ASM357664v1:12:21572610:21573971:1 gene:itb12g19200 transcript:itb12g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVLKLELIDDKDKKKAMKAVSTLPGIDSIAIELKEKKLTIIGDVDPIVVVGKLRKSWHTEILTVGPAKEPEKKKVEEEKKGNQSADHQQQQQQQIAELMKLYRSYNPHIPTQYYHVYSAEEDPNGCVIS >itb15g13500.t1 pep chromosome:ASM357664v1:15:11489611:11494840:1 gene:itb15g13500 transcript:itb15g13500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLAARLSTHIFRSKAVNFQPQKNFSASSYGRDERSIEEEAERKIGWLLKLIFAGTATVVAYQFFPYMGDNLLKQSVTLLQVKDPLFKRMGASRLARFAIDDERRMKIIEMGGAQELVKMLEAAKDDRTKKEALKALFAIAKSDEAAAVLQVAGAISVIKSIPAGSLEDAEVEKYKSNLLNRFQDLRYDNVSSDP >itb12g25980.t1 pep chromosome:ASM357664v1:12:27069583:27072352:1 gene:itb12g25980 transcript:itb12g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFPGSVQEFYGGPDGISNGRSVPVGSNIGNLMQQGGVQVQVPYGSQLPGIVSDSASQIAHRRSDLIGKRSLVEFQQQQQQLQFLQQQRQGALGLYLRNVKPRTYQHSSPISPLSPVDLSALSSISSNSNSPAMNARYGVPILQQFRPQLSMPAGSVNINGVLPSASGNPNYAPGFSFPNSVQNRGGLGSERAGLETEKKMMNRLQELEKQLLDDIDEEEGDTVSAVTNSEWSETIQSLISPAQTQTQTQTQGPNQNNNKPQISPSPTSSTSSCASSMECPAITCPKQTISEAATAIAEGKNDVAAEILTRLAQVANVNGTAEQRLTAYMTSALRSRVSPVEYPPPVSELHTKEHELSTQKLYEASPCFKLGFMAANLAILDAVSDQRFCKLHVIDFDVGEGGQYLHLLYALAARKAENPTVLKITTFADVPGGDQQLRAVEEELQKQAQTAGVCLSINIIPCSNTELSRERLSVDPDEALVVNFAFNLYKLPDESVTTENRRDELLRRVKALSPKVVTVVEQELNGNTAPFVARVNEACGYYGALFDSLDRTVSPENIYRVRIEEGLGRKMGNSVACEGRDRVERCEVLGKWRARLSMAGFTAIPMSQHVADSLRSKLNSGPRGNPGFTISEQAGGIGFGWKGRTLAVASAWR >itb01g08770.t1 pep chromosome:ASM357664v1:1:7027783:7029468:-1 gene:itb01g08770 transcript:itb01g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTTAATDVPPNLPRLVSAITTLLQRLDPKNLSHSSPPDTSILNKFSPYLTHDLVIETINSQTNPYHSLFFFNWASSLSHNPNHYFHNHHCYIAITDKLLSRRLFSLATKLLESHQRLSDFMVSKLIKAHGDLGHLRCAIKLFHGVRKTEKECCLFSFNSLLGVFVKANRVDLAWKFFGNMIKKGDMQPDISTCTIMIRGFCKAGMLEHAQKVFDEMGVNKNVVTYNTMVNGFCKKGLMEKAQTIVNEMVDRGIVLPNVVTYCTLIDGYCRKGQIVEAMRCFEEMASRNCEPNMLTYSALIHGLCLNGNVDEARRMIARMRLSGFRDDIVMHTSLLKGYCIAGRSNEAIKHFKEMVSLGMILDEKTCDVIVKEYCKTKRPNDAIALLSEMRARGVNPCVSTLNYVLSCLVELAEADKAILLIKQMPQLGCHPNFLSYNILICSLVKSKGRMREIEMLMSDMVGNGHAPDATIYSCLVKGYCEDGNEEMAVRVLREMIDKRLVINLECFAVFAKEFCAKGKVFEVENLFLQMKSNCSMTDLNSYQKILNEHLSRSTNTC >itb15g00370.t1 pep chromosome:ASM357664v1:15:232095:235223:1 gene:itb15g00370 transcript:itb15g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTSALCFSTFSSILPSKDPIPSSSFASFSFLPLLKFPGQPPIRSVRFTAAKASGSSHFLGDDAFGNYPWEPSDPSDSSVQWVQEDKVTLFTADGLIQIGGSLVPQRVSSSDKKQGKVKMSPRLQRYQESDYMDPDQVLCLGALFDIAATNGLDMGRKLCILGFCRSVEMLSDVVEDIVVEHGGEVVSAEKASKGGMHEKLTMTVSVPLLWGIPPASETLHLAVRSGGGIVEKVYWRWDFL >itb13g17960.t1 pep chromosome:ASM357664v1:13:24902428:24904190:-1 gene:itb13g17960 transcript:itb13g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVRVCILSLLCFHVFVGSVVFARNVKDDDEKFGLIGKSGGFGGGYGGGGGFGGGAGGGGGFGGGGGGGGGFGGGGGYGGGGGVGGGFGKGGGIGGGIGKGGGFGGGVGGGFGKGGGIGKGGGIGGGIGKGGGVGGGFGKGGGIGGGIGKGGGAGGGFGKGGGIGGGIGKGGGVGGGIGKGGGIGGGIGKGGGVGGGFGKGGGIGGGIGKGGGVGGGFGKGGGIGGGIGKGGGVGGGFGKGGGIGGGIGKGGGVGGGFGRGGGIGGGIGKGGGVGGGFGKGGGIGGGIGKGGGKGGGIGGGIGKGGGEGGGIGGGIGKGGGGGGGIGRGIGKGGGIGGGIGKGGGVGGGVGGGFGKGGGIGGGIGKGGGVGGGIGNGGGVGGGVGGGAGGGIGKGGGIGGGVGGGNGGGVGGGVGGGAGGGFGKGGGIGGGGGAGGGAGGGFGGGAGGGFGKGGGIGGGGGFGKGGGIGGGVGGGSGGGFGGGFGGGAGGGGGGGGGFGGGGGGGIGHH >itb05g24590.t1 pep chromosome:ASM357664v1:5:29316001:29321092:-1 gene:itb05g24590 transcript:itb05g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSSSKVISGSNSNSNPTAKPRSETTATSAQDERSRCNRRKAKEPCEKYRNLNVKPSHRSQTVVIPCGKRTDFGYDKDFDKRYSIGKLLGHGQFGYTYVATDKSVGDRVAVKRIEKKKMLLPMAVEDVKREVKILKALTGHENVVQFHNAFEDEDYVYIVMELCEGGELLDRILAKKDSRYTEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKEDSPLKATDFGLSDFIRPGKKFHDIVGSAYYVAPEVLKRRSGPQSDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISNSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGNASDIPLDISVLSNMRQFVKYSRLKQFALQALATTLDDEELADLKDQFAAIDVDKNGVISLEEMRQALAKDLPWRMKDSRVIEILQAIDVNTDGLIDFTEFVAATLHVHQMEEHNSEKWLQRSQAAFQKFDVDRDGFITPEELKMHTGLKGSIDPLLEEADIDKDGKISLSEFRRLLRTASMSSQTITSPSVKGGGSRGFSK >itb04g19760.t1 pep chromosome:ASM357664v1:4:24208388:24220552:1 gene:itb04g19760 transcript:itb04g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSEKAPKVPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENSTPQQICDKYHEIHKQVYQWFDISFDKFGRTSTPQQTEVCQSIFKKLLENNWLTENTMQQPYCDTCKKFLADRLVEGTCPTPGCNYDSARGDQCEKCGKLLNPTELKEPRCKVCRNTPCIKDTDHLFLELPLLKDKLESYVNSMSVAGGWSQNAIHTTHAWLREGLKSRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTAEWEKWWKNPENVELYQFMGWKNPENVELYQFMGKDNVPFHTVIFPSTLFGTGENWTFMKTISVTEYLNYEAGKFSKSKGIGVFGNDVKDTTIPVEVWRYYLLANRPEVSDTLFTWTDLQAKLNSELLSNLGNFINRVLSFIAKDPASGYGSVIPDAHGIESHSSTKALAEKVGNYLDQYIEAMEKVKLKQGLKIAMSLSGEGNAYLQESQFWKLYKEDRPSCSVVMRTAAGLVYLLACLLEPFMPSFSREVFKQLNLPESRLSLSDENGDIERAKKPWDILPAGHKIGTPAPLFKELKDEEVELYREKFAGSQADRTLRVEAEAKKITEQLNKAKISIEKTKKERSTKSTKSAEAKSKAGASASAEAEVSISRLDIRVGLIKKAQKHPDADSLYVEEIDVGEAQPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNNDHTKVELVEPPQDAAVGERVTFLGFEGKPDDVLNPKKKVWETLQVDFHTNKELVACYKDIPFTTSAGVCKVSSISEGSIR >itb04g27860.t1 pep chromosome:ASM357664v1:4:31757121:31757498:-1 gene:itb04g27860 transcript:itb04g27860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFLDIISAAQYLRFTSVAELESAAIEALTPKLKTPIYSIGTAIPNYFPIKGDGERPDYLTWLDAQPACSVLYISQGSFLSLSAEQLEEIVTGVHESGVRFFWVARGAAKGERRQAGVNSAVV >itb12g25540.t1 pep chromosome:ASM357664v1:12:26775298:26777500:-1 gene:itb12g25540 transcript:itb12g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEYEMDGGYEDEPVEPEIEEGVEPEEENAQQEDVPDALMGDDDKNEQEAVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGESDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >itb09g10450.t1 pep chromosome:ASM357664v1:9:6477991:6480146:-1 gene:itb09g10450 transcript:itb09g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVNLEGVDTSSILAEATSVVAKIIGKPESYVMIVLKGSVPLSFGGTEQPAAYGELVSIGGLNADVNKKLSAAIAEILESKLKVPKSRYFLKFYDAKGSFFGWNGSTF >itb15g12680.t1 pep chromosome:ASM357664v1:15:10559916:10561496:-1 gene:itb15g12680 transcript:itb15g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSKNLPLREIPGGYGLPFLGPIADRYDFFYNHGADEFFRSRKEKYKSSVFRCNMPPGPFIAKNPKVVVLLDSVSFPILFDVSKVEKRDIFAGTYMASTKFTGGYRVLSFLDPSEPKHATIKGLFIQTLAKLHDRFLPLFSSISDDMFAQIEDEVGKTGESNYNDINDVKAFEFLFRLYCNDVKPSDTKLGTSASKSITTWLLPQIAPVTSLGLKWLPGFIEDLFLHTFPIPFFLVKSHYNNIYHAFINNLGPLLDDAEKVGLQRDEACHNFVFFVCFNAYAAFKFFLPELMNYIGSAGEVLQLFPFVIKLWLADNQSIKLWLEVSKFIFNYHIHINCSMWFTCLQVLHLQLAEEIRRAVELEGGNITINALNNMPLTESAIWEALRIEPPVRYQFAVAKEDITVQSHDASYLVKKGETILGVQPFATKDPKVFENPDKYIPDRFVGEGKKLIEYVYWSNGRGTDIPTANDKQCLGRNMIILLSRLHLAEFFLRYDTFSVEVSQYLSSSIVKFKSLSKASSST >itb03g24730.t1 pep chromosome:ASM357664v1:3:23553282:23555304:-1 gene:itb03g24730 transcript:itb03g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKVKAKEIEVEGPRGKLVRNFKHLNLDFQLITDEATGKRKLKVDAWFGSRKTTAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNKGIEIRNFLGEKKVRKVEMLEGVTVLRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIAQEDE >itb13g14770.t3 pep chromosome:ASM357664v1:13:21371553:21374689:-1 gene:itb13g14770 transcript:itb13g14770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKTNKIPLSVLDRLKWDLGLPQDYAKMIVPEFPDYFRVSNGGDAAMLELVCWSHELAVSEMEKKSLKGESEGFCLKYSNGFEMDKKHKKWVDEWQKLPYFSPYNNSMHLSSKSDESDKWVVGILHEVLSLCIGKKAEKENVLVLGEYLGLRSRFKRAFLQHPGIFYVSSKIGTHTVVLKEAYKRGVLLGKCSMMEMRFKYIRLMNKVTEDEKSKGVHEKTSHDKKEKKSEKFDSEDCEDGNVEEDEDGDFSSDYDDEEERNEDQKEKSGGKLSFNTKFKENPSRSMPRRLAQRNSTERSSPRPYRRTGRHRDIQEGKETNNGGGLNFNKKIEGKKPSRAMPMRLNGRNFKQNKDRSSTEIF >itb13g14770.t1 pep chromosome:ASM357664v1:13:21371553:21374689:-1 gene:itb13g14770 transcript:itb13g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFISRSLPNSVRRCHHQQRRTLYDGASSIRCVRDRGLDHAVERERSLKQVWNIKNLIKSELSKSLPLNLITQSKDSLEIPTRPIEFLRKYPAIFQEFFPGSVNIHPHIKLTHEALTLDSEEQLLHESVSYRENVADRLLKLLMIGKTNKIPLSVLDRLKWDLGLPQDYAKMIVPEFPDYFRVSNGGDAAMLELVCWSHELAVSEMEKKSLKGESEGFCLKYSNGFEMDKKHKKWVDEWQKLPYFSPYNNSMHLSSKSDESDKWVVGILHEVLSLCIGKKAEKENVLVLGEYLGLRSRFKRAFLQHPGIFYVSSKIGTHTVVLKEAYKRGVLLGKCSMMEMRFKYIRLMNKVTEDEKSKGVHEKTSHDKKEKKSEKFDSEDCEDGNVEEDEDGDFSSDYDDEEERNEDQKEKSGGKLSFNTKFKENPSRSMPRRLAQRNSTERSSPRPYRRTGRHRDIQEGKETNNGGGLNFNKKIEGKKPSRAMPMRLNGRNFKQNKDRSSTEIF >itb13g14770.t2 pep chromosome:ASM357664v1:13:21371553:21374689:-1 gene:itb13g14770 transcript:itb13g14770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFISRSLPNSVRRCHHQQRRTLYDGASSIRCVRDRGLDHAVERERSLKQVWNIKNLIKSELSKSLPLNLITQSKDSLEIPTRPIEFLRKYPAIFQEFFPGSVNIHPHIKLTHEALTLDSEEQLLHESVSYRENVADRLLKLLMIGKTNKIPLSVLDRLKWDLGLPQDYAKMIVPEFPDYFRVSNGGDAAMLELVCWSHELAVSEMEKKSLKGESEGFCLKYSNGFEMDKKHKKWVDEWQKLPYFSPYNNSMHLSSKSDESDKWVVGILHEVLSLCIGKKAEKENVLVLGEYLGLRSRFKRAFLQHPGIFYVSSKIGTHTVVLKEAYKRGVLLGKCSMMEMRFKYIRLMNKVTEDEKSKGVHEKTSHDKKEKKSEKFDSEDCEDGNVEEDEDGDFSSDYDDEEERNEDQKEKSGGKLSFNTKFKENPSRSMPRRLAQRNSTERSSPRPYRRTGRHRDIQEGKETNNGGGLNFNKKIEGKKPSRAMPMRLNGRNFKQNKDRSSTEIF >itb06g05490.t1 pep chromosome:ASM357664v1:6:8184123:8185304:1 gene:itb06g05490 transcript:itb06g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPCLQEAGKGRSKMSENIKHGYHLVEGKMGHAMEDYVFAQFKEVDDENELGLFAIFDGHLSQEVPNYLRSHLFDNILNEPNFWSEPESAMRRAYRITDSTILEKAAELGRGGSTAVTAILINGRKLVVANVGDSRAVICKNGVAKQLSVDHEPEREKKVIEDRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKEHLSSEPDVVTETIDDQTEFLILASDGIWKVMSNEDAVKCVRGIKDPRTAAKHLNVEAISRKTTDDVSTVVVRFR >itb12g27080.t1 pep chromosome:ASM357664v1:12:27665199:27668448:-1 gene:itb12g27080 transcript:itb12g27080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHLPIFSLPGSPSLYIPASPMTVIPLEESPATVLPPLNSLPVGFRFHPTDEELVDHYLKLKINGSKTLASVIREIDICKLEPWDLPDLSMIKSYDNEWFFFCPIDRKYQNGQRMNRATERGYWKATGKDRNILTKKLVKIGMKKTLVYYEGRAPDGKRSNWVIHEYRATDKALDGTQPGQTPFVLCRLFKKNELKQDENAESPNSNGVERNVSSPTVVKSPGEDDQLEAMTPMGRDHIKAQPLTPEKSSVEESPVAQLPVDNNSISSNANNAEEDLDIPHDPDLEELFANLYEPSEEHLDIVSLPQTETQGLGSSYAYGAVTNNCGDDMDIQSLSETSVSDATEFMNSFLVSSDEVPYGDSGQQILPIEHATPNYVSTINKPSGAEMMQGLVKTGFLENVPANALLQRHIEHVPNPSGGVYTPHTFNGGPEMWNSDPLNNSYLGQDAFSTMCDGSQATNMVNLEGEGGSVNAVSSFGPGIRLRTRQARSQAADQPFTMQGTAHRRIRLQMSFPAASVQSRLPEDSNQAESEKPAVTEVIFSP >itb01g21680.t1 pep chromosome:ASM357664v1:1:27750362:27752294:-1 gene:itb01g21680 transcript:itb01g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSSFLLDALYCEEERWGEEMEEAFDETEDSETTTLTTATDTPLLLVPLLLLEQDMFWEDEELLSLFSKEKHTHFLSENLQTDLSLSLARTEAVHWILKVTSHYGFSALTPILAVNYLDRFLSTLHYKKDEPWMIQLAAVTCLSLAAKVEEIHVPLLLDFQVEDAKYVFEAKTIQRMELLVLSTLKWRMNPVTPLSFLDHIIRRLGLKNHLHWEFFRRCECLLLSLIPDSRLVRYLPSVLAASTMLHVIRQVEPCNADHYQNQLLGVLKINQEKVEDCYQVIKDLTNKKRKHNNNVNEDVPSSGPGCVIDPNFSCEESLSDESWGPGGIEPLMKKSRIQELVI >itb14g02200.t1 pep chromosome:ASM357664v1:14:1783122:1792778:-1 gene:itb14g02200 transcript:itb14g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQLIIAVEGTAAMGPYWSSIVTDYLEKIIRCFCGAEAGSQKPSGANVEFGLVMFNSHGSYSACLVQRSGWTRDIDLFLHWLTSLHFGGGGFNDAAIAEGLAEALMMLSSPNGNQTKQTVEGQKHCILVAASNPYPLPTPVYRPQIHNSEQSENETQTDDRRLSDAETVAKSFAQCSVSLSVVCPKLVLKLKTIYAAAKRNPRGADLPIDNPKNPYYLVLISENFMEARAAFNRTGMPSLPSTQNPVKMDVSSVPPISGPLPSSLASIPSANGPVMNRQPIPTGNVHPATVKIEPTTVTSMPGPTFTHVPAVPRGASQQPVQNLQTSSPISVSQEMIANNENLQETKPMVVHGMGQSVRPVGAAAANVRILNDVAQARQALAGGTSIGLSSMGGTPMLSNMISSGMASSVSAAQSVISSSQPGVTSMTSSMPLAGPGQVVQSSAPTSFTATASSMSSTSNLVMSQSMNTLPSSVSVGQTVPGMNQANIPGTQMMQTATSRSQNMMSGMGATGMPSGTGTMVPTPGMTQQGQQGMQAIGVNSNSAANMPLSQQQTSSQLQSSQQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSATASETLAANWPETMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQITNPQQQQQMQAQQHPQLQQLQQQQQQQQQQQLQQLQQQQQPLSHMQQQPMMQMQQQQQMPQMQQQQQQMPQMQQQPQQQQQQIPQMQQQPQQQQQQIPQMQPQQQMQQQMQQQQPQQQQQQQPQMVGTGMNQAYMQGPGRSQLMSQGQVSSQGLQNMPGGGFMN >itb01g24150.t1 pep chromosome:ASM357664v1:1:29878942:29883302:-1 gene:itb01g24150 transcript:itb01g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFELQIPNGFGRGLGAVQLILYAIYCDKKSFPDGSGKMVGVDGKPSGEDIQLGIRMGQNQSKEDLEWVDLLLAELLASSADIAIDRTAMGLSWVKELEFCFWKNLSMRRKEMQQSMLNFSVEEPHPEELLASSADIAIDRTAMGLSWVKELEFCFWKNLSMRRKEMQQSMLNFSVEEPHPEVTGVVLCIERAIANSGVCRDDVNYINAHATSTQAGDLTEFQALLRCFGQNPEEEHRAEISFMLCGFLISLWEEYRVMGNGLYFVQMRHQDWQIAAVKNLRNFIQNMKERARQRKLYRHKIWFEILKSQIETGPPYMLYKNLGTIKSSNLCTEIIEYAISTETAVCNLASIALARFVTETGVPIESQPSKLVGSRGSINRYFYFDKLAECLTCSISYCNSYNKPEQNY >itb09g03020.t1 pep chromosome:ASM357664v1:9:1709910:1713168:-1 gene:itb09g03020 transcript:itb09g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSPTNRNHRSKGLKSKHILQFCLLLVVCFWLIYQVKRSHEKRKEFDDNDSKFSLKMGSSFDPTMWGRKGLLPHSEEITLPNGKQDKQVEDENPEEDEENKPEEEEEAEQDQDSKFEDENDEDRGGGDDIVDEHNQEKSGEEVEQEEEFVDDEKDTEVDETDMKNSQDHELDEDSRSTHEAQEELYKADDASSAVTHDTQTVTTENENGGLEAANENAEVKNLEHESNPDKNISFVQHTSLLKFDDHETTGSNSSLNTTSLEESIPKHVSSDSKNTSIVNLRSVVESNNTEVSGETHDVSLQNLTQSSFDSTIDGNVTTNETAGGEDSKLPTAVIQQGNHSAQIVDDTRSDSNSTSIQNEDSNSTSVNATQEDGTGDKSHSSGADTSNEVKAETSETADKTGGIDERLESSNIENLGEIVQDLIDPSDTSIPLEEKDVVDTDLETLPDIQTEGRNTEDVAAE >itb12g25840.t4 pep chromosome:ASM357664v1:12:26970057:26972237:-1 gene:itb12g25840 transcript:itb12g25840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLLYFRGQRIWQAIINDLLPKGLGQASKALLSGCSAGGLSTFLHCDSLTSYLPKTTTVKCLSDAGFFLDGKDLSLKYTMRSFYQSMVVLHGAGNILNKNCMNTLSNPHLCVFPQYALPHIQTPFFILNTAYDVFQFHHILAPTSADPTAQWESCKQNPAACSPLQLGTLQEFRTYMLGALREFNSDSGRGGMFINSCFAHCQSEIQETWFAVDSPRINNKTIAEAVGDWYFNRNVSKQIGCAYPCDSTCHNIIG >itb12g25840.t3 pep chromosome:ASM357664v1:12:26970576:26974244:-1 gene:itb12g25840 transcript:itb12g25840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNAAIVVYGLILLTSTPWCIYSAAATDDRLLVDRTLVRNAPASEAYCLDGSLPAYHLHRGFGAGAQNWLLQFEGGGWCNGISSCLERSKTRRGSTTFMNKLAVFSGILSNNASRNPDFYNWNRVKLRYCDGASFAGDTKYENGTTLLYFRGQRIWQAIINDLLPKGLGQASKALLSGCSAGGLSTFLHCDSLTSYLPKTTTVKCLSDAGFFLDGKDLSLKYTMRSFYQSMVVLHVSLSLVKKPGTIFRYAFSFMRSLFDRELETFSTKIA >itb12g25840.t2 pep chromosome:ASM357664v1:12:26970576:26974244:-1 gene:itb12g25840 transcript:itb12g25840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNAAIVVYGLILLTSTPWCIYSAAATDDRLLVDRTLVRNAPASEAYCLDGSLPAYHLHRGFGAGAQNWLLQFEGGGWCNGISSCLERSKTRRGSTTFMNKLAVFSGILSNNASRNPDFYNWNRVKLRYCDGASFAGDTKYENGTTLLYFRGQRIWQAIINDLLPKGLGQASKALLSGCSAGGLSTFLHCDSLTSYLPKTTTVKCLSDAGFFLDGKDLSLKYTMRSFYQSMVVLHGAGNILNKNCMNTLSNPHLCVFPQYALPHIQTPFFILNTAYDVFQFHHILAPTSADPTAQWESCKQNPAACSPLQLGTLQEFRTYMLGALREFNSDSGRGGMFINSCFAHCQSEIQETWFAVDSPRINNKVHILNPLVLLVP >itb12g25840.t1 pep chromosome:ASM357664v1:12:26970057:26974244:-1 gene:itb12g25840 transcript:itb12g25840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNAAIVVYGLILLTSTPWCIYSAAATDDRLLVDRTLVRNAPASEAYCLDGSLPAYHLHRGFGAGAQNWLLQFEGGGWCNGISSCLERSKTRRGSTTFMNKLAVFSGILSNNASRNPDFYNWNRVKLRYCDGASFAGDTKYENGTTLLYFRGQRIWQAIINDLLPKGLGQASKALLSGCSAGGLSTFLHCDSLTSYLPKTTTVKCLSDAGFFLDGKDLSLKYTMRSFYQSMVVLHGAGNILNKNCMNTLSNPHLCVFPQYALPHIQTPFFILNTAYDVFQFHHILAPTSADPTAQWESCKQNPAACSPLQLGTLQEFRTYMLGALREFNSDSGRGGMFINSCFAHCQSEIQETWFAVDSPRINNKTIAEAVGDWYFNRNVSKQIGCAYPCDSTCHNIIG >itb12g25840.t5 pep chromosome:ASM357664v1:12:26970453:26972279:-1 gene:itb12g25840 transcript:itb12g25840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTLLYFRGQRIWQAIINDLLPKGLGQASKALLSGCSAGGLSTFLHCDSLTSYLPKTTTVKCLSDAGFFLDGKDLSLKYTMRSFYQSMVVLHGAGNILNKNCMNTLSNPHLCVFPQYALPHIQTPFFILNTAYDVFQFHHILAPTSADPTAQWESCKQNPAACSPLQLGTLQEFRTYMLGALREFNSDSGRGGMFINSCFAHCQSEIQETWFAVDSPRINNKVHILNPLVLLVP >itb10g04920.t2 pep chromosome:ASM357664v1:10:4892524:4899178:1 gene:itb10g04920 transcript:itb10g04920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSALSYVALRLLGEEAKDGDGAIVRGRKWIVDHGGATGIPSWGKLYLSVLGVYEWDGCNPIPPEFWLFPSNFIFHPANMWCYCRTTYMPMSYLYARRYHGPLTNLVLCIRNEIYVKPYDQIDWNSARMDCCKEDLYYPHSFIQDLLWNTLQYCVEPFMSRWPFKKIREMAMRKAIKYMRYNAEETRYITIGCVEKSLQMMCWWAEDPNCDEFKYHLARIPDYLWVSEDGMTMHSFGSQNWDSTFATQAIIASGMVEEYGDCLKKAHFYIKESQIKENPKGDFKSMYRHFNKGSWTFSDQDHGWTLSDGTAEALKCLLLLGQMHPEIVGEKADARRLYEAVDVLLYLQSPNSGGFSIWEPPVPQPYMQLLNPSEFFADIVVEKEHVEPTGCIISALAAFRSQYPNYRPKEIDISISKAVQYLENEQQADGSWYGYWGICFLYGTCFALLGRICFLYGTCFALLGLATAGKNYENSKAIRKAVHFYLSKQNQEGGWGECLESCPTMKYIPLEGNRTNLVQTSWAMLGLMYSGQAERDPTPLHKAAKLLINAQMEDGDFPQQDITGVFMRNCMLHYAQYRSYFPLWALAEYRKRL >itb10g04920.t1 pep chromosome:ASM357664v1:10:4891007:4899178:1 gene:itb10g04920 transcript:itb10g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGGPYLYSTNNYVGRQIWEYDPNAGTPEERQAVEKVREEFRTNRQSGFHVCGDLLMRMQMIKESGIDVLSIPPIRLGEEEEVNYEAVTTAVRKAVRLNRALQARDGHWPAQNAGPMFYTPPLLIALYISGAIETILTSEHKKELVRYIYNHQNGDGGWGLYVDGHSTMIGSALSYVALRLLGEEAKDGDGAIVRGRKWIVDHGGATGIPSWGKLYLSVLGVYEWDGCNPIPPEFWLFPSNFIFHPANMWCYCRTTYMPMSYLYARRYHGPLTNLVLCIRNEIYVKPYDQIDWNSARMDCCKEDLYYPHSFIQDLLWNTLQYCVEPFMSRWPFKKIREMAMRKAIKYMRYNAEETRYITIGCVEKSLQMMCWWAEDPNCDEFKYHLARIPDYLWVSEDGMTMHSFGSQNWDSTFATQAIIASGMVEEYGDCLKKAHFYIKESQIKENPKGDFKSMYRHFNKGSWTFSDQDHGWTLSDGTAEALKCLLLLGQMHPEIVGEKADARRLYEAVDVLLYLQSPNSGGFSIWEPPVPQPYMQLLNPSEFFADIVVEKEHVEPTGCIISALAAFRSQYPNYRPKEIDISISKAVQYLENEQQADGSWYGYWGICFLYGTCFALLGRICFLYGTCFALLGLATAGKNYENSKAIRKAVHFYLSKQNQEGGWGECLESCPTMKYIPLEGNRTNLVQTSWAMLGLMYSGQAERDPTPLHKAAKLLINAQMEDGDFPQQDITGVFMRNCMLHYAQYRSYFPLWALAEYRKRL >itb15g05840.t1 pep chromosome:ASM357664v1:15:3775942:3780713:1 gene:itb15g05840 transcript:itb15g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVATDLRASIWKQMAGAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYNWTGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFALLSLLDKILPIYKEVITELKGAGASWIQFDEPNLVMDLEPNQLETFTKAYSDLESTLSGLNVLVETYFADVPAAAYKTLTSLKGVTAFGFDLIRGSQTLDLIKGGFPSGKYLFAGVVDGRNIWANDLAGSLSLLGSLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAKAQASRKSSPRVTNEAVQKAAAGLKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKASKISEEEYVKSIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSAAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKANITVIQIDEAALREGLPLRKAEHAFYLKWAVHSFRITNCGIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSKEEIAERINKMLAVLDTNILWVNPDCGLKTRKYPEVKPALENMVSAAKQIRTQLASAK >itb10g20350.t1 pep chromosome:ASM357664v1:10:25942389:25946023:-1 gene:itb10g20350 transcript:itb10g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFYLVLVSLLLLEPFSVARHSFSSNREQSDQSRASEDLVANLPGQPVVNFKHYAGYVTVNENNGRRLFYWLYESPSLPDEKPLVLWLNGGPGCSSIGYGATQEIGPFLVDSDESSLTVNPYSWNKEANLLFLESPIGVGFSYSNTSTDYANLGDDFAANDAYAFLHEWFLKYPSYRTRAFYIAGESYAGKYVPELAEIIVDRNKDPSLFIDLRGILLGNPETSDVQDWQGMVDYAWSHAVISDETHRTIIASCDFNSNDTWSNQDCSQAVNELLKQYKEIDIYSLYTSVCIDSLTGSDDNSTPVFKTTSKMMPRIMAGYDPCLDDYAKSYYNRLDVQKALHVSSGHHLRDWRICNMSVFNGWSDSKESVLPIYQKLIAAGIRIWVYSGDTDGRVPVLSTRYSISTLSLPITREWRPWYHQKQVGGWVQEYEGLTFATFRGAGHAVPTFKPSESLAFFSSFLSGASLPFKR >itb10g19930.t1 pep chromosome:ASM357664v1:10:25591547:25592715:1 gene:itb10g19930 transcript:itb10g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAIHFSIFLLFSLLSPSHLHARDSQFFNKMPRNNVVSKAQTTLNPQEQQPSFMQESENGGYGLYGRESTTAPKNLPYRDEEIPNKKNLPKNYNPVSYVTVPEDTGPAKKNQYYGGGKQKTTMPSDTFMQSGYTNAGENYFNNQKEKFPSSTTTGDRYDQYNGGDANGFKKQGMSDTRFMDGGRYSYNGENFPRNPLDNSRNEFGNSRNFNENNNNNFMENSYQNEEEFEDEDDDLP >itb06g16520.t1 pep chromosome:ASM357664v1:6:20677893:20681585:-1 gene:itb06g16520 transcript:itb06g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTSEEEKALKAGVAKHGTGKWKNILRDPEFGHSLTSRSNIDLKDKWRNLNGNVAAQGSGEKSRASRGRATTTGAENDAIFDPCKSSQENRNVPRYSEMIFEALSSIKDPNGSDIGAIAGFIEQRYEVPPNFRRLLSSKMRRLVLQGKVEKVQKCNYMIKDVPVGTRTPSPKKKEVRPWPTQSLKLNMYSKTLLDAAMYAAYRMAEAENKSFLAAEAVKEAERVSKLSEVAESMLQFVTEIYDQCMEGEVILLA >itb07g03830.t1 pep chromosome:ASM357664v1:7:2582958:2585050:1 gene:itb07g03830 transcript:itb07g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDYCLVLMRPSILSVVFSVFLWFIPGLADVSAPANSGTESPNHSSKKSTALVVLGVCLGVLSLVGGAVIVFKFWQRKRREEQHARLLKLFEEDDDLNVELGI >itb12g23460.t2 pep chromosome:ASM357664v1:12:25170577:25170972:1 gene:itb12g23460 transcript:itb12g23460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGEEVLMGLSSSSGASLEATSVYSWSVRARRVPTWLHSQPVDPFKVSNEHTREHKMVISNRPCLVALLSGLIFAVGFGALVAFAALFFRAIFASEKEAEIQPKCILYPGEFRYEKVNVVVDDNTVNAKN >itb12g23460.t1 pep chromosome:ASM357664v1:12:25169741:25171198:1 gene:itb12g23460 transcript:itb12g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLLLLPLLLPLFPHPISSNPFPITPRFDPNLNIAGDAKLATPGSFVHLTDPSKSSRSSGLLFQRAPFKFLGPKPRSFSTDFTFSIPPRNSDGIALIIVPKDFPSRLSGESFGLSRENRFFGVEFDAMVNENVGDENDNHVGIDVGSLVSLKISNISSIKLKLSSGVKLHSWVDYDSSSKRLEVRLCKFGGARPFDPLLAYQVDLGEMWKGEEVLMGLSSSSGASLEATSVYSWSVRARRVPTWLHSQPVDPFKVSNEHTREHKMVISNRPCLVALLSGLIFAVGFGALVAFAALFFRAIFASEKEAEIQPKCILYPGEFRYEKVNVVVDDNTVNAKN >itb08g06280.t1 pep chromosome:ASM357664v1:8:5376867:5378528:-1 gene:itb08g06280 transcript:itb08g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFWHIKSNSFGGWLRSKIECMRCGGKYEKYERMMDLIVEIYGDVVTLEEALKQCSRTEVLDGENKYHCSRCKSYEKARKKMKVLEAPNVLTIPLKRYQSGKFGKLNKPVTFPEILNLALYMSGTSDKSPIYQLYGVIVHLDAKNAAFSERAYILLYARCSPRATRFIKNLSIPHDARRLKHPNCKPRSEMSCWDIFEGDDYLSDNSSSPLFSEVGTCSSSTDSSHRDSISIDDYFEICGDPGGVWRNSSDSDASSSSSPSPLYSRHSQYADMEATGYSIFSKCRTNSECAGITRSRDVGSCENKGSVPFSHLNLTKH >itb09g24350.t1 pep chromosome:ASM357664v1:9:23978456:23981908:-1 gene:itb09g24350 transcript:itb09g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 [Source:Projected from Arabidopsis thaliana (AT3G60720) UniProtKB/TrEMBL;Acc:A0A1I9LQV4] MKTITITQTQTPSLYRLFTFLVLTNNLVKANMFIYAGCSQGNKYQPNTPYEATLNSLLSSMATSSSQSLYNSFALGNYSSGNPDGSVFGLYQCRGDLKTRECSICVAKAIEEVSLVCPYTLGATMQLEGCFVRYEHSDFIGKLDTSLMFKRCGYEDGVNDAEDFVKRRDEVVADIQQGAAMAGFRISSSGSVHGLAQCLGDLSASDCSACLSDAVAKMISLCSRSATAADVFLAQCYARYWVSTYYKAAPDSTNDDEVGKTVAIVVGVLAGVAIFVVLLSICRKALVG >itb09g24350.t2 pep chromosome:ASM357664v1:9:23979366:23981908:-1 gene:itb09g24350 transcript:itb09g24350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 [Source:Projected from Arabidopsis thaliana (AT3G60720) UniProtKB/TrEMBL;Acc:A0A1I9LQV4] MKTITITQTQTPSLYRLFTFLVLTNNLVKANMFIYAGCSQGNKYQPNTPYEATLNSLLSSMATSSSQSLYNSFALGNYSSGNPDGSVFGLYQCRGDLKTRECSICVAKAIEEVSLVCPYTLGATMQLEGCFVRYEHSDFIGKLDTSLMFKRCGYEDGVNDAEDFVKRRDEVVADIQQGAAMAGFRISSSGSVHGLAQCLGDLSASDCSACLSDAVAKMISLCSRSATAADVFLAQCYARYWVSTYYKAAPDSTNDDEVGKTVAIVVGVLAGVAIFVVLLSICRKALVGNLSSLLHNS >itb03g18900.t3 pep chromosome:ASM357664v1:3:17080605:17085077:1 gene:itb03g18900 transcript:itb03g18900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKEVQVKQMKRELAQLLESGQNQTARIRVEHVVREEKMMAAYDLLEIYCELIVARLPIIESQKNCPIDLKEAITSVVFASPRCGDIPELIDVRKHFKVKYGNEFITGAVELRPDCGVSRLLVEKLSAKAPDGQTKLKILTAIAEEQGVKWDPNSFGESDLPPSDLLNGPNTFEKASKVLEDPPRFESSEVRTPPTYSQAYNESSNVSEQKKGSPMTTPSFASQGGTSGTVLSYSSQVDRRHSGFRSEMNEVGQSFPENGNFSMGRQSWNMEFKDATSAAQAAAESAERASMAARAAAELSRMTGQYQTESKRSHVSIERDEQQAYSGASIRNAERRHHDFPDKSFTRRDSRLQDEVGSSEHDNMMKASRKFYHDDGDNGRSSGSQASPRSESSIDNDLLHSPREPDSSRETNLSKEEHSQAKEVSYKHTGEFEPQSMSDYEEDENYFGEERTTVEVNNVPRASHSSTSRYGDINHNQKPVYEAGNDLFANAEEEHVYQGNMKTSSYDVVSAVFDKSSSDDEDAVSFHTEPIYDDQQSKFYFPSPERKSPTHPSVTGDNWSPRMNTSKLPENSTLTSQIFVERYSPPESPKSLLEEASGEKPQAENFVHATFDDSDGMSSETEDIDQSELIRKRSQSHGSRSSETGGTESKKLSHSSNEKDSVDAYRKGDYANDESLLFSSIDGTNQLKSSHSRLSVADGAKDNAHLSHSTAALIDDEEKSTESNSDYANELNFGKLRGGLRHKGYITPPYTRAHQTSSFNKSEEVSATSSQSTASQSVLSSVHSGSMKKGSKSSSRMTTSHHDFDTDSSDEELPQQISSHRRKPDVMKLDKEVETKPRWSRGSVTYFDSTDSEVESPKQPNINRKHMVSQFSRRTKVSPPSSETNFSSQVQIKSETSGSSSLERKSSQNFPEARAQDVKKSIKNLSNEAQDTKKPTRKSYTAEAEEQQKDTRKSYDVGKQGIQSQHEQHSLGRPVSKSKTYLDEEKRKTPTTEQASQFDRKTQSSSNTQTPKASGSSGSTLAREESTKKPSHVHPKLPDYENIAAQLQSLRMNRK >itb03g18900.t2 pep chromosome:ASM357664v1:3:17080516:17084939:1 gene:itb03g18900 transcript:itb03g18900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKEVQVKQMKRELAQLLESGQNQTARIRVEHVVREEKMMAAYDLLEIYCELIVARLPIIESQKNCPIDLKEAITSVVFASPRCGDIPELIDVRKHFKVKYGNEFITGAVELRPDCGVSRLLVEKLSAKAPDGQTKLKILTAIAEEQGVKWDPNSFGESDLPPSDLLNGPNTFEKASKVLEDPPRFESSEVRTPPTYSQAYNESSNVSEQKKGSPMTTPSFASQGGTSGTVLSYSSQVDRRHSGFRSEMNEVGQSFPENGNFSMGRQSWNMEFKDATSAAQAAAESAERASMAARAAAELSRMTGQYQTESKRSHVSIERDEQQAYSGASIRNAERRHHDFPDKSFTRRDSRLQDEVGSSEHDNMMKASRKFYHDDGDNGRSSGSQASPRSESSIDNDLLHSPREPDSSRETNLSKEEHSQAKEVSYKHTGEFEPQSMSDYEEDENYFGEERTTVEVNNVPRASHSSTSRYGDINHNQKPVYEAGNDLFANAEEEHVYQGNMKTSSYDVVSAVFDKSSSDDEDAVSFHTEPIYDDQQSKFYFPSPERKSPTHPSVTGDNWSPRMNTSKLPENSTLTSQIFVERYSPPESPKSLLEEASGEKPQAENFVHATFDDSDGMSSETEDIDQSELIRKRSQSHGSRSSETGGTESKKLSHSSNEKDSVDAYRKGDYANDESLLFSSIDGTNQLKSSHSRLSVADGAKDNAHLSHSTAALIDDEEKSTESNSDYANELNFGKLRGGLRHKGYITPPYTRAHQTSSFNKSEEVSATSSQSTASQSVLSSVHSGSMKKGSKSSSRMTTSHHDFDTDSSDEELPQQISSHRRKPDVMKLDKEVETKPRWSRGSVTYFDSTDSEVESPKQPNINRKHMVSQFSRRTKVSPPSSETNFSSQVQIKSETSGSSSLERKSSQNFPEARAQDVKKSIKNLSNEAQDTKKPTRKSYTAEAEEQQKDTRKSYDVGKQGIQSQHEQHSLGRPVSKSKTYLDEEKRKTPTTEQASQFDRKTQSSSNTQTPKASGSSGSTLAREESTKKPSHVHPKLPDYENIAAQLQSLRMNRK >itb03g18900.t1 pep chromosome:ASM357664v1:3:17080331:17085085:1 gene:itb03g18900 transcript:itb03g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSNFLRSSKEKLTKSFNSAKCKTSLKLASSRLKLMRNKKEVQVKQMKRELAQLLESGQNQTARIRVEHVVREEKMMAAYDLLEIYCELIVARLPIIESQKNCPIDLKEAITSVVFASPRCGDIPELIDVRKHFKVKYGNEFITGAVELRPDCGVSRLLVEKLSAKAPDGQTKLKILTAIAEEQGVKWDPNSFGESDLPPSDLLNGPNTFEKASKVLEDPPRFESSEVRTPPTYSQAYNESSNVSEQKKGSPMTTPSFASQGGTSGTVLSYSSQVDRRHSGFRSEMNEVGQSFPENGNFSMGRQSWNMEFKDATSAAQAAAESAERASMAARAAAELSRMTGQYQTESKRSHVSIERDEQQAYSGASIRNAERRHHDFPDKSFTRRDSRLQDEVGSSEHDNMMKASRKFYHDDGDNGRSSGSQASPRSESSIDNDLLHSPREPDSSRETNLSKEEHSQAKEVSYKHTGEFEPQSMSDYEEDENYFGEERTTVEVNNVPRASHSSTSRYGDINHNQKPVYEAGNDLFANAEEEHVYQGNMKTSSYDVVSAVFDKSSSDDEDAVSFHTEPIYDDQQSKFYFPSPERKSPTHPSVTGDNWSPRMNTSKLPENSTLTSQIFVERYSPPESPKSLLEEASGEKPQAENFVHATFDDSDGMSSETEDIDQSELIRKRSQSHGSRSSETGGTESKKLSHSSNEKDSVDAYRKGDYANDESLLFSSIDGTNQLKSSHSRLSVADGAKDNAHLSHSTAALIDDEEKSTESNSDYANELNFGKLRGGLRHKGYITPPYTRAHQTSSFNKSEEVSATSSQSTASQSVLSSVHSGSMKKGSKSSSRMTTSHHDFDTDSSDEELPQQISSHRRKPDVMKLDKEVETKPRWSRGSVTYFDSTDSEVESPKQPNINRKHMVSQFSRRTKVSPPSSETNFSSQVQIKSETSGSSSLERKSSQNFPEARAQDVKKSIKNLSNEAQDTKKPTRKSYTAEAEEQQKDTRKSYDVGKQGIQSQHEQHSLGRPVSKSKTYLDEEKRKTPTTEQASQFDRKTQSSSNTQTPKASGSSGSTLAREESTKKPSHVHPKLPDYENIAAQLQSLRMNRK >itb03g18900.t4 pep chromosome:ASM357664v1:3:17081053:17085077:1 gene:itb03g18900 transcript:itb03g18900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAYDLLEIYCELIVARLPIIESQKNCPIDLKEAITSVVFASPRCGDIPELIDVRKHFKVKYGNEFITGAVELRPDCGVSRLLVEKLSAKAPDGQTKLKILTAIAEEQGVKWDPNSFGESDLPPSDLLNGPNTFEKASKVLEDPPRFESSEVRTPPTYSQAYNESSNVSEQKKGSPMTTPSFASQGGTSGTVLSYSSQVDRRHSGFRSEMNEVGQSFPENGNFSMGRQSWNMEFKDATSAAQAAAESAERASMAARAAAELSRMTGQYQTESKRSHVSIERDEQQAYSGASIRNAERRHHDFPDKSFTRRDSRLQDEVGSSEHDNMMKASRKFYHDDGDNGRSSGSQASPRSESSIDNDLLHSPREPDSSRETNLSKEEHSQAKEVSYKHTGEFEPQSMSDYEEDENYFGEERTTVEVNNVPRASHSSTSRYGDINHNQKPVYEAGNDLFANAEEEHVYQGNMKTSSYDVVSAVFDKSSSDDEDAVSFHTEPIYDDQQSKFYFPSPERKSPTHPSVTGDNWSPRMNTSKLPENSTLTSQIFVERYSPPESPKSLLEEASGEKPQAENFVHATFDDSDGMSSETEDIDQSELIRKRSQSHGSRSSETGGTESKKLSHSSNEKDSVDAYRKGDYANDESLLFSSIDGTNQLKSSHSRLSVADGAKDNAHLSHSTAALIDDEEKSTESNSDYANELNFGKLRGGLRHKGYITPPYTRAHQTSSFNKSEEVSATSSQSTASQSVLSSVHSGSMKKGSKSSSRMTTSHHDFDTDSSDEELPQQISSHRRKPDVMKLDKEVETKPRWSRGSVTYFDSTDSEVESPKQPNINRKHMVSQFSRRTKVSPPSSETNFSSQVQIKSETSGSSSLERKSSQNFPEARAQDVKKSIKNLSNEAQDTKKPTRKSYTAEAEEQQKDTRKSYDVGKQGIQSQHEQHSLGRPVSKSKTYLDEEKRKTPTTEQASQFDRKTQSSSNTQTPKASGSSGSTLAREESTKKPSHVHPKLPDYENIAAQLQSLRMNRK >itb07g11860.t1 pep chromosome:ASM357664v1:7:13389471:13391481:1 gene:itb07g11860 transcript:itb07g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLMILSLFVLCFCFSLCFHMLLVGLKRSGKSCRLRWVNYLQPGLKRGKITPQEQRLILQLHSKWGNRWSKIAQKLPGRTDNEIKNYWRTHMRKKANEDRANKFGSSSSPSSSSSLSNNSSSSSGGSSSPPAVNSRPIPDTNERNFYDTGGIIFEDETTPQQQQQQQQEKKIMDDQQQQQQVCSSSMDDIWKDMELWAEQEKGGCTMWNYWSEWVWTTCSSSNNNRMCPPPPPPPSSSTDRLCFFDNQDYAQYN >itb07g11860.t2 pep chromosome:ASM357664v1:7:13389471:13391481:1 gene:itb07g11860 transcript:itb07g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRRCSAGSLREHVRRSAVGFSGQSFRFAKVLVGESQSWIGLKRSGKSCRLRWVNYLQPGLKRGKITPQEQRLILQLHSKWGNRWSKIAQKLPGRTDNEIKNYWRTHMRKKANEDRANKFGSSSSPSSSSSLSNNSSSSSGGSSSPPAVNSRPIPDTNERNFYDTGGIIFEDETTPQQQQQQQQEKKIMDDQQQQQQVCSSSMDDIWKDMELWAEQEKGGCTMWNYWSEWVWTTCSSSNNNRMCPPPPPPPSSSTDRLCFFDNQDYAQYN >itb02g18530.t1 pep chromosome:ASM357664v1:2:14883653:14885510:1 gene:itb02g18530 transcript:itb02g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLPSSSPWPLNPASPTPNRRLPSPIFMDANSPPSLDADDHSPLSGISAAVVSPDDDNHRSQTSSPTTTSQNVNPNPLPQIVDSSRPTLLHLSFNQDHSWFAAGTDRGFRIYNCDPVREIFRRDFANNSGGVGGGIGVVQMLFRCNILSLEPQYPLNKVMIWDDHQSRCIGELSFRSEVKSVRRLRRDRIVVVLAQKILVYNFADLKLVHQIETMVNPKGLCEVSQVSGSMVLLCPGLQKGQVRVEHYASKRTKFIAAHDSRVSSFAITNDGKLVATTSSKGTLERR >itb01g33940.t2 pep chromosome:ASM357664v1:1:36845093:36846443:-1 gene:itb01g33940 transcript:itb01g33940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIITARYPTPPLLLQTPPLSSSIAHSALGGDSIEAQGHISFDGRREKFTAKKWRRTSAYFIWRSEILSWIYSTLRLNLSKVEEVCSGAVQCQLMDAAHPGMVPILKVNFDAKNEYEMIQNYKVLQDIFNKLRITKHIEVTKLVKGRPLDNLEFMQWMKRYCDSISGGATHSYNALERREACKGGREATKNLLHSDLLPRLQQLPPSMPTS >itb01g33940.t1 pep chromosome:ASM357664v1:1:36845093:36846443:-1 gene:itb01g33940 transcript:itb01g33940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIITARYPTPPLLLQTPPLSSSIAHSALGGDSIEAQGHISFDGRREKFTAKKWRRTSAYFIWRSEILSWIYSTLRLNLSKVEEVCSGAVQCQLMDAAHPGMVPILKVNFDAKNEYEMIQNYKHIEVTKLVKGRPLDNLEFMQWMKRYCDSISGGATHSYNALERREACKGGREATKNLLHSDLLPRLQQLPPSMPTS >itb11g22520.t1 pep chromosome:ASM357664v1:11:24491504:24492809:1 gene:itb11g22520 transcript:itb11g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVISPSSKSFCSYSNTNLADIAARVVEELRVENGNESEFFEDDVFSGPESGKEVVDGGVGKEETNEDGDGDGDGDGDEEEFEFEFVTGDAVFSPISADEIFYNGQIRPVYPVFNRDLLSGDVDFENGISNSMTMTKANSSEAEKKSSTPPPPQPKIRLPLRKLFSKEREAQSSCSSSEADEMDGIPPGTYCVWRPKAAPAEKSSPKKSNSTGWSKRWKLRDLIHRSNSEGKDSFVFLTPSFRKGTPKPEKPAAAAAEASNTADKPATPPHCAKSDGDRRRAFLPHKHDLVGLFANVNGLSRNLQPF >itb01g15270.t1 pep chromosome:ASM357664v1:1:17627147:17631937:-1 gene:itb01g15270 transcript:itb01g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKAKIAIKKIESLQARNVCFTKRRKGLFKKAAELGRLFPEVRIAAVVFSPAGNPYVFGDVSEMEKLLAMEESRNELLTSEETEKGEEENAGSDPVVEVTAALNTSDSTPQEITESGDMALNPSIVSDFTMKEVTENKNARFCVQDTTFASSSHCLEMPIVDSDFLAADFDGFDEEGVFFISTFLGFRLLLLLLQPFAKLELEGGQTAVRQENNLLVVLLALAGGGSFAVVLSRKMPSVGTGCLLKKGLLSTSVLSWLGISREDKSRAESPWLGFSQLA >itb01g11840.t1 pep chromosome:ASM357664v1:1:11178091:11182097:1 gene:itb01g11840 transcript:itb01g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISR >itb01g11840.t2 pep chromosome:ASM357664v1:1:11178091:11182097:1 gene:itb01g11840 transcript:itb01g11840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISR >itb07g08430.t1 pep chromosome:ASM357664v1:7:6631585:6634319:1 gene:itb07g08430 transcript:itb07g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVLGWAAHDDSGKLRPFIFTRRENGINDVTIKIMYCGICRTDVDFARNEWGNTTYPIVPGYEIVGIITKVGSNVGDFKIGDRVGVGYVSHTCLKCELCNNSKENYCDQMRPVYNAIASDGSVTYGGFSKMIVAHHRYCVHIPNNLPMDRAAPLLGAGITVYCAMKNSNIFESPGKQIGVIGLGGLGHMAIKFAKAFGHHVTVISTSPSKEKLAKDKLGSDDFILSTNPTQMQWSRRSLDFILDTVSANHSLGPYIELLKVDGTLAIVGEPPNPIDFPSTPLIYGK >itb10g05480.t1 pep chromosome:ASM357664v1:10:5664261:5669424:1 gene:itb10g05480 transcript:itb10g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MDFSSFLTSLGTSFVIFVVLMLLFVWLSRKPGNAVVYYPNRILKGLDPVENGYVSRNPFSWIREALSSSEADIITMSGVDTAVYFVFLTTALGILVFSGLILLPTLLPVAATDHSVRLNSTTSNGTFNELDRLSMGHIGKNSPRLWAFLIATYWVSVVTYFFLWKAYKHVSGLRVEALMSSEASAEQYAILVRDIPPVPEGQSRKEQVDSYFSKIYPETFYRSMLVTDNKKVNKIYEELVGYKKKLERTEAIYAKSKETKPEEPKPTHKTGFLGILGEKVDSIEFYNEKINDLTSKLEAEQKVTLKEKQQCCAIVFFNSRVTAASASQSLHSPMVDRWTVTDAPEPRQLIWTNLAMGFYQRIVRQYVIYFIVLLTIFFYMIPIGFISALTTLKNLRKYLPFLKVIVDQPAIKTVLEAYLPQLALIVFLALLPKFLLFLSKAEGIPSESHATRAASGKYFYFTVLNVFIGVTIGSTLFNTFKSIQAHPNSIISLLATSLPSSATFFLTFVALKFFVGYGLELSRIVPLIIFHLKKKYMCKTDAEIKEAWAPGDLGYATRFPGDMLILIICLCYSVIAPIIIPFGAVYFGLGWLLLRNQALKVYVPSFESYGKMWPHMHTRVVAALILYQLTMIGYFGVKKFPYAPLLIPLPILSFIFAFVCRKKFYRFFQSTALEVVSAGKEKKEVPNMEIIFRSFIPPCFGTEKSDEDHFEDALSQVSRSASNV >itb10g05480.t2 pep chromosome:ASM357664v1:10:5664300:5669424:1 gene:itb10g05480 transcript:itb10g05480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyperosmolality-gated Ca2+ permeable channel 3.1 [Source:Projected from Arabidopsis thaliana (AT1G30360) UniProtKB/TrEMBL;Acc:A0A097NUQ9] MDFSSFLTSLGTSFVIFVVLMLLFVWLSRKPGNAVVYYPNRILKGLDPVENGYVSRNPFSWIREALSSSEADIITMSGVDTAVYFVFLTTALGILVFSGLILLPTLLPVAATDHSVRLNSTTSNGTFNELDRLSMGHIGKNSPRLWAFLIATYWVSVVTYFFLWKAYKHVSGLRVEALMSSEASAEQYAILVRDIPPVPEGQSRKEQVDSYFSKIYPETFYRSMLVTDNKKVNKIYEELVGYKKKLERTEAIYAKSKETKPEEPKPTHKTGFLGILGEKVDSIEFYNEKINDLTSKLEAEQKVTLKEKQQCCAIVFFNSRVTAASASQSLHSPMVDRWTVTDAPEPRQLIWTNLAMGFYQRIVRQYVIYFIVLLTIFFYMIPIGFISALTTLKNLRKYLPFLKVIVDQPAIKTVLEAYLPQLALIVFLALLPKFLLFLSKAEGIPSESHATRAASGKYFYFTVLNVFIGVTIGSTLFNTFKSIQAHPNSIISLLATSLPSSATFFLTFVALKFFVGYGLELSRIVPLIIFHLKKKYMCKTDAEIKEAWAPGDLGYATRFPGDMLILIICLCYSVIAPIIIPFGAVYFGLGWLLLRNQVTLLSSC >itb03g05120.t1 pep chromosome:ASM357664v1:3:3445265:3447256:1 gene:itb03g05120 transcript:itb03g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVFGSATAACPQRVMACLFELGVDFELIHIDLKSFEHKTPEFLRRQPFGQVPAIEDGDFKLFESRAIIRYYATKYAEKGKNLMGKTMEERAVVDQWLEVESNNYNDLVYNMVLQMFVFPSMGQPSDMSVVKKCAEKLGKVLDVYEERLSKSKYLAGDFFSLADLSHLPSLRFLTNEGGFGHLVSERKCLNAWYSDISGRPAWNKVLDLMHQPQKLI >itb13g15330.t3 pep chromosome:ASM357664v1:13:22144242:22156612:1 gene:itb13g15330 transcript:itb13g15330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVLTESIKSVAGGETLPSLYRHPRIISHSVFSLNGSSKKLRFLHRNSLLVSKTSTFLYHSIRKRSTGKRLGGVNLAETKMAGIQGISATSDVRTGNMIFEPILEEGVFRFDCSADDRNAANPSFSFVNQKARETPLMSVHKVPSYIPTFECAMGQQIVNIELPLGTSFYGTGEVSGQLERTGKRVFTWNTDAYGYGPGTTSLYQSHPWVLAILPSGEAIGVLADTALRCEIDLRTESNIKFIAPTSYPVITFGPFASPTDVLITFSHAIGTVFMPPKWSLGYHQSRWSYMPDTRVREIARTFREKKIPCDVIWMDIDYMDGFRCFTFNKERFPNPKSLVEDLHQSGFKAIWMIDPGIKSEKGYFVYDSGSEKDVWVQTADGKPFVGDVWPGPCVFPDFTQSKARSWWAGVVKDFVSNGVDGIWNDMNEPAIFKTVTKTMPETNIHRGDDEFGGLQNHLYYHNVYGMLMARSTFEGMKLANRNKRPFVLTRAGFVGSQKHAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFVGNATPKLFGRWMGIGTLFPFCRGHSETGTTDHEPWSFGEECEEVCRLALIRRYRLLPHIYTLFYMAHTRGTPVATPTFFADLKDPELRKLENSFMLGPLLVYASSQHDKDVDQVQKKLPKGIWLSFDFEDSHPDLPVLYLRGGSIIPIGLPYQHVGEANRTDDLSLLVALDEQGKAEGSLYEDDGDGYDYTNGSYLLTTYIAERQSSVVTLRVAKTEGLWERPKRRLHVKLLLGKGAMLDAWGTDGETIQITIPSENEVSSLVLASENNYKIRMENAKRIPHVDSASGHEGAERSKTPVVLKNGVWELKVVPWIGGRIISMDHLPSGTQWLHSKVDIHGYEEYSGLEYRSAGCTEEYSVIEDLEQAGEVKSLMLQGDIGGGLVLERQISLPKDTPKVFRIDSAIVSSKVGAGSGGYSRLVCLRVHPTFTLLHPTESYVSFTSVNGSKHEVWPESGEQIFQGDLLPNGEWMLVDKCLGLALVNRFNADQVYKCMVHWGCGTVNLELWSEDRPVSKESPLKVSHEYEVTNIP >itb13g15330.t2 pep chromosome:ASM357664v1:13:22144242:22156592:1 gene:itb13g15330 transcript:itb13g15330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVLTESIKSVAGGETLPSLYRHPRIISHSVFSLNGSSKKLRFLHRNSLLVSKTSTFLYHSIRKRSTGKRLGGVNLAETKMAGIQGISATSDVRTGNMIFEPILEEGVFRFDCSADDRNAANPSFSFVNQKARETPLMSVHKVPSYIPTFECAMGQQIVNIELPLGTSFYGTGEVSGQLERTGKRVFTWNTDAYGYGPGTTSLYQSHPWVLAILPSGEAIGVLADTALRCEIDLRTESNIKFIAPTSYPVITFGPFASPTDVLITFSHAIGTVFMPPKWSLGYHQSRWSYMPDTRVREIARTFREKKIPCDVIWMDIDYMDGFRCFTFNKERFPNPKSLVEDLHQSGFKAIWMIDPGIKSEKGYFVYDSGSEKDVWVQTADGKPFVGDVWPGPCVFPDFTQSKARSWWAGVVKDFVSNGVDGIWNDMNEPAIFKTVTKTMPETNIHRGDDEFGGLQNHLYYHNVYGMLMARSTFEGMKLANRNKRPFVLTRAGFVGSQKHAATWTGDNLSTWEHLHMSISMGLSGQPLSGPDIGGFVGNATPKLFGRWMGIGTLFPFCRGHSETGTTDHEPWSFGEECEEVCRLALIRRYRLLPHIYTLFYMAHTRGTPVATPTFFADLKDPELRKLENSFMLGPLLVYASSQHDKDVDQVQKKLPKGIWLSFDFEDSHPDLPVLYLRGGSIIPIGLPYQHVGEANRTDDLSLLVALDEQGKAEGSLYEDDGDGYDYTNGSYLLTTYIAERQSSVVTLRVAKTEGLWERPKRRLHVKLLLGKGAMLDAWGTDGETIQITIPSENEVSSLVLASENNYKIRMENAKRIPHVDSASGHEGAERSKTPVVLKNGVWELKVVPWIGGRIISMDHLPSGTQWLHSKVDIHGYEEYSGLEYRSAGCTEEYSVIEDLEQAGEVKSLMLQGDIGGGLVLERQISLPKDTPKVFRIDSAIVSSKVGAGSGGYSRLVCLRVHPTFTLLHPTESYVSFTSVNGSKHEVWPESGEQIFQGDLLPNGEWMLVDKCLGLALVNRFNADQVYKCMVHWGCGTVNLELWSEDRPVSKESPLKVSHEYEVTNIP >itb13g15330.t1 pep chromosome:ASM357664v1:13:22144242:22156573:1 gene:itb13g15330 transcript:itb13g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQGISATSDVRTGNMIFEPILEEGVFRFDCSADDRNAANPSFSFVNQKARETPLMSVHKVPSYIPTFECAMGQQIVNIELPLGTSFYGTGEVSGQLERTGKRVFTWNTDAYGYGPGTTSLYQSHPWVLAILPSGEAIGVLADTALRCEIDLRTESNIKFIAPTSYPVITFGPFASPTDVLITFSHAIGTVFMPPKWSLGYHQSRWSYMPDTRVREIARTFREKKIPCDVIWMDIDYMDGFRCFTFNKERFPNPKSLVEDLHQSGFKAIWMIDPGIKSEKGYFVYDSGSEKDVWVQTADGKPFVGDVWPGPCVFPDFTQSKARSWWAGVVKDFVSNGVDGIWNDMNEPAIFKTVTKTMPETNIHRGDDEFGGLQNHLYYHNVYGMLMARSTFEGMKLANRNKRPFVLTRAGFVGSQKHAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFVGNATPKLFGRWMGIGTLFPFCRGHSETGTTDHEPWSFGEECEEVCRLALIRRYRLLPHIYTLFYMAHTRGTPVATPTFFADLKDPELRKLENSFMLGPLLVYASSQHDKDVDQVQKKLPKGIWLSFDFEDSHPDLPVLYLRGGSIIPIGLPYQHVGEANRTDDLSLLVALDEQGKAEGSLYEDDGDGYDYTNGSYLLTTYIAERQSSVVTLRVAKTEGLWERPKRRLHVKLLLGKGAMLDAWGTDGETIQITIPSENEVSSLVLASENNYKIRMENAKRIPHVDSASGHEGAERSKTPVVLKNGVWELKVVPWIGGRIISMDHLPSGTQWLHSKVDIHGYEEYSGLEYRSAGCTEEYSVIEDLEQAGEVKSLMLQGDIGGGLVLERQISLPKDTPKVFRIDSAIVSSKVGAGSGGYSRLVCLRVHPTFTLLHPTESYVSFTSVNGSKHEVWPESGEQIFQGDLLPNGEWMLVDKCLGLALVNRFNADQVYKCMVHWGCGTVNLELWSEDRPVSKESPLKVSHEYEVTNIP >itb14g08960.t1 pep chromosome:ASM357664v1:14:8915902:8922507:1 gene:itb14g08960 transcript:itb14g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWIKHEGMQIFSIDIQPGGLRFATGGGDHKVRIWNMKCVGRDMETDESIPKLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDIENWKVAMTLRGHTADVVDLNWSPDDSTLASGSLDNTIHIWSMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVVIWRTTDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRRNASNAQEMKSASPGWTNGSSKTGGKDSQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGTVGTFHFDANELGTRLSDTELDDLKKSRYGDVRGRQTNLVESPAQLLLEAASAKQTPVKKTSENVSLNQASSKAPADSVTAVKVPKSKVDNGKKIEGVTSDGVNKDAPSSRLSSPVKQREYRRPDGRKRIIPEAVGVPILQDNMTGAVQTLGPGFTNNSVDGENGDNGVIHNDTGFREGPNRRTVGGSADLKERSGVTARATVSESLVIEKVPVSAGKDGSVCIEHTGAVKDTCSLASSGTLSIRVCDKKGEDIIPFCLEARPREHTVNDVLGVGNTFVMKDTELICMKGMQTFWSDKISGKVTVLAGNANFWAVGSEDGSLQIYTKCGRRSMPTMMLGSGAVFIDCDESWKLLLVTRKGSLYLWDLFTRKCLLNDSLASLVTLDPKSNTSTIKVISVKLSKSGSPLVVLATRHAYLFDMNLMCWLRVADDCFPASNFASSWNLGSFHGGELAALQVDVRKFLARRPGWSRVTDDGVQTRAHLESQLASALALESPKEYRQCLLSYIRFLAREADESRLREVCESFLGPPIGMAEASTSSTEKPAWEPCVLGMKKHKLLREDILPAMASNRKVQRLLNEFMELLSEYDLSETNLGQNTVPTADKMDTDLAGAENNKPSSAAVTNQENAETPAAAAVAVDQMDIALETADPATEPVDSAIQETTNEEAIPQSNEMNLDPPPPSDQPNPCPPAPEDKGS >itb12g28100.t1 pep chromosome:ASM357664v1:12:28168594:28173005:-1 gene:itb12g28100 transcript:itb12g28100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSASPAKAPSSVSVAHKRVQNRAYSDIIRERSESPPPKKLRSMKEIMAVAKHVQLEEEEEEDEEEEEHDQGSVVCSGAGNDYENLVCEQCGSGEKDDEILLCDKCDKGFHMLCVRPIVVRVPIGQWFCPSCTADQRRPIKSFSQKKIDDFFRIQKGSKLVMKCTSPQDIRRRRKRTLGYHKKRRRLLPFTPTEDPARRLDQMGTLATALTALGMEFSNELTYVTGMARKRANQAKFENGGMQVLSKEDTETLEQCRAMCKSGLWPPLIVVFDSCEGYTVEADAAIKDMTLIAEYTGDVDYIRNRQNDDCDSMMTLLLATDPSKSLVICPDKRGNIARFINGINNHTLGGRKKQNLKCVRYNVNGECRVLLVAIRDISKGERLYYDYNGYEHEYPTHHFV >itb14g04870.t1 pep chromosome:ASM357664v1:14:4232070:4233706:1 gene:itb14g04870 transcript:itb14g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSNDVSNDEVTVVMVPLPAQGHLNQLLQLCCLFSSHGLPVHYVGSAIHNQQARLRANGLDPNKIAKIHFHDLPTPDFDSPSPNPNASFKFPTHLQPIWDASQLLRRPTALLLRELAAKSRRIVVIHDYLMSYVVQDVATIPNAESYNFNCVSVLSMVCYMYHGLGKKFVADGELRELMSPPEGCTTDEIVRLGIAQMEPLSVRSGDIHNSNRLIEGPFIDLLEENETAQKWKQWFIGPILPANPNLNSKTPNNANSCLDWLDEQPQNSVLYISFGTTTSMSEIEVRELALGLEQSKQRFLWVLRDSDIGDIFSRACRKIELPEGFEERVKGVGLVVRDWAPQPEILAHPSTGGFMSHCGWNSCMESINFGVPIAAWPMHSDQPFNSFLVAEVLKIGLMVREWEEREEVVGSSAIENVVRRLMASEEGDEIRKRAQQLGENVRKSAQQGGASQMELDSFIAHITR >itb04g03520.t1 pep chromosome:ASM357664v1:4:2155056:2159705:1 gene:itb04g03520 transcript:itb04g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNETPILVKEDDSNTHNPLMKLKRFDFWLLLALNAVFLLVGQAAAIILGRIYYDKGGNSKWLATLVQTAGFPILFIPYFLIPSPKELSDSSNRPPPPPSIIKVTVIYFFLGALIAGDNMLYSIALLYLSASTYSLICATQLVFNAIFSFFINSQKFTSLIFNSVIALTLSAALLAINEDSDKPPGVTSWKYILGIIAATVASALYSLILSLMQLSFQKVIKKETFSVVLEMQIYTSVVATGISVIGLFASGEWRTLSGEMQAFTTGKAAYVQILVWTAVGWQIAAVGVVGLIFVVSSLFSNVISTLSLAVTPIASVIILHDTMNGVKIIALLTATWGFGNYIYQNYLDDLKARKRQIASADTATNFGTFTLHCDSIFISHNLCCIFTLSLDGLHPSMSENETPFLVKEGGGSEMQLKRRFHFWLLSALNIVFLLAGQGGGIILGRIYYDNGGKSKWMSTLVQSAGFPVLLIPYFLIPLPKQHSDSSNQPPPPPPPPMIKVTIIYFLLGALTAGENMLYSVALLYLSASTYSLICATQLAFNAVFSFFINGQKFTTLIFNSVIALTLAASLLAINEDSDKPSGVTRWKYMFGIIIATADSALYSLILSLMQLSFQKVIKKETFHVVLEMQIFVSVVAAGISTIGLFGSGEWRTLSGEMHAFAAGKVGYVQVLVWTSLGWQVCAVGVVGLIFVVSSLFCNVISTLSLAVTPVASVIVLHDKMNGVKIIALLMAMWGSGNYIYQNYLDDDLKARKTHIASADTATNGSSGSGDAVVYSFV >itb04g03520.t2 pep chromosome:ASM357664v1:4:2155056:2159705:1 gene:itb04g03520 transcript:itb04g03520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNETPILVKEDDSNTHNPLMKLKRFDFWLLLALNAVFLLVGQAAAIILGRIYYDKGGNSKWLATLVQTAGFPILFIPYFLIPSPKELSDSSNRPPPPPSIIKVTVIYFFLGALIAGDNMLYSIALLYLSASTYSLICATQLVFNAIFSFFINSQKFTSLIFNSVIALTLSAALLAINEDSDKPPGVTSWKYILGIIAATVASALYSLILSLMQLSFQKVIKKETFSVVLEMQIYTSVVATGISVIGLFASGEWRTLSGEMQAFTTGKAAYVQILVWTAVGWQIAAVGVVGLIFVVSSLFSNVISTLSLAVTPIASVIILHDTMNGVKIIALLTATWGFGNYIYQNYLDDLKARKRQIASADTATNFGTFTLHCDSIFISHNLCCIFTLSLDGLHPSMSENETPFLVKEGGGSEMQLKRRFHFWLLSALNIVFLLAGQGGGIILGRIYYDNGGKSKWMSTLVQSAGFPVLLIPYFLIPLPKQHSDSSNQPPPPPPPPMIKVTIIYFLLGALTAGENMLYSVALLYLSASTYSLICATQLAFNAVFSFFINGQKFTTLIFNSVIALTLAASLLAINEDSDKPSGVTRWKYMFGIIIATADSALYSLILSLMQLSFQKVIKKETFHVVLEMQIFVSVVAAGISTIGLFGSGEWRTLSGEMHAFAAGKVGYVQVLVWTSLGWQVCAVGVVGLIFVVSSLFCNVISTLSLAVTPVASVIVLHDKMNGVKIIALLMAMWGSGNYIYQNYLDDDLKARKTHIASADTATNGSSGSGDAVVYSFV >itb10g12530.t1 pep chromosome:ASM357664v1:10:18587781:18591498:-1 gene:itb10g12530 transcript:itb10g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSKGQGYHFPPCHILNICGFQVLFDCPLDLSALSVFSPLPTDPPSLLDRQIVPQTGKCLDATSLIHSEPWYKTVERLNLFNTSFIDVVLITSPMGLLGLPYLTRDKDFSAKIYATEAAARLGQLIMEDLVAIHMELRQFYGAQESAFPEWVNWEKLELLPLELKEIVLGKHGIDLGGWMPLYSAAEVKSCIQKVQSLKYAEETFYSGTLSLKALSSGLEIGACNWTIVSPKGSITYLSGSVFASATAMSFDYFSLQKSDILLYSGYAPSDVDNVDGDNSRCTPPCNDFSNSSGSDFSLEVTAKYLLDSSEYTEEMEKIDFLCSCVLDSVNAGGSVLIPIGRPGIMLQLLENVGLLLESSNLKVPIFFISSVAKELLAFSNVIPEWLCKQKQDRLYAGESLFSHVELLNGKRLQLFPAIHSPELLTSWQEPCIIFCPHWSLRLGPVVHLLRRWCADPNSLLVMEEGADANLSFLPFKPMAMKVLQCTFLSGINLKKAPYLLKALQPKHVLLPETLRSHFSHLNHTYSFSYFSEKEALVIPKLKQGSDLHLGVDLVSRLLHCTKPMQEDKEIARLKGELVIDRGKYQLVIGNDQIISTKTRPVVYWGKTNPDLLVAALQKMGIKATIEQAGPESASTIHVSEPNEALIEVTAERTVIFTADENFASRISESVCSILDGI >itb10g23020.t1 pep chromosome:ASM357664v1:10:27610174:27613518:1 gene:itb10g23020 transcript:itb10g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNCEGKKDNCDCEDEKQSNATWRQKASLSVIVLPYASSLRLSSTKTHHRCVLFILITSAPLISPLSSLPGNNMESLALHSPSSLTSFSPLSFHRLRSRPSISLPPNLPATVTVLRPISSLPAPKLPILKQKPLISPLSNPIPKDPSLATCSSSSGDNITTAADPKRDPQGAKIVPLVISVSIGLLVLFVVPKPAEVSAQAWQLLAIFLSTIAGLVLSPLPVGAWAFLGLTTTILTNTLTFSAAFGAFTNDVIWLIVISFFFARGFVKTGLGERIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSSGSKPGDPSSRKLGSYLVQSQFQASGNSSALFLTAAAQNLLCLKLAEELGVVVSNPWVVWFEAASLPAFVSLLATPFILYKLYPPEIKDTPEAPAMAANKLELMGPITKNEWVMIGTMLLAVSLWVFGEAVGISSVVAAMIALSILLLLGVLDWDDCLSEKAAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKSLASLSLSWPAAFALLQAAYFFIHYLFASQTGHVGALYSAFLAMHLVSGVPGVLAALALAYNTSLFGALTHYSSGQAAVYFGAGYVDLPDVFKYGFVMAVVNAVIWGGVGTLWWKFLGLY >itb10g13600.t1 pep chromosome:ASM357664v1:10:19805149:19809957:-1 gene:itb10g13600 transcript:itb10g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGSNEIESIRAELPEIGPSLTSSCRHHHNLSFKSNNSVLSSTTNEDVFDEDYLLQWATIERLPIFDRLRLAVFDENKQGKRVVDVTRLGGVERHIFIEKLIKHVEHDNLRLLKKIRKRIDRVGLKLPSVEVRYANLHVEAECEVVHGKPLPTLWNSFKSLMMVFARWIPCLESEVAKIQIVNDVSGVIKPGRMTLLLGPPGCGKTSLLKAVSANLDNSLKVRGDISYNGHKLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSCCQGVGSRADILVELIKMEKEAGIVPDQDIDIYMKAISMEGQKTNLQTDYILKILGLDVCADTIVGNAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPESFDLFDDIILMAEGKVVFHGPRSNILEFFESCGFKCPERKGVSDFLQEVISRKDQAQYWNRTEQAYNYVSVDTISRKFKESPYAKNLFKQLSEPFDKSKSHKNAINSSVYSLPKWTLF >itb02g13650.t1 pep chromosome:ASM357664v1:2:9851707:9861412:1 gene:itb02g13650 transcript:itb02g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKPNQTFSSVISTFVVFSILTASLLSPTASGDAGAGDYLLSNRTFRPRQEILKLRRIRAYLKTINKPAVKTIQSPDGDLIDCVQSHLQPAFDHPQLKGKKPLEAPERPKGHNLGDAAEKRFQVWSESGEWCPEGTVPIRRTREEDVLRASDVRRFGRKKISRAVRRDTMSNDHEHAVAFVNGDQYYGAKASLNVWTPRVMEQYEFSLSQLWVISGSFGNDLNTIEAGWQVSPALYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAMGAAISPRSSYNGRQFDIAIMIWKDPKHGHWWLEFGPGILVGYWPSFLFTHLRSHASMVQFGGEIVNGGGERGYHTSTQMGSGHFADEGFRKASYFRNLKTVDWDNNLIPLSNLHLLADHPNCYNIKSGRNSVWGNYFYYGGPGRNSRCP >itb15g05370.t1 pep chromosome:ASM357664v1:15:3443955:3447647:1 gene:itb15g05370 transcript:itb15g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRTAAILRAPPSASLSATVSSSALSHKVSSPVSITRNGRRMRTSSCLPIQRRIDHRPPNLAIRPPRSRSVVQFVLSASDGEATETAQTETGEHVRDSELEENIGGAHDDTDTSNEVENASVEETASTLMNVLQSYREALANNDGSKVAEVETYLKSIEDEKINLEREILTLTQLISSEKACVLRISADFASFRKRTEREWLSLVTTAQGEVIEKLLPMLDSFERAKAQIKVGSEGEEKISNSYQSIYKQFVEILSSLGVTPVETTANPANPLARFQVGSKFRQMIDKSFEKLQKVCSAFMKT >itb09g14160.t1 pep chromosome:ASM357664v1:9:9384714:9388826:1 gene:itb09g14160 transcript:itb09g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQSSSINPRFASAKRAFTEKELEDLNSLFLSLAEKSERNAQYISPSVFKDHVGIEGPLGDRLFDLVSQNRKDQKLTFEDLVIAKATYEKGTKDEIEEFIYQLLDVSADGTVGRSDLEAVLTAMLDKIFSQKCSQHGPGSHSEVIDILLNAANFTMDSQKSAESCMSYEEFRKWCALLPSVRKFLGSLLMPSDPGSDVPRLVHQDNINSNVILLRKEYAWLIGGALPQEELHEWKLLYHSTVHGLSFNTFLGNVSNDKGPSVFIIKDKEGYIYGGYASQPWERHAEFYGDMKSFIFQLYPKASIFRPTGANHNIQWCAVNFSSESIPNGIGFGGRVNHFGLFIPANFDQGHTFPCTTFGSPCLSASNVIYPEAIECWGVVIKKAQEETQDRIKGTILERFKEDRHMLNMVGLANSSE >itb06g19460.t1 pep chromosome:ASM357664v1:6:22793833:22797985:-1 gene:itb06g19460 transcript:itb06g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGVQKWVYGLAFFMILLRAEGFFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQVAFSGLLGNHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMKNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSRAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTIQTPLFLVNAAYDSWQIKNILVPGVADPHGTWHSCKLDILKCSDSQLGIMQGFRLEFLKALGAVANSPSRGFFINSCYAHCQTEVQETWHRDDSPMLAGKSIANAVGDWYYDRTPFQKIDCPYPCDKTCHNRVFE >itb06g19460.t2 pep chromosome:ASM357664v1:6:22794405:22797985:-1 gene:itb06g19460 transcript:itb06g19460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGVQKWVYGLAFFMILLRAEGFFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQVAFSGLLGNHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMKNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSRAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTIQTPLFLVNAAYDSWQIKNILVPGVADPHGTWHSCKLDILKCSDSQLGIMQGFRLEFLKALGAVANSPSRGFFINSCYAHCQTEVQETWHRDDSPMLAGKSIANAVGDWYYDRTPFQKIDCPYPCDKTCHNRVFE >itb06g19460.t3 pep chromosome:ASM357664v1:6:22794405:22797673:-1 gene:itb06g19460 transcript:itb06g19460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGVQKWVYGLAFFMILLRAEGFFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQVAFSGLLGNHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMKNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSRAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTIQTPLFLVNAAYDSWQIKNILVPGVADPHGTWHSCKLDILKCSDSQLGIMQGFRLEFLKALGAVANSPSRGFFINSCYAHCQTEVQETWHRDDSPMLAGKSIANAVGDWYYDRTPFQKIDCPYPCDKTCHNRVFE >itb10g02580.t1 pep chromosome:ASM357664v1:10:2232258:2233382:1 gene:itb10g02580 transcript:itb10g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKSLKLLVALAVVFAMSASLTTSRTLPDSSMAERHDQWIAQYGRVYKNEVEKSKRYKIFKENVEYIDAFNNAGTKSYKLGINAFADLTNKEFQASRNGYKLPHECSSNTLFRYENVSAVPSTVDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGITQLSTGKLISLSEQELVDCDVKGEDQGCEGGLMDDAFQFIINNKGLTTESNYPYQGTDGSCKKSKSSNSAAKISGYEDVPANSESALEKAVANQPVSVAIDASGMDFQFYSSGVFTGSCDTQLDHGVTAVGYGKAEDGTKYWLVKNSWGTSWGENGYIRMQKDIEAKEGLCGIAMQASYPTASA >itb01g29030.t1 pep chromosome:ASM357664v1:1:33313863:33315056:-1 gene:itb01g29030 transcript:itb01g29030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWAPLREFGASDHTAGGSGVRWVGKRRRLGYRSACELKKVTKTIHRPFVHRIKGICKVRFEELNAHAEE >itb05g14670.t1 pep chromosome:ASM357664v1:5:21906637:21911188:-1 gene:itb05g14670 transcript:itb05g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEDQKSSMKEAFTLFDTDGDGKIAPTELGILMRSLGGNPTQAQLKSIVAEEKLTSPFDFKRFLDLMSKHLKPEPFDRQLRDAFKVLDKDSSGFVVISDLKHILTSIGEKLEPAEFDEWIREVDCGSDGKIRYEEFIARMVAK >itb03g03880.t1 pep chromosome:ASM357664v1:3:2317447:2321121:-1 gene:itb03g03880 transcript:itb03g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRMDENKVPLLDYSSAQNNNGEEEEEEKKFLGRFWVESKKLWQIVGPAIFSRIASYSMFVITQAFAGHLGDLELAAMSIASNVILGFDFGLMLGMASALETLCGQAFGAKRYHMLGIYLQRSWVVLFLCCVAMLPLFFFATPVLKALGQAEDVSELSGVVVMAFLPLHFCFAFQFPLQRFLQSQLKNSVIAWVNFVALIVHVLLSWLIVYRFQLGIIATALTLNFSWFVIFFGLFGYTVCGGCPLTWPGFSMEAFSGLWDFFKLSVSSGIMLCLENWYYRILIVMTGNLENAKIAVDALSICMNINGWELMIPLGFFAGTGVRVANELGAGNGKGAKFAAFVSVSHSMIIGLFFWLLILTFDNKLALIFSSSQTVLEAVHDLSFLLAFTVLLNSVQPILSGVAVGSGWQAYVAYINLGCYYLVGVPLGIMMEWVFHQGVKGIWAGMIFGGTAVQTIILCIITIRCDWEKEVIKDLKPYKIFFDFWQFCLFLCCLYVGMQAQKANKHVNKWESVGHSAS >itb12g13620.t1 pep chromosome:ASM357664v1:12:12601956:12602369:1 gene:itb12g13620 transcript:itb12g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMLQTRFFASQTTNWVFSQNLDALFETLVSQSSATKFYKIAASSDQSSIAWLFQCRGNLSASDCSDCVQITTDMSKNLYGDSIAGRVQLVYKLCGRRRLGRSQRAWKSATGSTPAAMNRSSSSTSAKAIWEVTTM >itb11g07220.t1 pep chromosome:ASM357664v1:11:4413582:4416894:-1 gene:itb11g07220 transcript:itb11g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTWRRIVYPCWRPTIDDEGQDWSSRGGDPNGRVDGLLWYKDLGHHVNGEFSMAVIQANNVLEDQCQLESGSLSSVETGPQGTFVGVYDGHAGPEAAHFICDHLFNNVKKFTLENQEMSADVITKAYLETEEEFLSLVKKQWLMKPQIASVGSCCLVGIVCNGMLYIANAGDSRAVLGRVERHKDVKAIQLSSEHNANLESVREELKLLHPDDPQIVALKHKVWRVKGLIQISRSIGDAYFKRPEFNREPLLPKFRLDEPFVTPILKAEPSIHVQKLLPEDHFLIFASDGLWEQLSNQEAVEIVNTCPRNGVARKLIKAALHEAAKKREMRYADLKKIDRGVRRHFHDDITVIVLFLDSNLTSRGSSSGQVLSIKGGGGTS >itb01g05870.t1 pep chromosome:ASM357664v1:1:4084138:4086969:1 gene:itb01g05870 transcript:itb01g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYFLRFSPFLQLLLIIISIISATLCDAVLPTQRPFNNTISGIFIFGDSTVDSGNNNFIRTVSKCDFAPYGRDFDQNHTPTGRFTNGRLVTDFISSYVGIKEFVPPYLDSSLGTEELITGVSFASGGSGYDPLTSRINGVIPMEQQLQYFREYRARIAGAIGEENTKLLISKAAFLISAGTNDLVVNYYGTPFRRQNFTVSQYQHFLLQLTHDFIQELIKEGAQLIGIVGLPPIGCLPIVITTFSGRPFSGRQCLDTYSAVAREYNQMLQDSLQTMKNECKRIVYADIYKPIDDMVQNPTKYGKLLQF >itb15g11260.t1 pep chromosome:ASM357664v1:15:9092975:9096591:-1 gene:itb15g11260 transcript:itb15g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVDKVLEDQVAQGVNALVQTVAYNVKLVRGIDSEIKDLTSDIETFSARLIEASKNSWATDHHVLRVVVKKFRNVVNEAQDTIADYVALKGKHVDNVFSKSLDKIPFCGKIKDFASEIQSIRAKLAKIRQDHGKELLQLMTYKINEQNKGLLTLQVQPTVEKDKVFGFENDLKTIKGFIEASDNFIVIPIVGITGTGKTIFASMVFEEYKCIPENFNKYIWVNVSQDFDRKQKFIDIIYQITSRREDISMMTEEGLAREICQLLKNEKYFVVLDDVRKKEDWDSFKVVFPTNLKGSRVLVTSPYGNVVDSNWRSHNLEKLSNGEGWLLLKNNAFGIEGCNDEVLENLGKEIANKCNGLPLALVAVGGMLRQRRNIADWQRVAENPFLEINQEGQIYCDRVKMTYNDLPDEKLKNCFLYFACFPIGHEIVVWKLIHLWIAEEFIPTIDEQGYALEAEVEAEKYLNDLVDRNLVMVVKRRVNGQIKTCCIPSTLHEFCKSEGARINLFHVMDEGQRLDKNISLTHGNISSTRRLCFHSFTKNKFDVLIKSYNQKRSLCPFGKHIHSLLLFSDGGDNITFTKDELATIPITFPLLRVLNIEFSIEFYSLLSDELDMVLPNELYNLHLLRYLAIKSDLNSLPNSFKNLRGLETLVIETTSSTLQIDEGIWNMEKLRHVHTNTSLQLPPFPPKRSTTNSGGKDIRTLSTISPTNCTREIFQKTPNLQKLGVRGDLSKLLKESVISFLFESLKCLENLKLYGQYDKVLTFPSEIVDASRLKKLSFSGTLFEWKDVRVLGLLEELEVLKLDDYAFKGENWELSNDVVFKRLQYLRIGRTNLITWKLATENSFPTLRSLILRNCSSLEKIPEAFANVDTLEVMELLHMSESAVQSAKEVKEKQLKNCGFQLLIPPKMVKLYFTPLLTSTVIVFEIADCMYH >itb03g24550.t2 pep chromosome:ASM357664v1:3:23272756:23275898:1 gene:itb03g24550 transcript:itb03g24550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSISAQKSGTSKWLDRLRSSKGFPPGDYLNLEQFLRQTSNSPAPINPTTKLGQTNLASVSCSEKQQVLETPVDPAGGKQLFNAFTNVLSELFNMGESSNFPAQKKGPRKQINPRFFAASTSSELNNVSGSGDDRGKDKSASPMSDDQSRVEMKLLEQSEEEEEKIDAHLFGFSRSEVTVIDTSCAPWKCDKLLFRKKNVWKVRDKRSKTTIHLGKKKKRKANEDGNFGGIKKHKVSNGEETKIPNIIEGLQPGNKFEEGCKKTLDSVGQTVEKKHRDL >itb03g24550.t1 pep chromosome:ASM357664v1:3:23272756:23275898:1 gene:itb03g24550 transcript:itb03g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSISAQKSGTSKWLDRLRSSKGFPPGDYLNLEQFLRQTSNSPAPINPTTKLGQTNLASVSCSEKQQVLETPVDPAGGKQLFNAFTNVLSELFNMGESSNFPAQKKGPRKQINPRFFAASTSSELNNVSGSGDDRGKDKSASPMSDDQSRVEMKLLEQSEEEEEKIDAHLFGFSRSEVTVIDTSCAPWKCDKLLFRKKNVWKVRDKRSKTTIHLGKKKKRKANEDGNFGGIKKHKVSNGEETKIPNIIEGLQPGNKFEEGCKKTLDSVGQTVEKKHRDFALKKANSSVVLIKNIPASKKSGTGIPKTNLKSSHHRPPPKLT >itb07g02030.t1 pep chromosome:ASM357664v1:7:1213262:1219160:1 gene:itb07g02030 transcript:itb07g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQICTCHLKKWTPALGRSRSMLVQEQERSMSRHFRQQCFYHKREENMVYNNGNIINAVLLPFEQSAGFSMENAEGLSSSRQFSLKNTPFLPPHSLSDPTYPPTLTYRRRRRRRRSSSPVRATSLQMAETPSRRSQRTPKKQKVPPPSFSDSSPSCHVLPPTPQTLDPSPRRRSLRLTSTPQASTPPPTPTSTRNSSRRKSLKFASQNADNLSNQKPKYANSTPTLLSPASPEPVQSTRKRKFAELEKSGSEKTRALKPGRKKVYYKKVVYDGGEFAVGDDVYVKRREDASSDDEDPEVEECKVCFMAGRAVMIECDDCLGGFHLKCLNPPLKEVPEGDWICGFCEAKKLGKTVQLPVPPKGKKRARTAREKLLASDLYAARIESIWKDVDGTYRFKAHWYIIPEETAAGRQPHNLRRELYRTNDFADAEMESIIRHCYILTPKEFSKANNGGDDIFLCEYEYDIHWHSFKRIADIDDGEEDCEEAESDREWNSAEGSGSDSEDDVEYEEENNHNLLNRRQLPAHPLAANSRKGRIFGLQKIGAKKIPEHVRSHKLTELEKAKATLLLATLPKSLPCRNKEMEEVTAFIKGSICEDQCLGKCLYIHGVPGTGKTMSVLSVMRNLKSEVDAGSIKPYCFVEINGLKLASPEHIYSVIYEALNGHRVGWKKALKLLNERFSNGTERGKQDNRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSRLIIIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISTRLNGINAFEKPAIEFASRKVAAVSGDARRALEICRRAAELADYRVKKVHSSAAGKALVGMADVEAAIQEMFQAPHIQVMRSSSRFSKIFLAAMVYELHKTGMSETTFEKLAMTVSCLCTSNGEKFPGWDSLLKVGCMLGECRILLCEPGVKHKLQKLQLNFPSDDVAFALKESKDLPWLAKYM >itb09g08740.t1 pep chromosome:ASM357664v1:9:5206962:5207689:-1 gene:itb09g08740 transcript:itb09g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAVVSIIKEVLVCILGYAAGISIVSKWEYDDGALSSPETPISPSEFYISAFKKASRPVHWQNSGRESGEECLVCLEELFDEEDGDGWVYKLVCGHAFHVVCMETWVRHCNLSCPLCRANVVPLLEEEECIYPM >itb09g08740.t2 pep chromosome:ASM357664v1:9:5206962:5207689:-1 gene:itb09g08740 transcript:itb09g08740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAYSSSSSDAGIPCRALIMSFAAVVSIIKEVLVCILGYAAGISIVSKWEYDDGALSSPETPISPSEFYISAFKKASRPVHWQNSGRESGEECLVCLEELFDEEDGDGWVYKLVCGHAFHVVCMETWVRHCNLSCPLCRANVVPLLEEEECIYPM >itb01g21430.t1 pep chromosome:ASM357664v1:1:27550954:27552232:-1 gene:itb01g21430 transcript:itb01g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQATNTKCMACDKTVYLVDRLAADNRVYHKACFRCYHCKGTLKLSNFNSFEGVLYCRPHFDQLFKRTGSLDKSFEGIPRVARPEKGGEEIRFGKVVSSHFVGTTDKCVTCTLTVYPTEKISVNGTAYHKACFKCSYAGCTISPSNYIAYEGRLYCKHHHIQLFKEKGNYSQLHSEGSGNFSAGSDSETSAAAPILDPEIIAAAAAAAAAE >itb03g22540.t3 pep chromosome:ASM357664v1:3:20591534:20611573:-1 gene:itb03g22540 transcript:itb03g22540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRDGTQIDRLSKSLSTDSCPLVLDIDDFKGDFSFDALFGSLVNELLPSYQDEETASSEGHITISGNDSVPNGNLRMPPDAGKSAHGQLNALFPEVDALLDLFKNSCTQLIDLRKEIDGKLNNLKKEVAVQDSKHRTTITELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRENASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQSMTAPSVVGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDVEVMNADAKLVLDTVRKEAATITAVFPSSNDVMSILVQRVLEDRVPKLLEKFLVKPSLLNPPPMEEGGLILYLRLLAVAYEKTQELARDLRGVGCGDLDVEGLTESLFLPHKDSYIECEQASLRQLYKAKMEELRAESQQSSESSGTIGRSKGASVASSLQQISVAAVTEFVRWNEEAVSRCTLFSPHPAMLAANVRAVFTCLLDQVSLYVTEGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFKSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMAKAMSSAEGAAYKGLQQCIETVMAEVERLLSTEQKTTDFRSPDDGIGPDHRPTTACTRVVAYLSRVLESAFTGLDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELRDDYKSAKLASRFSSLFG >itb03g22540.t1 pep chromosome:ASM357664v1:3:20591534:20611573:-1 gene:itb03g22540 transcript:itb03g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRDGTQIDRLSKSLSTDSCPLVLDIDDFKGDFSFDALFGSLVNELLPSYQDEETASSEGHITISGNDSVPNGNLRMPPDAGKSAHGQLNALFPEVDALLDLFKNSCTQLIDLRKEIDGKLNNLKKEVAVQDSKHRTTITELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRENASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQSMTAPSVVGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDVEVMNADAKLVLDTVRKEAATITAVFPSSNDVMSILVQRVLEDRVPKLLEKFLVKPSLLNPPPMEEGGLILYLRLLAVAYEKTQELARDLRGVGCGDLDVEGLTESLFLPHKDSYIECEQASLRQLYKAKMEELRAESQQSSESSGTIGRSKGASVASSLQQISVAAVTEFVRWNEEAVSRCTLFSPHPAMLAANVRAVFTCLLDQVSLYVTEGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFKSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMAKAMSSAEGAAYKGLQQCIETVMAEVERLLSTEQKTTDFRSPDDGIGPDHRPTTACTRVVAYLSRVLESAFTGLDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELRDDYKSAKLASRFSSLFG >itb03g22540.t2 pep chromosome:ASM357664v1:3:20591534:20611573:-1 gene:itb03g22540 transcript:itb03g22540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRDGTQIDRLSKSLSTDSCPLVLDIDDFKGDFSFDALFGSLVNELLPSYQDEETASSEGHITISGNDSVPNGNLRMPPDAGKSAHGQLNALFPEVDALLDLFKNSCTQLIDLRKEIDGKLNNLKKEVAVQDSKHRTTITELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRENASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQSMTAPSVVGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDVEVMNADAKLVLDTVRKEAATITAVFPSSNDVMSILVQRVLEDRVPKLLEKFLVKPSLLNPPPMEEGGLILYLRLLAVAYEKTQELARDLRGVGCGDLDVEGLTESLFLPHKDSYIECEQASLRQLYKAKMEELRAESQQSSESSGTIGRSKGASVASSLQQISVAAVTEFVRWNEEAVSRCTLFSPHPAMLAANVRAVFTCLLDQVSLYVTEGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFKSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMAKAMSSAEGAAYKGLQQCIETVMAEVERLLSTEQKTTDFRSPDDGIGPDHRPTTACTRVVAYLSRVLESAFTGLDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELRDDYKSAKLASRFSSLFG >itb06g08480.t1 pep chromosome:ASM357664v1:6:12518336:12522912:-1 gene:itb06g08480 transcript:itb06g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKDQPHTSDLASDLPAAAAALSAEDRADLVNAIKNKLQELSMGHSNFMDSLSPKVRKRVDFLREIQSQHDELEAKFFEERAVLEAKFQKLYEPLYTKRYEIVNGVIHVEGVSDESSMDQKLEQTIEEKGIPHFWLTAMKNNETLCKEITEHDEGALHYLKDIKWSRLDGEKGFKLEFFFDPNPYFSNNVLTKTYRMISEEEHILEKAIGTEIQWLPGKNLTQKILKKKPKKGSKDTKPITKVENCESFFLFFDPPQLPEDEEELEEETAELLQCQMEQDYEIGSTIREKIIPHAVSWFTGEAVSDDEDDDDNEDDEEDDDDDSEEEEDTEDDMNKNEERERKTDK >itb12g24010.t1 pep chromosome:ASM357664v1:12:25693235:25694045:-1 gene:itb12g24010 transcript:itb12g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVHCHISDSHHHLSAAVAAATTAVRIQKQPPLPTWTVVVPDSLLQYHVHAVGPNQCCSAVVQEVSAPLDAVWALVRRFDNPQAYKHFLKSCHVIVGDGSSVGTLREVRVVSGVPAVSSTERLDILDDERHVFGFSVVGGDHRLRNYRSVTTLHHRAAADSEHHKTVVVESFVVDVPPGNTKEETCVFVDTIVRCNLQSLAQIAESSGKNNQNIVKSSCN >itb09g28820.t1 pep chromosome:ASM357664v1:9:29418001:29418804:-1 gene:itb09g28820 transcript:itb09g28820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCIALPVSILKRRLSSHRLGYQPLSEDVFGESDNPVMVVVGKERREFLLDPFVLEENPFRVLIDMLRKEDRRKVDYVEGSKHNKVIFMDVDAILFEHMLWLMQNDCSSLFQLNLRDIIDFYAQDV >itb05g01330.t1 pep chromosome:ASM357664v1:5:1123290:1125430:-1 gene:itb05g01330 transcript:itb05g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVVVVDTARLGGRDEEKSLDAGFGEVSPSGKRFKEGKFPLSRWEFAAALGVFGVCSTGLFCIYLTMPAAGYGKLKLPRTAADLRLLKDHLVTYAEVYPARFIIGYCSTYIFMQTFMIPGTIFMSLLAGALFGVPKGLFLVIFNATAGASSCYFLSKLIGRPIVNWLWPEKLRFFQAEIGKRRDKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHVFFLATVIGLIPAAYITVRAGLALGDLQSVKDLYDFKTLSILFLIGCVIIFPTLLKRKRIYE >itb09g21930.t1 pep chromosome:ASM357664v1:9:20201319:20202985:1 gene:itb09g21930 transcript:itb09g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPSDSNLERQSSAAEINSEQYIKLANGNLDIPCELNCDDLLEKIVSPTKDEVAVAGVLDTEEPAPIGYQEDGKVLDASCKPISFSITIDIGSADVTEAFNTETSSPSLKLASECLSDSYGAKEVFSCWSAVPLNSSDSGKVEYPQSVMDRMEQPSPVSVLEPLFPENDINPATTMCQPVELEIQPQKIDFEEPAATSLDQQLYTLTFLENEESAFEYVEAVLLGSGLNWDEFLLSWLSSDQILDPSLFDEVDLLSECSCRKLLFDCTNEVLTEACDRYFGCFPGTPFVKQNIRPVPKGMDLINEVWIGIEWYLLNTPPPHSLDQLVEKDMERPAGWMDLKSDVKDIGNTIEAAIFEELMEETLLSFANDTSEGNPIPLSESEIEISIN >itb01g33400.t1 pep chromosome:ASM357664v1:1:36534967:36537198:1 gene:itb01g33400 transcript:itb01g33400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRGVPLLILSTLFLFQTCSISAQKSTYIVHMDKSHMPLAFFTHHQWYSSIVDSVVSDSTLERLVYTYNNVVHGFSAVLSEDELESLKKSPGFVHAYKDKSTALDTTHTFEFLSLNPTTGLWPAAQFGKDVIIGMIDTGIWPESPSFRDDGMGEIPDRWKGTCQTGPDFNSSLCNKKLIGARYFYKGAGAGNSSSLSSSPRDDVGHGTHTSSTAAGNYVDDVSFFGYANGTARGVAPLARLAMYKVGASGASFASDVLAGIDTAVADGVDVISISLGFNFSPLYEDPVAIAAFGAMEKGVLVSSSAGNDGPELGTLHNGIPWALTTAAGSIDRWLAGTLSLGNGVEILCWTTYPDNAVIENVPLIYNQTISSCNSTELLSKYSYGIIVCDNVGSFGSQMSYISDANATAGIYLTDDPEIFQGTYFDYPGVAISPEAAQILLNYTGGVSSPSASIKFHQTFVGIKPAPVVSSYTSRGPAPSSPGVLKPDLMSPGTLVLASWIPDSGESGNAYNMISGTSMACPHSSGIAALLKGAHPDWSPAAIRSAMVTTANPLDNSNAPITDSGLQYAGANPLAMGAGQVDPNRALNPGFIYDAGRQDYINLLCSMNFTRDQILTITKSNYTCETASSDLNYPSFILLYSTNGTEKEVSQEFVRTVTYVGDGPATFNVTQLGLPGDSLVYVTPGSLSFQNKYEKKNYTLGVRYTLNGTGDVGYGSVVWEDESGSYTVRSPIVVAPLVEVW >itb09g24830.t1 pep chromosome:ASM357664v1:9:24673719:24685451:1 gene:itb09g24830 transcript:itb09g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MNYTLRRSHQVPEEKRLFVLEIGTEELPPIDVVNACKQLKDLIFQLLDKHRLAHGEVQTYGTPRRLVVHVQNLSSKQEEVEIEVRGPPVSKAFDNQGNPTKAAEGFCRKNNVPIHSMYKRAEGKTEYVYVRIVEPARLALEVLSEELPGAIAKISFPKSMRWNSDVLFSRPVRWILALHGGVLVPFTFAGVVSGNVSHGLRNTASATVEVVDAESYGGVMQSAGIFVDVEHRKKTILEQSNALARSVSGCTIMQNDLLEEVVNLVEAPVPVLGKFKDSFLELPKELLIMVMQKHQKYFAVTNENGDLLPYFISVANGGINETVVRKGNEAVLRARFEDAKFFYELDRSKRFSEFRTQLKGILFHEKLGTMLDKMTRVQHMVSEVSSSLGVIEETLKIIQEAASLAMSDLATAVVTEFTSLSGIMARHYALKDGYSEQVAEALLEISLPRFSGDVAPKSDAGTVLAIADRLDSLVGLFAAGCQPSSTNDPFGLRRIAYGLVQLLVETNKNVDLRRALLLAAAVQPIKVDTKTIDDVHQFVTRRLEQFLMDKGIGPEVVRSVLVERANWPRLATKSALKMESLSKGDLLPKVVEAYSRPTRIVRGKDMNADVEVDETAFEANEERALWSTFVSLRSKIHPEMEVDDFVKASLELVDPLENFFNHVFVMVDDERIRNNRLALLKKIADLPVGIADLSVLPGF >itb08g16550.t1 pep chromosome:ASM357664v1:8:18612128:18618594:-1 gene:itb08g16550 transcript:itb08g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGFLGGKNFQGYAKPQDHSISKRNPSSGHSDSSANYTSPTSLTSSQNKDLTGDTQPNPKQDQHLSIISAKRDSIMNRSTNNQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTFLCTEISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVSIKGAYEDPLYVHIVMELCSGGELFDRIIHRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFNPGQIFTDVVGSPYYVAPEVLTKNYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGDIDFESDPWPIISNSAKDLIRKMLCMQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKYGSTLKDTEIRELMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACVEHNMTDVFFEDIIREVDQDNDGRIDYGEFVAMMTKGNAGIGRRTMRNSVNISMRDAPGAH >itb08g16550.t2 pep chromosome:ASM357664v1:8:18612128:18618594:-1 gene:itb08g16550 transcript:itb08g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGFLGGKNFQGYAKPQDHSISKRNPSSGHSDSSANYTSPTSLTSSQNKDLTGDTQPNPKQDQHLSIISAKRDSIMNRSTNNQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTFLCTEISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVSIKGAYEDPLYVHIVMELCSGGELFDRIIHRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFNPGQIFTDVVGSPYYVAPEVLTKNYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGDIDFESDPWPIISNSAKDLIRKMLCMQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKYGSTLKDTEIRELMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACVEHNMTDVFFEDIIREVDQDNDGRIDYGEFVAMMTKGNAGIGRRTMRNSVNISMRDAPGAH >itb08g16550.t3 pep chromosome:ASM357664v1:8:18612128:18618594:-1 gene:itb08g16550 transcript:itb08g16550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGFLGGKNFQGYAKPQDHSISKRNPSSGHSDSSANYTSPTSLTSSQNKDLTGDTQPNPKQDQHLSIISAKRDSIMNRSTNNQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTFLCTEISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVSIKGAYEDPLYVHIVMELCSGGELFDRIIHRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFNPGQIFTDVVGSPYYVAPEVLTKNYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGDIDFESDPWPIISNSAKDLIRKMLCMQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKYGSTLKDTEIRELMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACVEHNMTDVFFEDIIREVDQDNDGRIDYGEFVAMMTKGNAGIGRRTMRNSVNISMRDAPGAH >itb11g09020.t1 pep chromosome:ASM357664v1:11:5954932:5957134:1 gene:itb11g09020 transcript:itb11g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTWFAYAAAWLSTIALILLAKHLRRRKLHLPPGPKPWPIIGNLNLIGTLPHRSIHDLSQKYGPIMQLQFGSFPVVVGSSVEMAKTFLKTMDINFVDRPKTAAGKYTTYNYSDITWSPYGPYWRQARRMCLMELFSAKRLDSYEYIRSQELKSILGELYTASSAHKPILLKDYLSTLSLNVISRMVLGKSYLNESEGAIVSPNEFKKMLDELFLLNGVLNIGDSIPWIDFLDLQGYIKRMKALSKKFDRFLEHVVDEHNARRVAEGDGFVAKDMVDLLLQLADDPSLEVKLERHGVKGFTQDMLAGGTESSAVTVEWAISELLKKPEVIKKATDELDRVIGQKRWVEEKDMPNLPYIQAIVKETMRLHPVAPMLVPRLCREDCKVAGYDIPKGTRVLVSVWTIARDPALWDNPDEFIPDRFIGKEIDVKGCDYELLPFGAGRRMCPGYSLGLKVIQASLANLLHGFNWKLPNDVTPEKLNMEEIFGLSTPKKFPLTTVIEPRLPMRVYSSV >itb02g09150.t1 pep chromosome:ASM357664v1:2:5825969:5827352:1 gene:itb02g09150 transcript:itb02g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSLFLQLLVAACLVAAAMAANFNQDVQMYFGNGRGKVMQGGTMAALTLDRESGSGFQSTDEYLFGRFDMQVKLISDNSAGTVTTFYLSSLGDRHDEIDFEFLGNVSGQPYTIHTNVYSQGKGGREQQFHLWFDPTTAFHTYSIVWNSERIIFLVDNIPIRVYRNHESMGVPFPKNQPMRVYCSLWNADDWATQGGLVKTDWTRAPFTVYYRNFNIDACVVSGGRSSCDSKSSADPVNNKQAWQTQDVDARGRNRLRWVQSKHMVYNYCADSKRFPGGTFPAECKNSRF >itb02g12810.t1 pep chromosome:ASM357664v1:2:8844060:8846365:-1 gene:itb02g12810 transcript:itb02g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFYNLSSDAGIKKLDEYLLTRSYISGYQASKDDITVYSSLPKPPSSEYVNASRWFKHIDALLRISGVSGEGCGVIVEGFAPIPAGVATPPAADTKASAADDDDNDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVSMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDELIESYLTVEPVNEYVQSCDIVAFNKI >itb14g08160.t1 pep chromosome:ASM357664v1:14:7529998:7531053:-1 gene:itb14g08160 transcript:itb14g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDSQNNSARDYDNQYDDEEISESGGLSPGHSDDVQVVDARPVGGGSAKARPTAPIQSTSSKAPPKKIGKVPKGGGTSSRPKEKSTSKPNTTGGNNVELDADDTPTEMTVREEEQVHK >itb10g12760.t2 pep chromosome:ASM357664v1:10:18853679:18857784:-1 gene:itb10g12760 transcript:itb10g12760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEVTMTKQKPTPVAVPLGTLIGRELRNESKVEKPTVKYGQAALAKKGEDYFLIKPDCQRISGSSTTSFSVFAIFDGHNGISAAIFAKENLLNNVLSAIPQGLGREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDEWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAQSCRGLPAELAARLVVKEALRSRGLKDDTTCLVVDIIPYDRPALPPTPIKKQSLFSSLIFGRKSHNSRSNKLSAVGVVEELFEEGSAMLAERLGKDFPLNSSSGIFRCAVCQADQPPSEGLSVNSGPFFSPASKPWEGPFLCATCRRKKDAMEGKRLSRPAVIA >itb10g12760.t1 pep chromosome:ASM357664v1:10:18853679:18858014:-1 gene:itb10g12760 transcript:itb10g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEVTMTKQKPTPVAVPLGTLIGRELRNESKVEKPTVKYGQAALAKKGEDYFLIKPDCQRISGSSTTSFSVFAIFDGHNGISAAIFAKENLLNNVLSAIPQGLGREEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDEWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAQSCRGLPAELAARLVVKEALRSRGLKDDTTCLVVDIIPYDRPALPPTPIKKQSLFSSLIFGRKSHNSRSNKLSAVGVVEELFEEGSAMLAERLGKDFPLNSSSGIFRCAVCQADQPPSEGLSVNSGPFFSPASKPWEGPFLCATCRRKKDAMEGKRLSRPAVIA >itb02g08920.t2 pep chromosome:ASM357664v1:2:5637572:5644244:-1 gene:itb02g08920 transcript:itb02g08920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITISSSVVNCSDGVPLSILLRQSSNDTSFACGLICHSFGTTCLFGVFLFRHWTNVFLDDMLYLDYPSLVWSANRNHPVTVNASVELRRNGGLVLMDSNGTVVWSTHTNGSPVLGLNLTENGNLVLFGKNYETIWQSFDHPTDTILPAAWKVMSRLRGQTMLKASISKSNFGEGSYSLYIDYDYSVYAYIRSSNAYWYQYANIGDVSNYFPNNAFIKFEADGHLRTYGRSSSILESSEWVETADVFTPSTGFCGYPLACGRYGVCDGYNQYCSCPPDNNQLHLFTPVNRSRTTQGCYLNTPISCQHSQLHTLLEMKDTTFIGHNVRDEFGDYTDLQSCKNRCLRDCSCKALHFYGGGGYSKGYCLLLNEVLSLVTMDEGSNNKSIYLKVQNSSTPPSIISILKTSHPWVQQRHAKMILGTIGASIAVLVIITIYIVLVRKKTVQPEDEEVFLDGLPGLPTRFSYQDLSAMTESFSRKLGEGGFGFVFEGALCRGTKIAVKCLKEVDQIKNSFMTEVATIGSIDHANLVKLLGFCATKSQRLLVYEYMVNGSLDRWIFNGKKEHGLNWQAKKKIMLDVAKGLAYLHEDCNHKIIHLDIKPQNILLDQNFNAKVADFGLSKLVAKDQSKVVTTPRGTPGYIAPECTSLIITEKVDVYSFGIVMLEIVCGRKNVDWDQPEEEVHLLSVFKRKIEEDKVGEMFDMYNKDLEVQKEEGIEMMRIAGWCLQSEYTKRPSMSEVVKALQGLATIDNNLNLDYNFNSQEGEGAPDPTSNTVLIPSILSGPRYKKLQKGQSSEDEIGGSVTKDATTKYKKLQKGQSSEDEIGGSVTKDATTKDEIGRSVTKDATTKYVILEEMEDQEDDGP >itb06g00860.t2 pep chromosome:ASM357664v1:6:2013363:2018689:-1 gene:itb06g00860 transcript:itb06g00860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSDVTAFSKTEASELPPKKLGRQFDFTVMCKSSANEILSEHPQALLQSKLLALAKRQAMMFATQSPPPPPSTAQVKTILPSTTRLKSPRPTKAVARVFRTRPVQSLPRVMQQVVKTEPPNSQYNSTMRQKNGTPKKQKECNCKNSRCLKLYCECFASGTFCDGCNCQNCQNNIVHEVNRKIALNAILERNPNAFRPKITSSPQGLKNGVVEVGEDSPSGKHNRGCNCKKSGCLKKYCECFQANILCSENCKCADCKNFDGSNGKSSLFHWAHTNLAAFIQAHATINGAISNSWFVPTPPTKKRKNGEMPSGDNSTDKSNKFSQSQQDASSGPLCAAPYDFAIRSVPESSNCKYRSLLASIIQLQNVAELCSLLVTVSKEAAKMLTEKKQARNDDVGIDQNKIQVAAAQKSKDFCHAQKFHENKAKRIQSSTSGCNGDDFQNGRAVSSGTPALMCDNSHDLVPAAEFATGILGCNARISVNSSSGDHFSTLYAEQEKIILTTFCSFLNELVTYASIKG >itb06g00860.t1 pep chromosome:ASM357664v1:6:2012723:2018689:-1 gene:itb06g00860 transcript:itb06g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSDVTAFSKTEASELPPKKLGRQFDFTVMCKSSANEILSEHPQALLQSKLLALAKRQAMMFATQSPPPPPSTAQVKTILPSTTRLKSPRPTKAVARVFRTRPVQSLPRVMQQVVKTEPPNSQYNSTMRQKNGTPKKQKECNCKNSRCLKLYCECFASGTFCDGCNCQNCQNNIVHEVNRKIALNAILERNPNAFRPKITSSPQGLKNGVVEVGEDSPSGKHNRGCNCKKSGCLKKYCECFQANILCSENCKCADCKNFDGSNGKSSLFHWAHTNLAAFIQAHATINGAISNSWFVPTPPTKKRKNGEMPSGDNSTDKSNKFSQSQQDASSGPLCAAPYDFAIRSVPESSNCKYRSLLASIIQLQNVAELCSLLVTVSKEAAKMLTEKKQARNDDVGIDQNKIQVAAAQKSKDFCHAQKFHENKAKRIQSSTSGCNGDDFQNGRAVSSGTPALMCDNSHDLVPAAEFATGILGCNARISVNSSSGDHFSTLYAEQEKIILTTFCSFLNELVTYASIKDR >itb01g03090.t1 pep chromosome:ASM357664v1:1:2002970:2006073:-1 gene:itb01g03090 transcript:itb01g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAPVVPSDELLEWPKKDKHRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDVPEEKYANAFLGYGPEESHFVVELTYNYGVDKYDIGTGFGHFAIATQDVYKLVEDIKAKGGTVTREPGPVKGGSTVIAFVKDPDGYLFEIIQRGPTPEPLCQVMLRVGDLERSIKFYEKALGMQLLKKTDRPEQKYTIAMMGYAPELETIVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSAEVVNLVTQELGGKITRQPGPIPGINTKITSFLDPDGWKTVLVDNEDFLKELQ >itb01g03090.t2 pep chromosome:ASM357664v1:1:2002970:2006073:-1 gene:itb01g03090 transcript:itb01g03090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAPVVPSDELLEWPKKDKHRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDVPEEKYANAFLGYGPEESHFVVELTYNYGVDKYDIGTGFGHFAIATQDVYKLVEDIKAKGGTVTREPGPVKGGSTVIAFVKDPDGYLFEIIQRGPTPEPLCQVMLRVGDLERSIKFYEKALGMQLLKKTDRPEQKYTIAMMGYAPELETIVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSAEVVNLVTQELGGKITRQPGPIPGINTKITSFLDPDGWKTVLVDNEDFLKELQ >itb07g00620.t1 pep chromosome:ASM357664v1:7:402225:403506:1 gene:itb07g00620 transcript:itb07g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKDSKETSILMPEFKQEKGSIIATTKATPLHPQVGGGGWRRGVAVVDFILRLCALVAALAAAVTMATSDQSLPFFSQFFQFQASYDDLPAFPYALSSIPSLNSSLPYHYYFCCIMYFVVANGIASGYLVLSLPFSIICIVRPHLVGPRLLLFILDIVMMAFTVAGAAAAAAIVYLAHTGNSTTNWFAICQQYTGFCQRVSGAVVGSLIAAVIFAFLVLLSAAALTRH >itb11g00220.t1 pep chromosome:ASM357664v1:11:98031:100353:-1 gene:itb11g00220 transcript:itb11g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPSSRISSFSVCRRPVGVFSDTKSRAVQQIAIPAASNRKENVRIRVLSSVKDLEEATAILEDNVGLYDQFSAPKANVSKEEEEKRDYYLNTGYAIRTLREEFPTLFYQELSFDIYRDDIVFKDPLNTFVGIENYKSIFWALRFHGRIFFRALWIDIVSVWQPVENLIMVRWTVHGIPRVPWESRGRFDGTSEYKLDKNGKIYEHRVHNIALNAPPKFRVQALQEMIQYIGCPSTPKPTFFEISSSSFKNIMALVNSSGFRHHLSSILTCVWKKNEESSDKE >itb01g23700.t1 pep chromosome:ASM357664v1:1:29623993:29636322:-1 gene:itb01g23700 transcript:itb01g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVKGLEPAFQGAGQKAGTEIWRIENFQPVPLPKSDYGKFYSGDSYIVLQITAGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAILGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVATGFKKPEEEEFETRLYTCKGKRVVRLKQVPFSRSSLNHDDVFILDTKDKIYQFNGANSNIQERAKALEVIQFLKDKYHEGKCGVAIVEDGNLQAESDSGEFWVLFGGFAPIGKKVTTEDDIIPERTPAKLFSITNGQVNPVDGELSKSILENNRCYLLDCGAEVFVWVGRLTQLDEKKAAIRTSEEFVGSQNRPKSTHITQLIQGNETNSFKAKFDSWPSASSAPAPEEGRGKVAALLKQQGVGIKAASKTVTVNEEVPPLLEGGGKIEVWCINGSAKTPVPKDDVGKFYSGDCYIVLYTYPSNDKKEDYYLCWWIGKDSVEEDQKMASRLATTMCNSLKGRPILGRIFQGKEPPQFVAIFQPMVVLKGGLSSGYKNYISDKGLNDETYTADSVALIRISGTSEHNNKAVQVDAVATSLNSNECFLAQSGSSLFTWHGNQSTYEQQQLASKIAEFLKPGVAAKHTKEGTESSAFWFAIGGKQSYTSKKVAPEAVRDPHLFTYSINKGEFWNLSLKFLNFFPCINIPFLLPALILQTNPCLGQVEEVYNFSQDDLLTEDALVLDTNAEVFVWVGQSTDPNEKKNAFEIGQKYIQMATCLEGLSSNAPLYKVTEGNEPCFFTTYFSWDPAKAIANGNSFQKKVMLLFGAGHGAENHPRSNGTNRGGPTQRASALAALNSAFSSSSAPKPVASRPGRSPRSGSQRAAAVAALSSVLTAEKQSTDTSPTRSPTRSPTPRSPARSSRSPLTQREASPTAVIENEKTSSEFEDPKGSPVVKETEVVEPVAVANGEGCELKTQQEQDDNNSEGSQTIFSYERLKVKSGNPVTGIDFKRREAYLSNGEFEAVLGMKKEAFYKLPKWKQDMQKKKVDLF >itb15g14600.t1 pep chromosome:ASM357664v1:15:12900909:12901199:-1 gene:itb15g14600 transcript:itb15g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNLDTGLWRYSRHPNYFDEQLWWWGLAIFAWRLGHAWSFLGPLINSLYLAYVTVLVEKKMLKQAYRVEAYKLYQKTTSAWIPWFKSSAGKDKNT >itb01g34200.t1 pep chromosome:ASM357664v1:1:37008236:37011289:1 gene:itb01g34200 transcript:itb01g34200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPALMLLLLQSAMVFGSSTCNRSCGDGLKQVPFPFGFSSGCEIPLNCTSGNGTMSIGEFPVYQLTPGTIMINIPTKCGRRIEAMKPLFGKNFAPTVNNGILLRNCSSPELKCMIPKTKIQTQFELQNCGKDNISCFSDPHKAGGFIGYENLTGTGCKSLFSAISSVAAAVNTTAVSLDIQVLELGWWLQGHCRCSKNANCTEIRPPGDGKPGFRCRCFEGFTGDGYLGGSGCRRDDTRCNPAKYMLGQCGGKTRIGVLIGGVIAGAALMVSVGLICCIIRRRLAMNNSNRKMRELRETTGITIPVYSYKDIEKATNNFSDKRRLGDGAYGTVYSGKLHDDEWVAIKRIRHRDTIEQVTNEIKLLSSVNHPNLVRLLGCSIENGEQILVYEFMPNGTLSQHLQREKGSGLPWPVRLGIVSETSQAIAYLHNAMHPPIYHRDVKSSNILLDYNYKSKVADFGLSRFGLIESSHISTAPQGTPGYLDPQYHQDFHLSDKSDVYSFGVVLVEIITGLKAVDFTRPQNEINLAALAVDRIGKGGLLEIIDPLIQPEKDVWTLSSVNKVAEIAFRCLAFHRDMRPSMMEVAIELEQIRLNNWGISEDSIITADSSQSSSSRSSSDASEKPLSISTNKPSEKVKEPSPVSVQDPWLSEQSSPSSNSFINQAMQRFKRSISLPA >itb03g10820.t1 pep chromosome:ASM357664v1:3:8692795:8694970:1 gene:itb03g10820 transcript:itb03g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKDNKPVVLITGCSKGGIGHALAQAFAAENCMVVATARSRSAMSDLENDPRFHLQELDVQSEQKVRRVVSNVVEKFGQIDVVVNNAGVHCIGPVAEIPLSTIQNTYDTNVLGPLRLVQAVVPHMASRKKGKIVNVGSIVAFAPAPFAGGYSSSKAALHALTDALRLELRPFGIDVINVVPGLVKSNIANSAIASCNNGVSQWKLYHQFQEAIQARARFTQSSAAKSSTPTEEFAKKTVNVVLKKKPPPWFSAGHLSMAASLLNHFPLFVKDFIARKGMEP >itb08g07660.t1 pep chromosome:ASM357664v1:8:6576147:6580357:1 gene:itb08g07660 transcript:itb08g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRASHKEIERLELFIVKDLQTEPATNKDRLHQSHRVRNVIEEILESTHWLIYNFHIRFLLNFAVQLARAFAASVISSTGFFHVLPETFDTFTNPAGRKSPMGREGLVTGEGLESIDGQDHPGCKGLNDEEEAALQP >itb09g10320.t1 pep chromosome:ASM357664v1:9:6419411:6420934:1 gene:itb09g10320 transcript:itb09g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPQLFHPSWLSYETNYSNSPCTGSLSFYEDVFVTDNGYVSPVITVDSSGLDSTLFHDDFPEFAYLPPLLEGDVSVDDIEDVCRWLNNEESEEGTNNTSSELTKDVLIPDFSVVSAEDYSMAVLPGNGVEMDDSHWCLLHLLAAYAEAMGDMQRELAKEIAGCIRRKANALGETLERVAYNVVQTSEDQGGSYLRREAIKNYETAFKVLYQVLPHGRFAHFSANSAILEAIPDGAEAVRIIDFDMGDGVQWPSLIESMAQTRRALRLTSVRREEESTSHHWRFEQTKRRLYDHAKPLGIKLQIEEMSIEELVNEAKRAKKTGKRRDWLAFNCMFRLPHMTNRQQRSQAIQFLEIAKEVSPYSAIQSGIVVFADGESGCWSSSFSDYSSFFNRQLVHYKSLFESMEWHFPVNLTEARIAVESLFLAPHACSDSWFHDWQENKMKAISDLRAEMGLQGRKLSIENILQAKEMVNERESPYRVRIEEANQHEMILEWRETPLVRVSTWM >itb06g20960.t1 pep chromosome:ASM357664v1:6:23735010:23737994:1 gene:itb06g20960 transcript:itb06g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSEGETSAQLSVVSKRKRSLPGTPDPDAEVIALSPKSLLATNRFVCEICSKGFQRDQNLQLHRRGHNLPWTLKQRGGKPKRRVYVCPETTCVHHHPSRALGDLTGIKKHFCRKHGEKKFKCERCSKKYAVRSDWKAHSKTCGTKEYRCDCGTIFSRKDSFITHRAFCDALAQESMRYQPLEEPSKVAAPPPPSTTATSSSSPPPPPLTPSTGVLSPVVSVQSSDLAENQSGLSHHKKPTLPPVPTAGAGVPLFSTSNVNGEALQSVFASSTVAGDSRPPPPGSAGGGSAEPMFLSLSCPIYLSGNAASSVFPWAASRQFAAPSRPPAALSATALLQKAAEIGATSSHGSFLCSLGLATSSGSKPQDVKQENKSISSPSSPTMIFGNNPPTLDFLGVGAGATTPPSFLGSMNNGSGAGVASTGDCWDDTSDRKFGFLQQNI >itb06g20960.t2 pep chromosome:ASM357664v1:6:23735018:23737973:1 gene:itb06g20960 transcript:itb06g20960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSEGETSAQLSVVSKRKRSLPGTPDPDAEVIALSPKSLLATNRFVCEICSKGFQRDQNLQLHRRGHNLPWTLKQRGGKPKRRVYVCPETTCVHHHPSRALGDLTGIKKHFCRKHGEKKFKCERCSKKYAVRSDWKAHSKTCGTKEYRCDCGTIFSRAFCDALAQESMRYQPLEEPSKVAAPPPPSTTATSSSSPPPPPLTPSTGVLSPVVSVQSSDLAENQSGLSHHKKPTLPPVPTAGAGVPLFSTSNVNGEALQSVFASSTVAGDSRPPPPGSAGGGSAEPMFLSLSCPIYLSGNAASSVFPWAASRQFAAPSRPPAALSATALLQKAAEIGATSSHGSFLCSLGLATSSGSKPQDVKQENKSISSPSSPTMIFGNNPPTLDFLGVGAGATTPPSFLGSMNNGSGAGVASTGDCWDDTSDRKFGFLQQNI >itb03g20100.t1 pep chromosome:ASM357664v1:3:17998624:18002114:1 gene:itb03g20100 transcript:itb03g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDESKFDVELKLWALRIPREYCNVATRILNGYLLDKPRIKPIVEDPASEKNRMLVLSEKIQNSDLSEVPAQKLADLKGLCEFDIVPYSLTLGYSYWSSDHILKQILPPGLEVPSSFETIVKYFIKQYFVLMTRHIAHLNITDELLPYKDVIAKVIYDKNYPRLQTIVNKIGSITNEFRVPSFEILAGKDDLVTELKQYGATFKLDYGLVYWNSRLEHEHLRLVSQFRAGETICDMFAGIGPFAIPAAQKGCRVYANDLNPDSARYLKINAAINKVDDLLFVYNMDARKFIYQLMAVPSSEGNLESNITLKSCGPCNEFASGVTTFEEGTQSDMGKDISGQRLRNISNVEDLSIREDANANTTKRCSEMSEEGNKTADHASVPVTGKKRKGLNKSTRSSKSFDTNPYEHVDHVIMNLPASALQFLDAFRGLVNMRDWKGSLPWIHCYCFMRSTETMEDIISKAVSALNANIRDPIFHKVRDVAPNKAMYCLSFKLPEETCKIHQDCQ >itb04g08300.t1 pep chromosome:ASM357664v1:4:6295890:6302418:-1 gene:itb04g08300 transcript:itb04g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLQCGDCGALLKSVEEAQEHAELTKHTNFSESTEPILNLVCTTCGKPCRSKTESDLHTKRTGHSEFQDKTAESLKPISLEAPKPKIDDDGDDQMGEAGDGSSSGQQEEMVVPEVDQNLLTELEAMGFPKARATRALHYSGNASLEAAVNWVVEHESDPDVDEMPLVPVSSKKAEPPKPSLTPEEIKMKQQELRERARKKKEEEEKQKEREREKERIRIGKELLEAKRIEEENERKRLIALRKAEKEEERRAREKIRQKLEEDKAERRRKLGLPPEDPAPKPSEPVVEEKKSSLPVRPATKAEQMRECLRTLKQSHKDDEAKVKTAFNTLLTFAKNVATNPNEEKFRKIRLSNPAIQERVGKLKGGVEFLELLGFEKIEGGEFLYLPSDKVDMAVLHSAGTELNSAINNPFFGVL >itb01g23560.t1 pep chromosome:ASM357664v1:1:29522071:29526500:1 gene:itb01g23560 transcript:itb01g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MVAITFGPSGISKVQMITWARKFYNNWALIQNYFPPSVSLRVAVVLREREMAGKSIVKKKGWLGEMGLNKGGGAINWFPGHMAAATKAIRQRLKLSDLVIEVRDARIPLSSANEDLQPILSGKRRIIALNKKDLANTNIIHRWVQYFNSCKQECLPINAHSRSSVQKLLDLAEFKLKEAISREPTLLVMVLGVPNVGKSALINSIHQIASARFPAQGKKKRAAVGPLPGVTQDIAGFKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSVKDSVVGEERIAQYLLAVLNTRGTPLHWKHLISRESDDLHLDADNKPEYNMKDLLMQRKPIDKSDLRYVEDMVGAVQQALCVTLSEFDGDLEKEDELEILIDQQFDALQKAFKIPHKASEARIMISKKFLTLFRTGKLVRQTYQKSTPSPNKAIRTKASRTNMCVPGQLVVAGYCRLLPTLKKTTCVDGDVFFFFFAPAQIVGVVVRL >itb01g23560.t2 pep chromosome:ASM357664v1:1:29522071:29525404:1 gene:itb01g23560 transcript:itb01g23560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MVAITFGPSGISKVQMITWARKFYNNWALIQNYFPPSVSLRVAVVLREREMAGKSIVKKKGWLGEMGLNKGGGAINWFPGHMAAATKAIRQRLKLSDLVIEVRDARIPLSSANEDLQPILSGKRRIIALNKKDLANTNIIHRWVQYFNSCKQECLPINAHSRSSVQKLLDLAEFKLKEAISREPTLLVMVLGVPNVGKSALINSIHQIASARFPAQGKKKRAAVGPLPGVTQDIAGFKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSVKDSVVGEERIAQYLLAVLNTRGTPLHWKHLISRESDDLHLDADNKPEYNMKDLLMQRKPIDKSDLRYVEDMVGAVQQALCVTLSEFDGDLEKEDELEILIDQQFDALQKAFKIPHKASEARIMISKKFLTLFRTGKLGTFILDDLPHET >itb14g03810.t1 pep chromosome:ASM357664v1:14:3428124:3431408:-1 gene:itb14g03810 transcript:itb14g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLNPCLSSSSSTRGLHTQTPLFSHPFQTPSSRSSLSTLFKSSSLPAQKPLQWRKLSLECRHSDYFEPHQQQNQWASPNNSSLSSQPAGTLPPKVFIGYSIYKGKAALTVVPCAPEFSPLDSGAFKLSKEGFVLLQFAPAAGVRQYDWGRKQVFSLSVTELGSLISLGAKDSCEFFHDPNKGKSDEGKVKKVLKIEPLPDGSGHFFNLSVQNRLLNIDENIYIPVAKAEFAVLVSAFNFVLPYLLGWHTFVSSFGPEDANYANNATNPRSGADFEWRR >itb14g03810.t2 pep chromosome:ASM357664v1:14:3428124:3431408:-1 gene:itb14g03810 transcript:itb14g03810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMLNPCLSSSSSTRGLHTQTPLFSHPFQTPSSRSSLSTLFKSSSLPAQKPLQWRKLSLECRHSDYFEPHQQQNQWASPNNSSLSSQPGTLPPKVFIGYSIYKGKAALTVVPCAPEFSPLDSGAFKLSKEGFVLLQFAPAAGVRQYDWGRKQVFSLSVTELGSLISLGAKDSCEFFHDPNKGKSDEGKVKKVLKIEPLPDGSGHFFNLSVQNRLLNIDENIYIPVAKAEFAVLVSAFNFVLPYLLGWHTFVSSFGPEDANYANNATNPRSGADFEWRR >itb03g21910.t4 pep chromosome:ASM357664v1:3:19849437:19851026:-1 gene:itb03g21910 transcript:itb03g21910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVNSPRKSIHTVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFNLEFGDEYRVFVKDVWKEFTGWPLNNMEQQYKFFINHVQLWKVAFHGTSPRWIHSVYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLLVLKWQGLQKKVIFVTVITDLNSCHRTW >itb03g21910.t1 pep chromosome:ASM357664v1:3:19847883:19851086:-1 gene:itb03g21910 transcript:itb03g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVNSPRKSIHTVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFNLEFGDEYRVFVKDVWKEFTGWPLNNMEQQYKFFINHVQLWKVAFHGTSPRWIHSVYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLLVLKWQGLQKKVIFVTVITDLNSCHRTWFHPGVNRLYCPSEEVAKRALLDGLDESQTRVFGLPIRPSFCRAVLSKNELRLELELDLTLPAVLLMGGGEGMGPVKKTAEALEEALFDKERGRPIGQMVVICGRNEALAASLRELEWDIPIKVKGFEKQMEKWMAACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVENGAGVFTRSPKETARIVADWFSTKTNELKTMSENALKLAQPNAVFDIVKDIHDLASQRGPLADIPYMFTSSFSSLI >itb03g21910.t2 pep chromosome:ASM357664v1:3:19847883:19851026:-1 gene:itb03g21910 transcript:itb03g21910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVNSPRKSIHTVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFNLEFGDEYRVFVKDVWKEFTGWPLNNMEQQYKFFINHVQLWKVAFHGTSPRWIHSVYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLLVLKWQGLQKKVIFVTVITDLNSCHRTWFHPGVNRLYCPSEEVAKRALLDGLDESQTRVFGLPIRPSFCRAVLSKNELRLELELDLTLPAVLLMGGGEGMGPVKKTAEALEEALFDKERGRPIGQMVVICGRNEALAASLRELEWDIPIKVKGFEKQMEKWMAACDCIITKKR >itb03g21910.t3 pep chromosome:ASM357664v1:3:19847883:19851026:-1 gene:itb03g21910 transcript:itb03g21910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVNSPRKSIHTVLERVGVYGFGGGSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFNLEFGDEYRVFVKDVWKEFTGWPLNNMEQQYKFFINHVQLWKVAFHGTSPRWIHSVYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLLVLKWQGLQKKVIFVTVITDLNSCHRTWFHPGVNRLYCPSEEVAKRALLDGLDESQTRVFGLPIRPSFCRAVLSKFC >itb02g13490.t1 pep chromosome:ASM357664v1:2:9627526:9633541:-1 gene:itb02g13490 transcript:itb02g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSKSSWLVLHCWVFLVLSLRAQPNAASEVSIRFLKTPSPFSNQNSTKFVFQVLVGDNSSDVCKDCPTTCKLDDHVPSDCHGGMVSYAALQDGFHTFEACTNGSRGVGCASHNWTVDTVPPTAYLMASTSFTNATNITVNISFTEPCGFGCSSVNSCNLFVYGSGQVLPSTLRVIQPNLTYSVVVSLPTSSQFGKVTIVTDKSFCTDAAGNKFTRTENSSLVVHYDRRNVLVNLRTHIPERLLKINAKTRTVLATNNANKLKVYLYFTEPVVNSSTQILGSLNTSEGSLTPINGKSLGNRRFGFELKDISKTAILTVSFDSNSVISRQGTLVSYVGPVTFLYDSQRPTVRLSITSTMRTSEKQIPIVINFIKPVFGFNSSLISISGGQVKSFQEISRSTYGLFLQADGDLVSISVPENVTEDVAGNRNLPSNILQLKHYSVPMISRVLSIFATTAFAVTAFVAGLLTISTASLQSIGAFSRPTSLLTSEPTRSVFRMASHIQIFAMSRWLPVVLPVEYYEFARGLQWSVPYFNLPWETEQMQQFMVGSSTPSGNSYSSKIHDSGLFHGVKPDLEHVGVDPTVYGLPLTPMEYGSVFESHNVLPEADFIWDPQNSNGWREFDRTMFWLALIFGSLLLLHALLLCILKFRKDTKKKWSYGALIFPRLEIFLLILALPGVCKSSVALIKGGMCSGIIVGILLLGIVSFLLLGLFLFLSVGITCGKLLQYKEVHQVGQKFHWYQELVRVTLGPGKRGQWTWKEERDSTYLTIFGPLFEDLRGPPKYMLSQIAGGNLSKRRDRIIASDDETEDAEAPFIQKVFGILRIYYTFLDAAKRVALGIVAGAYLKNWSSRTPTILMLSIAAFQLFFMVLKKPFIKKKVQLVEILSVTSEVGIFTMCTVLLDWQFSARDETRIGIFMLALCIIALLAEMANEWYALYRQVKRLDPADNSFCVGLKAASIGFLLFFFPHRLIKKLDTKCSLFKRGGGEGESTETTTTPSLDKSRSTTDTRNSGEKSWVRQIRELAKSSFSREGSPADPSTSRTGTRWSDFWNSKRSGSSSVDSSADAKTKPRGLYKDLEAIFASK >itb02g13490.t2 pep chromosome:ASM357664v1:2:9627590:9633523:-1 gene:itb02g13490 transcript:itb02g13490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAALQDGFHTFEACTNGSRGVGCASHNWTVDTVPPTAYLMASTSFTNATNITVNISFTEPCGFGCSSVNSCNLFVYGSGQVLPSTLRVIQPNLTYSVVVSLPTSSQFGKVTIVTDKSFCTDAAGNKFTRTENSSLVVHYDRRNVLVNLRTHIPERLLKINAKTRTVLATNNANKLKVYLYFTEPVVNSSTQILGSLNTSEGSLTPINGKSLGNRRFGFELKDISKTAILTVSFDSNSVISRQGTLVSYVGPVTFLYDSQRPTVRLSITSTMRTSEKQIPIVINFIKPVFGFNSSLISISGGQVKSFQEISRSTYGLFLQADGDLVSISVPENVTEDVAGNRNLPSNILQLKHYSVPMISRVLSIFATTAFAVTAFVAGLLTISTASLQSIGAFSRPTSLLTSEPTRSVFRMASHIQIFAMSRWLPVVLPVEYYEFARGLQWSVPYFNLPWETEQMQQFMVGSSTPSGNSYSSKIHDSGLFHGVKPDLEHVGVDPTVYGLPLTPMEYGSVFESHNVLPEADFIWDPQNSNGWREFDRTMFWLALIFGSLLLLHALLLCILKFRKDTKKKWSYGALIFPRLEIFLLILALPGVCKSSVALIKGGMCSGIIVGILLLGIVSFLLLGLFLFLSVGITCGKLLQYKEVHQVGQKFHWYQELVRVTLGPGKRGQWTWKEERDSTYLTIFGPLFEDLRGPPKYMLSQIAGGNLSKRRDRIIASDDETEDAEAPFIQKVFGILRIYYTFLDAAKRVALGIVAGAYLKNWSSRTPTILMLSIAAFQLFFMVLKKPFIKKKVQLVEILSVTSEVGIFTMCTVLLDWQFSARDETRIGIFMLALCIIALLAEMANEWYALYRQVKRLDPADNSFCVGLKAASIGFLLFFFPHRLIKKLDTKCSLFKRGGGEGESTETTTTPSLDKSRSTTDTRNSGEKSWVRQIRELAKSSFSREGSPADPSTSRTGTRWSDFWNSKRSGSSSVDSSADAKTKPRGLYKDLEAIFASK >itb09g25980.t1 pep chromosome:ASM357664v1:9:26101552:26103138:-1 gene:itb09g25980 transcript:itb09g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIKDQAESQSSSNRKIKIPYGSFEVHDFHISQTIGIDDKEKKLIPTQFVKLLGKWLGEIATLRRPSGHEWRVHVRTEKDGTFFSDGWETIYEDNDLDIGEVVFYTYLGDMHFDVKIFNKDGLEKVWDCGVIQNSNEESDHDKPSTTPGTDL >itb08g05870.t1 pep chromosome:ASM357664v1:8:4823984:4828099:-1 gene:itb08g05870 transcript:itb08g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPIGSQNRYVGIWYKQIPVCTVVWVANRDIPLTNTSSVVLKIVDPGRLALVDDANTSNIWYTNSPRSVQNPVAKLLDSGNLVVIDASDDNLLWQSFDHPTDTLLPGMKLGRNLVTGVDTTISSWKSENNPGMGEYKLWLDPVGYPQIIIRKGIKEVFRSGPWNGVRWSGSPNSPGMVKKSEISEIFVIINMKEVSYTYNSSTLIRMVLSNSGSTDVYIWAEGTREWNIIRKVAATDVCDNYGSCGTYGSCDNNNYPNCGCLDRFLARDPGAWGRGDFSRGCVRRTPLKNCQNGSSSSSSSDGFLKYSGVKLPDTRFSTFNTSMNLQECRQVCFNNCSCMAYSSLDISNGQNGCLLWFGDLVDIKVVPSNGLDQDLYIRMASSDLDEHLDEPRDFPQVLRSIHVGLLCVQHYPEDRPNMSSIVHMLANDVELPIAKEPGFFTGRVVVKANSSSSKKNIMFHK >itb11g08580.t1 pep chromosome:ASM357664v1:11:5634474:5635040:1 gene:itb11g08580 transcript:itb11g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSLELKQFPNEASLSAVTKQILSGLNYLHNRNIVHLDIKPANLLLNSQGRVKIADFGTSQILDYKSVETCKLSSATEEYMSPERIQDLLLPKAHAYAGDIWSLGLTIWELYVGEYPLGERLRGNLRAVNKAISEWESRSPVMLMPDTTSPELRNFIGGCLEIDPEKRLTVRDLLGHPFISRCPLI >itb14g17880.t1 pep chromosome:ASM357664v1:14:21055181:21062723:1 gene:itb14g17880 transcript:itb14g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDPANDPQNASSSSSQEADKPPIEPIRLPTVEEIRGQDIWNNCAVRSVSSGVMGGGLGLFMGLFLGALDNPIMQDEMTARQQIVFQAKQMGRRSWSSCKTFAVMGFIFSAAECVIEKARAKHDTTNTAVAGCVTGGTLSARGGPKAACAGCAGFAAFSVVIEKFLESPRSRPIHYRILGSIGLRFTSTDLATPSWAAEFRALAFPRPSPFSRKRPNLYFRQVVLGCPGPNHGSNSVVQSIGPSNSLKKEMAKNEGEEVVCVTGGSGCIGSWLVLLLLQRGYTVHATVKNLKDEKETKHLEAMEGADSRLRLFQIDLLDYNSILAAITGATGVFHLASPCIVDDVRDPEKELLDPAIKGTINVLTAAKELGVRRVVLTSSISAITPSPNWPADVVKNEECWTDIEYCKQNGRWYPLSKTLAEKAAWEFAKEKDLDVVVVNPGTVMGPIIPPTPNASMVMLLRLLQGCTETYEDFFMGSVHFKDVALAHILVYENTSAKGRHLCVEAISHYGDFAAKVAELYPEYNIPRIPKDTQPGLLRAKDGGKKLMDLGLQFIPMEQIIRDSVESLKSRGDIS >itb06g17470.t1 pep chromosome:ASM357664v1:6:21309822:21317585:1 gene:itb06g17470 transcript:itb06g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDMEFVPAETLDSKADDFCAAVLSQFSDSGNESHVHICTAIGAMSQELKDQNLPLTPITYFGATCSSLQRVSTAEAEDPQPHLVDALSTILSLVIDKISRAALLKKFDYTSNLLARILRQKTTGVQGILSCLKGVSYLLLVREKANWADVAELYGFLVGFVADDRPKVRKQSHICLREVLQKFQMSPMLTALLVPASEAIGNVFERSLLLAGGSNASAPEGPKGAQEVLYILDALKICLPFMSVKSSVSILKYFKSLLELRQPLVTRRITDGINALCIHPTGEVSPEVLLDLLCSLATSISAHESSADSMTFTSRLLDVGMKRIYSLNRQICVVKLPVVFSALSDVLVSEHEEALVAAKEAFKNLINTCIDENLIKQGVDQVMSSNEGTRKSAPTIIEKVCATIESLLDYQYAAVWDISFQIVSTMFDKLGQYSSYFLKGALKNLAEIQKLPDEDFPFRKQLHECVGSAIGAMGPEAFLSILPLNLDSRDLSEANLWLFPILKHYIVGAHLSFFTKTIMSIIAAMKQRSAVFEQEGKIISARTIDGIVYSLWSLLPSFCNYPLDTADSFKALEKVLSKALREEPDVHGIICSGLHILIEQNKSIVEGKEDLSNSGMSIHKEQAIARYNSQVAADNLNALRVSARELLSVLSGAFLKSSKDTIGPLQTVIGELASISDKEVVTRFFKSTMQKLLKVTQEAGRTGSRDNNAMQVDNSSGEESLSSVRAQLFDLAVSLLPGLDSKEIDLLFIAIEPALKDTEGLVQKRAYKVLSIMLQKSDEFTSRRLDELLNLMFEALPSCHFSAKRHRLDCLYFLIAHISKDNSVQRRSDLVASFLTEILLALKEANKRTRNRAYDILVQIGHACADEERGGKKEHLEQFFNMVAGGLAGETPHMISAAMKGLARLAYEFTDLVAASYNVLPSAFLLLRRKNKEIIKANLGLLKVLVAKSQAEGLQAHLRGMVEGLLNWQDSTKNHFKAKIKLLLEMLVKKCGLDAVKEVMPEEHMKLLTNIRKIKERREKKFNDNSSEESKSRMSKATTSRLSQWNHTKVFSDFGDDETEDSEAECMDTKTISGRQSRADAHSLRSKKTRKSSRSLQEDLFDQLDDEPLDLLDQQKTRLALRSSGNNKRKAESDDEMEIDAEGHLIIREEDGKPKRKKSLDSEADARSEAGSRSSMNSRKTQAQKRRKTSDAGWAYTGNEYSSKKASGDVKRKGKLEPYAYWPLDRKMMSRRPEQRAAARKGMSSVVKMTKKLEGKSVANALKMKGAKGSKKKVK >itb09g30930.t1 pep chromosome:ASM357664v1:9:31475454:31478801:1 gene:itb09g30930 transcript:itb09g30930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKITDLLLMLLIFVSESRVIISAFNVVDFGANPDGETDSSESFLGAWAAACASPEPAVIYVPPGRYSITQVKFGGKQCRSRGIEFLIYGTLVAPADYNVIGNVGYWILFDGVDGVFINGGTLDGQGAALWNCKASQKDCPIGSTSLGFIHSNNVMVYGLTSVNSQLFHVVILACKNTTLQGLNIMAPRNSMNTDGIHVQFSSGVVIVDSNIGTGDDCVSIGPGSTNLWIENIVCGPGHGISIGSLGKDYEEEGVQNVTVKMVTFINTQNGARIKTWGRPSTSFVKDVLFQHALMYDAQNPIIIDQNYCPYNKSCPGQYSGVKISNVTYEDIRGTSATQVGVRLDCSATNPCKNIKLQDVMLTYEDGPAEASCVNADGTTSGVIQPMVCF >itb12g05110.t2 pep chromosome:ASM357664v1:12:3404975:3408535:1 gene:itb12g05110 transcript:itb12g05110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWQASLSRWIRHSACSSSVRIWKLNSPKQIKQFFVLLLLKERMKHRNVYIAGSAYDRAAIKFRGVEADINFSLEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEDEQINSTENSGNAADHSLDLSLGSSSSKQGGGGGGREMVGDHRAQNSSPMQFELNWWHQGGLRPPKEHGGSEIVNDGRRREGYNETETLQQLSQTHLNSPGSRKANNNTGSSEMLRFGHFMSPYQMFPPQFS >itb12g05110.t1 pep chromosome:ASM357664v1:12:3404628:3408687:1 gene:itb12g05110 transcript:itb12g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPDQRRDVEEWDEGCCSPVDGDDEKGKAVGSVSNSSSSAALVVEDVSSEDEEDRRKRDGGGGSGGSRIFGFSVVGRSDDNWSSESEPPPVTRQFFPVDESEMGATSGDGSPSFPRAAHWVGVKFCQSDPLLTGGGGAGKPLEVSQQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEDEQINSTENSGNAADHSLDLSLGSSSSKQGGGGGGREMVGDHRAQNSSPMQFELNWWHQGGLRPPKEHGGSEIVNDGRRREGYNETETLQQLSQTHLNSPGSRKANNNTGSSEMLRFGHFMSPYQMFPPQFS >itb12g05110.t3 pep chromosome:ASM357664v1:12:3404628:3408535:1 gene:itb12g05110 transcript:itb12g05110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPDQRRDVEEWDEGCCSPVDGDDEKGKAVGSVSNSSSSAALVVEDVSSEDEEDRRKRDGGGGSGGSRIFGFSVVGRSDDNWSSESEPPPVTRQFFPVDESEMGATSGDGSPSFPRAAHWVGVKFCQSDPLLTGGGGAGKPLEVSQQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEDEQINSTENSGNAADHSLDLSLGSSSSKQGGGGGGREMVGDHRAQNSSPMQFELNWWHQGGLRPPKVHIPINSQLLYFFPNFTAVTS >itb12g05110.t4 pep chromosome:ASM357664v1:12:3406121:3408535:1 gene:itb12g05110 transcript:itb12g05110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYEDEQINSTENSGNAADHSLDLSLGSSSSKQGGGGGGREMVGDHRAQNSSPMQFELNWWHQGGLRPPKEHGGSEIVNDGRRREGYNETETLQQLSQTHLNSPGSRKANNNTGSSEMLRFGHFMSPYQMFPPQFS >itb09g13920.t2 pep chromosome:ASM357664v1:9:9127132:9138596:-1 gene:itb09g13920 transcript:itb09g13920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPANSTLGRMLLDEITPAVMVLRTPLVEESCDKNGISFIEMLSPFCNFNNIDVPVRTASDQPYRLKKFKLRLFYASDIRQPNIEVAKERLKQVITQAGDRDLSEFCSDPPRIETIINSSQLEFLPSWFQFLNRELVRTVSFSEHEAFDHPVACLLAVSSKDEDPINKFVDLFNTNQLPSLLNDGAMDPKIFKHFVLVHDNQDGTSEKATKILADMRSTFGANDCHLLCINSSADGSADHCENPWASSKNDVSSSQKLGSFLSLADIDELKNVMHDLSSKHIIPHMEQKVRNLNQQVSATRKGFRNQIKNLWWRKGKDDTAENQTAYTFSSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKHYAGVQEMMGLTYFMLDQSRKDAEYCMENAFTTYFKLGPSGQRNATRCGLWWVEMLKARDEYKEAASVYFRISGEEPLHSAVMLEQASYCYLFSSPPMLRKYGFHLILSGDLYKKCDQIKHAIRTYRAALSVFQGTAWSHIRDHVHFHIGKWFAVLGVYDVAIKNILEVLACGHQSKTTQELFLRDFFQIVQKTGKTFEVPTLTLPVINIPSIKVIFDDHRTYASHTAVNVKESLWQSLEEEMIPSLSTSKSNWLELQSKILPKKFKESNICVAGEAIKVAVEFKNPLQIAIPISNVSLICEHSVKSDAPASDVKNVNSHQNDLESNQSVNSRDFSSVTSSFTLSEVDIVLGGGETTVVELNVTPRTEGTLKIVGVRWKLSGSVAGFREFGPDLTRKRVAKAKTKSKRSLIDNLQFLVIKVLPLFNARSSCCTCLLN >itb09g13920.t1 pep chromosome:ASM357664v1:9:9124034:9138599:-1 gene:itb09g13920 transcript:itb09g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPANSTLGRMLLDEITPAVMVLRTPLVEESCDKNGISFIEMLSPFCNFNNIDVPVRTASDQPYRLKKFKLRLFYASDIRQPNIEVAKERLKQVITQAGDRDLSEFCSDPPRIETIINSSQLEFLPSWFQFLNRELVRTVSFSEHEAFDHPVACLLAVSSKDEDPINKFVDLFNTNQLPSLLNDGAMDPKIFKHFVLVHDNQDGTSEKATKILADMRSTFGANDCHLLCINSSADGSADHCENPWASSKNDVSSSQKLGSFLSLADIDELKNVMHDLSSKHIIPHMEQKVRNLNQQVSATRKGFRNQIKNLWWRKGKDDTAENQTAYTFSSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKHYAGVQEMMGLTYFMLDQSRKDAEYCMENAFTTYFKLGPSGQRNATRCGLWWVEMLKARDEYKEAASVYFRISGEEPLHSAVMLEQASYCYLFSSPPMLRKYGFHLILSGDLYKKCDQIKHAIRTYRAALSVFQGTAWSHIRDHVHFHIGKWFAVLGVYDVAIKNILEVLACGHQSKTTQELFLRDFFQIVQKTGKTFEVPTLTLPVINIPSIKVIFDDHRTYASHTAVNVKESLWQSLEEEMIPSLSTSKSNWLELQSKILPKKFKESNICVAGEAIKVAVEFKNPLQIAIPISNVSLICEHSVKSDAPASDVKNVNSHQNDLESNQSVNSRDFSSVTSSFTLSEVDIVLGGGETTVVELNVTPRTEGTLKIVGVRWKLSGSVAGFREFGPDLTRKRVAKAKTKSKRSLIDNLQFLVIKSLPKLEAFIHQLPKTVYVGDLRPITLELRNPCEIPVKNLKMKVSPPRFLQIGHKEATNMEFPVCLEKGTESAENYIQSKTKKASDSVFLFPEDMVIMEGTPSSWPLWLRAAAPGNVSLYVTIYYEMEDTTSVMKYRTLRMQFNLEVLPSLDVSFQISPRPSKLQELLVRMDVVNRTSAETFQVHQLSSVGNKWEMSLVEPIDTISTDFLIAGQAVSYFLKLKNCRKPVTEEERAASLGPADRADVKLNHASSEVLLDVYRSPLVEFHNCERLHQGMPGQEHQDMVDFILLCRQQSDDKSGQNSLNIFTHHACYCRVMSTSPIWWLLNGPLTITHNFAAAFCEIKLTMGVHNSSDFPVSVSVGPADSSASLSSASSASPASDNEVGWHALPQMNDIKVASDIPRAPKTLVSESTAPFIWSGSSWTHFKLEPLSSTEIPLQITVFSPGTYELSNYLLHWSFLSSGDQADKGDVLRPTGTCEGHPYYITVLPQD >itb10g01430.t1 pep chromosome:ASM357664v1:10:1081243:1086058:-1 gene:itb10g01430 transcript:itb10g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMISVDRWGNGSQAYFLTHLHADHTHGLSPSWRRGPLFCSRVSAILFPSKFPGFDLSLLRVVDVGNWYSLSLLSPSSASASATHLHFMPLDAHHCPGAVMYLFRGEFGCQLHTGDFRWEERSDRALIGREMLLNALKDVKLDFLYLDNTYCNPSYSFPSREVAARQVVNIIASYPKHDIVIAIDSLGKENLLVYISRVLKIKIWVWPERLQTMHLLGFRDIFTTKTSLTRVRAIPRYSFSIETLEALNIRRPTIGIMPSGLPWLVKKDGGNTNTFGSSRLVCNHDESSWHSDAATSSKPSCPNKDSNTFERYHQYIYTVPYSDHSCFAELQDFVKLLKPVNIKGIVTKAPCCINPMYYFGHLCGTKQASKVLHQKPGTIRIDRIEAARIKSSTGSGDSRVKRKKSVKKLEHATVHVGRVSILRRVRRGAKILDTDCID >itb06g11880.t1 pep chromosome:ASM357664v1:6:16419402:16420055:1 gene:itb06g11880 transcript:itb06g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLISENNNLPTSPRISFSYDLCPKDTSLPSSIQHHSHSHNSSHSDFNFSTTLNPPETSSSADELFSGGLIRPIPLPVSSSKSSSSLPPLPKTPSKPLCHIRRSSSLHCEASHKKTPFWSSFPLLSRSFSTGSLRKGKKQNAETTKQQQRSSATFYAFPSSPARRNGEGVRVNPVINILPHHVSANLFRFGSLFRNGKEKNKKISLPLPFCRNT >itb09g24200.t1 pep chromosome:ASM357664v1:9:23801353:23804787:-1 gene:itb09g24200 transcript:itb09g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKAMVSLFLLALFFIGAASQSPAPNAYTNHTVGGGAGWFFNVTTEKTSADYSTWAATQTFNLGDFLIFNTNTNQTVIQTYNETTYKSCTMDDPSDDTYTFLGGSNEFGKAVTVAVPLTIEGAQYYFSGADDGVQCQNGMAFEIKVGHGLGLPPSLNQPPPPPYVDPASSPIESPPITVIDNSPNRGVRCSISIFQVVFVLVALVSYLV >itb10g07850.t1 pep chromosome:ASM357664v1:10:9508049:9509353:-1 gene:itb10g07850 transcript:itb10g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKRCIISVAWLGVYLTLTSLAYADSSNFCVTADDKKFCERVVQGATDWNQAMAMAISEAMKHVASITNSDYRSMIHKSKSATLGKTIDSLCTYAYSNTRERLRESLEVARSGDKNNSLNIKLSSALTSLEDCSSVFLDLKHDYSSLIKMNRDLNHCIRVCLAIDKSKALEEKQKSTLTFFEV >itb03g06700.t1 pep chromosome:ASM357664v1:3:4864522:4864899:-1 gene:itb03g06700 transcript:itb03g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKNLVLSISALFSKTHRQTINDYSSFCSKSDGGESTTIHGEGLCCVCLSRLKEGGSFRVLPCMHRFHRSCVDKWLSAGRKTCPVCRFPVKDSGPPEKGKREATTEEMAVWFSSFHTSGQSFI >itb09g24080.t1 pep chromosome:ASM357664v1:9:23723437:23725315:-1 gene:itb09g24080 transcript:itb09g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTCRELNRFTQFREPKGMLKKSTSFYEALIEMGKQGVKMGFKDYNSLLNECIKQRAIREGQRLHAHMIKTLYHPAVFLRTRLIVFYVKCELLGDARWVFDEMPERNVVAWTAMISAYAQRGNFFEALDLFVQMLRSGMEPNEFTFATVLTSCMGTFGLQFGRQIHSLLIKTPFASHMYVGSSLLDMYAKAGRVHEARLVFSGLPERDVVSCTAMISGFSQQGLYEDAIDIFCKLQGEGMPSNYVTYTSLLNAISGLAAIEHGRQVHGHVIRSELPFYVVLQNSLIDMYSKCGNLIYARRIFDSMSERTVSTWNTMLVGYSKHGMGREAVDLFRKMREEDETSPDSITFLAVLSGCSHGGMEETGLDIFNELVAGENKVDLGMEHYGCVVDLLGRSGQVERAYQFIQQMPFEPSTSILGSVLGACSFHLNVDIGELVGNRLLEIEPGCAGNYVILSNIYASAGRWGDARRVRKLMEEKLVVKEPGMSCV >itb08g09280.t2 pep chromosome:ASM357664v1:8:8533049:8534189:1 gene:itb08g09280 transcript:itb08g09280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEKASKAYIDTVKSCELYEESSVAELISAMAAGWNAQLIVETWSKGSGTATSVGLAVAGHHAGGRHVCVVPDQESREAYGAAMQSAGVSPEILVGEAEEVMEGLEGIDFLVVDSRRSDFARILRVAKLGHRGAVLICTHVCSREGSDFRWRSVLDGKSRIVRSVLLPVGKGLDIAHVGAAGGGGGKGESRWIRHFDKESGEEFVIRR >itb08g09280.t1 pep chromosome:ASM357664v1:8:8533049:8534189:1 gene:itb08g09280 transcript:itb08g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEKASKAYIDTVKSCELYEESSVAELISAMAAGWNAQLIVETWSKGSGTATSVGLAVAGHHAGGRHVCVVPDQESREAEEVMEGLEGIDFLVVDSRRSDFARILRVAKLGHRGAVLICTHVCSREGSDFRWRSVLDGKSRIVRSVLLPVGKGLDIAHVGAAGGGGGKGESRWIRHFDKESGEEFVIRR >itb05g21200.t1 pep chromosome:ASM357664v1:5:27088605:27088952:-1 gene:itb05g21200 transcript:itb05g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGKRIQDLMKNKFQRHGGAGVPKRHVIVYVGEEEKEYYVSSKVWDAMESLRECEEQSLRCLPYVSPQQFKIYLNHAKLAVKKRVKPYVPQVGLIEDPKPKKIPKHKVFMYIY >itb02g15480.t1 pep chromosome:ASM357664v1:2:11268692:11271126:-1 gene:itb02g15480 transcript:itb02g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIQNFICIWISAIASSCYCYFIPKHIPSGAARLLSLFPVILLFIALPFRLTVFHLAAPTIFYLVWLANFKLLLFAFDHGPLSGHHYPPLPLLHFVAISLLPIKLSQVNVSSSDPRNPSSFLFAVKALLLPLIVWLYKFRQYFNQNVVLAIYCCHIYLGVELVLFITAAPIRSVLGLELEPQFKEPYLATSLQDFWGRRWNLMVPNILRPTVYFPVRNVSAKILGKEWANLAAIVASFLVSGLMHELIYYYLSRARPTWEVTWFFLLHGVCVAAEVIVKKKVLVGDWRLNRAFSGPLTLGFVAVTGNMLFFPQILRNGLDVKIIDESFMLARFVRDHLPV >itb14g17890.t1 pep chromosome:ASM357664v1:14:21063490:21064917:1 gene:itb14g17890 transcript:itb14g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSKKLHLQLILLLSICSVLSWKPWLSSASSGTVSTEEKVKLELYYETLCPYCSRFIAKYLPQLFDTGLISITDLSFIPYGNAKLGPNATITCQHGAYECLLNTVEACAIDAWPDLSEHFPFIYCVESLVYEGKYTAWETCFANLSMDPNPVTYCYTSGHGKELELQYAAVTNALEPPHTYVPWVVVDGQPLYEDYMNFISYICKAYKGSTKIPACSELSATVSHLGILRLLNPFW >itb07g01540.t1 pep chromosome:ASM357664v1:7:926012:933260:1 gene:itb07g01540 transcript:itb07g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADVSPRTDTSTDADTEDKNMGFQNNHAVGIVGSDGSDRRDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLTQLEQELQRARQQGIFISSSGDQSQSMSGNGALAFDVEYARWLEEHNRRINELRGAVNSHAGDAELRIIVDSIMAHYDDIFRIKGEAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINQLEPLTEQQLLAINNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQAARAFLGISDYFSRLRALSSLWLARPRE >itb07g01540.t2 pep chromosome:ASM357664v1:7:926657:933221:1 gene:itb07g01540 transcript:itb07g01540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMADVSPRTDTSTDADTEDKNMGFQNNHAVGIVGSDGSDRRDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLTQLEQELQRARQQGIFISSSGDQSQSMSGNGALAFDVEYARWLEEHNRRINELRGAVNSHAGDAELRIIVDSIMAHYDDIFRIKGEAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINQLEPLTEQQLLAINNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQAARAFLGISDYFSRLRALSSLWLARPRE >itb03g05280.t1 pep chromosome:ASM357664v1:3:3612784:3615104:-1 gene:itb03g05280 transcript:itb03g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKPSMSSSCPLTPLSFLERASIVYGECASVVHNNTVYTWSDTYTRCRRLASSITGVIGPERAQVVSVVAPNIPATYELQFAVPMAGAVINNINTRLDARTVSVILAHGESKMVFVDFQFCPLVLEAISLLPRNVGRPTVVLIEDGYDVAWTTFSRNGMIFDTYEGLVRNGDSEFNWIRPAGEWEAMTLNYTSGTTSSPKGVVHSHRSIFVMTLDSLIGWFVPERPVYLWTLPMFHSNGWSYTWGMAAVGGTNVCLRKIEAEGVYESIEKYNVTHMCGAPVVLNMLANSPRARPLKSPVHFLTGGAPPPAAVLLRIESLGFTVSHGYGLTEVAGVVVSCVWKPKWNELPAAERAKLKARQGVRTLGTAAVDVVEAGSGRSVKRDGSTMGEIILKGDCIMLGYLKNPEATKKCMKNGWLYTGDVGVMHPDGYLEIKDRSKDIIISGGENVSSVEVESVLYSHPVVNEAAVVARPDDFWGETPCAFVSLTHNNNNHRQASVKEMIEFCRERLPHYMVPKTVVFMAELPKTATGKIQKFALRGLAKKMGTLTISRM >itb08g01670.t1 pep chromosome:ASM357664v1:8:1334427:1342934:-1 gene:itb08g01670 transcript:itb08g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYCPQQGWENNSALEGYGGVHEHDFRVGGSYDDRRFVDERFSRDNIYPRSGFHRDILEREQYPHPPPPVGLWTQTRRRSYEEEYPLDRDSRRNEKMPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSMDSRAGLGSQPKKLDPSLEAQAGDSYKTLIQKKAIARFREMS >itb08g01670.t14 pep chromosome:ASM357664v1:8:1334427:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t10 pep chromosome:ASM357664v1:8:1334427:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSMDSRAGLGSQPKKLDPSLEAQAGDSYKTLIQKKAIARFREMS >itb08g01670.t9 pep chromosome:ASM357664v1:8:1334428:1340973:-1 gene:itb08g01670 transcript:itb08g01670.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSMDSRAGLGSQPKKLDPSLEAQAGDSYKTLIQKKAIARFREMS >itb08g01670.t12 pep chromosome:ASM357664v1:8:1334428:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLVSFLTLHFPRYYLDMLLIQKRKDII >itb08g01670.t2 pep chromosome:ASM357664v1:8:1334427:1342934:-1 gene:itb08g01670 transcript:itb08g01670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYCPQQGWENNSALEGYGGVHEHDFRVGGSYDDRRFVDERFSRDNIYPRSGFHRDILEREQYPHPPPPVGLWTQTRRRSYEEEYPLDRDSRRNEKMPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t18 pep chromosome:ASM357664v1:8:1334428:1340478:-1 gene:itb08g01670 transcript:itb08g01670.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLVSFLTLHFPRYYLDMLLIQKRKDII >itb08g01670.t3 pep chromosome:ASM357664v1:8:1334427:1342934:-1 gene:itb08g01670 transcript:itb08g01670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYCPQQGWENNSALEGYGGVHEHDFRVGGSYDDRRFVDERFSRDNIYPRSGFHRDILEREQYPHPPPPVGLWTQTRRRSYEEEYPLDRDSRRNEKMPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t15 pep chromosome:ASM357664v1:8:1334428:1340973:-1 gene:itb08g01670 transcript:itb08g01670.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t7 pep chromosome:ASM357664v1:8:1334428:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYCPQQGWENNSALEGYGGVHEHDFRVGGSYDDRRFVDERFSRDNIYPRSGFHRDILEREQYPHPPPPVGLWTQTRRRSYEEEYPLDRDSRRNEKMPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLVSFLTLHFPRYYLDMLLIQKRKDII >itb08g01670.t11 pep chromosome:ASM357664v1:8:1334428:1340973:-1 gene:itb08g01670 transcript:itb08g01670.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t6 pep chromosome:ASM357664v1:8:1334428:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYCPQQGWENNSALEGYGGVHEHDFRDNIYPRSGFHRDILEREQYPHPPPPVGLWTQTRRRSYEEEYPLDRDSRRNEKMPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSMDSRAGLGSQPKKLDPSLEAQAGDSYKTLIQKKAIARFREMS >itb08g01670.t8 pep chromosome:ASM357664v1:8:1334428:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYCPQQGWENNSALEGYGGVHEHDFRDNIYPRSGFHRDILEREQYPHPPPPVGLWTQTRRRSYEEEYPLDRDSRRNEKMPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLVSFLTLHFPRYYLDMLLIQKRKDII >itb08g01670.t13 pep chromosome:ASM357664v1:8:1334428:1340973:-1 gene:itb08g01670 transcript:itb08g01670.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLVSFLTLHFPRYYLDMLLIQKRKDII >itb08g01670.t4 pep chromosome:ASM357664v1:8:1334427:1342934:-1 gene:itb08g01670 transcript:itb08g01670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSMDSRAGLGSQPKKLDPSLEAQAGDSYKTLIQKKAIARFREMS >itb08g01670.t17 pep chromosome:ASM357664v1:8:1334427:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t19 pep chromosome:ASM357664v1:8:1334427:1340731:-1 gene:itb08g01670 transcript:itb08g01670.t19 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t16 pep chromosome:ASM357664v1:8:1334427:1342922:-1 gene:itb08g01670 transcript:itb08g01670.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSSKILVNVLD >itb08g01670.t5 pep chromosome:ASM357664v1:8:1334427:1342934:-1 gene:itb08g01670 transcript:itb08g01670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPARYGGRDRDDYAYEHYDYRHRVSHQSREDSRERDYDYGRHSYDSDYDRGSRRDSNWRRRDSRDREHDRRASSRERDHSPYTRHERSRSRSRDRDDRLRSRSPRSRSHSRSHREDSYDDIRYDRSERRRDHDDRRHHDSYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGVSRGFAFIDFPSVDAAKAMMDKLGDDGLLVDGRKLFFEYSSKPTGGPNGPLGVDSASRSSQGSHRRMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMATSNPTSLAKRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEDATKALEATNGTTLERNGQILRVAYAKSILGPGSGGPGASQASSLAAAAIEAAAFAQQYDAVGWTPKEYNPDEKQSTVAQEQGEVAHQNDASAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNSGVWYSYDHQTQQYVPCGDQNDKTAQKQTENVKSADGSNARKVIISAPATMTSTDKAASLPDAIQAAATAAIAAEKKEKEKSKEIKIAKSSILANKKKMSNVLSMWKQRSHEGQAPRVALDESQTVAEDRSHSVGPAAKTKLRTDSVATRETATTAGFAAGTTVQPMGIESQDRAVTNSSGVTLKGVIRGSGLGVVKKDTLYTGSLGSSTSHSATGSSLLINSDVSTSPMPSRTDTSAMSSYTPPASAGGGKRRFSEMPSQPFPDKEQPSNITYRDRAAERRSLYGSSSFGDNLSDHGDSNRDSTLKRGVFDPTPFPPGVGGGRVPGDANSQSYEIITSDRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSMDSRAGLGSQPKKLDPSLEAQAGDSYKTLIQKKAIARFREMS >itb04g19870.t1 pep chromosome:ASM357664v1:4:24314053:24314673:1 gene:itb04g19870 transcript:itb04g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQMKSRIGDNLQVPINYWAVLLNHQSSTKQALPSSATQCHLSSSSKVRNGAVRLRPKITDTLKGKLILGAKLLQAGGVEKVFKKKFGAKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSMRMHYKVSIPITKIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFPHHQRTLKYLQNAISQAQYS >itb05g22030.t1 pep chromosome:ASM357664v1:5:27581605:27584508:-1 gene:itb05g22030 transcript:itb05g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCATKPRVLKDAGAGAGAPMPEPQKELPAVKEGAGADEEANKRRSLSNLFKESEEKSLEDGKDETYETKQSSDLSASSPKETEKPKCAEEQTPAPVVDNAPAKTEAQKTQEVASTAEVPKLETPCEEEKIEDVKPTTEGKKDEPTEDSQKSETPVEEKTEEKPTDTQNPEKKTEEAPATPQIEDKKPPSTLEKTMEN >itb08g12320.t1 pep chromosome:ASM357664v1:8:12450228:12450578:1 gene:itb08g12320 transcript:itb08g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRREEEHPKTEEGDAAAVTNPHHKLAPPYCLGEGGTIRHSRCSEGAEVAGGPVHVESAERETQPRMVCSLLASWRGGALLVRSSLTAGGAAARRHRHRCCFPSLPSTKRSERGRR >itb12g10540.t1 pep chromosome:ASM357664v1:12:8664663:8666628:1 gene:itb12g10540 transcript:itb12g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEVVGGPLSPFSFRVEIALKLKGIQYDFIQEDYHFNKSPLLVKYNPVHKKIPVLVHNGKPLAESLLILEYIDETWDTTAPLLPKDPYHRAQARFWAKFIDEKCVPAARKIVYGEESEKARDEMQGALKLLVDELKGKTLFGGESVGVVDIAAIVVGYWMDVLQEAAGLEILSREKHAKIYEWMEALLSKCSVIKENLPPRDKLLAYYQSRIAGKGTPIHH >itb03g13450.t1 pep chromosome:ASM357664v1:3:13590229:13591971:-1 gene:itb03g13450 transcript:itb03g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPKSSAAAAAPPLCCKFNTTTTSTDVVGDMQFPSSSSSLKMIPELEFDEPHYMQVPDIPAAAYDAPHKSSAALVLTEVESIAKIAVPTIFTALLLYSRSIISMLFLSRLGDLALAGGSLALGFANITGYSILSGLAMGMEPICAQSFGAKRYNLLGLTLQRSILLLFLTSLPIALVWANIKPILLFCGQDQAIATQAQSYLLYSIPDLFMQSLLHPLRIYLRTQSITLPLTFCAVFSILLHLPINYFLVTKLSLGVKGVALSGVFTNFNLVASLILYILISGVYNNTWEKGRLLSTQCLKGAGWKSLLKLAIPSCISVCLEWWWYEIMILLCGLLYNPRATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNELGARQPRKAKLAAIVGLSGSFILGFVALCFAVSVRDVWARMFTEDKEIVGLTSAVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVWLSFYGGFDFQGLWVGLLAAQASCMLTMLVVLLRTDWEFEAKRSVEQTTTGAVVVVIWNNNNNNNNINIGGGESEEEEEEAPAFHEEDDDDQNVKPENKLAAG >itb08g07080.t1 pep chromosome:ASM357664v1:8:6050151:6051409:-1 gene:itb08g07080 transcript:itb08g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKPHSCLSLLSFFSSRLSTQFLSDSDSPMAVEANSNLLPLEFSDFIPLGMENCRDFMSTKPRLAAYQSIFPFNRSRPVKNVVAGNSLQQPSLHGKRSRDSLDLYSHPSTQICGGGAGDDFLQSEIDRIIAHHTKKLRVEFEERQKQTARNLMAAMGDGLVKKLREKDEEIQRMAKLNLALQERVKSLYVENQLWRDMAQTNEAAANSLRSNLEQVLAHVADERVSVPAAVAAAEEDAESCCGSSDHGRGEPEVCTAMPEWRTPATEAQDSKNSGGRMCRRCGERESSVLLLPCRHLCLCTLCGSTLIRCCPVCNSNMNATVHVNMSS >itb13g23090.t1 pep chromosome:ASM357664v1:13:29205185:29207501:-1 gene:itb13g23090 transcript:itb13g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTGNDVFESKITAYVLACWILAAFGGLMFGYDIGISGGVSGMDDFLIKFFPAVYAKKKRAVENNYCKFDNQMLQLFTSSLYMAALVASFGASKACNLLGRRPTIFMASILFIIGAALSAFAEHKWTLILGRIFFGFGVGFGNETVPLFLTEVAPVQYRGAVNILFQLLITVGIFIANIVNYAMADVHPNGWRISLGVAAIPAVMLGLGSLVISETPASLVERGKVDQGRAALKKIRGVDNVEAEFETIVAGCEAAAQVKKPLKKLMKKSGQPQLIIAVVLQVFQQFTGINAIMFYAPVLFQTMGFKANGSLLSSIITGLVNVLATFVSIYTVDKLGRRKLLLQGCVQMGLSQVAIGVILATNLKATGTLDKTLSTVVVVLVCLYVMAFAWSWGPLCWLIPSEVFPLETRTAGFAFAVSTNMLCTFIIAQAFLSMMCGMQAYIFFFFSAWILGMGLFVLFLLPETKGVPIDLMEERVWKQHPVWRKFFRNDSRSYEMA >itb11g18060.t1 pep chromosome:ASM357664v1:11:18199111:18202175:1 gene:itb11g18060 transcript:itb11g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLMIIPNYYQLAKSVSYQDSLKTLQADIDYANMLATSIPRFKGGTRLQMKLVYDNLAPLFLFLVQWMNFSCTCLLPSYLNLFHVLLFEVSADGKRVLKSHGRKATVREFYAVILPSLLRLHGNSSGRDASQDEGHGSKIIVSNTKNVQEKRKHCDVDLEREDECGICLEPCTKMVLPNCCHAMCINCYRDWNVRSESCPFCRGSLKRVESGDLWVLTCNGDVVDQETVSKDDMVRFHGYINSLPKDVPDALFLVYYEYLI >itb11g18060.t2 pep chromosome:ASM357664v1:11:18199121:18202175:1 gene:itb11g18060 transcript:itb11g18060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLMIIPNYYQLAKSVSYQDSLKTLQADIDYANMLATSIPRFKGGTRLQMKLVYDNLAPLFLFLVQWMNFSCTCLLPSYLNLFHVLLFEVSADGKRVLKSHGRKATVREFYAVILPSLLRLHGNSSGRDASQDEGHGSKIIVSNTKNVQEKRKHCDVDLEREDECGICLEPCTKMVLPNCCHAMCINCYRDWNVRSESCPFCRGSLKRVESGDLWVLTCNGDVVDQETVSKDDMVRFHGI >itb11g19330.t1 pep chromosome:ASM357664v1:11:20050444:20050812:-1 gene:itb11g19330 transcript:itb11g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPKSSIVFPCILFACMFPFSLAADLVLDTSGQPLRSGVSYYIQPVSTVNGGGVTLAKGENGACPFEVVQALFADGSPLRFSLANSTDGVVHMDDDITIKFIPSDDTSGCPQSTVWQVEGL >itb01g08400.t1 pep chromosome:ASM357664v1:1:6766604:6767344:-1 gene:itb01g08400 transcript:itb01g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNIPAYHDYNNYNHKKHPVALSLTFLIACIAATIAVVWSLCGALSRKKPPGGAPVGDDETPPSQKRNHPEKFPSSPPPPAKPEFTPLTPQPEAEEEEGSIIIKNDGIRRYGNFSRLLPPPPSRSASCHVRSHSQPVKLESSVSERVSTSSMMMMMRQGSRKGDNNNNSNSKMKYLKHEDSVWKKAIILGEKCRVPDEDEEAIVYDEKGKRISTYHPKTKSNAFEILSRQNSIAEEEEKFIIHNE >itb02g19840.t1 pep chromosome:ASM357664v1:2:17084474:17084963:-1 gene:itb02g19840 transcript:itb02g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHRRLRLLRLPHAAARRRHSSPRRRRPANSSLLRSSPLAANAAQRSPTPQPVAPSRSDASSPVAVQPSSRTVVVAVHHLQPRRRCPGGRYYSSLAYSYVLNLCHILPLREKKKPFLLIDFLST >itb13g24030.t1 pep chromosome:ASM357664v1:13:29776194:29779127:-1 gene:itb13g24030 transcript:itb13g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSTDRARISTMKDELFKLLPHDDLQTAVVLVYANKQDLKDAMTPAEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQRVTGKATS >itb04g32850.t1 pep chromosome:ASM357664v1:4:35245206:35251683:-1 gene:itb04g32850 transcript:itb04g32850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLQPQIILLKEGTDTSQGKAQLVSNINACMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSFRTACNLATEKVKELAISIEGKSMDEKKNLLAKCAATTLSSKLIGGEKEFFASMVVDAVIAIGNEDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTTVNNVIDEVLGSCENFEERQVGNERFNIFSGCPSGKTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIKGKSQLFINSYAKALEIIPRQLCDNAGFDATDVLNKLRQKHALQSGEGAPYGVDINTGGIADSFANFVWEPSVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAGGMGGRGRGFAPRGRGMRRR >itb10g16550.t1 pep chromosome:ASM357664v1:10:22856163:22864130:1 gene:itb10g16550 transcript:itb10g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGASGFVFPLKTKGKFDAAEADVVEVSSNNRYSRYNEILGRGAFKIVYKGFDEIEGIEVAWNQVSIDDALQSPANLERLYSEAHLLRTLKHENIIKSLDSWVDDQNKTINMITELFTSGSLRQYRKKHKVVDIKAIKSWARQILRGLHYLHSHNPPIIHRDLKCDNIFINGNHGEVKIGDLGLATIMQQPTAQSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLELITCEYPYSECRNQAQIFKKVTSGIKPAALDKVKDPEVKQFIEKCLVPASKRLSAAELLKDPFLSWTNSSELQPEVMQNSNHMSKSINLTKSDSLSMEIDPASRKLSSVACIENSVTEIPQVTEFLRCNGKNEFRLRGEKYDESSISFSLRITDYSGQARNIHFMFFLDHDTTVSIAGEMVEQLELPNEDVALIADMIDRLTLKLVPSWKSSCGSLGAGKCPYDNSIAVASTSKNHGSNWVFGQGSMEQHVFSHASGGKNNTEEVDKENISSEHGMPASLGKHKKPLGEVYDETKGFKNGGLSYEGCPSEFMMSECTGISSAGSFTTMSNDMNICISSLSVADKDNDKDHYVDLKQEIDAIDMQYQQCCRELVRMREEAIENAKKKWITKKMCPLTMQIAPCYYLSN >itb10g16550.t2 pep chromosome:ASM357664v1:10:22856163:22864130:1 gene:itb10g16550 transcript:itb10g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPMLLKFRLIIDTVGYKGFDEIEGIEVAWNQVSIDDALQSPANLERLYSEAHLLRTLKHENIIKSLDSWVDDQNKTINMITELFTSGSLRQYRKKHKVVDIKAIKSWARQILRGLHYLHSHNPPIIHRDLKCDNIFINGNHGEVKIGDLGLATIMQQPTAQSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLELITCEYPYSECRNQAQIFKKVTSGIKPAALDKVKDPEVKQFIEKCLVPASKRLSAAELLKDPFLSWTNSSELQPEVMQNSNHMSKSINLTKSDSLSMEIDPASRKLSSVACIENSVTEIPQVTEFLRCNGKNEFRLRGEKYDESSISFSLRITDYSGQARNIHFMFFLDHDTTVSIAGEMVEQLELPNEDVALIADMIDRLTLKLVPSWKSSCGSLGAGKCPYDNSIAVASTSKNHGSNWVFGQGSMEQHVFSHASGGKNNTEEVDKENISSEHGMPASLGKHKKPLGEVYDETKGFKNGGLSYEGCPSEFMMSECTGISSAGSFTTMSNDMNICISSLSVADKDNDKDHYVDLKQEIDAIDMQYQQCCRELVRMREEAIENAKKKWITKKMCPLTMQIAPCYYLSN >itb12g03960.t1 pep chromosome:ASM357664v1:12:2604918:2605451:-1 gene:itb12g03960 transcript:itb12g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRGERSFLSLYLIVTAMLIGQLRVTEAAQCSATELRPCAAAINSGQAPSAACCSKLKQQTGCLCGYARDPRVARYVNSPNARKVASSCGVSVPSC >itb04g01400.t1 pep chromosome:ASM357664v1:4:789835:792625:-1 gene:itb04g01400 transcript:itb04g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKKGLKKGPWTPEEDEKLTEYIKKNGHGSWRSLPKFAGLLRCGKSCRLRWTNYLRPDIKRGPFSPEEEELVVQLHGLLGNRWAAIASQLPGRTDNEIKNLWNTHLKKRMISMRIDPQTHKPSSDANGLMWTMPTTPSARHMAQWESARLEAEARLSRESLHLVPSPTTVGSETDFFLRMWNSEIGESFRKLKKGEKADCQSPTSETSSSMKCGSASGTTEVDPTLTVHSAADCNPNKDPEQKSCKSYIEYPLSEMSCTDELDDSSESALQLLLDFPTHNDMSFLEHTDAYTIHPTPFSPKIL >itb05g23150.t1 pep chromosome:ASM357664v1:5:28306484:28311097:-1 gene:itb05g23150 transcript:itb05g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRTRNLFEEDDPTPCYDLINNLFSQDKAVVDPALSFFKDAVNQFPGSVCRLFAKVLDTSPLPLTRIRCSTLLSGFLATTWPIIPPVPQAEIKAVFLKLLHNETNRPALEIHCACVSRLGAILLPKNEWPELLSFLLESLSSSSAPRKLAAVILLRELIPKCPEIFAPYVDIFCAAFLRLMNQINDERVRAATHGAAVNMILHLPTSSNYYDLLPEMISVLMDTVYSDYLTSDILEEIIVLATRKPGFFVAEVKCWVQSMVEIISEERLKPKTRQLAIQFLVVMAEDKKEGCGMIQNLPGDLIEEILTQLLILLVGVDDGDDWELADDDDTEVGKKSLACYAEDAWRRLAIALRGEVIVGNPPDLLAKYIKDDNWKRRYAAVTAVGLITSGCSKMLIQYLEVSMEKILELVTDLHPRVRWAAIHTIGEFSIYLCPHLQEQYHHQIIPALLQAIDDLAHPRLQTHGATALMLFTRNCCSDILKPYMKEIVNKLLILLQQREIMLEGAALGALGSLAESTMDEFRPFYGIVMPYLKCTVVTAKAASNYLLVANSLKCIAVIAVAVGKSMFYADVEDVVKDLILLQESNYSGKDGTVRGYLLQAWGGVCRCLGVDFLPYLSDSVPQLIQSAKRTDYLTDDVDSDDKRRSIILKEKFLACNTIGCFAAHINEGLHMWIKEVVDAVLPLVNFKLDERVRIAAATAMPLLLQSVAVAVECQLPIPDVSDSPIITISETIMSALIEALQEPTIKFRVIILEALNQCIQIPHTCIHKDMATLFVKGISKLLFACINRKVVRELRLSSSQNLRTAELLDEEVQDEDNIYIQVHICLGTLAERLKASFLPFLDELLPFVNHLWKNKKARKEGRIGLSVFHDIAENCREETFRHYDMCIPFLLKTCKGRKATNPAQEEIAACAIGICAEFGGEVFKPHLQDALLSLEDIIFQPGKLPLKTIMAKEAAVSAYGKLCFLLTEDASIYKHVGHWLMQLPLRCNLEEAKAAHSLLCSKIDQPETKVTGPNDAYIPRIIVVLTEVLWDGKHLATPEILDKMILQLKMLGRKITEANFVDINGTLPPYMQSMLHGILSS >itb15g08350.t1 pep chromosome:ASM357664v1:15:5866192:5870868:1 gene:itb15g08350 transcript:itb15g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVGSPGSVSGLLLRIGQCLFAAGSIGVMASAYGFSNFTAFCYLIASMGLQVLWSFGLACLDAYALKIKRDICSPVLVSLFVVGDWVTSTLSLAAACASAGIAVLYAKDLKFCNDPAHLPCVKFELSAVLAFITWFLIAISSHVTFWILASV >itb06g21050.t1 pep chromosome:ASM357664v1:6:23794846:23798090:-1 gene:itb06g21050 transcript:itb06g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MLGFNSEESMTNLESTEGSKHCPLSTLRIIRGVICLTVLLSTALMFLIYFVPVAVLLLRSFSINCSRKVVSLLFGIWLGLWPFLFEKINKTKVIFSGDRVPAGERVLLIANHRTEVDWMYLWDLALRKGCLGHMKYVLKKSLMKLPIFGWGFHILEFIPLERKWEVDEPVMQSILSTFTNPQDPLWLAVFPEGTDFTEQKCIRSQKFAAENGFPVMKNVLLPKSKGFTACLDTLRHSLDAVYDITIAYKNRCPSLIDNVFGVDPSEVHMHVRRIPIEEMPESEGVAAWLMETFESKDRLLSDFIANGHFPHQGTEEQLSTAKCLINFALVIAFTTLFIFLTFYSSIWFKVYVGFSCVYLASASYLDIKL >itb06g21050.t2 pep chromosome:ASM357664v1:6:23794846:23798090:-1 gene:itb06g21050 transcript:itb06g21050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MLGFNSEESMTNLESTEGSKHCPLSTLRIIRGVICLTVLLSTALMFLIYFVPVAVLLLRSFSINCSRKVVSLLFGIWLGLWPFLFEKINKTKVIFSGDRVPAGERVLLIANHRTEVDWMYLWDLALRKGCLGHMKYVLKKSLMKLPIFGWGFHILEFIPLERKWEVDEPVMQSILSTFTNPQDPLWLAVFPEGTDFTEQKCIRSQKFAAENGFPVMKNVLLPKSKGFTACLDTLRHSLDAGSFSYVNSSVSYSPSICSYLSLHHEFLPSYMLVGGLTMTITMIKCLIPIAMDKHI >itb07g21700.t1 pep chromosome:ASM357664v1:7:26239647:26247544:1 gene:itb07g21700 transcript:itb07g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSLKKLNIRNCNALSIRDNYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLCLSHQYSNLERLFLDDLQNLRSLPQLPPNLRLLSAKNCVSLEKADVSNLKRLEWLDIQNCKSLVELSGLESLESFLFLGIANCSCLRIPPIEKWFKVHSNYIYVVVLGRGRINCHFGVLEILLNVIDPSEIDGGNRIDLSVRSKSSGANWILMEPKYKQKLLWCLFDVPMTMMGEVLEVYVEVHDWQKIFCVGEIHRNREGEVRFFPSPRGCIPSYNKEDGERKRKRKEEYLQSLPFRLCHLSNLKVLYLEDFQNLRVLVELPPSLVNLFARNCVSLEKIVTISNLKKLEELDLENCESLVELPNMESLSSLKKLNIRNCNALSIRDNYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLCLSHQYSNLERLFLDDLQNLRSLPQLPPNLRLLSAKNCVSLEKADVSNLKRLEWLDIQNCKSLVELSGLESLESFLFLGIANCSCLRIPPIEKWFKVHSNYIYVVVLGRGRINCHFGVLEILLNVIDPSEIDGGNRIDLSVRSKSSGANWILMEPKYKQKLLWCLFDVPMTMMGEVLEVCIVPTLSRHGFIYMISVGFCFGDCNGVRIATRSKTSGDSAWVGDECCVEKKKKYGRVDFEVALWQ >itb07g02350.t1 pep chromosome:ASM357664v1:7:1501935:1503930:1 gene:itb07g02350 transcript:itb07g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGKKNKTDEESIDYISSLPESVIHHILSFLPFRRIVRTSVLSKTWNRFWSNYPNVDLMLNLGMYDCPRPQFLVGALFGSVVGAATVRNVSELVIKLHCREEGDGPYCFYSIPQEVFTGSLKVLEIERCKFEGCDACIELPCLQKFTLNCCKFSGENLLNKILCGCPELEFLDVSFCEGVGVGHCLSVLSKPRLKYFKVFHLKELARIEVFAPSLETFKCSLLKPCVIDLARCTVLKYLKLDGVDLSADYVPIQDLLSKLDYIEELELGNCIVADKIEISSSCLKKLVIIDYINFPGAEIDIPNLLHLEFLVTGACNSRSKFSSWNVPRVEEIHMAFSVQTFRALCRAGLKGFLMKLNNYENLKLLIACKGLETMPEFIVISSKRVEDLLGHMLYPINGSISVSLIFSSRQSIELLYRNLSSEKATKFACRDFELVSTEEIEHEHEMDSAWKSFMEMHSTGNETATIIVRARNWWEMFLKEAL >itb05g03870.t1 pep chromosome:ASM357664v1:5:3290674:3297430:1 gene:itb05g03870 transcript:itb05g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAGSRVAKLAVRRALSQHGSPLPRTRILPQYSRYFHATALRPKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEQDPNSVDESWDNFFRNFVGQATTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLDLEERQIPDDLDPASYGFTEADLDREFFLGVWSMSGFLSENRPVQTLRAILTRLEQAYCGRIGYEYMHIPDRDKCNWLREKIETPTPTEYNRERREVMLDRLMWSTQFENFLATKWTAAKRFGLEGCETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFNEFSGGTKPVDEAGYVGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYTNDVDRTRNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIVCYRRYGHNEIDEPSFTQPKMYKVIRNHPSALEIYQKKLLESGNVTKEGIEKIQKKINSILNEEFVASKDYVTQKRDWLSAFWSGFKSPEQLSRVRNTGVKPEILKNVGKSITTLPENFKPHRAVKRIFDDRNKMIETGEGVDWALGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHIMLNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNALVLWEAQFGDFANGAQVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKLYYELDEERKKVSGKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLCTAMKALGRGTMDDIKYVGRAPSAATATGFYQVHVKEQTEIVQKALQPNPINYPF >itb15g21900.t1 pep chromosome:ASM357664v1:15:24570374:24571022:-1 gene:itb15g21900 transcript:itb15g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHILLLSFLFQFLLLFSLPLETATSETTEGRALLKWKNTLHFNTDALRSWSIANLDNICWNWTGITCNNAGAVYKIKLNNFSLSGTLESLDFISFPNLTHFSLPNNSFTGSVPYAIANLSQLVFLDLSWNGFVNFIPTEIGRLTNLRFLDLGGNHLGGTICYMGHFQQV >itb14g04840.t1 pep chromosome:ASM357664v1:14:4206661:4212968:1 gene:itb14g04840 transcript:itb14g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQYSLPECVQAALFTHFSLEFAEQAIICDWFQTSQYWKMCSQHDQHRIDRCGKSVLVESKADDGLSPCSLAPPSVSQLSAFRTISGSSNLAVYYRKKFQRNTPPSCPPQSSAKVGCAGGCDSAMCSEALSGGGKEQRIFELEVETDATRSSNRSPVERNAEPLFSKSESCNGCPDGDCRCSEEASRSDTHGLLNPCLNENCSSSKSNLDLGSASLKNVDDTSECSSSGALVPEGLHDNMPERDICISVLRKYGLLENICTTQAFTSTRNCCQIACKVCGCTDTTLKMLICDNCNDTFHLSCCNPRVKKVPYDEWFCLSCLRKKRKLLKENSSSKSLHSMEAGGCSNILSKGESGLIASMLRSTEPYNTSVRIGNQFQADVPDWNGPVNNEASPITEPVEMDPSESLHDSSTNKPFGISSIGNWLQCQQVIEGIGEDVDGTICGKWRRAPLFEVQTDDWECFQSVLWDPAHADCAVPQELETAEVLKQLKYIEMLKPKLDVKRRKLDCTKRSDLQNDSET >itb14g04840.t2 pep chromosome:ASM357664v1:14:4208891:4214084:1 gene:itb14g04840 transcript:itb14g04840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQYSLPECVQAALFTHFSLEFAEQAIICDWFQTSQYWKMCSQHDQHRIDRCGKSVLVESKADDGLSPCSLAPPSVSQLSAFRTISGSSNLAVYYRKKFQRNTPPSCPPQSSAKVGCAGGCDSAMCSEALSGGGKEQRIFELEVETDATRSSNRSPVERNAEPLFSKSESCNGCPDGDCRCSEEASRSDTHGLLNPCLNENCSSSKSNLDLGSASLKNVDDTSECSSSGALVPEGLHDNMPERDICISVLRKYGLLENICTTQAFTSTRNCCQIACKVCGCTDTTLKMLICDNCNDTFHLSCCNPRVKKVPYDEWFCLSCLRKKRKLLKENSSSKSLHSMEAGGCSNILSKGESGLIASMLRSTEPYNTSVRIGNQFQADVPDWNGPVNNEASPITEPVEMDPSESLHDSSTNKPFGISSIGNWLQCQQVIEGIGEDVDGTICGKWRRAPLFEVQTDDWECFQSVLWDPAHADCAVPQELETAEVLKQLKYIEMLKPKLDVKRRKLDCTKRSDLQNDSET >itb01g30650.t1 pep chromosome:ASM357664v1:1:34601941:34602366:1 gene:itb01g30650 transcript:itb01g30650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMNPLGIRKHGRRSSRHSTKYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAALAYDLSSIAFSGIQNARTNFVYTVDHSTCFPSPSTSPPLPPPSPQPSTDEIVDDHDDDESLVIASILQSFRQSNATDKLLV >itb15g12790.t1 pep chromosome:ASM357664v1:15:10668995:10671962:-1 gene:itb15g12790 transcript:itb15g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMVWPHCDVHEPVAEGKPAVRFSKDEWGRLAEIEERVTLVGKFSKGRPPLELIREKFQSTFSLTGSAHVGSLDLRHILIKFSREDDCEKVLAKGLPMVAGKPMRLTRWSPDWSTRKESPLAAVWVDPEDGFWQRLEYENVPSFCSKCFKLGHLFTSCKSGTGSDRRNKSGEISGSGPVVTPKQSKKRSLQPFGLGLGLGLGLGLGVGVQTRSMRRKGHRADSIDNSLLPNSNSNTSIFPLDSTENLQTQSPFLKRNQNTLPIVPLKETSWASIDHKSPNFSSTIDSNATPSSLPPFSNLVPFSNRARSSAKRALLFRTPRQYRELEGIHRSRVISQSKSELDDEMYEDDVLRSNEVTDLLVGLDYG >itb07g01460.t4 pep chromosome:ASM357664v1:7:886967:891206:1 gene:itb07g01460 transcript:itb07g01460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFVTMRKDAVLPVSADVIFHSSRFSNYKIGSNYQVVELKDHPKALSVKEVVARETAHLLDQQNRLSVRDLASKFEKGLAAAAKLSDEARLRDAASLEKHVLLKKLRDALEALRGRVVGRNKDGVEEAISMVEALAVQLTQREGELVQEKSEVKKLTTFIKQASEDAKKLVDEERAFARTEIENARAAVQRVEEALQEYEEMSRASGKQDVEELMKEVQEARRIKMLHQPSKVMDMEHELQALRVQLAEKSKHSILLQKELAMRKRGENAQNLYGIDGIEALGSYLEIQPYSTDVPDISVFSIQWYRLGCENGKREPISGAMKPAYAPEPFDVGQILQADMTLGVETVTVTTTGPIDPAAGLGNYVEALVRRHETEFNVVIVQMNGSDHPSQSIHVLHVGKMRMKLCKGKTTLAKEYYSTSMQLCGVRGGGNAAAQAAFWQAKEGLSFVLAFETERERNAAIMLARRFSFDCNITLAGPDDRAAL >itb07g01460.t1 pep chromosome:ASM357664v1:7:886519:891118:1 gene:itb07g01460 transcript:itb07g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFVTMRKDAVLPVSADVIFHSSRFSNYKIGSNYQVVELKDHPKALSVKEVVARETAHLLDQQNRLSVRDLASKFEKGLAAAAKLSDEARLRDAASLEKHVLLKKLRDALEALRGRVVGRNKDGVEEAISMVEALAVQLTQREGELVQEKSEVKKLTTFIKQASEDAKKLVDEERAFARTEIENARAAVQRVEEALQEYEEMSRASGKQDVEELMKEVQEARRIKMLHQPSKVMDMEHELQALRVQLAEKSKHSILLQKELAMRKRGENAQNLYGIDGIEALGSYLEIQPYSTDVPDISVFSIQWYRLGCENGKREPISGAMKPAYAPEPFDVGQILQADMTLGVETVTVTTTGPIDPAAGLGNYVEALVRRHETEFNVVIVQMNGSDHPSQSIHVLHVGKMRMKLCKGKTTLAKEYYSTSMQLCGVRGGGNAAAQAAFWQAKEGLSFVLAFETERERNAAIMLARRFSFDCNITLAGPDDRAAL >itb07g01460.t2 pep chromosome:ASM357664v1:7:886794:891206:1 gene:itb07g01460 transcript:itb07g01460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFVTMRKDAVLPVSADVIFHSSRFSNYKIGSNYQVVELKDHPKALSVKEVVARETAHLLDQQNRLSVRDLASKFEKGLAAAAKLSDEARLRDAASLEKHVLLKKLRDALEALRGRVVGRNKDGVEEAISMVEALAVQLTQREGELVQEKSEVKKLTTFIKQASEDAKKLVDEERAFARTEIENARAAVQRVEEALQEYEEMSRASGKQDVEELMKEVQEARRIKMLHQPSKVMDMEHELQALRVQLAEKSKHSILLQKELAMRKRGENAQNLYGIDGIEALGSYLEIQPYSTDVPDISVFSIQWYRLGCENGKREPISGAMKPAYAPEPFDVGQILQADMTLGVETVTVTTTGPIDPAAGLGNYVEALVRRHETEFNVVIVQMNGSDHPSQSIHVLHVGKMRMKLCKGKTTLAKEYYSTSMQLCGVRGGGNAAAQAAFWQAKEGLSFVLAFETERERNAAIMLARRFSFDCNITLAGPDDRAAL >itb07g01460.t3 pep chromosome:ASM357664v1:7:886504:891211:1 gene:itb07g01460 transcript:itb07g01460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFVTMRKDAVLPVSADVIFHSSRFSNYKIGSNYQVVELKDHPKALSVKEVVARETAHLLDQQNRLSVRDLASKFEKGLAAAAKLSDEARLRDAASLEKHVLLKKLRDALEALRGRVVGRNKDGVEEAISMVEALAVQLTQREGELVQEKSEVKKLTTFIKQASEDAKKLVDEERAFARTEIENARAAVQRVEEALQEYEEMSRASGKQDVEELMKEVQEARRIKMLHQPSKVMDMEHELQALRVQLAEKSKHSILLQKELAMRKRGENAQNLYGIDGIEALGSYLEIQPYSTDVPDISVFSIQWYRLGCENGKREPISGAMKPAYAPEPFDVGQILQADMTLGVETVTVTTTGPIDPAAGLGNYVEALVRRHETEFNVVIVQMNGSDHPSQSIHVLHVGKMRMKLCKGKTTLAKEYYSTSMQLCGVRGGGNAAAQAAFWQAKEGLSFVLAFETERERNAAIMLARRFSFDCNITLAGPDDRAAL >itb02g02190.t2 pep chromosome:ASM357664v1:2:1212607:1218286:1 gene:itb02g02190 transcript:itb02g02190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRANSSADEHHFSRRRVLSGHGVRHRHRILARALRHGQPLLRLSYCHSNGRTGVEVEVPRKHYHTELVAVDDEKETDKTAKFEDGYYLKPNIFTLKIPEIDGGTNLLVTIRWSQKLLYHDGQFTLNIPFSFPEYVTPAGKKISKKEKIELNVNYGPGTEILCRTSSHHLKEVRRLAGNLGFLYESEVLNWSIHDFVFTYSVSSSQIYGGVLLQSPLALDNDNKKTFCCYLFPGNKQSKKVFRKEVVFVVDISGSMRGKPLEDTKSVLLIALSKLDSQDLFSIIAFNGETFLFSSSLELATEEAIESAIQWINTNFVADGGTNILNPLNQAIEMLSNTNKAVPIIFLITDGSVEDERQICDVMKSHPTRGRTISPRIYTLGIGSFCNHYFLRMLSILSGGFYDAAYDADSTEARVEGLFARASSIILADIAIDFESLDLQDFEVYPPQIPDLSLEGPLVLSGRYSGEFPENLEARGILADMSNFSVHLKAQEAKEIPLSKVLAKQQIEILTAEAWFTKNKKLEEKIARMSVQHGILSEYTNMALIGTEKTKETTEPSKVNKKTEDPKLQKAIMLRNLGLGFGDLTATAENTPPGSTSKPEAAEMFVQAASNCCRMLCDKCCCMCCIQMCSKINDQCAVVMTQLLGSLACLGCLACCELCCSKQQG >itb02g02190.t1 pep chromosome:ASM357664v1:2:1212594:1218289:1 gene:itb02g02190 transcript:itb02g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFAGAVEDGLRLSKRINFGKDRAVAPPRPMTSMEKSAHSYLPSAPMLYAVIENPGVVDNPDVPSYQPHVHGRCDPPALIPLQMNTISLAAECYLDTAFVTVTGSWRVHCVMGSRCCDCRIAIPMGEQGLILGVEVEVPRKHYHTELVAVDDEKETDKTAKFEDGYYLKPNIFTLKIPEIDGGTNLLVTIRWSQKLLYHDGQFTLNIPFSFPEYVTPAGKKISKKEKIELNVNYGPGTEILCRTSSHHLKEVRRLAGNLGFLYESEVLNWSIHDFVFTYSVSSSQIYGGVLLQSPLALDNDNKKTFCCYLFPGNKQSKKVFRKEVVFVVDISGSMRGKPLEDTKSVLLIALSKLDSQDLFSIIAFNGETFLFSSSLELATEEAIESAIQWINTNFVADGGTNILNPLNQAIEMLSNTNKAVPIIFLITDGSVEDERQICDVMKSHPTRGRTISPRIYTLGIGSFCNHYFLRMLSILSGGFYDAAYDADSTEARVEGLFARASSIILADIAIDFESLDLQDFEVYPPQIPDLSLEGPLVLSGRYSGEFPENLEARGILADMSNFSVHLKAQEAKEIPLSKVLAKQQIEILTAEAWFTKNKKLEEKIARMSVQHGILSEYTNMALIGTEKTKETTEPSKVNKKTEDPKLQKAIMLRNLGLGFGDLTATAENTPPGSTSKPEAAEMFVQAASNCCRMLCDKCCCMCCIQMCSKINDQCAVVMTQLLGSLACLGCLACCELCCSKQQG >itb03g30010.t1 pep chromosome:ASM357664v1:3:31240098:31240427:1 gene:itb03g30010 transcript:itb03g30010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHLQICVHLQCGGFGLDQILVLYFDGAVIDWILEFLNRFRPGGVETRGSQNLSDEDDNNFRVDSKNFVSDKEDDEESAEVAAVSGEGMMKKEKRRIKRLNCHYYFIH >itb02g18040.t1 pep chromosome:ASM357664v1:2:14402275:14403742:-1 gene:itb02g18040 transcript:itb02g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFVRWYDPPMCARARKIIPGLLRRINRNEEEITKLKMRLQACANVDGQRTNSRQWKGTRIVIALIVICILLLVVIAVNAPRRNKVELLHQVGQELGLAGLELGLAALEFGLPVLEFGLVAALEFGLAGLEFGLAAGLEFGIAGLEFVLVAGLELQ >itb12g20060.t1 pep chromosome:ASM357664v1:12:22476270:22479612:-1 gene:itb12g20060 transcript:itb12g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MEMVAKTLISFPIFAPPLPSPHPHHRRPDTTAVGFSRWNNANAEKFNRQERTQKEIEDEIRFQKRHNAAFNIISNYNPAPPSPVSEAFKSIGTPSAPSKSSIPGKKSKYSKPPRNPEPSHPAFQPVVRVRKIPKRVDKSNIFSDNDGDRDKLEDESQERSTNTNISVDENGITYEFPEAPFVYQYSYTETPKVKPLKLREPLVMPFEPETMARPWTGRKPLPPSKKKLPEFDSFKLPPPHKKGVKPVQAPGPFLAGSGPKYVKAREDILGEPLSKEEIEELVSSCNKTKRQLNMGRDGLTHNMLENIHAHWKRRRVCKIKCKGVCTVDMDNVREKLEEKTGGKIIYSKGGVIYLFRGRNYNYRTRPRFPLMLWRPVTPVYPKLVQRVPEGLTLEEVTEMRKKARSLMPICKLAKNGVYCSLAKNVREAFDACELVRINCQGLNPSDYRKIGAKLKDLIPCVLISFEQEHILMWRGREWKSSIPEVKDKPNRGTESVANNAPIAAVSEDQESSSPSCIPSLSVTDTSSDHLSESTSLMGSEDAKIGRSNDGKAEELEDHKSESNVITSVESCTASTLERVSDSHALHTESSENERILDDSSLLPEEEELGISSDDKQQSEALSPAPLNEEKQQAESETPQNDSNNLVSMNKPWTEGVLLLRNQAIESGSALILDDSCLDADIVYKRAVDLANSAPPGPVFRYPHKKLADQPTNKQETGDLEAKERAKPIIGPKKEKEKGIVLVPRVTERKVLRKDRTVKGSREDNLNSGLRVDELAKLLG >itb06g21870.t1 pep chromosome:ASM357664v1:6:24369803:24372450:-1 gene:itb06g21870 transcript:itb06g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMADKENCTRVTRLSKKRAAEAMAAPLQQQSKKRVVLGEITSGPNVGLSQISEQQKLKPRRAKRKVLKAVAEEGVTEKGKNEDRGIYIDAKSDDPQMCAAYVSEIYEYIRQMELQQKRRPLPDYIEKVQKDLSANMRGILVDWLIEVAEEYALLPDTLYLAVSYIDRFLSTNVLTRQKLQLLGVSSMLIASKYEEISPRNVEEFCYITDNTYTKDEVVEMEADVLKSLKFELGSPTIKTFLRRFTQVSQEDYKTAKLQLEFLGYYLAELSLLDYSCLKFLPSLVAAAVIFLSRFTLQPNLHPWNKALQQYSGYKPSSLKQCVLIIHDLQLSRRGGALIAIREKYKQHKFKCVSTLSSPPEIPDSYFEDVIA >itb14g18890.t1 pep chromosome:ASM357664v1:14:21735363:21744527:1 gene:itb14g18890 transcript:itb14g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNFNMITSQPPHLPSISTEESTILGGEEEEDFSVRSPASSILSGDGGVDCESGVDEVEGFEKVGRPISYHKSEASLKRARNVYREVLRSYDDELKDQAVALEYAKRKILGYSTHSTISFLHITLICSYTPGSWTEAAGGTNLRDYKVPKTTTILLIGTKGSGKSSLVNKISDFFDSERFTPQRAQVSFNPSIGGGTIFAHEYKIPKCAKSFCLYDTRSLSDNHSENECLLKRWMTNGVCHGELVMRDSDNVAGSTTRMKYSPAVARPINFVVFVVNAVSVLESMEGRDETEKRYTQVVSKAFNRPFLSFKNDKPVVVVTHGDLLSVSDRVRVRVHLGDLLGIHPKNQIFDIPESNDCATKLAILDMLHYCLDHADKNLPFKVVEKFRLEISPIHSISIFSGEAMGGDTNSPFLTYTHDSESPSLGQDFSVRSPASPVLSGDPLGDGVANSESGVGFEGSEANRKRARDIYMKVWRSYGELQGRSRKYAKRKILGYTPGSWTEGVGGTNLRDYKVPKTTTILLIGTKGSGKSSLVNKISDVFVSKKFTSQRAQVSFNPSIGGGTCFAQEYMIPKCEKAFCLYDTPSLSDDQSENENMLKHWMTKGVRHGEPIMRASDDVGFETRMECNAHDGYLFIEARPINFVVFVVNALSVLEAMEGEDETMKCYTQVVSKAFNSPFLSFKDDKPVVVVTHGDLLSLSERVRVRVHLGELLGIHPKTQIFDIPESDDSGTKVAILDMLHYCLYHADKNLPLKDDFSSRTKDSYPPLSVSLLMTALLLSFVFSVFYQARPARTSSAPGADCIPCTAHTFSRPEAHNFQHAAKDSSSAPEAHNFQHATKDSSSAPEAHNFQHAARDSSSAPGAHNFQHVTKDSSSAPEAHKFQHAAKDSSSAPDAHNFQHAAKDSSPSSIPDAQHRYHADKDSSTKSYPRIEWSKIRQSYPQIDWSKIRHLWHDD >itb04g18910.t1 pep chromosome:ASM357664v1:4:22720250:22723436:1 gene:itb04g18910 transcript:itb04g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNEEGFVGSYYEATVVGVLPGGRGYVVQYKTLLTDDFSAPLTEMVPADEIRPKSPNVYAYLYYISQKVDAFDNDGWWVGNITR >itb09g28330.t1 pep chromosome:ASM357664v1:9:28944783:28945259:-1 gene:itb09g28330 transcript:itb09g28330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYSGSREWIPEVRCWCGEIAPVRMSWSYANPGKRFRACPRYGVRGNENCRYFQWLDSDVSDRVAKVIRGLLKRLDKQDSEMQRIQAVIEEKNVKLKKNSLDNKFHFFMVLDLELLWVFLVLCIGWQM >itb10g05280.t1 pep chromosome:ASM357664v1:10:5385445:5386254:1 gene:itb10g05280 transcript:itb10g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVKESSSSRIRMDVAGAGAGDGLAAAMSMQSIYERVRFLASGNAVVIFTLSGCCMCHVVKQLLFGLGVGPTIVELDRDADGRQIYALLLKLAGSTPGSQPVPAVFVGGKFLGGIETVMACHINGTLVPLLKDAGALWL >itb07g04730.t3 pep chromosome:ASM357664v1:7:3175801:3179142:-1 gene:itb07g04730 transcript:itb07g04730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLFLILLCRRVKMIRWWWIMALQLAEVFVCAAIHMGYGFYIFTTAVAGDVSRAWSDWFFKPNVESGMKAKVSMAKTSVSDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIYDRARELFYYLKGGTVDYGEEHSRNCGHSQFGRIYQQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFAGYENTSENWIISITSLSGAFNGTTRAYLDGMEPGDGKAMKTVSLLQICRVGVLIYEWLDIRWLKAYYNFGFDHFNLSREKIGVWGLVGCLLGKSGPFASGDWILPDLTIQGSIKLNTGLHTFPNTYYFSYATKRTRKIMGISVPSGVLGIHPMLLIRVLEMSQWRHPSDVPIPYKGYRDRDWWENDGALNTISMMYPCFPVEQPSQFVVKDSECQPLQPGIWYYKIVEGDHILFIVNRERAGVQFDLIYDSIFERCRKHAFRKIPTLPNQTAQ >itb07g04730.t1 pep chromosome:ASM357664v1:7:3175801:3179142:-1 gene:itb07g04730 transcript:itb07g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVLAKGLFRFCHHLPQKFNNRRRPNHHRLPSTGEGDQLVAGEGERRLVGGLLLLRLGGLSYFAGAEKKDDRVLVPDLGSLTSIYDRARELFYYLKGGTVDYGEEHSRNCGHSQFGRIYQQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFAGYENTSENWIISITSLSGAFNGTTRAYLDGMEPGDGKAMKTVSLLQICRVGVLIYEWLDIRWLKAYYNFGFDHFNLSREKIGVWGLVGCLLGKSGPFASGDWILPDLTIQGSIKLNTGLHTFPNTYYFSYATKRTRKIMGISVPSGVLGIHPMLLIRVLEMSQWRHPSDVPIPYKGYRDRDWWENDGALNTISMMYPCFPVEQPSQFVVKDSECQPLQPGIWYYKIVEGDHILFIVNRERAGVQFDLIYDSIFERCRKHAFRKIPTLPNQTAQ >itb07g04730.t2 pep chromosome:ASM357664v1:7:3176151:3179142:-1 gene:itb07g04730 transcript:itb07g04730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVLAKGLFRFCHHLPQKFNNRRRPNHHRLPSTGEGDQLVAGEGERRLVGGLLLLRLGGLSYFAGAEKKDDRVLVPDLGSLTSIYDRARELFYYLKGGTVDYGEEHSRNCGHSQFGRIYQQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFAGYENTSENWIISITSLSGAFNGTTRAYLDGMEPGDGKAMKTVSLLQICRVGVLIYEWLDIRWLKAYYNFGFDHFNLSREKIGVWGLVGCLLGKSGPFASGDWILPDLTIQGSIKLNTGLHTFPNTYYFSYATKRTRKIMGISVPSGVLGIHPMLLIRVLEMSQWRHPSDVPIPYKGYRDRDWWENDGALNTISMMYPCFPVEQPSQFVVKDSECQPLQPGIWYIYMFAFFTILYICICRFY >itb15g11670.t1 pep chromosome:ASM357664v1:15:9457848:9458504:1 gene:itb15g11670 transcript:itb15g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAPSCPAKLLTINRLINICFSRSISLTRIGSRRIRRPGLGNHQAGCGGAASGVHPFLRDMRKGIQARRESSDAYVNSREPVQDAGGVGEALEMRRLVWLRGTSNIQLCGEICGASTIQDIKISIFFNGKNSSGRFQNWDFPMATHITQFLAKIMQLEDGGDELIL >itb11g15920.t1 pep chromosome:ASM357664v1:11:13749437:13756293:1 gene:itb11g15920 transcript:itb11g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MNHCCFSSFSSSKFHLFNYSQNQNAIKFHLPFPFRFFYTSSHSLLPPLCSHESSFPPSLVLEKPEASTSSSKARSQNELYNDDIIEEIKIAKKSLEKLPVVRRPVMETPVEGEEEQEQEQANDTESSNEQSVIVLEEQSSSSLFSIDAGLSRFARKMPIFEPDRVESTSGEKILKVNLDLALYKAKLLARNFQYAEAEKILQKCIYYWPEDGRPYVALGRILSKQSKVIEARSVYEKGCQATLGENAYIWQCWAVLENRMGNIRRARELFDAATVADKKHIAAWHGWAILELKQGNIKKARNLLGKGIKYCGGNEYIYQTLALLEAKAKRYEQARYLFKQATKCNPKSCASWLAWAQLEGELENNHAARKLFEKAVQASPKNRFAWHVWGVFEASFGNIDHGRKLLQIGYILNPRDPVLLQSLALLEYKYSSANRARLLFQRACELDPRHQPVWSAWGWMEWKEGNISTARELYQKVLSINSSNDHAARCLQAWGVLEQRVGNISAARRLFRSSLNINSQSYVTWMTWAALEEEQGNSVRAEEIRNLYFQQRTDVVDDESWVMGFLDIIDPTIDRLKRIFNLDQNSFVKDSDSSKSVKGTDDNSISEEADTNGSRLDTASGFNLDKFIRENLSLEPSKLEGQFGWCLRQDPKNIRPPRQVWQKRESNSQKSPKL >itb10g12430.t1 pep chromosome:ASM357664v1:10:18412911:18415383:1 gene:itb10g12430 transcript:itb10g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSSGGGALKGGKKKGSIFVIDCSKPVDDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKNNVRDWLRVISSNKDRSVYELRYFNIAENDAEDED >itb03g07230.t3 pep chromosome:ASM357664v1:3:5300567:5304343:-1 gene:itb03g07230 transcript:itb03g07230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPITPPWISEDDLLLKNAVEAGASLEALAKGAVQFSRRYTLQELRERWHSLLYDPDISELSSACMIELELSGVSPSLKFNRSENIKGNKEVPQKRKIMSIRKQYYAMRKKIRNEIFNSSDLGFLDEPNLHDFSAHGDDFQQHATHDAVSRDRNVLGDCISDNLGFQEAELTILRNAFPEALGEIAPTPSIAYQTGCTNTADDNQVNEVLRKNGFSEGFSASLQERGTCIQPRIKLSEVPHVPKGNSLSYGKSLVSEECFQPSATSDGEFTDLLPDSLLNLSNDDEILMVDEDVRNIVDKSSDKNAILMDSSDRVQEGGACTHESETFVDSKTIPLPPNGAMPIDSEVVASSTCGPEVSSCSEINLPSNLTSNPDTNKLSDGSICCTLNTEDTEIPCNDDIFLLIHPSTSFGSAVTSPRSARYMDTSSAANQKDAKQGVNSLIRGKDSVQSLGWPHKIGQTILGETRVQHKVVACAVKSKLPDTNRLALLPGDANRALGDSSLGRSLQSISEVPIDRVQERGAARAGMVGDAPDTFPDMTRFAESGSAKAAMESSANPSTSDQEEPPSDDDVPYFSDIEAMILDMDLDPPDLDSYTAKQVIRYHPEESKRTIIRLEQCARSCMHRAMTSHGAFAILYGRHLKYFIKKTEVHKYIIHILHFQFSFYFS >itb03g07230.t2 pep chromosome:ASM357664v1:3:5297221:5304343:-1 gene:itb03g07230 transcript:itb03g07230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPITPPWISEDDLLLKNAVEAGASLEALAKGAVQFSRRYTLQELRERWHSLLYDPDISELSSACMIELELSGVSPSLKFNRSENIKGNKEVPQKRKIMSIRKQYYAMRKKIRNEIFNSSDLGFLDEPNLHDFSAHGDDFQQHATHDAVSRDRNVLGDCISDNLGFQEAELTILRNAFPEALGEIAPTPSIAYQTGCTNTADDNQVNEVLRKNGFSEGFSASLQERGTCIQPRIKLSEVPHVPKGNSLSYGKSLVSEECFQPSATSDGEFTDLLPDSLLNLSNDDEILMVDEDVRNIVDKSSDKNAILMDSSDRVQEGGACTHESETFVDSKTIPLPPNGAMPIDSEVVASSTCGPEVSSCSEINLPSNLTSNPDTNKLSDGSICCTLNTEDTEIPCNDDIFLLIHPSTSFGSAVTSPRSARYMDTSSAANQKDAKQGVNSLIRGKDSVQSLGWPHKIGQTILGETRVQHKVVACAVKSKLPDTNRLALLPGDANRALGDSSLGRSLQSISEVPIDRVQERGAARAGMVGDAPDTFPDMTRFAESGSAKAAMESSANPSTSDQEEPPSDDDVPYFSDIEAMILDMDLDPPDLDSYTAKQVIRYHPEESKRTIIRLEQCARSCMHRAMTSHGAFAILYGRHLKYFIKKTEVILGRSTDDIDVDIDLRKEGNANKVSRRQDGTGWIFLFEEYWKEFDNSEWQVG >itb03g07230.t1 pep chromosome:ASM357664v1:3:5297159:5304343:-1 gene:itb03g07230 transcript:itb03g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPITPPWISEDDLLLKNAVEAGASLEALAKGAVQFSRRYTLQELRERWHSLLYDPDISELSSACMIELELSGVSPSLKFNRSENIKGNKEVPQKRKIMSIRKQYYAMRKKIRNEIFNSSDLGFLDEPNLHDFSAHGDDFQQHATHDAVSRDRNVLGDCISDNLGFQEAELTILRNAFPEALGEIAPTPSIAYQTGCTNTADDNQVNEVLRKNGFSEGFSASLQERGTCIQPRIKLSEVPHVPKGNSLSYGKSLVSEECFQPSATSDGEFTDLLPDSLLNLSNDDEILMVDEDVRNIVDKSSDKNAILMDSSDRVQEGGACTHESETFVDSKTIPLPPNGAMPIDSEVVASSTCGPEVSSCSEINLPSNLTSNPDTNKLSDGSICCTLNTEDTEIPCNDDIFLLIHPSTSFGSAVTSPRSARYMDTSSAANQKDAKQGVNSLIRGKDSVQSLGWPHKIGQTILGETRVQHKVVACAVKSKLPDTNRLALLPGDANRALGDSSLGRSLQSISEVPIDRVQERGAARAGMVGDAPDTFPDMTRFAESGSAKAAMESSANPSTSDQEEPPSDDDVPYFSDIEAMILDMDLDPPDLDSYTAKQVIRYHPEESKRTIIRLEQCARSCMHRAMTSHGAFAILYGRHLKYFIKKTEVILGRSTDDIDVDIDLRKEGNANKVSRRQAIIRMERDGSFFLRNIGKSSITVNGKSVDSGKMQFLSSSCLIEIKGMGFVFEINKKHVQRYLDSISQKDKAKLSKFDWSPEGEA >itb01g24680.t1 pep chromosome:ASM357664v1:1:30263235:30278594:1 gene:itb01g24680 transcript:itb01g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPYRHEEQDDDGEDVFLDESDIIQEIPVDEEELPDADDEDGDGDDGIEGAVDEEDDSVHIFTGHSGELYAACCSPTATDATLVATGGGDDRGFMWRIGEGDFAFELQGHKDSVSSLAFSSDGHLLASGSLDGLIKVWDVDSRNLKCTLEGPDKGIEWLKWHPIGKLILAGSEDGIVWMWNAEKGASLNMFTGHAESVTCGDFTPDGKTICTGSDDATLRIWNPRSSESIHVVRGHPYHTEGLTCLAISKDSTLVLTGSKDGSAHIVNIKTGKVVSSLNGHSDSIECIGLSASSPWAATGSMDQKLIIWDLQHSLPRCTCEHEEGVTCLLWLGTSRYVATGCVDGKVRVWDSLSGDCVRTFSGHSDAIQSLAASSDGNFLVSASIDGTARVFETPEFKYRERSQRELEEEEEEALRDTQKEEDLIFRGIFERMASRPVVQQQNRGACTRNHNVPAVVFSTAGYSGNLFHDFSDLLIPLYLTSREFDGEVQFLVTDKRPRWINKFKEVLQRLSKHEIIDLDREKDGENVFCFPRIIALNIVFLTSLCSSIFIFFTEKEKKNPFIDDANDEEEINKKPHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWAEKETTREKILIKQLERSKREAEKEKKRVDKELQKEKLQSEKELKRLQHEAEKEEKRREREESELRKQLKKQQEEAEKDQRRKEKEESELKKQLALQKQASLMECFLKKNKSSSPSQNDLSLNSTMPDLSSDKRDKMLESVTTSMDSILSHNDGINAEDLWRSHLNSWHGLGHLIRSNGKVHWGIRRGPRTEVVKELKLTTNKGLTNDDELSVVKLVDTWVDSNTDSTSCHVNPKSSPSGQKKLPKIKLLQFDQSYRPAFYGVWPKKSLSDCDKDEVESLDEECTRGDDEESEDGFFVPDGYLSEDENSAEADKLLKIQLELDETKFFLFSPDGEYLASAGKDGIVRVWKVVAAEAPKDLNSQDVDPSCLYFSLSHLSKLASLDIDIDKEKIGQLKKSRK >itb07g13100.t1 pep chromosome:ASM357664v1:7:15219009:15222296:-1 gene:itb07g13100 transcript:itb07g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHASVHPVEAPPLQTEAANVPRVRMKDIQGMPGTISGLFLRFSQFVFAAVALAIMASTGDFSSVTAFCYLVAAAGLQVLWSFSLGILDIYALLVGRTLQNQLIVSIFAVGDGVTSTLMFAAACASAGITVLIGNDLGVCSQNHCTEFETATAMAFLSWFAALPSFLLNFWSLASRSR >itb07g13100.t2 pep chromosome:ASM357664v1:7:15221226:15222296:-1 gene:itb07g13100 transcript:itb07g13100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHASVHPVEAPPLQTEAANVPRVRMKDIQGMPGTISGLFLRFSQFVFAAVALAIMASTGDFSSVTAFCYLVAAAGLQVLWSFSLGILDIYALLVGRTLQNQLIVSIFAVGDGVSCQLLRVKLEICYFNK >itb07g16880.t1 pep chromosome:ASM357664v1:7:20829407:20832111:-1 gene:itb07g16880 transcript:itb07g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTHWGLSGPVILRLSAWGARYLASSDYKGTLLVDFAPDHHIDDLKSVLIKHKIQFARQKLLNSCPMELGLVKRFWKYILDREGLDGDILWSSISNNLLMSIVFTLKHCSFTVKGKGQFKDEFATAGGVPLSEIYLNTMQSRIHPCLYFAGEVLNVDGITGGFNFQVMGVCSGN >itb07g16880.t2 pep chromosome:ASM357664v1:7:20829107:20831012:-1 gene:itb07g16880 transcript:itb07g16880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTHWGLSGPVILRLSAWGARYLASSDYKGTLLVDFAPDHHIDDLKSVLIKHKIQFARQKLLNSCPMELGLVKRFWKYILDREGLDGDILWSSISNNLLMSIVFTLKHCSFTVKGKGQFKDEFATAGGVPLSEIYLNTMQSRIHPCLYFAGEVLNVDGITGGFNFQVMGVCSGN >itb08g08830.t1 pep chromosome:ASM357664v1:8:7767924:7770982:1 gene:itb08g08830 transcript:itb08g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACIVRRKASSLLSSRNLYYNSSKYYAFSLSRGFASGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATHSFANHGVKFSSVEVDLPAMMAQKDKAVSNLTKGIEGLFKKNKVNYVKGYGKFLTPSEITVDTIDGGETVVKGKNIIIATGSDVKGLPGIAIDEERIVSSTGALALKEVPKKLVVIGAGYIGLEMGSIWARLGSEVTVVEFAPDIVPSMDGEVRKQFQRTLEKQKMKFMLKTKVVSVDSSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTAGLQLDKIGVETDKIGRILVNERFATSVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDMVPGVVYTHPEVASVGKTEEQVKALGVSYRVGKFPFMANSRAKAIDDAEGLVKILAEKETDKILGVHIMAPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >itb01g07100.t1 pep chromosome:ASM357664v1:1:5305719:5310226:1 gene:itb01g07100 transcript:itb01g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKVWFAIATLLVMLLSLKPQLAEGEQPQVPCYFIFGDSLVDNGNNNNLATEAKANYLPYGIDFPDGPTGRFSNGKNLADYLAEFLGFNNSIPPLAAATTTAGMLKGVNYASGAGGILEESGTHMGEVISMNKQLVNHGATVARIALYLKSIRSARDYLNKCFYSVGMGNNDYINNYLMPQNYTSSSLYTPDQFAELLVKKYSVQLRTLYALGARKVAVFGAGVLGCIPEEMETHGNGSLSCVDSVNTVVQKFNDRMKPLIDDLNANLSGAKFILINMTSLALGDPSVIGINNINQACCQVSTTKAKGQCEPGQVPCSNRNAYMFWDNFHLTDIGNLGTATRSYTATLPTDAYPMDISSLVQLNLQGKSDI >itb05g13300.t2 pep chromosome:ASM357664v1:5:20213000:20215669:-1 gene:itb05g13300 transcript:itb05g13300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSRSHSKYAVFLSFMGETRRSFSDHLYTSLWEAGVATFRDEEEIRKGNEISDELKQAIIEGSEISIVVFSKNYAQSRGCLDELVKMVECKQKLGQKILPIFYHVTPSEVRKQTGEFGIALNQHIEQFGEETVNGWKAALTTVADLSEWDLEITKDGYESGFIKRITEFVLRELNHTSMNVAKYPVGIDSRVKDIENLLQSQINDGVKMIGIFGMGGVGKTTLAKAIYNRNFLRFESSCFIANIRSEVSGERHDDLARLQEKLVCSREKYMK >itb05g13300.t3 pep chromosome:ASM357664v1:5:20214852:20215669:-1 gene:itb05g13300 transcript:itb05g13300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSRSHSKYAVFLSFMGETRRSFSDHLYTSLWEAGVATFRDEEEIRKGNEISDELKQAIIEGSEISIVVFSKNYAQSRGCLDELVKMVECKQKLGQKILPIFYHVTPSEVRKQTGEFGIALNQHIEQFGEETVNGWKAALTTVADLSEWDLEITKDG >itb05g13300.t1 pep chromosome:ASM357664v1:5:20213000:20215669:-1 gene:itb05g13300 transcript:itb05g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSRSHSKYAVFLSFMGETRRSFSDHLYTSLWEAGVATFRDEEEIRKGNEISDELKQAIIEGSEISIVVFSKNYAQSRGCLDELVKMVECKQKLGQKILPIFYHVTPSEVRKQTGEFGIALNQHIEQFGEETVNGWKAALTTVADLSEWDLEITKDGGIETLSDGCLLTKNMIWVEKLFEWNPQESLANAVDSILCIHFFYLKCTGTVMDNCASFHPQGVSCNLINLNYNW >itb03g00790.t1 pep chromosome:ASM357664v1:3:438571:440399:1 gene:itb03g00790 transcript:itb03g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDGFLGWTLDSANKLRIPRLVYFGMSYFSSVVSRSVTSAGLIVKTNGDDEPFTVPDFPWLSVTRNDFDAPFRDREPKGPLFDFVMEQMVATVNSHGLVVNSFSDLEHVYMESYNRNSLLKSWPVGPLCLAEPPRKNPPENQESPSYIKWLDQFHEQGKQVLYVAFGSQAEISPEQLEEIKVGLEKSAVNFLWVVRTHESELRADGFEHRVKNRGLLVREWVNQREILAHPSLKGFLSHCGWNSVLESICAAVPIAAWPMMAEQHLNARMVVEEMKIGVRVESSDGSVRGFVKAEGVEKAVRELMEGEIGKNARKKVKELSDSAINAVKEGGSSWLKLGELIDEFHAKMINNPQ >itb14g01850.t1 pep chromosome:ASM357664v1:14:1542980:1549343:1 gene:itb14g01850 transcript:itb14g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGSHFEIEVKPEDTVADVKKSIETVQGSDVYPASKLMLIHQGKVLKDGTTLEENKVAENNFVVVMISKSKSTSGEGSASATPTTKESHASNPPVSSTPASTNTAPAPPAVAPAPTPTPTPAPVATAGSEDVYGQAASNLVAGNNLESAIQQILDMGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPESAEAPPAGGNPPIVQAANQPEPAQPQQATQPTPVPSSGPNANPLDLFPQGLPTMGSNTGGANSLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGGEGNILGQLAASMPQAVQVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >itb10g16370.t1 pep chromosome:ASM357664v1:10:22597336:22600578:-1 gene:itb10g16370 transcript:itb10g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQSSFWQFSDQLRLQANSLANLSLNDSIWSTSYGSKRPEERRNFEVNSVNSLNNNVAVAAPAATATATNNQVNNFNFKSNYNLFSNDGWKVSDEISSISGSSAAKGVFGAGLNGGFNKGVYPTPAAMNFNSYSKGSNNVAVNGKGFNMNKKLGKFGFDEEHGHGKSGKKNKNVNKEGNKDNNNNGDKNSVDKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRELFGLPARYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGSNIDPTAWEDKKNQGESRFPAQVRVVTRKVCEPLEEDSFRPILHHYDGPKFRLELNIPETLSLLDTFAETNA >itb10g16370.t3 pep chromosome:ASM357664v1:10:22597336:22600509:-1 gene:itb10g16370 transcript:itb10g16370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQSSFWQFSDQLRLQANSLANLSLNDSIWSTSYGSKRPEERRNFEVNSVNSLNNNVAVAAPAATATATNNQVNNFNFKSNYNLFSNDGWKVSDEISSISGSSAAKGVFGAGLNGGFNKGVYPTPAAMNFNSYSKGSNNVAVNGKGFNMNKKLGKFGFDEEHGHGKSGKKNKNVNKEGNKDNNNNGDKNSVDKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRELFGLPARYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGSNIDPTAWEDKKNQGESRFPAQVRVVTRKVCEPLEEDSFRPILHHYDGPKFRLELNIPEVIRLPFPI >itb10g16370.t4 pep chromosome:ASM357664v1:10:22597336:22600578:-1 gene:itb10g16370 transcript:itb10g16370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQSSFWQFSDQLRLQANSLANLSLNDSIWSTSYGSKRPEERRNFEVNSVNSLNNNVAVAAPAATATATNNQVNNFNFKSNYNLFSNDGWKVSDEISSISGSSAAKGVFGAGLNGGFNKGVYPTPAAMNFNSYSKGSNNVAVNGKGFNMNKKLGKFGFDEEHGHGKSGKKNKNVNKEGNKDNNNNGDKNSVDKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRELFGLPARYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGSNIDPTAWEDKKNQGESRFPAQVYITGSELY >itb10g16370.t2 pep chromosome:ASM357664v1:10:22597336:22600578:-1 gene:itb10g16370 transcript:itb10g16370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQSSFWQFSDQLRLQANSLANLSLNDSIWSTSYGSKRPEERRNFEVNSVNSLNNNVAVAAPAATATATNNQVNNFNFKSNYNLFSNDGWKVSDEISSISGSSAAKGVFGAGLNGGFNKGVYPTPAAMNFNSYSKGSNNVAVNGKGFNMNKKLGKFGFDEEHGHGKSGKKNKNVNKEGNKDNNNNGDKNSVDKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRELFGLPARYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGSNIDPTAWEDKKNQGESRFPAQVYITGSELY >itb01g10830.t1 pep chromosome:ASM357664v1:1:9421165:9421995:-1 gene:itb01g10830 transcript:itb01g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQQVVEVVLELYHQISRLESLKPSKDVNTLFTKLVTTCLADHQAPIDVSKLCSDIQEMRSHLIRLCGEAEALLETHYSAHLACLENPLQNLHLFPYFENYIKLSHLEFTLLSQNLTNKSPTRLAFVGSGPMPLTSIILAMDHFPHAHFHNYDIDPIANALASRLVGSHPGLSPRMFFHTSDIMHVTSALKDYEVVFLAALVGMDDKEEKGCIIRHLSTYMAPGALLVIRSAHGARAFLYPVVDHSHLRGFQILTVHHPVDEVVNSVIVARKKIK >itb12g06290.t1 pep chromosome:ASM357664v1:12:4661262:4666962:-1 gene:itb12g06290 transcript:itb12g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKILYSSDSPPLAIFVAAKISGVPFSTDATLSPGSPPTILLPNGSKLQGTFVLLRYIGRVARIPEFYQRDSFESSQIDEWLEYAPIFASGSEFQGACGYVDQFLLHHTFLVGNSLSIADIAVWSGLAGAGQRWESLRRSKKFQNLVRWFNSIFEAYEAELGEVITTYIGKKSSAKAATKVNEHQVSNANPATATFEVDLPDAEVGKVRVRFAPEPSGFLHIGHSKAALLNQYFADRYKGEVILRFDDTNPDKESNEFVDNLLKDVETLGIKYKAVTYTSDYFPQLMEMAEKLILEGKAYVDDTAREQMREERMNGIESRCRNNTVKENLTLWKEMIAGSERGKMCCLRGKLDMQDPNKSLRDPVYYRCNDTAHHRIGSAYKLYPTYDFACPFVDAVEGITHALRSSEYHDRNDQYYRIQTDMGFKKVHIYEFSRLNLVYTLLSKRKLLWFVKNGLVEGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWAINKKIIDPVCPRHTAIVEEKRVLLTLSNGPEDPFTRTIPKHKKYEAAGQKVTTYTKRVWIDYADAELISIDEEVTLMDWGNSIVKDIEKDQQGNIINLTGVLHLEGSVKTTKLKLTWLPDTDELVKLSLVDFDYLITKKKLEENEDFIDVVNPCTKKETCAVGDSNMRDLKRGDILQLERKGYFRCDVPFVSPTQPIVLFAIPDGKQQPVMRFATPDGKH >itb04g34160.t3 pep chromosome:ASM357664v1:4:36200173:36204119:-1 gene:itb04g34160 transcript:itb04g34160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MASQLSFPSPSALRRFYSHSTPSSSKNSNQKSVKCSLDKEGSSSDFSDHSEQPINKKELQMKTSRRVCLTCLCSAVALISPSANPLSELKTIASDGKERAVCRNCGGSGAIICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARKLLDKMYNGRILPNS >itb04g34160.t1 pep chromosome:ASM357664v1:4:36200028:36204150:-1 gene:itb04g34160 transcript:itb04g34160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MASQLSFPSPSALRRFYSHSTPSSSKNSNQKSVKCSLDKEGSSSDFSDHSEQPINKKELQMKTSRRVCLTCLCSAVALISPSANPLSELKTIASDGKERAVCRNCGGSGAIICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARKLLDKMYNGRILPNS >itb04g34160.t2 pep chromosome:ASM357664v1:4:36200029:36204150:-1 gene:itb04g34160 transcript:itb04g34160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MASQLSFPSPSALRRFYSHSTPSSSKNSNQKSVKCSLDKEGSSSDFSDHSEQPINKKELQMKTSRRVCLTCLCSAVALISPSANPLSELKTIASDGKERAVCRNCGGSGAIICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARKLLDKMYNGRILPNS >itb12g11270.t1 pep chromosome:ASM357664v1:12:9455868:9457910:-1 gene:itb12g11270 transcript:itb12g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQIQQIPLTSTSTSISSPVKHKKSITNIDYSFSENPNPAPSLLLYLTNAVFFAAVYFLLVSWRDKIRHSKPLHVISSSEMAALLAFAASFVYLLGFFGIIGSNPPQEQEQQEEEEKLKKKKENDDDHVVTPPPPPPAAIKSDPIIISSDDEEIVKGVAEGRIPSYSLESKLGDCKRAAAIRRKALERITGKSLDGLPLESFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGEEYSVPMATTEGCLVASTNRGCKAIYASGGATSVVLRDGMTRAPVVRFSTAKRAAQLKLFLEDPLSFDTIATAFNKSSRFARLQTIKCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDIIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVNKVLKTDVASLVELNMLKNLTGSAMAGALGGFNAHAANIVSALYIATGQDPAQNIESSHCITMMEAVNEGKDLHISVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASNKVPGANSRRLATVVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSSNKP >itb08g05370.t1 pep chromosome:ASM357664v1:8:4424103:4425066:1 gene:itb08g05370 transcript:itb08g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTNCASFFNLRDDTRAAAAGRHSSCGKVDGGVAMWLLNGVTTAFFASLERCSCIRIATHEDDAGDDANDLPLIQNDGNFRRHDGGRRRSGKGKKNMEANSLRTEW >itb06g22940.t1 pep chromosome:ASM357664v1:6:25093877:25100481:-1 gene:itb06g22940 transcript:itb06g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGDLGLRVLVAAFLVLIGPLLGFLVRRKWQHALARREEIDRLLVLASEEAARAEFEAAAEYGFEYSGYSFDSVVGDEVPAAVPPPAPVSSTLTSVPVARQLPYECAQCFSPASTRCSRCKAVRYCSGKCQIIHWRLGHKDECHPPVNNNHDSYGKGANDFKVFRQEANEGYAEGIETEERNIPGSIDATFAEPTCSEPSISGAENTEDVMEGKHLTDDGTTNSDSEFSPHSFSTSTTSSESISSTSISDDSDGFDKSVGAGSGHTKTFPTNSDHKPQFLEQSTVISSVNVVSSSKSGHVNPSADKNRKSKSSNSTVSSVNGSSESSVLVSSIPSSGFWEGTAHYSRSKVNVVDDVSHPDSEDVIAFDVSDSRLPTNCSSEMARTSGPSVDEQGPKAKPSDAAQLLLVKDNVDLIRSSLRPESHNTIDLNKANVRSTSSYKESRRSLSTASEACKVEHLVNNDTLKANTPPKASDKSIEGLKNVSQTPKARQVDSLSAKAPDGHPSNNRRHDFQGAKSAKIDSAQVTACSSDSGGDSQSSKSGLKSSVSKVVDQLKASKSRHNSIGAWSEIGGRYNNKGLFPYELFVKLFNTKKVELRPFGLTNCGNSCYANAVLQCLAFTPPFTAYFLQGFHSKGCAKKDWCFNCEFESLVLKAKNGNSPLSPIRIISHLENIGSSLGNGREEDAHEFLRYVIETMQSVCLKEAGVRTSCSLEEQTSLIGLTFGGFLRSKIECMRCGGKSERHERIMDLTVEIDGDIASLEGALRQFTHTEILDGENKYHCSRCKSYEKARKKLKILEAPNVLTIALKRFQSGKFGKLNKTITFPEFLNLAFYMSGTSDKSPIYQLYGVIEHEDVMNAAFSGHYVCYVKNFQNKWFKVNDSKVKPVEVESVLSKGAYMLLYSRVSPRAPKLIRSLTSHDPRGQKNANCKSSSHTNSWDLSKGDSTNDRACRECFNSVQASSWPRNPTIFEDSSSDNSSSLFSEGGSSSTDSSYRDSISAEDIADQIFGDHGGACWNSPWRISTDSDTSSSSSSPSPLYSRHSRLSNMGHYASGYPETIGYADSAVEDQGFWTGTCDHEASKSKGSIPASFSPDSAKPCRHLGGSNSSSSGAQRLGSDKPFGTMKYR >itb06g22940.t2 pep chromosome:ASM357664v1:6:25095260:25100481:-1 gene:itb06g22940 transcript:itb06g22940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGDLGLRVLVAAFLVLIGPLLGFLVRRKWQHALARREEIDRLLVLASEEAARAEFEAAAEYGFEYSGYSFDSVVGDEVPAAVPPPAPVSSTLTSVPVARQLPYECAQCFSPASTRCSRCKAVRYCSGKCQIIHWRLGHKDECHPPVNNNHDSYGKGANDFKVFRQEANEGYAEGIETEERNIPGSIDATFAEPTCSEPSISGAENTEDVMEGKHLTDDGTTNSDSEFSPHSFSTSTTSSESISSTSISDDSDGFDKSVGAGSGHTKTFPTNSDHKPQFLEQSTVISSVNVVSSSKSGHVNPSADKNRKSKSSNSTVSSVNGSSESSVLVSSIPSSGFWEGTAHYSRSKVNVVDDVSHPDSEDVIAFDVSDSRLPTNCSSEMARTSGPSVDEQGPKAKPSDAAQLLLVKDNVDLIRSSLRPESHNTIDLNKANVRSTSSYKESRRSLSTASEACKVEHLVNNDTLKANTPPKASDKSIEGLKNVSQTPKARQVDSLSAKAPDGHPSNNRRHDFQGAKSAKIDSAQVTACSSDSGGDSQSSKSGLKSSVSKVVDQLKASKSRHNSIGAWSEIGGRYNNKGLFPYELFVKLFNTKKVELRPFGLTNCGNSCYANAVLQCLAFTPPFTAYFLQGFHSKGCAKKDWCFNCEFESLVLKAKNGNSPLSPIRIISHLENIGSSLGNGREEDAHEFLRYVIETMQSVCLKEAGVRTSCSLEEQTSLIGLTFGGFLRSKIECMRCGGKSERHERIMDLTVEIDGDIASLEGALRQFTHTEILDGENKYHCSRCKSYEKARKKLKILEAPNVLTIALKRFQVTP >itb08g02240.t1 pep chromosome:ASM357664v1:8:1800885:1806870:1 gene:itb08g02240 transcript:itb08g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVSALINILTAFAFLLAFALLRIQPVNDRVYFPKWYIEGKRNSPRHRGNFVHKFVNLNFKTYLTFLSWMPQAMKMSEAEIISHAGLDSAVFLRIYTLGLKIFGPTAIVVLLVLIPVNVSGGTLFFLSKDLVVSDVDKLSISNISPKSLKFFVHIGMEYLFTFWTCYILYKEYGRVASMRLKFLASQGPKPEQFTVIVRNVPHQSGRSISDSVEGFFKKNHPDHYLCHQAVYNANKFAKLVRKRNRLQNWLDYNQLKLERNPDKRPTSKTGFLGLWGKKVDSIEYYKHQIKEFDRMLTIEREKILKDPKCIIPAAFVSFNSRWGAAVCAQTEQSKNPTLWLTNWAPEPRDIFWKNLSISFVSLSIRRLVIGVSVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPLIEWKFVKSFLQGFLPGLALKIFLYVLPTILMIMSKIEGHVALSVLERRSAAKYYYFMLVNVFLGSIIAGTAFEQLDAFVHQSATQIPRNIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDREKAMDPGSVDFPETLPTLQLYFLLGIVYAVVTPILLPFILVFFALAYLVYRHQVINVYNQRYESAAAFWPHVHARIIASLLISQLLLLGLLSTKKAANSTPLLVVLPILTLAFHKYCKNRFEPAFRRYPLEEATDKDMQDHPESNANLKALLADAYLHPIFNSFEEVELVEVKVDKNQSPSPSPAASEPDDKNQSQASSPLSELSSPSPTHQHAHNHDDEQSQKVQHYEAGPPGDIFHYEYQQSEYMYHYDVESYHHGYHY >itb10g25490.t1 pep chromosome:ASM357664v1:10:28797661:28799272:-1 gene:itb10g25490 transcript:itb10g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQAVVNGGARSHSIAQILHHCTRNKNLRGVKAIHGHLLITGLFYFTPTLQTKIVLAYATCLPQTSNLLVRTLTNSLKCLNPRNPLLFNSIISGFCEGGFHSLALHTFSFMHLNGVYIDSYALCSSLTSASCVPSLKFGQKAHAFTVKSGWFSSVYVGCAMIDLYAKLQCINDAAQLFDEIPVKNSVCANALISGYTEAKMWAEALALARKMPSLNLELDNFTFSAVLRACAGLSAVELGMQVHGCAIRKIHDMERDVFLQSLLIELYGKCGLVEKALQVFNMAGFPQRRVRNRDLVLWTSMLGALGKNGNYEEVIRLFREMVAEGIKPDGVAFLTVISACSHTGQVNLGMEYFESMACDYGLEHSPEHYSCLIDLLCRAGELNKAWKMVEEASTKGNSNFTVSMWGALLNACNDCGNVELGKFAAQRAIELDPNNDGIYVLLSNLYARNCMWNEIEQLREFIRGKGLKKDIGSSWIDIVN >itb08g16180.t1 pep chromosome:ASM357664v1:8:18330868:18336620:1 gene:itb08g16180 transcript:itb08g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSIIFGKKTSKSGTSKDKPFSISAKEPASNLSTGLPSISEPLPVSVDEVKESSNFGKEEAAFDCGDEVVISSMKQDEVAPTASAPNLTLPEDTWKLEQAATKAQAAFRGYLARREFQTLKGIIRFQAVIRGHLVRRQAVATLYCLQGIVKLQALVRGQIVRRSTIGSEIFSKKILGNEELGYFKSDTSSPVKEIVKNAFTEKLLSSLPTAMPLQIQYGPGEPNSVQEWLMRWTISQALGPNSQRKEISDSKHEIVKTDQAIPKQSGRRKHSGKIENGTNHSNMESDKFKHNQRKMSNHSLKSVSEHQGNEIEKVRKSLKKTSNSILENSGQSEVVTDLSRQNLRKPSSTLVPELSGRDGKTPFKETTELADAEILPETPSMEAIINEPCGVSVSETKPEPIADKEENIAVSDKDLDTNLDQNENGNINGHRRASLPAHHDIDTSMHIARKVPSYMAPTKSAKAKVRELASPRFGQEVDEKNALTRRYSLPSSTNGKLSSSPRVQRLVQASGKDGIKIDRSLSSSRDGSDKVIRAEWKR >itb08g16180.t4 pep chromosome:ASM357664v1:8:18331388:18336257:1 gene:itb08g16180 transcript:itb08g16180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSIIFGKKTSKSGTSKDKPFSISAKEPASNLSTGLPSISEPLPVSVDEVKESSNFGKEEAAFDCGDEVVISSMKQDEVAPTASAPNLTLPEDTWKLEQAATKAQAAFRGYLARREFQTLKGIIRFQAVIRGHLVRRQAVATLYCLQGIVKLQALVRGQIVRRSTIGSEIFSKKILGNEELGYFKSDTSSPVKEIVKNAFTEKLLSSLPTAMPLQIQYGPGEPNSVQEWLMRWTISQALGPNSQRKEISDSKHEIVKTDQAIPKQSGRRKHSGKIENGTNHSNMESDKFKHNQRKMSNHSLKSVSEHQGNEIEKVRKSLKKTSNSILENSGQSEVVTDLSRQNLRKPSSTLVPELSGRDGKTPFKETTELADAEILPETPSMEAIINEPCGVSVSETKPEPIADKEENIAVSDKDLDTNLDQNENGNINGHRRASLPAHHDIDTSMHIARKVPSYMAPTKSAKAKVRELASPRFGQEVDEKNALTRRYSLPSSTNGKLSSSPRVQRLVQASGKDGIKIDRSLSSSRDGSDKVIRAEWKR >itb08g16180.t2 pep chromosome:ASM357664v1:8:18330868:18336620:1 gene:itb08g16180 transcript:itb08g16180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSIIFGKKTSKSGTSKDKPFSISAKEPASNLSTGLPSISEPLPVSVDEVKESSNFGKEEAAFDCGDEVVISSMKQDEVAPTASAPNLTLPEDTWKLEQAATKAQAAFRGYLARREFQTLKGIIRFQAVIRGHLVRRQAVATLYCLQGIVKLQALVRGQIVRRSTIGSEIFSKKILGNEELGYFKSDTSSPVKEIVKNAFTEKLLSSLPTAMPLQIQYGPGEPNSVQEWLMRWTISQALGPNSQRKEISDSKHEIVKTDQAIPKQSGRRKHSGKIENGTNHSNMESDKFKHNQRKMSNHSLKSVSEHQGNEIEKVRKSLKKTSNSILENSGQSEVVTDLSRQNLRKPSSTLVPELSGRDGKTPFKETTELADAEILPETPSMEAIINEPCGVSVSETKPEPIADKEENIAVSDKDLDTNLDQNENGNINGHRRASLPAHHDIDTSMHIARKVPSYMAPTKSAKAKVRELASPRFGQEVDEKNALTRRYSLPSSTNGKLSSSPRVQRLVQASGKDGIKIDRSLSSSRDGSDKVIRAEWKR >itb08g16180.t3 pep chromosome:ASM357664v1:8:18330868:18336620:1 gene:itb08g16180 transcript:itb08g16180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSIIFGKKTSKSGTSKDKPFSISAKEPASNLSTGLPSISEPLPVSVDEVKESSNFGKEEAAFDCGDEVVISSMKQDEVAPTASAPNLTLPEDTWKLEQAATKAQAAFRGYLARREFQTLKGIIRFQAVIRGHLVRRQAVATLYCLQGIVKLQALVRGQIVRRSTIGSEIFSKKILGNEELGYFKSDTSSPVKEIVKNAFTEKLLSSLPTAMPLQIQYGPGEPNSVQEWLMRWTISQALGPNSQRKEISDSKHEIVKTDQAIPKQSGRRKHSGKIENGTNHSNMESDKFKHNQRKMSNHSLKSVSEHQGNEIEKVRKSLKKTSNSILENSGQSEVVTDLSRQNLRKPSSTLVPELSGRDGKTPFKETTELADAEILPETPSMEAIINEPCGVSVSETKPEPIADKEENIAVSDKDLDTNLDQNENGNINGHRRASLPAHHDIDTSMHIARKVPSYMAPTKSAKAKVRELASPRFGQEVDEKNALTRRYSLPSSTNGKLSSSPRVQRLVQASGKDGIKIDRSLSSSRDGSDKVIRAEWKR >itb13g22790.t1 pep chromosome:ASM357664v1:13:29016846:29017825:-1 gene:itb13g22790 transcript:itb13g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKSIQEKTLTGVGNLIRLLPTGTVFIFQFLNPVLTNNGQCAAVNKWLSAALIAVCGFSCGFSSFTDSYTDAQGQTHYAVATAKGLWPSPGDGGDWSAFKIRPGDFVHAAFTTLVFGALVLLDRNTMDCYYPAFESTQKVLLMVLPPVIGAVSGSVFMIFPNRRHGIGYPQEQVVDNTKL >itb15g23260.t1 pep chromosome:ASM357664v1:15:26001063:26006369:1 gene:itb15g23260 transcript:itb15g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKAASTSIRDRTNEFQNIAERLKKSSSSTQNGPTSAASSSSSASRSAEDRRSMVALQSEFNKRASKVGFGIHQTSQKLAKLAKLAKRTSVFDDPTSEIQELTAVIKQDITALNSAVVDLQLHSNSGNESGNRDTTSHSTTVVDDLKNRLMSTTKEFKDVLTMRTENLKVHENRRQLFSSSTSKDSSSPFVRQRPLGSRSAASSSAAPPPPWANASKSSSQLFPRKQADSDTQPLLQQQQQQQQQQQQQMVPLQDSYMQSRAEALQNVEATIHELGSIFNQLATLVSQQGEIAIRIDENMEDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMIFLFFVA >itb15g23260.t2 pep chromosome:ASM357664v1:15:26001439:26007391:1 gene:itb15g23260 transcript:itb15g23260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKAASTSIRDRTNEFQNIAERLKKSSSSTQNGPTSAASSSSSASRSAEDRRSMVALQSEFNKRASKVGFGIHQTSQKLAKLAKLAKRTSVFDDPTSEIQELTAVIKQDITALNSAVVDLQLHSNSGNESGNRDTTSHSTTVVDDLKNRLMSTTKEFKDVLTMRTENLKVHENRRQLFSSSTSKDSSSPFVRQRPLGSRSAASSSAAPPPPWANASKSSSQLFPRKQADSDTQPLLQQQQQQQQQQQQQMVPLQDSYMQSRAEALQNVEATIHELGSIFNQLATLVSQQGEIAIRIDENMEDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMIFLFFVA >itb02g14450.t1 pep chromosome:ASM357664v1:2:10529350:10535877:-1 gene:itb02g14450 transcript:itb02g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDYSDHKLHLQCSNSSVSEGGGDLEAQSSPRTPGKTISDLLKRLDRGFSDRRLSSLKRSDRGEHSSSLPSDHGVVAGDEVLGDSAPPEWALLLLGCLLGLATGLCVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVLGGVVVGVLHGLVEILDQIKQSSSSSQGGQGIDLFAGIFPTVKAIQAAITLGTGCSLGPEGPSVDIGKSCAHGCSVIMENNRERRIALVAAGAAAGISSGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGEKQAFTVPTYDLKSAAELPLYLILGMLCGVVSVAFTRLVDWFSKGFKFLREKFGLSDVVCPALGGLGAGIIALKYPGVLYWGFTNVDEILHTGKTASAPGIWLLAQLSAAKVIATALCKGSGLVGGLYAPSLMIGAAVGAVFGGFSVELINYAIPGSGAIAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQHKDTETSDSKKLSRGYSILSHDEDENDGSWPKTGGRHDLELSIIGNGNNHETIDEDFVLENMKVSQAMSKDYLKLTLNQTVKEALKCMHDGQQHCALVVDSEGYLEGILTYGDIKRSLFKNSGDSLNMEFLHTDENTCLVSSICTRGISYLGRECGLLTCYPDTELAMAKQLMEAKGIKQLPVVKRGRDIPKERKRRVIAILNYGSIWDSIRKEVNWQKSAYQQARGDNSNQIVPIGL >itb15g06480.t1 pep chromosome:ASM357664v1:15:4306911:4308415:-1 gene:itb15g06480 transcript:itb15g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTTIPSSIHIHVAIMLFSLLGGLSSAQLSTNFYSKSCPSALSIIKSGVISAIKAETRMGASLLRLHFHDCFVNGCDGSILLDDTSTFTGEQTSLANNNSIRGLNVIDNIKAQLENSCPGVVSCADIVAVAARDSTVVLGGPSWNVLLGRRDSTTASISAANNNLPGPNFNLSALLSSFSKHGFSARELVALSGGHTIGKARCTTFRSRIYNDTNIDPSFASSLQGICPRSGGDNNLTPMDPTPTKFDNCYFRDLLKKKGLFHSDQELYNGGSTDSIVKTYTFNPSIFSKDFADAMLKMSNLGPLTGSSGQIRKNCGKVN >itb13g16290.t1 pep chromosome:ASM357664v1:13:23219187:23225574:1 gene:itb13g16290 transcript:itb13g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDFSGDEENLGEEEEEEERLGFLFSSDAAGGTPLPFPVDSLLQPAPCGFVVSDALEPDHPIIYVNSVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSAVVAEIRRCLVQGLEFQGELLNFRKDGSPLMNRLRMTPIYGDDETITHIIGIQFFTEINLDLGPLPGSSVKESIRSSDRYRSSLSSFGPFSDGNRNITRGVCGILQLSDEVLSLKILSRLTPRDIASFGSVCRRLYELTKNEDLWRMVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWKHVKVSSPPPGRWGHTLSCVNGSHLVVFGGCGTQGLLNDVFVLDLDAKQPTWREISSLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEQPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILVFGGSVAGLHSASQLYILDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSTTI >itb04g26200.t2 pep chromosome:ASM357664v1:4:30577851:30579474:-1 gene:itb04g26200 transcript:itb04g26200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQMPMPMQIMRQFLNPSSTHNPIFIFLTGTLLLSCASSSAASADNINEALVLFSWLHQSPSPPPAISDWNPKDPNPCHWSYIFCSSDNLVTAIFVNSIQLTIPFPANLSSLKSLERLVLSGVNLTGTIPLDIGDCISLKTIDLSSNSLVGSIPRSIGKLTNLQNLILNSNYLTGEIPAELGNCINLQNLYIYDNELSGNIPGELGKLAGLEVIRAGGNKEITGKIPDEIGDCQSLRVLGLADTKVSGSLPATLGKLANLKVLSVYTTMVSGKIPAEIGNCSELVDLYLYENDLSGSLPAELGKLQKLEKALLWQNNLVGGVPEEIGNCKSLIILDLSLNFISGSIPWSFGNLTNLQELMLSNNNFSGSIPPVLSNATKLMELQVDTNQISGTIPPELGLLTDLTVFFAWQNRLEGNIPPELASCRSLQALDLSHNSLNGSLPSGLFELKNLTKFLLISNYISGSIPPEIGNCSSLIRLRLVENCNC >itb04g26200.t1 pep chromosome:ASM357664v1:4:30575268:30579474:-1 gene:itb04g26200 transcript:itb04g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQMPMPMQIMRQFLNPSSTHNPIFIFLTGTLLLSCASSSAASADNINEALVLFSWLHQSPSPPPAISDWNPKDPNPCHWSYIFCSSDNLVTAIFVNSIQLTIPFPANLSSLKSLERLVLSGVNLTGTIPLDIGDCISLKTIDLSSNSLVGSIPRSIGKLTNLQNLILNSNYLTGEIPAELGNCINLQNLYIYDNELSGNIPGELGKLAGLEVIRAGGNKEITGKIPDEIGDCQSLRVLGLADTKVSGSLPATLGKLANLKVLSVYTTMVSGKIPAEIGNCSELVDLYLYENDLSGSLPAELGKLQKLEKALLWQNNLVGGVPEEIGNCKSLIILDLSLNFISGSIPWSFGNLTNLQELMLSNNNFSGSIPPVLSNATKLMELQVDTNQISGTIPPELGLLTDLTVFFAWQNRLEGNIPPELASCRSLQALDLSHNSLNGSLPSGLFELKNLTKFLLISNYISGSIPPEIGNCSSLIRLRLVGNKISGEIPAEIGFLDNLSFLDISDNLLTGSVPDEIGNCRELQLLNLANNTLTGTLPESLSSLRKLQILDFSVNNFSGQIPSSYGLLSSLNRLLLGGNSFVGSIPSGLGNCSSLELLDLSSNELSGSIPVELFNIQALDIALNLSWNALAGTIPPQISALKRLSVLDLSHNKLGGDLMPLSGLENLVSLNVSYNNFTGYLPDSKLFRQLSAREIAGNPGLCSRGRESCFLTNAGVQGMRNGGRNLARSWRLELAIALLAVLAISLAILGIIAVYRLRKMSKEGTDSELGGGSSSAWQFIPFQKLHFTVDDVLKCLVESNVIGKGCSGVVYRAELSNGEVIAVKKLWPSSLGSRYANQFGISAYIRDSFSTEVNTLGSIRHKNIVRFLGCCRNQNTRLLMYDYMPNGSLGSLLHEKSGGCLEWELRYKIVLGSAQGLAYLHHDCTPPIVHRDIKANNILVGLDFEPYIADFGLAKLVSDGDFARSSSTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGAHIVDWVRQKRGGVEVLDGSLRARPESEIEEMMQTLGVAVLCVNPSPDDRPTMKDVAAMLREIRQEREEALKVEDMLRKGSSEGDQNKSSGPSEKMQSLYLQSNNTSFSASSLLHSSSSNTTRLGFK >itb10g04930.t1 pep chromosome:ASM357664v1:10:4914470:4920604:1 gene:itb10g04930 transcript:itb10g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGGGPYMYSTNNYVGRQIWEYYPNAGTPEEREALENARQEFRKNREKGFHACGDLFKRMQMIKESGVDVLSLPAIRLRDEEKVNYEAVTTAVRKAVRLNRALQAKDGHWPAEHSGPMFFIPPMMIVLYISGAINTILTSEHKKEIVRFIYNHQNEDGGWGFYIGGHSTMIGSALNYVALRLLGEGPDDGDGAIARGRKWILDHGGATQIPSWGKVYLSVCDIYNILL >itb07g21110.t1 pep chromosome:ASM357664v1:7:25475308:25477182:-1 gene:itb07g21110 transcript:itb07g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFSTAKQLSSFVLHRISVAAICRRGYAAEGAVASGRAKSNSNSNATPKSGNEAVDKAAASWYPDPVTGYYRPEGEDDKVIDAADLRLMFLKNTPRKQ >itb09g14240.t1 pep chromosome:ASM357664v1:9:9432656:9440147:1 gene:itb09g14240 transcript:itb09g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRILFLFFYFAFSFAKTTPTFPFSTIINTPNGLSASAKTDHKLYDTKYFTQILDHFTFTPQSYHTFQQRYLINDRYWGGAKNMAPIFVYMGNEGDIEWFAQNTGFMFDIAPHFNALLVFIEHRFYGKSVPFGGDKEAKSSSKALGYLSSTQALADYATLIIDLKKNLTATKSPVVVFGGSYGGMLAAWFRLKYPHVTIGALASSAPILYFENITSTYAFNDVITQDFRSESENCYKVIKGSWQLIQDIGEQTGGLERLRKSFKICKNYISVGSLEGWLSTAYTYTAMTDYPTATNFLNPLPPYPIKQMCKAIDDPNYGSDPLEKLYGAVNIYYNYTGEVNKCFDLASPSDQHGLSGWTWQACTEMIMPIDGNNKDSIFPASEWDYNERAQFCKEAFNIEPRPNWITTEFGGHNIDRVLKKFGSNIIFFNGLRDPWSAGGVLKNISKSLVAIIAKEGAHHVDLRFSTKEDPKWLKDVRRKEVEIIQKWISQYYHSLTDLEF >itb07g14980.t1 pep chromosome:ASM357664v1:7:17673146:17675958:1 gene:itb07g14980 transcript:itb07g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTSTTSATSLPQDILYEIFSRLPVKSIVQFRCVSKFFYSLINNSFFADVHRNRSLTCPGTRILLRVPSKTCQQIFYTVNLTEENNGKLQANRARYMDQQCFHNLVHLRSTNGLICLANNDGEAAVCNLSIRQHVSLPRTHPVRPSISQNFASAALGFGSVSKKYKVFMSELRCIHGRGNKKHWVLTLGEDESWREIISAAVPNYPETTLHIDGVIYLINWTVKREIIAFNVGAEEFRTLPFPCELRHRILSSPWIAVAGRLAAVTVNHQLSSLEIWGLEKSMEWGKYMIPIPLEDREIMRKASSMDFAGKCNGEIVMLIQVGDTFLIFVFGTQVWRKFEICGIYDGFICLDRLQSAIHIIEENVFFPSFKFG >itb05g08560.t1 pep chromosome:ASM357664v1:5:12105784:12107502:-1 gene:itb05g08560 transcript:itb05g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAINERTVPASALHFGNNPEYNVHNLYGFLNERATNLGLANVTGKRPFVLGPSTFVGAGKYTAHWNGDNAVRWDDLGFSIPAILNFGLFGIPMGGVDICGFFRSTKEELCQRWIQVGAFYPFSRNHGDKCSNGHELYLWESVAASARQVLGLRYRLLPYFYTLMYEAHTRDVPIARPLFFSEFPQDINTYEVYTQFLLGQGVLISPALSEGAASVEAYFPSGTWYDLFNYSTSVVAESGKNVTLDAPRDKINVHLREGHILALQGEAMTTQATCNTSFELLVALGTTGNSSGQVFLDDGEELEMGGAGGRWSLVHFQTSGAGTNVTLTSVVTNPDFAANSTWIIEKVTVLGMNSNNNSNSSSNSSGVLEITSLNLPIGKTFTLPLTPNSNTHPHYNICLFTTNHCTSDMR >itb01g34080.t1 pep chromosome:ASM357664v1:1:36951226:36953462:1 gene:itb01g34080 transcript:itb01g34080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTFLSTIFLYYGWIIRGTKKGRKVNQKGGSEAPEPVGAWPVIGHLHLLGGADQLLYRTLGAMADKYGPAFNIRLGSRRAFVVSSWEVAKECFTTNDKALASRPMTVAAKHMGYNYAVFGFAPYTSFWREMRKIAMIELLSNRRLEILKHIRLSEMNLCVRDLHELWAKNGSGAVELKRRFEDMSLNMIVRMVAGKRYFGAAGDTSDDGEARRCQNAINKFFHLIGIFVPADALPFLRWLDLHGHEKAMKATAAELDSILNGWVDEHRKRRKTGEVKGEGDQDFIDVMLSLEEQGHFSNFQYDPDTTIKSTCLALILGGSDTTAGTLTWAISLLLYNREVMRKAQEEIELHVGKDRLVEESDVRNLIYIQAIVKETLRLYPAGPLLGPREAMEDCVVAGYNVLAGTRLIVNVWKIQRDPRVWEDPNAFKPERFLNRAQNVDLRSQDFELMPFGSGRRSCPGMSFALQVLNLTLARIVQAFDMATPLGEAVDLTESPGLTIPKATPLEVVLTPRLPNKLYI >itb07g19130.t1 pep chromosome:ASM357664v1:7:23578595:23581786:1 gene:itb07g19130 transcript:itb07g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGATLDVAYKNNASGLGFAWSTQVPSYTPESYPDLSTHLELLCAGGPHDHRMYHDPLLLHQLSNGPPLAPPSSHPQIYRTYRTRARSHSDDEESFIGCLPEPILDGAGISMVEVASPNLALSPPKDTPPTQAELQPTSQPIPPPSPQPTKREEYEPYDPLAARCLGDTQGAPLRPYRPHFIDSIRHGVREMVPAAGRFWMDSTPTAALGSRLVGDTMHVTMQAVALQTRLHAISQEYAQLEEAHQKLEEELNQLRASHNTLLEEHNTLRNNHSRLRREHEALEKEFKEQGDSHASALQSAIYEWRGSEDFVRAADAHAITCMPTLLRSWLSTPYMSGEPMVEAMTSWQDGQDYRLFSSPPSVQILQDWVRTPGGRAAMGPIAEVWLRDTDKGRGRLVREGEAAFYMGRREMQDHLYGKLRRRFSSFSIPSWKLPDYLPLERPTSLVIPSSLSTLANAFLVTPERAQAGVTSSVAIPSEKQTHDHSDPVTDGSGAVGM >itb15g03660.t1 pep chromosome:ASM357664v1:15:2291720:2292025:-1 gene:itb15g03660 transcript:itb15g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFSRSIYTITSQDIHYHCHLSITSINSSIKDGSFCTVTETVYPISRSGDSGLPSHFPSPSRGEGNEEARAVDGALWTSVCRRGGKGKAVQDIQRERGAN >itb15g24000.t1 pep chromosome:ASM357664v1:15:26900086:26900406:-1 gene:itb15g24000 transcript:itb15g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQNKACRFVFVKELRVGDEDDSAAVREAKSLNAIRTALENLEDHLEFLHISSPISFNFRILGLYSVNFLFCCTLYILCRKYEFVNISPERYVKFFATDTLFDSE >itb07g19330.t1 pep chromosome:ASM357664v1:7:23721445:23723849:-1 gene:itb07g19330 transcript:itb07g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDATFEFERKRNRPERFDKNVTENTLKAIKKIDKVRIAREAAHHKNRMRPEKLRKQKEAVRELEQGIHLVKAPSVLQQDPSLTLPIKVKVSQQKSEENRMEE >itb01g26950.t1 pep chromosome:ASM357664v1:1:31954945:31955639:1 gene:itb01g26950 transcript:itb01g26950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADELRKVFEMFDQNSDGRITTEELNDSLRNMGIIISEGELTQMIEKIDENGDGCVDVDEFGALYQSIMDERDDEDDMRKAFDVFDQNGDGFITVDELKSVLASLGLKQGQAVEDCEKMIMKVDVDGDGMVNFTEFKKMMRGGGFAALT >itb09g08890.t1 pep chromosome:ASM357664v1:9:5298113:5300527:1 gene:itb09g08890 transcript:itb09g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHRRLSSLLFFFILLSFSGAKPLLQLERGHSLSVEDADSTFLTSPDNTFTCGFYGLGNKAYWFAIWFTNSRDKTVVWVANRARPVNSRGSKVTLDKNGALTLTDVDGAMVWESNTTSTKVNRAELLETGNLVLKNPDGDVLWQSFGFPTHTLLPSQVFTKNNRLISVLREGGFEPGYFSLYFDGDNVLKLIYDGPEVSGLYWPNPDHDAYGNQRTSQNSTRIAFIDNSGRFFCSDRQVQILYLSAIDMGDEMKRRMTLDVDGNLRIYSLQDSTGVWKVTWQALPQPCGVHGICGRFGICNYAPEPKCSCPPGYVVVDPDDWGRGCKALFDIATLITKPVKFLEISQVDYWGFDLNFTKPFSFEDCKNLCSKDHNCLAFAYRRTGEASCFTKGTLYNGYRSPDFPGSIFMKLPRDFPVPESGHPVILTRSNLVCSNSSELLPLKYEVSSKKVRWVYLYSFCSAIGVIELLVFGLGWWALFSKHGIPASLENGYHMLSSQFRMFTYAELKKATKNFKVELGRGGSGAVYKGVLADDRAVAVKKLGDEFHGEEQFWAEMTTIGKINHMNLVRMWGFCAEGKHRLLVYEYVENSSLDKHIYTSNFLGWKERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLTSELEPKIADFGLAKLSKRGDPGSYFTKIRGTKGYMAPEWALNQPITSKVDVYGYGVVILEMVKGSRLSSWAAEESELHQEAVDLKQFVWAMKSKVELADESWVEDIVDKRLEGKFSRRQAKTLIKVGVSCVEEDRNMRPTMASVVQTLLECEDEATI >itb01g00450.t1 pep chromosome:ASM357664v1:1:210577:213926:1 gene:itb01g00450 transcript:itb01g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASSRANNPGSKAFDFASDDILCSYDDFANQDNTNGNHSDPVNASNSAKEFHKNRMTRTAMFPAPAYSPPEESSVHQDVGAIVEKTVKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMHSDLVRDHGEADTKLKSLEKNLQEVHRSVQILRDKQELVEAQKELAKLQLAQKDSSGSNSQENEERNKQPPDTKRNDNEVHEQQLALALPHQITPQASHTTRPVEQQQQQQQPVQAPPPIPSQGVAQSPGYYLPQPQMPQAPNQLSQSQYMPSDSQYRTSQAQDFSRLPPQPVQSQLNQTPQTQAVPPYQQQWVPQLPQQVQQPQPQQQPQPPSMQQQARPSSPAVYPSYLPSQSNPTPEMVPSTVSMQVPFSGISQTVASRPEGMPYGYGGHGRPVQPQAPAQHVRPTFGATGDGYGVGGSHPSLAPGNAYVMYDGEGARTHPTQQPHFSHGGYPPSSFPTQNPQPAANTSVMTRPPQMMRNHPYNELIEKLVSMGYRGDHVIAVIQRLEESGQAVDFNAILDRLNGHSSGGSQRGWQG >itb01g12020.t1 pep chromosome:ASM357664v1:1:11396940:11399230:1 gene:itb01g12020 transcript:itb01g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLREWGSEVNCESREADKCLRKQRRCMATPPTRCSVALRRPPSPAITASPSPSMAEFVASIIVFIRTWIREKYELRSIAVFGHYIAISGNFSNSLFSAVCGGISVEEVVGEGSSVDHWIGVEELSQRRH >itb10g03610.t1 pep chromosome:ASM357664v1:10:3374402:3375318:1 gene:itb10g03610 transcript:itb10g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYQESEVVFQEIPGFEGVEDDDDDVVSAGRRRGSRNKKQRRPVTAPEPAARRESISLPVNIPGNWPSRTAPWVGGGSVEDDGEMVPPHVITGRRIAGKMMAFSVCTGNGRTLKGRDLSQVRNSVLRMTGFLET >itb01g03980.t1 pep chromosome:ASM357664v1:1:2625669:2626536:-1 gene:itb01g03980 transcript:itb01g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATALPSILLILALAFTVATTVIANHPSPPSAEAIVMKKCREVLGPRRLIVTFCAHNLLGHRAALLATCDRRKTVAVVIKEVHNKAKAFEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEEPSKESIMMLKRSIPGEIAKTKEKCDSTAPGRQNGLWLELRIKELESITADIVASAFVNNLYSTTH >itb05g23930.t1 pep chromosome:ASM357664v1:5:28933237:28937245:-1 gene:itb05g23930 transcript:itb05g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCQNGCSDIFKPYLDKMQRGLCVLLETPGKTIEAALSGLASLAESAKDDFRPFGDTVIDKLNNLRSKEVSNRMLVAKILHCITVVELAVGKSVVHVEKTFMALQAQLVGVDTDDEVKCVLLQVLKCLKFLIGRLYEQNVIPKIAYVNNMWQKDGAIEERSMALKIFNEIALKCREQGVRQYAEGIPLLLEFCKGPNPDIQQVAACAIGIYAEFDRETFKQYLQDGLSSLDFILQHPDEHLIAKEAAVCAYGKVCFFLREEINSYENIDHWLIHLPMRRETAEAKVAHKLLCSMVDYMLNTRDYQDGYFKRVIPIIVEVLWMGKSIADEETKGKMNRQLKMFKEKLPSEEFINIRNSLPWLLQNTLGNSLLHT >itb12g02610.t1 pep chromosome:ASM357664v1:12:1708538:1711762:-1 gene:itb12g02610 transcript:itb12g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNPKNRLKQILDGCKSKTKCEGGDEINLQAQDSEEPVKKPKGGCGAQQPKISIDGMKLVAEYELQEKKNDDPEQIPEPIERKQQLTAENVLRILKRISDEDCQLLGLNPKYSRPDCMILQVLPVPPPPVRPSEMMDTSSRSEDDLTHQLAMIIPHNENLKRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICNRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYSETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKVERHLNDGDFVLLNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNMLMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRYSSWHSDSEKGYTTPGDTQVRIEKGELLSGTLCKKSLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNSFSIADAKTMENINVTISDAKNKVRELIIAFREKQIEAEPGRTMIESFENRVKQILSKARDDAGTFAEKSLAESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDFGAESSGFVGNSYLRGLNPREFFFHAMGGRESLIDTAVKTSEGEEITGTKGD >itb02g14970.t2 pep chromosome:ASM357664v1:2:10865734:10868912:1 gene:itb02g14970 transcript:itb02g14970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNTVLSIMAYDYPPEKLSVYLSDDAGSQLTFYALLEASIFSRHWLPFCKKFKIEPRSPAAYFNSGSSDQHGANSAKDFSMVKKLYQDMENRIETACQLGRIPEHEHHKHRGFSKWDSSSSRGNHAAIVQILIDGREETAKDTEGQNLPTLVYMAREKRPQYFHNFKAGAMNALIRVSSEISNAPIILNVDCDMYSNNSGSVQDALCFLMDEEKSHNIAFVQFPQYFHNITKNELYGGSLRVIHVEFLGMDGYGGSLYIGSGCFHRRETICGREFSKATRIELKSNPPRTTLEKSQELEERLQELVSCTYEENTQWGNEIGLKYGCPVEDVLTGIAIQCKGWKSVYFCPEREAFLGMTATTLDQALVQHKRWSEGDLQILFSKYSPVCYGLGKLNTGLIMGILTYCLWSPNCLAVLYYSIIPSLYLLKGVPLFPQVSSMWFLPFAYLVVAELAYSCYEFLWANGTLLGWWNEQRMWLYKRTSSYLFAFLDTMLKLVGYSNPGFIVSSKVSDEDVSLRYEQEIMEFGSTSPMFTILSTLAMLNLFCFVWAMKKVGAERFVFESMGLQIGLCGVLVLINLPLYNGMLFRKDKGRMPTIVTLKSTVLALSACTCFTFL >itb02g14970.t1 pep chromosome:ASM357664v1:2:10865362:10868912:1 gene:itb02g14970 transcript:itb02g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIRKQEPLFETRTAKGRVFYRLFAASIFAGILCVWIYRVTHIPSPGEYGRMGWIGMLGAEFWFGFYWFLTQSHRWNLVFRHPFRHRLLQRYGNDLPRVDVFVCTADPAIEPPIMVVNTVLSIMAYDYPPEKLSVYLSDDAGSQLTFYALLEASIFSRHWLPFCKKFKIEPRSPAAYFNSGSSDQHGANSAKDFSMVKKLYQDMENRIETACQLGRIPEHEHHKHRGFSKWDSSSSRGNHAAIVQILIDGREETAKDTEGQNLPTLVYMAREKRPQYFHNFKAGAMNALIRVSSEISNAPIILNVDCDMYSNNSGSVQDALCFLMDEEKSHNIAFVQFPQYFHNITKNELYGGSLRVIHVEFLGMDGYGGSLYIGSGCFHRRETICGREFSKATRIELKSNPPRTTLEKSQELEERLQELVSCTYEENTQWGNEIGLKYGCPVEDVLTGIAIQCKGWKSVYFCPEREAFLGMTATTLDQALVQHKRWSEGDLQILFSKYSPVCYGLGKLNTGLIMGILTYCLWSPNCLAVLYYSIIPSLYLLKGVPLFPQVSSMWFLPFAYLVVAELAYSCYEFLWANGTLLGWWNEQRMWLYKRTSSYLFAFLDTMLKLVGYSNPGFIVSSKVSDEDVSLRYEQEIMEFGSTSPMFTILSTLAMLNLFCFVWAMKKVGAERFVFESMGLQIGLCGVLVLINLPLYNGMLFRKDKGRMPTIVTLKSTVLALSACTCFTFL >itb02g12480.t1 pep chromosome:ASM357664v1:2:8529828:8530499:-1 gene:itb02g12480 transcript:itb02g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDNNFHGVLSKHWGSSKQLSNLWISRNNISGIIPPEIGDITQLTWLDLSENHIVGEIPKEFEELTFLQNLDLSKNMLNGSIPEYMGGFRHLLTLNLSWNGLSGPIPTGFEKLRNISFVDLSFNNLEGHIPNFMKHMNATLEGNKGLCGNMDGFEPCQSSHSSRKGKKFVHVVIIVIPIIVALSMALFGFVEVYHLYRRRKRAENAQSNGSQVLEKRVELE >itb01g34960.t2 pep chromosome:ASM357664v1:1:37419011:37421783:1 gene:itb01g34960 transcript:itb01g34960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKLPQGQWLMQHQPTMKQIMAIMAERDAAIQERNLALSEKKAALAERDTAILQRDTAIAERNNAIMERDNAIATLQYHESSMNTGSMSPCPPGCQISRGVKHMHHPQQHVQHQPHMVEAAYNSRDMHMSDTMPMSPPAPEPAKPRRNKRAKEAKPATSSKKTSKPSKRVKKEGEDLNKTMWKGTQEMGSASDELNRQMCVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGALRPCYKWGNGGWQSSCCTTNLSMYPLPTVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb01g34960.t5 pep chromosome:ASM357664v1:1:37419013:37421786:1 gene:itb01g34960 transcript:itb01g34960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQPTMKQIMAIMAERDAAIQERNLALSEKKAALAERDTAILQRDTAIAERNNAIMERDNAIATLQYHESSMNTGSMSPCPPGCQISRGVKHMHHPQQHVQHQPHMVEAAYNSRDMHMSDTMPMSPPAPEPAKPRRNKRAKEAKPATSSKKTSKPSKRVKKEGEDLNKTMWKGTQEMGSASDELNRQMCVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGALRPCYKWGNGGWQSSCCTTNLSMYPLPTVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb01g34960.t3 pep chromosome:ASM357664v1:1:37419011:37421783:1 gene:itb01g34960 transcript:itb01g34960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKLPQGQWLMQHQPTMKQIMAIMAERDAAIQERNLALSEKKAALAERDTAILQRDTAIAERNNAIMERDNAIATLQYHESSMNTGSMSPCPPGCQISRGVKHMHHPQQHVQHQPHMVEAAYNSRDMHMSDTMPMSPPAPEPAKPRRNKRAKEAKPATSSKKTSKPSKRVKKEGEDLNKTMWKGTQEMGSASDELNRQMCVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGALRPCYKWGNGGWQSSCCTTNLSMYPLPTVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb01g34960.t6 pep chromosome:ASM357664v1:1:37420342:37421786:1 gene:itb01g34960 transcript:itb01g34960.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQPTMKQIMAIMAERDAAIQERNLALSEKKAALAERDTAILQRDTAIAERNNAIMERDNAIATLQYHESSMNTGSMSPCPPGCQISRGVKHMHHPQQHVQHQPHMVEAAYNSRDMHMSDTMPMSPPAPEPAKPRRNKRAKEAKPATSSKKTSKPSKRVKKEGEDLNKTMWKGTQEMGSASDELNRQMCVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGALRPCYKWGNGGWQSSCCTTNLSMYPLPTVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb01g34960.t4 pep chromosome:ASM357664v1:1:37419011:37421783:1 gene:itb01g34960 transcript:itb01g34960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKLPQGQWLMQHQPTMKQIMAIMAERDAAIQERNLALSEKKAALAERDTAILQRDTAIAERNNAIMERDNAIATLQYHESSMNTGSMSPCPPGCQISRGVKHMHHPQQHVQHQPHMVEAAYNSRDMHMSDTMPMSPPAPEPAKPRRNKRAKEAKPATSSKKTSKPSKRVKKEGEDLNKTMWKGTQEMGSASDELNRQMCVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGALRPCYKWGNGGWQSSCCTTNLSMYPLPTVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb01g34960.t1 pep chromosome:ASM357664v1:1:37419011:37421786:1 gene:itb01g34960 transcript:itb01g34960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKLPQGQWLMQHQPTMKQIMAIMAERDAAIQERNLALSEKKAALAERDTAILQRDTAIAERNNAIMERDNAIATLQYHESSMNTGSMSPCPPGCQISRGVKHMHHPQQHVQHQPHMVEAAYNSRDMHMSDTMPMSPPAPEPAKPRRNKRAKEAKPATSSKKTSKPSKRVKKEGEDLNKTMWKGTQEMGSASDELNRQMCVSKPDWKDQDLGLNQVAFDESTMPVPVCSCTGALRPCYKWGNGGWQSSCCTTNLSMYPLPTVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb06g22500.t4 pep chromosome:ASM357664v1:6:24760884:24765420:-1 gene:itb06g22500 transcript:itb06g22500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKCLILGLNVAAMLLLSSRKNSTDHSGTNIILNHNFSEGMNLWHPNCCEAFVVPADTGSYAVITNRKECWQGLEQNITKRVSTGSTYTVCAFVGVSGAISGCTDVQATLKVEYRDSATSYVFIGRRPVSKDCWEKLEGTFSLSGTPDQVVFYLEGPLPGVDLLVKSVVITCSSSLKCESSSTHSFSAGEGKVLSPTFEDSRNNWSGRGCKIVLHESMADGKIRPVSGNSFARATERTQIWNGIQQEITGTVLRKLAYEVTAVVRLYGNNVTSADVRATLLVQAADFCEEYIGIASVQATDKEWTQLRGKFLLNGFPSKVVVFLEGPPPGTDILLNSLTVKHAAKVLQSPPPRIENADFGVNVITNTNLNDGTNGWFPVGNCTLIVGTGSPRIIPPAARDSLGTHQPLSGRYILVTNRTHTWMGPAQMITDKVKLYLTYQVSAWVRIGKATGAQTVNLALDVDSQWVNGGHVEINDDRWHEISGSFRIEKEPAKIMVYIQGPAPGVDLMVAALQVFPVDRKARFEHLRRETDKIRKRDVILKFSGSDSLHGADVRVRQTQNSFPFGACISRGNIDNEDFTEFFVKNFNWAVFGNELKWYSTEPEQGKLNYKDADELLDFCTRNNIQVRGHCIFWEVEDVVQSWVRGLSKSDLSKAVQNRITGLLTRYKGKIKQYDVNNEMMHGSFYRERLGEEIRANMFKTANQLDPSAVLFVNDYHIEDGGDSQSCPDRYLGHILDLQEQGAPIGGIGIQGHIDCPVGPIIHSALNKLGILGLPVWFTEIDFSSTNEYIRADDLEVMLRECFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDLNEAGRRYLALKEEWLSHSHGHIDAQGHFSFRGFHGSYELEIIGLSKRFTRTFVVEKGDDPLVISIDL >itb06g22500.t2 pep chromosome:ASM357664v1:6:24760892:24765420:-1 gene:itb06g22500 transcript:itb06g22500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKCLILGLNVAAMLLLSSRKNSTDHSGTNIILNHNFSEGMNLWHPNCCEAFVVPADTGSYAVITNRKECWQGLEQNITKRVSTGSTYTVCAFVGVSGAISGCTDVQATLKVEYRDSATSYVFIGRRPVSKDCWEKLEGTFSLSGTPDQVVFYLEGPLPGVDLLVKSVVITCSSSLKCESSSTHSFSAGEGKVLSPTFEDSRNNWSGRGCKIVLHESMADGKIRPVSGNSFARATERTQIWNGIQQEITGTVLRKLAYEVTAVVRLYGNNVTSADVRATLLVQAADFCEEYIGIASVQATDKEWTQLRGKFLLNGFPSKVVVFLEGPPPGTDILLNSLTVKHAAKVLQSPPPRIENADFGVNVITNTNLNDGTNGWFPVGNCTLIVGTGSPRIIPPAARDSLGTHQPLSGRYILVTNRTHTWMGPAQMITDKVKLYLTYQVSAWVRIGKATGAQTVNLALDVDSQWVNGGHVEINDDRWHEISGSFRIEKEPAKIMVYIQGPAPGVDLMVAALQVFPVDRKARFEHLRRETDKIRKRDVILKFSGSDSLHGADVRVRQTQNSFPFGACISRGNIDNEDFTEFFVKNFNWAVFGNELKWYSTEPEQGKLNYKDADELLDFCTRNNIQVRGHCIFWEVEDVVQSWVRGLSKSDLSKAVQNRITGLLTRYKGKIKQYDVNNEMMHGSFYRERLGEEIRANMFKTANQLDPSAVLFVNDYHIEDGGDSQSCPDRYLGHILDLQEQGAPIGGIGIQGHIDCPVGPIIHSALNKLGILGLPVWFTEIDFSSTNEYIRADDLEVMLRECFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDLNEAGRRYLALKEEWLSHSHGHIDAQGHFSFRGFHGSYELEIIGLSKRFTRTFVVEKGDDPLVISIDL >itb06g22500.t1 pep chromosome:ASM357664v1:6:24760884:24765454:-1 gene:itb06g22500 transcript:itb06g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVQTYLGLKRDMEKLSLIDGNNSESSRKNSTDHSGTNIILNHNFSEGMNLWHPNCCEAFVVPADTGSYAVITNRKECWQGLEQNITKRVSTGSTYTVCAFVGVSGAISGCTDVQATLKVEYRDSATSYVFIGRRPVSKDCWEKLEGTFSLSGTPDQVVFYLEGPLPGVDLLVKSVVITCSSSLKCESSSTHSFSAGEGKVLSPTFEDSRNNWSGRGCKIVLHESMADGKIRPVSGNSFARATERTQIWNGIQQEITGTVLRKLAYEVTAVVRLYGNNVTSADVRATLLVQAADFCEEYIGIASVQATDKEWTQLRGKFLLNGFPSKVVVFLEGPPPGTDILLNSLTVKHAAKVLQSPPPRIENADFGVNVITNTNLNDGTNGWFPVGNCTLIVGTGSPRIIPPAARDSLGTHQPLSGRYILVTNRTHTWMGPAQMITDKVKLYLTYQVSAWVRIGKATGAQTVNLALDVDSQWVNGGHVEINDDRWHEISGSFRIEKEPAKIMVYIQGPAPGVDLMVAALQVFPVDRKARFEHLRRETDKIRKRDVILKFSGSDSLHGADVRVRQTQNSFPFGACISRGNIDNEDFTEFFVKNFNWAVFGNELKWYSTEPEQGKLNYKDADELLDFCTRNNIQVRGHCIFWEVEDVVQSWVRGLSKSDLSKAVQNRITGLLTRYKGKIKQYDVNNEMMHGSFYRERLGEEIRANMFKTANQLDPSAVLFVNDYHIEDGGDSQSCPDRYLGHILDLQEQGAPIGGIGIQGHIDCPVGPIIHSALNKLGILGLPVWFTEIDFSSTNEYIRADDLEVMLRECFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDLNEAGRRYLALKEEWLSHSHGHIDAQGHFSFRGFHGSYELEIIGLSKRFTRTFVVEKGDDPLVISIDL >itb06g22500.t3 pep chromosome:ASM357664v1:6:24760884:24765094:-1 gene:itb06g22500 transcript:itb06g22500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWHPNCCEAFVVPADTGSYAVITNRKECWQGLEQNITKRVSTGSTYTVCAFVGVSGAISGCTDVQATLKVEYRDSATSYVFIGRRPVSKDCWEKLEGTFSLSGTPDQVVFYLEGPLPGVDLLVKSVVITCSSSLKCESSSTHSFSAGEGKVLSPTFEDSRNNWSGRGCKIVLHESMADGKIRPVSGNSFARATERTQIWNGIQQEITGTVLRKLAYEVTAVVRLYGNNVTSADVRATLLVQAADFCEEYIGIASVQATDKEWTQLRGKFLLNGFPSKVVVFLEGPPPGTDILLNSLTVKHAAKVLQSPPPRIENADFGVNVITNTNLNDGTNGWFPVGNCTLIVGTGSPRIIPPAARDSLGTHQPLSGRYILVTNRTHTWMGPAQMITDKVKLYLTYQVSAWVRIGKATGAQTVNLALDVDSQWVNGGHVEINDDRWHEISGSFRIEKEPAKIMVYIQGPAPGVDLMVAALQVFPVDRKARFEHLRRETDKIRKRDVILKFSGSDSLHGADVRVRQTQNSFPFGACISRGNIDNEDFTEFFVKNFNWAVFGNELKWYSTEPEQGKLNYKDADELLDFCTRNNIQVRGHCIFWEVEDVVQSWVRGLSKSDLSKAVQNRITGLLTRYKGKIKQYDVNNEMMHGSFYRERLGEEIRANMFKTANQLDPSAVLFVNDYHIEDGGDSQSCPDRYLGHILDLQEQGAPIGGIGIQGHIDCPVGPIIHSALNKLGILGLPVWFTEIDFSSTNEYIRADDLEVMLRECFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDLNEAGRRYLALKEEWLSHSHGHIDAQGHFSFRGFHGSYELEIIGLSKRFTRTFVVEKGDDPLVISIDL >itb06g22500.t6 pep chromosome:ASM357664v1:6:24760884:24763017:-1 gene:itb06g22500 transcript:itb06g22500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQMITDKVKLYLTYQVSAWVRIGKATGAQTVNLALDVDSQWVNGGHVEINDDRWHEISGSFRIEKEPAKIMVYIQGPAPGVDLMVAALQVFPVDRKARFEHLRRETDKIRKRDVILKFSGSDSLHGADVRVRQTQNSFPFGACISRGNIDNEDFTEFFVKNFNWAVFGNELKWYSTEPEQGKLNYKDADELLDFCTRNNIQVRGHCIFWEVEDVVQSWVRGLSKSDLSKAVQNRITGLLTRYKGKIKQYDVNNEMMHGSFYRERLGEEIRANMFKTANQLDPSAVLFVNDYHIEDGGDSQSCPDRYLGHILDLQEQGAPIGGIGIQGHIDCPVGPIIHSALNKLGILGLPVWFTEIDFSSTNEYIRADDLEVMLRECFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDLNEAGRRYLALKEEWLSHSHGHIDAQGHFSFRGFHGSYELEIIGLSKRFTRTFVVEKGDDPLVISIDL >itb06g22500.t5 pep chromosome:ASM357664v1:6:24760884:24764346:-1 gene:itb06g22500 transcript:itb06g22500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKIRPVSGNSFARATERTQIWNGIQQEITGTVLRKLAYEVTAVVRLYGNNVTSADVRATLLVQAADFCEEYIGIASVQATDKEWTQLRGKFLLNGFPSKVVVFLEGPPPGTDILLNSLTVKHAAKVLQSPPPRIENADFGVNVITNTNLNDGTNGWFPVGNCTLIVGTGSPRIIPPAARDSLGTHQPLSGRYILVTNRTHTWMGPAQMITDKVKLYLTYQVSAWVRIGKATGAQTVNLALDVDSQWVNGGHVEINDDRWHEISGSFRIEKEPAKIMVYIQGPAPGVDLMVAALQVFPVDRKARFEHLRRETDKIRKRDVILKFSGSDSLHGADVRVRQTQNSFPFGACISRGNIDNEDFTEFFVKNFNWAVFGNELKWYSTEPEQGKLNYKDADELLDFCTRNNIQVRGHCIFWEVEDVVQSWVRGLSKSDLSKAVQNRITGLLTRYKGKIKQYDVNNEMMHGSFYRERLGEEIRANMFKTANQLDPSAVLFVNDYHIEDGGDSQSCPDRYLGHILDLQEQGAPIGGIGIQGHIDCPVGPIIHSALNKLGILGLPVWFTEIDFSSTNEYIRADDLEVMLRECFAHPAVEGIMLWGFWELFMSRENSHLVNAEGDLNEAGRRYLALKEEWLSHSHGHIDAQGHFSFRGFHGSYELEIIGLSKRFTRTFVVEKGDDPLVISIDL >itb01g22870.t1 pep chromosome:ASM357664v1:1:28977529:28980631:-1 gene:itb01g22870 transcript:itb01g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTITTPSKASIALLHRPTPLRTWPPRRSLGKQIASALPSPSFDSSKIGLSSKVQGLRLKHDQNNPKADNNRRYPTIEARRGNPPVMPAVLTPAGPLDLSMVLFRNRIVFVGQPVNGQVAQRVISQLVTLATIDENADILMYINCPGGSTYSVMAIYDCMSWIKPKVGTVCLGVAASQGTLLLAGGEKGMRYAMPNARIMVHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMFAAFTGQPLEKVQDFTERDYFMSAAEAMDFGLIDGILETEY >itb14g08620.t1 pep chromosome:ASM357664v1:14:8246453:8248124:1 gene:itb14g08620 transcript:itb14g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYILGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDVNLHFVESPALAPPVVQIDIAAQQKHELELQEAANQPLPDDDDDAFD >itb05g14050.t1 pep chromosome:ASM357664v1:5:21105047:21121743:-1 gene:itb05g14050 transcript:itb05g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVGLARQAYAHQVVLLLLSCFALTNVVVNAQTPAGSEFISIDCGLAEASDYIDDKIGNLLYQPDAGFLEAGSGISYSIEQGYIKNSTSLDKQLWTLRSFPNGSRNCYNLSSAQAKGKRVLVRASFLYGNYDGKNEIPSFDLHLGVEVWDRVQFQGPFDWVIKEMVHVPPSNLLHVCVVNTGQGTPFISALELRPMNDVAYATNASAGKNESLLLFERYNYGDQSVIRYPDDVRDRLWNPYPAPPNGNFISLSAIPPSPDQGIPQNEFEVPSKVMETAISVKTGLKQFFLKFDPVNFTTPYYVYIHFAEITRLPQNQTRVFNILMNNKSWYEEPVSPTYWITDTIFMTRPAFNSEWKIQFVETGSSTLPPLINAAEIYAVKRFVKSQTRENDVQAMVNIKSSYKLKRENWVGDPCLPEAYVWEGLHCSYNDNDPPIITHLNLSASGLSGNISLSISNLISLEYLDLSNNSLIGQVPNFLSQLPSLKVLNLTGNQFSGPIPRELIERAKNGLTLRIEGYEATCGPNNCDDKTTSSKKFIVPMVVLVIITFILLTALLLLWRQKRKKNQEIVRLGARSDRKDGFNVESKNKSFTYSEILNITENFKRVLGKGGFGTVYHGCLGNRQVAVKVLSQSSVQGYHEFQTEAELLTRVHHRNLTSLVGYCYEDINTALVYEFMANGNLRDVLSGRTSHVLSWIERLQIAMDSAQGLDYLHNGCKPPIIHRDVKTTNILLNEKLQAKIADFGLSRAFTVEENSYVSTKVVGTPGYLDPEYFESRRLTEKSDVFSFGIVILELISGRLPVILNDKKPHIIQWVNFFIETGDIRKIIDPKLKEEFEVDSVWKALELAMDCVSPTSIKRPNMDYVVTKLKECLSAEKMRREGNDEVFYSEEVIELMPIAPDNSIIGPR >itb10g00950.t1 pep chromosome:ASM357664v1:10:677520:677939:1 gene:itb10g00950 transcript:itb10g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSQSQSCQPISPRKCARGCGFFGSPENNFLCSKCYTHYLKEEITKLATAVTLTSSPQDSSDLAAESAPAPAKSKRCLCCNKKVGLMSFGCRCGGTFCGPHRFPEEHKCGFDFKALGRKVLAKENPAITTDKFPERI >itb03g00670.t1 pep chromosome:ASM357664v1:3:355337:356323:1 gene:itb03g00670 transcript:itb03g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIEDSVYVCPSFNSYSSNRLPEIAAKISDEFKRGSSEKEEHGNDEFEFTLAEDPEFVYDGQSGQFFPVFNRDLTVINGDFSGQGGHDDEVDRSICIALSKCSIDEKELDRDLPPPPSPSPSSSSSSSDELESAPPGTYCLWRPRLKETPQNRCKKSSSTGSTSFKQWKLRDLLRRSNSEGKDNFVFITPKKGGKSEGNHQSGKVLKLTGKSKAKQITGGDKVGRPPSMAAHEEFYVRSRAAKETSKRKSYLPYRQDLLGFFANVNALGRTLPSF >itb01g16180.t1 pep chromosome:ASM357664v1:1:20033267:20034565:-1 gene:itb01g16180 transcript:itb01g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYVMHDIRYCTNFDSVVVLSKYERMAWFDHNSKATIGVEFQTQVVEVDGKEVKAQVWDTAGQERFRVVTSAYYRGAVGALIVYDITRMITFENIKRWLEELNTHCDTTVARMLVGNKCDLESIRDVSVEEGKLLAEEEGLFFIEMSALDSNNKGIG >itb01g03300.t3 pep chromosome:ASM357664v1:1:2194579:2199979:1 gene:itb01g03300 transcript:itb01g03300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKNGAFLFTELVLVIAALISRLGFVGAATDPNDLQALQVLYGSLNSPSQLTGWKATGGDPCGESWKGVTCQGSAVVSIEISGLGLNGTMGYMLNGFTSLKELDLSSNKIHDLIPYQLPPNLTSLNLANNNITGSIPYSISLMTSLNYLNFSGNSLAQPIGDMFTNRSDLATLDVSFNNFSGDLPPSLGFLLNLSTLRMQNNQLTGSLDVLVDLPLTDLNVANNRFSGWIPNELISIPKFTYGGNSFSNSPAPPPPPFTPPPPGRSHKRSNHSTPGSNKTPGSDDNHSDHENGNGKSGVKAGAIVGIVLGSSAVVLSAIVVMVYCLRRCKKKEITARPSTGSLPIIADKEVLDQRAKSTATVVDLKPPPSEKVTIERMQGKNGSLRRSPITATPYTVAVLQTSTNSFSQENLVGEGSLGRVYRADFPNGKVLAIKKIDSAALSLQEEDNFLEAISNMSRLRHPNIVPLVGYCAEHGQRLLVYDYVGNGSLHDMLHFADERSQLLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSIVLRLENTSCA >itb01g03300.t2 pep chromosome:ASM357664v1:1:2194579:2199456:1 gene:itb01g03300 transcript:itb01g03300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKNGAFLFTELVLVIAALISRLGFVGAATDPNDLQALQVLYGSLNSPSQLTGWKATGGDPCGESWKGVTCQGSAVVSIEISGLGLNGTMGYMLNGFTSLKELDLSSNKIHDLIPYQLPPNLTSLNLANNNITGSIPYSISLMTSLNYLNFSGNSLAQPIGDMFTNRSDLATLDVSFNNFSGDLPPSLGFLLNLSTLRMQNNQLTGSLDVLVDLPLTDLNVANNRFSGWIPNELISIPKFTYGGNSFSNSPAPPPPPFTPPPPGRSHKRSNHSTPGSNKTPGSDDNHSDHENGNGKSGVKAGAIVGIVLGSSAVVLSAIVVMVYCLRRCKKKEITARPSTGSLPIIADKEVLDQRAKSTATVVDLKPPPSEKVTIERMQGKNGSLRRSPITATPYTVAVLQTSTNSFSQENLVGEGSLGRVYRADFPNGKVLAIKKIDSAALSLQEEDNFLEAISNMSRLRHPNIVPLVGYCAEHGQRLLVYDYVGNGSLHDMLHFADERSQLLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQISSTHMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALSKMVDPALNGMYPAKSLSRFADIIALCVQVTLFTQLTSYISTRFTSRSKSPLIKGE >itb01g03300.t1 pep chromosome:ASM357664v1:1:2194579:2199979:1 gene:itb01g03300 transcript:itb01g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKNGAFLFTELVLVIAALISRLGFVGAATDPNDLQALQVLYGSLNSPSQLTGWKATGGDPCGESWKGVTCQGSAVVSIEISGLGLNGTMGYMLNGFTSLKELDLSSNKIHDLIPYQLPPNLTSLNLANNNITGSIPYSISLMTSLNYLNFSGNSLAQPIGDMFTNRSDLATLDVSFNNFSGDLPPSLGFLLNLSTLRMQNNQLTGSLDVLVDLPLTDLNVANNRFSGWIPNELISIPKFTYGGNSFSNSPAPPPPPFTPPPPGRSHKRSNHSTPGSNKTPGSDDNHSDHENGNGKSGVKAGAIVGIVLGSSAVVLSAIVVMVYCLRRCKKKEITARPSTGSLPIIADKEVLDQRAKSTATVVDLKPPPSEKVTIERMQGKNGSLRRSPITATPYTVAVLQTSTNSFSQENLVGEGSLGRVYRADFPNGKVLAIKKIDSAALSLQEEDNFLEAISNMSRLRHPNIVPLVGYCAEHGQRLLVYDYVGNGSLHDMLHFADERSQLLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQISSTHMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALSKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVIRRSSDESGFAYKTPDHDAASGDPPY >itb05g01770.t1 pep chromosome:ASM357664v1:5:1406748:1408395:-1 gene:itb05g01770 transcript:itb05g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLFKFIRPALRPQSTDFQAAAAWGVAAGATAIWIVQDLMVVAVAGVLVRAKMSICVYQESYELGFEGKGMILVWNV >itb05g26530.t1 pep chromosome:ASM357664v1:5:30362610:30364470:-1 gene:itb05g26530 transcript:itb05g26530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCCMSGEEGKLERNSLKKSFQDNRTLSSFANISLKSDGSRRRYIDEEVGKFGEDKVPAHAFTYQEVIDATQNFNIESLLGEGGFGRVYKGHLESKNIDVAVKKLDRNGLQGNQEFLIEVLLLSLLHHPNLVNLEGYCCDGEQRVLVYEFMANGTLEDHLLDLKPDVKPLDWLTRMKIAQGAAKGLEYLHETANPPVIYRDFKASNILLDENFETKLSDFGLAKLGPTGDESHVTTRVMGTYGYCAPEYACTGQLTTKSDVYSFGVVFLEMITGKRVIDNSRPYEEHNLVLWAQPLFKDKNKFHLLADPLLEGNYPPKGLHQALAIAAMCLQEEAETRPFISDVVSALHFLCGTTQPEEGEGAPPEDSETGQ >itb08g00550.t1 pep chromosome:ASM357664v1:8:442319:448185:1 gene:itb08g00550 transcript:itb08g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISSTFPFQDGLLHFSPISARQQTRPPVSDHNSNPLLVFDLLKGDDDVVPTMKHNVVLQQHQQLQQRNPNNPHQERKIIRRDVERQRRKEMGGLYKSLVGLIPYEYIKDGLLHFSPISARQQTRPPVSDHNSNPLLVFDLLKGDDDVVPTMKHNVVLQQHQQLQQRNPNNPHQERKIIRRDVERQRRKEMGGLYKSLVGLIPYEYIKDGLLHFSPISARQQTRPPVSDHNSNPLLVFDLLKGDDDVVPTMKHNVVLQQHQQLQQRNPNNPHQERKIIRRDVERQRRKEMGGLYKSLVGLIPYEYIKGKRSTSDRLQETVRYVKDLRKRVEKLSAKRDELKGVTEAAADLSSNSLLRPAAASSSSLMKGRDECNNNNNNNNDEQSRRVTVKACRAGVEVTVNVGAQKIKGGGGVSLSKILKVLVGDGLSINNCCSIKVNDRLLQTIEAEVIGGGSIDPTQLEQKLSVLVYNHGGF >itb03g17220.t1 pep chromosome:ASM357664v1:3:15905660:15907887:1 gene:itb03g17220 transcript:itb03g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERNCEPDVVTYTILMEATLRGGDIGGAMKLLDEMLSRGLEPNIYTYNTILKGLCRKGMMDHAYELIRSLPARGCRPDVISYNTLLRAWVNSGDGDGGEKLLKEMVARGVQPNIQTYNLVLIRLCGKGMLDQAYKFIRSFPARGCKPNVISYNILLGALLDRGNWDDREKLVKEMLSTGCEPTVVTYSILLISLCRDGELNEAMKLLQIMVEIGITPDTYVYTPLIRASCKEGRLDLAIDLLDEMVSNGCSPNTLNYNVILSAMCKDGHADLAVDTFEKLCETGCLQDVSAFNSMLSVLWNIGERTKALNMVLRMIDKGIDPNEFTYCTLVSCLCKGGMVDEAMVLLKDMESCSFPPNVYIYNIILLGYCKSQRLDEAIGVFEEMIAKGCQPNEKTYIILINGFGFQGWRDEAIELGTTLFQMNVISSKSLLGLKKTFLKHQPGVVGKRSINVIAREKYSG >itb03g17220.t2 pep chromosome:ASM357664v1:3:15905660:15907887:1 gene:itb03g17220 transcript:itb03g17220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLSNQLLTRTPLFFKNLEPTTNHKHPSSSIVKCKKHEFRNRNPTVNPQSIKASPEDTHTLMHLNDFIEPDLTRMSFDESLYVLECMVKMGYKPDVAVCTRLIKGFFTVKKAEKAVKVMELLEKFGEPNVVTYNAMITGFCKMNRVREANRVLNRMRIRGISPDVITYTIIIECLCGRGKLGLALKVLDQMKEERNCEPDVVTYTILMEATLRGGDIGGAMKLLDEMLSRGLEPNIYTYNTILKGLCRKGMMDHAYELIRSLPARGCRPDVISYNTLLRAWVNSGDGDGGEKLLKEMVARGVQPNIQTYNLVLIRLCGKGMLDQAYKFIRSFPARGCKPNVISYNILLGALLDRGNWDDREKLVKEMLSTGCEPTVVTYSILLISLCRDGELNEAMKLLQIMVEIGITPDTYVYTPLIRASCKEGRLDLAIDLLDEMVSNGCSPNTLNYNVILSAMCKDGHADLAVDTFEKLCETGCLQDVSAFNSMLSVLWNIGERTKALNMVLRMIDKGIDPNEFTYCTLVSCLCKGGMVDEAMVLLKDMESCSFPPNVYIYNIILLGYCKSQRLDEAIGVFEEMIAKGCQPNEKTYIILINGFGFQGWRDEAIELGTTLFQMNVISSKSLLGLKKTFLKHQPGVVGKRSINVIAREKYSG >itb04g15900.t1 pep chromosome:ASM357664v1:4:17143268:17143788:1 gene:itb04g15900 transcript:itb04g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVLCNLWSRSLQNGVLIIWCGGSGRRWLGRRSRPRVAGIVPVLVDGRCFARSLGEWGNERIGRAASVPVQFAQKRQNRELEI >itb03g17330.t1 pep chromosome:ASM357664v1:3:15971019:15980396:-1 gene:itb03g17330 transcript:itb03g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEDTTANAPSAAYEGGGAGGKFRKRPFRRAQATPYDRPPTTALRNPSWLSKLVVDPASKLINAGARRLFASFSRKTLPPPPPPPSHPPLPAIPAPPPSETTQDPKDVHNASGPNNHDGDFEPDVHKHGDTAYNPEGSAFSELEQLLKKKTFTRYEIDQLTELLHSKAVDSPLEDAGKRIEVSRLASADISRGISDDNNTEKGASHGVTSTAIISSKICEDDVATPAELAKTYMGSRQSKVSPSVQSFRNQTVREVVPFVSQSPIASLARRPGIFGVTENGFTTPKSRGRSAVYNMARTPYSRVRPTDFQKGGSSTSYLYAGASSSHSKLEPDEVFGSKQVSLKRTSSVLDDDLGSIGPIRRIKQKSNLLYNNGAYLPTRRVGVVSAASKHQLFQTQEKSKTLEKSHDGATPSTSRFLVPTKSSETAAKILEHIDKLSPKEKPSESKRFAIKDKSPTKLTTNMLHGQALRSLEHLDSSRLLQSASNAYEPDTRANATLPDASDSKLPKHGSVLENRPMNFVDTVNHVANREVTFSERDAPASADSIHPKFAALPPEKKCAFKMSAPEDYLELDEDIGSNVPASKSLSEGNGKQEMPLVNSKFVSSEELSKNKGLVFPEINTPSGFLSKRTDEQTPNGVNTSVKYTGFSTNEDLQAGKLNNTYGTEIKGSSLALTGSKLDSLSSPTNLASGPTDTWPRLPMDDNSLKAGINGKLEAPSVASTSTTTTSLFAVPSSNLSNGNFSPNPVLSSSSVLAASNSESHTDALSVAAPSISAATTNVPVTSSVIKPGTSIFSSTTNSTSATFSNSETSALNVKSEKLENGTSLGNLKSSSFAGTSSAGTNTIFGLNSSVMATSTATQPQGSLFSTGGGSTVSANPLLPQSSNTTSPQTISSLFGSSTSSPVLGTSGVTSFSSLSATAPASKPLPASVSSTESNQVGSTSSGIFSFGATSALPSNGVTTVTPSFGASPVAPAPETKVLSPSTGSTPALFSFGNSSTSSSTNASPAISSFGSSSAASTSTSSTPAFSFSGSSSAPSSSSTTPGVLSLGGSSVASSSSSITPGVFSFGGSSVASTSGSTTPAVFSYGGSSAGSSSSSTTPSVFGFGGSSVSSSSSSSTPVVFGFGGNSAPSSSGSTTPSVFSFGGSSAPSSSGSTTPPVFSFGGNPASSSSSGVFSFGGSSAASSATAVSNTAPGTFNFGASSASSQASQGGAVAGIFGSSQQPSSGAFGSSPSSIGFTFGASSSSFSTSNTAPMPFGSSPGASSSPVFGFGTMSSTSSQPIFGNTTPSFTASPGNNEQMTMEDSMAEDPVQQSSSPAVSVFGQPSNSPAPNSSFVFGSPNPFQFSGQQNQAAPQNPSPFQASGSLEFNGGGGSFSLGSNGPDKSGRKIVKVNRNKNRRK >itb12g24590.t1 pep chromosome:ASM357664v1:12:26060728:26061956:1 gene:itb12g24590 transcript:itb12g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGITASVQRASSSYHGSKKQWRKVHAKSLQSPGTKRAKSHVVTTKDVEGHHNELNVVEVTEKSGLLDEDESRGDGGGSVSRSSPRFSDERWRNGTWDLNMFVKNGRMDWDAVIVAEARRRKHLELFPEAATDQEPVLFRSSIIPWWAWIKHSHLPEAELLNGRAAMLGFFMSYIVDALTGLDVVGQAGNFLCKAALFATVGGVMLFRKRQDFDNLRDLVDEATFYDKQWQASWKDQNHADGSGQREK >itb15g09800.t1 pep chromosome:ASM357664v1:15:7063372:7070708:-1 gene:itb15g09800 transcript:itb15g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLMSENVVYLHGDLDLKILEARRLPNMDIVSERIRRFVTAFDFRKPASRQGKKVHRHTKIITSDPYVKVCLAGATVARTRVISNSQDPVWAEHFKIPVAHPVSEVEFQVKDNDVFGADFIGVAKVPAHKIISGEPINDWFPIIGANGKPPKPDCAIRLVMKFTPCDENPAYRGGVPENYGLKESYFPMRHGGAVTLYQDAHVPEGSLPEINIDGGKAFQHENCWEDICHAILEAHHMVYIVGWSIYHKVKLVREPTRPLPNGGDLNLGELLKYKSQEGVRVLLLVWDDKTSHSKFFINTSGVMGTHDEETRKFFRHSSVTCVLAPRYASSKLSFFKQQVVGTLYTHHQKCVIVDTQAQGNNRKITAFIGGLDLCDGRYDTPQHRLFSDRHTVFQDDYHNPTFPEEGVKAPRQPWHDLHCKIEGPAAYDILTNFEQRWRKASKWAELGRRFKKISHWHDDALLKVERISWITSPSPSVPNDDPSLWVSNEDDPENWHAQVFRSIDSGSLKGFPKDVHTAVTQNLVCAKDLVIDRSIQMAYIEAIRRAQHFIYIENQYFLGSSYAWPSYKEAGADNLIPMELALKIVSKIRNRERFTVYIVIPMWPEGVPSSAPVQEILYWQGQTMQMMYEIIAKELKSEKIEDAHPCDYLNFYCLGNREECNEESVSSHAPGYSDVMTASQKSGRFMIYVHAKGMVVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPHQTWAKNQSHPHGQVYGYRKSLWAEHLGMMDKRLDEAEGRSCVKLVNEIAEENWKRYTAEKFTPLQGHLLKYPVQVDSNGKVSPLGGFEYFPDVGGKVLGGRTTLPDALTT >itb05g18440.t1 pep chromosome:ASM357664v1:5:25226833:25232355:-1 gene:itb05g18440 transcript:itb05g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7 [Source:Projected from Arabidopsis thaliana (AT2G23360) UniProtKB/Swiss-Prot;Acc:Q9SLN1] MDHKSWLWKKKSSEKNNVVDGETRRLSFSRNEEEVHMLLNGKAELEREIKDLNDKLSSALSECNAKDDFAQRQANIAQEAIAGWEKAEAEAIFVKQELEKALYEIEAGDERLVNLDAALKECMQQLRSVRGEQEKRIHDAVFETSKEFEKTRIVLEEKLAEAGNRLAKLGAENNQLSKALLAKEKVIENLSAQRAQAEREFNSLMTRLDTLEKENASLKYEVRVIEKDLEIRNEEREFNRRTADASHKQHLESVKRIAKLESECQRLRLLVRKRLPGPAAMAKMKNEVEMLGRDQGETRRRKSNPSPFGSMDSTGDVAPDTPNKKIDLLTEQLCMMEEENRHLREALDRKANEFQLSKDMYAHAVSKSSQAEGQFNKIPTNCLAVDAEKHLQSAQEHSVMSLSDVGSDDKASCAESWASALISELEHFKNEKQTGTPSYKNIGASDMNLMDDFVEMEKLAVEIVDNSTATHSYTLHKDCAVDGVQSQPSGHSVDAADREQAPVSDCLLEHSASTQNFQSEDISNNHVSSLLEVLLEQSRTMQRNPNDILEDIKIALAHKSPSDLKFVGAKESSIYCDTPYPPPKVGEFVSLGSPDESRRGDSPHKETRDNLSAANKSNHEIQSHIGPSITKVIEIIEGVLPYRDSDTQTDFTVRHFQWKTSELSVILREFVQICHNLLDGNADFEKFAEQLTCTLEWIVNHCFSLQDVSSMKDAIKNHFDWDDLQSECEVEPGMISHISEVKKVHVCGEPSSDLIVASCDHEGSSEVKEIPLIENDGCRIYNNEFAQEETMKEDLDWKLQSKTVETDSLMIQLQESESIIKSLRLEVENLRDLKLMIEEQAEKDKLMKEDLEMELTATKLELNEAYQKYSHLEKEMESRKSSSREPGSAHDKLQFYEESISNKEIPQHDVDNEEKLLQHDRDITAASEKLAECQETILNLGKQLKALATPRDAALFDSVIPVTAYSSTDTVTNPNKDLGRRTSLLDKMIDEDNDSRGRKAPSTKELILNGNPHPASGANNAIEHPEEVRSPNGNRNAADEDLVAVGSLAIVPSKKKNGGLLKKIFSRKKHCCKTTLS >itb05g18440.t2 pep chromosome:ASM357664v1:5:25226844:25231950:-1 gene:itb05g18440 transcript:itb05g18440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7 [Source:Projected from Arabidopsis thaliana (AT2G23360) UniProtKB/Swiss-Prot;Acc:Q9SLN1] MDHKSWLWKKKSSEKNNVVDGETRRLSFSRNEEEVHMLLNGKAELEREIKDLNDKLSSALSECNAKDDFAQRQANIAQEAIAGWEKAEAEAIFVKQELEKALYEIEAGDERLVNLDAALKECMQQLRSVRGEQEKRIHDAVFETSKEFEKTRIVLEEKLAEAGNRLAKLGAENNQLSKALLAKEKVIENLSAQRAQAEREFNSLMTRLDTLEKENASLKYEVRVIEKDLEIRNEEREFNRRTADASHKQHLESVKRIAKLESECQRLRLLVRKRLPGPAAMAKMKNEVEMLGRDQGETRRRKSNPSPFGSMDSTGDVAPDTPNKKIDLLTEQLCMMEEENRHLREALDRKANEFQLSKDMYAHAVSKSSQAEGQFNKIPTNCLAVDAEKHLQSAQEHSVMSLSDVGSDDKASCAESWASALISELEHFKNEKQTGTPSYKNIGASDMNLMDDFVEMEKLAVEIVDNSTATHSYTLHKDCAVDGVQSQPSGHSVDAADREQAPVSDCLLEHSASTQNFQSEDISNNHVSSLLEVLLEQSRTMQRNPNDILEDIKIALAHKSPSDLKFVGAKESSIYCDTPYPPPKVGEFVSLGSPDESRRGDSPHKETRDNLSAANKSNHEIQSHIGPSITKVIEIIEGVLPYRDSDTQTDFTVRHFQWKTSELSVILREFVQICHNLLDGNADFEKFAEQLTCTLEWIVNHCFSLQDVSSMKDAIKNHFDWDDLQSECEVEPGMISHISEVKKVHVCGEPSSDLIVASCDHEGSSEVKEIPLIENDGCRIYNNEFAQEETMKEDLDWKLQSKTVETDSLMIQLQESESIIKSLRLEVENLRDLKLMIEEQAEKDKLMKEDLEMELTATKLELNEAYQKYSHLEKEMESRKSSSREPGSAHDKLQFYEESISNKEIPQHDVDNEEKLLQHDRDITAASEKLAECQETILNLGKQLKALATPRDAALFDSVIPVTAYSSTDTVTNPNKDLGRRTSLLDKMIDEDNDSRGRKAPSTKELILNGNPHPASGANNAIEHPEEVRSPNGNRNAADEDLVAVGSLAIVPSKKKNGGLLKKIFSRKKHCCKTTLS >itb05g24820.t3 pep chromosome:ASM357664v1:5:29500563:29506941:1 gene:itb05g24820 transcript:itb05g24820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADIYISSFITCHGHVQVSQILKHCNSRCLAVVPQGGNTGLVGGSVPVFDEVIINLGSMNKIISFDKVSGILVCEAGCILENLISFLDNQRFIMPLDLGAKGSCQIGGNVSTNAGGLRLLRYGSLHGNVLGVEAVLANGTVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIITKVSVLTPPKLSSVNLCFLACNDYASCQKLLLEAKTKLGEILSAFEFLDANAMDLVLKHLEGVRNPLPSSIPNFYVLIETTGSNETYDKERLEAFLLHSMETGLITDGVVAQDINQASSCWHIREGIPEALMKAGAVYKYDLSIPVEKMYDLVEEMRTRLDAKAKVVAYGHLGDGNLHLNISAPQYDDNLLSQIEPFVYEWTSKHHGSISAEHGLGLMKANKIYYSKSPETVQLMASVKRLLDPNGILNPYKVLPSSFSIQQ >itb05g24820.t2 pep chromosome:ASM357664v1:5:29499229:29505874:1 gene:itb05g24820 transcript:itb05g24820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLKRLRNYLLITASIQLSLIRLAGRRVQNMENWRATTRLLNWCSKKLFDRRSSPNYLNSTRCRASGCIYHFDKTCTSLNPYVVNYQENIHTHCHSVSSLSTNCWNRGSAHSRRFSSDSTVIQRNPKFSTINPDDISYFKKILGERGVVEDEDTLNAVNMDWMRKYKGASKLMLQPRSTEEVSQILKHCNSRCLAVVPQGGNTGLVGGSVPVFDEVIINLGSMNKIISFDKVSGILVCEAGCILENLISFLDNQRFIMPLDLGAKGSCQIGGNVSTNAGGLRLLRYGSLHGNVLGVEAVLANGTVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIITKVSVLTPPKLSSVNLCFLACNDYASCQKLLLEAKTKLGEILSAFEFLDANAMDLVLKHLEGVRNPLPSSIPNFYVLIETTGSNETYDKERLEAFLLHSMETGLITDGVVAQDINQASSCWHIREGIPEALMKAGAVYKYDLSIPVEKMYDLVEEMRTRLDAKAKVVAYGHLGDGNLHLNISAPQYDDNLLSQIEPFVYEWTSKHHGSISAEHGLGLMKANKIYYSKSPETVRPIYMHVFLFVIATSDVST >itb05g24820.t1 pep chromosome:ASM357664v1:5:29499229:29507209:1 gene:itb05g24820 transcript:itb05g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLKRLRNYLLITASIQLSLIRLAGRRVQNMENWRATTRLLNWCSKKLFDRRSSPNYLNSTRCRASGCIYHFDKTCTSLNPYVVNYQENIHTHCHSVSSLSTNCWNRGSAHSRRFSSDSTVIQRNPKFSTINPDDISYFKKILGERGVVEDEDTLNAVNMDWMRKYKGASKLMLQPRSTEEVSQILKHCNSRCLAVVPQGGNTGLVGGSVPVFDEVIINLGSMNKIISFDKVSGILVCEAGCILENLISFLDNQRFIMPLDLGAKGSCQIGGNVSTNAGGLRLLRYGSLHGNVLGVEAVLANGTVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIITKVSVLTPPKLSSVNLCFLACNDYASCQKLLLEAKTKLGEILSAFEFLDANAMDLVLKHLEGVRNPLPSSIPNFYVLIETTGSNETYDKERLEAFLLHSMETGLITDGVVAQDINQASSCWHIREGIPEALMKAGAVYKYDLSIPVEKMYDLVEEMRTRLDAKAKVVAYGHLGDGNLHLNISAPQYDDNLLSQIEPFVYEWTSKHHGSISAEHGLGLMKANKIYYSKSPETVQLMASVKRLLDPNGILNPYKVLPSSFSIQQ >itb07g03290.t1 pep chromosome:ASM357664v1:7:2228612:2230975:1 gene:itb07g03290 transcript:itb07g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHSSLKSLLKFPIAIRYIAITSLPSSFCWHHRSRACSIFWAHKATPTRSWPVIRERVQGIRAAFQENLNTAACSSTGDDNSTGGREYLMMSDEQLLRQCEMETFKSSGPGGQHRNKRESAVRLKHRPTGVVAQAAEDRSQHMNRASALNRLRAQLALKVRNSIDLDDYTPPQELIQILPANSSIKGPNSGPKIGPNNPKFILGMQALLDLIFVVGGSVSEAAKKLGLSTGALSRLIVSDDSLRMAVNEFRTSKGMKPLK >itb04g03600.t1 pep chromosome:ASM357664v1:4:2211150:2215032:1 gene:itb04g03600 transcript:itb04g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSANKGANGSIPTDKKVKVVFVLGGPGSGKGTQCAKIVENFGYTHLSAGDLLRAEQKSGSENGTMIQNMIKEGKIVPSEVTIALLQRAIQEHENDKFLIDGFPRNEENREAFERVTGILPEFVLFFDCPEEEMEKRLLGRNQGREDDNIETIRKRFNVYMESSLPVIEYYKSKGKVQKINAAKPVDEVFEAVKAVFTPSDAKVAAA >itb12g28140.t1 pep chromosome:ASM357664v1:12:28186500:28188662:-1 gene:itb12g28140 transcript:itb12g28140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANTLPLSSPISKAFPIRVRDPNLSLPQSIPVNTNFNKKPFLTSCLKTSLESIVAPETISTPAPTRVKILSEALPFIQKFRGKTIVVKYGGAAMKSEALQASVITDIVLLSCVGLRIVFVHGGGPEINQWLGRLGIQPNFLNGLRVTDASTMEIVSMVLVGKVNKHLVALINKAGATAVGLSGIDGQLLTARPSPNSAQLGFVGEIQSVDPNVLRPLIDNYHIPVIASVAADKSGQSYNINADTAAGELAAALGAEKLILLTDVAGILENRDDPGSLVKEVDIKGVKKMMEDGKISGGMIPKVNCCVRSLAQGVRTASIIDGRLEHSLLLEILTDEGAGTMITG >itb06g14330.t1 pep chromosome:ASM357664v1:6:18905873:18908255:1 gene:itb06g14330 transcript:itb06g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISHSDPNQTAETLNIAAPSRSAAFPLLCFAGNSAFGALVGSIFGYGNGLIKKKGFKGSFVEARSSAKRFAVSSGVDNLVLYQLNMLRGKDDVINAGVAGCCTGLALSFPGTPQALVQSCLTSGAFAFIFDGLYRKLAPAKESSSTNSNELREEINGEL >itb05g05980.t3 pep chromosome:ASM357664v1:5:5972216:5978959:-1 gene:itb05g05980 transcript:itb05g05980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAVTSLLRTIELEFFQSQPRPLPQHQKQIITDCTDLIESLRESLRFVQSSLEELQGLDAKGREKLPRDLGAKLRDFALNAEDGIESELSHIYLAEPVPGELVPSSEEPQPPSPATLHQVLQRAVDEIDRIKEELTSIKDKIDQAEDWAAQSHAADEPPEEIMVGKRDELTATKDLLISGRTSNNRLEVVAVHGMGGIGKTVLAKSIYEDKSIKNHFDKCAWVVISQHYNKDEMLPRLLKSLVQDQEQPQYDSDNIEKQIYKHLERNRYLILLDDVWSIDAWNDVRSCFPDDCNGSRVLLTTRLKNVAQAAATTINCYQMRFFNPEESWDLFRMKNFLVEISNQVEFEMIGKKVVEKCYGLPLAVNVAAGLFSKLATLEEWKNVEKAIDSLEREPIAERCADILALSYNHLPHYLKSCFLYFGFFPKNYPLIAKKLVRLWIAEGFVKEGENERVVGEKHLHELIDRNLVLVGDRKSSYGTIRTCMVHDLLHDLSKREAQKENLLYVVGDREMASSRQRWITAQKESYSDPSLYACFKNCRSFLYFEEDKEAIELMKHGIFKPKLGSRKHISDLLQVSSNLKLLRVLEMSSFECLEELGSLSSWIADLVHLRHLSLTIGFPLTNFTISKARNLHTLQISAGYQGMKDPFPSFILDELPQLRYLKCWPSCELFPPTFVHENLHSVSSISPVQCLQQVFAKIPHLRKLSITGERSYFILYGENLAFLPQLESLSIDFQGFNASQTIHTPASSVDNIASLHGLKKLKLMCTEILWKDVNLLAKLHKLEVLKLIFQACVGREWKLVDEEEEYIFCSLKYLYIDYSSLVEWEATYVNFPVLERLLLSRCFNLKEIPSDFEGITTLQLIEIMGCLPSVEASAREIEEGQRDSGNDTIQVVVHKMPSNSVAETSDPNEEGPSREEEDS >itb05g05980.t1 pep chromosome:ASM357664v1:5:5972216:5978959:-1 gene:itb05g05980 transcript:itb05g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAVTSLLRTIELEFFQSQPRPLPQHQKQIITDCTDLIESLRESLRFVQSSLEELQGLDAKGREKLPRDLGAKLRDFALNAEDGIESELSHIYLAEPVPGELVPSSEEPQPPSPATLHQVLQRAVDEIDRIKEELTSIKDKIDQAEDWAAQSHAADEPPEEIMVGKRDELTATKDLLISGRTSNNRLEVVAVHGMGGIGKTVLAKSIYEDKSIKNHFDKCAWVVISQHYNKDEMLPRLLKSLVQDQEQPQYDSDNIEKQIYKHLERNRYLILLDDVWSIDAWNDVRSCFPDDCNGSRVLLTTRLKNVAQAAATTINCYQMRFFNPEESWDLFRMKNFLVEISNQVEFEMIGKKVVEKCYGLPLAVNVAAGLFSKLATLEEWKNVEKAIDSLEREPIAERCADILALSYNHLPHYLKSCFLYFGFFPKNYPLIAKKLVRLWIAEGFVKEGENERVVGEKHLHELIDRNLVLVGDRKSSYGTIRTCMVHDLLHDLSKREAQKENLLYVVGDREMASSRQRWITAQKESYSDPSLYACFKNCRSFLYFEEDKEAIELMKHGIFKPKLGSRKHISDLLQVSSNLKLLRVLEMSSFECLEELGSLSSWIADLVHLRHLSLTIGFPLTNFTISKARNLHTLQISAGYQGMKDPFPSFILDELPQLRYLKCWPSCELFPPTFVHENLHSVSSISPVQCLQQVFAKIPHLRKLSITGERSYFILYGENLAFLPQLESLSIDFQGFNASQTIHTPASSVDNIASLHGLKKLKLMCTEILWKDVNLLAKLHKLEVLKLIFQACVGREWKLVDEEEEYIFCSLKYLYIDYSSLVEWEATYVNFPVLERLLLSRCFNLKEIPSDFEGITTLQLIEIMGCLPSVEASAREIEEGQRDSGNDTIQVVVHKMPSNSVAETSDPNEEGPSREEEDS >itb05g05980.t2 pep chromosome:ASM357664v1:5:5972216:5978959:-1 gene:itb05g05980 transcript:itb05g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAVTSLLRTIELEFFQSQPRPLPQHQKQIITDCTDLIESLRESLRFVQSSLEELQGLDAKGREKLPRDLGAKLRDFALNAEDGIESELSHIYLAEPVPGELVPSSEEPQPPSPATLHQVLQRAVDEIDRIKEELTSIKDKIDQAEDWAAQSHAADEPPEEIMVGKRDELTATKDLLISGRTSNNRLEVVAVHGMGGIGKTVLAKSIYEDKSIKNHFDKCAWVVISQHYNKDEMLPRLLKSLVQDQEQPQYDSDNIEKQIYKHLERNRYLILLDDVWSIDAWNDVRSCFPDDCNGSRVLLTTRLKNVAQAAATTINCYQMRFFNPEESWDLFRMKNFLVEISNQVEFEMIGKKVVEKCYGLPLAVNVAAGLFSKLATLEEWKNVEKAIDSLEREPIAERCADILALSYNHLPHYLKSCFLYFGFFPKNYPLIAKKLVRLWIAEGFVKEGENERVVGEKHLHELIDRNLVLVGDRKSSYGTIRTCMVHDLLHDLSKREAQKENLLYVVGDREMASSRQRWITAQKESYSDPSLYACFKNCRSFLYFEEDKEAIELMKHGIFKPKLGSRKHISDLLQVSSNLKLLRVLEMSSFECLEELGSLSSWIADLVHLRHLSLTIGFPLTNFTISKARNLHTLQISAGYQGMKDPFPSFILDELPQLRYLKCWPSCELFPPTFVHENLHSVSSISPVQCLQQVFAKIPHLRKLSITGERSYFILYGENLAFLPQLESLSIDFQGFNASQTIHTPASSVDNIASLHGLKKLKLMCTEILWKDVNLLAKLHKLEVLKLIFQACVGREWKLVDEEEEYIFCSLKYLYIDYSSLVEWEATYVNFPVLERLLLSRCFNLKEIPSDFEGITTLQLIEIMGCLPSVEASAREIEEGQRDSGNDTIQVVVHKMPSNSVAETSDPNEEGPSREEEDS >itb13g26030.t1 pep chromosome:ASM357664v1:13:31366062:31367857:1 gene:itb13g26030 transcript:itb13g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQSSSSDLSPMDSFPPDFYHLVPPEISPPDYPSSDPSVLKFIESSKNYLASLPFKPSKSKFCPLPCSRYKRSIDIIREEKPEEYKIIIDICKLALEQYKSEELYDFESVPDGEEVDVTAVGCMQYTLKFRAMKVDGHVEMFEVTGQFMGGGNLIIKECTLLK >itb14g15370.t1 pep chromosome:ASM357664v1:14:18676119:18678800:1 gene:itb14g15370 transcript:itb14g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHHQFLLSLAIIFLFTSSSNAQGPTSPGYKPSTKVGSLGFNQGFKNLWGPEHQSLVQGTLSIRLDKAHGGSGFKSLKSYASGYIGASMKLHPGYTAGVVTCLYLSNTEQHPTDHDEIDIEFLGTTPGKPYTFSTNVYMKGTGDGKNTLGREVGFNLWFDPTKDFHHYAILWNPSEIIFFVDDVPIRHYPKKSATTFPERAMYVYGSIWDGSSWATEKGKYKADYKYEPFIGQYKDFKVSGCAEKALAACKPIPGSPTGSTGLSKQQIAAMAWVHKSHKAYDYCQNLSRDRAKTPEC >itb12g09790.t2 pep chromosome:ASM357664v1:12:7779888:7782609:-1 gene:itb12g09790 transcript:itb12g09790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDILLTALSIENHHPSTFLSMDSSAPSSHDDLDLETMNRQDPIIGPPDINLPLSTELSPPPRPWNSEHFDVLDVGLRTQIYETESPQNAPKIGRKCAKRTDSIWAAWFFFSFYFKPVFNEKSKAKVVCDSNGVSGFDKADLQLDTFMVQHDMENMYMWVFKERPESALGKMQLRSYMNGHSRQGERPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLSVLDEGNRRRWVELTGREANFTIPPEASDYSSWRNLPNTEFELERPPLHSKKLFNGSVLKLSTRPSNHTNGDIMDLSPVCNKRKDFFSHGNEEEDSYLQANRPPNLEVNPNEPHWLNEFRGVMRNVYGPVTAAKTIYEDEEGYLIIVSLPFVNLQRVKVSWRNTLKHGIIKVCCSSTSRTSFIKRHKRTFKLLDSSSEHCPPGEFVREIPLSTRIPEDANIEAYVDGSGTVLEILVPKLQEGPEEHEVHIRLCPQLSPSDDLMLT >itb12g09790.t1 pep chromosome:ASM357664v1:12:7779778:7782609:-1 gene:itb12g09790 transcript:itb12g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDILLTALSIENHHPSTFLSMDSSAPSSHDDLDLETMNRQDPIIGPPDINLPLSTELSPPPRPWNSEHFDVLDVGLRTQIYETESPQNAPKIGRKCAKRTDSIWAAWFFFSFYFKPVFNEKSKAKVVCDSNGVSGFDKADLQLDTFMVQHDMENMYMWVFKERPESALGKMQLRSYMNGHSRQGERPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLSVLDEGNRRRWVELTGREANFTIPPEASDYSSWRNLPNTEFELERPPLHSKKLFNGSVLKLSTRPSNHTNGDIMDLSPVCNKRKDFFSHGNEEEDSYLQANRPPNLEVNPNEPHWLNEFRGVMRNVYGPVTAAKTIYEDEEGYLIIVSLPFVNLQRVKVSWRNTLKHGIIKVCCSSTSRTSFIKRHKRTFKLLDSSSEHCPPGEFVREIPLSTRIPEDANIEAYVDGSGTVLEILVPKLQEGPEEHEVHIRLCPQLSPSDDLMLT >itb08g02650.t1 pep chromosome:ASM357664v1:8:2263284:2263942:-1 gene:itb08g02650 transcript:itb08g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGKERSVGVAMLMMMSFPVMLTFAYTDDMPSEDYLYKLAGISIVPKGCPDKCGNVSIYYPFGIGPNKDCYLNKWFLISCNQSSSSNGIVEKPYLSSFSNGKVVATLSSLHTVTVRVRVNRAVALRCVVAKTLLLWHLNNLVTALTVANFL >itb04g15270.t2 pep chromosome:ASM357664v1:4:16494971:16503140:-1 gene:itb04g15270 transcript:itb04g15270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVIASADRPTVMVTNDDGIDAPGLRALVRVLISTDRFNVLVCAPDSEKSAVSNSITWRNALPVKRVDISGATAFAVGGTPADCTSLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNNIPSVSLSYDWVGGKSNVDDFTLAAEACIPIISAIVAEIKSKTYPVNCFLNVDVPTDVLNHKGYRLTKQGKSIVKMGWMEVTPGTQGGKMLSTMTMEQNSSETVEPSNLSTQREHLLFKREVRGAQVDNGDTDYYYLQQGYITVTPLGALSNADADGVEFFKEWLASVSEHISSKL >itb04g15270.t1 pep chromosome:ASM357664v1:4:16494971:16503150:-1 gene:itb04g15270 transcript:itb04g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVIASADRPTVMVTNDDGIDAPGLRALVRVLISTDRFNVLVCAPDSEKSAVSNSITWRNALPVKRVDISGATAFAVGGTPADCTSLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNNIPSVSLSYDWVGGKSNVDDFTLAAEACIPIISAIVAEIKSKTYPVNCFLNVDVPTDVLNHKGYRLTKQGKSIVKMGWMEVTPGTQGGKMLSTMTMEQNSSETVEPSNLSTQREHLLFKREVRGAQVDNGDTDYYYLQQGYITVTPLGALSNADADGVEFFKEWLASVKSSPN >itb10g23050.t1 pep chromosome:ASM357664v1:10:27618014:27618978:1 gene:itb10g23050 transcript:itb10g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPESPPTDANEYSPANTLVLFERPVPLLRGPIRTDPKDDPSAGQFTLAFKHPLSWASAYRACENQIIQQCEAGARIGCSVAASQRCQPPWWKFLFGKQDFTERQKCEEREMEDCLSEAKERCSGYAKEKCSRAFLDARVAVKASENPNLNWREASKLISCVNLAEKNLGADLLRLQKPWVGFKTQFEVTCCRGRDLLGSDSANIDDFLKTQFQI >itb10g19990.t1 pep chromosome:ASM357664v1:10:25646790:25648814:1 gene:itb10g19990 transcript:itb10g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCWDDVMAGPHPGVGKLKNLTIKVDSGVGEGSSKFQRSLSMPVSPVGPMTPTTPSPTGARKDNVWRSVFNPGSNLATKNIGAQVFDKPKNTNSPTVYDWLYSGETRSKHR >itb01g14110.t2 pep chromosome:ASM357664v1:1:15464775:15468457:-1 gene:itb01g14110 transcript:itb01g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTCASPGNLSRFVGSDLQKPRPFGSHWLHGSDLHLHFQNKPCQINYKKRSSGVKASLAENDEYYSQRPPTPILDTINYPIHMKNLSIKELNQLANELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRREKMPTIRQTNGLSGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRKNNVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSRALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGLISGSGSTLFEELGLYYIGPVDGHNIDDLVSILQEVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVTKFDPATGKQFKASAKTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGLNLFQRRFPTRCFDVGIAEQHGVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGSDGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCLRYPRGNGVGVVLPPGNKGTPLEVGRGRILMEGERVALVGYGTAVQSCLSAAALLETRGLRVTVADARFCKPLDHALIRSLAKSHEVMITVEEGSIGGFGSHVAQFMALDGLLDGNLKVRLKQHTNYLQLFKKEKKKKLHHYTQKGSRSMSLNFTQRVKAH >itb01g14110.t1 pep chromosome:ASM357664v1:1:15464498:15468457:-1 gene:itb01g14110 transcript:itb01g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTCASPGNLSRFVGSDLQKPRPFGSHWLHGSDLHLHFQNKPCQINYKKRSSGVKASLAENDEYYSQRPPTPILDTINYPIHMKNLSIKELNQLANELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRREKMPTIRQTNGLSGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRKNNVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSRALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGLISGSGSTLFEELGLYYIGPVDGHNIDDLVSILQEVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVTKFDPATGKQFKASAKTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGLNLFQRRFPTRCFDVGIAEQHGVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGSDGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCLRYPRGNGVGVVLPPGNKGTPLEVGRGRILMEGERVALVGYGTAVQSCLSAAALLETRGLRVTVADARFCKPLDHALIRSLAKSHEVMITVEEGSIGGFGSHVAQFMALDGLLDGNLKWRPVVLPDRYIDHGAPADQLAEAGLTPSHIAATVFNILGQAREALEIMT >itb02g02770.t1 pep chromosome:ASM357664v1:2:1618139:1618706:1 gene:itb02g02770 transcript:itb02g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRNNYSTGLRRLNKKITFLGLSWNIKFLYSISVLGAYRDYVFRSGIALSLSERSEREEGVTKSFDRISVRMAMRTFYNEIKGMKVKEVPAHLKPMMSMDYVKSAAKRGLDNYHAKYIQTNSIDPLYHVCFGGMLFSYLVALPEERRHLEHQQHAGGH >itb01g21740.t1 pep chromosome:ASM357664v1:1:27793732:27797094:1 gene:itb01g21740 transcript:itb01g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVMRDEPVTPAGRLFLQPEMNQVIHALIGVKYPWDVEAVKSEIGNSLMVKHPRFSSLMVRDSCGREKWRRTRVNVDDHFVIRREPLNDTVSDEDAVNEYLADLAVSSPLPADKPLWEIHLLLAHNCAVLRIHHSLGDGISIVSLFMSCCRKIGDPTQTPAIGGGAPTRPRRRWSFKRLMMVLWYTLVYVLEFALRSLWLKDKPTAVSGGDGVELWPRKLATAKFTIDDMKTVKKAVADATINDVLFGVIACGLSRYLDMRSSKGLRDGLQITGVAMVNLRPQPGLQDVIKLMNSKSGTRWGNKIGILLLPVNYYRNAGSGSDPLKFVKRAKAMIDKKKLSLEALCSYKVGYLVMSLLGAKVASILNYRVVCNSTFTISNVVGPQEEISFAGHPITYIRANSSSLPHAITMHMLSYAGKAELQILVAKDIIPDPKVLAKCFEDSLMEMKAAAEQSIPKT >itb01g21740.t2 pep chromosome:ASM357664v1:1:27793732:27797094:1 gene:itb01g21740 transcript:itb01g21740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVMRDEPVTPAGRLFLQPEMNQVIHALIGVKYPWDVEAVKSEIGNSLMVKHPRFSSLMVRDSCGREKWRRTRVNVDDHFVIRREPLNDTVSDEDAVNEYLADLAVSSPLPADKPLWEIHLLLAHNCAVLRIHHSLGDGISIVSLFMSCCRKIGDPTQTPAIGGGAPTRPRRRWSFKRLMMVLWYTLVYVLEFALRSLWLKDKPTAVSGGDGVELWPRKLATAKFTIDDMKTVKKAVADATINDVLFGVIACGLSRYLDMRSSKGLRDGLQITGVAMVNLRPQPGLQDVIKLMNSKSGTRWGNKIGILLLPVNYYRNAGSGSDPLKFVKRAKAMIDKKKLSLEALCSYKVGYLVMSLLGAKVNIILVPV >itb10g02800.t1 pep chromosome:ASM357664v1:10:2444557:2445027:1 gene:itb10g02800 transcript:itb10g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGQLPASLGNLSFLSSVNLSHNNFTDEILPQLGNLRRLKVINLHLNHFVGSVPSSLFNISTLEIIDLTTNSFSGTLPSNICHNLPNLKGLYLDRNSFSGRIPANLSACSSLRVLGLETNLFNGFIPGEIGKLKMLARITLAANNLRGTYFSLF >itb04g27130.t1 pep chromosome:ASM357664v1:4:31219137:31223041:1 gene:itb04g27130 transcript:itb04g27130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALASMRLNYRPVIASIFGCFLLSSSLCYCIQSDIDCLKSVRESLEDPLGYLDSWNFNNKTEGFICRFIGVDCWHPDENKVLNIRLSNMELGGKFPVGLHMCEAMTGLDLSSNKINGSIPANISKIVGYLTTLDLSSNRFSGEIPLNLANCTYLNVIKLDNNQLTGQIPLQIGQLARMKDFSVANNRLIGQVPQFGNYSVPAENYANNLGLCGAPLDKCKAPSKKTSSGVIAGAAVGGVSLGIIGLAVAMYFIFRRASRKRKEDDPDGNKWAKSIKGAKAIKLSMFEKSVSKMRLSDLMQATDNFHKCNIIGSGRTGTFYKAVLADGTSLMVKRLQNTQHSEKEFESEMATLGAVRHRNMVPLLGYCMAKQERLLVYKYMSNGTLNNKLHFLNEGEEPLDWTLRLKIGIGAAKGFAWLHHSCNPRILHRNISSKCILLDADFEPKISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGERPTYASKAPESFKGSLVEWITILSGESKLQDSIDKSLIGKGYDAEVLQVLKVACRCVLPAVPKERPSMYEVYQLLRAIGEHYHFTTDDDILLLPTETEDGFQLEELIVARET >itb01g31870.t1 pep chromosome:ASM357664v1:1:35474530:35486290:-1 gene:itb01g31870 transcript:itb01g31870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCFHDGNITDMINIRTLDIRHDTGHRFQMHSSLVRRLSLERELEGHQGCVNTIAWNSRGSLLISGSDDTKMNIWNYSNHKLLHSIETGHSANIFCTKFVPETSDELVVSGAGDAEVRLFHLSHLRGRGLDENSISPSAVFQCHTRRVKKLAVEIGNPNVVWSASEDGTLRQHDFREGASCPTPGSSHQECRNILLDLRCGGKKSLADPPKQFFALKSCDISLTRPHLLLVGGSDVFARLYDRRMLPPLSSSQKKLAPPSCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDLNPTRSSMRYSSSDVAKLMNFNAVIKEVELQSSVTGIFLNVSSLRSQATAKLDKCRKLIQTAEKSLKEGKKYYYGIEACNEVLHGYGDEIGPMLTYECLCIRAALLLKRKWKNDAHMAIRDCHKARKINSTSFGALLLIAEALLQLGKHKEALEFAICAQSLAPSGTEAAEKVENLKKHITSAEAEKTNKEHGEFKSEPEVGRVLSLSDILYRSDANSDVSQDGPRSEREDSDEEDLELAFETSVSGDESHDTEPNLIQGGLNLRFHTRGDSSMENRRNGSCGSPTSLCQDPKVSYQPEAVIDMKQRYIGHCNVEIGNPNVVWSASEDGTLRQHDFREGASCPTPGSSHQECRNILLDLRCGGKKSLADPPKQFFALKSCDISLTRPHLLLVGGSDVFARLYDRRMLPPLSSSQKKLAPPSCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDLNPSMYAVLLLHHRFKKKIEAFPFVAARSSMRYSSSDVAKLMNFNAVIKEVELQSSVTGIFLNVSSLRSQATAKLDKCRKLIQTAEKSLKEGKKYYYGIEACNEVLHGYGDEIGPMLTYECLCIRAALLLKRKWKNDAHMAIRDCHKARKINSTSFGALLLIAEALLQLGKHKEALEFAICAQSLAPSGTEAAEKVENLKKHITSAEAEKTNKEHGEFKSEPEVGRVLSLSDILYRSDANSDVSQDGPRSEREDSDEEDLELAFETSVSGDESHDTEPNLIQGGLNLRFHTRGDSSMENRRNGSCGSPTSLCQDPKVSYQPEAVIDMKQRYIGHCNVGTDIKQASFLGQSGDYIASGSDDGKWFIWEKKTGRLVKMLHGDEAVVNCIQCHPYDCVVATSGIDNTIKIWTPIDLVSSSVSGQVGPETSNILDAMENNQRKICRTRETILPFEFLDRFRMREFAEGGMQPFECTQG >itb15g20690.t1 pep chromosome:ASM357664v1:15:23365019:23369604:1 gene:itb15g20690 transcript:itb15g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT5G26160) UniProtKB/Swiss-Prot;Acc:Q7Y219] MSSKFGSGKSGGGDRSGGRLLRDIEQISKALYVHKTPPKALVSPSYHGLKSMGNTRILESKSNSVTEELSQKNKKSSIWNWKPLKALAHLRNQRFNCCFYVHVHAIEGLPVNFNDLSLCVKWKRKDAVLITCSAHVSCGTAEFGETLMHQCSVQGSRSGPQHSAKYEPKLFLLQASVIGAPALDIGKHWVDLTRLLPLTLEELEEGKRSSGKWTTSFKLLGKAKGAMLNVSFGFTVYDSNSAEPSHFTKFHDHLKGCGPVASDHVVDCDDTSGNSMLRRVVSVPHKPTSGSHMPSHSLDANGFKEIFGDQGSEIDQSVTFLYQKLEEGKLGNLEDFSFFLERLESMKAKSGSSHDYTSECTAKEFEGSEFTMSELGIEVCSQNQLKTDQGSLRFNNSFIETIDVTDIFQDYETAVDENTECNLKQEVKSDLDEKGDMDDSKYDETDEHDKDPMIEELESIFLDLFSTVSAEPVSSADVNKPVDQSDYFLTKSSYKANKRAKSLSLDDVAESVANDFLDMLGIEDSLVDVSCESFPDSPRENLLKQFEKENLSSMNSIFYSDKTEDKAIFTRVASTGRGRVACSDDFDLSLVNQEVEKGQTRSTLSIRNKRNVKMLENLETEDLMNKWGLNEQAFQPSPHSSSGGFGSPIHLPHEESLELPPLGEGLGPTVQTENDGFLRSMNPLLFRSAKNAARLIMQVSCSVVLPAVMGSSLMEILECWASKGIEKFTIQANKLMPLDDITGKTMHQIAGETGSRPKVDERFCIPTKVENFSFDQCSVNLNSSSQRYEVDQDYVSPEDLVPLALTKVEPLTIEGLRIQSDMSDSEAPSCIRPWSHGVLNTREASGLQHENFKENDDDIDELVNLSLSLDEWMRLDAGCFNDKLEITEQLTKILAAHSSAPIDLSNLQLARDDETVDSLGQNYGLLNRTFTLALRVQLRDPFRDAEMVGTPMLVLIQVERSYPLEENIHGEEDTMNEHSFPRFRISEIHMAGLNVENSDEKICGTKRHKQSGSRWLLSSGIGRMNKHPFSTSNAIVKSSLQTKRKTSPGDILWSIELAPLNIHVRNPDIIFPK >itb11g19850.t1 pep chromosome:ASM357664v1:11:20673106:20673750:-1 gene:itb11g19850 transcript:itb11g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTTVFCSFLLFSFLALQFSPPCAAASQPNPVLDMTGKIVRQGIDYYIKPIRQDLGLGLNLASVGNHTCPLSVVQKEPYDGGTPVTFFPVNPKKGVIREWTDMNVEFPKGYSFVEALDACPGYSFVWTLDGYKPLSSETHYVVNGGVKGNPGRDTIKNWFKVAKSGEGYRFVFCPSVCEYCEVICKNVGIVVEDGQRRLALTDDPLELKFERV >itb03g00400.t1 pep chromosome:ASM357664v1:3:192567:193412:-1 gene:itb03g00400 transcript:itb03g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLGKVLRNRSQSASTLITQLTKSHTQILAATSPPLPPRLVQNPIFLEPKREDSNPNACSRFYPTFSFEYFLNPISQPGFIIPSKDAGVTSPESPKIWADSVKKKRKRKMNKHKLQKLRKRLRRKA >itb06g22680.t1 pep chromosome:ASM357664v1:6:24925725:24930090:-1 gene:itb06g22680 transcript:itb06g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGEEAQLKLAEERLTKSGPAAQIGLVIGKLSSSLDRGFIFDLVPTPLNDAGEPACSIVGGANDDRKKGGKAKTQADSSALYIDQDWIAEHARQVGRMLLGGIKVIGIYIWASESSFKNSTITMCQTVKAVAKATPFIDADSDERLLVHICYSPMRWTTRNCSLASNITSSSLRPCDFKMGKILTSLQAFRCTYAFDFRVPICRGDESNITRLADILHHCISLHAKELKCAKALIDGKLAIGDEQVASGGVHDVEFLLPFMQDKFDACSQKDIIGVLVFAGAVCSYAYLTAKEQLSQAMTDIKEDIILSLKSRLDIICDATERGSDSIDGSLEEARDQISAEEPVPLLDLQLQRKNCSLLFPRRVFIPWLANTYVCDYIQPSETVEVLNDHCAELMSIEVPLDPSRILEPESEAPISAITQSFWDMLKQHSLSAMPDLSKHDETKSLRSDQKSKNSAGFNMLIPFLVLILSLIVGVVTFAIR >itb01g29830.t1 pep chromosome:ASM357664v1:1:33960531:33964360:-1 gene:itb01g29830 transcript:itb01g29830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRATSLSDSDHWSHSKSPSFVKLTALSLLFLSFFVLLRYFSLNPITGLPSSFSILDTINTSTALTTQSQQLVSLPAAAAAPPRPPQGDFSKWIGIIDENGVMAGEFIVGDFESSLVETVANENEGGADKEEDREFVKGKFGKFEVCDDNMSDYIPCLDNAEEAISNLSSPESGAKYERHCPENRKRLGCVVPRPKGYKFRVHWPESLDEVWASNIPQTSLVDNKEGQNLISRNESTFIFPGGGTQFPNGVEQHLDQISKMVPDIAFGERTRVVLDIGCGIGSFGAYLSKHNVTTLSIAPKDDASDNQIQFALERGVSAMVAGLATRRLLYPSQAFELIHCSRCKVNWIRNDGILLLEVNRMLRAGGYFVLAEQPVDNREKSIEEWIEMEDLASRLCWELLKKDAGIAIWQKPLNNSCYLNRDPTAKPSLCGNDDDPDDVWYVNMKACITRLPENGYGSNVTAWPARLNSPPDRLFTVKMDAILSRKEIYKADTHYMYDVVRGYIGAFHWKKFRFRNVMDMKAGYGSFAAALVDAGIDCWVMNVVPVSGPNTLPVIYDRGLIGVVHDWCEPFDTYPRTYDLLNAIGVFSAEKKRCNISNIVLEMDRILRPGGRVYIRDLTHIIDELDEIAHALGWVAFKYNDSEGPHSNWKLLSCEKRM >itb03g22150.t1 pep chromosome:ASM357664v1:3:20159985:20161581:-1 gene:itb03g22150 transcript:itb03g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRHTLSSSNSPLKQPIPSLTPYTSCKPNSHNNFSYSKFCPPHLSLHAHAPFSCSVAFNPSGNFDLSLYDDENNIESAPPPMPPTEGRYEVVINDDIIRSLDLSPFQNATGITSPSHVRPKDFVERTIGFTINFKREDEYDPRELSEFPEIRLWFVRLDATYPWLPVLLDWRAGELARYAAMLVPHQMNMKLGVVFNPEALELFVMKKVFVVYSWLKQHYGTPFPRLKTKDMARMLGFAIGDELFDLIDKYPLE >itb03g22150.t2 pep chromosome:ASM357664v1:3:20159985:20161581:-1 gene:itb03g22150 transcript:itb03g22150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRHTLSSSNSPLKQPIPSLTPYTSCKPNSHNNFSYSKFCPPHLSLHAHAPFSCSVAFNPSGNFDLSLYDDENNIESAPPPMPPTEGRYEVVINDDIIRSLDLSPFQNATGITSPSHVRPKDFVERTIGFTINFKREDEYDPRELSEFPEIRLWFVRLDATYPWLPVLLDWRAGELARYAAMLVPHQVAAKIN >itb07g03740.t1 pep chromosome:ASM357664v1:7:2517004:2525870:1 gene:itb07g03740 transcript:itb07g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKELLTSAPWRVGPEEDEKFKDAKMKVTSQPGSTPTMHVPGMKSVKSKATELEDDSRTEIDPELRYSFQRNFQFLQRVFSIDTIVKPLPSGMQYNVSRNLSFFTRIFTQFFDPDGIADAQKSLGLGQEGKTRRRQIFLLKPTNDFTNQAALDTRHSEKTTDWRWLRETRVQNGGAEPVNGNFAQELLLQMVYVGALVVEIWHYFNGQQAKCLSVVDVLLLEQTKAFVLVKSFVISPQISDPLLSLSHSPFLPSHPLTQTTPASTTNRLHRYSRRRRRRRCVATASPAKTPTLPPPLAQPSPLKVPLPRHSPVYHGAALLPVHRLPLHRLRLSASASALVSRLEPSTPATAHQALLYAYPFDVEAQKKIEVAIRQYNLGEHKSQALDDKLQALDTKEDEVS >itb08g10130.t1 pep chromosome:ASM357664v1:8:9536141:9537503:-1 gene:itb08g10130 transcript:itb08g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQINSKTTWPHNGCFVAAAAFTADVKVGNCVLKRWFSEGSVESYAVSSRGTRVQSAVTWLCFTPNSDQIISASNPRMVLLECEGISMHHLQPSNVARLSTTTSVASPPVLLHH >itb10g01180.t1 pep chromosome:ASM357664v1:10:876189:880339:-1 gene:itb10g01180 transcript:itb10g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTSKVMEELYDLVKDKFQQELRAALGVEKEIQNLSSKLNKIRQVMDDAERRSFKEKHIKLWLQDIQAFCYDVEDVLDEWRITTHRQQMEESEDGAPGGIRRKISNFLYHPIKRVVDFAQRPEIPDITNKIKELDSRLDQIMKDKDLYNLVPVTYTHISGQESKRVPTTSFVDASEIQEEDAKWAPFKNCLLNVALPGSRILVTSRSERVAKMMASVISQHEDEVQLENHRHLSWQKIGKHLDPASICDVIGKLRSFFAENLSPEQLTPNMFNALKSVRVLGLRACKMWKLPKDIGNLIHLRYIDLRWSQIRELPDSICSLDNLQTLDLKGCKCLSRLPEEIGNLCHLSVIDLSSSKIEELPDSICSLDNLQTLDLEGCKCLSRLPEGIGNLCHLSKIDLSWSKVEELPDSICSLNNLQTLDLRKCMCLSRLPEGIGNLSHLSMIDLRWSKIEELPDSICSLNNLQTLDLRGCMHLSRLPEGIRNLRRCMIDLRGSNVQESLDLSLNLGNLWRLIMIDLSWSKIKELPDSICSLDNLRILILHGCECLSRLPEGIQNLCNLHTIDLSGSKVEELPDSFCFLDNLQTLDLKGCECLSTLPERIGNLHHLRKIDLSKSKIEELPDSICFLDNLETLILHRCECLSKLPEGIENLHKLRLIDLSECKIEVLPDSIYSLDNLEILDLKGCERLSKLSEGIGNLHKLRLIDLSECKVEVLPDSIYSLKDLEIRR >itb10g01180.t2 pep chromosome:ASM357664v1:10:879772:880339:-1 gene:itb10g01180 transcript:itb10g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTSKVMEELYDLVKDKFQQELRAALGVEKEIQNLSSKLNKIRQVMDDAERRSFKEKHIKLWLQDIQAFCYDVEDVLDEWRITTHRQQMEESEDGAPGGIRRKISNFLYHPIKRVVDFAQRPEIPDITNKIKELDSRLDQIMKDKDLYNLVPRFKVGILMPVL >itb07g18670.t1 pep chromosome:ASM357664v1:7:23123353:23124383:-1 gene:itb07g18670 transcript:itb07g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGGGKKKPAITDEKPADPHGRRDNLAGLTLFAVLSGGDEVSPPPPPPQTAHHHHQSRPTLLDALKDDPNSAKENKKTWKHFKEKLGLKGAAWTSTAPIPASDVPIHPMSSRTMSRRVSNRFPSGEYPADEYKPELAQSASRRELRPTPSNRMSLSRNQSRSLRLQSSASIRRSGTEGEEESERGGEDGEGEGDQSGMRMSLMALLEESEGGLMMDEEEEEEGGCEDYGGEFHNCCVCMVRHKGEAFVPCGHTFCRLCSRELWAEKGNCPLCNNFILEILDIF >itb14g19940.t1 pep chromosome:ASM357664v1:14:22438922:22439482:-1 gene:itb14g19940 transcript:itb14g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINYSPENQEPQRPENDLAGIGRSYDCVYCKRGFNTAQALGGHMNIHRKDRAARNPKPNDNLNNDETSFNPNKPAAGGRENNNNNFYTTLNVPMFFHHQVSAPPPSQMSYQTHFPATTSGPVFSDHRYYRYNALDCDDGDGNNNPQCLNLFENDWRLSLRHVDDVVEKIDDSVDLELRLGHDYR >itb13g08850.t1 pep chromosome:ASM357664v1:13:11646157:11649259:1 gene:itb13g08850 transcript:itb13g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEEVKAVTIEEEKEEEERVSSGNAEILSSDTRGTLVVDVRKVVVGVGARALFYPTLLYNVVRNRIQTEFRWWDWIDEFVLLGAVPFPSDVKRLKKLGVCGVVTLNEPYETLVPTSLYRAQGIHNLVLPTRDYMFAPSLTNISQAVEFIHENASSGQRTYVHCKAGRGRSTTIVLCYLVRYKQMTPTDAYEYVKAIRPRVLLASSQWKAVQEFYSHLMMETGITYPLTFPISRSPGFMAAKNLLAFDDSSALIITEADLDGYDPGNIEPGAARNERRSDFGLIWRVRIAGVAALSRVSCLWLNCQPPSKRSIVQLAE >itb10g17310.t1 pep chromosome:ASM357664v1:10:23497866:23511325:-1 gene:itb10g17310 transcript:itb10g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDVAEASKMLNKNWVLKRKRRKIPSGLDSSTVKEENSQPLESVLNNPSSKRGVKDEVASTRSSSKKKGNDGYYYECVVCDLGGNLLCCESCPRTYHIQCLEPPLKRIPTGKWECPSCNQKTDPLGPTSPLDSVSKRARTKVTTTGKSKNENKSSGSTKMSKNIGGSILDNRRLSSKEKSSLSQRVQKEKLDPSPNSVSSKTKLTHASGDGSAGCSSSYVSVEDESEEKKPISPGKGVTSLSNVIDSETNEEASVRRPRLSSTDKSPMDKCTTLMDSASRKDRKRKHDFFPVDSWKKRRTDKSNHTAKTKKGKSESNCAHPGANKSQRKLKSINHESSKILPEQDVGKDTVDEHLKEQIVSEGASHPSNELGKVTVEPVTNEESGLDALQVDRVLGCRVRDADARNSCNTLVAPANDPQSEGSPVPKDENQLNEIASTDGSQGLADCPDEGRITENDIAKDKFQVYRRSMIKESKEGVNSVRIEDEASGDYLANEEEFAKTSEKTSKETDSCVEIRDSNDNMECSQNFASNQLGNAKVVDTALETSSTSQKKHKGSTLAESSNSNGVTVSYEFLIKWVGKSNIHNTWLPESELKTLAKRKLDNYKAKYGTATINICEEQWKIPQRVIAVRSASNGATEVFVKWTGLSYDECTWERIDEPVISKASHLIDLFHRFESQALDKDAIKDDTLKKRNEQQSDIASLTEQPKELQGGSLFPHQMEALNWLRRCWYKSKNVILADEMGLGKTVSACAFLSSVYFEFKARLPSLVLVPLSTLPNWMAEFALWAPELNVVDYHGSSKARTIIRQYEWHASNQNGSNKKTTSYKFNVLLTTYEMVLADLSNLRAIPWEVLVVDEGHRLKNSSSKLFGSLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPTSFPSLSSFEQKFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPETGSLEFLHEMRIKASAKLTLLHSMLKILHKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQASIARFNQDKSRFVFLLSTRSCGLGINLATADTVVIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFSDSSNTTGNGLGENLNNKIEAVMEIEQKHRRKTGSLGDVYKDKCAEISTKIVWDENAIFKLLDRSNLQSDSPDSNEAELESDMLGSVKASEWNEEATEEQAGEMMLANDDTSTQNLEKKEDNLIGSSTEENEWDRLLRVRWEKYQSEEEAVLGRGKRQRKAVSYREAYAAHPNETLSESGAEEDQEQKPEPDLEPEREYTPAGRALKAKYTRLRARQKERLAKRNAKETSAPVEGSTGTQYFAQLLQSQAQDGNQPALFIQPSEENSSALFLDGSSSGQTSEGRKNRTDSTVRLVKLPKHHGQPNLGEGPSYMNSEAENPLVIGLCAPNANPMESSQRKFSRSYNRKNRLGLGPDLPPSIAPCPTTSDEMGTKAHETISGRFKLPDPPLDVSQSRPKISIPDLYHPFNPHPLIFQQGKGSTVNLENSASSFAAFQEKMALPKLPFDEKLLPRYPFPTGNFPRPHPDLFPSLSLGSRVADVNEPHHDHPTMPLFPNLKFPMPDAPRCNQQEPEVPPTLGLGQMPSKLSPFPENHRKVLENIMLRTGSGSGSGNPFKKKSKMDIWSEEELDNLWIGVRRHGRGNWDAMLRDPRLKFSKFKTVEDLSIRWEEEQTKILDVPAFPGKGLKPPKSGKSSLFPSVSDGMMTRALHGSKFSGPPLKFQNQLTDLKLGFGDLPPSLSRLEHADHLSRISPLPPWNPDKYPLNVPGDLNAGPSDRLGAPSKFLMESPLLLSSLGTSSLGSLGVNCPPSFSLPQKEAEESASRFGKLSSILDRSLNFLPDSNNNVGNGESSGFALPSDGSKGKCIPQSKGKEVAECSSPKNKLPHWLQEAVNVPPKSAAPSLPPTLSAISHSLRLLYGEEGPTIPPFTVPAPLPSQPKDPRLSLKKKKKKKQKLHMIPEFLQTLKGSGDTLQSHLPGEKTGSSVVPQDQSSFMLLKSLASTSESPSVEPDLGMSTLSQNVMNPSSLPANPSLQKMTTPGPGLSPSPEVLQLVASCVAPDPPPSLTTDINENTAPPPLSADEGTSPPTHEKASDTSAPGKSGSFEEDKDQSESRDSSQTQSDPARGRQHAVEEISSEGTVSDHLAIEP >itb10g17310.t2 pep chromosome:ASM357664v1:10:23497866:23511325:-1 gene:itb10g17310 transcript:itb10g17310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDVAEASKMLNKNWVLKRKRRKIPSGLDSSTVKEENSQPLESVLNNPSSKRGVKDEVASTRSSSKKKGNDGYYYECVVCDLGGNLLCCESCPRTYHIQCLEPPLKRIPTGKWECPSCNQKTDPLGPTSPLDSVSKRARTKVTTTGKSKNENKSSGSTKMSKNIGGSILDNRRLSSKEKSSLSQRVQKEKLDPSPNSVSSKTKLTHASGDGSAGCSSSYVSVEDESEEKKPISPGKGVTSLSNVIDSETNEEASVRRPRLSSTDKSPMDKCTTLMDSASRKDRKRKHDFFPVDSWKKRRTDKSNHTAKTKKGKSESNCAHPGANKSQRKLKSINHESSKILPEQDVGKDTVDEHLKEQIVSEGASHPSNELGKVTVEPVTNEESGLDALQVDRVLGCRVRDADARNSCNTLVAPANDPQSEGSPVPKDENQLNEIASTDGSQGLADCPDEGRITENDIAKDKFQVYRRSMIKESKEGVNSVRIEDEASGDYLANEEEFAKTSEKTSKETDSCVEIRDSNDNMECSQNFASNQLGNAKVVDTALETSSTSQKKHKGSTLAESSNSNGVTVSYEFLIKWVGKSNIHNTWLPESELKTLAKRKLDNYKAKYGTATINICEEQWKIPQRVIAVRSASNGATEVFVKWTGLSYDECTWERIDEPVISKASHLIDLFHRFESQALDKDAIKDDTLKKRNEQQSDIASLTEQPKELQGGSLFPHQMEALNWLRRCWYKSKNVILADEMGLGKTVSACAFLSSVYFEFKARLPSLVLVPLSTLPNWMAEFALWAPELNVVDYHGSSKARTIIRQYEWHASNQNGSNKKTTSYKFNVLLTTYEMVLADLSNLRAIPWEVLVVDEGHRLKNSSSKLFGSLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPTSFPSLSSFEQKFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPETGSLEFLHEMRIKASAKLTLLHSMLKILHKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQASIARFNQDKSRFVFLLSTRSCGLGINLATADTVVIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFSDSSNTTGNGLGENLNNKIEAVMEIEQKHRRKTGSLGDVYKDKCAEISTKIVWDENAIFKLLDRSNLQSDSPDSNEAELESDMLGSVKASEWNEEATEEQAGEMMLANDDTSTQNLEKKEDNLIGSSTEENEWDRLLRVRWEKYQSEEEAVLGRGKRQRKAVSYREAYAAHPNETLSESGAEEDQEQKPEPDLEPEREYTPAGRALKAKYTRLRARQKERLAKRNAKETSAPVEGSTGTQYFAQLLQSQAQDGNQPALFIQPSEENSSALFLDGSSSGQTSEGRKNRTDSTVRLVKLPKHHGQPNLGEGPSYMNSEAENPLVIGLCAPNANPMESSQRKFSRSYNRKNRLGLGPDLPPSIAPCPTTSDEMGTKAHETISGRFKLPDPPLDVSQSRPKISIPDLYHPFNPHPLIFQQGKGSTVNLENSASSFAAFQEKMALPKLPFDEKLLPRYPFPTGNFPRPHPDLFPSLSLGSRVADVNEPHHDHPTMPLFPNLKFPMPDAPRCNQQEPEVPPTLGLGQMPSKLSPFPENHRKVLENIMLRTGSGSGSGNPFKKKSKMDIWSEEELDNLWIGVRRHGRGNWDAMLRDPRLKFSKFKTVEDLSIRWEEEQTKILDVPAFPGKGLKPPKSGKSSLFPSVSDGMMTRALHGSKFSGPPLKFQNQLTDLKLGFGDLPPSLSRLEHADHLSRISPLPPWNPDKYPLNVPGDLNAGPSDRLGAPSKFLMESPLLLSSLGTSSLGSLGVNCPPSFSLPQKEAEESASRFGKLSSILDRSLNFLPDSNNNVGNGESSGFALPSDGSKGKCIPQSKGKEVAECSSPKNKLPHWLQEAVNVPPKSAAPSLPPTLSAISHSLRLLYGEEGPTIPPFTVPAPLPSQPKDPRLSLKKKKKKKQKLHMIPEFLQTLKGSGDTLQSHLPGEKTGSSVVPQDQSSFMLLKSLASTSESPSVEPDLGMSTLSQNVMNPSSLPANPSLQKMTTPGPGLSPSPEVLQLVASCVAPDPPPSLTTDINENTAPPPLSADEGTSPPTHEKASDTSAPGKSGSFEEDKDQSESRDSSQTQSDPARGRQHAVEEISSEGTVSDHLAIEP >itb09g08330.t1 pep chromosome:ASM357664v1:9:4993659:4997074:-1 gene:itb09g08330 transcript:itb09g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILIQAAWFCILLASFVTGSHAAIFDVKKFGAKADGKSDDSKAFLGAWGAACKAAGANTITADGKYMAGPIKFQGPCKGAITIEAHKMILTALPDPYAFKGHAWVTFSSVHGLTINGGTFDGNGATAWKLPDCGKKGNICRLPANLQFNVVTGLKIIGLTNKNSKQFHMTMGGCKDVTIQNYTVNTPGDAPNTDGVHIGESSKVSILGASIKTGDDCVSLGDGVQDVHVEKVTCGPGHGLAIGSLGKYPNEKPVLGVTVKNCTLTGTTNGARIKTWPGSPVGSASNMHFEDIIMNNVTNPILIDQQYCPWNQCKAGVPSKVKLSGIGFKNIKGTSSSKVAMTIHCSPAVPCQGVLMNDINLVYKGKDGPAVSSCTNVKPSITGKVVPTACSTHK >itb01g19530.t1 pep chromosome:ASM357664v1:1:25630583:25634404:-1 gene:itb01g19530 transcript:itb01g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] MASALRDLQRDLESKANDLSQLQKDIAKNHQVRKKYTIQLGENELVLKELDLLNEEANVYKLIGPVLVKQDLAEARANVKKRIDYISAELKRLDATLQDLEEKQNSKKETIYKLQQRIQSTQAGKSKA >itb01g19530.t2 pep chromosome:ASM357664v1:1:25630666:25633616:-1 gene:itb01g19530 transcript:itb01g19530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] MFAQIFAFSCVSDIAKNHQVRKKYTIQLGENELVLKELDLLNEEANVYKLIGPVLVKQDLAEARANVKKRIDYISAELKRLDATLQDLEEKQNSKKETIYKLQQRIQSTQAGKSKA >itb05g22780.t2 pep chromosome:ASM357664v1:5:28065843:28069277:-1 gene:itb05g22780 transcript:itb05g22780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCSSPPRCDGGSENEACKDEMEFKVDGNQGQIDISGQGNAGKPPRNLMIRHSISQATLAGIFELESTSQITGLKSPPIGSPGIVPVFRSGSCSEIGPKTYMEDRHICVDDLHKHFNTAQKFPSPSAFYGVFDGHGGVDAATFAQQNLLNYIVEDSHFPSAVKRAIRNAFVKADHALADAKSLDSSSGTTALAALMLGRTTLIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHLKGPKGSKCPLSAEPELEEVVLTEEDEFLIMGCDGLWDVMSSQCAVTIVRKELMLHNDPEKCAKELVREALKRNTCDNLTVVIVCFSPDPPPRIEIPRFSRRRSISAEGLDLLKGVLSSI >itb05g22780.t1 pep chromosome:ASM357664v1:5:28065843:28069277:-1 gene:itb05g22780 transcript:itb05g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRHICVDDLHKHFNTAQKFPSPSAFYGVFDGHGGVDAATFAQQNLLNYIVEDSHFPSAVKRAIRNAFVKADHALADAKSLDSSSGTTALAALMLGRTTLIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHLKGPKGSKCPLSAEPELEEVVLTEEDEFLIMGCDGLWDVMSSQCAVTIVRKELMLHNDPEKCAKELVREALKRNTCDNLTVVIVCFSPDPPPRIEIPRFSRRRSISAEGLDLLKGVLSSI >itb10g16190.t1 pep chromosome:ASM357664v1:10:22422471:22425241:-1 gene:itb10g16190 transcript:itb10g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAKITVCISGIRVPFPKFRPPGPRRTSSFLLSNHPLERRMPPRRASKRKRISEDELKNVEKQEEEEAPAAASNETTAFKSSSAKNIKENAPLVVFAHGAGAPSTSEWMIRWKNMLAKALNAIEVVTVDYPYISGGKRKAPPKAEKLVDFHSDVVKEAVAKYPNHPLILVGKSMGSRVSCMVASGQDIGASALVCLGYPLKGINGAVRDEILLQLRVPIMFVQGSKDGLCPLDKLEAVRKKMTCANELHVIEGGDHSFKIAKRHLQFSETTQEEAEDLAVQAVATFVANL >itb02g15380.t1 pep chromosome:ASM357664v1:2:11196618:11202214:1 gene:itb02g15380 transcript:itb02g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRFLRKISTSTFRSLLPKSVLSKNKRSSSRAKPKFNNENAAPLHPNFQISDTKFLRSNSVTQKPLPELENSPPTELTTSDIRPQPPELPEPPVKVVVRIRPGHDPTIGGRIVANASKGSVSVGDREFTFDSILGSSSTQEDAFQLVGVPLVKDALAGYNTSLLAYGQTGSGKTYTMWGPPSAMVEAPSANCLQGVVPRIFQMLFSNIQHEQETSGDKQRTYQCRCSFLEIFDEHIGDLLDPSQRNLKIKDDAKYGFYVENLMEEYVSTYEDVTRLLIKGLSSRKVGATSINLKSSRSHIVFTCIIESWCKENASTCFGSLKTSRITLVDLAGFERNILEDADIQCVKEGKYVNKSTSQLGHVVNILAENGGSRILENVPYNSSCLTHLLRESLGGNAKLSVICTVSQDDKHMSDTISTLRFGKLVKLMQNDSVINEISEDDVNDLSDQIRLLKEELIRAKTNVNVFGSNDGYLKGQSARESLNQLRVSLNRSLMLPHNVNDFEDDIHFSEDDIKELAIQISDVQNSYENAIQISDVQNSYENSKETFESKDCSKYSSAEGCEAECYMSCSESENEEISSVRRTRTVLHPGSISINTDHQCPALQNPELSESPKFQNTTRKNLILSSNPSSSGNAVQHIIKSSGFPQTEQQEENKAHPQSRSSRIFPGPAESLAASLHRGLQIIDHYHQNSVSTRSSISSFSFDHFALRPCMSTSKVKTLTQESLEGEQFSDAVSVSLLCSNCQQKESKPSNEVQDRLNTRIALVDESSATEHADQEPKDFEKDLLQALEREKKLEIVCKDQTEKIAQLNQMLSKYKCDKDSHSINDKEKLVSWNGCEGNGQDFINEKCDNKEVQGVENHNDGGNKRFDMLEKEALLKEIEDLRSKLQSNPDAYMNKSVDKVQSSLLQSIQERKSGIYAKVLNEEELEKERERWIELESDWISLTDELRIDLESNRHRAEKVEMELKLQKKCTEELDDALKRAVLGNAKMVEHYAELQEKHNDLIERHRLMVEGIQDIKKAAAKAGTKGCGARFAKSLASELSALRVERERERGLLKKENRSLKAQLRDTAEAVHAAGELLVRLRESQETVYFAEEKLAKAQEENDKLRKQLEKLKSKHKMEINTMKHYLAESRLPEAALRALNRKEYDVTQSHTASHSSGYDDQPWRAEFGAIYQDQHY >itb02g15380.t3 pep chromosome:ASM357664v1:2:11196618:11201985:1 gene:itb02g15380 transcript:itb02g15380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRFLRKISTSTFRSLLPKSVLSKNKRSSSRAKPKFNNENAAPLHPNFQISDTKFLRSNSVTQKPLPELENSPPTELTTSDIRPQPPELPEPPVKVVVRIRPGHDPTIGGRIVANASKGSVSVGDREFTFDSILGSSSTQEDAFQLVGVPLVKDALAGYNTSLLAYGQTGSGKTYTMWGPPSAMVEAPSANCLQGVVPRIFQMLFSNIQHEQETSGDKQRTYQCRCSFLEIFDEHIGDLLDPSQRNLKIKDDAKYGFYVENLMEEYVSTYEDVTRLLIKGLSSRKVGATSINLKSSRSHIVFTCIIESWCKENASTCFGSLKTSRITLVDLAGFERNILEDADIQCVKEGKYVNKSTSQLGHVVNILAENGGSRILENVPYNSSCLTHLLRESLGGNAKLSVICTVSQDDKHMSDTISTLRFGKLVKLMQNDSVINEISEDDVNDLSDQIRLLKEELIRAKTNVNVFGSNDGYLKGQSARESLNQLRVSLNRSLMLPHNVNDFEDDIHFSEDDIKELAIQISDVQNSYENAIQISDVQNSYENSKETFESKDCSKYSSAEGCEAECYMSCSESENEEISSVRRTRTVLHPGSISINTDHQCPALQNPELSESPKFQNTTRKNLILSSNPSSSGNAVQHIIKSSGFPQTEQQEENKAHPQSRSSRIFPGPAESLAASLHRGLQIIDHYHQNSVSTRSSISSFSFDHFALRPCMSTSKVKTLTQESLEGEQFSDAVSVSLLCSNCQQKESKPSNEVQDRLNTRIALVDESSATEHADQEPKQDFEKDLLQALEREKKLEIVCKDQTEKIAQLNQMLSKYKCDKDSHSINDKEKLVSWNGCEGNGQDFINEKCDNKEVQGVENHNDGGNKRFDMLEKEALLKEIEDLRSKLQSNPDAYMNKSVDKVQSSLLQSIQERKSGIYAKVLNEEELEKERERWIELESDWISLTDELRIDLESNRHRAEKVEMELKLQKKCTEELDDALKRAVLGNAKMVEHYAELQEKHNDLIERHRLMVEGIQDIKKAAAKAGTKGCGARFAKSLASELSALRVERERERGLLKKENRSLKAQLRDTAEAVHAAGELLVRLRESQETVYFAEEKLAKAQEENDKLRKQLEKLKSKHKMEINTMKHYLAESRLPEAALRALNRKEYDVTQSHTASHSSGYDDQPWRAEFGAIYQDQHY >itb02g15380.t2 pep chromosome:ASM357664v1:2:11196618:11202214:1 gene:itb02g15380 transcript:itb02g15380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRFLRKISTSTFRSLLPKSVLSKNKRSSSRAKPKFNNENAAPLHPNFQISDTKFLRSNSVTQKPLPELENSPPTELTTSDIRPQPPELPEPPVKVVVRIRPGHDPTIGGRIVANASKGSVSVGDREFTFDSILGSSSTQEDAFQLVGVPLVKDALAGYNTSLLAYGQTGSGKTYTMWGPPSAMVEAPSANCLQGVVPRIFQMLFSNIQHEQETSGDKQRTYQCRCSFLEIFDEHIGDLLDPSQRNLKIKDDAKYGFYVENLMEEYVSTYEDVTRLLIKGLSSRKVGATSINLKSSRSHIVFTCIIESWCKENASTCFGSLKTSRITLVDLAGFERNILEDADIQCVKEGKYVNKSTSQLGHVVNILAENGGSRILENVPYNSSCLTHLLRESLGGNAKLSVICTVSQDDKHMSDTISTLRFGKLVKLMQNDSVINEISEDDVNDLSDQIRLLKEELIRAKTNVNVFGSNDGYLKGQSARESLNQLRVSLNRSLMLPHNVNDFEDDIHFSEDDIKELAIQISDVQNSYENAIQISDVQNSYENSKETFESKDCSKYSSAEGCEAECYMSCSESENEEISSVRRTRTVLHPGSISINTDHQCPALQNPELSESPKFQNTTRKNLILSSNPSSSGNAVQHIIKSSGFPQTEQQEENKAHPQSRSSRIFPGPAESLAASLHRGLQIIDHYHQNSVSTRSSISSFSFDHFALRPCMSTSKVKTLTQESLEGEQFSDAVSVSLLCSNCQQKESKPSNEVQDRLNTRIALVDESSATEHADQEPKDFEKDLLQALEREKKLEIVCKDQTEKIAQLNQMLSKYKCDKDSHSINDKEKLVSWNGCEGNGQDFINEKCDNKEVQGVENHNDGGNKRFDMLEKEALLKEIEDLRSKLQSNPDAYMNKSVDKVQSSLLQSIQERKSGIYAKVLNEEELEKERERWIELESDWISLTDELRIDLESNRHRAEKVEMELKLQKKCTEELDDALKRAVLGNAKMVEHYAELQEKHNDLIERHRLMVEGIQDIKKAAAKAGTKGCGARFAKSLASELSALRVERERERGLLKKENRSLKAQLRDTAEAVHAAGELLVRLRESQETVYFAEVRKLLKFSISLSALLTYLSWCSRHRKNSQKPRKRMTS >itb12g20180.t1 pep chromosome:ASM357664v1:12:22660221:22662110:1 gene:itb12g20180 transcript:itb12g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAIRWLKGLFGIKLKDIEIEEKAAEKKGQVIGSSGRGVTATAAALCNNPTTIPPNITPAEAAWLSSFFSDKEQSKHAIAVAAATAAAADAAAAAAHAAVEVVRLTSQGGGAYFSREKFASVKIQAAFRGYLARKALRCLKGLVKIQALVRGYLVRKQATATFHSMQALMRAQASVRAHKFRASFLNHQTSCPRTFHSRKSIGRSDETTRSGRHPSPFEGNDDNPKIVEIDSAFRPAGRRSRRANTWVPEPGPGDEPFGAGPSFSSPLSCRIPARSSITDWGNISGDECRFSTAQSTPRFPNSCGSRTPVTPASFDNFPNYMADTEAFRAKLRSQSAPKQRPEPGPGPGPKKRLSPIIMESRASVSGGRMARISCSQAQEVMNFKNAVMGRLDRSIEFSEELKMLL >itb04g33830.t1 pep chromosome:ASM357664v1:4:35957972:35958316:1 gene:itb04g33830 transcript:itb04g33830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIERFGMENGFDEDEFYYRNRKEKRTRTKDDYVLYGIFADPEEENDILMPERQQSIRLIVDLEEFDIQNRDLRNERETVNSLQKETEKLQAEDIRKKKQLENMEDIMNELDE >itb12g09740.t1 pep chromosome:ASM357664v1:12:7684307:7689440:-1 gene:itb12g09740 transcript:itb12g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEEGTTLEQTPTWVVALVCTVIVAISLALERILHYLGKFLLKKKQKPLFSALQKIKEELMLLGFISLLLAVFQDRILKICISKHKADIWLPCTKSDDDHAEGPPASTSHFQTALTVVSSFLPPAGATRRLLSESKNAEYCPAKGKVPLLSIEALHHLHTFIFVLAVSHVIFSAFTMIFGRLKIRQWKAWEDSIQKQESNPDEAPATPNVVHVREHDFVKARFLGFGKRTTLLSWFQSFFKQFFASVTRSDYTTLRLGFIMTHCRGNRKFNFYEYMIRALEADFKRVVGISWYLWVFVVAFLLLNVHGWHAYFWIAFIPLVLLVAVGTKLEHVITQLAEEVASKHVALEGGDLIVNPSDDHFWFHRPRLVLILIHIILFQNSFEIAFFFWIWVQYGFNSCIMGQVRYIIPRLVIGVFVQFLCSYSTLPLYAIVTQMGSSFKKAIFQEHIQESLAGWAKKARRRAAYGPSQVAGTRESSTHHMAVEMPEVGNGNGEESSMSKPGKAPEIKPANKD >itb09g19630.t1 pep chromosome:ASM357664v1:9:15797267:15801015:-1 gene:itb09g19630 transcript:itb09g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLINCSNCRTQLQLPPGARSIRCAICQAVTQVADPRAVNPPPATHHWPPPGPPSAASPSPYNHAPPGPPPNPHGRKKAVIVGISYRYSRHELKGCLNDAKCMRYLLINKFQFPESSILMLTEEETDPYRIPTKYNMRMALYWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEIDGYDETLCPLDFETQGMIVDDEINATIVRPLLHGVKLHAIIDACHSGTVLDLPYLCRMNRSGQYLWEDHRPRSGIMKGTSGGEAISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGHGRTYGSILTAMRAAIREAGGSGGDLGGGGVTSLLTMLVTGGSGIGGGFRQEPQLTACQTFDVYAKPFSL >itb09g19630.t2 pep chromosome:ASM357664v1:9:15798508:15800967:-1 gene:itb09g19630 transcript:itb09g19630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLINCSNCRTQLQLPPGARSIRCAICQAVTQVADPRAVNPPPATHHWPPPGPPSAASPSPYNHAPPGPPPNPHGRKKAVIVGISYRYSRHELKGCLNDAKCMRYLLINKFQFPESSILMLTEEETDPYRIPTKYNMRMALYWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEIDGYDETLCPLDFETQGMIVDDEINATIVRPLLHGVKLHAIIDACHSGTVLDLPYLCRMNRSGQYLWEDHRPRSGIMKGTSGGEAISFSGCDDDQTSADTSVSFLNWTYM >itb09g15480.t2 pep chromosome:ASM357664v1:9:10733149:10735655:-1 gene:itb09g15480 transcript:itb09g15480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYRLFGKEYQKPARVPPACPYKPSATKPNNSVPEGPSPVDHDPHRQILAGGDDGKRE >itb09g15480.t1 pep chromosome:ASM357664v1:9:10733149:10735655:-1 gene:itb09g15480 transcript:itb09g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLACLLPLFLVPIVNLLPLLFDFIMAKVYRLFGKEYQKPARVPPACPYKPSATKPNNSVPEGPSPVDHDPHRQILAGGDDGKRE >itb05g04540.t1 pep chromosome:ASM357664v1:5:4141354:4145179:-1 gene:itb05g04540 transcript:itb05g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTLNGIINRLLELRGKPGKQAKLSESEIKQLCSVAKKIFLKEPTLLELPAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENVFLLRGNHECASINRIYGFYDECKKRFSVKLWKTFTECFNCLPVAALVDGKILCMHGGLSPDLHSLDQIRNLQRPMDVPDTGLLCDLLWSDPSREVQGWGMNDRGVSYTFGADKVAEFLEKHDMDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDPTLLCSFQILNPTGKSKVNIGATASDNPRGIFGTKMAKSGPPTGMKSFLNSNVW >itb13g20760.t1 pep chromosome:ASM357664v1:13:27505447:27508688:1 gene:itb13g20760 transcript:itb13g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRWAMDAAGFWDLDLSTPVTLDGQARPVPGDPLPLGASRSPRLSMPRQVDFFQRFMAAPFVPSLVAGRGFLLQRALSLHIGENWFTTLLGQLNVQKLISSIRNKGPVHPSESSWLQTVRRHLSDKSLYALNLCSELLLTPEDILLVSLEAHGDVKTARKKAVFHHKFPQHDLTVEAAFPGLYTDQLGNYWDVPFALAFDLASVASESGISYHLLANHNTGSPKQCQGQQPAKEIPPSLLPGLCAKGAVSLKKSVDLWRSEAPLLKMVQPYDIFLSSPHISASGILGSVVSAYLGENSIRSQSEDAPPAFKNFSLRAQRANAALSADLFASFSLTAQHGNFQRLFLDLTRLHARLDIPSGSNFISGAVSVARDLYKSQIPITEAIQAVCPNVMLSFQQQIAGPFSLRVDSEIAIDFKDFRPRVKNPIFALEHSLQVLGSAKAVAWYSPNQQEFMVELRFFES >itb11g02460.t1 pep chromosome:ASM357664v1:11:1231104:1232158:-1 gene:itb11g02460 transcript:itb11g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAATHASESRFHVLAVDDNLVDRKLIERLLTTCSYQVTAVDSGNKALEFLGLLEDSVTALNSDPHEVEVDLIITDYWMPGMTGYDLLRKVKECRRDIPVVIMSSENEASRINMCLEEGAQEFLVKPVRQSDVTNLIKPRAFVKGGDDNGVVSPVYCSGVDDNRHGTATATETVISPPAER >itb10g00850.t1 pep chromosome:ASM357664v1:10:624197:628471:1 gene:itb10g00850 transcript:itb10g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MASTGSSEFDPQKIITYKFPESTYTYSERDVALYALGVGACSKDAVDDKELKFVYHPDGQQSIQVLPTFAALFSIGFSEAIAELPGLQYDPRLLLHGQQYIEIYKPLPSSGSIINRASIAGLHDKGKATVLEVEIRSYEKVSGDLLCMNRMAIFLRGAGGFSKSSNPYSYSKYPANQTAAPKIPKGQPFVVFEEYLQPPQALLYRLSGDYNPLHSDPMIAEVAGFSRPILHGLCTLGFAVRAIIKCICKGDPNMIKNISGRFLLHVYPGETLITEMWLEGLRVIYQVKVKERKRAVLSGFVDLHRLSSSL >itb10g00850.t2 pep chromosome:ASM357664v1:10:624197:628471:1 gene:itb10g00850 transcript:itb10g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MASTGSSEFDPQKIITYKFPESTYTYSERDVALYALGVGACSKDAVDDKELKFVYHPDGQQSIQVLPTFAALFSIGFSEAIAELPGLQYDPRLLLHGQQYIEIYKPLPSSGSIINRASIAGLHDKGKATVLEVEIRSYEKVSGDLLCMNRMAIFLRGAGGFSKSSNPYSYSKYPANQTAAPKIPKGQPFVVFEEYLQPPQALLYRLSGDYNPLHSDPMIAEVAG >itb12g08560.t1 pep chromosome:ASM357664v1:12:6728350:6731647:1 gene:itb12g08560 transcript:itb12g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSAYAFGRNKKTKKTIPEVTIFTPSIRVPVYSDLFPATTLIPKDLARTITSLRNQIVLVAEDTEASAITQLQQALQQYVPLLLGLATKEYGLDEVGEFKWKNLEDGKEEMMCEANLWFELLSVLHMMAMLTLVEANSKLIPKNTTDSCGRQLLTTDCMRDAVDLLVKAAGYLDLCVRDISLRLHPDIKERLPVDLQEQVLAAILNQALAQGTEIQFSLALETQNATLSVKRRLACEQLSYFGQAHCCLSEANDSKGATQKHLMFIKWKYLEAKAAAYYYHGLIVEKGTEASSSHVSALCCFLAAEELLAESKRACLSFCLANPVTRAPPPWGAMKHLNKKIPETALKKSQMYGYLLDQQEKTVQLLMPDLPEFQLSLKPDDYVLPEVDSAWDSNNSNIPIPIPIPAQTLKDHLKDCDEDVAQQSGL >itb11g05220.t1 pep chromosome:ASM357664v1:11:3083216:3084351:1 gene:itb11g05220 transcript:itb11g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNQTVTVLEKAEVAPLPESLFLSHTLIPTIKTSLSLTLQHYSPLAGRVIVSPDNSNLPEIRYVDGDTVPLVFAESNSGNGHFDHLTSDHPRNNTEFHPLVPSLPPISRAADGSGVIPVLALQVTLFPCVGVTNHHAIGDASSIFGFMKAWAFFSSQKHENTSPVSFPEEFRPFYDRSVIQDRKGLGTHIWNRMKNIRIEDTHVHRLPLITDKARATFVVTRDDIQRLKNHILSRRPDLVHVSSFTMICSYVWNCLVKSRHETNPESNADEDDYFFCAADYRARLDPPVPRNYFGNCILGFVGKVKRKQLVGEEGFEEAAVVIGESIHSQLDTNKDDDKWEELILTDL >itb13g03940.t1 pep chromosome:ASM357664v1:13:3954317:3958549:1 gene:itb13g03940 transcript:itb13g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLYVDRMSQPSRALLIFCKANGIEFEEVQIELSKGQHRTPEFGEINPMKQVPAMVDGDFTLFESHAILKYLACANNVADHWYPADLRERAKVDSVLDWHHSNLRRGSVGYLLNSTFAPAFGLPFNLQAAAEGEKLLSASLNKIDSFWLQGNGKFLLGNTQPSIADLSLICEITELQVVDEKDHDRILSPFKKVLKWIEDVKEATAPHFEEVHTTLYNVKEMMKQQRSAAANPQSEK >itb13g03940.t2 pep chromosome:ASM357664v1:13:3954312:3958549:1 gene:itb13g03940 transcript:itb13g03940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLYVDRMSQPSRALLIFCKANGIEFEEVQIELSKGQHRTPEFGEINPMKQVPAMVDGDFTLFESHAILKYLACANNVADHWYPADLRERAKVDSVLDWHHSNLRRGSVGYLLNSTFAPAFGLPFNLQAAAEGEKLLSASLNKIDSFWLQGNGKFLLGNTQPSIADLSLICEITELQVVDEKDHDRILSPFKKVLKWIEDVKEATAPHFEEVHTTLYNVKEMMKQQRSAAANPQSEK >itb03g17470.t1 pep chromosome:ASM357664v1:3:16085198:16090655:1 gene:itb03g17470 transcript:itb03g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLRWLFLGLLVSSIFSVVSAIDYLCCDDEGLFSVSNILFMQKVGDVLIAVAYFSIPIELLYFISCSNIPFKWVLVQFIAFIVLCGLTHLLNVWTINTQPSFQMIMSLTVAKILTALVSCATAITLLTLIPLLLKFKVRELFLRQNVLELDQEVGMMKKQKEASMHVRMLTLEIRKSLDKHTILYTTLVELSKTLNLQNCAVWMPSGNRAEMNLTHELNPCSAREHHSLSINDPDVLEITKNEGVRLLKQDSVLAAASSGGSGQPGAVAAIRMPLLRGSNFKGGTPELIETCFAILVLVFPSVNDGDLSYDELEIVEVVADQVAVALSHATVLEESQSMQEKLKERNRVLQQAKEDAMKASQARNSFQKVMNNGMRRPMHSILGLLSILQDDNLKPEQKIVVDTLVKTSTVLSTLISDAMEISAKDDGKFPVEMRPFQLHSLIREASCLVKCFAIYKGFDFSTDVPSSLPNQVMGDEKRTFQVILHMVGHLFNVSDGNGSVIFRVASESGAEDGNNKVWNTRKPSSSDDNVTIKFEIEVTIGDSQSGTSVSVVPSGRKRHNSKDVKEGLSFTMCKKLVQLMQGNIWVSSNSRGRGQGMTLILRYQKQSSIRRRIFEYRNPSEQPLPSSMFDGLQVLLADDDDVNRMVTKKLLEKLRCQVSTVSTGFECLSALGPSATSFQVIILDLHMPEMDGFEVAMRVRKFRSRNWPLIIALTASSEDHMWERCLQVGMNGLIRKPVLLQRLAEELQRVLQRAGTEVM >itb13g07110.t1 pep chromosome:ASM357664v1:13:8775702:8776157:-1 gene:itb13g07110 transcript:itb13g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKTSVLALFIVFNILFCTMVSACDTCHSPKPKPKPKPKPTPCGTCPSPKPKPKPKPTPSPSKGKCPKDALKLGVCANVLGNLLGLVFGNPPMEPCCSLIEGLVDLEAADCLCTAIKANVLGINLNVPLCLSLLLNACGKNVPSGFQCL >itb04g22830.t1 pep chromosome:ASM357664v1:4:28095923:28099725:1 gene:itb04g22830 transcript:itb04g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNGNNKDDGKGIAGGGDEACWMGNKVKEFLKKEVPEWDDEANVRARFKAFSGQRCDWEPLYLFWRDLILKVARHLRVFIIRPSQLKMIWFSRADGLSPLCLDRVLLQMYSSGELLWNARLMDPTSGQISRTFYRLADMIRFSRPSVQETLVEDYYILSTLLEEKAHEVIQVLCEKHWTSSCIITMRNFQEICGGMKEAYAILGHLSGAGKAKYLAITNKRDQVEGVKVFLSGKSSIGTTGLDYNLLHLNWTADKLDQQREVIDQRYSRFKNSALASLKSGNKRAALRHAREMKLASQSREKCTLLLNRVEEVLRIIADAESSKQVSEAIRVGTQAIKEYRISVEDVELSLEELDKYIESQNQVNEVLACAETEDEGIEDELKNLEVEIAETVQTPIAVAESQGTSNTLSNLSDALLKLHLTDNVAEKLVVQSSMVVQSLMEKSKDANLEAA >itb15g03030.t1 pep chromosome:ASM357664v1:15:1911499:1914448:-1 gene:itb15g03030 transcript:itb15g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEASGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb15g03030.t2 pep chromosome:ASM357664v1:15:1911558:1914177:-1 gene:itb15g03030 transcript:itb15g03030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEASGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb08g04320.t1 pep chromosome:ASM357664v1:8:3451786:3454795:1 gene:itb08g04320 transcript:itb08g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMLMRSLCRCFHRRSSVFSSYPAALAATATVNHQAFRHLHISPPSFVASPKSVNPECLHPFSLGLGSVRSFSEDVAHMPDVKDEEIRCAFKDLMAASWDEIPEAVIDETKKALSKSTDDKAGQEALANVFRAAEAIEEFIGILTNLKMAIDDSIGLSGENVKPMPKHMANALDTIFQRYNTYLNSFGPEEGYLKKKVETELGSRMIFLKMRCSGLNSDWGKVTVLGTSGLAGSYVEQRA >itb06g13040.t1 pep chromosome:ASM357664v1:6:17652414:17656598:1 gene:itb06g13040 transcript:itb06g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSCLEFEPLHFCPLIFERLDLRCTMALRQLCGFSDGEVMRSDCKPCSRFMRQTAAIFSVGGALGFWVLCRLHYGPRITVPRSLSWAACGAVSTSSTTALLVRLFSPECEPQNIAAYDKKG >itb06g13040.t2 pep chromosome:ASM357664v1:6:17652414:17656598:1 gene:itb06g13040 transcript:itb06g13040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSCLEFEPLHFCPLIFERLDLRCTMALRQLCGFSDGEVMRSDCKPCSRFMRQTAAIFSVGGALGFWVLCRLHYGPRITVPRSLSWAACGAVSTSSTTALLVRLFSPECEPQNIAAYDKKG >itb06g13040.t3 pep chromosome:ASM357664v1:6:17652414:17656598:1 gene:itb06g13040 transcript:itb06g13040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSCLEFEPLHFCPLIFERLDLRCTMALRQLCGFSDGEVMRSDCKPCSRFMRQTAAIFSVGGALGFWVLCRLHYGPRITVPRSLSWAACGAVSTSSTTALLVRLFSPECEPQNIAAYDKKG >itb11g08530.t1 pep chromosome:ASM357664v1:11:5613590:5613895:1 gene:itb11g08530 transcript:itb11g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISLEEGGNSDELSWSSTASGMFTVSSAYNITAGLETNTQEPVWSKLWKLTVPSKVKLFMWTALHDKILGNAKRKRRGLTMNGECAACHRQEETTAHIL >itb01g24940.t1 pep chromosome:ASM357664v1:1:30470192:30473435:-1 gene:itb01g24940 transcript:itb01g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSAAKCCPLFFQKISTHKVFARGILFFYASSPCRRYGTGVDLYRSSKQLSEYMKMGRIRNAQNLFDEIIEKNVVAWSIMVHGYSKNGFYEKALEFFTAMRNSGIVPNSFTFVGVLVGISGLEDMALARVVHGLIVKTGWEFNSVVATALLDTYSRCRFIGDSYKVFDTIRLESVVPWNAMMSGFVYNELFTEAFCLFNRFRESGFAPNSVTVMILTQGCVAMKSMHLCESVHSVCIKFGLVHDIEVSNALLSMYSTLTDLHVAAEVFNEMEDKDVISWSTMMSLLVRHEYVSDALKLFFQMKGFEASYDTGVLVNLISACGLLGNLKMGKSVHAQTIINGFESNIHLANAIITMYARFADLDSSTTLFDQSTMKNVVSWTSMISGFLLNRRPREALDIFIGARKEEGFSADPIILVNTLTAAGELGVLDLCMQLHCYGFVAGFINYRCVPNCLISVYSKCGNVELAHSVFMHMSWLRNIISWNALIYGYGINGHGETALSLYNEFRKCGGIPDAATYLCVLSACSRAEMINEGLMIFSKMLEEDDIRVSEEHYGCVVDLLARAGYLSDASELMDGRDQNAWKALLNGCVLHGDMKLAEVAVRRLHEQAEADPEQAVLLSNLYALVGRFQDAEALRLNMVGKKSMKDPGHEPQQRMLERNKSAINYVTLPKTNLFPNLTLLLVSATSTFLLVFLGLMFDIMAKLRRRPSNVVGRKMCKGGICWHDCSQVCIVYDY >itb09g03380.t1 pep chromosome:ASM357664v1:9:1896370:1906016:-1 gene:itb09g03380 transcript:itb09g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPPTFRSPSSCIDPNFSKFNFSVGKFTSRLKRPSPLFSSHSLASSHSAFKVSEPSLCCRCRSSDSDGADSSPSASENVSPSRKWDSAIQEAVKNVLKRFDSFVNSNWSESESGGVTERKSEDEDWDWERWKKHFTEVEEQEQTVSILKSQLAGAIGREDYEEAAKLKVGIAAAATNDTVGKVISHLNNAVKEELYADAAFIRDHAGAGLVGWWSGISDDYDDPYGRIIHISAEHGKYVAKTFSPRQLASAVEGAPIFEIFLRMNKNGDYKQQAVYLKQRTVPQDSTIPTPMFSGTRNLDSLSPTEYKDDLFGKGKEVSEDDENRDDDSGFENKLRDMVPGVKVKVLKVTAPAKVDRDLISKVIEQVLDDDDDEDEDGEDIDLETVDSEVDEDDFEEDIELEVVDAEVDIKVENNEEQNVIELDTDTGTRDNEEQSQVAIKVVVGRLVQNMSSSAHRKDLLRIPATLERKSRLSFTFSVEDNEQTSSGDGESSSNRKPKLHSQRSMDHLMLDLAKFIGKGKIPEKMLKDVGKLINLTLNQARNHQLLSKSTTFNRIEIPLSSDPLNGLYIGTQGLYGSEVIHLRRRFGQWKEDNITKNSSNIEFYEYVEAVKLTGDPYVPAGQIAFRAKVGKQNQLPHKGIIPEEFGVIARYRGQGRLAEPGFQNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLMFFHRLRLQD >itb02g14870.t1 pep chromosome:ASM357664v1:2:10804301:10807926:-1 gene:itb02g14870 transcript:itb02g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKAKFLEVYSTLKSELLNDPAFEWTDDSRQWVERMLDYNVPGGKLNRGLSVIDSYKLLKEGEELTDDEIFLTSALGWCIEWLQAYFLVLDDIMDGSHTRRGQPCWFRQPKVGLIAANDAIILRNHIARILKNHFKGKPYYVDLLDLFNEVEFQTASGQMIDLITTLVGEKDLSKYSLPLHRRIVEYKTAYYSFYLPVACALLMAGEDLEKHVGVKDILVDMGIYFQVQDDYLDCFADPKVLGKIGTDIEDFKCSWLVVKALELCNEEQTKTLYENYGKEDPACVAKIKVLYNDLKLQDVFAEYESKSYEKIVKSIEGHPSAAVQAVLKSFLAKIYKRQK >itb14g21690.t1 pep chromosome:ASM357664v1:14:23561943:23563553:1 gene:itb14g21690 transcript:itb14g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFKFNIGGIISHHITVNLNRAINRCIRNADLDGARHMFDQNPYLRNVVSWNSMIMAYFRQREVQHAQELFNEMPHRDLVSWNTMLSGFRHTNHPEKVYNCFVEMNKVGERPNELTLAVAISAFLGTKFNILIPQLHCVVICSGLKLNVFVGSALMRGYIDLGNCQGMHRVFNEILVKDDVTPWNVLILGYMEFGMTSEAEKVAFDVMPAEKNLVTWSTLINGYIKNSKVDEARGIFDKMSEKDKDVVSWTAMIKGYVQCGDFDKGLELFVVMLRKSSGGGSSRPNHYTFSSVLDACAGCCSLVMGSQVHACILKLGISLDDVILSTSLVDMYAKCGEIEMAFCIFKSMPEKNLVSWNSIIGGYGRHGVWERAVEELGRMVKSGVKPDEITFINVLSACVHGGKVEEGERIFNWMMKKKKMMKVEMEHYGCMVDLYGRAGELEKAENLIKGMPFEADVVVWGAFLRGCALHSCLERGEIAAKRIYKLQTDHPALSSWSWSSSKMQMQMQMLGAGQAEERGSVHFTKKQKACSWVG >itb04g31960.t1 pep chromosome:ASM357664v1:4:34664074:34665000:-1 gene:itb04g31960 transcript:itb04g31960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSPSPFPWLPPLNSHPNYAVYIKAQQIQFEEDSYSTHSLYPEFVVDFHVKTKFYSDDQTRNDSIPGLNRRRRINFMCKPGDPEPMVETDIHNMLNIMGIQFPLNNLRWVNTNDNSSDPEMVFLESEDEFVETLVGFMNRLRSLPINEGLRFLPMCLKIVKRVTISDSEFEDWVLWKEEQVRVNPNFYEEYNEAIERPRLPEELLFDRKTQAATPSSVDALESWVIDGESSTSPAITCGVCLEEMLFGTTATKMPCSHVFHGDCILRWLKLIILVLSVATLCHLLDFTYSTSTNSVESRCRDSDI >itb12g10250.t1 pep chromosome:ASM357664v1:12:8306058:8308082:1 gene:itb12g10250 transcript:itb12g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLKLLAMVVIISAAQLCCSATSGGHEFTFHGFNSGDLTLDGIAEITSNGLLRLTNETMLQKGRALYPMPINFKNSSNGSVFSFSTTFVCAMVPQTPSWITHGIMFAITQPGGLPGALPNPYFGLFNETTFNGNATNHVVAVELDTLQNPDHDDIDNNHVGIDIHRLISVEAKSAGYYQGNQLHNFSLGSSKPIQAWVEYDGMAKQMNVTVAPLSVGKPSKPLLSFPHDISLDVLETVSIGFTAATGSAVSTQYILGWSFKMNGIAQELDPSKLPKLPRVGPKRKSRFLVIGVPVIAIFSLIIIAFGVGYYVFRKRKFAELLEDWEQLYGPHRFNYKELYFATKGFSDKQILGAGGFGKVYRGVLHNTRAEIAVKKIHNQSTLGMRPFIAEVVSMGQLCHRNLVPLRGYCRRKRELLLVYEYMPNGSLDRYLFDKPMRTLSWNQRFQVIKGVASALFYLHEEWEQVVIHRDIKASNVLLDSEWNGRLGDFGLARLYDHGSDPQTTHVAGTHGYLAPEHVRTGKATTSSDMFAFGAFLLEVACGRRPIEQTASNDDLILVEWVFSWWNRGEILQTVDPKLGEDYDVEEVDMVLKLGLLCSLLDAELRPNIRQVIRYLERFVALPKLSLLTLSIAGLTISRSEGFDDFVLSLSYSDDKIGSCYSSITNSIVSEGR >itb12g25630.t1 pep chromosome:ASM357664v1:12:26821212:26821802:-1 gene:itb12g25630 transcript:itb12g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESTVLASEICNEISSVFSKSTSKPAALDVMVEEIAAAASRKSRIFVYGVGREGLMLKALCMRLFHLGLSAHCVFDMTTPPAAASDLLIASAGPGGFSTVDAICGVARSSGARVLLLTAQPHSGSSLEFASAVAYIPAQTMADDQREESRALLPMGSLYEAAMFVLFEMVVYKLGQVLGQSPEAVRARHTNLE >itb14g03970.t2 pep chromosome:ASM357664v1:14:3554013:3557642:1 gene:itb14g03970 transcript:itb14g03970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDAKGADKRIVFVTVGTTCFDALVKTVDTAEVRKELFKKGYTDIIVQMGRGTYVPTKSALDNGSPALDYFTFSSSIAEYLKSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELADRKHLFCARPQTLYETIASMDLQCVVPYQPGDTKPVAKLINRHLGFPEE >itb14g03970.t1 pep chromosome:ASM357664v1:14:3553845:3557660:1 gene:itb14g03970 transcript:itb14g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDAKGADKRIVFVTVGTTCFDALVKTVDTAEVRKELFKKGYTDIIVQMGRGTYVPTKSALDNGSPALDYFTFSSSIAEYLKSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELADRKHLFCARPQTLYETIASMDLQCVVPYQPGDTKPVAKLINRHLGFPEE >itb15g00780.t1 pep chromosome:ASM357664v1:15:449722:453608:1 gene:itb15g00780 transcript:itb15g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MAAVILLPATTVSGSAAAASTFLSASNPISSLSVIKPNHKFKPAFFLSNASLSIQEPLLSSDPLINSSISRQHAVDYADFLKLSVRSGDVELTRVVHAMVLKLEEDTRLSNALISAYLKLGLVDYALAVFDCLSSPDIKSYTAIISGFAKINREIEAVELFFEMRGSGIEPNEYSFVALLTACIRSQNLELGVQVHALMIKLGYLDCTYVVNALMGLYSKCGSLDFVIELFDNLPKRDIASWNTMISGMVKESMFGRAFELFNDMQRTDCLRVDYITLSTLLNACSECFVRRGQELHAHALRIGYESNLSVNNALIKFYTRCGSIKSVMALFERMLVRDAFTWNEMITAYMESGLVDLAKELFDHLPEKNAVLYNAVLAGFCQNGEGLRAMAFFYRMVKESIEFSDFTLTSIAYACGLLMERKSSKQIHGFILKSGCEPNDCIEAALLDMCTRCGRMEDAEKIFHRMPLGHGRLIALTSMLCGYAQNGQPENAISLFLETQLEGLLVVDEVATATVLGVCGTLGLLKLGENLHCLALKHGFLSDVVVGNAVISMYAKCGELEDAVKAFELMPSRDLCSWNSLLAGYVLHRRGDEALDTWSRMERLGIVPDSFTCLHILSAYRHTTTNLVNRCQSFFFSMQSAYGVLPASDHYACLVSVLGYWGLLEEAEETITTMPFEPKPSVWRALLDSCIMHLNSTIGKRVIKKILSIEPQDPSTFILKSNLYSASGRWQCSELVRAEMKEKGFQKIPGQSWVICQHKFHSFFARDTSHLQSKDIYSALDILILECMKRGYAPDTSYVLHEVEEYQKKDFLFHHSAKLALTFGLLMTKPGKPVRIMKNILLCGDCHTFFKSASIVTKREIHVRDTSGFHCFLNGKCSCNDRW >itb15g00780.t2 pep chromosome:ASM357664v1:15:449659:453793:1 gene:itb15g00780 transcript:itb15g00780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MAAVILLPATTVSGSAAAASTFLSASNPISSLSVIKPNHKFKPAFFLSNASLSIQEPLLSSDPLINSSISRQHAVDYADFLKLSVRSGDVELTRVVHAMVLKLEEDTRLSNALISAYLKLGLVDYALAVFDCLSSPDIKSYTAIISGFAKINREIEAVELFFEMRGSGIEPNEYSFVALLTACIRSQNLELGVQVHALMIKLGYLDCTYVVNALMGLYSKCGSLDFVIELFDNLPKRDIASWNTMISGMVKESMFGRAFELFNDMQRTDCLRVDYITLSTLLNACSECFVRRGQELHAHALRIGYESNLSVNNALIKFYTRCGSIKSVMALFERMLVRDAFTWNEMITAYMESGLVDLAKELFDHLPEKNAVLYNAVLAGFCQNGEGLRAMAFFYRMVKESIEFSDFTLTSIAYACGLLMERKSSKQIHGFILKSGCEPNDCIEAALLDMCTRCGRMEDAEKIFHRMPLGHGRLIALTSMLCGYAQNGQPENAISLFLETQLEGLLVVDEVATATVLGVCGTLGLLKLGENLHCLALKHGFLSDVVVGNAVISMYAKCGELEDAVKAFELMPSRDLCSWNSLLAGYVLHRRGDEALDTWSRMERLGIVPDSFTCLHILSAYRHTTTNLVNRCQSFFFSMQSAYGVLPASDHYACLVSVLGYWGLLEEAEETITTMPFEPKPSVWRALLDSCIMHLNSTIGKRVIKKILSIEPQDPSTFILKSNLYSASGRWQCSELVRAEMKEKGFQKIPGQSWVICQHKFHSFFARDTSHLQSKDIYSALDILILECMKRGYAPDTSYVLHEVEEYQKKDFLFHHSAKLALTFGLLMTKPGKPVRIMKNILLCGDCHTFFKSASIVTKREIHVRDTSGFHCFLNGKCSCNDRW >itb11g16640.t1 pep chromosome:ASM357664v1:11:15084778:15085107:-1 gene:itb11g16640 transcript:itb11g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPIEKRDIYDADHGKKVLSMAPRLERLNILPLKVATYDKTQNKMAFFDPSRPQDFLFISGTKMRTLAKNKENPPDGFMCPGGWQVLVEYYDSLSLAENGRAPEPVSV >itb01g33830.t1 pep chromosome:ASM357664v1:1:36802730:36804432:-1 gene:itb01g33830 transcript:itb01g33830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSKSITNQVDLSLKLAKHVFSTQAEGDSNLVFSPLSINVILSLIAVGSNSPTRDELLAFLMSDSTDLNTFYSQIVDNIRVDGSLTGGPCLSVASGLWIDRTLPLKPSFKYVVDSVYKAASESVDFQNKASEVVDQVNSWAEKETKGLINNLLPPSAIDDTTRLIFASALYFKGDWSKKFNESNTQDHEFHLLNGSSIQVPFMRTCVKQYVKAFSGFKVLKLSYNRGNDDKERRSFSMYFFLPDAMDGLPSLLDKASSESGFLERHLPTKLVSVGKFRIPKFQISFQFEVSRVLGELGVKAPFNPRGGGLTEMVDSPEGSELYVSKILQKSFIEVNEGGTEAAAVSVSLMVVGAGFRIEKEDKTDFVADHPFLFAIREDLSGAILFVGTVLHPQYNSKNLPSKPTPALPPPWVFWERSRRPSIGPESMGLLQSLRPFVGGSENKELLEWDLAEIERLFQF >itb02g11860.t1 pep chromosome:ASM357664v1:2:7908502:7912595:-1 gene:itb02g11860 transcript:itb02g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFGVGTFVRSRRFGSYFEAGSGVDLDKPAKIVKEEVKQKQKAEDDDDVYEEDDGWVSVLISWIRIIVCFVSMMVTTLIWALIMLPLLPWPYQRIRQGNIYGHVTGKMLMWILGNPIKIENAEYCKERAIYVCNHASPIDIFLIMWLTPTGTVGIAKKEIIFYPLFGQLYVLANHLRINRSNPTAAIESMKKAARAVVDNNLSLIIFPEGTRSQNGRLLPFKKGVVHLALETRLPIVPIIFTGTHLAWRKGSLHVRPAPLTIKLLPPISTDDWTADKTGDYVRLVHDVYVNNLPHSQKPLVE >itb02g11860.t2 pep chromosome:ASM357664v1:2:7908503:7912180:-1 gene:itb02g11860 transcript:itb02g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVEGMENFGVGTFVRSRRFGSYFEAGSGVDLDKPAKIVKEEVKQKQKAEDDDDVYEEDDGWVSVLISWIRIIVCFVSMMVTTLIWALIMLPLLPWPYQRIRQGNIYGHVTGKMLMWILGNPIKIENAEYCKERAIYVCNHASPIDIFLIMWLTPTGTVGIAKKEIIFYPLFGQLYVLANHLRINRSNPTAAIESMKKAARAVVDNNLSLIIFPEGTRSQNGRLLPFKKGVVHLALETRLPIVPIIFTGTHLAWRKGSLHVRPAPLTIKLLPPISTDDWTADKTGDYVRLVHDVYVNNLPHSQKPLVE >itb11g01950.t1 pep chromosome:ASM357664v1:11:966381:967109:1 gene:itb11g01950 transcript:itb11g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDTGVRIAARFNSHCPQTSRMYYHPPPPKHEEESRLHDDHHHYNVFGLNHHRFDGVSCNSHAAAAGDASAAPPAFPKSGAAGIDSADFILYTVV >itb07g20550.t1 pep chromosome:ASM357664v1:7:24954444:24963029:-1 gene:itb07g20550 transcript:itb07g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVELRRNPMTGDYFEKIGGMMFKDGFLYKTMAMKSISTQNIEPSFDELEKFRQPGESNMASLSTLFANRKKGHFMKGDPVIVVKGELKNLKGWVEKVEENIVHIRPKEKNLHNKTLISVGEKEVCKYFEAGNHVKVVCGASEGATGMVASVEGHMVNLVSDTTKEIIRVFADTVVESCEVISGATRIGDYELHDLVLLDDKSFGMIIRVESEAFQVLKGVPKNEKAEVAVVRLREIKAKVEKKGRAQDRYQNALAVKDVVRVLEGPFKESLLFNGLDESCEECSSVVLRVEYDLSAFQICDIDESGGAGSGAGGLEHSGSECSAIVILEPVMPNHPLKYDYAAMSVQPTIPNHPLKYDSAAMLSEPTMPNHPLNHDSIAISSEPVQTPAGSPKE >itb04g07550.t1 pep chromosome:ASM357664v1:4:5151533:5153010:1 gene:itb04g07550 transcript:itb04g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVRTITTPFRKARTLFNPQPRDKKSQQEGQDENNRIADLHGEVMACGYEDVQVMWSILDKSKPRPCNITSS >itb10g19370.t1 pep chromosome:ASM357664v1:10:25241483:25242658:-1 gene:itb10g19370 transcript:itb10g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQSALLIAAVIFLVSLLGVSGAKEEKVFRYKVTGTVYCDVCRAKFVTRVAERMPGAKVKLECHNTTDGKTTYSLEGETDANGVYHLEAEGDHDEDEICGIKPLKSPKEDCSEVIKDMWAKEFTRVSLTANNGMVTGIRQVNPILFLKSKPIAVCSEVFKELHYIPGEYLHP >itb02g08520.t1 pep chromosome:ASM357664v1:2:5374643:5376494:1 gene:itb02g08520 transcript:itb02g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVKLPSCERYKLEAKSLSYRLPPEHNEFPWVHKSSKSVGYILRSVNCEAKDGEVTAIAGPSGAGKTTLLDILAGNMVSSRASGHVLVNDQPMKGAHFRRISGYVTQDEALFPHLTVEETLVYSASFRLRGGKAKDTVAKLVRELGLEDVARVRIGSESNRMISGGEKRRVSIGVELVHNPAVLLLDEPTSGLDSAAALHVASLLQSMAKNQGKIIVLTIHQPGFRILELFDKVVLLSHGFVLHNGSLRLLEEKLKSLGHSIPHHVNVLEFAIEVTNSLEESLEMEETDDDGRKFEESFTISPNFKEKHTFLSNSPLKEVLILSRRFCSNIFRSKELFLAKIMQAPLVGVLLGSIFLNAFQHPKEMQLQNQLGFFAFSLTFLLSSNVEALPIFLEERRILMRETSRGAYRISTYTIANTLVFIPFLLVVALMYAIPIYWLVGLRPGFDGFFYYSLVSWVIFMVGNSFVAASSALVPNFIVGMSFLGSIIGAFFLFSGYFIKKESIPKFWLFVHYLSLFKYPFECFLINEYGGEYGRSKCVQKVEEGCLMYGNQLLEQEGLVESQKWTNLVVMLGFVFVYRFLCFLILWCRSHTRNL >itb04g22380.t1 pep chromosome:ASM357664v1:4:27553601:27554301:-1 gene:itb04g22380 transcript:itb04g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENITPIIYKRPQRNNKPWNPAGVMNQAHHLQIDWQEAEYRLRGSLSVSTVRDCPFQKRFRFLRFLLLLHHPRIFRTPFLRFRSLLSGGGGGGEESAKK >itb03g18000.t1 pep chromosome:ASM357664v1:3:16391226:16396014:-1 gene:itb03g18000 transcript:itb03g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIAVFPEGLGISTQIGLLWQQVRQPLLVPFLRIMVFLCLAMSLMLFVEKVYMGIVMCFIKISNRKPENKYKWEPMKKDDLEIGDFAYPMVLVQIPMYNEKEVYQLSIGAACNLSWPADRLIIQVLDDSTNPTIKALVQQECRRWANKGVNIKYEIRENRNGYKAGALKEGMKHSYVKLCDYVAIFDADFQPDSNFLCRTIPFLVHNPQVGLVQARWKFVNSDECMMTRMQEMSLDYHFLVEQEVGCATHAFFGFNGTAGVWRICALNEAGGWKERTTVEDMDLAVRAGLKGWKFVYVGDVKVKNELPSTFQAYRYQQHRWSCGPANLFKKMAMEIIRNKKVSLWKKLYLVYSFFLVRKIVAHVVTFVFYCVVMPATVVIPEVQVPKWGAVYIPCIITMLNAMGTPRSFHLLVFWVLFENVMSLHRTKATFIGLLDAGRVNEWIVTEKLGDALKTKTPAKAAAMLNKPRIRMGERLHVLELMVAFYLFFCGWYDFYFGKNSFYIYLFLQGMAFFIAGIGYVGVSVPSS >itb06g12170.t1 pep chromosome:ASM357664v1:6:16734426:16734808:-1 gene:itb06g12170 transcript:itb06g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPLREPLRLPLNEALVTVGGGVVVAATGDELVDRREGAIATGGDVSMPVVSSALLESFAVAESPSSVVWGL >itb07g17630.t1 pep chromosome:ASM357664v1:7:21928147:21929963:-1 gene:itb07g17630 transcript:itb07g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWNKKARVVVLDPMASYRRSRTTSAARKDMISQLPDDVKEKILECLPTSDAARTALLSTDWKDVWLRNGRLVFDTHFFKCLRKCEGDKRVALVSIINDILLHRAGPVKKFTLVISCPEDPKPQQSDLDRWCRYLSRNGIEELNISISGKNSNVLN >itb02g25060.t1 pep chromosome:ASM357664v1:2:25810657:25812231:-1 gene:itb02g25060 transcript:itb02g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFYSTLIETTLLVSLIFVPFGQCFTVIVSDSGLVDAPQTAYSLNNDHARTDPREQEAVYEVMRATGNDWATEIPDVCRGRWHGIECMPDKANVYHVVSLSFGALSDDTAFPTCDTARPFISPAVTKLLHLRTLFFYRCFTDNPQPIPPFLAQLGQTLQTLVLRENAHVGSIPNQLGNLTRLRVLDFHGNNLNGSIPVSLSRISGLRSLDLSRNKLAGSIPNLTFPLLQILDLNQNHLVGSIPTALINSHSLIKMDLSRNRLSGRIPDSIDALKNIILMDLSYNSITGPIPTTLKNLDFLQALLLSGNPMLSDTLPEHIFFDGFKDLMILALSNMNLQGSIPESLAKLPKIRVLHLDGNQFNGSIPASFGELDGLSELRLDNNQLTGVVPFKREMVWRMRRKLKLSNNSGLCYDAKTGLGDDLEAISDSGIGHCGSQATEPARTVEHISAVDESMLRSSVKSGGTVKELSPLSCLLLAVYFL >itb09g17250.t1 pep chromosome:ASM357664v1:9:12488297:12498546:1 gene:itb09g17250 transcript:itb09g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPNLNWNMGCDLNYFFAFLILTLFVCSSSSLSVQESEAIESLLSRQDSKRPSNSVQESAAKGVLQRLLPTHLSSFQLKIVSKDVCGGQSCFRVTNYKSSSGDSPQILIQGTTAIEITSGLHWYLKYWCGAHISWEKTGGIQINSIPKPGLLPSVNTEGVMIQRPVPWNYYQNVVTSSYSYVWWDWQRWEKEIDWMALQGINLPLAFTGQEAIWQKVFLQEFNLTAQDLNDFFGGPAFLAWARMANLHGWGGPLSENWLDKQLSLQKQILQRMTELGMTPVLPSFSGNVPAAIKRMFPSANITRLGDWNTVDGDPRWCCTFLLDSSDPLFVDIGEAFIRHQLKEYGSITNIYSCDTFNENTPPSSDPSYISSLGSAVYKAMSKADKDAVWLMQGWLFYSDSSFWKPPQMEALLHSVPFGKMIVLDLFADVHPVWKNSSQFYGTPYIWCMLHNFGGNIEMYGTMDSVASGPIDARTSENSTMVGVGMCMEGIEHNPVVYEQMSEMAFRSDNFDIKAWLKSYASRRYGKAVGEVEAAWEILYHTVYNCTDGIADHNKDYIVEFPDWDPSNPSRSDMPENDHTKAFLMTHQKRRFFLLETSSSLPQPHLWYSTEDVLKALKLFLDAGTELAGSLTYRYDLVDLTRQSLSKLANQVYLDSIAAFTNKDAKALSVHSQKFLQLIKDIDVLLAADDGCLLGTWLESAKSLAENSNETKQYEWNARTQVTMWFDTTKYVQSKLHDYANKFWSGLLESYYLPRASMYFNHLSRSLNENVEFKVEEWRKEWIAFSDKWQRGSELYPVKAQGDALAIANALYQKYFS >itb05g08790.t1 pep chromosome:ASM357664v1:5:12369743:12377242:1 gene:itb05g08790 transcript:itb05g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSDLQKADVESIEFGGLLRMKVTKVGCSILLKWLVENFNGSSRMLTINSTNSFVITPNDVADIFQFPRYESTPVLKLKHNETAEFMAELKEQYGLENNTSSLKLEELIKGRLSCGGDDFKRAFVLYCLSNFLTLTANSKVDFTAVKSLVNVGEIGYFDWSQYVLDKLCKAVSKYNKSTTQKNVSGCVLLLQILYFHRLKWRGIAQPSSHPLIQHWTHEKLKQRMIEEISAGNFGQGELDNSTYPISSSKVATEFEDEVLRERSSSRSCDEINEASRVIKFTIAKGELDNNEIHKLAKDEMHEAFLLLKRDMSVITSVHMERVMKLKEQMKGKAPESFTQCQLSQDSQLFFGDSKVLEYVDHVVENFLAMKKLSEAMPLFDLLIPDEENDDQMGFSSFTLSLLHSPPLSPLNSHSRYISLPLHSPTPGSVSLLRSLRLPASHLVTILALSASPSPALCPLSCTVTASPHLRGTVIPSVNGTPPSTNVLHQDLKPKNILANADCKLKLCDFGLAQVSFGDAPSAVFWTVCVSSPLKFLSIVLFNCNSCT >itb09g24240.t1 pep chromosome:ASM357664v1:9:23862387:23863042:-1 gene:itb09g24240 transcript:itb09g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFSPIYILTAFLSLGLSIIFIHAFIITVLQKHSNNKKYHPIGGTIFHHVINFHRLHHYITDLARKYKTYRLITPFRSEVYTVDPINVEYILKTNFENYGKGEYNNSILKDLLGDGIFTVDGEKWREQRKVSSHEFSTRILRDYSSVVFRKSAATLARVLSEAANKEESVDMQASFPIPDS >itb06g09860.t1 pep chromosome:ASM357664v1:6:14041920:14047967:1 gene:itb06g09860 transcript:itb06g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLHGTLYATIFDIDKISSGLFDFCSTVQDSSAKSSTKKFLNSIKRFILCRPEITGTKLYATIDLDKARVGRTQVVENHSSNPIWNESFRIYCAHAISHVIFTVKYDDPIDATLIGRAYLPAAEVFNKYVVDTWLPILDEERIPVHGHPKIHVRLQFSSVKEDDDWSRGIPAPDSGAVPFTFFKERKNCNVKLYADAHVPDDKMAKYLQDQGFTDPERCWEDIFQAIINARHLIYITGWSVYTKISLVRDPATAASEKHDMSLGDLLKMKAKEGVNVLVLVWDDRTSIEVFKRDGLMATHDQETYDYFRDTGVHCFLSPRNSDYGSSILQGIQFTTRTTLFTHHQKTVVVDSEFQGSKGGLGKRTILSFLGGIDLCDGRYDTREHSLFRTLNTIHKEDFHQPNFPGANVNKGGPREPWHDIHCRLEGPAAWDVLYNFEQRWRKHIGDKYIYSMKELQGFTIRPTEFQALDDDDPERWNVQIFRSIDGNDAAGFPGDPVEAAESGLITGKNHVIDHSIQDAYINAIRRAKNFIYIENQYFLGSSYGWRESKEFKLEDIGAIHLVPKELSLKIVSKIEAGERFTVYVVIPMWPEGVPESESVQAILDWQRRTMEMMYTDIASALNAKGISADLREYLTFFCLGNREIKLPDEYVPPDKPSPDSDYARAQESRRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPMHLERPDQPARGKIFGFRMALWCEHMNYVDDSFFHPESLECVKTVNAIGDENWSMYISEMQEKDLPGHLLTYPVHISPKGEVQGHAGVESYFPDTKAPIHGTRSLYLPPILTT >itb06g09860.t2 pep chromosome:ASM357664v1:6:14041920:14047967:1 gene:itb06g09860 transcript:itb06g09860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLHGTLYATIFDIDKISSGLFDFCSTVQDSSAKSSTKKFLNSIKRFILCRPEITGTKLYATIDLDKARVGRTQVVENHSSNPIWNESFRIYCAHAISHVIFTVKYDDPIDATLIGRAYLPAAEVFNKYVVDTWLPILDEERIPVHGHPKIHVRLQFSSVKEDDDWSRGIPAPDSGAVPFTFFKERKNCNVKLYADAHVPDDKMAKYLQDQGFTDPERCWEDIFQAIINARHLIYITGWSVYTKISLVRDPATAASEKHDMSLGDLLKMKAKEGVNVLVLVWDDRTSIEVFKRDGLMATHDQETYDYFRDTGVHCFLSPRNSDYGSSILQGIQFTTRTTLFTHHQKTVVVDSEFQGSKGGLGKRTILSFLGGIDLCDGRYDTREHSLFRTLNTIHKEDFHQPNFPGANVNKGGPREPWHDIHCRLEGPAAWDVLYNFEQRWRKHIGDKYIYSMKELQGFTIRPTEFQALDDDDPERWNVQIFRSIDGNDAAGFPGDPVEAAESGLITGKNHVIDHSIQDAYINAIRRAKNFIYIENQYFLGSSYGWRESKEFKLEDIGAIHLVPKELSLKIVSKIEAGERFTVYVVIPMWPEGVPESESVQAILDWQRRTMEMMYTDIASALNAKGISADLREYLTFFCLGNREIKLPDEYVPPDKPSPDSDYARAQESRRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPMHLERPDQPARGKIFGFRMALWCEHMNYVDDSFFHPESLECVKTVNAIGDENWSMYISEMQEKDLPGHLLTYPVHISPKGEVQGHAGVESYFPDTKAPIHGTRSLYLPPILTT >itb06g09860.t3 pep chromosome:ASM357664v1:6:14041920:14047967:1 gene:itb06g09860 transcript:itb06g09860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLHGTLYATIFDIDKISSGLFDFCSTVQDSSAKSSTKKFLNSIKRFILCRPEITGTKLYATIDLDKARVGRTQVVENHSSNPIWNESFRIYCAHAISHVIFTVKYDDPIDATLIGRAYLPAAEVFNKYVVDTWLPILDEERIPVHGHPKIHVRLQFSSVKEDDDWSRGIPAPDSGAVPFTFFKERKNCNVKLYADAHVPDDKMAKYLQDQGFTDPERCWEDIFQAIINARHLIYITGWSVYTKISLVRDPATAASEKHDMSLGDLLKMKAKEGVNVLVLVWDDRTSIEVFKRDGLMATHDQETYDYFRDTGVHCFLSPRNSDYGSSILQGIQFTTRTTLFTHHQKTVVVDSEFQGSKGGLGKRTILSFLGGIDLCDGRYDTREHSLFRTLNTIHKEDFHQPNFPGANVNKGGPREPWHDIHCRLEGPAAWDVLYNFEQRWRKHIGDKYIYSMKELQGFTIRPTEFQALDDDDPERWNVQIFRSIDGNDAAGFPGDPVEAAESGLITGKNHVIDHSIQDAYINAIRRAKNFIYIENQYFLGSSYGWRESKEFKLEDIGAIHLVPKELSLKIVSKIEAGERFTVYVVIPMWPEGVPESESVQAILDWQRRTMEMMYTDIASALNAKGISADLREYLTFFCLGNREIKLPDEYVPPDKPSPDSDYARAQESRRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPMHLERPDQPARGKIFGFRMALWCEHMNYVDDSFFHPESLECVKTVNAIGDENWSMYISEMQEKDLPGHLLTYPVHISPKGEVQGHAGVESYFPDTKAPIHGTRSLYLPPILTT >itb10g21770.t1 pep chromosome:ASM357664v1:10:26835081:26836161:1 gene:itb10g21770 transcript:itb10g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVESVPATVTEDVVAEVNTTTEAPKAEEVAPPAAAADSAADEQPAETKEEAASETTAQEEVAAPVAEEAETKEVAAESGAPAAEPAASEEAKTEEAVAEEVPNEETPAEVAETTAEETAVATPAAEPVVESAADTEVPVEKAE >itb01g28220.t1 pep chromosome:ASM357664v1:1:32735714:32738752:1 gene:itb01g28220 transcript:itb01g28220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEHLPQVSVQDLDDDKEEIKNLQMGISETLQDSDCHAINTLNLEGAASEIGNGINGASNEKKMNKPSRRSRAANVAAVEEEGRQDCDKLTKEDKKGFYSRKELEALRFVSLEEQRKKWIEVYCGLGADVQKEYDGLLRSIHQKQLVDFDPRRKFGKARHVSFGDDSNSELLEGQRERTNVTNPALGCAASNENDDSIVEREGSDYDDSDEDYSGIRKPALFVTGEPNFDSVHPEDGLEYLRRVRWEAERLPNVKVAKVERSKVNKEQSVYMPQIPDIATCPEHLLPLKEWEDEFLADFSKLRQALSQLESSGIQISSQPPLVSVVHQEQSSHQLSNSIILEDFDILTSGDDCSQSDAGDEYTLENSCPTLSVISGMEPVTRVSLLRKRITALESTNTLSRDDCLWLFALCAAVDSPLDADASASLRSLLRKCASLRAEKTTMNDEVIMLNILATISGRYFGQAGN >itb10g11100.t1 pep chromosome:ASM357664v1:10:16398285:16400633:-1 gene:itb10g11100 transcript:itb10g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATQIYRDQEIASHFDMRAWGVASQHHNKRQMLLALLNSMGFAESSNDDLETKLYQRLKGQRYLVVIDDVWSNGAWNDVQRCFPDDGCGSRVLITTRLEEVANSTCSNKDDFYHKMSFLNQSESWELFCKKEYKAGDDKFEMIGKQIVEKCDGLPLAIVMASGVLSKANTVDQWKDIAESLNSFATIIDEKCSTILSFSYNHLPPTLKACFLYLGVFPEDYEINTNDLSKLWAAEGLANASKGDESLDVQVDKRIQELVDRSLIIESKRSCCGKKVKAFTMHDVLHAFCVEEAHKEKLLYTVLEHGSTSPDQEGFRWLTLRNTNFEWKGINILSSLPKLKVLKLFFFACVGEEWELEDEVFSQLIYLEINSIDLEQWKAGSHNFPELERLLLYRCKKLEEIPPDFGEIPNLNLIELKGCLTSVVDSAKQIETDQRDSGNDDMIVIEENTIQPNDELEADEDDFDEL >itb13g05780.t1 pep chromosome:ASM357664v1:13:6916383:6916640:1 gene:itb13g05780 transcript:itb13g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWGEELPCISLNTGISMMHRLRPQPSWDRTCTAASAIALLYELHLLPAFNSYGLDKQADAIEDALEVLLEVLTTSSNHRRRWI >itb01g04210.t1 pep chromosome:ASM357664v1:1:2848266:2848853:1 gene:itb01g04210 transcript:itb01g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSSIIPSDSPAGFTCIILWLCLFMSLISRVTAENFLLAYACPNTSTYTPNSTYRANLDVLLSTLSLHGEEQNGWYQKRETAVYGLFMCRGDVSTDVCSECVSKATKIIRQKCPWEKTAIVWYDYCMLRYTDKNVFGIREEDIVVSYGLINTQKHAEPGRFMDFLKKMLDQVANQAAKDEYGLKKFATGKAN >itb07g07730.t1 pep chromosome:ASM357664v1:7:5921925:5931938:1 gene:itb07g07730 transcript:itb07g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTTGIQNGGVAVMAAGSAVGQMDHSGPANKALGVNGSSPIRIFLFFHKAIRAELDGLHLAAMDLATNQNSDIKPLQERCHFLRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGEGVLFDQLFALLDSDMQNEESYRRELASCSGALLTSICQHMSKEEEQVFPLLIEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDECHDMRKFLHKVIPDEKLLQQIIFTWMDGQKNNKKRKACQDQLNHHSASDSVPKGNGKCPCKSSRSAKRDCPVLSCNTVSTLDCPIDEIMHWHKAIKMELSDITEAARKITLSGDFSDLSAFNQRLQFIAEVCIFHSIAEDKVIFPAVDTELSFAQEHAEEENEFGKLRCLIENIQSAGANSPSTEFYSKLCSHADHIMEIIEKHFHNEEVQVLPLARKHFSPKRQRELLYQSLCVMPLRLIECVLPWLVGSLNEENARSFLHNMHMAAPASDTALVTLFTGWACKGRTGDTCLSSNATGCCPDEELSGNQDCIGRCFCARSESFSYAQEDCHERCSSSTLGERCDPSGTGKLHKVSSSNQSCCVPGLGVSSNNLIINSLATVKSLRSLSFGTSAPSLNSCLFSWENDYSLNNNGHATRPIDNIFKFHKAIRKDLEFLDVESGKLADCNDTFFRQFGGRFRLLWGLYKAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALSELSLLHGSLGNRTFTGHPSIDSSNSCDLNDNLRKYNELATKIQAMCKSMKVTLDQHILREEVELWPLFDTHFTVEEQDKLVGRIIGTTGAEVLQSMLPWVTGALTQEEQNKMMETWKQATKNTMFSEWLNEWWEGSPPESSQAIISENCISQGYDLHESLDQSDGTFKPGWKDIFRMNQNELESEIRKVSRDSSLDPRRKAYLIQNLMTSRWIASQQKLPQAGTGKTSDGDDQFGCFPSFRDPEKQVFGCEHYKRNCKLRASCCGKLFTCRFCHDQVSDHSMDRKATTEMMCMSCLQIQPVGPTCRTPSCDGLLMAKYYCSSCKFFDDERTVYHCPFCNLCRLGSGLGVDFFHCMTCNCCLGMKLVDHKCREKGLETNCPICCDFLFTSSETVRALPCGHFMHSACFQAYARTHYICPICSKSMGDMSVYFGMLDALMASEILPEEFRNRCQDILCNDCDKKGTARFHWLYHKCASCGSYNTRVIKVDSSPDCTR >itb14g19960.t1 pep chromosome:ASM357664v1:14:22463947:22466617:1 gene:itb14g19960 transcript:itb14g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MAPPYSTVSRQQPRLRTRTLTLTFIPGLPNDLAALILLFIPYSHHSRLKCVCKSWRLLFASKSLISLRYKLLPPRRFSHLLCIFPEDPSITSPCLFDPHNLAWCLLPPMPCNPHGYGLCNFTSIAVGPHLYILGGSLFDTRSFPLDIPCPSSSAFRFDFATLSWESLSPMITPRGSFACAAVPNSDKILVAGGGSRHTVFGAAGSNVSSVEMYDIGKDEWVPLDGLPGFRAGCVGFFVGNGEDREFWVMGGYGNSRTISNVLPVDQYYRDAVVMELKNGGKWKEIGDMWEEGERGRLGKIVVLEDEAWDAPAIFMLEKSTIFRYHRTPNRWLKETSLPKKSSNDKSVGFVALNGELHVMTALNGTNLTQCQSSRQHKRPATLLTQIYHPKKKLWRSFTTKSPFHLPLDFSTAILCTVRL >itb11g07970.t1 pep chromosome:ASM357664v1:11:5052708:5053428:-1 gene:itb11g07970 transcript:itb11g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADLDLRRDFQETQLPRLKISPPDVNGSDQTHEIQGSTSKVDQEEEGEECRTPRSPRSTIPAALECPPAPRKPRRRAAACKRKLSELKFLEMVAGEEIESFFGANAGRNIRRCLV >itb03g14740.t1 pep chromosome:ASM357664v1:3:14337036:14338888:1 gene:itb03g14740 transcript:itb03g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISPFSILSLLFFFLSSLTFCSHGVFGYGGWQDAHATFYGGGDASGTMGGACGYGNLYSSGYGTNTAALSTALFNNGLSCGSCYELRCSAGKSCLPGSITVTATNFCPPNPGLPNNNGGWCNPPLQHFDLAQPAYLQIAQYRAGIVPISFRRVPCRRKGGIRFTINGHAFFNLVLVTNVAGAGDVQSVSIKGSGTGWQSMSRNWGQNWQSNSNFNGQTLSFKVTSSDGRTVTSFNAAPANWQFGKTYEGGQF >itb04g12780.t1 pep chromosome:ASM357664v1:4:12528258:12532396:1 gene:itb04g12780 transcript:itb04g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSMLFLVFLSVLSVTSAAFGGGGGESLQLNDDVLGLIVFKSGVQDPGSYLATWSEDDDSPCAWSFVKCNPANGRVSEVDLNGLRLSGKIGRGLEKLQHLSVLSLASNNLSGVISPQLGLLPNLQTLNLSHNRISGTVPESLGNMSSLQFLDLSENSLSGALSDSMLENLGSLRFLSLAGNSLEGPVPSTLSKCTLLNHLNLSNNHFSGNLRFSGGIWGLTRLRTIDISNNELSGSLPIGASFLHNLKELSLQNNHFSGEIPGDLGLCPHLIRLDLSQNLFTGKLPESLQRLNSLWFLNLSNNLLDGDFPQWIGSLELSSLEYIDLSGNSLKGSLPDTMGGLKLLKILRLNDNKLSGEIPEGVFGIGLEEVDFSRNLLSGSIPPGSGKMVESLQVMDLSGNNLSGDIPPEMGLFSRLRYLNLSWNSFHSRLPPEVVYSQNLSVLDLRNSALIGEIPEDICESGSLGILQLDGNSLTGGIPPQIGNCSSLTLLSLSHNNLSGTIPETLSMLKKLKILKLEVNQLSGEIPQELGKLENLLAVNVSYNRLTGRLPAGSIFQNLDSSALEGNDGICSPLLKGPCKMNAPKPIVINPFAYGNQTDDKGNNGGDNEPLASTRSSRHHRFLSVSAIVAISAAAIIAVGVMVITMVNASVRRRISFVDNALESMCSSSSSRSQGMATGKLILFDTKSSPDWVSSSFESVLNKASEIGEGVFGTVYKAPLGGEGSRVVAIKKLTSSKILQYPEDFDREVRVLGKARHQNLIPLRGYYWTPQLQLLVSDFVPGGNLESKLHEMGTSVSSPPLTWAVRFKVILGTAKGLAHLHHSCQPPIIHYNIKPSNILLDENLNPKISDFGLARLVAKLDRHVMSNRFQAAIGYVAPELACQSLRVNEKCDVYGFGMLILEIVTGRRPVEYGEDNVLILNDHVRVLLEQGNVLECVDPAMGGYPDEEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPVPHRMEAY >itb01g22100.t6 pep chromosome:ASM357664v1:1:28047533:28049249:1 gene:itb01g22100 transcript:itb01g22100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNWMSSAQLWHGENHCPSSEFTNKKPRTEANLRMTTEEAENSSITNDLLVSCKTRNIGKAFMPFKRYSGFPTAVVMKEDKELPGIPGLSLGTPGFKNPREDMIIGCLNTKACGSIAVSSASSSSVHSIPTGAQPQQQQTSRKQRRCWSPELHKRFVNALQQLGGAQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPGSQATSANQSVVLGNLFMPQDQSHESSKQSSSQSGSPQDPLHHQDDSMEEEADE >itb01g22100.t5 pep chromosome:ASM357664v1:1:28047008:28049254:1 gene:itb01g22100 transcript:itb01g22100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIDAFKRELPLCMLLVNDAIVALKEESVQCRKSKVEPVLEEFIPLKKSCDGGEDGGDRVEIIRKDKDSREKMNWMSSAQLWHGENHCPSSEFTNKKPRTEANLRMTTEEAENSSITNDLLVSCKTRNIGKAFMPFKRYSGFPTAVVMKEDKELPGIPGLSLGTPGFKNPREDMIIGCLNTKACGSIAVSSASSSSVHSIPTGAQPQQQQTSRKQRRCWSPELHKRFVNALQQLGGAQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPGSQATSANQSVVLGNLFMPQDQSHESSKQSSSQSGSPQDPLHHQDDSMEEEADE >itb01g22100.t3 pep chromosome:ASM357664v1:1:28047008:28049254:1 gene:itb01g22100 transcript:itb01g22100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSELSLDCRPVFVPRTITQFLAEISLIGSLSDRVLMIDDYVARFEDEMRKIDAFKRELPLCMLLVNDAIVALKEESVQCRKSKVEPVLEEFIPLKKSCDGGEDGGDRVEIIRKDKDSREKMNWMSSAQLWHGENHCPSSEFTNKKPRTEANLRMTTEEAENSSITNDLLVSCKTRNIGKAFMPFKRYSGFPTAVVMKEDKELPGIPGLSLGTPGFKNPREDMIIGCLNTKACGSIAVSSASSSSVHSIPTGAQPQQQQTSRKQRRCWSPELHKRFVNALQQLGGAQVATPKQIRELMQVDGLTNDEVKSHLQVCLKLHTFC >itb01g22100.t2 pep chromosome:ASM357664v1:1:28047008:28049254:1 gene:itb01g22100 transcript:itb01g22100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSELSLDCRPVFVPRTITQFLAEISLIGSLSDRVLMIDDYVARFEDEMRKIDAFKRELPLCMLLVNDAIVALKEESVQCRKSKVEPVLEEFIPLKKSCDGGEDGGDRVEIIRKDKDSREKMNWMSSAQLWHGENHCPSSEFTNKKPRTEANLRMTTEEAENSSITNDLLVSCKTRNIGKAFMPFKRYSGFPTAVVMKEDKELPGIPGLSLGTPGFKNPREDMIIGCLNTKACGSIAVSSASSSSVHSIPTGAQPQQQQTSRKQRRCWSPELHKRFVNALQQLGGAQG >itb01g22100.t1 pep chromosome:ASM357664v1:1:28047008:28049254:1 gene:itb01g22100 transcript:itb01g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSELSLDCRPVFVPRTITQFLAEISLIGSLSDRVLMIDDYVARFEDEMRKIDAFKRELPLCMLLVNDAIVALKEESVQCRKSKVEPVLEEFIPLKKSCDGGEDGGDRVEIIRKDKDSREKMNWMSSAQLWHGENHCPSSEFTNKKPRTEANLRMTTEEAENSSITNDLLVSCKTRNIGKAFMPFKRYSGFPTAVVMKEDKELPGIPGLSLGTPGFKNPREDMIIGCLNTKACGSIAVSSASSSSVHSIPTGAQPQQQQTSRKQRRCWSPELHKRFVNALQQLGGAQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPGSQATSANQSVVLGNLFMPQDQSHESSKQSSSQSGSPQDPLHHQDDSMEEEADE >itb01g22100.t4 pep chromosome:ASM357664v1:1:28047008:28049254:1 gene:itb01g22100 transcript:itb01g22100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSELSLDCRPVFVPRTITQFLAEISLIGSLSDRVLMIDDYVARFEDEMRKIDAFKRELPLCMLLVNDAIVALKEESVQCRKSKVEPVLEEFIPLKKSCDGGEDGGDRVEIIRKDKDSREKMNWMSSAQLWHGENHCPSSEFTNKKPRTEANLRMTTEEAENSSITNDLLVSCKTRNIGKAFMPFKRYSGFPTAVVMKEDKELPGIPGLSLGTPGFKNPREDMIIGCLNTKACGSIAVSSASSSSVHSIPTGAQPQQQQTSRKQRRCWSPELHKRFVNALQQLGGAQG >itb06g22970.t1 pep chromosome:ASM357664v1:6:25125108:25127032:1 gene:itb06g22970 transcript:itb06g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFAEGGVASIVAGCSTHPLDLIKVRMQLQGESSLPKFNPNPQFSSQLRPALAFHGNPSMTVNAGLNVDPFPQPRAPVRVGPISVGVRIFQTEGVSALFSGVSATMLRQAMYSTTRMGLYDVFKQKWANPETGNLSLGRKLVAGLLAGGVGAAVGNPADLAMVRMQADGRLPASQRRNYKGVVDAIVKMSKQEGVASLWRGSGLTVNRAMIVTASQLASYDEIKEAILERGVMSDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEPGVAPPYSGALDCAVKTIRSEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >itb09g04970.t1 pep chromosome:ASM357664v1:9:2843379:2844440:1 gene:itb09g04970 transcript:itb09g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEINNLIMVWTTVLCFLYYCHTINGIFPKPSFPRFFAIFPVACLFLVLPLNLTSIHLAGTSAFFIGWLASFKVTLFALARGPLFANPPLPLSTFIPLACLPIKVQRGRKVAGNLKVSGSLRKLGLLGLVMFVYNYKDFFHPKLLLLVYIIQMYVGLEFMLVMVSGLVRAVFRVELEPPFDEPHLSTSLQDFWGRRWNVAVTNILRPTVYEPVRSVSTRFLPKRLAQLPAVIASFAVSGIMHELVFFNIGRETPTGEVLSFFLLSGACVALEIGVKRAVDRKFRLPGFVSGPLALTFVILTSFWLFFPAFFRNKADGKACAETLAFIEFVINHKLVSPENMTCPSSFFFRYK >itb08g04020.t1 pep chromosome:ASM357664v1:8:3219933:3222436:1 gene:itb08g04020 transcript:itb08g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSAMAAEKRKPRTPGEDSEVIALSPASLTAANRFFCDVCGKGFQREQNLQLHRRSHSLPWGLKQRAGGNAAGTRRRVYVCPVPSCPHHDPSKALGDLSGIKKHFCRKHGEKKFSCHRCGKKYAVQSDIRAHAKICGTKTYHCDCGAMFNKWNDFARHTVLCGLILAQPIVETPPVSGLQPPPQSLTLSMAAAAAPSGEELKTLFKFPASQCGNEETLDASAGADINKLKVVGDSPASTSSAPARQSLMTSKSMESDFFGALMEPTNLPLSFLCSPHHDLAAATNNASSSHQYLASPALSATALLQKAAQVGSIYSGGSTSFLHGLGLSMMSGFNNTQKWNGYAMPENKSMGSSGGLPDSMVDRASPAVIFSTQQLQTLDFLGVGGGNGNDGGVFGRLGFP >itb01g27450.t1 pep chromosome:ASM357664v1:1:32258506:32259034:1 gene:itb01g27450 transcript:itb01g27450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRASSLLVIFLVFVALAGRVEVEAGRVLSQDFSAAANNHLSSSVLEQAKISLSSWLEMLPSGPSAKGPGH >itb15g08030.t1 pep chromosome:ASM357664v1:15:5639676:5642331:1 gene:itb15g08030 transcript:itb15g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEMAHAVDLECGGSEGEEEGSLYFSDADEGSCHSQLYSTADGSELEIAEAALESRRASSAAESDCSVDLESGVGESKAHLGKLDRDCRICHLSLQSPGPESGVAIELGCSCKDDLAAAHKHCAETWFKIKGNKVCEICNSIVRNLVGPNDVEPAQPTIETNDLATNAVLAVPTSSAPETRNCLNGHWFLNFLLACMVFAFVISWLFHFNIPS >itb04g22340.t1 pep chromosome:ASM357664v1:4:27514924:27517527:-1 gene:itb04g22340 transcript:itb04g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVCVVISLESNGVEGAPQAPAMFVFGDAAVDVGNSNFLNSRARANYYPYGVDFSGGASGRSTNGKTFADLLGEWLGMPAPPPFADPNTRTGNRILSGVNYASAGAGILEETGQHYQERYTLSQQVVNFESTLNQLRTMMSPGDLNTYLARSIAVVVIGSNDYVNNYLLPSLYTSSFNYNPAQFADLLLNRYARQLVALYSVGLRKFYLAGIGPLGCTPNQLATGQAQSGRCVDSVNQMLGSFNGGLRALVDNLNNGGHPGAVYVYGNTYGIMGDMLNNRARYGFTTWDRPCCAIITTLGQINCAPFMPPCGNRTQYIFWDALHSTQNVNAVLAQRAYSGPPSDSYPVNVQQLANINI >itb04g01320.t1 pep chromosome:ASM357664v1:4:743720:745885:1 gene:itb04g01320 transcript:itb04g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKKIYEHPSITSHFDKRAWTVASQHHSQRQMLLDLLGSKDNNADSGSNEDLALRLYQSLKHQRYLVVMDDVWSAEAWDALKTCFPNDGYEEWKNTANALSSSSATTLDDEECSRIISSSYNHLTHNLKACFLYLEVFPEDHEINANELAKLWLAEGLVKAFENESFDAVANRLDEGGKYLKFPQLQHILCLDPFCGNPPNFVHKVGLIRDDDCSKEWITNIPCLKKVHIACEGSKINACIANLAYLEQLEGLKILYSGNTRNVINNSIALLKNLRKLTLYGVGFVCDEKINIRSKLPRLEVLKLHWKPFVGKEWEIQEEVIFCQLIALVIYGYHLKHWKASSQNFPKLGHLYIKYSYKLREIPIGFAEISTLKSIKLWECLPSAVESAKKIQEEQRDYGNNDMVVIKEHTFDGWASEESLSEEETEVDN >itb12g23390.t1 pep chromosome:ASM357664v1:12:25134419:25135372:-1 gene:itb12g23390 transcript:itb12g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGENAVPNVLIFPLPLQSPVNSMLKLSELLCLAGVHVTFLNTEHNHRRLLGSTDAGSRFERYPGKLSFEVISDGLREDHPRSAEDFEGIVKSLQGVAEAHLREMLRAERGRKVTCVIAEGMFDYAFEIGKEVGIPVFAFETVSPCYLGGCLCIPKLLDEKEVLDENLVRELKKGCLECGRIVSWAPQEEVLAHPAIGGFWTHSGWNSTLESIVAGKPMICWAQYVDQLVTRRLVSEVWKIGVDMEDRWDRLSVEKMVKELMMGSRRQEFKKSAQKFSKLARESVNNGGSSYTSLDHLINDIRKLSSIKHFENTLS >itb03g19340.t1 pep chromosome:ASM357664v1:3:17445283:17448208:-1 gene:itb03g19340 transcript:itb03g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVISRLLNLTSYIAQQFFQFIEDLLVFRDVNRCSQESVAIESGQYTRDASSSRCCSSLLGECHQTTRLGVHSCQIGDNLPLYSLPRIVKHNVIRGSVNLVFKGVTLPLSSLKFGWRLMSASWNSSFCYARCAHARVQRFISRVSTTLHGSSGDIGWMQRDPRLAPVEDGSARFSELLQDIRNGNHMLPDCFVYLLIPGLFSNLGPLYFVTTKKFFSKMGLACHIAKIQSEASVEKNAWELKQYIEELYWGSGKCVMLLGHSKGGIDAAAALSIYWHDLKDKVAGLAFVQSPYGGSPVASDILREGQIADKETRRIMEFIVCKIFKGDMQALDDLTYEKRKEFVKNHTLPSDIPLVSFHSEARVAPGVIATMSHIAQAELPWIPIPRLGSKDAAALLLQSGFKVPVILPVSAALALCALHLQLRYGEKSDGLVTCRDAEVPGSVVVKPDKKLDHAWMVYSCWRNDPLEPGASEMCEALLTMLVEIAKRRK >itb12g25880.t1 pep chromosome:ASM357664v1:12:26992525:26996124:1 gene:itb12g25880 transcript:itb12g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCGAPPLLECVYCIGCARWAWKRCLHTAGHDSENWGLATADEFEPVPRLCRYILAVYEDDLRNPQWEPPRGYGINPDWLIQKKTYQDNGGRAPPYLLYLDHDHADIVLAIRGLNLAKESDYAVLLDNKLGKRAFEGGYVHNGLLKAAGWVLKAESETLKDLLAKYPNYTLTFAGHSLGSGVAALLTIVVVNSRDRLGNIDKKRVRCFAIAPARCMSLNLAVKYSDVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCTRCMRDTCISEERKLKEKRRLYAPGRLYHIVERKPFRCGRFPPTVRTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQKALDLLQEKEAAMKIPETQRMERQQSLAKEHSEEYKAALRRAVTLEVPHAFSPSEYGTFDDKDDECSDTSVGDSSTGSSKKSRGRENWDEVIDRLFDKDDSGHIVLKKPLLGV >itb03g14620.t4 pep chromosome:ASM357664v1:3:14252221:14256396:1 gene:itb03g14620 transcript:itb03g14620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVKSQVRLVLCPKCRKILEEPTEEIVYKCGGCDTVLQAKKRKNNNNTSTEPGVPETHPRDSKEKEISSLHQKDSSISSVDTLQSESCKSRYEIGECSKVRSGQKNFSGELQCSPQLSSSFHEIDGNSSSAREPIEQHEDECPVDGCSDGYENELRTVDRNFSDELSESNELKHRGTEVSSAPREAGEQMEDDECIRRCEYESEMESPEYTNSHAGSSPFTRSSHDDSEANANTGKSHSLSQESNISDPNDFAGHTLEYIEHTKSSGEIIPPECHEIEKPSPVQTEVDQSPLHEGVLSDTFVSVHPQQPEQSDKEIARSFDRISSVDTLDNLPLYSRPQPTVTHRDMPKSPATRSYYAYDGSASSCDGDSQVPSKFSQQVGWKFENAKLKIPDEFRSDDECKEDSVLSRKPRTHHPAKNCSQALPRRMHRAGEGRSQNQDKWAEPRRRDQTFGHRRQLMTDTSHGHGSPSALWQNDFRHHSSFYPSNMPPYADREKMELLRMVHDLENQLQKTRLSKGKASNRRFHTEDMHAPLYSDQFQPDADASHRRQCPIRCSQGQGFSSKIPRIPFSGEAVHYRHRGDCLCLHCSPQVWHCSSQLPLGSVCCNKSHLAACTNHNYVNAIHSSSQSPQHYPSPKWCHEPKSSDQTHQRQKDHELRKLHLGERYGKMRHVLPFAGGAPIVACHHCSELLQLPADFGLFKRRCYQLRCNACLKILTFDLQNRTHIAPNAITDDLLSENDSVYDMAEQPATLAPPPSEVGESSDLHERAPPQRCPHAESLSYSDDLGPSFCRSCSTEVEPSSVPRGRTTFNRKVSSASSMEDRKMKSVMRESQGKPAKNSWEHAESAAGPSNWGISSSEIEEVHPNAGSPLHRLMGYSTISQVLK >itb03g14620.t5 pep chromosome:ASM357664v1:3:14253094:14256432:1 gene:itb03g14620 transcript:itb03g14620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDECIRRCEYESEMESPEYTNSHAGSSPFTRSSHDDSEANANTGKSHSLSQESNISDPNDFAGHTLEYIEHTKSSGEIIPPECHEIEKPSPVQTEVDQSPLHEGVLSDTFVSVHPQQPEQSDKEIARSFDRISSVDTLDNLPLYSRPQPTVTHRDMPKSPATRSYYAYDGSASSCDGDSQVPSKFSQQVGWKFENAKLKIPDEFRSDDECKEDSVLSRKPRTHHPAKNCSQALPRRMHRAGEGRSQNQDKWAEPRRRDQTFGHRRQLMTDTSHGHGSPSALWQNDFRHHSSFYPSNMPPYADREKMELLRMVHDLENQLQKTRLSKGKASNRRFHTEDMHAPLYSDQFQPDADASHRRQCPIRCSQGQGFSSKIPRIPFSGEAVHYRHRGDCLCLHCSPQVWHCSSQLPLGSVCCNKSHLAACTNHNYVNAIHSSSQSPQHYPSPKWCHEPKSSDQTHQRQKDHELRKLHLGERYGKMRHVLPFAGGAPIVACHHCSELLQLPADFGLFKRRCYQLRCNACLKILTFDLQNRTHIAPNAITDDLLSENDSVYDMAEQPATLAPPPSEVGESSDLHERAPPQRCPHAESLSYSDDLGPSFCRSCSTEVEPSSVPRGRTTFNRKVSSASSMEDRKMKSVMRESQGKPAKNSWEHAESAAGPSNWGISSSEIEEVHPNAGSPLHRLMGYSTISQVLK >itb03g14620.t3 pep chromosome:ASM357664v1:3:14252221:14256396:1 gene:itb03g14620 transcript:itb03g14620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVKSQVRLVLCPKCRKILEEPTEEIVYKCGGCDTVLQAKKRKNNNNTSTEPGVPETHPRDSKEKEISSLHQKDSSISSVDTLQSESCKSRYEIGECSKVRSGQKNFSGELQCSPQLSSSFHEIDGNSSSAREPIEQHEDECPVDGCSDGYENELRTVDRNFSDELSESNELKHRGTEVSSAPREAGEQMEDDECIRRCEYESEMESPEYTNSHAGSSPFTRSSHDDSEANANTGKSHSLSQESNISDPNDFAGHTLEYIEHTKSSGEIIPPECHEIEKPSPVQTEVDQSPLHEGVLSDTFVSVHPQQPEQSDKEIARSFDRISSVDTLDNLPLYSRPQPTVTHRDMPKSPATRSYYAYDGSASSCDGDSQVPSKFSQQVGWKFENAKLKIPDEFRSDDECKEDSVLSRKPRTHHPAKNCSQALPRRMHRAGEGRSQNQDKWAEPRRRDQTFGHRRQLMTDTSHGHGSPSALWQNDFRHHSSFYPSNMPPYADREKMELLRMVHDLENQLQKTRLSKGKASNRRFHTEDMHAPLYSDQFQPDADASHRRQCPIRCSQGQGFSSKIPRIPFSGEAVHYRHRGDCLCLHCSPQVWHCSSQLPLGSVCCNKSHLAACTNHNYVNAIHSSSQSPQHYPSPKWCHEPKSSDQTHQRQKDHELRKLHLGERYGKMRHVLPFAGGAPIVACHHCSELLQLPADFGLFKRRCYQLRCNACLKILTFDLQNRTHIAPNAITDDLLSENDSVYDMAEQPATLAPPPSEELLN >itb03g14620.t2 pep chromosome:ASM357664v1:3:14251896:14256432:1 gene:itb03g14620 transcript:itb03g14620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVKSQVRLVLCPKCRKILEEPTEEIVYKCGGCDTVLQAKKRKNNNNTSTEPGVPETHPRDSKEKEISSLHQKDSSISSVDTLQSESCKSRYEIGECSKVRSGQKNFSGELQCSPQLSSSFHEIDGNSSSAREPIEQHEDECPVDGCSDGYENELRTVDRNFSDELSESNELKHRGTEVSSAPREAGEQMEDDECIRRCEYESEMESPEYTNSHAGSSPFTRSSHDDSEANANTGKSHSLSQESNISDPNDFAGHTLEYIEHTKSSGEIIPPECHEIEKPSPVQTEVDQSPLHEGVLSDTFVSVHPQQPEQSDKEIARSFDRISSVDTLDNLPLYSRPQPTVTHRDMPKSPATRSYYAYDGSASSCDGDSQVPSKFSQQVGWKFENAKLKIPDEFRSDDECKEDSVLSRKPRTHHPAKNCSQALPRRMHRAGEGRSQNQDKWAEPRRRDQTFGHRRQLMTDTSHGHGSPSALWQNDFRHHSSFYPSNMPPYADREKMELLRMVHDLENQLQKTRLSKGKASNRRFHTEDMHAPLYSDQFQPDADASHRRQCPIRCSQGQGFSSKIPRIPFSGEAVHYRHRGDCLCLHCSPQVWHCSSQLPLGSVCCNKSHLAACTNHNYVNAIHSSSQSPQHYPSPKWCHEPKSSDQTHQRQKDHELRKLHLGERYGKMRHVLPFAGGAPIVACHHCSELLQLPADFGLFKRRCYQLRCNACLKILTFDLQNRTHIAPNAITDDLLSENDSVYDMAEQPATLAPPPSEVGESSDLHERAPPQRCPHAESLSYSDDLGPSFCRSCSTEVEPSSVPRGRTTFNRKVSSASSMEDRKMKSVMRESQGKPAKNSWEHAESAAGPSNWGISSSEIEEVHPNAGSPLHRLMGYSTISQVLK >itb03g14620.t1 pep chromosome:ASM357664v1:3:14251896:14256432:1 gene:itb03g14620 transcript:itb03g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVKSQVRLVLCPKCRKILEEPTEEIVYKCGGCDTVLQAKKRKNNNNTSTEPGVPETHPRDSKEKEISSLHQKDSSISSVDTLQSESCKSRYEIGECSKVRSGQKNFSGELQCSPQLSSSFHEIDGNSSSAREPIEQHEDECPVDGCSDGYENELRTVDRNFSDELSESNELKHRGTEVSSAPREAGEQMEDDECIRRCEYESEMESPEYTNSHAGSSPFTRSSHDDSEANANTGKSHSLSQESNISDPNDFAGHTLEYIEHTKSSGEIIPPECHEIEKPSPVQTEVDQSPLHEGVLSDTFVSVHPQQPEQSDKEIARSFDRISSVDTLDNLPLYSRPQPTVTHRDMPKSPATRSYYAYDGSASSCDGDSQVPSKFSQQVGWKFENAKLKIPDEFRSDDECKEDSVLSRKPRTHHPAKNCSQALPRRMHRAGEGRSQNQDKWAEPRRRDQTFGHRRQLMTDTSHGHGSPSALWQNDFRHHSSFYPSNMPPYADREKMELLRMVHDLENQLQKTRLSKGKASNRRFHTEDMHAPLYSDQFQPDADASHRRQCPIRCSQGQGFSSKIPRIPFSGEAVHYRHRGDCLCLHCSPQVWHCSSQLPLGSVCCNKSHLAACTNHNYVNAIHSSSQSPQHYPSPKWCHEPKSSDQTHQRQKDHELRKLHLGERYGKMRHVLPFAGGAPIVACHHCSELLQLPADFGLFKRRCYQLRCNACLKILTFDLQNRTHIAPNAITDDLLSENDSVYDMAEQPATLAPPPSEELLN >itb08g05090.t1 pep chromosome:ASM357664v1:8:4143097:4145971:-1 gene:itb08g05090 transcript:itb08g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMQRLRLRLLPILALLIFLHACVDGEVQNQKKTYIIHMDKSNMPAGFYDHLQWYDSSLKSVSDTDMLYSYSHVIHGYSARLTADEAKALEKQTGILSVQEEVKYELHTTRTPKFLGLDGSDSKAFFLQNNIKSDVIIGVLDTGVWPESKSFDDTGLGPVPRSWKGGCEVGNNFNSSNCNRKLIGARFFLSGYEGANGPIDEAVESRSPRDDDGHGTHTASTAAGSTITGASFFGFGAGTARGMAPQARVAAYKVCWEGGCFSSDILAAMEKAIEDGVNIISMSLGGAVAEYYSDPIAIGAFAATSRGIFVSCSAGNSGPFPESLSNPAPWIATIGAGTIDRKFPGYISIGNGKKFDGVSLYSGKPLSSSPIPLVYAGNASTSDGRLCTPGSLIPAKVAGKIVVCDRGENRRAEKGLVVREAGGIGMIIANTDLNEDDQIADAHLIPTAAVGQTAGDMIKEYISSTRNPTATIAFGETKLGVQPSPVVAAFSSRGPNSITPEILKPDFIAPGVNILASWTRRVGPTGLAEDKRHVDFNIISGTSMSCPHVSGLAALLKTAHPEWSPAAIKSALLTTAYSTYKNGQTIEDAATSMPSTPFDHGAGHVNPVSALDPGLVYDATVDDYTNFLCAIGYSASMIKTVTKRDISCGTNKEYRVADFNYPSFAVPLETAWGKAGDNEETTVIKYTRTLTNVGNPATYKASVSFQTKSMKIIVEPQTLSFSRLNEKKSYTVTFIASSMPSGTTSFAHLEWSDGKHNVRSPIAFSWT >itb10g14090.t2 pep chromosome:ASM357664v1:10:20347642:20350735:-1 gene:itb10g14090 transcript:itb10g14090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYRQNRLEMEPLKCNDATHSSEDLNNLEFRKVLCRGVFNEEKSVYVGPRSRSISGVTENGYYIITPLEPVSNDPESTQSPILVNRGWVPRSWRDKSLEVSNNDKQPSTPISSSIPENEKSSWWRFWSKKPKYIEEEVPSVVAPVEVIGVVRGSEKPSIFVPANDPSSYQWFYVDVPAIARACGFPNTTLYIEDVNENVDPSNPYPVPKDLNTLISSSVMPQDHLNYMLTWYSLSAAVTFMAFKRLKPKKGHR >itb10g14090.t1 pep chromosome:ASM357664v1:10:20347642:20350944:-1 gene:itb10g14090 transcript:itb10g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVTRILLRGSAVSVKWAPFSTAAPAVSSNSQNQPSDKDNRSTWSKIFLFIPGAITFGLGTWQIFRRQEKVEMLEYRQNRLEMEPLKCNDATHSSEDLNNLEFRKVLCRGVFNEEKSVYVGPRSRSISGVTENGYYIITPLEPVSNDPESTQSPILVNRGWVPRSWRDKSLEVSNNDKQPSTPISSSIPENEKSSWWRFWSKKPKYIEEEVPSVVAPVEVIGVVRGSEKPSIFVPANDPSSYQWFYVDVPAIARACGFPNTTLYIEDVNENVDPSNPYPVPKDLNTLISSSVMPQDHLNYMLTWYSLSAAVTFMAFKRLKPKKGHR >itb01g25920.t1 pep chromosome:ASM357664v1:1:31198971:31203376:-1 gene:itb01g25920 transcript:itb01g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSILTRSRPLIRTISPGHRCITAVSFLSQEAQLAEAPSPALPTQGATPLPPNPVAGSQMYTNNWLASASSGSHLVPLSLMQQPQSSRVQALSQTLDAQGLKDYFSNWMTESRWLDVKQLFEFWIKSLDKNGKPNKPDANLYNHYLRANLMLGVPVDELMSLVQHMEDFGLVPNTASQNLILKAMYQSGEPMIFVEAAQKLIEWMLDVGKENKDSLPDDESYDLVIGLLFKANQTDAAFKFVDFVLTSGYTMSSNVFNQCIRRCLDNNRLDTLLSIIEKCKKSDQNKKVVPSWNLCNVLADHAIKEDNSELTFSALDFFVKWIVRGQNVRPPVLLSVDEGLLVAALGTAGRNYSSKLLNGAWEILKRSLRQTRSPNPEAYLAKIYAHASMGQLQNAFASLHEFEKAHGNPIDVDAEELFSPFTTLNPLVLACCRNGFSTLDTVYYQLENLSRAEIPYKSVAALNCIILGCANIWDIDRAYQTFAAIEASFGLTPNIHSYNALIHAFGKLGKRDEATKVYDHLTGLGLKPNATTYSLLVDAHLIKRDPKAAISVIDDMVKAEYIPTKEMLKKIRRRCTREMDYEADDKVESLAKQFQIRMGSETRRALLFGLQYSSIEYA >itb02g14470.t1 pep chromosome:ASM357664v1:2:10539783:10542767:-1 gene:itb02g14470 transcript:itb02g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQAIDPPKENLYVYTKSLTIKIPSSGNNPGKGVLINTFASNTDSIPQNFAAFSMKLSPNNSPALISPPSSAFVSALQSPYISPRATLVANQPAEKPIVLATLFHPSPQPSYGGSQSDNVPSTSYTPPLERYDFSGKPAGKKHKVITRVPVLGQDTDPRILFSFPVPRISFAKATISPASNAKLRSFDVYIGYHGWNPNLVRFCKWVKSELELQGIACFVADRAAYADNQSHEIVDRVICSVTFGVVIITNYSLFNHMSLEEVRFFAQKKSLIPLFFDMDATEVASLANHHSENKKFEDSLDAVLKFHDHKLEANKDNWRSCISKAAGILRDRLGRRVADKNTEGLEEFPFPRNKYFVGREKEILEIETKFFGCGDSFQDESPIPNVKGGTPGQSQGLADNQSKERHKETWVEPVVGSSLKGPKYRRSKSEKDKSFQNSVVCINGLPGVGKTELALEFAYRYCQRYKMVFWVCGEAQYFQQNVLKLSLNLGLDVSADGEKERGRIRTFDQQESEAFKRVKREMFQDKPYLLIIDNLETEEEWWDGKGLHDLIPRNTGGTHVIITTRLSQVMNFDTMKIQPLHASDAMILIQGRQIKEYLVREVEILQRFVEKLESSSFGLWIVGSLLTELAISPSTLFEAVNQMPLEDITSYSSLSIDDKKFCRTNPFLMKTLAFCATILQQMTARENFLATRMLQVGSWLAPAPISVNLLAAAANKMPTPRKRFTNWTNCIKRTLLNCTKQCLTCQKWKSEEEAALLLVRFGLARRANRKPGCWIQLHPITQIFAKRKDGLAAVKATLQGVRSSGNLQIDSDHLWACVFHVFGFKSEPPIAQLKAIDMVLFIRNTFLPLAINAFTTFSRCRIALELLKGCTDVLEEVERSFASQIQDWYHGSLCWKKKPQSNQRVDEYMWQEVTLLKATLLETRAELLLRGGHFDSSEELCRSCINIRTVMLGHNHAQTLAAQQTLAKLVRMRSKITKDMMLYLSEQTVSN >itb09g07870.t1 pep chromosome:ASM357664v1:9:4704621:4708401:1 gene:itb09g07870 transcript:itb09g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIVLLFLAFVCFLRAAAAASNNPLPYNAIFSFGDSLADTGNFIRSGALAFPVIGKLPYGETFFKQATGRCSNGRLIVDFIAEAYGLPLLPPYLAQKEGAKFEHGVNFAVAGATAVDAKLFYDQGLGSILWTNTSLSVQLGWFQNLKSTICKTQKDCANYFKKSLFLVGEIGGNDYNYPFFVGATLEQLKAAVPLVVGAIIEATRGLIKEGAVELVVPGNFPIGCSAVYLTLFGTPNKTAYDQNGCLKVYNDFSKYHNSQLQLALGKLRKEYPHANIIYADYYGAAKRFIHSRKHYGFYDGVLTACCGGGGPYNFNNSARCGHVGSKACANPSAFANWDGIHLTEAAYRIIAKGLIAGPFASPPLTFSPINAF >itb04g27170.t1 pep chromosome:ASM357664v1:4:31241150:31245543:-1 gene:itb04g27170 transcript:itb04g27170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFLSEPASNDNGDDILVEQRKSLLKELESVIWSLISSGHRSEARLWLCDSLAGISSLTPHHKRELFVALLRYKTVKRKRLAAQILQLLFEKQPQLAGPIVAKKSYLLEDFFKGNSERILQWFSNFGGAGGLHSKGAKALSKFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVERTVENFLEYVPEFWSSVEFSESLKDGEILSIDTKFFIEMFLDLMYKDNMKEVWEVIDIFLIEESFSSLCHHLLVVLEEQELSVFLDLIPRYLNPRLETMKCDNPSSWLGIILSRCHGSSSIDQLLLLNALTIQSRKLLLLVREEADTEEKEKIKSIASQICAFSNCSSSFAPIIEECVRRKSLELMKLLGLQAWAFHYQLLEAFKTSDSWESLFVSNGIGFRKSAKYSLLNHDEISEESDYEGDKRSSGRSKRKRKSTRRKKKRRNFDSDEDYDNELVDFDGSRLDVKSKADDWLLSTDGYSFTWTTVIYQITASLCG >itb04g27170.t2 pep chromosome:ASM357664v1:4:31241150:31245543:-1 gene:itb04g27170 transcript:itb04g27170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFLSEPASNDNGDDILVEQRKSLLKELESVIWSLISSGHRSEARLWLCDSLAGISSLTPHHKRELFVALLRYKTVKRKRLAAQILQLLFEKQPQLAGPIVAKKSYLLEDFFKGNSERILQWFSNFGGAGGLHSKGAKALSKFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVERTVENFLEYVPEFWSSVEFSESLKDGEILSIDTKFFIEMFLDLMYKDNMKEVWEVIDIFLIEESFSSLCHHLLVVLEEQELSVFLDLIPRYLNPRLETMKCDNPSSWLGIILSRCHGSSSIDQLLLLNALTIQSRKLLLLVREEADTEEKEKIKSIASQICAFSNCSSSFAPIIEECVRRKSLELMKLLGLQAWAFHYQLLEAFKTSDSWESLFVSNGIGFRKSAKYSLLNHDEISEESDYEGDKRSSGRSKRKRKSTRRKKKRRNFDSDEDYDNELVDFDGSRLDVKSKADDWLLSTDGYSFTWTTVNLPGHISNYCFFMWLKFIFGK >itb01g25490.t1 pep chromosome:ASM357664v1:1:30894983:30896787:1 gene:itb01g25490 transcript:itb01g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDKISNTDQLGKMEESLINSLQDIQRHKNGLLAQQLKRQCSDELQHVTDLPIGMVTSQVLQPSSWTQNGINPNINFDNDLNLTQGYHRCIVSRLPECSSESYTNLFCFDKEVEVTRPSGEDRTNPLLDYEHMQHYFQFQSAEENVVSCFQYLSPETTEFPSFEVTDKSLEEVFENSGNHFSDGFLSSFGVDSQLLMPLDTSFHECTVTDSNPTTWGNKYGVIHYSKVNRKNVADTLQGLMGQQKCWAILLNYPDLNLV >itb06g10490.t1 pep chromosome:ASM357664v1:6:14935995:14938870:1 gene:itb06g10490 transcript:itb06g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGKPLGRKNSCVLSRSNNESFKLGKAVVERSYSCKAFDEDTAVFINMSQELKEEGKKLYQRHDYEGAMLKYEKAIKLLPKNHIDVAFLRTNLASCYMQMGIGEYPKAINECNLALEVAPKYSKALLKRAKCYESLNRLDLALRDVNHVLSIEPNNLTALEISEQIKNEMEEKGLVLEDKEIVQAPEYVEPPDTSSASKVREKVKKKKTHKHDKKKVEEVEAKKVEEEEEKKAEDKVVVDEKISVKEEKTVMKTVKIVLDEDIRWAQLPVNCSIGLVRDAVLKRFPSMGGILIKYKDQEGDLVTITTTAELRMAEASLDPNTSLKLFIKEVSQDREPVYEWGDEEKLQTKKPKPSKVTEEVHLEKDRVVVRGPICVEDWIVQFAQLFKNHVGFECDSYLDIHEIGMKLYSEAMEDTVTGEDAGELFEIAATKFQEMAALALFNWGNVHMSRARKRVYLTEDGSNGSVLEQVKSAYNWAQNEYAMAGKRYEEAMKLKPDFYEGLLALGQLQLEQAKLRWYYVVGSGTKVDLETAPSAEVLEMYNKAEDNTERGLQMWEEMEEQRLNGLSKHEEHKSLLRKMGLDGILKDVIPEEAEEQAANMRSQIYLLWGALLYERSVMEYKLGLPTWEECLEVAVEKFELAGASQTDIAVMIKNHNSNDTALEGFKIDEIVQAWNEMYDSNRWRTGIPAFRLEPLFRRQIPQLHTVLENL >itb07g24330.t1 pep chromosome:ASM357664v1:7:28570050:28572250:1 gene:itb07g24330 transcript:itb07g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDKCGVLHGKYELGRMLGHGTFAKVYHARNLHSGNSVAMKVVGKEKVIKVGMMDQIKREISVMKMVKHPNIVELHEVLASKTKIYFAMELVRGGELFAKISKGRLREELARNYFQQLISAIDFCHSRGVYHRDLKPENLLLDEDGHLKVTDFGLSAFSDHLRQDGMLHTTCGTPAYVAPEVIAKKGYDGAKVDIWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWLSSDARKLITKMLDPNPNSRITIAKIMSSSWFKKSVPRSLRKNEDEEFSFSGDKAKEEVETLNAFHIISLSQGFDLSPLFEEKKKTEKEEMRFATTKPASSVISKLEEVAKTSNFSVKKSDSSVRLQGHESGRKGKLGISADIFTMNPSLLVVEVKKASGDTLEYNQFCSKELKPALKDIVWTSPTEHSTPA >itb09g18790.t1 pep chromosome:ASM357664v1:9:14882679:14883944:1 gene:itb09g18790 transcript:itb09g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFMRVSVGSLCLRVRSESLSAGIHAVYPPCVCEIRLPGFPVQTASIPFEATPDTKSIATRFYLAKSDVDALLAPGGGCFYAPNHACLEIVVFAGGHKGSHWGVGVGFKRQQHIGTFKLGVGPQWGQGKQVILVNGWIGIGKYKEAGVELHLRVKLEPDPRYVFQFEDKIKLSPQIVQLQGSIKQPIFSCKFTRGRGDVETERRERKGWKVTIHDISGSAVAAAFISTPFVPSAGCDWVGRTNPGTWLIVFPDRCRRQSWQPWGKLEAWRERGGSICCRFHLLCDSQQQDLLMSEILISAERGGEFYIDTDKRVQSAASRDYVPVSGSGGFVMSCRVQGEGGRCSKPPFVQVAKRFVTCVEDAAIFVALAAAVDLSIEACRPFPRHRRCSC >itb06g06180.t1 pep chromosome:ASM357664v1:6:8876705:8877891:-1 gene:itb06g06180 transcript:itb06g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHSPFRSFQSRRVQCQLMDAAHPGMVPMHKVNFDVKNEYEMIQNYKVLQDIFNKLKITKHIEVTKLVKGRPLDNLEFMQWMKRYCDFVSGGATHSYNALERREAVGYKEEFCFWF >itb06g06180.t2 pep chromosome:ASM357664v1:6:8875054:8877891:-1 gene:itb06g06180 transcript:itb06g06180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHSPFRSFQSRRVQCQLMDAAHPGMVPMHKVNFDVKNEYEMIQNYKVLQDIFNKLKITKHIEVTKLVKGRPLDNLEFMQWMKRYCDFVSGGATHRAVIDYSAGKDLPSLAVQSFENRLLGRGVFVTLSSMESTMVALVVAATAAIASDENNGGLSSDICSSSGVVALP >itb10g09320.t2 pep chromosome:ASM357664v1:10:12372516:12378877:1 gene:itb10g09320 transcript:itb10g09320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYIEDCKALLSQTKQQEKDLRLKRRWLMGVNLSRWEQDCMESLKPPNDKFVPESVLREDDLSYENIRTFVEKTLGVHNAERNHHVTEDDEIQLFDSPKNLKYITSLLDEMTNKGLFCFAEIVTNGSVNFLKTKKKMRKIIKEFLPKLLECRDDHSQMKLKQLARLLVDPQNFCQNEMALSNPSQSFRAACIDVIARLEELPFVALSAMYRNLRGVKGYTLKLQGRRSGWSLERLVKQIRRKSMEMLQEFCDGDEPPEPLAKALAVAYLMLKPKPRTLLPNVSPDIEVLQSDILKAIELVKDKRKISYLELKKLQIMLDPGTEPSEQNCRVRVTMKNLLTEYLLECINMDCIPKSLLETVRIINRTSQGSAPKTFSTEEIQEEINCLLHLSAQAKQIVLDSLPENEFDKDFADAYMEDVEECDESGYDSHDNICSFNPDDLDCQSESVGEISPVDSKSPVSSSRAENLTPLFSPNKKLSVKLESMNITEKNSLESRAFLHSSKNFDDTSSIDENGDQAGSTGQQRKSCSHINKYGFSTISSANGLSGRSNDGLKEPKTEFGYKPTFASSNFLQADTTVVNDNKSNFENQYLIIQEACDETSPTRRHTFGEDGVGSVMVNVLEVLMPSFPSREKEELKVLMGI >itb10g09320.t3 pep chromosome:ASM357664v1:10:12372516:12378877:1 gene:itb10g09320 transcript:itb10g09320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYIEDCKALLSQTKQQEKDLRLKRRWLMGVNLSRWEQDCMESLKPPNDKFVPESVLREDDLSYENIRTFVEKTLGVHNAERNHHVTEDDEIQLFDSPKNLKYITSLLDEMTNKGLFCFAEIVTNGSVNFLKTKKKMRKIIKEFLPKLLECRDDHSQMKLKQLARLLVDPQNFCQNEMALSNPSQSFRAACIDVIARLEELPFVALSAMYRNLRGVKGYTLKLQGRRSGWSLERLVKQIRRKSMEMLQEFCDGDEPPEPLAKALAVAYLMLKPKPRTLLPNVSPDIEVLQSDILKAIELVKDKRKISYLELKKLQIMLDPGTEPSEQNCRVRVTMKNLLTEYLLECINMDCIPKSLLETVRIINRTSQGSAPKTFSTEEIQEEINCLLHLSAQAKQIVLDSLPENEFDKDFADAYMEDVEECDESGYDSHDNICSFNPDDLDCQSESVGEISPVDSKSPVSSSRAENLTPLFSPNKKLSVKLESMNITEKNSLESRAFLHSSKNFDDTSSIDENGDQAGSTGQQRKSCSHINKYGFSTISSANGLSGRSNDGLKEPKTEFGYKPTFASSNFLQADTTVVNDNKSNFENQYLIIQEACDETSMFAYSFVDQVLSELARLDRLEMPKDHMSNLQADALGLKNSKGPTRRHTFGEDGVGSVMVNVLEVLMPSFPSREKEELKVLMGI >itb10g09320.t1 pep chromosome:ASM357664v1:10:12372515:12378877:1 gene:itb10g09320 transcript:itb10g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYIEDCKALLSQTKQQEKDLRLKRRWLMGVNLSRWEQDCMESLKPPNDKFVPESVLREDDLSYENIRTFVEKTLGVHNAERNHHVTEDDEIQLFDSPKNLKYITSLLDEMTNKGLFCFAEIVTNGSVNFLKTKKKMRKIIKEFLPKLLECRDDHSQMKLKQLARLLVDPQNFCQNEMALSNPSQSFRAACIDVIARLEELPFVALSAMYRNLRGVKGYTLKLQGRRSGWSLERLVKQIRRKSMEMLQEFCDGDEPPEPLAKALAVAYLMLKPKPRTLLPNVSPDIEVLQSDILKAIELVKDKRKISYLELKKLQIMLDPGTEPSEQNCRVRVTMKNLLTEYLLECINMDCIPKSLLETVRIINRTSQGSAPKTFSTEEIQEEINCLLHLSAQAKQIVLDSLPENEFDKDFADAYMEDVEECDESGYDSHDNICSFNPDDLDCQSESVGEISPVDSKSPVSSSRAENLTPLFSPNKKLSVKLESMNITEKNSLESRAFLHSSKNFDDTSSIDENGDQAGSTGQQRKSCSHINKYGFSTISSANGLSGRSNDGLKEPKTEFGYKPTFASSNFLQADTTVVNDNKSNFENQYLIIQEACDETSMFAYSFVDQVLSELARLDRLEMPKDHMSNLQADALGLKNSKGPTRRHTFGEDGVGSVMVNVLEVLMPSFPSREKEELKVLMGI >itb10g09320.t4 pep chromosome:ASM357664v1:10:12372516:12377392:1 gene:itb10g09320 transcript:itb10g09320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYIEDCKALLSQTKQQEKDLRLKRRWLMGVNLSRWEQDCMESLKPPNDKFVPESVLREDDLSYENIRTFVEKTLGVHNAERNHHVTEDDEIQLFDSPKNLKYITSLLDEMTNKGLFCFAEIVTNGSVNFLKTKKKMRKIIKEFLPKLLECRDDHSQMKLKQLARLLVDPQNFCQNEMALSNPSQSFRAACIDVIARLEELPFVALSAMYRNLRGVKGYTLKLQGRRSGWSLERLVKQIRRKSMEMLQEFCDGDEPPEPLAKALAVAYLMLKPKPRTLLPNVSPDIEVLQSDILKAIELVKDKRKISYLELKKLQIMLDPGTEPSEQNCRVRVTMKNLLTEYLLECINMDCIPKSLLETVRIINRTSQGSAPKTFSTEEIQEEINCLLHLSAQAKQIVLDSLPENEFDKDFADAYMEDVEECDESGYDSHDNICSFNPDDLDCQSESVGEISPVDSKSPVSSSRAENLTPLFSPNKKLSVKLESMNITEKNSLESRAFLHSSKNFDDTSSIDENGDQAGSTGQQRKSCSHINKYGFSTISSANGLSGRSNDGLKEPKTEFGYKPTFASSNFLQADTTVVNDNKSNFENQYLIIQEACDETSMFAYSFVDQVLSELARLDRLEMPKDHMSNLQADALGLKNSKGRNNSLLSSLW >itb14g02430.t1 pep chromosome:ASM357664v1:14:2067652:2073313:-1 gene:itb14g02430 transcript:itb14g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKSFSLIFSLLLVTAFLCFTNTNAAFRLGNFIDGKIPEAGDEIVGIPAPPYKVAGHGSPKGDAPFAVKKTIGSPAPPSKRAPPHA >itb03g01730.t1 pep chromosome:ASM357664v1:3:967828:972966:-1 gene:itb03g01730 transcript:itb03g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSNNGGLGDLMKIKVAARHISYRTLFHTVLILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPKLLGRADDSGQRLVKDFVKILNQVNSEELPAGLKLPESYNHLVSEMKNNKHNAKEFALMVKGMMERFEREIRESKFAELTNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPSLSDNSLHHFVLSTDNILAASVVVNSAVQSSLKPEKIVFHVITDKKTYAGMHSWFALNPVSPAIVEVKSVHQFDWLTRENVPVLEAVETHNGIRNYYHGNHVAGANLSDTTPRSFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWDIDLNGKVNGAVETCKGEDDWVMSKRFRTYFNFSHPLIAKNLNPDECAWAYGMNIFDLRAWRKTDIRDTYHAWLKENLKSNLTLWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKTNIENAKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYTNDFVRNCHILE >itb03g01730.t2 pep chromosome:ASM357664v1:3:968605:973967:-1 gene:itb03g01730 transcript:itb03g01730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRRASHQPLLVSTLEGCAGGGGEGLELDSGRDSSNNNRGGEGGRKMQLHFSPSMRSITISSSNNGGLGDLMKIKVAARHISYRTLFHTVLILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPKLLGRADDSGQRLVKDFVKILNQVNSEELPAGLKLPESYNHLVSEMKNNKHNAKEFALMVKGMMERFEREIRESKFAELTNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPSLSDNSLHHFVLSTDNILAASVVVNSAVQSSLKPEKIVFHVITDKKTYAGMHSWFALNPVSPAIVEVKSVHQFDWLTRENVPVLEAVETHNGIRNYYHGNHVAGANLSDTTPRSFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWDIDLNGKVNGAVETCKGEDDWVMSKRFRTYFNFSHPLIAKNLNPDECAWAYGMNIFDLRAWRKTDIRDTYHAWLKENLKSNLTLWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKTNIENAKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYTNDFVRNCHILE >itb14g02830.t1 pep chromosome:ASM357664v1:14:2418708:2422784:1 gene:itb14g02830 transcript:itb14g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases [Source:Projected from Arabidopsis thaliana (AT2G03800) TAIR;Acc:AT2G03800] MVTLVVATTTDPASNGPATALLSMPGWTPGPNFDGVIRTFICGHLRLLQHDKGIVEEDDLDLRWEKATAEPVDEVIFLSKHTAVSNRPALTIHPIGVPHLKKGDVPPQGGRPGWAAPPNPRMGPWLILLKKIAQSHNLLPEFEITMEATHHGPVTTKPTMFIEIGSTEEYWKRQDAARVVALLIWEGHGLGEGSAIGTWDSCTTPKNKVLLGLGGGHYVPRHMDIIQKDGCWVGHLLSGYALPMEDPGQSKVKAEDIGGTWRQAIKAAFDATREAFPGGEILAHLDQKSFKGWQKNAITEYLASQNIKVGKPSDFC >itb02g14240.t2 pep chromosome:ASM357664v1:2:10414098:10418096:-1 gene:itb02g14240 transcript:itb02g14240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MAKPGRGRPASPSGSSRSPSRSRSLSRSRSPSRSRSYSGSGSRSSSHSRSRSRSYSSSSSHSRSGSSRSPSPPRRKSPAEGSKRGRSPAAQPKKASPPPRKVSPIPESLVLHVEHLSRNVNENHLKEIFGNFGEVVRVQLVIDREVNLPKGYAYVEFKNRAEAEKALLYMDGAQIDGKVVHAKFTLAERKKAFSPPKPVAPFKRDGPKTDNVTNDVDKDGPKRQRESSPRRKPLSPHRRRSPIGRRGSPRREPDSPPRRSPVRRRDSPYRRADSPPTRRRLTPPPRGGRSPSPPRRFRSPPRGSPRRIRGSPLRRRSPPPPPRRRSPRRARSPPRRSPIGRRRSRSPIRRPVRSRSRSISPRR >itb02g14240.t1 pep chromosome:ASM357664v1:2:10414098:10418096:-1 gene:itb02g14240 transcript:itb02g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine/serine-rich 45 [Source:Projected from Arabidopsis thaliana (AT1G16610) TAIR;Acc:AT1G16610] MAKPGRGRPASPSGSSRSPSRSRSLSRSRSPSRSRSYSGSGSRSSSHSRSRSRSYSSSSSHSRSGSSRSPSPPRRKSPAEGSKRGRSPAAQPKKASPPPRKVSPIPESLVLHVEHLSRNVNENHLKEIFGNFGEVVRVQLVIDREVNLPKGYAYVEFKNRAEAEKALLYMDGAQIDGKVVHAKFTLAERKKAFSPPKPVAPFKRDGPKTDNVTNDVDKDGPKRQRESSPRRKPLSPHRRRSPIGRRGSPRREPDSPPRRSPVRRRDSPYRRADSPPTRRRLTPPPRGGRSPSPPRRFRSPPRGSPRRIRGSPLRRRSPPPPPRRRSPRRARSPPRRSPIGRRRSRSPIRRPVRSRSRSISPRRARGPPSRRGRSSSYSSSPSARKGPRKISRSRSPRRPLRGKSPSNSSSSGSPPPSRKP >itb03g26170.t1 pep chromosome:ASM357664v1:3:25640423:25641421:1 gene:itb03g26170 transcript:itb03g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLANLSLRLSSSSSLVLPFPIQTLFNQHLTSNLKTLLLSSSSPNDCVITVSFLAHALDALVATQKLAHQSLPTSSTFAQPSDREAIERYLEENSIDLLDACNELGDKLDNVCEYVKSLRLALHCLEAPTSIAVARANKLLLGRESGCKMTNSTISSLIPSFKRIVQHQRMNSRATKNKYVVRSELEEILDGSSLVTSTIFEILDSALSFKLKHRNGHNTTVQISRAATPYSSYHSWLSLLQELRNNLKKETKKSLQVRELQNTVWLAQELRQELMRSQDCSKLRVRVEELKRSCDELENGLKPLQGKVKEVYRYLICVRMALLEKLSSMA >itb15g05490.t1 pep chromosome:ASM357664v1:15:3536831:3540146:1 gene:itb15g05490 transcript:itb15g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQIRCRKLIVEICNAKNLMPKDGQGTASAYVLVDFDGQRRRTATKFRDLNPQWDERLEFLVHDSETMASEMLELNLYNDKKTTGKRTTFLGRVKIAGSSFVQAGSETLVYYPLEKRSVFSQIKGEIGVKVWYVDEEAPPQPPEEKTEAPSEEKPPEKVEEGSPPAADGDKKEEKQEDQEKKPENQESEKKEDKPAEDQKKEEESTPPPPQPLVAQTSAQTPAEHPQVAPQKPLMKKLSPEKSSELKVVQSLSGRGIDRRNSAFDLVDQMPFLFVRVVKVKRVNSEADSSAYAKLVIGTHSIRTKSSPAADQHKEWDQVFAFDKDGLNSTSLEVSVWVEKKGVEDKTEESSLGTVSFDLLEVPKRVPPDSPLAPQWYSLECDQNQSSPGNDVMLSVWIGTQADEAFNEAWQSDSGGFVPETRAKVYLSPKLWYLRLTVIQTQDLKLGSGGAEAKVRYPDLYVKGQLGAQLFKTSRTTVSSSSSASNPTWNEDLIFVASEPFEPFLTITVEDATNGHPVGHAQVQVSSIDRLFDDKSEPRSRWFNLVTSDNTSYAGRIHVRVSLEGGYHVLDEAAHVTSDVRATAKQLSKPPVGLLELGIRGAANLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTIIDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYNSSKQESNEAFGRKDLRLGKLRVRLSTLDTNKVYIGTYSLMVLLPSGAKKMGEIEIALRFTCSSWLSVIQAYGSPVLPRMHYVRPFGPAQQDILRHTAMRIVTARLARSEPALGHEVVQYMLDSDTHIWSVRRSKANWFRVVGCLSRVALLARWLDGIRTWTHPPTTILVHFLLAAVVLCPHLILPTICMYAFLIISLRFRYRQRVTIAMDARVSYVDAVGPDELDEEFDGFPTTRSAEQIRIRYDRLRALAGRAQTLLGDVAAQGERLEALFNWRDPRATGIFVVVCLLASLMFYVVPFKAFVLGAGLYYLRHPRFRGDMPSIPVNFFKRLPPLSDQIL >itb13g23100.t1 pep chromosome:ASM357664v1:13:29207892:29214221:-1 gene:itb13g23100 transcript:itb13g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKANRRLQAIHHHLTTTAADSPSLLRSSLTAGEYYSEQGYSVVLPEKLQTGKWNVYRSARSPLKLVSRFVDHPEIGTLHENFVRTVKDFSNRNYLGTRVREDGTVGEYRWMTYEEAGSARSAIGSALVCRGISKGSSIGIYFINRPEWLIVDHACSAYSFVSVPLYDTLGPEAAKYITNHAAIKAIFCMPQTLYNLLSFLSEIPSVQLIVVVGGVDGKIPSLPASTGVEVISYSKLLAQGLDNLQPFSSPNPNDVATICYTSGTTGTPKGVVLTHENLVANVAGLSLCLSMHFTDIYISYLPLAHIFERANQVLVVYFGGATGFYQGDNLKLPDDMLVLRPTVFCSVPRLYNRLYSGIMNAVKTSGVLRERMFNTAYNAKKHAIFAGKSPSQMWDRLVFNKIKARLGGKVRIMVSGASPLSPDVMDFLRVCFGCQVVEGYGMTETSCAISTMDMNDILSGHVGAPNPACEIKLVDVPEMNYTSEDQPHPRGEICIRGPIIFQGYYKDEVQTREVIDEDGWFRTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYVAPEKIENVYAKSKFIAQCFVYGDSLNSSLVAIVSVDPEILTAWAATEGIKYNDLEELCSNAKARSAVLADMDAIGKEAQLRGFEYAKAVTLVPEPFTLENGLLTPTLKIKRQQAKAYFAKAIEEMYGDLAHSGAASQKMLKL >itb04g11060.t1 pep chromosome:ASM357664v1:4:10589791:10594565:-1 gene:itb04g11060 transcript:itb04g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCDRNTDKFATSISPEENIRFRAIATIFMTILRQTYQPLPSASVGREDRPLYLTKFAISIDSPSVFNHCSTDANLIPIELPDAIPDWQKYKKSFKASQTKSMK >itb04g13160.t1 pep chromosome:ASM357664v1:4:13203819:13206568:-1 gene:itb04g13160 transcript:itb04g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMSLVVIFAHRHYKSSKLGGFSSFFSKQDVESSSKYLGVPIFSYSELQRVTNDFDPSKELGEGGFGTVYRGKLGDGREVAVKRLYERNCKRMEQFVNEIEILTRLRHRNLVTLYGCSSRNSRSLLLVYEYIPNGTLADHLHGKRAKHKSLTWPIRMNIAIETANALAYLHASDIIHRDVKTANILLDCNFTVKVADFGLSRLFPIDVTHVSTMPQGTPGYFDPEYYECYKLTDKSDVYSFGVVLMELISSLPAVNMDRDSHEINLANFAVNRILATTFDALVDPCLGFGTDSEVTRMTTSVAELAFRCLQPRKDSRPTMFDVLDTLLEIQGDESGFAKCSPSGELEEVSMKNKFATSPNSVIEKWICSTSTTRNSV >itb10g12390.t1 pep chromosome:ASM357664v1:10:18384298:18388627:1 gene:itb10g12390 transcript:itb10g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVEDSNFEDDQLGSMSTEDIVRASRLLDNEIRILKEELQRTNLDLDSLKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEFDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVIHEDFNEGIIQVQAKKKASLNYYA >itb14g06370.t1 pep chromosome:ASM357664v1:14:5642539:5644413:1 gene:itb14g06370 transcript:itb14g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENYRRDEEDHYENYRVSGREERRREKERDRRANNAGEDNHKNEDSKVSKQEGNMITQTGRSGGVYIPPFKLARMMKEIQDKSSVEYQRMTWDALRKSINGLVNKVNVTNIKNIIPELFAENLIRGRGLFCRSCMKSQMTSPGFTDVFAALVAVVNTRFPGVGNLLLRRIILQLQRAYKRNDKLQLLAAVKFIAHLVNQEVIHELIALELLAVLLENPSDDSVEVAVGFVTECGSMLQDLTPRGLHGIFERFRGILHEGQIDKRVQFLIEGLFALRKAKFQGYPAVRLELNLVEQVDQLTHEISFQDTIDPEIALDIFKPDSNFLENEKKYEELKTAILGEESEEEGNSHAESGDDDDDEEEEEEEDEEQMKLKDETETNLVNLRRTIYLTIMSTVGFDEAGHKLLKIKLEAGQEMELCIMLLECCSQERTYLRNYGLWGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVALFFAHLLATDALHWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHFGIRLLNDRLNDPTMQESFDSIFPKDNPKNTRFAINFFTQIGLGGITENLRAYLKNVQRLIMQQKKSVSESSSGSSCDESESESSSSSSEEDTRRKRMRH >itb04g16870.t1 pep chromosome:ASM357664v1:4:18913889:18915294:-1 gene:itb04g16870 transcript:itb04g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGYGAFAVKIPPVIVHQRNDHQEIPFPESLSFHGGASSTNSLWLSHLPNVNFPHRLVPENGSSPQETRREKEHERRSAFIKGQWTEEEDRLLIRLVNQYGDRRWSVIATNIVGRAGKQCRERWHNHLRPDIIKKEWWSEEEERVLVEVHEQLGNRWSENSIKNHWNATKRRQFSKRRMRSASLQEVGGSRKSVVLHNYIKYKYFSGLTLGPLVPVPEHPSIQQQVNVHDSPSILTETYDEEMTFLQKLFGNSSSSSPSTAANNNSAEFAAFGRRTNEDSVAEEEDSWSTYLASDPNLYEMGGADQINSTPQAAAANNTNSGAACVHQPP >itb12g22740.t3 pep chromosome:ASM357664v1:12:24716357:24719573:-1 gene:itb12g22740 transcript:itb12g22740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDEPLTVQLPGTQTRSFCYVSDMVDGLIRLMAGDNTGPINIGNPGEFTMLELADTVKEMINSDVKIVTVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGIPLMEDDFRARLGIVRKS >itb12g22740.t2 pep chromosome:ASM357664v1:12:24716260:24719350:-1 gene:itb12g22740 transcript:itb12g22740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDEPLTVQLPGTQTRSFCYVSDMVDGLIRLMAGDNTGPINIGNPGEFTMLELADTVKEMINSDVKIVTVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGIPLMEDDFRARLGIVRKS >itb12g22740.t1 pep chromosome:ASM357664v1:12:24716357:24719573:-1 gene:itb12g22740 transcript:itb12g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKQWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDEPLTVQLPGTQTRSFCYVSDMVDGLIRLMAGDNTGPINIGNPGEFTMLELADTVKEMINSDVKIVTVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGIPLMEDDFRARLGIVRKS >itb11g08770.t2 pep chromosome:ASM357664v1:11:5748142:5750186:1 gene:itb11g08770 transcript:itb11g08770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDIKPPARTSRRLTSKLLWGSADRSSAGGKKKKNFAGSYYSGGLRSAAFDLDNEFETDFQDFNDYDDDEVVEVDVKPFAFGLSSGFGSESKDFDQSMKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFDTAEEAARAYDTEARRIRGNKAKLNFPAETQAKSSRRTVKVNPRKVVPNTVQPDASSMDNSYYDPMSVLEQKPQVKLYDSVGDMGLLSYPPSGGANLYFNSDGGSNNSLDCTEHGSRTPEISSALSAGVEADEVQFIQETHPQKKLKSTENTTVEKLSEELSAFEDQMKFFQIPYLEGTWDSSVDTYLNVDGGNALDLWSFDDVPSLMGGVF >itb11g08770.t1 pep chromosome:ASM357664v1:11:5748142:5750186:1 gene:itb11g08770 transcript:itb11g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDIKPPARTSRRLTSKLLWGSADRSSAGGKKKKNFAGSYYSGGLRSAAFDLDNEFETDFQDFNDYDDDEVVEVDVKPFAFGLSSGFGSESKDFDQSMKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFDTAEEAARAYDTEARRIRGNKAKLNFPAETQAKSSRRTVKVNPRKVVPNTVQPDASSMDNSYYDPMSVLEQKPQVKLYDSVGDMGLLSYPPSGGANLYFNSDGGSNNSLDCTEHGSRTPEISSALSAGVEADEVQFIQETHPQKKLKSTENTTVEKLSEELSAFEDQMKFFQIPYLEGTWDSSVDTYLNVDGGNALDLWSFDDVPSLMGGVF >itb11g08770.t3 pep chromosome:ASM357664v1:11:5748651:5750155:1 gene:itb11g08770 transcript:itb11g08770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLLNEGFGSESKDFDQSMKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTFDTAEEAARAYDTEARRIRGNKAKLNFPAETQAKSSRRTVKVNPRKVVPNTVQPDASSMDNSYYDPMSVLEQKPQVKLYDSVGDMGLLSYPPSGGANLYFNSDGGSNNSLDCTEHGSRTPEISSALSAGVEADEVQFIQETHPQKKLKSTENTTVEKLSEELSAFEDQMKFFQIPYLEGTWDSSVDTYLNVDGGNALDLWSFDDVPSLMGGVF >itb09g31050.t2 pep chromosome:ASM357664v1:9:31556568:31561678:1 gene:itb09g31050 transcript:itb09g31050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKGTYLVENQDLNLIAEDNMREPHCYGMEVETGISGMSGQSFVSDTEKGEGSNGNVVFSGQTPHVGKDFRGPGGPCISGVKKHKFRQADSDPEPGKNEKSGQEKKLSKQDRIELGRSFQDAVTSHDWAHAESLTFLADPQTLNDALCIALDSIWFLSTQEELCGITGLIKKIISNGAYDFTRAALRTSFLASCVCACQSRTMSLSDTVTVMAQRLQERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVGHNSAAEIELQLSAFKTFLDLAGNNLTGKDFTEAFDAACFPLTLFSSSFDPGWASGISASGIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVEWFVKRGCRDMELCLALTAATSSSQVKVAAYILPHVPQHTLAALSIEILKAAGERSSGSLDGVAFLLSSDFLGDPAATYAVADSIARSEDEAVAPELRSFLHEHWSEAAAIEGKRQGQEHYLNLVRIMNLGESPICLRDLPSPLRIAIAYLPLYRECVKAGGCLLSQRLRGQLVEAAKRLSGVTLEDARQGRELLGILEHNLPTFLLNA >itb09g31050.t1 pep chromosome:ASM357664v1:9:31556430:31561691:1 gene:itb09g31050 transcript:itb09g31050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKGTYLVENQDLNLIAEDNMREPHCYGMEVETGISGMSGQSFVSDTEKGEGSNGNVVFSGQTPHVGKDFRGPGGPCISGVKKHKFRQADSDPEPGKNEKSGQEKKLSKQDRIELGRSFQDAVTSHDWAHAESLTFLADPQTLNDALCIALDSIWFLSTQEELCGITGLIKKIISNGAYDFTRAALRTSFLASCVCACQSRTMSLSDTVTVMAQRLQERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVGHNSAAEIELQLSAFKTFLDLAGNNLTGKDFTEAFDAACFPLTLFSSSFDPGWASGISASGIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVEWFVKRGCRDMELCLALTAATSSSQVKVAAYILPHVPQHTLAALSIEILKAAGERSSGSLDGVAFLLSSDFLGDPAATYAVADSIARSEDEAVAPELRSFLHEHWSEAAAIEGKRQGQEHYLNLVRIMNLGESPICLRDLPSPLRIAIAYLPLYRECVKAGGCLLSQRLRGQLVEAAKRLSGVTLEDARQGRELLGILEHNLPTFLLNA >itb06g24160.t1 pep chromosome:ASM357664v1:6:25837555:25840082:-1 gene:itb06g24160 transcript:itb06g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNISSGNMIPGSSYGGLDLQGSMRVHHHQNHQQHPVGIHPHPRQGSMVHSQIHEGFPLSIGSQNISLSDYGKGEGGKSVSDDEEPSFTEDAGDGGHNDQNRGKKAPMWHRVKWTDQMVRLLITAVSYIGEEASAEYGGRRKCANIHKKGKWKSVSKVMAERGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENPTLLDMMDHIPEKAKDEVKKILSSKHLHYEEMCSYHNGNRLHLPPDPDLQRSLRLALRTRDDNDDNDVRRPPHDDNDDDDLDAELDEHDEYEENHNLPVDHRMYGIQGGPAKKSKMNACFGSSSSPLDCNKSLSFQPQNANAEANLMSPDDLKDHNLQKRWMDQRSLQLEEQKLHIQAQMLELEKERFKWQRFSQKKNFELEMMRMENERMKLENERMALELKQKEMLADNNS >itb03g01420.t1 pep chromosome:ASM357664v1:3:803990:804741:-1 gene:itb03g01420 transcript:itb03g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMATAAILIAAVLAALPRPGQAVDCAQVDFTVSPCLAYLQNGGDAPPQPCCDAVNALIRITPSQQDRQDACECLKAAAPTFGIKAEVAAGLPAKCGVSTGVPISLDINCQSIG >itb03g28310.t1 pep chromosome:ASM357664v1:3:29083770:29085527:-1 gene:itb03g28310 transcript:itb03g28310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDFNPRTLYQCVQLQVTTKFSVQWASKHKHSINKISVSQASKMPNNSKLQIAMFPWFAYGHMIPFLHLANEFAKRGHTISFLLPSNVISKLANNRHSHLITFHALTLPPVPGLAPGAETTADAKDSFVLSAAMDGTKDQVRAILEKDKPDFVFFDFAYWVPDLAREIGFKTVYFKVMSPTTSALKMVMSASIGRPLTAADILTPPPGYPSSSNVTLREHEARKAALFANAHADELRKFFGRSAVGLRNCDLLAVRTVKETEGIYCDYLATQYKKPVLCIGAVLPEPREDPLEDKISIWLTNFKPNSVVFCAFGSEWVLEKPQFQQLLLGLEQTNLPFLVALKPPKGTTSIEEALPEGFQERVQNRGMVYGGWVPQSLILAHKSIGCFVNHCGYGSMWESLMSDCQLVFVPNILDQSLNTRLMADELKVAVEVERDENGWFSKESICKAVKSVMDSDNQIGCLVRENHSKWKKILTRPGFMSEYVDNFIQYLQGLV >itb13g25060.t2 pep chromosome:ASM357664v1:13:30530182:30532726:1 gene:itb13g25060 transcript:itb13g25060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METTLGLMGNEAELELGLGLSLGSGVGKIKKSEWGRILTAKDFPNGFSSGNGRANAAVSGTKRAADSYVGSPPSGVSSQVVGWPPIRRAYRMNSLVNNQAKVPNAEQDKGVGSENKEISKKKMNHGNYKDDAASSSKETGHLGFVKVNMDGVPIGRKVDLKAHTSYENLAKTLEDMFFMSTTKTMKSICSREKQQEMAPFKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLSNVMRLRIMRTSEANGLAPSVQERHDRCKGKPI >itb13g25060.t1 pep chromosome:ASM357664v1:13:30530153:30532726:1 gene:itb13g25060 transcript:itb13g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLGLMGNEAELELGLGLSLGSGVGKIKKSEWGRILTAKDFPNGFSSGNGRANAAVSGTKRAADSYVGSPPSGVSQVVGWPPIRRAYRMNSLVNNQAKVPNAEQDKGVGSENKEISKKKMNHGNYKDDAASSSKETGHLGFVKVNMDGVPIGRKVDLKAHTSYENLAKTLEDMFFMSTTKTMKSICSREKQQEMAPFKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLSNVMRLRIMRTSEANGLAPSVQERHDRCKGKPI >itb13g25060.t3 pep chromosome:ASM357664v1:13:30530153:30531936:1 gene:itb13g25060 transcript:itb13g25060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METTLGLMGNEAELELGLGLSLGSGVGKIKKSEWGRILTAKDFPNGFSSGNGRANAAVSGTKRAADSYVGSPPSGVSQVVGWPPIRRAYRMNSLVNNQAKVPNAEQDKGVGSENKEISKKKMNHGNYKDDAASSSKETGHLGFVKVNMDGVPIGRKVDLKAHTSYENLAKTLEDMFFMSTTKTMKSICSREKQQEMAPFKLLDGSSEFVLTYEDKEGDWMLVGDVPWRYAIVPITTCFNFSCHMKHIDEPQPQIQAWTDRYS >itb08g07690.t2 pep chromosome:ASM357664v1:8:6594471:6598746:1 gene:itb08g07690 transcript:itb08g07690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGKAELGSLLKILPPVEFCCVYGSTLHPNNKAQKSMVDYILGVADPIQWHTENLKTNSDHYASWLIRIGGGRLINGIANNIGVGIHFNPFVSWNNKMFKYGVVQVHDLIEDIQGWERFYMSGRLQKPVNIIVDNLNVENENAVNLRAAASAALLLLPSEFSEEDLYAKICSLSYMGDLRMLFAEDKNKVKNIVQGQFHLFQRIYRPFLDEYAANGLLRFSSTGDKQVNITQPLSLHHIFLCLLEAKWIQTVVRRNNWITVEKLDRT >itb08g07690.t1 pep chromosome:ASM357664v1:8:6594448:6598794:1 gene:itb08g07690 transcript:itb08g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGKAELGSLLKILPPVEFCCVYGSTLHPNNKAQKSMVDYILGVADPIQWHTENLKTNSDHYASWLIRIGGGRLINGIANNIGVGIHFNPFVSWNNKMFKYGVVQVHDLIEDIQGWERFYMSGRLQKPVNIIVDNLNVENENAVNLRAAASAALLLLPSEFSEEDLYAKICSLSYMGDLRMLFAEDKNKVKNIVQGQFHLFQRIYRPFLDEYAANGLLRFSSTGDKQVNITQDCGLSAATFFASHLPLPIRSKMDTNRGETKQLDYSGKIRQDMIIGSKEQAAKSMSKLLRRKVMVSSARQAVAGLLTAGAVHGAKYLGKKMIKAWKSWT >itb11g19280.t1 pep chromosome:ASM357664v1:11:19899405:19899728:-1 gene:itb11g19280 transcript:itb11g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSMDLPSSQLIPALPNEVAIQCLARVPRIYHPILSLVCKSWRFTTRSPALFATRSHLRTTQTFLYLNLRIPLVHFPPPPQFPLPHHKPRSSPAHWRRLCSFGS >itb03g14080.t1 pep chromosome:ASM357664v1:3:13954750:13955970:1 gene:itb03g14080 transcript:itb03g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MNRVFSNNFEWKSHYTSISMASIRSRLEHCFTADALRAYLAEFISTMFFVFAAVGSSMSSRKMSPEAGSDPSSLVGIAVANAFALTVAVYISIGVSGGHVNPAVTFARAVGRHISLSMALFYWISQLLGSVMACVLLKVFTVKQHVPALGIPQEMTGFDAAILEGVMTFALVYTVYAAADVRKGALCAMGPLAIGMIAGGNVLASGAFTGGSMNPAYSFGSALIGGNFGNQAAYWVGPLIGGTIAGVLYDKVVFPSESDDSTRPGLSEVGVV >itb12g25240.t1 pep chromosome:ASM357664v1:12:26518191:26520132:1 gene:itb12g25240 transcript:itb12g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMQIAVKRLKAVNAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGEDERLIVYDYMPNHSLITHLHGHLADDCLLDWPRRLSIAIGSAEGLAYLHHEANPHIIHRDIKASNVLLDSEFQAKLADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVFSFGILLLEIISGRKPLEKLKSGVKRDIVRWATPFVQKGAFDHIADPRLRGKYNRAQLKSTVMIAMRCTDASPDNRPTMIDVVDWLQGGGGRRRKEIKEVKNGGENDGDNNGEEGKKGFEDGGKDIKRRPRRIKGEIHA >itb04g28230.t1 pep chromosome:ASM357664v1:4:32034668:32038147:-1 gene:itb04g28230 transcript:itb04g28230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSRRKVVPDDLAMEGGIQGQEILLELSANDDLRGFRTAVEEEGLDVNMSGLWYGRRIGSKKMGYEERTPLMVASTFGSKQVLNYILEKGCAEINRACGSDGATALHCAVAGGSTASLDVIKLLLGYSADENLVDANGNRAADLIPFCRFSSRKKMLEHVLKGGGDADEGYELIDEGAFEKVEEQRVIYPNVLKDGSERKEYPVDPSLPDIKNGIYGTDDFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGTCQRGDACEYSHGIFECWLHPAQYRTRMCKDESRCNRRVCFFAHKPEELRPFYASTGSALPSPRSYSLGASSLDIASISPLALGSPSIMVPPTSTSPVTPSGASSPRSGSPRPNQPNMTTPTLQLHGSRLKTALNARDMDLDFGLLGLDHLRQEQMVDDMSALSSPSSWNKISATTAAFGASSSGEFSRHAGLMPTNLDDIFGAFDPNVLSQLQGLSLDTGKSQLQSPKGMQIRQNMSQNFLSSFPASPSTSSFRMDPSDSASAVLKNSRSSAFAKRSQSFVDRSAVKHGVASSPTSSAIAMPSTFSGWGSPDGKLDWGIKKEELSKLRKSASFGIRSSGNSVAMDGTSFSTTVDEPNALWVQSLVNVAPPINHGQFSMEDQQYCLNNRGGSEMHPAWADQLYMDQEQVVA >itb04g28230.t3 pep chromosome:ASM357664v1:4:32034668:32037751:-1 gene:itb04g28230 transcript:itb04g28230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSRRKVVPDDLAMEGGIQGQEILLELSANDDLRGFRTAVEEEGLDVNMSGLWYGRRIGSKKMGYEERTPLMVASTFGSKQVLNYILEKGCAEINRACGSDGATALHCAVAGGSTASLDVIKLLLGYSADENLVDANGNRAADLIPFCRFSSRKKMLEHVLKGGGDADEGYELIDEGAFEKVEEQRVIYPNVLKDGSERKEYPVDPSLPDIKNGIYGTDDFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGTCQRGDACEYSHGIFECWLHPAQYRTRMCKDESRCNRRVCFFAHKPEELRPFYASTGSALPSPRSYSLGASSLDIASISPLALGSPSIMVPPTSTSPVTPSGASSPRSGSPRPNQPNMTTPTLQLHGSRLKTALNARDMDLDFGLLGLDHLRQEQMVDDMSALSSPSSWNKISATTAAFGASSSGEFSRHAGLMPTNLDDIFGAFDPNVLSQLQGLSLDTGKSQLQSPKGMQIRQNMSQNFLSSFPASPSTSSFRMDPSDSASAVLKNSRSSAFAKRSQSFVDRSAVKHGVASSPTSSAIAMPSTFSGWGSPDGKLDWGIKKEELSKLRKSASFGIRSSGNSVAMDGTSFSTTVDEPNALWVQSLVNVAPPINHGQFSMEDQQYCLNNRGGSEMHPAWADQLYMDQEQVVA >itb04g28230.t2 pep chromosome:ASM357664v1:4:32034668:32038147:-1 gene:itb04g28230 transcript:itb04g28230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSRRKVVPDDLAMEGGIQGQEILLELSANDDLRGFRTAVEEEGLDVNMSGLWYGRRIGSKKMGYEERTPLMVASTFGSKQVLNYILEKGCAEINRACGSDGATALHCAVAGGSTASLDVIKLLLGYSADENLVDANGNRAADLIPFCRFSSRKKMLEHVLKGGGDADEGYELIDEGAFEKVEEQRVIYPNVLKDGSERKEYPVDPSLPDIKNGIYGTDDFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGTCQRGDACEYSHGIFECWLHPAQYRTRMCKDESRCNRRVCFFAHKPEELRPFYASTGSALPSPRSYSLGASSLDIASISPLALGSPSIMVPPTSTSPVTPSGASSPRSGSPRPNQPNMTTPTLQLHGSRLKTALNARDMDLDFGLLGLDHLRQEQMVDDMSALSSPSSWNKISATTAAFGASSSGEFSRHAGLMPTNLDDIFGAFDPNVLSQLQGLSLDTGKSQLQSPKGMQIRQNMSQNFLSSFPASPSTSSFRMDPSDSASAVLKNSRSSAFAKRSQSFVDRSAVKHGVASSPTSSAIAMPSTFSGWGSPDGKLDWGIKKEELSKLRKSASFGIRSSGNSVAMDGTSFSTTVDEPNALWVQSLVNVAPPINHGQFSMEDQQYCLNNRGGSEMHPAWADQLYMDQEQVVA >itb04g04520.t3 pep chromosome:ASM357664v1:4:2793105:2800719:1 gene:itb04g04520 transcript:itb04g04520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVIRLARAALSAHLPRGSSSSVNESLCSGGCREYNMAACNQTRPLFHSYSRGVNGRGWLKFGLSRPDFGRTRSIHATAHMSGRDFYDVLGISKNATASEIKKAYLGLAKQLHPDVNKDDPEAAKKFQEVQKAYEVLKDEEKRQTYDQLGHDAFNSAEENGGAGPGFSGFPGFEDIFKNADIFNMFRQKMGGDDVKLSVELSFMDAVQGCSKTVAFQTELPCGACGGSGVPPGTRPETCRRCKGSGMVFQQTGLFTVQATCPQCRGSGKIVSSFCKTCKGGRVVRGTKTVKLDIMPGVDNDEILKVYRSGGADPDGNQPGDLYVTIKVREDPVFRRERSDIHVDAVLTITQAILGGTVQVPTLTGDVVVKVRPGTQPGQKVVLKKKGIKARNSYSFGDQFVHFKVSIPMYVFASFCLESQIQAYPCFYFPSDSICRQLNKNRIIKSLGCS >itb04g04520.t1 pep chromosome:ASM357664v1:4:2793105:2801043:1 gene:itb04g04520 transcript:itb04g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVIRLARAALSAHLPRGSSSSVNESLCSGGCREYNMAACNQTRPLFHSYSRGVNGRGWLKFGLSRPDFGRTRSIHATAHMSGRDFYDVLGISKNATASEIKKAYLGLAKQLHPDVNKDDPEAAKKFQEVQKAYEVLKDEEKRQTYDQLGHDAFNSAEENGGAGPGFSGFPGFEDIFKNADIFNMFRQKMGGDDVKLSVELSFMDAVQGCSKTVAFQTELPCGACGGSGVPPGTRPETCRRCKGSGMVFQQTGLFTVQATCPQCRGSGKIVSSFCKTCKGGRVVRGTKTVKLDIMPGVDNDEILKVYRSGGADPDGNQPGDLYVTIKVREDPVFRRERSDIHVDAVLTITQAILGGTVQVPTLTGDVVVKVRPGTQPGQKVVLKKKGIKARNSYSFGDQFVHFKVSIPMNLTQRQRQLIEEFARDEQGEDDKDAAAGASS >itb04g04520.t2 pep chromosome:ASM357664v1:4:2793131:2801035:1 gene:itb04g04520 transcript:itb04g04520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVIRLARAALSAHLPRGSSSSVNESLCSGGCREYNMAACNQTRPLFHSYSSNGGVNGRGWLKFGLSRPDFGRTRSIHATAHMSGRDFYDVLGISKNATASEIKKAYLGLAKQLHPDVNKDDPEAAKKFQEVQKAYEVLKDEEKRQTYDQLGHDAFNSAEENGGAGPGFSGFPGFEDIFKNADIFNMFRQKMGGDDVKLSVELSFMDAVQGCSKTVAFQTELPCGACGGSGVPPGTRPETCRRCKGSGMVFQQTGLFTVQATCPQCRGSGKIVSSFCKTCKGGRVVRGTKTVKLDIMPGVDNDEILKVYRSGGADPDGNQPGDLYVTIKVREDPVFRRERSDIHVDAVLTITQAILGGTVQVPTLTGDVVVKVRPGTQPGQKVVLKKKGIKARNSYSFGDQFVHFKVSIPMNLTQRQRQLIEEFARDEQGEDDKDAAAGASS >itb09g25510.t1 pep chromosome:ASM357664v1:9:25554984:25571710:1 gene:itb09g25510 transcript:itb09g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLQRKLLRRSPSLSACYYSSYSFAQSYDSDHWRRQQQHHFILPLSPSRSVLSSPASAQQFLVPFCVCSISRSFSTRESASIEGVPCQDNDFTASQSATPADLFLGCDVVGGGSVEEPILPVRVLISLLDGYHDLTGFPWWAVIASGTLAMRLALFPFVVLQLHKLKRIGELLPKLPPPFPPPMSGRTFKDQFQHFRREKSAAGGPSLLWFIASFAVQVPCFLLWLTTIRRMSLDHHDGFDWGGTLWFQNLTEVPNGTLGPIFPLLIAGLHFVNVQVSFQKMFTRTEGTFASLAKYYKLYLEILTLPILFISFNLPQGSLVYWLSNSSLSLLQQISLKHPVIRKKLELPDRDISGFVAKQKELDSSEEVEINTSRESRKIAAQNLSAKELVNVSIKFLAKGQKDIALRLLRLALDKDPDHARALILLGQTQLQNGFLSEATKNFECCISKLLLNGHPTEVEDVDNLILSSAWAGVACIRQGKYDEGIVHLERVAVMKEPEDPKTKAHYYEALILFSSALYNVGRKAEAAKYLRIVVAYDPSYRELLEQCENDDNT >itb08g12020.t1 pep chromosome:ASM357664v1:8:12096153:12097685:-1 gene:itb08g12020 transcript:itb08g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVGLVVAVVAMMMLWNGATAQSNGCTSALDGLTPCLTYVTGNSSAPPAPSSSCCRQLSGVVQSNPQCLCLLLNGGGSNLGVNINQSLALALPAACQVQTPPVSQCNAAVPASSPVGSLAPPPSEAKETPPQVPNTPAGSKTVPSTTAGG >itb15g00030.t1 pep chromosome:ASM357664v1:15:45496:49191:1 gene:itb15g00030 transcript:itb15g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPVLAASAVALASVSADLHDKLQPSKSSESCLSLEQPSSLHSTAIEENKKSWVHHISASKLANMSFVTRIQVPVSHIQSPISTSSQSYCPSHFTSSAFSPVLLNLYRTAALDRSANPATCVHPLPSSPHEGLYIWHLPKPSSIDISGCSDCSSSKSRTLVILLGWLGAKQRHLKRYAEWYNSKGFHAVTFTFPLPEILSYQVGGKTEHDVELLVNHLADWLEEEHGKNLVFHTFSNTGWLIYGVILEKLQKQYPTLKERIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSIATKGFVNLSEPHSETTVGARTVVDARPAVTEAALLLVLEKFFEVVLNLPTVNRRLSDVLNLLTSQQPSCPQLYIYSTADKVIPAGSVESFIEGQRRTGREVRACNFISTPHVDHFRNDPELYTSELAQFLEDCVLTCCSHSS >itb15g00030.t3 pep chromosome:ASM357664v1:15:45539:49191:1 gene:itb15g00030 transcript:itb15g00030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPVLAASAVALASVSADLHDKLQPSKSSESCLSLEQPSSLHSTAIEENKKSWVHHISASKLANMSFVTRIQVPVSHIQSPISTSSQSYCPSHFTSSAFSPVLLNLYRTAALDRSANPATCVHPLPSSPHEGLYIWHLPKPSSIDISGCSDCSSSKSRTLVILLGWLGAKQRHLKRYAEWYNSKGFHAVTFTFPLPEILSYQVGGKTEHDVELLVNHLADWLEEEHGKNLVFHTFSNTGWLIYGVILEKLQKQYPTLKERIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSIATKGFVNLSEPHSETTVGARTVVDARPAVTEAALLLVLEKFFEVVLNLPTVNRRLSDVLNLLTSQQPSCPQLYIYSTADKVIPAGSVESFIEGQRRTGREVRACNFISTPHVDHFRNDPELYTSELAQFLEDCVLTCCSHSS >itb15g00030.t4 pep chromosome:ASM357664v1:15:45496:49174:1 gene:itb15g00030 transcript:itb15g00030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPVLAASAVALASVSADLHDKLQPSKSSESCLSLEQPSSLHSTAIEENKKSWVHHISASKLANMSFVTRIQVPVSHIQSPISTSSQSYCPSHFTSSAFSPVLLNLYRTAALDRSANPATCVHPLPSSPHEGLYIWHLPKPSSIDISGCSDCSSSKSRTLVILLGWLGAKQRHLKRYAEWYNSKGFHAVTFTFPLPEILSYQVGGKTEHDVELLVNHLADWLEEEHGKNLVFHTFSNTGWLIYGVILEKLQKQYPTLKERIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSIATKGFVNLSEPHSETTVGARTVVDARPAVTEAALLLVLEKFFEVVLNLPTVNRRLSDVLNLLTSQQPSCPQLYIYSTADKVIPAGSVESFIEGQRRTGREVRACNFISTPHVDHFRNDPELYTSELAQFLEDCVLTCCSHSS >itb15g00030.t5 pep chromosome:ASM357664v1:15:46301:49174:1 gene:itb15g00030 transcript:itb15g00030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPVLAASAVALASVSADLHDKLQPSKSSESCLSLEQPSSLHSTAIEENKKSWVHHISASKLANMSFVTRIQVPVSHIQSPISTSSQSYCPSHFTSSAFSPVLLNLYRTAALDRSANPATCVHPLPSSPHEGLYIWHLPKPSSIDISGCSDCSSSKSRTLVILLGWLGAKQRHLKRYAEWYNSKGFHAVTFTFPLPEILSYQVGGKTEHDVELLVNHLADWLEEEHGKNLVFHTFSNTGWLIYGVILEKLQKQYPTLKERIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSIATKGFVNLSEPHSETTVGARTVVDARPAVTEAALLLVLEKFFEVVLNLPTVNRRLSDVLNLLTSQQPSCPQLYIYSTADKVIPAGSVESFIEGQRRTGREVRACNFISTPHVDHFRNDPELYTSELAQFLEDCVLTCCSHSS >itb15g00030.t6 pep chromosome:ASM357664v1:15:45539:49191:1 gene:itb15g00030 transcript:itb15g00030.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPVLAASAVALASVSADLHDKLQPSKSSESCLSLEQPSSLHSTAIEENKKSWVHHISASKLANMSFVTRIQVPVSHIQSPISTSSQSYCPSHFTSSAFSPVLLNLYRTAALDRSANPATCVHPLPSSPHEGLYIWHLPKPSSIDISGCSDCSSSKSRTLVILLGWLGAKQRHLKRYAEWYNSKGFHAVTFTFPLPEILSYQVGGKTEHDVELLVNHLADWLEEEHGKNLVFHTFSNTGWLIYGVILEKLQKQYPTLKERIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSIATKGFVNLSEPHSETTVGARTVVDARPAVTEAALLLVLEKFFEVVLNLPTVNRRLSDVLNLLTSQQPSCPQLYIYSTADKVIPAGSVESFIEGQRRTGREVRACNFISTPHVDHFRNDPELYTSELAQFLEDCVLTCCSHSS >itb15g00030.t2 pep chromosome:ASM357664v1:15:45496:49191:1 gene:itb15g00030 transcript:itb15g00030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPVLAASAVALASVSADLHDKLQPSKSSESCLSLEQPSSLHSTAIEENKKSWVHHISASKLANMSFVTRIQVPVSHIQSPISTSSQSYCPSHFTSSAFSPVLLNLYRTAALDRSANPATCVHPLPSSPHEGLYIWHLPKPSSIDISGCSDCSSSKSRTLVILLGWLGAKQRHLKRYAEWYNSKGFHAVTFTFPLPEILSYQVGGKTEHDVELLVNHLADWLEEEHGKNLVFHTFSNTGWLIYGVILEKLQKQYPTLKERIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSIATKGFVNLSEPHSETTVGARTVVDARPAVTEAALLLVLEKFFEVVLNLPTVNRRLSDVLNLLTSQQPSCPQLYIYSTADKVIPAGSVESFIEGQRRTGREVRACNFISTPHVDHFRNDPELYTSELAQFLEDCVLTCCSHSS >itb05g02720.t1 pep chromosome:ASM357664v1:5:2197842:2201885:-1 gene:itb05g02720 transcript:itb05g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRSMMTEKDEELALFNEMRRREKERNDLLLLQKSDEFDALLGSKTGNSPIFNVGVACTPARKTVTDEFLNSENDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQFGNLKARPTALKSRLANPPEPTVRSNLTSRQASSLPGLNTSSTGVRRPSSSGGSRPSTPTGRSTLTASRSTLTSSSKPALNTASKTTSSTATSSRPSRSATPTSRGTLPSTKPTVTPRSSTPDSRSTVRSSTPTRQPNPGSKPTSRAATPTRRPMTLSTGTNISAPPVRSPSSSSVAKPSTTAIKNPAPPRASSPTVKPRPWKPSDIPGFSLDAPPNLRTSLSDRPTSATRGRPGVPSARSSSIEPVANGRVRRQSCSPSRGRPPNGLIHSSGSSVPIPAMSRLHAKANDNVSPGLIGTKMVERVINMRKLAPPKQENKHSPNSNLSAKSSSPDSAGFGRNLSKKSLDMAIRHMDIRRTIPGNLRPLMANIPASSMYSVRTGPNRSRAVSVSDSPHATSSNASSEVSVNNNALCTDGNEVDDDICSYRIAQSPATMRGR >itb05g02720.t2 pep chromosome:ASM357664v1:5:2197842:2201073:-1 gene:itb05g02720 transcript:itb05g02720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKTVMSQFGNLKARPTALKSRLANPPEPTVRSNLTSRQASSLPGLNTSSTGVRRPSSSGGSRPSTPTGRSTLTASRSTLTSSSKPALNTASKTTSSTATSSRPSRSATPTSRGTLPSTKPTVTPRSSTPDSRSTVRSSTPTRQPNPGSKPTSRAATPTRRPMTLSTGTNISAPPVRSPSSSSVAKPSTTAIKNPAPPRASSPTVKPRPWKPSDIPGFSLDAPPNLRTSLSDRPTSATRGRPGVPSARSSSIEPVANGRVRRQSCSPSRGRPPNGLIHSSGSSVPIPAMSRLHAKANDNVSPGLIGTKMVERVINMRKLAPPKQENKHSPNSNLSAKSSSPDSAGFGRNLSKKSLDMAIRHMDIRRTIPGNLRPLMANIPASSMYSVRTGPNRSRAVSVSDSPHATSSNASSEVSVNNNALCTDGNEVDDDICSYRIAQSPATMRGR >itb03g18380.t3 pep chromosome:ASM357664v1:3:16681630:16684187:1 gene:itb03g18380 transcript:itb03g18380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFVQSSAIQPSDNQLCLTDFESIKVIGKGNSGIVWLVQHKWTQQLFALKVIQMNIEEPSRKHLAQELRINHSSQCPYVVICYQAFFDNGSISMILEYMDGGSLGDFLKIVKKIPEPYIAAICKQVLKGLWYLHHEKHIIHRDLKLPNLLINHRGDVKITDFGVSVILESTSGAASTFIGTYNYMSPERISGKSHGFRSDIWSLGLVLLECATGDFPYSPPQGEEGWTNVFELMETIVTQPEPYANPDLFSPQFCSFIAKCVQKDPNKRLSAYELMVIVKYMLLCLSKLKRFKLCAIHLNVL >itb03g18380.t2 pep chromosome:ASM357664v1:3:16681630:16684183:1 gene:itb03g18380 transcript:itb03g18380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFVQSSAIQPSDNQLCLTDFESIKVIGKGNSGIVWLVQHKWTQQLFALKVIQMNIEEPSRKHLAQELRINHSSQCPYVVICYQAFFDNGSISMILEYMDGGSLGDFLKIVKKIPEPYIAAICKQVLKGLWYLHHEKHIIHRDLKLPNLLINHRGDVKITDFGVSVILESTSGAASTFIGTYNYMSPERISGKSHGFRSDIWSLGLVLLECATGDFPYSPPQGEEGWTNVFELMETIVTQPEPYANPDLFSPQFCSFIAKCVQKDPNKRLSAYELMRHPFINMYDDLDIDLASYFTAIGPPFATL >itb03g18380.t1 pep chromosome:ASM357664v1:3:16680568:16684187:1 gene:itb03g18380 transcript:itb03g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDFAPKLTLSVPARDEVSNFLTESGTFKDGNLLVNKKGIRIISENQAESSSAIQPSDNQLCLTDFESIKVIGKGNSGIVWLVQHKWTQQLFALKVIQMNIEEPSRKHLAQELRINHSSQCPYVVICYQAFFDNGSISMILEYMDGGSLGDFLKIVKKIPEPYIAAICKQVLKGLWYLHHEKHIIHRDLKLPNLLINHRGDVKITDFGVSVILESTSGAASTFIGTYNYMSPERISGKSHGFRSDIWSLGLVLLECATGDFPYSPPQGEEGWTNVFELMETIVTQPEPYANPDLFSPQFCSFIAKCVQKDPNKRLSAYELMRHPFINMYDDLDIDLASYFTAIGPPFATL >itb05g15070.t1 pep chromosome:ASM357664v1:5:22426482:22430592:-1 gene:itb05g15070 transcript:itb05g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNTTSRQVTFSKRRNGLLKKAKELAILCDAEVGLVIFSSTGKLYEFASTRQLMGEELYGLSVKDLQNLENKLDMSLKGIRAKKEQILINEIEELTRKIHQKEVDFIILFQAYGTRDPDAAAVTKNNTTTTTSDFTFCGDSLSPIDLQLSQPEPPQNFDVMSRASDFG >itb10g21920.t1 pep chromosome:ASM357664v1:10:26944062:26949581:1 gene:itb10g21920 transcript:itb10g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLGHGGAGSSRNASSGFSNSSSSFDWLGREMLEMRLRDRVDLDDDRDSEPDVIDGVGVETGHVIKTTIGGRNGQPRQNVSYIAERVIGTGSFGVVFQAKCRETGEIIAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDNEDLYLNLVLEYVPETANRVARQYSRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVEGEPNVSYICSRHYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEMKCMNPNYTEFKFPQIKPHPLHKIFQKQLPPEAFDLVYRFFQYSPHLRCTALEACLHPFFDELKDPNTRLPNHRPLPPLFNFKPQELTGLPPETVHRLIPEHARMQN >itb12g26730.t1 pep chromosome:ASM357664v1:12:27488541:27492163:1 gene:itb12g26730 transcript:itb12g26730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEWIRRTSLIVFGIVVFGSLFAFSIAKEEASKLGTVIGIDLGTTYSCVGVYKDQRVEIIANDQGNRITPSWVGFTDNERLIGEAAKNQAASNPERTIFDVKRLIGRKFDDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILIKMKETAEAFLGKTIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRRECERAKRALSSQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVTIQVFEGERSLTKDNRLLGKFDLTGIAPAPRGTPQIEVTFEVDANGILNVKAEDKASGRSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLESEEKEKIETATKEALEWLDDNQNAEKEDYEEKLKEVEAVCNPIVSAVYQRSGGAPGGAGSSDEDDDSHDEL >itb13g04590.t1 pep chromosome:ASM357664v1:13:5498829:5499919:1 gene:itb13g04590 transcript:itb13g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYPQSPSSSSSSSSPPSSPSSYMTSKRETFTVWMKSLVYHGNGCTVFDSNGEIVYRIDNYNTKCSREVHLMDLHGRVLFSIRQKKLAVFGRWDGYRLGNTEVIDEEILCFRVKRSRNVFRGDSNHYCVNLACDQPEESCYKIIALPGKSTFKIVSRSRLVAEVKQKQATSGVAFGDDVLSLMVEPHVDHSLVMALVTVYGLINQKL >itb13g04590.t2 pep chromosome:ASM357664v1:13:5498829:5499919:1 gene:itb13g04590 transcript:itb13g04590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYPQSPSSSSSSSSPPSSPSSYMTSKRETFTVWMKSLVYHGNGCTVFDSNGEIVYRIDNYNTKCSREVHLMDLHGRVLFSIRQKKLAVFGRWDGYRLGNTEVIDEEILCFRVKRSRNVFRGDSNHYCVNLACDQPEESCYKIIALPGKSTFKIVSRSRLVAEVCPFCHKSCKFLFFPLSLSSFLCV >itb15g02550.t1 pep chromosome:ASM357664v1:15:1553539:1554665:1 gene:itb15g02550 transcript:itb15g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDVVIWNPSTREIKTLSFITVPNKPSNISPVKFTLYKGFGFGLSNNMTWKIVMLWYYGDVDVLSKDSYEIVLVCSRVGDSWTWRQINAVPQLPVSSFQNFYLKGKCYWRVEVPQWLSSGSDRKEFLIWFDLDDEVFGTIELPSKCWGPMLFILVTVMNDTIALVSAPDIENENCIEIWLMNETNNNIDWNKQASIECGESIDLHDYWNPTGGDWDSEYWNPIGIWNLGGFLLVFPTISGFIPDLEHEFDYLPGQDTYIPYLIAIDLATRKRNIIYLTQQRKSVSIVSNSTGYAQIYRVRNINIVEEWKNNVKIFSDTMVCVRGYSESLKFP >itb08g05820.t1 pep chromosome:ASM357664v1:8:4779921:4783060:-1 gene:itb08g05820 transcript:itb08g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLLLLVLLFSCQKISFARDTVSSTEFLKYGDTIVSSGGIFEMGFFSPINSQNIYVGIWYKNIPIRTVVWVANRDTPLSNTSSVALQIISPGWLALVDGNGTDIWHTNTSRQVQNPVAKLLDSGNLVVIDANDDIPESFLWQSFDHPTDTHLPGMKLGKNFVTGLDTTLSAWKSESNPGMGEYELSVDPAGCPQLILRKGGQEVSRWGPWNGLRWSGLPGMIKRTETSEMFVIMNTNEVSFSYNSSTLIRTVLSNDGTVKIYMWSDGRREWNNIRKIPTDVCDEYRLCGAYESCDFNNNPICGCLDKFLPRDPRAWGRRDYSGGCVRRTPLECQNTSSDGFVKYSGVKLPDTEFSWFNSSMNLQECEQVCFNNCSCMAYSSLDISNGQNGCLLWFGDLIDIKVLPVDGQDLYIRMASSDLDYPSTSKDKKSKMIKLTSSILAGILLGLSLSSTLGVLDDGKEIVVKRLSKTSKQGLGEFKNEVNSIAKLQHRNLVKLLGWCIQGEEKMLIYEYMSNKSLDSYVFDNRRSALLDWPKRFNIINGIARGLLYLHQDSRLKIIHRDLKASNILLDIDMNPKISDFGLARSIELNEIGANTNRVAGTLGYMSPEYAGHGIFSIKSDVFSFGVLVLEIVSGKRNREFSHHQDHYENLLGHAWKSHRNGRSIELVEDHLAEPQDLPQVLRSIQVGLLCVQHSPEDRPSMSSIVHMLANDVQLPIPKEPGFFIEKRVIEPNSSDTEKSCSINEVTISVLNPR >itb09g29310.t1 pep chromosome:ASM357664v1:9:30003929:30004216:1 gene:itb09g29310 transcript:itb09g29310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGYEKECCQVYSTVCRGVLDDCMSILGVEKLSIEEVQRIEWRSLDEKMEKWIYAVKIVVRVLLSAEKQLCEQIFNGSELTKEICFIETTKGV >itb13g18800.t1 pep chromosome:ASM357664v1:13:25699226:25700885:1 gene:itb13g18800 transcript:itb13g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2 C-terminal RRM only like 1 [Source:Projected from Arabidopsis thaliana (AT1G37140) UniProtKB/TrEMBL;Acc:Q4PT05] MATLNPLAQEWRPQGHSSCSSLFTYLKIGHVNILPAPAPVVGFPAAEGLAPQWHQFYHVPYDHFSLHQSQRDGGPAAVKKASPPPSTRRRDLKKVALLPPRLRAAEKSKIWRRKVKPEIGVSAADRGGSSSPVSGKTTVMIRNIPNQYQRDSFMAFLDEYCLESHLQYDFLYLPMDFKTNNNVGYAFVNFTTASAAAKIRERLQNHAWGVLRTPNGYFTSKKICVVAWARIQGRKELVKHFQKSNFICSTPDFLPAVFSPPRNGLPNCSEPKPIGNLMGNVRSPSKA >itb08g10040.t1 pep chromosome:ASM357664v1:8:9465697:9466477:-1 gene:itb08g10040 transcript:itb08g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNPQPKREKQMEGKIRERERRAFTARMIDGLRQHGNYNLPAGASMNQVLVAVVSEAGYTVDSDGTTYRPPPPSNVVSNIKLKHQCLATSVKDVMILRVSAPPNGARQHFLH >itb11g10980.t2 pep chromosome:ASM357664v1:11:7815496:7820661:-1 gene:itb11g10980 transcript:itb11g10980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAYLTDNGVNLLEKRFLPALEKMGKICHLYLTRDHAFFLHNLLNGDGIHSIAQFRKEALFDDYRISSQNEDRIAFAIDLSLLQRALRSIISIYTEFSGNHDGNPGGNAPNRLQIKLVKKLPPHSQQAMPFLTFETKGYKSAVIQDVPISKPLSRSDVLELQTALDVAQDLPPTLVQVPDMNQLQNFVDRMKHVGDVLGVSISKYGDLHLQISTALITLGAEFRKLLVIGEQAPVPSWDQDLTAQSRTRMAVEKGDAMTVHVSVKHFFKSLQCHLAKPDCAFYGVSPQSACLTVIFQFFIPGSRQTDKSISLHCRLPVLDPGSN >itb11g10980.t1 pep chromosome:ASM357664v1:11:7815496:7817317:-1 gene:itb11g10980 transcript:itb11g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAYLTDNGVNLLEKRFLPALEKMGKICHLYLTRDHAFFLHNLLNGDGIHSIAQFRKEALFDDYRISSQNEDRIAFAIDLSLLQRALRSIISIYTEFSGNHDGNPGGNAPNRLQIKLVKKLPPHSQQAMPFLTFETKGYKSAVIQDVPISKPLSRSDVLELQTALDVAQDLPPTLVQVPDMNQLQNFVDRMKHVGDVLGVSISKYGDLHLQISTALITLGAEFRKLLVIGEQAPVPSWDQDLTAQSRTRMAVEKGDAMTVHVSVKHFFKSLQCHLAKPDCAFYGVSPQSACLTVIFQFFIPGSRQTDKSISLHCRLPVLDPGSN >itb02g05590.t1 pep chromosome:ASM357664v1:2:3422359:3425692:-1 gene:itb02g05590 transcript:itb02g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNYVFIILVVCCILSLVSQANVAAPAGSNGFRVRAVNLGGWLVTEGWIKPSLFDAIPNKDLLDGTMVQFKSVTVGRYLSTESSSGTILVANETVSSKLTVFRLWRINETTFNLRASNNQFVGLDMTNGGAGVNLVVVESTPGISETFLILRNFDDPNRVRIKASNGFFLQVRTRELVTADSKENEGWGDDDPSIFIMTIFGGFQGEFQITNGYGPLMAPKVMKEHWETFIVEEDFKWISNNGLNAVRIPVGWWIARDPNPPKPFVGGSLKALDNAFLWAKEYGLKVIIDLHSAPRCQNGLAVGATRDGSLEWGIDSVDQTVDVIDFLTARYAENPSLYAVQLMNEPVLWNSLETLMWYYQRGYEAVRKHSSTAYVVMSVRMGVQNVTELLPFAAGYERSVIDVHLYNLAYWTVQETIDFLNTYSKDMLSAVTTSNGPLSFVGEWVTEWLVPNATKEEYQRFAKAQLEVFDRATFGWAYWTLKNVINHWSLEWMINNGYINLTTTSITPSPIISTSPSSI >itb02g03560.t1 pep chromosome:ASM357664v1:2:2102219:2104567:1 gene:itb02g03560 transcript:itb02g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSLIPSSFLFIFLLLCSLLSVCRVSSSTVSVEEEPESFIVFMSKSKKPQAFSTHHQWYSSIIHTLSPLSNHSSELLYTYDRVANGFSARLTPSQASELRNVPGVISVLPDHIHQLQTTRTPQFLGLSDSDPSGLWQISDYGADIIVGVLDTGIWPERASFSGDGLSPRPDSWLSKCQAGEDFPATSCNNKIIGARVFYQGNVAATGKAMDESSLSPRDTNGHGTHVASTAAGSIAQNANLLGYAPGEARGTAVKAKIAVYKVCHDSGCSDSDILAAIDEAVKDGVDVLSLSIGGASYRPYSEDPIAIGGFGAVKNGVNVVCAAGNDGPNAYTASNIAPWILTVGASTIDREFPAVVTLGDGRTFIGTSLYSGEPPGANLVPVVYGGDANSVNCHPGQLDASKVNGKIVFCEADDVTSVVDKGVVVKLAGGLGMIIPNPPPYGYELVANADMIPTAVVTAPDGDIIREYVRPSPLTATAKIESKGTVTSPSAPRVAAFSSRGPNVLTPEILKPDVIAPGVNILAAWTGAQSPSQSSADDRRTEFNIISGTSMACPHVSGLAAMLKKVHPNLSPAAIKSALMTTSYTRDKSGNSLIDLSTGIPSVPYYHGSGHVDPTKAADPGLVYDTGINDYVDFLCTIGYDSQKIALFLRDAGPPVDCSTRKLGNPGSLNYPSFSVVFSDNLRTVTYKRTVKNVGKIKNPVYNVAVDIPSDVLVTVSPTSLVFSEGNDILSYEVTFTSVTPVATVFGSLAWSDGTHVVNSPIAVMDLAAKGTVALQSEI >itb07g13410.t1 pep chromosome:ASM357664v1:7:15633964:15639797:1 gene:itb07g13410 transcript:itb07g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTKLLYIVVVDDEDGKREKDSFRYTRSVLQSTLQLMGCKPRHAFKISQRVMEKMRNKSTSDSLVSVCGYKSEQDTLNQLQEDDYHNNISACLDRANDGAKSEPFELYKRRTTVVVRRKTFLDVICDALTEYKYVGPNQRADLTLACRIRERKESITVLLCGTSGCGKSTLSALLGSRLGITNVISTDSIRHMMRSFVNEKQNPLLWASTYHAGEYLDPIAVAEAKAKRKAKKLAGYSNPQLQKNDATNQMTSRNSPAEGNPSAVDLISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVFIANEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCNRADKHLVPKINNTNVDRSVAAIHATVFGCLRRREAGEKLYDPITNTVPVVDEEYRNQCAANSLSSKGMLQLIQRKGSSRHLMALFNNDGSVAKAWPVDSVDSNGKPIMENGFGMSMYGPLQIGKAEPVNLQFGHFGISAWLSETGCTSHASSVDESRGDLTDNGSRYYSSCCSSPRFHEGLSKELKEEQSVNGSDEEIDEPPEIDSDEDLSDDGSKHFHEELEGSVDEEFTKSDEEYDDLAMLDIQQGGYSSDRMVPVSGDDQIPKEINELEEIQKENPSCFSRTKSETLLEPPLRRYSSFNREKSEKRAVCNGNVRIKKRSLSIPSLGKHGSMILNSRALHNATSR >itb07g13410.t2 pep chromosome:ASM357664v1:7:15633964:15639797:1 gene:itb07g13410 transcript:itb07g13410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTKLLYIVVVDDEDGKREKDSFRYTRSVLQSTLQLMGCKPRHAFKISQRVMEKMRNKSTSDSLVSVCGYKSEQDTLNQLQEDDYHNNISACLDRANDGAKSEPFELYKRRTTVVVRRKTFLDVICDALTEYKYVGPNQRADLTLACRIRERKESITVLLCGTSGCGKSTLSALLGSRLGITNVISTDSIRHMMRSFVNEKQNPLLWASTYHAGEYLDPIAVAEAKAKRKAKKLAGYSNPQLQKNDATNQMTSRNSPAEGNPSAVDLISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVFIANEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCNRADKHLVPKINNTNVDRSVAAIHATVFGCLRRREAGEKLYDPITNTVPVVDEEYRNQCAANSLSSKGMLQLIQRKGSSRHLMALFNNDGSVAKAWPVDSVDSNGKPIMENGFGMSMYGPLQIGKAEPVNLQFGHFGISAWLSETGCTSHASSVDESRGDLTDNGSRYYSSCCSSPRFHEGLSKELKEEQSVNGSDEEIDEPPEIDSDEDLSDDGSKHFHEELEGSVDEEFTKSDEEYDDLAMLDIQQGGYSSDRMVPVSGDDQIPKEINELEEIQKENPSCFSRTKSETLLEPPLRRYSSFNREKSEKRAVCNGNVRIKKRSLSIPSLGKHGSMILNSRALHNATSR >itb07g06160.t1 pep chromosome:ASM357664v1:7:4331786:4332541:-1 gene:itb07g06160 transcript:itb07g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATIALVSAAICFLAFAGFAAGKPGEKFTVEGRVYCDTCRVKFETKISEYLTGAVVRLDCRNLKTEKETYTEEATTTANGNYTLEVEGDHEEAICDVTVVKSPREDCKQTVPSLEKSQVLCSENAGLHSFVRYANPLFFVPEKPDPRCKKVLEDIGYLPEDPTFTGL >itb14g20640.t1 pep chromosome:ASM357664v1:14:22949372:22952107:-1 gene:itb14g20640 transcript:itb14g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNHCILTEQESSEADGLPATLNSDGDPPKLPIVTPTTTRHKCPACFKQYKEKKHLVEHMKISCHSVHDPKCGVCKKQCKSFESLREHIAGPLSKVCCSRIFAERGCSLCLKIFDSSDCLSQHSDICCLPAPIPIDTTGVPCTEPQIDDNLAVIPENDESDSGHGREAVAISCALVGGGSDGSLDLCARVCLVDEDENIVFHTYVVPHISLTDYRYEITGITEEHMRDAMPLEEVREKILQILYNGESISKVRMGGGRAKALVGHSLNRFLYCLGMHYPDHLLRDTSKYRPLTKTNFVSHSLKYLTKTYLGYDIHDGVHDPYQDSVTAMRLYKRMRSQEHQSFASLAAQRSPGFSAFSSGQLGSMTPDELLAISESNYRCWCLDSGRI >itb03g01680.t1 pep chromosome:ASM357664v1:3:944742:946052:-1 gene:itb03g01680 transcript:itb03g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRGCTLTFITIQPHLSATKLISDDTSIFFSNHPEIKKLNFEILPVTASKSTINVDPFMLQIDAISRSLVKLGPLLSTLDEPVAAMVSDFSIADGLTQIIPDLGIHLYILSTTSARFYSTVAYLPVLLSKNPALFKNLPGDIAIPGLAPIPKSSIPNSWLDNSPTNYVLTAFLLPNAESLCKVSGVFLNTFNWFEPETITALNTGKIRSSLPPVFPLGPLESTEQENCSQWPWLDDKPADSIIYVNFGTREPISRNQIIEIGKGLLISGHSFLWILKDEQRELFGEVFMEGVRDKGKIVKEGRVHQEGILGHPAIAVFMNQCEWDCVMDAARFGVPLLAWPQHGDQKMNAEVVEKAGLGVWVKEWGWGEERLVNGEEIAEKVRMVMENRDVRREARNVREEAEKAIKHCGSEKKLMEFIVASTQKIETASFIEK >itb01g23900.t1 pep chromosome:ASM357664v1:1:29743856:29746912:1 gene:itb01g23900 transcript:itb01g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAKYAPINGGNLLSELKPHFSFIKTQRTKVCVYACVFVFIAFTIFLAFTPSPAPSSPWFTNVFSLTTAAGDADGSVSDESSGSHFSSIFSYFFPNSSQQAHNFTAPPPYIAPASRSNSSSELPSLSKDPEVAKNKSQGNHKVEILKPSNQSAILSSYGLHNQTQGKVSGDKVGVLNSDSNPFEGQKSPANQTVGSLPKSGSGEKNVTGKGENTIAQKGVLRNFTSSLEKKHYNRSSSGQPVRNGSEDLIKSLLKCDFFDGNWVKDESYPLYKPGSCSLIDEQFNCFLNGRPDNDYFKYRWKPNACTLPRLNATHMLEILRGKRLVFVGDSLNRNMWESLVCILRSSVKDQKKVYEKSGRQYFRGEASYSFVFEEYNCTVEFFVSPFLVQEWEIADKKGHKKETLRLDLVAHSADKYNSADILVFNTGHWWTHEKTSKGEDYYQEGSHVYHELNVVEAFRKALTTWGRWVDSHINPAKTFVLFRGYSASHFSGGQWNSGGACDHEVEPIKNETYLTPYPPKMEVLERVLKGMKTRVSYLNVTRMTDFRKDGHPSMYRKQYLSEEERKSPLRYQDCSHWCLPGVPDYWNELLYAELLVKQYQKQQQSRS >itb09g03900.t1 pep chromosome:ASM357664v1:9:2143050:2145659:1 gene:itb09g03900 transcript:itb09g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSQSFFSTLTPHSAAASHKTLDNASSLSLNRSLPFKSHPLSTQCDKTRASFVPKCTANESATKTTETPIELSYPSFPSVVDINQIMDILPHRYPFLLVDRVIEYNPGVSAVGIKNVTINDQFFNGHFPGRPIMPGVLMIEALAQVGGIVMLQPEVGGSRSNFFFAGIDKVRFRKPVVAGDTLVMRMTLTKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSE >itb10g25290.t1 pep chromosome:ASM357664v1:10:28673871:28674471:1 gene:itb10g25290 transcript:itb10g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKFLDDHCARENKKANNVVSAYDFLYLPMDFKKEKSKGYAFVNFTNYRAVWRFFHAFHDKTSVFLESSNSIMVVAAKVQGKEAQVKRFESTIFNCESEAFLPVWFSPARDGSGRAVKNMTVGNCGRKTIFPCSLGN >itb12g14720.t1 pep chromosome:ASM357664v1:12:14880764:14882282:1 gene:itb12g14720 transcript:itb12g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDEPTVRSLNGCRVTDQVLHLVPNIQFFNGCHNMPCWITLWAHSYSGQGSQAKDNIMVHILLPTTDCRLKNDHGQIFDIVLYLKSLKCLRT >itb09g05480.t1 pep chromosome:ASM357664v1:9:3129433:3131468:-1 gene:itb09g05480 transcript:itb09g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRAAQSWELYNQNVLLLVLLLCFHGSSCNPLSNLKGSVFTDKKDNGLLPQISPSAAPEAQPLLPLLAPSPLAPFTNSSVPKLSGRCPLNFAAVENMISVTSIDCAAPFAEYLANVMCCPQLETTLLVLAGQSSKDTNMLALNRTIAEHCLSDLQKILVSRGANDTLQHICTLNLSNLTEGSCPISDVREFEATVDSSSLLAACGKIDLVNECCEQICQNAITEAAGKLVANAYDLLKTDGSHALPNHSAKTDDCKRVVLRWLASKLDPSDAKDVLRGLSNCKNNRVCPLVFPNMGHHIKACEDRISNETACCSAMGSYVSHLQRQSFITNLQALDCASSLGRKLQKAKVTQNVYNLCHISLKDFSVQGQLLFRIPISLSFKM >itb06g22270.t1 pep chromosome:ASM357664v1:6:24634634:24638132:-1 gene:itb06g22270 transcript:itb06g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLFPVLVLSFLLSISYICLGKDLISRTQFLKDGDTIVSNGGTFEMGFFSPNNSSNRYVGIWYKQIPGQTVVWVANRDAPIKNTSSAVLKITLGGQLSLLGEKGQAVWFGNTSRSVQNPVAELLDTGNLVVRDADDENPENFLWQSFDHPTDHWLPGMKLGWNLQTGHEVFYTAWKGENDPASGQYTMHLDPNGYPQVILKNGTTEIFSSGPWNGLYFSVTSVDPSIRSRIHSPNGLVINKKEVYFSNNPTNDLGLYRFHVTSNGIVKVWVWEDGIKQWVINRIHPSDTCGTYGLCGGNGVCNIYQFRFCVCLDNFLPNNNATATQSLSQGCRRRKPLSCHNGSSSDGFLKYSDIKLPDSKHSWYNESMSLQECEQVCLRNCSCMAYSTLNISNGGSGCLIWYEDFVDMRTVQNGQDIYIRLASSEISDPKISDFGLARSLVGNATGDNTKRVAGTHGYISPEYATHGIFSIKSDVFSFGVLVLEIVSGKRNSEFSNEDRYETLPGHAWKFYKEGESLTLVDEHISDSYDVAQVLRSIHVGLLCVQQSPEDRPNMSSVVQMLINDVVLPQPKEPGFFVGRRANVSSSESSSSKHATTSLNEVSMSSLYPR >itb06g22200.t1 pep chromosome:ASM357664v1:6:24588626:24590604:1 gene:itb06g22200 transcript:itb06g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSDRSKLFVGGVSRQTTDETLREHFSKYGTVVSAVIAKDGITGNPRGFAFVSFTEPSAVDNALRDTHEILGRTVEVKKAIPRSEQEQREQHSRGLSRSSRTSGRSDNQFSTKKIFVGGLSASLTEEEFKGYFERFGRIMDVVVMHDNVTHKPRGFGFITFSSEDAVEQVTQKNFHELCGKLVEVKRAVPKDGSSSRSHNYNSSGRGGSFESYQHVIYPPVSPSYRVFPSYGPVTGYESVAGYPYQAGFIGSDYPAGTYSGFVYGAAPFAPRAPWFGSAMVGLRGSSLPYGGPTIYPACLNGGVGVMGVTTTGFYGFTGTGINGKPAQPGSTGGI >itb09g29420.t1 pep chromosome:ASM357664v1:9:30151779:30155268:1 gene:itb09g29420 transcript:itb09g29420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLINVAFGDGEDDRNDDRRNAETRDERSRSTWAEVVSGEQDNDEFSSDRRQGYRREDDYGQHRERYEGSSQEGYGQHSERYGYSRQEDDGRSHWNEKVEQESSDGWETVQKKHPKRQQKVHMDHWDNYKKPADEQDYSNEVEYGVDLEPSREELADLSKACNKLWELDLNRLVPGRDYEIDVGEGKKVHQKQDMAEGNLFSWLSEDVLQKPTYSRFCALLDNYNPREGYKENVTTQEQQEQLAFIEEISRTAPIKYLHKYLSAKRMVSENYQEFKRMLKSLWFDLYGRGGTSGSSSAFEHVFVGEIKQRGEEEVSGFHNWLQFYLEEAKGNVDYQGYIFPRRRGEIPDSETQLLTIQFEWNGVLKSVSSTLVGVSPEFELAIYTLCFFAGGQENHVELGPYSVNIKCYHLGDNIGSAFPVAEC >itb01g25570.t1 pep chromosome:ASM357664v1:1:30978144:30980459:1 gene:itb01g25570 transcript:itb01g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAMLKSCGGGGSNMGFPSSLRRLAHGIAQPAPLDSAIGGPPPTAPPLVLPEFDESIKGDAEDITFINGSMELMAVPKKKVSRHKRGIRNGPKALKPQPVIIRCKVCGRVKLPHFFCCSGIRQDPEQ >itb14g13970.t1 pep chromosome:ASM357664v1:14:16359234:16360385:1 gene:itb14g13970 transcript:itb14g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQFENELYTLLEPTVEGKTLHQIQQSCCFAEQLDFDWGLVTDMLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELSVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVRKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb11g00310.t2 pep chromosome:ASM357664v1:11:137730:142992:1 gene:itb11g00310 transcript:itb11g00310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKFSRLARSLNSSLNFANGIDVRSSFACLNRSYHASDSHSSRDYAARCFWVVGLSSASQRQCIGSSYLSRPLDNWALRSVSFGGSIPAWSLRLYSSSVGGKGNTPGGSHPAISESGMGAGGSDGGEWVLKAREAWQGVVDAVNSTGEKAKEASSEMTPYVQQMLDAHPYLRDVIAPVSGTLLCTLLAWVVMPRFLRRFHKISMEGPATLLSQSSLWRPVPYEQSIWGALEGPVRYLITFMAFTQIAAMVAPTSIASHYIIPAWKCAVILSSVWFLQRWKTNVISRALAGKSIELGDRDRLLTLERISSVGLFAIGIMGLAEACGVPVQSILTVGGVGGIATAFAARDVIGNILSGLSLQISRPFTIGDTIKAGSVEGQVVEMGMTTTSLLTAEKFPVIVPNSLFTSQVGYSEQITCSMACLGHQSSFAH >itb11g00310.t3 pep chromosome:ASM357664v1:11:137730:142994:1 gene:itb11g00310 transcript:itb11g00310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKFSRLARSLNSSLNFANGIDVRSSFACLNRSYHASDSHSSRDYAARCFWVVGLSSASQRQCIGSSYLSRPLDNWALRSVSFGGSIPAWSLRLYSSSVGGKGNTPGGSHPAISESGMGAGGSDGGEWVLKAREAWQGVVDAVNSTGEKAKEASSEMTPYVQQMLDAHPYLRDVIAPVSGTLLCTLLAWVVMPRFLRRFHKISMEGPATLLSQSSLWRPVPYEQSIWGALEGPVRYLITFMAFTQIAAMVAPTSIASHYIIPAWKCAVILSSVWFLQRWKTNVISRALAGKSIELGDRDRLLTLERISSVGLFAIGIMGLAEACGVPVQSILTVGGVGGIATAFAARDVIGNILSGLSLQISRPFTIGDTIKAGSVEGQVVEMGMTTTSLLTAEKFPVIVPNSLFTSQVGNFPYHPSHIYLLKGAKKDAEFGATSYSLLYVSFRL >itb11g00310.t1 pep chromosome:ASM357664v1:11:137730:142994:1 gene:itb11g00310 transcript:itb11g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKFSRLARSLNSSLNFANGIDVRSSFACLNRSYHASDSHSSRDYAARCFWVVGLSSASQRQCIGSSYLSRPLDNWALRSVSFGGSIPAWSLRLYSSSVGGKGNTPGGSHPAISESGMGAGGSDGGEWVLKAREAWQGVVDAVNSTGEKAKEASSEMTPYVQQMLDAHPYLRDVIAPVSGTLLCTLLAWVVMPRFLRRFHKISMEGPATLLSQSSLWRPVPYEQSIWGALEGPVRYLITFMAFTQIAAMVAPTSIASHYIIPAWKCAVILSSVWFLQRWKTNVISRALAGKSIELGDRDRLLTLERISSVGLFAIGIMGLAEACGVPVQSILTVGGVGGIATAFAARDVIGNILSGLSLQISRPFTIGDTIKAGSVEGQVVEMGMTTTSLLTAEKFPVIVPNSLFTSQVIVNKSRAQWRALATKVPLHIEDFEKIPQISEDIKNMLKSYPNVFLEKEVPYCFLSKLERTYAELTLGCNLKYMSKDKMFSTEQDILLQAARIIKQHGATLADLH >itb10g24410.t1 pep chromosome:ASM357664v1:10:28253157:28254278:1 gene:itb10g24410 transcript:itb10g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGRRLKQERSSGDQGHRPPARLTGDQEFSVMVSALKNVISGNAVTAEENVDLFSSFEFLAAATAGDSSTRAAAELPPFLSVPEGNTCQFCGISGCLGCNFFGPTEAGEDKKNNKNVAKKKKNFRGVRQRPWGKWAAEIRDPHKAARVWLGTFNTAEEAARAYDKKAIEFRGPRAKLNFPFADYTSSNPHQLLQRSASTPSSHLRRPMPPPQQQQEISVKMSNLAAKMEVGTSSNDNELWKFGEDEVQDCWTMMMDFNGDSSDSAGGYVQPSDHQSC >itb01g16740.t1 pep chromosome:ASM357664v1:1:21191336:21192260:-1 gene:itb01g16740 transcript:itb01g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIGVNYQPIASRKGNGFLQLLSAKLKILKAASVLRASNSSKIMEVASQVALWVDDASVGDLLIPSVSSTSEQWNLSKDWYLPFIHKQMNRLVCLCDCSLTEEVVSYYLLCVVQTPYQYDVDIVMIMLEEFLLQGKSPGFDNPPRRSKGDFAFTTTMPKENSK >itb03g25190.t1 pep chromosome:ASM357664v1:3:24117663:24122034:1 gene:itb03g25190 transcript:itb03g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQQVLPLKSASILCVYRISCFFPVSFKHVKNPIQFKMMVCVPSVVQPSRLGQHDINSVECFENDVESFAEENLSSSRNGEEVSCELYNESISWLCKEGDIDKAMAMLAEMEAIGFHPNAFSYAGLITALGSVGRTLEADAIFKEMLVSGIRPRVKVYNVLLRSFLKKGLLNLADKVTEALSWSGVRGNRETYKILLDYYVCAGRLNDTWSIIAKMRSEGYELNSYVYSKVIELYRDNGMWKKALAIVGEIQEMGLQLDNRIYNCIIDTFGKYGELGEALEVFKKMQQEAIEPDIRTWNLLIGWHCKYGKLDKAIDLFNRMLEQGLYPDPKIFVVIITRLGEQGRWDVIKKNFENLQCLGHHKSGAIYAILANIYGQYGKCQDPEDCINALKSAGLQLSPSLFCLLANAYAQQGLCEQTVKVLQIMESDGMEPNLIMLNVLINAFGTAGRHMEALSIYHHMKEIGISPDVVTYSTIMKALMRARKFDQVPKIYGEMESAGCTPDRKARELLKAAAMVLQQRHH >itb02g16960.t4 pep chromosome:ASM357664v1:2:12951590:12969096:1 gene:itb02g16960 transcript:itb02g16960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQAIAIANCFMNEGPTLIVCPAILRYSWAEELERWLSCLPSDIHLVFGHQDNPSHLSRCPRFVVISYTMLKRLRRSILKHEWAILIIDESHHLRCSKKKSEPEEIKAVLDVAVNIKHLILLSGTPSLSRPRLLGKTKYEFAKTYCSIKLVKGCQGKAYHDFSKGIRLEELHMLLKQTVMIRRLKEHVLVQLPPKRRQIIRLVLKKSDIANAMASTRVSSGNAPSLDASGTDAESTVVDIPDKTAGNSGNQECTAKLSEQELGIAKLSGFCEWLSIHPVIAEIGDEEAIGANSSSQKMIIFAHHHKVLDAVQEFISEKGINYVRIDGNTPAFDRQSAILSFQNSIEVLVKIAIVGILAGGSGLNLSAAQNVVFLELPKEPAHMQQAEDRAHRRGQTNAVNIYIFCAKDTADEIRWQSLNRSLHRVSSTMNGKYDALQEIEVDDVSYLGTNGKIKEKRKNFIPNEEKNEVCLLQPTKIQNPCYNESDKMVTESNQEQNESAVYISSSQSNDLEQAVTKSKIDTLGCTSDESDSEDSDRNQEEKLNVALVESKVHDCGSVKRIESNNGSLIHLKSLRFEVSPYTGRIHLYSCIPGKDTRPRPLFENFRQEELDLLHASVDDKEKAYKCIKDDPAYSHVLQSFVNEWNSLRPIKQKKLMGKPLQLPLSTELCYLNENINYDNEGLLKGRSKKRTTPLDEISHPLPSNATWKSVRLVGSNKKERVYTQGWSDKDEPLCKLCQSVCKKSNAKEPEYFEDLFCSLDCHEEYRLRTSRRFIRERLSEIEHGICSSCKLNCHKLVKHIRPLLHDKREEHIKKVAPQIAKRKKLLQRLVAEPNEGNAWHADHIIPVYKGGGECLLENMRTLCVACHADVTAAQHNERRKERLKAKKHLRNIMRDLKTVVMPDQVENKTEDSRLSIDREDVEDDHLLINIPGSAYSGSSSSTTGNQGKASDS >itb02g16960.t2 pep chromosome:ASM357664v1:2:12951093:12969096:1 gene:itb02g16960 transcript:itb02g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGTKEEEITEEQRKRAEANRLAALAKRKANQEAAWKLLKCQKPSSQSSPATAVLSKTNPASAPESSTPPLERFRVMLEICSPDSFSVTPQPVQGFPYPGEEVCFQKLNELLFCAVPSHYTQNTGGGRGCVYKLPDYEAVLRSIKSCKDIECEEIPWGTLNVVERLSHSFNAGRWIPCRPEHLPDEKVDELISELPKTLLDTLLPFQLEGIRFGLRRGGRCLIADEMGLGKTLQAIAIANCFMNEGPTLIVCPAILRYSWAEELERWLSCLPSDIHLVFGHQDNPSHLSRCPRFVVISYTMLKRLRRSILKHEWAILIIDESHHLRCSKKKSEPEEIKAVLDVAVNIKHLILLSGTPSLSRPRLLGKTKYEFAKTYCSIKLVKGCQGKAYHDFSKGIRLEELHMLLKQTVMIRRLKEHVLVQLPPKRRQIIRLVLKKSDIANAMASTRVSSGNAPSLDASGTDAESTVVDIPDKTAGNSGNQECTAKLSEQELGIAKLSGFCEWLSIHPVIAEIGDEEAIGANSSSQKMIIFAHHHKVLDAVQEFISEKGINYVRIDGNTPAFDRQSAILSFQNSIEVLVKIAIVGILAGGSGLNLSAAQNVVFLELPKEPAHMQQDTADEIRWQSLNRSLHRVSSTMNGKYDALQEIEVDDVSYLGTNGKIKEKRKNFIPNEEKNEVCLLQPTKIQNPCYNESDKMVTESNQEQNESAVYISSSQSNDLEQAVTKSKIDTLGCTSDESDSEDSDRNQEEKLNVALVESKVHDCGSVKRIESNNGSLIHLKSLRFEVSPYTGRIHLYSCIPGKDTRPRPLFENFRQEELDLLHASVDDKEKAYKCIKDDPAYSHVLQSFVNEWNSLRPIKQKKLMGKPLQLPLSTELCYLNENINYDNEGLLKGRSKKRTTPLDEISHPLPSNATWKSVRLVGSNKKERVYTQGWSDKDEPLCKLCQSVCKKSNAKEPEYFEDLFCSLDCHEEYRLRTSRRFIRERLSEIEHGICSSCKLNCHKLVKHIRPLLHDKREEHIKKVAPQIAKRKKLLQRLVAEPNEGNAWHADHIIPVYKGGGECLLENMRTLCVACHADVTAAQHNERRKERLKAKKHLRNIMRDLKTVVMPDQVENKTEDSRLSIDREDVEDDHLLINIPGSAYSGSSSSTTGNQGKASDS >itb02g16960.t3 pep chromosome:ASM357664v1:2:12951088:12969096:1 gene:itb02g16960 transcript:itb02g16960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGTKEEEITEEQRKRAEANRLAALAKRKANQEAAWKLLKCQKPSSQSSPATAVLSKTNPASAPESSTPPLERFRVMLEICSPDSFSVTPQPVQGFPYPGEEVCFQKLNELLFCAVPSHYTQNTGGGRGCVYKLPDYEAVLRSIKSCKDIECEEIPWGTLNVVERLSHSFNAGRWIPCRPEHLPDEKVDELISELPKTLLDTLLPFQLEGIRFGLRRGGRCLIADEMGLGKTLQAIAIANCFMNEGPTLIVCPAILRYSWAEELERWLSCLPSDIHLVFGHQDNPSHLSRCPRFVVISYTMLKRLRRSILKHEWAILIIDESHHLRCSKKKSEPEEIKAVLDVAVNIKHLILLSGTPSLSRPRLLGKTKYEFAKTYCSIKLVKGCQGKAYHDFSKGIRLEELHMLLKQTVMIRRLKEHVLVQLPPKRRQIIRLVLKKSDIANAMASTRVSSGNAPSLDASGTDAESTVVDIPDKTAGNSGNQECTAKLSEQELGIAKLSGFCEWLSIHPVIAEIGDEEAIGANSSSQKMIIFAHHHKVLDAVQEFISEKGINYVRIDGNTPAFDRQSAILSFQNSIEVLVKIAIVGILAGGSGLNLSAAQNVVFLELPKEPAHMQQVDDVSYLGTNGKIKEKRKNFIPNEEKNEVCLLQPTKIQNPCYNESDKMVTESNQEQNESAVYISSSQSNDLEQAVTKSKIDTLGCTSDESDSEDSDRNQEEKLNVALVESKVHDCGSVKRIESNNGSLIHLKSLRFEVSPYTGRIHLYSCIPGKDTRPRPLFENFRQEELDLLHASVDDKEKAYKCIKDDPAYSHVLQSFVNEWNSLRPIKQKKLMGKPLQLPLSTELCYLNENINYDNEGLLKGRSKKRTTPLDEISHPLPSNATWKSVRLVGSNKKERVYTQGWSDKDEPLCKLCQSVCKKSNAKEPEYFEDLFCSLDCHEEYRLRTSRRFIRERLSEIEHGICSSCKLNCHKLVKHIRPLLHDKREEHIKKVAPQIAKRKKLLQRLVAEPNEGNAWHADHIIPVYKGGGECLLENMRTLCVACHADVTAAQHNERRKERLKAKKHLRNIMRDLKTVVMPDQVENKTEDSRLSIDREDVEDDHLLINIPGSAYSGSSSSTTGNQGKASDS >itb02g16960.t1 pep chromosome:ASM357664v1:2:12951062:12969096:1 gene:itb02g16960 transcript:itb02g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQAIAIANCFMNEGPTLIVCPAILRYSWAEELERWLSCLPSDIHLVFGHQDNPSHLSRCPRFVVISYTMLKRLRRSILKHEWAILIIDESHHLRCSKKKSEPEEIKAVLDVAVNIKHLILLSGTPSLSRPRLLGKTKYEFAKTYCSIKLVKGCQGKAYHDFSKGIRLEELHMLLKQTVMIRRLKEHVLVQLPPKRRQIIRLVLKKSDIANAMASTRVSSGNAPSLDASGTDAESTVVDIPDKTAGNSGNQECTAKLSEQELGIAKLSGFCEWLSIHPVIAEIGDEEAIGANSSSQKMIIFAHHHKVLDAVQEFISEKGINYVRIDGNTPAFDRQSAILSFQNSIEVLVKIAIVGILAGGSGLNLSAAQNVVFLELPKEPAHMQQAEDRAHRRGQTNAVNIYIFCAKDTADEIRWQSLNRSLHRVSSTMNGKYDALQEIEVDDVSYLGTNGKIKEKRKNFIPNEEKNEVCLLQPTKIQNPCYNESDKMVTESNQEQNESAVYISSSQSNDLEQAVTKSKIDTLGCTSDESDSEDSDRNQEEKLNVALVESKVHDCGSVKRIESNNGSLIHLKSLRFEVSPYTGRIHLYSCIPGKDTRPRPLFENFRQEELDLLHASVDDKEKAYKCIKDDPAYSHVLQSFVNEWNSLRPIKQKKLMGKPLQLPLSTELCYLNENINYDNEGLLKGRSKKRTTPLDEISHPLPSNATWKSVRLVGSNKKERVYTQGWSDKDEPLCKLCQSVCKKSNAKEPEYFEDLFCSLDCHEEYRLRTSRRFIRERLSEIEHGICSSCKLNCHKLVKHIRPLLHDKREEHIKKVAPQIAKRKKLLQRLVAEPNEGNAWHADHIIPVYKGGGECLLENMRTLCVACHADVTAAQHNERRKERLKAKKHLRNIMRDLKTVVMPDQVENKTEDSRLSIDREDVEDDHLLINIPGSAYSGSSSSTTGNQGKASDS >itb02g16960.t5 pep chromosome:ASM357664v1:2:12951088:12969096:1 gene:itb02g16960 transcript:itb02g16960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGTKEEEITEEQRKRAEANRLAALAKRKANQEAAWKLLKCQKPSSQSSPATAVLSKTNPASAPESSTPPLERFRVMLEICSPDSFSVTPQPVQGFPYPGEEVCFQKLNELLFCAVPSHYTQNTGGGRGCVYKLPDYEAVLRSIKSCKDIECEEIPWGTLNVVERLSHSFNAGRWIPCRPEHLPDEKVDELISELPKTLLDTLLPFQLEGIRFGLRRGGRCLIADEMGLGKTLQAIAIANCFMNEGPTLIVCPAILRYSWAEELERWLSCLPSDIHLVFGHQDNPSHLSRCPRFVVISYTMLKRLRRSILKHEWAILIIDESHHLRCSKKKSEPEEIKAVLDVAVNIKHLILLSGTPSLSRPRLLGKTKYEFAKTYCSIKLVKGCQGKAYHDFSKGIRLEELHMLLKQTVMIRRLKEHVLVQLPPKRRQIIRLVLKKSDIANAMASTRVSSGNAPSLDASGTDAESTVVDIPDKTAGNSGNQECTAKLSEQELGIAKLSGFCEWLSIHPVIAEIGDEEAIGANSSSQKMIIFAHHHKVLDAVQEFISEKGINYVRIDGNTPAFDRQSAILSFQNSIEVLVKIAIVGILAGGSGLNLSAAQNVVFLELPKEPAHMQQAEDRAHRRGQTNAVNIYIFCAKDTADEIRWQSLNRSLHRVSSTMNGKYDALQEIEVDDVSYLGTNGKIKEKRKNFIPNEEKNEVCLLQPTKIQNPCYNESDKMVTESNQEQNESAVYISSSQSNDLEQAVTKSKIDTLGCTSDESDSEDSDRNQEEKLNVALVESKVHDCGSVKRIESNNGSLIHLKSLRFEVSPYTGRIHLYSCIPGKDTRPRPLFENFRQEELDLLHASVDDKEKAYKCIKDDPAYSHVLQSFVNEWNSLRPIKQKKLMGKPLQLPLSTELCYLNENINYDNEGLLKGRSKKRTTPLDEISHPLPSNATWKSVRLVGSNKKERVYTQGWSDKDEPLCKLCQSVCKKSNAKEPEYFEDLFCSLDCHEEYRLRTSRRFIRERLSEIEHGICSSCKLNCHKLVKHIRPLLHDKREEHIKKVAPQIAKRKKLLQRLVAEPNEGNAWHADHIIPVYKGGGECLLENMRTLCVACHADVTAAQHNERRKERLKAKKHLRNIMRDLKTVVMPDQVENKTEDSRLSIDREDVEDDHLLINIPGSAYSGSSSSTTGNQGKASDS >itb10g01730.t1 pep chromosome:ASM357664v1:10:1426906:1427970:1 gene:itb10g01730 transcript:itb10g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFCGDDQAFAVDDEAYIINGMHDKLSFRALLSLCLNHEEQTALLHLLPPQLMSTAATSNFNCLPYDVYPPMPENVAFGSLTAFPPTTLIRNLQCGGGDLELKFSKMPRQEFSTHQFGSYGYGYGYNNNNSAVISTEPSNFFADVTCDYGFRHLPDLRSLETQQQQPFPLNTEFGFRPPRNIGGYILDKPTVNYQPPASRRQPHREPRKAAKTESRSCKLARERRQKQCEKIRYLQKLMPWDTKMDTARMLEEAYKYVKFLQAQVRVLQNMPARGALPSPNTTPALHRPLASLSRQQLLEILVNSPAAQTFLYSRGCCVYSVEQLALLGKVVDRKALFEQMLIASASMLRS >itb13g20400.t1 pep chromosome:ASM357664v1:13:27205445:27211863:-1 gene:itb13g20400 transcript:itb13g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYKSLNVIHKSANSRVVTVYLNRPARFNALSRDFFTEFPKALASLDESPNVAVIVLAGAGKHFCSGIEVDTLGSVAGESQSSDPGRTREKLRRDIKFMQDAVTAIERCRKPVIAAVHGACIGGAIDIITACDIRYCAADAFFSVKEVDLALAADLGTLQRLPSIVGFGNAMELSLTGRRFSGSEAKELGLVSKVFGTKEALEEGVRAIAEGIAAKSPLAVIGTKAVLLNTRDLTVEQGLDYVATWNSAVLPSDDLKEAVSAQREKRKPKSPFLINSQKTLHCPSPPLPGGENDGKRGDRKKKFVVMGHRGSGMNMPGFSDRRMRAIRENTLRSFINAGKFDLDFVEFDVQVTKDGCPVIFHDVFLFTEEKGEIVEKRVTDLTLDEFLSYGPQRNEQNVRKPLYRRTKDGRIVEWEVEDDDHLCTLKDVFQQISPSLGFNIELKFDNNRAYTEEELDRVIRVTLQVVFEHGEERLIMFSSFQPDAALLIRKLQNVYPVFFLSNGGNEIHSDIRRNLLDEAMKLCLGGGLQGIVCEMGVEGVIVDVVEEIIGAVSEFKESDSGEKRHLCREKLKKMAHCTEEEISSLFRLVPELMQQCCSSSQ >itb03g03630.t1 pep chromosome:ASM357664v1:3:2126263:2130018:-1 gene:itb03g03630 transcript:itb03g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSLRCCNAELDNGICKEKIEVENQEESEPGRAGKPPRNRPMMHHSISQAALTAHTIGFKPPASDNPGVFPVFRSGSCSEMGPKTYMEDEFICVDDLHQHLDVTRKFPSPGAFYGVFDGHGGVDAASYTRKNLLNLIVEDSDFPLAMKKAIRNAFLKADHELADTKSLDSSSGTTALTALMLGRTLLIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGSIYDGYLNGQLSVARALGDWHIKGPKGSKCPLSSEPEFEELVLTEEDEFLIMGCDGLWDVMSSQYAVTIVRKELMLHNDPVKCSRTLVREALKRNTCDNLTVLIVCFSPDPPPQIVIPRSGRRRSISAEGIDVLKGVLGGT >itb11g21320.t1 pep chromosome:ASM357664v1:11:23059868:23062307:1 gene:itb11g21320 transcript:itb11g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFMHYFSLFFPLFIFILFLYKLLFPSPKDTKNSPPSPPKLPILGHILQLGTHPHRHLHQLSNHYGPLMLLHFGSVPVLVVSSPTAAREIMKTHDSIFSDRPKSSITDRLFYGSKDVAFAPYGEYWRQVRSICVLHLLSNKRVQSFRKVREEETQLMVQKIKQSCGSPINLTDILLWLNNNIISRVALGRKYCTEEHGKKDIMGLLEEYMKVLGVVDIGDYIPWFAWVNKINGFDRRVEKLAKELDEFIEGVVEEHSRVEKHEADGLDLVDILLQIQREDKIGFPIHRETVKAIILDMFAAGTHTSYTVLEWAMAELIKNPKIMKKLQNEVRTKFKTYEDIETMQYLNAVIKETLRFHSPIPLLVPRKTIQDVKVMGFHVAAGTHVLVNSWGIGRDPTIWENSEEFKPERFLNSNVDYKGMHFELIPFGAGRRGCPGLAFGVTTVELALATLIREFDFALGEEKLDMNEGIGITCHKKIPLVVIATPMVS >itb08g17660.t1 pep chromosome:ASM357664v1:8:20419941:20422788:1 gene:itb08g17660 transcript:itb08g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPLHYFLFLLPLLLPYPTFTTASSPFPDPEVIVQEVNEKINNATISARRKLGFLSCGTGNPIDDCWRCDPNWDKNRQRLADCAIGFGKHAIGGRDGKIYVVTDSGDHPVNPKPGTLRYGAIQDEPLWIIFGRDMVIKLKEELMLNSFKTLDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAYVRDSPDHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDAIHASTAITISNNYMTHHNKVMLLGHSDTLIADKNMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPNDRFNKEVTKHEDAAESEWKKWNWRSEGDLMLNGAFFTRSGAGASSSYAKASSLSARPSSLVSSLTGNAGSLTCRKAKRC >itb05g22760.t1 pep chromosome:ASM357664v1:5:28061579:28063601:-1 gene:itb05g22760 transcript:itb05g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKDEASSSSHVLNPPREETPLLSNNQNHLSSQTKTFANIFIAVVGAGVLGLPYAFKRTGWVMGALTLSIVAFCTYYCMMLLVYSRRKLETHSKSPKISSFGDLGFAVCGPVGRFAVDAMIVLSQAGFCVGYTIFISNTLSYLFNPVSVTNPNPKILGVLPKTLYLWGCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEDVLVYLKNRPVLHTFGGLSVFFYGFGVAVYAFEGIGMVLPLESEMREKSKFGTTLGLTMGFISLMFGGFGALGYFAFGEETQDIITTNFGQGLLSCIVQIGLCINLFFTFPAMMNPVYEVMERRLCEGKYSLLVRWGMVLGVSLVALLVPNFADFLSLVGSSVCVVLGFVLPAMFHLIVHKEELGVLGFACDGVIIVLGIVFAVYGTWSSLVVIFGTSA >itb04g20760.t1 pep chromosome:ASM357664v1:4:25736932:25737222:1 gene:itb04g20760 transcript:itb04g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALHHHFPASTPADHHHQRTTVAVDGRRRRKKTPPPVTMLPPSTPWQHRHCNAGDEGVHHCYHRTSPSPPAREITSPSRFYGPPEEETEVHRCYS >itb02g09620.t1 pep chromosome:ASM357664v1:2:6181127:6181504:1 gene:itb02g09620 transcript:itb02g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWIIAFICIFVLIVISQFICIVIAVSRKKKAENERNNGGRDGNMVVLAGAAVAGGAAAATVTAVAESSESNAAADEEKRKEDRVEIDVGPVAEATVGCCCGGGGGGCDCGGGGGGCGGGCGGD >itb13g15910.t2 pep chromosome:ASM357664v1:13:22819596:22824013:1 gene:itb13g15910 transcript:itb13g15910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIATALCLPFSSSHSAKSYSKKYVRGGFGVFAMYGEEGALVDKKSPWLTIFNVEDPRSKVPQCKGKFLDVNTALEVARYDIQYCDWKARQDVLTIMLLHEKVVEVLNPLARDYKSIGTMKKELADLQGELTQAHKQVHISEARVSAALDKLAHMEALVNDKLLQDQNTAETDCLSSSTSMPIRSLDTVKSNPSRRNLNVSGPVQHYSDHLKNFWYPVAFSSDLKDDTMIPIDCFEEPWVIFRGKDGKPGCVQNTCAHRACPLHLGSVNDGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKLKALPCFEQEGMIWIWPGDDPPEATLPSLLPPPGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPESGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKQCSTHLHQLHVCLPSSRQKTRLLYRMSLDFAPLLKHIPFMQYLWRHFAEQVSSILNTGNSYYCIYISNLFRKNNAISPS >itb13g15910.t1 pep chromosome:ASM357664v1:13:22819596:22824676:1 gene:itb13g15910 transcript:itb13g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIATALCLPFSSSHSAKSYSKKYVRGGFGVFAMYGEEGALVDKKSPWLTIFNVEDPRSKVPQCKGKFLDVNTALEVARYDIQYCDWKARQDVLTIMLLHEKVVEVLNPLARDYKSIGTMKKELADLQGELTQAHKQVHISEARVSAALDKLAHMEALVNDKLLQDQNTAETDCLSSSTSMPIRSLDTVKSNPSRRNLNVSGPVQHYSDHLKNFWYPVAFSSDLKDDTMIPIDCFEEPWVIFRGKDGKPGCVQNTCAHRACPLHLGSVNDGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKLKALPCFEQEGMIWIWPGDDPPEATLPSLLPPPGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPESGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKQCSTHLHQLHVCLPSSRQKTRLLYRMSLDFAPLLKHIPFMQYLWRHFAEQVLNEDLRLVIGQQDRMINGANIWNLPVAYDKLGVRYRIWRDAVERGAKQLPFSE >itb13g13570.t1 pep chromosome:ASM357664v1:13:20076029:20081326:1 gene:itb13g13570 transcript:itb13g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFPLTPISSSSHASTPHSPLSPIPMAATPSAAPRIISSFPFPNHHSRITPFISPRNSRKIPLLASNGLQITNPANIKLLGPHPKFLRLGIHKSHSTSKFLAHSVGSDGSSITSTSLSSKVIGVFHLLVSLGLILAMDKYLKQAFVAAAIKFPSALFGMFCTFTVLIALDTVLPAAATGLMNFFEPALLFIQRWLPLFYVPSLVVLPLAVKDIPASSGVKIFGILVGGWLASLCVAGYTAISVRKMVKTEMIAAEPMSKPSPFSSLEAWTWTGILLISFVGALFYPTALGTNARTCLPFLLSSTVLGYIVGSGLPAAVKKILHPIVSCAVSAVLAAAAFGYFSGSGLDPVLGYYLTKASANPGAGDILMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSVIISSLFSLYSTAFIGRLVGLEPDLTVSILPRCITVALALSIVSFFEGTNSSLTAAVVVLTGLVGANFVQTVLDRLGFTDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSVPAVRQSLIAIVG >itb13g13570.t2 pep chromosome:ASM357664v1:13:20076029:20081326:1 gene:itb13g13570 transcript:itb13g13570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFPLTPISSSSHASTPHSPLSPIPMAATPSAAPRIISSFPFPNHHSRITPFISPRNSRKIPLLASNGLQITNPANIKLLGPHPKFLRLGIHKSHSTSKFLAHSVGSDGSSITSTSLSSKVIGVFHLLVSLGLILAMDKYLKQAFVAAAIKFPSALFGMFCTFTVLIALDTVLPAAATGLMNFFEPALLFIQRWLPLFYVPSLVVLPLAVKDIPASSGVKIFGILVGGWLASLCVAGYTAISVRKMVKTEMIAAEPMSKPSPFSSLEAWTWTGILLISFVGALFYPTALGTNARTCLPFLLSSTVLGYIVGSGLPAAVKKILHPIVSCAVSAVLAAAAFGYFSGSGLDPVLGYYLTKASANPGAGDILMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSVIISSLFSLYSTAFIGRLVGLEPDLTVSILPRCITVALALSIVSFFEGTNSSLTAAVVVLTGLVGANFVQTVLDRLGFTDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSVPAVRQSLIAIVG >itb13g13570.t3 pep chromosome:ASM357664v1:13:20076029:20081298:1 gene:itb13g13570 transcript:itb13g13570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQFPLTPISSSSHASTPHSPLSPIPMAATPSAAPRIISSFPFPNHHSRITPFISPRNSRKIPLLASNGLQITNPANIKLLGPHPKFLRLGIHKSHSTSKFLAHSVGSDGSSITSTSLSSKVIGVFHLLVSLGLILAMDKYLKQAFVAAAIKFPSALFGMFCTFTVLIALDTVLPAAATGLMNFFEPALLFIQRWLPLFYVPSLVVLPLAVKDIPASSGVKIFGILVGGWLASLCVAGYTAISVRKMVKTEMIAAEPMSKPSPFSSLEAWTWTGILLISFVGALFYPTALGTNARTCLPFLLSSTVLGYIVGSGLPAAVKKILHPIVSCAVSAVLAAAAFGYFSGSGLDPVLGYYLTKASANPGAGDILMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSVIISSLFSLYSTAFIGRLVGLEPDLTVSILPRCITVALALSIVSFFEGTNSSLTAAVVVLTGLVGANFVQTVLDRLGFTDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSVPAVRQSLIAIVG >itb14g01330.t5 pep chromosome:ASM357664v1:14:1014686:1019770:-1 gene:itb14g01330 transcript:itb14g01330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRSSKKNVVSLQNGHLGSSTSVDELDPWTAWAYKPRTITLLLIGACFLIWASGALDPESTSTSDIIISVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVSLTFLLFQKRDDARQFMKYLHPDLGVELPERSYGGDCRIYISENTTNKFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVGYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPRNPLIIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICIVELLICIKFGHGLFDEPMPKWLVIFWTSAGVGFIIFLSAWSWQLMKRKRQ >itb14g01330.t3 pep chromosome:ASM357664v1:14:1014747:1020533:-1 gene:itb14g01330 transcript:itb14g01330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRSSKKNVVSLQNGHLGSSTSVDELDPWTAWAYKPRTITLLLIGACFLIWASGALDPESTSTSDIIISVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVSLTFLLFQKRDDARQFMKYLHPDLGVELPERSYGGDCRIYISENTTNKFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVGYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPRNPLIIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICIVELLICIKFGHGILSCISFFSKMCPIKYIHFRLIICS >itb14g01330.t6 pep chromosome:ASM357664v1:14:1014747:1019770:-1 gene:itb14g01330 transcript:itb14g01330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRSSKKNVVSLQNGHLGSSTSVDELDPWTAWAYKPRTITLLLIGACFLIWASGALDPESTSTSDIIISVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVSLTFLLFQKRDDARQFMKYLHPDLGVELPERSYGGDCRIYISENTTNKFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVGYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPRNPLIIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICIVELLICIKFGHGILSCISFFSKMCPIKYIHFRLIICS >itb14g01330.t2 pep chromosome:ASM357664v1:14:1014686:1020509:-1 gene:itb14g01330 transcript:itb14g01330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHILIYLCQHHFSWASGALDPESTSTSDIIISVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVSLTFLLFQKRDDARQFMKYLHPDLGVELPERSYGGDCRIYISENTTNKFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVGYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPRNPLIIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICIVELLICIKFGHGLFDEPMPKWLVIFWTSAGVGFIIFLSAWSWQLMKRKRQ >itb14g01330.t1 pep chromosome:ASM357664v1:14:1014686:1020533:-1 gene:itb14g01330 transcript:itb14g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRSSKKNVVSLQNGHLGSSTSVDELDPWTAWAYKPRTITLLLIGACFLIWASGALDPESTSTSDIIISVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVSLTFLLFQKRDDARQFMKYLHPDLGVELPERSYGGDCRIYISENTTNKFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVGYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPRNPLIIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICIVELLICIKFGHGLFDEPMPKWLVIFWTSAGVGFIIFLSAWSWQLMKRKRQ >itb14g01330.t4 pep chromosome:ASM357664v1:14:1014686:1019749:-1 gene:itb14g01330 transcript:itb14g01330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHILIYLCQHHFSWASGALDPESTSTSDIIISVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVSLTFLLFQKRDDARQFMKYLHPDLGVELPERSYGGDCRIYISENTTNKFKNVYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVGYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAYWDKDEWHPLLGPWRFLQVLSLCVVFLTVELNTFFLKFCLWVPPRNPLIIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICIVELLICIKFGHGLFDEPMPKWLVIFWTSAGVGFIIFLSAWSWQLMKRKRQ >itb05g06600.t1 pep chromosome:ASM357664v1:5:7116719:7117584:1 gene:itb05g06600 transcript:itb05g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRRPTLRIHIQMLIPIRAQVHLRKRKKFLKEMMQKYWKMVKPLYICVSKRCDKKLRFSGRLDNTTTARCYNKRMEAIIWWLVNEIEKKTNSSKFSAFAQSESSKKK >itb03g06990.t1 pep chromosome:ASM357664v1:3:5101225:5102519:-1 gene:itb03g06990 transcript:itb03g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MEPQQQQQQYYHQTQAQQGGGNEEGGGSGSKNSNGYLCRQSSTRWTPTTEQIRILKELYYNNGVRSPTADQIQRISAKLRQYGKIEGKNVFYWFQNHKARERQKKRLTASATAPPDAAAFSMQMQRPGVWRSADHCSTFNPPASSSPGMVAVGQIGNYGGYGSTVAMERSFRDCSISAGSNGNGCVMAASQNYGWVGVDHHHHHPYSSSTPYYPFLDKIKSTYDDHETLEEDQQDEDDQKEPETLPLFPVHADHHHSFYGGKPPQDSSNGGGYYTNTDQWFHYDNNGSASRASLELTLNSYNIATSSHHFP >itb15g01110.t1 pep chromosome:ASM357664v1:15:640365:641897:1 gene:itb15g01110 transcript:itb15g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLVVDSVARVNLTQHSLLLLAVLFSLCSSSWRCCGGAAAAQELLKGFKATPDPSVSSFQSLLRDSTGNYSLGFLRVEKTHLALAVVHVRSSESLWTAKINPLPRWSGGTELLFNGSLVVSDPRSGVFWSTYTDGDRVWLSNTSNLQILQGVSVDSVLWQSFDFPTNTLVENQNFTSAMSLVSANGLYSMRLGPDFIGLYAKFGHGSGPGQLYWKHTALQAKAEIINGQGPIYATLKSDGYLGMYQNGSVPVDVQSFNSYQVFVTGVRRLRLEQDGNLKGYFWTGSSWAPDYTAIPDPCELPNACGSYGLCEPGKGCSCLDNATALTASGTCTSPENQDSGDSPENQDSGDLCQAFQSKYKTLRKNGVELPFKELMGYVKMESFEECESACEVNCSCWGAVYSNTSGFCYTLEYPIGTLVRVMDESKMGFFKVREGAGRRKVRVGVWVGLGLLCGAILVVGGVGVGWYKCRKEKRGVGGYGEEEIGIGVGPYKHLGAESFRSIELSKR >itb10g17430.t1 pep chromosome:ASM357664v1:10:23619890:23625402:-1 gene:itb10g17430 transcript:itb10g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSATAPAKDQIVDVRSVVEAVAAAGDDADIDTPLYEVESLCMRCGENGVTRFLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGCCYSLGIQAGDRKMLDRTVVKSESATIKIPELDFEIPPEAQSGSLSTVEGILVRAADGLEALQDERKKVDPKTAEAIDQFLVKLRACATSDSSFTFILDDPAGNSFIENPCAPSPDPLLTIKFYERTPEQQKALGYVVDPSHEVSGGGVSEEGTNNIPEQLQNEPHGAVGAKAGRRAIAQGNSTEIAEALFRYTAPEEVMVFPSTCGACAARCECRMFITNIPYFREVIVMASACDACGYRNSELKPGGSISDKGKKITVLVENVKDLSRDVIKSDSAGVSIPELDLELASGTLGGVVTTVEGLVTKISESLERVHGFTFGDSLEEDKKAKWLDFRARLSKLLSLESPWTLIIDDALSNSFVAPVTDDMKDDKQLTFEEYERSWEQNEELGLNDMDTSVADAAYSSADTAATEKN >itb05g15940.t1 pep chromosome:ASM357664v1:5:23254997:23255572:-1 gene:itb05g15940 transcript:itb05g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQQPESSLPRIRTNDPNKQRSPVQREVSTHQERRGLDHGLQNQPAYHNGYQNSEPGGYQPAYRGGHQNAYRGGYQNDFRGSYQATFRGGSQGANRDDHQATNNGSPGWNRRGGIPNRATAEAEHTVFRGSNRGRTITTTVVNHAYGQPNYSAMVEFENSPKEDPPDRGGASGYEFNFPDIAMEEGDGLG >itb06g14230.t1 pep chromosome:ASM357664v1:6:18833394:18836476:1 gene:itb06g14230 transcript:itb06g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGDINKVWEIKALKRKPKGEEAQKILERIAKQVQPIMRKHSWRVKVLSEFCPKNPALLGVNVGAGINVKLRLRRVNRDEEFLPFNSVLDTMLHELCHNAHSAHNSSFYKLWDELRKECEDLMSKGISGTGEGFDLPGKRLGGFFPQPSLSSLRKTAAAAAEKRAHLKSVLPPGPKRLGGNKSIMGALTPIQAAAMAAERRLQDNIWCASESCNMHGDDDDDDSDPSLKPLNVEPTSVKPKLSSSVYRQPINTIPQKRNREPTNVSFSSSPDDHNVFTSTSESVPSHRNKSCKKVHAEGTSRPQPPYDHKGSDFVDLTDDNSNQRLVPSHDVVHSLENSVAWECSMCTLLNPPFAPICELCQARKPKDSDDKDKMWSCKFCTLDNCTKLEKCAACGEWRYSHGPPVAMPAPNLGT >itb09g29050.t1 pep chromosome:ASM357664v1:9:29710388:29715948:-1 gene:itb09g29050 transcript:itb09g29050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGAISDAGDFVWEQLVLIWGEIKAFKVPLIAQILNIAVLICFVMTTLLFVEWVYMGVVITVVKLFRRTPEKRFKWQPLEEDAELGNASFPRVLVQIPMYNEKEVYKLSIGAVCGLSWPSDRIIVQVLDDSTDPTIKEMVEMECQKWASSGINIKYEIRDNRYGYKAGALSEGLKHSYVRECDYVVIFDADFQPEPDFLRRTIPFLVHNPDLALVQARWEFVNADECLMTRMQEMSLNFHFTVEQEVGSSAYAFFSFNGTAGVWRIAAIDEAGGWNHRTTVEDMDLAVRASLKGWKFLYLASLRVKSELPSTFKALRFQQHRWSCGPANLFRKMFVEILRNKNVNFWKKIYIIYSFFFVRKVIAHVGTFVFYCVILPATCLVPDVDVPKWGTVYIPSIVTILNIVATPRSFHLLAFWILFENVMAVHRAKATFAGLLGIGRVNEWVVTEKLGEAPKLKAATEAPTKPRFKITERMYFLELAMGVYLLICGSYDLVFGKRQYCWYVLLQAIAFFIAGFGYVGTFVPSS >itb04g26970.t1 pep chromosome:ASM357664v1:4:31134277:31135808:1 gene:itb04g26970 transcript:itb04g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEGSVSLDLLKKKMNDFAKERDWEKFHSPRNLLLAMVGEVGELSEIFQWKGEVPKGLPGWEEAEKTHLGEELSDVLLYLVRLSDVCGIDLGKAALRKVELNALKYPANNTNSPCKSKNSKDSVKMSN >itb02g18880.t1 pep chromosome:ASM357664v1:2:15491277:15493089:1 gene:itb02g18880 transcript:itb02g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MLCFYSNNTDVIPPLFSCIKPSPPPRPFSIPSIMAQFNAFSLFIIAIFSSASLFSLASPRAISLQTQVLDVASAIQKTLHFLSPTFRDQKKSSNSSSSSSSFSLSVHPRSSLVRPHQRDYGDLMTARLGRDSVRVSSLDAKLRVAVSGLSRADFRPVQTAVRPEDLESPVTSGVSQGSGEYFARLGVGQPAKDFYMVIDTGSDVTWLQCEPCSDCYSQTDPIFNPAQSTSYRRLPCQAAQCSALEVSACGTDSCLYQVSYGDGSFTIGEFGTETVSFGKSGTVPNVAIGCGHDNEGLFVGAAGLIALGGGTMSLPSQIKATSFSYCLVDRDSGSSSTLEFNSARPGDSVLAPLLRNSKIDTFFYVGLTGISVGGDMLSIPPSVFQLDGSGNGGIIVDSGTAVTRLQSQAYNTLRDTFKKYTKNLPASGDFAIFDTCYDLSSMTKVSVPTIGFHFSGEKTLMLHPKNYLIPVDSAGKFCLAFAPTSGSLSIIGNIQQQGTRVSYDLANKLVGFSPDKC >itb06g19170.t1 pep chromosome:ASM357664v1:6:22584995:22589297:1 gene:itb06g19170 transcript:itb06g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGSVLVCGVSRFHRLKTELGISKLISRDVFSDAANGYLINDKCVFGVEVFVLDSKFEEEYLRPSVEVDNKTFTWTIADFSNLSSEMHYSDEFYASSFKWQLLLYRERTQQSNGEYVSLVLKLVDEKIACDKLLTAKSKTYRYSLASTDHSSIATALLLHQRSPPYRRRNYQLPTAFANLHSQAPQSLSGFLFLGTEIDRAIMSTFSSSSEEDAFTDVYDYRDRAPSHYLLDVESFSSLTEMLSEGGLPCYESKVFEASGYKWTLSIYPNGDASRNSKGYISMFLCIEDTDELPKRWEIYTNLKFFIFDQNRDKYLIFQDGQVSRFHQLKPECGIKELISREVFDDAANGCLVDDRCAFGVEVFVIDSKFSGECLSPSVKVDKTYTWRVYKFSHLGGDGTVHYSKEFDAESYKWKLAFYPRGNRKPYGKHLALHLELVDPEITSPRLLVHFELSVINQKSEKHIEARACEYFDHQFPFWGWDEFALLNDLRDESNGFIVDNCLIIKAHIKTLSTIDS >itb06g16890.t1 pep chromosome:ASM357664v1:6:20908583:20912736:1 gene:itb06g16890 transcript:itb06g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKACVKRLQKEYRALCKEPVSNIVARPSPSNILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPAIRMTTPNGRFMTETKICLSMSDFHPENWNPMWSVSSILTGLLSFMMDNSPTTGGVTTTTAEKEKLAKASLASNCKNPTFRKLFPEYVEKYQQQQHQQLASQSVSEQASPTSTQQENSRLLEGNSNINNGDLNGVEPPQEMRNRRKKAFPTWLLLLVFSVFGIVMALPLLQL >itb12g27170.t1 pep chromosome:ASM357664v1:12:27709393:27712091:-1 gene:itb12g27170 transcript:itb12g27170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKDVASKHLIEEGPEFNDEDEEEDKDKMKVKTYSEEQEELRKEFLDSVEKMEMENERDGEDFFKVKERGDEDVEDDEDAEEFSKKLDEYFGEDDKLDENDRFLKDYFRNKMWMNSDEKVGKEDDVGLEFSEDEEEIEKQEDYERDFNFRFEENAGDRVWGHSRKVEGSVRKKMNARKLQRERKEERMAKEEDERKEELKRLKNLKKKEMKEKLQKIKETAGIGDDGVCLLDEDDLEEEFNPEEYDKKMKKAFGEAYYETEDVNPDFGSDEDADEGDLEKPDFDKEDELLGLPKGWDEMNQPREGFLSVRERILKSQENTGEEHETVDEEDGVSEEGKRKKKRRKDSVVMQAAKDQLMEEYYKLDYEDAIGDLKTRFKYKPVNAKRFGLSTEEMLILDDKELNQYVPLKTLAPYRDKDWKRQSQISGVRPHPPPPVRFASATHSRLARLLSGVRPRLQPPQPPSR >itb11g08660.t1 pep chromosome:ASM357664v1:11:5684029:5685173:-1 gene:itb11g08660 transcript:itb11g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPIYPKYEPQDYCGGNEFDPHTDFAQFLSEARKHAGQDILGAPPPPHLEESAERKSRNPSWKRSLLSWLKRDKRNKNSKEAAKNSHGATRPRGDCVSGLVRGSGDGARPWKPLSGPITSHFNGINQEEDEVPYMSLQKMNTSKDVQSYGPVYLVT >itb03g16670.t1 pep chromosome:ASM357664v1:3:15551981:15555011:1 gene:itb03g16670 transcript:itb03g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKSAKILAQSKPYFAVIFLQFGYAGMAVIAKAALNNGMNHYSFAVYRNITAAVVFAPFAFFFERKIRPKMTFSVFWKIMLLGLLEPVIDQNLYYTGMKYTTATFTTALGNVLPAITFILAWILRLEKVNVRKLHSQAKILGTTVTIGGAMIMTLVRGGVIGLPWTKEASHAQSASAGNTQQDPVKGAAMIAAGCCCWASFYIVQAITLKSYPAGLSLTSMLCGVGALQGSVLTLVVERGNTAIWAIHWDTTLLAYIYSGVICSGVAYYISGVVMKDKGPVFVTAFNPLSMIIVAIMGSFILAEQLDFGKVFGACVIVVGLYLVIWGSSKDDSSKSSGNDLDAPVEQQLPVIRPANHQEQHSATPREVPGEESV >itb10g21960.t2 pep chromosome:ASM357664v1:10:26957626:26962145:-1 gene:itb10g21960 transcript:itb10g21960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKALVSRQFVDMSRIRIEGYLAAFPKLVGIGKQHTYVETENVRYVYHPIESLYLLLVTNKQSNILEDLETLRLLSKVVPEYSYSLDEEGICKTAFELLFAFDEVISLGHKENINVVQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLQSLGSSGRLETGFGNDTNQSSTGSGFGNSGSGFGLSSTDVESFSTKSKGHPPSSASAPPKGLGMKLGKTQRANQFLESLKAEGEMIVEDVRPSVAGQSKPAAAPLTDPVTLTVEEKLNVTLKRDGGVSNFDVQGTLSLQILSQDDGFIQVQIETSANPAVLFKTHPNINKELFANENILGLKDPNRPFPSGQSGDGVGLLKWRMQSTDESILPLTLNCWPSVSGKETYVNIEYETPANMQLQNVIVSVPLPALRDAPNVQQIDGEWRFDSRNSILEWSIVLIDNSNRSGSLEFVVPAADPSQFFPISARFTSSRTFSDLKDFCRLSMFFR >itb10g21960.t1 pep chromosome:ASM357664v1:10:26957626:26962145:-1 gene:itb10g21960 transcript:itb10g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKALVSRQFVDMSRIRIEGYLAAFPKLVGIGKQHTYVETENVRYVYHPIESLYLLLVTNKQSNILEDLETLRLLSKVVPEYSYSLDEEGICKTAFELLFAFDEVISLGHKENINVVQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLQSLGSSGRLETGFGNDTNQSSTGSGFGNSGSGFGLSSTDVESFSTKSKGHPPSSASAPPKGLGMKLGKTQRANQFLESLKAEGEMIVEDVRPSVAGQSKPAAAPLTDPVTLTVEEKLNVTLKRDGGVSNFDVQGTLSLQILSQDDGFIQVQIETSANPAVLFKTHPNINKELFANENILGLKDPNRPFPSGQSGDGVGLLKWRMQSTDESILPLTLNCWPSVSGKETYVNIEYETPANMQLQNVIVSVPLPALRDAPNVQQIDGEWRFDSRNSILEWSIVLIDNSNRSGSLEFVVPAADPSQFFPISARFTSSRTFSDLKVVNVLPLRGGVTPKFAQRTLLAADNYQVV >itb04g07800.t1 pep chromosome:ASM357664v1:4:5398664:5399722:-1 gene:itb04g07800 transcript:itb04g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein D [Source:Projected from Arabidopsis thaliana (AT1G04260) UniProtKB/Swiss-Prot;Acc:P93829] MSSSPAPSVPAVPLRPWPQFADTAALSIPISFSDATYRINQNLRYFVGNYAVLFFLILLLSLISRPLTLILFLVISASWVYLYLSRSEPLELFAFDIDDRIVLGFLTLITLIALFVAGVWSNVFISVSIGAVLACLHAAMRAPEDQEASPYENLLSVVDSPTRGDYARV >itb05g14230.t1 pep chromosome:ASM357664v1:5:21290198:21290775:1 gene:itb05g14230 transcript:itb05g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQALAILLLLISLSLASFSQVISALAPVPYTLSFTDDSGNHPPPISPPSNGGPMIPPPLNGGGDERFSTDSSQ >itb13g09620.t1 pep chromosome:ASM357664v1:13:13824182:13825583:1 gene:itb13g09620 transcript:itb13g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPHTPASSQPVQLVPALVSKTSRKDKGFVPYKNERILANQGIYTFDDRLAHKKRYDDRLSLNPPILGASHATGSSNTTAKYLEPPLLIAHWAHVRSLQANLALVRSSIASLQDVSSGLEKEIIIHQREIVRQEAFHSEMITQGKQYARRAQPESDSDNTSS >itb07g24470.t6 pep chromosome:ASM357664v1:7:28754592:28765540:1 gene:itb07g24470 transcript:itb07g24470.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTRVVAYSSRVLESAFTALDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEYVRGFNAPSVDEKFESLGIMANVFIVAPESLSSLVEGTPSIRKDAQKFIQLRDDYKSEKLASKLGSIFG >itb07g24470.t1 pep chromosome:ASM357664v1:7:28752166:28765540:1 gene:itb07g24470 transcript:itb07g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRDGMKADRLSKSASTDSYPLVLDIDAFKGEFSFDALFGNLVNEILPDEEKDSSEGHGILSGRKGVQGQLNPLFPEVDALLDLFKNSCTQLIDLRKKIDGKLNNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADTQRENASQTIDLVKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTRVVAYSSRVLESAFTALDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEYVRGFNAPSVDEKFESLGIMANVFIVAPESLSSLVEGTPSIRKDAQKFIQLRDDYKSEKLASKLGSIFG >itb07g24470.t2 pep chromosome:ASM357664v1:7:28752166:28765540:1 gene:itb07g24470 transcript:itb07g24470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRDGMKADRLSKSASTDSYPLVLDIDAFKGEFSFDALFGNLVNEILPDEEKDSSEGHGILSGRKGVQGQLNPLFPEVDALLDLFKNSCTQLIDLRKKIDGKLNNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADTQRENASQTIDLVKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTREIVSIKGCLITGRSLLLILVEDCG >itb07g24470.t7 pep chromosome:ASM357664v1:7:28756277:28765540:1 gene:itb07g24470 transcript:itb07g24470.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTRVVAYSSRVLESAFTALDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEYVRGFNAPSVDEKFESLGIMANVFIVAPESLSSLVEGTPSIRKDAQKFIQLRDDYKSEKLASKLGSIFG >itb07g24470.t3 pep chromosome:ASM357664v1:7:28752233:28765540:1 gene:itb07g24470 transcript:itb07g24470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRDGMKADRLSKSASTDSYPLVLDIDAFKIDGKLNNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADTQRENASQTIDLVKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTRVVAYSSRVLESAFTALDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEYVRGFNAPSVDEKFESLGIMANVFIVAPESLSSLVEGTPSIRKDAQKFIQLRDDYKSEKLASKLGSIFG >itb07g24470.t5 pep chromosome:ASM357664v1:7:28752166:28764934:1 gene:itb07g24470 transcript:itb07g24470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRDGMKADRLSKSASTDSYPLVLDIDAFKGEFSFDALFGNLVNEILPDEEKDSSEGHGILSGRKGVQGQLNPLFPEVDALLDLFKNSCTQLIDLRKKIDGKLNNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADTQRENASQTIDLVKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTRVVAYSSRVLESAFTALDGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEYVRGFNAPSVDEKFESLGM >itb07g24470.t8 pep chromosome:ASM357664v1:7:28752208:28759875:1 gene:itb07g24470 transcript:itb07g24470.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRDGMKADRLSKSASTDSYPLVLDIDAFKGEFSFDALFGNLVNEILPDEEKDSSEGHGILSGRKGVQGQLNPLFPEVDALLDLFKNSCTQLIDLRKKIDGKLNNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADTQRENASQTIDLVKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQVFLPWGCLLHANIMCEESWC >itb07g24470.t4 pep chromosome:ASM357664v1:7:28752233:28765325:1 gene:itb07g24470 transcript:itb07g24470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKETRDGMKADRLSKSASTDSYPLVLDIDAFKIDGKLNNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADTQRENASQTIDLVKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGGQGMGGPSVAGNATASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMAECAKILSKFNRGTSAMQHYVGLRPMFDLGVMNADAKVVFGDQESQPNPSNVAKGLSKVYKEITDTVRREAATITAVFPSPNDVMSILVQRVLEDRVPNLLEKLLMKPSLVNPPSTEEGGLVLYLRLLAVAYEKTQELARDLHGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELHAESQQSSESSGTIGRSKGASMTSSHQQISVTAVTEFVRWNEEAISRCTVFSSQPSTLAAYVRAVFNCLLDQVSIYITEGLERARNSLTEAAALRERYVIGTNIRGRVTSNAESAAAAGESSFRTFMVAVQCCGSSVAIVQQYFANSISRLLLPIDGAHAASCEEMASALSSAENAAYKGLQQCIETVMAEVERLLSTEQKATDYRSADDCIVPDHRPTIACTREIVSIKGCLITGRSLLLILVEDCG >itb07g02360.t1 pep chromosome:ASM357664v1:7:1506297:1508309:1 gene:itb07g02360 transcript:itb07g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETGTSEEVEELLDYFSRLPESVVHHILSILPFKAVVRCSVLSKTWNRIWSNYPNIALVLSDDIIPYQQYHGRQLLDSIEGILEQCLFRKACIQKLLLSISFVSSDLEEFAPNMDRWLGAAIERNVSELVLDLTHFSLDALDIFYSIPERVVVAHSLKTLKLHDCQFVGENVLNKILSGCPVLEFLDVIDQGGGSGSLISISCNPRLKYFCIEGGTQLKRIEIYSAPSLETFKCMPGKGNPCAIDLDTCTALKHLYLKEAYLSAHHLPIHNLLSKLVCIESLELYDCEAADQIKISSACLKRLVLSYFSSFPGAIMDLPNLLDLNLDVFRECNLDFKFSSWNVPKVENFHMSFSAKSFWSVCRAGLEGFLMQLHNYESLNLFITGSIHRDGYGSGCNIILHEKLFAVPFSSVNEFLIEAMPNSIVISSMREEYLLTRVLYTGIFPARLSLIFSSRQSIELVYKNLIKAHPEWRFQLLSTQEIECGMDSAWKSFINALSTGYHTTTIIIEEGTGF >itb15g15420.t2 pep chromosome:ASM357664v1:15:14331319:14335799:-1 gene:itb15g15420 transcript:itb15g15420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYWRRKELPFLILYAVAFYAIIIRRAVQLSRDHYNQLHGMRRGWIVHRLNDASDAQWRNFRGNLPILTLVFGIFTLLATVFRTYGLKAKGMSIIWLFISLAYLAYLHGVCILYVLSIASANYFLVKICGRTKYAFMLWIYNLAFLVCNRVYDGYPFSSFGEHWAYLDNYRGTFRWHICFNFGIFFSSFMWIKLHICCHFLPVLLSLPL >itb15g15420.t1 pep chromosome:ASM357664v1:15:14331319:14335776:-1 gene:itb15g15420 transcript:itb15g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYWRRKELPFLILYAVAFYAIIIRRAVQLSRDHYNQLHGMRRGWIVHRLNDASDAQWRNFRGNLPILTLVFGIFTLLATVFRTYGLKAKGMSIIWLFISLAYLAYLHGVCILYVLSIASANYFLVKICGRTKYAFMLWIYNLAFLVCNRVYDGYPFSSFGEHWAYLDNYRGTFRWHICFNFGIFFSSFMWIKLHICCHFLPVLLSLPL >itb04g30340.t1 pep chromosome:ASM357664v1:4:33466782:33470900:-1 gene:itb04g30340 transcript:itb04g30340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNSKSVRFQDDLESAKYVNNGGDNNVIKVKYKIDGSRLVEPSSRKGENGGKSGKSLKAKVLSRVFSEDYERVKRKILDPRGPTIRRWNKIFLVACLISLFVDPLFFYLPVVKGNFCIDIGIRLEVALTVIRSIADVFYIFQIYVRFRTAYVAPSSRVFGRGELVIDASKIALRYLRKGFWIDALAALPLPQVLIWAIIPNLSGSSMANTKNVLRSIIIFQYLPRLYLIFPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWHHVCTLETPSCQYDYFDCNKVKDPRRKSWFLSSNISEQCNPDSTSSGYPFGIYKDALTDSVTSAKFFNKYFYCLWWGLKNLSSLGQNLSTSTYVAEISFAIVVATLGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEQWMHHRQLPLELRQSVRKYDQYKWIATRGVDEDALLKGLPLDLRRDIKRHLCYDLVRRVPLFDEMDEMMIDAICERLKPVLCTQDTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCGIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAVSEVEAFALVADDLKFVAAQFRRLHSKQLRHKFRFYSHQWRMWAATFVQAAWRRYKKRKSAAELRAMEDDGESEEGKTANRPRQAEMNSRPPGSGFALHAARLAASRRGHHRRNDSDSGAVSSLQKPAEPDFSVDDE >itb14g02440.t3 pep chromosome:ASM357664v1:14:2081845:2083675:1 gene:itb14g02440 transcript:itb14g02440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSSFSKLEGSNYKIWSGTMKKWLIQYELWDLLEKGYEEKGHKDKDSKKDREKDSLITLIFLLAVDQSFSGCVVDANNSKVAWAAIKTQCKVNRWKSYFCLTCKS >itb14g02440.t2 pep chromosome:ASM357664v1:14:2081845:2083203:1 gene:itb14g02440 transcript:itb14g02440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLATILVIVSIIASLAAAANSVANTVKTIVETLEKTRGNGWKPNAESRKVLAKISSMMSKSSFSKLEGSNYKIWSGTMKKWLIQYELWDLLEKGYEEKGHKDKDSKKDREKDSLITLIFLLAVDQSFSGCVVDANNSKVAWAAIKTQCKGI >itb14g02440.t1 pep chromosome:ASM357664v1:14:2081845:2083675:1 gene:itb14g02440 transcript:itb14g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLATILVIVSIIASLAAAANSVANTVKTIVETLEKTRGNGWKPNAESRKVLAKISSMMSKSSFSKLEGSNYKIWSGTMKKWLIQYELWDLLEKGYEEKGHKDKDSKKDREKDSLITLIFLLAVDQSFSGCVVDANNSKVAWAAIKTQCKVNRWKSYFCLTCKS >itb03g29780.t1 pep chromosome:ASM357664v1:3:30799173:30802299:-1 gene:itb03g29780 transcript:itb03g29780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAKVVPSGCGVRKVNKKQVKDEMERMKQAEKKKRRLEKALATSAAIRFELEKKKERQRLDEEGAVLAEAYGCGVRKVNKNQVKDEMERMKQAEQKKRCLENALATSAAIRCELEKKKEQQRVDEEGAVLAEASGCGVGKVNKKQAEDEMERMKQAEKKKRRLEKALATSAAIRCELIKKKEQQRLVEEGAALAEASKCGVRKVNKKQVNDEMERMKQAEKKKRRLEKALATSAAIRSELEKKEQQRLDEEGAALAEAVALHVLLGEDSEDQDGVILKNRRIIDPWDNSGKIDVLLGGRRDKDLSKYPFEGAAAGSASGNLRYGCMWSHWGNNTNWIVSPQSLGRDSVESHNPCSNY >itb01g26250.t1 pep chromosome:ASM357664v1:1:31476749:31479106:-1 gene:itb01g26250 transcript:itb01g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGRGVLGLFLLVILLGFVKNGEALAHANTVLINCGTNANVTVDGANWVGDKAPNKNITLSTSRSIEASTSSVDGNPDYESLYRTVRIFSEPLNYTFHGAPGNYFLRLHFYPFTFQNYNANLSYFGVETCGFTLASSLNVPYAISQKNRNSSFVALVKEYYVPIQTNSTVITFRPDKESFGFVNAIEIVRVIDKLFVDSVKQVSGNGATVALDLQKRGIETMYRLNIGGTLIKPDQDSSFRRIWEEDSSYMINQDAGTELKNTSKITYASPNATTLAPLLVYETAKTMTNTSLVVQLRFFNMSWRFPVDPSFDYLIRLHFCELVYDKSSQRIFKIYINNKTAAENVDIFTKAGGKNKAYHEDYIDGMTSNSNNLWVQLGPEAASSDQGSDAALNGLEIFKLSRDGNLGYVPNLGDEESKGKSKMTLSLGIGFGIALVAVIAWLIICLRKKKRTKDVKPKKSCGRSGKRFTLVDLKAATNNFDESLVIGVGGFGKVYKGELNDGILAAIKRSNPQSQQGLVEFETEIELLSKLRHRHLVSMIGFCDEQNEMILIYEYMSNGTLRSHLFGGDLPSLSWKQRLEICIGAARGLYYLHTGSERGIIHRDVKTTNILLDGSFVAKMADFGLSKSGPSLEHTHVSTAVKGSFGYLDPEYFMKQQLTAKSDVYSFGVVLFEVVCARVVINPSLPMEQINLAEWAMICQKKGVLETIIDPSLRGNYSPKSLKSFAEIAEKCLADEGVARPTMGEVLCHLEHALQLQESWLHPNDANSSHALESLDEREHEQ >itb06g06370.t5 pep chromosome:ASM357664v1:6:9014881:9019647:1 gene:itb06g06370 transcript:itb06g06370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVLSNPEKTPVHTFFCNYDLCDMPIGTKVKSFLAE >itb06g06370.t6 pep chromosome:ASM357664v1:6:9014846:9019667:1 gene:itb06g06370 transcript:itb06g06370.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVLSNPEKTPVHTFFCNYDLCDMPIGTKTFLRQKILLASCGKNCTSARGEQKDDDLKCASTKNHEKGRNDLAGNGREGSGNNLFQRFSHRSGGTGVIRYALHLRFVCPQKKGLRSAQRCKSGPQSLGERRKVENKEERIFYLYNDLRVVFPQRQSDADEGELKVEYHFPENPKYFAIGS >itb06g06370.t4 pep chromosome:ASM357664v1:6:9014846:9019667:1 gene:itb06g06370 transcript:itb06g06370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVLSNPEKTPVHTFFCNYDLCDMPIGTKTFLRQKILLASCGKNCTSARGEQKDDDLKCASTKNHEKGRNDLAGNGREGSGNNLFQRFSHRSGVIRYALHLRFVCPQKKGLRSAQRCKSGPQSLGERRKVENKEERIFYLYNDLRVVFPQRQSDADEGELKVEYHFPENPKYFAIGS >itb06g06370.t2 pep chromosome:ASM357664v1:6:9014846:9018178:1 gene:itb06g06370 transcript:itb06g06370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVNSFNFFETILVHLVQVFECYSKAHRYSR >itb06g06370.t7 pep chromosome:ASM357664v1:6:9014846:9019647:1 gene:itb06g06370 transcript:itb06g06370.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVLSNPEKTPVHTFFCNYDLCDMPIGTKTFLRQKILLASCGKNCTSARGEQKDDDLKCASTKNHEKGRNDLAGNGREGSGNNLFQRFSHRSGEAELRNETVRKFDICYSKANGNTGGTGVIRYALHLRFVCPQKKGLRSAQRCKSGPQSLGERRKVENKEERIFYLYNDLRVVFPQRQSDADEGEVC >itb06g06370.t3 pep chromosome:ASM357664v1:6:9014881:9019647:1 gene:itb06g06370 transcript:itb06g06370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVLSNPEKTPVHTFFCNYDLCDMPIGTKVKSFLAE >itb06g06370.t1 pep chromosome:ASM357664v1:6:9014846:9019667:1 gene:itb06g06370 transcript:itb06g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRVLSNESSNEVQSSVVTSASTPTQFSGTSHLDGGHLVAVSVHGESVNGSFADFKWKTTVEVAKFTDNLINNESKGQMQSCTMPENYVSNDKSLLSAPETGWRINTPLSRIVGFECGEKTTSSAQLDGTSFSCGHSNICETEHHGSTSTVKKHLLSPLTSMLYSEHISGDSLGIGNSNSGDSLGIGNSNLQTYSLGTVDSYGKLKIQDSKKANIRSKNQIMTPIWSVSNSSSVLKQDDIYSRTEPRFLSDGPLLDDKELPLYNCVPLHDTLQVPDKGSLESGVELMSTKKVASPTISLSPLGPKNFDKLKSAGRCRKMGANTKICKSLEYSVDNNSLGVIFPSGDEDFRKASESYKEADIFYNQIRPSFPEKSNPGTNWPFSQRLGTATQCIMARSVRGGPVRRSLIGSFEESLLSGRLSSGRFTKKIDGFLAVLSITGGNFSPKSRKLPFSVTSIDVESCLLYYASIDLAGQSSSDKCRGNNLKRGIGDDDSRIEKSCLRIPMKGRIQLVLSNPEKTPVHTFFCNYDLCDMPIGTKTFLRQKILLASCGKNCTSARGEQKDDDLKCASTKNHEKGRNDLAGNGREGSGNNLFQRFSHRSGEAELRNETVRKFDICYSKANGNTGGTGVIRYALHLRFVCPQKKGLRSAQRCKSGPQSLGERRKVENKEERIFYLYNDLRVVFPQRQSDADEGELKVEYHFPENPKYFAIGS >itb06g05000.t1 pep chromosome:ASM357664v1:6:7752692:7764923:-1 gene:itb06g05000 transcript:itb06g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKEFDNLCDDGFEGSTNEHQIFSEVFFGSDESKKRCLVTGVNNFECDYRKQINTSCCFYNENSALMVRENSYGTIEESAGKTEEKKEFSNSRPSIVRGLNSVASSDGIVRVIPPQTTLAHSQIVTSHVVESTSQGVTSCFYLQKGHHEPDRGHGVGESDDLKLKSYHLNGNDGKNACKIITSPASQESHVTKLLAGNSPLVAKNSRVVRSAKSKWKDSCFVELDEAELSMIKDTPNDPRPILRYHINRLLRAAGWVIGRRKRSSKYNGIGEYVYRSPEGRPIREFRRAWLFCGESLFTDENSVIAEEESKVWAGMNQFRSDLFSTVAEIEEKLVNLETTSSLATLWYLLDPFANVVFIDKRIRCLKEGKTVIANRTFARYVEAVENQLNERSMKFLPCSPSLIEDSVLENDQCIKKEFCVDESSLDFKQSQGGEGNCGNGLPSPDKRSMPLLDTFNGPPPKYRKISGSDTGVSDLSSLPTCGSDSTSELAGSSLFDVPVSLGNNPTLTRTYETVGNNQDSSTSSLVYLEEEVKGFDLKIANQLSSVLQETVAFDSNCSNDDLVDIQCIETRTKGLSYAASSVSKKKAQKKSRKISEMKLSNLYQHDKVVSNTNGFCEANGKGIHHKIGSMKAKKFRPEDDDLLISAFLKNKTFKSSKKRPFGKSKPLRKRKSQKGRCKLLLRSLNRGGKHFIEGKWPAFALRTVLSWLIHSGVVSLNEVIQYRNLKDNSVVKDGFITRDGILCKCCDEVLSISTFKSHAGFKLNRPCLNLFLESDKPFTLCQLEAWSAEYKAKKTTPRTVQVDEMDQNDDSCGRCGDGGELICCDNCPSTFHQACLYAQELPEGSWYCPHCTCQICGDVVKDNEASSSATSGALKCAQCEHKYHEACLKEKGREGGEASETWFCGERCQEVYSGLQSRIGLTNFLSDGLCWTLLRCIPGDLKVHSAQRFVALVAECNSKLAVALTIMEECFLPMVDPRTGVDMIPQVIYNWGSQFSRLNYYGFYTVVLEKDDVLVCVASIRIHGSSVAEMPLIATCSKYRRQGMCRRLMNSLEEMLKSFKVEKLVVSAIPSLVETWTVGFGFEHLEDSEKRSLSNINLMVFPGTVWLKKSLYENGQQSSFPSKAVDPADSRPSCENDHTTEADQLFQQNSSPYNETRNSDSVNLQPRDELVADTHGQSSKFCENETVFDVEAPEPGKGSNEDSFLPTVDAETGIRHHNSVNTLSVDDRSCGESSKLLSSKESTSSLTGSEAEAEVVCSVKAEEFFEVQPSPAPALQHTDPGMEIRRPHNENLQVSKEQGGEVPGGPDVVPFFVGKQHEIGGCSVQVVDMLDGRQFCVDEQSRRIHGMQVNQK >itb11g06170.t1 pep chromosome:ASM357664v1:11:3678634:3680715:-1 gene:itb11g06170 transcript:itb11g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSAFTTFPSSTKPPMLARTIINAVTKSPETVRRNASIDFPNLHKLPPTSAYVHLPFCRKRCHYCDFPILALGASSSHGPDDDPRIADYVELICREIRATQVNSNTNSPLETVFFGGGTPSLVPPRLVSLVLDALNSEFGLCFGAEISMEMDPGTFDAVKLKEMMKLGVNRVSLGVQAFQEELLKACGRAHGLKEVHEAIEIVGLCGVENWSMDLISSLPHQTPQMWEESLRRTIEMQPTHVSVYDLQVEQGTKFGILYTPGEFPLPSENQSAEFYRMASKMLSEAGFEHYEISSYCKTGYQCKHNFTYWENKPFYAFGLGAASFLNGSRFSRPRKLKDYTGYVQSLEDGTVNCFGNNNVDAQDIAMDVVMLSLRTARGLDLKSFGESFGSSTVLSLCDVYKPYMESGHILCLDEQRRNITADEFSTLLTDECKIKDKLAFIRLSDPDGFLLSNELISLAFRAISPD >itb10g04190.t1 pep chromosome:ASM357664v1:10:3979793:3983678:1 gene:itb10g04190 transcript:itb10g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFINIVETVYRGARKGRGLVIAPKDYSTKYRY >itb10g23360.t1 pep chromosome:ASM357664v1:10:27762112:27764125:-1 gene:itb10g23360 transcript:itb10g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIALCRFLAFLFLLVPSLVGSLSFDLPSIGPKDKDVHIIVEGNATITNRGIQLTSESDDEASRAKYVELLHLWDKASGNLADFTTHFTFNIDSNGTSKYADGMAFFLANFSTPSGIISQAFGAGLGLMDQYKPSPVPFVAVVFDTFANGKGKSMTNVSININAVLETNKSTAWWNNITQGKNNNASITYNASSKILEVVFTGFWGGQYLTGNLSHMVDLREHLPEFVNIGFSAATGTLSEEHTVSSWRFNSTPPRLVGSLSRSPAPSPSPPQEEKNKKGLLVIGLSIGVPILVALLALPIIYACLKKTRAEKGNNHESFPAQDMDTEFQMVSTGPKKFSYTELQIATNNFAEEHKLGEGGFGGVYRGFLRSLNLDVAVKKVSSGSKQGIMEYASEVKIISRLRHRNLVPLHGWCHEKGELLLVYEYMPQGSLYSHLFKRNSPLNWELRYRIAQGLASALFYLHEEWEQCVLHRDIKSSNVLLDSGFNAKLGDFGLAWLVDHEKTPEKTLVGGTLGYLRAIRVPAATTVIPRI >itb03g18480.t1 pep chromosome:ASM357664v1:3:16750886:16751438:-1 gene:itb03g18480 transcript:itb03g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRRYCCFLAATLHVLSLVCAAKRPFLAPHKTQILDSPRAVFDPIQGGEMMEGGLSKLGSRPPNCEHRCGRCKPCVAIQVPTTSSRGHMGVQYANYEPEGWKCKCGTAVFEP >itb13g10550.t1 pep chromosome:ASM357664v1:13:15404627:15407711:1 gene:itb13g10550 transcript:itb13g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTVSYITEYFIHKVEPLKEPDGTRKTEKRKVFFRLAATTAYFFNAFLPNPRKRIVKTQRRIFNYFHCSSQRRSNPKPAIMYRSSSSGRVSDEFFPHSAAVPTPAFSSPNLKSPSTGASDELPTYNPQSHVAKKERNRLRFAQNAVHLIPVVLVLSAIILWFFSSPDGE >itb13g10550.t2 pep chromosome:ASM357664v1:13:15404627:15407711:1 gene:itb13g10550 transcript:itb13g10550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITTVSYITEYFIHKVEPLKEPDGTRKTEKRKVFFRLAATTAYFFNAFLPNPRKRIVKTQRRIFNYFHCSSQRRSNPKPAIMYRSSSSGRVSDEFFPHSAAVPTPAFSSPNLKSPSTGASDELPTYNPQSHVAKKERNRLRFAQNAVHLIPVVLVLSAIILWFFSSPVQMVSKGNPPIGRVNAPVIYTKIKSGSGKSSLTSKLDLKGNDQTYQFKIHKRRNLQDRDSF >itb07g00760.t1 pep chromosome:ASM357664v1:7:484013:487524:-1 gene:itb07g00760 transcript:itb07g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAAILTRKSLLGFRFPGLLSRNPPAATFTAQLTRIPVNHLHTPLFTARSSYYPAFLASTSYRGLKRLELFESGPNLFRASAVSDGSSNSGGGYGGSGDGNSGGGGGGDGGSGGEGGGKWSLLTWYLSLLEKYPVWTKAITSAILTCVGDLICQLWIDAAPSLDGKRTFLFTFLGLVLVGPTLHFWYLYLSRLVTTPGASGAFFRLLLDQFIFAPIFIGVFLSTLVTLEGRASQVIPKLQQEWVSSVLANWQLWIPFQFLNFRFVPQQFQVLAANLVSLVWNVILSYKAHKEIVVK >itb13g16610.t1 pep chromosome:ASM357664v1:13:23579202:23588570:1 gene:itb13g16610 transcript:itb13g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEISQTDTEGIDGVRMTWNAWPRTKVESSKCVIPIAASIHLIRPQPELVTVPYAPLRCKTCAAVLNPFARVDYQALIWICPFCFQRNSFPHQYSAVSETNVPAELYPQFTTIQYTLPHNPNISPNSAAGPPPVYLFVLDTCMLEEELGFAKSALKRAIGMLPENALVGFISFGTQVQVHELGFSDMSKVYVFRGSKELSKDQVLEQLGLGGVGGRMQKGAGGGPTGVLPDLGINRFLLPATECEYTLDSLLDELVTDQWPVPPGNRALRCTGAALSVASGLLSACMAGAGARIVALVGGPCTEGPGAIVSKDLSDPVRSHKDLDKDAAPFFKKAVHFYEELAKQLVSQGHVLDVFASALDQVGVAEMKVAIEKTGGLVVLAESFGHSVFKDSFKRVFDDGEQSLGLSFNGTLEIKCSKDIKIQGIIGPCTSLEKKGPAIANTVIGEGNTTAWKMCSIDKTTCMTVFFDVSSSEKSDPSGNANSYLYIQFLTSYQGSDGQTKLRVTTISRRWVDTAVNSEELIQSFDQETAAVVMARLASYKMEMEEGFDATRWLDRNLIRLCSKFGEYRKDDPASFTLNPFFSLFPQFMFNLRRSQFLQVFNNSPDETAYFRMLLNRENISNAAVMIQPTLTSFSFNSPPSPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNMGYQKQPEHEAFAQLLQAPHDDAQSIIHDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMGSGTDVIFTDDVSLQIFFEHLQRLAVQSS >itb05g06940.t1 pep chromosome:ASM357664v1:5:7924041:7927921:1 gene:itb05g06940 transcript:itb05g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYGQWTTRTKNTGHMRRLEMVVTSQCGIIPDDISRLSPHLEVFNLSSNWFVGRIPAGISGLKGLKELQLAGVVSNGSFPSEIGNLPNLEVLVLSQNSFTPQEIPPSFTQLKKLRNLSINQANLIGEIPANISNMTALEFLDLSVNNISGSIPVGLGRLPALRDVCLYTNNLSGEIPMVFGQFSKLNTFDVSTNHLTGSLPEGLCSNKVLSSLIVFNNNLTGQLPKSLEDCNTLKGVRVERNNLSGTIPEGLWTARNLNRLLMNNNQFTGQLPQKVASNLSLVDISNNRFSGEIPTGISSWSNLGVFRASNNLFTGNIPQQLTALRFLSTLLLDRNRLSGNFVSNITSWKSLDTLNCSRNQLSGNIPPELGLLPNLVQLDLSENQFSGEIPSEIGRSHRKRKKKRLVPNWKLTAFHTISFTESNILPNLAENNVVGTGGSGIVYVVPIGKSSGENVAVKRIWNSQKLDEKLEKEFIAEVETLGTIRHTNIVKLLCCISSEESKLLVYEYMENHSLDLWLHPKRRSAGNSGSFQRQLILDWPKRIRVAIGTAKGLCYMHHNCSPPIVHRDVKSSNILLDSEFNAKIADFGLARMLNKHGQPNIVSAVAGSFGYIAPEYAHTRKVNQKTDVYSFGVILLELATGRGPTDGDDDRSLADWARYHFQEGNPIEDALDETIKEPKYLDEMRSMFRLGILCAATNPSERPTMREVVHILVHCSNKLLPDRNRISRSKSEASSLLKAFKGGDNGLMPFHEV >itb14g01680.t1 pep chromosome:ASM357664v1:14:1374602:1377464:-1 gene:itb14g01680 transcript:itb14g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSDRKLASAVGAKTARACDSCIRRRARWYCAADDAFLCQSCDSSVHNANLLARRHERVRLKSSDLKTPDDFPSLESLARSWPHGFTRKARTPRGRKHAKPKSEPEQSFTKHPFDLVPEIYSDENSHNDESDEQLLYRVPVFDGFAAEMCTSTRQNEAGAENIPDIRTNIPASLDCRHNKDDGNKATGIVPSEIELAEFAADVESLLGKGLDDESFNMEGLGLFSNFNKVKLETDEEAAIANHNVAMKFDNCDDNSPVAMCDEEYDDRGGEFATILQQSDDFDYKTEKKKILLKLDYEGVITAWADQRSPWTNGERPDLDSNDCWPDFTDGWGMIHNPYGEMGAMSVGHATIMDEGREARVSRYREKRRKRLFSKKIRYEVRKLNAEKRPRMKGRFVKRANFVAPLSFPLITK >itb13g24150.t2 pep chromosome:ASM357664v1:13:29902157:29904961:1 gene:itb13g24150 transcript:itb13g24150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRKMASMLQAEHGGLNLKATELCLGLPGAGGGGNESESLKITGKRGFSETVDLKLNLQSAADSSLDLKEKMKSPSKEPNKDPIKPPSKAQVVGWPPVRNYRKNIMAQKSSPEEAAGEKSGGGPAAFVKVCMDGAPYLRKVDLKMYESYQQLSDALAKMFSSFTMDAGNYGAQGMIDFMNERKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRC >itb13g24150.t1 pep chromosome:ASM357664v1:13:29902157:29904961:1 gene:itb13g24150 transcript:itb13g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRKMASMLQAEHGGLNLKATELCLGLPGAGGGGNESESLKITGKRGFSETVDLKLNLQSAADSSLDLKEKMKSPSKEPNKDPIKPPSKAQVVGWPPVRNYRKNIMAQKSSPEEAAGEKSGGGPAAFVKVCMDGAPYLRKVDLKMYESYQQLSDALAKMFSSFTMGNYGAQGMIDFMNERKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRC >itb15g10650.t1 pep chromosome:ASM357664v1:15:8355998:8357466:1 gene:itb15g10650 transcript:itb15g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMMLRSSKPPLPRSPIRLRSRRALRSTTNTLQTPPGSLTKSQLPNPRSDVEEWEMRPEYHTISCELRALAKMVQQEIGNGDGGNPGNEEYSLNPRSPLFERGRLYEEYSARRNERLKRKKCGEEKAAVYGLGVRVESAKKRVVQSGRKIVPATPMTAQRGGEKPRYMLRSMTTSKENKKPPHLAMSVEKSVGGGEKKKTAVRRSRKI >itb15g10650.t2 pep chromosome:ASM357664v1:15:8356092:8357466:1 gene:itb15g10650 transcript:itb15g10650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMMLRSSKPPLPRSPIRLRSRRALRSTTNTLQTPPGSLTKSQLPNPRSDVEEWEMRPEYHTISCELRALAKMVQQEIGNGDGGNPGNEEYSLNPRSPLFERGRLYEEYSARRNERLKRKKCGEEKAAVYGLGVRVESAKKRVVQSGRKIVPATPMTAQRGGEKPRYMLRSMTTSKENKKPPHLAMSVEKSVGGGEKKKTAVRRSRKI >itb05g18960.t4 pep chromosome:ASM357664v1:5:25605353:25608993:-1 gene:itb05g18960 transcript:itb05g18960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGVSKYGVGKWSTILKDPEFAAVLCTRSNVDLKDKWRNLHVMANGWGSRQRGKIVYKGTQSTPKHEDNSMALIPVVENDMEVIDAKPLVSTCETLQAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb05g18960.t6 pep chromosome:ASM357664v1:5:25605353:25608993:-1 gene:itb05g18960 transcript:itb05g18960.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGVSKYGVGKWSTILKDPEFAAVLCTRSNVDLKDKWRNLHVMANGWGSRQRGKIVYKGTQSTPKHEDNSMALIPVVENDMEVIDAKPLVSTCETLQAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb05g18960.t7 pep chromosome:ASM357664v1:5:25605170:25608978:-1 gene:itb05g18960 transcript:itb05g18960.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGVSKYGVGKWSTILKDPEFAAVLCTRSNVDLKDKWRNLHVMANGWGSRQRGKIVYKGTQSTPKHEDNSMALIPVVENDMEVIDAKPLVSTCETLQAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb05g18960.t1 pep chromosome:ASM357664v1:5:25605353:25608993:-1 gene:itb05g18960 transcript:itb05g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLRINGEIFMSWQMVGGLGSGVRLFIKAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb05g18960.t5 pep chromosome:ASM357664v1:5:25605170:25608978:-1 gene:itb05g18960 transcript:itb05g18960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGVSKYGVGKWSTILKDPEFAAVLCTRSNVDLKDKWRNLHVMANGWGSRQRGKIVYKGTQSTPKHEDNSMALIPVVENDMEVIDAKPLVSTCETLQAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb05g18960.t3 pep chromosome:ASM357664v1:5:25605777:25608974:-1 gene:itb05g18960 transcript:itb05g18960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLRINGEIFMSWQMVGGLGSGVRLFIKAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb05g18960.t2 pep chromosome:ASM357664v1:5:25605211:25608974:-1 gene:itb05g18960 transcript:itb05g18960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLRINGEIFMSWQMVGGLGSGVRLFIKAVHPKKPISSLDDLILEAIVKLKEPRGSSRSSISLYIEEHYAAPPNLERLLAANLKVLTENGRLVKVKHQYRIPPSRVQLSINVKVEPSTFPLGGKQMHYLKPEKNATRILTKAQIDAELEKMKNMTAQEAAAAAAQAVAEAEAAIADAEQAAREAEEAEAEAEAAQSLAEATSKALIFQSSDYPRLIKPIF >itb12g03100.t2 pep chromosome:ASM357664v1:12:2055515:2059597:-1 gene:itb12g03100 transcript:itb12g03100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGALLLCMVILLNCGLIHCSVTYDRKAIVINGQRRLLFSGSIHYPRSTPEMWEDVINKAKKGGLDVVETYVFWNVHEPSPGNYDFEGRYDLVRFLKTIQKAGLYAHLRIGPYVCGEWNFGGFPVWLKYVPGISFRTDNEPFKMAMKGFTEKIVNLMKSHNLFESQGGPIILSQIENEYGHQAKSLGAAGYQYANWAANMALEMNTGVPWVMCKEEDAPDPVINTCNGFYCDKFSPNRPYKPTIWTEAWSGWFSEFGSPNHERPVQDLAFAVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKLCERALVSADPTVTSLGSLQQAYIYSSEMGGCAAFLSNYDTQSAVRVMFNNRHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNSEMFSWETYSEDVFALGDRSSFTSFGLLEQINVTRDTSDYLWYITSVDIGSSESFLHGGELPTLTVQSAGHALLVFINGHLSGSASGTRQNRRFIFNGKVNLNAGTNRIALLSVAVGLPVSLIPPSLFTNTSIFASSAINKDLQHTHIVLLPSTAFRDFFFQTMTFFSWYCLFL >itb12g03100.t3 pep chromosome:ASM357664v1:12:2053793:2059597:-1 gene:itb12g03100 transcript:itb12g03100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGALLLCMVILLNCGLIHCSVTYDRKAIVINGQRRLLFSGSIHYPRSTPEMWEDVINKAKKGGLDVVETYVFWNVHEPSPGNYDFEGRYDLVRFLKTIQKAGLYAHLRIGPYVCGEWNFGGFPVWLKYVPGISFRTDNEPFKMAMKGFTEKIVNLMKSHNLFESQGGPIILSQIENEYGHQAKSLGAAGYQYANWAANMALEMNTGVPWVMCKEEDAPDPVINTCNGFYCDKFSPNRPYKPTIWTEAWSGWFSEFGSPNHERPVQDLAFAVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKLCERALVSADPTVTSLGSLQQVFASTFHHLTSQQYFIPTLPQKFRTFFFQAYIYSSEMGGCAAFLSNYDTQSAVRVMFNNRHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNSEMFSWETYSEDVFALGDRSSFTSFGLLEQINVTRDTSDYLWYITSVDIGSSESFLHGGELPTLTVQSAGHALLVFINGHLSGSASGTRQNRRFIFNGKVNLNAGTNRIALLSVAVGLPNIGGHFETWNTGILGPVVLHGLDQGKWDLSRAKWTYQVGLKGDALNLGSPNGLSSVEWMQGSLMAQKQQPLTWHKAYFNAPDGDEPLALDMRSMGKGQVWINGQSIGRYWTAYATGNCDGCHYAGGYKPRKCQLGCGQPTQRWYHVPRSWLKPTENLLVLFEELGGDPTRISLVKRSVTSVCADVAEFHPNIKNLQTDSYGRTEEFHKPKLHLRCSPGQSISSITFASFGTPLGTCGNFQQGPCHAPSSHTILEKKCIGRQRCSVAIANSNFGDPCPNVLKRLTVEAVCSPNQWD >itb12g03100.t1 pep chromosome:ASM357664v1:12:2053793:2059597:-1 gene:itb12g03100 transcript:itb12g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGALLLCMVILLNCGLIHCSVTYDRKAIVINGQRRLLFSGSIHYPRSTPEMWEDVINKAKKGGLDVVETYVFWNVHEPSPGNYDFEGRYDLVRFLKTIQKAGLYAHLRIGPYVCGEWNFGGFPVWLKYVPGISFRTDNEPFKMAMKGFTEKIVNLMKSHNLFESQGGPIILSQIENEYGHQAKSLGAAGYQYANWAANMALEMNTGVPWVMCKEEDAPDPVINTCNGFYCDKFSPNRPYKPTIWTEAWSGWFSEFGSPNHERPVQDLAFAVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKLCERALVSADPTVTSLGSLQQAYIYSSEMGGCAAFLSNYDTQSAVRVMFNNRHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNSEMFSWETYSEDVFALGDRSSFTSFGLLEQINVTRDTSDYLWYITSVDIGSSESFLHGGELPTLTVQSAGHALLVFINGHLSGSASGTRQNRRFIFNGKVNLNAGTNRIALLSVAVGLPNIGGHFETWNTGILGPVVLHGLDQGKWDLSRAKWTYQVGLKGDALNLGSPNGLSSVEWMQGSLMAQKQQPLTWHKAYFNAPDGDEPLALDMRSMGKGQVWINGQSIGRYWTAYATGNCDGCHYAGGYKPRKCQLGCGQPTQRWYHVPRSWLKPTENLLVLFEELGGDPTRISLVKRSVTSVCADVAEFHPNIKNLQTDSYGRTEEFHKPKLHLRCSPGQSISSITFASFGTPLGTCGNFQQGPCHAPSSHTILEKKCIGRQRCSVAIANSNFGDPCPNVLKRLTVEAVCSPNQWD >itb15g13080.t1 pep chromosome:ASM357664v1:15:11049622:11051487:1 gene:itb15g13080 transcript:itb15g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITITISISTFVVCMMLLISSKFVASVSSPLCQSGYPNNAVPVHNEDIDLMQFAGNVEFLEAEFFCWGSYGYGLDVLEPGLVDGGPPPKGVTKANLDFLTRNIFKEFCNQEIGHLRAIRSRVGLFERPLLDLSTKNFAQLFDEAFGCKLEPPFDPYRDSLSYMLASYAIPYVGLVAYVGTNPNINGYITKRLLAGLLGVEAGQDAVIREYLYERASHVVFPYRHTVADFTIRISVLRNRLALCGIKDEGLLVPPFLGAENRTTSNVLSADNESLSYKRTPAEILRILYTTSDEHIPGGFLPLGGNGRIARDFLIE >itb08g10200.t1 pep chromosome:ASM357664v1:8:9604142:9605876:-1 gene:itb08g10200 transcript:itb08g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRLSSTCMFRSAPLTQPKSAFVKSSSSITSVKRVSKSFGLKADRYRVTASAENAGVELPYSCRVGACSTCVGKIKTGAVDQSDGGRRRSLVVRQLLRRGRTVLPASSSSSGLDLAATVVACSPVSHFLDREIELWDGGGMKRGGETEIWSSSRLGVAARYVVKVEDD >itb13g25630.t1 pep chromosome:ASM357664v1:13:30953762:30955651:1 gene:itb13g25630 transcript:itb13g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIFKSPLGREYHGNKRMEGKPAGSRRVFVQTETGCVLGMELDRNDNAHTVKRRLQLALNVPTEESSLTFGDLVLKNDLSAIRNDSALLLTRNYMHRSSSTPCLSPTGAEIQQRDQSGPIEILGQSSSFAKTKQLVKEIVKGMKAGVDPLRVHSGLGGAYYFRNSKGDSIAIVKPTDEEPYAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKPHKESQLVSKIASFQKFIQHDFDASDYGTSSFPVAAVHRIGILDIRIFNTDRHGGNILVRKLDGVGRFGQVELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIKKLDPFHDSEMLRSELPMIREACLRVLILCTIFLKEAAAYGLCLAEIGEMMSREFRSGDEVPSELETVCIETSKLIAVEEMLSPRVEVDDGNFHFDIDCEDYRYEFMPKIASAEFMTRNAFHFAFGGNGQWPLSKLDESIQEEEESEEEAEMSTGGPVVTNNEHIPIPILSKSLKNTSSGEKKLGLHNPSGTKPENGYLACSSSGHRSADEQLPASVSFVKLADMTEEEWATFLEKFQELLYPAFAKRRSVTVGRKQLQRLGTSCQF >itb04g13590.t1 pep chromosome:ASM357664v1:4:13688471:13689406:1 gene:itb04g13590 transcript:itb04g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSYAHFSFFLSFFLVRLTFLLAYLKCPAGLSIFLYTLFFALNFKCIHTVCTVKVLLGGPARRLTAVEEEAGGGGGGGRGWRREKANGVNDEQRSNAISLSRYSSPLVEGQSWESGNIALWGIEGSGPNIPKLLGV >itb13g12250.t1 pep chromosome:ASM357664v1:13:18399889:18402056:-1 gene:itb13g12250 transcript:itb13g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKLSLNRSPPNTSLRGEREKLKEFCWRERERERERKMKLSLKVQDTHCSPPPPQPPPSQLLSNHQKQPETRPLLLRAKIPITIFNLPFLSGFSTTTHHPSDLSLSLATNFSSGPTLKLAYTATSSSSSTSAPAAPPLTLTLKSGIGVYGSPKNSPLIISANFSFSPHSPHSNPTFSLLLKPQLGSFSLKKATSSNPNPNPKQNAEANSFGFVPLERPMSLKDFSFEDYGKDSIFRGISVMASTEMPVTKRVLMNCRWGVNFPEDLGKRMPHLSLNKIGIERVDEVKEVKEKKSESSGGEVELLKGMCFWMKRELDTLQRENREMKVKLEEMKMGHGESVGKKVVPPIVESSSGFEQWRNKKNPGPGGDIGKKEAKKNVSNGNRADVESELQKAIQAAASSSL >itb05g16140.t1 pep chromosome:ASM357664v1:5:23423017:23424307:1 gene:itb05g16140 transcript:itb05g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRCSSSCSCGMYNQSSNDYSAGFSMFFSSPPANQNHQYYYEEAPDYDQNIYSSSSSSSVDCTLSLGTPSTRLTSANERPPSESRRSLSSSSHKSARGAAAASDPLVTRRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAAAAAAANGGGAGGMDMMHNSWAHHTQMPYNNYSSSAYGNEYGYMEDDDRDSAFLSWRLNVHCS >itb03g13420.t1 pep chromosome:ASM357664v1:3:13575878:13578909:1 gene:itb03g13420 transcript:itb03g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A14 [Source:Projected from Arabidopsis thaliana (AT5G52120) UniProtKB/Swiss-Prot;Acc:Q9FJ80] MGAGISGMMGDGCSRSSETSIGELPENCVALILSRLEATQICGLAAVNPTFRRASSSDAVWDSKLPHNYHFLLNNLFNHNTISPNFNSKKQIFATLCRPTRFGGGYKELWLEKNGGGICVSVSWKEMKITGIDDRRYWTHVSDSDESRFSSIAYLKQIWWVEVEGSLELEFLPAGIYSLFFRLHLGKPSKKWGRRVFDVEQVHGWNMKPVRFQLSSSHGQHVSCEYYLNNQPAGKWIHYHVGDFVVENSHLPTNLNFSMTQIDCTHTKGGMCLDSVFICPIKLGENFKNHS >itb10g11620.t1 pep chromosome:ASM357664v1:10:17229382:17243259:1 gene:itb10g11620 transcript:itb10g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYNLYGMGHLHVSKMKFRYPVPDTFSPRKSNHNEPKRTIMDIPANTSADFQADSGYDPYSDPPIWISSTIPDGWVWLYSSQDDSSSDQALPAMKRSSTSALEGDAVLDAILNQQFLSYTSLSQTCSKVRMIQSLIPIWEEFERNGMHELALPPDPGKPLPVDVLRILSNGIGFEDIFLEPDNVAALCFHSQSINSSTEEGKSFQDDDMGKSNEKTNAYPSQLSTECLEISDNIQLSSGVKVSDHDALGILNWLASSQAAEDINSDDDLARETILSPLMPATTLDKVLEKAHADYENESQQECEDILDTVQDRANIEGFDGRAADLIDSNHSCKTSSDRMIPQVDGSSDSFDAFSCDGKSHHKSGAPSGTDSWDDAHLAMISNRKKRRQKWGSLPVSSGQRTSDLSPSTALSTISRCGNHIKDDSGLPYSVGSGANLSPSIVKGSTKEIMVNCSMRDLMRKKRYYRAEPSECRAQIKKSPSDEEDKGSLYIGQTLDEQEPSECRAQIKKSPSDEEEKGSLYIGQTLDEQYKIPFAYSMNMNSGAIQQRECDGTNSCTAFQMHYEPYDAKTDNLANGKLPIYSGDCNTLPRDSSNVEPCHSFGGSDVRGVSEAGVDLQNSGFSGAPLLNKKTDPSEQYSHFKSSVCAVQIKHCASNGCENMDININSTKPLPTDVVYSEFVPHAQSSEFASENKSSGGEKVLQRDAIDSSCQSSSIGGVTFKDGGATCAEIDLLQMTDKTGFTAHKKSNDGISSQKGVPDELRPFFGMDCLVEGDCYNCRTLDHNHRQGGLLGVPIHYQNDGSYLFMLTPVFRPPQTKCVERWLTLDSTDVSEENKVVCPALAPSIKESSSEAVGLQNSRALSGSQPLIEPVPASDLKPNLDLINQQHKGSHNMELEHFHDDIKVIPQCKEDMLKCKPSTDFSEDISQISGPDRKSKLTPLSQIGFRDSASIGCGQQLTLLSIEVQAECRGDLRPDPRFDAVSIIVLVIQEDDDPIADSYVLLHCNGAPVQRNLYGLSGCKLLGFSEETHLFTQFVKIFSCIDPDVLMGWDIQGGSLGFLAERAAHLGFDLLNKISRTPSETNATSRCYEEEKLSDLFSESIITDSVLHDDAMIIDDEWGRTHASGIHVGGRIVLNIWRLMRGEVKLNMYTMETVAEAVLRRKVPSIPSKVLTNWFLSGPGRARFRCIEYILDRAKLNLQIMTQLDMINRTSELARIFGIDFFSVLSRGSQYRVESMFLRLAHTQNYIAISPGNQQVAFQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCYSTCLGKVTASKSNILGVSSYSPDRNVLRKLKHKILLTPNGVMFVPSEVRKGVLPRLLEELLSTRIMVKQAMKKLAASEKVLHRIFNARQLALKLISNVTYGYTAAGFSGRMPCAELADCIVQCGRRTLENAISFVNTNDKWKAKVIYGDTDSMFVLLKGRSLKEAFQIGHEIASEVSAMSPNPVTLKMEKVYHPCFLITKKRYVGYSYESPDQSKPIFDAKGIETVRRDSCGAVCKTMERSLRLFFEHHDIEKVKSYLLRQWKRIMSGRVDLQDFVFAKEVRLGTYRARASSLPPAAIVATKAMRTDPRAEPRYAERVPYVVVHGEPGARLADVVVDPFDLLTIDSPYRVNDMYYIQKQIIPALQRVFGLVGADLNQWLQGMPRPEREAIGKRGVFAPNAQRTRIDYYYLSKHCILCGELVQAFAFLCHNCSKNEASVAVALTGRTSKLERDIHHLVAICRHCGGGDWVLESGVKCTSLACSIFYERRKIQKELQSLSAAASEAGFYPGCVVEWF >itb10g11620.t2 pep chromosome:ASM357664v1:10:17228954:17243259:1 gene:itb10g11620 transcript:itb10g11620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYNLYGMGHLHVSKMKFRYPVPDTFSPRKSNHNEPKRTIMDIPANTSADFQADSGYDPYSDPPIWISSTIPDGWVWLYSSQDDSSSDQALPAMKRSSTSALEGDAVLDAILNQQFLSYTSLSQTCSKVRMIQSLIPIWEEFERNGMHELALPPDPGKPLPVDVLRILSNGIGFEDIFLEPDNVAALCFHSQSINSSTEEGKSFQDDDMGKSNEKTNAYPSQLSTECLEISDNIQLSSGVKVSDHDALGILNWLASSQAAEDINSDDDLARETILSPLMPATTLDKVLEKAHADYENESQQECEDILDTVQDRANIEGFDGRAADLIDSNHSCKTSSDRMIPQVDGSSDSFDAFSCDGKSHHKSGAPSGTDSWDDAHLAMISNRKKRRQKWGSLPVSSGQRTSDLSPSTALSTISRCGNHIKDDSGLPYSVGSGANLSPSIVKGSTKEIMVNCSMRDLMRKKRYYRAEPSECRAQIKKSPSDEEDKGSLYIGQTLDEQEPSECRAQIKKSPSDEEEKGSLYIGQTLDEQYKIPFAYSMNMNSGAIQQRECDGTNSCTAFQMHYEPYDAKTDNLANGKLPIYSGDCNTLPRDSSNVEPCHSFGGSDVRGVSEAGVDLQNSGFSGAPLLNKKTDPSEQYSHFKSSVCAVQIKHCASNGCENMDININSTKPLPTDVVYSEFVPHAQSSEFASENKSSGGEKVLQRDAIDSSCQSSSIGGVTFKDGGATCAEIDLLQMTDKTGFTAHKKSNDGISSQKGVPDELRPFFGMDCLVEGDCYNCRTLDHNHRQGGLLGVPIHYQNDGSYLFMLTPVFRPPQTKCVERWLTLDSTDVSEENKVVCPALAPSIKESSSEAVGLQNSRALSGSQPLIEPVPASDLKPNLDLINQQHKGSHNMELEHFHDDIKVIPQCKEDMLKCKPSTDFSEDISQISGPDRKSKLTPLSQIGFRDSASIGCGQQLTLLSIEVQAECRGDLRPDPRFDAVSIIVLVIQEDDDPIADSYVLLHCNGAPVQRNLYGLSGCKLLGFSEETHLFTQFVKIFSCIDPDVLMGWDIQGGSLGFLAERAAHLGFDLLNKISRTPSETNATSRCYEEEKLSDLFSESIITDSVLHDDAMIIDDEWGRTHASGIHVGGRIVLNIWRLMRGEVKLNMYTMETVAEAVLRRKVPSIPSKVLTNWFLSGPGRARFRCIEYILDRAKLNLQIMTQLDMINRTSELARIFGIDFFSVLSRGSQYRVESMFLRLAHTQNYIAISPGNQQVAFQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCYSTCLGKVTASKSNILGVSSYSPDRNVLRKLKHKILLTPNGVMFVPSEVRKGVLPRLLEELLSTRIMVKQAMKKLAASEKVLHRIFNARQLALKLISNVTYGYTAAGFSGRMPCAELADCIVQCGRRTLENAISFVNTNDKWKAKVIYGDTDSMFVLLKGRSLKEAFQIGHEIASEVSAMSPNPVTLKMEKVYHPCFLITKKRYVGYSYESPDQSKPIFDAKGIETVRRDSCGAVCKTMERSLRLFFEHHDIEKVKSYLLRQWKRIMSGRVDLQDFVFAKEVRLGTYRARASSLPPAAIVATKAMRTDPRAEPRYAERVPYVVVHGEPGARLADVVVDPFDLLTIDSPYRVNDMYYIQKQIIPALQRVFGLVGADLNQWLQGMPRPEREAIGKRGVFAPNAQRTRIDYYYLSKHCILCGELVQAFAFLCHNCSKNEASVAVALTGRTSKLERDIHHLVAICRHCGGGDWVLESGVKCTSLACSIFYERRKIQKELQSLSAAASEAGFYPGCVVEWF >itb13g02100.t1 pep chromosome:ASM357664v1:13:1937439:1942395:1 gene:itb13g02100 transcript:itb13g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSSIFEDLPSSSPSSAGSPSSPPSAKRIRCSSFSPPRSAAAANLFSAASWTSVSTPLGYLVARFPSMDKELLEKALHECGNDLDSAIKSLNELFLGSGENMGSATGNPDASLEASTQILNQGNSTSNGDDGTPQEQPSANKQPPINREKFVDIFVREMTMASNIDDAKARASRALEVFEKSIYANASETATQSFQQENLILKQQLEALLQENNILKRAVSIQHERQKEFEERGQEVNHLKQLLAQYQEQLRTLEVNNYALAMHLKQAQQSNSMPGRFNPDIF >itb05g06830.t1 pep chromosome:ASM357664v1:5:7699199:7703784:1 gene:itb05g06830 transcript:itb05g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGKHCTVLTKRYRGKSRKLGGLIINDTIPPFICDLKNLTHLDLNNNNIPGSFPAFLYNCSKLEYLDLSFNNLSGIIPDNISLLFPRLEVLKLSSNWFVGGVPAGIEGLKGLKELQLAGLFTNGSFPPEIGNLLNLEVLVLSQNSFSPQEIPPSFAQLKKLRHLWMKEANLIGKIPENISSMEALEYLDLCQNELSGNIPSDFFLLKNLTTVFLWSNRLSGPVPRPVMALNLNVIDFSNNSLTGSIPEDIGKMVKLENLALFMNQLSGKIPVGIGRLPALSSIELFMNNLSGELPPDLGRFSKLKIFDVSTNHLTGSLPDGLCYNKVLYGIYAFDNNLTGELPKSLEDCNTMSAVRVERNNLSGTIPNGLWTIGPLTRLLISNNQFTGQLPQKVAPNLSLLDIGHNQFSGEIPEGLWTAENLEVLHINNNQFTGQLPQKMASNLYIVDISNNRFSGEIPDGVWTSGQLKWLFIKNNQFTGQLPKSITSNLSILDISNNQFFGEIPPAISSWSNLYIFSASNNLLTGQIPHELTALRSLSVLRLDGNKLSGNFPSNIISWESLSTLTCSRNQLSGTIPPALSLLYLNQLDLSENQFSGEIPPEIGHLVVNSLNLSSNHLSGKIPDEFEVVSFRKSFLNNPGLCATTPSLGLRDCREKTEKSKLIAIIGSIVALLFLVVILYMVHVFKIRRLEEKKRKEALVQHWKLTPFHTLNFMESDILPNLAEDNMVGSGGSGKVYVVALPTGEKVAVKSIRNNYKLCEKVFLAEVKILGTIRHSNIVKLWCCISSEESKLLVYEYMENRSLDLWLHAKRRSPGQFLDWPTRLRIAIGAAKGLSYMHHNCSPPIVHRDVKSSNVLLDSDFNVKIADFGLAKILINHGDPNTVSTVAGSFGYIAPEYAYTKKVNEKFDVYSFGVILLELVTGREPIDGDMGCGLADWARYHVQEGNQIVDALDGDIKEAENNLDEMCGVFRLGIFCTGSNPAKRPTMRKVLQILLYCSPPSPXNELIVNIMDSDKSSQDSK >itb14g09780.t1 pep chromosome:ASM357664v1:14:10613322:10614204:1 gene:itb14g09780 transcript:itb14g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGEEIQRTAIKLHRERACAILQICSSRKSSTPYPKSAVIGSENCKGWGSLDTVLAAECLALTLLTQGSLTADCSMPCPSCSKMDNHQPIHTYHQASSPWISDYHQPTCQLFLIIA >itb01g17100.t1 pep chromosome:ASM357664v1:1:21774781:21776011:-1 gene:itb01g17100 transcript:itb01g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGSVYNSHNLPTVMVTNDDGVDAPGLRALVSVLVSTNRFNGALVSVLVSTNRFNVLVCAPDFSTNRFNVLVCAPDSDTNRFNVLVCAPDSEKSAVSHSITWRHAIRAKRVHDIPGATAFAVAGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNGVPSVSLSYDWVHGKSNLNDFTLAAQAFIPIISAILGDIKNQTYPLNCFLNITVPTDVVNHKGYRLTKQGKSFIRTGWKHVTCEAEGGKMLSTMTMDMTPTQTAQQSVVSTQEDQFLFTREVRSKQVDKEGTDYSSLQEGYITVTPISALFNADIDGVTFFNKWLPSLDEHSNFACKFQASLQKEGKTRHLDSSTPSGLLC >itb08g11360.t1 pep chromosome:ASM357664v1:8:11307725:11312125:1 gene:itb08g11360 transcript:itb08g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSAAAPVELDFFRLEKEAAAKPPPPSKLFDRRRSFRAISKINPELLKNVIATASASCASTSDLAAPKPSSYLSSPSSKEDQLLSPSMESTPLTVFYNGTVAVFDVHPLQAENILRLAHEGISQTSDSAHSIGVDKLSGDMPLHRRMSLQRFLLKRKERCIMAAPYAYPSNSAFGN >itb07g23010.t1 pep chromosome:ASM357664v1:7:27499612:27503735:1 gene:itb07g23010 transcript:itb07g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNMKKKAGSTNSSETTKPKERHIVSWSQEEDDILREQIRVHGTDNWTIIASKFKDKTTRQCRRRWFTYLNSDFKRGGWSPEEDMLLCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKNEALAKENSNSSINRRVIFPSWLNSSDSISESAVPLKKQRRSHIPDPSESFSNGEKLVSCDATNQMLRSPFAVIGQNLYSPGSNVSSHQNDEDTKDLHANGSSNKTEGTFLKKDDPKILALMQQAELLSSLALKVNSENTDQSLENACKVLEDFLNHTKDGDVTKCQIAEMEIQLENFKQSANELKNINECSQPSWRQPALSEESAGSSEYSTGSTLLAHGVGDNGEKSEAELCALHQDIESGLQSTHIDDEFAKGISGNNASASQVNTFPACDKDNPINETICEYSNEECCSPLQVTPMFRSLAAAIPSPKFSESERQFLLKTLGMESTSPNPTINPSHPPSCKRALLHSL >itb08g00840.t1 pep chromosome:ASM357664v1:8:616315:617667:-1 gene:itb08g00840 transcript:itb08g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMVVAVVLAAAMTTEAQPQLPSCAEKLIPCVEYLNYTGELAPCCDGLKEVMANEVPCLCQFARDHGLMSYSKVEGDNPRSPYDLPQQCGVSDTIVCDANGAGRMIARTAISSLLLLGVLLMML >itb11g12110.t1 pep chromosome:ASM357664v1:11:8989889:8990340:-1 gene:itb11g12110 transcript:itb11g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFVVMQELPRSIEGEKVNLERKLLILTEELSYEKDRVLRISVDIDNFQKRTERERLSLVTNAQGEAENDLEKVGAENVKRALSCPLKLIAKG >itb12g23670.t1 pep chromosome:ASM357664v1:12:25313243:25317815:-1 gene:itb12g23670 transcript:itb12g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRNAVMKEKDEELALFFEMYRLEKEQDDLLLLENSDEFDAPLGSATGSSPIYSIASTTPVMKIGTDDFLNSENYKNDYEWLISPPDSPLFSSLELDPEETITSQLETPEACAPVQKCRLSNPHPEPIARSNLTSREPASSPQFNTSRTLSRRHSYSGGSKPSIPGVIPTLSKSRSTLTSLSKPTSNASSKGMSTGVASKTSTTVSRTSRSATPTSCATLHSTKPTAPPTTSTPNSRSSIRSSTPTTRPSIPGPKSTSRAAAPSSHPTLSTASNTISSPGRFPLIKSTNGTRNPPPLRASSPTMKPRSMKPPDSLRLSLDASPNSMKPLPDKPVSAPRGRPGASSVDLSSVVNGRIRRLSCSPARGRPPNFGIHSSGSSVPVSAMSRLQAKANTNVSPVVVGTKMVERVINMRKLAPPKQDNRHSPNSYLSLKSSSPDSSGFGRTLSKKSLDMAMRHMDIRRSVPGNTQPPMTKNPASSTNSVRSGPRRKAGSVSSDTRIATISRTSSEVSVDKNSLHIDGCQVEDDIISKRDAQSPASVDGG >itb09g28650.t1 pep chromosome:ASM357664v1:9:29239622:29240565:-1 gene:itb09g28650 transcript:itb09g28650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIIVEEEAWKCLKHPSNRQRNGVCPVCLKERLAILCPDCAAVRPCACLAATSSSSSSASSSFDGGEIDREPDFRRSRSVGVPILRPRDGLSGSFRRNPAPNCQSKTAWFRSLFKYSSKSARKSESELKEEKQSEVNFVSARCSGAGDGGIVDFAALMRSRSVSVQVTSGCGVGDLTKAKGWHFRSSVKAFRQPKVVQERSPLFKR >itb12g19220.t1 pep chromosome:ASM357664v1:12:21591449:21594442:1 gene:itb12g19220 transcript:itb12g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITNHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFSPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKLKKPLLSTSHTNSTTSPTTNTTNNHRVLQPQTSSPFSFINTNCNNQLDFFNTQDFTTKPPLQLRQTLFSFPNTNPNPFFPFDANAALEGVNGDYTDRAAAVDQPFQDSPAFWQQELQAAAVFSMGMDSGYLPPLMENIMAPPPPSVELPAPPCNVALARESDHVSEWAAVDTQQCPGSFLFWDQTDGEELALPTTSNIGAILSSSFPSSI >itb05g21940.t1 pep chromosome:ASM357664v1:5:27522045:27523088:-1 gene:itb05g21940 transcript:itb05g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKTLPLPSPRFKDLTFRDSNPHFPVSLPTIKANGKINSNPFLNSCLKTSLESIVAPAPFSTPAPTRVKILSEALPFIQKFRGKTVIVKYGGAAMKSEALQASVIADLVLLSCVGLRIVFVHGGGPEINQWLGRLGIKPNFLNGLRVTDASTMEIVSMVLIGKVNKHLVSLINKAGATAVGLSGIDGHLLTARPSPNSAQLGLVGEIERVDPAVLRPLIDNYHIPVIASVAADKTGQSYNINADTAAGELAATLGAEKLILLTDVAGILKDRDDPGSLVKEIDIKGVKKMIDDGKIAGGMIPKVNCCLRSLAQGVRTASIIDGRLEHSLLLEILTDQGAGTMITG >itb02g09780.t2 pep chromosome:ASM357664v1:2:6271159:6274549:1 gene:itb02g09780 transcript:itb02g09780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTPKNILITGAAGFIASHVANRLVRSYPNYKIVVVDKLDYCSNLKNLLPSRPSPNFKFVKGDIASADLVNYLLIAESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAQDICKLFNMDTETCIKFVENRPFNDQRYFLDDQKLKNLGWSERTLWQEGLKKTIEWYTNNPDWWGDVSGALLPHPRMLTMPGGIERNFDGAEKYDSGSSEFSGKSNQTEMVVPVPRISNSAQKQPYKFLIYGRTGWIGGLLGKLCEKQGIPYEYGRGRMEYRSQLLADIQYVKPTHVFNAAGITGRPNVDWCESHKCETIRTNVAGTLTLADVCRENGLLMMNFATGCIFEYDAAHPEGSGVGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLNNPRNFITKIARYDKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYMDPTFKWSNFTLEEQAKVIVAARSNNEMDASKLKKEFPELLSIKDSVIKYVFEPNRKTSA >itb02g09780.t1 pep chromosome:ASM357664v1:2:6271154:6274572:1 gene:itb02g09780 transcript:itb02g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTPKNILITGAAGFIASHVANRLVRSYPNYKIVVVDKLDYCSNLKNLLPSRPSPNFKFVKGDIASADLVNYLLIAESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAQDICKLFNMDTETCIKFVENRPFNDQRYFLDDQKLKNLGWSERTLWQEGLKKTIEWYTNNPDWWGDVSGALLPHPRMLTMPGGIERNFDGAEKYDSGSSEFSGKSNQTEMVVPVPRISNSAQKQPYKFLIYGRTGWIGGLLGKLCEKQGIPYEYGRGRMEYRSQLLADIQYVKPTHVFNAAGITGRPNVDWCESHKCETIRTNVAGTLTLADVCRENGLLMMNFATGCIFEYDAAHPEGSGVGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLNNPRNFITKIARYDKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYMDPTFKWSNFTLEEQAKVIVAARSNNEMDASKLKKEFPELLSIKDSVIKYVFEPNRKTSA >itb14g01380.t1 pep chromosome:ASM357664v1:14:1066206:1070409:-1 gene:itb14g01380 transcript:itb14g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEELEPLFDYHRVQPYNAIFLDDDSPDSSPVANAKKRKIDTSVDEEKQDNKDVIQVIDCEEKEEEDWLKLPTKISADTVKMRENSTIREIRLKKQELASLAESAKDVLRDVEDSVKRDLSTSLQSSEGSVAVQQTKPCSERAKIIICFQDKDGAKQFLVFMDDKFERLFKLYADKAKLNLQNLIFRFDGDRISPTETPHSLGMEDNDIVEVHVKPS >itb03g01700.t1 pep chromosome:ASM357664v1:3:955054:957430:1 gene:itb03g01700 transcript:itb03g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCIAGSARLKKDLRKPTQDADCSDDEASSITSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAIVKCPTLPIQLPLFIACPWCNLLSLRLVYRGNLKFPRKNFFLLWMLESMNGDRRVSQSPSCADHQSSWPPCRTLAGNSATEPSFRRGPYARHSESLGSHHDHTHLNGFLAFERLHSSLRKSLVFFIHLTSKFPLVVIFLLILLYAIPASAAILALYMLITIVFALPSLLILYFAYPSLDWLVREIIT >itb03g01700.t2 pep chromosome:ASM357664v1:3:955090:957469:1 gene:itb03g01700 transcript:itb03g01700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCIAGSARLKKDLRKPTQDADCSDDEASSITSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAIVKCPTLPIQLPLFIACPWCNLLSLRLVYRGNLKFPRKNFFLLWMLESMNGDRRVSQSPSCADHQSSWPPCRTLAGNSATEPSFRRGPYARHSESLGSHHDHTHLNGFLAFERLHSSLRKSLVFFIHLTSKFPLVVIFLLILLYAIPASAAILALYMLITIVFALPSLLILYFAYPSLDWLVREIIT >itb09g04300.t1 pep chromosome:ASM357664v1:9:2397475:2400926:-1 gene:itb09g04300 transcript:itb09g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLEPSFCASSGENTIIVAMDAKRGEAGMDALNWAINNVVRPKDRLVGLGVLSEVEKKTSPSSCFPFHVAIGSKTWLNLDFQGQAETSPRVIKAEIYRKREEYQRILQPYYRHCKRIEVNLDFTLAAGYDPRQITIEEAHKLNPRWIVLDSFLRKEKVYIYGHVACNIAVMKRKGLATLMPLRTPEHNEQWWNNDNEHQRPEEHKQSEQQDKLPNNDKDEEISDQEEEEEVSDKHIPPPTPKSPCWRPLAWRMGFPRILSIEEIENMTNGYGDEITAVCPTREKHRVYEGIFLGTPVMVKCFPKDNDEFWSVLEIISHVRHHSILGLIGHCCTDESMFLVYDFPCSYTLEMNLLDDECSKRIPWKMRWNVALEIGAGLRYLQEECENGPVVDISIASSEVAIFHGSSAMLCIVNRARLLKGGTANQNEDLSAKCGEDHREQSKHILADIRGYGRFLIELITGKTEKYLQEEDDDGHHSSFDWAIPFLHDEDALRKVVDSRLVLDTSDDVRLVVNMARAALLCLKSDFDDRLTMSKVLAVVRGDQDGTTVLSL >itb12g23500.t1 pep chromosome:ASM357664v1:12:25184537:25186675:1 gene:itb12g23500 transcript:itb12g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMLPNSSWLMQPGRRTRWTKEENKLFESALAMIDERTPDRWVRVAHMVPGKSVSDVINRFQELAADVSNIEAGLVPVPGYLASSFPVELVDDRGFPGFRKRGRGCDQERKKGVPWTEEEHRRFLMGLDKYGKGDWRNISRNYVISKTPTQVASHAQKYFLRQLSGSKDKRRPSIHDITTFNLADPNPSEAAKKSVSDEKSVRGTLVPKTIAGTPELESWNFPDDGADAVAASMFRVPADSSFVAYPSQVGYAKFGLEINPTRYQTLRG >itb06g11500.t1 pep chromosome:ASM357664v1:6:16064773:16069303:-1 gene:itb06g11500 transcript:itb06g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNPIVAGKCPLWVLLLLAVIPFGVPKSTIEPCSNSDTCSSLVGYTLYTDLKVSEVASLFQTDPIALLTANAVDISYPDVENHILPARLFLKVPLTCSCVDGIFKSAFVHYKTRPSDTLSFIADTVYSGLVSADQIKEGNPNAVGSDPSVLNVGTNLWIPLPCTCFNGTDNNLPAIYMSYVVSPVDTLAGIASTYSTTLTDLMTVNDLGSPAIKEGDILAIPLSACASSFPKYASDFALSVPNGSYAITASHCVQCSCGPGSRNLYCMPASLAVSCSSMQCKNSNLMLGNVTVQQTSGGCNVTSCDYGGFVNNTIVTVLSSSLQPRCPGPQQFPPLKAPPSSVGPDILFSPAPAPIEAGGPTRTAKSSSVAPSTGSVIAFPPKNAPSGSSSSACTLLSPLSRFPSAVMLGLFLKYWIFV >itb08g03080.t1 pep chromosome:ASM357664v1:8:2580035:2582940:1 gene:itb08g03080 transcript:itb08g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGGMAVGAVVMFGVLSLFFVDVKAFTASGWQSAHATFYGGSDASGTMGGACGYGNLYATGYGTRTAALSTALFNNGASCGQCYKIICDYQADRQWCIKGASITITATNFCPPNYALPSNNGGWCNPPRPHFDMAQPAWEKIGIYRGGIVPVLYQRVPCKKHGGVRFTINGRDFFELVMINNVGGPGSIQSVQIKGSKTNWIAMSRNWGANWQSSAYLTGQSLSFKVTASDGVTKIFSDVIPAGWRFGQTYSSPIQFE >itb14g13270.t1 pep chromosome:ASM357664v1:14:15225496:15229850:1 gene:itb14g13270 transcript:itb14g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAGNFPSPLRSCSSLTLPLPKLPSRIHLRSAISTTGIASAAVSFSPNPNRRSFLLPSFAGGDGGVNGGNFHGGGGGGGDDDDKHDNGESNNNKEEALMALAEAGRSLESLPNDLKAAIQDGKIPGSIVLRYLELEKSAFLSWLLRFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPIALNAGRLAKFFHNCPENAFQVALPGTTFSLLQRLGAIVRNGTKLFAVGTTSSLVGTVVTNTLINARKAVDKSSAEEVENVPVLSTSVAYGVYMAVSSNLRYQVLAGVIEQRLLEPMLHQHKLILSALCFAVRTGNTFLGSLLWVDYARWIGIQKAEEVETHKVQG >itb06g23640.t1 pep chromosome:ASM357664v1:6:25503128:25506154:-1 gene:itb06g23640 transcript:itb06g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSDFLQANINPPSKDHPTFNHDSFDNLPSIIEDYHRHYYPISEVSSYISYVNHFLNDESPAPAPPNSTGHSFGSPPAAAVVTQERSCTTTTTTGSSCSSFDGMPPTSSPHSQMINEMRRKLKVNKEEQTIAFRTKTELPVLDDGYKWRKYGKKMVKSNTNPRHYYKCVNEGCNVKKKIERDPEDLDYLITTYEGIHNHESPFVCLLPEDVGSLCHPSSFTLDF >itb06g23640.t2 pep chromosome:ASM357664v1:6:25503128:25506154:-1 gene:itb06g23640 transcript:itb06g23640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSDFLQANINPPSKDHPTFNHDSFDNLPSIIEDYHRHYYPISEVSSYISYVNHFLNDESPAPAPPNSTGHSFGSPPAAAVVTQERSCTTTTTTGSSCSSFDGMPPTSSPHSQMIGYSSNEMRRKLKVNKEEQTIAFRTKTELPVLDDGYKWRKYGKKMVKSNTNPRHYYKCVNEGCNVKKKIERDPEDLDYLITTYEGIHNHESPFVCLLPEDVGSLCHPSSFTLDF >itb08g13200.t2 pep chromosome:ASM357664v1:8:13824782:13834190:-1 gene:itb08g13200 transcript:itb08g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRKESDTCIQIPKQSFSPSFSSSSPMDFLPQLFLLLAIFTPSIVCQVSEFMSIDCGGSSNYTDSKTGLAWISDSGIGQGKAEKVNSIINGTTQQYQTRREFPADNKKYCYTLSTKERRRYIVRATFLYGTSETDGGIYPKFQLYLDATKWGTITIQESSRIYVKEMVIRAPSKCVDVCVCCATTGSPFISTLELRPLNLSMYATDYEDDFYLKLAARVNFGAQSTDAIRYPDDPYDRIWDSDIDKRPNFLVGVAPGTQRINTTKHIDTNTREYPPVKVMQTGVVGTRGSLNYRLNLEDFPANARAYAYFAEIQDLGANETRKFKMKEPYVADYSNAVVNIAENANGSYTLYEPSYMNVTLNFVLSFSFVKTLDSTRGPLLNAMEISRYVKIAAKTDEQDVNALKTLRSMSVGSGWTEEGGDPCVPTQWEWLNCSTTMPPRITKIVLSGKNVKGEIPHELNNMEGLTELWLDGNSLTGLIPDMSNLINLEILHLENNNLTGALPAYLGSMPRLQELDVRHNSLIGEIPLPLLTGKVTFRYEGNSQLRHGSKSKSHNKLLIGVSVGLVAVLFILFIATMRYFRTKASRQRTDTKGNSLRSSTKPSTNYSSIARGGSLMDEGVACYISLGDIQQSTENFSKRIGKGSFGPVYHGKLRDGKEIAVKVMADSSSHGTKQFFTEVALLSRIHHRNLVPLIGYYEDEHQCMLVYEYMHNGTLRDHIHDPSNQKYLDWLERLRIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDITHISSVARGTVGYLDPEYYAYQQLTEKSDVYSFGVVLLELITGRKPISSEQYGADWSIVHWVRSQIRKGDIVSVIDPRLGGRVKVESVWKIAEVAIQCVERHGYSRPRMQEVIMAIQDAIKIERGIDKSSSAESSRTQSSRKTLLTTFLDTQSPDISNGSLIPSAR >itb08g13200.t3 pep chromosome:ASM357664v1:8:13824789:13834175:-1 gene:itb08g13200 transcript:itb08g13200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRKESDTCIQIPKQSFSPSFSSSSPMDFLPQLFLLLAIFTPSIVCQVSEFMSIDCGGSSNYTDSKTGLAWISDSGIGQGKAEKVNSIINGTTQQYQTRREFPADNKKYCYTLSTKERRRYIVRATFLYGTSETDGGIYPKFQLYLDATKWGTITIQESSRIYVKEMVIRAPSKCVDVCVCCATTGSPFISTLELRPLNLSMYATDYEDDFYLKLAARVNFGAQSTDAIRYPDDPYDRIWDSDIDKRPNFLVGVAPGTQRINTTKHIDTNTREYPPVKVMQTGVVGTRGSLNYRLNLEDFPANARAYAYFAEIQDLGANETRKFKMKEPYVADYSNAVVNIAENANGSYTLYEPSYMNVTLNFVLSFSFVKTLDSTRGPLLNAMEISRYVKIAAKTDEQDVNALKTLRSMSVGSGWTEEGGDPCVPTQWEWLNCSTTMPPRITKIHLENNNLTGALPAYLGSMPRLQELDVRHNSLIGEIPLPLLTGKVTFRYEGNSQLRHGSKSKSHNKLLIGVSVGLVAVLFILFIATMRYFRTKASRQRTDTKGNSLRSSTKPSTNYSSIARGGSLMDEGVACYISLGDIQQSTENFSKRIGKGSFGPVYHGKLRDGKEIAVKVMADSSSHGTKQFFTEVALLSRIHHRNLVPLIGYYEDEHQCMLVYEYMHNGTLRDHIHDPSNQKYLDWLERLRIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDITHISSVARGTVGYLDPEYYAYQQLTEKSDVYSFGVVLLELITGRKPISSEQYGADWSIVHWVRSQIRKGDIVSVIDPRLGGRVKVESVWKIAEVAIQCVERHGYSRPRMQEVIMAIQDAIKIERGIDKSSSAESSRTQSSRKTLLTTFLDTQSPDISNGSLIPSAR >itb08g13200.t1 pep chromosome:ASM357664v1:8:13824789:13834175:-1 gene:itb08g13200 transcript:itb08g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRKESDTCIQIPKQSFSPSFSSSSPMDFLPQLFLLLAIFTPSIVCQVSEFMSIDCGGSSNYTDSKTGLAWISDSGIGQGKAEKVNSIINGTTQQYQTRREFPADNKKYCYTLSTKERRRYIVRATFLYGTSETDGGIYPKFQLYLDATKWGTITIQESSRIYVKEMVIRAPSKCVDVCVCCATTGSPFISTLELRPLNLSMYATDYEDDFYLKLAARVNFGAQSTDAIRYPDDPYDRIWDSDIDKRPNFLVGVAPGTQRINTTKHIDTNTREYPPVKVMQTGVVGTRGSLNYRLNLEDFPANARAYAYFAEIQDLGANETRKFKMKEPYVADYSNAVVNIAENANGSYTLYEPSYMNVTLNFVLSFSFVKTLDSTRGPLLNAMEISRYVKIAAKTDEQDVGSGWTEEGGDPCVPTQWEWLNCSTTMPPRITKIVLSGKNVKGEIPHELNNMEGLTELWLDGNSLTGLIPDMSNLINLEILHLENNNLTGALPAYLGSMPRLQELDVRHNSLIGEIPLPLLTGKVTFRYEGNSQLRHGSKSKSHNKLLIGVSVGLVAVLFILFIATMRYFRTKASRQRTDTKGNSLRSSTKPSTNYSSIARGGSLMDEGVACYISLGDIQQSTENFSKRIGKGSFGPVYHGKLRDGKEIAVKVMADSSSHGTKQFFTEVALLSRIHHRNLVPLIGYYEDEHQCMLVYEYMHNGTLRDHIHDPSNQKYLDWLERLRIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDITHISSVARGTVGYLDPEYYAYQQLTEKSDVYSFGVVLLELITGRKPISSEQYGADWSIVHWVRSQIRKGDIVSVIDPRLGGRVKVESVWKIAEVAIQCVERHGYSRPRMQEVIMAIQDAIKIERGIDKSSSAESSRTQSSRKTLLTTFLDTQSPDISNGSLIPSAR >itb08g13200.t4 pep chromosome:ASM357664v1:8:13824782:13834175:-1 gene:itb08g13200 transcript:itb08g13200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRKESDTCIQIPKQSFSPSFSSSSPMDFLPQLFLLLAIFTPSIVCQVSEFMSIDCGGSSNYTDSKTGLAWISDSGIGQGKAEKVNSIINGTTQQYQTRREFPADNKKYCYTLSTKERRRYIVRATFLYGTSETDGGIYPKFQLYLDATKWGTITIQESSRIYVKEMVIRAPSKCVDVCVCCATTGSPFISTLELRPLNLSMYATDYEDDFYLKLAARVNFGAQSTDAIRYPDDPYDRIWDSDIDKRPNFLVGVAPGTQRINTTKHIDTNTREYPPVKVMQTGVVGTRGSLNYRLNLEDFPANARAYAYFAEIQDLGANETRKFKMKEPYVADYSNAVVNIAENANGSYTLYEPSYMNVTLNFVLSFSFVKTLDSTRGPLLNAMEISRYVKIAAKTDEQDVGSGWTEEGGDPCVPTQWEWLNCSTTMPPRITKIVLSGKNVKGEIPHELNNMEGLTELWLDGNSLTGLIPDMSNLINLEILHLENNNLTGALPAYLGSMPRLQELDVRHNSLIGEIPLPLLTGKVTFRYEGNSQLRHGSKSKSHNKLLIGVSVGLVAVLFILFIATMRYFRTKASRQRTDTKGNSLRSSTKPSTNYSSIARGGSLMDEGVACYISLGDIQQSTENFSKRIGKGSFGPVYHGKLRDGKEIAVKVMADSSSHGTKQFFTEVALLSRIHHRNLVPLIGYYEDEHQCMLVYEYMHNGTLRDHIHDPSNQKYLDWLERLRIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDITHISSVARGTVGYLDPDFGVVLLELITGRKPISSEQYGADWSIVHWVRSQIRKGDIVSVIDPRLGGRVKVESVWKIAEVAIQCVERHGYSRPRMQEVIMAIQDAIKIERGIDKSSSAESSRTQSSRKTLLTTFLDTQSPDISNGSLIPSAR >itb05g20960.t1 pep chromosome:ASM357664v1:5:26893009:26894125:1 gene:itb05g20960 transcript:itb05g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML18 [Source:Projected from Arabidopsis thaliana (AT3G03000) UniProtKB/Swiss-Prot;Acc:Q9M8U1] MGGNEAVKLDDEQISELREIFRSFDRNNDGSLTQLELGSLLRSLGLNPSPDQMESLIQKADRNSNGLIEFSEFVALVEPELIPAKCPYTEEQLRKIFRMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGCIDFPEFAQAITSAAFDNSWS >itb05g20960.t2 pep chromosome:ASM357664v1:5:26893009:26894125:1 gene:itb05g20960 transcript:itb05g20960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML18 [Source:Projected from Arabidopsis thaliana (AT3G03000) UniProtKB/Swiss-Prot;Acc:Q9M8U1] MGGNEAVKLDDEQISELREIFRSFDRNNDGSLTQLELGSLLRSLGLNPSPDQMESLIQKADRNSNGLIEFSEFVALVEPELIPAKCPYTEEQLRKIFRMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGCIDFPEFAQAITSAAFDNSWS >itb05g20960.t3 pep chromosome:ASM357664v1:5:26892996:26894125:1 gene:itb05g20960 transcript:itb05g20960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML18 [Source:Projected from Arabidopsis thaliana (AT3G03000) UniProtKB/Swiss-Prot;Acc:Q9M8U1] MGGNEAVKLDDEQISELREIFRSFDRNNDGSLTQLELGSLLRSLGLNPSPDQMESLIQKADRNSNGLIEFSEFVALVEPELIPAKCPYTEEQLRKIFRMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGCIDFPEFAQAITSAAFDNSWS >itb05g20970.t1 pep chromosome:ASM357664v1:5:26895869:26898795:-1 gene:itb05g20970 transcript:itb05g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASDLYFFYSLLLSLGKQGFRKVDPDRWEFANEGFLRGHRHLLKSITRRKHVQSHQQPPQVQNSSVGSCVEVGKFGLEEEVDRLKRDKNILMQELVKLRQQQQATDHQLQNVGQKVHMMEQRQQQMMSFLAKAMQSPSFVAQMVHQQSDNMRISGVNKKRRLPNQEEENFNVKPVATLPDGQIVRFQPLMNEAAKSLLRQILKINASARLEPKFNNTSGFLTDNTHSTPNVLDSGETANHISGVSLSEVLPTPSMPHLASETGLAFNHHPTSVSQIQPSSGVVPNDAKVTKFPEMNALSSKVDKALSNFFQAQGHMTDDNANHFDRMRSESQDVGYIDTPSGFVDDIVPDGFSSDPDIDVLMDEMPKLPGINDVFWDQILSASPLTGDTDDINSVVLENGVEEHDLQTEDVEWDKLKHMSHLTEQMGLLASGSSNAV >itb05g20970.t3 pep chromosome:ASM357664v1:5:26895911:26898138:-1 gene:itb05g20970 transcript:itb05g20970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGNLLTGLPYMLLASDLYFFYSLLLSLGKQGFRKVDPDRWEFANEGFLRGHRHLLKSITRRKHVQSHQQPPQVQNSSVGSCVEVGKFGLEEEVDRLKRDKNILMQELVKLRQQQQATDHQLQNVGQKVHMMEQRQQQMMSFLAKAMQSPSFVAQMVHQQSDNMRISGVNKKRRLPNQEEENFNVKPVATLPDGQIVRFQPLMNEAAKSLLRQILKINASARLEPKFNNTSGFLTDNTHSTPNVLDSGETANHISGVSLSEVLPTPSMPHLASETGLAFNHHPTSVSQIQPSSGVVPNDAKVTKFPEMNALSSKVDKALSNFFQAQGHMTDDNANHFDRMRSESQDVGYIDTPSGFVDDIVPDGFSSDPDIDVLMDEMPKLPGINDVFWDQILSASPLTGDTDDINSVVLENGVEEHDLQTEDVEWDKLKHMSHLTEQMGLLASGSSNAV >itb05g20970.t2 pep chromosome:ASM357664v1:5:26895869:26898795:-1 gene:itb05g20970 transcript:itb05g20970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQESAAAAAGNSPPPFLSKTYDMVEDPSTDAVVSWSKSNNSFVVWNVPEFAKDILPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGHRHLLKSITRRKHVQSHQQPPQVQNSSVGSCVEVGKFGLEEEVDRLKRDKNILMQELVKLRQQQQATDHQLQNVGQKVHMMEQRQQQMMSFLAKAMQSPSFVAQMVHQQSDNMRISGVNKKRRLPNQEEENFNVKPVATLPDGQIVRFQPLMNEAAKSLLRQILKINASARLEPKFNNTSGFLTDNTHSTPNVLDSGETANHISGVSLSEVLPTPSMPHLASETGLAFNHHPTSVSQIQPSSGVVPNDAKVTKFPEMNALSSKVDKALSNFFQAQGHMTDDNANHFDRMRSESQDVGYIDTPSGFVDDIVPDGFSSDPDIDVLMDEMPKLPGINDVFWDQILSASPLTGDTDDINSVVLENGVEEHDLQTEDVEWDKLKHMSHLTEQMGLLASGSSNAV >itb01g19600.t1 pep chromosome:ASM357664v1:1:25820764:25825636:-1 gene:itb01g19600 transcript:itb01g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGLHFTCFSIRKRCNNLKETIASSLTCHSKRHSSYSASPLEYYHPILQSNGLQNRFKNWQHLRKGKLMASTFSQAIGFWPRRRVQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYMLITGNPVSMHGFQVHHNMYPEDGWLAASPDGVIDTYAYGLPSRGVLEIKCPFFGGDMTKAWPCSRIPIYYIPQAQGLMEILDRDWMDLYIWTTKGSSLFRLHRDKEYWQALEIALSDFWWKHVQPAKELYNCSEITNPCVELKLLRPEPRHELCTYLVHESFRIVVNDSKLVMREINGKLQM >itb13g23350.t4 pep chromosome:ASM357664v1:13:29390916:29393977:1 gene:itb13g23350 transcript:itb13g23350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSICHHRLLNSPSSPSVIISRLHPTKLTFLHPTITQRKVQLMAAQPHSLSASQVGPLRALDNGSPALTSETQTGRIGEVKRVTKETNVFVKINLDGNGVAENSTGIPFLDHMLDQLASHGLFDVHVKATGDVHIDDHHTNEDVGLAIGTALLQALGDRKGINRFGDFSAPLDESLIHVALDLSGRPHLSYDLQIPTQRVGTYDTQLVEHFFQSMVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGSIPRFCTSSPP >itb13g23350.t1 pep chromosome:ASM357664v1:13:29390916:29394011:1 gene:itb13g23350 transcript:itb13g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSICHHRLLNSPSSPSVIISRLHPTKLTFLHPTITQRKVQLMAAQPHSLSASQVGPLRALDNGSPALTSETQTGRIGEVKRVTKETNVFVKINLDGNGVAENSTGIPFLDHMLDQLASHGLFDVHVKATGDVHIDDHHTNEDVGLAIGTALLQALGDRKGINRFGDFSAPLDESLIHVALDLSGRPHLSYDLQIPTQRVGTYDTQLVEHFFQSMVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGSIPSSKGVLSRV >itb13g23350.t3 pep chromosome:ASM357664v1:13:29390916:29395076:1 gene:itb13g23350 transcript:itb13g23350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSICHHRLLNSPSSPSVIISRLHPTKLTFLHPTITQRKVQLMAAQPHSLSASQVGPLRALDNGSPALTSETQTGRIGEVKRVTKETNVFVKINLDGNGVAENSTGIPFLDHMLDQLASHGLFDVHVKATGDVHIDDHHTNEDVGLAIGTALLQALGDRKGINRFGDFSAPLDESLIHVALDLSGRPHLSYDLQIPTQRVGTYDTQLVEHFFQSMVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGSIPRLKLKFRKQTMILLLMYEHTPFL >itb13g23350.t2 pep chromosome:ASM357664v1:13:29390916:29394011:1 gene:itb13g23350 transcript:itb13g23350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSICHHRLLNSPSSPSVIISRLHPTKLTFLHPTITQRKVQLMAAQPHSLSASQVGPLRALDNGSPALTSETQTGRIGEVKRVTKETNVFVKINLDGNGVAENSTGIPFLDHMLDQLASHGLFDVHVKATGDVHIDDHHTNEDVGLAIGTALLQALGDRKGINRFGDFSAPLDESLIHVALDLSGRPHLSYDLQIPTQRVGTYDTQLVEHFFQSMVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGSIPSSKGVLSRV >itb13g23350.t5 pep chromosome:ASM357664v1:13:29390916:29393977:1 gene:itb13g23350 transcript:itb13g23350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSICHHRLLNSPSSPSVIISRLHPTKLTFLHPTITQRKVQLMAAQPHSLSASQVGPLRALDNGSPALTSETQTGRIGEVKRVTKETNVFVKINLDGNGVAENSTGIPFLDHMLDQLASHGLFDVHVKATGDVHIDDHHTNEDVGLAIGTALLQALGDRKGINRFGDFSAPLDESLIHVALDLSGRPHLSYDLQIPTQRVGTYDTQLVEHFFQSMVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGSIPRLKLKFRKQTMILLLMYEHTPFL >itb15g15030.t1 pep chromosome:ASM357664v1:15:13536667:13541711:1 gene:itb15g15030 transcript:itb15g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGGVGSPPTGVAEPLYAAERISVWWDIENCQVPRGCDPHAIAQNISSALVAMNYCGPVSISAYGDTTKISPSIQQALNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAALVAAAKNVWLWTSLSSGGPPLTNIESKQFVTNSIGNVSNCETLAMPINISSQQNQSVDTFHESLYAVNQKFGNLGRGPDTKFKSKQIRRNVTLPSMSRTSSAVVGPQEDHINVNFNQQGQGYPNHFNDSQNLSAAHNTGIPFTGPGTSPNIIYPGSSWVNPSNPFNPYQSCLTMPMRPNTPPPPPPSNALPPISHMRPAHVMPPRTDVPSTALCTLTNAPDISRLKVGEYPNDGYNPPLAQPRNGGEVRPTPNINSSHHLNLNGPPKVYKPQKKQSFYSEKEANRYPLSGQEILPPPSSAIGSSNVSVNGAWGGSTNPSDYVLSLMGVIILALDTLKNEKIMPTEANISDCIHYGDPSYRDTDVKKALESAVEHQMVVMQNLGALQLYVRKNEKLWKCVNPLGENQKEYSTEIWDEIQKFLSSSAGQSALLATHCRYEAATVIKKMSLKELSLGEILQILHMIINMKKWIAHHPSGWQPVKIAVAETNPTLVQGS >itb15g15030.t2 pep chromosome:ASM357664v1:15:13536724:13541570:1 gene:itb15g15030 transcript:itb15g15030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGGVGSPPTGVAEPLYAAERISVWWDIENCQVPRGCDPHAIAQNISSALVAMNYCGPVSISAYGDTTKISPSIQQALNSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAALVAAAKNVWLWTSLSSGGPPLTNIESKQFVTNSIGNVSNCETLAMPINISSQQNQSVDTFHESLYAVNQKFGNLGRGPDTKFKSKQIRRNVTLPSMSRTSSAVVGPQEDHINVNFNQQGQGYPNHFNDSQNLSAAHNTGIPFTGPGTSPNIIYPGSSWVNPSNPFNPYQSCLTMPMRPNTPPPPPPSNALPPISHMRPAHVMPPRTDVPSTALCTLTNAPDISRLKVGEYPNDGYNPPLAQPRNGGEVRPTPNINSSHHLNLNGPPKVYKPQKKQSFYSEKEANRYPLSGQEILPPPSSAIGSSNVSVNGAWGGSTNPSDYVLSLMGVIILALDTLKNEKIMPTEANISDCIHYGDPSYRDTDVKKALESAVEHQMVVMQNLGALQLYVRKNEKLWKCVNPLGENQKEYSTEIWDEIQKFLSSSAGQSALLATHCSHCYKEDEFKRAFSWRNTSDLAHDYQYEEMDRTSSIRMATS >itb11g21000.t1 pep chromosome:ASM357664v1:11:22372693:22375523:-1 gene:itb11g21000 transcript:itb11g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGKTPILTPVELEDIGFKIVAYPLSLLGVSICAMQDALKAIRSGRLPSPGSMPSFEEMKDILGFNAYYEEERRYATSTTQPSSQKGYTPPENSEQDDTELRSQKPQDPVVEVLTPEVYNPYDGDSSGESFSGIWSRKLRVKITGRDGIEKLDIRIPAGFLEGIKNIVPALVGVNLKALLDDASFEEGGKQLLDFKDTRGDRIQVFLE >itb11g21000.t2 pep chromosome:ASM357664v1:11:22372792:22375045:-1 gene:itb11g21000 transcript:itb11g21000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFEEMKDILGFNAYYEEERRYATSTTQPSSQKGYTPPENSEQDDTELRSQKPQDPVVEVLTPEVYNPYDGDSSGESFSGIWSRKLRVKITGRDGIEKLDIRIPAGFLEGIKNIVPALVGVNLKALLDDASFEEGGKQLLDFKDTRGDRIQVFLE >itb11g21000.t3 pep chromosome:ASM357664v1:11:22372693:22375523:-1 gene:itb11g21000 transcript:itb11g21000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGGKTPILTPVELEDIGFKIVAYPLSLLGVSICAMQDALKAIRSGRLPSPGSMPSFEEMKDILGFNAYYEEERRYATSTTQPSSQKGYTPPENSEQDDTELRSQKPQDPVVEVLTPEVYNPYDGDSSGESFSGIWSRKLRVKITGRDGIEKLDIRIPAGFLEGIKNIVPGTLHP >itb10g01440.t1 pep chromosome:ASM357664v1:10:1086916:1090346:1 gene:itb10g01440 transcript:itb10g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MEFLRESFSGTKADPAEFEAELTLSDKLKNFKSSTFDPDTYVCSKCRNMSEKETKHLWHYLMDLKKASAEEMRKSVYANYAAFIRTSKEISDLEGQLISLRNLLNNRAAIIQGLGEGTNLDSLAGPEGLSKEEISSFEAREPAKIDKWRVEFMESLEVLLAERRVDEALNALEEGENMAKEARKKQTLAPAALQALQTAITEQRQKLADQLAEAACQASVSGFELRSAVMALKRLGDGPRAHTLLLKSHQQKLRSNTQALRPSGASHGVAYTAALSHLVFSAIAQGASDSLAIFDDEPAYSSELVTWSVNQTETFAQLIRRHVLASPAASGGLRAVAECVQICLGHCALLEARGLALSPVLLKYFRPCVEQALTANLKRIEQNTAALAAADDWLLVHSPMGTRSLGTSSLASMISQPKLSSSAHRFNTMVQELCEDISPLESLQLSDQAMEGVLQTFNAYINMLVNAMPGTVETENLEGSGQRIVRLAETEAQQTALLANALLLADELVPRAAMKLSPSLQDPAKRASDRRLPEQRELKKKLQRVVDQLRDTFCRQHALELIFTEDGGVRLNADMYLSMDGSGEEPEWFPSLIYQELFEKLSRIAFIASDMFVGRERFATMLLFYLDMEFVILFASQGRYLSRNLHQVIKNIIGRAIEAVAASKIDPYSTLPDDEWFADVAQIAIKMLNGKANFGNMEREAGAVGSPTASVSSPVSHGSQ >itb07g20560.t1 pep chromosome:ASM357664v1:7:24963136:24964633:-1 gene:itb07g20560 transcript:itb07g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRDEEDDDYEEEEEEEEEYEGGGKAERKRSRSDFIDEDEEDEDYGGRTRNKKRSAASHFFDLEAAVDSDEEEEEEEDGEDDFIVDGGADIADEYDSRQIDRRPNLVSREEEEEDFEALERSIQARYARSNSDVKYEEASDDVDQQALLPCVRDPKLWMVKCAIGREREVAVCLMQKCIDRGSQLQIRSVIALDHLKNYIYIEADKEAHVREACKNMRMIYPAKLMLVPIKEMSGVLSVESKALDLARDTWVRIKTGAYKGDLAKVADVDNVKQRVIVRLIPRIDLQALANKLEGREVPSRKGFIPPHVL >itb05g05050.t1 pep chromosome:ASM357664v1:5:4809617:4810467:-1 gene:itb05g05050 transcript:itb05g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQSHLFDEEEGVSGQESPVGSHDDHVTDSPGGSFFDHPPESFWVSTEDSEQQEDWFYRNAATVQRKASRKLTFSGGPAHRRKSSLTSSSNRKRNLSLIALPAAQKSRTAADGIFRRDKKVGLFRSRSDPGGKAAVPPSEPGSPVVTCTGRVRTRKGGGGKTGLVKWFGSVLKNRFGKNRVSSKASTG >itb01g32400.t2 pep chromosome:ASM357664v1:1:35905259:35912265:1 gene:itb01g32400 transcript:itb01g32400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAVEGCMHGDLDNVYATLLHLQDVEKIKIDLLICCGDFQAVRNEKDLESLNVPAKYKSMNSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYYGGWAAPQIYFLGFAGVIKFGNIRIGGLSGIYKSHHYHSGHYEKVPYNERDIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPLGITDHGNLKSLLSQKPFFKQEIQEGTLGSKPAAELLEKLRPSYWFSAHLHCKFAALVQHGEGSSVTKFLALDKCLPGRKFLQVIEVESEPGPYELQYDEEWLAIMRKFNSILPLTIRRVDYR >itb01g32400.t1 pep chromosome:ASM357664v1:1:35905250:35912267:1 gene:itb01g32400 transcript:itb01g32400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAVEGCMHGDLDNVYATLLHLQDVEKIKIDLLICCGDFQAVRNEKDLESLNVPAKYKSMNSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYYGGWAAPQIYFLGFAGVIKFGNIRIGGLSGIYKSHHYHSGHYEKVPYNERDIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPLGITDHGNLKSLLSQKPFFKQEIQEGTLGSKPAAELLEKLRPSYWFSAHLHCKFAALVQHGEGSSVTKFLALDKCLPGRKFLQVIEVESEPGPYELQYDEEWLAIMRKFNSILPLTIRRVDYSNVQLDLQECRHFVRNKLQSRGAKPFDFVRTVPCHDPCQPLANGVFSGHCRNPQTEALLQLLELEYLLDNKSESREFSGSPASFISSSFDYGTEDIPIDDVDDIDEPKEVDASETENEQI >itb04g28480.t1 pep chromosome:ASM357664v1:4:32199562:32200992:-1 gene:itb04g28480 transcript:itb04g28480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKAKESVEASKEEAERVLSLSFTPHRQGVDHTTFYSYFCLRGIRVDRCRPGFISCTFKVPSRLTDKNGNLGCGAIATLVDEVGCAMRYVEGLPFNVTVAISITYLSTAKLHDELEITGRVLGNMGNYFGTSIVVKNKASGEIIADGRTSLYRNPINYNSKM >itb02g00590.t1 pep chromosome:ASM357664v1:2:372152:372998:1 gene:itb02g00590 transcript:itb02g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYPHPYWVRLHESGKGDRESPLPAEEAREEAARARVVPGGARAVSGGAKKHCLCSPTNHPGSFRCRLHHKEYKWGCQLTAKHSQ >itb07g14330.t1 pep chromosome:ASM357664v1:7:16947022:16950019:-1 gene:itb07g14330 transcript:itb07g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTLGIQCLSLLILFSTTSSLSETLHTIPRLTPFYRSNLRRPESSSFNILPQEFKTYYYNQTLDHFNYRPQSYATFKQRYIVNSKYWGGAQSNSPILAYLGAESSIDYDPLDIGFLTDNAPHFKALLVYIEHRYYGESIPFGTTEEVLKNENIRGYFNSAQTLADYAEVLLYIKKKYWAQDSLIIVAGGSYGGILASWFRLKYPHVALGALASSAPILYFDNITPQNGYHSIVTKDFREVSESCYQTIRKSWSIIDKIASKPDGLSILSRKFKLCQDLNSSRDLKNYLVLRYSVAAQYDAPPECPVTEVCGGIDGAPKGSHILDRILAGFFASERNQSCYSVPTGTGIWGWTWQDIKLVLNKFGSNIIFSNGLRDPYSSGGVLENISDTILAVYTVMGLTV >itb01g07480.t1 pep chromosome:ASM357664v1:1:5912948:5916140:-1 gene:itb01g07480 transcript:itb01g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDFVVGKLKEEALQEVLFQWRIKAEVEKITARLANMKGYVDDSGKGKQDTNVAESWATQLRDTTLELEDLVEEFMLDSKLVELNTPPCNFCEVKSLFANVQSLVERVKIQFFFHRQLKAMDEKLLALETDKSNYSIKLKTNDERNELLMGSGSGYMEGTEAVGIDKQVEDIAELIQKNRRGRMLVITVWGAGGCGKTTLAKQVYERVKNGGSIDCFSWVDVNHSSDIEYVLRETIKGLYKSVGSEMPPKLEKADENSLQHHICDYLKGKRYVVFFDDVWDEKLLSKINIPVHHESAIIITSRDKGIADGSFLGVTSHCVEVKPLELDIACNLFCKYAFPPPAKPEGNWPNETVKELGEALVKRCTGLPVAILAMAGLMSKKGDDPANWRDALQSLDYYSAQSEEGGSLKSLNRALLLSYNELPTHLKSCFLYCAMFPKTQSLHVEKLIRMCIAEGFIAERGGKSLEDIARNYLLELNNRSLIRIVTKKSIFVRVEDKIEMHDLFRDVAGEVIRREMFAEIKLSGMHNTKLEWKQRRSLIFLKIKQKVDLEMGNMKKLRTLIIFRGGIIVRNSLPQMLQNMKLLRVLALEWLIGGYAKGLPNEVGDLINLRYISLYGNIATRHLPDSLGRLHNLQTLDLRLTPVKSLPKCVSQLMQLRHLFGHYDLQLPDIVFTSSQLQTLSGILINTIEARELVSLTQLTELDITFKEGEECWRAICDSVKKMTNLRSLFIWYKGDGMVWEFGNFSPPLYLEKMALRNLQKLVKFTRTLNYLHSINIQECYVDGDFFNSLEKLPSLVCLYIGSYSGEELLCSDGSFQKLKKLEILCEKLTKWEIGKGAMKYLESLAILDCYSLEMVPEGLREVEYLKELRLSHPSQQLVQGISVEGSDRWKIEHIPRVTIKTKSTDDDDKEDEVDIKCRNED >itb05g05180.t3 pep chromosome:ASM357664v1:5:4929394:4943657:-1 gene:itb05g05180 transcript:itb05g05180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTRFEAPASPDSGFAGNFSNGQRGNGGADSRMFGYGKVTSRISGAGTGEMPPLSQCLTLDQIKMGDQKYPRSGELRRVLGISVGSTLEDNAFGATHLKTSPPVSTEEVKRLRANLADTCGKASGRAKKFDELLHKLNKFGEVTKKPLRNEALTNERSGSSNLKMGTQVHRGLSDQVTLKTEDRTKNVSLNKRVRTSVAETRSEFRGNGQPRQQLLMTKDRDKVKQSNASTDSAEEKIRRLPAGGEGWDKKMKRKRSVGSVTSRPSDNDGEPKRNVHHKFNSELGLSSCDPHSLRSGTFGGAGGNSKLEGTLSTTSAHATLKNEREKSPLSRGFTAGLNKEKALAKGSIKLNSCEESHAASPGPITKGKASRASRSGLLVGNNSTPTIPRIPGTLESWDQPSNLNKSLCIGGANNRKRPLPAGSSSPPITQWIGQRPQKISRTRRVNLVSPVSNNDEMQMSSEGCSPSDFGARSTYNTVNGSLLSKGATSGTQSFKVKPESVLSPGRLSESEESGVGENRFKEKGTGNSEGEEKTVNGIQNTRPPMAHAKKNKLLVKDNVGDGVRRQGRSGRGSSFARGDISPAKEKLDNLSMPKPLRGMRPASDKNCSKSGRPMKKQTERKGFSRLGLPVSGGSPGFTGESDDDQEELLSAAKSAYNFSIHACSSVFWKKVESLFTMISSEEKTYLLEQLKSAKELHTDLTHISCSNNGVMGDNVQDEISASDVLSDDQDRCKKNSIESKESANTSYVVDRFQESMLYANLDSDRILNEDTSLYQRVLSALIVEDDSEVYEENGFEKDSPDDASPFVDSGNKNRGGMEFECESRISVQSQKNGTTNRFAQCNGHNSCSSTRAQDPPCSTEMLVGDSGYTHSDSRLLAGLSRCNDDCPENSLTSSFDVSSFDHQYAQMPVNDKLLLELQSLGLYVETVVCFSYHSLVYICCLCVYIFICTCVCFNLNFSSRLFQPGLEDKEDDLINQEIMQLERGLCQQIDRKKTSLDKMAKTMEGREDVDCWDPEQVAMNKLVEVAYKKLLATRGSLAAKIGVPKVSRQVALAYARRTLARCRKFEESGTSCFSEPSFRDIIFAPPHRMVEAELLTGPFIGSADGDLDSRDTSNQQSDQAFVRTGPISNRGKKKEVLLDDVSGTASRATSTLGGAKGKRSERDRDSAARNEIPKAGHLSLGISKGDRKTKTKPKQKTAQLSTSGNGTYNKKFSEPVMPLNSGEANGNMKIEGNVPLNSPRQPKESADLANLPLPLNDIDQIGLDVAPDIGGAQDLNSWFNFDDDGLQDDDCIGLEIPMDDLSELNMF >itb05g05180.t1 pep chromosome:ASM357664v1:5:4929356:4943664:-1 gene:itb05g05180 transcript:itb05g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTRFEAPASPDSGFAGNFSNGQRGNGGADSRMFGYGKVTSRISGAGTGEMPPLSQCLTLDQIKMGDQKYPRSGELRRVLGISVGSTLEDNAFGATHLKTSPPVSTEEVKRLRANLADTCGKASGRAKKFDELLHKLNKFGEVTKKPLRNEALTNERSGSSNLKMGTQVHRGLSDQVTLKTEDRTKNVSLNKRVRTSVAETRSEFRGNGQPRQQLLMTKDRDKVKQSNASTDSAEEKIRRLPAGGEGWDKKMKRKRSVGSVTSRPSDNDGEPKRNVHHKFNSELGLSSCDPHSLRSGTFGGAGGNSKLEGTLSTTSAHATLKNEREKSPLSRGFTAGLNKEKALAKGSIKLNSCEESHAASPGPITKGKASRASRSGLLVGNNSTPTIPRIPGTLESWDQPSNLNKSLCIGGANNRKRPLPAGSSSPPITQWIGQRPQKISRTRRVNLVSPVSNNDEMQMSSEGCSPSDFGARSTYNTVNGSLLSKGATSGTQSFKVKPESVLSPGRLSESEESGVGENRFKEKGTGNSEGEEKTVNGIQNTRPPMAHAKKNKLLVKDNVGDGVRRQGRSGRGSSFARGDISPAKEKLDNLSMPKPLRGMRPASDKNCSKSGRPMKKQTERKGFSRLGLPVSGGSPGFTGESDDDQEELLSAAKSAYNFSIHACSSVFWKKVESLFTMISSEEKTYLLEQLKSAKELHTDLTHISCSNNGVMGDNVQDEISASDVLSDDQDRCKKNSIESKESANTSYVVDRFQESMLYANLDSDRILNEDTSLYQRVLSALIVEDDSEVYEENGFEKDSPDDASPFVDSGNKNRGGMEFECESRISVQSQKNGTTNRFAQCNGHNSCSSTRAQDPPCSTEMLVGDSGYTHSDSRLLAGLSRCNDDCPENSLTSSFDVSSFDHQYAQMPVNDKLLLELQSLGLYVETVPGLEDKEDDLINQEIMQLERGLCQQIDRKKTSLDKMAKTMEGREDVDCWDPEQVAMNKLVEVAYKKLLATRGSLAAKIGVPKVSRQVALAYARRTLARCRKFEESGTSCFSEPSFRDIIFAPPHRMVEAELLTGPFIGSADGDLDSRDTSNQQSDQAFVRTGPISNRGKKKEVLLDDVSGTASRATSTLGGAKGKRSERDRDSAARNEIPKAGHLSLGISKGDRKTKTKPKQKTAQLSTSGNGTYNKKFSEPVMPLNSGEANGNMKIEGNVPLNSPRQPKESADLANLPLPLNDIDQIGLDVAPDIGGAQDLNSWFNFDDDGLQDDDCIGLEIPMDDLSELNMF >itb05g05180.t2 pep chromosome:ASM357664v1:5:4929356:4943664:-1 gene:itb05g05180 transcript:itb05g05180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTRFEAPASPDSGFAGNFSNGQRGNGGADSRMFGYGKVTSRISGAGTGEMPPLSQCLTLDQIKMGDQKYPRSGELRRVLGISVGSTLEDNAFGATHLKTSPPVSTEEVKRLRANLADTCGKASGRAKKFDELLHKLNKFGEVTKKPLRNEALTNERSGSSNLKMGTQVHRGLSDQVTLKTEDRTKNVSLNKRVRTSVAETRSEFRGNGQPRQQLLMTKDRDKVKQSNASTDSAEEKIRRLPAGGEGWDKKMKRKRSVGSVTSRPSDNDGEPKRNVHHKFNSELGLSSCDPHSLRSGTFGGAGGNSKLEGTLSTTSAHATLKNEREKSPLSRGFTAGLNKEKALAKGSIKLNSCEESHAASPGPITKGKASRASRSGLLVGNNSTPTIPRIPGTLESWDQPSNLNKSLCIGGANNRKRPLPAGSSSPPITQWIGQRPQKISRTRRVNLVSPVSNNDEMQMSSEGCSPSDFGARSTYNTVNGSLLSKGATSGTQSFKVKPESVLSPGRLSESEESGVGENRFKEKGTGNSEGEEKTVNGIQNTRPPMAHAKKNKLLVKDNVGDGVRRQGRSGRGSSFARGDISPAKEKLDNLSMPKPLRGMRPASDKNCSKSGRPMKKQTERKGFSRLGLPVSGGSPGFTGESDDDQEELLSAAKSAYNFSIHACSSVFWKKVESLFTMISSEEKTYLLEQLKSAKELHTDLTHISCSNNGVMGDNVQDEISASDVLSDDQDRCKKNSIESKESANTSYVVDRFQESMLYANLDSDRILNEDTSLYQRVLSALIVEDDSEVYEENGFEKDSPDDASPFVDSGNKNRGGMEFECESRISVQSQKNGTTNRFAQCNGHNSCSSTRAQDPPCSTEMLVGDSGYTHSDSRLLAGLSRCNDDCPENSLTSSFDVSSFDHQYAQMPVNDKLLLELQSLGLYVETVPGLEDKEDDLINQEIMQLERGLCQQIDRKKTSLDKMAKTMEGREDVDCWDPEQVAMNKLVEVAYKKLLATRGSLAAKIGVPKVSRQVALAYARRTLARCRKFEESGTSCFSEPSFRDIIFAPPHRMVEAELLTGPFIGSADGDLDSRDTSNQQSDQAFVRTGPISNRGKKKEVLLDDVSGTASRATSTLGGAKGKRSERDRDSAARNEIPKAGHLSLGISKGDRKTKTKPKQKTAQLSTSGNGTYNKKFSEPVMPLNSGEANGNMKIEGNVPLNSPRQPKESADLANLPLPLNDIDQIGLDVAPDIGGAQDLNSWFNFDDDGLQDDDCIGLEIPMDDLSELNMF >itb09g00420.t1 pep chromosome:ASM357664v1:9:347256:348413:1 gene:itb09g00420 transcript:itb09g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQVIKLLNSFIPLSLSSRIFLICLVLLDAAKSETYTVGDGDEWSSGTNYVAWSEKHKFMADDVIVFKYVKGQHNVYEVTESTYESCDTSSGVLGKYESGNDQVKLKEARKYWFVCDKDGHCLGGMRFGINVMKSGGQTTNSSSNPTPPNTNHHHTTSASSKMWAHSILCSLLPLGIFFINILNY >itb02g06190.t1 pep chromosome:ASM357664v1:2:3854987:3858212:-1 gene:itb02g06190 transcript:itb02g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILELRGHTLTMAALSQLLFLGSLLLAFIIASATAAKFPAVIVFGDSSVDSGNNNQISTLLKSNFEPYGRDFIGKRPTGRFSNGRVPPDLISEGLGLRPFVPAYLDPAYKISDFAQGVCFASAGTGYDNATSDVLNVIPLWKEVEYYKDYQKKLRAYAGETKANHIIQESLYVVSIGTNDFLENYYLGGRRSSQYTVEQFQVFLIGQAEKFVREIYALGARKISLGGLPPMGCLPLERTTNYLGGHGDGCNEEYNGVALHFNGLLNGLVKKLNKELPGIKILYADTYFVLLQIIRKPSAFGFDVASVACCGTGLFEMSYLCDRMNMFTCTDANKYVFWDSFHLTEKTNRIIVEYLMKYLIHLFN >itb12g23320.t1 pep chromosome:ASM357664v1:12:25103363:25107101:-1 gene:itb12g23320 transcript:itb12g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQIVIGPPGSGKTTYCNGMSQFLQLIGRKVAVINLDPANDALPYECAINIEDLVKLSDVMVEHSLGPNGGLVYCMDYLEKNIDWLESKLKPLLKDHYFLFDLPGQVELFFLHSNAKSVIMKLIKKLNLMLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHVNVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQYHLDQDPRSSKYRKLTKELCEVVENFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIDATAVEFSKIAVGPVDWDYYRYPFSLL >itb03g17100.t1 pep chromosome:ASM357664v1:3:15822039:15824223:1 gene:itb03g17100 transcript:itb03g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIINTSIVFIITLALFSLVAAAYPRAPPASVGSTDGGGFPAADRRRISADVVGDMEKPILWRRMMIATRPHKSPSAPHNFPHQRRLSGTSSEHEDQRPPSPAAKSSGMGGAGDDDGLTKMKEEIISSCGGKHNHGEYAGVVARRCRRWRRLIAAGATAGPHKSPSAPHNFRHQRRLSGTSSEHEDRRPPSPATKNSGMGGAGDDGGMSKMKEEIISSCGGKHNNHGDDYGVVARRCRRWRRLIAAGASDSPPSSGYHRH >itb12g28270.t1 pep chromosome:ASM357664v1:12:28255784:28261205:-1 gene:itb12g28270 transcript:itb12g28270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLRLYQAWKGKNKFLLNGRLIFGPDARSLIVTLMLILVPVVIFCTFVARKFLHQFQESSAGYVIMTVTIIFTIYVLLLLILTATQDPGIVPRNSRPPDEILNYDSSASVEAGGKTLPRTKEVIVNGLIVRVKYCETCMVFRPPRCSHCSVCDNCVERFDHHCPWVGQCIGKRNYRWFFLFVSSTALLCAFVFSMSALHMKFVVDEYGTVWRAMKESPASLVLMAYCFVSLWFVGGLTGFHLYLISKNQTTYENFRHRADNRINVYNRGCVNNCIEVLCTRIEASKNNFQGYVNEECAKPPRIHEAAAVDNSEDRRRVKVEDDVDIGDDLLKISQRRNSEEEISDVRSRGSDTTPHKSPELDFAALASINDIQRAFTQRDLTSLQLVDFYLERIQALNPLLRAVIEVNPDARAEAEKADKEREENSMNMMHGIPVLLKDSICTKDKLNSSGGSYALLGSRWCGGEAEERGGCDFRTHMWKGKIHVVLAVDPLYQLQLTWQLYHWGPKPMDPYSALLTITLLLASSPPLDSPLDLASFPSHPAKIQSGPLPEQSQMLFTLWMQLWGLVLETLRQHNLHLTSSHKLATNSFSKDGLNGSRLGVVRYPFLPLSNRSLAIPVLEAHLQTLRENGAIIIDNLEIPNINVILDPYQSGELVALLAEFKLSLNDYLQQQLITSPVRSLADIIDFINNNPKLESTKDFNGLEILAGAELTNGIGEEEKKAMEMMQTLSRDGYEKMMIDNELDAMVTIGPAASTVLAIGGYPGITVPAGYDTDGMPFGLFFGGLKGMEPKLIQVSYAFEQATMIRRPPFPTIQQL >itb12g28270.t2 pep chromosome:ASM357664v1:12:28255784:28261205:-1 gene:itb12g28270 transcript:itb12g28270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLRLYQAWKGKNKFLLNGRLIFGPDARSLIVTLMLILVPVVIFCTFVARKFLHQFQESSAGYVIMTVTIIFTIYVLLLLILTATQDPGIVPRNSRPPDEILNYDSSASVEAGGKTLPRTKEVIVNGLIVRVKYCETCMVFRPPRCSHCSVCDNCVERFDHHCPWVGQCIGKRNYRWFFLFVSSTALLCAFVFSMSALHMKFVVDEYGTVWRAMKESPASLVLMAYCFVSLWFVGGLTGFHLYLISKNQTTYENFRHRADNRINVYNRGCVNNCIEVLCTRIEASKNNFQGYVNEECAKPPRIHEAAAVDNSEDRRRVKVEDDVDIGDDLLKISQRRNSEEEISDVRSRGSDTTPHKSPELDFAALASINDIQRAFTQRDLTSLQLVDFYLERIQALNPLLRAVIEVNPDARAEAEKADKEREENSMNMMHGIPVLLKDSICTKDKLNSSGGSYALLGSRWCGGEAEERGGCDFSGSAISVAANLATVSLGTETDGSIFCPADYNSVVGIKPTVGLTSRSGVIPLSPRQDTIGHNLILIGPLPEQSQMLFTLWMQLWGLVLETLRQHNLHLTSSHKLATNSFSKDGLNGSRLGVVRYPFLPLSNRSLAIPVLEAHLQTLRENGAIIIDNLEIPNINVILDPYQSGELVALLAEFKLSLNDYLQQQLITSPVRSLADIIDFINNNPKLESTKDFNGLEILAGAELTNGIGEEEKKAMEMMQTLSRDGYEKMMIDNELDAMVTIGPAASTVLAIGGYPGITVPAGYDTDGMPFGLFFGGLKGMEPKLIQVSYAFEQATMIRRPPFPTIQQL >itb03g28930.t2 pep chromosome:ASM357664v1:3:29630410:29635013:-1 gene:itb03g28930 transcript:itb03g28930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSEKLSPFDFMAAIFKGGRIFDQSNASSESASPVAMLMDNKELMAMLTTSVAVLVGCVFVLMWRRASSSAKKAAEPPNLAAPKAAEETEEADDGRKKVTIFFGTQTGTAEGFAKALAEEAKARYEKANVKVVDLDDYAAEDDEYEEKLKKESLVFFFLATYGDGEPTDNAARFYKWFVEGKERGEWLKDLRYGVFGLGNRQYEHFNKIAKVVDELLEEQGGQRLVTVGMGDDDQCIEDDFAAWRENVWPELDTLLRDEDDATSATPYTAAVLEYRVVFSDQDESIKLNGHANGHANGHAVYDAQHPCRANVAVRRELHTPASDRSCTHLEFDISGTGLSYETGDHVGVFCENLIETVEEAERLLNIPPNTFFSIHTDKEDGTPLGGSSLPPPFPPCTLRMALTKYADLLGSPKKSALLALAAYASDPSEADRLRHLASPAGKEEYSQWVVVSQRSLLEVMAAFPSAKPSLGVFFAAVAPRLQPRFYSISSSPRMAPTRIHVTCALVYEKTPAGRIHKGICSTWIKNAVSLEESPNCSWAPVFVRQSNFRLPAETKVPIIMIGPGTGLAPFRGFLQERLALKEEGAELGPALLFFGCRNRKMDYIYEDELNNFVETGALSELIIAFSREGPTKEYVQHKMSQKAADIWNLISQGAYLYVCGDAKGMARDVHRTLHTIFQEQVGLSTEFILFS >itb03g28930.t1 pep chromosome:ASM357664v1:3:29629797:29635097:-1 gene:itb03g28930 transcript:itb03g28930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSEKLSPFDFMAAIFKGGRIFDQSNASSESASPVAMLMDNKELMAMLTTSVAVLVGCVFVLMWRRASSSAKKAAEPPNLAAPKAAEETEEADDGRKKVTIFFGTQTGTAEGFAKALAEEAKARYEKANVKVVDLDDYAAEDDEYEEKLKKESLVFFFLATYGDGEPTDNAARFYKWFVEGKERGEWLKDLRYGVFGLGNRQYEHFNKIAKVVDELLEEQGGQRLVTVGMGDDDQCIEDDFAAWRENVWPELDTLLRDEDDATSATPYTAAVLEYRVVFSDQDESIKLNGHANGHANGHAVYDAQHPCRANVAVRRELHTPASDRSCTHLEFDISGTGLSYETGDHVGVFCENLIETVEEAERLLNIPPNTFFSIHTDKEDGTPLGGSSLPPPFPPCTLRMALTKYADLLGSPKKSALLALAAYASDPSEADRLRHLASPAGKEEYSQWVVVSQRSLLEVMAAFPSAKPSLGVFFAAVAPRLQPRFYSISSSPRMAPTRIHVTCALVYEKTPAGRIHKGICSTWIKNAVSLEESPNCSWAPVFVRQSNFRLPAETKVPIIMIGPGTGLAPFRGFLQERLALKEEGAELGPALLFFGCRNRKMDYIYEDELNNFVETGALSELIIAFSREGPTKEYVQHKMSQKAADIWNLISQGAYLYVCGDAKGMARDVHRTLHTIFQEQGSLDSSKAESMVKNLQMNGRYLRDVW >itb01g32200.t1 pep chromosome:ASM357664v1:1:35741955:35745275:1 gene:itb01g32200 transcript:itb01g32200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPQPLQAIPVGDHAPPAVSLDDVDDDNGTYEEDTMDEEEYSRVNSKKHRAGSLTERLKGGVVVASRTSELTLAFEGEVYVFPAVTPEKVQAVLLLLGGPDIPTAVPTVDVPLNSKVEDDTPKHPNLSRRIASLVRFREKRKERCYDKKIRYTVRKEVAQRMHRKNGQFASLKGGSGSSSWDPSNCVLEDGTPQSETVPRRCHHCGVSENCTPAMRRGPDGPRTLCNACGLKWANKGTLRDLSKGGRIISLDHRELDTPTDIKPSVLQNESFPQNQDELGTPGDHLKTATLGTQDHSDNHNENLPGNGKDRANKIPDVIVRSPGHIDVQETLVDFGNGSETEMDIPANFE >itb01g32200.t3 pep chromosome:ASM357664v1:1:35741955:35745275:1 gene:itb01g32200 transcript:itb01g32200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPQPLQAIPVGDHAPPAVSLDDVDDDNGTYEEDTMDEEEYSRVNSKKHRAGSLTERLKGGVVVASRTSELTLAFEGEVYVFPAVTPEKVQAVLLLLGGPDIPTAVPTVDVPLNSKVEDDTPKHPNLSRRIASLVRFREKRKERCYDKKIRYTVRKEVAQRMHRKNGQFASLKGGSGSSSWDPSNCVLEDGTPQSETVPRRCHHCGVSENCTPAMRRGPDGPRTLCNACGLKWANKGTLRDLSKGGRIISLDHRELDTPTDIKPSVLQNESFPQNQDELV >itb01g32200.t2 pep chromosome:ASM357664v1:1:35741955:35745246:1 gene:itb01g32200 transcript:itb01g32200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPQPLQAIPVGDHAPPAVSLDDVDDDNGTYEEDTMDEEEYSRVNSKKHRAGSLTERLKGGVVVASRTSELTLAFEGEVYVFPAVTPEKVQAVLLLLGGPDIPTAVPTVDVPLNSKVEDDTPKHPNLSRRIASLVRFREKRKERCYDKKIRYTVRKEVAQRMHRKNGQFASLKGGSGSSSWDPSNCVLEDGTPQSETVPRRCHHCGVSENCTPAMRRGPDGPRTLCNACGLKWANKGTLRDLSKGGRIISLDHRELDTPTDIKPSVLQNESFPQNQDELCM >itb05g26660.t1 pep chromosome:ASM357664v1:5:30412458:30414354:-1 gene:itb05g26660 transcript:itb05g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQISSSHSILTGKLLRMKTRLFSRIEGLVLRSRDCLFSWVSADMLASASAILFMLFKKTVWSLSMCVLALGGATVGIVTGALKGQTTETGLLRGAAVGSVAGAITAVQLLELMINGESFSKVALVCSLVDGKVFMEWVSPAVLKAYQWQVGTVDTSLREISDIFDTNQSRGLSNDTIQKLPKYRFQHSAKMCRNFEDITCAICLQDFDGGEYVRMLPNCRHSFHLPCIDEWLVRHGNCPVCRTDV >itb12g24480.t1 pep chromosome:ASM357664v1:12:25983304:25986314:-1 gene:itb12g24480 transcript:itb12g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWTQLCGPGNEDEGNTGSAELAGGNVQLVTTMEKWEELISEANNNGQSVLVNFSASWCNPCRQAAPAYRELADKHTTVMFITVDVDKLAFFVGYKSDADVLLPERRAAGGQVGRRQQAGAGEENTRHGGDTGSGRRRHRKLMMIEFGRLIHSNTKRYIL >itb12g24480.t2 pep chromosome:ASM357664v1:12:25983304:25986314:-1 gene:itb12g24480 transcript:itb12g24480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWTQLCGPGNEDEGNTGSAELAGGNVQLVTTMEKWEELISEANNNGQSVLVNFSASWCNPCRQAAPAYRELADKHTTVMFITVDVDKLAEFSSSWDIKATPTFFFLKEGRQVDKLVGGSKQELEKRILAMAETPAAAAAATAS >itb15g05580.t1 pep chromosome:ASM357664v1:15:3594271:3598563:-1 gene:itb15g05580 transcript:itb15g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQPGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFRDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQ >itb12g18660.t1 pep chromosome:ASM357664v1:12:20916439:20922952:1 gene:itb12g18660 transcript:itb12g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRQLAWDGESSRLGRRASRRQRRRDRERQKERSISVSPQSSRRHALSASRGLTDSLAIPASSPSWRRRPKLAVATSPSPSQLSATQNLKLLGDAPQNLYYEILHRQTTRQILESSLTGVTTQSLTGHDLTLTQSRSSPRHTLPDLLGSSNSPRVQ >itb05g01120.t1 pep chromosome:ASM357664v1:5:948114:951389:-1 gene:itb05g01120 transcript:itb05g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLGFVTLLLLCGIVMVVNHANAQQMKGCTLECDSRAEYMVCPYGQGSKMKQFQVAGSLCMNCCGAENLGCILFDQSGTPYCHEDRSLRSQSIVRGVKHANAQETKACTKECDGRAEYMICPGMEGSKIKQVAGSLCMNCCAAESLGCILFDQNETPYCHEEERSFIRIVLKRSRSDRSPTLGLILPWLFHGVKKDRSGWKFRSGTLYGRFGTPGLRGLQGAASYQTSHDFD >itb01g20600.t1 pep chromosome:ASM357664v1:1:26866698:26868539:-1 gene:itb01g20600 transcript:itb01g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGDDKVVLLDKDVSMFAMRPKMALALKGIHYESKEEDLMNKSSLLLEMNPVHKKIPVLIHNGKPVCESLIILEYIDEVWKDKFPLLPSDPYKRAQARFWADFIDTKIYECLKAWVLKTKDAKDIKEELVEKLKVLEGELGEEAYYGGERIGFLDLALLSYYTWLLTFEKDTEFSCMETEIPKLSEWAKRCLQNESVSTSLADPLKIFEFIRR >itb10g22520.t1 pep chromosome:ASM357664v1:10:27338613:27341094:-1 gene:itb10g22520 transcript:itb10g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSESPIPFVSFRRPVLTLRGDQVHALVLDQGSSSQDSQIESFQSQVFTRFNDLSVANGKEFLSVSWVRKLLEAFASCVEDFRVIMCDKNALLSKQPQERILGEYFDRTIKALDICNATRDGLEKIRLWQRHLEIVVCALNPPQQRAIGEGHLRRARKGLMDLALGMLDEKDGGSVFSHRNRSFKRRTKDHLHSPGGHSRSLSWSVSHSWSASKQLQLLANTLVPPRANEIAASNGLAALVFTMSFVLMFVLWALVAAIPCQDRGIQINIVIPRQCWSRPFHILQARIMDESKKRDRRNSSGLLKEIYQIEEGVNHLTDLVDSVQLPLSEEHKEELRGRILELSSICEVFKTELEPLERNLREVFRKIVTCRIEGLEFLGKATQA >itb05g01450.t1 pep chromosome:ASM357664v1:5:1230996:1233211:1 gene:itb05g01450 transcript:itb05g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKPTTASAIASLPPRFSLYKPSLPHRKSLPFTSSPATSRSAALVSTPRMSWLNKLGFGAARSPAESSMDSAIAQGPDDDTPAPGQLFAQFGAGCFWGVELAFQRVPGVTKTEVGYTQGHVHNPSYEDVCTGATNHSEVVRVQYDPKECSFESLLDAFWARHDPTTLNRQGNDVGTQYRSGIYFYMPEQEKEAIESKEKQQKLLNRQIVTEILPAKKFYRAEEYHQQYLAKGGRFGSKQSAAKGCNDPIRCYG >itb04g33510.t1 pep chromosome:ASM357664v1:4:35745513:35749754:-1 gene:itb04g33510 transcript:itb04g33510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAENAAAAALTESEMSEEGETSVVHHLERDSDNHSECDLLLDMAGGMMMSLSPPRPRMNFSGEEAALFLSPNFHAHMGMGGGMMMSMSPPRMNYFSLPSGDSIDPFRLWNFADHSEVQELENMKGMMMRPTWKQQQQQLCALVQNQSLGIWAAENMGLGGTVQTSDGDSVNNVDEWSQLAGGMNYSLPSDDSHSLGGSFDPYRLRDDGEFSVVHELHDVNPVVELAHLYVVVGIELFFPEKVSQDMAIKLFECLEMGTILPVEYISVVSWENKYIVVDLKAESWGGIMEQISVDYPQISDQIDEQVYYIRQLPPLIICCLEEVEFEVEVEEFKCNFCIPQTLRSNSSLDSLILLGSCKPDLPGVTPCLLSLECLCQNMVIELGNGEQIIIMRLNVKQAAAYLEVDFSSEKNYAVCSSQALELESWGGMIMERISVSHRWQFAFCSKTHRWNVVLELLDVVVRQLLYLGTNNYSFGISVNDDRSSSSSVNTKMHSLLYFERTFLEMQHLNSLERQFLDHFERLVQTGVLELLSGEEIKLRYLQEEEEEEVGTASKKNHSVSILPALLEAECRRGGNGIMQLDSPCEGHIPKSSVTDEERNVVGLKRIDAIGNISKKKDIIWWQKDHGVTRKVVEQLFGKSLHDAAKALKVSPSTFKRARRDFGITRRSLEEVGTATEENHTADIWPAPVAQYNAAGTGGMLLQHEDSSSEPHIPECSATNEERNHVVELNNSIDAKGGSSSSDKTDTISRWEKDHGITRQVLERLFGNSRDDAAKTLKVSTSTLKRACRDFGINRWPNHKGKRPNCSLNQKQDIQAVKKHKGIPPCPALPPLEGTNTSQCNNTMSVKVTYKNDTIRFPLSSSSTMKYLEEQLETKLKISLENFSIKYQDEEDEWITLTCDSELMHGMEVLRSCGKTDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >itb01g25660.t1 pep chromosome:ASM357664v1:1:31034812:31038338:1 gene:itb01g25660 transcript:itb01g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSNPTKKIKSHRVPFPKCRRFRRKRPCSVSVAPIEQFSGVGNYGRDFDADEFVSIENEEWMVTNRRRANLSQVDSSGVCQEEAWFDSNSFLESDSDEDYCSVHGDFCLSLGNTAGSVLANQMAMCEGDRITELCTSKRLLCRPRAGQIIPCSKDEKLAQGCWIPVSPSVFKLRGETYFRDKRKSPAPNCCPYVPFGVDLFACSQKISHIAQHLQLPTVEPHDKVPPLLIINIQLPTYPASLFLGDGDGEGTSLVLYFQLSENFEKETSPQFQDSIKRLVMDEVETVKGFAKESAVPYRERLKILVGVVNHEELRLNSAEKKLLHAYNNKPVLSRPQHAFYKGPNYFEIDLDVHRFSYISRKGLDAFRERLKHGILDLGLTIQAQKAEELPEKVLCNIRLNKIDFVNHGQIPQFVIPV >itb01g25660.t2 pep chromosome:ASM357664v1:1:31034983:31038338:1 gene:itb01g25660 transcript:itb01g25660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSNPTKKIKSHRVPFPKCRRFRRKRPCSVSVAPIEQFSGVGNYGRDFDADEFVSIENEEWMVTNRRRANLSQVDSSGVCQEEAWFDSNSFLESDSDEDYCSVHGDFCLSLGNTAGSVLANQMAMCEGDRITELCTSKRLLCRPRAGQIIPCSKDEKLAQGCWIPVSPSVFKLRGETYFRDKRKSPAPNCCPYVPFGVDLFACSQKISHIAQHLQLPTVEPHDKVPPLLIINIQLPTYPASLFLGDGDGEGTSLVLYFQLSENFEKETSPQFQDSIKRLVMDEVETVKGFAKESAVPYRERLKILVGVVNHEELRLNSAEKKLLHAYNNKPVLSRPQHAFYKGPNYFEIDLDVHRFSYISRKGLDAFRERLKHGILDLGLTIQAQKAEELPEKVLCNIRLNKIDFVNHGQIPQFVIPV >itb01g24120.t1 pep chromosome:ASM357664v1:1:29863491:29865504:-1 gene:itb01g24120 transcript:itb01g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSSDDSGRQTLFVLTLILLWYSSNIGVLLLNKYLLSNYGFAFPIFLTMCHMTACAFLSYVSIVFLKLVNFQHIKSRSQFLRISTLSVVFCASVVGGNISLRYLPVSFNQAIGATTPFFTALFAYLITSKREAWVTYTALVPVVAGVVIATGGEPSFHLYGFIMCIGSTSARAFKSVLQGVLLSSEEEKLNSMNLLLYMSPIAVLVLLPATLVMEHNVIDVIQSVAENHRHLWLLLLVNSTMAYGANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILIFQNPVTFIGIAGYTITVMGVVAYGEAKRRYS >itb12g06460.t1 pep chromosome:ASM357664v1:12:4805067:4805330:-1 gene:itb12g06460 transcript:itb12g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYFYHFQWRLIAAAEDPNFTPVLYSLDKPKGQRFTELKVTMYPSLFTTHDFSQGQRMLILGLNYTSNKAISTVTSPSDKLAPPYL >itb15g22200.t1 pep chromosome:ASM357664v1:15:24899216:24907083:1 gene:itb15g22200 transcript:itb15g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAANHRRGAGGVSPAIILWCLANAVMMISIAVAMPPQNPLQCNATGCTLYNSYGVWGDRKVCRSPRAVFPTTEEELTAAVADANRKKEKIKVVTRFSHSIPKLGCPTNGVDTVFISTERYNSTIEVDVANRAVTADAGVGLRALIDRVEGEGLSLVASPYWEGVTVAGVVSTGAHGSSWWGKGGAVHEHVIGISLVVPATAAEGYAKVVSLTAEDPLFNAAKVSLGLLGVISKVTLSLEPAFKRSITFNFTNDSGLENEYIEHAKKHEFGDLQWYPSKNIAVYRYDDRVPLNTPGDGVNDFIGFQSNLVLLTKTIRATEKAFEKRRDFKGKCMSATTFMAAKTLMANGLKNNGVLFTGYPVVGRQGKMQTSGSCLYTSPSDSLSSCAWDPRINGLFFYETTAVIPAANFGDFIRDVKKLRDAVKPESFCGADLYNGFLIRYVKASAGYLAPPVDSVVVDINYYRADDAVTPRLSQDIWEEVEQLAFFKHGARPHWGKNRDVAFVGVQGKYPGFAKFVAAKKELDPDNVFSGEWLDEVVFGKGGSGVKGDGCALEGLCVCSEDRHCSPSNGYFCRPGVVYKDARVCTYLGTNSIS >itb11g11570.t3 pep chromosome:ASM357664v1:11:8470578:8472984:-1 gene:itb11g11570 transcript:itb11g11570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDDWLAMDKLYHVLFCFSIAIFTSLVAGRTRYPFIRRRSIWVGSLVSLAAGAAKEVADELGFFKSAGASSKDAVADALGTLIAAFVLLLAKPYSFAVRPDPLIRDKELGMV >itb11g11570.t2 pep chromosome:ASM357664v1:11:8470578:8472984:-1 gene:itb11g11570 transcript:itb11g11570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDDWLAMDKLYHVLFCFSIAIFTSLVAGRTRYPFIRRRSIWVGSLVSLAAGAAKEVADELGFFKSAGASSKDAVADALGTLIAAFVLLLAKPYSFAVRPDPLIRDKELGMV >itb11g11570.t1 pep chromosome:ASM357664v1:11:8469682:8472964:-1 gene:itb11g11570 transcript:itb11g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDDWLAMDKLYHVLFCFSIAIFTSLVAGRTRYPFIRRRSIWVGSLVSLAAGAAKEVADELGFFKSAGASSKDAVADALGTLIAAFVLLLAKPYSFAVRPDPLIRDKELGMV >itb13g01890.t1 pep chromosome:ASM357664v1:13:1736798:1737680:-1 gene:itb13g01890 transcript:itb13g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSFAAALLFVIMFMATEVGRNTIMVVEARTCESPSGKFKGTCSQDTNCATVCMTEGFSGGSCKGFRRRCICTKPC >itb06g07310.t1 pep chromosome:ASM357664v1:6:10745494:10746660:1 gene:itb06g07310 transcript:itb06g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPPDCSSSSEVIFGSTRPVLDSGSADKEPKKMKRSRDSGSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPNPEMAARAHDVAALSIKGNSAVLNFPDLAAALPRPASLTPRDIQVAAAKAAAMEKFDPPSPSPSSSSSSEIDPATSEELSEIVELPRLGTSFDSPELGSEFVYVDSVDGWLYPPPSWLGSGADDDSSSFESLLWNY >itb08g16690.t1 pep chromosome:ASM357664v1:8:18712480:18712830:1 gene:itb08g16690 transcript:itb08g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPKDKAGGNVYVTNSWMYRIDYAVVCRKRPPVFTAADRRETPTPSASVFAADLGMGNWERLQPCADTVCDHLIRPNLRCKTASAYTINEKWRGVDRIRISHVYAQCTYAVFFF >itb10g19340.t1 pep chromosome:ASM357664v1:10:25220368:25221806:-1 gene:itb10g19340 transcript:itb10g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHARSYKDDVEKANRFKIFKQNLEFIESFNKAGNHSYKLGLNKFSDMSPKEFKATMLTDENNIVHPATKFPKGNSLGNNESTVIDPPNSVNWVERGAVTAIRDQLKCGACWAFSTVAAVEGITQIKTGRLVPLSEQQLMDCDETNNGCGGGWPTKAFQYVQEANGLMSESDYPYKGYKQATCATTGGYAAATITGFDQVEQGEDALLQAVTNQPVSTVISLDGYVLQHYESGVFATDCGSGASHAITVVGYGATMEGDKYWLVKNSWGTTWGENGYIKMVRDVVEGGLCGLAKMASYPTID >itb03g03750.t1 pep chromosome:ASM357664v1:3:2245501:2246388:-1 gene:itb03g03750 transcript:itb03g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIMANRSNKDDIALGFTFLAQKSGLDLMQNCDLPPPLKVFSGVSPPPMEKHGGALEDHNEKLELLKALRLSQTRAREAERKFQALSKETDALSNLLLKESLRLFACRNWVRLLELQVSQMEKQYIHGSREEKIDDDHNTSSTWFVAMAFCMGIAGVSLAFFLI >itb11g11840.t4 pep chromosome:ASM357664v1:11:8713641:8718869:1 gene:itb11g11840 transcript:itb11g11840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEVSIRGYAEPSDGPRAGACTGVRSTAGAGNGDAETALYMELWRACAGPLVTVPREQDLVFYFPQGHIEQVEASTNQVADQQMPVYGLPPKILCRVVSVLLKAEPDTDEVYAQVTLIPEPNQDENAVKRESMPPPQRRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQLPPNQELVAKDLLGSEWRFKHIFRGQPKRHLLQSGWSVFVSSKRLVAGDAFIFLRGDNGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIIPYDQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDRDPHKWPESKWRCLKVRWDESSTVPRPDRVSPWKIEPALSPSALNPLPVPRPKRHRSNIFPSPDSSVLTREGSCKISADPSPSTGLPRVMQGQELPTLRGTFAESNESDSSEKPMAWEPPLDDEKIDNLSMSHRHGSDKWLPLGRLESSFTDLLSGIGTQINSPRGFCLQSGDQSAVAPSLVKQKTQHQEGEFSLLGKQWSVVSSGLSLNLMDSSMKNHREGFDATYQPLGDSRSADCEYSALPGNKHENHQANWLMQHQPMSYAQMPTHSREPMLKSTSMQQHEVMKPKDGNCKLFGIPLITNDSATTQPAMLPINAIIESGHMHVDTNSYQTTAIELNQRSEQTMVSKGPDSRPTGNEQEKQFQTLRNGGVRDKEGKIYSGSTRSCTKVSKHLFLEFLNFFIDLFLRFHSTLLV >itb11g11840.t1 pep chromosome:ASM357664v1:11:8713641:8718867:1 gene:itb11g11840 transcript:itb11g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEVSIRGYAEPSDGPRAGACTGVRSTAGAGNGDAETALYMELWRACAGPLVTVPREQDLVFYFPQGHIEQVEASTNQVADQQMPVYGLPPKILCRVVSVLLKAEPDTDEVYAQVTLIPEPNQDENAVKRESMPPPQRRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQLPPNQELVAKDLLGSEWRFKHIFRGQPKRHLLQSGWSVFVSSKRLVAGDAFIFLRGDNGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIIPYDQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDRDPHKWPESKWRCLKVRWDESSTVPRPDRVSPWKIEPALSPSALNPLPVPRPKRHRSNIFPSPDSSVLTREGSCKISADPSPSTGLPRVMQGQELPTLRGTFAESNESDSSEKPMAWEPPLDDEKIDNLSMSHRHGSDKWLPLGRLESSFTDLLSGIGTQINSPRGFCLQSGDQSAVAPSLVKQKTQHQEGEFSLLGKQWSVVSSGLSLNLMDSSMKNHREGFDATYQPLGDSRSADCEYSALPGNKHENHQANWLMQHQPMSYAQMPTHSREPMLKSTSMQQHEVMKPKDGNCKLFGIPLITNDSATTQPAMLPINAIIESGHMHVDTNSYQTTAIELNQRSEQTMVSKGPDSRPTGNEQEKQFQTLRNGGVRDKEGKIYSGSTRSCTKVQKQGTALGRSVDLSKFKNYDELITKLDQLFDFNGELKSQNKNWIVVYTDDEGDMMLVGDDPWQEFCGMVRKICIYTKEEVQQMNPRALNSKGDDMSSVAEGLDANEVKSSELPSASSAED >itb11g11840.t3 pep chromosome:ASM357664v1:11:8714320:8718867:1 gene:itb11g11840 transcript:itb11g11840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYGLPPKILCRVVSVLLKAEPDTDEVYAQVTLIPEPNQDENAVKRESMPPPQRRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQLPPNQELVAKDLLGSEWRFKHIFRGQPKRHLLQSGWSVFVSSKRLVAGDAFIFLRGDNGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIIPYDQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDRDPHKWPESKWRCLKVRWDESSTVPRPDRVSPWKIEPALSPSALNPLPVPRPKRHRSNIFPSPDSSVLTREGSCKISADPSPSTGLPRVMQGQELPTLRGTFAESNESDSSEKPMAWEPPLDDEKIDNLSMSHRHGSDKWLPLGRLESSFTDLLSGIGTQINSPRGFCLQSGDQSAVAPSLVKQKTQHQEGEFSLLGKQWSVVSSGLSLNLMDSSMKNHREGFDATYQPLGDSRSADCEYSALPGNKHENHQANWLMQHQPMSYAQMPTHSREPMLKSTSMQQHEVMKPKDGNCKLFGIPLITNDSATTQPAMLPINAIIESGHMHVDTNSYQTTAIELNQRSEQTMVSKGPDSRPTGNEQEKQFQTLRNGGVRDKEGKIYSGSTRSCTKVQKQGTALGRSVDLSKFKNYDELITKLDQLFDFNGELKSQNKNWIVVYTDDEGDMMLVGDDPWQEFCGMVRKICIYTKEEVQQMNPRALNSKGDDMSSVAEGLDANEVKSSELPSASSAED >itb11g11840.t2 pep chromosome:ASM357664v1:11:8713641:8718869:1 gene:itb11g11840 transcript:itb11g11840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEVSIRGYAEPSDGPRAGACTGVRSTAGAGNGDAETALYMELWRACAGPLVTVPREQDLVFYFPQGHIEQVEASTNQVADQQMPVYGLPPKILCRVVSVLLKAEPDTDEVYAQVTLIPEPNQDENAVKRESMPPPQRRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQLPPNQELVAKDLLGSEWRFKHIFRGQPKRHLLQSGWSVFVSSKRLVAGDAFIFLRGDNGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIIPYDQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDRDPHKWPESKWRCLKVRWDESSTVPRPDRVSPWKIEPALSPSALNPLPVPRPKRHRSNIFPSPDSSVLTREGSCKISADPSPSTGLPRVMQGQELPTLRGTFAESNESDSSEKPMAWEPPLDDEKIDNLSMSHRHGSDKWLPLGRLESSFTDLLSGIGTQINSPRGFCLQSGDQSAVAPSLVKQKTQHQEGEFSLLGKQWSVVSSGLSLNLMDSSMKNHREGFDATYQPLGDSRSADCEYSALPGNKHENHQANWLMQHQPMSYAQMPTHSREPMLKSTSMQQHEVMKPKDGNCKLFGIPLITNDSATTQPAMLPINAIIESGHMHVDTNSYQTTAIELNQRSEQTMVSKGPDSRPTGNEQEKQFQTLRNGGVRDKEGKIYSGSTRSCTKVSKHLFLEFLNFFIDLFLRFHSTLLV >itb11g16160.t1 pep chromosome:ASM357664v1:11:14097134:14107412:-1 gene:itb11g16160 transcript:itb11g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWKRSESSKKRPISHFPNLPFSDDASENLSVEVSSLPLAHSFQEQGNKLAEDGKYREALGKWESALILMPERAVLHEQKAQILLELGDAWNALKAAWITLARSQLNFGEPDNAIESLDKALAIQKNKFFLQDKGKLKTALSGLVRCLSLLPFKNRSADDLSDKKDIPVQVTLHAFVPDISSYSSGLSSSGVVEKLKNLLPALVSCFQDFSPLVHTMPQMDTQSYDCMLLILQNIDLLVRFFIDESPYHHTHVNISDQRISLLALKKLWDEFPFNPIHDLSKKENDRYFMLNVVITEIFLKISHWDHPPPALMEKFLEFIEISLSEKICHHMESSKVIHEKQLLALVSFTPELIMRVSGFWKSRILQAFTKVFKSCSPESLMKLACLSAIEEMMFSIRFLVEHDWLYLDTSDPELLHYQITWIRELPSLLIVLGDKHPLSSKTVLLLQLRIGQTAEFDSPFAKEYDEMQYILQGFYSTTCNEGGVSYGPFMTLSGDIQELSLCCLYYFSILDSSILQSLVSCCLSHELEPCLVLRILEVLHTAYKARHIQIADYISFLITLVSRLHAYPENHEGKSIRASFRSLTSAVCSCLSQIGDNCLIFQMVERVITDQIFLSPPVDNMNALLRILTAIDTAPTKLSEPSIDKLGRVLPKYLLDVASDLPGEVNEQNSSSSLKRSQYYLLPCFFLFQRSNRLLSKALKEMGSVLAENHSPPLSYHHEFPQINHKSTPVASVSALLLLHSDIKMRRVLLSCKPEIESILEYMVALLASEGNNMTIEERHEVQRACDRLTTATTTLLA >itb06g24910.t1 pep chromosome:ASM357664v1:6:26264079:26266554:1 gene:itb06g24910 transcript:itb06g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHKRSSDHHKPKPHSDHRHHSLEDNGGYCKRGLLLLVILVALFVNIPILVFIFRQYKVSPFFNGFSAVLPSTPPKAADKLLGGLLLPGFDEKSCLSRYESVLYLKELKSRPSSYLISKLRSYEALHRRCGPYTKSYNKTVELMKSGERPFPDTGCKYVIWIPFNGLGNRILSLTTAFLYAIVTNRTVLASPMDHIPELFCEPFPGTSLFLPEDFPVTGDELNGFDHNSKSCYGNIVSKKLIDMSNVSQLPPFIYHNLYHNKDRNDELFFQDEHQMFLQKIPWQIIKTDEYFVPSLFTMPLFQKVLENMFPDRESVFHLLARYLIHPTNPVWDLITRFHEAYLTGADVKIGLQVRVFEGSSPFQHILDQILSCTMKENILPQINPKDPGRPAINSGPIWNNKTKVVLITSLSSWYADRIRDMYLQNPTLTGEVVRVHQPSHEEEQKWQQTLHYSKALAEMYLLAMSDRLVTSACSTFGYVAQGLGGLHSWLMFEPHNRKVPDPPCQRATTMDPCYLLRPVDISKTPLLNYTRPCEDRDRGLKLFPV >itb06g24910.t2 pep chromosome:ASM357664v1:6:26264079:26266554:1 gene:itb06g24910 transcript:itb06g24910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHKRSSDHHKPKPHSDHRHHSLEDNGGYCKRGLLLLVILVALFVNIPILVFIFRQYKVSPFFNGFSAVLPSTPPKDKLLGGLLLPGFDEKSCLSRYESVLYLKELKSRPSSYLISKLRSYEALHRRCGPYTKSYNKTVELMKSGERPFPDTGCKYVIWIPFNGLGNRILSLTTAFLYAIVTNRTVLASPMDHIPELFCEPFPGTSLFLPEDFPVTGDELNGFDHNSKSCYGNIVSKKLIDMSNVSQLPPFIYHNLYHNKDRNDELFFQDEHQMFLQKIPWQIIKTDEYFVPSLFTMPLFQKVLENMFPDRESVFHLLARYLIHPTNPVWDLITRFHEAYLTGADVKIGLQNPTLTGEVVRVHQPSHEEEQKWQQTLHYSKALAEMYLLAMSDRLVTSACSTFGYVAQGLGGLHSWLMFEPHNRKVPDPPCQRATTMDPCYLLRPVDISKTPLLNYTRPCEDRDRGLKLFPV >itb01g02430.t1 pep chromosome:ASM357664v1:1:1583308:1585689:1 gene:itb01g02430 transcript:itb01g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYPKWKMRAPFQYDLEVTHALQWPLLTVEWLPDLEERQGGDLQPVRIVQQKRHDGKVKRARYMPQNPSIIATKTVSSQIFIFDCSKHPHEAYMHGVCNPDLRLTSHSDEGYPLSWSPFKQGHLLSGSEDGQFCMWDVNSTPTFRTLEPMHIFSIHDGCVEDVAWHMKHENLFGSVGEDKYLRIWDMRTPVIRPNQSVLAHSDEVKSLAFNPFNEWIVATGSADKKVKLFDLRKISSALHTLXTKM >itb02g10880.t7 pep chromosome:ASM357664v1:2:7088089:7093211:-1 gene:itb02g10880 transcript:itb02g10880.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDYLAGERRTAEFDVAAMKIVWAGSRRAFEISDRISKLVASDPALSKDGRTEMARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSNKTFQGRG >itb02g10880.t1 pep chromosome:ASM357664v1:2:7088089:7093211:-1 gene:itb02g10880 transcript:itb02g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDYLAGERRTAEFDVAAMKIVWAGSRRAFEISDRISKLVASDPALSKDGRTEMARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSNLSRNCSKTFQGRG >itb02g10880.t5 pep chromosome:ASM357664v1:2:7088089:7093149:-1 gene:itb02g10880 transcript:itb02g10880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDYLAGERRTAEFDVAAMKIVWAGSRRAFEISDRISKLVASDPALSKDGRTEMARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSNLSRNCSKTFQGRG >itb02g10880.t4 pep chromosome:ASM357664v1:2:7088089:7093128:-1 gene:itb02g10880 transcript:itb02g10880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSK >itb02g10880.t3 pep chromosome:ASM357664v1:2:7088089:7093128:-1 gene:itb02g10880 transcript:itb02g10880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSNLSRNCSKTFQGRG >itb02g10880.t2 pep chromosome:ASM357664v1:2:7088089:7093211:-1 gene:itb02g10880 transcript:itb02g10880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDYLAGERRTAEFDVAAMKIVWAGSRRAFEISDRISKLVASDPALSKDGRTEMARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSK >itb02g10880.t6 pep chromosome:ASM357664v1:2:7088089:7093149:-1 gene:itb02g10880 transcript:itb02g10880.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDYLAGERRTAEFDVAAMKIVWAGSRRAFEISDRISKLVASDPALSKDGRTEMARKELFKNTLRKASYAWKQIIELRLTEEEALMLRFYIDEPAFTDLHWGMFIPAIKGSGTEEQQKKWLTLAYKMQIIGCYAQTELGHGSNVRGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITEGKDHGVHGFIVQLRSLEDHKPLAGITIGDIGTKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVKSDIPRQLLYGTMVLVRQSMVRDASYALSRAVCIATRYSAVRRQFGSQNGGQETQVINYKTQQSRLFPLLASTYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGMKSLTTTATADGIEECRKLCGGHGYLCSSGLPELFAAYVPACTYEGDNTVLLLQVARFLMKSVSRLGSGKLQAVGTIAYMGRIEQLMQCHCNVQQAKDWLKPSTVLEAFEARAVRMSVSCAKNISKFTNPEEGFAELTADLAEAAVAHCQLIVVSK >itb11g15790.t1 pep chromosome:ASM357664v1:11:13594738:13598284:-1 gene:itb11g15790 transcript:itb11g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNALFLKQILTANIFYQNSTLLAEFLGSCISTRSSLAVRSVHGRVLKNHFSGEVFINNRLIDAYGKCWRLLDARKVFDQMREKNTFTWNSMINAFTASGLLDEAEELFSSMLEPDQCSWNLMVSSFAQCEMFDISMKYFVRMHREDFLLNEYSYGSALSACAGLRDLTMGTQVHGSVAKSKYSSDVYMGSALIDMYSKCGNVECAQKVFDDMRDRNVVSWNSLITCYEQNGPASRALEIFVMMMHYGFEPDEVTLASAISACASLALVREGREVHGRVMKFHKFRDDLIISNALVDMYAKCGRVFEARQIFDCMPVRSVVSHTSMVSGYARAASVKAAREMFTGMMEKNVVSWNALIAGYTQNGENEEALELFLMLKRESVWPTHYTFGNLLNACSNLSDLRLGRQAHAHVLKHGFQFQHGPESDVFVGNALIDMYMKCGSVEDGRQVFKNMIDRDWVSWNAIIVGLAQNGQAIEALEMFKDMLIAGEKPDHVTMIGVLCACSHAGLVEEGRQYFYSMREYDLEPLKDHYTCMVDILGRAGCLDEARNLIESMPIPPDGVVWGSLLSACKTHGDMELGKHVAEKLLEVDPENSGPYVLLSNMYAELGRWKDVAGVRKLMRQRGVVKQPGCSWIEIESQVHVFMVKDRRHPLKEEIYLWLKSLTKSMRLFGFEDDLDGDELNRCKELGMGRYHFVG >itb07g10620.t1 pep chromosome:ASM357664v1:7:11773640:11777864:-1 gene:itb07g10620 transcript:itb07g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNNSVVSAFATAPATDEVEEKKASNRISGFIFLCNGRTKPECYRYRVFGLPLSKTAIVEKIKTGTKLFLFDFESKHLYGVYEATSNGRVGVEPLAFGGKFPAQVQFRIYKGCLPLHETAFKRAIKDNYKGIKFEQELDEQQVSNLLSLFRPLSESSSSPVPNPLANICSPLPNMGPPHVIHPLAMKQQVKHSIKLPFGEDPYVPKIHQGHVQNIQSSQHRWQGSMPITDRVHLAIGHPGFAAPSGQYYTADSQHVTASYYPNYTAKPNVTAPIHQYYTADVQKPNIAAPSHPYHTTDSQQQNFNISSYPYYMGGFQQLDNTTSCHPYYTADSQQLNATALSHQYYPTDPLKQTVAAPSHPSCTADSQQLNATAHIQQYFSTDSQQLNAEATSHPCYTAESQQPNVEAPSQPYYTANYHQPHVIDGAAQLRQDTYFGSMQVADPQDQLMGSQYYPQLPQQMHQPSAVAYNSYSMPSAPYGSLVTQPEAPQATGHNLNAPT >itb10g23200.t1 pep chromosome:ASM357664v1:10:27671044:27674920:1 gene:itb10g23200 transcript:itb10g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFTSHANNGGATYKSYRPPSRPFYVPAGNVAFRLLCHASRVGGVIGKSGCIIKQLQQDTTAKIRVEDSGNNADEHRVIVVIASPLVNRKIKFCAARNEEEGEEEERTDGGSEEFEVSAAQEAVVRVFERVIEVTAESVGMVLGTGSLVSCRLLAKVNQVGSVIGKGGMVVDLIRKETGCRIKVITSENLPACTLPGDKVVEIEGEILGVKKALIAVTSKLQDGMQPEKATISDNRVGDISQEALHEPQVDLPEQSSSMLPTVPTSPTSSHSVGHFFSQGADSSSNIESKMSKQEVTFKILCPADKVGVIIGKAGTIVKALEHETGAAIRVGPIVPECYERLITITAFENLESHQSPAQRAILVVFNKLAAINSEKGSNLFQKGSFISARLLVQANQAGCLLGKGGSIIAEMRKMTGARIILHRGDQVPKCASENDEVVEITGEFVNVRDALCSVTDKLRNNLLQVKSSNNVGFGSSTLTTENTPYGRLKNGPPGPGFNHSSGASSVTNPPSDLVQSMGDLGISHNMDGVRSQSIWQSQSQIGINQRGTTNLGRGSISAKGGLELGRSAVVTNTTVEIVVPENVIGSVYGENGSNLERLRQISGAKVTMREPHPGTTDRTIVISGTPDETQTAQSLLQAFIINGSP >itb10g23200.t4 pep chromosome:ASM357664v1:10:27671172:27672641:1 gene:itb10g23200 transcript:itb10g23200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFTSHANNGGATYKSYRPPSRPFYVPAGNVAFRLLCHASRVGGVIGKSGCIIKQLQQDTTAKIRVEDSGNNADEHRVIVVIASPLVNRKIKFCAARNEEEGEEEERTDGGSEEFEVSAAQEAVVRVFERVIEVTAESVGMVLGTGSLVSCRLLAKVNQVGSVIGKGGMVVDLIRKETGCRIKVITSENLPACTLPGDKVVEIEGEILGVKKALIAVTSKLQDGMQPEKATISDNRVGDISQEALHEPQVDLPEQSSSMLPTVPTSPTSSHSVGHFFSQGADSSSNIESKMSKQEVTFKILCPADKVGVIIGKAGTIVKALEHETGAAIRVGPIVPECYERLITITAFEV >itb10g23200.t2 pep chromosome:ASM357664v1:10:27671044:27674920:1 gene:itb10g23200 transcript:itb10g23200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFTSHANNGGATYKSYRPPSRPFYVPAGNVAFRLLCHASRVGGVIGKSGCIIKQLQQDTTAKIRVEDSGNNADEHRVIVVIASPLVNRKIKFCAARNEEEGEEEERTDGGSEEFEVSAAQEAVVRVFERVIEVTAESVGMVLGTGSLVSCRLLAKVNQVGSVIGKGGMVVDLIRKETGCRIKVITSENLPACTLPGDKVVEIEGEILGVKKALIAVTSKLQDGMQPEKATISDNRVGDISQEALHEPQVDLPEQSSSMLPTVPTSPTSSHSVGHFFSQGADSSSNIESKMSKQEVTFKILCPADKVGVIIGKAGTIVKALEHETGAAIRVGPIVPECYERLITITAFENLESHQSPAQRAILVVFNKLAAINSEKGSNLFQKGSFISARLLVQANQAGCLLGKGGSIIAEMRKMTGARIILHRGDQVPKCASENDEVVEITGEFVNVRDALCSVTDKLRNNLLQVKSSNNVGFGSSTLTTENTPYGRLKNGPPGPGFNHSSGASSVTNPPSDLVQSMGDLGISHNMDGVRSQSIWQSQVIFSSAFSSFATCISIGLIFPSSVILLILVTNWNKSERHYQFRQRINFS >itb10g23200.t3 pep chromosome:ASM357664v1:10:27671137:27673211:1 gene:itb10g23200 transcript:itb10g23200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFTSHANNGGATYKSYRPPSRPFYVPAGNVAFRLLCHASRVGGVIGKSGCIIKQLQQDTTAKIRVEDSGNNADEHRVIVVIASPLVNRKIKFCAARNEEEGEEEERTDGGSEEFEVSAAQEAVVRVFERVIEVTAESVGMVLGTGSLVSCRLLAKVNQVGSVIGKGGMVVDLIRKETGCRIKVITSENLPACTLPGDKVVEIEGEILGVKKALIAVTSKLQDGMQPEKATISDNRVGDISQEALHEPQVDLPEQSSSMLPTVPTSPTSSHSVGHFFSQGADSSSNIESKMSKQEVTFKILCPADKVGVIIGKAGTIVKALEHETGAAIRVGPIVPECYERLITITAFENLESHQSPAQRAILVVFNKLAAINSEKGSNLFQKGSFISARLLVQANQAGCLLGKGGSIIAEMRKMTGARIILHRGDQVPKCASENDEVVEV >itb03g16880.t1 pep chromosome:ASM357664v1:3:15655117:15659498:1 gene:itb03g16880 transcript:itb03g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAVSLTPRHQYLFKTILNYTHLKNLRKGKSLHAHLIKSGSSSCIYLSNSVVNFYAKCSLLREAHLAFDDIDDKDTVSWNCLINGYSQLGRRDSSLAVLSLLKQMRQQDTVPNSHTFAGVFSAASHLGDTVVGKQAHCLAVKLRGFDDVFVGSSLINMYCKAGDIGDAHMVFEEMPERNSVSWATMISGYASHRLAKEALGVFRMMLVEGEDGVNEFALTSVLSGFTLPEFISVGKQIHCFSMKNGLFSIVPVANALVTMYAKCGSLDDAYQAFESSNIKEPITWSAMVTGYAQNGNGERALKLFSEMHVCGVMPSEYTLVGVLNACSDVVAVSEGRQVHGYLVKLGFESQMYIMTALVDMYAKCGKIDDARSGFDCLGESDIVLWTSMIAGYVQNGDSESAMNMYCRMRGKGIMPNELTMASVLRACSSLATLEQGKQIHAHTLKYGFSLTVPVGSALATMYAKCGSLNDGDLVFRRMPNKDVASWNSMISGLSQNGHGTEALQVFEEMRMVGGKPDYVTFVNILSACSHMGLVEKGWDYFKMMSGEFGIEPGVEHYACMVDILGRAGKLYEAKEFILSATIDHGLCLWRILLSACRNYRNYELGAYAGEKLMELGSLESSAYVLLSSIYSALGRVQDVERVRHLMSLRCVTKEPGCSWIELKTRFHVFVVSDQLHPQIKEIREEVRKLSKLMKDEDYELDSDLVLEGL >itb03g16880.t3 pep chromosome:ASM357664v1:3:15655117:15657827:1 gene:itb03g16880 transcript:itb03g16880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAVSLTPRHQYLFKTILNYTHLKNLRKGKSLHAHLIKSGSSSCIYLSNSVVNFYAKCSLLREAHLAFDDIDDKDTVSWNCLINGYSQLGRRDSSLAVLSLLKQMRQQDTVPNSHTFAGVFSAASHLGDTVVGKQAHCLAVKLRGFDDVFVGSSLINMYCKAGDIGDAHMVFEEMPERNSVSWATMISGYASHRLAKEALGVFRMMLVEGEDGVNEFALTSVLSGFTLPEFISVGKQIHCFSMKNGLFSIVPVANALVTMYAKCGSLDDAYQAFESSNIKEPITWSAMVTGYAQNGNGERALKLFSEMHVCGVMPSEYTLVGVLNACSDVVAVSEGRQVHGYLVKLGFESQMYIMTALVDMYAKCGKIDDARSGFDCLGESDIVLWTSMIAGYVQNGDSESAMNMYCRMRGKGIMPNELTMASVLRACSSLATLEQGKQIHAHTLKYGFSLTVPVGSALATMYAKCGSLNDGDLVFRRMPNKDVASWNSMISGLSQNGHGTEALQVFEEMRMVGGKPDYVTFVNILSACSHMGLVEKGWDYFKMMSGEFGIEPGVEHYACMVDILGRAGKLYEAKEFILSATIDHGLCLWRILLSACRNYRNYELGAYAGEKLMELGSLESSAYVLLSSIYSALGRVQDVERVRHLMSLRCVTKEPGCSWIELKTRFHVFVVSDQLHPQIKEIREEVRKLSKLMKDEDYELDSDLVLEGL >itb03g16880.t2 pep chromosome:ASM357664v1:3:15655086:15659498:1 gene:itb03g16880 transcript:itb03g16880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAVSLTPRHQYLFKTILNYTHLKNLRKGKSLHAHLIKSGSSSCIYLSNSVVNFYAKCSLLREAHLAFDDIDDKDTVSWNCLINGYSQLGRRDSSLAVLSLLKQMRQQDTVPNSHTFAGVFSAASHLGDTVVGKQAHCLAVKLRGFDDVFVGSSLINMYCKAGDIGDAHMVFEEMPERNSVSWATMISGYASHRLAKEALGVFRMMLVEGEDGVNEFALTSVLSGFTLPEFISVGKQIHCFSMKNGLFSIVPVANALVTMYAKCGSLDDAYQAFESSNIKEPITWSAMVTGYAQNGNGERALKLFSEMHVCGVMPSEYTLVGVLNACSDVVAVSEGRQVHGYLVKLGFESQMYIMTALVDMYAKCGKIDDARSGFDCLGESDIVLWTSMIAGYVQNGDSESAMNMYCRMRGKGIMPNELTMASVLRACSSLATLEQGKQIHAHTLKYGFSLTVPVGSALATMYAKCGSLNDGDLVFRRMPNKDVASWNSMISGLSQNGHGTEALQVFEEMRMVGGKPDYVTFVNILSACSHMGLVEKGWDYFKMMSGEFGIEPGVEHYACMVDILGRAGKLYEAKEFILSATIDHGLCLWRILLSACRNYRNYELGAYAGEKLMELGSLESSAYVLLSSIYSALGRVQDVERVRHLMSLRCVTKEPGCSWIELKTRFHVFVVSDQLHPQIKEIREEVRKLSKLMKDEDYELDSDLVLEGL >itb12g20880.t1 pep chromosome:ASM357664v1:12:23309011:23314287:1 gene:itb12g20880 transcript:itb12g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGALNFSAMALSTCPAVKSFCRRRLRGERHGVWPIRTENCTYISTSAAAVATLRVRCKSSGSTSAGVALREEFADEEDYVKGGGSEILFVQMQQNKHMDEQSKLLDTLPQISVGEGILDLVVIGCGPAGLALAAESAKIGLNVGLIGPDLPFTNNYGVWEDEFKDLGLQRCIEHVWRDTIVYLDDGDPILIGRAYGRVSRHLLHEELLKRCLESGVSYLNLKVERIVENAIGQSLVECEGNVIIPCRLVTVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYVRHKVHSLEAEFPTFLYAMPMSDTRVFFEETCLASKEAMPFDLLKKKLMVRLETMGIRIKKIYEEEWSYIPVGGSLPNTDQRNLAFGAAASMVHPATGYSVVRSLSEAPRYASVIANILKRSPGMDDMLVSSRSTENISTQAWETLWPQERKRQRSFFLFGLALILQLDIEGIRTFFHTFFRLPNWMWQGFLGSTLSSADLMLFAFYMFVIAPNDMRKGLIRHLISDPTGAIMIRTYLTL >itb12g20880.t2 pep chromosome:ASM357664v1:12:23309011:23314036:1 gene:itb12g20880 transcript:itb12g20880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGALNFSAMALSTCPAVKSFCRRRLRGERHGVWPIRTENCTYISTSAAAVATLRVRCKSSGSTSAGVALREEFADEEDYVKGGGSEILFVQMQQNKHMDEQSKLLDTLPQISVGEGILDLVVIGCGPAGLALAAESAKIGLNVGLIGPDLPFTNNYGVWEDEFKDLGLQRCIEHVWRDTIVYLDDGDPILIGRAYGRVSRHLLHEELLKRCLESGVSYLNLKVERIVENAIGQSLVECEGNVIIPCRLVTVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYVRHKVHSLEAEFPTFLYAMPMSDTRVFFEETCLASKEAMPFDLLKKKLMVRLETMGIRIKKIYEEEWSYIPVGGSLPNTDQRNLAFGAAASMVHPATGYSVVRSLSEAPRYASVIANILKRSPGMDDMLVSSRSTENISTQAWETLWPQERKRQRMWQGFLGSTLSSADLMLFAFYMFVIAPNDMRKGLIRHLISDPTGAIMIRTYLTL >itb05g22490.t3 pep chromosome:ASM357664v1:5:27903772:27905851:-1 gene:itb05g22490 transcript:itb05g22490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKRPHHFHNFKAGALNALIRVSSEISNAPIILTLDCDMYSNDSGSVQDAICFFMDEEKSRNIAFVQFPQSFQNTSKNDLYGGCLRVAYDVEFHGLDGFGGPWYIGTGCFHRREALYGREFSEAARNELKSDPPRRTRKNVHEFEESLQALVSCTYEENTQWGDEIGMRYGCVVEDVLTGLAILCKGWKSVYFRPARKAFLGVTATTLDQMLVQQKRWSEGDFQILFSKYSPVRHGLGKFKIGLILSYLPYCLWPPNCFPVLCYSIIPSLYLFKGVPLFPQVSSVWFLPFAYIVVATLAYSCAEFLWTDGTLLGWWNEQRMWLYKRSSAYLFAFLDTMLKLVGCSNSTFVISAKVSDEDVCVRYEQEMMEFGSDSPMFTILSSVAMLNVFCFVGAVKKMVTERLVFENLGLQIVVCGVLVLMNLPIYNGMLLRKDKGRMPSTVTYKAIVVALSACTCFTFL >itb05g22490.t1 pep chromosome:ASM357664v1:5:27903772:27907078:-1 gene:itb05g22490 transcript:itb05g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEIVENDKYEPLFESRIAKGRLVYRLFSASIFVGIVCVWIYRVIHIPEGGEYGRMGWMGMYGAELWFGIYWIFTQAQRWSPVFRHPFGQTLLKRYGKALPRVDVFVCTADPATEPPIMVVNTVLSAMAYDYPPEKLSVYLSDDAGSELTFYALMEASNFSKHWIPYCKKFNIEPRSPSAYFSSNLDVSSGTDFSHVKKVYEDMKNRIEMACQLGRIPKDEYHKHTGLFSKWDSSSSPKNHAAILKIVIDGREEEGNKDSEGHSLPTLVYMAREKRPHHFHNFKAGALNALIRVSSEISNAPIILTLDCDMYSNDSGSVQDAICFFMDEEKSRNIAFVQFPQSFQNTSKNDLYGGCLRVAYDVEFHGLDGFGGPWYIGTGCFHRREALYGREFSEAARNELKSDPPRRTRKNVHEFEESLQALVSCTYEENTQWGDEIGMRYGCVVEDVLTGLAILCKGWKSVYFRPARKAFLGVTATTLDQMLVQQKRWSEGDFQILFSKYSPVRHGLGKFKIGLILSYLPYCLWPPNCFPVLCYSIIPSLYLFKGVPLFPQVSSVWFLPFAYIVVATLAYSCAEFLWTDGTLLGWWNEQRMWLYKRSSAYLFAFLDTMLKLVGCSNSTFVISAKVSDEDVCVRYEQEMMEFGSDSPMFTILSSVAMLNVFCFVGAVKKMVTERLVFENLGLQIVVCGVLVLMNLPIYNGMLLRKDKGRMPSTVTYKAIVVALSACTCFTFL >itb05g22490.t2 pep chromosome:ASM357664v1:5:27903772:27906367:-1 gene:itb05g22490 transcript:itb05g22490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAQISPMSSYFDMQKVYEDMKNRIEMACQLGRIPKDEYHKHTGLFSKWDSSSSPKNHAAILKIVIDGREEEGNKDSEGHSLPTLVYMAREKRPHHFHNFKAGALNALIRVSSEISNAPIILTLDCDMYSNDSGSVQDAICFFMDEEKSRNIAFVQFPQSFQNTSKNDLYGGCLRVAYDVEFHGLDGFGGPWYIGTGCFHRREALYGREFSEAARNELKSDPPRRTRKNVHEFEESLQALVSCTYEENTQWGDEIGMRYGCVVEDVLTGLAILCKGWKSVYFRPARKAFLGVTATTLDQMLVQQKRWSEGDFQILFSKYSPVRHGLGKFKIGLILSYLPYCLWPPNCFPVLCYSIIPSLYLFKGVPLFPQVSSVWFLPFAYIVVATLAYSCAEFLWTDGTLLGWWNEQRMWLYKRSSAYLFAFLDTMLKLVGCSNSTFVISAKVSDEDVCVRYEQEMMEFGSDSPMFTILSSVAMLNVFCFVGAVKKMVTERLVFENLGLQIVVCGVLVLMNLPIYNGMLLRKDKGRMPSTVTYKAIVVALSACTCFTFL >itb13g24160.t1 pep chromosome:ASM357664v1:13:29911848:29913558:1 gene:itb13g24160 transcript:itb13g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSQINLWLKKGCSHGILLAKKALPLHRFMEGSSFLGLTRNGGPTGDLKSIFQLAKTLTTALHGFEKAAEDQNPAERRLADSWEEIHGENNWVGLLEPFDSLLRTELIRYGDMAQACYDAYEEDPSSRFGYRIKIEPHVFFKSLGLTKYGYDVTKYIYSSYNVDVPNFLAKPLLSDDWTDGASWIGYVAVSNDEYSQHLGRRDITIAWRGTVTGLEIFADIQDFKTSLEDHNLPTCDPAIKVEDGFLDVYTKKDESNTFCNKSARTQVTEEVQRLLHEYSGEKLSISVTGHSLGSALATINAYDIAEMRQNNEDNIPVCVFSFSGPRVGNIRFKQRMEELGVKVLRVANIHDAVPKVPGVLLNERLPGFMQKVVEFLPWSYFHVGEKLMLDHTKSPFIKKWIDLAEVHNLELLLHLVDGYQGEGREFLSATGRNLALVNKSKDLLNDDLHIPPKWRDALRKKADN >itb11g05550.t1 pep chromosome:ASM357664v1:11:3291216:3292217:1 gene:itb11g05550 transcript:itb11g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPKLRACWGGATIAPTAETSAAGPSRRQQQQPDEADSIPPRRSTGSVNNAKRAANWKPKLRMIAEDGVIGGDMERTSGTATGGGGVRTVPASVKKPPVKAKPKSTGTVSGASKHTDYYRKCSRPIPIPAFSPAPFLI >itb11g05550.t2 pep chromosome:ASM357664v1:11:3291216:3292217:1 gene:itb11g05550 transcript:itb11g05550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPKLRACWGGATIAPTAETSAAGPSRRQQQQPDEADSIPPRRSTGSVNNAKRAANWKPKLRMIAEDGVIGGDMERTSGTATGGGGVRTVPASVKKPPVKAKPKSTGTVSGASKHTDYYRKCSRPIPIPAFSPAPFLI >itb02g13970.t1 pep chromosome:ASM357664v1:2:10199202:10201093:-1 gene:itb02g13970 transcript:itb02g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVHKILNLLAPIITLNAFVFFSPPYLIFKILRFVLRSVFPENVAGKVVVIAGASSGIGEHLAYEYARRGAYLVLAARREKSLEGVAERASRLGSPYVITVRADVSKLEDCQLLIHEAINHFGQLNHLVNNASVTPLSMFEDVNDITNFAPSMDINFWGSVYTTYFAIPHLKRSKGRIIVIASSGSWLNAPRLSFYNASKAAVVSFYETLRVELRSDIGITIVTPGLTESEMTKGKFLGKSGEMEVDQEMRDVELTVVPMEPAEKSAKAIVNSACRGDNYLTDPSWMTVTFYYKLFLPEVIDWFCRWLLITRPGKSPTQALGKIILDQTWLKSILYPDSLLSPEIKVN >itb11g08270.t1 pep chromosome:ASM357664v1:11:5404675:5405283:-1 gene:itb11g08270 transcript:itb11g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPTKFDKIPFENIEQLEKLTCEHMVFPSVIRAEDRIYLLSLRNLFGYRLIRDAFRFQYFDPNKNLFETLPSPPVLIDREIYTDHLDVQCSFFLRDYIYVLITDTETFCQPSKFNTTNSKWEDCPSMVDKFEEKNIPFPFSHAGDMGISDKLVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTSDFDMXG >itb07g02590.t1 pep chromosome:ASM357664v1:7:1663023:1663643:1 gene:itb07g02590 transcript:itb07g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVDLVCAGNGNPWDDHSLGQVVGIVISYTSTNVYTLRFLYVKDNIQQMSEEHGNCTDGENSEMILLEYPTEFLTAVRGVRHRFIGSFAPIKSLTFVTNKATYGPFGQPRSVQHDIDFGFKISANVPRNWISGFYGTVYRGYIEGLGVYVQSPTTSQPDESIRQWKPEL >itb05g26010.t1 pep chromosome:ASM357664v1:5:30110361:30114183:-1 gene:itb05g26010 transcript:itb05g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMPWKSQACLFLLYLLLQFPTDSLGISKGNAEIQQNNLKRSQFPDEFLFGASTSAYQIEGAYLEDGKGISNWDVFCTINGKIQNGDNGNVADDHYHRYLEDIDLMHDIGLDAYRFSISWTRILPKGRFGRVNQAGIEFYDKVIDNLLSKGIKPFVTIHHHDHPQELEDRYGGWLSSEMQEDFVYFAKICFESFGDRVKYWITINEPNLFAEIAYERGWYPPAHCSPPFGNCSVGNSDVEPLIAMHNMLLAHGKAAKAYHEQFQAKQGGLIGITSHMFFFEPFSKDVHDQEAANRALAFNAAWTYDPLVFGDYPPEMRFYHGSELPSFTSEESALIKDSVDFIGINHYGTLYAKDCLYSRCNCTGSSCSKGWDRAIQGFLYTTGERDGVLIGEPTGGARFFIVPRGIEKIVDYIKERYHNKPMFILENGYSSPNKTSSALYIENDVKRIEYHKAYLPFLARAISNGADVRGYFIWSFMDAFEWTDGYETKFGLYYVQPLTLHRFPKLSATWYRDFISNNIQTTNSTALHSVQ >itb01g35180.t1 pep chromosome:ASM357664v1:1:37518397:37521441:-1 gene:itb01g35180 transcript:itb01g35180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKSPINAVRIWLKAQPPKVKGFLAAIASLSAIVVIRHLVENRDNLFVAAEAVHALGISVLIFKLTKERTCAGLSLKSQELTAIFLAVRLYCSVVMEYDVHTLLDLATFATTIWVIYMIRFQLNSSYMGDKDNFPLYYVVIPCAVLSLLIHPSTHHHIFNRICWAFCVYLEAVSVLPQLRVMQNIKIIEPFTAHYVFALGISRFLSCAHWILQMIDTRGRLLTALGYGLWPSMVLLSEAVQTFILADFCYYYVKSVLGGNLVLRLPSGVV >itb01g35180.t3 pep chromosome:ASM357664v1:1:37519472:37521441:-1 gene:itb01g35180 transcript:itb01g35180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKSPINAVRIWLKAQPPKVKGFLAAIASLSAIVVIRHLVENRDNLFVAAEAVHALGISVLIFKLTKERTCAGLSLKSQELTAIFLAVRLYCSVVMEYDVHTLLDLATFATTIWVIYMIRFQLNSSYMGDKDNFPLYYVVIPCAVLSLLIHPSTHHHIFNRICWAFCVYLEAVSVLPQLRVMQNIKIIEPFTAHYVFALGISRFLSCAHWILQMIDTRGRLLTALGYGLWPSMVLLSEAVQTFILADFCYYYVKRYVLISQYILISNNFDYCN >itb01g35180.t2 pep chromosome:ASM357664v1:1:37518966:37521489:-1 gene:itb01g35180 transcript:itb01g35180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKSPINAVRIWLKAQPPKVKGFLAAIASLSAIVVIRHLVENRDNLFVAAEAVHALGISVLIFKLTKERTCAGLSLKSQELTAIFLAVRLYCSVVMEYDVHTLLDLATFATTIWVIYMIRFQLNSSYMGDKDNFPLYYVVIPCAVLSLLIHPSTHHHIFNRICWAFCVYLEAVSVLPQLRVMQNIKIIEPFTAHYVFALGISRFLSCAHWILQMIDTRGRLLTALGYGLWPSMVLLSEAVQTFILADFCYYYVKSVLGGNLVLRLPSGVV >itb02g21550.t1 pep chromosome:ASM357664v1:2:20497444:20498352:1 gene:itb02g21550 transcript:itb02g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTNRAEAVAEIEPSREYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEKASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINHAHSTSFANSSRVPWEDEMF >itb13g22320.t2 pep chromosome:ASM357664v1:13:28610334:28618376:-1 gene:itb13g22320 transcript:itb13g22320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQVPDWLNSSLWSSPASPPPIESPPQQRQQQQPSTPPRSTLRGEDAANRPSRSSPKSTNYSSESAVERPAIAVPPPAIRTEARRTKAEIRDPLSSNNNNYNYNSDEDNVSSTGSSTTSPSLAAGGSSAEDISRQAQLCQELSRKIINMGEVRKLASQGIPDGAGIRATVWKLLLGYLPCDRSSWPSELAKKRSQYKHFKDDLLMNPSEITRRLEKSTIDESNGKDKGLLSRSEITHGEHPLSLGKNSIWNQFFQDTEIMEQIDRDVKRTHPDLHFFSGDTPFAKSNQEALKDILIVFAKLNPGIRYVQGMNELLAPLYYVFKNDPNEENALCRLLQKQTHSSASWNC >itb13g22320.t1 pep chromosome:ASM357664v1:13:28610334:28618443:-1 gene:itb13g22320 transcript:itb13g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQVPDWLNSSLWSSPASPPPIESPPQQRQQQQPSTPPRSTLRGEDAANRPSRSSPKSTNYSSESAVERPAIAVPPPAIRTEARRTKAEIRDPLSSNNNNYNYNSDEDNVSSTGSSTTSPSLAAGGSSAEDISRQAQLCQELSRKIINMGEVRKLASQGIPDGAGIRATVWKLLLGYLPCDRSSWPSELAKKRSQYKHFKDDLLMNPSEITRRLEKSTIDESNGKDKGLLSRSEITHGEHPLSLGKNSIWNQFFQDTEIMEQIDRDVKRTHPDLHFFSGDTPFAKSNQEALKDILIVFAKLNPGIRYVQGMNELLAPLYYVFKNDPNEENAAAAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITKLSQLLKEHDEELWRHLDVTTKVNPQFYAFRWITLLLTQEFNFADSLLIWDTLLSDPEGPQETLLRVCCAMLIIIRRRLLAGDFTSNLKLLQNYPSTNISHLLYVANKLRTKSAG >itb06g02880.t1 pep chromosome:ASM357664v1:6:4926898:4934157:-1 gene:itb06g02880 transcript:itb06g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARREIVTALKFHRAAMKQQQQQQQQQQSQTEKQPLQPSPQSSLEQELKLNSGGNSRNNDSNVTAKDFPSCMDNYSHLPFSSHPLHQYSYPNVSVTPSLIQENLNFPLPSQPLGLNLNFQDFNNLDATPYFCSSNPSIYSSSSSSSSSSSSSSCAHISAPSEDIHHMGSSQEVHPMEEAEMVNSGLHPTLDDKEMAEIRSIGEQHQMELNDTLNLATSAWWFKFLKTTEVGPDEEKNVEDYGCYPFDEVMEFPAWLNANESCLQQRLNDHYSEDYFQNPALPCMGIDEIEGKDVEWIARED >itb01g17170.t2 pep chromosome:ASM357664v1:1:21905451:21911088:1 gene:itb01g17170 transcript:itb01g17170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPQNPPSSSTATSRRKSRRKSSAKNRRFSNSRPSQFGSRSGENKPRPSTKHTATPTTPPTHMLRPVNSSPRPDEATHSAMPSLRFLDQPQPPPPANANGVHLLERRTLVLADGSARTYFALPPDYHDFSPLPPREFRGPGLGFDRNFPVSPDLRPEFRDDPFLHTRNHDYQGSLGLEEQGGGPVTGPGHENSMKRKFWDDETEANDYIDRKRQQLLQLGNLGDNSQGMNELHTGRGSEEMKVAEVNTQHLKHHEVDQKALKKAFLRFAKSVYEVAKQKNRYLANGKQGHLQCEVCGRESKRFRDMHSLIMHAYHSDNADSTVDHLGFHKALCVLMGWNYLTAPDSSKSYQLLSAGEAAANLDDLIIWPPLVIIHNTITGKHVDGRVEGYGNKFMDDYLRDIGCKGGKSKASFNKGGYLGITLVKFSGDQSGLKEAMRLAEYFQRGNHGRGNWALVQRVTLYKDEENNPDLVKVDPQTGEKKRIFYGYLANISDLDKIEADTKKRVSVESKTELLLSQ >itb01g17170.t1 pep chromosome:ASM357664v1:1:21905427:21911088:1 gene:itb01g17170 transcript:itb01g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPQNPPSSSTATSRRKSRRKSSAKNRRFSNSRPSQFGSRSGENKPRPSTKHTATPTTPPTHMLRPVNSSPRPDEATHSAMPSLRFLDQPQPPPPANANGVHLLERRTLVLADGSARTYFALPPDYHDFSPLPPREFRGPGLGFDRNFPVSPDLRPEFRDDPFLHTRNHDYQGSLGLEEQGGGPVTGPGHENSMKRKFWDDETEANDYIDRKRQQLLQLGNLGDNSQGMNELHTGRGSEEMKVAEVNTQHLKHHEVDQKALKKAFLRFAKSVYEVAKQKNRYLANGKQGHLQCEVCGRESKRFRDMHSLIMHAYHSDNADSTVDHLGFHKALCVLMGWNYLTAPDSSKSYQLLSAGEAAANLDDLIIWPPLVIIHNTITGKHVDGRVEGYGNKFMDDYLRDIGCKGGKSKASFNKGGYLGITLVKFSGDQSGLKEAMRLAEYFQRGNHGRGNWALVQRVTLYKDEENNPDLVKVDPQTGEKKRIFYGYLANISDLDKIEADTKKRVSVESKTELLLSQ >itb03g01200.t1 pep chromosome:ASM357664v1:3:652224:653045:1 gene:itb03g01200 transcript:itb03g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSENDSGKKCKMVPAIAHLLFTVSNTMVIIFRAYVNRQYSLLAFIFFMYSAFFLLDYFSFVYHRLSLSADQKSQRYKDMLGLAMWFLFSAMMFGLGYQLGPLFPFSFALFLYAIVGAGSCILFYLYMIFDDRGDGRFDHKKFEEQVCDNV >itb09g04310.t1 pep chromosome:ASM357664v1:9:2405450:2407466:1 gene:itb09g04310 transcript:itb09g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLAPQMADQTVYEGEGGGYYSWSTSAAPFLGQAKLAAGKLVLQPLGFALPHYADFKKFGYVLQGCCVVGMISPDSTTEKIVKVTKGDVIPVELGAVTWWYNDGDSDVVIVYLGETSDSNVAGPFNYYFLAGALGMLGGFSADFLATGFGISVTESKTLFKAQNAALITKLKAKLKISDLVNNNRKEMVFNLENALPSVNIKNGGSLVSATAENFPLLSRVNLSGNLVKLESGSMLTPGYTADSSYEIGYVVSGSARIQIVGLNGQLVFDGKVAAGRLFVLPKFFVGSLIADKEGIEFVSTVTSAEPKLRRLAGVESVWKALSPSILQASLNLSAEETEIFKAKIVETTAIIPSKNK >itb07g11040.t1 pep chromosome:ASM357664v1:7:12470071:12474758:-1 gene:itb07g11040 transcript:itb07g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRDRISQLPADILDHILGFLPIQEAAKTAVLSSIWRDVWLSLTQLNFDHKFFLYINNKHHRASKYVRKSAASLYVINKVLLLHKGTIRKFVLCFYNVGILAIRSRSYDFDEWLLLVTQKGVEEIYIRFEEKAYRLPGCIFSCSTLKRLHLYGVIVDPINLPCILPNVASLCFEWVDFVPINCLDCAIDVLALEDLSFISCKNTFYFDITAPKLRSLTIKSGSSNELGKFLPVNLDLRSVSTLDLGGCVQGFVKEFTKMGFRLNVKYLKLSCYEEFYTQSDRSFSVLAHLLRLCPKLCKLVINLFWLRSVATECVNALLELHDVAQTNTMLHALNSATQPSLSTAILLSPPAAGLSVLRLASVTLLHG >itb10g14750.t1 pep chromosome:ASM357664v1:10:21041808:21045093:1 gene:itb10g14750 transcript:itb10g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPEETIIPAEGESLKEGSSKDGILGFIGRPFDWLKMLSDELHWSFVSAVVIVYGINQGLSMGLSKISTQYYMKDDQKLQPSEAQVYFGILQLPWVVKPLWGLLTDTLPIFGYRRRPYFIIAGFLGIISMVILSLKQDLHLAFALLCLITSSAGMAVADSTIDACVTENSISHPYLASDMQSLCGVSSSIGQLIGYTVSGFLVHLIGSKGVFGVLSIPAALVLLVGLMIGETFVNKGADRRVSEKLRDAGKAMWIALKCKNVWRPCLYMYVSLALSLQIHEGMFYWYTEAKNGPFFSKEAVGAISSIGAVGSLLGILLYQNAFKHHPFRRVLFWAQLLYGASGLLDLILVSRANLRIGIPDYFVAVSDAAISHMITRLKWMPLLVLSTKLCPSGIEGTFFALLMSIDHIGSLTAAWAGGLCLHVLHVTRTQFGNLWVAIVVRSFLRVVPVGLLFLVPRNDDPNASILPDELLNTRKGEESEDMEMVSLVNNDDDPNSVEE >itb10g14750.t3 pep chromosome:ASM357664v1:10:21042903:21045093:1 gene:itb10g14750 transcript:itb10g14750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSLKQDLHLAFALLCLITSSAGMAVADSTIDACVTENSISHPYLASDMQSLCGVSSSIGQLIGYTVSGFLVHLIGSKGVFGVLSIPAALVLLVGLMIGETFVNKGADRRVSEKLRDAGKAMWIALKCKNVWRPCLYMYVSLALSLQIHEGMFYWYTEAKNGPFFSKEAVGAISSIGAVGSLLGILLYQNAFKHHPFRRVLFWAQLLYGASGLLDLILVSRANLRIGIPDYFVAVSDAAISHMITRLKWMPLLVLSTKLCPSGIEGTFFALLMSIDHIGSLTAAWAGGLCLHVLHVTRTQFGNLWVAIVVRSFLRVVPVGLLFLVPRNDDPNASILPDELLNTRKGEESEDMEMVSLVNNDDDPNSVEE >itb10g14750.t2 pep chromosome:ASM357664v1:10:21041808:21045065:1 gene:itb10g14750 transcript:itb10g14750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADSTIDACVTENSISHPYLASDMQSLCGVSSSIGQLIGYTVSGFLVHLIGSKGVFGVLSIPAALVLLVGLMIGETFVNKGADRRVSEKLRDAGKAMWIALKCKNVWRPCLYMYVSLALSLQIHEGMFYWYTEAKNGPFFSKEAVGAISSIGAVGSLLGILLYQNAFKHHPFRRVLFWAQLLYGASGLLDLILVSRANLRIGIPDYFVAVSDAAISHMITRLKWMPLLVLSTKLCPSGIEGTFFALLMSIDHIGSLTAAWAGGLCLHVLHVTRTQFGNLWVAIVVRSFLRVVPVGLLFLVPRNDDPNASILPDELLNTRKGEESEDMEMVSLVNNDDDPNSVEE >itb11g09450.t2 pep chromosome:ASM357664v1:11:6304069:6306677:-1 gene:itb11g09450 transcript:itb11g09450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQYAYFACFQNLYRVKIKIPSSPSSCKEEVKLELVASDLPYFMALFNHGHTLYAVGGVDVYAETAETGPSYSRQRLIFDFDPSRFPQLPIENPDSLDKPVDFQSMECPRVIRGDDDRIYLLSKNHSCFKPILEGQFCFQSFDFVKDCFETLPPPPLAPDHALDLDRTLDRFFTLGHFVLRGYLYLXSAFTFNIKSSKWEEKSLLAQFEEKEIPPPFGHNGDIGLSDEFSENIRILVALCYTELYAYCVKIHAEGVLEFISYRAIKEIQCVGEDGDGLKCDLTQLADLGGGKFCVVTGSRAIDVLIYVFEINFDLEYSIQSSRSRVGASSGILYYKKFQPGSNIQSFCIVSAPPAIEDQDRVESTTKRERSGDETVHKAKFLRSL >itb11g09450.t3 pep chromosome:ASM357664v1:11:6303960:6306677:-1 gene:itb11g09450 transcript:itb11g09450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQYAYFACFQNLYRVKIKIPSSPSSCKEEVKLELVASDLPYFMALFNHGHTLYAVGGVDVYAETAETGPSYSRQRLIFDFDPSRFPQLPIENPDSLDKPVDFQSMECPRVIRGDDDRIYLLSKNHSCFKPILEGQFCFQSFDFVKDCFETLPPPPLAPDHALDLDRTLDRFFTLGHFVLRGYLYLXSAFTFNIKSSKWEEKSLLAQFEEKEIPPPFGHNGDIGLSDEFSENIRILVALCYTELYAYCVKIHAEGVLEFISYRAIKEIQCVGEDGDGLKCDLTQLADLGGGKFCVVTGSRAIDVLIYVFEINFDLEYSIQSSRSRVGASSGILYYKKFQPGSNIQSFCIVSAPPAIEDQDRVESTTKRERSGDETVHKAKFLRSL >itb11g09450.t4 pep chromosome:ASM357664v1:11:6303960:6306677:-1 gene:itb11g09450 transcript:itb11g09450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQYAYFACFQNLYRVKIKIPSSPSSCKEEVKLELVASDLPYFMALFNHGHTLYAVGGVDVYAETAETGPSYSRQRLIFDFDPSRFPQLPIENPDSLDKPVDFQSMECPRVIRGDDDRIYLLSKNHSCFKPILEGQFCFQSFDFVKDCFETLPPPPLAPDHALDLDRTLDRFFTLGHFVLRGYLYLXSAFTFNIKSSKWEEKSLLAQFEEKEIPPPFGHNGDIGLSDEFSENIRILVALCYTELYAYCVKIHAEGVLEFISYRAIKEIQCVGEDGDGLKCDLTQLADLGGGKFCVVTGSRAIDVLIYVFEINFDLEYSIQSSRSRVGASSGILYYKKFQPGSNIQSFCIVSAPPAIEDQDRVESTTKRERSGDETVHKAKFLRSL >itb11g09450.t1 pep chromosome:ASM357664v1:11:6304069:6306677:-1 gene:itb11g09450 transcript:itb11g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQYAYFACFQNLYRVKIKIPSSPSSCKEEVKLELVASDLPYFMALFNHGHTLYAVGGVDVYAETAETGPSYSRQRLIFDFDPSRFPQLPIENPDSLDKPVDFQSMECPRVIRGDDDRIYLLSKNHSCFKPILEGQFCFQSFDFVKDCFETLPPPPLAPDHALDLDRTLDRFFTLGHFVLRGYLYLXSAFTFNIKSSKWEEKSLLAQFEEKEIPPPFGHNGDIGLSDEFSENIRILVALCYTELYAYCVKIHAEGVLEFISYRAIKEIQCVGEDGDGLKCDLTQLADLGGGKFCVVTGSRAIDVLIYVFEINFDLEYSIQSSRSRVGASSGILYYKKFQPGSNIQSFCIVSAPPAIEDQDRVESTTKRERSGDETVHKAKFLRSL >itb11g02300.t1 pep chromosome:ASM357664v1:11:1165491:1168383:1 gene:itb11g02300 transcript:itb11g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMAPLYVALALGYFSVRRWHMFKPDQCDAINRFNCFFVIPFFNFQFMAHINPYRLNYPFLSADVIAKFLVIAALGAWANFTDKGSLSSSVTAFSLSTLTNTLIVGVPLLRAMYGDSGADLVVQAAVIQNLVWFTFLLFALQFWFTRTHPTTSSRIAAGNGSSVQMAAGDVEANNSHNNNETNTTYSDDSAATESTSVLSLMMPVLSNLAQNPNSYACFLGLFWALLASRWNLQLPSIIEGSIVIMSKAGSGVAMFSMGLFIALQENIVECGASVTALSMVLRFIVGPATTLIGCLVLGLRGDVLRISIIQAALPQSLASFVYAKEYGLHADILSTAVTIGTLISVPLLITYYVILGFIH >itb12g02770.t2 pep chromosome:ASM357664v1:12:1803869:1812073:-1 gene:itb12g02770 transcript:itb12g02770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAGLVSAAVWVFFVVCSYGSTGASGYRRYSTGGGIVDGKLNVHLVAHSHDDVGWLKTIDQYYVGSNNSIQGACVENVLDSVFMSLLRDPNRKFVFAEMAFFNRWWVEQSPEIQEEVKKLVASGQFEFINGGWCMHDEAVCHYIDMIDQTTLGHQLIKSQFNITPRAGWQIDPFGHSAVQAYLFGAELGFDSVHFARIDYQDRAKRKDDKSLEVVWRGSKTFGSSSQIFTNAFPVHYSPPDGFHFEVHDDFVPVQDNPLLFDLNVEQRVNDFVNAALIQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAANEAWPLKTDDYYPYADRENAYWTGFFTSRPAFKRYVRMLSGYYVAARQLEFWTGRKSTGPNTYSLADGLGIVQHHDAVTGTAKQHTTDDYAKRLAIGASESEIVVNSALSCLVNSKSNGKCKGGSTSSFDQCQLLNISYCPATEEDITEGKSLVIVAYNSLGWKRTDVIKIPVNDADLVVHDSMGKVIEAQYIELDNVTSNLREFYVEAYLGKSPKKAPKYWLLFPVSVPPLGFNSYFVSKASHKGSRNNGYISAIGLEKNETVEIGPGNLKLSFSLASGQLTRIFNSKTGVDIPVQQSYLWYASSSGGEDQQPSGAYIFRPESSTPNIVSRSVELKVIRGPLVDEVHQQFNSWISQVIRVYKDKEHAEFEFTVGPIPTDDSEGKEVITKMTTNMVTDKVFYTDSNGRDFLKRVRDFRSDWPLEVNQPVAGNYYPINLGIYTVDDKSEFSVLVDRATGGGSIEDGEIELMLHRFKKAPPLLCHIAFQFVFDLDTKVDYILSSLSRRTIYDDSRGVGEALDETVCVGGLCNGLSIRGNYYVSVNQLGAGSHWRRTTGQEIYSPLLLAFGHANPEEWKASHSTKATMMDPNYSLPPNVALITLQELADGGVLLRFAHLYEAGEDADYSSVAKVELKKMFPAKNIKALKEMSLSANQLKSEMKRMSWKVEGDKGKEPTPIRGGPVDMSSLVVELGPMEIRTFVLSF >itb12g02770.t1 pep chromosome:ASM357664v1:12:1803869:1812073:-1 gene:itb12g02770 transcript:itb12g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAGLVSAAVWVFFVVCSYGSTGASGYRRYSTGGGIVDGKLNVHLVAHSHDDVGWLKTIDQYYVGSNNSIQGACVENVLDSVFMSLLRDPNRKFVFAEMAFFNRWWVEQSPEIQEEVKKLVASGQFEFINGGWCMHDEAVCHYIDMIDQTTLGHQLIKSQFNITPRAGWQIDPFGHSAVQAYLFGAELGFDSVHFARIDYQDRAKRKDDKSLEVVWRGSKTFGSSSQIFTNAFPVHYSPPDGFHFEVHDDFVPVQDNPLLFDLNVEQRVNDFVNAALIQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAANEAWPLKTDDYYPYADRENAYWTGFFTSRPAFKRYVRMLSGYYVAARQLEFWTGRKSTGPNTYSLADGLGIVQHHDAVTGTAKQHTTDDYAKRLAIGASESEIVVNSALSCLVNSKSNGKCKGGSTSSFDQCQLLNISYCPATEEDITEGKSLVIVAYNSLGWKRTDVIKIPVNDADLVVHDSMGKVIEAQYIELDNVTSNLREFYVEAYLGKSPKKAPKYWLLFPVSVPPLGFNSYFVSKASHKGSRNNGYISAIGLEKNETVEIGPGNLKLSFSLASGQLTRIFNSKTGVDIPVQQSYLWYASSSGGEDQQPSGAYIFRPESSTPNIVSRSVELKVIRGPLVDEVHQQFNSWISQVIRVYKDKEHAEFEFTVGPIPTDDSEGKEVITKMTTNMVTDKVFYTDSNGRDFLKRVRDFRSDWPLEVNQPVAGNYYPINLGIYTVDDKSEFSVLVDRATGGGSIEDGEIELMLHRRTIYDDSRGVGEALDETVCVGGLCNGLSIRGNYYVSVNQLGAGSHWRRTTGQEIYSPLLLAFGHANPEEWKASHSTKATMMDPNYSLPPNVALITLQELADGGVLLRFAHLYEAGEDADYSSVAKVELKKMFPAKNIKALKEMSLSANQLKSEMKRMSWKVEGDKGKEPTPIRGGPVDMSSLVVELGPMEIRTFVLSF >itb07g05640.t1 pep chromosome:ASM357664v1:7:3866791:3868019:-1 gene:itb07g05640 transcript:itb07g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLHLSAAAVILLTCILSTASAFNITRILNRYPEYGVFNDLLSRTGLASDINRHSTVTVLAVKNGQIGDLTSRPIDLAESILRTHVILDYYDIMKLHRLKYDHAKVTTMYQQTGIADKNQGFLNVTTGKDGVIFGSAVRHSPHDARLEEAVMSRPYNISVLCVSCIIVTPGLDGYSMPPAEAPPPDSDSPAPAPARRRRRRKRAPAPAEEEVPAPDSDSSDYAPSDSPADAPGPDADAADKSSAGKSAAVSLVGFFLLLCSLLAAH >itb09g14830.t1 pep chromosome:ASM357664v1:9:10116649:10117962:1 gene:itb09g14830 transcript:itb09g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEKKPTEDHHKRNNKRRKMKQIVIFFLSSLLVLLFVSSSKCARLQPSIQVFSDEQKIQVIRPTQEKPSLKSQEDLSALMGLEESCEDNDKDCLSRKMVLEAHLDYIYTQGRKPNS >itb15g09710.t1 pep chromosome:ASM357664v1:15:6955599:6956682:-1 gene:itb15g09710 transcript:itb15g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLQAFIFLVVIVESPATANLIIDEVYSREELVKMAGYGEDKISTVQIEGALVGILCSRSGRRASYSWVKGSTHEHGGFLIDLPSHLHAIPNLENTCLVRVLHLPKASICTQHYYYSRAPKHKGIQLIGVQEGTRSYTTHTIHLTPKSC >itb09g04370.t1 pep chromosome:ASM357664v1:9:2441248:2447255:-1 gene:itb09g04370 transcript:itb09g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQSQSSNLSLGFLSHVSSVAPPNRSQIADDSISFQLDSSSWDPSHPPPSVPLQLMEQQTAEVKDGDGGGNGDEEKDVEEIRILGHSMCFKRKRDTDLNSPLKSLRAANLGEQQQGLEFRRNAVRAWGNQGLSLADPEVFEMMEKEKLRQYKGIELIASENFVCKAVMEALGSHLTNKYSEGMPGARYYGGNQFIDEIETLCCERALAAFGLDSENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYLPNGRKVSGASIFFESLSYKVNPQTGYVDYEKLEERALDFRPKILICGGSSYPREWDYAKFRHIADKCGAVLLCDMAQISGLVAAKVCENPFEYCDIVTTTAHKSLRGPRGGIIFFRKGAKPRKRGMLLNQGDGSDKYDFEEKINFAVFPALQGGPHNNHIAALAVALKQVATPEYKCYMQQVKKNSQALAAALLRRNCRLVTGGTDYHLIIWDLRNLGLTGKNFEVVCESCHLTLNKVMVFDDNGSITPGGVRIGTTAMTTRGCIEADFEIIADILLKAAHITNAIQREHGKLLKGFLKGIENNKDIIELRTRVENFASQFAMPGLDI >itb11g15680.t1 pep chromosome:ASM357664v1:11:13509734:13510488:-1 gene:itb11g15680 transcript:itb11g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSEHSPIPCNGLYRLSLRAGSASTSLEEMSHVRAWSGGDMKRSLRWYNLVGFGLNGMVSTSNFVTTGHTSHDYVGLAVVMSFAIARLCALLSTFSYTKFAIKMLGDRECYADREAIPQWMPFISSIKILEDKPDLSRWSLKYKAFGQDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGASS >itb06g11420.t1 pep chromosome:ASM357664v1:6:15987193:15988998:-1 gene:itb06g11420 transcript:itb06g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNMDGWMGGDGGSSAWRSMVALGVATAEKNPVSPPRKEAMFELQPNNPPELSGRSEPDPNDPFDPEKRPFYPLRHAPLPAELVAPQEQLPLLCLCVSEHLH >itb13g20370.t2 pep chromosome:ASM357664v1:13:27178212:27186757:1 gene:itb13g20370 transcript:itb13g20370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRTESPVYARHWSSESGVLNPPAAAPRPHHGRSSSVSGMSSIKRTQNVAAKAAAQRLAQVMASQAAAGNDDEDEDNDDLGFRFAPPPLPLSRTASGPPNTSNNKINGNAAKASASSSKISSRSSSPSLARNMVEETPSHRSTSAGRPLTSTRPAPAVPTSRSSLKTPIPIPQIDPPTNKPQEKRFSPNLGQVNLKDGGHQPGTSALHDELDVLQEENENLLEKLRVAEERFREAEARVVEFEKQVAALGKGVSLEAKLLNRKEASLRQREAALKEAKAKEGVDVELATLRSDVKNAKNEATAAVDQLRGTETEVKALRSMTQRMVLSQNEMEEVVLKRCWLARYWGLAARYGICSDIAITKYEYWSSLAPLPFEIVLSAGQKAKEECWQKGDDSPERGKHVQDLSDLTGEGNIESMLSVEMGLKELTSLKVEDAIVLALTQQRRPNSARTSISDLKSPGDPKYMEAIELSPEESEDVLFKEAWLTYFWRRAKALGIEEEIANKRLQFWISRSAQSPTSHDAVDVEQGLTELRKLGIEHRLWEASRKETDQDSSLIGK >itb13g20370.t1 pep chromosome:ASM357664v1:13:27178230:27187394:1 gene:itb13g20370 transcript:itb13g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRTESPVYARHWSSESGVLNPPAAAPRPHHGRSSSVSGMSSIKRTQNVAAKAAAQRLAQVMASQAAAGNDDEDEDNDDLGFRFAPPPLPLSRTASGPPNTSNNKINGNAAKASASSSKISSRSSSPSLARNMVEETPSHRSTSAGRPLTSTRPAPAVPTSRSSLKTPIPIPQIDPPTNKPQEKRFSPNLGQVNLKDGGHQPGTSALHDELDVLQEENENLLEKLRVAEERFREAEARVVEFEKQVAALGKGVSLEAKLLNRKEASLRQREAALKEAKAKEGVDVELATLRSDVKNAKNEATAAVDQLRGTETEVKALRSMTQRMVLSQNEMEEVVLKRCWLARYWGLAARYGICSDIAITKYEYWSSLAPLPFEIVLSAGQKAKEECWQKGDDSPERGKHVQDLSDLTGEGNIESMLSVEMGLKELTSLKVEDAIVLALTQQRRPNSARTSISDLKSPGDPKYMEAIELSPEESEDVLFKEAWLTYFWRRAKALGIEEEIANKRLQFWISRSAQSPTSHDAVDVEQGLTELRKLGIEHRLWEASRKETDQDSSLIGK >itb03g23960.t1 pep chromosome:ASM357664v1:3:22440604:22444844:-1 gene:itb03g23960 transcript:itb03g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSIECVSSSDGMEDEVTQSSLASHHPYSSSAKPQINILPAGIAPTSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCTEIFPYYSKLKHEAVCNFRPYSCPYAGSECSVTGDIPYLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGEYFCLHFEAFQLGMAPVYMAFLRFMGDETEARSYSYSLEVGGNGRKLIWDGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCS >itb13g14650.t2 pep chromosome:ASM357664v1:13:21251071:21258567:-1 gene:itb13g14650 transcript:itb13g14650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDSKLEIVIVGGGICGLATALALHRKGLKSIVLERSKTLRSEGGGIGILPNGWRALDQLGIGSHLRTVALPLQRSGDVRCMARNDLIRSLADALPAGTIRFGCEVSSVDYHSVTKFTRLLLSNGGYIEAEILIGCDGGRSIVAELLGLKPAKEFGVVATRGLTTYPNAHSLPIEFHRMFKGDVRVGILPINHDLVHWFVTFPTRQLSGENFPRDENAIKQMVIANIEKLQDLPPKVKEVIHLSEPDSVSFSHLKYRPPGDLLLGRLRKGTVTVAGDAMHVMGPFLGQGGCSSLEDAVVLARCLGLAALKNKTNGIEEEERTARIEEALEQYVKERRMRVAQLSMHTYLIGASMAATSKLAKMAFGFAMALFFRNGAAQNEYDCGQL >itb13g14650.t1 pep chromosome:ASM357664v1:13:21251071:21251571:-1 gene:itb13g14650 transcript:itb13g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIANIEKLQDLPPKVKEVIHLSEPDSVSFSHLKYRPPGDLLLGRLRKGTVTVAGDAMHVMGPFLGQGGCSSLEDAVVLARCLGLAALKNKTNGIEEEERTARIEEALEQYVKERRMRVAQLSMHTYLIGASMAATSKLAKMAFGFAMALFFRNGAAQNEYDCGQL >itb13g04460.t1 pep chromosome:ASM357664v1:13:5055593:5056171:-1 gene:itb13g04460 transcript:itb13g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLKKESSLAQVWERLPKLSANLFNHVALKQLCKPIGKFLTSDYATLHFTRPSYAKVKVEMDLLKPRIEEMFIGFSDKPGQEDKGYVQKVEYERVSAYCGICFKQGHSAEHCRYKIFARNYNANNPPTRGETMGGIPGGLEPVRGKNEVRNKIEGSKREEVIAGKRDQNGNKIMGNKGVGKTNWKRVTGG >itb02g14990.t1 pep chromosome:ASM357664v1:2:10872806:10875311:-1 gene:itb02g14990 transcript:itb02g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGQPESPTKTDAHTKHAADQSKPPAKKWCCCMQAPHAES >itb05g22710.t1 pep chromosome:ASM357664v1:5:28027633:28030295:1 gene:itb05g22710 transcript:itb05g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEKQQPPPPPPPPPTEDLGGAGMFVKVMTDEQMEVLRKQIAVYATICDQLVELHKSVASQHDLAGARLGNLYCDPLVTCAGHKLTGRQRWTPTPLQLQTLERIFDQGNGTPTKQKIKEITDELSRHGQISETNVYNWFQNRRARSKRKQQVVSTNNIESEVETEVESQDDKVTTKPEDLQSSHIPTSRSEDVCYQNSEVSSAMHSVGTKTNKAESAFASESTSKPAGSFDQMSFYGLSNPRMDQLIGKMEVFESYTPYLEAEEYNLTG >itb12g21620.t1 pep chromosome:ASM357664v1:12:23919460:23926856:1 gene:itb12g21620 transcript:itb12g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFSLSSTAHYSQQPHFSAAILPGKTLTTAPILSFNLPPDSPHNSRSIASSSSTRPRRPAINNPPTTSNHKGSKFPKNPLKNLINSTPPPPTIPSPSPQSLTSKLWLSSKLNPPPPPPPPQPTVIEEGENDVLENSESSGPEEMEPRVEIREQGKIFVGNLPLWIKKNEVAEFFRQFGPIKNVILIKGHHVTDRNMGFGFVIYGGPMAEKAALKAVEFDGIEFHGRVLTVKLDDGRRLKAKREERKRWVEGKDEDEYRSKWHEEREGSRREFRKVLESHPENWQAVVQAFERIKKPSRKEFGLMVNYYARRGDMHRARETFEKMRARGIEPTSHVYTNLVHAYAVGRDMEEALSCVRKMKDEGLEMSLVTYSIIVSGFAKLGNVEAAERWFQEAKEKHMTLNAIIYGSIIYANCQTGNMDRAEELVREMEEDGIDAPIDIYHTMMDGYTMSGNVEKCLIVFDRLKECGFTPSVISYGCLINLYTKLGKASKALEVCETMKLAGIRHNMKTYSMLINGFINLKDWANAFAIFEDVIKDGLKPDLVLYNNIIRAFCGMGNMDRAICIVDEMKRQRLKPSSRTYLPIIHAFAKAGEVHRALCTLEMMRRSGCIPTVHMFNALILGLVEKRQMEKAVDILDEMLVSGISPTEHTYTTIMHGYASLGDIGKAFQYFTKLKAEGLEVDVYTYEALLKACCKSGRMQSALAVTKEMNAQNIPRNTFVYNILVDGWARRGDVWEAADLMQQMREEGVQPDIHTYTSFINACCKAGDMQRALKTIEEMKVVGVQPNVKTYTTLIHGWARASLPEKALKCFEEMKQAGLKPDKAVYHCLMTSLISRAAVAEDYILTGIQNISKEMVESGMTVDMGTAVHWSKCLRKIERAGGSITEALQKTFPPDWNAHKTHIATTDINNNDEGLDNCEYDYDTFYDTDNDGNPSD >itb12g13160.t1 pep chromosome:ASM357664v1:12:11929628:11931978:1 gene:itb12g13160 transcript:itb12g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHRHHHHHGPPPPPPVVHHHEPPKPAVVVHVHHGSPSTPKPTYKLYCRAEPNHVLSVRDGKVILARANPSDPHQHWYKEEKFSTKVKDEEGLPSFVLVNKATGQAIKHSIGATHPVRLIPHNPDHLDESIMWSESHNTGDNYKAIRMVNNIRLNMDAFHGDKHHGGVHDGTTVVLWEWTKGDNQRWKIVPY >itb12g09680.t1 pep chromosome:ASM357664v1:12:7612596:7614707:1 gene:itb12g09680 transcript:itb12g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAFRGILGGRLMPSSIFQSQFASLRFCSTLTTSKLFISGLSRYTSDEGLKNAFEQFGKLVEAKVITDRATGRSKGFGFVTYETIEEAQKAREGMNAKFLDGWVIFVDPAKPKEVRPPPQPESDSTSFGIRSNKTIGWSG >itb05g15590.t1 pep chromosome:ASM357664v1:5:22941668:22944080:1 gene:itb05g15590 transcript:itb05g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICLALLSIFIATLLWLFRHRRAIASSKSHRKLPPGPRGLPIIGNLHMLGTLPHRSLNELSKKYGPIMFLKLGNVPTVVISLPAAAELILKTHDAVFANRPKFDVVDNTTNGSKGVGFAPYGPQWRNNKKFLAQELLSPAKIESFAEMRRGEIEALVKSIRAAAEGREAVDLSSEVGKLIENVTYKMLFGCSNDNDSRHELKCIVEEIVSLEGAFNIADFIPILKPFDLQGLNKRIKALGKSIDKLFTEVINEREQDTKKGIPESNKNIVDIMLSFQKSPSSSSHKLDLVSIKPLLFDMIVGSVDTSFTWIEWTLAEIMRHPRVMKRLQEELETKVGLSRMVEEKDLPNLEYLEMVIKESFRLHPVATLLIPRESMEDIELDGHFIPKNTRVSINCWAIGHDPNIWSDNVEEFVPERFMNKNIDLRGRDFHLLPFGYGRRACPGVNLGLIMVKLIVGQLIHCFNWELPNGMSHSELNMTEKYGLASPKAEHLVVVPSHRLFCQLA >itb09g09220.t1 pep chromosome:ASM357664v1:9:5523819:5524600:-1 gene:itb09g09220 transcript:itb09g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRGCPTRLCSCSATTPAIAAAAEAVRGLPRRRHWFATPTARRRLKTRHSPTSPPLQPTLTTGKICSSYLEFRLSPQTSAPMSTTPPQSPPDSSHRHRQVHRPLHRLKNAPLKLYLWLLTPRQHKMLLFPLTPTSKKIPNNFEQRT >itb01g29400.t1 pep chromosome:ASM357664v1:1:33645647:33648704:1 gene:itb01g29400 transcript:itb01g29400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLVQPIGQKRLTNVAVVRLKKHGNRFEIACYKNKVLSWRSGVEKDIDEVLQSHTVYFNVSKGVLAKSKDLLAAFGTDDQTKICLEILEKGELQVAGKERESQFSSQFRDIATIVMQKTVNPETQRPYTISMIERLMHEIHFAVDPHSSSKKQALEVIRELQKHYPIKRAPMRLRLTIPGEQNFSILEKLKEWNAGVISRDEAGSQLSIICEMEPNLFRDCDALIRNLQGRLEILAVNVHVEGDTFVDQYDDHEDESSSLTEKMQKHTISSENEYIRGEIKQNRPAKSGSSEGEVKLNKCSTCNAVVGDSKEFREHFKSDWHKHNLRRKTRQLPPLTAEECMADMELGDSKADLKEYSF >itb04g31390.t1 pep chromosome:ASM357664v1:4:34295417:34296915:1 gene:itb04g31390 transcript:itb04g31390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNSARKCDTKSEVIVVVVPFVAQGHMNLMVHLSRLIASYNLPVYFVGLSVDIAAVKRRIEGWTPSDYPTLRFHDFPEPPGYLSNTNPSAEGQSYVDFQASAMNAAAYLRRPVGDLLEELSAKCERLVVVNDALMINAVKDVAASAENMETYNFYVGSAFHDASLVWEVLRKALHFPSFLWKFVGKFVLPAGAVIPDRLPIPSTCFPSEFFKFIVDQRQNHHAFCKGSIYDSCRAIEGPYLDLLAMVYKLARKGPVWGIGPFNPVVTKQNTKSDEDSSSRHPCLKWLDKQPPKSVIFVSFGTQTILSDPQLYELAEGLEQSGQRFIWVVKDLTKGYKNTQIKAPAGFEQRIEGRGLILQDWVPQLEILDHVSTGGFLTHCGWNSGMESMCRGVPLATWPIQYDQPRNAILITEVLKTGIAIKDWERRDEIITSTTISNAIRRLMASQEGEDMRNKAAQVGKAVKESVMEGGISRLEMDNFIAQITR >itb11g02220.t1 pep chromosome:ASM357664v1:11:1110504:1112085:1 gene:itb11g02220 transcript:itb11g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVHKLSHFLKTHSKLENQRRNPDAGAGDYGAVLSEFRSGVSSFLNRIEFGSSETPGSEFWSLGRVGLLLEGVFLVHKEFVKTVARLNHPLSVWGPAITDEYLSFSFHILGLLNQVTSSLSHLNQARLSLSYALSQSQHHSPPPQTVAPAPATSTSVEVTSAEMVAAESAAVMTSAAAAARNLRKIQPQDLQKDLNLGKANWKQLGEEIPDNKERAIHESLVMTKRVSFWILGAVLSGLSSDLRPFMELRKWALGSDQEPLLLRLDCVFIKEVTEKKQSAVKEVKEINEMVAKVAAAMEGSDDSAAKELGKQLQRFEEQLEGMRKQTDNLFKEVLAARSGLVDGLRCSSSG >itb03g17700.t1 pep chromosome:ASM357664v1:3:16202846:16203460:1 gene:itb03g17700 transcript:itb03g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQSHLSCNPACEDAQEIKLLGFDDVYEQIVMGSPESLFDLPPESFWVPKGSEQDWVHQNANMQRRTSMKLQNPQDGFSGADSKSQSHRSFSAVNKSSSSSLLALPKPRNLKAAAGTRLFRSMSEPGGGGEMRVAEPGSPKVSCTGRVRSTKKKEGGGGGRRTGFWRRFGLVLRSRFGTDRVASKRSGESQSEDKIRRQSSL >itb03g17590.t1 pep chromosome:ASM357664v1:3:16148893:16150907:-1 gene:itb03g17590 transcript:itb03g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVFGVKKDKQASIQDTSDRINKRGETVDEKIKKLDTELARCREQIKKTRPGPAQEVIKARAMRILKQKRVYEGQRDMLYNQTCSLDQVAFASQETKDAKKNVC >itb14g14410.t1 pep chromosome:ASM357664v1:14:16948508:16953562:1 gene:itb14g14410 transcript:itb14g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLLTHIAAWLLLCALLYTLWKKSKPNSNRNRVLPPEIPGAWPIIGHLHLLGAQIPLARILAAIADKHGRIFSLWLGMHRAVVISDREFLKECFTTHDKALAGRPESTAGEYLGYNYAGFGFLSYGPYWRKIRKLVLSEVLSARRLEKFSHTRVSEVEICIRELHSAVIAAQSPATGEAMINVSHWLEKLTLNLVVKMIAGKRYKTDGVEDEEAKRSRKAVTEFLSVSGQSVLSDVIPITLLRWVDIKGVIKSMKRIAAEMDAIIGCWIDEHGERASESEKERDFIDVLLSLVTDDLLEYGHTKRTIIKATIATLIVAGSDTTALNLTWVLSLLLNNRDALQRAQKEIDAVVGVRRWVEESDIKNLLYLQAIIKETLRLYPPAPLSVPHVATEDCDVAGYSIPRGTRLLVNLWKLHRDPEVWVDAEEFRPDRFLPGGGASEVDFLGQHFEFIPFGSGRRSCPGITFAMQVNHLVLARLLQGFEFSTPLHAAVDMTEGLSISLPKAKPLEILVAPRLPSLLYKT >itb13g14330.t1 pep chromosome:ASM357664v1:13:20903590:20909402:1 gene:itb13g14330 transcript:itb13g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGCFRGQVSSDSGAERDPVLLVSGMGGSILNARSNSGSDTRVWVRIFLSEMLFKKKVWSLYNPETGYTESLDDSSEIAVPDDDYGLYAIDILDPSWFAKCIHLSDVYHFHDMIDMLVGCGYEKGTTLFGYGYDFRQSNRIDQVLNGLKEKLEAAYEASGSRKVNIISHSMGGLLVTCFMSLYTNMFSKYVNKWIAIACPFQGAPGYINDSLLTGVQFVEGFESYFFVSRWTIHQLLVECPSVYEMLANPDFKWEKQPEIRVWRKKTIDEDGYGDGDGDGDTIVELESYGPKESLIVFEEALKDNEVEHNGETVSLPFNLSILKWATGTRQIVNNAQLPEGISFYNIYGTSFDTPFDVCYGSETEPIEDLSEICHTTPEYSYVDGDGTVPAQSAKADHFEAVERVGVCCGHREILSDENVFELLKEWLGVNESEMTTKVKVKVKVKTKARTRRVMDATH >itb08g07800.t1 pep chromosome:ASM357664v1:8:6709008:6710729:1 gene:itb08g07800 transcript:itb08g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKDQKGGDRKMFVGVVWNCAAELKLLLTALLFLFCIITLLQFIPSPLTLSTADLRNCLSKPVAHPAAALPLFNAASLPPPLQRDRALENGAVKRGFTAIGSAAYNFILMSAYRGGYNTFAVMGLASKPLHVFGTPSYRCEWVPAGESKDSVFVPGQRILPDWGYGRVYTVVVVNCTFPVPVGENGGKLLIHAAANGGGDTNFNLTDTFEALIESPGDFADFRSVLKSPPKYDYLYCGSPIYGNLSPQRIREWVAYHVRLFGEKSHFVIYDAGGAHPAVMEVLKPWMEKGYITLQDVKEEERFDGYYHNQFLIVNDCLHKYKFQAKWMFFFDVDEFIFIPKKNTLKSVLDSLSPFTQFTIEQMPMSNKLCLKEDAGKTARKWGFEKLVFKDSKTGIRRDRKYAVQPRNVFATGVHMSENAAGNTTHKTEGRIMYYHYHGTIAQRREPCRQLVNDTRLAVDGISYVVDPTMRDVAGAVKRFELKTIGSVLQRTRQ >itb05g25000.t1 pep chromosome:ASM357664v1:5:29622871:29624037:-1 gene:itb05g25000 transcript:itb05g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVAVFPFLFFLLSGFVNGRVLPESTPRPSPDAAAAFARWLVSQNSWGVLSTLAADSGGAPFGNVVSFSDGLPDKGLGVPYFYLTTLDPTARYGLKDPRVSLTLSEYSLGTCGNNDPESPLCSKITLTGKVGLRITISRCTLWRLRIYTSSIFSAVLNL >itb04g29390.t1 pep chromosome:ASM357664v1:4:32804018:32804329:1 gene:itb04g29390 transcript:itb04g29390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb04g08360.t2 pep chromosome:ASM357664v1:4:6634820:6636040:1 gene:itb04g08360 transcript:itb04g08360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVYVPIGKDKGNGKCLVKNVGPFLEDVPYKKPSSSLLSPAESIIEESSDVYTICSSITTSTCRRGGAGDTVQKLIIGTCAAENEQNNLLLAQLRRSGMSIEEWWRNKQF >itb04g08360.t3 pep chromosome:ASM357664v1:4:6634820:6636040:1 gene:itb04g08360 transcript:itb04g08360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVYVPIGKDKGNGKCLVKNVGPFLEDVPYKKPSSSLLSPAESIIEESSDVYTICSSITTSTCRRGGAGDTVQKLIIGTCAAENEQNNLLLAQKTYTLYLSSTF >itb04g08360.t1 pep chromosome:ASM357664v1:4:6634820:6636040:1 gene:itb04g08360 transcript:itb04g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVYVPIGKDKGNGKCLVKNVGPFLEDVPYKKPSSSLLSPAESIIEESSDVYTICSSITTSTCRRGGAGDTVQKLIIGTCAAENEQNNLLLAQVRLPRNNWKEDIYSVLIFNFLNLQSRTKHSATQEWNKEKRYHEMTAITSSQTVMSQ >itb13g23830.t1 pep chromosome:ASM357664v1:13:29659890:29660282:1 gene:itb13g23830 transcript:itb13g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRYVAICISLLLCILNASFSIPTPISVPSTFIVQIESLMDDPLFAGCDLNGRSLPKKELKRGQEANFTVHLGSTDVETMTCDLRSGDKHGCFVMFDALNWDVSSYCDPHSLCQWKVVPQGICLVHALL >itb05g18190.t1 pep chromosome:ASM357664v1:5:25005287:25008157:1 gene:itb05g18190 transcript:itb05g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRGRGDLQELKLAECKAYLRKHGLRLSGTKEECIERILEHWRIKDGKGETFYPRSSFNINCTGDVCKGDVVLFTQKVYKNFDKMTRSGDVLGRRTVAGRVVMESYGAAKQQHTFTVEVLWSQGAKKLPPLFPLLVKGRNLYKLKTFRQRWKNENERLGILAEKHRRGAAARLVRETRKMRSMKRKQSFADTGGVKKQKCDHIRPSQVRQSMKGRKPEKENHIHKRGSNKSSTYHHGKLPQTGKQKMNQGRNIKAASSHQRPPNYASANQFRESSFHPFTFQSDMYRPSTFVPPQNYHQFQSETYNQRIPHPYSYDRASASHRFQSETYDQRIPHPYSYDRASASTMNMPHGSSSSSAMAKQKQHNYGHRNSSLP >itb12g22940.t1 pep chromosome:ASM357664v1:12:24832351:24835024:-1 gene:itb12g22940 transcript:itb12g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRTITLALFLAASTLAVQARIPGVYQGGAWQTAHATFYGGSDASGTMGGACGYGNLYSAGYGVNTAALSTALFNNGFSCGACFEIKCDNEAGSTCHPGRPSILITATNFCPPNYALPNDHGGWCNPPRPHFDLSMPMFLKIADYQAGIVPVTYRRVPCRKPGGIRFTINGHRFFNLVLVTNVAGAGDVVKVMIKGSRTNWIPLSRNWGQNWQTNAMLVGQPLSFRVKSSDHRTSTSWNIAPSNWQFGQTFVGKNFKI >itb09g03760.t2 pep chromosome:ASM357664v1:9:2063266:2065153:-1 gene:itb09g03760 transcript:itb09g03760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLQIVTLCTMLRNWNCHALLILFLLIFFFNFIFVPAYRKLARSYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGAGMGMGDFSNPFDLFDSLFDGLGGMGGMGMGGRGSRNRAMEGEDQACNLVLNFKEAIFGVEKEIEISRLESCSTCDGSGAKPGTKATTCGTCGGQGQVVSSTRTPLGVFQQVMTCSSCGGTGENSTPCNTCGGDGRVRKSKRISLKVPPGVDSGSRLRVRSEGNAGRRGGAPGDLFVIIEVLPDPVLKRDDTNILYTCKVSYIDAILGTTMKVPTVDGMVDLKIPQGTQPGTTLVMAKKGVPYLNKPNMRGDQLVRVQVEIPKRLSSEERKLIEELANLTKSKTPNSSRR >itb09g03760.t1 pep chromosome:ASM357664v1:9:2062990:2067232:-1 gene:itb09g03760 transcript:itb09g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITCGSTWVARCGAQPQLVTKSSITNYLSASSLRFSSKIRALPLPNSSSLGHQSLHGLFNLSSYKNPCQRRGHSLIVRAEKDYYSVLGVSKNASKSEIKSSYRKLARSYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGAGMGMGDFSNPFDLFDSLFDGLGGMGGMGMGGRGSRNRAMEGEDQACNLVLNFKEAIFGVEKEIEISRLESCSTCDGSGAKPGTKATTCGTCGGQGQVVSSTRTPLGVFQQVMTCSSCGGTGENSTPCNTCGGDGRVRKSKRISLKVPPGVDSGSRLRVRSEGNAGRRGGAPGDLFVIIEVLPDPVLKRDDTNILYTCKVSYIDAILGTTMKVPTVDGMVDLKIPQGTQPGTTLVMAKKGVPYLNKPNMRGDQLVRVQVEIPKRLSSEERKLIEELANLTKSKTPNSSRR >itb02g03630.t1 pep chromosome:ASM357664v1:2:2141404:2146614:1 gene:itb02g03630 transcript:itb02g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGSATCIFLFCFFSLTPLISATHNLTLPHQHPYPEVVAQEVQRRVNESISRRLLLPTISKDQCLTGNPIDDCWQCDPNWGNNRQRLADCAIGFGQAAMGGKGGMIYVVSDSSDADAVNPKPGTLRHAVIQTEPLWIIFAADMTIKLKHELIVNSYKTIDGRGVNVQITGNGCITLQYVSNVIIHNIHVYNCLPSGNTIIRSSPTHAGWRGRSDGDGISLYGARNIWIDHCALSHCTDGLIDAIMGSTAITISNSYFSHHDEVMLLGHEDGYMPDSGMQVTIAFNHFGEGCVQRMPRCRRGYIHVVNNDYTEWQMYAIGGSANPTINSQGNRYIAPVDPNAKEVTKRVDTNEGEWSDWNWRTEGDMMINGAYFVPSGNGLSNEYAKASSLDPQSAVLIDQLTMHAGVFGGPRGDNSIPISYGGGTTTGATSNSHARPTGGDSADDPFGMIFGNAAAPPSSPPPIATTIFFLSLLIIPNLYLNIATNQGG >itb02g03630.t2 pep chromosome:ASM357664v1:2:2142477:2146614:1 gene:itb02g03630 transcript:itb02g03630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGMIYVVSDSSDADAVNPKPGTLRHAVIQTEPLWIIFAADMTIKLKHELIVNSYKTIDGRGVNVQITGNGCITLQYVSNVIIHNIHVYNCLPSGNTIIRSSPTHAGWRGRSDGDGISLYGARNIWIDHCALSHCTDGLIDAIMGSTAITISNSYFSHHDEVMLLGHEDGYMPDSGMQVTIAFNHFGEGCVQRMPRCRRGYIHVVNNDYTEWQMYAIGGSANPTINSQGNRYIAPVDPNAKEVTKRVDTNEGEWSDWNWRTEGDMMINGAYFVPSGNGLSNEYAKASSLDPQSAVLIDQLTMHAGVFGGPRGDNSIPISYGGGTTTGATSNSHARPTGGDSADDPFGMIFGNAAAPPSSPPPIATTIFFLSLLIIPNLYLNIATNQGG >itb02g13580.t1 pep chromosome:ASM357664v1:2:9752825:9753178:-1 gene:itb02g13580 transcript:itb02g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKKTGAILALLLLMVATAAAGGGGRLANDEDNSICGLSLEELMSCKEAVTAGSHNNPPSPPSTTCCAALSKAKLPCLCNFKNNDVLIKTFKINPALAVALPAKCGLPPPPCAAA >itb01g11970.t1 pep chromosome:ASM357664v1:1:11345897:11351709:-1 gene:itb01g11970 transcript:itb01g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVESKIDKEERVRNCKERKRLMKHLLGFRREFAEAQLAYLRALKNTGVTLRQFTESETLELEDTNFRVALPPSPPPPLPPSPPPPPPPNFSPDLRKTSDKQGSASVQEDVIEIDDDDSHTPPPPPVTTSDWEYWNLFSSPYPQCGERRDTIEQGEEENWEETNTEFIEEDEDKGIVDDGVVDMDPEKQQTVELVDDNSSMASWYDKGTSDMAIVVSRSSKTLAGIFRDLDDYFLKASAGVKDVAVLIDVHMGDGFLYQSIKEQKRKRHNSAKASSAFTWGWSFKSINSTRDAGDFLGPSEPCKPGSHCVTLEKIYTEEQKLYKEVKEEENTKAELEKKSLLLQKQEEEIHDLAKTEKIRLRVESLESHISLLQQSISKSCSTIVKLIDAELHPQLVAIASGLRHMWQTMCECHKVQNLLSQQLNHLTSQQSIDCTSEYRRQAAIQLKTEVTSWYNSLCRVITSQHEYVKTLCSWIQLTNCLRDGDQPSRFSSVVHSLSEEWLTALEKLPDKMASEAIKNFLSVIHSIVSQQEEELRLQKRAAKLERKLQHELNSLAELEMKFEGSFTAGDANSDLSSKHPLSIKRAKLEALKKRVDDEKAKYTNSVHTTQVMFLNNLQTSLPNLFQALVELSSSYSQTFEAVLNRATPLEHENGSLSSSLEPTIAS >itb05g23390.t1 pep chromosome:ASM357664v1:5:28512213:28513932:1 gene:itb05g23390 transcript:itb05g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRSVVVAVLSVAVLLISPSSAASSAVTYVFGDSLTEVGNNNFLQSLAKSNFPFYGIDYKGGVATGRFTNGRTIGDIISEKLGIPSPPPYLSLGPNDEAHLKGVNYASGGAGILNDTGLYFIQRLTFDDQIKYFEKTKEVIKAKLGNESAEKHCNEALYFIGLGSNDYVNNFLQPFLADGQQYSHDEFVELLMTTLDQQLTRLHELGARKIIFHGLGPLGCIPSQRVKSKNRECLKQVNLWVQHFNSRVEGLLGDLNKRLPHAQMMFADTYKDVLDLIENPSTYGFKISNTSCCNVDTSVGGLCLPNSRLCKNRTEYVFWDAFHPSDAANAVLAAKIFASLHSGDANAPSPAAAPAPAPSARHRRLLKVT >itb03g17190.t1 pep chromosome:ASM357664v1:3:15897004:15899160:1 gene:itb03g17190 transcript:itb03g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFSNHLFPRTPLFFKKLEPTPNLNRSTSAVKCRTHEFRNRNPIQRLELSTQNRNTLSQRNDFKEADIKRALYRSCGAGKYGEALYHLESMVKIGYKPDVVLCTKLIKGLFRVKKAEKAVKVMQILEQFGEPNAVTYNVLISGFCKLNRVEEANRMLNRMRIRGFVPDVVTYNILIGSICGRGKLGLALKVLDQMKEDNNCKPSVVTYNILMEATLIKGGIGGAMKLLDEMLSRGLRPTIYTYHVILKGLCREGMMDEAFDFIRSLPANGCKPVVISYNILLKALLDRGNWHDREKVLREMLSAGCDPNLVTYSIFMMSLCRDGRLNEAVRLLRIMMDKGLTPNTFSYNPLISAFCKEGRLDLAIDVLDDMISNGCSPNMVNYNTILSTMCKNGNADLAIDIFEKLYETGCPLNVCSYNSMTVALWNNGERTKALNVVCQMIRKGIEPDRITYSTLISCLIKDEMVDEAMALLKEMERRGFPSTVYIYNTVLLGLCKSRRLDEAIDILEEMTVKRCQPNVNTYIILVKGIGFQGWINEAIELATTLLHMNVISKKSMSRMSFTFNDA >itb09g24760.t2 pep chromosome:ASM357664v1:9:24585871:24593601:-1 gene:itb09g24760 transcript:itb09g24760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGGSFVAVRRISQGLDRSNTFHSTSEVVAGSAAWLGRGLSCVCAQRRVGDARLIFDLTPTQKECLQRLHNRIDIAYDSSIHEHQEALMDLWNAAFPGEELCGLISEQWKEMGWQGKDPSTDFRGGGLLSLENLLYFARIFPKSFQDLLHKLEGNRSIWEYPFAVAGVNITFMLIQMLDLEAIKPRNLVGATFLKFLAENESAFDLLYCIAFKLMDQQWLAMGASYMDFNTVMKATRRQLEREILLEDVSRLEDLPSYNLLSR >itb09g24760.t1 pep chromosome:ASM357664v1:9:24585871:24592989:-1 gene:itb09g24760 transcript:itb09g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIRHSVFDVIGVHLPSRWRNCKLSAVLELTGVTFPIRGRIPKYQFSEVVAGSAAWLGRGLSCVCAQRRVGDARLIFDLTPTQKECLQRLHNRIDIAYDSSIHEHQEALMDLWNAAFPGEELCGLISEQWKEMGWQGKDPSTDFRGGGLLSLENLLYFARIFPKSFQDLLHKLEGNRSIWEYPFAVAGVNITFMLIQMLDLEAIKPRNLVGATFLKFLAENESAFDLLYCIAFKLMDQQWLAMGASYMDFNTVMKATRRQLEREILLEDVSRLEDLPSYNLLSR >itb03g02620.t1 pep chromosome:ASM357664v1:3:1489448:1493062:-1 gene:itb03g02620 transcript:itb03g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLLEKTKSSVLPDPSKFFAQHLLSYPLPTNSFFQNFVLKNGDQPEYIHPYLIRSSKSSLTICYPSQFYNPAFACQIFTADLTISTLNNPNQDTSHIVSSFSDLSVTLHFPSNNLQFFLVRGSPFLTCSLDTKEELSISTIHSILKCRSQHNRTKYILKLSNNQTWLVYTSSPINFNLDTYKITSAPFSGVIRVALLPDSDPKCEAILHRFSHCYPVSGEAVFRRPFCVEYKWEKKGSGNLLMLAHPLHLKLLSDTDCTVTILERLKYNSIDGQLVAVAGDSWALKRKPITVTWHSIKGINEESCSEIITALIRDVRALDQKDIATKSFYSQGKLIARAARLAMVAEELCYFDVIPKIREFLKDTIEPWLTGSSGANNGFLYESKWGGIVTKKGCSDSGADNGFGTYNSHHSQIGYFIYGIAVLSKIDSVWGRKYKRQAYSLVGDYMNLGRREGSHYPKLRCFDLWKLHSWGGGLTEFADGRNQESTSEAVNAYYSAAVLGVAFGDPHLVAIGSTLSALEILSAQTWWHARDGDTIHPEDFKRENRLVSVLWANKRDSNLWFAQADRRECRVGIQLLPLLPISESLFSDIRFVKQVVQWTMPALAIEGVTDKWKGFVYALEGLYDKEGALEKIRNLYEYDDGNSLTNLLWWIHSRGDNEGVGSDDVGRQFCWSPGYYSWKDCMSPQYHITWYPVNQI >itb03g27670.t1 pep chromosome:ASM357664v1:3:27788917:27789587:-1 gene:itb03g27670 transcript:itb03g27670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHKRYNCSNSTDGEISSQASRIPSLCTGRKGSYLGTRTPSEMSHLCSPPTLFVAARGRHRSAHAAKLVDVAAAQALRRNRRFPGRNCSLRWWSLLLLLRRQCISLRPRRSSSFHCRASRTGSPLREGKPSSSFRATTDDASSIMSGRRDCWVAMVKLCGDGGLYIWSLEDARCSLWTEDGVVLLIPNLNKI >itb14g19490.t1 pep chromosome:ASM357664v1:14:22119683:22120994:1 gene:itb14g19490 transcript:itb14g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAVTVTGSLITSRPTTSTGIFHKRIFSTGLSILTSNVSGSIHAQSLRAVARGGARLAPISCRASRSAYSPLNSRPNSGDRPPADIGPLFPGCDYEHWLIVMDKPGGENATKQQMIDCYIQTLAKVVGCEEEAKKKIYNVSCERYFGFGCEIDEETSTKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIMQRSPEKQKRVEPVPQRAQDRPRYNDRTRYVRRRENTR >itb13g25280.t1 pep chromosome:ASM357664v1:13:30684652:30688448:-1 gene:itb13g25280 transcript:itb13g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLMKKILKEQEAALHQEDSEDDSESPDSSTASRRNPFDILDEDEDEEKEQHNDSDDVDQVDKSEINDRSSTGKVDMKYSSKTTDTINTSTSSNQRSKKKKKKSKENSHTSTRNPQKSLDVVLENLSVEGDSSCRKDIASHSTEAKVLNTNSNKSVKKSSSSILQIDPKFLSAENELRRIFGSKVVSSFERNNQTGSSRQARGIRRGSQNHRKTILVHPSEHWPRWDGSLTMELLETRDEINYFRYVHSASYSQAQRAFEAAKAIHDLNGIVNILVHHPYHIDSLITLAEYYKFSGELQMSSDATARCLYAMECAWHAMFTPFQGKCQLQYSHDTNKPFFSVLFSHMKNMDRRGCHRSALEICKLLLSLDSDDPMGALFCIDYYSLRAEEYSWLERFSEEYKSDNSLWLFPNFSYSLAICRFNLESREDDKKTESLKASSSDLMKQALMLHPTVLKKLVAKVPLKDQTFSRILGHSIFASEKSGSLSLDHLVNIYVERSYLIWRLPDIQKFLKDSALSVIEMLEKKEADSRDWTCVRKEAFSSDKNEYSHLLVSEFSDSVPTLPPDNLQNFMVDPRLAVNNGEQPANRPVRDLSNRNALAVLFESMLPWVDYGTEHDDHPQDHEG >itb13g01600.t1 pep chromosome:ASM357664v1:13:1506990:1507397:1 gene:itb13g01600 transcript:itb13g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGQCLPDQKSVLLQIRSEITYNSSESTKLVLWDEGADCCRWPGLSCNAATGFITTLDLSDDDSITGGFNVSLLSKLPSLSVIRLDGVNFSARFPDFFTDFTNLTVLSLKFCNFSGTVPHKVFQVPTLQTIDL >itb09g13480.t1 pep chromosome:ASM357664v1:9:8793686:8794776:-1 gene:itb09g13480 transcript:itb09g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTFSNLRYSDSLTVVGISICTAVICEAISWLLIYRTASYKSLKSTIDKASKKLETMKTLESSNPAAFLTTKKSKTKKIDRVETSLKESSRDLSLFKFKSGFVVAVVLFLVFGFLNNLFEGKPVAKLPFVPIKLVQKMSHRGLPGEDMTDCSMAFLYFLCSISIRTNLQKFLGFAPPRGAAGAGLFPMPDPKTN >itb14g15470.t1 pep chromosome:ASM357664v1:14:18766811:18772688:-1 gene:itb14g15470 transcript:itb14g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFHYISKSQRVCISLFRLPTSFMSSFSAPFNSYPSTFTRPFQVAYIASLAETATGFHDMVSGTKRKYFMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFDQDLTGGTLVPVQGVDSPLYALEINPEKTREEFRAVNQDHGSDGVKDFMNSMGMGTLAEQCPPHFPSPPYLYCSVNSQVKRYLASNSNRRRRGRRSSSSSQTSMASLFHYISKSQRVCISLFRLPTSFMSSFSAPFNSYPSTFTRPFQVAYIASLAETATGFHDMVSGTKRKYFMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFDQDLTGGTLVPVQGVDSPLYALEINPEKTREEFRAVNQDHGSDGVKDFMNSMGMGTLAEQLGDLKFTELLDILPPGLDEAVAISKVIEFVESKEYSNFTRIVFDTAPTGHTLRLLSLPEFMDASIGKMMKLKKKIASATSAIKSVFGKESPRQDDTNDNLQQLRSRMAKVRDLFHDTEATEFVIVTIPTAMAVSESSRLCKSLKKEKVPVRRLVVNQVLSPSASNCKFCDMRRKDQSRALDLIGKDPDLGRLMLLQAPLFDAEIRGAPALKFMGDSVWK >itb08g05200.t1 pep chromosome:ASM357664v1:8:4247627:4250276:1 gene:itb08g05200 transcript:itb08g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSSVSCLQGGEDTNKLTTAAEEEEDEDEYIQRLVEREISIDGVQRDLFISGSWIQEARLDAIKYILGTGGLFGFSIQTAYLSVTYLDQFLSRRSIDGEKDWAMKLLSMACLSLAAKMEECRVPSLSEYPMEGYNFETKFLQRMELLVLNALEWRMGLITPFAFTRYFVVKFSENCRGNAQSPIDQGAVVSRSMEIMLRVMGDIKLMSYRSSVLAAAATLLALDQGLIKDTIEVKINALPTSGFIKIDDLLFCYNQMRELDTQKNELLECSIVTPPLSPNQLLMSSKENPLVSYSLSSRKRLTFQGSEHSPGLPEEKRQR >itb10g24680.t1 pep chromosome:ASM357664v1:10:28359227:28363924:-1 gene:itb10g24680 transcript:itb10g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XLG2 [Source:Projected from Arabidopsis thaliana (AT4G34390) UniProtKB/TrEMBL;Acc:A0A178V358] MASILKSIFPVSSSKKDDQDDFDVEYSIAVEYSGPAVSYDIPQVVPVDFHRIPTATVVAPASISKSRNLSLPVIQPIAKRSKGVLATKEAPVPKENLGALEGGGEDLACEPVGDDNRKASLDSLYGEECGCKGSDGIESSGTLGFSDIQDDSNEISGSSDAEDSCDDDCKVDVGCTSHLKPRGLDSEESMMGSPDPSVGVLSIQEVEEECIDDSQCQENTPGVTFNEIHSSYSTSESDDDDPGPFPEKPEVISKKCFRCHKRSMLMKMEVCLVCGAKYCNICVLRAMGAMPEGRKCITCIGFRINESKRDSLGKCSGMLKSLFIEAEIKRIMKVEQSCKANQLPFNLVSVNGKLLNHRELVMLQTCLHPPKKLKPGRYWYDKVSGFWGKEGRKPCQIISPQLTVGDTIKRDASNGNTDVLINNREITKPELFMLKVIGIHCERSIHFWLSADGAYQQEGMNNVMGKLWEKPGINLICGTLQLPTPPKTSKSRSQGTDSQADKGGPSSEDQRTLNKLLLVGCDQSGTSTIFKQAKIQYAVPFREDERQSFKCMIQSNLYNYISILLEGRDQFEEEYRIEMRRKRMDEPGPSVIPDDVEDNIYSISPRFKKFADWLLQIRMAGNLEAIFPASTREYAPIVEELWKDKAFLATYQRRNELQMLPRVANYFLDRAVEISRADYEPSPMDILYAEGSTSANGVASMEFSFSKVSQDSYMEPADQNNASIRCQLIRVHASSLGENCKLLEMFEDINIVLYTVSLTDYHEYLEDSNGDLTNKMLESKRLFESIVNHPSFAHKHFVLLLNKFDLLEELIERFPLTECEWFQTFNPVISRHPNSSTSNNNPSLAHRAFHYIASQFKALYKSITGRKLYASLVTGLEGETVDESLKYASEILRWDEEKSKLLKEWSTDSMEGEASTSVCM >itb10g24680.t2 pep chromosome:ASM357664v1:10:28359227:28363924:-1 gene:itb10g24680 transcript:itb10g24680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:XLG2 [Source:Projected from Arabidopsis thaliana (AT4G34390) UniProtKB/TrEMBL;Acc:A0A178V358] MASILKSIFPVSSSKKDDQDDFDVEYSIAVEYSGPAVSYDIPQVVPVDFHRIPTATVVAPASISKSRNLSLPVIQPIAKRSKGVLATKEAPVPKENLGALEGGGEDLACEPVGDDNRKASLDSLYGEECGCKGSDGIESSGTLGFSDIQDDSNEISGSSDAEDSCDDDCKVDVGCTSHLKPRGLDSEESMMGSPDPSVGVLSIQEVEEECIDDSQCQENTPGVTFNEIHSSYSTSESDDDDPGPFPEKPEVISKKCFRCHKRSMLMKMEVCLVCGAKYCNICVLRAMGAMPEGRKCITCIGFRINESKRDSLGKCSGMLKSLFIEAEIKRIMKVEQSCKANQLPFNLVSVNGKLLNHRELVMLQTCLHPPKKLKPGRYWYDKVSGFWGKEGRKPCQIISPQLTVGDTIKRDASNGNTDVLINNREITKPELFMLKVIGIHCERSIHFWLSADGAYQQEGMNNVMGKLWEKPGINLICGTLQLPTPPKTSKSRSQGTDSQADKGGPSSEDQRTLNKLLLVGCDQSGTSTIFKQAKIQYAVPFREDERQSFKCMIQSNLYNYISILLEGRDQFEEEYRIEMRRKRMDEPGPSVIPDDVEDNIYSISPRFKKFADWLLQIRMAGNLEAIFPASTREYAPIVEELWKDKAFLATYQRRNELQMLPRVANYFLDRAVEISRADYEPSPMDILYAEGSTSANGVASMEFSFSKVSQDSYMEPADQNNASIRCQLIRVHASSLGENCKLLEMFEDINIVLYTVSLTDYHEYLEDSNGDLTNKMLESKRLFESIVNHPSFAHKHFVLLLNKFDLLEELIERFPLTECEWFQTFNPVISRHPNSSTSNNNPSLAHRAFHYIASQFKALYKSITGRKLYASLVTGLEGETVDESLKYASEILRWDEEKSKLLKEWSTDSMEGEASTSVCM >itb13g17910.t1 pep chromosome:ASM357664v1:13:24858990:24864320:1 gene:itb13g17910 transcript:itb13g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHVPKRRIIKCKDENTASLSQMGEIYAFGPFYKEIIIEPEVPGEANIGAEQDVLRPSQRQRLKAAAEVAWEYVIEQTRLDPDLMKEIRGDESVVEQIIDDNPNIEQATGSSETGEESIIKEYYFSKIGEVIEEAMSAYDDNDMANRDDMQENKYKMMINHGCLFLLQMFFFLGLGVDKLETEGGLHEILFGSDENDYQNRKSKFSKSMVFPGNQIPLVVLNKLIHHSFFKKVALKEDWKKPPSSDLLKSVLYDLILDPVLKMNQSSSSSTYSEAQIARTRLQGLVNKFINICGKQKQLNSTEDGLHCRCVGTTKARGEIVEESHHQNGNVDLEVGINSRNYLNPKDGEGQRIPSATTMYSKGIDFKAVRGMAIREIEIKDGVFMGLGKVLHLPVFTFNEMMKEMYKCLKAYENDQGLREREVSEYLRFMCDIVRSDQDAALLEQKGVIKVEGSKVLIDEVAVYLKSVACAGAHAGASGDTLLLPLTSTNLRQKPRRFALARKECVGLRAIARPCAGTRAFVVINAPSLNNVVVLNPMVAVAVAVAVEEEEEKGDKWVQQWLQKRRRHALARKDGVGLTSNASSCARSKAFVAVNAPS >itb14g03210.t1 pep chromosome:ASM357664v1:14:2853593:2854374:-1 gene:itb14g03210 transcript:itb14g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTEHLVSRNRDLSQLLPSFFGIPNPNPNPLQNSSDPNQDTGVSPVAGDRIVLINPVTQGMVVIEAGGAGSSSSSSLESLLQDLLSKDNGQPPASKASILSLPSVEIGDGEESNECVICLEVWGLGGAAKEMPCKHVFHQNCIEKWLNIHGSCPVCRHKMPAQNGEDLNKKRQRREIWVSFSFGSDRRTEETAQTQPIASNSAALDDEMET >itb02g10160.t1 pep chromosome:ASM357664v1:2:6551419:6551793:-1 gene:itb02g10160 transcript:itb02g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFGVVCIGEVIQYRNLKDDSVVKAGVITRNDILCHCCGEVLSISKFKRHAGFKLKNSCLNLFLESSKPLMLCQLEAWSAEYKAKKTGLRTVQFDETDQNDDSCGHCGGGGELICCESFPGIW >itb11g05410.t1 pep chromosome:ASM357664v1:11:3202537:3204230:-1 gene:itb11g05410 transcript:itb11g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSCELCQGVAKTFCESDQANLCWNCDAKVHSANFLVERHSRFLLCNVCHSPTSWSASGANLGFAVSLCGCCVADTGRRRQEAEESQGESRVEEPGYEDDNDDDDDDDEEEDEISDSEDIQVVPWSSTPPPAISSSSSEDSSRDGEEGEVFLKRSRETNPDPFSDDDRGSSLLRENSCKLPPLATASAFAGSLSTGMTRPMKIRKREDCQMGRFV >itb14g14020.t1 pep chromosome:ASM357664v1:14:16436296:16438790:1 gene:itb14g14020 transcript:itb14g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDSNSNNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVNFKKEGRIVPDGVNAKLLGCHGPLAKRQPGKAFIDAAA >itb12g24120.t1 pep chromosome:ASM357664v1:12:25762450:25765815:-1 gene:itb12g24120 transcript:itb12g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTVLAPPPPLLQNPGLTVDYCLGRILLHYPGIWAVKTDTNQFHFLAYSLPRMLGQLAVMFFLAQGLHLLLKRFRLPRFVSELLAGIILGPTGLARITYIRDNLLINEADIYMSLLSKIGFLFFIFLSGVKMDPGLISRSGVKAWTIGVIAVVLPNFIFICFSEVGIMEYDQIQRYRWSAIRAILRIQSQFSFPVIALLVVDLKVMNTELGRLALSTALIGDLLGTIAMAIYVNVKMGSITAMPVLTVHAFIFGVASVALIVFTARPLSSMIIKRTPEGRPVDMSYIILMAFLVFLSSLLSDNAGMSFQYGPFILGLALKGGPPLGSTLVDKLDTIVSGLFAPLMVTFAGMKVNLCEIFDLEFIGYVWLTMLVSYSIKYFAVITPAIACKVPITDAVSLAFILCTQGVVQMSFYFNNSINQTFDRETFSMLMMAILIIASVTHFAVASIYDYSRTYSGYQKRDIQHNSGNSELRLLTVAHRLEDVLAARKLLDVSCPYRESPLSIYSLFLVELVGRATPLLIDHQLGQKNGSSNSRTQKMMDILRSFELQYMGYVYVQFFTTISLPKFMHYDICSLGFDKQTSMIILPFHKKWNLQGKLIQDSKSIRTMNNSVLETAPCSVGILIDRHKIKNQGRMPSPVQHVALIFLGGADDREALSYARRMSRSPSVYLTLVRFVPLDPAPYDNQWDAVLDAEVLKDMRVQAHQQDNILYREERVKDGAETALVVRDMQEVFDLILVGRRHRDDMPQLFGLSEWSEIAELGPVGDMLAADTTLPVSVVVVQQQNTKAK >itb09g18130.t1 pep chromosome:ASM357664v1:9:13573102:13574923:-1 gene:itb09g18130 transcript:itb09g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYSYVYALALLSSLATPALCVADDYNKKHNVALFVFGDSIFDPGNNNYINSTADFQANFWPYGESFFKYPTGRFSDGRLVPDFIAEFAKLPLIPGYFQSEQVGFINGVNFASAGAGSLVGTFSGLVIDLKTQLQYFKKVVKQLKGKLGDKESNKLLSSAVYMFSIGNNDYLSPYATNSTIFNSYTQEGYVDMVIDNFIDVIKEIYKEGGRKFVIFSTVPLGCLPYYRALKLQQTNRTGCLKKFRVLADMHNKALPKKLVELKKALQGFKYSYFDFFTAITDIIDHPSRYGFKEGKTACCGSGDYRGLSSCGGTRGQYKEYELCKDVGSYLFFDNVHPTEKSNLHFATLLWNGDSNVVRSCSVKSLFELV >itb10g17990.t1 pep chromosome:ASM357664v1:10:24043554:24051252:1 gene:itb10g17990 transcript:itb10g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQRLLLTRLFANPAGKHLSAPLKSLGLFSSDRPFSSGPDKGPEWSTSSGDSKSPDGLGWDVGSSWSVGLTKEHFDGEVVGKQVHSDSRRSDSGFGSTRMTDEEMDTLRQLEEETRKSKAFVSGWNSRMEDIGVMMKQVMEPGARGSYLKDSEKAEMYKKHKEKPEEYTIERLAKEYRIMRQRVHAILWLKELEEEEEKKLGQPLDNSIEVLIDNNPEFFNSHDREFHIASLPYKPDFKVMPEGWDGTTRDPDEVHYEISMKEDEMLYQEFVQKFNFNKMKMEKKVKCHKYSWMRPTQGWNFTVEKLGHRGKRGNGGGWKFVSVADGSSRPLNDFEKMFVKRETPRRRRRILP >itb10g17990.t2 pep chromosome:ASM357664v1:10:24043554:24046548:1 gene:itb10g17990 transcript:itb10g17990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQRLLLTRLFANPAGKHLSAPLKSLGLFSSDRPFSSGPDKGPEWSTSSGDSKSPDGLGWDVGSSWSVGLTKEHFDGEVVGKQVHSDSRRSDSGFGSTRMTDEEMDTLRQLEEETRKSKAFVSGWNSRMEDIGVMMKQVMEPGARGSYLKDSEKAEMYKKHKEKPEEYTIERLAKEYRIMRQRVHAILWLKELEEEEEKKLGQPLDNSIEVLIDNNPEFFNSHDREFHIASLPYKPDFKVMPEGWDGTTRDPDEVHYEISMKEDEMLYQEFVQKFNFNKMKMEKKVKCHKYSRMRPTQGWNFTVEKLGHRGKRGNGGGWKFVSVADGSSRPLNDFEKMFVKRETPRRRRKILP >itb05g26500.t1 pep chromosome:ASM357664v1:5:30347819:30351414:1 gene:itb05g26500 transcript:itb05g26500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTMLSAFRNRSLTSRIISHHRSLRTLVLAEHEGGSIKTSSLSAIEAAKSLGDENSISVLLAGSGPSIKEVASRAASCHPSVSEVLLADSEKFSNPLAEPWAKLVHLVHQKGGYSHIISASSSFGKNILPRAAALLDVSPITDVTEISGPNLFVRPTYAGNALSTVRYTGSIPCMLTIRAPSFPPVTVAADSKSDAASIDQVDISILNEDGAVGKSRYIKVCSQNTERPDLGNARVVVTGGRALKSAENFKMIEKLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPQLYMAFGVSGAIQHIAGMRDSKIIVAVNKDADAPIFQVTISSPVSLSLNPSAHVHLAPKRRKSRRKHTHTHTHSKFG >itb01g07280.t1 pep chromosome:ASM357664v1:1:5490940:5494676:-1 gene:itb01g07280 transcript:itb01g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLQKLLVFDTAAGFFTLLLLPSFTSCLSSQEFQENSQLISIKQHVNKVDSEKTFDIEVHGIMLWASMGFLMPAGILTIRLSRMEECNQTRLKLLFYIHAILQVVSVLVATAGAVLSIKSFDNTFNNNHQRIGLALYVAIYVQLVMGFRRPKRGVKGRSVWYFFHWLFGTTLCLAGIINTYTGLKAYHEKTSKSISLWTIIFTAQLSFMGFFYLFQDKWEYIQKQGSGVVVGNGANTTASVATPTQLIVVPHSDSRGKELVLVEPCRKSNALGTYFSRSTALKKLFQQT >itb04g29490.t1 pep chromosome:ASM357664v1:4:32834360:32834875:-1 gene:itb04g29490 transcript:itb04g29490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKRSNRDDDVESLAMANCVMLISRMDEEQIAMSGRVYECKTCRKKFHSFQALGGHRASHKRPRMPSEKKHVCTVCGLEFERGQALGGHMSKHRTHAQPLPLPAQHNNNIKSADNLHSDSKVVVKEQQEEKEEDSSPDSIKVVVSWDLNLTPHENETTELILAAEIRLN >itb07g04030.t1 pep chromosome:ASM357664v1:7:2713702:2719132:-1 gene:itb07g04030 transcript:itb07g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNEFRSRESDLDVPRREFGYSKRDYDRIRDGNQDYERVRVRDRNSRDRSRVRQKDVKEREVMNGERRSVSSRSDSGSSDGGSGGSAGGAERSGFVVRDVDREPGELSSESGSDGAMDTDSELKDVEAKKLLVNGNHSPVQSKKRKFSPIIWDRDDKEVSRTVKTVSLRTTSSLPPQPPTVKSNPTPTPKPHQDIAVQDSPTKESKIQHSPVAPAEPNITAGTDVASVAESLVDAALPQNEERSGSEQEAQTFQEAQDDEYLPTRTIKFSRWATDADSPADEGEISNDDEIPKSKKRKSAPESTEFKGNRKSLTPELGELRREAEGTRTRSSGSDERVRSSSRDSYADNDVDNNDYMDVAKDRNYDGTSASHSDTDSEDDHVSRGTPDPAPPQQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDNKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGNSLDSIFMVMEYMEHDLKALMESMKQPFSQSEVKCLMLQLLEGIKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTQLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKSEIEQLDKIFKILGTPNETIWPGFSKLPGVKINFVKHQLPALGDTGLAFLPPLATISVK >itb07g04030.t4 pep chromosome:ASM357664v1:7:2713819:2719125:-1 gene:itb07g04030 transcript:itb07g04030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNEFRSRESDLDVPRREFGYSKRDYDRIRDGNQDYERVRVRDRNSRDRSRVRQKDVKEREVMNGERRSVSSRSDSGSSDGGSGGSAGGAERSGFVVRDVDREPGELSSESGSDGAMDTDSELKDVEAKKLLVNGNHSPVQSKKRKFSPIIWDRDDKEVSRTVKTVSLRTTSSLPPQPPTVKSNPTPTPKPHQDIAVQDSPTKESKIQHSPVAPAEPNITAGTDVASVAESLVDAALPQNEERSGSEQEAQTFQEAQDDEYLPTRTIKFSRWATDADSPADEGEISNDDEIPKSKKRKSAPESTEFKGNRKSLTPELGELRREAEGTRTRSSGSDERVRSSSRDSYADNDVDNNDYMDVAKDRNYDGTSASHSDTDSEDDHVSRGTPDPAPPQQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDNKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGNSLDSIFMVMEYMEHDLKALMESMKQPFSQSEVKCLMLQLLEGIKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTQLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKSEIEQLDKIFKILGTPNETIWPGFSKLPGVKINFVKHQLPALGDTGIIYCARSFQPHPSTLGHQYCLMLALTC >itb07g04030.t2 pep chromosome:ASM357664v1:7:2713819:2719122:-1 gene:itb07g04030 transcript:itb07g04030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNEFRSRESDLDVPRREFGYSKRDYDRIRDGNQDYERVRVRDRNSRDRSRVRQKDVKEREVMNGERRSVSSRSDSGSSDGGSGGSAGGAERSGFVVRDVDREPGELSSESGSDGAMDTDSELKDVEAKKLLVNGNHSPVQSKKRKFSPIIWDRDDKEVSRTVKTVSLRTTSSLPPQPPTVKSNPTPTPKPHQDIAVQDSPTKESKIQHSPVAPAEPNITAGTDVASVAESLVDAALPQNEERSGSEQEAQTFQEAQDDEYLPTRTIKFSRWATDADSPADEGEISNDDEIPKSKKRKSAPESTEFKGNRKSLTPELGELRREAEGTRTRSSGSDERVRSSSRDSYADNDVDNNDYMDVAKDRNYDGTSASHSDTDSEDDHVSRGTPDPAPPQQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDNKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGNSLDSIFMVMEYMEHDLKALMESMKQPFSQSEVKCLMLQLLEGIKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTQLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKSEIEQLDKIFKILGTPNETIWPGFSKLPGVKINFVKHQYNLLRKKFPATPFNFGTPVLSDAGFDLLNRLLTYDPEKRITADEALNHEWFREVPLPKSKEFMPTFPAQHDQDRRVRRVHKKSPDPLEELRRKELQQGEFGNGGLFG >itb07g04030.t3 pep chromosome:ASM357664v1:7:2713819:2719122:-1 gene:itb07g04030 transcript:itb07g04030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNEFRSRESDLDVPRREFGYSKRDYDRIRDGNQDYERVRVRDRNSRDRSRVRQKDVKEREVMNGERRSVSSRSDSGSSDGGSGGSAGGAERSGFVVRDVDREPGELSSESGSDGAMDTDSELKDVEAKKLLVNGNHSPVQSKKRKFSPIIWDRDDKEVSRTVKTVSLRTTSSLPPQPPTVKSNPTPTPKPHQDIAVQDSPTKESKIQHSPVAPAEPNITAGTDVASVAESLVDAALPQNEERSGSEQEAQTFQEAQDDEYLPTRTIKFSRWATDADSPADEGEISNDDEIPKSKKRKSAPESTEFKGNRKSLTPELGELRREAEGTRTRSSGSDERVRSSSRDSYADNDVDNNDYMDVAKDRNYDGTSASHSDTDSEDDHVSRGTPDPAPPQQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDNKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGNSLDSIFMVMEYMEHDLKALMESMKQPFSQSEVKCLMLQLLEGIKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTQLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKSEIEQLDKIFKILGTPNETIWPGFSKLPGVKINFVKHQYNLLRKKFPATPFNFGTPVLSDAGFDLLNRLLTYDPEKRITADEALNHEWFREVPLPKSKEFMPTFPAQHDQDRRVRRVHKKSPDPLEELRRKELQQGEFGNGGLFG >itb06g17230.t1 pep chromosome:ASM357664v1:6:21129564:21132756:1 gene:itb06g17230 transcript:itb06g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVKAMKFWKARKSNQGKGAREELKNESSRELVKSACADFDSTEVDDDNEFINNELRGGLKEHRRNNSMMPIPMEMSPEDGVEKNGNYEVQEEGEENINELGDLEAGKLFCARFDAVYDKYCERMLCFDHLQVQQLRELGSYFPSTPSPSPSRKSTYKKLVSTFHYLSLKKIDEPQDETEHLHRPGSDPYQDLETAYVAQVCLTWEIIHCQYTQLIQKISSQPESSTTYNHCSQQFQQFQVLLQRFIENEPYEQGLRPEIYARMRSSLPILQVPKIQGSIKRKMEEELVVLAPDLIKIIEGSISTFHLFLNVEKKKSGGASNLVGVENRTSTPLEHIQSSLEKKAIKLKYQWKKKKNWKKKSRTCTPAGADLLLGLVDVEVMERVLRMDKITKEQLFWCEEKMKKLDLSRGKLQRDPSPLLFPC >itb13g03720.t1 pep chromosome:ASM357664v1:13:3615278:3617654:-1 gene:itb13g03720 transcript:itb13g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAQIQLPQIPPSSSNTNLRSRSINTSGITVEPDLESGSSVCCRICLETDVRQGDELIAPCLCKGTQEFVHRKCLDHWRSVKEGFAFTHCTTCKAAFRLEVKEVKFDIVTRIKHKLFVVRDFFIHFVIAQAVIAVLSGYAYLVDYKGFVHNFVDKYFTFLSSQPSISFYYSMGALGFLIALGFVCFITICAWLANLDGRIAGLQNCVLAMFMWNWIPAATALILTIYVFAVCGVAYGLFLATTIVHKSWHRHYRILMKRNLTKVYVVMDQHGHYTPSTLDPIHEQQLRSLNLL >itb13g03720.t3 pep chromosome:ASM357664v1:13:3615278:3617654:-1 gene:itb13g03720 transcript:itb13g03720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAQIQLPQIPPSSSNTNLRSRSINTSGITVEPDLESGSSVCCRICLETDVRQGDELIAPCLCKGTQEFVHRKCLDHWRSVKVSNLILFLHIYINCCNSRVVMLVHITVLQEGFAFTHCTTCKAAFRLEVKEVKFDIVTRIKHKLFVVRDFFIHFVIAQAVIAVLSGYAYLVDYKGFVHNFVDKYFTFLSSQPSISFYYSMGALGFLIALGFVCFITICAWLANLDGRIAGLQNCVLAMFMWNWIPAATALILTIYVFAVCGVAYGLFLATTIVHKSWHRHYRILMKRNLTKVYVVMDQHGHYTPSTLDPIHEQQLRSLNLL >itb13g03720.t4 pep chromosome:ASM357664v1:13:3615437:3617654:-1 gene:itb13g03720 transcript:itb13g03720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAQIQLPQIPPSSSNTNLRSRSINTSGITVEPDLESGSSVCCRICLETDVRQGDELIAPCLCKGTQEFVHRKCLDHWRSVKVSNLILFLHIYINCCNSRVVMLVHITVLQEGFAFTHCTTCKAAFRLEVKEVKFDIVTRIKHKLFVVRDFFIHFVIAQAVIAVLSGYAYLVDYKGFVHNFVDKYFTFLSSQPSISFYYSMGALGFLIALGFVCFITICAWLANLDGRIAGLQNCVLAMFMWNWIPAATALILTIYVFAVCGVAYGLFLATTIVHKSWHRHYRILMKRNLTKV >itb13g03720.t2 pep chromosome:ASM357664v1:13:3615437:3617654:-1 gene:itb13g03720 transcript:itb13g03720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAQIQLPQIPPSSSNTNLRSRSINTSGITVEPDLESGSSVCCRICLETDVRQGDELIAPCLCKGTQEFVHRKCLDHWRSVKEGFAFTHCTTCKAAFRLEVKEVKFDIVTRIKHKLFVVRDFFIHFVIAQAVIAVLSGYAYLVDYKGFVHNFVDKYFTFLSSQPSISFYYSMGALGFLIALGFVCFITICAWLANLDGRIAGLQNCVLAMFMWNWIPAATALILTIYVFAVCGVAYGLFLATTIVHKSWHRHYRILMKRNLTKV >itb09g07090.t1 pep chromosome:ASM357664v1:9:4116433:4118342:-1 gene:itb09g07090 transcript:itb09g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFVPEVTMGTVYNYSTVLSAQLTRKSLCAFLSLVLILLLTCNGATIFSVRVPFPAKTPPEPASFSPENVTGKSRTLVSVSSSRKLSSSLMLAVKEEVPVAKPDTHFHISRKNRNLVAFKNASMAARHRRRRKNKSLIRIMGSEAQMSNFSRRVKEFFHGNSCKLRFFMTWISSVESFSSREMMAIESLFKAHPHGCLILASSSVDSTEGTKILSPFLKMGLKITAISPDFNYLFKNTAAYSWFGRLKRGNIDPGEVSIGQNLSNLLRLGLLYKFGGIYLDTDVIVLKSFEPLRNAIGAQTMNLETRNWSRLNNAVMVFDKGHPLVYKFIQEFALTFDGNKWGHNGPYLVSRVVARVKGREGHNITVLPPKAFYPVDWSRIGSLFQGPRNVTHLKWLLAKLSQIRCESFAVHLWNKQSRGLEIEEGSVIQHIVADSCVFCNSSSASSQKL >itb09g29120.t1 pep chromosome:ASM357664v1:9:29862089:29862520:1 gene:itb09g29120 transcript:itb09g29120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVFALLSFLLYTTVLFSAAAADSNLVIQTACAETKAPDLCVSVIESDPRSVNGDVKVFSIIVLEKAVSEIDDLKERCPEAYRNLVGDGDGGEDAIEKLTTKHHAPSVKYSIHDVVPCSNAEDFILTLLSISVDVLKVINH >itb03g11220.t1 pep chromosome:ASM357664v1:3:9265245:9268959:-1 gene:itb03g11220 transcript:itb03g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFGEGLIIESYKVPWLIWIQLLVMILIVLLLFFGFSLFTLDLPDNSSPNSSAAGPSSSGGAAGQTHGKGPLPVTSSSRHTNQKHSTEGGVETSTSRGIRIGEERDGSSVKDATHFRLFERPQHPCHYLGLAKQAFLKCLGLDSSSDRPDCRKHEKED >itb04g33500.t1 pep chromosome:ASM357664v1:4:35738285:35740522:-1 gene:itb04g33500 transcript:itb04g33500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWYRRTQRFKRFEGAAGILAMGHSFINIDGRPKLVSKAEIKHFPPNYSLAAPTPMTLFENVLFFGGAFFENDDASSSFDLFAESLASPGLAPPYAASPSPTRSLFTSNPVTETGTAENAAAATTSVVHHLQRDSDGTECDSLLDMTGGMAISPQFAGFSGDQPLFLTPDMVMNYFPPPSGDSIGNSDPFRLRNFADDSEVQELHDVKRVVKVTLSWNDSQLFSHNDSWFVSWLNIAVQAMKKGLPVAHTDVNLYWKCKYTAFNLIIEFEVGARKAWMELKSVTRPPSGQESVVECLEKDFVEPIIEHIQSAFIRNVERATTELDVIATAKLEISDHCCVAAERDAEPFLVITYFVYLPEELTKSRTVGILPEPHEAECGMDSPCEPHMPKSSATNNSIDGNTHTISRWEKDHGITRQLLQQLFGKSRDVAAKTLKVSTPTFKRACRYFGINRWPNHKGKRPNNCSLNQKQPCPALPLLEGTNTIQCNSTIMSVKVNYNNDTIRFPLTSSSTIKYLEDQLETKFKISLENMSIKYQDEEDEWITLTCDSELLHGFDVLRSCGETVIRMMITPKFN >itb05g05280.t1 pep chromosome:ASM357664v1:5:5018227:5028132:1 gene:itb05g05280 transcript:itb05g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKWVLMVTAQTPTNIAVIKYWGKRDETLILPINDSISVTLDPAHLCTTTTVAVSPAFQQDRMWLNGKEIPLSGGRYQNCLREIRARACDVEDEKKGIKISKKDWENLHLHIASYNNFPTAAGLASSAAGFACLVFALGKLMNVKEDNSQLSAIARQGSGSACRSLFGGFVKWNMGKDENGSDSLAVQLVDEKHWDELVIIIAVVSSRQKETSSTSGMRETVETSPLIQHRAKEVVPKRVIQMEEAIKNRDFPTFAHLSCADSNQFHAVCLDTSPPIFYMNDTSHRIISCVEKWNRSEGTPQVAYTFDAGPNAVMIARNRKAATLLLQRLLFHFPPNSDTDLSSYVIGDNSILEDAGIKDLKDIEALPPPPEVKDNVPAKNKGDVSYFICTRPGRGPVLITDENQALLNPETGLPK >itb03g29010.t2 pep chromosome:ASM357664v1:3:29773054:29779464:-1 gene:itb03g29010 transcript:itb03g29010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIATVQSCFSTRNGTPRQPLLVPLARACLVFNTTRVPPASLEGLEGYSHCWIMYVFHLNTDLEKLWKNPSHSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVESIQGSTVMLSGIDLVDGTPVLDVKPYLPYCDSIIGATVPDWVKVDKLLAVSSVIFSEDFSTSLSDCWSIMEKKSLYKSACEFQCLIKQVLSWDIRSVSQRSRPQISRMETDNTITDYDILELENCPDKMSEENSEEVNCSSSDTTYHLVLEGLDISYRIDCTGNVLVEKVSLLPQSELRSVDTKI >itb03g29010.t3 pep chromosome:ASM357664v1:3:29773054:29779355:-1 gene:itb03g29010 transcript:itb03g29010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFHLNTDLEKLWKNPSHSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVESIQGSTVMLSGIDLVDGTPVLDVKPYLPYCDSIIGATVPDWVKVDKLLAVSSVIFSEDFSTSLSDCWSIMEKKSLYKSACEFQCLIKQVLSWDIRSVSQRSRPQISRMETDNTITDYDILELENCPDKMSEENSEEVNCSSSDTTYHLVLEGLDISYRIDCTGNVLVEKVSLLPQSELRSVDTKI >itb03g29010.t4 pep chromosome:ASM357664v1:3:29773054:29777430:-1 gene:itb03g29010 transcript:itb03g29010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFHLNTDLEKLWKNPSHSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVESIQGSTVMLSGIDLVDGTPVLDVKPYLPYCDSIIGATVPDWVKVDKLLAVSSVIFSEDFSTSLSDCWSIMEKKSLYKSACEFQCLIKQVLSWDIRSVSQRSRPQISRMETDNTITDYDILELENCPDKMSEENSEEVNCSSSDTTYHLVLEGLDISYRIDCTGNVLVEKVSLLPQSELRSVDTKI >itb03g29010.t1 pep chromosome:ASM357664v1:3:29773054:29779550:-1 gene:itb03g29010 transcript:itb03g29010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLLTFSIALGLTSLSASSAIAVYFWLRKWRVDHDEKLLALEQALKTALEKCAAERRGRIRAQQALREELAIVCSNDSELKSYPMTPIATVQSCFSTRNGTPRQPLLVPLARACLVFNTTRVPPASLEGLEGYSHCWIMYVFHLNTDLEKLWKNPSHSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVESIQGSTVMLSGIDLVDGTPVLDVKPYLPYCDSIIGATVPDWVKVDKLLAVSSVIFSEDFSTSLSDCWSIMEKKSLYKSACEFQCLIKQVLSWDIRSVSQRSRPQISRMETDNTITDYDILELENCPDKMSEENSEEVNCSSSDTTYHLVLEGLDISYRIDCTGNVLVEKVSLLPQSELRSVDTKI >itb04g26830.t1 pep chromosome:ASM357664v1:4:31003388:31006255:-1 gene:itb04g26830 transcript:itb04g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTVRLMHAVQYDSYGGGAAALKHVEVPVPTPKKGELLVKMEAVSINPVDWKIQTGAIRPIFPRKFPHIPASDVAGEVVEVGSGVQNFKVGDKVVATISTRDGGGLAEYAVTKESLTAARPPEVSAADAAALPIAGLTAHEALTRVAGVKLDGSGPRKNILVTAASGGVGHYAVQLAKLGNTHVTATCGARNIEFLKSLGADEVLDYKTPEGAALHSPSGQKYDAVVHCTSGIPWSTFDPNLSDNGKVIDLTPTPAALLTFALKKLTFSKKQLVPLILSAKAENLDYLVKLVKEGKLKTVIDSKYPLSKAEDAWTRSIEGHATGKIIVEP >itb14g17130.t1 pep chromosome:ASM357664v1:14:20459272:20460327:-1 gene:itb14g17130 transcript:itb14g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCLSLFLSPPSSPPLLPPLKPLQSLTVYSSRISPLFPVTSPSKLSSKKISHSRRNGGRISAFSENFLLSEADAVLNSPEIASTADGGTSSVISTLLLIAFVGLSVLTAGVVYISVTDYLQKRESDKFEKEEAAKKKKKSGKKGKIVSRARAGPRGFGQKIVEEDDD >itb02g06170.t2 pep chromosome:ASM357664v1:2:3837802:3840626:-1 gene:itb02g06170 transcript:itb02g06170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVLLLTCAISLLLAIASAEVVEHTFNVKNLTLERLCRRQVITAVNGSLPGPIIRVKEGDTLVVHVLNNSPYNITIHWHGIFQILSGWADGPEMATQCPIRPGHSYTYKFNVTGQEGTLWWHAHSSVLRATVHGALIIRPRNGHSYPFPKPYREIPIVLGEWWNANVVDVENEALASGGAPNNSDAYTINGRPGDLYNCSSDGTYRLKVMPGKTYLLRIVNAALNTQLFFKIADHKLRVVAVDASYTNPYDTDVVVLAPAQTADVLLTASRPPALYYMAAHPYASAAGVPFDNTTTTGIVEYEGAGPTAPPKMPILPAFNDTPTAHKFFSNLTGLVSGRFWSPPPREVDEKMFVTIGLGLAACANPGNATCSGGINGQRLAASMNNASFRFPTKMSMLEAFFGNVDGIYTADFPDKPPVIFDFTNASNSFNPALLTTTKSTKVKKVKFNATVEIVLQNTALIGVENHPIHLHGFNFHVLAQGFGNYNPAVDRSKFNFYNPQERNTIAVPVGGWAVIRFRANNPGNI >itb02g06170.t1 pep chromosome:ASM357664v1:2:3835714:3840626:-1 gene:itb02g06170 transcript:itb02g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVLLLTCAISLLLAIASAEVVEHTFNVKNLTLERLCRRQVITAVNGSLPGPIIRVKEGDTLVVHVLNNSPYNITIHWHGIFQILSGWADGPEMATQCPIRPGHSYTYKFNVTGQEGTLWWHAHSSVLRATVHGALIIRPRNGHSYPFPKPYREIPIVLGEWWNANVVDVENEALASGGAPNNSDAYTINGRPGDLYNCSSDGTYRLKVMPGKTYLLRIVNAALNTQLFFKIADHKLRVVAVDASYTNPYDTDVVVLAPAQTADVLLTASRPPALYYMAAHPYASAAGVPFDNTTTTGIVEYEGAGPTAPPKMPILPAFNDTPTAHKFFSNLTGLVSGRFWSPPPREVDEKMFVTIGLGLAACANPGNATCSGGINGQRLAASMNNASFRFPTKMSMLEAFFGNVDGIYTADFPDKPPVIFDFTNASNSFNPALLTTTKSTKVKKVKFNATVEIVLQNTALIGVENHPIHLHGFNFHVLAQGFGNYNPAVDRSKFNFYNPQERNTIAVPVGGWAVIRFRANNPGVWLMHCHLDVHLPWGLSTAIVVENGPTPSTRLPPPPPDLPKC >itb09g22840.t1 pep chromosome:ASM357664v1:9:21658284:21661758:-1 gene:itb09g22840 transcript:itb09g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPEKLTVENINKNASKMPLISCRIPISLHHSRLPTSKVIPIHSMSMSSSSSSHSPSPIQESEQKEGREASIAQVLKYHDQTKHSFTNYARGPRGLDWANQPNPFRRYVSSPLISLLHPPGNQSPLYSQLFNSLPSPHPVSYSTISQFFYDSLALSAWKSTGFSTWSLRVNPSSGNLHPTEAYLISPPIESICSHSFVAHYAPKEHSLEIRAEIPFEFFAKNFPKNSFLVGLSSIFWREAWKYGERAFRYCNHDVGHAIAAVAMAAAGLGWDVKVLDGLGYTELKKLMGLDHFPEFKFPDRQVKGRMPEIEFEHPDCVLLVFPSGLHECKVDYKELSSAILEFSDVEWKGKPNLLSKEHVCWDIIYRTAEAAKKPLTMMNGSIIDSFQSSGLLSESSYKGFSLRDVVRKRRSAVDMDGKTGMAKETFYQILLHCMPSGSGSGDKCKRQLALPFRALGWECEVHAALFVHRVVGLPSGLYFLVRNENHLDGLRKATRSEFKWEKPDGCPNDLPLYELARGDCQELSKRLSCHQDIASDGCFSLGMIAHFEPTLREKGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHEVLGLDLEGSEYQSLYHFTVGGPVADKRIMSLPAYPGPNVDA >itb02g05180.t1 pep chromosome:ASM357664v1:2:3114166:3115349:-1 gene:itb02g05180 transcript:itb02g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHLSRVLLLLLLQWGSLLVAHACPYCPYPPAPPKLHPKPPHPPKVRPPYVPKHPPKVHPKPPPCPPKPPVVHPPHVPKPPVVHPPHVPKPPVVHPPYIPKPPVVHPPHIPKPPVVHPPHIPKPPVVHPPYIPKPPVVHPPPIVPTPPVVTPPYVPKPPVVTPPVLPPPPPPPPVVITPPPYVPKPPAATPPPPVEKPCPPPPPPVPCPPPPPPAQPTCPIDALKLGACVDLLGGLIHIGIGGSAKDTCCPVLGGLAGLDAGICLCTTIRAKLLNINIILPIALQVLIDDCGKTPPEGFKCPA >itb09g15470.t1 pep chromosome:ASM357664v1:9:10729731:10731440:-1 gene:itb09g15470 transcript:itb09g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEMAAMGSFSLHVLRSRLFMAFASLLILSMAGASYLFGIYSGEIKSSLGYDQTTLNLLSFFKDLGGNLAIISGLINEVAPVWFVLSLGILFNFFGYFMMWLAVSGRIAKPKLWQMCFYLCAGANSQSFANTGATVTCVKNFPAGRGIVLGHLKGAIGLSGAVITQLYHAFYGEDAVSLILLIAWLPVAVTSVFLRTIRIMSPVPQEKNNLKFFHNLLFLSLGLAGFLMVIIILQQKLSFSKFGYATSATIVLLLVFSPIVLVVRGEYKVWRRRSKEKGPPIETETKTQQGSSTGHSGEVSVEGNSDSCFKNVFRPPGRGEDHTILQALFSVDMLVLFTATTFGVGGTLTAIDNLGQIGKALGYPSSSIATFVSLVSIWNYLGRVSSGFASEIFLARHGCPRPLMLAVVLLLSCLGHVLIAFGVPNSLYFSSMLMGFCFGAQWPLILAIISELFGLKYYSTLYNLGAGASPVGLYILNVRVAGHLYDKEALRQMADKGLARKPGEDLTCLGVECYKPAFLIITAATFVGCLVSLVLVMRTREFYRGDIYKRFKEQGDEEANDESAAT >itb15g00150.t1 pep chromosome:ASM357664v1:15:99362:111952:1 gene:itb15g00150 transcript:itb15g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGAKGVKTMSDLSIGDLVLAKVKGFPAWPAKISRPEDWKKPPDPKKYFVQFFGTGEIAFVAPADIQAFTSEAKNKLSARCQVKGYFAQAVKDICAEFEELQQKNSCPLGYDTNNEAPVTDAVDGSVKVEQTDRTEYGETEQEMDSESLGDGSGLERCSKVKTEKDNQSCKPLVLDSANASPTILSKKGSKNSTNSVKELTSASSQGCHSVDEESPQNKSIQDKLTSGDHSVHPGTGRKQLANGQKVKITKRKLEGGEEVQNVTTLAGDASAEPIVVGLKSDVVSGESKKKPSLDLKSGSEANGKKKAKKLRKDRRHLEISDSENEIEEDNKLESSSGKPKVEPGCIRKTSQGTEGVQPFKRSKCADGAADVNKVVQASRNIDSRSSGVDVKLGISEVKGSKPVGKVENRTTLKAQTDVAGSNVLGEEDVPPPSKRSRRALEAMPSSSPIPQQPTKRRAVRLCDDDDNEEPKTPVHGGSIKKDTNLSHITDSLKKSDAPNVTPIPAQQGVRASGRGQSGSSKELKSSIKPNDDTSFHNSQKLLEKKARIVTTPNLSCSPGKPEPEKVPSRDIKPILGSPKRSPVVVTVPRPISEPQKPSKQSDKVLDDVPQGKVVASSIPAPVAASDNLKSSLDQPNSERGKTDSSGERKKATPKSTSRINDHAFSAGHPMETVSVPSERAEAGRDDRPLSLTDLKVLDPDMSMKELIAAAQAKRRQAQLLNAHGSLHPVFATYADIQGGSPNPGLSSHAPVHGKTMHSDAQGLCPRASPSSDVRQFTSIDPPECEEHEERRVSSEHWTTGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIHKLENEPSYHRRVDLFFLVDSITQCSHSHKGIASASYIPAVQEALSRLLGAAAPQGAGARENRRQCLKVLRLWLERKILPESLLRRHIDEIGTANDDATAGGHSFRRPSRAERALDDPIREMEGMLVDEYGSNATFQLPGFLSSNVFEEEEEEEEILNNPHQEDTEVSPVDGTLATGDNTEQSTVTPKDRRSRILEDVDGELEMEDVSGHQKDESPLFTDVPHPSASNQSGSVRVPEAASNSPFELPPPEGWSPPLPLGSPPETPPLPASPPPPTPPPPPPSSPLPPPPPTPPPPSLPPPPPTQSHPFSSMPAGPPPLLFTQPSLPPQPSMPLQHLAVASSIPSSSPMVGYQQPLVPHEVGSMPNGHRLPQMSVNAPHGPQPQPRFVPVGVCNSREPSGYNSRPLDYNHNDAYLNLQPPQSSQQFQPTNAPFSQMPMHPNPPPQIPSNNFSYPRPAVQQQSQHPYPPGPPPYALPNRPDGQRRYVGDEQWRVQSNEFSADQQRGMWMGGGRSSVGPTFPPEGYLRPHDRPPMNNIGFQPPAPNALPTGGPISGHGMPCRPDVTALNWRPA >itb15g00150.t2 pep chromosome:ASM357664v1:15:99362:110286:1 gene:itb15g00150 transcript:itb15g00150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGAKGVKTMSDLSIGDLVLAKVKGFPAWPAKISRPEDWKKPPDPKKYFVQFFGTGEIAFVAPADIQAFTSEAKNKLSARCQVKGYFAQAVKDICAEFEELQQKNSCPLGYDTNNEAPVTDAVDGSVKVEQTDRTEYGETEQEMDSESLGDGSGLERCSKVKTEKDNQSCKPLVLDSANASPTILSKKGSKNSTNSVKELTSASSQGCHSVDEESPQNKSIQDKLTSGDHSVHPGTGRKQLANGQKVKITKRKLEGGEEVQNVTTLAGDASAEPIVVGLKSDVVSGESKKKPSLDLKSGSEANGKKKAKKLRKDRRHLEISDSENEIEEDNKLESSSGKPKVEPGCIRKTSQGTEGVQPFKRSKCADGAADVNKVVQASRNIDSRSSGVDVKLGISEVKGSKPVGKVENRTTLKAQTDVAGSNVLGEEDVPPPSKRSRRALEAMPSSSPIPQQPTKRRAVRLCDDDDNEEPKTPVHGGSIKKDTNLSHITDSLKKSDAPNVTPIPAQQGVRASGRGQSGSSKELKSSIKPNDDTSFHNSQKLLEKKARIVTTPNLSCSPGKPEPEKVPSRDIKPILGSPKRSPVVVTVPRPISEPQKPSKQSDKVLDDVPQGKVVASSIPAPVAASDNLKSSLDQPNSERGKTDSSGERKKATPKSTSRINDHAFSAGHPMETVSVPSERAEAGRDDRPLSLTDLKVLDPDMSMKELIAAAQAKRRQAQLLNAHGSLHPVFATYADIQGGSPNPGLSSHAPVHGKTMHSDAQGLCPRASPSSDVRQFTSIDPPECEEHEERRVSSEHWTTGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIHKLENEPSYHRRVDLFFLVDSITQCSHSHKGIASASYIPAVQEALSRLLGAAAPQGAGARENRRQCLKVLRLWLERKILPESLLRRHIDEIGTANDDATAGGHSFRRPSRAERALDDPIREMEGMLVDEYGSNATFQLPGFLSSNVFEEEEEEEEILNNPHQEDTEVSPVDGTLATGDNTEQSTVTPKDRRSRILEDVDGELEMEDVSGHQKDESPLFTDVPHPSASNQSGSVRVPEAASNSPFELPPPEGWSPPLPLGSPPETPPLPASPPPPTPPPPPPSSPLPPPPPTPPPPSLPPPPPTQSHPFSSMPAGPPPLLFTQPSLPPQPSMPLQHLAVASSIPSSSPMVGYQQPLVPHEVGSMPNGHRLPQMSVNAPHGPQPQPRFVPVGVCNSREPSGYNSRPLDYNHNDAYLNLQPPQSSQQFQPTNAPFSQMPMHPNPPPQIPSNNFSYPRPAVQQQSQHPYPPGPPPYALPNRPDGQRRYVGDEQWRVQSNEFSADQQRGMWMGGGRSSVGPTFPPEGYLRPHDRPPMNNIGFQPPAPNALPTGGPISGHGMPCRPDVTALNWRPA >itb15g00150.t3 pep chromosome:ASM357664v1:15:99388:109102:1 gene:itb15g00150 transcript:itb15g00150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGAKGVKTMSDLSIGDLVLAKVKGFPAWPAKISRPEDWKKPPDPKKYFVQFFGTGEIAFVAPADIQAFTSEAKNKLSARCQVKGYFAQAVKDICAEFEELQQKNSCPLGYDTNNEAPVTDAVDGSVKVEQTDRTEYGETEQEMDSESLGDGSGLERCSKVKTEKDNQSCKPLVLDSANASPTILSKKGSKNSTNSVKELTSASSQGCHSVDEESPQNKSIQDKLTSGDHSVHPGTGRKQLANGQKVKITKRKLEGGEEVQNVTTLAGDASAEPIVVGLKSDVVSGESKKKPSLDLKSGSEANGKKKAKKLRKDRRHLEISDSENEIEEDNKLESSSGKPKVEPGCIRKTSQGTEGVQPFKRSKCADGAADVNKVVQASRNIDSRSSGVDVKLGISEVKGSKPVGKVENRTTLKAQTDVAGSNVLGEEDVPPPSKRSRRALEAMPSSSPIPQQPTKRRAVRLCDDDDNEEPKTPVHGGSIKKDTNLSHITDSLKKSDAPNVTPIPAQQGVRASGRGQSGSSKELKSSIKPNDDTSFHNSQKLLEKKARIVTTPNLSCSPGKPEPEKVPSRDIKPILGSPKRSPVVVTVPRPISEPQKPSKQSDKVLDDVPQGKVVASSIPAPVAASDNLKSSLDQPNSERGKTDSSGERKKATPKSTSRINDHAFSAGHPMETVSVPSERAEAGRDDRPLSLTDLKVLDPDMSMKELIAAAQAKRRQAQLLNAHGSLHPVFATYADIQGGSPNPGLSSHAPVHGKTMHSDAQGLCPRASPSSDVRQFTSIDPPECEEHEERRVSSEHWTTGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIHKLENEPSYHRRVDLFFLVDSITQCSHSHKGIASASYIPAVQEALSRLLGAAAPQGAGARENRRQCLKVLRLWLERKILPESLLRRHIDEIGTANDDATAGGHSFRRPSRAERALDDPIREMEGMLVDEYGSNATFQLPGFLSSNVFEEEEEEEEILNNPHQEDTEVSPVDGTLATGDNTEQSTVTPKDRRSRILEDVDGELEMEDVSGHQKDESPLFTDVPHPSASNQSGSVRVPEAASNSPFELPPPEGWSPPLPLGSPPETPPLPASPPPPTPPPPPPSSPLPPPPPTPPPPSLPPPPPTQSHPFSSMPAGPPPLLFTQPSLPPQPSMPLQHLAVASSIPSSSPMVGYQQPLVPHEVGSMPNGHRLPQMSVNAPHGPQPQPRFVPVGVCNSREPSGYNSRPLDYNHNDAYLNLQPPQSSQQFQPTNAPFSQMPMHPNPPPQIPSNNFSYPRPAVQQQSQHPYPPGPPPYALPNRPDGQRRYVGDEQWRVQSNEFSADQQRGMWMGGGRSSVGPTFPPEGSCSFIWF >itb01g27620.t1 pep chromosome:ASM357664v1:1:32337028:32337859:-1 gene:itb01g27620 transcript:itb01g27620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGKEKEKAVSTTSLKTTNAVDVLDSAVLTRLIDILKTSSPDVQRKVSSILEFVSVVEPCTEKIISIDIESGLDAVFKQESLKEADFDGQKPELHTLEIEEAGYAISAASRLLTKLLDFEHFRRTIDAYHFTKLLRGVLKSDIPLYHKDWVAACLVKLSSLFGLASRTRSIWS >itb11g17430.t1 pep chromosome:ASM357664v1:11:17188958:17189407:-1 gene:itb11g17430 transcript:itb11g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLPMNNKRRGARIAGRKMSQGAFKKVLEKLAGEGYNSTNPIDLRTHWAKHGTNNARGSSISSSLARFEAGGGSEECGRYEETTQEVYQKHCLYADYNWFTLSKLVLLGL >itb01g20460.t1 pep chromosome:ASM357664v1:1:26711440:26713398:1 gene:itb01g20460 transcript:itb01g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIYGIMEYIRFCSIFLPLLILLVVRITSQRRLTNKSKLPIPPGPKPWPLVGSFPEILRSGKPAFRWIHNFMDEMKTEIACIRLGATYVIPVTSPELAREFLKKQGTVFSSRPVCMSADLISNGYQSSIFLPPGDKWTKMRKILQSHVLSPATLHWLHDKRAEEADYLVKYIYTRCCMRGVNVRIVSRQYCGNVISKMVFNMRFFGNGEEEELLKAMFDLLQTLYGLGVSDHIPWLSVFDIDGYKSIIKKGMSVMRKHLDTQVDTRVQMWKDGIKTVEEDILDVLVMLKDNAGKPLLSDTEIKTQVLEMMLATTDNPSNAVEWALAEMINQPKVLEKSVKEIDTLVGKERLVEESDLPNLNYVKACIKEAFRLPHVSLADTAVGGYSIPKGSQVLLSRAGLGRNAKAWEEPLMFKPERHLNGGEVDLNDSELNLLAFSTGRRGCPAVKLGSLMTTMLMARLLQGFTWSLPPTLPCISLTEAKHDLFLENPLVALVKPILPHNLYL >itb09g03460.t1 pep chromosome:ASM357664v1:9:1941894:1942464:1 gene:itb09g03460 transcript:itb09g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQKTAAFLPFLPILLIIMASIAGSAMTAEAARSLFQVADTPDTLPAPPPLVDELPPLPEVFPDVVPPPISMDGIIPLGPVQSIAEEIVEPPIIA >itb10g07600.t1 pep chromosome:ASM357664v1:10:9042237:9046395:-1 gene:itb10g07600 transcript:itb10g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPAVRVADRKLVVKDRAVVTNMPENIIAASGSDSGSVDGLFLGAVFDEKSSRHVVPLGTLLDLRFFASFRFKLWWMSQRMGTRGRDVPVETQFLLVEVKTGEDYVYAVFLPLIEGKFRACLQGNSNDELELCLESGDADTVGSDFTHSLYVHIGSDPFTAISDAVTAVKFHLKSFRQRHEKKLPGIMDYFGWCTWDAFYKEVTEEGVEAGLKSLSSSTTPPKFVIIDDGWQSVAGDPENGEKSVMRLTGIKENSKFRGENTGIQNIVNTAKEKYGLKHVYVWHAITGYWGGVRPGMKEMEATLKYPNISEGVMENEPTWKTDAIAVQGVGVVNPKSAHKFFNEMHGYLASAGVDGVKVDVQCILETLGGGVGGRVEITRQYNQALDASIAKNFPDNGIIACMSHNIDALYCAKQTGVVRASDDFFPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHASARAISGGPVFVSDAPGKHNFDLLKKLVLPDGSILRACLPGRPTKDCLFSDPARDGISLLKIWNMNKYTGVIGVYNCQGAAWNAVEKKNTFHQTKPEAITGQIRGRDVHLISEAALDSNWNGNCAVYSHRRRELITLPHNAALPVSLKVLEHEVFIVTPVKDLSPGLSFAPLGLLDMFNAGGAIVGLEYEEVGLAVSMEVKGCGRFGAYSSAKPRNCTVGGNVTDFSYDWDSNLVTLILHDLPAQGQEVHHVTIEL >itb10g25110.t1 pep chromosome:ASM357664v1:10:28561012:28565441:1 gene:itb10g25110 transcript:itb10g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSERPLRPSAEKIDLDADDRQTRLGSLKKAAINASNKFKYSFKSRRRSSRVMSVVLDDEHDAEEVKAVDAFRQALILEELLPSKHDDYHMMLRFLKARKFEIDKSKQMWADMLNWRKEFGADTIIDDFEFKERDEVLKYYPQGHHGVDKDGRPIYIERLGQVDATKLLQATTMDRYIKYHVQEFERTNNDKMPACSIAAKKHIDQSTTILDVQGVGLKNFTKQARELIQALQNIDGNNYPETLCRMYIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPDFMGGTCTCADKGGCMRSDKGPWNDPEIMKMVHNGAHKCSHRMTPVEEEKTIPEDGGVSVSMSVKKPASFKVESPKKLSPVQEETRQPDVYVPMVDKTVDLPQWPAVKAVNNDPFVVPKGADIFQIQEAYKGQEASNQLFTGVMTFVMGIVTMVRMTKNMPRKLTESTLYSNSMNFADGGMKGQAGYKPAEPAISASEFMAMMKRMASLEEKFITLSNQPPTMPPEKEEMLTNALTRIDALEQELAEAKKALEESQTHQNELIAYIEKKKKKKSFFGF >itb14g14580.t1 pep chromosome:ASM357664v1:14:17307946:17324840:-1 gene:itb14g14580 transcript:itb14g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMDANVDVVDLASERSKENTNKSAEDADSSPPPPPPPRRGRDRDSRERRDERDSDRRGGRGDYYDRNRSPPPPPPREREYKRGRPSPSPPPPLYRDRRGGHSPTRRSSPNPPYKRSRRDDYDGRPRGGYGPGDRRFGGYDYPGGYDREMGGRAGYPDERPPGRFAGRSSGGYQDWDSGRGGLGDAFASGSMQREGLKSYKQFIQELEDDVLPAEAERRYQEYKASYIEAQKRSYFDAHKDEDWLKDKYHPTNLVTVIERRNEQARKLAKDFLLDLQSGTLDLGPGVTPTSNKSGQSSEPNSDDEADGSDKRRRFGRGSAKDTELSAAPKAHPVSSEPRRIHTDVEQAQALVRKLDAEKGIENNILSRSDNERGNRDKSHGGSSGPVIIVRGLTSVKGLEGIELLDTLLTYLWRIHGVDYYGMTETNEAKGLRHVRVDGKTSDASNNGADWETKLDSHWQERLKGQDPLEIMTAKEKIDAAAVEGLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVRDDLYFENYMSDENAPGGTPVMLPSLPKEKPQRRRPGLDGRLKDDRGGRRDRIGERFDRADNSQLADFQSKDGGNPDEQMFDTFGGQGIHVAGFPSDIVPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPASFEGGRNGRSGAQISGPAPIIALPPTLRQDPRRLRSYQDLDAPEDEVTVIDYRSL >itb08g13150.t1 pep chromosome:ASM357664v1:8:13763767:13765722:1 gene:itb08g13150 transcript:itb08g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLTLSSFITSSLLLCFSTYVDGDGQESGGLQGKHGNSFSALYAFGGSFTDTGNAYIMGRLKGNYGVTCQRSGGRLCNGRLAVDFVSAAFSLPSPQPYLNASKNFNSGAATTGVNFAIAGSTSLQHKYFTNEELTHVIWKGIPMTFETQIHWFKNFLKEKNCLGKHAIRCKQEFKNALFWVGPMGISDYYSIQGSSIAHKWLTQLSIEEFTKLIKALINAGAKYIVAESLPPLGCLPLSISSFEPRKLDQMGCVAPVNSAIKLHNEILQSKVRELRRECWDCAILYADFWKAYETIVTNPAKYQLEDTKKACCGTGKGDLNFDPNTVCGAPTTSACTDPAKYVSWDGIHITEAMQRQLADLYLNQSFCEPSFDTMLKHKSSI >itb05g15430.t1 pep chromosome:ASM357664v1:5:22797741:22803803:1 gene:itb05g15430 transcript:itb05g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSLPWLSFLPLLPILQFLILMPQFPRGSSYPDYSDIYYQSCGNMFKCGDISNVGYPFRNYNDPPYCGYPGFELSCNGRNTTIDIMNETYRVLEINQASKTMKIVREDIMEGNCPQEFNTTLDNSLFEYTTTYINLTFLYDCHGGINNIPGIATIPCGDSNDAYLLPAGTGVSVNCSSSVIVPVPVVVGVGYGGSVDSALLMKTLKEGQEIRWKMDSKACDDCTKSKGRCGYNTNQTTCYCPSPPYISDTCSVSIPAASPASPDNSKSSNKKPLGIGLGIAGAVLAGVGIGWLIFRQKRRRVAVVQTLEHEQVLPAQTKNKGLPTPPSSNSLSSDPSPRFMRSIPSYPSSGSVALFGRDSYYFGAQIFTYAELEEATNNFDQTRELGDGGFGTVFYGKLPDGRVVAVKRLYENNFKRVEQFMNEVEILTKLRHDNLVVLYGCTSKQSRDLLLVYEYIPNGTVADHLHGKRAKSGLLSWPIRLKIAIETADALAFLHKKEIIHRDVKTNNILLDNDFHVKVADFGLSRLFPTNVTHVSTAPQGTPGYVDPEYYQVYQLTERSDVYSFGVVLIELISSLQAVDTNRHRHDINLSNMAINKIQNHALHELVDPSLGFATNSSARRMITLVAELAFRCLQQVRDMRPSMEEVLDTLRRIENEELNADKVEVLDIVVDDLALLKGNATSSPDSSVTDKGASSSTPNSIG >itb01g14610.t1 pep chromosome:ASM357664v1:1:16697972:16698277:1 gene:itb01g14610 transcript:itb01g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHIRKTGFANVYIKNLESSIDNKALYETFAAFGTVLSCKVAGDGNGKSKGHGFVQFDAEEAANNANNAIKRLNGMLINLDDSITDEKLKELFSEHGTI >itb13g20920.t2 pep chromosome:ASM357664v1:13:27633886:27636796:-1 gene:itb13g20920 transcript:itb13g20920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVREDGVSLSTTDAAPTHFMFKIQSVLSLDEEHPIDKYTSAPFEAGGYKWKLIFYPKGNTRKGVTDHISIHLAMADTSCFQPGWEVHAVFRLFLLHQNNDTYLVIRDAQEKGRRFRETRTEWGFDRIVPIKMFRNGSYGFVVDDECVFGAEVYVHKEMKFPEGERRFPRGECLTMFKDPELVKHSWKIPNFFCSLKRDDGRLCHESPTFNQWKMRIYPNGKDGKCTHLSLSLHMDLGDKPMEPTSSLAKEPISRIYAKFTLHLVDRHQHKNWVVEGSRWFDPSNPKSSFHEWPKVITQEYFKTQTAGFWSESKDECTIEAEVRVHGVTTPLLS >itb13g20920.t4 pep chromosome:ASM357664v1:13:27634699:27636796:-1 gene:itb13g20920 transcript:itb13g20920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVREDGVSLSTTDAAPTHFMFKIQSVLSLDEEHPIDKYTSAPFEAGGYKWKLIFYPKGNTRKGVTDHISIHLAMADTSCFQPGWEVHAVFRLFLLHQNNDTYLVIRDAQEKGRRFRETRTEWGFDRIVPIKMFRNGSYGFVVDDECVFGAEVYVHKEMKFPEGERRFPRGECLTMFKDPELVKHSWKIPNFFCSLKRDDGRLCHESPTFNQW >itb13g20920.t1 pep chromosome:ASM357664v1:13:27633886:27636796:-1 gene:itb13g20920 transcript:itb13g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVREDGVSLSTTDAAPTHFMFKIQSVLSLDEEHPIDKYTSAPFEAGGYKWKLIFYPKGNTRKGVTDHISIHLAMADTSCFQPGWEVHAVFRLFLLHQNNDTYLVIRDAQEKGRRFRETRTEWGFDRIVPIKMFRNGSYGFVVDDECVFGAEVYVHKEMKFPEGERRFPRGECLTMFKDPELVKHSWKIPNFFCSLKRDDGRLCHESPTFNQWKMRIYPNGKDGKCTHLSLSLHMDLGDKPMEPTSSLAKEPISRIYAKFTLHLVDRHQHKNWVVEGTYIQKIKLEVGGSTPQTPKVVFMNGQR >itb13g20920.t3 pep chromosome:ASM357664v1:13:27633886:27636796:-1 gene:itb13g20920 transcript:itb13g20920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVREDGVSLSTTDAAPTHFMFKIQSVLSLDEEHPIDKYTSAPFEAGGYKWKLIFYPKGNTRKGVTDHISIHLAMADTSCFQPGWEVHAVFRLFLLHQNNDTYLVIRDAQEKGRRFRETRTEWGFDRIVPIKMFRNGSYGFVVDDECVFGAEVYVHKEMKFPEGERRFPRGECLTMFKDPELVKHSWKIPNFFCSLKRDDGRLCHESPTFNQW >itb01g31130.t1 pep chromosome:ASM357664v1:1:34928112:34932147:-1 gene:itb01g31130 transcript:itb01g31130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVVARLLAIFSLLSFLQQLSSNVIPASSNEEANGLLKWKSTFFHANNTLDSSWTISENGGSPCNWYRVHCVAGSVNRLNLTTSNINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLTKLVYLDMSINYFTGTIPPQIGLLIRLQTLHMFGNNLHGPIPDEIGNLTSLNELALLGNSLNGSIPASIGNLKHLSSLQLYMNSLSGHIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDENQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGNLSESFGIYPDLQFMWLSQNKFHGEISKNWGISKNLTNLQMAENNLTGRIPPEFRNLTQLGILKLSSNNLGGGIPAELGSLSSLLYLYLGDNNFSGQLPIELASLKQLNVLDLSNNQFSGPIPSFIGDYQQMYELDLSHNNFSQHLPVELSKLSHLTTLDLSNNSLSGEIPHLFNSLRDLVNVDLSYNQLTGPIPDTMGFKQAILKGNTGLCGDNKDLPSCSSTPTEMSFVGKKSGHKTQILSIVLPIVGALVLVSVFAVVLFTCGKGDRGPDEEQQCNSFRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMFRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEITDRKGFFNEITALTTIRHRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb10g02410.t1 pep chromosome:ASM357664v1:10:2036302:2036709:-1 gene:itb10g02410 transcript:itb10g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLIVVVAVSLFLLGAWASQATAHNNHHVIKASSNYVTKFKPGPLPDDVNNYNPKPAAPKRRSNSNNGETHQHTQVADPPVDFFPNTNFVDTTNKVVEKTTETMAEPPQSSGTCGNYILTITHFLTFPRAIM >itb07g23060.t1 pep chromosome:ASM357664v1:7:27532751:27546429:1 gene:itb07g23060 transcript:itb07g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFALGSLMATIKLEFLQPNPRVPLDDDTRGSMNPSFKSLRMMEFFLESKPAGGLEMKIRDFALEAEDRIEIQLSNFLLAKDTQDQEQASQQLRQTLREVSKDGSKLLNQCYEEADDDEAPLIPWLSETSLRLQPPKLERTMVGRRHDYLLVKNQLLFGGDEQRVILIVGMTGIGKTTLAASVYEDSSVASHFGVRGWITVSPESNVRQTLHDLLLIVAEPDDETKKRSTRDETLAKQVSKCLEGKRYLIVLDNIWNNKAWGAIRECFPNDSNGSRIVLITTHFDQGSYSCSYDHHVHNMTLPYDHHVHNMNLLNPKESWDLFCSNPFLEQHMEPKLEKLRSGILEKCEGLPLSIVTVAQRLSKCNNIKREWKKVEKELELLGVLEDNTAFTLRYNQLAQHLKICFLYFGVFPKRSVIQVKQLIRLWIAEGFLSPLRHGRLENQAYKQLLQLIDRNLVLIDKRSFDGRIKTCKMHSVLHSFCVREAQKESFFCALNTQQLPRGSFSMFANSCRWLSFYTHKFDYYVLFRTNNPRSIFFFQEDVEISVPFKLLRVVAFAPSSFFQRVPTHLKDLVFLRYLYVTEWFEGLDYVVSTNRNLQTLVVSSKESQPGTPTLHLPCTIWESPRLQHLELGNSYVIDNPPSVVKNNMQTLSWVNPSHCREEVYYRLPNIKKLKIFFKDDLEPSHLQTGRPCNNPITLDNLDYLVWLETLTILVSAGCIVTRLERPIFPLQLKKLRLNGTNFCKRDLALIGMMPQLEVLKLENAFHQKIWEVDEGGFRQLKFLLLEAKQLEQWIVNEESFLYLERLVLKFCYCLKNIPMEIPNIGTVKSIELQQCGRSVVASAKDIQESKVSLDDEASISIHKSLLEKLSSLKGFVQEKSVGAGGDAAIRELEMEIRDFALDAEDRIETQLSNFLLAKDTQDLFQQKASQQLHQILIEAAENAADLLRISNNISTEEAAADDETQQQPPPVPEPSSNVMVGRRSDHMLIMDKLIGGFDELQVISIVGMAGIGKTTLAASAYRDPSIASHFDVRGWITMSREYNKNQPLYNILWTLGQATDGIKKESIIPDDLAVENIYNFLTGKRYLIVLDNFWNNQAWYDIQEYLPDDRNRSRVVLTTTHFSWEYAYSNTYKHKMSLLNPEESWELFCNKLFLEQRMSPKFEKIKSQVVDKCEGLPHSIVVVSERLSRCSNIQQEWKKVKKELELLGVLDSRALTFTYNQLPQNLKLCFLYFGVFPKRHAISVKQLIRLWIAEGFLNALEHKGLEDQAYEYLCEFTNRSLIIIDTWNSERKIKTCRMHSALHSFCVREAQKEGILCTVNAQKHPHGSFDMFANSCRWLSFYKHSFDYYVLFKTNTPRSIFFFQEDVEIFVPFKYLRVLAFAPSSFLQRVPTHFQELLFLRYISVKEWFDGLDYIVSINRNLQTLVVSNGNGFKVGSTMFHLPSTIWESPQLQHLELDNSKPFILGTLQYLKQLERLTISVSFGCVVTLGKPSMFPSQLKKLKLNGTNLSESDLMAIGMLPQLEVLKLKNVFRDQEVWQVAERAFCRLKFLHIEDKKLKRFLIDDKLFKEKSGTRELEMKIRDFALEAEDRIEIQLSNFLLAKGTQDQQKAYQQQLHQTLGEAAENAAKLLEKSKETDDKEVVNISGGPVIPWLKHDSASEPSNVMVGRGRDRVLIMDKLIRGSEELKVLSIVGMPGIGKTTLARSVYKNKLVTSHFDVQKWVSMPDVGGYDNVREMLHTLLWAVVSEGEEIKEGRIPVDLAAEKVFKCLREWFEGLDYVVSTNRNLQTLVVSGKESQFGAPTRLPCTIWESPQLQHLELGKSYVIDPPSMDKDKMRTLSWLCPTHCRTGVYCRFPNIEKLEVFVCCSNPIILDNLEYLEHLKRLSISVSFGCVVTLPKPSMFPSQLNKLRLNGTNLSEGDLEVIGMLPQLEVLKLENAFHGEVWDVEEGLFVRLKFLLLDGIKLEQWRVGEYSFQYLKHLVLRFCYRLKNIPKVMVDIFTLESIELQSCCHSLISSAKRIQEHRWDFGLALEVRN >itb08g11220.t2 pep chromosome:ASM357664v1:8:11127527:11132593:-1 gene:itb08g11220 transcript:itb08g11220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKPQARNTPAAMKQPKKSPGTETLAGKRLTNAERSAYFSRREAAKVLRTILQGDDRRQAVGSIKSLVYGPSVRNKRATYALVCQTLKHLSIIKDILGASNVLNSKWKRREELIYIVTYDILFGQEDSLAGDAEKFLLLRKDALQLALAQLLIRRGVKKVNELITPYKSDAQKPRYVRVNTLKMDVESALLELRKQYVVQKDDMIPELLVCPPGTDLHDHPFVQTGSILLQGKASSMVAASLGPKPGWEVIDACAAPGNKTVHLAALMKGQGKVIACELDKERFKRLKNTVKLAGAASILLYCYILYLHTLCLLLCKLLLSSNLSVCVD >itb08g11220.t1 pep chromosome:ASM357664v1:8:11121493:11132593:-1 gene:itb08g11220 transcript:itb08g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKPQARNTPAAMKQPKKSPGTETLAGKRLTNAERSAYFSRREAAKVLRTILQGDDRRQAVGSIKSLVYGPSVRNKRATYALVCQTLKHLSIIKDILGASNVLNSKWKRREELIYIVTYDILFGQEDSLAGDAEKFLLLRKDALQLALAQLLIRRGVKKVNELITPYKSDAQKPRYVRVNTLKMDVESALLELRKQYVVQKDDMIPELLVCPPGTDLHDHPFVQTGSILLQGKASSMVAASLGPKPGWEVIDACAAPGNKTVHLAALMKGQGKVIACELDKERFKRLKNTVKLAGAANVQVMHEDFLNLNPEDPSYSKVRAILLDPSCSGSGTAFDRLDHLLPSANAGAHDGFDMDRLQKLANFQKRVLEHALRFPAVERIVYSTCSIHQIENEDVINSLLPLATSHGFQLEKVFPQWPRRGFPVMVGSENLLRTDLVKDKEGFFIALFSREGKDRSVKSMRMERNASLRNRLRARRHLNLFFLKMFRTIWYAT >itb12g12650.t1 pep chromosome:ASM357664v1:12:11391452:11391766:-1 gene:itb12g12650 transcript:itb12g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPHSPGMPCFPECVDWVLNNQNQDGSWGVHHTLPMFLKETLLSTLACVLALKKWDVGEEQINKGLHFIELKFYICC >itb10g04220.t1 pep chromosome:ASM357664v1:10:4018017:4021167:1 gene:itb10g04220 transcript:itb10g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEERLLDITSCDEFEETKENNQGSKSSGVGQNDWKRWLQVAFFTFSTLAGIVASTLLGRVYYDQGGKSKWLVAALQTAGFPILVPFLVISSPKTPDQEARNPPSLVVLASIYIVLGVVLAAAGILYSVAIEYIPASTYTLVNSSQLAFNALFSLFLNAQKFSPYIINSVVLLTFSPLLLIFGQDSGESEVMGNENYVLGILFTLAASAFPALLFSLTQLAFEKVIRSENVKDVIEMTIFQSLVATLVTLVGLVITGEWATLNQEMLDYKLGVFSYVLVLVWTAISCQCYTFGAVALTFKVSSLFSNVVIRLGTPIIPFLSVIFLGEEMNGLKVMALGLALWGFASYIYQHYLDEKEANNNNNGSVGDEAQDSTEQLKISAF >itb15g11550.t1 pep chromosome:ASM357664v1:15:9356031:9363475:1 gene:itb15g11550 transcript:itb15g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMQSFKISSYFWLNSEHKLKCGATNRCLASRDSTVFSSDSIRVNGISSGWEKERSSLLGNGDLGTNVGEEKRKEGIQEKLEALWDDGYGTQNAKDYLELAQEITRPDGRPPRWFTPLSCGPPLKHSPALFFLPGVGGSGLGLILHHKALGKVFEIWSLNIPVNDRTPFIGLVKLVEQTIRMKHESSPDKPIYLVGDSFGGCLALAVAARNPTIDLVVILTNPATSFGRSQLQPFFPLLEAFPDNHVSFPNILSYLGDLTKMPMENIKIVPPSSLVSDLYDDLAALLSKFNEFTDFKPKETLAWKLKLLKSASEYANSRLHAVTAEVLVLASGKDNVLPSEDEAKRLARSLRNCKVRYFNDKGHRILLENGFNLLSIIKGTSTYRRSRRHDYVLDYLPPSMSEFKKAFENNRFYRYATDPVMLSTMENGTIVRGLAGVPNEGPVLLVGYHMLMGLEMIPLVEEFLKAKKVVLRAVAHPTFFTQLADENGSYIPSFIVDMVRLYGALPVSASNLFKLLATKSHVLLYPGGAREALHRKGEEYKVMWPDQPEFVRMAAKFGATIVPFGAVGEDDLAQLVLDYDDLTKIPIVSDAIRRSSELDDKMGTRLRTNMGGEVANQTLYIPGMLPKVPGRLYYLFGKPISTKGKPEILKDREEAHKLYLEVKSEVENSMAYLLKKREEDPYRSLFSRTAYRALSSPFVEVPTFEC >itb14g04230.t2 pep chromosome:ASM357664v1:14:3760808:3763841:-1 gene:itb14g04230 transcript:itb14g04230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRQLSVDFKNRVITCLNRLSDRDTLAAATAELEAIARGLQNDGFAPFLTCLSSTDSSDKSPVRRHSVRLIGVLSAAHGDALSPHLSKMLSAVLRRLRDPDSAVRAACVEAVSSIAAQITKPPFSSIVKPFVDSIFHEQDHNSQIGASLCLAAAIEATPDPDPAELRKLLPKLYKLVKNDCFKAKPSLLSLTGSIVSVGGAANRTVLNGLVSTLVEFLSSEDWAARKAAAETLGRLAVAERDLLAEFKSSCIASLDTRRFDKVKAVRETMNRALDWWKEVPGTSDEVSPQLQAKSSPKDYCSGGSSPTPSKSPSEIGSETPQQKRSFSRSKSLASTSSYSSTITTQKNSPTKKIGRVKSNGRSSEPSVTKSCKVDTRRSSELKTEVPEKQTSSLELLACDDGESRDLNVSDSTESKSCKNSSFEANPALPNMASGEKQFKFGNSRFGARIVPVYETETCSLNVPAANAVDDATESKEEYEDMFLIYKQLRQIENQQSSLMDLLEVCLSLRFIPHPPPPNTHTHTIEL >itb14g04230.t1 pep chromosome:ASM357664v1:14:3759934:3763841:-1 gene:itb14g04230 transcript:itb14g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRQLSVDFKNRVITCLNRLSDRDTLAAATAELEAIARGLQNDGFAPFLTCLSSTDSSDKSPVRRHSVRLIGVLSAAHGDALSPHLSKMLSAVLRRLRDPDSAVRAACVEAVSSIAAQITKPPFSSIVKPFVDSIFHEQDHNSQIGASLCLAAAIEATPDPDPAELRKLLPKLYKLVKNDCFKAKPSLLSLTGSIVSVGGAANRTVLNGLVSTLVEFLSSEDWAARKAAAETLGRLAVAERDLLAEFKSSCIASLDTRRFDKVKAVRETMNRALDWWKEVPGTSDEVSPQLQAKSSPKDYCSGGSSPTPSKSPSEIGSETPQQKRSFSRSKSLASTSSYSSTITTQKNSPTKKIGRVKSNGRSSEPSVTKSCKVDTRRSSELKTEVPEKQTSSLELLACDDGESRDLNVSDSTESKSCKNSSFEANPALPNMASGEKQFKFGNSRFGARIVPVYETETCSLNVPAANAVDDATESKEEYEDMFLIYKQLRQIENQQSSLMDLLERFIGSSQNGMNSLEKRVNGLEKVLDEMQQCLGFSGRRIPTTDYTGNTCCMLPRAEFFSPKFWRKQEGQSYNTTSFSLSSRSQSENTMHMMPDEDDIAQTLIENSSRNRHPSMHGTGDQLREPRETLESSSTRKWERVIRDAESARGCLAGRLNAAASLTNCIKQET >itb02g22990.t2 pep chromosome:ASM357664v1:2:22743843:22748467:1 gene:itb02g22990 transcript:itb02g22990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIINTIKTVWELSHSMAETTRRPRITIKIVTWFETRWLQHSIIVPTSTIPPVPSSSRLPTLCLYKLPIKDSTFQAFSLLLLLHGILGGPSSLGPLQTTYSVSKRLALCSSSIIPKASSATVENESSQGTAEVPIPKVIIDLDSDPDATVVEITFGDRLGTLLDTMSALKNLGLNVVKANVYLDSSGKHNKFAITNASTGRKVKDPELLEAIRLTIINNMLAYHPESSSQLAMGEAFGVSQPNQKIDVDIATHIHVYNDGPEWSLLCVETADRPGLIVDLVKNITDINIDVESGEFDTEGLLAKAKFHVSYKGKALIRPLQQVLENSLRYFLRRPTTEDASF >itb02g22990.t1 pep chromosome:ASM357664v1:2:22743843:22748467:1 gene:itb02g22990 transcript:itb02g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFSCGCISRSFSNSKLIGRRPNFSHGILGGPSSLGPLQTTYSVSKRLALCSSSIIPKASSATVENESSQGTAEVPIPKVIIDLDSDPDATVVEITFGDRLGTLLDTMSALKNLGLNVVKANVYLDSSGKHNKFAITNASTGRKVKDPELLEAIRLTIINNMLAYHPESSSQLAMGEAFGVSQPNQKIDVDIATHIHVYNDGPEWSLLCVETADRPGLIVDLVKNITDINIDVESGEFDTEGLLAKAKFHVSYKGKALIRPLQQVLENSLRYFLRRPTTEDASF >itb02g22990.t3 pep chromosome:ASM357664v1:2:22743843:22748467:1 gene:itb02g22990 transcript:itb02g22990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIINTIKTVWELSHSMAETTRRPRITIKIVTWFETRWLQHSIIVPTSTIPPVPSSSRLPTLCLYKLPIKDSTFQAFSLLLLLHGILGGPSSLGPLQTTYSVSKRLALCSSSIIPKASSATVENESSQGTAEVPIPKVIIDLDSDPDATVVEITFGDRLGTLLDTMSALKNLGLNVVKANVYLDSSGKHNKFAITNASTGRKVKDPELLEAIRLTIINNMLAYHPESSSQLAMGEAFGVSQPNQKIDVDIATHIHVYNDGPEWRDCWLKQNFMSVTRAKLLSGPFNRFLKIVCGIF >itb02g22990.t4 pep chromosome:ASM357664v1:2:22743930:22747935:1 gene:itb02g22990 transcript:itb02g22990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFSCGCISRSFSNSKLIGRRPNFSHGILGGPSSLGPLQTTYSVSKRLALCSSSIIPKASSATVENESSQGTAEVPIPKVIIDLDSDPDATVVEITFGDRLGTLLDTMSALKNLGLNVVKANVYLDSSGKHNKFAITNASTGRKVKDPELLEAIRLTIINNMLAYHPESSSQLAMGEAFGVSQPNQKIDVDIATHIHVYNDGPEWRDCWLKQNFMSVTRAKLLSGPFNRFLKIVCGIF >itb02g22990.t5 pep chromosome:ASM357664v1:2:22743843:22748467:1 gene:itb02g22990 transcript:itb02g22990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFSCGCISRSFSNSKLIGRRPNFSHGILGGPSSLGPLQTTYSVSKRLALCSSSIIPKASSATVENESSQGTAEVPIPKVIIDLDSDPDATVVEITFGDRLGTLLDTMSALKNLGLNVVKANVYLDSSGKHNKFAITNASTGRKVKDPELLEAIRLTIINNMLAYHPESSSQLAMGEAFGVSQPNQKIDVDIATHIHVYNDGPEWR >itb04g26750.t1 pep chromosome:ASM357664v1:4:30971107:30973248:-1 gene:itb04g26750 transcript:itb04g26750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIRVLAISGLFILPFLYLSLRKHKNKTKKLPPEPPGGLPIFGHLYEFSKETHLVKTLATMAEKIGPVFIIRLGLPRVLGVSSWEAVKDCFTTNDKAFAGRPNICAGVYLGYDNAALAFTNGAFWRKMRKMVVVELLSTTKLDKWKHIWISEMNFSVKQLYRSVTKNRKVEVDMEEWIGSVNLNFISKILARKRYNYSVEHGFDNKDAVVMRQAFKDFMTLTGEFSSADAFRFRIFHWLDIEGIVRSMKKVFDVMDVILDDWVDEHVRRGRGELPAGEDPDFIDIMMKVVDEEFLADDASRCCRDRGVEHGMDNGHVTKPQRRNEEDSRRDRCKSGQREMGGGFRHRQPSIPPSSGQRMHAPIPRGPFHRTARSNRRLRGLRLPHPKRHTVIRDVWRLHHDPKIWPEPEKFKPERFLTESVPDGVARQFGWMPFGLGRRSCPGYAYALRMTHFIFARLLQGFDFATPSDMPVDMSEGPGITMPKAKPIKALLTPRLPAAIYESYD >itb14g16400.t1 pep chromosome:ASM357664v1:14:19742606:19742890:1 gene:itb14g16400 transcript:itb14g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQFQVRNQCVDSPICYLYLKLAGDDDWRPGFAQVRRLDGQHLSSGYFYFRRYLPRRVWHGSDLCNDTRITPFGIKRKRKVFGRVVGKPKLLS >itb10g01610.t1 pep chromosome:ASM357664v1:10:1230475:1231830:-1 gene:itb10g01610 transcript:itb10g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGAVSNNGGIRRRGGDTDTDMGWLCYVFLAFLCFFCNLGGSTDSLRRGESITPNRTLLSAGGNFALGFFRPGNSSSSFLGIWYNSINKTVIWVANRESPLPPQDSEAVFTLGYDGNLQILDGGGRNIIWSTNISGSGLAGNSTAAQLQDTGDLVVKQGESIVWESFDGDSDTLMQGMRLMVNKKTGKRNVIRCWSSSDDPRPGKFSWGIDPKGSPQFFIWKEDKPYFRSTMLQQGFTYSVYFPSGGYAYYSYATQNDEVYYSYGYSNTSIQARFLLTPEGRLQFLLRQNTSDEWPKLWEAPTTECELYAHCGSFGSCERFDSHSVCGCLEGFKPRLQRDWDKGKYDAGCERSIALGCGEGEADTFMRYALMKWPDHSSSLGIMTFQECEIECSKNCSCTAFGYANITSNSAVDCINWFGDLIDLAHNYSPGGFGQDLYVRVHSSELSCK >itb12g10030.t1 pep chromosome:ASM357664v1:12:7987129:7991940:1 gene:itb12g10030 transcript:itb12g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 9 [Source:Projected from Arabidopsis thaliana (AT2G37650) UniProtKB/Swiss-Prot;Acc:O80933] MDPRLYGFSGSRNGVRVGSQSMPFFPDPCVSNRLNFEDVYQDRELVDGPRADNSLGVHSFEGFHDPLSRNVALTSHQDDYEDDDFSDADLRYINQILMEEEMEDKTFMLQESLELQAKERSFYEALGKKYPPTPEQNPTLLDQSSLSRGEYEVENHQNCITNSSRGSSSVVNQSSGSLGEYESENHHNYYYITSSNEGSSYLIDPGSINVTGDYSSPYLHGFSVPNGSNSSVRSSNSFNNRVDGFVESPVSSFSIPNIYSESQPIWNFRKGVEEGNKFLPTNNKFLASFDANQFVTEEPTRETGEVEGTGEKSLRVRKNPHREDLEDQRSSKQAAIYTESTIRSEEFDIVLLHSMGKGEEALTAYRQNLQSAICKNIQQNGNSKGPGGGKGRGKKKNGKRDVIDLRTLLIHCAQAVAADDRRSANELLKQIRQHSSPFGDGSQRLANCFADGLEARLAGTGSQIYKALVNKRTSAADYLKAYHLYLASCPFRKISCFASNKTTIIKSANSMRVHIIDFGILYGFQWPTFIQRIAARDGGPPKVRITGIEFPQPGFRPAERIEETGRRLADYAESFNVPFEYNAIAKKWETITLEDLKLDKDDFLVVNCLYRFKNLHDETVLAEGSRTLVLNLIRKINPDIFIHGIVNGAYSAPFFVTRFREALFHFSALFDMLETNVPRDVPERMLIEREIFGREALNIMACEGWERVERPETYKQWQVRNLRAGFTQIPFANLIMNKARDKVRTGYHKDFVIDEDGQWLLLGWKGRTIYAISCWVPV >itb12g10030.t2 pep chromosome:ASM357664v1:12:7987815:7991940:1 gene:itb12g10030 transcript:itb12g10030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 9 [Source:Projected from Arabidopsis thaliana (AT2G37650) UniProtKB/Swiss-Prot;Acc:O80933] MDPRLYGFSGSRNGVRVGSQSMPFFPDPCVSNRLNFEDVYQDRELVDGPRADNSLGVHSFEGFHDPLSRNVALTSHQDDYEDDDFSDADLRYINQILMEEEMEDKTFMLQESLELQAKERSFYEALGKKYPPTPEQNPTLLDQSSLSRGEYEVENHQNCITNSSRGSSSVVNQSSGSLGEYESENHHNYYYITSSNEGSSYLIDPGSINVTGDYSSPYLHGFSVPNGSNSSVRSSNSFNNRVDGFVESPVSSFSIPNIYSESQPIWNFRKGVEEGNKFLPTNNKFLASFDANQFVTEEPTRETGEVEGTGEKSLRVRKNPHREDLEDQRSSKQAAIYTESTIRSEEFDIVLLHSMGKGEEALTAYRQNLQSAICKNIQQNGNSKGPGGGKGRGKKKNGKRDVIDLRTLLIHCAQAVAADDRRSANELLKQIRQHSSPFGDGSQRLANCFADGLEARLAGTGSQIYKALVNKRTSAADYLKAYHLYLASCPFRKISCFASNKTTIIKSANSMRVHIIDFGILYGFQWPTFIQRIAARDGGPPKVRITGIEFPQPGFRPAERIEETGRRLADYAESFNVPFEYNAIAKKWETITLEDLKLDKDDFLVVNCLYRFKNLHDETVLAEGSRTLVLNLIRKINPDIFIHGIVNGAYSAPFFVTRFREALFHFSALFDMLETNVPRDVPERMLIEREIFGREALNIMACEGWERVERPETYKQWQVRNLRAGFTQIPFANLIMNKARDKVRTGYHKDFVIDEDGQWLLLGWKGRTIYAISCWVPV >itb12g10030.t3 pep chromosome:ASM357664v1:12:7987815:7991940:1 gene:itb12g10030 transcript:itb12g10030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 9 [Source:Projected from Arabidopsis thaliana (AT2G37650) UniProtKB/Swiss-Prot;Acc:O80933] MDPRLYGFSGSRNGVRVGSQSMPFFPDPCVSNRLNFEDVYQDRELVDGPRADNSLGVHSFEGFHDPLSRNVALTSHQDDYEDDDFSDADLRYINQILMEEEMEDKTFMLQESLELQAKERSFYEALGKKYPPTPEQNPTLLDQSSLSRGEYEVENHQNCITNSSRGSSSVVNQSSGSLGEYESENHHNYYYITSSNEGSSYLIDPGSINVTGDYSSPYLHGFSVPNGSNSSVRSSNSFNNRVDGFVESPVSSFSIPNIYSESQPIWNFRKGVEEGNKFLPTNNKFLASFDANQFVTEEPTRETGEVEGTGEKSLRVRKNPHREDLEDQRSSKQAAIYTESTIRSEEFDIVLLHSMGKGEEALTAYRQNLQSAICKNIQQNGNSKGPGGGKGRGKKKNGKRDVIDLRTLLIHCAQAVAADDRRSANELLKQIRQHSSPFGDGSQRLANCFADGLEARLAGTGSQIYKALVNKRTSAADYLKAYHLYLASCPFRKISCFASNKTTIIKSANSMRVHIIDFGILYGFQWPTFIQRIAARDGGPPKVRITGIEFPQPGFRPAERIEETGRRLADYAESFNVPFEYNAIAKKWETITLEDLKLDKDDFLVVNCLYRFKNLHDETVLAEGSRTLVLNLIRKINPDIFIHGIVNGAYSAPFFVTRFREALFHFSALFDMLETNVPRDVPERMLIEREIFGREALNIMACEGWERVERPETYKQWQVRNLRAGFTQIPFANLIMNKARDKVRTGYHKDFVIDEDGQWLLLGWKGRTIYAISCWVPV >itb11g04080.t1 pep chromosome:ASM357664v1:11:2169781:2171880:1 gene:itb11g04080 transcript:itb11g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSSIVIMLVGNKADLRHLRAVSTDDAKAFAERESTCFMETSALESMNVEDAFTEVLTQIYHVVSKRTLDGGDDHTTLPKGQTISVGSKDDVSAVKKAGCCSA >itb06g21280.t1 pep chromosome:ASM357664v1:6:23919083:23920132:-1 gene:itb06g21280 transcript:itb06g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFHRWSSASSTSSDSCSSGSSFSAGGGNQAEKIKGPWSAEEDKILTRLVERYGARNWSMMSKYIKGRSGKSCRLRWCNQLSPAVQHRPFSAAEDDAILAAHSRYGNRWATIARLLPGRTDNAVKNHWNSTLKRRHKQQSQPSDLPMTVTDVNVNVNSPGSTEFVTEKSPGTDTWDDEFDPMTALTLAPPGMGGDSRPPECLPAVFWDAMKDVIAREVRDYITSSFSEASTSYH >itb02g14910.t1 pep chromosome:ASM357664v1:2:10832233:10833064:-1 gene:itb02g14910 transcript:itb02g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYICIFWTSSSIFGAVYVFPFEKIYLVKERKADMYRLSVYYVCSTLCDMVAHVAYPTFFMSILYFMAGFKRTVQCFFLTLSAILLIAVTSQGAGELFGAAVMSIRRAGMIASLILLLFLLTGGYYVQHIPKFMRWLKYVSFMYYGFRLLLKVQYSGDELYECGSEGGCRTLQSSPSFDTVNLNGGLREVWVLLAMALAYRCCAYFCLRRNVNICNL >itb13g13540.t1 pep chromosome:ASM357664v1:13:20053669:20059460:-1 gene:itb13g13540 transcript:itb13g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVSAFINIVSAFAFLLAFALLRIQPINDRVYFPKWYILGRRTSPPGGTRNFVSKFVNLNLKTYLTFLNWMPQALKMSESEIITHAGLDSAVFLRTYILGLKIFGPTAIAALLVLIPVNMSGGTLFFLRKDLVVSDIDKLSISNISPKSLKFFVHIAMEYLFTFWTCYMLYKEYGRVASMRFKFLASQGKRAEQFTVLVRNIPHESGRSISDRVENFFKKNHPDHYLCHQAVYDANKFAKLVRKRNNLQNWLDYYQIKFERNPDKRPTTKTGFLGLWGEKVDSIDYYKHQITELDKRLTIKREKILKDPKFVTPAAFVSFNSRWAAAVSAQTQQSKNPTLWLTNWAPEPRDVYWKNVSVSFLSLSVRRLVITILLFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPLIELKVIKSFLQGFLPGLALKVFLFVLPTILMIMSKIEGHVALSVLERRTAAKYYYFMLVNVFLGSIVTGTAFEQLHAFLHQSATQIPRNIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDLEKAMNPGSVDFPETLPSLQLYFLLGIVYVVVTPILLPFILVFFALAYLVYRHQIINVYEQQYESAAAFWPHVHGRIIASLLISQLLLMGLLSTKKAAKSTPLLVVLPILTLAFHKYCKNRFEPAFRKYPLEEAMDKDLQDHASEIDANMKAYLANAYLHPIFHSFQEVELAEVKAEDKNQDHVQSPVSEHLSAPSPSRVAHHRENDPSHNAQHYEVGQPGDDLFHYEYEQSHNIYHYEMGSHQHGYNY >itb12g00150.t1 pep chromosome:ASM357664v1:12:162084:163689:1 gene:itb12g00150 transcript:itb12g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTAGFSSAQILGVAGGKENGSRHRQLFFFFSNYNCKLRSSSTRFSYSATAKVEVEAAETAGNRIPGSHLSVDYFTDCLNFSRDEAISVVAKLSPYKSRANAAIVVDYLKRIGMEQSHIKAAVSKFPKLLLYHPSKNLSPKIQCLEEFGLSGSDLVDFIARYPFFLARGLETHLRPALHLIRQAAGSNQNAVKALKRSGRLLSYSSCKTIENNILLLRTEAGLSDDQIQRFVMARPSSLRSNPTWIDNILKRVEMEFGISRSSPMFYCGLLVAAALNKSTVDKKLEIFRSYGWSDSEISTMLQKLPQPLTLSEVRLKKVLNFLMKELGYGSQYLAFRPVILIYSLEKKIIPRSGVLKILEENELRACSLFTAIVMSESKFLGDYVLPYKNKLPDMYQRYIKTTAK >itb08g06240.t1 pep chromosome:ASM357664v1:8:5334050:5336663:1 gene:itb08g06240 transcript:itb08g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSETEKSAQKEREKKKVLALAPIAKPLAGKKLSKRTFKLVRRAAELKCLKRGVKEVVKSIRRGHKGFCVIAGNISPIDVITHVPILCEESDIPYVYVASKEDLANAGATKRPTCCVLVLTKPAKGELTPEDQEKLKGDYDQAVAEVRELTSSMF >itb01g17730.t3 pep chromosome:ASM357664v1:1:22502656:22504235:1 gene:itb01g17730 transcript:itb01g17730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYELVENCNELDPWKATGCKVVANGGFGLSAKGLESVVPENLLPTWYWTDMYSVELIYRERMLNHQCKTMDPEEATGFFIPFYAGIAVGKFLFRVLGSTMRTSLPIGL >itb01g17730.t2 pep chromosome:ASM357664v1:1:22502656:22504235:1 gene:itb01g17730 transcript:itb01g17730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYELVENCNELDPWKATGCKVVANGGFGLSAKGLESVVPENLLPTWYWTDMYSVELIYRERMLNHQCKTMDPEEATGFFIPFYAGIAVGKFLFRVLGSTMRTSLPIGL >itb01g17730.t1 pep chromosome:ASM357664v1:1:22502656:22504235:1 gene:itb01g17730 transcript:itb01g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYELVENCNELDPWKATGCKVVANGGFGLSAKGLESVVPENLLPTWYWTDMYSVELIYRERMLNHQCKTMDPEEATGFFIPFYAGIAVGKFLFRVLGSTMRTSLPIGL >itb13g03520.t1 pep chromosome:ASM357664v1:13:3411972:3412517:1 gene:itb13g03520 transcript:itb13g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRRCSALEEKRMSTVLKIAFGLRYRNQSEGDHNNDRYAAAARLEAGGDDDDGDYDYAPAASLEGDDDDDGYDYAPAA >itb10g06630.t1 pep chromosome:ASM357664v1:10:7456267:7462141:1 gene:itb10g06630 transcript:itb10g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKAVMGMGRRWAVDFTDNSTSPSSRDIPDPPGFTRASQDQDDSTVSREKKNAESNWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDSKVDLLGPKLLFIALNLAGLGLGIWKLNTLGLLPTHPSDWVSSLPPPQEVEYSGGGMPLY >itb01g29560.t1 pep chromosome:ASM357664v1:1:33801132:33802575:1 gene:itb01g29560 transcript:itb01g29560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYQDLKKQVEIDDMEAGTAAGGGGENETVDLDKFFEDVENVKRDMKGVESLHKSLQEANEECKTAHNAKTMKDLRSRMDADVSKVLKHVKVIKGKLEALERSNAASRQVQGCGPGSSADRTRTAVVSGLGKKLKDMMDDFQNLRAKMNSEYKETVARRYYTVTGEQPDDDLIDNLISSGESESFLQKAIQEQGRGQILDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGQQLNSIESHVAHASSFVRRGTEQLQEAHEIQKESRKCTCIAIILVIVLIIVLLFPIWSNLLMIHLR >itb03g25130.t1 pep chromosome:ASM357664v1:3:24080838:24083994:-1 gene:itb03g25130 transcript:itb03g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNANHGWLKQSFLEPGKDGIPCRKGYAHPEEPDDQGNLHARHDRFHTFFENVKRVSIKCYQMGRKDPRKVVFAAKMGLALSIVAVLIFFKEPLSYIGKNSVWAILTVVVVFEFSIGATLNKGFNRALGTFSAGGLSLGIAELSQLAGRYQEIVIVFSIFIAGFLASYLKLYPAMKHYEYGFRVFLLTYCIVLVSGTSEFLNTAVSRLFLIGIGAAICLLINLCVYPIWAGEDLHKLVVKNFKGVANSLEGCVNGYLQCVEYQRVPSKILFYQASDDLVYSGYRTILESTAEEDTLLAFAVWEPPHGRYKMFKYPWSEYVKVSGALRYCAFMVMAMHGCILSEIQAGSELRAVFGKEIYRVGSAGAKVIRHLGEKVQNMEKLSDGNLLEEVHEAAEDLQMLIDKKSYLLVHAENWEIEKRPKNLDPERLQELKDNEVKPPMLISSLSELQHNIPRSMHPGNRLDAQNPNPNPNPQVSISPMDSSDDMFKQPMMWPSRLSVLGGTIQNLREVRTYESASALSLATFTSLLIEFVARLQNLVTAFERLSEKAKFKEAA >itb06g00850.t1 pep chromosome:ASM357664v1:6:1993677:1999419:-1 gene:itb06g00850 transcript:itb06g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGSHVRAHVHLPFLSRYYKYGAPNLPSIISSARLGAMADQPTVDSGTAEQLRSQFIQVLRSRRSPEVSLSVIPGKPVIDPLYQENPKPVFSEAMASCPKTNITNFKELLQEENLYLTTEEGDQGQLPVLILSMKESNKKKRPAIVFLHSTHKCKEWLRPLLEAYASRGYIAVAIDSRYHGERASSMTTYRNALVSSWKTGDTMPFIYDTVWDLIKLADYLTEREDIDPSRIGITGESLGGMHAWFGAAVDTRYTVVVPIIGVQGFRWAIEHDKWQARVNSIKAVFEEAQADLGKKEIDKDVVEKVWDRIAPGLASQFDSPYTVPIISPRPLLILNGEEDPRCPLAGLEIPKSKATQSCEDAHCPDHFKLIAQPGIGHQMTPFMVKEASDWFDKFLKP >itb06g00850.t2 pep chromosome:ASM357664v1:6:1993679:1999419:-1 gene:itb06g00850 transcript:itb06g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIYPPSSPLLASAQWLTNQPLTPAPPSNSALSSSKSSAAAVPLKAMASCPKTNITNFKELLQEENLYLTTEEGDQGQLPVLILSMKESNKKKRPAIVFLHSTHKCKEWLRPLLEAYASRGYIAVAIDSRYHGERASSMTTYRNALVSSWKTGDTMPFIYDTVWDLIKLADYLTEREDIDPSRIGITGESLGGMHAWFGAAVDTRYTVVVPIIGVQGFRWAIEHDKWQARVNSIKAVFEEAQADLGKKEIDKDVVEKVWDRIAPGLASQFDSPYTVPIISPRPLLILNGEEDPRCPLAGLEIPKSKATQSCEDAHCPDHFKLIAQPGIGHQMTPFMVKEASDWFDKFLKP >itb01g31240.t1 pep chromosome:ASM357664v1:1:35060717:35062585:-1 gene:itb01g31240 transcript:itb01g31240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MNNEAIFRVMLCWLAAAAVFTGIYTHSFKKTAATYIFGMFAICSMLLPDWEYFDRDVTHWLTPVTVDHDPRPESLGKSSSPARFRLQPVRMAVYTAVYGLAFYKWWNFIIST >itb09g06600.t1 pep chromosome:ASM357664v1:9:3794209:3795184:-1 gene:itb09g06600 transcript:itb09g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCQTISSFSSNPLVSAIVALYTLIFLYFPSPFRRLILFSPLLVSSSVLFFSVLRLRSSLRTARFASDRVQAEPAVQPSSLQAPRDCRNDVGGPGRVDPNPMYEDSFVEWNVRAPLEVIQEEYEEVEGEDDDVLMGKRDADVGAIERYASLSLCYPETDSDSCSSDGEWGSPGNDRFRWEGLDREELIEIKLSGNEVLEEDNLIEIDLSPATMNGGLLCDDEV >itb11g11360.t1 pep chromosome:ASM357664v1:11:8308550:8310001:-1 gene:itb11g11360 transcript:itb11g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKVICAFLFLLIASLGAEARNLLDTYGRTSRVLPPAALTSSPATREVSTSSPAAGKLQDDDNLLLMVTSNCDSDNLKNMGRPPGRRSPPPPKPDDPWEQKIIDDGRKTCSNNKYERPGGRSPPPAPKPEDPPGPMIINAFDGGRKTIMKGRHHLQSYLSLGSGQLSPPLAVVGAKAAKK >itb04g02000.t1 pep chromosome:ASM357664v1:4:1233495:1241621:1 gene:itb04g02000 transcript:itb04g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 4 [Source:Projected from Arabidopsis thaliana (AT3G60840) UniProtKB/Swiss-Prot;Acc:Q9LZY0] MPLPLVKGLKLEITWRNCKMFKTVKEVALQFTGSYQECNLHKICWLAVLVSNHTTLNTYFEVLEYGKYCSQAGHRKQDKTTATDRYSHSPAFRCVISRPFPTLSLTAFEFRRSTLSFVVCSLSSDTSRFLLPPELLTESSLFCSRRKGRIASDMYNRQNDQSLHMETTCCSLLSELQKIWDEIGEPEIERDKMLCQLEQECLEAYRRKVDQASRSCAQLRQAVAESEAELVRICGALGEQPVNVRQSSRSLKTELQAIMPLLEEMKKRKLERKHQFSEVLDQIDSVLKELGKSRKELQHIIALDESDLSLRRLEDMKSHLLSLQKEKSDRLKQVLDHLESLNSLCIVLGIDFKSTIGEIHPTLGDSSALKSVSTETIERLSAAIHKLREVKIQRLHMLQDLATTIVELWNLMDVPVEEQQLFHNVTRAIAASESELSEPNALSLDLLKSVEIEVSRLQEMKSSKMKEVLLKKRITLEELCRKAHLTIDDSIEFSDETLESGAIDPSHLLEQIEIQISKVKEEAFSRKEILEKVEKWLAACEEESWLEEYNRNDNRYNGGRGTHLMLKRAEKARALVNKIPGMLEALTSRAKGWERERGVPFLYDGVSILSMLEQYGLLKVEKELERQRQRDQKKLQGQLMAEQEALFGSKRSPSQSGKKNSVGGASNKRISVGGALLQTPHTERAAVSSHSLKKINPVKQQALANVTQNYVALSSGRRESSMHAKQPSCNKSNLQKTEPTQRKPLSPISSLSFNTTSANIMDKISESEELRETPASLSTTPIKNVSGIGANATPKTMPIPMPSTPASAASIAMQMAMTPAPVAATTPPIVGHANVEYSYEEMRAGFLIPRLH >itb07g22230.t1 pep chromosome:ASM357664v1:7:26705675:26706652:1 gene:itb07g22230 transcript:itb07g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLISIKDDRLRPGDYLIYDILIWNPSIKKVEALPLVTVPYRAPCRAYVDQHFGFGISNNMTWKIVMLLDIRSSDSRAIHQMTMVYSKDQSDSWSLRQINSVISCKNISGKSNDFYLKGRYYWLAETYSGNNEYFINNDEYLIWFDMNDEVFGTIELPSNLFIDEVTIMNETIALLVENSENSVCIDIWLMIENDNNTAWRKQASIDCAQLNMYNNIEIWTPIGIWNVGSELLVFLDRYPDDLELGQEHVGVPYFVSLDLITQETKKFSLSKERKSITMASNSTTGHFQVYNGRNIDIIEEWKHNNFEREAIYARVYYESLHSP >itb09g08520.t1 pep chromosome:ASM357664v1:9:5075007:5079438:1 gene:itb09g08520 transcript:itb09g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator STERILE APETALA [Source:Projected from Arabidopsis thaliana (AT5G35770) UniProtKB/Swiss-Prot;Acc:Q9FKH1] MSSSSPSAPSSPEGFGGGDGSGGEEEEEFEPGPSSSSSRSRMKAANGVWPEPFVEALAFQVAIDASRNIGRLAAGAALANMFQVCSTWRAVSRSDLLWQSLTGRVWNRRRRGIQHNTWRDEYIYWHQTANNFRMGRYIYTNLRFDPPPTDNVNGLRCCRVVLSDHHLAAGFSDGSVRLFHIPSRFHLSTFRPHYRDRLGRFSSAVSGIILSDTRLVFASQDGDIHVVVIGNPGLPRRAHLGHVVNDGALVDFTGCDLWWVGLYAGVPGRAFHVWDGESEELVFVGGTLTDPEAVMGWHLLTELTAPVGRVRVTSQETVVACTSLRLIVFDLRNQGFIIGEEEFPGGLMVGSFDADNESFMVADDRGVASIRRAEDLGETCRLNVRSSGGFEGVVLACMNGGYALMCAGGVIRVFETEGGRYVYSFRERLGDVHVIVGDDRHVAACTNDAIIHLWDFGAQ >itb13g12480.t2 pep chromosome:ASM357664v1:13:18668486:18672279:-1 gene:itb13g12480 transcript:itb13g12480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MAIVATLKGFDLFSNLPTTPPPSSSGDTHRNAQPPIPIPKYPPPLKSQNRSNNRPKPLQKPKNNSPGFKTHHHNSKYHKPVKPGEVISADGDRAVIVGESGVSYRLPGAPFEFQYSYSETPKAQPLAIREPAFLPFAPPTMPRPWTGKAPLKKSKRKIKLFEPLDSAAKLDGNDTKQYQYEMLKAYELGKFHVRPRKDVLGPPLTRAEIQELLKPAISCNRQVNLGRDGLTHNMLELIHTHWRRQPVCKVRCLGVPTVDMNNLCRCIEEKTGGKIISRAGGVVYVFRGRNYDHHLRPQLPVMLWKPATPVYPKLIQEAPEGLTKEEADEFREKGKKLLPICKLAKNGVYINLVKEVRNAFEGCPLVKIDCKGMHASDYKKLGAKLKELVPCVLLSFDDEQVLMWRGKDWQPRFKDVSRAFRSAVGVTDDTNHLGSSGMRWLYSNNSPTVFTLWDSNP >itb13g12480.t1 pep chromosome:ASM357664v1:13:18667552:18672310:-1 gene:itb13g12480 transcript:itb13g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MAIVATLKGFDLFSNLPTTPPPSSSGDTHRNAQPPIPIPKYPPPLKSQNRSNNRPKPLQKPKNNSPGFKTHHHNSKYHKPVKPGEVISADGDRAVIVGESGVSYRLPGAPFEFQYSYSETPKAQPLAIREPAFLPFAPPTMPRPWTGKAPLKKSKRKIKLFEPLDSAAKLDGNDTKQYQYEMLKAYELGKFHVRPRKDVLGPPLTRAEIQELLKPAISCNRQVNLGRDGLTHNMLELIHTHWRRQPVCKVRCLGVPTVDMNNLCRCIEEKTGGKIISRAGGVVYVFRGRNYDHHLRPQLPVMLWKPATPVYPKLIQEAPEGLTKEEADEFREKGKKLLPICKLAKNGVYINLVKEVRNAFEGCPLVKIDCKGMHASDYKKLGAKLKELVPCVLLSFDDEQVLMWRGKDWQPRFKDVSRAFRSAVGVTDDTNHLGSSGSSNEVQKQDTGIQSLSPRMMSLWQRAVDSGTAMLLGEINLGPDDLLKKVEEFESTSQATQHSYPALVLSSKDTRETKYEDDESEDDEYEEDDDFDDEDLISESPLPWGSLPIDSMVKQFSDEE >itb05g01040.t1 pep chromosome:ASM357664v1:5:879025:882780:1 gene:itb05g01040 transcript:itb05g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFLVLLLVLSAIVGFAPSAATSKTNNSSSSIAKPNCSDRCGNVMIPFPFGLREECALNSNFSITCNTSYHPPKPFITNTTAEVKDITVKGQLSVMKPINKICYNQTGGEVSSFESWPTPPTFYLNQTANKFITVGCDALALLIDYELQNQIEACRAFCDGLQEVKNGSCETGIGCCETGTTNVARNAFVEVGSLQKYTNTTHVVIPCSYAFVVQKEEFIFSSTMLTREWGVEKLPVVLDWIISNQTCNTACQGNTTCVVAINDTASSEGYRCACKEGYEGNPYLSGCQDIDECEDGEKNNCSQNAICSNTKGSYECSCNKGYHGDGKGALGCISSSHQPVMLVLGIALGTITLLIFGFCLYLAYLRRKSIQMKDKFFMENGGLILEQKIAQGSASSCTTRIFTADELKRATNNYDQIRIIGQGGFGIVYRGHLFDGQIVAIKKAKMMDPTQVEQFINEVIVLSQINHRNIVKLFGCCLETEIPLLVYEFINNGTLSEHLHSKNKASKMSWPIRLRIATETAEVLSYLHTAASPPIIHRDIKSANILLDDYYTAKVSDFGASRLVPQDQTQLTTMVKGTFGYLDPEYLQTNHLTEKSDVYSFGVVLVELLTSRSPLSFYGPEKERHLSQYFLSLLKGNQLFKILDDNIVCEGNTKELQEVALLAKRCLNVKADDRPTMKDVAIELGGLRRAAKHQLTNNSETSLESQTLHTKQPMPIGYDATFSTTTTTEYDSLKHHIKLPVTAGR >itb02g21640.t1 pep chromosome:ASM357664v1:2:20647755:20651371:1 gene:itb02g21640 transcript:itb02g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSLTVSFTQSNKIINNNEAPVPWNPAKAVSGLGERWQRWASSIPTTSVDPAYSISGDAVRRCCRLHSASTAQRQCLLPCTDCRCLLLPCVDTGSPRRPLLRRTQQLLRLSYRLVDPS >itb05g01510.t1 pep chromosome:ASM357664v1:5:1260469:1261234:1 gene:itb05g01510 transcript:itb05g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLNLQLHLDQPEASSSGAPPPCFDTVLRLSVTPHAPRTEQGFKEDAPPLANLHLHKDFINQALKRNQISEIFRIKACKHSYCFDCISKFVASKLQQNVPQINCPVSGCRGVVEPHNCRSILPPQVFDRWGDALCEAFVLASEKFYCPFKDCSTLLIDEKIEVVESECPECCRLFYTKCKVPWHAGIVCSEFQKLHENEREEEDILQLNIANQK >itb15g03160.t1 pep chromosome:ASM357664v1:15:2007156:2010937:1 gene:itb15g03160 transcript:itb15g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKRKLDEGAWNGQVDSSISPPAAEELRSLLDPLPKPQLVDLLAKLGSQYPSIAEEIKNVARADPALRKLFVRGLAWDTSSETLCAAFEEHGEIEEGAVIFDKATGKSRGYGFITFKDMESAQRALKAPSKMIDGRMAVCNLASEGLSSSSITPDQAQRKLYVGGLSPETTTEMLLNFFRRHGDIEEGSVAYDKDTDKSRGFGFVTYKTVESAKKAIDDPQRMLGGRNITVKLADNYKGKVTQVQAPAAMVPVPLAMTPGYLQTQKPPGSEAPVGYTYPQSMAAYPNATYSSPLAVPSPYPVQPQIPYPQYAAQYAAKRDPPPTLPSFGGYPYYMPKP >itb06g06400.t1 pep chromosome:ASM357664v1:6:9050318:9052370:1 gene:itb06g06400 transcript:itb06g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSRYSSLALLFFFLVSWTAVASSDGPFIIAHKKATSTRLKSGSERISVSINIYNEGSATAYDLSLKDDTWPKSIFDIVTGNTSMSWERLDVGGALSHSFELEAKAKTIFYGPPAIVTFRVPTKAALQEAYSTPILPLDLLAERPTEKKFDLAKVWFLVIIIMDLVLNAYEHMHTSVCVITLNREGGSVVLGQRAHFFPPILLLLAIWQHVASIMST >itb01g25710.t1 pep chromosome:ASM357664v1:1:31071490:31079262:-1 gene:itb01g25710 transcript:itb01g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAATTNRGGVCTENEDSVVTLDQIPCWSNIEYRYSYDNEDPASLPISFNPDPLASTSELENNANGMVSKFPVNIDLNSKIYLWQGDPWNLEVDAVVNSTNENMDESHSSPGLHAAAGPSLAELCATLGGCRTGMAKVTKAYDLPARRVIHTVGPKYSVKYHTAAENALSHCYRSCLELLIENGLQSIATGCIYTENKNYPREPAAHVAIRTLRRFLEKQKDRIKAVVFCTKSSSDTEIYKRLLPLYFPRDKQEEEIAIAKLPTDIGDENGETVIDERKIRIKPLPKTKKSSAREPQTTLDLPSSDVGLTRSSSNLVSYLDPAFMSVMKDPDQRKEEQWEKTAQEQNRWNFLRMLGYGDIGGPVLSAAEEYSLHSRYLAKANSLNLSDIAETKIVYRGGVDNEGRPVMVIVGAHFLLKCLDLERFILHVVKEFESLTLKPYTIVYFHSAASLQQQPDLGWMKRLQEILGRRHRHNLRAIYVLHPTIGLKAAIGALQLFVDKEVWKKVEYVDHLLQLFRHVPREQLTIPDFVFQHDIEVNGGKGLILDPRTKYVYQRV >itb13g17390.t1 pep chromosome:ASM357664v1:13:24380247:24387551:-1 gene:itb13g17390 transcript:itb13g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADLGILRGGSLRASLGGSMRGSLRANSNSIWRSTGAEVFSRSSRDEDDEEALKWAALEKLPTFDRLRKGLIFGPDGPAAEIDINNLGYQERMALLDRLVKVADEDNEKFLSKLKNRIDRVGIDMPTIEVRYEHLNIEATAYVGSRALPTFINAFTNIFEDLLTSLHITKSKARKLTILNDVSGIVKPCRMTLLLGPPGSGKTTLLLAMAGKLDKDLKVSGSVTYNGHKMNEFVPQRTASYISQHDLHIGEMTVRETLEFSARCQGVGSRYEMLAELSRREKAANIKPDPDLDVFMKAAATEGQEANVVTDYILKILGLDICADTMVGDQMLRGISGGQKKRVTTGEMIVGPAKALFMDEISTGLDSSTTYSIVNSLRQYVHILKGTAVISLLQPAPETYNLFDDIILLSDGYVVYNGPRELVVDFFESMGFRCPDRKGVADFLQEVTSKKDQQQYWVRRDEPYRFITSKEFAEAYQSFHVGRKLAEELSVPFDKTKSHPAALTKEKFGIGKRELLKVCTEREYLLMKRNSFAYYFKFFQLVIIALITMTLFFRTKLNKDDLVNGGLYSGALFFGVIMIMFNGMSEVPMTIYKLPVFYKQRDLLFFPSWAYALPSWILKIPITLVEVGLWVFITYYVIGFDPNIGRLFKQYLLLIMVNQMASGLFRFISSVGRTMGVAMTFGAFSVLLQVALGGFVLARNDVKKWWIWMYWSSPLMYSQNAILVNEFKGHSWGKVWNTTTGEILGDAVVESRGYFAESKWYWIGFGALIGFTVVFNISYALGLHFLNPYGKPQATLPDDDENENDAGRQGGENATSESAEPKQKGMVLPFEPHSLTFDNIVYSVDMPVEMKEQGATEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQDTFARISGYCEQNDIHSPYVTVHESLVYSAWLRLPDSVDAKTRMMFVNEVMELVELTPLKSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRQSCHLIKYFESINGVAKIKDGYNPATWMLEVTTSSQELALGVDFTDHYKNSDLCKRNKSLITELSTPRPGTKDLYFPTKFSQSFFSQCLACFWKQRLSYWRNPAYTAVRFFFTTFIALTFGTIFWKLGKKTEKRQDLINAMGSMYSAVLFLGVQNSASVQPVVSVERTVFYREKAAGMFSALPYAFAQVAIEIPYVFAQSAVYGLIVYAMIGFEWDAGKFFWYLFVMYMTLLYFTFYGMMSVAITPNENVASIVSAFFYGIWNVFSGFMIPRPRMPIWWRWYFWACPVSWTLYGLVASQFGDLETPVTDANQTVKELLDDFLGFKHSFLGVVAAVLVVFPVMFAVTFAYAIKVLNFQKR >itb01g28330.t1 pep chromosome:ASM357664v1:1:32816655:32819460:-1 gene:itb01g28330 transcript:itb01g28330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLLILSVIVLLLLWESAIAATITIPVAFGDDGDGICKSMVESQGYTCQEHKDYWEWSWDELVAYDLPATLQYVHDQTGQSMHYVGHSLGTLIAFSALSEHKLLNLLRSAALLSPIAYLAQLRSSIAKFAANAYIAEALYKTGMREFDPREEAAINVLEDICKKTNNNCSDLMSSFTGPNCCVNSSRTIILLEHEPQSTATKNLIHIAQMVREGSITMYDYGSENENNKHYGQSSPPAYAMANIPDDFPLFLSYGGQDYLSDVNDVKILLDTLHNHNGDKLLVKFIEDYAHADFVFGVNAKQLVYDDVMNFFQDY >itb07g14990.t1 pep chromosome:ASM357664v1:7:17692734:17693513:1 gene:itb07g14990 transcript:itb07g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASQALSFFFLLIICTLICKKTIKMFPGSTFLNYVVFVATQLKWAWDFLLLQSFCQARPGAALFKIDVAAVSGGAGAELGVRRFEGGAGEVECAVCLCKIEEGEEVRDLRCEHVFHKVCLDRWLGTGRSTCPLCRNHVKPPRAAALDELHRHQEVIVFDVFGGRRRDRCTWWLR >itb06g17350.t2 pep chromosome:ASM357664v1:6:21213270:21215970:1 gene:itb06g17350 transcript:itb06g17350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 1 [Source:Projected from Arabidopsis thaliana (AT5G20850) UniProtKB/Swiss-Prot;Acc:P94102] MEQQHRNQQKSVQERQQDEAEEIQHGPFPVEQLQESGISALDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSKELDKVLEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEL >itb06g17350.t1 pep chromosome:ASM357664v1:6:21213256:21215982:1 gene:itb06g17350 transcript:itb06g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 1 [Source:Projected from Arabidopsis thaliana (AT5G20850) UniProtKB/Swiss-Prot;Acc:P94102] MEQQHRNQQKSVQERQQDEAEEIQHGPFPVEQLQESGISALDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSKELDKVLEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERVCKVVSSPCLAEAEARFQISPEGVTDVKD >itb06g18700.t1 pep chromosome:ASM357664v1:6:22305583:22307905:-1 gene:itb06g18700 transcript:itb06g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANQPERVQSLAQKGEAVVPPIYVQPPENRPRANCNNSTPPVPTIDLSAPTELLIGELRQACKEWGAFHVVNHGVAVELLDDARRVGRSFFHDYPMAEKARYSCDPNSPASEGYGSRMLVASNDTVLDWRDYFDHHTLPLSRRNPSRWPHFPPDYREVVAQYSDCMKVLAQRLLGLISKSLDLPSSCIEDAIGEFYQNITFSYYPPCPQPELTLGLQSHSDFGALTLLIQDDVGGLQVFKDGEWVTVHPLSDAILVILADQTEIITNGEYRSSQHRAITNAERPRFSIATFHDPAKTRKISPALHPPKYREVMYGDYVSSWYTKGPEGKRNIDALLL >itb15g12400.t1 pep chromosome:ASM357664v1:15:10323140:10329235:1 gene:itb15g12400 transcript:itb15g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSYSTLSWCTVSIPKSSSTSPPSLSLYPVNARGLTGISLSSSTRLQAKFEKFQGQENLEQDFTDPSSLDSQSQPSPEEEEAEEEDDSCLPNDLEGAVQQSGQAGATFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFLNTLIEETGCQRVKAIFPDAGAAALLKYQWKDAAFGFSSLGDRKPVENEDEIIVMVVPDYQMLEYVERIASNLSDDPPRPLIMWNPRLVSEDVGVGINVRRLRRNFLSTFSVVYSMRPFPSGAVFRCYPGLWKVFYDDKDRLGRYLLAKEQISRPTTEELEIIFGEVDEKQEKGISLFDQAAGIFSSINRFMKVISR >itb15g12400.t2 pep chromosome:ASM357664v1:15:10323140:10328043:1 gene:itb15g12400 transcript:itb15g12400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSYSTLSWCTVSIPKSSSTSPPSLSLYPVNARGLTGISLSSSTRLQAKFEKFQGQENLEQDFTDPSSLDSQSQPSPEEEEAEEEDDSCLPNDLEGAVQQSGQAGATFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFLNTLIEETGCQRVKAIFPDAGAAALLKYQWKDAAFGFSSLGDRKPVENEDEIIVMVVPDYQMLEYVERIASNLSDDPPRPLIMWNPRLVSEDVGVGINVRRLRRNFLSTFSVVYSMRPFPSGAVFRCYPGLIVTLPPSQPDCGRCSMMIRIGLAVIS >itb02g01510.t2 pep chromosome:ASM357664v1:2:835217:841596:-1 gene:itb02g01510 transcript:itb02g01510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIGPYICGEWDLGGFPAWLLAVEPAIGLRSSDPAFTNLVDRWWGILLPKVTRFLYKNGGPIIMVQIENEFGSYGDDKEYLHHLVKLARKHLGDDVVLYTTDGGTRETLEKGTIPGGDVFSAVDFSTGDDPWPIFKLQKEFNAPGKSPPLSSEFYTGWLTHWGETAAKTDAAFTAAYLEKILAGNGSVVLYMAHGGTNFGFYSGANTGSDESDYKPDLTSYDYDAPIKESGDVDNAKYQALREVIAKYSAAPLTSVPSNNEKKAYGIIKLQKTQSLFDVINFKDLDGMIASKNPMPMESLGQMFGFALYVSNFTAKGNGSVLSIPKVHDRAQVFLSCPSDGNEEWPRYIGTITRWSNIPIDLPLTKCTSKQRLSILVENLGRLNYGPYIFDQKGILSSVYIDGRPLNKWRMLGVPLHNLDDDQVVKSIIPNVHANILKASARSGRKKLRNKIKRDPEPSFYSGQFTVDEVKDTYISLRGWSKGVAFINGFNIGRFWPSFGPQCNLYVPAPILKEGENLVAILELESANPDHSVTSVEQPDFTCGPSSSYVHQL >itb02g01510.t1 pep chromosome:ASM357664v1:2:835214:842431:-1 gene:itb02g01510 transcript:itb02g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALKRTVSPSIGAPAVHLRLRPATMARTRRLGTTILIFLLYIFAFGAFAPVFAPLPSISSPSSLSKSSDGDRKFEIADDMFWKDGRPFRIIGGDVHYFRTLPEYWEDRLLRAKALGLNTIQTYVAWNLHEPRQGQLIFEGIADIFSFLSLCQKLDLLVMLRIGPYICGEWDLGGFPAWLLAVEPAIGLRSSDPAFTNLVDRWWGILLPKVTRFLYKNGGPIIMVQIENEFGSYGDDKEYLHHLVKLARKHLGDDVVLYTTDGGTRETLEKGTIPGGDVFSAVDFSTGDDPWPIFKLQKEFNAPGKSPPLSSEFYTGWLTHWGETAAKTDAAFTAAYLEKILAGNGSVVLYMAHGGTNFGFYSGANTGSDESDYKPDLTSYDYDAPIKESGDVDNAKYQALREVIAKYSAAPLTSVPSNNEKKAYGIIKLQKTQSLFDVINFKDLDGMIASKNPMPMESLGQMFGFALYVSNFTAKGNGSVLSIPKVHDRAQVFLSCPSDGNEEWPRYIGTITRWSNIPIDLPLTKCTSKQRLSILVENLGRLNYGPYIFDQKGILSSVYIDGRPLNKWRMLGVPLHNLDDDQVVKSIIPNVHANILKASARSGRKKLRNKIKRDPEPSFYSGQFTVDEVKDTYISLRGWSKGVAFINGFNIGRFWPSFGPQCNLYVPAPILKEGENLVAILELESANPDHSVTSVEQPDFTCGPSSSYVHQL >itb03g19020.t1 pep chromosome:ASM357664v1:3:17155929:17157212:-1 gene:itb03g19020 transcript:itb03g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTKVKRGPWSPEEDTTLKSYLQKHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGGFTDEEDQIILTLYTNIGSRWSVIASHLPGRTDNDVKNYWNTKLKKKLAAAVAATNKPDVFPQTVPTNFNPTTYYAVQEMVGSQPHQFPLPNLMEVQENCTTSTANNSSYNPQVSVGCGGYSTSFAYNNNENSFGTSWFGNGGIEEDVFRMDMMSSSTGLSSSSSPSSSSPSCFDHLMMNYGFDFQDNFAPPNITHNFTNHPSNGFQY >itb02g26160.t15 pep chromosome:ASM357664v1:2:26935466:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t3 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t12 pep chromosome:ASM357664v1:2:26935893:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t9 pep chromosome:ASM357664v1:2:26935893:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t14 pep chromosome:ASM357664v1:2:26935466:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t7 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t13 pep chromosome:ASM357664v1:2:26935466:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t11 pep chromosome:ASM357664v1:2:26935893:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t2 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t6 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t8 pep chromosome:ASM357664v1:2:26935555:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t5 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t10 pep chromosome:ASM357664v1:2:26935466:26941248:-1 gene:itb02g26160 transcript:itb02g26160.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t16 pep chromosome:ASM357664v1:2:26935466:26941239:-1 gene:itb02g26160 transcript:itb02g26160.t16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t4 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb02g26160.t1 pep chromosome:ASM357664v1:2:26935369:26941249:-1 gene:itb02g26160 transcript:itb02g26160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAGLEELKKKLVPLFDAEKGFSSGSTLDPSDSYMLSDGGTLNLLSQSYGVYNFNELGLQKCTSWPVDDADHGEKTYRCASHEMRVFGAIGSGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIRLRKRIPEPILSSMVQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYSANEGPVNLMLQILDDPSPTLLRHEFSPEFCSFVDACLQKNPDTRPTAEELLSHPFITKYVDSGVDLGAFVRSIFDPTQKMKDLAEMFTIHYYLLFDGSDDLWQHTRTLYRESSIFSFSGKESVGPNDILTTLSSIRSTLAGEWPPEKLVHVVEKLQCRAYGQDGVAIRVSGSFIVGNQFLICGDGLQVEGMPNFKDLSLDLPSKRMGTFQEQFMIEQGSAIGRYFITKQELHIAQ >itb08g08550.t1 pep chromosome:ASM357664v1:8:7523449:7524171:1 gene:itb08g08550 transcript:itb08g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPTSTTPAPLLCSVFILIVALSSSSSDDLCTPFSCNEFLGPEIKFPFRVTGKQPERCGYPGFNLSCNDFGETVITLPETPGSFVVNDIDYASQIIRISDPDNCLSQRILNLSLAGSPFRDPDDMRYTILSCLIPLPMLVVACVGNMSKSVTAVPDFAEAPMECVVAKNVSIPRIVERDEIWREGVVTRLELRWEKPDCRDCGRLNKVCGFSTDQGLEIGCSDPPTNPSKGDSIPYHIF >itb01g31430.t1 pep chromosome:ASM357664v1:1:35186349:35187737:1 gene:itb01g31430 transcript:itb01g31430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb14g15750.t2 pep chromosome:ASM357664v1:14:19085406:19092439:-1 gene:itb14g15750 transcript:itb14g15750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVHQHRESSSGSIHKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVSENGLMRQHLRTAPTTTDASCESAVTTTHHSLRDASNPAGLLSIAEETLAEFLSKATGTAIDWIQMPGMKPGPDSVGIFAISHSCSRVAARACGLVSLEPTKIIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGTGAGPNASAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYSLGRQPAVLRTFSQRLNRGFNDAINGFNDDGWSVLSGDGAEDVTVAINSTKSLGTTSNTLPMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSATALKTNSCAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSLGHENAFISGDIHLLQMCRGIDESAVGACAELVFAPIDEMFPDDAPLLPSGFRIIPLDSISNDAQDTLNAQRTLDLTSSLEVGPATNTAQNASSSSCAARSLLTIAFQFPYEDNLQENVATMARQYVRSVISSVQRVAMAISPTGLGPTLGPKVSPGSPEALTLAQWINQSYSYHMGAQLLGTDSVGAESVLKNLWHHHDAILCCSIKSLPVFIFANKAGLDMLETTLVALQDITLDKIFDESGRKALFAELAKIMQQGFAYLAGGICMSAMGRHISYEQAIAWKVVADDESTVHCLAFSFINWSFV >itb14g15750.t1 pep chromosome:ASM357664v1:14:19085406:19092439:-1 gene:itb14g15750 transcript:itb14g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVHQHRESSSGSIHKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVSENGLMRQHLRTAPTTTDASCESAVTTTHHSLRDASNPAGLLSIAEETLAEFLSKATGTAIDWIQMPGMKPGPDSVGIFAISHSCSRVAARACGLVSLEPTKIIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGTGAGPNASAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYSLGRQPAVLRTFSQRLNRGFNDAINGFNDDGWSVLSGDGAEDVTVAINSTKSLGTTSNTLPMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSATALKTNSCAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSLGHENAFISGDIHLLQMCRGIDESAVGACAELVFAPIDEMFPDDAPLLPSGFRIIPLDSISNDAQDTLNAQRTLDLTSSLEVGPATNTAQNASSSSCAARSLLTIAFQFPYEDNLQENVATMARQYVRSVISSVQRVAMAISPTGLGPTLGPKVSPGSPEALTLAQWINQSYSYHMGAQLLGTDSVGAESVLKNLWHHHDAILCCSIKSLPVFIFANKAGLDMLETTLVALQDITLDKIFDESGRKALFAELAKIMQQGFAYLAGGICMSAMGRHISYEQAIAWKVVADDESTVHCLAFSFINWSFV >itb14g15750.t3 pep chromosome:ASM357664v1:14:19085507:19091541:-1 gene:itb14g15750 transcript:itb14g15750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVHQHRESSSGSIHKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVSENGLMRQHLRTAPTTTDASCESAVTTTHHSLRDASNPAGLLSIAEETLAEFLSKATGTAIDWIQMPGMKPGPDSVGIFAISHSCSRVAARACGLVSLEPTKIIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELLYTQIYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGTGAGPNASAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYSLGRQPAVLRTFSQRLNRGFNDAINGFNDDGWSVLSGDGAEDVTVAINSTKSLGTTSNTLPMLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSATALKTNSCAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSLGHENAFISGDIHLLQMCRGIDESAVGACAELVFAPIDEMFPDDAPLLPSGFRIIPLDSISNDAQDTLNAQRTLDLTSSLEVGPATNTAQNASSSSCAARSLLTIAFQFPYEDNLQENVATMARQYVRSVISSVQRVAMAISPTGLGPTLGPKVSPGSPEALTLAQWINQSYSYHMGAQLLGTDSVGAESVLKNLWHHHDAILCCSIKSLPVFIFANKAGLDMLETTLVALQDITLDKIFDESGRKALFAELAKIMQQVLLSFNPPSTFFVTI >itb07g20540.t1 pep chromosome:ASM357664v1:7:24945471:24946331:1 gene:itb07g20540 transcript:itb07g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDEGGCDSKVLDGRNARKRDEKHGCSRSSRKKISKIKKWRKEYISYAAKMRGSSRNLGKDQGGELMADERERVMELLSKFRAICKNLFEENKTQERERKVSEPVMRIDILAAREVKKMRSLYTERTNSPGPIPGVEVGDKFRYRMELILVGLHSHLQKGIDFVTNGDGERIATSVVASASGGYANETSDPNVLIYCGQGGDMVSGVQHEDQSLNNPGNYALKNSIRVKNPVRVIRGTKEKASSFETTFVYDGLYEVVEFWRDTSCSGKVLYKFKLVRITTGSS >itb06g09420.t1 pep chromosome:ASM357664v1:6:13709283:13711413:1 gene:itb06g09420 transcript:itb06g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNLIFLIPNTKILNPKSYFTPKIVKSLIPSGLISRKCRLAGLRQRQRRTPQVSSEAHAGRRSSPWKPTPSSPSPSAILPCRSASPVILPLPSTKKPSLPTMKPSLPTTKNKLANDLECEDLGSICPTDTMVLSNYIEEIIVSAISYYLLNNRDPEYQNGRLIISSKS >itb09g07080.t1 pep chromosome:ASM357664v1:9:4100833:4107885:-1 gene:itb09g07080 transcript:itb09g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEISNGGAEPLAAYPNGHVKPENPNSAAASKKSKESDRRRRRRKQKKNNKASAAANGEDTDAAAEDANNTAGDNADENSYSQKSLEQVEVEYVPEKAELDGALDEEFRKVFEKFNFTEAAAEEDDKKDVPAADAASKKKADSDSDEEEQDNQQKEKSGISNKKKKLQRRMKIAELKQICFRPDVVEVWDATASDPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQSYNEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGTLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGAKFGYHAGGWGKPPVDEYGRPLYGDVFGVLQQEQPNYDDEPVDKTKHWGDLEEEEEEEEEEEIEEEMEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEEKIAPGTLLGTTHTYVISTGAQDKSGAKRVDLLRGQKSDRVDVTLAPEELELMDNVLPAKYEEAREEEKLRNQREDFSDMVAENEKKRKRKMQEKDSKSKKKDFKF >itb05g15050.t1 pep chromosome:ASM357664v1:5:22397288:22401202:1 gene:itb05g15050 transcript:itb05g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVYNGHRGGGGEAVIEIGRPVNFTGGLEFSSLTYTVTKKKKDENGSWVSQEVDLLHRITGYAPKGCVTAVMGPSGAGKSTLLDGLAGRIASGSLQGRVSLDGADISPSIIKRTSAYIMQDDRLFPMLTVYETLMFAADFRLGPIPRADKKNRVEKLIDQLGLSPSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPPLLFLDEPTSGLDSTSAHSVIEKVHDIARAGSTVILTIHQPSSRIQLLLDHLIILARGQLMYQGAPKDVAQHLGRMGRKVPKGENSIEFLIDVIQEYDQTEHGVEVMANFALTGKKPPALDGEEMSVSTVVPSPAPAQRWLGGAGQGGDKSGKRLHLQAGAKDDDRNFDRSLRSNNWNTSQSWSTSQSGIMKAFGLTPSRRSTDGRTPNPMSSSPGYYAYSSEILPSTPTPHSSDYTVNENDYRTPEAARANQHYHHHHHHQHLAPKFANSFLSEAWILMRRNFKNIRRTPELFLSRLVVLTVMGIMMATMFTHPPKSMQGITDRLSFFIFTVCLFFFSSNDAVPAFIQERFIFIRETSHNKYRASTYTIAGLITYLPFLLLQAAVYAAIVWFALDLRGPFHYFLLVLFMSLLSTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFLNSHDIPRYWKWMNKVSTMTYPYEGLLMNEFQTDESFGDDPFGRPLLGNQILESLHIERESGKKMVNVYIMIGWAVIYRLLFYIVLRFFSKNQRT >itb10g18720.t1 pep chromosome:ASM357664v1:10:24579194:24582206:-1 gene:itb10g18720 transcript:itb10g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPLLQYSSLRRVHAPPPQPLSLCPLPEHAEVTIPATPPPNGPPMTPSEFKEKLIFGSPRFASTTTPRAPPNLDALNLNNASSPRSSSSSSSSSSSDQRSNHDHSPWPANPKDKSRAKAHLHRCRTAPAMATINDVHHKAPPPPQPQFGHQSIVRHSVVLLIIYLALGVAIYSFFRENFTASETHPVVDAIYFCIVTMCTIGYGDITPDSPATKLFSILFVLVGFGFIDILLSGMVGYVLDLQENYLLKTLKCKGAHDPGSYIIDVKKGRMRIRTKVGLALGVVVLCIGVGVGVMHFVERLGWLDSLYLSVMSVTTVGYGDRAFKSMPGRVFASVWLLVSTLAVARAFLYLAEARVDKRHRRMAKWVLDQDMTVAQFLAADIDNNGFVSKPEYVIYKLKEMEKVSEKDILLICRQFDKLDPGNSGKITLADLMESSQ >itb11g02160.t1 pep chromosome:ASM357664v1:11:1085047:1086125:-1 gene:itb11g02160 transcript:itb11g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTGKEETEFKVVPETITLCVNNCGVTGNPATNNMCQKCFSASTAAVGSSSAAIHHKFGEKQPRSGFRRLSPERTSPDLKRREDRTVAIGEKSVEEEEENRSPPAKREVNRCSGCRRKVGLTGFRCRCGELFCGEHRYSDRHDCSYDYKAAGRDAIARENPVVKAAKIVKV >itb01g35530.t2 pep chromosome:ASM357664v1:1:37686145:37689704:1 gene:itb01g35530 transcript:itb01g35530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRLGSSKQMAKQLAFSGLLGNKAQFNPDFYNWNRVKVRYCDGSSFTGDVAAVNPKTNLHFRGARVFLAVMEDLLVKGMKNAENAILSGCSAGGLASILHCDSFKALLPMGTKVKCLADAGYFINALDISHAPHIEEFYSDIVQTHASAKNLPLSCTLRLKPSLCFFPQNVAQHVRTPLFIVNAAYDSWQIKNILAPGVADPLGTWHNCKLDILKCTPKQLLVMQGYRLQFLRALFGIGPSSSRGYFINSCYAHCQTEVQETWFRNDSPRLANKTIAKAVGDWFYDRAPFQKIDCPYPCDKTCHNRVFDTAEHPLI >itb01g35530.t1 pep chromosome:ASM357664v1:1:37685298:37689704:1 gene:itb01g35530 transcript:itb01g35530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVGLQQWLRALVLLVVLLRTASSLVDITYVLSAVAKGAVCLDGSPPAYHLDRGYGTGINNWLIQLEGGGWCNNVTTCLSRMNTRLGSSKQMAKQLAFSGLLGNKAQFNPDFYNWNRVKVRYCDGSSFTGDVAAVNPKTNLHFRGARVFLAVMEDLLVKGMKNAENAILSGCSAGGLASILHCDSFKALLPMGTKVKCLADAGYFINALDISHAPHIEEFYSDIVQTHASAKNLPLSCTLRLKPSLCFFPQNVAQHVRTPLFIVNAAYDSWQIKNILAPGVADPLGTWHNCKLDILKCTPKQLLVMQGYRLQFLRALFGIGPSSSRGYFINSCYAHCQTEVQETWFRNDSPRLANKTIAKAVGDWFYDRAPFQKIDCPYPCDKTCHNRVFDTAEHPLI >itb07g24520.t1 pep chromosome:ASM357664v1:7:28792634:28799157:-1 gene:itb07g24520 transcript:itb07g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQSPMHNDLRPLNICRTIPEDPRISPATCSGRPIEGFFAATQHRDGSPGSSIPMLYIPGNANDARFVGLGYSNGPPGVATWVQQVVPAAPSGVVPGTPGFNPNSNFGPNCGSDHTSDEGGEDSAPVRIVKFLCSFGGKILPRPSDGTLRYVGGQTRILSIRRDVSFSDLVKKVTDTCGQDVAIKYQLPDEDLDALVSISCPDDLDNMMDEYQKLAERSSDGSAKLRMFLFPASEMDSPSVMQFGDLQDTGQRYVEAVNGITDGGIARFGSTASAVSTQNSDLSSSGEVLDFSCHVHSEITRSPSTDAISPSATSALSPDSASRMVYTDTTPAIYANTSAAPFGIPMVRSGLPGTAFAAPEHASKKSVPVTLLQQQQQQQTGYDLKQPSVTFPMSYVDPQREALSHADYVHSTSQMSFPTQLMGTIGPVFTQQHITAGATPQQFVPAVHTTMTSHVSMNPNLVPRFQPQQTRLEQHPSESTVGQQFVQFSRDQGCNTYQPQLPTTMFGGPYGWHQIPHPQQVAVSEGWAGHPVTTAPEATTRYDDCQMCQKSLPHAHSDTAAQNKSGSPVNSISDSSSGQNLELPTAVTCGTILECTNEQGAAVAQRFIGHMDREIGQTLAEGTGVLESVENPEHPKVAVPLGVMGLTTGVPNLCGPFMGTVAQSSSVNAPEQPFVPMQYQVKQEVVANKAVPDDLLTAGMRLPTTESLILESPNGYTGHIPMMRPKEDNLESTNAYEHLKQIEGRMENLRIQRAEILANSEQNKSLVDNFRKNEFLESRSQQVGGREVFIDSTFSKNTVLDTNHLKPYEMSHSPRNEPFYFQTMRAQEPYEAPQPSRLGDSNVFVHSKHGVYHLAPDEIPLGSCPFSGLESTHPKERVPLFNEHLLEPRGIELVSSDGNTTSVSPSFKLGDAQESSNSLFSNQDPWSTQHDAHFPPQPNKLQVRKEPVDAKVLKDNSGVSCLGSNDELPIGSLGGLGAEMQLNARVSQLPGNLDIAIEHTLSNKGSAEEMIKQEIQAVAEGVATSVLHSSMSSDRNLPIHGRSEPASTGERNGEDQIVQGERQYRDKFEEIKTKCPVRENFGFPVSDDIGHLQIIKNSDLEEIRELGSGTFGTVYHGKWRGTDVAIKRINARCFAGKHSEQERMRNDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRTALQKSERNLDKWKCLLISMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVCEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPTVPESCGADWKSLMERCWSAEPSERPSFTAIANELRAIGSKLQKEQQPIPSKQT >itb06g05910.t1 pep chromosome:ASM357664v1:6:8610983:8613993:1 gene:itb06g05910 transcript:itb06g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSVHKDAETPMKLRIVVGSKNSMAVNPSPVKHERSTVNGVDCKVADHHPPISLTSPSRLATASLDFGSKEETFFDSQPYLESDCEDDFYSVKGDFTPSRGNTPVHQTISAGALKVNGSSFVERAAAISVPQTQISPTEKKKRLAELFKESLGGDQEFNALDAVKELNGGVPAKSAVGTPYSSACSNTTPSQELKHAPKSAKAGQCCLPKLLSRRSSFNERKKVTSPAHSAG >itb04g28070.t1 pep chromosome:ASM357664v1:4:31905392:31906321:-1 gene:itb04g28070 transcript:itb04g28070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSVSSPLPAPVTGEISREDSGDTVKSIFHNILSYNDNVMLAAVISLLLVILFVLLLHVYARWFLTQSRRRSGGGGSVEVPRRRFRSFHVDSTFPDSPEKKGLEKSAISEIPRFVYGGKGGNSGDGSGMECSICLSWFGNGDVVRKLPKCGHAFHAECIEMWLFSHSSCPFCRAPVVCGHGGAAGTSLGFNSAVPGGGGGGGGNATSLQIVVESVPKQDRPENGAAAAEAEAEGNSLPVSSSFSSPPSSSAGG >itb09g25610.t2 pep chromosome:ASM357664v1:9:25720949:25721950:-1 gene:itb09g25610 transcript:itb09g25610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNFNSNVVLSAIETILNSEKVVDKVATEDMLEEFDLKFRELSLKIQHLCFELTSKSSSIIDRHLTTICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSGQRYRKGSTKQLVIVTQRANPTTSNDPNPIDNLIQCVMDLTKCIVEINQSSSYSLPQSIISVLPFATYWVGRTIACSVAYCACLPMANIK >itb09g25610.t1 pep chromosome:ASM357664v1:9:25720325:25721952:-1 gene:itb09g25610 transcript:itb09g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNFNSNVVLSAIETILNSEKVVDKVATEDMLEEFDLKFRELSLKIQHLCFELTSKSSSIIDRHLTTICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSGQRYRKGSTKQLVIVTQRANPTTSNDPNPIDNLIQCVMDLTKCIVEINQSSSYSLPQSIISVLPFATYWVGRTIACSVAYCACLPMANIKFESELNIITIKIKLVPQH >itb06g04750.t1 pep chromosome:ASM357664v1:6:7539103:7541438:-1 gene:itb06g04750 transcript:itb06g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRSIVYTSRVPPPFPKRQSPKSLTQPSKTRDTTTTTLVSGPQPRRRQTSLHSPLSTLHSPPLPTTKDKAAARESETPYKQRSCCRRRTDERAKLSPCWFSLITGIASHVHLKQLCVLNVVLLRMKILEANAGALTNFEVLDFLRSRGAGNDATRVMVPVLPSEFKVYDYLERTAACNQTREIIEEFLAKCKKFNLAKAEILNISNIRPSSLVEIDTIIEECDTRFGENEETINELIETITQVLPPPPSEMNTDEQVVEDTEAAEDAGTDPPTEMETDAQAAVPDGETLEATK >itb09g13040.t2 pep chromosome:ASM357664v1:9:8358134:8361702:-1 gene:itb09g13040 transcript:itb09g13040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELEIHARMIKLERTAISSVLLLWISTRFLFSSVSCSQIPLGSRLSSQENSYWVSPNGHFEIRFLNYSCQYTFGIRFSSSFFPDNEHDAVVWIPGANSRVGSDSYLELTHNGALILFNSATGGIAWTSNTSNAGIESAVLRDDGNLVLLNQKNDVVWQSFNSPSDTLLPGQNLSVTKFLRGSSRSPDDSRYTLYMNVSGQLQLRWDASVIYWTSGDPSQPAVQAILNSEGILQGHDQRSKVIWSIFGEDFSDRYVKFRFLKLGFDGNLRLYSWRNDSRSWKSVWQAFDNPCKVFATCGLHGICRFNSTGSHTCDCPFTSTGDSSSECLVPYQPNCSSGISMIRHDHMFLYGIYPPNETVVQTSLKHCQRLCEKDSLCYAVSFINDGVPQCRIKKSQYVSGWVDPLSSSISFVKTCSNPIAVLPTEEDNKADSSSEICTLCLIEVSMASVLAFILIQLGIGLYIHRRRRYVGKKAVSLNLMPNASGCIMLSYLQIKELTGNFKNQIGPKMFKGVLPSNRLVVIKDLINTSIEERKFRNAVLRIGNIHHKNLLKLEAFCCESNYRFLVYEFAKNGSLDKCLGDPKICKRLTWRKRINICLSVARAVAYLHAGCREFINHGNLKCENVVLDNDLEAKVSEFGLRALLPEPSSNNEGPAETDVRDFGKMMLVLITGNQNANDACEWAYGKWAGAELETIADKQIEGGIDLGELERALRLAFWCLQADERMRPSMGEIVKVLEGTLAVDPPPPLLGHRNQRPTPTPSPSSSPSSPGT >itb09g13040.t1 pep chromosome:ASM357664v1:9:8357933:8359821:-1 gene:itb09g13040 transcript:itb09g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHDHMFLYGIYPPNETVVQTSLKHCQRLCEKDSLCYAVSFINDGVPQCRIKKSQYVSGWVDPLSSSISFVKTCSNPIAVLPTEEDNKADSSSEICTLCLIEVSMASVLAFILIQLGIGLYIHRRRRYVGKKAVSLNLMPNASGCIMLSYLQIKELTGNFKNQIGPKMFKGVLPSNRLVVIKDLINTSIEERKFRNAVLRIGNIHHKNLLKLEAFCCESNYRFLVYEFAKNGSLDKCLGDPKICKRLTWRKRINICLSVARAVAYLHAGCREFINHGNLKCENVVLDNDLEAKVSEFGLRALLPEPSSNNEGPAETDVRDFGKMMLVLITGNQNANDACEWAYGKWAGAELETIADKQIEGGIDLGELERALRLAFWCLQADERMRPSMGEIVKVLEGTLAVDPPPPLLGHRNQRPTPTPSPSSSPSSPGT >itb11g04770.t1 pep chromosome:ASM357664v1:11:2539686:2541036:-1 gene:itb11g04770 transcript:itb11g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEDGGKSKAAAVTDQTDSERDDQQWRQVFEDGSMSSRPLKKMKSPEHKSSVQFQSQSLPFPSSMAAYPPPPPPPSSSSTSSSSSRLVFPFALDGAEQSMERLQQLRWNNRNAIPLLNTAAYQNQQSMVSFLRQRNADFPPYFAGDSVPLQQHQQQLLRYWNDTLNLSPRGRLGQRPPAAAMPVSTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAAMAYDREAYNLRGENARLNFPELFLGKDKDPSPSENTPGSPKSPPPEAEPPPPPPPPPPPEKKDDDDDEAKKNSETAAAAAAAEQSSEFMWGEMNEEWLNAIPAGWGPGSPVWDDLYITNNHFMPFNLPFSNLHHQEPQHSNDPQKQDNSTAPSSSSSSSSSCPAKPFFWKDQN >itb04g06590.t1 pep chromosome:ASM357664v1:4:4297546:4303514:-1 gene:itb04g06590 transcript:itb04g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MYGEMTSSKNDRRVSDSSSLSKILGLYFPKINLTLDDSKFKFELASDLADFFSNAGEYFVSQVKDDDGIFFLPLDFEQFRRVCDIESFYLTLDDRPKEALLCLSAALHKVMFMKWGNEKFDDFCSKINIRLHNYPLVIALKNLKAAYIDRLVSVRGTVIKVSTVKPLVIEMSFACTKCGTNITRDFPDGKFSPPPICEMHGCKSRTFTPIRSSAQPVDFQKIRIQETLRSEHHEEGRVPRTVECELTEDLVDACIPGDIVTVTGIVRVINNYMDIGGGKSKSKNQGLYYLYLEAVSIRNSKTQPMPENPMDKSNAISAEPFDSLSFSPRDLEFIVKFSEENGSDVFRQILHSICPSIYGHELIKAGITLALFGGVQKHSMDQNKVPVRGDIHIIIVGDPGLGKSQLLQAAASVSPRGLYVCGNATTNAGLTVAVVKDPMTSDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALFEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRTKTVNENLKMSGALLSRFDLVFILLDKPDEQLDKRLSKHIMSLHAKHPEHPPSTKRLCTAHFDVMENNLNIEHDSLVAKLRLDPKKDSGFVPLPGPLLRKYIAYAKAYVFPRMTEPAAATLKTFYLRLRDRSTFADSTPITARQLESLVRLAEARARVDLREQITEQDALEVVELMKESLYDKYVDEHGFVDFGRSGGMSKEKEKKRFLGALNKQSELHQKDCFSISELYSLADTIGLRVPDIDTFLDTLNSAGGIIILFKKSIIKGIVSHHWLLMISY >itb08g02170.t3 pep chromosome:ASM357664v1:8:1753585:1754883:1 gene:itb08g02170 transcript:itb08g02170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGEVLQRAKGRGLKDVDEAESGSSNRANKSRNVGREEDARNDSGGEIEGVRSRRGVQVRGRGEDQEKSGNVVQRSRAKRSEVSEDETMTLAEMQMLVKKLNKEKSRAKVNEMATKPNLGEKELESEKEDTELCLSETESEIEEAMEIMNVKGKRERCKVSKGESLEVVQRRASKGGDLDAKRRKVSIIVDSEIMPLEGSDFYEFGNDREERSFKKGQVWAVYNDDIDDGMPRYYALIDEVLSVNPFEVRLFWLDLQNNDDEALINWGKTGFHISCGKYKVSEQALVKSLKKFSHVVDCERAARALYRVYPKKGSVWAIYEENNPNAGGRNQDVEDKQYGIVVCLTSYTEMHGLSVAYLEKVDGFRAVFKRREIGAHAIRWFGKDEITVMSHQIPAKKLSGEEAVGISKECWELDPASVPSKMLTIGWSK >itb08g02170.t1 pep chromosome:ASM357664v1:8:1752872:1755191:1 gene:itb08g02170 transcript:itb08g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGDSPGGTDVLRLKTLAEEKYTSGDLNSAIKHAKRAHRQCPNLDGLSEMLTAFKILRTATTSDGAAGSPDYYKILQVERFSNINGIKKQYRKLALTLHPDKKPFVACEEAFKLVGEAYRVLSDKIRRKEYDLKLRVAMQSSAAEEAAEEETFWTACSTCRLLHKFSRQYLGHNLMCPNCKKSFHAIEVSENAENSVSSMDNDSEDEKNNQVLGTRVSARIKARKMTSVGEVLQRAKGRGLKDVDEAESGSSNRANKSRNVGREEDARNDSGGEIEGVRSRRGVQVRGRGEDQEKSGNVVQRSRAKRSEVSEDETMTLAEMQMLVKKLNKEKSRAKVNEMATKPNLGEKELESEKEDTELCLSETESEIEEAMEIMNVKGKRERCKVSKGESLEVVQRRASKGGDLDAKRRKVSIIVDSEIMPLEGSDFYEFGNDREERSFKKGQVWAVYNDDIDDGMPRYYALIDEVLSVNPFEVRLFWLDLQNNDDEALINWGKTGFHISCGKYKVSEQALVKSLKKFSHVVDCERAARALYRVYPKKGSVWAIYEENNPNAGGRNQDVEDKQYGIVVCLTSYTEMHGLSVAYLEKVDGFRAVFKRREIGAHAIRWFGKDEITVMSHQIPAKKLSGEEAVGISKECWELDPASVPSKMLTIGWSK >itb08g02170.t4 pep chromosome:ASM357664v1:8:1752904:1754883:1 gene:itb08g02170 transcript:itb08g02170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGDSPGGTDVLRLKTLAEEKYTSGDLNSAIKHAKRAHRQCPNLDGLSEMLTAFKILRTATTSDGAAGSPDYYKILQVERFSNINGIKKQYRKLALTLHPDKKPFVACEEAFKLVGEAYRVLSDKIRRKEYDLKLRVAMQSSAAEEAAEEETFWTACSTCRLLHKFSRQYLGHNLMCPNCKKSFHAIEVSENAENSVSSMDNDSEDEKNNQVLGTRVSARIKARKMTSVGEVLQRAKGRGLKDVDEAESGSSNRANKSRNVGREEDARNDSGGEIEGVRSRRGVQVRGRGEDQEKSGNVVQRSRAKRSEVSEDETMTLAEMQMLVKKLNKEKSRAKVNEMATKPNLGEKELESEKEDTELCLSETESEIEEAMEIMNVKGKRERCKVSKGESLEVVQRRASKGGDLDAKRRKVSIIVDSEIMPLEGSDFYEFGNDREERSFKKGQVWAVYNDDIDDGMPRYYALIDEVLSVNPFEVRLFWLDLQNNDDEALINWGKTGFHISCGKYKVSEQALVKSLKKFSHVVDCERAARALYRVYPKKGSVWAIYEENNPNAGGRNQDVEDKQYGIVVCLTSYTEMHGLSVAYLEKVDGFRAVFKRREIGAHAIRWFGKDEITVMSHQIPAKKLSGEEAVGISKECWELDPASVPSKMLTIGWSK >itb08g02170.t2 pep chromosome:ASM357664v1:8:1753324:1754883:1 gene:itb08g02170 transcript:itb08g02170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAAEEAAEEETFWTACSTCRLLHKFSRQYLGHNLMCPNCKKSFHAIEVSENAENSVSSMDNDSEDEKNNQVLGTRVSARIKARKMTSVGEVLQRAKGRGLKDVDEAESGSSNRANKSRNVGREEDARNDSGGEIEGVRSRRGVQVRGRGEDQEKSGNVVQRSRAKRSEVSEDETMTLAEMQMLVKKLNKEKSRAKVNEMATKPNLGEKELESEKEDTELCLSETESEIEEAMEIMNVKGKRERCKVSKGESLEVVQRRASKGGDLDAKRRKVSIIVDSEIMPLEGSDFYEFGNDREERSFKKGQVWAVYNDDIDDGMPRYYALIDEVLSVNPFEVRLFWLDLQNNDDEALINWGKTGFHISCGKYKVSEQALVKSLKKFSHVVDCERAARALYRVYPKKGSVWAIYEENNPNAGGRNQDVEDKQYGIVVCLTSYTEMHGLSVAYLEKVDGFRAVFKRREIGAHAIRWFGKDEITVMSHQIPAKKLSGEEAVGISKECWELDPASVPSKMLTIGWSK >itb05g17670.t1 pep chromosome:ASM357664v1:5:24515404:24517684:1 gene:itb05g17670 transcript:itb05g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVRFPIFLLVRIIGLVVMSLVLTWTVHYRGGLALISDNKDLIFNVHPVLMVLSFVLLNGEAMLAYKTVSGTKNYKKLVHLALQFLAFFLGLIGVWAALKFHNDKGIDNFYSLHSWLGLACLFLFGIQWAAGFSTFWYPGGSRNSRASLLPWHVFFGVYIYALAVATCTTGILEKATFLQTNHVISRYSTEALLVNSMGVLIVVLCGLVILAVVSPVSGKGDIMRD >itb04g03770.t1 pep chromosome:ASM357664v1:4:2362163:2362939:-1 gene:itb04g03770 transcript:itb04g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGSASRFVSQLQGRPETEDESSRNPFSGESGGGGGVLGDVVARRPRGRPAGSKNKPKPPVIITRESANALRAHILEVSNGCDVFESVANYARKRQRGICVLSGNGTVNNVTLRQPSAAGAVVTLQGRFEILSLSGSFLPPPAPPGATSLTIYLAGSQGQVVGGNVVGPLMASGPVIVIAASFTNVAYERLPLDDDEAAAAALQMQPPQSEPSDGGGAFPDPSLGLPFFNLPNGQLPMESPGGWAGNPASRGQY >itb02g25190.t1 pep chromosome:ASM357664v1:2:25929283:25930545:1 gene:itb02g25190 transcript:itb02g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNRVLCMAVLGALAMATMLQPGTAQTAHVVGDNIGWTIPSNGAAAYSNWASGKTFNVGDILVFNFATNQHDVLRVPKASFDGCSSANAIGNAIMNGPANVTLDSAGEHYYICTFGRHCQAGQKLAITVTGNGAPAPNRPPTAPTPSTPTTPSPTSSAPCPPTADAPSPSHSTSPGVAGNTPSSPDSSSPAVVTGFLFTLASVALALFV >itb13g02980.t1 pep chromosome:ASM357664v1:13:2938757:2939161:1 gene:itb13g02980 transcript:itb13g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSYKFVEHFDSELPCKQHSSEEPLSSIDSNYRISLGTLLPDSAFQLKGTEDKIVMYYTSLRGIRKTYDDCCDVRMILGGLRVCVDEWGISMDSSYRKELQSVLGSKVVSLPQVFIGGRHIGGVNEIKQQNEA >itb15g21370.t1 pep chromosome:ASM357664v1:15:24065524:24071248:1 gene:itb15g21370 transcript:itb15g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLHLRWGLSTHPQIFSFRSSSSWPSSTSLKGRETLYDSVGTSPSFMHWENEASHTDPFRVRTCLSDQQLRENDISVTAEMEIGVGSHSSLYDVIGNNREVLTEEDKLKFLKSSKGKQFSLLMKNLDLLENIFADSCVVGLERDILAQLERLGALELFNTFLSRGQKSFPFSDLTNTPTELVDETQENDLLNIHMNKIVVTSGKKQERELRRRKRSDTNSDVFTLHLQPKTFKLDSKYPNISSGKTSRNFRNTREKIASSEAEMSKGVKVVAELESIRTILEEETGRVASFSSWAEAAGLSQKVLQQRLHFGWYCRDELLRSTKSLIVYLARNYRGLGVSFEDLVQAGSFGVLQGAVRFDHTRGYQFSTYVQYWIKKSMSMLVALHARGIRVPFTIIKVINKIQKAKKALSSRHGKFPSESEIAKFTGLSTNRIVLASKCLRVVGSIDQKLGYFMSAKFVECTADKSVISPEEAVIRQHKINNVYSLLKGLEPKERDILVLRFGLVNNQRKSLEEIGRLHGVSKEWIRRVENRALTKLRNKNSLQDLRYFLHL >itb15g21370.t3 pep chromosome:ASM357664v1:15:24065531:24071222:1 gene:itb15g21370 transcript:itb15g21370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLHLRWGLSTHPQIFSFRSSSSWPSSTSLKGRETLYDSVGTSPSFMHWENEASHTDPFRVRTCLSDQQLRENDISVTAEMEIGVGSHSSLYDVIGNNREVLTEEDKLKFLKSSKGKQFSLLMKNLDLLENIFADSCVVGLERDILAQLERLGALELFNTFLSRGQKSFPFSDLTNTPTELVDETQENDLLNIHMNKIVVTSGKKQERELRRRKRSDTNSDVFTLHLQPKTFKLDSKYPNISSGKTSRNFRNTREKIASSEAEMSKGVKVVAELESIRTILEEETGRVASFSSWAEAAGLSQKVLQQRLHFGWYCRDELLRSTKSLIVYLARNYRGLGVSFEDLVQAGSFGVLQGAVRFDHTRGYQFSTYVQYWIKKSMSMLVALHARGIRVPFTIIKVINKIQKAKKALSSRHGKFPSESEIAKFTGLSTNRIVLASKCLRVVGSIDQKLGYFMSAKFVVWLEIPVSASMVSFDLYFNFAHLLTFDFLSEV >itb15g21370.t2 pep chromosome:ASM357664v1:15:24065535:24071222:1 gene:itb15g21370 transcript:itb15g21370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGVGSHSSLYDVIGNNREVLTEEDKLKFLKSSKGKQFSLLMKNLDLLENIFADSCVVGLERDILAQLERLGALELFNTFLSRGQKSFPFSDLTNTPTELVDETQENDLLNIHMNKIVVTSGKKQERELRRRKRSDTNSDVFTLHLQPKTFKLDSKYPNISSGKTSRNFRNTREKIASSEAEMSKGVKVVAELESIRTILEEETGRVASFSSWAEAAGLSQKVLQQRLHFGWYCRDELLRSTKSLIVYLARNYRGLGVSFEDLVQAGSFGVLQGAVRFDHTRGYQFSTYVQYWIKKSMSMLVALHARGIRVPFTIIKVINKIQKAKKALSSRHGKFPSESEIAKFTGLSTNRIVLASKCLRVVGSIDQKLGYFMSAKFVECTADKSVISPEEAVIRQHKINNVYSLLKGLEPKERDILVLRFGLVNNQRKSLEEIGRLHGVSKEWIRRVENRALTKLRNKNSLQDLRYFLHL >itb04g33100.t1 pep chromosome:ASM357664v1:4:35441019:35441837:-1 gene:itb04g33100 transcript:itb04g33100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSRGRGDMTRRHVAAQPRNSGGGYGQSQSPITAPRWSGMTRPYRETAARKKSRAVWRRAVPSWEKEFCLKATAGMFATWDKFAQAKKYVHLYDKIMRWDDKEAQESFDRSKTLFYAKKFNILNLLEISTPPDPDLYIAEIDWDDSRIDDCELSDALGLLAIDDREEEMEERKKIITIEDIEPTGWDVEPGESYDPNNLTGLIVGGDSRYWC >itb10g25570.t1 pep chromosome:ASM357664v1:10:28828354:28829586:-1 gene:itb10g25570 transcript:itb10g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPRRPIYAVITWLRRQPPKVKAFLAVVAGMATLVLLRAIIHDHDNLFVAAEAVHSIGISVLIFKLMKDKTCDGNFNFRAWSLIFSIGISEITEYAMVEMIAGLSLKSQELTAMFLAVRLYCSFVMEYDMHTLLDLATLATTLWVIYMIRFNLKSSGMEDKDSFPLYYVVVPCAFLALLIHPTTSHHIANRICWAFCVYLEAVSVLPQLHVMQNTKIIEPFTAHYVFALGIARFLSCAHWVLQVLDSRGHLLIALGHGLWPSMVLISEIVQTFILADFCYYYVQSVFGGQLVLRLPYGAV >itb03g24200.t1 pep chromosome:ASM357664v1:3:22821833:22826268:-1 gene:itb03g24200 transcript:itb03g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQSLPQNLCLISVNEFPGKPQSLAGKITQIFTPRRRPGLVKVICETIDAASSVPVQKENFKRLLTFLERIGLVLKELSKFEIVRNESLISTVETLKQEIEVIKELSLGCRNSSKIYLLLNCLKIVKCLEATTREIGRILGIVTSCCVNVSSETTDQLRGLGNDMLVCTFQVSVEEAEILEKIELGIRERNTDRSYANDLLNRIAGAVGILTFHSELKKEFEDFRRDLRSIRDRKDVAEALRMEQIVLLLGNADMITSTEEKEKKYLTKRNSLGRQLVEPLQSFYCPITGDIMMDPVETTSGQTFEKEAIEKWLMEGNHFCPLTKTPLSKSDLRPNKTLRQSIEEWRNRNKIITIASLKRRIQMNDESETLVSLETLLEICQGNELQREWIIMENFTPILINILFSPNQESRRCSLSILCNLAKENDESKERIASEYKAIEPIVRCLARKPEESKCALQLLLELCKSNVVRDLAGRVQGCILLLVTISNSDDTEAAEYAEKILETLSFLDQNVIQMARLNYFQPLLQHLCSDQGSAQIAMAKALTDAQLSDQSKLSLLRGGALNPLLKFLCHSDMEMKAVAIDALLNLSTVAENGLMMIKEGAVEPLFELLFCDAVSPLLCEKVAAILMHLSLSRIGEDGNNGYVPFLESQEQIFKLFSLVSLSGSEVQQSILHTFCAMCQSPVSGYNVRTRLRKICAVKVLVHLCELDNCKVRANAVKLFYCLTEDGDDGTFSEHVNDKCIGTLVGIMKTSDEEEEIAAILGIISNLPKESSLSLHLHEAGALQAILDCLNDHASKRTEIVENATAALCRFALPVHPEWQKEVAEAGAIAVLVNLLESGNSLTKKHVAILLKQFSERSCGLSTPVRQGLGIVCCFSSNLPRCPLHSGYCTIESSYCLLEANAPRPLAKVLEEPDAAASEASLDALLTLIEGEQLQNGCKVLAEAKAITPIIKMLSSDSSRLQEKALRASEKIFRVLELKQKYGSSAQMPLVEIAQKGSGDMKSLAARVLAHLNLLPEQSSFF >itb15g10210.t1 pep chromosome:ASM357664v1:15:7801914:7806825:1 gene:itb15g10210 transcript:itb15g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSGYEIERGINVELPVFPHTIPSGEQTVWADVSPLLQLACKDLGDGELVHGENFNLFAAMSALEIMDPKMDSGMVRSYYSVDEAIECGAAPLPLSVDKTIDVQCTIDIMDHLLACEATWHKGHALAQTVFSCIYLLRPDRTSSFPVLHSYCQVMRATCSAVVLTVSEARTNEEEDLFTMAHGLPLKVDGDDKCLTMLHAVEEMVSRQLRACKAPSVKKRVLEGSH >itb05g05450.t2 pep chromosome:ASM357664v1:5:5174095:5179355:-1 gene:itb05g05450 transcript:itb05g05450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSVVEDVGAPPESWEVADVDASMRRLLLSSSKKNSGSQSDLADSQDSASNLAPASSSSAPGSSVSEDAINSVDQFLREALQNPRERLSVLRMEQDVEKFMRDPSRKQMEFQQLPTSYLRLAAHRVAQHYSLTSMVLVDNPDGSGSRIIVCKSSESRMPSIRLADIPINLPQEDTSVVKVAIKQRPQRGSQFIGSNSQSLKNNSSKSVEERKEEYNRARARIFSSNNLVGTANGKTESESKMQDTSQHVSQRMPRVDEKASSAGSSEMNIGRGSLDSSTGSNRTARSRTEKEQIGRSKTSNRVAIFRDREIDRKDPDYDRNYDRYLQRFDPGFGFTGGPYAIQPMYAPALNYNTEFPQLGSTHRPPISAEHQPCPLPPRLPGPWVAPSPPGIAYGRAETMIPPFNANHVGMRSNSALYLHSTQYPCQRPGMPFIHHHEQIQVPQPFTQSHHQQPDASFGLARPR >itb05g05450.t1 pep chromosome:ASM357664v1:5:5174084:5179617:-1 gene:itb05g05450 transcript:itb05g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSVVEDVGAPPESWEVADVDASMRRLLLSSSKKNSGSQSDLADSQDSASNLAPASSSSAPGSSVSEDAINSVDQFLREALQNPRERLSVLRMEQDVEKFMRDPSRKQMEFQQLPTSYLRLAAHRVAQHYSLTSMVLVDNPDGSGSRIIVCKSSESRMPSIRLADIPINLPQEDTSVVKVAIKQRPQRGSQFIGSNSQSLKNNSSKSVEERKEEYNRARARIFSSNNLVGTANGKTESESKMQDTSQHVSQRMPRVDEKASSAGSSEMNIGRGSLDSSTGSNRTARSRTEKEQIGRSKTSNRVAIFRDREIDRKDPDYDRNYDRYLQRFDPGFGFTGGPYAIQPMYAPALNYNTEFPQLGSTHRPPISAEHQPCPLPPRLPGPWVAPSPPGIAYGRAETMIPPFNANHVGMRSNSALYLHSTQYPCQRPGMPFIHHHEQIQVPQPFTQSHHQQPDASFGLARPR >itb02g20300.t1 pep chromosome:ASM357664v1:2:18109336:18111640:-1 gene:itb02g20300 transcript:itb02g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNLMILSILLLLLAEAQFSSATCHVQQLSPCLSALTFDTKPSQLCCVRLNQQKPCFCEYVKNPTIKEYVIDSPAAKKAIETCKQLSPCLSALTFDTKPSQLCCVRLNQQKPCFCEYVKNPTIKEYVIDSPAAKTTIKEYVIDSPAAKKAIETCKVSLPKC >itb03g18650.t1 pep chromosome:ASM357664v1:3:16908698:16913871:-1 gene:itb03g18650 transcript:itb03g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFKNCRSHFLVVFVVVIFSSLACGPVRATVSYDDKAFIINGNRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPSPGKYNFEGRYDLVRFIKLVHQAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGMEFRTDNQPFKVAMQGFVAKIVNMMKSESLFEPQGGPIIMSQIENEYGPIEWEIGAPGKAYTKWFTQMAVGLKTGVPWIMCKQEDAPDPMIDTCNGFYCENFRPHNPRNPKMFTELWTAWYTEFGGPVPRRSAEDTAFAVARFIQNNGSFFNYYMYHGGTNFGRTAGRFIATSYDYDAPLDEYGLPNEPKYGHLAELHKVIKQAEPALVSSYPTVTWLGKYQEAHVFSPKSGGHCALFLSNYDPQNSAKVMFWNKQYNLPPWSVSIFPDCKTEAFNTARVSVGSSQMKMVPVKSGFSWQSYIEDTPTADDGDSLVADGLWEQVNVTRDNSDYLWYMTDVNIASNEGFLKNGKDLVLTVMSAGHALRIFINGQLSGTVYGGLENPKLTFTGNVKLRAGVNKISLLSSAVGLPNVGTHYEQWNTGVLGPVSLSGLNEGTRNLAKQRWSYKVGLKGESLSLNTMSGSSSVEWVQGSLLANKQPLTWYKAIFNAPGGNDPVALDMSSMGKGEIWINGEGVGRHWAAYTAHGSCGQCSYAGTYSETKCQTNCGQPSQRWYHVPRSWLKPSGNLLVVFEEWGGDPSGIKFVTRTK >itb03g18650.t2 pep chromosome:ASM357664v1:3:16909543:16913871:-1 gene:itb03g18650 transcript:itb03g18650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFKNCRSHFLVVFVVVIFSSLACGPVRATVSYDDKAFIINGNRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPSPGKYNFEGRYDLVRFIKLVHQAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGMEFRTDNQPFKVAMQGFVAKIVNMMKSESLFEPQGGPIIMSQIENEYGPIEWEIGAPGKAYTKWFTQMAVGLKTGVPWIMCKQEDAPDPMIDTCNGFYCENFRPHNPRNPKMFTELWTAWYTEFGGPVPRRSAEDTAFAVARFIQNNGSFFNYYMYHGGTNFGRTAGRFIATSYDYDAPLDEYGLPNEPKYGHLAELHKVIKQAEPALVSSYPTVTWLGKYQEAHVFSPKSGGHCALFLSNYDPQNSAKVMFWNKQYNLPPWSVSIFPDCKTEAFNTARVSVGSSQMKMVPVKSGFSWQSYIEDTPTADDGDSLVADGLWEQVNVTRDNSDYLWYMTDVNIASNEGFLKNGKDLVLTVMSAGHALRIFINGQLSGTVYGGLENPKLTFTGNVKLRAGVNKISLLSSAVGLPNVGTHYEQWNTGVLGPVSLSGLNEGTRNLAKQRWSYKVGLKGESLSLNTMSGSSSVEWVQGSLLANKQPLTWYKVIYSDTML >itb13g01630.t1 pep chromosome:ASM357664v1:13:1522565:1524091:1 gene:itb13g01630 transcript:itb13g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSLTFLDLNSNMLSGQIPRPPPEAQYLDFSNNNFSMIPLDIADQIPYRLYFFSIAKNRVSGKISTSWCRAAYLEVLDLSHNALHGTIPSCLLQNNSNLAVVNLRGNHLSASPIPESEEKESTHHVDIYISAAFGFAAGLGGIFVPLLLSSKWRSYYNKMIDGILSKIFFQRGQGRRKKSR >itb11g12250.t1 pep chromosome:ASM357664v1:11:9117118:9119233:1 gene:itb11g12250 transcript:itb11g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVSAPRAAASSSKTETFIDNKRKDDIRMANIGAAQAVADAVRTSLGPKGMDKMISAANGEVIITNDGATILNKMEVLQPAAKFLVELSKSQDVVAGDGTTTVVVIAGALLKQCLTLLSAGIHPTIVSDSLHKASTKAVEVLTAMAIPVELSDRDSLVKSASTALNSKVVSQYSTLLAPLAVDSVLSVVDSAKPDLVDLRDIKIVKKLGGTIDDTELVNGLVFDKKVSHAAGGPTRVEKAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGYADLVEEVSLGDGGKIVKITGIQDMGRTTSVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAISLSTECVRMILKIDDIVTVR >itb14g21200.t2 pep chromosome:ASM357664v1:14:23322363:23325186:-1 gene:itb14g21200 transcript:itb14g21200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVQLLSVLLSCFALLFAVPQAAGDTILTAYQVLQQYDFPVGLLPTGVTSYELDTSSGAFSVYLEDSCSFKIDGYELKYKSTITGKISKDELSSLGGVQVKILFFWINIVEVTNDGDELCFSVGIASACFPIDNFYESPDCGCGFDCVNALKSETTSASRLNLKRLAFSS >itb14g21200.t1 pep chromosome:ASM357664v1:14:23324021:23325186:-1 gene:itb14g21200 transcript:itb14g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVQLLSVLLSCFALLFAVPQAAGDTILTAYQVLQQYDFPVGLLPTGVTSYELDTSSGAFSVYLEDSCSFKIDGYELKYKSTITGKISKDELSSLGGVQVKILFFWINIVEVTNDGDELCFSVGIASACFPIDNFYESPDCGCGFDCVNALKSETTSASRLNLKRLAFSS >itb15g13660.t1 pep chromosome:ASM357664v1:15:11669987:11674663:-1 gene:itb15g13660 transcript:itb15g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARVEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQANQQFPVPVQSEKKLDALPAMVAGVWSDDGNLQLDATTQFRKLLSIEACFHDSSERSPPIDEVIQAGVVPRFVQFLARDDFPQLQFEAAWALTNIASGTSEHTRVVIDSGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPKCRDLVLSSGALSPLLAQLNANAKLSMLRNATWTLSNFCRGKPQPPFDQTRPALPALQQLIHSTDEEVVTDACWALSYLSDGTNDKIQAVIDSGVCSRLVELLQHPSSTVIVPALRAVGNIVTGDDMQTQHIIDNQGLYRLSTLLTGNYKKSIKKEACWTISNITAGNTQQIQAVIEANIFGPLVNLLQNGEFDIKKEAAWAISNATSGGSHDQIKYLVSQGCIKPLCDLLACPDPRIVTVCLEGLENILKVGEAEKNMGRTGDVNLYAQVVEDVEGLEKIENLQSHDNHEIYEKVVKILEVYWLEEEEDETMPPGNNASEPGFQFANGDVHLPNGGFNFN >itb15g13660.t2 pep chromosome:ASM357664v1:15:11669987:11674663:-1 gene:itb15g13660 transcript:itb15g13660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARVEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQANQQFPVPVQSEKKLDALPAMVAGVWSDDGNLQLDATTQFRKLLSIERSPPIDEVIQAGVVPRFVQFLARDDFPQLQFEAAWALTNIASGTSEHTRVVIDSGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPKCRDLVLSSGALSPLLAQLNANAKLSMLRNATWTLSNFCRGKPQPPFDQTRPALPALQQLIHSTDEEVVTDACWALSYLSDGTNDKIQAVIDSGVCSRLVELLQHPSSTVIVPALRAVGNIVTGDDMQTQHIIDNQGLYRLSTLLTGNYKKSIKKEACWTISNITAGNTQQIQAVIEANIFGPLVNLLQNGEFDIKKEAAWAISNATSGGSHDQIKYLVSQGCIKPLCDLLACPDPRIVTVCLEGLENILKVGEAEKNMGRTGDVNLYAQVVEDVEGLEKIENLQSHDNHEIYEKVVKILEVYWLEEEEDETMPPGNNASEPGFQFANGDVHLPNGGFNFN >itb03g01450.t1 pep chromosome:ASM357664v1:3:820165:821737:-1 gene:itb03g01450 transcript:itb03g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSCNTAVKTPEADSETPTAAARIYPAKPLSYSSNGVLKRLNHARYGGGFGSNGHHAVVVHYRECLKNHAAALGGHAVDGCGEFMASPTANPADPTSLKCAACGCHRNFHRREPPEEARSPHEAVAALEYQPHHRHHPPPPPPQAGCHSSPNSSSPPPISSAYYPSAAPHVLLALGTGLSALQTDSVTANHTSVTPPSNPNGRKRFRTKFTQDQKDKMLEFADKIGWKILKRDEDLINEFCAKIGVERGVLKVWMHNNKNTAGKKDQPPSAAAAAAAADGGDFDLVTAAAACQFDVKNENNSRTVSTALTATNGSFYSS >itb09g10680.t2 pep chromosome:ASM357664v1:9:6595024:6596709:1 gene:itb09g10680 transcript:itb09g10680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPTPARLQPCFISISVTASLTGLNVVVDIKTQLEELCAGVVSCADILTVATRDAVVVIGGPSWSVSLGRRDSTTPSKDAGNTIIPGPASNLTHLIDSFANKGFSARQMVALSGSHTIGMARCAAFRNRIYNDSDIDASYAAGLRSKCPQSGGDLNYVPLDTTTPILFDNAYFKNLQSQKGLLHSDQQLLSGGSTDSIVKEYISNPSKFANDFAKAMEKISKLSPLTGTDGEIRRDCRRMNS >itb09g10680.t3 pep chromosome:ASM357664v1:9:6594997:6596709:1 gene:itb09g10680 transcript:itb09g10680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAVNNASLTTNAAAFRRFSYLLLIFNLLILPFNSSAHHLSKQFYSKTCPNALPTIKTAVQNAVNSDPGAAAALLHLHFRDCFINIGGPSWSVSLGRRDSTTPSKDAGNTIIPGPASNLTHLIDSFANKGFSARQMVALSGSHTIGMARCAAFRNRIYNDSDIDASYAAGLRSKCPQSGGDLNYVPLDTTTPILFDNAYFKNLQSQKGLLHSDQQLLSGGSTDSIVKEYISNPSKFANDFAKAMEKISKLSPLTGTDGEIRRDCRRMNS >itb09g10680.t4 pep chromosome:ASM357664v1:9:6595024:6596709:1 gene:itb09g10680 transcript:itb09g10680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAVNNASLTTNAAAFRRFSYLLLIFNLLILPFNSSAHHLSKQFYSKTCPNALPTIKTAVQNAVNSDPGAAAALLHLHFRDCFINGCDGSVLLENTTNPMFVPPRGLNVVVDIKTQLEELCAGVVSCADILTVATRDAVVVIGGPSWSVSLGRRDSTTPSKDAGNTIIPGPASNLTHLIDSFANKGFSARQMVALSGF >itb09g10680.t1 pep chromosome:ASM357664v1:9:6594997:6596709:1 gene:itb09g10680 transcript:itb09g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAVNNASLTTNAAAFRRFSYLLLIFNLLILPFNSSAHHLSKQFYSKTCPNALPTIKTAVQNAVNSDPGAAAALLHLHFRDCFINGCDGSVLLENTTNPMFVPPRGLNVVVDIKTQLEELCAGVVSCADILTVATRDAVVVIGGPSWSVSLGRRDSTTPSKDAGNTIIPGPASNLTHLIDSFANKGFSARQMVALSGSHTIGMARCAAFRNRIYNDSDIDASYAAGLRSKCPQSGGDLNYVPLDTTTPILFDNAYFKNLQSQKGLLHSDQQLLSGGSTDSIVKEYISNPSKFANDFAKAMEKISKLSPLTGTDGEIRRDCRRMNS >itb13g13500.t1 pep chromosome:ASM357664v1:13:20008966:20020142:-1 gene:itb13g13500 transcript:itb13g13500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDQVQAGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKQDRVKAVDILIKDLKVFSSFNEDLFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPSFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCASTNGARPPPPSNTPLAGPVPKPGAFPPLGVHSPFQPVVSPSPSAIAGWMTSASPAIPHAAVAPGPPGIVQPPGAAAFLKHPRTPPGVPGMDYQTADSEHLIKRIRAGQSDEVSFSGSTHPPNIYPPDDLPKTVVRNLSQGSNVMSMDFHPQQQMILLVGTNVGDISVWEVGSRERLAHKTFKVWDVSACSMPLQTALVKDATVSVNRCVWGPDGAILGVAFSKHIIQIYTYSPSGELRQHLEIDAHIGGVNDIAFAYPNKQLCIVTCGDDRLIKVWDAVAGRRQYMFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCMGSRVDYDAPGLWCTTMAYSADGTRLFSCGTSKEGESYLVEWNESEGAIKRTYSGFRKRSLGVVQFDTTRNHFLAAGDEFQIKFWDMDNINMLTSTDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANNDGQRMLRMLESRPLEGSRGLSDAVNIKPQISGSLGPIPNVPGPILDRGDRIQQSMSIGNLATMESNRIPDVKPRTADIADKVKSWKFPDITEPSQLKSLRLPDPLTASKVVRLLFTNSGLALLALGSNAVHKLWKWQRNERNPSGKSSASIVPQLWQPSSGALMSNDSSDAKSAEEPAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATYLAFHPQDNNIIAVGMEDSTIQIYNVRVDEVKTKLKGHQKRITGLAFSQSLNVLVSSGADAQLCIWNIDGWEKKKARLVQAPPGHPTPLVGEARVQFHNDQSHILVVHESQIAIYDTQLECLRSWYPRESLSAPISSAIYSCDGMLVFAGFSDGAIGIFDADSFRLRCRIAASAYISSSIGSSSGSAFPVVIAAHPSDANQFALGMNDGSVNVIEPSDSEPKWGSSTSQDNGSLPSIPSSSALNSQPSETASR >itb13g13500.t2 pep chromosome:ASM357664v1:13:20008966:20019807:-1 gene:itb13g13500 transcript:itb13g13500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDQVQAGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKQDRVKAVDILIKDLKVFSSFNEDLFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPSFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCASTNGARPPPPSNTPLAGPVPKPGAFPPLGVHSPFQPVVSPSPSAIAGWMTSASPAIPHAAVAPGPPGIVQPPGAAAFLKHPRTPPGVPGMDYQTADSEHLIKRIRAGQSDEVSFSGSTHPPNIYPPDDLPKTVVRNLSQGSNVMSMDFHPQQQMILLVGTNVGDISVWEVGSRERLAHKTFKVWDVSACSMPLQTALVKDATVSVNRCVWGPDGAILGVAFSKHIIQIYTYSPSGELRQHLEIDAHIGGVNDIAFAYPNKQLCIVTCGDDRLIKVWDAVAGRRQYMFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCMGSRVDYDAPGLWCTTMAYSADGTRLFSCGTSKEGESYLVEWNESEGAIKRTYSGFRKRSLGVVQFDTTRNHFLAAGDEFQIKFWDMDNINMLTSTDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANNDGQRMLRMLESRPLEGSRGLSDAVNIKPQISGSLGPIPNVPGPILDRGDRIQQSMSIGNLATMESNRIPDVKPRTADIADKVKSWKFPDITEPSQLKSLRLPDPLTASKVVRLLFTNSGLALLALGSNAVHKLWKWQRNERNPSGKSSASIVPQLWQPSSGALMSNDSSDAKSAEEPAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATYLAFHPQDNNIIAVGMEDSTIQIYNVRVDEVKTKLKGHQKRITGLAFSQSLNVLVSSGADAQLCIWNIDGWEKKKARLVQAPPGHPTPLVGEARVQFHNDQSHILVVHESQIAIYDTQLECLRSWYPRESLSAPISSAIYSCDGMLVFAGFSDGAIGIFDADSFRLRCRIAASAYISSSIGSSSGSAFPVVIAAHPSDANQFALGMNDGSVNVIEPSDSEPKWGSSTSQDNGSLPSIPSSSALNSQPSETASR >itb01g07940.t2 pep chromosome:ASM357664v1:1:6303849:6307617:1 gene:itb01g07940 transcript:itb01g07940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANMEKKWVFHLCISSLICIFLLATSFNMGLLSSLQINSIFSIFPSRLASNQTSSYFAEAKVRQSPPPSSGPSVPRFAYLISGSRGDIEKLWRTLQALYHPRNYYVVHLDLESPAIERLELASRVKKDPIFVQVGNVHMISKANMVTYRGPTMVANTLHACAILLKKHADWDWFINLSASDYPLVTQDDLLYTFSDLKRELNFIEHTSRLGWKAGQRAMPLIIDPGLFQNTKKDIFWVTPRRELPTAFRLFTGSAWMILARPFVEYCIWGWDNLPRTLLMYYSNFVSSPEGYFQTVVCNAPEFVPTVVNSDMHYISWDVPPKQHPHTLTLNDTGKMVESCTAFARKFKRDDPVLDKIDKELLHRRNGSFTPGGWCAGDPSCSKVGDPTELKPGPGAKRLRRLVGKLVLSAKLNQNQCN >itb01g07940.t1 pep chromosome:ASM357664v1:1:6303849:6307617:1 gene:itb01g07940 transcript:itb01g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANMEKKWVFHLCISSLICIFLLATSFNMGLLSSLQINSIFSIFPSRLASNQTSSYFAEAKVRQSPPPSSGPSVPRFAYLISGSRGDIEKLWRTLQALYHPRNYYVVHLDLESPAIERLELASRVKKDPIFVQVGNVHMISKANMVTYRGPTMVANTLHACAILLKKHADWDWFINLSASDYPLVTQDDLLYTFSDLKRELNFIEHTSRLGWKAGQRAMPLIIDPGLFQNTKKDIFWVTPRRELPTAFRLFTGSAWMILARPFVEYCIWGWDNLPRTLLMYYSNFVSSPEGYFQTVVCNAPEFVPTVVNSDMHYISWDVPPKQHPHTLTLNDTGKMVESCTAFARKFKRDDPVLDKIDKELLHRRNGSFTPGGWCAGDPSCSKVGDPTELKPGPGAKRLRRLVGKLVLSAKLNQNQCN >itb14g02010.t2 pep chromosome:ASM357664v1:14:1633303:1635781:-1 gene:itb14g02010 transcript:itb14g02010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSDSTEGIVLNFVNEQNRPLNVQNVADFLQKFNLKKTAVQKALDSLADSGKISFKEYGKQKIYIARQDQFDIPDNEELNRMKEENAKLQEMLDEQRREISEVEGEIKALQSNMTLEEIRARETNQRNEVERKKAMTSFCQKDIFSTEGLLCNILNF >itb14g02010.t1 pep chromosome:ASM357664v1:14:1633303:1635781:-1 gene:itb14g02010 transcript:itb14g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSDSTEGIVLNFVNEQNRPLNVQNVADFLQKFNLKKTAVQKALDSLADSGKISFKEYGKQKIYIARQDQFDIPDNEELNRMKEENAKLQEMLDEQRREISEVEGEIKALQSNMTLEEIRARETNQRNEAEEMEKKLTKLREGVILVSPEERKAVEVLYTETINQWRRRKRMFKDIWDAITENSPKDIKEFKEELGIEYDEDVGVSLQSFADLMQQGKKRSRGQ >itb15g22090.t1 pep chromosome:ASM357664v1:15:24766595:24770532:1 gene:itb15g22090 transcript:itb15g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEIDRADGRTANQLRPLACSRGVLNRAHGSASWSQGDTKVLAAVYGPKAGTKKNENPEKACIEVIWKPKTGQIGKHEKECEMIVKRTLQSICLLTVHPNTTTSVIIQVVNDDGALLPCAINAACAALVDAGIPLKHLAVAICCCLAESKYVLLDPDKLEEQKMKAFVYLVFPNSPHSALPKESLQVGGEPMESGIITSVTHGKLEVDDYLLCLNRGRAASAKLSEYLRRSLQSQLTSEPSNF >itb14g02790.t1 pep chromosome:ASM357664v1:14:2402341:2403133:1 gene:itb14g02790 transcript:itb14g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIVSLVEGVKEKIKGMGSETVAKQIQVKVKEMIADILIDNVVEKFQDWVRGYKHYYYFVFFLLLFLGGCYCCCCGCAAVRGGRRRGKATGKTMKAPGGSGRMGRAEFEKNPKSYFKNLRQKQV >itb07g20630.t1 pep chromosome:ASM357664v1:7:25014666:25015276:1 gene:itb07g20630 transcript:itb07g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLFFMIFLILRLTNTLSLNLPTSTISAAPSLLPFPPSSSPPALSPDITPLFPSPGGSELAPSDSSLPTIPSSPSPPNPDEILAPGPLMAALSPSGSLPVSPSVSLHAPLIMVLALMALHSAL >itb02g25050.t1 pep chromosome:ASM357664v1:2:25807623:25810950:1 gene:itb02g25050 transcript:itb02g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSFCFLKDPDRKEKSKKQSIWRVFSLKELHAATNNFNYDNKLEEDGFGSVYWGQLWDGSQIAVKRLKEWSNTEEVEFAAEVEILARARHKNLLALRGYCSEGQEHLLVYDYVPNFSLLSHLHGQYSADTLLDWKKRISIAVGSAEGIAYLHHHATPYIIHGDIKAGNVLLDSNFQAQVAGFGIAKFFPDDATRVTTRSKGTLGYLAPEYVMLGKESKSCDVYSFGILLLELASGKKPIKKVSSSKNWTITDWALPLACEGKFSELADPTLNGNYVEEELKRIVYVALICAQNQPEKRPTMLEVVELLKGESKQKLAALENDEMFKNLKAATHKDGSAHEDNQEFISEKQVLEPEIGSELN >itb15g02450.t1 pep chromosome:ASM357664v1:15:1488193:1492630:1 gene:itb15g02450 transcript:itb15g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDTHVQNAGDKANLYQLIQAHQEKAARLPPIEEVRTVLEYSLRGMLSTFSQKHEGYPSGSMVDFACDAYGSPILAISNLAVHTKDLLANPKCSLLVAKDPEDRTDLVIIVYGDAVPVAETDKDAVHAAYLARHPDAFWVDFEDFQFLRIEPKVIKYLPGVATGLLVSEFSEEDFRSAKIDPIYQFSKPISSHMNKDHAEDTKLIVQHSTSVTVEFAEMLDVDSLGFNVKAGYQGSTFKLRVPFPRRAVERKDVKTLIIQMLQAAKTHN >itb15g02450.t2 pep chromosome:ASM357664v1:15:1488193:1492128:1 gene:itb15g02450 transcript:itb15g02450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDTHVQNAGDKANLYQLIQAHQEKAARLPPIEEVRTVLEYSLRGMLSTFSQKHEGYPSGSMVDFACDAYGSPILAISNLAVHTKDLLANPKCSLLVAKDPEDRTDLVIIVYGDAVPVAETDKDAVHAAYLARHPDAFWVDFEDFQFLRIEPKVIKYLPGVATGLLVSEFSEEDFRSAKIDPIYQFSKPISSHMNKDHAEDTKLIVQHSTSVTVEFAEMLDVDSLGFNVKAGYQGSTFKLRVPFPRRAVERKDVKTLIIQMLQAAKTHN >itb09g15220.t1 pep chromosome:ASM357664v1:9:10493445:10494297:1 gene:itb09g15220 transcript:itb09g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMKSVWFVFVMIVAAASFLMAAEGTDSTHVSGKVLCQDCTQGWNEWVSGAKPIKGSVVSITCLDERRVMYYGSDLTDEVGGFDLLLNKTWYGKPIKPHNCFLRLVSSPDPVCNIPTDFAGGNSGIKLRRPTVVYRDLTKYEMGPFYYTTPMCDQPDINNDDDAHYNNSETDSNY >itb05g12840.t1 pep chromosome:ASM357664v1:5:19565717:19567610:1 gene:itb05g12840 transcript:itb05g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLDNVVEDVASKAVYKLVQTVAANIDLVRGIGSAIQDLTSDIETFNARLVDASMNPRANQLQVVKVVVKKFRAVVNEAQDAIAKYIALNKKHEDNALAKCLDFIPFPVCENTNVCANEIRSIRTKVNALFQVHEKDLVSLVSYKNNAQDNNGLKPLQDQPIVEEDYVIGFEDDLTTIKARLIEPSNNIVFIPIVGIPGTGKTTFAYQIFKDPNILNKFVHPIWVHVSQSFDRRQKFIEILCQITKRAEDFSKVTEDQLKKRIKDLLKDKRYLIVLDDIWEKKDLDSLKVAFPNNSQGSRVLVTTRFHNVVDSIGKPHILEPLDPDVSWRILEMNIFGKEGCCNDSLKTLGEEIAKRCNGLPLALVVVAGILQKTRTHTDWKRVAENPFLEINRKDQSYHKLVMLSYNHLPHEKLRDCFLYFAYFPMGHEIATSKLIHLWIAEEFIPTIDEWGYTLVEGSCC >itb14g01050.t1 pep chromosome:ASM357664v1:14:800278:802290:1 gene:itb14g01050 transcript:itb14g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKQKMRGHSPGIGHPPKKFEAIKAVGDNQGHSPGIGHPPEKQLLAFNNRELRDTSPGIGHPPKKFEAIKAVGDNQGHSPGIGHPPKKQLLAFNNRELRDTSPGHSPGIGHPPKKQLLAFNNRELCDTSPGHSPGIGRPPKKYEAIKAVGDSQSHSPGIGHPPKKQLLAFNNRELRDTSPGHSPGIGHPPKKYEAIKAVGDNQGHSPGIGHPPKKQLLAFNNRELHDTSPGHNPGIDHPPKKQLLAFNNRELRDTSPGHSPGIGHPPKKFEAIKAVGDSQGHSPGIGHPSKKYEAIKE >itb15g04030.t1 pep chromosome:ASM357664v1:15:2523058:2523486:1 gene:itb15g04030 transcript:itb15g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKRRNTNPTGNDNAADDGDEGGIGNPSLPLAGHEEGEQCGEEGRGGADGLIKRDGEETKRDIPADDGAAKNDAERGDAEELGAGFDPLERHHFEEHNGDVAEDGAGGHVAHGEENGEGEAVVGEEEFVEEKNADVGGVP >itb03g20980.t1 pep chromosome:ASM357664v1:3:18809945:18811808:-1 gene:itb03g20980 transcript:itb03g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTSIGKFARHISQLILVRNRMFARSYSTVVRSPQIPSQGSVKTMGSADHRVDYEVSPKFSGKDSEVEMKRQIGANVSRKDKISFLVKTLLDLKDSKEGIYNALDAWVAWEQNFPIASVKQVILKLEKEHQWHRVIQVIKWMLSKGQGNTRGTYGQLIQALDMDHRAKEAREIWRKKLGYDLHSVPWSLCSLIISIYYRNDMLEDLVKLFKGLEAYGRIPPEKSIVQRVADAYETLGLSKDKECLLEKYKDLFTETQGGKPKKYRGSQAKKKGKAKKED >itb03g20980.t2 pep chromosome:ASM357664v1:3:18809945:18811598:-1 gene:itb03g20980 transcript:itb03g20980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFNCSILIYLFLTMVCQSEKMSNPTSIGKFARHISQLILVRNRMFARSYSTVVRSPQIPSQGSVKTMGSADHRVDYEVSPKFSGKDSEVEMKRQIGANVSRKDKISFLVKTLLDLKDSKEGIYNALDAWVAWEQNFPIASVKQVILKLEKEHQWHRVIQVIKWMLSKGQGNTRGTYGQLIQALDMDHRAKEAREIWRKKLGYDLHSVPWSLCSLIISIYYRNDMLEDLVKLFKGLEAYGRIPPEKSIVQRVADAYETLGLSKDKECLLEKYKDLFTETQGGKPKKYRGSQAKKKGKAKKED >itb14g04850.t1 pep chromosome:ASM357664v1:14:4219422:4222033:-1 gene:itb14g04850 transcript:itb14g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSTLAIMAVVLFVMFFSFCCYAGRPVSEELPLPEETTVWNHNINDPKVLNSGEPDPDEVLINSADGSSASSSSSANRAHRRSRSLIWFSRRLPFGEEPAATASYGVEATPILEIHEYCRGSEFLEISLDDLAIELFSQRDKLNIQVAVTSTAAAPLGV >itb07g01750.t1 pep chromosome:ASM357664v1:7:1052016:1056433:-1 gene:itb07g01750 transcript:itb07g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVGLAAGCASVACVAAAAMVVRRARSWMKWWKVLEVLEELEKGCATPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTHVDNLPNGSEKGTYYALHLGGTNFRVLRVQVGGERSIVVDDVHRQPIPEYLMTGTTEDLFDFIASSLKDFIEKEGSGTEHLQNRRDLGFTFSFPVKQISVSSGILMKWTKGFTIEDMVRRNVAECLEQATSRKGLDVRVAALINDTVGTLALGHYNDEDTVAAVIIGTGTNACYVERAEAIIKCQGLLTTSGSMVVNIEWGNFWSSHLPRTSYDIELDVNSPNPNDQGFEKMISGMYLGDIVRRVLLRMSQESNVFGPVSSKLATPFSLRTPQMAAMHEDDSPDLSEVGRILRETLEIPDTTLPVRKVVVEVCDVVTRRAARMAAAGIVGILKKIGRDGTSGISSGKQRGAKPSRMKRTVVAIEGGLYTSYNLFREYLNEAVTEILGEEISSYVTLRVMEDGSGIGAALLAAAHSGSSVDTVQLG >itb07g01750.t2 pep chromosome:ASM357664v1:7:1052636:1056433:-1 gene:itb07g01750 transcript:itb07g01750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVGLAAGCASVACVAAAAMVVRRARSWMKWWKVLEVLEELEKGCATPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTHVDNLPNGSEKGTYYALHLGGTNFRVLRVQVGGERSIVVDDVHRQPIPEYLMTGTTEDLFDFIASSLKDFIEKEGSGTEHLQNRRDLGFTFSFPVKQISVSSGILMKWTKGFTIEDMVRRNVAECLEQATSRKGLDVRVAALINDTVGTLALGHYNDEDTVAAVIIGTGTNACYVERAEAIIKCQGLLTTSGSMVVNIEWGNFWSSHLPRTSYDIELDVNSPNPNDQGFEKMISGMYLGDIVRRVLLRMSQESNVFGPVSSKLATPFSLRTPQMAAMHEDDSPDLSEVGRILRETLEVNSPVYI >itb01g08880.t1 pep chromosome:ASM357664v1:1:7090783:7093467:1 gene:itb01g08880 transcript:itb01g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhU [Source:Projected from Arabidopsis thaliana (AT5G21430) UniProtKB/TrEMBL;Acc:A0A178UN62] MAATLGNSYLCAQNFLLSPTFKLEVSNNGRNTISLPTTNLINAANYSLYHPRKLVAAVRSSGDDTASATETEETPVELSDGPPSLISALNAEKAIRGIAITDVDHYGILGLQRGCPYEQVLVAYNKKVEEAKNEGLEEEELNNKLQLLQESYRILSTMEERRLYDWSLARSEMGDTYTWPFEVDITQTPKGGTPPPRKPEDERPTRLVGYFILGWLVLAAVLSIALSN >itb09g08340.t1 pep chromosome:ASM357664v1:9:4999836:5004350:-1 gene:itb09g08340 transcript:itb09g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MKNLTILQRMATLSLPTSRSLFARSPNSTFSSLTKRRQFPTALFVFSPATRRSSFSCISAVATDSPDTQLKPLADDDNENSAQKERIVLPTNESSERLLRIRHTCAHVMAMAVQKIYPNAKVTIGPWIENGFYYDFDMEPLTDKDLKRIKQEMDRIIRRNLPLIREEVSRDEAQRRITAVNEPYKIEILDSIKEEPITIYHIGDEWWDLCAGPHVESTGNIDRKAVALESVAGAYWRGDVSKPMLQRIYGTAWETEDQLKAFIHFKEEAKRRDHRRLGQDLDLFSIQDEAGGGLVFWHPKGAVVRHIIEDAWKRIHIERGYDLLYTPHVAKADLWKISGHLDFYKENMFDQMEIENEHYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEGILQQFGFDNYEVNLSTRPEKSVGDDDIWEKATSALKDALNDKGWTYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPERFDMTYVDSDQEKKRPILIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQTRLIPVTDTQLEFCYEVEKRLKASGIRAEVCSGERLPKLIRNAEKQKIPLMAVVGPKEVETDAVTIRSRFGGELGTMQIDDFIRKAKNAIENRTFF >itb10g13420.t1 pep chromosome:ASM357664v1:10:19647217:19652261:-1 gene:itb10g13420 transcript:itb10g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWEQSISQGDSFKHLPVIASPTHYLFQVVREGITFLACTQVEMPPLMAIEFLCRVADIFSDYLGGLNEDLVKDNFVIAYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGSSSNVSNTLPGATATCVPWRKTDLKHTSNEVYVNLVEEMDAIINKEGTLVKCEVYGEIEVNSQLSGIPDLTLSFANPSILNDVQFHPCVRLRPWESNQILSFVPPDGHFKLTSYRVKKLKSTPIYVKPQLSSDSGTCRISLLVGIKNDPGKPIDDVSVQFQLPNCVLSADLSSNLGTVNILTDKTCCWSIGRIPKDKSPSLSGTLVLESGLKRLHVFPTFRVGFKIMGTALSGLKIDKLDIRNLPTRPYKGFRALTRAGEYQVRS >itb01g35820.t1 pep chromosome:ASM357664v1:1:37820418:37822023:1 gene:itb01g35820 transcript:itb01g35820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHMQQRIQYLVSVVFQSLRIVLLLLFWGRQGIGIGIGICSSSAEKLIIPANFVFGDSLVDAGNNNFIATLSKANYAPNGIDLGMPTGRYTNGRTIVDIIGQEMGLAAAGFTPPYLAPTTSGPVILQGVNFASGAAGILNETGNLFGGRINFDAQLDNFANTREDVIRSIGWRAASKLLENAMFSVTIGSNDFINNYFTPLVSTLERNLVPPQLFVNAMISKFRTQLTRVYMMGGRKVVVANVGPVGCIPYQRDINPGAGDACVALPNHLAQLFNNQLRSLVTELNSNLDGSTFVYADVYTIVHDIITNYTAYGFENANSACCYVAGKYGGLIPCGPSSKVCPDRSKYVFWDPYHPTDAANTIIANRLIDGDSRHIWPLNIRQLLSS >itb14g11830.t1 pep chromosome:ASM357664v1:14:13460593:13465559:1 gene:itb14g11830 transcript:itb14g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAAVEIANAGFWFKTGPTLSPSKPGPWRRVVAQNKPNRKPPTPRCSLVDQQQPEAPSSLSSSSSSPFTKEENSLIEALIGIQGRGRSASPQQLQEVEKAVRILEDLEGISNPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDSFSVFQEVYLQTDDPRVSNIVRFSDVIGELKVEAAASVKDGERILFQFDKAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQTGNLRISRGNKGTTFVLQKETDPRQRFLSVISKGTGVAEAIDEFISSNQKDGNSELELIEGEWQMIWSSQVETDSWIENASNGLMGMQIVKPNGQLKFVVNLLLGCRFSMTGTYSKSGGNTYDVAMDDGAIIAGPYGLPTELKSNFVLELLYVDEKIRISRGYNKIVFVHVRVDGSN >itb14g11830.t3 pep chromosome:ASM357664v1:14:13460593:13465117:1 gene:itb14g11830 transcript:itb14g11830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAAVEIANAGFWFKTGPTLSPSKPGPWRRVVAQNKPNRKPPTPRCSLVDQQQPEAPSSLSSSSSSPFTKEENSLIEALIGIQGRGRSASPQQLQEVEKAVRILEDLEGISNPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDSFSVFQEVYLQTDDPRVSNIVRFSDVIGELKVEAAASVKDGERILFQFDKAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQTGNLRISRGNKGTTFVLQKETDPRQRFLSVISKGTGVAEAIDEFISSNQKDGNSELELIEGEWQMIWSSQVETDSWIENASNGLMGMQVCIYHPISSHLKSI >itb14g11830.t2 pep chromosome:ASM357664v1:14:13460593:13463447:1 gene:itb14g11830 transcript:itb14g11830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAAVEIANAGFWFKTGPTLSPSKPGPWRRVVAQNKPNRKPPTPRCSLVDQQQPEAPSSLSSSSSSPFTKEENSLIEALIGIQGRGRSASPQQLQEVEKAVRILEDLEGISNPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDSFSVFQEVYLQTDDPRVSNIVRFSDVIGELKVEAAASVKDGERILFQFDKAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQTGNLRISRGNKCREPHLSSKKKQTQGKDFCLSYPKAQG >itb12g12860.t1 pep chromosome:ASM357664v1:12:11516285:11521495:1 gene:itb12g12860 transcript:itb12g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMCLRRFQKACTLGEPVLAYNSVQLQLNSKWTSLALMIYRETIAGVAPTMEELSLVLGCLQLPRNASLKEQLVENLVFTVDASKGSNLCSLVDGFGEYDPRAFQLLEEAASLGVIPLPSLKSSPIVVDVRDSQIHTAEVYLLAVLRGLKHRLAAGVKIPNIAILLPVEESQLQTPAGEKTIKFAGRISQTVAALLRRLGLPYIGNESHGKIRINGVSVKRWLQPKVDPPFGWKRTDLSLSETRLGKGIAHQQRKIRTGYLSLE >itb12g12860.t2 pep chromosome:ASM357664v1:12:11516285:11519396:1 gene:itb12g12860 transcript:itb12g12860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMCLRRFQKACTLGEPVLAYNSVQLQLNSKWTSLALMIYRETIAGVAPTMEELSLVLGCLQLPRNASLKEQLVENLVFTVDASKGSNLCSLVDGFGEYDPRAFQLLEEAASLGVIPLPSLKSSPIVVDVRDSQIHTAEVYLLAVLRGLKHRLAAGSTIFFSLYFFCWAKILIRVGRTIFLLLGQLYTPVSVSVSLCKLTNDFCENN >itb03g02630.t1 pep chromosome:ASM357664v1:3:1493561:1495585:1 gene:itb03g02630 transcript:itb03g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECRSELSFALPVEPPSLGGRRCAASDVFSSHLWCRERKLVVPACAAQLVTGVKFVSRERELVCVTSGNSYFGAHLIRKLLVRGYMVRATIQNQVNFEEMKELLREEEMKQLESVVVARMTDLDSLCEAFRGCHAVFHTSSFIDPHGISGYTERMAFLETEAAGNVIEACSKAAYARRCILTSSLLASIWKGNNHEHDLDESSWTDEDFCIENKLWFALAKTRAEKVAWRKAMETKVKLVTVCPALVMAPSFPHADTESSLPYLKGGQIMLRQGVLATEDVDKAAEAHVYVYEEMDYGACGRYLCFGRTITTPEEAVLLENRLKMQQGLLSAYESDEIPARLCKSKLTRLLFRTARRLSCKQR >itb03g02630.t2 pep chromosome:ASM357664v1:3:1493561:1495036:1 gene:itb03g02630 transcript:itb03g02630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECRSELSFALPVEPPSLGGRRCAASDVFSSHLWCRERKLVVPACAAQLVTGVKFVSRERELVCVTSGNSYFGAHLIRKLLVRGYMVRATIQNQVNFEEMKELLREEEMKQLESVVVARMTDLDSLCEAFRGCHAVFHTSSFIDPHGISGYTERMAFLETEAAGNVIEACSKAAYARRCILTSSLLASIWKGNNHEHDLDESSWTDEDFCIENKLWFALAKTRAEKVAWRKAMETKVKLVTVCPALVMAPSFPHADTESSLPYLKGNTLHHTLN >itb15g22470.t1 pep chromosome:ASM357664v1:15:25173205:25174631:1 gene:itb15g22470 transcript:itb15g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPHMKPALYRAAAAGTLEDYHEALRQMTAEGAEDCQVAPNGNTVLHVAVLHGNKHFVEEILEEQMAHAAASWSMLFARNNKNETVLHCAAEKGYADIVSVLIKAIKEYEDAESGVGVKEMIQMTDDVMDTALHKAVRMGHLEVVKLLIEEDNEFLYPPNDAGETPIYLAAESHSFYCLEKILETCTNPTYGGPWGRNALHVAILSPGIPTTSWEMLMRSLKSVLGKSLKSILLGHHAAKAGNIFY >itb01g02270.t2 pep chromosome:ASM357664v1:1:1464192:1466880:1 gene:itb01g02270 transcript:itb01g02270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFDVRLSGHHRGRSHGSFHDLSDQPSVIQTSCWANLPTELLFDVIRRLEESESAWPARKHVVACAAVCRSWRSMCKEIVRGPEICGKITFPVSLKQPGPRDGTIQCFIKRDKSNLTYHLFLCLSPTLLVENGKFLLSAKRTRRTTCTEYVISMNAENISRSNNTYIGKLRSNFLGTKFLIYDTQPPCTGVPIGAPGRTSRRFSSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCIMHCIPASALDADGTVPGQPELLPRPLEDSFRSISFSKALDHSTEFGSARFSDVGVSSSSNEDNDDDGKAPPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPPPPPPPAGGPTTSQPPSQPDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >itb01g02270.t1 pep chromosome:ASM357664v1:1:1462808:1466880:1 gene:itb01g02270 transcript:itb01g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFDVRLSGHHRGRSHGSFHDLSDQPSVIQTSCWANLPTELLFDVIRRLEESESAWPARKHVVACAAVCRSWRSMCKEIVRGPEICGKITFPVSLKQPGPRDGTIQCFIKRDKSNLTYHLFLCLSPTLLVENGKFLLSAKRTRRTTCTEYVISMNAENISRSNNTYIGKLRSNFLGTKFLIYDTQPPCTGVPIGAPGRTSRRFSSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCIMHCIPASALDADGTVPGQPELLPRPLEDSFRSISFSKALDHSTEFGSARFSDVGVSSSSNEDNDDDGKAPPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPPPPPPPAGGPTTSQPPSQPDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >itb03g15450.t3 pep chromosome:ASM357664v1:3:14793163:14794428:1 gene:itb03g15450 transcript:itb03g15450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEDRRILRWIKTVFFLISMLISLVLLSAPIVLVIADTLLPSALLSAALSPAAVSLQTLSSLLRNYDFRSSLIDIPLLSIIRSAIILCVYSLCDGPRLSRGPYLGIATVCSVSSFVFLSLKASYIFGNSSRWGVNGGGSYSRAMEMALFFCSFAMAIAHILVAYRISCRERRKLLVYKIDIEAVSFLFLFFFQSQNKRSNQEN >itb03g15450.t1 pep chromosome:ASM357664v1:3:14793163:14796302:1 gene:itb03g15450 transcript:itb03g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEDRRILRWIKTVFFLISMLISLVLLSAPIVLVIADTLLPSALLSAALSPAAVSLQTLSSLLRNYDFRSSLIDIPLLSIIRSAIILCVYSLCDGPRLSRGPYLGIATVCSVSSFVFLSLKASYIFGNSSRWGVNGGGSYSRAMEMALFFCSFAMAIAHILVAYRISCRERRKLLVYKIDIEAVSACKNGFSRYPKVLLQERVK >itb03g15450.t2 pep chromosome:ASM357664v1:3:14793163:14796302:1 gene:itb03g15450 transcript:itb03g15450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEDRRILRWIKTVFFLISMLISLVLLSAPIVLVIADTLLPSALLSAALSPAAVSLQTLSSLLRNYDFRSSLIDIPLLSIIRSAIILCVYSLCDGPRLSRGPYLGIATVCSVSSFVFLSLKASYIFGNSSRWGVNGGGSYSRAMEMALFFCSFAMAIAHILVAYRISCRERRKLLVYKIDIEAVSACKNGFSRYPKVLLQERVK >itb05g25380.t1 pep chromosome:ASM357664v1:5:29803793:29805351:-1 gene:itb05g25380 transcript:itb05g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISARRVIQRSTSSFKSVLTGNQPRTISGGPSALGGIPTSRRCSSFIRRLPVELGCVESLMPLHSRIASSLLRSKLSSEVGNWGFLSEGFATTL >itb13g11910.t1 pep chromosome:ASM357664v1:13:17254571:17260008:1 gene:itb13g11910 transcript:itb13g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQTCSRGALLPPTPTQQQQSRNIKTHLIISNYHTNYNNLIKLDSVTTPTLPFSASASPLLLLLLLLLPLLVSFVSKKERALSRTVRVSALRGMEGLIALVNRIQKACTILGDYGDDRSLPTLWDALPTIVVLGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLILQLYKIEPGQQEYAQFLHSGNRRFTDFSLVRKEIQDETDRVTGKTKQISPLPINLSIYSPNVVNLTLVDLPGLTKVAVEGQPESIVREIEDMVRSYVEKPNCIILAITPANQDVATSDAIKIAREVDPSGERTIGVLTKIDLMDKGTNALDVLEGRSYRLQNPWVGIVNRSQADINRNVDMMVSRHNEREFFARSPEYRHLASRMGSEYLAKLLSKHLESVIKARIPAILSLINRSIDELETELSHLGRPVTVDAGAQLYTILELCRAFNRVFKEHLEGGRPGGDRIYGVFDYQLPAAFRKLPLDRHLSLQNVKKVVTEADGYQPHLIAPEQGYKRLIDGALNYFRGPAEAAVDAVHFILKELVRKSIGETQELRRFPTLQTDISNAANEALERFRDDSRRTVLRMVDMESSYLTVDFFRKLPQEVDKVGNPTVSTADRYTEGHFRRISLNVSAYTGMVCETLQNTIPKAVVYCQVREAKRTLLDHFYTQLGKMEVHL >itb09g06310.t1 pep chromosome:ASM357664v1:9:3622171:3625063:-1 gene:itb09g06310 transcript:itb09g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSPENSTEYYVGIMFNVPSMAVVWVANRDKGMDDSRGSMGISEDGNLVVLDGEKRLVWKSNISTSSPANTSAQLLDTGNLVLKDSSSGRYLWESFGETSDTFLEKMKIGSGVMSLDMMNELRSWKSPWDPSPGSFSYRLQPQSIPEVVVIQNDSKLYWRSGPWNKQIFIGLPHMNSFYNSGIQIINDNAGGMAYITYTNMKQFNKLHNVLNSTGCFLERYWDEEKNQWVVSWESCGSGQCDMYGKCGPFGVCDPSASNSCSCLQGYKPRNEMEWGNGNWSSGCIRNAALQCHRNNSDEAKTKKDGFLKLQMVKVPDFALWVSSVYDTCETDCLTNCSCIAYSYYTGIGCMHWSEDLIDIQQFSMGGADLYIRLPYSELDQKKGRKDHKVIIIVITLLSIGSLVIASCMYSGLVKHRGKKWNHIIHWGRISSKNMHREGASQGKLDDGQDIAVKRLSESSSQGQKEFMNEVVVISKLQHRNLVRLLGCCIERGEKMLVYEFMPNGSLDALLFDPNKEVFLDWRERFMIIEGIGRGLLYLHRDSRLRIIHRDLKTSNILLDEQLNPKISDFGLAKIFGGNQNQASTQRVVGTYGYMAPEYAMNRRFSEKSDVYSFGVLLLEIVSGRKNSAFYHDDFAISLVAHAWRLWNLEKIEEVADPEVYEMRFKMSIKRCVHVGLLCVQECANDRPNVSTILLMLSSDIAELPYPKQPAFIGRQSSPDNKSSGSLNGVTISNIEGR >itb15g22680.t2 pep chromosome:ASM357664v1:15:25468745:25470766:1 gene:itb15g22680 transcript:itb15g22680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLQCYAEWEENKGTAVGVGKGGVIVGGNYRGKPVFVGVTPSANPFAYLYAATEDQLHDDPNVALFFKKNDLQQGNHMKLHFTKTTNAATFLPRSAAGKIPFSAVKLPQILSRFSLSPDSKEARVMKKTLKECEEPAMMGEEKLCATSLESMVDFSVSQLGKNVKAISTEGGRKREVPQKYAISGSKNMGKDGKAVVCHKQSYAYAVFYCHKTQSTEVYEVSLVGVDDGAKVKAVAVCHKDTSAWNPNHLAFQVLKVKPGTVPVCHFLPEDHVVWVN >itb15g22680.t1 pep chromosome:ASM357664v1:15:25468222:25470766:1 gene:itb15g22680 transcript:itb15g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLLGIFSFLSVAVVACHAALSPEAYWKSVIPNTPMPGAVKDSLSTTTEWEENKGTAVGVGKGGVIVGGNYRGKPVFVGVTPSANPFAYLYAATEDQLHDDPNVALFFKKNDLQQGNHMKLHFTKTTNAATFLPRSAAGKIPFSAVKLPQILSRFSLSPDSKEARVMKKTLKECEEPAMMGEEKLCATSLESMVDFSVSQLGKNVKAISTEGGRKREVPQKYAISGSKNMGKDGKAVVCHKQSYAYAVFYCHKTQSTEVYEVSLVGVDDGAKVKAVAVCHKDTSAWNPNHLAFQVLKVKPGTVPVCHFLPEDHVVWVN >itb02g13690.t1 pep chromosome:ASM357664v1:2:9912145:9921217:-1 gene:itb02g13690 transcript:itb02g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESTFDHRFQRTDQWMPVYSWLESLDTDDVVKSKDILEWLTENAEIREQLSSRHSRYHLMHYIKKCHVKILKRKGKKVLTFSSSVRSAKFLESREEKQPAMIQCAGNSLSSLPKDSEIYKTKQAEAIRKYELLLEFEKQLLGAFPSEKM >itb11g13780.t2 pep chromosome:ASM357664v1:11:10821409:10826086:-1 gene:itb11g13780 transcript:itb11g13780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRRLSCWIWALLGIFLIAGFFLFIMHHQHDREDHVEQPVLDIDSKNEQVIHEHLNFTQEILSARSYARQLTEQMTLAKAYVVIAKEHNNLHLAWQLSSKIRSCQFLLSRAAMREEPISLDEAEPIIRSLSSLIFKAQDAHYDIATTMITMKSHIQALEERANAASVQKTVLGLLSAESLPKNLHCLDVKLTADWVKKKPLQDLADEKRNSPRLVDNNLYHFCLFSDNLLAVSVVINSTVSNADHPQQFVFHIVTDGINYGAMQAWFLSNDFKGSTIEVQNIEDFTWLNASYSPVVKQLQDTDSRGYYFGGPQDINIDDPNFQNPKYTSLLNHLRFYVPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRLYKYVNFSNPLISSKFDPQACGWAFGMNVFDLIAWRKENVTARYHYWLDQNADGMIWKLGTLPPALLAFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGNMKPWLKLGIGRYKPLWERYVNQSSAYIQDCATY >itb11g13780.t1 pep chromosome:ASM357664v1:11:10820690:10826086:-1 gene:itb11g13780 transcript:itb11g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRRLSCWIWALLGIFLIAGFFLFIMHHQHDREDHVEQPVLDIDSKNEQVIHEHLNFTQEILSARSYARQLTEQMTLAKAYVVIAKEHNNLHLAWQLSSKIRSCQFLLSRAAMREEPISLDEAEPIIRSLSSLIFKAQDAHYDIATTMITMKSHIQALEERANAASVQKTVLGLLSAESLPKNLHCLDVKLTADWVKKKPLQDLADEKRNSPRLVDNNLYHFCLFSDNLLAVSVVINSTVSNADHPQQFVFHIVTDGINYGAMQAWFLSNDFKGSTIEVQNIEDFTWLNASYSPVVKQLQDTDSRGYYFGGPQDINIDDPNFQNPKYTSLLNHLRFYVPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRLYKYVNFSNPLISSKFDPQACGWAFGMNVFDLIAWRKENVTARYHYWLDQNADGMIWKLGTLPPALLAFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGNMKPWLKLGIGRYKPLWERYVNQSSAYIQDCATY >itb03g06460.t3 pep chromosome:ASM357664v1:3:4709578:4715188:-1 gene:itb03g06460 transcript:itb03g06460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDNESCGSRAMEPSSPKHIWQQRQRFEVFSEVLSRLRDLNLDDVNLPGFEDQLWLHFSRLPARYVSDVNVERAEDVLMHKRLLNLAEDPDNRPAFEVRLVQVHPIHQGGSADSIKMISAMKDEAQSFHPPPTFGSSPNLQALELHDNNDHHNDPESDINSTSGTLRPMHEITFSTVDKPKLLSQLTSILSEVGLNIEEAHIFSTTDGFSLDVFVVDGWPYEETEMLRGEIQKEILKTKEQPQLIPTPTDDQNQTPPESNSECVKIPTDGTDDWEIDARLLKFENKVASGTFGDLYKGTYCSQDVAIKVLKAEHLNVDMLKEFSQEVFIMRKIRHKNVVQFIGACTRQPNLCIVTEFMSRGSVYSFLHKQKGNFKLPTLLKVAIDVSKGMSYLHQNKIIHRDLKTANLLMDEHGVVKVADFGVARVQAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVVWELLTGEVSLVAFCYRKVVPVVALSLTLSSCCIYKWADSICLPNTFTSSPWCCATGATANSPQGYTS >itb03g06460.t2 pep chromosome:ASM357664v1:3:4709578:4714441:-1 gene:itb03g06460 transcript:itb03g06460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMKDEAQSFHPPPTFGSSPNLQALELHDNNDHHNDPESDINSTSGTLRPMHEITFSTVDKPKLLSQLTSILSEVGLNIEEAHIFSTTDGFSLDVFVVDGWPYEETEMLRGEIQKEILKTKEQPQLIPTPTDDQNQTPPESNSECVKIPTDGTDDWEIDARLLKFENKVASGTFGDLYKGTYCSQDVAIKVLKAEHLNVDMLKEFSQEVFIMRKIRHKNVVQFIGACTRQPNLCIVTEFMSRGSVYSFLHKQKGNFKLPTLLKVAIDVSKGMSYLHQNKIIHRDLKTANLLMDEHGVVKVADFGVARVQAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVVWELLTGEIPYAFLTPLQAALGVVQQGLRPTVPKDTHPKLVELLEKCWQPDPNQRPEFSEILEILLRIAKGVGDDGEEKHKEKSIGSFFHSFRKGHH >itb03g06460.t1 pep chromosome:ASM357664v1:3:4709578:4715188:-1 gene:itb03g06460 transcript:itb03g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDNESCGSRAMEPSSPKHIWQQRQRFEVFSEVLSRLRDLNLDDVNLPGFEDQLWLHFSRLPARYVSDVNVERAEDVLMHKRLLNLAEDPDNRPAFEVRLVQVHPIHQGGSADSIKMISAMKDEAQSFHPPPTFGSSPNLQALELHDNNDHHNDPESDINSTSGTLRPMHEITFSTVDKPKLLSQLTSILSEVGLNIEEAHIFSTTDGFSLDVFVVDGWPYEETEMLRGEIQKEILKTKEQPQLIPTPTDDQNQTPPESNSECVKIPTDGTDDWEIDARLLKFENKVASGTFGDLYKGTYCSQDVAIKVLKAEHLNVDMLKEFSQEVFIMRKIRHKNVVQFIGACTRQPNLCIVTEFMSRGSVYSFLHKQKGNFKLPTLLKVAIDVSKGMSYLHQNKIIHRDLKTANLLMDEHGVVKVADFGVARVQAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVVWELLTGEIPYAFLTPLQAALGVVQQGLRPTVPKDTHPKLVELLEKCWQPDPNQRPEFSEILEILLRIAKGVGDDGEEKHKEKSIGSFFHSFRKGHH >itb15g00140.t1 pep chromosome:ASM357664v1:15:94487:96213:-1 gene:itb15g00140 transcript:itb15g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >itb02g15440.t1 pep chromosome:ASM357664v1:2:11241170:11243969:1 gene:itb02g15440 transcript:itb02g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNIHRLAHLLSLHSVAGKLSLLSVCRGYSTEKCCIPNLLIDSNSSNEYRSARECYRLLGLSRNGTRLLTKGPSHWRNEHFCTAAGNSDPGNASQKEKISVTFVDKDGEEQQIKVPLGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPEDEENDMLDLAFGLTETSRLGCQIIAKPELNGIRLAIPAATRNFAVDGFKPKPH >itb02g15440.t2 pep chromosome:ASM357664v1:2:11241205:11243676:1 gene:itb02g15440 transcript:itb02g15440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNIHRLAHLLSLHSVAGKLSLLSVCRGYSTEKCCIPNLLIDSNSSNEYRSARECYRLLGLSRNGTRLLTKGPSHWRNEHFCTAAGNSDPGNASQKEKISVTFVDKDGEEQQIKVPLGMSMLEAAHENDIELEGACEGSLACSTCHDMEYYNKLEDPEDEENDMLDLAFGLTETSRLGCQIIAKPELNGIRLAIPAATRNFAVDGFKPKPH >itb12g19400.t1 pep chromosome:ASM357664v1:12:21807924:21817515:-1 gene:itb12g19400 transcript:itb12g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGDKGRPSKKMKFSSKEEHRSVEEDGPYYADEINDDSQDEGKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIVSVLSKLSKTKLPKEMIDFIYASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARISSEGVHGNDGFTVSKSAGEIEGRHDELLNEAELAAAAEEKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILEAFKTSKAVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITNLPPPDSGPELSYHHLDDQLALLGKVLSAGDDAVGLEQLEEDADDIALQKARRSMGSMSAMSGANGMVYMEYNAGKKGPGGHVQKSKPKDPSKRHHLFKKRFG >itb12g19400.t2 pep chromosome:ASM357664v1:12:21808014:21817500:-1 gene:itb12g19400 transcript:itb12g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGDKGRPSKKMKFSSKEEHRSVEEDGPYYADEINDDSQDGKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIVSVLSKLSKTKLPKEMIDFIYASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVIGRARISSEGVHGNDGFTVSKSAGEIEGRHDELLNEAELAAAAEEKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILEAFKTSKAVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITNLPPPDSGPELSYHHLDDQLALLGKVLSAGDDAVGLEQLEEDADDIALQKARRSMGSMSAMSGANGMVYMEYNAGKKGPGGHVQKSKPKDPSKRHHLFKKRFG >itb06g06860.t1 pep chromosome:ASM357664v1:6:9669190:9671000:1 gene:itb06g06860 transcript:itb06g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWELGFGVLVVFSFLAALSFFLGSPFYVKPKPKKSLITGFIQVIVASYRNRHLHISSSGQKDSSVSYHSQGTKPILPTQTLRQVPLTRRCIVEDPQRDLTWDGRAVDPWRLCRVDQVEELKALIRVIPIWMTGAIMSINANQSSFAVLQATSMDRHIGPNLEIPAGSVTIFAFVSVIIWIVAYDRLIMKGAREGHLSFN >itb07g11450.t1 pep chromosome:ASM357664v1:7:12900489:12900822:-1 gene:itb07g11450 transcript:itb07g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNCGKSSFLRNVRKFSQSQLVFQLCSFSDIAQKKTKLAPLQEQRMIDRFRIWAKGGDGGNGCNSFRRSRHNRFGRPDGWISQKT >itb11g08780.t1 pep chromosome:ASM357664v1:11:5750235:5752916:-1 gene:itb11g08780 transcript:itb11g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARSLSTKEADIQMMLAAEVQLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPKDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQLQTSYSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDICIPANNKGKHSIGVLFWLLARMVQQMRGAVAPGHKWDIMVDLFFYREPEEAKEKEEELPAIADYTDYATTTLGGAEWSAANIPDSHWSGDAAPVPVVTGEWTAETVDGGWDAAAPPAVPVPAGVDVVPAATGWD >itb09g29780.t1 pep chromosome:ASM357664v1:9:30533577:30534359:-1 gene:itb09g29780 transcript:itb09g29780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINAGGSFACGVNTTDFVICKGSNENGEFLCRSTDVHAGASYSLQRPTSSGMSSTVESFSGPRPPQQQRRRCLLAGGGVSGGRKAERDVVVSKSVRA >itb01g13840.t2 pep chromosome:ASM357664v1:1:14870476:14875495:1 gene:itb01g13840 transcript:itb01g13840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKSSEAERSSNSAANDDPSPFPQELADVSLKMPPRYLGFGSSISEKSSPQSPSVTNGGSLMGGFLRALSFKKKTAAPDGEQSSLIRPDSQPSLQRPLLGEKSSLIRPDSQPALRRPLLANPVSRVIWKRCTSLPARAASKSSPSASAPAFARTSDEWQKSQSLRTGTSQATVTRTPSAKNVVIVRSTSFAKREDCDPDSCDEITPAPVDEDQEIPEEEAVCRICLEACDEGNTLKMECSCKGDLRLVHEECAIKWFSMKGNKNCEVCQQEVLNLPVTLHFVPSTTQGNIVLDHNQLTLNAQRISAWQDFVVLVLVSTVCYFFFLEKLLIHGMKTKALVIATTFSFTLGLLASIFAVIQAIKQYIWAYAALEFALVAMILCLFYSVLHLPPIYSITLSSVLGFGAAMGLNFMYSRYYALRVQLSPSSSAV >itb01g13840.t3 pep chromosome:ASM357664v1:1:14870476:14875495:1 gene:itb01g13840 transcript:itb01g13840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKSSEAERSSNSAANDDPSPFPQELADVSLKMPPRYLGFGSSISEKSSPQSPSVTNGGSLMGGFLRALSFKKKTAAPDGEQSSLIRPDSQPSLQRPLLGEKSSLIRPDSQPALRRPLLANPVSRVIWKRCTSLPARAASKSSPSASAPAFARTSDEWQKSQSLRTGTSQATVTRTPSAKNVVIVRSTSFAKREDCDPDSCDEITPAPVDEDQEIPEEEAVCRICLEACDEGNTLKMECSCKGDLRLVHEECAIKWFSMKGNKNCEVCQQEVLNLPVTLHFVPSTTQGNIVLDHNQLTLNAQRISAWQDFVVLVLVSTVCYFFFLEKLLQLNSTYGPTQL >itb01g13840.t1 pep chromosome:ASM357664v1:1:14870476:14874834:1 gene:itb01g13840 transcript:itb01g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKSSEAERSSNSAANDDPSPFPQELADVSLKMPPRYLGFGSSISEKSSPQSPSVTNGGSLMGGFLRALSFKKKTAAPDGEQSSLIRPDSQPSLQRPLLGEKSSLIRPDSQPALRRPLLANPVSRVIWKRCTSLPARAASKSSPSASAPAFARTSDEWQKSQSLRTGTSQATVTRTPSAKNVVIVRSTSFAKREDCDPDSCDEITPAPVDEDQEIPEEEAVCRICLEACDEGNTLKMECSCKGDLRLVHEECAIKWFSMKGNKNCEVCQQEVLNLPVTLHFVPSTTQGNIVLDHNQLTLNAQRISAWQDFVVLVLVSTVCYFFFLEKLLIHGMKTKALVIATTFSFTLGLLASIFAVIQAIKQYIWAYAALEFALVAMILCLFYSVILQIVSVSCPAT >itb09g02630.t1 pep chromosome:ASM357664v1:9:1494355:1495168:-1 gene:itb09g02630 transcript:itb09g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPGAWLAKFPRKSLQFSAAPAARRCMSKMGGTNRRSKADVAAAMEEEDEKRWEEVSPSWAPHPRTGIYFPAGHERVMDDVPSGSASLNQTYWLRSVDGVDKPDPDVVDYQTYGVRRHY >itb03g22250.t2 pep chromosome:ASM357664v1:3:20317409:20322941:1 gene:itb03g22250 transcript:itb03g22250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDNSETASTRDDDGSLICAVSTQFSSPSHGGLESPSVEELLGNHSDDVIIFNNCDSASVSVVLDSPVTSLSPRDDFCQQTPPSFPELVVSEREQHLTDGGILHADIESISSNVHSSSSAESSHEPRRNNRRLFWDAFSRRRLRNNADSRTSVFSNDDSDDVGPHERWPHDLRGGAFHNGVGGNFRSHQNRSHGSNDQHHSRSEVQERHHSGITASNNPIANCPSGIHLDGACSCESVLMTDESGSRASISRIVMLAEALFEVLDEIHRQPAPLSLSMASLPAPEAVVDSFPVKDHIKKEGLFDGNDVTKCYICLAEYEEGDQIRVLPCHHEFHMSCVDKWLKEIHGVCPLCRGDVQEGFMEDTTTNADIPSPFS >itb03g22250.t1 pep chromosome:ASM357664v1:3:20317406:20322941:1 gene:itb03g22250 transcript:itb03g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSRLGAHPRPHRPSRTRSVKRTLSSLLICGASPSDSAHDQIEDHSAELLINSAKHIRSKKLKPPSRRSAAALTCSKIEIGVSSAGNVVDGPSNVNGSNTGQSFSENKEVAPNSIRSETTHTAPGDQPFPISLYRMENVDNSETASTRDDDGSLICAVSTQFSSPSHGGLESPSVEELLGNHSDDVIIFNNCDSASVSVVLDSPVTSLSPRDDFCQQTPPSFPELVVSEREQHLTDGGILHADIESISSNVHSSSSAESSHEPRRNNRRLFWDAFSRRRLRNNADSRTSVFSNDDSDDVGPHERWPHDLRGGAFHNGVGGNFRSHQNRSHGSNDQHHSRSEVQERHHSGITASNNPIANCPSGIHLDGACSCESVLMTDESGSRASISRIVMLAEALFEVLDEIHRQPAPLSLSMASLPAPEAVVDSFPVKDHIKKEGLFDGNDVTKCYICLAEYEEGDQIRVLPCHHEFHMSCVDKWLKEIHGVCPLCRGDVQEGFMEDTTTNADIPSPFS >itb07g17160.t1 pep chromosome:ASM357664v1:7:21367678:21371197:1 gene:itb07g17160 transcript:itb07g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSRQNPTRSPSFIPRYVIILAFVSLALLLLFEVDNLISRTKTIVGHNLEPTPWHVFPAKEFEDESTYSRASKILQCSYLTCSSRAGNSTTTTTDILGESTRSSNIYDDPKIIRESPECPDFYKYIYYDLKPWAKSRISRAHVTEAQKFAAFRVVIVQGKLYVDFYFACVQSRAMFTIWGLLQLIRKYPGKIPDVDLMFDCMDKPTINRTENAEMPLPLFRYCTTPQHFDIPFPDWSFWGWSEINIGPWDEEFNNIKQGSKLRSWARKWPVAYWKGNPDVSSPIRTELLQCNDTRMWRAQIFRQDWLEEAKSGFEQSKLSNQCQHRYKIYAEGYAWSVSLKYILACGCVPLIITPEYEDFFSRGLIPKQHYWPIPPFDMCRSIKVAVEWGNDHLYEAAGIGNAAQEFMENLSMDRIYDYMYHLIREYAKLQDFVPVPPSSAQEVCLDSVLCFADEKQREFLERTTAFPSPTPPCTLPPPDMKLLKKAMKMKRKIIDNLPLSG >itb03g04760.t1 pep chromosome:ASM357664v1:3:3105516:3105947:1 gene:itb03g04760 transcript:itb03g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNISAKASNYIGVRKRPWGKYAAEIRDSTQNGKRVWLGTFNSAEDAALAYDQAALAMRGMSACLNFPAEIVRKSLEELDCKEGTSMAAALKEKHKKRTRRGKGNSPSCEKEAERNNGVLVFEDLGSDLLDELLSETSSSCM >itb06g16470.t1 pep chromosome:ASM357664v1:6:20646437:20649707:1 gene:itb06g16470 transcript:itb06g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYGAAEQTGFAFYGDDQEEDELQYEEENQPELYSGGGEDAEPPPICSADDIAASQELKHSLNDSSSSAGKLFVGGIAWETSEESFSRYFSKYGEIVDSVIMMDKVSGRPRGFGFVTFVDAEVASKVLQEEHVIDGRVVEVKRTVPREDMPLRGVSKTKKIFIGGIPISLTEGGLKEYFSSYGNIVECQIMLDHNTGRSRGFGFVSFDNEDAVEKVLCDGRMHELSGKQVEIKRAEPKRAGIDHPSESRMSHAGGSSLNPYGNFNNVAEEYGSGYGGQMSKGYGGFGSYGAYGHYMGNYGMNSAAFYGGYGGYGYGFGFGGAMYGAAGYGGNNYGAAPGNYGGAKGHGSGYDGSKGHGNVGYGAPKGHGRGGYGNNGAAGGRFHPYRK >itb06g16470.t2 pep chromosome:ASM357664v1:6:20646437:20649707:1 gene:itb06g16470 transcript:itb06g16470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYGAAEQTGFAFYGDDQEEDELQYEEENQPELYSGGGEDAEPPPICSADDIAASQELKHSLNDSSSSAGKLFVGGIAWETSEESFSRYFSKYGEIVDSVIMMDKVSGRPRGFGFVTFVDAEVASKVLQEEHVIDGRVVEVKRTVPREDMPLRGVSKTKKIFIGGIPISLTEGGLKEYFSSYGNIVECQIMLDHNTGRSRGFGFVSFDNEDAVEKVLCDGRMHELSGKQVEIKRAEPKRAGIDHPSESRMSHAGGSSLNPYGNFNNVAEEYGSGYGGQMSKGYGGFGSYGAYGHYMGNYGMNSAAFYGGYGGYGYGFGFGGAMYGAAGYGGNNYGAAPGNYGGAKGHGSGYDGSKGHGNVGYGAPKGHGRGGYGNNGAAGGRFHPYRK >itb02g16440.t1 pep chromosome:ASM357664v1:2:12316037:12321866:-1 gene:itb02g16440 transcript:itb02g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSATIFSICPTTSAGSKPSRQKAFGLKFNSRRAAESVRCELETSFLGKESSAALRQSFALKAQKENKRSWNYIQPQASYKVSILGAAGGIGQPLALLVKMSPLVSALNLYDIANVKGVAADLSHCNTPSKVSDFTGASELANSLKDVNVVVIPAGVPRKPGMTRDDLFNINASIVRTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKKKGVYDPKKLFGITTLDVVRANTFVAQKKNLRLIDVDVPVIGGHAGITILPLLSKAKPSTTFTDEEVQELTARIQNGGTEVVEAKAGAGSATLSMAYAAARFLESSLRALDGDSDVYECAYVQSDLTDLPFFASRVKLGRNGVEAFISSDLHGLTEYEEKALEALKPELKASIEKGISFVQKEAATA >itb04g11900.t1 pep chromosome:ASM357664v1:4:11511434:11513438:-1 gene:itb04g11900 transcript:itb04g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCHVLLVIFPGQGHINPSLQFAKRLVNLGAKVTLSTGFSAMNHMSRPQIPGLDFAPFSDGYDAGLKPDDLNDFWASMKTRGSDALAKLITARKEEGRPFTRLIYTTVMAWAGVVARGLHVPATLLWIQPATVLDIYYHYFTEYGDLFRNCSGDQVVEVPGVPCLAVRDFPSFLFSTETNVNYDLDWAIEAMRDQIEEINVEENPKVLVNTFDALEFDALRAIKKVRMVGIGPLIPSAYLDGKDPSDTAFGGDLRQNSNDYVEWLDSQPKSSVVYLAFGSYADVPNTILEEIAQGLVNSKLPFLWVLRETYKGEKPEEKLRCKEELEGQGKIVRWCAQVEVLQHPSIGCFLTHCGWNSTLESLASGVPLVACPLWNDQFSNAKLIQDVWKMGVRVSGNEEGVVTADEYKRCIECVMGGGEKGEELRKNAKKWKDLAKAAMKEDGSSYLNLKAYMDEMLTLKSIN >itb15g01150.t1 pep chromosome:ASM357664v1:15:658966:660797:1 gene:itb15g01150 transcript:itb15g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKGKYADELIANATYIGTPGKGILAADESTGTIGKRLQSINTENNEANRRTLRELLFTTPGALQYLSGVIMFEETLYQSTSSGKKFVDLLKEGKVLPGIKVDSGTVELPGTNGETTTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGTTEPTQLAINENANGLARYAIICQQNGLVPIVEPEILVDGPHDIDRCADVSERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDCKTRAKPEVIAEYTVRALQRTMPAAVPAVVFLSGGQSEEEATVNLNAMNKLKTKKPWSLTFSYGRALQQSTLKAWGGKQENYKAAQDVFLARCKANSEATLGTYAGSANLAEGASESLHEKDYKY >itb03g03460.t3 pep chromosome:ASM357664v1:3:2017321:2019310:-1 gene:itb03g03460 transcript:itb03g03460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCSYVLLKPMANLRVIVAYSQEAPIVTVFPDGGTINQQFEPVLDPNLAKNTVPVDTQSNCRSHIKQKMNNTENYATYVDVDIEKGKLEVPKAKCADETAGSLKMEDSLVRILQREITSQLGGKFMQLLMNNSLELPKLISRDKCVNEKSYDMSTNRLRKYKRSASFNSRRVVLLFSILSSMGTLVLIYLTLRVKQIAESGNV >itb03g03460.t2 pep chromosome:ASM357664v1:3:2017321:2019310:-1 gene:itb03g03460 transcript:itb03g03460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTENYATYVDVDIEKGKLEVPKAKCADETAGSLKMEDSLVRILQREITSQLGGKFMQLLMNNSLELPKLISRDKCVNEKSYDMSTNRLRKYKRSASFNSRRVVLLFSILSSMGTLVLIYLTLRVKQIAESGNV >itb03g03460.t1 pep chromosome:ASM357664v1:3:2017321:2020950:-1 gene:itb03g03460 transcript:itb03g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSAEYSQEAPIVTVFPDGGTINQQFEPVLDPNLAKNTVPVDTQSNCRSHIKQKMNNTENYATYVDVDIEKGKLEVPKAKCADETAGSLKMEDSLVRILQREITSQLGGKFMQLLMNNSLELPKLISRDKCVNEKSYDMSTNRLRKYKRSASFNSRRVVLLFSILSSMGTLVLIYLTLRVKQIAESGNV >itb05g08090.t1 pep chromosome:ASM357664v1:5:10866060:10868955:1 gene:itb05g08090 transcript:itb05g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKLLYASPPAPSPPTDLADEAAWVWMQIKAEARRDAEAEPALASYLYSTIISHSSLDRSLSFHLGNKLCSSTLLSTLLYDIFINAFSTDPELRAATVADLLAARYRDPACVSFSHCLLNYKGFLACQAHRVAHKLWNQSRRPLALALQSRISDVFAVDIHPAARIGKGILFDHATGVVVGETAVIGNNVSILHHVTLGGTGKVGGDRHPKIGDGVLIGAGATILGNVKIGVGAKIGAGSVVLIDVPPQTTAVGNPARLVGGKEQPTKHEGVPGESMDHTSFISEWSDYII >itb07g24210.t1 pep chromosome:ASM357664v1:7:28473587:28477696:-1 gene:itb07g24210 transcript:itb07g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLTLMTFNLLEDQPEDSPNSWDKRKDLCVSVITSYSPMILCTQQGVKSQLDYLQQCLTGYDQFGISRKGAEDPSDQHCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGSTVPCIATWATFQLKGVEPPGFSFQIVNTNMDEFSARARRRGALLTWQHIASLPPSLSVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPNSRVRKNMSLIRTYHGFKGDKQGPLEFFKLILRALCLCWDRQTQDLHVDWILFRGRSLIPASCEVVNDNIDGFYPSSHYPIFTEFVLPRSVRLIETPAQEDGN >itb09g11010.t1 pep chromosome:ASM357664v1:9:6887261:6889032:-1 gene:itb09g11010 transcript:itb09g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSCSRREKEGAVDQRRSFSFSSLDFNSSFGPISTDEDESIVDQGGYSDDDDDNDDAYIEINLDHPPPPLPPKCRNGNEGKREGGDDLEFRVSFLEAALPFIGISSPQSNSYYSPPVDWPPAPNKRKVPLFSPVNHLLNTLEGSQIDDSIGDDHFQNSAISNDASKQLVLSMTRARSRTAADGGGVMNMMVKFRYVMSFRWMVASMLKIRRQQRQAVTSPSPSPSSSSTATNFNSKIAKSGALDSNQKMSRRRKNAARQQQVIGNAPEKSRSSVLLLRLGIKLDAIRGMVASDHHHHGGSKSRHSSKSCPGSIKSSPLHHQGQGGGATTVTVSSSLSGALVCSRDNNVQAAIAHCKKSFGRSEDFSF >itb15g06650.t1 pep chromosome:ASM357664v1:15:4430556:4443547:1 gene:itb15g06650 transcript:itb15g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQSSATVVSSHLEDYANHEDGETESSNPNRIRDSLETARSSYAGSGSGSASGDNATTSMTTTTTSMAYLPQTIVFCELRHDGFEECVPSGPLESGLVSKWRPRDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGRTLNQQYERWQPRARYKISLDPTVDEVKKLCTTCRKYAKSERVLFHYNGHGVPKPTPNGEIWLFNKSYTQYIPLPINDLDSWLRTPSIYVFDCSAAGMIVNAFIELQDWNASNSSGPSTRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPTLVEDPNTEFQPSSFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFTRFLDSIEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLRHLQGSAPNDAQTEPLFLQWLCLCLGKLWEDFTEAQMIGLQSDAPAIFAPLLAAPQPEVRAAAVFALGTLLDIGFDTSKDGVGGDEDCDDDEKVRAEVNIVKSLLSAVPDGSPLVRAEVAVALARFAFGHNKHLKSVAATYLKPQNNSVLTSLPSFAVKSSGSGYTTPTHYVPHGSIIPSPIAPLLRIGGENQSTARDGRVSTSSPLAAPSIMHGSPLSDDSSQHSDSGLLNDPISNGVLNHTRPLDNALYSQCVLAMCTLAKDPSPRISGLGRRVLSIIGIEQVVAKSVKSSEFAAGPSTSLAGLARSSSWFDMNGGHLPLTFRTPPVSPPRASYMTGLRRVCSLEFRPHLINSPDSGLADPLLGTAGSSGTSERSFLPQSTIYNWSCGHFSKPLLTPADDSEEIISRREEREKMALDLIAKCQHSTVSKLHNQIASWDTKFENGTKTALLQPFSPFVIASDDSERIRVWNYEEATLLNSFDNHSYPDKGISKLCLVNELDESLLLVASSDGNIRIWKDYTSRGQQKLVTAFSSIHGHRVGMRSVNAVVDWQQQSGCLYASGENSSIMAWDLDKEQLVNTIPSSSDCSISALSASQVHGGQFAAGFVDGSVRLFDVRMPEMLIGSTRPHTQRVEKVVGIGFQPGLNPAKIVSASQAGDIQFLDVRNLRDAYLTIDAHRGSLTALAVHRHAPLIASGSAKQLIKVFNLEGEQLGTIRYLSTFMAQKIGSVRCLAFHPYQVLLAAGAADSCVSLYADEISPPR >itb15g06650.t2 pep chromosome:ASM357664v1:15:4430556:4443547:1 gene:itb15g06650 transcript:itb15g06650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQSSATVVSSHLEDYANHEDGETESSNPNRIRDSLETARSSYAGSGSGSASGDNATTSMTTTTTSMAYLPQTIVFCELRHDGFEECVPSGPLESGLVSKWRPRDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGRTLNQQYERWQPRARYKISLDPTVDEVKKLCTTCRKYAKSERVLFHYNGHGVPKPTPNGEIWLFNKSYTQYIPLPINDLDSWLRTPSIYVFDCSAAGMIVNAFIELQDWNASNSSGPSTRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLRESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSYPMLPPTHQHHMWDAWDMAAEICLSQLPTLVEDPNTEFQPSSFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFTRFLDSIEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLRHLQGSAPNDAQTEPLFLQWLCLCLGKLWEDFTEAQMIGLQSDAPAIFAPLLAAPQPEVRAAAVFALGTLLDIGFDTSKDGVGGDEDCDDDEKVRAEVNIVKSLLSAVPDGSPLVRAEVAVALARFAFGHNKHLKSVAATYLKPQNNSVLTSLPSFAVKSSGSGYTTPTHYVPHGSIIPSPIAPLLRIGGENQSTARDGRVSTSSPLAAPSIMHGSPLSDDSSQHSDSGLLNDPISNGVLNHTRPLDNALYSQCVLAMCTLAKDPSPRISGLGRRVLSIIGIEQVVAKSVKSSEFAAGPSTSLAGLARSSSWFDMNGAGHLPLTFRTPPVSPPRASYMTGLRRVCSLEFRPHLINSPDSGLADPLLGTAGSSGTSERSFLPQSTIYNWSCGHFSKPLLTPADDSEEIISRREEREKMALDLIAKCQHSTVSKLHNQIASWDTKFENGTKTALLQPFSPFVIASDDSERIRVWNYEEATLLNSFDNHSYPDKGISKLCLVNELDESLLLVASSDGNIRIWKDYTSRGQQKLVTAFSSIHGHRVGMRSVNAVVDWQQQSGCLYASGENSSIMAWDLDKEQLVNTIPSSSDCSISALSASQVHGGQFAAGFVDGSVRLFDVRMPEMLIGSTRPHTQRVEKVVGIGFQPGLNPAKIVSASQAGDIQFLDVRNLRDAYLTIDAHRGSLTALAVHRHAPLIASGSAKQLIKVFNLEGEQLGTIRYLSTFMAQKIGSVRCLAFHPYQVLLAAGAADSCVSLYADEISPPR >itb14g13940.t1 pep chromosome:ASM357664v1:14:16317954:16319080:-1 gene:itb14g13940 transcript:itb14g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHELSQPGEVVNSTSPVQISLLKHLMVKPTSECSLPPASLPGFRKVFNIAGGIHEYAVKADPSIPTY >itb02g15890.t1 pep chromosome:ASM357664v1:2:11604326:11605216:1 gene:itb02g15890 transcript:itb02g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSAAASMLMSGSSTSASTSMPPGTTTTTATSTSTSTTNLNGLNFYLSDTSKPKPFYIPTSSITPTLGHPTIVLDLTSTAPSSSSNLSRIGSLANFPPRFSSTNLNFSSLESNPLPISWNLGTTQPYNKPHISQSLTFGRQQQQQDNQILFQSYLSKNNLNNNNNNPSQSLPQETIAAATKAITSDPNFQSALAAALSSVIGTNNGNNGASLNLGIHGLAEKLSQNLKAAAEPFPILSSFSPSPNPNKCSSSLLSRPTTTSSANPHPGNLMYHLQQTSKSKSSSPGDSRDQII >itb02g01780.t1 pep chromosome:ASM357664v1:2:977455:977898:-1 gene:itb02g01780 transcript:itb02g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRLPLPLDLSQDEHIFVNAKQYQAILRRRQCRAKLEAQNRLSRARKPYLHESRHIHALNRARGPGGRFLNVKKEAAISSQLSACHNACKLPTVKVFIAGVNSDPVRLQVAPPPSLNSVN >itb12g08740.t1 pep chromosome:ASM357664v1:12:6857861:6859224:1 gene:itb12g08740 transcript:itb12g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQMASIVLLALLASFASAHDNNPLQDFCVAVNDSQAAVFVNGKPCKDPKLVEANDFYKAAGFNTPVGGFNLNSLGLIVKYLNVDRFPGLNTMGLAIARTDFKPNGLIPPHIHPRGSEVVFVLKGTLEVGFVSSNPLNGQKNKLFPKTLKPGDVFVFPMGLVHFFYNVGRSNALMFSAFSSQKPGYVTLANSVFGSEPSISDDVLTKAFRLNKNVIDSLQSQNWERI >itb05g25470.t1 pep chromosome:ASM357664v1:5:29856666:29858736:-1 gene:itb05g25470 transcript:itb05g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNISSPVFPVRHKQFSLDVQGNKTDIVICSYDDHFLVIATQIGSMGTILHARKEEGMTTEPNFSVSVILGKRDEPMLVACARQLIEHISSSGSSRPLVLALGLKDHSVTTLKGIVSAVNKNCLW >itb12g17780.t1 pep chromosome:ASM357664v1:12:19946910:19947853:-1 gene:itb12g17780 transcript:itb12g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGYAARWMGKRAGSADQYDPRLSVGADGCDAARLGRIVVTKARCGPDSTVPLRETRSADQAAGRDAIKSRRCGTASVRVKTIQWRGPLRHWVHAVDGYQAVEQHVRGM >itb07g06740.t1 pep chromosome:ASM357664v1:7:4864017:4868898:1 gene:itb07g06740 transcript:itb07g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLTTTSHLPKPLPSLSPPSKHKKLHLSIPIKAFKNTNTKATRCWTNQISALAIQIAAILATGGAVEPALAVTGVNGQEDLIWILIQSGISAFLYFIVFPPILMNWLRIRWYRRNLLEMYVQFMCVFINFPGLVLWAPFLNFRKLPQDPSMKYPCAPPSPSPTPDSQSPVAFSHRPSPLATRYTRSIINIMPRKLTRHRRLLRYKPFGFSPFARSIAQLASDNKVKCKIYNGLQEKKFPSSSGNGVLNHTQGGKNQHSDSSDDEFEGTVQADFEFFDPKPDDFHGVKVLLQTYLNNKQWDLSGFVDLILGQPSVGTVVKIANDEDEGIYSVISALNLGRYKNSKCIMELKKFLLSACQDNNAQANLSSLLEEQSNNVGLLVSQRVVNLPPQLLPPLYDGLLDEISWATEDEPTEELRKSFCFKFYLVVSKIYKHKNADKKTLPSKSGDEAIIYVKPEEEILHELSSWSFTFPISQPVRTDELKDYRITGLVMAVEASKISKFRKQLNSLIDNS >itb12g21350.t1 pep chromosome:ASM357664v1:12:23709088:23713484:-1 gene:itb12g21350 transcript:itb12g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGDDDFVTESSVAARLKEHGIDLSSDPYLMHRHGVVERSSCYCRSLSPKRVEKEGTVVSLDNKGRYGWLGRDERLQGMVWPADKRPDYHEMISSKDEMKTYELCDNVVGADAKSIGLRYEYENIGKGAARHSSKDEMKTYELRDNVVGTDAKSISLRYEYENIGKGAARHLSKDEMKTYELRDNVVGTDAKSIGLRYEYENIGRGAARHDCVETERLSRATEHEIFRQKSMALQEELGRGVLTQGLDSELKSKYVEGERSLPSDPKLLDLDRFEVRGAHYPDPHLLDKLTAMEMYGRGEMHEFHSKNISYPVEYTSQPKDLMCTCHIKGNATRISRGDYPSSFKDDMAMQKDIHPLTSAKFGNQYFLNEHREEFIFRAGRQEDVRSYYHDRCSASRTNDQEYVYPKTCPEEDYNHGYLSEGLNRRRNFHQLNVSPSRFLGAAKERIDISQRNLIGCSSLDHHSSQAALEYLPSSTSPAILRQDDFYRDSRETYAEKQNFREREMPCPCISRGILNPGSDNDSASNIYFEKWRKSSGGESQMEILGHGVGTEKMESCVHCTKNELARSLKRKYSLDNEMIMHNSNGIMPRKLTTMKGTSDVSGVQDQKNQDAAPLLEHDIEQCRKVCKVYEKEKSNPVTASHNPMEQGQKLLINQNEPWKKQKVHQEPSSLSWHNMRQHNTKNSLPKNVWVRGNIDKQMEVHENKVKESKSTLVDSTKSVLPEGSNKFDQQVQKFFLIFTKKVNEDLPTRKRYKEKGRAGGLICLACGKRQFRDAQSLGAHCFMTQKHDLKAKHLGLLKAICVLMGWNTVVGLNVTAWLPEPISRSNASAQREDLILWPPTVIVHNCTKSSYGPQEQQVKTLRKIEDLLRGEGFGVSKIKVCLGKPSNGSVVVIKFLATFLGLKDAEKLHEYFIKKGHGRKDLNQASDKGADKFNEIVLYGYMGPGLKDAEKLREYFIKKGHGRKEFNQASDKGADKLSELVLYGYMGIAEDLDEVDKDTSSRCLIKSKKEIHDFVDAPVYTSKHQLS >itb07g19620.t2 pep chromosome:ASM357664v1:7:24105226:24109561:1 gene:itb07g19620 transcript:itb07g19620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTPHIGTLLEVFMQVASLGNNITIQIAAGSSLKAIYKYYHPDGSLTLQHFIIIFGAFELFLSQLPDIHSLRWVNALCTLSTIGFAGTTIGVSIYNGKKVDRRSIRYRVEGSSSSKTFKAFNALGTIAFSFGDAMLPEIQSTVRGPSKKNMYKGVLAAYSIIVLSYWQLAFFGYWAFGSEVQPYIVASLTSPKWTTVMANIFAVIQISGCFQIYCRPTFAYFEEKSNGGSSVHKCIIRLAFTSLYMGLITLVAAAMPFFGDFVSICGAVGFTPLDFVFPVLAHLKAGRWSRRRNSKLRLPVIVINAAIAICFSVVAVLGCIGAIRFIILDTKTYSFFHDM >itb07g19620.t1 pep chromosome:ASM357664v1:7:24105286:24109561:1 gene:itb07g19620 transcript:itb07g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESISERQKAVEAQSCVDEQDQTENENDDGKGSWKHAAFHVATTIATPAAYAPLPFAVASLGWPLGVASLVSGTLTTWYSSLLVASLWKWDGKKHTTYRDLARSIYGSWGFWSVAFFQQVASLGNNITIQIAAGSSLKAIYKYYHPDGSLTLQHFIIIFGAFELFLSQLPDIHSLRWVNALCTLSTIGFAGTTIGVSIYNGKKVDRRSIRYRVEGSSSSKTFKAFNALGTIAFSFGDAMLPEIQSTVRGPSKKNMYKGVLAAYSIIVLSYWQLAFFGYWAFGSEVQPYIVASLTSPKWTTVMANIFAVIQISGCFQIYCRPTFAYFEEKSNGGSSVHKCIIRLAFTSLYMGLITLVAAAMPFFGDFVSICGAVGFTPLDFVFPVLAHLKAGRWSRRRNSKLRLPVIVINAAIAICFSVVAVLGCIGAIRFIILDTKTYSFFHDM >itb01g02730.t1 pep chromosome:ASM357664v1:1:1773543:1773821:1 gene:itb01g02730 transcript:itb01g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMQKSMVTGHTHGHFPANPALLWSRLFLFTLLLHALPFPLFFRFSHFDLEYHSHHRPLQPLPRNLHKQAHKLYAFLFSFTYEYVFAIIM >itb03g23390.t1 pep chromosome:ASM357664v1:3:21567011:21568073:-1 gene:itb03g23390 transcript:itb03g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSQSLSIESFSHSWSVNPEGSFRGSDEASFIEMDPTLPPSQRFFSVSLPDFSSFDFPVSESPLTLLHADELISNGFLVPLFAQPIKSDSFDRAFDSPEKPTKQDQVRSSGEEIRCAPLKRCRRLSRRIFRRYLDVIRPICVKLRRFGFAYGRRSSRAEERKRYEFSGVVSTRTSGASYSVDNWRRSCDSESSIHEAVLHCKRTIVDDK >itb03g08320.t1 pep chromosome:ASM357664v1:3:6220134:6220634:-1 gene:itb03g08320 transcript:itb03g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIGGNGGGPLLLRLYFIRGGDRICTTTTTTASFFTITQNIIFSAAALGVLLGRINYVYTYGVAKLPVSTSSLIVASQLAFTADTVFVLVKQRFTVTAVVLLTIRAEVLVVGSSGDRPAGESKKEYVAVSDGPCGGF >itb03g02260.t1 pep chromosome:ASM357664v1:3:1242394:1246360:1 gene:itb03g02260 transcript:itb03g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKISRQVTFSKRRSGLLKKAHEISVLCDAEVGLIVFSTKGKLFEYSTDSSMENILDRYERYSYAEQRMTTNDSEQQQNWTCQYPKLVSRIELLQRNTRNFLGDDLEPLGLRELQSLEQQLDTGLKRIRTRKNQLMHESISELQKKERALQVQNNILAKQLEQQKLVLNSASTSMPPQPLVSPLPLPNLTIGIARATEAAKNSENRGQALPCSTSLVPPWMLRHPNNKD >itb12g04600.t1 pep chromosome:ASM357664v1:12:3038736:3043790:-1 gene:itb12g04600 transcript:itb12g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MAKKEKSSIHKEKEEEPNEEQQHPEEEQQNAESSDDEEEEQTFEELGLDPRLVRALSKKSIEKPTPIQRVAIPLILEGKDVVARAKTGSGKTFAYLLPLLQKLFSNSPSNNNSAPSAFILVPTRELCQQVYSEAISLLELCRVQLKVVQLTSTMSISDLRTTFAGSPEILVSTPTCIQTCLSNSVLQAEALQNSLSIIVLDEADLLLSYGYEDDLKALTSHIPKRCQCLLMSATSSDDVEKLKKLILHNPYILTLPEVGDVKDDIIPKNVQQFYISCSSNDKLVHILALLKLELVQKRVLIFTNSIDMSFRLKLFFEQFGIKAAVLNAELPQNSRLHILEEFNAGLFDYLIATDDSHSEGKEQIDGRSRKEQKKSKRHPKQKLDSEFGVVRGIDFKNVHTVINFEMPQTAAGYVHRIGRTGRAYNTGASVSLVSPEEAEIIQEVKSLLGENDGNESNFIAPFPLLTKNAVESLRYRAEDVGRSVTKVAVRESRAQDLRNEILNSQKLKAHFQDNPRDLDLLKHDKTLSKKAPAPHLRDVPDYLMDPTTQEASKIVKLARAAMGNENAPRRKGGLKGKFKRSRDPLKTFSAEAPKRASKGGMKRKSKDADAGPKHKKR >itb07g13450.t1 pep chromosome:ASM357664v1:7:15704085:15707371:-1 gene:itb07g13450 transcript:itb07g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEGSPGSSMHGVTGREPVLAFAVASPIVPTDTTAKFALPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLVKSDIGNAGVASVSGSILSRLVMGAVCDLLGPRYGCAFLIMLTAPTVFCMSFVSSAGGYVAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLLMPILYDIIRRAGATPFSAWRIAFFIPGWLHVVMGILVLTLGQDLPDGNLGSLQKKGNVNKDKFSKVFWYAVTNYRTWIFALLYGYSMGVELSTDNVIAEYFYDRFDLKLHTAGIIAATFGMANLVARPFGGFASDYAAKFFGMRGRLWALWILQTTGGLFCFLLGRANTLPLAVTWMIIFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSKFSTASGLSYMGIMIMACTLPVTLVHFPQWGGMFLPASMDAVRGTEEHYYCSEWSEEEKQKEFRHLQCL >itb14g21280.t1 pep chromosome:ASM357664v1:14:23368113:23370672:1 gene:itb14g21280 transcript:itb14g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLGRNPIMWVPVVFLPALLSLTAYQSLAMNATTSDNIHHHVLQVANSACERSLYKDLCVSTLVKIPDLHAKSLPEIISATVNVTVSEIITASDNCSRLRRAVPGLPIMENRALHVCLELFSDALADLRTVLDGLENSPAKRYADLQTLLSTAMTNQYTCLDGFPDSNKNVRPLIIKRIEQISRHVSNALSMLTKIKKEKDNLSSSSNFRRGDREMKDGFPKWLSRRDRALLEAPTGKKKRKIKPDLIVAQDGSGDYSRINDALSAAPDVSDTRFVIYIKAGAYYEYVDVGKKKTMIMFVGDGMGETLIKGNRNARDGWPTFRSATVVVAGSGFIAKGITFENYAGPEKHQAVAVRSDSDYSVFYDCSFVGYQDTLYVHSRRQFFRECDVYGTVDFIFGNAAVVFQNCNLYARRPMYGQKNVITAQSRMDPFQTTGISILHCKVAAAADLIPVESAFGSFLGRPWRKYSRTVYMLSKIGSLIDPAGWMEWDGNFALKTLFYGEYKNRGPGADTSERVTWPGYTVIKSASVARQFTADNLIDGDNIIGITVIVLSV >itb12g06360.t2 pep chromosome:ASM357664v1:12:4749397:4752127:1 gene:itb12g06360 transcript:itb12g06360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGDCKPNIPMVGEEEEEESLIAAAQNIVKALEGNTNLTNDARKILADLGSQLSSIIRVGESNQDESEKQLCEFEEQLNAVQAKVMNLELNQSMLWDCGAEEAYEYLRYVDEVRKLTERLERLCIQKDCKEKENELLLRAHDVLQTAMNRLDDEFRYLLVQNRQPFEPEHMSFRSSEEDIVDEGSILSFGDDSVEEVVQRGDSASRGSDEYIIELVHPDVIPHLRCIANLMFDSNYGQECSQAFVSERKEALDDCLFILELEKLSIEDVIKMEWNVLSSKIRRWIRAMKIFVRIYLASEKWLGDQIFGELGSVSSVCFAESSRSSMLQLLNFCEAIAIGPHQPEKLIRVLDMYEVLADLIPEIDALYSDEAGSGVRVECRDILRSLGDCAKATFLEFEAAVASNISTNAFPGGGIHHLTRYVMNYMKALTDYGNSLNEVLQRNEKECAIPISPGASPVSEEDNASESSYTSHMAQHFRSLVSILECNLDDKSKLYKDEALGHIFLMNNIHYIAEKVKQSELRMVLGDDWIRKRNWKFQQHAMSYVRATWGSILSLLRDEGLQNPGSSSISRTLMKERLQSFYLAFEEVYRNQTAWSIPDSQLREDLRISTSLKVIQAYRTFVGRHTNSISDKHIKYSADDLEQLLLDLFEGSPRSLHGSHRR >itb12g06360.t1 pep chromosome:ASM357664v1:12:4749230:4752127:1 gene:itb12g06360 transcript:itb12g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGDCKPNIPMVGEEEEEESLIAAAQNIVKALEGNTNLTNDARKILADLGSQLSSIIRVGESNQDESEKQLCEFEEQLNAVQAKVMNLELNQSMLWDCGAEEAYEYLRYVDEVRKLTERLERLCIQKDCKEKENELLLRAHDVLQTAMNRLDDEFRYLLVQNRQPFEPEHMSFRSSEEDIVDEGSILSFGDDSVEEVVQRGDSASRGSDEYIIELVHPDVIPHLRCIANLMFDSNYGQECSQAFVSERKEALDDCLFILELEKLSIEDVIKMEWNVLSSKIRRWIRAMKIFVRIYLASEKWLGDQIFGELGSVSSVCFAESSRSSMLQLLNFCEAIAIGPHQPEKLIRVLDMYEVLADLIPEIDALYSDEAGSGVRVECRDILRSLGDCAKATFLEFEAAVASNISTNAFPGGGIHHLTRYVMNYMKALTDYGNSLNEVLQRNEKECAIPISPGASPVSEEDNASESSYTSHMAQHFRSLVSILECNLDDKSKLYKDEALGHIFLMNNIHYIAEKVKQSELRMVLGDDWIRKRNWKFQQHAMSYVRATWGSILSLLRDEGLQNPGSSSISRTLMKERLQSFYLAFEEVYRNQTAWSIPDSQLREDLRISTSLKVIQAYRTFVGRHTNSISDKHIKYSADDLEQLLLDLFEGSPRSLHGSHRR >itb11g11700.t1 pep chromosome:ASM357664v1:11:8587630:8590436:-1 gene:itb11g11700 transcript:itb11g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGSWLLWVAMMLALTMAAPTSQRSLRMDKNNGMKVETFLSPRFELEPGSVCNKYFTGVDFPKGHIAVKGFDAEVVDEEGKSIPLHQTYLHHWIIGKYMIRKDEVVEPAAQTFMVGNSGVCAQLPQYFGLGSETRKTNSSVPDPYGIEVGNSPPGLEEGWMLNVHAIDTRGTGENKLGCAECRCDLYNVTKDEEGRALGDYPGGINCCYDGAKCRVKPGFQGEKRGLYLKYTVTYVDWNPSIVPVKIYIFDVTDTLKMSDSVPGRHLCQIEYQVEACSAATPTDECVHSKSLTVSLPKGGDLIYAVAHQHIGGVGSTLFGEDGRVLCNSLPIYGNGTEPGNEDGYLVGMSTCYPQPGSVKIAPMEKLTIISNYSNVQMHTGVMGYYYILVAEPLPESNPIFYSVDVADLM >itb05g23280.t1 pep chromosome:ASM357664v1:5:28433864:28435803:-1 gene:itb05g23280 transcript:itb05g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESYENEHPVKTYGWAARDTSGVLSPFKFSRRATGEDDVRFKVLYCGICHTDLHFAKNDFGMSTYPIVPGHEIVGEVTEVGSKVKKFKVGDKVGVGCLVGSCGSCNLCSTDLENYCPKMIQTYSYVDHDGTITYGGYSNEMVCNEHYAIRFPDTLPLAASAPLLCAGITLYSPLKYYGLNHPGMHIGIVGLGGLGHVGVKFVKAFGAKVTVISTSPTKKEEAINHLGADSFLVSRDPQQMQAAMGTLDGIIDTVSAVHSIAPLINLLKPNGKLVLVGAPEKPLELPVFPLLMEVEVVHMNYVNTAMERLAKSDVRYRFVIDVANTLTTPPA >itb04g23760.t1 pep chromosome:ASM357664v1:4:28708991:28715685:1 gene:itb04g23760 transcript:itb04g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIMSSSSGSWIAWLSCSVPEEDVSVSSAIRWLRFIFLSPCPQRALLSVIDVLFLLTLVVLAIQKLYAKFSSDRQSNGSSNGIETPLIQSQRVRVQTDVWFKLSIILSAILGIASLALCIFTFIRSSSSSWNAIDGLFWLLQAITHLVITVLLGHEKKFQAVTHPMSLRLFWIADFVVMTLFLGSGITRVVSAHESDTNLMLEDISSFVAFPISVVLLIVAVKGSTGILTMTRDSESEVDDEASENETVLGKSNVTGYASASLLSKTFWIWMNPLLRKGYKAPLKLDDVPTLSPEHKAERMSELFERNWPKPEENSKHPVITTLLRCFWKEVLFTATLAMIRLCVMYIGPLLIQRFVNYTSGKRTSPYEGYYLVGTLMVAKFVEVFTARHFNFNSQKLGMLIRSTLITSLYKKGLRLTCSARQDHGVGQIVNYMAVDAQQLSDMMLQIHDVWLMPMQVSIALTILYLNLGASTVATLAGLAAILLFVLFGTRRNNRFQFNIMKNRDSRMKATNEVLNCMRVIKFQAWEDHFNERIQSFRDTEYGWLSKFMYSIAGNMIIMWSTPLLMATLTFGSAILLGIPLDAGTVFTATSLFKMLQEPIRTLPQSMISFSQATISLERLDKYMISKELADKSVERVEGCGDGIAVEVKDGTFSWDDERGEKVLKDVNFEVKKGELTAVVGTVGSGKSSLLASVLGEMHKHSGKVRVCGSTAYVAQTSWIQNGTIQENILFGSPMNRQRYEEAIKVCCLEKDLEMMEYGDETEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKNKTTILVTHQIDFLHNVDLILVMRDGMIVQSGKYNDLLVSGLDFKSLVAAHESSLELVDVETTSGSKDSPRMEKTKQRSFKQGDENEDGARQQSEGGTGRSKLIKEEERETGTVGFHAYKLYCTKAFGWWGVAAVVLLTVLWHGTLMASDYWLAYETSEERSFNPSLFLEVYGTIAVLSAAVCVVRIYSITLMGLKTAQLFFGQILYSILHAPMSFFDTTPSGRILSRGYFLATSRELTRLDSITKAPVIHHFSESIAGVMTIRCFRKQEGFSQENVIRVNDNLRMDFHNNASNEWLGCRLEMIGSFILCTSAMFMIVLPSSIIKPENVGLSLSYGLSLNSELFWTIYNSCFLENKMVSVERIKQFINIPSEAKWRNKDLLPSSNWPSKGNVELENLQVRYRPNTPLILKGITLSIEGGEKIGVVGRTGGGKSTLIQVFFRLVEPAAGRIVIDDIDISILGLHDLRSCFGIIPQEPVLFEGTVRSNIDPVGQYSDEDIWKSLERCQLKDFVDSKPDKLDSPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTDGVEEPRNSTGHRDCWSGHRSSGL >itb08g09170.t1 pep chromosome:ASM357664v1:8:8408957:8411618:1 gene:itb08g09170 transcript:itb08g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVNTIFSTEMEALLALFTFSVLFLSALWLYAKSISKKAAPLPPGPRGFPVVGYLPFLRPNLHHQFTELARNYGPIFKLQLGSRLVVVVNSPSIAKQVVRDHDAVFANRDPPIAAIVGTYGCRDIAFAPNGTYWRDIRKLFVREMLSSANLRACHEHRREEVRKAIRSVRSRIGEPINIAVLASSTEINVITSMIWGSTLGSDEAKIDQIGAEFREIMGKFVAMVGEPNISDFFPWLARLDLQRVQARMENMVKILDNIFDPIIKEGVRIVCEKSGSTTKDDEKKDFLQILLELKNRDDNAGKSLDFQAIKAMLLDIVIGGTDTTATMVEWVMTALLDNPEIMKKVQKELEEIVGMTSIVEEVHLPKLKYLDAVVKETFRLYPALPLLVPKCPSQTTEVGGYTIPKDTKVFLNMYAIHRDPKLWDNPLQFSPERFLNQTFGLDYTGNDHRFLPFGSGRRICAGIPLAEKMLVYILGSLLHSFDWHLPKGEKMDLSDGFGLVIKKKTPLIAIPAPRLFNSELYQ >itb03g24770.t1 pep chromosome:ASM357664v1:3:23609730:23610586:1 gene:itb03g24770 transcript:itb03g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRNLLLILRQNKALGSKLLSNLNASTQTSDQYRLFSSIAKLAPLSRRSITRSFVNYGFRSFHQLNHKGYRSSIRNFSSVASTVAETKEGLKLLVTAGPHAQKMIGIWLFTSAAWVFSMVVLGGITRLTRSGLSMTDWKFTGRLPPLSDEQWIAEFEKYKQSPEFKRLVQ >itb11g15320.t1 pep chromosome:ASM357664v1:11:12872136:12902804:1 gene:itb11g15320 transcript:itb11g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITPSESAILEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKICLCLDRRSHGTESLLSDRLAQWQGPALLAYNNAVFTEEDFLSISRIGGSAKHGQAWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGAYLPNVSTANPGKRIDFVTSSVISLYKDQLNPYCVFGCDMKNPFPGTLFRFPLRNADQAAVSKLSTQSYLEDDISSMFVQLYEEGVFSLLFLKSLLCIEMYEWDTDMPEPRKTYSCSVNSDEGDMVWHRQTVLRLSKATDFREAPDRFKLNFVSEAFTGKLSQQRIDTFYIVQKMASPTSRIGSFAATASKDFDIHLLPWASVAACVSDNSSNDDIPLQGRAFCFLPLPVKTGLRVQINGFFEVSSNRRGIWYGADMDRSGRIRSLWNRLLLEDVVAPCFGQLLLSIQEILGPTKAYYSLWPNGSFNEPWSILVEHIYKSISDLPVFFSAVEGGKWVSGREAFLYNEDFSSKELEKALVELGMPVVHLPNGLFNMLVTHGCGIQWLVVTPDSVRQYLKGCKCINFIDRSYRLMLLEYCIEDLVDADVGKHVSGLPLLPLANGDFGSFSEPNDGVSYFICNELEHMLLQNVADNIIDYKIPGHILNRLLAVANASGANIFVFNVDEFLKLLQELFPAEWRYKPKVLWEPDSHTAKHPTSSWFVLFWRYIRVECEKLSSFGDWPILPSLSCYLFKPSKHLKLLNPDKLSEQMRQILFDIGCNMLNAEYGVEHPDLFHYVYEADCAGVLKSIFDVASSSDDSRLTFLQHLEVGERDELRRFLLDPKWYIGNCMDDSDIWNCKRLPIYRVHGGESSESIQFSDLVNPQKYLRPLDCPESLLSGEFIISLSSTEEEVLNRYFGIKRMGKPEFYKRCVLTRISGLDPTVRDNIMVSVLKELPQLCSEDASFREMLKSLNFIPTFSGSLKSPAVLYDPRNEELFSLLEDSDCFPSGAFNDDDVLDMLKGLGLRSTVSTETIIESAQQVELLMQKNQEMAQSRGKVLLSYLEVNAMKLLPDHPKDDHQRTMNRMFARAANVLKPRHIRSDLEKFWNDLRLISWCPVLIHSTYQSLPWPAVSSMVAPPKIVRPYADLWLVSASMRILDGECSSSVLSNQLGWSSPPGGSVIAAQLLELGKNNEIVSDPELRQELTLAMPRIYSILTAMIGSDEMDIVKAILEGCRWIWVGDGFATPDEVVLNGPLHLAPYMRVIPIDLAVFKDLFFELGVQESLRPSDYANILCRMASRKGSLPLDTEEIRAAILIAQHLSDVQFYEDQIKIYLPDVSCRLFNATDLVYNDAPWLLDSDDPDSSNGSAMALHAKQTIQRFVHGNISNDVAEKLGVRSFRRILLAESADSMNLSLSGAAEAFGQHESLTTRLRHILEMYADGPGVLFELVQNAEDANASKVAFLLDKSQYGTSSVLSPEMADWQGPALYCFNNSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNSVYHFTDIPTFVSGENVVMFDPHACNLPGISPSHPGLRIKFVGRRILEQFPDQFSPFLHFGCDLQHPFRGTLFRFPLRSATVALRSQIKKEVYEPDDVLTLFSSFSEVVSETLLFLRNVKNISIFVKEGANSEMQLIHSVQKQYVSEPEGESDSFDQVFGLMSRNQPGMDKVQFLNQLCKSIDTKFQWKCQKILLSEKGSSGGRSYLWLASECLGNIRSRSHQERFGEMNKFVPWACVATCLQSVNIVKEMLGSDNSFEETVVITPDMLDGSLASAEALKTFEGRAFCFLPLPICTGLPVHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNTYLLEDVAAPAYGHLIEKVASEIGPCDLFFTFWPMVVGFEPWTSMVRKFYNYITDANLRVLHTRARGGHWISTKQAIFPDFSFSKALELVEALSDAGLPIASIPKAIVDNFMEICPSLNFLTPQLLRTLLIRKQREFRDRNAMILTLEYCLLDLQSPFQSESFYGLPLLPLSNGLFTKFEKRGASERIYIAHGDGYDLLKDSLPNQLIDSSISDFLHGKLCEIAQSENFNVTFLTCPLLEKLLVRLLPVEWQQAKQVIWVPSSGGHPSVEWMKLLWNYLKCHCDDLSLFCNWPILPIENSYLLRLVENSNVIMDGGWSENMSSLLLRVGCFVLMLNLPIEHPQLELYVQPPTAAGILNALLAIAGKPDNVEGLFSDALEGELHELRSYILQSKWFSEGSINSTHMNTIKHIPMFESFKSRKLVSLSRSTKWLAPNDVCEDFLNDDFLRMESEKDRIILNKYFEISEPTKVVFYKDYVLNRMVEFVLKEGFLSAILQDINFLMEKDDSFKAEISKVAFVSTCNGSLKEPFRLYDPRVSELKMLLYVGAYFPDEKFSDPEILEILAKLGLRKTLGFTGLLDCARSVSMLHDLNASEALVYARRLLNLLDIVALKLSSNEVGQSFGKPKDAMECQIESLAILDEEEHTNGFERECSSFGSLFSHWIDDMPQEQFWSELRSISWCPIYDEPPIGGLPWFAVGQSIAMPTSVRPKSQMWMVSSMMHILDGDCSDLLQHNLGWMDRLNVQILSAQLIGLSNSYAEIHANSHTIHNLDAELQKHVYSVYSQMQEYIGTEDFKFLKSALGGICWVWIGDDFVSTDVLAFDSPLKFSPYLYVVPSELTQFRDLLLELGVRHSFNIFDYLHVLQRLQNDVKGCALSNDQLNFVHCILEAIADFSLDTPIFEGSTSPLIIPDSSGVLVKIGELVYNDAPWMENDTLVGQRFVHPCISHDLANRLGIQSLRSVSIGSEEMMKDFPCMDYSKICDLVELYGNSGFLLFDLLELADCCKSKRLHLILDKREHPRQSLLQHNLGDFQGPALIAILEGASLSRDEVAGLQYLPPWSLLGNTVNYGLGLLSCFSITDFLLVVSDGCLYMFDPRGLALPLPANRASTAKVFSLQGTNLVERFHDQFSPLLIGENMQWSISNSTIIRMPLSSAVMKEGIESGLERVTLVFDKFIKHSSASILFLKSVLQVTLSTWEKESPQRSLDYSIDVDPLFGIGRNPFSERKWKKFQLASLFGSSSASTKLQVIDVTVQKGLNRVANRWLVALSLGSGQTRNMALDRRYMAYNLTPVAGVAALISQNGNPTDTSSVSAIMSPLPLSGGVNLPITILGYFLVCHNRGRFLFKSQYEETSAGTRFDAGNQLIEAWNRELMSCVRDAYIKLVLEMQKLRREPSTSILESNLVHGVSLALNAYGDQIYSFWPRSGGNKPINQELDVNDSMTVKVAKADWECILEQVTRPFYAHLIDQPVWQLYSGNLVKVEEGMFLSQPGSAVEGCLLPATVCAFVKEHYPVFSVPWELVNEIQALGVIVREIKPKMVRDLLRASSTSIVLRSVDTYVDVLEYCLADIMLFEPCKSNASVRMSGVNNSASPIIREELDNSVSLSSPQGQRIHSTTPSSSSSGGDALEMMTSLGKAIFDFGRVVVEDIGRTGVPVSQRSNLAATNRDSFNSRNEDNQRLLSVAAEIRGLPCPTGSNHLTRLGVNEIWIGNKEQQSLMLSLAAKFIHPKVLERSILVNILSNSSLLSLLKIQSFSPSLLANHMRFLFHENWVSHVTESKMAPWFSWENAAISGSEWGPSPEWIRLFWKTFNCSDSLPLFSDWPLVPAFLGRPVLCCVRERHLVFIPPSEIYTISNFEEMGTAERNTSGLSSESDVIQSYKLSFTIVEEKYPWLLSLLNQCNIPIFDVAFMDCAASCQCLPRDGQSLGQILATKFAAAKSASYFSDPKSFSDSERDELFRLFASDFFSNGSGYGREELEVLRDLPIYKTVTGSYTRLQGNDLCMVPSSTFLKPYDEHCLSHSSDSFESCLLRALGIPELHDQQILVKFGLPDFNRKPQSEQEDILIYLYTNWQDLQEDSSIVEALKETHFVKSADEMSLELCKPKDLFDPGDALLASVFSGVGRKFPGERFISDGWLRILRKVGLRNSADADSVLECAKRIESLGSQCVKHAPDEFEIELFNSQDEVSFEVWLLAESLVKSIISNFAVLYSSQFCNLLGKIVCVPAEKGLPGIGGKRCGKRVLCSYSESILLKDWPLAWSCTPILSRNCVVPPEYSWGALNLRSPPPFSTVLLHLQIIGRNSGEDTLSHWPTASGLKTIDEASIDVLKYLDKNWGSLSSSDREALCQVAFIPAANGTRLVTASSLFARLTVNLSPFAFELPALYLTFVNILRDLGLQDTLSVNSAKNLLLSLQKACGYQRLNPNEFRAVLEIVHFICDERNSAAATTWDSDAIVPDDGCRLVHAKSCVYIDSYGSRYVKYIDTTRLRFAHQDLPERICIAFGIKRLSDVVIEELDGMEHFETLEYINSVPVAVVKQKLLCKSFQAAVWSVVCSISSNIQGFVCPVLEDIKRSLESIADKVHFVECLYTRFVLQPKSLDITRVREESIFPEWKGTRHRALYFVDQFKTCVLIAEPPRYVSFPDVVAIVVSRVLDSPFPLPIGSLFLCPEGSETAMVDALKLCSQMSVTGVGGDKDDFLGKELLPQDAVQVQFHPLRPFYAGEIIAWRSGNGEKLKYGRVPEDVRPKAGQAIYRFKVETMSGVIQPILSSNVFSFRCVSVASETSALVEYQPAILNADAESSGIVKSSFSQGQGKRQQTQELQYGRVSAEELVQAVHEMLSTAGINMDVEKQSLLQSTITLQEQLKESQAALLLEQEKSDTASKEAETAKAAWVCRICLNNEVDVSIVPCGHVLCRRCSSAVSRCPFCRLQVAKVMRIFRP >itb07g15020.t1 pep chromosome:ASM357664v1:7:17753741:17764954:-1 gene:itb07g15020 transcript:itb07g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVDQDQQWLINCLNATLDPNHQVRSFAETSLKQASLQPGFGGALSRVAASRELPLGLRQLAAVLLKQFIKKHWQEDEPDFEHPVVSSDEKEAIRRLLLTLLDDPHRKVCTAISMAVASIAHYDWPEDWPDLLPTLINWIVDQINMNAVHGALRCLALLSADLDDKMVPQLVPVLFPCLHRIVSSPQIYDKALRTKALSIVYDCISMLGTMSGVYKTETTTLMLPMLQPWINQFSSILEQPVPSEDPEDWSIRMEVLKCLNQSVQNFPGLMESQFMVILGPLWQTFVSSLRVYTQASIEGVDDPYDGRYDSDGAEQSLESFVIQLFEFLLTILGSKRFVKVIANNMKELVYCAIAFLQITEQQAHTWLMDANQFVADEEDNTYSCRASGALLLEEVINSCGAEGIDAILESAEKRINESQQEKTAGSIVWWRIREATLFALASVSEQLLEAEVSGISRARLGNILEKVLTEDMVTGVNEYPFLYARMFSSIAKFSSVINQGIIQQFLYAAVKAIGMDVPPPVKVGACRALSQLLLDADTGTLQPHIMDLFSSLTDLLKHASDETMHLVLETLQATVKAGPELLPSVEPILSPIILNVWASHVSDPFASIDALEVLEAIKNAPGCIQPLVSRILPFVGPVLTKPQQQSEGLVAGSLDLLTMLLKNAPKDVIKAIYDISFDPVIRIILQSDDHSEMQNATQCLAALVYGGKQDLLGWGGDPGFTMKCLLDVTSRLLNPDLESSVSLFVGSYILQLILHLPSQMAHHIRDLVAALLRRMQSCEISALKSSLLLIFARLVHMSDPHVEQFIDLLLGLPAEDHHNSFAYLMFEWTKMQGEIQGAYQIKVTTTALALLLSTRHAELEKINVQGHLIKSSAGITTRSKAKTAPDQWTVMPLPVKILAILADSLIEIQEQALGDGDEDDDWEEAEGGDIERDEALLFAAGSTSNSRPSYEYLNAMAKALNEDDDDGFDDDLHCSGDPLNEINLVNYLKDFLQKFCHSSEALFHHLLKSLTRAQQEAILTVLNQ >itb07g15020.t2 pep chromosome:ASM357664v1:7:17756482:17764951:-1 gene:itb07g15020 transcript:itb07g15020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVDQDQQWLINCLNATLDPNHQVRSFAETSLKQASLQPGFGGALSRVAASRELPLGLRQLAAVLLKQFIKKHWQEDEPDFEHPVVSSDEKEAIRRLLLTLLDDPHRKVCTAISMAVASIAHYDWPEDWPDLLPTLINWIVDQINMNAVHGALRCLALLSADLDDKMVPQLVPVLFPCLHRIVSSPQIYDKALRTKALSIVYDCISMLGTMSGVYKTETTTLMLPMLQPWINQFSSILEQPVPSEDPEDWSIRMEVLKCLNQSVQNFPGLMESQFMVILGPLWQTFVSSLRVYTQASIEGVDDPYDGRYDSDGAEQSLESFVIQLFEFLLTILGSKRFVKVIANNMKELVYCAIAFLQITEQQAHTWLMDANQFVADEEDNTYSCRASGALLLEEVINSCGAEGIDAILESAEKRINESQQEKTAGSIVWWRIREATLFALASVSEQLLEAEVSGISRARLGNILEKVLTEDMVTGVNEYPFLYARMFSSIAKFSSVINQGIIQQFLYAAVKAIGMDVPPPVKVGACRALSQLLLDADTGTLQPHIMDLFSSLTDLLKHASDETMHLVLETLQATVKAGPELLPSVEPILSPIILNVWASHVSDPFASIDALEVLEAIKNAPGCIQPLVSRILPFVGPVLTKPQQQSEGLVAGSLDLLTMLLKNAPKDVIKAIYDISFDPVIRIILQSDDHSEMQNATQCLAALVYGGKQDLLGWGGDPGFTMKCLLDVTSRLLNPDLESSVSLFVGSYILQLILHLPSQMAHHIRDLVAALLRRMQSCEISALKSSLLLIFARLVFLLIYFVCASSVESTFLFSPYKPVDLFPQIFRLNFTLKL >itb11g12880.t1 pep chromosome:ASM357664v1:11:9857201:9858722:1 gene:itb11g12880 transcript:itb11g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKVLSSGIRLSSLTKKYVRPELDRPRLSVVAQFNDVPVIDLGCGDGNLVSKQIADACFHHGFFTVINHGVPKKVIDDMLKAAEKFSELPVEEKKKLYYSDDLSKTMRLSTSNFNWRDRLRLDCFPCCKKKCSPEWPSNPSSYSQNPQAFASKALHIPSFFAKPTIQFPSPSRITPPHPAAALLPEEHPSVFTLMKPDGGLSHRSNDCLVVSY >itb11g11670.t1 pep chromosome:ASM357664v1:11:8574807:8577507:1 gene:itb11g11670 transcript:itb11g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAYNGSSAQTLTYIVLYSTSWFFIPHFNTNFQAGSSKNQIRMWLPIILVLTMAVSTSQRSIRIDENGMKVETLLSPRFELEPGEVCDKFFYRVDFPKGHIAVKKFDAEIVDDEGNSIPLTETYMHHWIFARIMIPKGVEPRRETVKIVGNSGVCAQLPQHFGLGSETRKTDTHVPDPYGIEVGRPAPEGYEEGWLLNLHAIDTRGAVDKMGCTECRCELYNKTKEEVGDYPGGIICCFDGMRCRVKPGFDGGKRGLHLKYTVTYVDWNPSIVPVEIYIFDVADTLTWSDEIPGRHACRMEYQVDNACSAATPTNECVHSKRLTVSLPKGGDLIYGVAHQHSGALGSTLFGEDGRVLCNSLPIYGNGTEPGNEDGYLVGMSTCYPQPGSVKIAPNEKLTIISNYSNVHMHSGVMGYFYILVAEPLPESNPIFHSHHLPGRSGKGRFSSLE >itb11g11670.t2 pep chromosome:ASM357664v1:11:8575198:8577507:1 gene:itb11g11670 transcript:itb11g11670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPIILVLTMAVSTSQRSIRIDENGMKVETLLSPRFELEPGEVCDKFFYRVDFPKGHIAVKKFDAEIVDDEGNSIPLTETYMHHWIFARIMIPKGVEPRRETVKIVGNSGVCAQLPQHFGLGSETRKTDTHVPDPYGIEVGRPAPEGYEEGWLLNLHAIDTRGAVDKMGCTECRCELYNKTKEEVGDYPGGIICCFDGMRCRVKPGFDGGKRGLHLKYTVTYVDWNPSIVPVEIYIFDVADTLTWSDEIPGRHACRMEYQVDNACSAATPTNECVHSKRLTVSLPKGGDLIYGVAHQHSGALGSTLFGEDGRVLCNSLPIYGNGTEPGNEDGYLVGMSTCYPQPGSVKIAPNEKLTIISNYSNVHMHSGVMGYFYILVAEPLPESNPIFHSHHLPGRSGKGRFSSLE >itb09g01750.t1 pep chromosome:ASM357664v1:9:1058787:1060763:-1 gene:itb09g01750 transcript:itb09g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPCASSPCFSQIITRSVSDQSQLRIPDGFVERYGHYLSGEVKLTVHTGDEWCVRLKKVGKTVLFTDGWRKFMEYYSIGIGYFLLFIYTKSACFDVHLFNKTATEIWDLPSTNANAERSTHISQNLQFTKEKCVGISDCGGSDDGNRSVKQLDDLKCHQVQENLADLSGLGSGCKRQRAATGWVNVQSSYRTRGKGKMFGEEGSISDAENVPDDGYSNEPLFAKSFLGSESRHNNDSAGLIVENANNQQISGKKCTLVRDDGKSDTADRLSPIFPNCKTDGEGSNIKQEKERRSVSSKSSSKHFMQRQATAQEKERASRDAKMFAPNNPYFTVSLKEYNISGSILLHIPREFTKEYLPIIPKNVEVQDSEGNSWNIKCINKPCFLSKGWAAFVREKNLATGDACGFELIKYLQDDNLVFKVHIFRAVLDNANEISLVEV >itb11g07150.t1 pep chromosome:ASM357664v1:11:4354134:4358303:1 gene:itb11g07150 transcript:itb11g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFKQPLLLSRSSLLPQAASSSSRATSQPPPIPQKCSFGIAFDIDGVILRGRTPIGNSPQALRRLFHDSGNLKIPFLFLTNGGGTPESRRAAELSELLGVKILPSQVLQGHSPFKNLLKRFENELIVATGKGEPAKVMSEYGFKKVLSVEEYASYFHDIDPVAQYKNWKTEPASILPKNSSDLAKRYDVFSDKVKAAFVVSDPVDWGRDIQVLCDILTSGGCPGDENEHQPFLFFAADDLEYQAAFPSERLGLGAFRTALESVFNRIHHKPLEYTSFGKPNPFVFKNAEAVLRHLRHPSSCQADVRTSELNAYKTLYMIGDNPSVDVKGARQAGHPWFSILTRTGVFRERGNHAQFPADLVVDTVEDAVEFILERECPES >itb11g07150.t2 pep chromosome:ASM357664v1:11:4354134:4357968:1 gene:itb11g07150 transcript:itb11g07150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFKQPLLLSRSSLLPQAASSSSRATSQPPPIPQKCSFGIAFDIDGVILRGRTPIGNSPQALRRLFHDSGNLKIPFLFLTNGGGTPESRRAAELSELLGVKILPSQVLQGHSPFKNLLKRFENELIVATGKGEPAKVMSEYGFKKVLSVEEYASYFHDIDPVAQYKNWKTEPASILPKNSSDLAKRYDVFSDKVKAAFVVSDPVDWGRDIQVLCDILTSGGCPGDENEHQPFLFFAADDLEYQAAFPSERLGLGAFRTALESVFNRIHHKPLEYTSFGKPNPFVFKNAEAVLRHLRHPSSCQADVRTSELNAYKTLYMIGDNPSVDVKGARQAGHPWFSILTRTGVFRERGNHAQFPADLVLFRSLSFKHSHDLCSF >itb15g11770.t1 pep chromosome:ASM357664v1:15:9610511:9619310:-1 gene:itb15g11770 transcript:itb15g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKQKSSKREDSEKEVEEPRRRSHRDRDEHRDRDKEKNGERHRERDREHYRDKKDRHDREKSTDDKYRERNRDREREKHKDRHKEKEREKSSRDKVSKDREKDRSDRESAKEKEDREREREKEKEKDREREKEKEKDREREKEKERDRDREKEKEREREREKEREKEKERDKERERRERDRREKDREERERSREKRVREKERRRDYSSDDDDDDDVDVRDRERKRRRRENDHKSRDHERSKKPIKLKDDSEDGSPLRRSDEESSEKKQKNLEEEQAEEQRKLEEEMEKRRRRVQEWQELRRKKEESEREKLGVAAITDEPKSGKTWTLEGESDDEDAALEEKQGMDMDVDGLAKPLDVDGVGPVSSNDVPDLKISQNGGNDASMDDDEIDPLDAFMNSMVLPEVEKLKNAEAPSEDIKSGLKDNTVIYNEEKPKKGVKKAMGRIIPGEDSDSDYGEVENDEDPLEDEDDEEFMKRVKKTKAEKLSIVDHSKIDYPSFRKNFYIEVREISRMTPEEVAAYRKQLELKLHGKDVPKPVKTWHQTGLSTKILDTIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLVPGDGPIGLVMAPTRELVQQIHSDIRKFAKVMGLSCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVETLARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESERFLRLLEILGEWYEKGKILIFVHTQEKCDALFKELLKHGYPCLSLHGAKDQTDRESTIMDFKSNVCNLLIATSIAARGLDVKELELVINFDAPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPDDLKALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGADVSQQVAALAQAAALAAATKANVAQLPGSVQFPQLPPHGGVPGPLANILPGNGLLIAPNDMSATAAAFLAAKNLQQNLARLQNEVMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGYYIPPGKVPGPGERKLYLFIEGPTEQSVKRAKAELKRVLEDITMQATSLPGSAQPGRYSVV >itb15g11770.t2 pep chromosome:ASM357664v1:15:9610511:9619310:-1 gene:itb15g11770 transcript:itb15g11770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKQKSSKREDSEKEVEEPRRRSHRDRDEHRDRDKEKNGERHRERDREHYRDKKDRHDREKSTDDKYRERNRDREREKHKDRHKEKEREKSSRDKVSKDREKDRSDRESAKEKEDREREREKEKEKDREREKEKEKDREREKEKERDRDREKEKEREREREKEREKEKERDKERERRERDRREKDREERERSREKRVREKERRRDYSSDDDDDDDVDVRDRERKRRRRENDHKSRDHERSKKPIKLKDDSEDGSPLRRSDEESSEKKQKNLEEEQAEEQRKLEEEMEKRRRRVQEWQELRRKKEESEREKLGVAAITDEPKSGKTWTLEGESDDEDAALEEKQGMDMDVDGLAKPLDVDGVGPVSSNDVPDLKISQNGGNDASMDDDEIDPLDAFMNSMVLPEVEKLKNAEAPSEDIKSGLKDNTVIYNEEKPKKGVKKAMGRIIPGEDSDSDYGEVENDEDPLEDEDDEEFMKRVKKTKAEKLSIVDHSKIDYPSFRKNFYIEVREISRMTPEEVAAYRKQLELKLHGKDVPKPVKTWHQTGLSTKILDTIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLVPGDGPIGLVMAPTRELVQQIHSDIRKFAKVMGLSCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVETLARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESERFLRLLEILGEWYEKGKILIFVHTQEKCDALFKELLKHGYPCLSLHGAKDQTDRESTIMDFKSNVCNLLIATSIAARGLDVKELELVINFDAPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPDDLKALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGADVSQQVAALAQAAALAAATKANVAQLPGSVQFPQLPPHGGVPGPLANILPGNGLLIAPNDMSATAAAFLAAKNLQQNLARLQNEVMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGYYIPPGKVPGPGERKLYLFIEGPTEQSVKRAKAELKRVLEDITMQATSLPGSAQPGRYSVV >itb15g11770.t3 pep chromosome:ASM357664v1:15:9610511:9619310:-1 gene:itb15g11770 transcript:itb15g11770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKQKSSKREDSEKEVEEPRRRSHRDRDEHRDRDKEKNGERHRERDREHYRDKKDRHDREKSTDDKYRERNRDREREKHKDRHKEKEREKSSRDKVSKDREKDRSDRESAKEKEDREREREKEKEKDREREKEKEKDREREKEKERDRDREKEKEREREREKEREKEKERDKERERRERDRREKDREERERSREKRVREKERRRDYSSDDDDDDDVDVRDRERKRRRRENDHKSRDHERSKKPIKLKDDSEDGSPLRRSDEESSEKKQKNLEEEQAEEQRKLEEEMEKRRRRVQEWQELRRKKEESEREKLGVAAITDEPKSGKTWTLEGESDDEDAALEEKQGMDMDVDGLAKPLDVDGVGPVSSNDVPDLKISQNGGNDASMDDDEIDPLDAFMNSMVLPEVEKLKNAEAPSEDIKSGLKDNTVIYNEEKPKKGVKKAMGRIIPGEDSDSDYGEVENDEDPLEDEDDEEFMKRVKKTKAEKLSIVDHSKIDYPSFRKNFYIEVREISRMTPEEVAAYRKQLELKLHGKDVPKPVKTWHQTGLSTKILDTIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLVPGDGPIGLVMAPTRELVQQIHSDIRKFAKVMGLSCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVETLARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESERFLRLLEILGEWYEKGKILIFVHTQEKCDALFKELLKHGYPCLSLHGAKDQTDRESTIMDFKSNVCNLLIATSIAARGLDVKELELVINFDAPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPDDLKALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGADVSQQVAALAQAAALAAATKANVAQLPGSVQFPQLPPHGGVPGPLANILPGNGLLIAPNDMSATAAAFLAAKNLQQNLARLQNEVMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGYYIPPGKVPGPGERKLYLFIEGPTEQSVKRAKAELKRVLEDITMQATSLPGSAQPGRYSVV >itb15g11770.t4 pep chromosome:ASM357664v1:15:9610511:9619310:-1 gene:itb15g11770 transcript:itb15g11770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKQKSSKREDSEKEVEEPRRRSHRDRDEHRDRDKEKNGERHRERDREHYRDKKDRHDREKSTDDKYRERNRDREREKHKDRHKEKEREKSSRDKVSKDREKDRSDRESAKEKEDREREREKEKEKDREREKEKEKDREREKEKERDRDREKEKEREREREKEREKEKERDKERERRERDRREKDREERERSREKRVREKERRRDYSSDDDDDDDVDVRDRERKRRRRENDHKSRDHERSKKPIKLKDDSEDGSPLRRSDEESSEKKQKNLEEEQAEEQRKLEEEMEKRRRRVQEWQELRRKKEESEREKLGVAAITDEPKSGKTWTLEGESDDEDAALEEKQGMDMDVDGLAKPLDVDGVGPVSSNDVPDLKISQNGGNDASMDDDEIDPLDAFMNSMVLPEVEKLKNAEAPSEDIKSGLKDNTVIYNEEKPKKGVKKAMGRIIPGEDSDSDYGEVENDEDPLEDEDDEEFMKRVKKTKAEKLSIVDHSKIDYPSFRKNFYIEVREISRMTPEEVAAYRKQLELKLHGKDVPKPVKTWHQTGLSTKILDTIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLVPGDGPIGLVMAPTRELVQQIHSDIRKFAKVMGLSCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVETLARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESERFLRLLEILGEWYEKGKILIFVHTQEKCDALFKELLKHGYPCLSLHGAKDQTDRESTIMDFKSNVCNLLIATSIAARGLDVKELELVINFDAPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPDDLKALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGADVSQQVAALAQAAALAAATKANVAQLPGSVQFPQLPPHGGVPGPLANILPGNGLLIAPNDMSATAAAFLAAKNLQQNLARLQNEVMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGYYIPPGKVPGPGERKLYLFIEGPTEQSVKRAKAELKRVLEDITMQATSLPGSAQPGRYSVV >itb01g00730.t1 pep chromosome:ASM357664v1:1:328804:335801:1 gene:itb01g00730 transcript:itb01g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDPNNAGPAGGEKPAREEASVKVPAKDPKKKDDKKDEDLSEEDLALKQQLELYVERVQDPDTGLQMAALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKLHYEKMPDSDTKKLLADILSVLALTMSVEGERESLKYRLLGSDDDIGSWGHEYVRNLAGEIAQEYVKRQGEEAPIDDLMELVQQIVHFHMKHNAEPEAVDLLMEVEDLDLLLEYVEHANYKRTCSYLQSAANYLPGPDDTLVLDIAYNIYTKFGEYASALFIALRLDNTQYVKHVFKTCDNLLLKKQFCYILALHRVTFELDEEMCSGEEREVLQEIINNTKLSEGYLALGRDIEVMEPKSPEDIYKAHLIEGRGSSGTSVDSARQNLAATFVNAFVNAGFGQDKLMTVPSEASSGGSSTSWLFKNKEHGKASAAASLGMILQWDVDNGLAQIDKYFHSTDNHVIAGALLAVGVVNCSVKNECDPALALLAEYIDREDASIRIGAIMGLGLAYAGLVFVGSCNEDIAQAIIFALMDRSESELGEPLARFLALGLGLLFLGKQDRVEATLEVSKTFNEKISKYCDMTLLSCAFAGTGNVLKVQHFIGQCAQHHEKGETYQGPAVLGIAMVAMAEELGLDMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDSDAEVAMAAIISMGLIGAGTNNARIAGMLRNLSSYYYKEANLLFCVRIAQGLVHLGKGLLTLSPYHSERFLLSPTALAGLITMLHACLDMKAIILGKFHYVLYFLTLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLSAGDRAELATEKYIPLSPVLEGFVILKENPEYREDH >itb15g00200.t1 pep chromosome:ASM357664v1:15:132562:136269:1 gene:itb15g00200 transcript:itb15g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKVQNNGHQNGFCIKKQQVDPLNWEMAAESLRGSHLDEVKRMVAEFRKPAVKLGGETLTVAQVAAIASRDNAVTVELSEEARAGVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLITGRPNSKAVGPNGETLNAEEALRLAGMDGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNSRLALASIGKLLFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDVLKLMSSTYLVALCQAIDLRFLEENLRNAVKNAVTQVAKRTLTVGANGELHPARFCEKDLLRVVDREYVFAYADDPCSANYPLMQKLRQALVDHALQNGENEKNTGTSIFLKVAAFEEELKAVLPKEVEAARIAVESGNPAIPNRIKECRSYPLYKFVREGLGTELLTGEKVRSPGEECDKVFTAMCEGSIIDPLLECLKSWDGAPLPIC >itb01g15420.t1 pep chromosome:ASM357664v1:1:17881149:17882226:-1 gene:itb01g15420 transcript:itb01g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAASPSSHTSKTHPYGRVGIILAVLFVGFLAWAYQEIKPPPPKICGSPGGPPVTAPRIKLRDGRHLAYKEHGIPRDRAKYKILSLE >itb08g06830.t2 pep chromosome:ASM357664v1:8:5843512:5851688:-1 gene:itb08g06830 transcript:itb08g06830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRMYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEVSAPFPFPLKS >itb08g06830.t3 pep chromosome:ASM357664v1:8:5843935:5851688:-1 gene:itb08g06830 transcript:itb08g06830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRMYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEVSAPFPFPLKS >itb08g06830.t1 pep chromosome:ASM357664v1:8:5843512:5851688:-1 gene:itb08g06830 transcript:itb08g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRMYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEDFTPAGR >itb08g06830.t4 pep chromosome:ASM357664v1:8:5843512:5849966:-1 gene:itb08g06830 transcript:itb08g06830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRMYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEVSAPFPFPLKS >itb11g15180.t1 pep chromosome:ASM357664v1:11:12633457:12636181:-1 gene:itb11g15180 transcript:itb11g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MIDYITLASFFLSLSLIFKIIQLLPVKTAKENGKRLPPGPPRWPIVGNLLQLGRLPHRDFAAFCDKYGPLVYLRLGCVDAITTNDPTIIREILLQQDDVFASRPRTLAAVHLAYGCGDVALAPVGPKWKRMRRICMEHLLTTKRLESFSKHRAEEAQHLVEDVWGMAQNGETVNLREVLGAFSMNNVTRMLLGKQFFGADESSGPQEAMEFMYITHELFHLLGLIYLGDYVPLWRWIDPYGCEKKMREVEKRVDDFHMKILEEHRKTKKETDGEMDFVDVLLSLPGENGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKRPRILKKIQAELDEVVGSDRMVSENDLIHLNYLRCVVRETFRTHPAGPFLIPHESTRATTINGYHIPAKTRIFINTHGLGRNPRVWDHVDDFNPERHLPADGGRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHSFDWSPPEGLRPEDIDTNEVYGMTMPKATPLFAVARPRLQRHLYHSN >itb04g08040.t1 pep chromosome:ASM357664v1:4:5606715:5608296:-1 gene:itb04g08040 transcript:itb04g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRSFSPMPAPPAGSIFTAPLHSHHAGFPIIAVAIIGILATGLLLVSYYVFVIKCCLNWHRIDLLRRFSFSRRRRVDEPFAVFSPPVENRGLDEAAIRSIPIFQYKKEPATADADAATAAAACECAVCLSEFQETEKLRMIPNCGHVFHIDCIDIWLQSNANCPLCRNSISSRAAAAAFMIAPATTPQDPILNINPSTEDDDYVVIEISTHDRRNNNTNSNQSLVIHSGELSSTISITPSPRPKISRKKPKNFAHVSSFGDECIDIRHKDEQFAKIQPIRRSFSMDSAADRQLFLAVQEIVQQHSSIPEVNDLSESGSSSRIKRSFFSFGHGWGSRNAVQPVHQDP >itb10g14530.t1 pep chromosome:ASM357664v1:10:20898002:20898268:-1 gene:itb10g14530 transcript:itb10g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLLLRSPLQGLAASLRVTSPRVASLRVTTPQAASQRAASSKSNRHCKEVNYVHRPEPTLQVLHDVSATRIQAAFREGLYGNLLLN >itb14g08000.t1 pep chromosome:ASM357664v1:14:7384037:7384876:1 gene:itb14g08000 transcript:itb14g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BIC2 [Source:Projected from Arabidopsis thaliana (AT3G44450) UniProtKB/Swiss-Prot;Acc:Q9M280] MAATKGGGDASPSSSVKDNRCSAVVSSPRAHKAAVMECDSPVASTRDVPEKEHSGREKLKRHWTEVAGRVLVPEKWGQEGLLREWMDYSSFDALLAPKGLLSAREDLMSQAKRTCSSFFKSQ >itb03g29070.t1 pep chromosome:ASM357664v1:3:29831568:29836910:-1 gene:itb03g29070 transcript:itb03g29070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDFSGDEEELEEEEEEKLGFVLSSNGDGPPLPLPFDSLLQPAPCGFVVADVLEPDRPVIYVNSVFEMVTGYRAEEVLGRNCRFLQSRGPFAKRRHPLVDSTVVAEIRRCLEQGLEFQGELLNFRKDGTPLMNRLHLTPIYGDDDTITHVIGIQFFTEVILDLGPLPGSSTKEFARSSVRHCSSLSSCGPISNGNLNIACGVCGILQLSDEVISLKILSRLTPRDIASVGSVCRRLYAMTKNEDLWRMVCQNAWGSETTCVLEAVPGAKRLGWGRLARELTTLEAVAWKKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSSPEWKHVKVSSPPPGRWGHTLSCVNGSHLVVFGGCGTQGLLNDVFVLDLDAKQPTWREISSLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPMWREIPVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEQPCWRCVTGSGMPGAGNPGGISPPPRLDHVAVSLPGGGILVFGGSVAGLHSASQLYILDPAEDKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEDWMLSELHELSLASSTI >itb06g11080.t1 pep chromosome:ASM357664v1:6:15604733:15607746:1 gene:itb06g11080 transcript:itb06g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENENMSTEMEIHDGNTTRQNNPLGPIIYLFQSMHLFIAGAVASLSRVPSYSVLSSTDAEAGQDLEIGVVDDADGAEPAVSGHNNSSASQTDNIGIELQSQMSSFKRIFREKNLQSLNDSLGGVASVADAFNSHLETGISDNSEEISQRKMVQFSQNWAQAHNYLHFLKKPCMVLLFLAGVLSLVFGIKEEGLQSGWVDGAIVFVTLFLLILITSIRDWSEERRARKKSQKEQILQEVGVVRGGKKVLIYVSDLVYGDLVCLEAGCLVPADGLFVSGEGLEIDDDGTLSICNQQNPFLFYGSIVVKGSAKMLVTSKGMDDTVLGEMMKEELASTQKKAKTETKIDKLSEFKDIVGLILSILLFVVVFLRFLGGKIDGDSGNRPDSKGAPTELERILHYLKIIFTESKGNSRVFSILICVSLVGLMEGMPFVVAIAIRHWNSKTLSDRASAKDESSCVRMAEVTTICIENNVGWLKEDRIEVGETRDRPIASLLASGIKLILFSQNHDVSELKQLIISREIGISETDAADTVLTLTGDELQQCPDEEMFEKLGSITVVGKCNSKLKQRIVRCLRSKGEVVAVVGERSGDALVMKEADIGLAIKSEYSEITTQSANVIIMKGSFSLLIDMIICGRNIYENLQKFIQVDMINDHSQLTHKLHISSFLGRHPIILNPTILDLLSYLNPRRPSIAVCPTKQKPPGHN >itb03g20510.t1 pep chromosome:ASM357664v1:3:18404309:18405655:-1 gene:itb03g20510 transcript:itb03g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTELDHLVPMDFKNVVQVPDTHTWLINNSCSSEESVPLIDLEDPQAVEKIKMACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSPNSYGIIPISRTFNTLMWMEGFTLSGSPLELARRVWPKDYSPFCTVIEDYQEQMMGLAVKITSLIFKSLGLSREDVEWFEPKSTGAFFHLNSYPRCPDPTRALGMVPHTDSSLITLLYQNSTNRGLQVYGPNLKWVDVEPISNAIVVNVSDLLQIYSNDQFKSVVHRAIVSEAQHRIFIVYFFGPKIDVNISSPPKLIKDGDFTMYRPVSWKEYREIKGVHFDKALEVVRFNSVVVENANAVTSGNRHHLMEFMVAKWKL >itb06g14070.t1 pep chromosome:ASM357664v1:6:18681756:18686813:-1 gene:itb06g14070 transcript:itb06g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTDSESETEPMTVEKVPVWDLPDVPQGQLPSHIENLRTRVLCTYIAPTNTDKIKYSGAFASMGVDNSLRSEQFRKNFRVEVMKLNEDDIEFDMIGIDAAVANAFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLIPLKVDPRLFEYMSENDVPNEKNTIVFSLHARCEKAKKERISVKSSELKWLPNGSEFVLATENSASSSASKPKTYTSFSCSQDSLPEFSNNPIAPKYPDITIARLGAGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVVLLREIEGDEAEQLVEKCPVKVFDIEDIGKGKKRATVARPRACTLCRECIREEGWEKNVALQRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >itb09g27620.t1 pep chromosome:ASM357664v1:9:27858775:27866687:1 gene:itb09g27620 transcript:itb09g27620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTMNSCWKLKSSAFTFLTDSSASCFKFCASCYSPGLIPSLLHSRYSRPDIRAFASPVKKSRIKRRKIKENTTLPEKLVLDDCNIEDELQDKNGTPTSDVGEVENSQTVPSRSSVLQACTITSGLICLLGFLIRQASHFASTEGLPVADCSVEISWDFQMWHLELITGLVIIVSSCRYLLLKTWPDFAESSEAANRQVLTSLEPLDYIVVSFLPGVSEEFLFRGALLPLFGANWPSALAVAAVFGILHLGSGRKYSFAICEAANRQVLTSLEPLDYIVVSFLPGVSEEFLFRGALLPLFGANWPSALAVAAVFGILHLGSGRKYSFAIWATFVGLAYGYATISTSSIIVPMAAHALNNLIGVVNSPRAMEQRIIPELDRGSVYVFMVLTSLEPLDYIVVSFLPGVSEEFLFRGALLPLFGANWPSALAVAAVFGILHLGSGRKYSFAIWATFVGLAYGYATISTSSIIVPMAAHALNNLIGDFLNVAALQPVCQLSVLFCLTPRNSSSARLGQNSRASTSISSITKKPSSSLICLLGTLGRIDDCIFDASDNSELASCK >itb15g10790.t1 pep chromosome:ASM357664v1:15:8540556:8541335:1 gene:itb15g10790 transcript:itb15g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNFDALRDLHDSANDLLHSPVIKREIATHRQEKLVHEVSEASLRMLEVCGTTKDVVLRVKDHLHDLRSAFRRIMVGETVCAESKLQTFRCDRKKLRKEMMKRMNSLKGMRKKNACLAAAKEMSAAADDDDLRAVVNVLREVRAATISMVEAVMSLMSMPCPDRSASSFAAKLMRVNSLSRWEKCDATTLQCANTRLEAVEMAVGDLEWELEAIIRRLIRTRVSLLNILTN >itb08g02750.t2 pep chromosome:ASM357664v1:8:2344533:2350173:1 gene:itb08g02750 transcript:itb08g02750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGIYSFGLFPQMLVGYQMYNCCRYKENVESRSLALQKQLSRYAHVSLSAEITGLNDADKKALALIIKAAKIMDRIFYLQVWYSNPSLRDWLREHAGKSQLDKLKWSYYQINKSPWSCLDENEAFLTTADSAVKLLPEATKPVTGWKGLQYRTAFPIIKPPGANFYPPDMDKMEFSLWRDGLPEDQKKEAMGFFNVIKRHSESELDIPISQNTSTPNSSHDLYIVPYCQEYNSLLSEAAKLLHEAGDLTSSLSLRRFLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGIRDDEATAQLKLFGDHLQVLEKNLPMDNVYKSEDVAAAPIRVIRVLYNSGDVKGPQTVAFNLPNDESIVKDRGTSMVMLKNVSEAKFKLILQPIADACIAKELREFVDFESFFTHTICHECCHGIGPHTITLPNGKKSTVRLELQELHSALEEAKADIVGLWALKFLIGEGLLPKSLVRSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNYMFEKGAFVLQPDETFSINFDKVEDVVESLSREILTVQARGDKDGARMLLKKYGVMTPPLQCALQKLETIQVPVDIVPEFPIADQILRESH >itb08g02750.t1 pep chromosome:ASM357664v1:8:2340607:2350173:1 gene:itb08g02750 transcript:itb08g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHQEVEYLDVLTKTGEKTGISKPRRDVHRDGDYHRAVNVWIYAESTQELLLQCRADCKDSWPGRWDASSAGHISAGDSSLVSAARELQEELGITLPKDAFELIFFFLQECVINDGTFINNEYNDVYLVTIIDPIPLDAFTLQESEVSAVKYIPYHEYRSLLAKEDPNYVPYDMNSVYGQLFKIIEKRYKENVESRSLALQKQLSRYAHVSLSAEITGLNDADKKALALIIKAAKIMDRIFYLQVWYSNPSLRDWLREHAGKSQLDKLKWSYYQINKSPWSCLDENEAFLTTADSAVKLLPEATKPVTGWKGLQYRTAFPIIKPPGANFYPPDMDKMEFSLWRDGLPEDQKKEAMGFFNVIKRHSESELDIPISQNTSTPNSSHDLYIVPYCQEYNSLLSEAAKLLHEAGDLTSSLSLRRFLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGIRDDEATAQLKLFGDHLQVLEKNLPMDNVYKSEDVAAAPIRVIRVLYNSGDVKGPQTVAFNLPNDESIVKDRGTSMVMLKNVSEAKFKLILQPIADACIAKELREFVDFESFFTHTICHECCHGIGPHTITLPNGKKSTVRLELQELHSALEEAKADIVGLWALKFLIGEGLLPKSLVRSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNYMFEKGAFVLQPDETFSINFDKVEDVVESLSREILTVQARGDKDGARMLLKKYGVMTPPLQCALQKLETIQVPVDIVPEFPIADQILRESH >itb07g00900.t1 pep chromosome:ASM357664v1:7:574484:577660:-1 gene:itb07g00900 transcript:itb07g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEIGVPQIVDQIKDLSIPDQSKMKSTAEEVLRVGCENDHHGLCAICLNKIVLQETALVKGCEHAYCVTCILRWATYKKEPTCPQCKHPFEFLNIHRALDGSIHDYMFEESVCLLLRASWFQPLVVVEEQPEVEDYVDDFYLYDGFEDEEDDLDEAYFDSSSSIRLGNRRWGDNGYVRAGRQEARPVNRPNFQDPDAGPSRQPKKKEPSKEIMGRRAKRAMKREAANEAAAAKHQQHLARLGRK >itb10g01700.t1 pep chromosome:ASM357664v1:10:1397145:1399907:-1 gene:itb10g01700 transcript:itb10g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVRGNPTFQNLATFLNNNPQTSLSSAGAIGGCLLGSLDGACTERLLLHCASALESNDVTLAQQVMWVLNNVASSTGDANQRLTSWFLRALVSRAARVYPKSYPTCGGGNGACGRVMSVTELAGYVDLIPWYRFGFCAANSAIIEAVQGCAKVHILDFSVTHCMQWPTLIDALADRPEGPPALRLSVPSWRPPVAPWLSLSTEEVGLRLANFAKSRSIPFQFSVIADINNGGLGLFEDADEALVVNCQNWLRYLPCRQTFLDTIKCLNPTLITVIDEDADLDAPSLSSRIVNCFNYLWIIFDSLETFLSKDSQQRAEYEADVGQKIENIIGFEGGQRIERLESSTTLSTRMRNSGFLNVPFSEETIKEVKFVLDEHASGWGMKKKDDGTLVLTWKGHSSVYATTWVPPPNHSSIHDISCILESDQYSIMI >itb03g28770.t1 pep chromosome:ASM357664v1:3:29467754:29470276:1 gene:itb03g28770 transcript:itb03g28770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAKPTLSQAHPETMDFLSHAWCNFAVQAFQPEMQDLALTVHDSSIKKFSDDIKNKPSLQKMDKSMKMDDTDKSIPPWTSNDVKSWIWMQQAMHPELNYHSYLRKKWIPWKIAPLKNFSIKKWLKEMKQKRKEENRLQKAEVHAAISVAGVAAALAAIAAENSNRDDSKESAVASAAALVAAQCAKVAEAMGAKKEQLSSVIGSAMSGTSASDIVTLTAAATTSLRGAATLKARRECKSILNGSTPVLPIEDGKDFELEYESCRSVLSKGAELNLETSDGRYMLRSVTIIFNPEAKVILRTRKLNILNPFTGHKESIILDLHAELYKDSEGEEMDTCYLIVLTTNKGIMKLDMMDDYQRYRMWSKTIKHMLTLSTSLTRYI >itb03g28770.t2 pep chromosome:ASM357664v1:3:29468129:29470190:1 gene:itb03g28770 transcript:itb03g28770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAKPTLSQAHPETMDFLSHAWCNFAVQAFQPEMQDLALTVHDSSIKKFSDDIKNKPSLQKMDKSMKMDDTDKSIPPWTSNDVKIPWKIAPLKNFSIKKWLKEMKQKRKEENRLQKAEVHAAISVAGVAAALAAIAAENSNRDDSKESAVASAAALVAAQCAKVAEAMGAKKEQLSSVIGSAMSGTSASDIVTLTAAATTSLRGAATLKARRECKSILNGSTPVLPIEDGKDFELEYESCRSVLSKGAELNLETSDGRYMLRSVTIIFNPEAKVILRTRKLNILNPFTGHKESIILDLHAELYKDSEGEEMDTCYLIVLTTNKGIMKLDMMDDYQRYRMWSKTIKHMLTLSTSLTRYI >itb09g23120.t1 pep chromosome:ASM357664v1:9:22281019:22283109:-1 gene:itb09g23120 transcript:itb09g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRREQDGSSSLSNTFGKLGEKICSIFGKSLSFGSFFGSNSPPSKATLPDDLPEASRSNGPVIEELDTDDDDGEVERNGEGDFGENRQKIWSSSWANRNPRVWEETKHADVTTGEATHTISRGIHDKGHTLTRKLKSDGKVDTVETLHNLEEDELVGFDREWKCNANKHLPGWNIRRNYNAGSTSDRDSPFREGFGLDVMARSSGAIQKKVVTINIE >itb06g15620.t1 pep chromosome:ASM357664v1:6:19935460:19936831:1 gene:itb06g15620 transcript:itb06g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGANIDHDPYVFEDFFPSMIRRLGSEGFMGELCNGFYLLMDVSTGLITFESLKRNTVLMGLEDLRDEELICMLAEGDLDGDGALNQMEFCILMFRLSPGLMDSPKKWIDDYGVIHMQP >itb10g11910.t1 pep chromosome:ASM357664v1:10:17553127:17561081:1 gene:itb10g11910 transcript:itb10g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNCDDRFGDFTFVSYPINALNPTPTTIAAANNEEDDEWGDFMDYSRGSDPSNGTSQPSGVFSNHGSQHPDQSTPSSEPAANQTESSKIVQWVKPRGALPLSLFGEAEDEEKPDKEEKYAHVEAQTAKEEAKKLSNGSNTDSSIISNGFYANFYNQNPQFQSVKPSSNACESGNLISNLDLKKDLATTQSFDWNVTRSELKSQAVESSSMGWSPDLNNLLSNQTGITSDKSVAITSLSDHTERIESVTALGSKTDGISSDITASRPTFGDWDFDFGGFGSPSNTLNSSFSGLNSNSNALGVFEKSGSKKGDGECDDDDDDDDGWEFKDACSEARDEGTNNKAGSTAQEIQETKAYMAGFGNGSDRLIDFSPMSNGSVETDSQAHYTGDMKVYSIGIHNGSNGSLQSELKGHGTSNSLAYSYGLGNVSNHSVDLFSMSNGTDLEAHGGMNAFSSYSDGSAMSNESVKAETEAHDTGDLKANLSGYSESANGSIDLFTLSNGSIDLFATANGTSDITHENEVESNSNPSTCAQNGVETNEDFGEFTAALSDSGSKQVGELKETDLFHELEAAKSGGKYQVNTSGPNCKGALPLSIFGDEELEPDDFSTIEDCFMHNPTSFPKSDNKTKPVISINDVISSLYSQGDHTSSISSSQNPSGVVEPPDSDASSNLVKNEDAFGDKSWDYKSSITQRRADEETSVFGHGDPLPSCSSTRKLDNYVDFYSELKKELCLYSKYHFGDLKRDQSIMTGEDAKGIALYEEIKGACKELDHGSSLCEGDDLEGQPSWKTCFHEFIEVLKEPKFQVLESEYNLSRRLSQMENDLNSAIELISHASIMLKLLTFASAEEQLIYVSIWYKIISVCNQELQHGTWLWKQILDKDAQSHVFSSSRGRKYILGLGEIYKVAVVLGASVKLYRPWTWLNHADFNGICSLLDECLALWSMSGLGQAISSISDLESTSTVGSCLDNIKSICDLDAFSLQNHISSQKEICRLSLLTSEVMHGLGMKLVIWNGEHYFLTLANLWANLVSHDPPELPHLSYGW >itb04g14430.t1 pep chromosome:ASM357664v1:4:15022456:15022899:1 gene:itb04g14430 transcript:itb04g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFLFPLLLFSVTTTAQDCPYPCFPPPSGPGNNSPATTTPPSPPSQTASNPPATILTPPAVGGGGGYNPYNNPPPDFMNSIAPPPPDPILPWFPYYSKKSPHADADQQSSSASFPAGLSSSTTMKMIITTIVPIKLFLIFTFIFQ >itb01g08980.t1 pep chromosome:ASM357664v1:1:7237917:7239155:-1 gene:itb01g08980 transcript:itb01g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMMRKEAAAAAEAQAAAKMSEVFARCECCGLTEECTEAYIAKVRERNQGRWICGLCAEAVKDEMLRSEKRIGREEALNRHITFCKKFRALKPPPSPTDELISAVKQLLLRSLDSPRSSPPSPIRKGGLLRSHSCFTTIDSS >itb01g08980.t2 pep chromosome:ASM357664v1:1:7237917:7238946:-1 gene:itb01g08980 transcript:itb01g08980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMMRKEAAAAAEAQAAAKMSEVFARCECCGLTEECTEAYIAKVRERNQGRWICGLCAEAVKDEMLRSEKRIGREEALNRHITFCKKFRALKPPPSPTDELISAVKQLLLRSLDSPRSSPPSPIRKGGLLRSHSCFTTIDSS >itb11g11920.t1 pep chromosome:ASM357664v1:11:8821226:8828063:1 gene:itb11g11920 transcript:itb11g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLTVKQEIPEEPTDPVAVQSSTMRRSLSNKMSAPLHYHASTGPVIITSAETVDYSLKPYSGVPQKNMVLEKLGGIHYAHSVQHSFISPSGQNQQLGFVQNPVSVVPASGAVVGTTELRNDSHASNAPAQLTIFYAGSVSVYSNISPEKAQAIMLLAGNGTPNAFGPKPAASPAQGAVLPRLPIIDGFAVNRDNIRAACFSCPISITPYTIPQSAAGSGSSQEAPSAVPLARKASLARFLEKRKERVIRASPYANKHSPECDSLGSASITYPANSLSSFPLGAAN >itb11g11920.t2 pep chromosome:ASM357664v1:11:8821271:8827991:1 gene:itb11g11920 transcript:itb11g11920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLSNKMSAPLHYHASTGPVIITSAETVDYSLKPYSGVPQKNMVLEKLGGIHYAHSVQHSFISPSGQNQQLGFVQNPVSVVPASGAVVGTTELRNDSHASNAPAQLTIFYAGSVSVYSNISPEKAQAIMLLAGNGTPNAFGPKPAASPAQGAVLPRLPIIDGFAVNRDNIRAACFSCPISITPYTIPQSAAGSGSSQEAPSVPLARKASLARFLEKRKERVIRASPYANKHSPECDSLGSASITYPANSLSSFPLGAAN >itb11g11920.t3 pep chromosome:ASM357664v1:11:8821232:8828035:1 gene:itb11g11920 transcript:itb11g11920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLTVKQEIPEEPTDPVAVQSSTMRRSLSNKMSAPLHYHASTGPVIITSAETVDYSLKPYSGVPQKNMVLEKLGGIHYAHSVQHSFISPSGQNQQLGFVQNPVSVVPASGAVVGTTELRNDSHASNAPAQLTIFYAGSVSVYSNISPEKAQAIMLLAGNGTPNAFGPKPAASPAQGAVLPRLPIIDGFAVNRDNIRAACFSCPISITPYTIPQSAAGSGSSQEAPSVPLARKASLARFLEKRKERVIRASPYANKHSPECDSLGSASITYPANSLSSFPLGAAN >itb06g09500.t1 pep chromosome:ASM357664v1:6:13791783:13792688:1 gene:itb06g09500 transcript:itb06g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPIFLLVLSISFLPLAITGLPPLIRLPTKGDVTNTILDTAGNPVVAGAKYYAIPALIGVEGGISVANPETGNPSTCPTDVVINVTLAGHQPPAVGRPLTFYPLNREAEASRAAAATGDVIIRQYPLNVAFDSPDPSDPCAKENVWKLNDEATIVTGGVIGKEDDIGNWFRIEKNINGRGYLFNWWPSLCLYCRIGYFRIGTVGDGHQLGINYRDESLYAFEFVKAE >itb07g07700.t1 pep chromosome:ASM357664v1:7:5882281:5885888:1 gene:itb07g07700 transcript:itb07g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRTLLHLSLLLFHFSFLDAASNPDAEALLSFKAAADTNNKLWDWNSSADPCGWTGVSCQNNRVSRLVLEGFELHGSFQQLASLTQLRVLSLKWNRFSGPLPDLSKLTALKLLFLSYNELSGEFPASLTSLFRLYRLDLSHNNFSGEIPANASHLTHLLTLRLEENRFSGSISGVNLPNLQDFNVSGNRLVGEIPASLSGFPESSFANNPGFCGAPLPKCARVTGDPTRPGGGALASPVGPAITVASSPSSLPATTTMPMKPGNSHRSGASKISKLAIIAIILGDVLVLAMVSILVYCYLNARKTSQSHKSQTLEGEKIVYSSSPYPVSSQPGGFERGKMVFFEGAKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKEMSTGGRKDFEQQMEVLGRLRHSNLVSLKAYYFARDEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGVAFIHSSCKTLKLTHGNIKSTNILIDKAGNARVSDFGLAIFASPSTAPKSNGYRAPEAALEGRKITQKSDVYSFGVLLLELLTGKCPTVVDNGGPGAGYGGVIDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTSASPDQRPKMSYVVKMIDELRGAEVSPSHDSVSDSPAVSEDTCGASQ >itb03g27250.t1 pep chromosome:ASM357664v1:3:26996811:26997311:1 gene:itb03g27250 transcript:itb03g27250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELVLFNKDVFVGQVLTGGIIKALASMGSKWSMEVLSSLIKAIRSPLVNEIHSNGGILIIISYLQGSQDSEFNAMAMDCRSELGGDLIDQSEVGKSGKKNEKMLLAKNPFASCVARFIVQMEVGEGLRQRDMKWS >itb09g25840.t3 pep chromosome:ASM357664v1:9:25946946:25953176:-1 gene:itb09g25840 transcript:itb09g25840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQDYIEERRKEIASSRPYEELSADVLETSTSVTQLVEYESSTSSSSSECENKHCPTNSSMLAENQSSQPLGSTNEDDRVKTWSEQRFPLPGEPVCVVCGKYGEYICNQTEDDICSIDCKAELLENLKPQQGPLSAQFPVEPFGNKCYLEVPESGGDIWNYENHRWSKKRSGLCAYECWKCQRPGHLPDDCLVMASANQSQPSGQSGETRNQVAVLPSKSCSISRDLLELYKRCREIRKKSLSAQCDSCRRSTSLATCLRCSNSFCDSAGHLRKHISMHPSHQQYYSYKLNRLVKCCKSTCKVTDIQDLLACHHCFNKAFDKYYDMYTATWKGAGLSIIWNSICCEDHFEWHRINCFNAGVEDSAYIIKKHSPNDNCAFSDFIF >itb09g25840.t5 pep chromosome:ASM357664v1:9:25946946:25952271:-1 gene:itb09g25840 transcript:itb09g25840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAENQSSQPLGSTNEDDRVKTWSEQRFPLPGEPVCVVCGKYGEYICNQTEDDICSIDCKAELLENLKPQQGPLSAQFPVEPFGNKCYLEVPESGGDIWNYENHRWSKKRSGLCAYECWKCQRPGHLPDDCLVMASANQSQPSGQSGETRNQVAVLPSKSCSISRDLLELYKRCREIRKKSLSAQCDSCRRSTSLATCLRCSNSFCDSAGHLRKHISMHPSHQQYYSYKLNRLVKCCKSTCKVTDIQDLLACHHCFNKAFDKYYDMYTATWKGAGLSIIWNSICCEDHFEWHRINCFNAGVEDSAYIIKKHSPNDNCAFSDFIF >itb09g25840.t1 pep chromosome:ASM357664v1:9:25947080:25953208:-1 gene:itb09g25840 transcript:itb09g25840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDDNDIGHHKRRRTERRQPLRNNESEVKVNDKPMSHQDYIEERRKEIASSRPYEELSADVLETSTSVTQLVEYESSTSSSSSECENKHCPTNSSMLAENQSSQPLGSTNEDDRVKTWSEQRFPLPGEPVCVVCGKYGEYICNQTEDDICSIDCKAELLENLKPQQGPLSAQFPVEPFGNKCYLEVPESGGDIWNYENHRWSKKRSGLCAYECWKCQRPGHLPDDCLVMASANQSQPSGQSGETRNQVAVLPSKSCSISRDLLELYKRCREIRKKSLSAQCDSCRRSTSLATCLRCSNSFCDSAGHLRKHISMHPSHQQYYSYKLNRLVKCCKSTCKVTDIQDLLACHHCFNKAFDKYYDMYTATWKGAGLSIIWNSICCEDHFEWHRINCFNAGVEDSAYIIKKHSPNDNCAFSDFIF >itb09g25840.t4 pep chromosome:ASM357664v1:9:25946976:25953189:-1 gene:itb09g25840 transcript:itb09g25840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSNLYKNPSFVYNKSFNLNSVLQNLNAYNVVTGNVSTAPSTAKPDSDDNDIGHHKRRRTERRQPLRNNESEVKVNDKPMSHQDYIEERRKEIASSRPYEELSADVLETSTSVTQLVEYESSTSSSSSECENKHCPTNSSMLAENQSSQPLGSTNEDDRVKTWSEQRFPLPGEPVCVVCGKYGEYICNQTEDDICSIDCKAELLENLKPQQGPLSAQFPVEPFGNKCYLEVPESGGDIWNYENHRWSKKRSGLCAYECWKCQRPGHLPDDCLVMASANQSQPSGQSGETRNQVAVLPSKSCSISRDLLELYKRCREIRKKSLSAQCDSCRRSTSLATCLRCSNSFCDRSFEKAYQHAPLSSTVLFL >itb09g25840.t6 pep chromosome:ASM357664v1:9:25946946:25953208:-1 gene:itb09g25840 transcript:itb09g25840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDDNDIGHHKRRRTERRQPLRNNESEVKVNDKPMSHQDYIEERRKEIASSRPYEELSADVLETSTSVTQLVEYESSTSSSSSECENKHCPTNSSMLAENQSSQPLGSTNEDDRVKTWSEQRFPLPGEPVCVVCGKYGEYICNQTEDDICSIDCKAELLENLKPQQGPLSAQFPVEPFGNKCYLEVPESGGDIWNYENHRWSKKRSGLCAYECWKCQRPGHLPDDCLVMASANQSQPSGQSGETRNQVAVLPSKSCSISRDLLELYKRCREIRKKSLSAQCDSCRRSTSLATCLRCSNSFCDSAGHLRKHISMHPSHQQYYSYKLNRLTGEML >itb09g25840.t2 pep chromosome:ASM357664v1:9:25946946:25953208:-1 gene:itb09g25840 transcript:itb09g25840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSNLYKNPSFVYNKSFNLNSVLQNLNAYNVVTGNVSTAPSTAKPDSDDNDIGHHKRRRTERRQPLRNNESEVKVNDKPMSHQDYIEERRKEIASSRPYEELSADVLETSTSVTQLVEYESSTSSSSSECENKHCPTNSSMLAENQSSQPLGSTNEDDRVKTWSEQRFPLPGEPVCVVCGKYGEYICNQTEDDICSIDCKAELLENLKPQQGPLSAQFPVEPFGNKCYLEVPESGGDIWNYENHRWSKKRSGLCAYECWKCQRPGHLPDDCLVMASANQSQPSGQSGETRNQVAVLPSKSCSISRDLLELYKRCREIRKKSLSAQCDSCRRSTSLATCLRCSNSFCDSAGHLRKHISMHPSHQQYYSYKLNRLVKCCKSTCKVTDIQDLLACHHCFNKAFDKYYDMYTATWKGAGLSIIWNSICCEDHFEWHRINCFNAGVEDSAYIIKKHSPNDNCAFSDFIF >itb13g26000.t1 pep chromosome:ASM357664v1:13:31361455:31361799:-1 gene:itb13g26000 transcript:itb13g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANSWRPPAATASAILFQLAYDAGLCHCFLLIEGKDIQSVSLKIIEGGAMNLLHICFVAFLLYVKVFFLLTAFPLILSSTVNRYGGSLRRRWPAAYRDQRDFFLRRLNRFGVQ >itb08g08670.t1 pep chromosome:ASM357664v1:8:7652908:7655670:-1 gene:itb08g08670 transcript:itb08g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADQNPQPVCAQEALNLLNCATETPYDPEKCTRLLDSLRQCILNKKVKKFSLAEQSEVKPDTISEKKS >itb14g11250.t4 pep chromosome:ASM357664v1:14:12873581:12877366:-1 gene:itb14g11250 transcript:itb14g11250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTAHTCELKIPSWLFVCDKDGFETQEQSGSTSSFNCYALCFTVEQPKVVKKAEYTLLFNYQLTS >itb14g11250.t1 pep chromosome:ASM357664v1:14:12873581:12877366:-1 gene:itb14g11250 transcript:itb14g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTAHTCELKIPSWLFVCDKDGFETQEQSGSTSSFNCYALCFTVEQPKVVKKVLHMLAPNEYGNRTPYKIALAVKSGTKRIW >itb14g11250.t3 pep chromosome:ASM357664v1:14:12873581:12877366:-1 gene:itb14g11250 transcript:itb14g11250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTAHTCELKIPSWLFVCDKDGFETQEQSGSTSSFNCYALCFTVEQPKVVKKAEYTLLFNYQLTS >itb14g11250.t2 pep chromosome:ASM357664v1:14:12873581:12877366:-1 gene:itb14g11250 transcript:itb14g11250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTAHTCELKIPSWLFVCDKDGFETQEQSGSTSSFNCYALCFTVEQPKVVKKVLHMLAPNEYGNRTPYKIALAVKSGTKRIW >itb14g11250.t5 pep chromosome:ASM357664v1:14:12873581:12877366:-1 gene:itb14g11250 transcript:itb14g11250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTAHTCELKIPSWLFVCDKDGFETQEQSGSTSSFNCYALCFTVEQPKVVKKVLHMLAPNEYGNRTPYKIALAVKSGTKRIW >itb14g11250.t6 pep chromosome:ASM357664v1:14:12873577:12877366:-1 gene:itb14g11250 transcript:itb14g11250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTAHTCELKIPSWLFVCDKDGFETQEQSGSTSSFNCYALCFTVEQPKVVKKAEYTLLFNYQLTS >itb13g02380.t3 pep chromosome:ASM357664v1:13:2239288:2242014:-1 gene:itb13g02380 transcript:itb13g02380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSSLFSAQQTARAFTGTYGINYGRLADNIPSPDKVVKLLRAAKIKNVRIYDSDHSVIKAFAGTGLELVVGLTNGEVKDVSASADHALNWVKDNVNAFLPDTNIVGIAVGNEVLAGSDSELSASLLNAVKNIHNATKKLGISDNIQITTAHSQGVFNNSFPPSSCIFREDISQLMKPLLEFFSEIGSPFCLNAYPFLAYTYNPDKIDINYALFKSNEGIYDEATDLHYDNLLDAQIDAAYAALEDAGFKKMEVIITETGWASHGDQNEPAATSDNARTFNYNLRKRLAKRKGTPLRPKHVLKAYIFALFNEDSKPGPTSERNYGLFKADGSISYDIGFHGLKDSSAVSSLLSLKGFRARGLLATSAFLLLLLLWL >itb13g02380.t2 pep chromosome:ASM357664v1:13:2240084:2243265:-1 gene:itb13g02380 transcript:itb13g02380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLKCLRSILLLFILLNHQAQQTARAFTGTYGINYGRLADNIPSPDKVVKLLRAAKIKNVRIYDSDHSVIKAFAGTGLELVVGLTNGEVKDVSASADHALNWVKDNVNAFLPDTNIVGIAVGNEVLAGSDSELSASLLNAVKNIHNATKKLGISDNIQITTAHSQGVFNNSFPPSSCIFREDISQLMKPLLEFFSEIGSPFCLNAYPFLAYTYNPDKIDINYALFKSNEGIYDEATDLHYDNLLDAQIDAAYAALEDAGFKKMEVIITETGWASHGDQNEPAATSDNARTFNYNLRKRLAKRKGTPLRPKHVLKAYIFALFNEDSKPGPTSERNYGLFKADGSISYDIGFHGLKDSSAVSSLLSLKV >itb13g02380.t1 pep chromosome:ASM357664v1:13:2239288:2243265:-1 gene:itb13g02380 transcript:itb13g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLKCLRSILLLFILLNHQAQQTARAFTGTYGINYGRLADNIPSPDKVVKLLRAAKIKNVRIYDSDHSVIKAFAGTGLELVVGLTNGEVKDVSASADHALNWVKDNVNAFLPDTNIVGIAVGNEVLAGSDSELSASLLNAVKNIHNATKKLGISDNIQITTAHSQGVFNNSFPPSSCIFREDISQLMKPLLEFFSEIGSPFCLNAYPFLAYTYNPDKIDINYALFKSNEGIYDEATDLHYDNLLDAQIDAAYAALEDAGFKKMEVIITETGWASHGDQNEPAATSDNARTFNYNLRKRLAKRKGTPLRPKHVLKAYIFALFNEDSKPGPTSERNYGLFKADGSISYDIGFHGLKDSSAVSSLLSLKGFRARGLLATSAFLLLLLLWL >itb10g15970.t1 pep chromosome:ASM357664v1:10:22221137:22222658:1 gene:itb10g15970 transcript:itb10g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQAMLSSSVKFSEHRQQVSLPSGRRNLPEIWPRVVRISVTDGDATDSSDEDEEKACFGRRRVKRYVTELRIQPCGRGDGNGNSDGVLRTEGTAAAKRRKKSGAESAAVNGRLKAGNNARKYRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDHAAIRLRGPDALTNFSVPTTAPPPALADVKPEPADSGYNSGDDSHHQLSPKSVLRFASSASNGDSHSPHQAQAEAEAVKMSHSPPSPENLSTFSSPFDTLFPNDLFEFEDPLPVPVPGLFDEMGLRNYIFEEACTDTFIGSSHEFGLEQSTWPADNYFQEFGDIFGSDPLVAL >itb13g16060.t1 pep chromosome:ASM357664v1:13:22963552:22964154:1 gene:itb13g16060 transcript:itb13g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRYRIIFSLSRQKKPRTEKHQCSPLLPEIQACCPSVFSLNPDSRSATFIIIQIISLSYYDLRWSTKKKKGKTPLQPPRPLHTSHHRDATADRCLNLRPPAAGLRPFLRRLRAPPPPHRHSLSTQPVNEAVIIAADPATTGLRRHYGPLHRIHNVSLSLPPSSEQNAKVAVAAAANLPSTINTTSSSPSYCPPPQPHDQ >itb11g03880.t1 pep chromosome:ASM357664v1:11:2090481:2092261:-1 gene:itb11g03880 transcript:itb11g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMTFLAAGSGIRGQIPIEIGNLTKLIWLGIDDNYLTGMVPRTLGNLQQMQRINLGGNRLDGTIPANICNLKDLYFLALDNNKLSGRIPSCLGNLSSLTQLYLDSNQFFSSLPPSLWLNNKIQILDLSSNHLSGAISPDIGLLSSITELYLSSNQFTGEIPSTLRQLQHLVNLSLSINMLHGHIPQSFGSLVGLEYLDLSQNNLSGVIPMSLEKLQYLVYLNVSFNHLIGKIPNGGPFRNFSTQSFMGNDALCGLQLGSCESPEYGESRKVRSLLKYVLPTLALILLLAIFVIVALRSRSRNTKFQDGTSLEPPHFMRKRISYHDMLRATDNFDEKSGWQFGGGG >itb04g19110.t1 pep chromosome:ASM357664v1:4:23224698:23225093:1 gene:itb04g19110 transcript:itb04g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQIILIQIGSGFANSTTAANCQISQLYVSVFWRSGRCRTADWRTRDCVLRKAGVLEVTPTARREESDCVLRKAEIRGVLQATARAAELTSDRRDARKQTDVGRTESRRAKHQPPSKDYECLVLDVDFQK >itb07g15910.t1 pep chromosome:ASM357664v1:7:18964469:18967515:-1 gene:itb07g15910 transcript:itb07g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSRRRRSLLKNVGRKVDLHFPIQTPSPPHPLLRLHRFSRCLFLVSVAVVAVSRRPCLTCYRLVFDALLWISDLQAMARAXFDALLWISDLQAMARAHRLGQTSKVIIYRLITRGTIEERMVQMTKKKMVLEHLVVGRLKAQNINQEELDNIIRILERLPTRDAATTALLSRNWNHFWLQHERLALDEEFVQSFQQSKDDEGRTIVNIINNILFSRAGPVRKFTLEINAECDPSPLPQQSGIDRWCLFLSKKWCGGT >itb12g08470.t1 pep chromosome:ASM357664v1:12:6661856:6668813:1 gene:itb12g08470 transcript:itb12g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSQNQSGSASSSSSPRGCSTNPNSNHGFPSFPNQHQQEEPSLSISGMGSLRIADSDSASSSSYSFSETSSMGPAALDSCGSPEKVNMPVGQNGIKTLTYQSTGQISVDDRLKSPGPGLPATSHNNSGSTRSQGSMPRPSGRRTQMVSGNHLLNFHYDPISRPKSRAPPPRRQPKRKPYNKDLFLQANYKFVVFSSGNYMPESMDPDKMLEWEDIICVKFSTPFPVQCPICLEDPLCPQITSCGHIFCFPCIMQYFSVGEDDFRGECWKKCPLCFMMISSKDLCTIQIENVKQFCVGDDIEFLLLTRQKDSFSLSLKNNDGVGACEEVQNSFSKFTFTSDVDLSVREAMSDLDSWLARADSGLVDDLEKLPYVCAAMEQLKQRKKYWSEHKVSNGNKAHKDSSCDKNAYGSPSAVSNSKMQGKSIPENKLQPTPTADVQSLEDHHGSSPSNDNDMSTQEIFGGFSDTQNRSSYIFYQAVDGQHLIIHPLNMKCLLHYYGSYDRLPSRISGKILQLETVSQSEAIRRRYRYLSHFSLTTLFQLCEIDLLKMLPADALSPFLTEIKNREKQRKRVARMEHQEKMKAETTGFQYAPLSYNLADTSYVDQPAFSNDDFEALGNSAVISSSPPAVAGKLLFSNVARLGFAAGHDSPSLKLEDALPKIGASQNTSGETGPKHAGTLSFANVTSRAKTVEDPNVSKASEVGKKGKKPNRVLLSTSSSRRY >itb09g18230.t1 pep chromosome:ASM357664v1:9:13892469:13896095:1 gene:itb09g18230 transcript:itb09g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKKKQQSYISVASDMVNAPLQALVLLSPKKRQSPSSFTAKLRFLLRNPRFWVLFLFLFGLFGTLKLWLNFDTLLPFSPYPCLGLQEKADFSSGSMLGSGGGGEEVKEKSEFWEQPDGLGYRPCLGFSEQYRKDSGNIVKERTKYLMVVVSGGMNQQRNQIVDAVVIARILGATLVVPILQVNVIWGDESEFSDIFDLDHFKKVLEDDVRVISSLPSTHVMTRPVEEKRTPLHASPEWIRSHYSKRLRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPSILELGNKLTERMRSKGPYLALHLRMEKDVWVRTGCLPGLSREYDEMINKERKLRPELLTSRSNMTYHERKLAGFCPLNALEVTRLLKALGAPKSARIYWAGGIPLGGKEALQPLIEEFPHFYNKEDLALPGELEAFAKKASIMAAIDYIVSENSDVFMPSHGGNMGHALQGHRAYAGHKKTITPNKRQMLPHFMNASLSESDFNRIISYLHRDSLGQPELRKPGRDITKYPVPDCMCNSSSSSNTGHSSV >itb09g18230.t2 pep chromosome:ASM357664v1:9:13892469:13896095:1 gene:itb09g18230 transcript:itb09g18230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKKKQQSYISVASDMVNAPLQALVLLSPKKRQSPSSFTAKLRFLLRNPRFWVLFLFLFGLFGTLKLWLNFDTLLPFSPYPCLGLQEKADFSSGSMLGSGGGGEEVKEKSEFWEQPDGLGYRPCLGFSEQYRKDSGNIVKERTKYLMVVVSGGMNQQRNQIVDAVVIARILGATLVVPILQVNVIWGDESEFSDIFDLDHFKKVLEDDVRVISSLPSTHVMTRPVEEKRTPLHASPEWIRSHYSKRLRREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPSILELGNKLTERMRSKGPYLALHLRMEKDVWVRTGCLPGLSREYDEMINKERKLRPELLTSRSNMTYHERKLAGFCPLNALEVTRLLKALGAPKSARIYWAGGIPLGGKEALQPLIEEFPHFYNKEDLALPGELEAFAKKASIMAAIDYIVSENSDVFMPSHGGNMGHALQVLFFISDIGYHQLN >itb02g04390.t1 pep chromosome:ASM357664v1:2:2606274:2610363:-1 gene:itb02g04390 transcript:itb02g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRPAVFLQISSEAEHSKIGVSGAISTSLPILPLIEERYPKLPDAFHARSEHKETTNSFSNMTIPSASNNVDSWGNDAYHEFLDYKPNISVQNGQVETLAGVMSSDDYAKRKDWQEWADELINDDDALDPNWSDILVDVNFPDPEPKVLPGSTDVAVSQPQLNHPTPTSSEQNCPLSTATLTKPRMRWTPELHEVFVEAVNKLGGMEKATPKGVLNLMKVEGLTIYHVKSHLQKYRTTRYKTEPTEGSSEKKPVTIPDLASFDLKPSMGITEALRLQMEVQKQLHEQLETQRKLQLQIEEQGKYLQMMYEKTREMEKELKASSSKPDEHPPSPPASDNQEPLNLDNTNAELSANDASSSGALLTQNKSSETSSQENIACEPPMKRARANETAVC >itb07g14910.t1 pep chromosome:ASM357664v1:7:17576615:17577610:1 gene:itb07g14910 transcript:itb07g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMHSCNEYTTAKFQQVCRAANHLHPPATVIQAPSRQGITTSHHCPAANQPKVQPVVARHSFSAVKTENLSGDYRSGAMEVMKL >itb04g12260.t1 pep chromosome:ASM357664v1:4:12009814:12010955:-1 gene:itb04g12260 transcript:itb04g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKMSLISPAPLPPPSSPPPLSDPLPLQPPPSLLSPPLWLGLGKCESRQPPDDSLDGMGFQGLQFTDSSSFAPVQILELGGLEDGEVFLFPEDLAVGDDYYPGSGMFCFTLICYVLDYYPGSGK >itb02g15510.t1 pep chromosome:ASM357664v1:2:11277419:11281730:-1 gene:itb02g15510 transcript:itb02g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPGETIEVIAQSIGISNLLPEVLPALATDVEYRLREIMQESIKCMRHSKRTTLTAEDVDAALSIRNVEPVYGFASGDPLRFSRAAGHKDLFYIEEKDLDFKDVIEAPLPKAPLDTVLTAHWLAIEGVQPAIPENPPLEALVAPSDNKKTECKDDGGPIDLKLPVKHVLSRELQLYYDKITDLVVNKSNSVLFKEAIVSLATDCGLHPLVPYFTYFISDEVSRNLNNFPLLCSLMRLVWSLLQNSHIHIEPYLHQLMPSVMTCLVAKRLGNKVLDSHWELRDFAAKLVALVCKRYGNVYHNLQPRVTRTLLHAFLDPTKALPQHYGAIQGLAAIGPSVVSLLLLPNLETYMRLLEPEMQLDKQKNEMKRHEAWRVYGALMCAAGLCIYDQLKTLPTLLSPPAYAALKSKRKVLTSVSSNKRKISMDNMIQQQQPPQKKLVTDGAAGAVPSNSLPGGMQGATSGYPTNLGATDGGFASTPQALSSENSQRISSRKEMSGAQAPKSQAGLNQAWKQDIDAAHLLPFLFEYYGESMLSFVPAPELLVFL >itb02g15510.t2 pep chromosome:ASM357664v1:2:11277419:11281730:-1 gene:itb02g15510 transcript:itb02g15510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPGETIEVIAQSIGISNLLPEVLPALATDVEYRLREIMQESIKCMRHSKRTTLTAEDVDAALSIRNVEPVYGFASGDPLRFSRAAGHKDLFYIEEKDLDFKDVIEAPLPKAPLDTVLTAHWLAIEGVQPAIPENPPLEALVAPSDNKKTECKDDGGPIDLKLPVKHVLSRELQLYYDKITDLVVNKSNSVLFKEAIVSLATDCGLHPLVPYFTYFISDEVSRNLNNFPLLCSLMRLVWSLLQNSHIHIEPYLHQLMPSVMTCLVAKRLGNKVLDSHWELRDFAAKLVALVCKRYGNVYHNLQPRVTRTLLHAFLDPTKALPQHYGAIQGLAAIGPSVVSLLLLPNLETYMRLLEPEMQLDKQKNEMKRHEAWRVYGALMINEKLAWTT >itb12g23800.t2 pep chromosome:ASM357664v1:12:25429057:25431080:-1 gene:itb12g23800 transcript:itb12g23800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYISLYEIQETQPTNSHYRTLICSSLILATTTLINPFGSDMNCPRDWPEPIVRVQSLSDSGLGTIPDRYVKPPTDRPSITAAAADVNIPLIDFQRLLDGGDGDVDPGVLEQVSEACRSWGFFQVVNHGVRPELMDQAREVWREFFHQPMDVKTAYANSPTTYEGYGSRLGVEKGAILDWSDYYFLHYLPSSLKDHNKWPAFPSSLRGVIEEYSEQVVKLCGRLMKILSINLGLDESCLQNAFGGDDIGACLRINFYPKCPQPDLTLGLSSHSDPGGLTILLPDQHVAGLQVRRNDQWITVKPAQHAFIVNIGDQVQVTFNFFALSFFNVIFSWRYLFGMGET >itb12g23800.t1 pep chromosome:ASM357664v1:12:25426224:25431080:-1 gene:itb12g23800 transcript:itb12g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYISLYEIQETQPTNSHYRTLICSSLILATTTLINPFGSDMNCPRDWPEPIVRVQSLSDSGLGTIPDRYVKPPTDRPSITAAAADVNIPLIDFQRLLDGGDGDVDPGVLEQVSEACRSWGFFQVVNHGVRPELMDQAREVWREFFHQPMDVKTAYANSPTTYEGYGSRLGVEKGAILDWSDYYFLHYLPSSLKDHNKWPAFPSSLRGVIEEYSEQVVKLCGRLMKILSINLGLDESCLQNAFGGDDIGACLRINFYPKCPQPDLTLGLSSHSDPGGLTILLPDQHVAGLQVRRNDQWITVKPAQHAFIVNIGDQVQVLSNAVYKSVEHRVIVNSNQERVSLAYFYNPRGELLVQPMKELVTPENPPLYPAMTFNEYRLYIRTKGPRGKSQLAIGESSAKSPR >itb13g13820.t1 pep chromosome:ASM357664v1:13:20405170:20407275:-1 gene:itb13g13820 transcript:itb13g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLLGDSPISRCGGVLMATTVIAGRETLHFRDEYGFWGDDDDRSSVKGKKESEGFAVLVMFINVITHPSLSHLTSAGLSSKSLLISTAPYLNSHTFTVLPKRTPKYPGLSFSCSFSPFPPPRHHTSLEISFASFDLRHQFVDASLHLILLYSDFPKKGI >itb07g02850.t1 pep chromosome:ASM357664v1:7:1894659:1895739:-1 gene:itb07g02850 transcript:itb07g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLSSVPSDINHFAVVEGHGVKGLSDLGLESLPDQYIQPPEERITTSAIIVDDSIPVIDLSEWGRDPKVGDMICEAAEKWGFFQIINHGVPLEVLEEVKAATYRFFRLPAEEKNKHSKDNSPSNNVRYGTSFTPHAEKALEWKDFLSLFYVSDEEAAALWPSACRDEALTFIRNCDAVIRRLLQSLMKGLNVTEIDGTKESLLMGSKRINMNYYPKCPNPELTVGVGRHSDVSTLTILLQDHIGGLYVRKLDSDTWVHVPPINGAIVINVGDALQIMSNGRYKSIEHRVIANGIRNRISVPIFVNPRPNDIIGPLPEVLENGETAVYKNVLYSDYVKHFFRKAHDGKATVDFAKMN >itb11g08640.t1 pep chromosome:ASM357664v1:11:5678822:5681219:1 gene:itb11g08640 transcript:itb11g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADKAVGMLLTVTSLSIFTYYTFWVIILPFVDSDHFVHKYFLSQEYAILIPVFAGVALVSFLSMFIGYVMLESKKKKKA >itb04g08630.t1 pep chromosome:ASM357664v1:4:7156023:7166695:1 gene:itb04g08630 transcript:itb04g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVEMKSGELYRGSMVECEDNWNCQLESITYTAKDGRVSQLEHVFIRGSKVRNVAQYLWDNYLGGQSASRPLGPDAIDTAVFDSVWVQFYNNPPCQYNSIDGAKNLLNYWNTYWSTIPTRKLFLGLPASRLAATNGGFIEADNLISQRCRCGLLTKIQERHQQELVTTFLRGLSDVYGGMKKSQIMVMTPLPKVGRVCFLIQQQEREVFHGNTGLGRTEDSSSMVLAAGPPVSSVGSTKILDGCFNVGVNSDIGVESKHRMSQV >itb04g05760.t1 pep chromosome:ASM357664v1:4:3734778:3739894:1 gene:itb04g05760 transcript:itb04g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIPYFDDIRCKPEVIDPPQDEDSTDVGELSSEPMQNTLKPNVTVSSNVRELLECPVCLNAMYPPIHQCCNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQDFGCMGIYPYYSKLKHESQCAFRPYNCPYAGSECTVIGDIPFLVAHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >itb04g05760.t2 pep chromosome:ASM357664v1:4:3734778:3739720:1 gene:itb04g05760 transcript:itb04g05760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIPYFDDIRCKPEVIDPPQDEDSTDVGELSSEPMQNTLKPNVTVSSNVRELLECPVCLNAMYPPIHQCCNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQDFGCMGIYPYYSKLKHESQCAFRPYNCPYAGSECTVIGDIPFLVAHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >itb10g13480.t1 pep chromosome:ASM357664v1:10:19706990:19714795:-1 gene:itb10g13480 transcript:itb10g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLPPASGMRESSDHTVGVDSLPEEMNDMKIRDDKDMEAAVVDGNGTEAGHIIVTTIGGQNGQPKQTISYMAERIVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVHRVLKHYNKLSQRMPLILVKLYTYQIFRALSYIHRSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQTLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALTHPFFDELRDPSIRLPNGRFVPPLFNFKPHELKGVPTETIMKLVPEHARKQCPFLGL >itb07g06710.t1 pep chromosome:ASM357664v1:7:4833986:4837660:-1 gene:itb07g06710 transcript:itb07g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRSCLNNLKMPFFSRSSRGSNEDDLERIAAQEQKQFPFETLVSATKNFHPSNKLGEGGFGPVFRGTLSDGREIAVKKLSQSSRQGKKEFQTEAKLLARVQHRNVVNLLGYCVHGTEKLLVYEFVSNESLDKILFKSGKRDQLHWKRRHDIISGVAKGLLYLHEDSHSCIIHRDIKGSNILLDDKWMPKIADFGMARLFAEDQTHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVVVLELISGQKNSTFNQDPGSQNLLEWAYKLYKKGRCLEIMDPMLTQSAIPDEVAMCIQIGLLCTQSDPQLRPTMRRVVVMLSKKPGTLDEEPTRPGFPGSRYRRSHRPTATSSSAGTSGASGSGSFGSTTTGSVTASASTTSRTIPKIDRGKRPILE >itb14g14260.t2 pep chromosome:ASM357664v1:14:16667741:16675303:-1 gene:itb14g14260 transcript:itb14g14260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNQPNFPIRPASTPFAAPPSTAPSGFRPMAPGMASDAARPPPMSTSYAPSTAAPFQRFPMAQVPPPQNSFGGQPTFPPSVRPVSAPGLSHPVPLQQQPQSFPMGSPAHSMGTSQPSTAAQQSAVDPRLVAPRSNLQQPSQPTVSSYPPSRPTFQQGLSGYSSQQPSAMTQPPLGQAVGFPPQQGGHVPPMPAPPGPFYSQQGGYALPSQMQHPGSAPPIPNVQGLAEDFKSLSIGSVPGSFDTGLDSKVLPRPFESDTQNLLSELFPMNCSSQYLRLTTSGIPNSQSLASRWHLTLGAVVCPLAEASDGEEVPIINFATTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICQSLNDVHGDYFAHLDATGRRIDINQRPELTKGSVEFIAPAEYMVRPPMPPTYFFLIDVSISAIKSGMLEVIAQTIKKCLDSLPGFPRTQIGFITYDSTVHFYNMKSSLTQPQMMVVSDLEDTFVPLPDDLLVNLSESRAVIDAFLDSLPSMFQDNVNVESAFGPALKAVFMVMNQLGGKLLIFQSTLPSLGVGRLRLRGDDPRIYGTDKEHTTRTPEDPFYKQMAADFTKYQIAVNVYTFSDKYTDVATLGTLAKYTGGQVYHYPSFQATIHKDKLSQELARDLTRETAWEAVMRIRCGKGVRFTTYHGNFMLRSTDLIALPAVDCDKAYAMQLSLEETLLTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVAELGEMYRLADAGAIVSLFSRLAIEKTLSTKLEEARSSIQLRIVKALREYRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSTPLRGGYSDAQLDERCASGYTMMALPVAKLLKLLYPNLIRVDEYLLKTSPLTGESENMLKRLPLTVDSLDVRGLYIYDDGFRFVIWFGRMLSTDIIKSLLGDEFAADFSKVCLHEQDNEMSRKLMGLLRKFRQSDPSYYQLCHLVRQGEQPREGFFLLRNLVEDQVGGTNGYMDWIVQLHRQIQQNA >itb14g14260.t1 pep chromosome:ASM357664v1:14:16667663:16677196:-1 gene:itb14g14260 transcript:itb14g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNQPNFPIRPASTPFAAPPSTAPSGFRPMAPGMASDAARPPPMSTSYAPSTAAPFQRFPMAQVPPPQNSFGGQPTFPPSVRPVSAPGLSHPVPLQQQPQSFPMGSPAHSMGTSQPSTAAQQSAVDPRLVAPRSNLQQPSQPTVSSYPPSRPTFQQGLSGYSSQQPSAMTQPPLGQAVGFPPQQGGHVPPMPAPPGPFYSQQGGYALPSQMQHPGSAPPIPNVQGLAEDFKSLSIGSVPGSFDTGLDSKVLPRPFESDTQNLLSELFPMNCSSQYLRLTTSGIPNSQSLASRWHLTLGAVVCPLAEASDGEEVPIINFATTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICQSLNDVHGDYFAHLDATGRRIDINQRPELTKGSVEFIAPAEYMVRPPMPPTYFFLIDVSISAIKSGMLEVIAQTIKKCLDSLPGFPRTQIGFITYDSTVHFYNMKSSLTQPQMMVVSDLEDTFVPLPDDLLVNLSESRAVIDAFLDSLPSMFQDNVNVESAFGPALKAVFMVMNQLGGKLLIFQSTLPSLGVGRLRLRGDDPRIYGTDKEHTTRTPEDPFYKQMAADFTKYQIAVNVYTFSDKYTDVATLGTLAKYTGGQVYHYPSFQATIHKDKLSQELARDLTRETAWEAVMRIRCGKGVRFTTYHGNFMLRSTDLIALPAVDCDKAYAMQLSLEETLLTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVAELGEMYRLADAGAIVSLFSRLAIEKTLSTKLEEARSSIQLRIVKALREYRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSTPLRGGYSDAQLDERCASGYTMMALPVAKLLKLLYPNLIRVDEYLLKTSPLTGESENMLKRLPLTVDSLDVRGLYIYDDGFRFVIWFGRMLSTDIIKSLLGDEFAADFSKVCLHEQDNEMSRKLMGLLRKFRQSDPSYYQLCHLVRQGEQPREGFFLLRNLVEDQVGGTNGYMDWIVQLHRQIQQNA >itb06g12820.t1 pep chromosome:ASM357664v1:6:17371459:17376524:-1 gene:itb06g12820 transcript:itb06g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTEATLVKDAVHKLQLCLLDGIQDENKLFAAASLMCRSDYQDVVTERTIVNMCGYPLCSNFLPSDRPHKGRYRISVREHKVYDLRETYMYCSTNCAVNSRAFASSFPEERSSTLHPSKLNEVLRLFEVPRLDSEVDLGRKGGLGSFELKIQEKVEVKSEASPEEWIGPSNAIEGYVPQMEKTIKPPQGSTPKHSQLNKKKNVIFDDTDFTSAIIIGGEYSISKLAGTSTALLDENIKEPTEKASNSYKDDQVTALGNQVGSLQIHDNDESKQSDKKISRISESVKNHITEASTDPYYNNLNTDGIRAGKMSDDGKDAAPKTTALKSSLKPSGAKKATRSITWADKKTDPNSRNSLTEFRESGDPENVSEKIGSKDIEEDDDYRFASAEACATALNQAAQAVASGSDVSCAVSEAGIIILPPPQEMDEVDCQENGQVLGAESNSQNWPTKPGLPNYNLFDSEDSWYDSPPEEFNLSLSPFSTMFMALFAWISSSSLAFIYGRDESAHGEYSFINGREYPRQIVLTDGRSAEIKQTLAGCLARALPGLVADLRLPVPISTLEKGMASLIDTMSFVDPLPSFRMKQWQLIVLLFLDALSICRIPLLTSYMTGRRILLPKVLDGAQISAEEYEIMKDLIIPLGRVPQFTMQSGA >itb13g08460.t2 pep chromosome:ASM357664v1:13:10760706:10762809:1 gene:itb13g08460 transcript:itb13g08460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLYIRKGCCFDIIEVFLHLCQIIMAMRKLHQKCKLVHGDLSEYNILYLEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFRKHGVGVMTIRELFDFIVDPTIDDDSVDTYLEEVQQKILARGEVSAEDEIADSVFIQSFIPKTLDTVKNAEADVQRIVSGEDTGDLYYKTITGLKQVLSTAEKREAPDAAAAEQNKLLENNSETESDETESSSSSGGSSDSEEESSSDKPTSLERKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >itb13g08460.t1 pep chromosome:ASM357664v1:13:10760698:10762810:1 gene:itb13g08460 transcript:itb13g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKLHQKCKLVHGDLSEYNILYLEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFRKHGVGVMTIRELFDFIVDPTIDDDSVDTYLEEVQQKILARGEVSAEDEIADSVFIQSFIPKTLDTVKNAEADVQRIVSGEDTGDLYYKTITGLKQVLSTAEKREAPDAAAAEQNKLLENNSETESDETESSSSSGGSSDSEEESSSDKPTSLERKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >itb10g04610.t1 pep chromosome:ASM357664v1:10:4384018:4386600:-1 gene:itb10g04610 transcript:itb10g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKSKTNKKHMPSGERFAGEIDECRRLLYDVILRMMDKVCEVYGDPQRHQQTRRQCAVVMQSYADYAEATTGEQYMFDLQSKYSSGETVVEDTSVDDSIAAAIDAYVKQAQFMTGDQFMLNLQSKYSSDETVVKDTFVDESMAADLEACAENAEVINTGDQYIFNLQSKYSSETVEATVVEESTKATVVEESTEANVVEESMEAAESSDHKTFANLFEELVDKLNDMAGLLPVSKTGKAKAKEGDDVNVKVKVKEKEKDKDRTTLIKSGSDAKGSATDGENEDLVGLEEQLLKMRFQSLHQNNRYISSIFEYNGGINVSTILGTAKKIL >itb04g33200.t1 pep chromosome:ASM357664v1:4:35515968:35516261:-1 gene:itb04g33200 transcript:itb04g33200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTIILSFPSSPLLPLHVLGLQLPPLLDSVQPRAATHLRPPSPPRGSIHVSWQLPDHPAPFVWNQRRKLNFEEAECCIDLMIFQVKVLPHCCHCFQ >itb05g20990.t1 pep chromosome:ASM357664v1:5:26908403:26909275:-1 gene:itb05g20990 transcript:itb05g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKMLKLQLPDGTYIEVPEDYSDLDLLAEVCTMDREKLERLIEKQVSLPSTRIPNGIVLERPRASSSKDKGVVDNGVMLREEKSSKKRPMMLTDDDDDSTSQGSVLHSNKRIRSWETKDDNATNQTASSSSHHQGPNTSPAMPIEFRNKIVQLAGPNAIISDEILLIQKSLTLSDVKNSQNRLSIPGKQIRDVKFLTDEEERLLSSRNGKNVGSMDNVMIIEPSLETSLVSFRRWDMDKDNGSRSSSYVITKTWNRIKERNQLKADMTVQVWALRVGEKLWLVLVRVSD >itb10g03420.t1 pep chromosome:ASM357664v1:10:3161353:3167514:-1 gene:itb10g03420 transcript:itb10g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVEGEKMPPGKAMTMGQHMVDKGAQMLQSLSPIKEMSQHACTFAIYSHDMSRQIETHHFITRINQDFLQCAVYDSDESHGRLIGVEYIISDKLYEGLPEDEQKLWHSHAYEIKSGLWVNPRIPEILGRSELENLAKTYGKFWCTWQTDRGDKLPIGLPSLMMSPQAVNPGIVKPELVQKRDNKYNISTNSLKESRVEIAEPEWINPQADYWKQHAKGFIVDVEQTEMKKREGIEFP >itb09g11640.t2 pep chromosome:ASM357664v1:9:7262952:7267361:-1 gene:itb09g11640 transcript:itb09g11640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGIFRMKLILSHDFPHSPPKGYFLTKIFHPNIATNGEICVNALKRDWSPSLGLRHVLMVVRCLLIEPFPESALNEQAGKMLLDNYDEYAKHARLYTGIHALKPKPKVKAGTISESTAALNVGQTNTSIYIDDPKNAGSGNPPQQPSPLAASLINMKGGNGLDQSAMPSGTTAEAAISSGSAAAPGAQKKETGLARVHADKKKIDARKKSLKRL >itb09g11640.t4 pep chromosome:ASM357664v1:9:7262952:7265872:-1 gene:itb09g11640 transcript:itb09g11640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGIFRMKLILSHDFPHSPPKGYFLTKIFHPNIATNGEICVNALKRDWSPSLGLRHVLMVVRCLLIEPFPESALNEQAGKMLLDNYDEYAKHARLYTGIHALKPKPKVKAGTISESTAALNVGQTNTSIYIDDPKNAGSGNPPQQPSPLAASLINMKGGNGLDQSAMPSGTTAEAAISSGSAAAPGAQKKETGLARVHADKKKIDARKKSLKRL >itb09g11640.t1 pep chromosome:ASM357664v1:9:7262914:7267589:-1 gene:itb09g11640 transcript:itb09g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGIFRMKLILSHDFPHSPPKGYFLTKIFHPNIATNGEICVNALKRDWSPSLGLRHVLMVVRCLLIEPFPESALNEQAGKMLLDNYDEYAKHARLYTGIHALKPKPKVKAGTISESTAALNVGQTNTSIYIDDPKNAGSGNPPQQPSPLAASLINMKGGNGLDQSAMPSGTTAEAAISSGSAAAPGAQKKETGLARVHADKKKIDARKKSLKRL >itb09g11640.t3 pep chromosome:ASM357664v1:9:7262914:7267361:-1 gene:itb09g11640 transcript:itb09g11640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGIFRMKLILSHDFPHSPPKGYFLTKIFHPNIATNGEICVNALKRDWSPSLGLRHVLMVVRCLLIEPFPESALNEQAGKMLLDNYDEYAKHARLYTGIHALKPKPKVKAGTISESTAALNVGQTNTSIYIDDPKNAGSGNPPQQPSPLAASLINMKGGNGLDQSAMPSGTTAEAAISSGSAAAPGAQKKETGLARVHADKKKIDARKKSLKRL >itb02g04530.t1 pep chromosome:ASM357664v1:2:2684952:2687017:-1 gene:itb02g04530 transcript:itb02g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSCSAAELSPLLGGAVNATGAASFICSQFTAFSAQLTATKFAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGFAFAFGSPSNGFIGRHFFGLKHFPTPNADYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSGDGWASASKADNDLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRTGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKAYDTEAGPYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLVGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAIVCGFVAAWVLIGANKLASVCKYDDPLEAAQLHGGCGAWGLIFTGLFAKKSYVNEVYPGLPGRPYGLFMGGGGKLLAAQIIQILVIFGWVSATMGPLFYMLHKLNLLRISSEDETCGMDLTRHGGFAYAYNDEDDPSSHYPGIKMRRIEPSNTPTPDHNSHPSAV >itb04g22650.t1 pep chromosome:ASM357664v1:4:27894509:27897537:-1 gene:itb04g22650 transcript:itb04g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDGLYETGSPGDDDFYGSSDGDVTHEDSASDGDVEGDPDFIGDDDHGEESNFIILKGDEIRRLMEDDVAQVTAVLSVSKAEASALLRRYNWSVNRVHEEWFADEERVRKEVGLISSHTVEKSFEDCGEISCGICFDDHSPGNIYASSCGHPFCVDCWCSYIGIAVADGPGCLNLRCPDPSCKIAVNLDAIERLASEEDKRKYLRFLFRSYVEENRKIKWCTAPGCEFAINFEIGSENSDVLCDCGNFFCWNCTEEAHRPVDCETVKKWILKNNAESENTNWILAYTKPCPKCKRPIEKGEGCMRMTCKAPCNFQFCWLCLSEWSVHGYNPCNRYKPGSETERQKKMAKMSIERYTHYYERWAANEKSKKKAMEDLKEMECVNVGKLSEFQSLPESQLKFIVEAWKQIVECRRVLKWTYAYGFYLPEEEHTKRQFFEYLQGQAEEGLERLHQCAEKELEIYLSPEEANSPNFCDFRIKLNGLTTVTKNYFENLVSALENGLEDVDSHGAPHDSKNMPGSSNEAPNPKTNYASVFRRNRVAGGRNLLAGRGIKMETQRNLPVAGNNTAGARPLPNLTAALQNANTTVAQYPERRRAKVVFTTTNLGGGTGNGSVAVGGNRVGVEPGQRLNLGNTLPGSTGYLTGVAGGLVAGTVNGVGVEPRQRTNLANALPLVNGPVGGNIHGLGNGPVHGAGIGIGIEPRERADWWLTGSTSKAHSVNVPRAGSTYGVEKRFRDSSESALPLGSITPEVLQNPPALGLGSNNRASLQNAPVAGSGDVSSSRNTPLAGSSSIVAVEKRQRTSATGVATINPKNGDLGSWACDLCTYLNPSSATVCTMCRGDASDNWECDKCTFVNDKHATICQVCELPR >itb07g02630.t1 pep chromosome:ASM357664v1:7:1678831:1679404:-1 gene:itb07g02630 transcript:itb07g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHYTSYYDYLQYLSDFPIFFFFLAILLFFLFFTWYINYDSMLEDLMDQARICLLLFPLLLLLAVHWLSSDDRERVPFAISFPEKESLHRVGGSPVGVGVLLIFLLFMISHHSSLQERWFPLFSRK >itb11g20910.t1 pep chromosome:ASM357664v1:11:22311315:22316030:1 gene:itb11g20910 transcript:itb11g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGIVDPLVMGRVIGDVVDPFTRSVELRVIYNNEVDIRNGCEMRPSQLINPPRVEIGGHDLRTFYTLVMVDPDAPSPTSPTLREYLHWLVTDIPGTTGASFGNEAIFYEPPRPSMGIHRFVFVLFRQLGRQTVYAPHWRQNFNTRNFAELYNLGLPVAVTYFNGQREGGTGGRSPAEPWAADYPGPSR >itb14g02000.t3 pep chromosome:ASM357664v1:14:1618068:1628421:1 gene:itb14g02000 transcript:itb14g02000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSSDPARRKHHHSSHSDVETDEPSKRRKHGHHHHHRRRHHRHHGKKPEEIDSKLETEVKEAEVEIEGGKEVLGSGAVGVAMSSSNLGIDYDMEEGEIIEDDVPPNSAAYDVAEDTENRKLSSDMDRHEAHAEGPNFGMPIEKKVEEVDRSKNHEGLSWEGISCEKYLANGNLVGGRHKHEGKCSPIEESRTQKHYYGDGNDLKDWKRSLTPDNAGKRRKTAKVALPRDGYEDEGPYGKDSKSPDRSRGLPQSQSTSEEVSISEVFHKPEDVFYKDRKSKGDKDYERVTGLVRDYCHGSQDFLKEKERERSSSRSRYSSQDDRLHSHETTERYREGSREIDRDRTKNRDRERGRTRGKEGDRVTERNRERERVRQKEMERGRARERERVREKEIEEDRARERERVREKEIERDRARERERERDNYRGRQWDNDRKRERERESRWESDRESSRGRSRDKERDKETDRYRRANKYDDLGSSYGDRDKFSVSRRIKDDGTGHREKKNDPVIKSSDHKLHEIETDISKRDEDEQDYQEEISFQLAEHEEEEELDRIKEESRKRKQAILEKYKNLQLHPKTQLEDTAKEVIEHSSELEVGGNHMPEAVYYRADGGESHSGDKVISVGKSPNQERFATNDQNSGAGGLGQGTPKSERSNDMFCDDIFGESPAAVHKTGKGDGLIIERSGLHDNWDDAEGYYSYRFGEVLDGRYEINASHGKGVFSAVVRARDLKAKPGDPEEVAIKMIRNNDTMYKAGMEELVILKKLVGADPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFISLKHLKNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPIDMWSVGCCLFELYIGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKAAFTDQHFDQDLNFYATEEDPVTKKAIRKLIVNIRPRDIGAIISGSPGEDPKMLTHFKDLLDRIFVLDPDKRLTVSQALSHPFITGK >itb14g02000.t2 pep chromosome:ASM357664v1:14:1618068:1629943:1 gene:itb14g02000 transcript:itb14g02000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSSDPARRKHHHSSHSDVETDEPSKRRKHGHHHHHRRRHHRHHGKKPEEIDSKLETEVKEAEVEIEGGKEVLGSGAVGVAMSSSNLGIDYDMEEGEIIEDDVPPNSAAYDVAEDTENRKLSSDMDRHEAHAEGPNFGMPIEKKVEEVDRSKNHEGLSWEGISCEKYLANGNLVGGRHKHEGKCSPIEESRTQKHYYGDGNDLKDWKRSLTPDNAGKRRKTAKVALPRDGYEDEGPYGKDSKSPDRSRGLPQSQSTSEEVSISEVFHKPEDVFYKDRKSKGDKDYERVTGLVRDYCHGSQDFLKEKERERSSSRSRYSSQDDRLHSHETTERYREGSREIDRDRTKNRDRERGRTRGKEGDRVTERNRERERVRQKEMERGRARERERVREKEIEEDRARERERVREKEIERDRARERERERDNYRGRQWDNDRKRERERESRWESDRESSRGRSRDKERDKETDRYRRANKYDDLGSSYGDRDKFSVSRRIKDDGTGHREKKNDPVIKSSDHKLHEIETDISKRDEDEQDYQEEISFQLAEHEEEEELDRIKEESRKRKQAILEKYKNLQLHPKTQLEDTAKEVIEHSSELEVGGNHMPEAVYYRADGGESHSGDKVISVGKSPNQERFATNDQNSGAGGLGQGTPKSERSNDMFCDDIFGESPAAVHKTGKGDGLIIERSGLHDNWDDAEGYYSYRFGEVLDGRYEINASHGKGVFSAVVRARDLKAKPGDPEEVAIKMIRNNDTMYKAGMEELVILKKLVGADPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFISLKHLKNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPIDMWSVGCCLFELYIGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKAAFTDQHFDQDLNFYATEEDPVTKKAIRKLIVNIRPRDIGAIISGSPGEDPKMLTHFKDLLDRIFVLDPDKRLTVSQALSHPFITEKISDWERPLSWQFFRWNIFCFY >itb14g02000.t1 pep chromosome:ASM357664v1:14:1618068:1629943:1 gene:itb14g02000 transcript:itb14g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSSDPARRKHHHSSHSDVETDEPSKRRKHGHHHHHRRRHHRHHGKKPEEIDSKLETEVKEAEVEIEGGKEVLGSGAVGVAMSSSNLGIDYDMEEGEIIEDDVPPNSAAYDVAEDTENRKLSSDMDRHEAHAEGPNFGMPIEKKVEEVDRSKNHEGLSWEGISCEKYLANGNLVGGRHKHEGKCSPIEESRTQKHYYGDGNDLKDWKRSLTPDNAGKRRKTAKVALPRDGYEDEGPYGKDSKSPDRSRGLPQSQSTSEEVSISEVFHKPEDVFYKDRKSKGDKDYERVTGLVRDYCHGSQDFLKEKERERSSSRSRYSSQDDRLHSHETTERYREGSREIDRDRTKNRDRERGRTRGKEGDRVTERNRERERVRQKEMERGRARERERVREKEIEEDRARERERVREKEIERDRARERERERDNYRGRQWDNDRKRERERESRWESDRESSRGRSRDKERDKETDRYRRANKYDDLGSSYGDRDKFSVSRRIKDDGTGHREKKNDPVIKSSDHKLHEIETDISKRDEDEQDYQEEISFQLAEHEEEEELDRIKEESRKRKQAILEKYKNLQLHPKTQLEDTAKEVIEHSSELEVGGNHMPEAVYYRADGGESHSGDKVISVGKSPNQERFATNDQNSGAGGLGQGTPKSERSNDMFCDDIFGESPAAVHKTGKGDGLIIERSGLHDNWDDAEGYYSYRFGEVLDGRYEINASHGKGVFSAVVRARDLKAKPGDPEEVAIKMIRNNDTMYKAGMEELVILKKLVGADPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFISLKHLKNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPIDMWSVGCCLFELYIGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKAAFTDQHFDQDLNFYATEEDPVTKKAIRKLIVNIRPRDIGAIISGSPGEDPKMLTHFKDLLDRIFVLDPDKRLTVSQALSHPFITEKISDWERPLSWQFFRWNIFCFY >itb10g04440.t1 pep chromosome:ASM357664v1:10:4215966:4221819:1 gene:itb10g04440 transcript:itb10g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDLSDEVRAAHKRTFLKFFEQTELDTQFKSQFDSMVNNKRHRFIINLNDFYNDREGSDLARRLLQNPSEYMQPLCDALTDLFRVHSPKYLKEGEQVLVGLTGPFVSRRVTPRELLSGFIGSMVCIEGIVTKCSLVRPKVVKSVHFCPETSKFTTRDYRDITSNVGLPTGSVYPTRDDSGNLLVTEYGLCTYKDHQTVSIQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAVVGIYKALPGKSKGSMNGVFRTVLIANNVSLLNKSANVPNYSAQIIKNINVISARDDTFDLLANSLAPSIYGHLWIKKAVILLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGSYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDPGIDRQISEHVLRMHRYRSATDGGGAALDDGSRYEEENEDENNLPVFVKYNRMLHGRNTSRGRKKDALTIKFLKTYIHYAKNRIQPELTDEASDQIATAYAELRNASANAKTGGGTLPITARTLETIIRLSTAHAKLKLRRQVLKSDVEAALQVLNFAIYHQELTEMEERERERERELERKRRAEDDAGDNDRNDDADTDGIRNNGSKRSKTNDGGTTTRTHEHETMEVDDPPTAEISISPERVEAFSSVLGRHRHAHHLEQMPVADIGGVVNNGAALPYSSTEIMSILKIMQEKDLLMIHKDASTGTDVVYFM >itb02g05120.t1 pep chromosome:ASM357664v1:2:3083263:3089072:1 gene:itb02g05120 transcript:itb02g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MAEPMIVDVVDVASSKGLDHQKDVAAKKTLKRKRASSPLWESPEEKEAKIKALREEMEGLFRYYREVMESRVVENGESVMHGVSMNSTIACLMEESCLPLSKLVDEIFEKINAVHDGVKSNVESVSKAGVKSAVILVGQRMFYGLPSADADVLEDESETALWCWETRDAKLLPKSERPTLRIRRTCRKKIHERISVVSAMLAAIDKSESHGNCSKELMKASEKLCKVLTEADIRLLVDNIEQKSGAEMAEKETKREKILIKQLERSKREAEKEKKRVDKEIQKEKLQSEKELKRLQQEAEKEEKRREREESELRKQFKKQQEEAEKDQRRKEKEESELKKQLALQKQASLMERFLKKNKSSSPSQNDLSLNSTTPDLSSDKRDKMLESVTTSMDSILSHNDGINAEDLWRSHLNSWHGLGHLIRSNGKVHWGIRRGPRTEVVKELKLTTNKGLTNDDELSVVKLVDTWVDSNTDSTSCHVNPKSSPSGQKKLPKIKLLQFDKSYRPAFYGVWPKKSEVVRPRCPFMKDPELDYEIDSDEEWEEEEPGESLSDCDKDEVESLDEECTRGDDGDDEESEDGFFVPDGYLSEDEGVQVDKIGSDGMAETNVSPSLKQEVQTEEFSVLRQQKYLNNLTEHALKKNQPLVILNFMHEKASILLDEDVTGNDKVEQTCMHALRMCSFPGQPSIEISCYDMDEEVQEAGPSNSKMVAPSQVATPALQDSDLPQVVSVIRSCSHGINKVVESLQNKFPHIAKSQLRSKVREISDFSDNRWQVKKDILVKLGLSVTPEKFSSGRTKSIAAFFSKRCLPPSTSKTLNPNETSPQPCQKSNSGGLQQESENKIE >itb03g04730.t1 pep chromosome:ASM357664v1:3:3054012:3054446:1 gene:itb03g04730 transcript:itb03g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKAVVDSSAKKERQYVGVRKRPWGKYAAEIRDSTRNGLRVWLGTFDSAEEAALAYDQAALLMRGPATFLNLPLDTVRDSLKGMRCGGDKAASSPVATLKESYKRRSSTSMFGGNNKRGIELDKVLVLEDLGPELLDELLSAS >itb11g16910.t1 pep chromosome:ASM357664v1:11:15524944:15529730:-1 gene:itb11g16910 transcript:itb11g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCTSVYSCSLVRNSQCLPSLSPPAAAASVIKRPSHFPKLKISHLNSCKFNHIFFPLPSTTAGRSSAFARAAAARRSGGGGDNSDEKEEKQEEEEEEEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGGSSLPWILAVPLAYFGITFVIAFVKTVRKFNSPRAKRRKLVNKNAFLCKSIDELFEKGRQNVDDSELKDLMKKTNFEMEDILRKYIRYALNEKPFNPDLVADLIQLRKASLLSDSQVAGILNEISSRIVKDKGPVVMDMSGYSEKGFKRKLAVQALFGKIYYLSEMPEFCSRDSSLTVKEIFGVADEDAEKLRLHTISDAGDMDSLEKMVDGSDSEDSSDESSDAS >itb03g18350.t1 pep chromosome:ASM357664v1:3:16663708:16665682:1 gene:itb03g18350 transcript:itb03g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine synthase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G29840) UniProtKB/Swiss-Prot;Acc:Q9S7B5] MASCYSYQSSALLRRHRGIRCATASPTVSGNNHHSALPPPPTTVNFSAKYAPFSSVSTGVPAAESYSLDDVVYRCSSGGLLDVQHDVAALKQFDGEYWKNLFDSRVGKTAWPYGSGVWSKKEWVLPEIADEDIVSAFEGNSNLFWAERFGKRHVSMSDLWVKHCGISHTGSFKDLGMTVLVSQVNRIMKKKPGGLVGVGCASTGDTSAALSAYCAAANIPSIVFLPADKISMAQLVQPIANGAFVLSIDTDFDGCMKLIRQVTAEMPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCQEMGLVDRIPRLVCAQAANANPLYLYYKSGWSEFSPVTAATTFASAIQIGDPVSIDRAVFALKNSGGIVEEATEEELMDAMAMADSTGMFTCPHTGVALTALMKLRERGVIGAHDRTVVVSTAHGLKFTQSKIEYHSRNIPGMDCRFANPPVNVKADFGSVMDVLIKYLNKNQ >itb01g24090.t1 pep chromosome:ASM357664v1:1:29836629:29839533:1 gene:itb01g24090 transcript:itb01g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSEEDFLLKEINIKPNVGGGKATAGDTVEQMQYLHVYVVKARVLPAKDITGAIDPYVEIRVASCNAITQHFEKNSNPVWNQVFSFSKDHIQSCSVLDVTVKDKAFVEDDFVGRVSFDLSEIPFSIPRDVSLAPRWYRLKGRMGDRVEGELMLAVWMGDQANDAFPEASNSHPRTVNVADDFVNTTSKVYFSPRLWYLRVNVIEALDLLPNDKSRSLEVYVKAIVGYQVMRTRVSANKYTKPMWNEDLMFVVAEPVEELLILSVEDRIAPNKDEVLGRCDIPLQYVDRRLDHRPVVKPRWYNLENHVPDEREMKEIQISGRILVGICLEGGYHVLDESTDYSSDHRPSAKQLWKSNIGILELGILSARNLLPMKKRRTSTTDAYCVAKYGEKWVRTRTITDSFAPEWNEQHTWEVFDPNTVITIGVFDNCNLQTPQVGYGYRSALAKDSKIGKIRIRLSTLETDRVYAHSYPLLVLLPSGVKKMGEIELSVRFTCSSLLNMTRMYSHPLLPKMHHLQPITVSQIDILRHNATQVVSMSLSRAEPPMRKEVVEYMLDVGSNMWSIRKSKANFYRIMNVLAKLICIFKHCVS >itb01g24090.t2 pep chromosome:ASM357664v1:1:29836667:29839533:1 gene:itb01g24090 transcript:itb01g24090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSEEDFLLKEINIKPNVGGGKATAGDTVEQMQYLHVYVVKARVLPAKDITGAIDPYVEIRVASCNAITQHFEKNSNPVWNQVFSFSKDHIQSCSVLDVTVKDKAFVEDDFVGRVSFDLSEIPFSIPRDVSLAPRWYRLKGRMGDRVEGELMLAVWMGDQANDAFPEASNSHPRTVNVADDFVNTTSKVYFSPRLWYLRVNVIEALDLLPNDKSRSLEVYVKAIVGYQVMRTRVSANKYTKPMWNEDLMFVVAEPVEELLILSVEDRIAPNKDEVLGRCDIPLQYVDRRLDHRPVVKPRWYNLENHVPDEREMKEIQISGRILVGICLEGGYHVLDESTDYSSDHRPSAKQLWKSNIGILELGILSARNLLPMKKRRTSTTDAYCVAKYGEKWVRTRTITDSFAPEWNEQHTWEVFDPNTVITIGVFDNCNLQTPQVGYGYRSALAKDSKIGKIRIRLSTLETDRVYAHSYPLLVLLPSGVKKMGEIELSVRFTCSSLLNMTRMYSHPLLPKMHHLQPITVSQIDILRHNATQVVSMSLSRAEPPMRKEVVEYMLDVGSNMWSIRKSKANFYRIMNVLAKLICIFKHCVS >itb15g04530.t1 pep chromosome:ASM357664v1:15:2868079:2870290:-1 gene:itb15g04530 transcript:itb15g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISHIIFLPLALIVMLSLTIIHWLSSKRKPLPPGPKPWPFLGSLPEILRNKPAFRWIHNFMDQINTEISCIRLGETYVIPVTSPELACEFLKKQDSIFSSRPLCMSADLVSNGYLSSLFLPHGDQWTKMRRILTSHVLSPATLHWLHDKRAEEANHLIRYIYNQCKTSEADNMGVAVNVRAATRHYCGNVAKKMFFNKRYFGSGREDDEQLVEALFSILSYTYGMGISDYIPWLSVFDIDGHKSMIKKALAVTGKYIDTEVDERIQMWKDGTKTAEEDILDVLVTLKDNDGRSMLSDAEIKTQLLEIMVGSLDNPSNAVEWALAEMINQPKLLEKAVEEVDKVVGRERLVEESDLPKLNYIKSCVKEAFRLHPVAPFNIPHVSMADTVVGGYFIPKGSQVLISRIGLGRNKRAWEEPLKFKPERHLKEEGEEVVLTDSELKMLSFSAGRRGCPGVKLGSLMSTMLMARLVQGFTWSVPTDLPCIDLTESEHDLFLKNPLFALAKPRLSKNLYL >itb14g08250.t1 pep chromosome:ASM357664v1:14:7626684:7635024:-1 gene:itb14g08250 transcript:itb14g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISIIAKSAVEEIVAAPASAISKKLAFDLIRSTRLTADLWEIVCTGIRNDLEFPDPDVTAAAVSILAAIPSFRLGKLISDCNKQISSCFDSPSDNLRFAITETLGCILARDDLVTLCENNMNLLDRVSNWWSRIGQNMLDKSDAVSKVAFESVGRLFQEFESKRMSRLAGDKLVDSENSVAIRSNWVSSMVDFTWRKRNALMARSLVLPVESFRATVYPLAYAVKAVASGSIEVIKKLSRSSKNENATTLDSGNAEKFVGVSDVASHLAPFLASSLDPALIFEVGINMLYLADVPGGKPEWASTSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTDIASLFEDGRIKDDLNGVTRTSLFREELVAMLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCEGRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRELVRDLREVNTPRVCARLLFAISEHIDLEGLDPLLADDPEDPLNIIVSNIHKVLFNIDSSASTTNRLQDVQAVLLCAQRLGSRNSRAGQLLTKELEEFRSNALADSVNKHQCRLILQRIKYVSSHPESKWAGVSEARGDYPFSHHKLTVQFQDASAAQDRKLEGLVHKAILELWRPEPNELTLLLAKGIDSSLLKVPPSTYTLTGSSDPCYVEAYHLTDPSDGRITLHLKVLNLTEIELNRVDLRVGLSGGLYFMDGSPQAVRQLRDLNSQEPVLCSVTVGVSHFERCALWVQVLYYPFFGNDVPAEYEGEYSGEDPQIIRQKRSLKELGEPVILRCQPYKIPLTELLLPHKISPVEYFRLWPSLPAIVEYTGAYTYEGSGFKATAAQQYGASPFLSGLKSLASKPFHRVCSHIIRTVAGFQLCFAAKTWYGGFVGMMIFGASEVSRNVDLGDETTTMMCKFVIRASDASITKEIDADPQGWLDDLTDGGVEYMPEDEVKVAAAEKLKISMERIALLKAARPRKSAQDEEDEEDNEDDDKKIDENGEKDGKPKGPTTLFKLTAEEVEHRALQTAVIQEWLILCKDRSTKVN >itb05g04370.t1 pep chromosome:ASM357664v1:5:3916447:3918950:1 gene:itb05g04370 transcript:itb05g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPEEDSISPVRDPRRQEAIMDKSRDENDAAVHHILHVSDPVKEPRSLSFYLSFNNLTYTVKNSRKLSLPVSFRRRKNRSSSNSRLLDGESSFTKTKVLLNDVSGEARDGEILAVLGPSGSGKSTLIDALANRISKDSLKGTITLNGEPLDSGLLQVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRTLSKSKKKMRVQALIDQLGLHNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFLVVRVLKRIAQSGSTVIMSIHQPSYRILRLLDRLIILSHGQTVYGGPPENLSEFFADFGHPIPDNENRTEFALDLIQELESKPDGTKCLVDFNLTWQNAAKSKEATPMAALTLTEAIRASISRGKLVSGSTGGLSSSSTVVPVHANPFWTEIAVLSKRSFTNSRRMPELFGTRLGAVVLTGFILATVFWRLDNSPKGVQERLGFFSFTMSTMFYTCADALPVFIQERYIFMRETAYNAYRRSSYVLSHALVSFPSLVILAISFASLTFWSVGLDGGASGFWFCFCLMLSSFWAGNSFVTFLSGVVPHVMLGFTIVVAILAYFLLYSGFFINRDRIPVYWIWFHYISLVKYPYEAVLQNEFQDPNKCFVTAIQMFDGTPFGALPVKIKETVLRIMSETLHVDITSSTCVTTGPDILAQQGITQLNKWECLCVTVAWGVFFRILFYFALLLGSKNKRK >itb06g18000.t1 pep chromosome:ASM357664v1:6:21733626:21734222:1 gene:itb06g18000 transcript:itb06g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKGSDCDDYSALSFRSYLENGHEHDHEVVLDDNPWPPSQELFYFFSDFASAADRCGKIVRGGDAAVAERNEAREVDCFRSSSFSGKREAREVSLSSSPSQKVNISSLASMSAKSMRRTFIFGPLKFKPEMDLVAIKERQRRLSPKRVLPVATNAAGEGAENGGGKLERVQLTRCRTRNHLSTVLAKPFSCFTVTK >itb04g04310.t3 pep chromosome:ASM357664v1:4:2666352:2681831:1 gene:itb04g04310 transcript:itb04g04310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRRGSDLQQQRRIMRTQTAGNLGESMMDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENESTLAGRTKSDAREMQSFYQHYYRKYIQALQNAADKADRVRLTKAYQTAAVLFEVLKAVNLTESVEVADEILEAQAKVAEKTKTLVHYNILPLDPESSNQAIMRYPEIQASVAALRNTRGLPWPKGHKKKVDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPDHQQPKLDDRALTEVMKKLFKNYKRWCEYLNRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPVTGETVKPAYGGDDEAFLIKVVTPIYNTIAKEAKRSGEGRSKHSKWRNYDDLNEYFWSENCFRLGWPMRLDADFFCLRDLETESVKNKRWMGKINFVEIRSFCHIFRSFDRMWGFYILSLQAMIIIAWNGSGAPSSIFEGEVFKKVLSIFITASVLKLAQAIVDIIMSWKARQSMSIYVKLRYILKAVSAAAWVIILPVTYAYSWKNPPSFAQTIKSWFGNSPSSPSLFFIAVLFYLSPNMLSALLFLFPFIRRNLERSEYKIARLVMWWSQPRLYVGRGMHDDTFSLVKYTLFWVLLMASKLSFSYFVEIKPLVGPTKEIMEVHIQRYQWHEFFPRAKKNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPLEKKEKAKKGLKAALSRKFDEVTSSRGNEAARFAQMWNKIIESFRDEDLINNREMNLLLVPSRADKDLEDLVQWPPFLLASKIPIALDMAENSDGRGRELMKRLNDDTYMRSAIRECYASCKSIINYLVLGEKEKAVINEIFSKVDHHIQEGDLIKEFNMSCLPILYRKFVELIEILKENEEEKKDQLVIVLLDVYEVVTRDIMQDYVPSSLESSHGGFGHEEMTPLSEHNQYFRDLKFPVTEETESWKEKIGRLHLLLTVRESAMDVPTNLEARRRIAFFSNSLFMDMPRAPKVRNMLSFSVLTPYYNEEVLFTMDSLEKPNEDGVSILFYLQKIYPDEWENFLERVRCDSEEDLKEDLKVNTSLEEELRLWVSYRGQTLTKTVRGMMYYRQALELQAFLDMAKDEELMRGYKAAESNNEDQMRNDRSLMAQCQAVADMKFTYVVSCQQYGIQKRNERNKAQDILRLMIKYPSLRVAYIDEVEVTSIEKSKRPVDKVYYSALVKAVPKSVDSSEPDQKLDQVIYRIKLPGPALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHGVRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASSVINLSEDIFAGFNSTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKIACGNGEQTMSRDVYRLGHRFDFFRMLSCYFTTVGFYFSTMITVLVVYVFLYGRLYLVLSGLEDGLSSHPAIRDNKPLQVALASQSFVQIGLLMALPMMMEIGLERGFRTALTDFVLMLLQLAPLFFTFSLGTRTHYYGRTLLHGGAEYKGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILVLVYHIFGKPYRDVAYVLVTISIWFMVGTWLFAPFLFNPSGFEWQKILDDWTDWNKWIHSQGGIGVPPAKSWESWWEKEQMHLRHSGMRGIIIEILLSLRFFIYQYGLVYHLSITKKYKSILVYGISWLVIFLVLAVMKIVSTGRRKFSADFQLVFRLIEGLIFIAFMSVLITLIAVLHMTFRDIIVCILAFMPTGWGLLLIAQALRHWIQPSRIWGSVRTLARCYELMMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKEKDSSKNSKE >itb04g04310.t2 pep chromosome:ASM357664v1:4:2666036:2681831:1 gene:itb04g04310 transcript:itb04g04310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRRGSDLQQQRRIMRTQTAGNLGESMMDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENESTLAGRTKSDAREMQSFYQHYYRKYIQALQNAADKADRVRLTKAYQTAAVLFEVLKAVNLTESVEVADEILEAQAKVAEKTKTLVHYNILPLDPESSNQAIMRYPEIQASVAALRNTRGLPWPKGHKKKVDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPDHQQPKLDDRALTEVMKKLFKNYKRWCEYLNRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPVTGETVKPAYGGDDEAFLIKVVTPIYNTIAKEAKRSGEGRSKHSKWRNYDDLNEYFWSENCFRLGWPMRLDADFFCLRDLETESVKNKRWMGKINFVEIRSFCHIFRSFDRMWGFYILSLQAMIIIAWNGSGAPSSIFEGEVFKKVLSIFITASVLKLAQAIVDIIMSWKARQSMSIYVKLRYILKAVSAAAWVIILPVTYAYSWKNPPSFAQTIKSWFGNSPSSPSLFFIAVLFYLSPNMLSALLFLFPFIRRNLERSEYKIARLVMWWSQPRLYVGRGMHDDTFSLVKYTLFWVLLMASKLSFSYFVEIKPLVGPTKEIMEVHIQRYQWHEFFPRAKKNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPLEKKEKAKKGLKAALSRKFDEVTSSRGNEAARFAQMWNKIIESFRDEDLINNREMNLLLVPSRADKDLEDLVQWPPFLLASKIPIALDMAENSDGRGRELMKRLNDDTYMRSAIRECYASCKSIINYLVLGEKEKAVINEIFSKVDHHIQEGDLIKEFNMSCLPILYRKFVELIEILKENEEEKKDQLVIVLLDVYEVVTRDIMQDYVPSSLESSHGGFGHEEMTPLSEHNQYFRDLKFPVTEETESWKEKIGRLHLLLTVRESAMDVPTNLEARRRIAFFSNSLFMDMPRAPKVRNMLSFSVLTPYYNEEVLFTMDSLEKPNEDGVSILFYLQKIYPDEWENFLERVRCDSEEDLKEDLKVNTSLEEELRLWVSYRGQTLTKTVRGMMYYRQALELQAFLDMAKDEELMRGYKAAESNNEDQMRNDRSLMAQCQAVADMKFTYVVSCQQYGIQKRNERNKAQDILRLMIKYPSLRVAYIDEVEVTSIEKSKRPVDKVYYSALVKAVPKSVDSSEPDQKLDQVIYRIKLPGPALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHGVRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASSVINLSEDIFAGFNSTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKIACGNGEQTMSRDVYRLGHRFDFFRMLSCYFTTVGFYFSTMITVLVVYVFLYGRLYLVLSGLEDGLSSHPAIRDNKPLQVALASQSFVQIGLLMALPMMMEIGLERGFRTALTDFVLMLLQLAPLFFTFSLGTRTHYYGRTLLHGGAEYKGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILVLVYHIFGKPYRDVAYVLVTISIWFMVGTWLFAPFLFNPSGFEWQKILDDWTDWNKWIHSQGGIGVPPAKSWESWWEKEQMHLRHSGMRGIIIEILLSLRFFIYQYGLVYHLSITKKYKSILVYGISWLVIFLVLAVMKIVSTGRRKFSADFQLVFRLIEGLIFIAFMSVLITLIAVLHMTFRDIIVCILAFMPTGWGLLLIAQALRHWIQPSRIWGSVRTLARCYELMMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKEKDSSKNSKE >itb04g04310.t1 pep chromosome:ASM357664v1:4:2666036:2681831:1 gene:itb04g04310 transcript:itb04g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRRGSDLQQQRRIMRTQTAGNLGESMMDSEVVPSSLVEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENESTLAGRTKSDAREMQSFYQHYYRKYIQALQNAADKADRVRLTKAYQTAAVLFEVLKAVNLTESVEVADEILEAQAKVAEKTKTLVHYNILPLDPESSNQAIMRYPEIQASVAALRNTRGLPWPKGHKKKVDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPDHQQPKLDDRALTEVMKKLFKNYKRWCEYLNRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPVTGETVKPAYGGDDEAFLIKVVTPIYNTIAKEAKRSGEGRSKHSKWRNYDDLNEYFWSENCFRLGWPMRLDADFFCLRDLETESVKNKRWMGKINFVEIRSFCHIFRSFDRMWGFYILSLQAMIIIAWNGSGAPSSIFEGEVFKKVLSIFITASVLKLAQAIVDIIMSWKARQSMSIYVKLRYILKAVSAAAWVIILPVTYAYSWKNPPSFAQTIKSWFGNSPSSPSLFFIAVLFYLSPNMLSALLFLFPFIRRNLERSEYKIARLVMWWSQPRLYVGRGMHDDTFSLVKYTLFWVLLMASKLSFSYFVEIKPLVGPTKEIMEVHIQRYQWHEFFPRAKKNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPLEKKEKAKKGLKAALSRKFDEVTSSRGNEAARFAQMWNKIIESFRDEDLINNREMNLLLVPSRADKDLEDLVQWPPFLLASKIPIALDMAENSDGRGRELMKRLNDDTYMRSAIRECYASCKSIINYLVLGEKEKAVINEIFSKVDHHIQEGDLIKEFNMSCLPILYRKFVELIEILKENEEEKKDQLVIVLLDVYEVVTRDIMQDYVPSSLESSHGGFGHEEMTPLSEHNQYFRDLKFPVTEETESWKEKIGRLHLLLTVRESAMDVPTNLEARRRIAFFSNSLFMDMPRAPKVRNMLSFSVLTPYYNEEVLFTMDSLEKPNEDGVSILFYLQKIYPDEWENFLERVRCDSEEDLKEDLKVNTSLEEELRLWVSYRGQTLTKTVRGMMYYRQALELQAFLDMAKDEELMRGYKAAESNNEDQMRNDRSLMAQCQAVADMKFTYVVSCQQYGIQKRNERNKAQDILRLMIKYPSLRVAYIDEVEVTSIEKSKRPVDKVYYSALVKAVPKSVDSSEPDQKLDQVIYRIKLPGPALLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHGVRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASSVINLSEDIFAGFNSTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKIACGNGEQTMSRDVYRLGHRFDFFRMLSCYFTTVGFYFSTMITVLVVYVFLYGRLYLVLSGLEDGLSSHPAIRDNKPLQVALASQSFVQIGLLMALPMMMEIGLERGFRTALTDFVLMLLQLAPLFFTFSLGTRTHYYGRTLLHGGAEYKGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILVLVYHIFGKPYRDVAYVLVTISIWFMVGTWLFAPFLFNPSGFEWQKILDDWTDWNKWIHSQGGIGVPPAKSWESWWEKEQMHLRHSGMRGIIIEILLSLRFFIYQYGLVYHLSITKKYKSILVYGISWLVIFLVLAVMKIVSTGRRKFSADFQLVFRLIEGLIFIAFMSVLITLIAVLHMTFRDIIVCILAFMPTGWGLLLIAQALRHWIQPSRIWGSVRTLARCYELMMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKEKDSSKNSKE >itb05g10070.t1 pep chromosome:ASM357664v1:5:14963567:14965975:1 gene:itb05g10070 transcript:itb05g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADLQQKKKRKENESSKRSPLLDFNKIPKFTTKRSNTSAASSSSSSSSSSSSIGASKSCLRVVLSSNNSSSKSAPRKKPTSFFSTKTGNLRSNSSKENVLPRPISENSKKNAPSLYQWQSGKKPVSRTYQKSKLCADPSDSGKNPRSGSEKVALNREGLKRAEPKNGSGKQPIQLFNLDNSFSSFENRTPVGKLASGSGLDCGNFVGEILEEESNGKTNTSIAKTPPIEASVSPEIPYGLSAVLASAKTPCYGAGHVLSGVTDKRKCRPRGVLTVGPVLDSSLKRWKADESNKESVNSRVVYKSRTSFVPLPAEASMCWLSSPCGEGDGTRKSGSASQFPGLMGSATLELPPTTSDLDCDGSTVGKTRIVLHYPMESELQELSKPSYEKNDSFVMDNSPHSTSTLSCGNVIQTPKSDSSSALDFGLSWVNVDEHHKQPGFEYELDEVTEILRKASLSPQNQMPTHDAPEMSFKFTNSTSPFGFIGITECQKCRDAAASLLSDSSFGNASESNMRISWRDGLVGVSRTIEMDELDRCRWLSDEENDNVCCGNDQMKSIQSFEGSAYEENDLVKSVLKSPELLKEEPCIYKNGKKKLSPQRPSSCAESIFTDGGGLVSSADSDWTLCCKSQQFRI >itb04g11200.t1 pep chromosome:ASM357664v1:4:10754439:10760898:1 gene:itb04g11200 transcript:itb04g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLVTTSSPPLELDYLRSRIGELRDVLKKSEDAPEISSTDSEKLVNDCAVQLESKINQMLSDASDLNFLADQDLDEFVGHLKKELSSVEGENEKISDEIEELSRRYLEESGRLDSEIEGLCCSLDLLESQSMKLRNGHASCSRGEDQENLISAPEESNFKILDLSNQLEKSKTTLKTLQDLEGTFKRFDAIEKVEDVLSGLRVIEFDGNHIRLSLRTYIPDSESLACQEKVDDSSGLLEQDHELLIEFMDGTMELKRAEIFPNDVYISEIIDAAKSLRQLYSPMPVHEIRAYLEWFVRRVQDRIVLCKLRQILVKSANKSRHSFEYLDRDEIIVAHLVGGIDAFIKVPQGWPIYIAALNLISLKSSSQYLKEISLSVLCKVVDMANSLDTQSRQSISGFMDRVEEILMQQISADQRHGKN >itb04g11200.t2 pep chromosome:ASM357664v1:4:10754439:10760898:1 gene:itb04g11200 transcript:itb04g11200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLVTTSSPPLELDYLRSRIGELRDVLKKSEDAPEISSTDSEKLVNDCAVQLESKINQMLSDASDLNFLADQDLDEFVGHLKKELSSVEGENEKISDEIEELSRRYLEESGRLDSEIEGLCCSLDLLESQSMKLRNGHASCSRGEDQENLISAPEESNFKILDLSNQLEKSKTTLKTLQDLEGTFKRFDAIEKVEDVLSGLRVIEFDGNHIRLSLRTYIPDSESLACQEKVDDSSGLLEQDHELLIEFMDGTMELKRAEIFPNDVYISEIIDAAKSLRQLYSPMPVHEIRAYLEWFVRRVQDRIVLCKLRQILVKSANKSRHSFEYLDRDEIIVAHLVGGIDAFIKVPQGWPIYIAALNLISLKSSSQYLKEISLSVLCKVVDMANSLDTQSRQSISGFMDRVEEILMQQISADQRHGKN >itb05g21220.t1 pep chromosome:ASM357664v1:5:27096421:27096801:-1 gene:itb05g21220 transcript:itb05g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGKRIQDFMKNKFHSHGGAGVPKGHVIVYVGEEEKEYYVSSKVWDAMQSLREFEEQSLRCLPYVSPQEFEIYLNHAKLVVKKRVNPYVPEVGLIEDPKPKQISKHKVFNLSTFTQSSTFLTRL >itb04g24140.t1 pep chromosome:ASM357664v1:4:28913184:28913953:-1 gene:itb04g24140 transcript:itb04g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVRVDIIPGLVKEQALQFNLCEREITFTMALPTRSQNETVAYPQSIPGSKKGASMNLMSGDGFEGNAIMDKQGKKDQSRSSCQHSSLKTPQQCSSTRNCSNFTPLLNFEVSFAMEGPRKSRTARFKKI >itb05g25510.t1 pep chromosome:ASM357664v1:5:29874945:29877009:-1 gene:itb05g25510 transcript:itb05g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTCCNSSSHDPEIRKGPWTMEEDLILVNYIANHGEGVWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEHLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHLMKQEAARNESTVISGHGSSCEKTDQASSTTSASQLSSIGQGDIYSSPQSSFACNIEIDTGFQGHHFPSHEYSNDAVWSMDDISWSMQILNGD >itb12g20790.t1 pep chromosome:ASM357664v1:12:23265273:23269201:1 gene:itb12g20790 transcript:itb12g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MVMDCMNWDEDSYKESIIRERETQCRTVFRTAFAPNPNGNSTPDILFAATSDGSIASYSISSLLGLNYDNVGARKLLAPLAEPNWWVKGHEGPAYDVSFYGNGEDSILLSCGDDGRIRGWKLEEISESNKCTQGGNSMPVLDLVNPQHRGPWGALSPIPENNAIAVNAQSGSIFAAAGDSCAYCWDVEKSEIKMVFKGHSDYLHCIVARNSHDQIITGSEDGTARIWDCKSGKCVQIIDQRKDKKLKGSFPYISCIALDASENWLACGSGRSLSLWNLPACDCISRIATCSATQDVLFDDHQILAVGSEPTLSRFNMSGEIISQIHCAPESAFSISLHPSGVTAVAGYGALVDVISQYGSHLCTFRCKGLSMDQ >itb12g20790.t2 pep chromosome:ASM357664v1:12:23265273:23269189:1 gene:itb12g20790 transcript:itb12g20790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MVMDCMNWDEDSYKESIIRERETQCRTVFRTAFAPNPNGNSTPDILFAATSDGSIASYSISSLLGLNYDNVGARKLLAPLAEPNWWVKGHEGPAYDVSFYGNGEDSILLSCGDDGRIRGWKLEEISESNKCTQGGNSMPVLDLVNPQHRGPWGALSPIPENNAIAVNAQSGSIFAAAGDSCAYCWDVEKSEIKMVFKGHSDYLHCIVARNSHDQIITGSEDGTARIWDCKSGKCVQIIDQRKDKKLKGSFPYISCIALDASENWLACGSGRSLSLWNLPACDCISRIATCSATQDVLFDDHQVSILNFFILFVEELPQRNLCSPFLQVSMHLLLAV >itb04g21410.t1 pep chromosome:ASM357664v1:4:26538346:26542013:1 gene:itb04g21410 transcript:itb04g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLSQPHLPASSTSLFVAISIHKHFPILAVRWKCQVQELSAKGRRQFKKISVHVVKEMMVFRLIMVHDDSGNGRGENLSTISKLYGVPIDEIAAANRDILDDDLILQGKHLNIPSSPGECTRMYPAAKITLPVAGRNLSGTRQHLEFYSRHLNQKMLSMLSLHHLPYAKTTGCFLVLVPLLAFCIRCVMDAFLNRNVGDGKLQAMNEPNIDNHGSKSRWKLALSDLRDPDAPDTDHSRQDIDLFSDNEEHFQSEKISQAYTKLEIDYQKFLSECGISKSGYWRGGLPE >itb11g05060.t1 pep chromosome:ASM357664v1:11:2951245:2952211:1 gene:itb11g05060 transcript:itb11g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDQKLDLRLGLGHCTLPKEDNNSPKKTSGFGVSLDLSLPSHSNDQSGDIIESSKTYEVEGMESQNSYSTKSHTGLMRKKLRLNKEQSALLEECFKHHTTLTMGRKHELATKLNLRPRQVEVWFQNRRARTKLKQTEVDCNLLKKYCESLNYEYCRLKRELHELRSSLKVDRPPPFSDQLPKAKTTATCPSCEKTIGLSGAGSDHKDETELVDTVLELKTDP >itb02g06940.t1 pep chromosome:ASM357664v1:2:4335061:4336495:1 gene:itb02g06940 transcript:itb02g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNSDDCNGNAAAAAAKAAYEDYDLKHIFRVSQQILVRREPGEYYRADNNPGFFTGILDQYGSTISDVSRQMTCKLNESEDEKTYKILKGTLRNHSWKAKMVIAVAALALKCGKHLIIPSELPDLEKRRKSSEDNQIIKSIWLLQRLHIISDHLQTNEAAPLQPLNQWLTALADFVLPMMKLTEFILYELRDDQIPEDDIQWIIKSAVVCSSQITLAHFLLLSNRQNLFTTHALKLNLLTETVEKTSKHWERAQVSRH >itb07g15730.t1 pep chromosome:ASM357664v1:7:18784209:18785651:-1 gene:itb07g15730 transcript:itb07g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISVDFFPSSAANLCSLETLIVRFNKSQQQVNLPVALGSLTSLTYLRLSGIWYLESLSLDLCHLPNLRSLFLQNLRNLRALLKLPPSLADLSAYDCESLEEIADISNLRRLKSLYIVGCKSLVKLSGLESVESLGELVILQHKALTIPYNYLQECLQMALGSLTSLEYLDLSLCGYHLQSLPFTLSHLSNLERLILNEWQNLRELLQLPLSLEHLFAENCVSLEKIENLKRLKGLRIPNCKSLVELPRWESLESVDITNCSGLRIPSVENWFQARSEGNTVQIRLEVFGNEVYCYVPRLLGHKPIKIINSGGFDGNEKFDGVGVSVRSKTTSAWIVKEPLEYIKFKLYEEIEFEVGTRGIGEVLEVYAHFHLLEMEKMQLCLFDIHRNEDGEVRFFPSTRGFLQIDQIQESDSSTSGVEEEEEQNYGWKRRSIIPESLQIKTNWDFYFL >itb02g14680.t1 pep chromosome:ASM357664v1:2:10663631:10668640:-1 gene:itb02g14680 transcript:itb02g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETQQPSAPKGDSILSGGHDVIRTGTVLSAVAHIIAAVIGAGVLSLAWSTAQLGWIAGPVALLCFAVVTYISVSLLSQCYMSPTGHRNPTYMDAVRFNLGRKHRWMCGLLQYVSMAIEKSNCYHKNGHEANCGSTGIGTNVFMMIFGIAQIFASQIPDFHNMAWLSIVAALMSFGYASIGLGLGFATVIENREIKGSITGISTHTAAQKIWLSFQALADISFAYPYTLIVLEIQDTLKSPPPEHQTMNKASLAAILITTFFYTCCGCFGYAAFGNDTPGNLLTGFGFYDPYWLVDLANACIILHLIGGYQIYCQPIYAFAEKWFSERFPQSQVLMKPHALKLPFLPVFRLSLFRLCFRTAYVASTTGIAMLFPYFNQVLGVLGAVTFWPLAIYFPVEMAIEKSNCYHKNGHEANCGSTGIGTNVFMMIFGIAQIFASQIPDFHNMAWLSIVAALMSFGYASIGLGLGFATVIENREIKGSITGISTHTAAQKIWLSFQALADISFAYPYTLIVLEIQDTLKSPPPEHQTMNKASLAAILITTFFYTCCGCFGYAAFGNDTPGNLLTGFGFYDPYWLVDLANACIILHLIGGYQIYCQPIYAFAEKWFSERFPQSQVLMKPHALKLPFLPVFRLSLFRLCFRTAYVASTTGIAMLFPYFNQVLGVLGAVTFWPLAIYFPVEMYVVQNKIGAWTRKWVFLEIFSMICLCVSIVGLVGSIEGLITEKLS >itb07g05780.t1 pep chromosome:ASM357664v1:7:3986642:3989209:1 gene:itb07g05780 transcript:itb07g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHAIAYRTTTSSLAGVGGWDLHDLAAGGFPSPVSENTPPFFSYLDSDDLSTGYLEDALFKFSSKRRRLLLFDDDNDQSKDFNNDLWRCLDNGNTNLNCFENYDCLSQITKCDSLSGEGICKSGSRMSTEETSFFTEMKRNNPSSSDEEAISALAAYQDYSSSSSRKYPADKPAPPPTVDPSSFSAGSEEERRRRKRVVSPSAAAAKLVYPFAVVKPGGKEGDVTLNDINERILMPPTRPVKHPVGDFACRPLVSPDGPGLSGKAVVALTRIQTQGRGTITIIRTRG >itb06g25780.t1 pep chromosome:ASM357664v1:6:26684618:26685187:1 gene:itb06g25780 transcript:itb06g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSAAAAASSIGDHEIKERLMREYGEYMSSLKQEFSQRKKNGKLSQHARQALLDWWSAHYNWPYPTEAEKVVLAASTGLDRKQINNWFINQRKRHWKPSKNMHFNLLF >itb06g25780.t2 pep chromosome:ASM357664v1:6:26681140:26685187:1 gene:itb06g25780 transcript:itb06g25780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMYGVRWCFSSDDDYYYGAVSSSCCAEMAGFLSAGSSEYVAELGGRGSSPPPEVGGRRWCSSNTTNLGVVVVHQEEAHHQQPLPLTTVTSAAATTTTATATNNSNSSLKHKISSHPLYPKLLQAYIDFYKVGAPAEAEEMDGILNENDDTRGTTSFSSDPELDEFMETYCDVLLKYKSCVERPFVEANEFISNMQTQLHNLCSATTHNIISGVADKMTISSSAAAAASSIGDHEIKERLMREYGEYMSSLKQEFSQRKKNGKLSQHARQALLDWWSAHYNWPYPTEAEKVVLAASTGLDRKQINNWFINQRKRHWKPSKNMHFNLLF >itb10g00200.t1 pep chromosome:ASM357664v1:10:129912:135159:-1 gene:itb10g00200 transcript:itb10g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTVKVSNISLRACERDIKEFFSFSGDIEYVEMRSDTEQSQVAYVTFKDSQGAETAVLLSGATIVDMSVTVTYDPDYRLPPSAFTTPESAGKTTAPESALRKAEDVVTSMLAKGFVLGKDAIGKAKSFDEKHQLTSTASAKVASFDKKIGLSEKFSAGTSIVNNKVKEVDQKLHVSDKAKSAFGVAEQTVSNAGSAIMKNRYVLTGTTWVTGAFSKVAKAAGEVGQKTKEKVGKAEDENRQKMVSDFAQVHLSESPTKASELSDHQPSKPSAPQQGLIL >itb10g00200.t2 pep chromosome:ASM357664v1:10:130098:131270:-1 gene:itb10g00200 transcript:itb10g00200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVTYDPDYRLPPSAFTTPESAGKTTAPESALRKAEDVVTSMLAKGFVLGKDAIGKAKSFDEKHQLTSTASAKVASFDKKIGLSEKFSAGTSIVNNKVKEVDQKLHVSDKAKSAFGVAEQTVSNAGSAIMKNRYVLTGTTWVTGAFSKVAKAAGEVGQKTKEKVGKAEDENRQKMVSDFAQVHLSESPTKASELSDHQPSKPSAPQQGLIL >itb01g03240.t1 pep chromosome:ASM357664v1:1:2125027:2129435:-1 gene:itb01g03240 transcript:itb01g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIPESAIKIVTKDVEELETATKSSRRRPPSILTNLHAGYFRISLSLGGQAILWKTLTDHFYKPTTSLHYHIYHTLTSTTFMLLWCLTLGTLLLLSFLYALRCVFHFSLVKAEFCNYVGVNYFFAPWVSWLLLLQSFPFTVLGITSSYQVLWWVMAVPVVILDLKIYGQWFTTEKRFLSMVANPTTQMSVFGNLTGAWAASHMGWKESAVCMFTLGMCHYLVVFITLYQRLSGGNRLPATLRPVFFLFVAAPSMGSLAWSSISGNFDMPSKMLFFLSIFLFTSLANIIQEVNEKIQYSMVGIFISTHILGVSFNTLCSSSEIHGGLDLDASALCDLRHRLRLPLAFHSSQC >itb04g28350.t2 pep chromosome:ASM357664v1:4:32121814:32124993:1 gene:itb04g28350 transcript:itb04g28350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAATCLHWSQHSVPHSPPHPHAHAAMISSLPKRRGFVSGERSVVCRFVHRLDRSAFLGTGPTKLSRSRSCEFLKPPAQTIRRACSASLDSFSDEEFTRRIEELALSFQLWDDEETVNSSSSDVEEEEFVTGSSEAACRSTDECTNGGKFLENPVEPPDWARREEIMRKANSMDFPLSLRIIKVKKQWQDGFTEVGESTYCSVKKAFSNMVFIIRELHSYSLKMREILFYEDLQEILVRVQKDLNASFVWLFQQVFSHTPTLMMSVMILLANFSVYSMASSSAFAATPPLQAYTEATTEEISMVEDRSHVKQKFDFLASRTFSVSSLNGKSVSIGGNNGGGGKFRPVAGGTDGDGRFDGAIVVDGMSSLGNSTNTGEEEAVSDRVSGEEESRLWNSIEDEAYRMQSELRDEALDHETMVRFVSPITAKIESDDCVDYFRTELLYQTGISQEPNNALLLANYAQFLYLIAQDYDRGILQKSCRGGA >itb04g28350.t1 pep chromosome:ASM357664v1:4:32121810:32125041:1 gene:itb04g28350 transcript:itb04g28350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAATCLHWSQHSVPHSPPHPHAHAAMISSLPKRRGFVSGERSVVCRFVHRLDRSAFLGTGPTKLSRSRSCEFLKPPAQTIRRACSASLDSFSDEEFTRRIEELALSFQLWDDEETVNSSSSDVEEEEFVTGSSEAACRSTDECTNGGKFLENPVEPPDWARREEIMRKANSMDFPLSLRIIKVKKQWQDGFTEVGESTYCSVKKAFSNMVFIIRELHSYSLKMREILFYEDLQEILVRVQKDLNASFVWLFQQVFSHTPTLMMSVMILLANFSVYSMASSSAFAATPPLQAYTEATTEEISMVEDRSHVKQKFDFLASRTFSVSSLNGKSVSIGGNNGGGGKFRPVAGGTDGDGRFDGAIVVDGMSSLGNSTNTGEEEAVSDRVSGEEESRLWNSIEDEAYRMQSELRDEALDHETMVRFVSPITAKIESDDCVDYFRTELLYQTGISQEPNNALLLANYAQFLYLIAQDYDRAEEYFKRAAEVEPKDAEALNKYATFLWQARNDLWAAEETYLAAIDAEPTNTFYAGNYAHFLWSTGGEDTCFPLDDSLDENDDV >itb04g28350.t3 pep chromosome:ASM357664v1:4:32121812:32125038:1 gene:itb04g28350 transcript:itb04g28350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAATCLHWSQHSVPHSPPHPHAHAAMISSLPKRRGFVSGERSVVCRFVHRLDRSAFLGTGPTKLSRSRSCEFLKPPAQTIRRACSASLDSFSDEEFTRRIEELALSFQLWDDEETVNSSSSDVEEEEFVTGSSEAACRSTDECTNGGKFLENPVEPPDWARREEIMRKANSMDFPLSLRIIKVKKQWQDGFTEVGESTYCSVKKAFSNMVFIIRELHSYSLKMREILFYEDLQEILVRVQKDLNASFVWLFQQVFSHTPTLMMSVMILLANFSVYSMASSSAFAATPPLQAYTEATTEEISMVEDRSHVKQKFDFLASRTFSVSSLNGKSVSIGGNNGGGGKFRPVAGGTDGDGRFDGAIVVDGMSSLGNSTNTGEEEAVSDRVSGEEESRLWNSIEDEAYRMQSELRDEALDHETMVRFVSPITAKIESDDCVDYFRTELLYQTGISQEPNNALLLANYAQFLYLIAQDYDRYAHASFIFSLLDYDENIILI >itb12g07190.t1 pep chromosome:ASM357664v1:12:5375868:5378223:-1 gene:itb12g07190 transcript:itb12g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVFSPSVLSLFLLLLRIAVAEDDVGCLKGVRSSLKDPGSNLGLWNFDNTTVGFICNFAGVTCWNDRENRVFRLDLREFNLSGEFPEALQYCRNLQTLDLSGNELSGTIPPQICRWLPYLVTLDLSNNHLTGEIPPGLGDCSYLNNLMLNDNKFSGNIPAQLSSLSRLKKFSVANNDLSGRLPSFFGAMDNPGFDFGGNNLCGGPAGKCGGLSKKNLAIIIAAGVFGAAASMLLGFGMWYWCFTNSGKKRKGRYGGGRDDSDSWAERLRAHKLTQVMLFQKPLNKVKLADLLIATNNFSAGSIINSTRIGTTYKAVLRDGSALATKRLNACKLGEKQFRIEMYRLGELRHPNLVPLLGFCVVEEEKLLVYKHLSSGTLFSLLHGNPSILDWPTRLRIGLGASRGLAWLHHGCHPPILHQYFSSHVIMLDEDFDARIMDFGLARLMDLSDGTETSYVKGDLGEIGYVAPEYSSTMVPSTKGDTYSFGVVLLELATGQNPLVVGAAEEGFKGTLVDWVNQVSRSGRIKDVIDKSLCGKGHDEEIVQFLKIARNCVVSGAKDRWSMYQVYEALKTMAAQKGFSEEGDDEFPLIFNKQDSRSPM >itb14g14070.t8 pep chromosome:ASM357664v1:14:16461594:16467456:-1 gene:itb14g14070 transcript:itb14g14070.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVNGYECHVLFYVKFVLKTNVHAPGIPSCSFSAYG >itb14g14070.t4 pep chromosome:ASM357664v1:14:16461594:16467627:-1 gene:itb14g14070 transcript:itb14g14070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVNGYECHVLFYVKFVLKTNVHAPGIPSCSFSAYG >itb14g14070.t3 pep chromosome:ASM357664v1:14:16461594:16467627:-1 gene:itb14g14070 transcript:itb14g14070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVNGYECHVLFYVKFVLKTNVHAPGIPSCSFSAYG >itb14g14070.t7 pep chromosome:ASM357664v1:14:16461594:16467456:-1 gene:itb14g14070 transcript:itb14g14070.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVNGYECHVLFYVKFVLKTNVHAPGIPSCSFSAYG >itb14g14070.t2 pep chromosome:ASM357664v1:14:16461594:16467754:-1 gene:itb14g14070 transcript:itb14g14070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWRTEEDMQETK >itb14g14070.t5 pep chromosome:ASM357664v1:14:16461594:16466621:-1 gene:itb14g14070 transcript:itb14g14070.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWRTEEDMQETK >itb14g14070.t6 pep chromosome:ASM357664v1:14:16461594:16466621:-1 gene:itb14g14070 transcript:itb14g14070.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVNGYECHVLFYVKFVLKTNVHAPGIPSCSFSAYG >itb14g14070.t1 pep chromosome:ASM357664v1:14:16461594:16467754:-1 gene:itb14g14070 transcript:itb14g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVGRTIGEGTFAKVKFGRNSETGDPVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVLGSKTKIYIVLEFVTGGELFDKIVNHGRMKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDASGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDQGYDGSTADLWSCGVILFVLLAGYLPFDDSNLVNLYKKISCAEFTCPPWFSFGAMKLITKILDPNPVTRITIPEILEDEWFKKDYKPPVFDEKEDANLDDVEAVFQDSEEHHVTEKKEEQPTSMNAFELISMSKGLNLGNLFDEQGFKRETRFTSKRPANEIISKIEEAAKPLGFDVQKKNYKMRLENIKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWRTEEDMQETK >itb01g11410.t1 pep chromosome:ASM357664v1:1:10273890:10274384:1 gene:itb01g11410 transcript:itb01g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIANVIRIMRKILPPHAKISDDAKEIIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYREYDGGERGSLRGDPLLKRTMVDPASYPPFVPPFPVGHHHAYFGFPLPMNGGGYLQRDESNAGTSQSAPAANIDPYPHCKE >itb07g03360.t1 pep chromosome:ASM357664v1:7:2262324:2264715:1 gene:itb07g03360 transcript:itb07g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEEEKGLLWKLPAIKSRELGKLGPGFGVGIGCGFGFGVGLIGGTGFGPGIPGLQLGFGLGAGCGVGLGFGYAVGRGIAYDDSRRYSNVGRFFDRNANFPTQNEIDTLIDDLVVNTKKLIRATSHEVDKWRRGRW >itb15g23900.t1 pep chromosome:ASM357664v1:15:26815850:26819502:1 gene:itb15g23900 transcript:itb15g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLALLDLISFLCFLSFVTLVIRQLTATKTKTGKLPPGPRKLPLIGNMHQLVGESPHVALRDLAEKHGKDIMHLQLGEVSTLVVTSPDMAKEFLKTHDMSFADRPAFLAANIILYDRADLFLCPYGDYWRQMRKVCMSELLSAKTVRTFSSIRYDETCRLLERVRSASGSPINVHDQFSQFTSSVVSRAAFGKIFSGTKEFVHEIDELSSLAGGFCMADMFPSWKILHSLSGDKARMMKGHLKADAVIDNIIKEHRRNFDSGKKGSGESGAEDMVDVLLRLKDSGTLPVPITDDNIKAIVTDMFGAGTDTSTTTTLCALVEMVKKPEVLATAQAEVRQAFQGKEMLEENVVEQLQYLDLVIKETLRLHPPGALVIRECREETVVRGYTIAPKTKVLVNSWALGRDPEYWEEPESFIPERFKDSSIDIKGSQFKYIPFGAGRRICPGISFGMANVQFPLAHMLNHFDWTVPHGMTAETLKITEIPGLALGVKNDIFLIATPRAT >itb07g17150.t1 pep chromosome:ASM357664v1:7:21342858:21347969:-1 gene:itb07g17150 transcript:itb07g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRVCMCFTRKFKVTEAQPAADVKEAFKKYAEEGSQMSSEQLLRFLTEEQGQKEATLAEAEAIVQQILHKRHPISKLTRRNLALDDFHHFLFNADLNPSIISKVHHDMTAPVSHYYIFTGHNSYLTGNQLTSDCSDVPIIKALKRGVRVIELDIWPNSAKDDVLVLHGRTVTTPVELIKCLKSIKENAFVASPYPVVITLEDHLTPDLQAKVAQMLTNTFGDMLFVPESECLKEFPSPEELKHRIVISTKPPKEYLEAKTINEKQSSSLRRKDSDDDTWGAEPSTLTADQDDDKSECDPSKHNQESEDYEDDDDFDQKANAYKRLIAIHAGKPKGGMKEALKIEPDKVRRLSLSEQALEKAAEVHGTDVVRFTQRNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRSNGGCGYVKKPDFLVKEVFDPRATLPVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYARVGIAGVPADEKMMKTKTKEDNWTPVWEEEFTFPLTVPELALLRIEVHEYDMSEKDDFAGQTCLPVSELRPGIRAVRLCDRKGENYNSVRLLMRFTFV >itb01g05330.t1 pep chromosome:ASM357664v1:1:3666872:3667886:1 gene:itb01g05330 transcript:itb01g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSKTAQLDAPLHTIGFEIGDLSPEKVTGRLVVTEKCCQPFKVLHGGVSALIAEALASMGANMACGFRRVAGVHLSIHHLKSARAGDLVLAEATPVNAGKTIQVWEVKLWKTEPGNEEKRVQISSSRVTLLVNMPVPENAKDFQANLRKYAKL >itb01g22490.t2 pep chromosome:ASM357664v1:1:28370429:28371291:-1 gene:itb01g22490 transcript:itb01g22490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHQQQLYEKAEAFAGIAYSQEKLLKKLKEYKGNNLDIINEAIAFVGETVEESNDLLLPPYPTQPYPLVSDKLYPSYTPPSSHIISQNGVISGSQPHQLTKEDLHGSHQEEAKSPSRVVTCFIGVAARTALTVVGLISVLTLAGFEPRLKKRDNCLKVANLFQLFGIDKKGTNATCPPGKVLVFENGETRCVVRERVEIPFHSDVTTADVNHGCG >itb01g22490.t1 pep chromosome:ASM357664v1:1:28370262:28374133:-1 gene:itb01g22490 transcript:itb01g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRIEMVLARITELRSKITNCIAADRTEGRELRKGGTEMDSDEVSDCLLSIRDALEKLEVLVSSLQALHQQQLYEKAEAFAGIAYSQEKLLKKLKEYKGNNLDIINEAIAFVGETVEESNDLLLPPYPTQPYPLVSDKLYPSYTPPSSHIISQNGVISGSQPHQLTKEDLHGSHQEEAKSPSRVVTCFIGVAARTALTVVGLISVLTLAGFEPRLKKRDNCLKVANLFQLFGIDKKGTNATCPPGKVLVFENGETRCVVRERVEIPFHSDVTTADVNHGCG >itb05g03790.t1 pep chromosome:ASM357664v1:5:3239714:3244642:-1 gene:itb05g03790 transcript:itb05g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVPAITFLLAWIFRLENVKIKRLHTQAKIVGTTSTIGGAMIMTLVKGPTIVLPWTKHSKNIVSYSAQHVVNQHTDYIKAALLLTACCCCIACSRIGQAIMLKSYPAALSLTALICMAGAVQSTIFTLILQRGNASIWSLHWDMRLFTYVYHVKSKFLYVFIIKTVMMGL >itb05g03790.t2 pep chromosome:ASM357664v1:5:3239714:3244642:-1 gene:itb05g03790 transcript:itb05g03790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVPAITFLLAWIFRLENVKIKRLHTQAKIVGTTSTIGGAMIMTLVKGPTIVLPWTKHSKNIVSYSAQHVVNQHTDYIKAALLLTACCCCIACSRIGQAIMLKSYPAALSLTALICMAGAVQSTIFTLILQRGNASIWSLHWDMRLFTYVYHVKSKFLYVFIIKTVMMGL >itb08g02230.t2 pep chromosome:ASM357664v1:8:1778895:1785515:1 gene:itb08g02230 transcript:itb08g02230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MFCCILCTVKTEKVSVSTNLTGESDFSAAYQCKKKLLDAWESSSGQKLGRILVSETCEADFRILFGFLDSSFPSKKRTEFSISDSRVDMEEAPLQDHLNVSAKVSHLYSVLTKISNEMISLDDLLDALVDLCRLKNVVIVYRALHILHEVLSYTSCTERREDRRSNVIVEEPSLEGNLMELDENGYSRRGSHAKETVDHSHALYGAILNNSDTFPRTGFFNPGTSVFTSSFNYLSLFEMMCQIIMMNDLEHVRWEAVSIMNLILVRNRTYSEREKFGSETVFLSVSQLLRKEAGYRVQKQAVCLLYLLLNCPKVMASFFSNFVEGKSIGSGDANAKDSSAFQVICRIFEGLADCLSCSGRSAEELQVQRHSIILLAFLASSGKTGIEVLLNHRLPKRINFLTIILQSLVSVLDVEALDTAQHPEESKERTLMIREALILLNRLVSHPQYAGSVFLALTNRREIAKLTVDIASILSHKGKCLSHCDSIIQQIRESEIVELARVFQKRVYTFLGSNSGKKPS >itb08g02230.t3 pep chromosome:ASM357664v1:8:1778895:1785515:1 gene:itb08g02230 transcript:itb08g02230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MDGKGYDYIDFTDEIIEQIDQTVIAVQLASGQLRDSPLPPPPAPQPQPPATAAAPQLVDTSHSAPRPAAAASQFVVTGYSSRRPAAPQFDGISYSPPREFSQRDNQQAASSSSCHGSSQSPLNAQQQEIDELKRELGRFSELLAQKELECIQLRKEREKKEKCVVKTEKVSVSTNLTGESDFSAAYQCKKKLLDAWESSSGQKLGRILVSETCEADFRILFGFLDSSFPSKKRTEFSISDSRVDMEEAPLQDHLNVSAKVSHLYSVLTKISNEMISLDDLLDALVDLCRLKNVVIVYRALHILHEVLSYTSCTERREDRRSNVIVEEPSLEGNLMELDENGYSRRGSHAKETVDHSHALYGAILNNSDTFPRTGFFNPGTSVFTSSFNYLSLFEMMCQIIMMNDLEHVRWEAVSIMNLILVRNRTYSEREKFGSETVFLSVSQLLRKEAGYRVQKQAVCLLYLLLNSILWKGKV >itb08g02230.t1 pep chromosome:ASM357664v1:8:1778895:1785515:1 gene:itb08g02230 transcript:itb08g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MDGKGYDYIDFTDEIIEQIDQTVIAVQLASGQLRDSPLPPPPAPQPQPPATAAAPQLVDTSHSAPRPAAAASQFVVTGYSSRRPAAPQFDGISYSPPREFSQRDNQQAASSSSCHGSSQSPLNAQQQEIDELKRELGRFSELLAQKELECIQLRKEREKKEKCVVKTEKVSVSTNLTGESDFSAAYQCKKKLLDAWESSSGQKLGRILVSETCEADFRILFGFLDSSFPSKKRTEFSISDSRVDMEEAPLQDHLNVSAKVSHLYSVLTKISNEMISLDDLLDALVDLCRLKNVVIVYRALHILHEVLSYTSCTERREDRRSNVIVEEPSLEGNLMELDENGYSRRGSHAKETVDHSHALYGAILNNSDTFPRTGFFNPGTSVFTSSFNYLSLFEMMCQIIMMNDLEHVRWEAVSIMNLILVRNRTYSEREKFGSETVFLSVSQLLRKEAGYRVQKQAVCLLYLLLNCPKVMASFFSNFVEGKSIGSGDANAKDSSAFQVICRIFEGLADCLSCSGRSAEELQVQRHSIILLAFLASSGKTGIEVLLNHRLPKRINFLTIILQSLVSVLDVEALDTAQHPEESKERTLMIREALILLNRLVSHPQYAGSVFLALTNRREIAKLTVDIASILSHKGKCLSHCDSIIQQIRESEIVELARVFQKRVYTFLGSNSGKKPS >itb02g03030.t1 pep chromosome:ASM357664v1:2:1739143:1744065:-1 gene:itb02g03030 transcript:itb02g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVNTFLLFLCSTSSIYAAFALNSDGAALLSLTRHWTSIPSDIAQSWNASDSTPCSWLGVECDRRQFVVTLNLSSYGISGEFGPEISHLKHLRKVVLSDNGFFGSIPSHLANCSLLDYIDLSSNSFTGNIPDTLGALQNLRFLSLFYNSLIGPFPESLLSVPHLETVYFTGNSLNGSIPSNIGNMSELTILWLGDNQFSGPVPSSLGNITTLQELYLDNNNLVGTLPVTLNNLEHLVYLDVSNNSLVGAIPLDFVSCKQIDTISLSNNQFTGGLPPGLGNCTSLREFGAFACALSGPIPSCFGQLTKLETLYLSRNRFSGRIPPELGKCKSMIDLQLQQNQLEGEIPGELGMLSQLQSLHLFTNNLSGEVPLSIWKIQSLQSLQLYQNNLSGELPVDMTELKQLVSLALYENHFSGVIPHGLGTNSSLEVLDLTRNMFTGHIPPNLCSQKKLKRLLLGYNYLEGSVPSDLGGCSTLERLILKENNLTGGLPYFVEKQNLLFFDLSGNSFTGPIPPSLGNLKNVTAIYLSSNQLSGSIPPELGSLVKLENLNLSHNILKGVLPSELSNCHKLSQLDVSHNLLNGSIPSTFGSLTELSKLSLRENSFSGGIPTSLFQSNKLLDLQLGGNLLAGDIPPVGALQALRSLNLSSNKLNGQLPIDLGKLKMLEELDVSHNNLSGTLRVLSTIQSLTFINISHNLFSGPVPPSLTKFLNSSPASFLGNSDLCINCPADGLACPESSILRSCNMQSNTGKGGLSTLGIAMIVLGALLFIICLFLFSACLFLHCKKSVQEIAISAQEGDGSLLNKVLEATENLNDKYIIGKGAHGTIYKATLSPDKVYAVKKLVFTGIKNGSVSMVREIETIGKVKHRNLIKLEEFWLRKEYGLILYTYMENGSLHDILHETNPPQPLDWSTRHNIAVGTAHGLAYLHFDCDPAIVHRDIKPMNILLDSDLEPHISDFGIAKLLDQSANSIPSNTVQGTIGYMAPENAFTTVKSRESDVYSYGVVLLELITRKKALDPSFNGETDIVGWARSVWTQTREIQKIVDPSLLDELIDSSVMERVTEALSLALRCAEKEVDKRPTMRDVVKQLTRWNIRSYSSVRNKSK >itb06g14580.t1 pep chromosome:ASM357664v1:6:19102337:19104914:1 gene:itb06g14580 transcript:itb06g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRIQAAFNIEGIIAGNCSASFIIKNEIHGVDASYSTISDSVELPRKLKRSERKPLVTGVNELKRRAKPLVTGVNELKRRARAEKKERREAREVPLKPPENGVLVKGLIPVAHEVLAAKAELFVCAHEVLAAKAELFVCVSRVAEEIPIYSCRLCGEVHVGHPPHKIRTCSVSGSPRSKEHIWEKWGVKHLLPLVESFHMYDRLGRAVSHNERLEVDRIPAVMELCIQAGVDIPDYPTRRRKFTVYRVAGRMIDFEKKFPKDYSSGNHIQTSGFWGTTKRSTENDKTLRLPHHDVKGFAEIGMEAWEKMCSGAIQLMQKYAVQTCGYCPEVQVGPKGHRVRQCQAFKHQMRDGQHAWQEATIDDIVPPEYVWHVQDPHSGVPQIDALKRYYGKLPAVVELFAQAGAKVGSNYDGIMRADVALPSLDEEKLVM >itb04g04800.t1 pep chromosome:ASM357664v1:4:2953654:2957700:-1 gene:itb04g04800 transcript:itb04g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPYHNFFNGWLNFSPLHQYEQEISTISSSPHLQPSNTLYYYHHHHNTAAVGPPQPPPPREPRPILPNLNLREEEGGGEEEDEEEETTNTETSPPCNNAAMEEETRKYNHSSSSIKEESFRSFSASSTDEEDVSVALHIGLPSSSSSSSSMRHGSTSDFTTYAAAASADNVLSGLPLNRLNNGQYWIPTPSQILIGPTQFSCHLCFKTFNRYNNLQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCFCCAPGCKHNIDHPSARPLKDFRTLQTHYKRKHGAKPFMCRKCGKPFAVKGDWRTHEKNCGKIWFCVCGSDFKHKRSLKDHVKAFGHAHAHAAVPGEEDNESTSDVEQDVYRGGDFC >itb12g27140.t2 pep chromosome:ASM357664v1:12:27704005:27705783:-1 gene:itb12g27140 transcript:itb12g27140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDVSKNGVLAVQTFRNNIMASTLLASTAIMLSSLIAVLMTGFNSNSDRSMTIVLGDNSDLSSCIKFFSILVCFLVAFLFNVQSIRYYSHASILVNVPYRKIMEGWEADDPTNQYRCVVTSEYVGRTVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLCCIVLVFMLYFLDVTVDFRLVASTPNGEEDVEIGQQLGTID >itb12g27140.t1 pep chromosome:ASM357664v1:12:27704005:27705579:-1 gene:itb12g27140 transcript:itb12g27140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVLDYVLVPLGLLVMVCYHLWLLWQIRNNPNRTVIGVNAINRGFWVRAMMEDVSKNGVLAVQTFRNNIMASTLLASTAIMLSSLIAVLMTGFNSNSDRSMTIVLGDNSDLSSCIKFFSILVCFLVAFLFNVQSIRYYSHASILVNVPYRKIMEGWEADDPTNQYRCVVTSEYVGRTVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLCCIVLVFMLYFLDVTVDFRLVASTPNGEEDVEIGQQLGTID >itb12g27140.t3 pep chromosome:ASM357664v1:12:27704005:27705783:-1 gene:itb12g27140 transcript:itb12g27140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVLDYVLVPLGLLVMVCYHLWLLWQIRNNPNRTVIGVNAINRGFWVRAMMEDVSKNGVLAVQTFRNNIMASTLLASTAIMLSSLIAVLMTGFNSNSDRSMTIVLGDNSDLSSCIKFFSILVCFLVAFLFNVQSIRYYSHASILVNVPYRKIMEGWEADDPTNQYRCVVTSEYVGRTVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLCCIVLVFMLYFLDVTVDFRLVASTPNGEEDVEIGQQLGTID >itb13g06400.t1 pep chromosome:ASM357664v1:13:7677400:7680848:-1 gene:itb13g06400 transcript:itb13g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLLCIWLLLFLGFSYASANAELRALMEIKASLDPENRLLSSWTSGGDPCSGSFLGVVCNEHHKVGNISLPAMELTGKLSPALAELKCMSGLYLHYNKLNGEIPRELGNLTELTQLYLDFNNLSGAIPPEIGRMASLQVMQLNHNQLTGSIPKEIGELAKLEYLALEHNILTGEIPGSLGDLQMLRKLYLGFNKLGGLIPGRLHFANKLEVLDVQNNTLSGPAPSGLIRRLNGGFNGSNTSLCGVRIPSLRNCTPWDYTKVPAEPFVVPPASIPQTASMLPGCNQTHCPSSSKFRKFGIIIGVVSGSVSLVVAGVFIAFVYWRRKQKVGNTCDVSEASRVSTDQAKDLYRRSPSPLITLEYSERWDPMTPEKTFSSFCNEFLQELTFNLEEVDSATQHFSEANLLGKSNFSAVYRGILKDGSAVAIKSINVTACKSDEDEFMKGLNLIASLKHDNLAKLRGFCCSKGRGECILIYDFASKGNLSQYLDVEEGSSHVLNWPTRVSIIKGIAKGMEYLHSSDANKPSIVHRNISVEKILLSQQFNPVILDSGLVKLFAEDVVYSALKVSAALGYMAPEYITTGRFTEKSDVYAFGVIILQVLSGKCMLTTSTRVAAESCNLLGFIDPNLEGRFDESEATKLAKVAMACMNEVPENRPTMAAVVEEFKEEPCDEQSFVFRIAQDEKCINGGSMKMFD >itb13g06400.t2 pep chromosome:ASM357664v1:13:7677400:7680848:-1 gene:itb13g06400 transcript:itb13g06400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLLCIWLLLFLGFSYASANAELRALMEIKASLDPENRLLSSWTSGGDPCSGSFLGVVCNEHHKVGNISLPAMELTGKLSPALAELKCMSGLYLHYNKLNGEIPRELGNLTELTQLYLDFNNLSGAIPPEIGRMASLQVMQLNHNQLTGSIPKEIGELAKLEYLALEHNILTGEIPGSLGDLQMLRKLYLGFNKLGGLIPGRLHFANKLEVLDVQNNTLSGPAPSGLIRRLNGGFNGSNTSLCGVRIPSLRNCTPWDYTKVPAEPFVVPPASIPQTASMLPGCNQTHCPSSSKFRKFGIIIGVVSGSVSLVVAGVFIAFVYWRRKQKVGNTCDVSEASRVSTDQAKDLYRRSPSPLITLEYSERWDPMTPEKTFSSFCNEFLQELTFNLEEVDSATQHFSEANLLGKSNFSAVYRGILKDGSAVAIKSINVTACKSDEDEFMKGLNLIASLKHDNLAKLRGFCCSKGRGECILIYDFASKGNLSQYLDVEEGSSHVLNWPTRVSIIKGIAKGEFT >itb15g18950.t1 pep chromosome:ASM357664v1:15:20859721:20860062:-1 gene:itb15g18950 transcript:itb15g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGARNADIVVRALEEYKQNDGREKFSYEHVWAIVKDLPSWQPQFVAHQISLNTSSTNQTSSGSVAGTASGSEEVFPRPMGKKASKRKAKERYSNNDDDDDDIHVSLDKQR >itb06g15980.t1 pep chromosome:ASM357664v1:6:20201804:20202626:-1 gene:itb06g15980 transcript:itb06g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEGQKRRKVIKIDSKQSWDIFLYHAKNQSRPIVIHFTASWCIPSVAMTPVLAELALSYQNILFLSVDVDEVKEVAAEMEIKAMPTFVFMRDGIQVDKLVGANPDKIKNRIAAFTINS >itb13g26660.t1 pep chromosome:ASM357664v1:13:31843779:31845640:1 gene:itb13g26660 transcript:itb13g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSYILCFFFALCLTSPVLSRVISENPRTTSLDIPSSIHKTLTVFSSLDSQAEKSVESQKWGFSSPSAFSLPLHSRLAVRGTSERDYKSLTLARLERDSARVQSLQTRLDLAVNGITKADLKPMEMEVEDEKLEGPIISGTSQGSGEYFSRVGIGHPPSEVYMVLDTGSDVNWLQCAPCADCYQQADPIFDPVKSSTYSPLSCDTKQCQSLDVSECRNNTCMYEVSYGDGSYTVGDFVTESVTFGGSAAVDNVAIGCGHSNEGLFIGAAGLIGLGGGALSFPSQIKASSFSYCLVDRDSDSTSTLEFDSATPPGAVTAPLIRNSKLDTFYYVELTGLSVAGNMLPISTSALKLDDNGDGGVIVDSGTAVTRLQTDVYNSLRDEFVKGTRHLPSTNGVALFDTCFDLSKKKTVDVPTVSFHFSNGKELALPAKNYLIPVDSSGTFCLAFAPTSSSLSIIGNVQQQGTRVTFDLANSLIGFSSNKC >itb03g26180.t2 pep chromosome:ASM357664v1:3:25653300:25656403:1 gene:itb03g26180 transcript:itb03g26180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDIELDEMELVAAAAGYYYYNCISKQPSRGVQSKGSGFMSELLNGPEDVCRDMLRMDKHVFQKLCDVLRQRGLLRDTSGVSIEEQLAIFLSIVGHSERNRVIQERFQHSGETISRHFNNVLKAIKSLAREFLQPPPLTTPPEILKSNRLYPYFKDCIGVIDGLHIPAHVPAKDQARFRNKKGYLSQNVLAACTFDLQFIFVYPGWEGSAVDSRVLRAVLDDPDQNFPPIPEDTKGYCHSSMCDSQFHSP >itb03g26180.t1 pep chromosome:ASM357664v1:3:25653280:25656440:1 gene:itb03g26180 transcript:itb03g26180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDIELDEMELVAAAAGYYYYNCISKQPSRGVQSKGSGFMSELLNGPEDVCRDMLRMDKHVFQKLCDVLRQRGLLRDTSGVSIEEQLAIFLSIVGHSERNRVIQERFQHSGETISRHFNNVLKAIKSLAREFLQPPPLTTPPEILKSNRLYPYFKDCIGVIDGLHIPAHVPAKDQARFRNKKGYLSQNVLAACTFDLQFIFVYPGWEGSAVDSRVLRAVLDDPDQNFPPIPEGKYYLVDMGYFNSEGFVAPFEGARYRLQEYRGANQLPRNAKELFNNRHASLGNAIQKSFHVLKTRFPILTIATQYAFQTQRDIVIAACVIHNFIRREERNDWLFNGVEGKIVEELPDEDDQLDPLLSLPNQEPMGYDSRDIIAAEMWNDFINVWDQW >itb15g11560.t1 pep chromosome:ASM357664v1:15:9371501:9375072:1 gene:itb15g11560 transcript:itb15g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKELTVRANCISKMGVDPSGIPSNESRSGNIQGLGTKTPSPIQSSQLPLFLNQARLGTLPQPCSGSGDEQSGRATWSIEEDVILAKAWIKFSEDRKKLKSSHANGTLWQDIEKYYNEYRPTGTVKRDRAKLKTHWNQARMKITSFNTSYNELFSARPIGHNEEDVLLQTHEEYKATHNGIKFPYLHLWNIVRYFPMWATKASRNGHKSKKMQTTEPGQHTSSSSADDTVDVDEGEVHPRPVEQKGVGRKRKAPVTNVDQISNTMQKQLDKLEEYNTLKARDTLINEYQILMKDTSTMTEEQLHTHRYACEIIKKSWGM >itb13g11410.t1 pep chromosome:ASM357664v1:13:16178803:16179162:1 gene:itb13g11410 transcript:itb13g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISYRGNRICFGRYALQALEPAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVVKPGRILYEISGVAENIARRAVAIAASKMPIRTQFIISG >itb02g02130.t2 pep chromosome:ASM357664v1:2:1178775:1181094:1 gene:itb02g02130 transcript:itb02g02130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTLGSPMAGISCMSRKWMVLEVPTENKGCCGFPSFLPKEVHKIKDPFARTLAQRIQRLPVQVGLSEGCIMSSCVKPKIQSCGANPVVLLHCFDSSCLEWRCAYPLLEEAGLEAWAIDVLGWGFSDLARLPPCNSASKRYHLYQLWKAHIKRPMILVGPSLGAAVAIDFAVNFPEAVDKLVLINASVYLEGAGFWTKLPRMMAYAAASLLKSIPVRMYAKLMAFDGISLSTCIDLTEVSRLHCLLPWWKDATVNYMLSGGYNVVDQIKQVKQETLIIWGACDNILRKELAHRLRSELPNAIVSLIPKCGHLPHVEKPDSVAKLIAEFTNGKKEASFL >itb02g02130.t1 pep chromosome:ASM357664v1:2:1178402:1181094:1 gene:itb02g02130 transcript:itb02g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKWMVLEVPTENKGCCGFPSFLPKEVHKIKDPFARTLAQRIQRLPVQVGLSEGCIMSSCVKPKIQSCGANPVVLLHCFDSSCLEWRCAYPLLEEAGLEAWAIDVLGWGFSDLARLPPCNSASKRYHLYQLWKAHIKRPMILVGPSLGAAVAIDFAVNFPEAVDKLVLINASVYLEGAGFWTKLPRMMAYAAASLLKSIPVRMYAKLMAFDGISLSTCIDLTEVSRLHCLLPWWKDATVNYMLSGGYNVVDQIKQVKQETLIIWGACDNILRKELAHRLRSELPNAIVSLIPKCGHLPHVEKPDSVAKLIAEFTNGKKEASFL >itb13g20170.t1 pep chromosome:ASM357664v1:13:26992275:26995476:1 gene:itb13g20170 transcript:itb13g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALEGLSLARTPETEAGGGRLVAAAAAVNGGDDGSKAPRLPRWTRQEILVLIQGKRVAESRVRRGRTAGMEFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDRGDSAAAAAEEVTGALALALGPSVDPDPETLFDSGRSAAADDGLFSDFEQSGQEEAGVASPRKDLPPAAEEVPIPPTAIPAPIPISEQQYEPFSQDTPAQENEQICGFLPLS >itb13g20170.t4 pep chromosome:ASM357664v1:13:26992275:26995476:1 gene:itb13g20170 transcript:itb13g20170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALEGLSLARTPETEAGGGRLVAAAAAVNGGDDGSKAPRLPRWTRQEILVLIQGKRVAESRVRRGRTAGMEFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDRGDSAAAAAEEVTGALALALGPSVDPDPETLFDSGRSAAADDGLFSDFEQSGQEEAGVASPRKDLPPAAEEVPIPPTAIPAPIPISGIHIVTCKYAMSLFACYIT >itb13g20170.t2 pep chromosome:ASM357664v1:13:26992275:26995476:1 gene:itb13g20170 transcript:itb13g20170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALEGLSLARTPETEAGGGRLVAAAAAVNGGDDGSKAPRLPRWTRQEILVLIQGKRVAESRVRRGRTAGMEFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDRGDSAAAAAEEVTGALALALGPSVDPDPETLFDSGRSAAADDGLFSDFEQSGQEEAGVASPRKDLPPAAEEVPIPPTAIPAPIPISGIHIVTCKYAMSLFACYIT >itb13g20170.t3 pep chromosome:ASM357664v1:13:26992275:26995476:1 gene:itb13g20170 transcript:itb13g20170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor ASR3 [Source:Projected from Arabidopsis thaliana (AT2G33550) UniProtKB/Swiss-Prot;Acc:Q8VZ20] MALEGLSLARTPETEAGGGRLVAAAAAVNGGDDGSKAPRLPRWTRQEILVLIQGKRVAESRVRRGRTAGMEFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDRGDSAAAAAEEVTGALALALGPSVDPDPETLFDSGRSAAADDGLFSDFEQSGQEEAGVASPRKDLPPAAEEVPIPPTAIPAPIPISEQQYEPFSQDTPAQGTSHQKQPASEPDVRSAQEGRKRKRNMTDTDADEESENLQHQLVKALERNGKLLSSQLEAQNAHLQLDREQRKDHVNSLIAVLNKLSDALGRIADKL >itb07g02020.t1 pep chromosome:ASM357664v1:7:1209360:1213734:1 gene:itb07g02020 transcript:itb07g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAGSAIATLSLNQFPSLSSLRAPVSLTLSRSHAKGRLLRLRSLSVSSSSAVSINAPPPRTDGVIDPKYLSCSLPEKKPLKVAVLVSGGVDSSVSLRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCDQVDVPLEVVHLTDEYWNNVVSYIINEYRCGRTPNPDVLCNTRIKFGAFMDAISEMEFDFVASGHYAKIVHASSDQPHEPSVLELSKDMVKDQTYFLSHLTQAQLKRLLFPLGCIPKEEVRKLAKSFDLPNQDRKDSQGICFLGKIKFSEFVARHIGEEEGIILEAETGDYLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDTTNNVVFVSRNYFSVDKKRRLFRVGSLKWLSGSSPGNITQLQCKYGDGS >itb05g27550.t1 pep chromosome:ASM357664v1:5:30865261:30865602:-1 gene:itb05g27550 transcript:itb05g27550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGDVKFKQICRKCGVNRWPYMKLKSMDQLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb06g18960.t1 pep chromosome:ASM357664v1:6:22464699:22469533:-1 gene:itb06g18960 transcript:itb06g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTILKTVAAACHVALGLRRSAYVLLAIRIPLNINPSHFTPLTLDVKVGRNSQANSHSLRCDRQYVGLKGSQQEFLLRQIKGSYSFFCKCSVLLRGNIFHLAWALPCRFLLVASEKGLFSLIVNLRCLDQHTTAWFSILSQKLYYLLASCLVPSPPDASSSRLRQITPRLSLLSCYLPDDAILTEEEVLVEFRNRSVAIVESGRGFSSREANFAEFWENFRCFR >itb04g00510.t1 pep chromosome:ASM357664v1:4:290936:292945:1 gene:itb04g00510 transcript:itb04g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAMSNTTWGFHVFLAVVLTAMVVVPRCKATCSFPAIFNFGDSNTDTGGFFAAFPPLVPPYGITYFNKPVGRTTDGRVIVDFLAQGLGLPFLSPYLKSIGSDYRHGANFATVSSTVMLPHSFPFDSGVSPFSLAIQFNQFKQFKVMVDEEEEHHSYDYALYTFYIGQNDFTLNLTSLGISGVKQFLPEVVGQIVKTINDIYALGGRTFFILNLAPVGCYPGFLVKLPHNASDVDQFGCLKSYNNAVVDYNNMLKDALRQTRKNLKDANVVYVDTHTILLELFQHPTSHGLKFGTKACCGYGGGDYNFNKEVVCGNTKVVNGQKVSAEACEDPYNYVSWDGFHLTDAANKLTAHAIFNGSYFDPPFSLHHFCDIVHPIG >itb03g03050.t1 pep chromosome:ASM357664v1:3:1717277:1719224:1 gene:itb03g03050 transcript:itb03g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDGRKLSIDLNSEFGVPKTISDCLAEVSATGDVSLKLSKLSCFLHALEEELRKIDAFRRELPLSMQIINLAIEKVKEEVLQVKRKEKGPVMEEFLPLKGDSDEEGRGAKRSNDESDKKNWMNSATLWNNPVEFKHRNSVEDEDVQFRSGEFKNEGGAFQPYKRIAAAVKVEEAAEKAALPINGLPVACERGRVSIDLHLKSGGGGGGGSRNGPPQGKKQRRCWSPELHQRFIDALDKLGGAQVATPKQIIDIMRVDGLTNDEVKSHLQKYRLHVRRLPSHPPPPFSSAEQRSDALKVAKMAAQSGSPEGPLHLSGSGKEISMTLTNIPEEEEEDEISESSWKKQGHIV >itb03g27500.t2 pep chromosome:ASM357664v1:3:27307085:27311180:-1 gene:itb03g27500 transcript:itb03g27500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETSMSSSNEAAAAVHRVLLVSAGATHSVALLSGNIVCSWGRGEDGQLGHGDAEDRYTPTRVTALDGKDIISVICGADHTAAYSESLMQAYSWGWGDFGRLGHGNSSDLFTPQPIKALQGLKIKQIACGDSHCLAVTIEGVVQSWGRNQNGQLGLGNTEDYLLPQKIEAFQGIPVKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGNRNDCLVPQKVSAVEGVKMIMVACGWRHTISVSSSGALYTYGWSKYGQLGHGDFEDRLVPHKLETLCGDFISQVSGGWRHTMALTADGKLYGWGWNKFGQVGVGDKIDHCSPKQVDFPNNEKVAQVSCGWRHTVAFTERQNVYSWGRGINGQLGHGKSADRNAPTIIQSLSADGSSGQLIEASNFDPSSGYVTDEIKLPVSAYTILVFSVSFVIYKISTYY >itb03g27500.t1 pep chromosome:ASM357664v1:3:27307085:27311180:-1 gene:itb03g27500 transcript:itb03g27500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETSMSSSNEAAAAVHRVLLVSAGATHSVALLSGNIVCSWGRGEDGQLGHGDAEDRYTPTRVTALDGKDIISVICGADHTAAYSESLMQAYSWGWGDFGRLGHGNSSDLFTPQPIKALQGLKIKQIACGDSHCLAVTIEGVVQSWGRNQNGQLGLGNTEDYLLPQKIEAFQGIPVKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGNRNDCLVPQKVSAVEGVKMIMVACGWRHTISVSSSGALYTYGWSKYGQLGHGDFEDRLVPHKLETLCGDFISQVSGGWRHTMALTADGKLYGWGWNKFGQVGVGDKIDHCSPKQVDFPNNEKVAQVSCGWRHTVAFTERQNVYSWGRGINGQLGHGKSADRNAPTIIQSLSADGSSGQLIEASNFDPSSGEKYWVSSTGIYAVVPGENAEGEIERYDLHVPETDVKRMRI >itb04g26510.t1 pep chromosome:ASM357664v1:4:30830832:30835335:-1 gene:itb04g26510 transcript:itb04g26510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGIVSNSLALAGIFIFALLCTSWRGRKSNSNSNSSKPPLPPVVPGAWPLIGHLQQLSSGKEPLVRTLGALADKYGPIFTLRIGMQPFVVISSWETARDCFSTNDKLLADRPPSCAGKYLGYDYAVLPFTLYSPYWRNMRKLVVVELLSNNTLEKLKPVWMSELEANIKELYTLVLVDVNFGPRKVDMSEWFGHLTLNLIVKLIGGRRYKYRPKDGAEEPDEEARCMTKVFKEIMCLVGEFAPGDVFFPTGLVRWLDFGGQIASMKQVSKAMDDILQNWIKDHVERREMKGEDDRDFIDVMLSVIDDKFQSGDHSYTRETIIKAASLSMLEDAADTLGLNLEWVLSLLLNNPHVMKKVQEEIDTIVGKERWVEDSDVDNLTYLQAVVKEAMRLYPPAPLLVPHRAREECIVGGYTIPKGTILFANAWKIQRDPQVWPEPEKFLPERFLAGQAEMDTPSRHFGFIPFGIGRRSCPGISYALKVTHLSIGRLLQGFNVTTPLHMPVDMSEGQATTLPRATPLEVLVTPRLPSSFYGEM >itb05g16890.t1 pep chromosome:ASM357664v1:5:23973473:23975741:1 gene:itb05g16890 transcript:itb05g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MGSNVGQTSTKQDDEHIFRSKYPPVQVPDDMTLPDFVLHNVELYADKVAIVDATTGKEFSYGQVARDVRRFAKALRSLGLRKGRLVVVVLPNVAEYPIIALGIMAAGGVLSGTNPTSHASELKKQVEVADAKLIVTDAPTYYKVKDLGLPVIVVDEERVQGTINWDELLEAADRASNDFAITEDEVVKQNDLCALPFSSGTTGMSKGVMLTHRNLVANLCSSLFSVGPEMIGQVIILGLIPFFHIYGLVGICCATMRNKGKVVAMRRYELRAFLDALITHEVNFAPIVPPIILGLVKNPIVDEFDLSKLKLKSIMTAAAPLAPEILNEFQKKFPGIQVQEAYGMTEHSCITLTHGDPRKGHGIAKKNSVGFILPNLEVKFIDPDSGLSLRRNTPGEICVRSQCVMKGYYNNEYETALTIDKDGWLHTGDIGYIDEDGDIFIVDRIKELIKFKGFQVAPAELEATLLSHPSVEDAAVVGLPDEEAGEVPAACVVLSAGAKDSVEDIMNYVASSVAHYKRVRVLHFVDAIPKSHSGKIMRRVIKDKMVQNLTTASSHDVLKKLLA >itb10g16500.t4 pep chromosome:ASM357664v1:10:22808879:22811783:-1 gene:itb10g16500 transcript:itb10g16500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCGETNEDHFFDTTGDEISSLSDCFYTSSGTANEPLDYEFWAKNLESVSERRTRLLKWMGLSPEWEVMNRDESNDECSDKFKAGDHDRISDNGEAVLANSDSEDHFFSGQSSQSGENDSLEENCYWKIRNLDNGTEFMVDELGQDGTVSRLREVGSNKVITLEEFQRAVGSSSLVQRLLGRDSEGFRIVDTMRKKVKRNWLQKLTKRSRLKSKDSNVNTGIAIQKVLVHTCKKQSKELSSLYSRQEFLAHEGSVLTMKFSPDGQYLASAGKDGIVRVWRVIESELPNKLNNQDIDLSCLYISLNHFSGLASLNLDKEKIGQMKRMRKSSESACVILPPKSFQILEKPVHEFYGHEGEVLALSWSKHGYLLSSSVDKTARLWQVGHDQCLGVYSHNNYVTCIEFNPVDDNYFVSGSVDGKVRLWEVHRHRVIDWTDVKEIVTAVCYSPDGKGGVVGFMDGSCRFYDVVGT >itb10g16500.t5 pep chromosome:ASM357664v1:10:22808176:22811704:-1 gene:itb10g16500 transcript:itb10g16500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCGETNEDHFFDTTGDEISSLSDCFYTSSGTANEPLDYEFWAKNLESVSERRTRLLKWMGLSPEWEVMNRDESNDECSDKFKAGDHDRISDNGEAVLANSDSEDHFFSGQSSQSGENDSLEENCYWKIRNLDNGTEFMVDELGQDGTVSRLREVGSNKVITLEEFQRAVGSSSLVQRLLGRDSEGFRIVDTMRKKVKRNWLQKLTKRSRLKSKDSNVNTGIAIQKVLVHTCKKQSKELSSLYSRQEFLAHEGSVLTMKFSPDGQYLASAGKDGIVRVWRVIESELPNKLNNQDIDLSCLYISLNHFSGLASLNLDKEKIGQMKRMRKSSESACVILPPKSFQILEKPVHEFYGHEGEVLALSWSKHGYLLSSSVDKTARLWQVGHDQCLGVYSHNNYVTCIEFNPVDDNYFVSGSVDGKVRLWEVHRHRVIDWTDVKEIVTAVCYSPDGKGGVVGFMDGSCRFYDVVDNCLQMGSQVCLHGKKKLSQNRIVGFQMTQAKSWLLQLIRRLEYLTALISSANSKGSEILLAWFLRPLHRMESTLSRLLKIRMSVSGTITSRRLLRPYDPMKVSTPRTHQW >itb10g16500.t6 pep chromosome:ASM357664v1:10:22808078:22811704:-1 gene:itb10g16500 transcript:itb10g16500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCGETNEDHFFDTTGDEISSLSDCFYTSSGTANEPLDYEFWAKNLESVSERRTRLLKWMGLSPEWEVMNRDESNDECSDKFKAGDHDRISDNGEAVLANSDSEDHFFSGQSSQSGENDSLEENCYWKIRNLDNGTEFMVDELGQDGTVSRLREVGSNKVITLEEFQRAVGSSSLVQRLLGRDSEGFRIVDTMRKKVKRNWLQKLTKRSRLKSKDSNVNTGIAIQKVLVHTCKKQSKELSSLYSRQEFLAHEGSVLTMKFSPDGQYLASAGKDGIVRVWRVIESELPNKLNNQDIDLSCLYISLNHFSGLASLNLDKEKIGQMKRMRKSSESACVILPPKSFQILEKPVHEFYGHEGEVLALSWSKHGYLLSSSVDKTARLWQVGHDQCLGVYSHNNYVTCIEFNPVDDNYFVSGSVDGKVRLWEVHRHRVIDWTDVKEIVTAVCYSPDGKGGVVGFMDGSCRFYDVVDNCLQMGSQVCLHGKKKLSQNRIVGFQGSEILLAWFLRPLHRMESTLSRLLKIRMSVSGTITSRRLLRPYDPMKVSTPRTHQW >itb10g16500.t1 pep chromosome:ASM357664v1:10:22808078:22811783:-1 gene:itb10g16500 transcript:itb10g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCGETNEDHFFDTTGDEISSLSDCFYTSSGTANEPLDYEFWAKNLESVSERRTRLLKWMGLSPEWEVMNRDESNDECSDKFKAGDHDRISDNGEAVLANSDSEDHFFSGQSSQSGENDSLEENCYWKIRNLDNGTEFMVDELGQDGTVSRLREVGSNKVITLEEFQRAVGSSSLVQRLLGRDSEGFRIVDTMRKKVKRNWLQKLTKRSRLKSKDSNVNTGIAIQKVLVHTCKKQSKELSSLYSRQEFLAHEGSVLTMKFSPDGQYLASAGKDGIVRVWRVIESELPNKLNNQDIDLSCLYISLNHFSGLASLNLDKEKIGQMKRMRKSSESACVILPPKSFQILEKPVHEFYGHEGEVLALSWSKHGYLLSSSVDKTARLWQVGHDQCLGVYSHNNYVTCIEFNPVDDNYFVSGSVDGKVRLWEVHRHRVIDWTDVKEIVTAVCYSPDGKGGVVGFMDGSCRFYDVVGT >itb10g16500.t2 pep chromosome:ASM357664v1:10:22808176:22811704:-1 gene:itb10g16500 transcript:itb10g16500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCGETNEDHFFDTTGDEISSLSDCFYTSSGTANEPLDYEFWAKNLESVSERRTRLLKWMGLSPEWEVMNRDESNDECSDKFKAGDHDRISDNGEAVLANSDSEDHFFSGQSSQSGENDSLEENCYWKIRNLDNGTEFMVDELGQDGTVSRLREVGSNKVITLEEFQRAVGSSSLVQRLLGRDSEGFRIVDTMRKKVKRNWLQKLTKRSRLKSKDSNVNTGIAIQKVLVHTCKKQSKELSSLYSRQEFLAHEGSVLTMKFSPDGQYLASAGKDGIVRVWRVIESELPNKLNNQDIDLSCLYISLNHFSGLASLNLDKEKIGQMKRMRKSSESACVILPPKSFQILEKPVHEFYGHEGEVLALSWSKHGYLLSSSVDKTARLWQVGHDQCLGVYSHNNYVTCIEFNPVDDNYFVSGSVDGKVRLWEVHRHRVIDWTDVKEIVTAVCYSPDGKGGVVGFMDGSCRFYDVVDNCLQMGSQVCLHGKKKLSQNRIVGFQFCPDDTSKVMVTSADSQIRILNGSDIICKFKGVRNSAGLVPATFTSDGKHVVSVTEDSNVCIWNYNESQTTKTIRSYESFYSQNASVVIPWCGLKTDPAGSLQPGSILSNGKALEKSLSFSTDLSRGFSFDSQFKGSATWPEEKLPNLSPSPSPAVSKSDYKFLKSAWQSALGSSPNLWGLVVVTAGLDGYIRTFLNYGLPIRF >itb10g16500.t3 pep chromosome:ASM357664v1:10:22808176:22811704:-1 gene:itb10g16500 transcript:itb10g16500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCGETNEDHFFDTTGDEISSLSDCFYTSSGTANEPLDYEFWAKNLESVSERRTRLLKWMGLSPEWEVMNRDESNDECSDKFKAGDHDRISDNGEAVLANSDSEDHFFSGQSSQSGENDSLEENCYWKIRNLDNGTEFMVDELGQDGTVSRLREVGSNKVITLEEFQRAVGSSSLVQRLLGRDSEGFRIVDTMRKKVKRNWLQKLTKRSRLKSKDSNVNTGIAIQKVLVHTCKKQSKELSSLYSRQEFLAHEGSVLTMKFSPDGQYLASAGKDGIVRVWRVIESELPNKLNNQDIDLSCLYISLNHFSGLASLNLDKEKIGQMKRMRKSSESACVILPPKSFQILEKPVHEFYGHEGEVLALSWSKHGYLLSSSVDKTARLWQVGHDQCLGVYSHNNYVTCIEFNPVDDNYFVSGSVDGKVRLWEVHRHRVIDWTDVKEIVTAVCYSPDGKGGVVGFMDGSCRFYDVVDNCLQMGSQVCLHGKKKLSQNRIVGFQVLFLVYLKETLFVRCMN >itb10g17460.t2 pep chromosome:ASM357664v1:10:23667756:23671717:1 gene:itb10g17460 transcript:itb10g17460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDGTSLNSAKGVGLDEDEEEFRSCCEDVEELKEREEPEELGVEGDEDELEEDEEKESEISEIDLDEHILEELKDRQEPEDLIVEGEHIILEEDEETESEISEIDLDGHCVELESQESEELAVEGEDDDEVEKDEESEGENEEFKEQQESEKVCAEGEDDEVEDKESNIENSEIGLDEHSVKLYFKGVSISGPGDTGSGLSGIGVVMERSINSPPMEVQKKLDFFVEELAADYLALMDGLVEAAHNKIRRVYAVTDSEILYNQVMHETEIENPLLMALRQRILDYANDMEVFVLKFVPSTDLARALELAQVAIGIVSPCLKGDESTESCSICCEDKLALMMMTLKCSHKFCSRCMKTYVEGKVQSTQVPIRCPQLRCKYYISSAECKSFLPVASYESLERVLVEANVLNADKIYCPYPNCSVLLDPHECFSMRASSSSQSDNSCVECRVCQRFICVDCRVPWHSSMTCEEYQNLPHEERDVGDVTLHRLAQNKRWRRCLHCQRMIELTHGCYHITCWCGHEFCYSCGAEYRDGQQTCQCAFWDEDYSPELVTHHPTQQFEHWAWDSFESVPMIMDAYSDQERSQLELIQRFLAGGFSLTDHQAYQSPPRCTDSYADAMKDLHQLPWLERFVSVISDNYYEDIFQ >itb10g17460.t1 pep chromosome:ASM357664v1:10:23667756:23671717:1 gene:itb10g17460 transcript:itb10g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDGTSLNSAKGVGLDEDEEEFRSCCEDVEELKEREEPEELGVEGDEDELEEDEEKESEISEIDLDEHILEELKDRQEPEDLIVEGEHIILEEDEETESEISEIDLDGHCVELESQESEELAVEGEDDDEVEKDEESEGENEEFKEQQESEKVCAEGEDDEVEDKESNIENSEIGLDEHSVKLYFKGVSISGPGDTGSGLSGIGVVMERSINSPPMEVQKKLDFFVEELAADYLALMDGLVEAAHNKIRRVYAVTDSEILYNQVMHETEIENPLLMALRQRILDYANDMEVFVLKFVPSTDLARALELAQVAIGIVSPCLKGDESTESCSICCEDKLALMMMTLKCSHKFCSRCMKTYVEGKVQSTQVPIRCPQLRCKYYISSAECKSFLPVASYESLERVLVEANVLNADKIYCPYPNCSVLLDPHECFSMRASSSSQSDNSCVECRVCQRFICVDCRVPWHSSMTCEEYQNLPHEERDVGDVTLHRLAQNKRWRRCLHCQRMIELTHGCYHITCWCGHEFCYSCGAEYRDGQQTCQCAFWDEDYSPELVTHHPTQQFEHWAWDSFESVPMIMDAYSDQERSQLELIQRFLAGGFSLTDHQAYQSPPRCTDSYADAMKDLHQLPWLERFVSVISDNYYEDIFQ >itb10g17460.t3 pep chromosome:ASM357664v1:10:23667980:23671731:1 gene:itb10g17460 transcript:itb10g17460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDGTSLNSAKGVGLDEDEEEFRSCCEDVEELKEREEPEELGVEGDEDELEEDEEKESEISEIDLDEHILEELKDRQEPEDLIVEGEHIILEEDEETESEISEIDLDGHCVELESQESEELAVEGEDDDEVEKDEESEGENEEFKEQQESEKVCAEGEDDEVEDKESNIENSEIGLDEHSVKLYFKGVSISGPGDTGSGLSGIGVVMERSINSPPMEVQKKLDFFVEELAADYLALMDGLVEAAHNKIRRVYAVTDSEILYNQVMHETEIENPLLMALRQRILDYANDMEVFVLKFVPSTDLARALELAQVAIGIVSPCLKGDESTESCSICCEDKLALMMMTLKCSHKFCSRCMKTYVEGKVQSTQVPIRCPQLRCKYYISSAECKSFLPVASYESLERVLVEANVLNADKIYCPYPNCSVLLDPHECFSMRASSSSQSDNSCVECRVCQRFICVDCRVPWHSSMTCEEYQNLPHEERDVGDVTLHRLAQNKRWRRCLHCQRMIELTHGCYHITCWCGHEFCYSCGAEYRDGQQTCQCAFWDEDYSPELVTHHPTQQFEHWAWDSFESVPMIMDAYSDQERSQLELIQRFLAGGFSLTDHQAYQSPPRCTDSYADAMKDLHQLPWLERFVSVISDNYYEDIFQ >itb09g07790.t1 pep chromosome:ASM357664v1:9:4631632:4636660:1 gene:itb09g07790 transcript:itb09g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHILFILSILLTPAVGCYTAIFGFGDSVTDTGNHLLLSPSNDIPHMGLPPYGETFFGHPTGRCSDGRLIIDFIAERFGLPLLPPSAGALLGGIDTKGGVNFAFVGAAALDSSFYEERGISNIYTNVSMRVQLNWFKDMLPSLCTTRSNCGDLFEGSLFILGPFGGNDYRHALFQGSTIEEVWSFAPKVVDAIASAVKELIEVGAKTIMVPGEMPDGCLAVTLSQFLSTFSKDDYDLETGCLIWMNKLAEFHNQLLITQLHHIQKQHPNVLIIYADYYNAAMKLYRSPRKHGFVGDPKCLNACCGGGGPYNYNSSAECGIPPSTACSDPSTYIDWDGPHLTEAAYRLISIDLLDGPFTSPQLRDVCATAKPKDPQEI >itb09g07790.t2 pep chromosome:ASM357664v1:9:4631632:4636698:1 gene:itb09g07790 transcript:itb09g07790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHILFILSILLTPAVGCYTAIFGFGDSVTDTGNHLLLSPSNDIPHMGLPPYGETFFGHPTGRCSDGRLIIDFIAERFGLPLLPPSAGALLGGIDTKGGVNFAFVGAAALDSSFYEERGISNIYTNVSMRVQLNWFKDMLPSLCTTRSNCGDLFEGSLFILGPFGGNDYRHALFQGSTIEEVWSFAPKVVDAIASAVKELIEVGAKTIMVPGEMPDGCLAVTLSQFLSTFSKDDYDLETGCLIWMNKLAEFHNQLLITQLHHIQKQHPNVLIIYADYYNAAMKLYRSPRKHGNHKP >itb07g03460.t1 pep chromosome:ASM357664v1:7:2321650:2324967:-1 gene:itb07g03460 transcript:itb07g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNAATGYSGDHEFHSAANYDASCCSSPKPSAELKVYQAFIFSVPIFFAFLLLFLFYYFYLRRQSADWSSLRMRASSLQTGVDGVSRCELGLKKEIREMLPIIVYKESFSVKDTQCAVCLGDYQAEDRLQQIPICGHTFHMDCIDHWLATRTTCPLCRHSVLATSQPSRETTHNRAETIPRSSNAEDDTSLQASRTLPEPGTSVERVTSVHSQEEQRVGTQTTSEAMNDRSETMEDK >itb08g07960.t2 pep chromosome:ASM357664v1:8:6858338:6864254:1 gene:itb08g07960 transcript:itb08g07960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGDAVGDGGAAAVTECGDRISGAEKPCLEAGDQSLLAIVVSAGDSVDCEEKGCVESPQKGHLSRNSSSQEQCRVCQQEKEEDLIELGCHCRGGLAKAHRTCIDTWFNTRGSNKCEICQQVAANVAMPEPNAPASYWVWRVEPSFRGTTIAPERNRGCFSPLWVAFSILIGGLLLDLLISLTLGVSALPVNIIIGVIVVLGLGTAFRLALEFCHDWSVRRVVHRVEANVSLGFHPAL >itb08g07960.t1 pep chromosome:ASM357664v1:8:6858051:6864254:1 gene:itb08g07960 transcript:itb08g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGDAVGDGGAAAVTECGDRISGAEKPCLEAGDQSLLAIVVSAGDSVDCEEKGCVESPQKGHLSRNSSSQEQCRVCQQEKEEDLIELGCHCRGGLAKAHRTCIDTWFNTRGSNKCEICQQVAANVAMPEPNAPASYWVWRVEPSFRGTTIAPERNRGCFSPLWVAFSILIGGLLLDLLISLTLGVSALPVNIIIGVIVVLGLGTAFRLALEFCHDWSVRRVVHRVEANVSLGFHPAL >itb11g15450.t2 pep chromosome:ASM357664v1:11:13081186:13099378:-1 gene:itb11g15450 transcript:itb11g15450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRIKTDGKTWSLVSQRGKHLISSYYRNCAPTQTSSSAPILESCTFQGTLNRRHLLDTFKSTSIGYGRTHIRLLRRSDVCLSCSQLRFYSSEGDGRNASEDKHARTIEQPSSDKAKTWKQKVGEQVRHCDGHAELGELEQKEWLCNEKLSIDSKKKESPFLPRRERFKNEFLRRIVPWEKIAVSWDTFPYYVHEHTKSLLVECAASHLKHRKLTMDYGGHLNSSSGRIMLQSIPGTELYRERFVRAVAKDLKVPLLVLDNSILASYDFGEDCSSESESDEDAESAEEYASESEVDDATEAANEEEWASSGEARSEASDDERDVQASAEALKKLVPYNLEDFEKRVSGESDDSSESPSSEAVDSSENTKRPFRKGDRVKYVGPSVIVEADNRTLSSGQRGEVYELGADQVAVILDISDTKMDGQKDEKPMAEDAKPSVYWIPVKHMEHDNDVQAEETYIAMEALCEVLKSVQPLIVYFPDSSLWLSRTVSKPNRKEFLQKVQEMFDQLSGPVVLICGKNKIETGTKEKEKFTMILPNLGRLAKLPLPLKQLTEELSASKRSEDDEIHKLFTNIMYIPPPKDEDTLGTFNKQIEEDRRIVISRSNLTELHKVLEEHDLYCLDLLHVNSDGVILTKQKAEKVVGWAKNHYLSSCVEPSIKADRLYLPRESLDIAILRLKEQEAMSKKPSHGLKSLAKNEYESNFLSAVVPPGEIGVRFDDVGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLIAKALATEAGANFMSITGSSLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRTKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRLKILNIILAQENLETNFPFEQLANATEGYSGSDLKNLCIAAAYRPVQELLEEEMKGGKPDGHTTLRSLNLDDFLQSKAKVGPSVAYDAASMNELRKWNEQYGEGGSRRKSPFGF >itb11g15450.t1 pep chromosome:ASM357664v1:11:13081186:13099378:-1 gene:itb11g15450 transcript:itb11g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRIKTDGKTWSLVSQRGKHLISSYYRNCAPTQTSSSAPILESCTFQGTLNRRHLLDTFKSTSIGYGRTHIRLLRRSDVCLSCSQLRFYSSEGDGRNASEDKHARTIEQPSSDKAKTWKQKVGEQVRHCDGHAELGELEQKEWLCNEKLSIDSKKKESPFLPRRERFKNEFLRRIVPWEKIAVSWDTFPYYVHEHTKSLLVECAASHLKHRKLTMDYGGHLNSSSGRIMLQSIPGTELYRERFVRAVAKDLKVPLLVLDNSILASYDFGEDCSSESESDEDAESAEEYASESEVDDATEAANEEEWASSGEARSEASDDERDVQASAEALKKLVPYNLEDFEKRVSGESDDSSESPSSEAVDSSENTKRPFRKGDRVKYVGPSVIVEADNRIVLGKIATSDGPTNAYTVIHGRTLSSGQRGEVYELGADQVAVILDISDTKMDGQKDEKPMAEDAKPSVYWIPVKHMEHDNDVQAEETYIAMEALCEVLKSVQPLIVYFPDSSLWLSRTVSKPNRKEFLQKVQEMFDQLSGPVVLICGKNKIETGTKEKEKFTMILPNLGRLAKLPLPLKQLTEELSASKRSEDDEIHKLFTNIMYIPPPKDEDTLGTFNKQIEEDRRIVISRSNLTELHKVLEEHDLYCLDLLHVNSDGVILTKQKAEKVVGWAKNHYLSSCVEPSIKADRLYLPRESLDIAILRLKEQEAMSKKPSHGLKSLAKNEYESNFLSAVVPPGEIGVRFDDVGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLIAKALATEAGANFMSITGSSLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRTKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRLKILNIILAQENLETNFPFEQLANATEGYSGSDLKNLCIAAAYRPVQELLEEEMKGGKPDGHTTLRSLNLDDFLQSKAKVGPSVAYDAASMNELRKWNEQYGEGGSRRKSPFGF >itb01g28750.t2 pep chromosome:ASM357664v1:1:33112934:33119076:1 gene:itb01g28750 transcript:itb01g28750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRPFVVETQDLNLGDGVNIVESHCSEMDVETGETGVSSLTDQIVVFNSENGEGSNRNVVFSREAPLAGKDFRASTGAGGACSCGAKKLKFRTKTSDSEPGKDDKAGQEKKLSRQDRIELGRLFQGAVSSHDWDLAESLIFPQTLNDALCIALDSIWFLSTHQELYGITGLIKKIISNGAYDFTRAVLRTSFLASCVSACQSRTMSLTDTVNVMAQSRLHERLQECNGDESLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVGRNSAAEIQLQLSAFKTFLDLAGNNLTGKDFTEAFDAACFPLTLFSSSSDPGWASGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVEWFVERGCGDMELCLALTAATSSSQVKVAAYLLPHVPQPVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPAATYAVADSIARSDDEAVTPELRAFLQEHWSEAAFLDGLEQGQEHYLNMVRIMKWGESPIRLSDLPAPLRVAIAYLPLYRECVKAGGCLLSQRHRGQLVGAAKRLSGVMLIEANHGRELLAVLEHHLPPFLLNASSFG >itb01g28750.t1 pep chromosome:ASM357664v1:1:33112924:33119076:1 gene:itb01g28750 transcript:itb01g28750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRPFVVETQDLNLGDGVNIVESHCSEMDVETGETGVSSLTDQIVVFNSENGEGSNRNVVFSREAPLAGKDFRASTGAGGACSCGAKKLKFRTKTSDSEPGKDDKAGQEKKLSRQDRIELGRLFQGAVSSHDWDLAESLIFPQTLNDALCIALDSIWFLSTHQELYGITGLIKKIISNGAYDFTRAVLRTSFLASCVSACQSRTMSLTDTVNVMAQRLHERLQECNGDESLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVGRNSAAEIQLQLSAFKTFLDLAGNNLTGKDFTEAFDAACFPLTLFSSSSDPGWASGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVEWFVERGCGDMELCLALTAATSSSQVKVAAYLLPHVPQPVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPAATYAVADSIARSDDEAVTPELRAFLQEHWSEAAFLDGLEQGQEHYLNMVRIMKWGESPIRLSDLPAPLRVAIAYLPLYRECVKAGGCLLSQRHRGQLVGAAKRLSGVMLIEANHGRELLAVLEHHLPPFLLNASSFG >itb01g28750.t3 pep chromosome:ASM357664v1:1:33112934:33119076:1 gene:itb01g28750 transcript:itb01g28750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRPFVVETQDLNLGDGVNIVESHCSEMDVETGETGVSSLTDQIVVFNSENGEGSNRNVVFSREAPLAGKDFRASTGAGGACSCGAKKLKFRTKTSDSEPGKDDKAGQEKKLSRQDRIELGRLFQGAVSSHDWDLAESLIFPQTLNDALCIALDSIWFLSTHQELYGITGLIKKIISNGAYDFTRAVLRTSFLASCVSACQSRTMSLTDTVNVMAQSRLHERLQECNGDESLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVGRNSAAEIQLQLSAFKTFLDLAGNNLTGKDFTEAFDAACFPLTLFSSSSDPGWASGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVEWFVERGCGDMELCLALTAATSSSQVKVAAYLLPHVPQPVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPAATYAVADSIARSDDEAVTPELRAFLQEHWSEAAFLDGLEQGQEHYLNMVRIMKWGESPIRLSDLPAPLRVAIAYLPLYRECVKAGGCLLSQRHRGQLVGAAKRLSGVMLIEANHGRELLAVLEHHLPPFLLNASSFG >itb03g29050.t1 pep chromosome:ASM357664v1:3:29808498:29811392:-1 gene:itb03g29050 transcript:itb03g29050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEASEVIMRAGAVVDPLGRRSSSLQLVAPERERRSPSSRRSRRAIISAAEEEGERLDIVDLSGLSLEALPIDISFNLAAISKLDLSCNNLQIIPESLTARLLNLVVLDMHSNQLKSLPNSMGCLSKLKILNASGNNLQSLPKTIENCRCLEELNVNFNMLSHLPESIGFELTSLKKLSINSNKLAFLPHSTSHLSNLRVLDARLNCLRQLPEDLENMVSLQVLNVSQNFQHLTKLPYSIGMLMSLLELDISYNSITVLPDSLGCLKKLQKLEVEGNPLVSPPTDIIDQGLHVVKEFLCQRMNHSSSPSQRKPWSSWFGKLAKCSTFNGANMPRGDHNNNLNRNGVAAFTIPDYRSIDGMASPRYMGMFSPRRIFSPKTYFTR >itb12g21030.t1 pep chromosome:ASM357664v1:12:23427519:23431906:-1 gene:itb12g21030 transcript:itb12g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MPPVNLTANAIQAINAGDVNSKPLVQVLDIKLIGSTQERYRLLLSDSVYTQHAMLATQLNDRVKTGRVRTGSVVQLIDYICSNVQNRKIVVILNMETIIPDCETIGNPQSHLETDLGNQKPTPNRTSGPALFNGNSNMAAQSSRQTSFANSNSLSSQSVANNFQSNRPIIQPAYQPPPNYKGHGAIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDIRRYNNARGDGKVFSFDLLDSEGGEIRVTCFNAVLDRFYDKIEVGKVYMISKGSLKPAQKNFNHLKNEWEILLETSSTVDQCPDEDPSIPRQQFSFRPISEIESVENNSILDVIGIVISVNPSVPILRKNGMETQRRILNLKDQSGRSVELTLWGDFCNREGQQLQEMVDSGFFPVLAVKAGKVNDFSGKSIGTISATQLFINPDFPEAFGLRQWFDQGGKDVASHSISRELMPSISKNEIRKTISQIKDEGLGRSDKPDWVTVKATLTFIKTDTFCYTACPLMIGDRQCNKKVTKSGNSRWICDRCNQEFEECDYRYLIQGQIQDHTGLTWVTAFQESGEELLGCSAKELYMMKLDEEDDTRFSEIIKKCIFTQFLFRLKIKEESYGDEQRVKITVVKAERVDHSRESRYLLDLLKSRVQGK >itb12g21030.t2 pep chromosome:ASM357664v1:12:23427519:23431906:-1 gene:itb12g21030 transcript:itb12g21030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MPPVNLTANAIQAINAGDVNSKPLVQVLDIKLIGSTQERYRLLLSDSVYTQHAMLATQLNDRVKTGRVRTGSVVQLIDYICSNVQNRKIVVILNMETIIPDCETIGNPQSHLETDLGNQKPTPNRTSGPALFNGNSNMAAQSSRQTSFANSNSLSSQSVANNFQSNRPIIQPAYQPPPNYKGHGAIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDIRRYNNARGDGKVFSFDLLDSEGGEIRVTCFNAVLDRFYDKIEVGKVYMISKGSLKPAQKNFNHLKNEWEILLETSSTVDQCPDEDPSIPRQQFSFRPISEIESVENNSILDVIGIVISVNPSVPILRKNGMETQRRILNLKDQSGRSVELTLWGDFCNREGQQLQEMVDSGFFPVLAVKAGKVNDFSGKSIGTISATQLFINPDFPEAFGLRQWFDQGGKDVASHSISRELMPSISKNEIRKTISQIKDEGLGRSDKPDWVTVKATLTFIKTDTFCYTACPLMIGDRQCNKKVTKSGNSRWICDRCNQEFEECDYRYLIQGQIQDHTGLTWVTAFQESGEELLGCSAKELYMMKLDEEDDTRFSEIIKKCIFTQFLFRLKIKEESYGDEQRVKITVVKAERVDHSRESRYLLDLLYRDDR >itb12g21030.t3 pep chromosome:ASM357664v1:12:23428738:23431906:-1 gene:itb12g21030 transcript:itb12g21030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MPPVNLTANAIQAINAGDVNSKPLVQVLDIKLIGSTQERYRLLLSDSVYTQHAMLATQLNDRVKTGRVRTGSVVQLIDYICSNVQNRKIVVILNMETIIPDCETIGNPQSHLETDLGNQKPTPNRTSGPALFNGNSNMAAQSSRQTSFANSNSLSSQSVANNFQSNRPIIQPAYQPPPNYKGHGAIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDIRRYNNARGDGKVFSFDLLDSEGGEIRVTCFNAVLDRFYDKIEVGKVYMISKGSLKPAQKNFNHLKNEWEILLETSSTVDQCPDEDPSIPRQQFSFRPISEIESVENNSILDVIGIVISVNPSVPILRKNGMETQRRILNLKDQSGRSVELTLWGDFCNREGQQLQEMVDSGFFPVLAVKAGKVNDFSGKSIGTISATQLFINPDFPEAFGLRQWFDQGGKDVASHSISRELMPSISKNEIRKTISQIKDEGLGRSDKPDWVTVKATLTFIKTDTFCYTACPLMIGDRQCNKKVTKSGNSRWICDRCNQEFEECDYRYLIQGQIQDHTGLTWVTAFQESGEELLGCSAKELYMMKLDEEDDTRFSEIIKKCIFTQFLFRLKIKEESYGDEQRVKITVVKAERVDHSRESRYLLDLL >itb07g07230.t1 pep chromosome:ASM357664v1:7:5507888:5508726:-1 gene:itb07g07230 transcript:itb07g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVPCCSLTPRMCAATAKAVRVIVPGGGIRQFCEPVKAAELMLEHPGYFLINSTSMNVGRRFSALSADEDLEMGNAYGMFPLRRVNSVVTAADMAVLLEMAAPDSTAKRIVVRVSPENGSSEVEAAPSLDLVFEGFAEQEMKYRLSSSRSKRPLLETIVEESVPAC >itb14g07440.t1 pep chromosome:ASM357664v1:14:6858105:6861738:1 gene:itb14g07440 transcript:itb14g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDSSVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVEYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDVERPTYTNLNRLISQIISSLTTSLRFDGAINVDMTEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEEDDEGEDF >itb04g23970.t1 pep chromosome:ASM357664v1:4:28846431:28847957:1 gene:itb04g23970 transcript:itb04g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRVNRSDPDAAVAPRRYGLDPLLPTPGHHSMSGIRGDILNVTTPLVGGCAALPFDRYTVEEADHERYSHRMNCRVNRSDPDAAVAPRRYGLDPLLPTPGHHSMSGIRGDILNVTTPLVGGCAALPFDRYTVEEADHERYRCGSDPGQGRLRRRLAYG >itb15g12840.t1 pep chromosome:ASM357664v1:15:10776632:10779370:1 gene:itb15g12840 transcript:itb15g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLYFYTSLNSHEPPIQGLDKRRSRGGKSLFRIKCPAVKALMTNYLNRGMLTVNRRRHCFLRHTTHLPLHISHNFDVGPCTEMLISGFWVGPDIEDGWGFVQAIVHQTY >itb07g23620.t1 pep chromosome:ASM357664v1:7:27976460:27979421:-1 gene:itb07g23620 transcript:itb07g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLHRLHLFLLPFLALIPITNSNLTKDYYAKTCPQFPTTMERIIVDKQMAAPTTAAGILRVFFHDCVVGGCDASLLIASNAHTTAERDAELNRSLPGDAFDLIVRLKTALELECPNTVSCADILAVAARNLVSMVGGPYYEVVLGRKDSLESRASDVAGHIATPYMPMDKIIAVFASKNLTVQELVTLSGAHTIGFAHCSEFSHRIFNFSSTSQVDPTLHPTFAHALQKLCANYTKQTGMSAFNDVMTPGKFDNMYYVNLQNGMGLLASDEALFSDNRTRPYVDLYAKNQTAFFDAFARVMEKMSVYEVKTGKDGEVRRRCDAFNNLKATAS >itb10g25250.t1 pep chromosome:ASM357664v1:10:28640462:28648563:-1 gene:itb10g25250 transcript:itb10g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDNEEEEEGGAVGGSGNSRCQDCGNQAKKDCSFLRCRTCCKSRGFQCPTHVKSTWVPVSRRRPRHFSFHQTSFSKRTRQLQQTPPFSVLGSEEERNFPAEVSLPAEFRCVRLSSVGNEADQFAYQSTVTIAGRVFKGILYDQGPESRYDVTGESSSGGLQHHNLITAAAAVAAGGATTAAASPHPYPSPFSTFMPGREETSGGAVEDDGTLDTGIGTDSLADTEPETDPSAGTGTEIDTSVGTG >itb14g17770.t1 pep chromosome:ASM357664v1:14:20969504:20971639:1 gene:itb14g17770 transcript:itb14g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 14 [Source:Projected from Arabidopsis thaliana (AT2G30040) UniProtKB/TrEMBL;Acc:O64741] MAKKSWIRERCIGKGSFATVSLARNVGDGDGDGGVFAVKSVDVASCSASQVEALENEIRVLRRISSPYVVKYLGDDSTMEAAAAAYRNLHLEYLPGGTVAELAQRRGSGGVDEAVVRSYARCVVEALRCIHAKGIVHCDVKGQNVLVGPAAGLAKLADFGSAVEIGSGGRRDMAPRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMITGNWPWEDRGGAADTLCRIGYSDELPRFPTQSSELCRDFLSKCLQRDLNKRWSSDQLLRHPFVSVFPPPDSAATAFPSPRSVLDWFNSDSDSDTEDSGETVNFSAKQRIGKLASGISSDWEGTDGGWLVVREGTSSGYPNLMRTEGESSSSEYSDSTGISVAELTSTSGSKECLNSEIQGDNYCQQTSSHRSRNLSKSIPMKDDLSQFHELCFG >itb10g03910.t1 pep chromosome:ASM357664v1:10:3725664:3726711:-1 gene:itb10g03910 transcript:itb10g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGDLRSVASMLLGLNFCMYVIVVAIASWATNNAINEGFFIAPGLSFPPQFSPICYSMGNAATGFLAVFSLIAGLVGVVSVLVGIDHIRHWDFDSLPSATSAAAVAWSLTALAMGFAWKEIELQSRNAKLRTAEAFLIILTVTQLVYIAVICGASGKTRR >itb02g17230.t1 pep chromosome:ASM357664v1:2:13202810:13208042:1 gene:itb02g17230 transcript:itb02g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFCDVCGDIGCAETIITCAKCKINQEHFYCMETFRMEAPEVWLCEDCIPRNGNQSSFGKSGVTVPPNFTTSCKDHRENLQCAYPRKLNRGHGWVGWEKKVAKGRTKYISVEETLVLPQLQNVASSVKITSHSNPLSPKKHISGWVGWEKKVAKGRTKYISVEEALVLPQLQNVASSVKITSHSNPLSPKKHISSSPLSRTPLNPGTCIPKFQQPKKHPTSLGSQHLKTQSLENAKKCPSSSVQLPKTQSLENSKKHPTSSGLQHPKTQSLENSNINQVTQPKEQSSKVLNDKTVQPPAKRHIEKQQPPKAFNPIAEVKMTEKFVEKNRNDIFSSVESHSCKNIISGPMEKENIGDTKITHTIAEETTEKHTSVALACCPQVISVSDGCAATECGTSNVNLMTFSTNNKKCFSDPAQHPSWKGSFNVCDNLNFKGLQAHHPLRVHRKVCEFSMLLPEILDFKLVPRGNLWGKLFHDYCPTREDVGLYFFPSDKDRSASTLSITYLY >itb03g01880.t1 pep chromosome:ASM357664v1:3:1060826:1062646:1 gene:itb03g01880 transcript:itb03g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQHPKNEHRDEEKQPESGDNDNNNNDGMLDRTVSIFSIATSPTPSPKSPFGHTPRWSMMSGSPGSARLAGQLSFPDHPLPSPDASPLQSPSRRSGDLPPGYDPKRIPSSIFSRKSGAGENWSGASNESLFSIHVDNGSFTNVGELSERKSLSAALSPLAAAADCDAKDVKMSDVSQVKGLVETPKPPSSGNATPSSQALSPSPTNSNAPQIATFQSPRMSTGSVNSCQSFAFPVLVKDVSRNPGAQPQPEPQSQPETQPPQPEREATPPETPKETSKAAEPSCFTYFCCCWPRCC >itb09g02780.t1 pep chromosome:ASM357664v1:9:1568142:1570931:-1 gene:itb09g02780 transcript:itb09g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MWSFNVDGETSTSTYISSLEEEVETLRSSLDNLRNKLLVGLEIENHLKKKVRDLEKQKILSEEKIMAEISMLRDFHSQHRLNITKLLDEGFSELKSDLRMVEEKFRQLDMSSRGNDLISPQVDDVKESECRDVHINTESSAELSVTICLCSLACIEFCCICQRNDPNLSTTTAMGTSDTSEAFTMALQEKVAALLLLSQEEERHLLERNMNAALHKKLEELQRNLLQVTNEKVKALMELAQLRQEYQLLLQKDDQEIKQGKPHSEIGGKKTVQEGEGRFKNLLKKTYLKHWVGDSEGNDAESYLSSEANSIGFARMKIENATLRESLESMEHLTSSIRRLRHSLLKVKECIDTRTNNSPESLENIIYEAKLLKTALGTSLPVSWSAESDTRYSTHNKETLDDDRTHTSKDKIDFVSAAGFEMVELLVFVAQLLKENTCRGEEAIVS >itb14g21570.t1 pep chromosome:ASM357664v1:14:23517173:23518774:-1 gene:itb14g21570 transcript:itb14g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFPLAGKHKQGPWFEVEGSEDYDSFFSCLYGLSPQDSRADSKIDDDQNQTIQVSQPAEKSVQNEQQPTPFSLACVEVLKTYDKLFNKPTDEGVNHNKYNASSCSKLSVNEVLKLGGERYIQYITQRTDGFSTFMHPYASALSALSAHETRDVELVHLLLAAAEDVSRRQYDVAANLISRCMWTASHSGNPVQRLVFYFAEALKERIDRETTGRLFSAARYHYRECMGLTTTPATLACHQGLPFSQVMQFAGIQAIIENVNTTKIHLLDFNIRSGIQWTILMQALAEEHHDRPIQLIKITAVGVADQHKLEECGKRLESFARSLNLPFAFHLVFLSDLKDFREDLVHLEADESVAVYANTVFRTMIGRPDCLDSLILAIRKLKPVVMVVAEVEANHNSPSFITRFIEALFFYGAFFDCFEDCMERKDPCRRTIEGIHFGEGIINIVAAEGEERFTRNVKIDVWRAFFARLGMLEIKLSECSMYQAKLILKQFEHGSSCNLYSNGNGLIVGWKGTPIHSVTCWKFNSHDDY >itb12g18940.t1 pep chromosome:ASM357664v1:12:21244509:21244907:1 gene:itb12g18940 transcript:itb12g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTSATISKEPNLSNHHFGLMVVFDDPITKDRHLLSPPIARAQGFYFYDMKSTYNAWFAYTLIFNSTQYKGSINIMGADMMDEKTRDLSVVGGTGDFFMARGIATFFTDEFEGSEYFRLQMDIKLYECY >itb07g07350.t1 pep chromosome:ASM357664v1:7:5574411:5576587:-1 gene:itb07g07350 transcript:itb07g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGHVPPEIENLQQLEYFSIQYNQITDDPSTNELSLLTSLLKCKNLKIVGLSGNPFNKVLPSLLDIGNKSVSLEFLEASDCHLKGSIPSGISNFINFISLDLSDNKLSGSFPETLGRGLLRLQGLYLHNNEIEGSIPNTMCYLKELYELDLGDNKLSGKIPNCFENLSSLRKLHLSSNSLTSTIPLGFWNNKDILEIDLSSNLLNGTLSSEIGSMHSMVYLNLSSNQFSGEIPSTIGQLQNLLNLSLSSNRLHGPIPQSFDGLISLQALDLSNNNLSGGIPKSMEKLKDLVYLNLSFNDLSGKIPNGGPFTNFSMESFMGNNELCGASRFHVMECKEGKGKPRNIAIFLKYVLPSLVSLVVVAILMVWLLTFWKRNKRRKPWAEDSLDVEFKRISYYEILGATEDFDESNLIGRGTFSSVFKGTFVDGVIAAIVDSNLVTGEGVEQEIEECFLMVMGLAMECTVDFPEDRIRMEDVIVRLKNTQQKFNQNVSISLVIN >itb15g20560.t1 pep chromosome:ASM357664v1:15:23233651:23239326:-1 gene:itb15g20560 transcript:itb15g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTVAATAGNNIGKVLQKKGTIILPPLSFKLKVIRAYAFNKVWVIGFLMDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEIMNTIDWVGITLAGIGTIGVGAGGEEQSASSISIFNLPWLAFAVAFLFVLLNGWLRIYRRQRREQELVQYEVIEEIIYGLESGILFGMSSVISKIGFLFLEQGFSKLLVPLCISISICCSASGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPSAPMARLSLLLG >itb15g20560.t2 pep chromosome:ASM357664v1:15:23233651:23239326:-1 gene:itb15g20560 transcript:itb15g20560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTVAATAGNNIGKVLQKKGTIILPPLSFKLKVIRAYAFNKVWVIGFLMDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEIMNTIDWVGITLAGIGTIGVGAGGEEQSASSISIFNLPWLAFAVAFLFVLLNGWLRIYRRQRREQELVQYEVIEEIIYGLESGILFGMSSVISKIGFLFLEQGFSKLLVPLCISISICCSASGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPSAPMARLSLLLGWLFIVIGVILLVTSTRVLRLLPRPLRRILRGGSVDRNQGLRQSIQVRTREPSPTAVIQATTLHHLITSPSKEKS >itb11g07840.t1 pep chromosome:ASM357664v1:11:4923907:4929162:-1 gene:itb11g07840 transcript:itb11g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLPSSTTIFLFCLFASLSPPFLSAAAFNLTLPHQHPYPEAVVQEVQRRVNESRRVLVSTTEKDQCLTGNPIDDCWRCAGDWASNRQRLADCGIGFGQDAMGGKGGKIYIVSDSSDSDTVEPKPGTLRHAVIQTEPLWIIFAADMTIKLKHELIFNSYKTVDGRGANVHITGGGCITLQYISNVIIHNVHIYNCVPTGNTNIRSSPTHVGYRSKSDGDAISIFGSRNIWIDHCALSHCTDGLVDAIMGSTAITISNSYFSHHDEVMLLGHDDKYLPDSGMQVTIAFNYFGEGLVQRMPRCRRGYIHVVNNDFTEWQMYAIGGSANPTINSQANRYTAPADPSAKEVTKRVDTGEGEWSDWNWRTDGDLMVNGAFFVPSGDGARVTGQYAKASSLEPKSAELVDRITMNAGCLGGSRDNNMMYGGGTTTSTSQSHSNSDDFFGMIFGSGAKAAPPAPTPTTTIFLSVLIISVLYIWSNGAGQL >itb09g01930.t1 pep chromosome:ASM357664v1:9:1177431:1178649:1 gene:itb09g01930 transcript:itb09g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPASTKVVHDFYPRFRVYEDGRIERFHKYVSVPPTDNPETGVQSKDVVIVPENNVWVRMYLPKVTDVAQKFPVLVYIHGGAFTLESASSSSYDTFLHSVTAKANVVTVSVEYRLAPEHKLPACYEDAWAVMQWVRQGSDPWLKTHADLSRGFLAGDSAGANISHYMMVKTGEDNSEDCLKPVGMILTHPYFVGSTEVDRIWDFVYPDRPASGDDPKANPAAIPGLLSQLGCSKIMVCIAEKDFIRDRGLMYYEALKNSGWGGQLELVDSDGEEHVFHLWNPTCDQAHLLMNRIVSFLSST >itb09g03290.t2 pep chromosome:ASM357664v1:9:1851854:1853005:-1 gene:itb09g03290 transcript:itb09g03290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKKDLLTSEKGLCSEQWPNLPQQLLNFIGRKPHLKLIQNVGSAGVTKSWKIETNRCRCVPSFKAPVLELYQEDHHCHGKTCQYTLSISFYQGGYYWWGRSKPERSWEQFLGCSHGLILTSYSLLDPTRVYGSTCSLPSWDAQIPIKTTALSSFLMDPNGCNVMAITGCSSPAFSVSRIECLKRKRWFLFRALEWSKKDITLIDPNDSEQQLMKFTNVVGCSGKFYALTLQGTLAVLQEVDSQFHITKLCRRRAVPSVPSKRFTEYLLESSGEILLIFLICRKSVMSVDHVEVLKLQLGDELSWIKLESLGDRTLFAGINSCMSVTASQVGCRRNSIYFSHRSMDSWRLYDMETDSILPCYDYNGSELKSPKWEEPTDGQ >itb06g04420.t1 pep chromosome:ASM357664v1:6:7053209:7057599:1 gene:itb06g04420 transcript:itb06g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEESDSEELRNCSEMEGGPDPGISFERENKMNLEVLDIEPSHTEAEIFEASNDPFSECEDYLLGVIQETECWSDLLEMTNHELSNNKFEDKMLVDDNCLSFPTSFTSGDIDYLENVSSFLPGSISSDNNDEDAIFTPALTSSGSICMSTIKQNKELSIGGPNVDHESLYLQDSSTKNSSQDSNCNGVHTQKRVRKPTRRYIDESVDLINTRRNKKKLEVSTSLSKDKCYRVKCSKKHNKPLELEFQPEETLCEAIQVPFGPLVPEECHIKHPSSVVKVKPNEIPLCGNKDGDKKHASDVKKVKLNQLPSFSPENCCQRYELDVHKVKLNKTVKAKHMSGFEECDGKHTSNVNKANQNHILPFGSKEYLNSPACDVNKVLKSDDENEMLMDESDDGVVTLRSDGDGARRKHHRLWTVSEVRELIDGVSQCGVGRWSQIKRLFFSSSDHRTPVDLKDKWRNLLKATHLQNQSSKKAGKGKQSFSWRPLPKPILHRVVELASVHPYPRNCRSKTPHSY >itb06g04420.t4 pep chromosome:ASM357664v1:6:7053209:7057599:1 gene:itb06g04420 transcript:itb06g04420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEESDSEELRNCSEMEGGPDPGISFERENKMNLEVLDIEPSHTEAEIFEASNDPFSECEDYLLGVIQETECWSDLLEMTNHELSNNKFEDKMLVDDNCLSFPTSFTSGDIDYLENVSSFLPGSISSDNNDEDAIFTPALTSSGSICMSTIKQNKELSIGGPNVDHESLYLQDSSTKNSSQDSNCNGVHTQKRVRKPTRRYIDESVDLINTRRNKKKLEVSTSLSKDKCYRVKCSKKHNKPLELEFQPEETLCEAIQVPFGPLVPEECHIKHPSSVVKVKPNEIPLCGNKDGDKKHASDVKKVKLNQLPSFSPENCCQRYELDVHKVKLNKTVKAKHMSGFEECDGKHTSNVNKANQNHILPFGSKEYLNSPACDVNKVLKSDDENEMLMDESDDGVVTLRSDGDGARRKHHRLWTVSEVRELIDGVSQCGVGRWSQIKRLFFSSSDHRTPVDLKDKWRNLLKATHLQNQSSKKAGKGKQSFSWRPLPKPILHRVVELASVHPYPRNCRSKTPHSY >itb06g04420.t5 pep chromosome:ASM357664v1:6:7053209:7057599:1 gene:itb06g04420 transcript:itb06g04420.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPKRSLLFLMHSQEESDSEELRNCSEMEGGPDPGISFERENKMNLEVLDIEPSHTEAEIFEASNDPFSECEDYLLGVIQETECWSDLLEMTNHELSNNKFEDKMLVDDNCLSFPTSFTSGDIDYLENVSSFLPGSISSDNNDEDAIFTPALTSSGSICMSTIKQNKELSIGGPNVDHESLYLQDSSTKNSSQDSNCNGVHTQKRVRKPTRRYIDESVDLINTRRNKKKLEVSTSLSKDKCYRVKCSKKHNKPLELEFQPEETLCEAIQVPFGPLVPEECHIKHPSSVVKVKPNEIPLCGNKDGDKKHASDVKKVKLNQLPSFSPENCCQRYELDVHKVKLNKTVKAKHMSGFEECDGKHTSNVNKANQNHILPFGSKEYLNSPACDVNKVLKSDDENEMLMDESDDGVVTLRSDGDGARRKHHRLWTVSEVRELIDGVSQCGVGRWSQIKRLFFSSSDHRTPVDLKDKWRNLLKATHLQNQSSKKAGKGKQSFSWRPLPKPILHRVVELASVHPYPRNCRSKTPHSY >itb06g04420.t3 pep chromosome:ASM357664v1:6:7053209:7057599:1 gene:itb06g04420 transcript:itb06g04420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEESDSEELRNCSEMEGGPDPGISFERENKMNLEVLDIEPSHTEAEIFEASNDPFSECEDYLLGVIQETECWSDLLEMTNHELSNNKFEDKMLVDDNCLSFPTSFTSGDIDYLENVSSFLPGSISSDNNDEDAIFTPALTSSGSICMSTIKQNKELSIGGPNVDHESLYLQDSSTKNSSQDSNCNGVHTQKRVRKPTRRYIDESVDLINTRRNKKKLEVSTSLSKDKCYRVKCSKKHNKPLELEFQPEETLCEAIQVPFGPLVPEECHIKHPSSVVKVKPNEIPLCGNKDGDKKHASDVKKVKLNQLPSFSPENCCQRYELDVHKVKLNKTVKAKHMSGFEECDGKHTSNVNKANQNHILPFGSKEYLNSPACDVNKVLKSDDENEMLMDESDDGVVTLRSDGDGARRKHHRLWTVSEVRELIDGVSQCGVGRWSQIKRLFFSSSDHRTPVDLKDKWRNLLKATHLQNQSSKKAGKGKQSFSWRPLPKPILHRVVELASVHPYPRNCRSKTPHSY >itb06g04420.t6 pep chromosome:ASM357664v1:6:7053209:7057582:1 gene:itb06g04420 transcript:itb06g04420.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEESDSEELRNCSEMEGGPDPGISFERENKMNLEVLDIEPSHTEAEIFEASNDPFSECEDYLLGVIQETECWSDLLEMTNHELSNNKFEDKMLVDDNCLSFPTSFTSGDIDYLENVSSFLPGSISSDNNDEDAIFTPALTSSGSICMSTIKQNKELSIGGPNVDHESLYLQDSSTKNSSQDSNCNGVHTQKRVRKPTRRYIDESVDLINTRRNKKKLEVSTSLSKDKCYRVKCSKKHNKPLELEFQPEETLCEAIQVPFGPLVPEECHIKHPSSVVKVKPNEIPLCGNKDGDKKHASDVKKVKLNQLPSFSPENCCQRYELDVHKVKLNKTVKAKHMSGFEECDGKHTSNVNKANQNHILPFGSKEYLNSPACDVNKVLKSDDENEMLMDESDDGVVTLRSDGDGARRKHHRLWTVSEVRELIDGVSQCGVGRWSQIKRLFFSSSDHRTPVDLKDKWRNLLKATHLQNQSSKKAGKGKQSFSWRPLPKPILHRVVELASVHPYPRNCRSKTPHSY >itb06g04420.t2 pep chromosome:ASM357664v1:6:7053209:7057599:1 gene:itb06g04420 transcript:itb06g04420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQEESDSEELRNCSEMEGGPDPGISFERENKMNLEVLDIEPSHTEAEIFEASNDPFSECEDYLLGVIQETECWSDLLEMTNHELSNNKFEDKMLVDDNCLSFPTSFTSGDIDYLENVSSFLPGSISSDNNDEDAIFTPALTSSGSICMSTIKQNKELSIGGPNVDHESLYLQDSSTKNSSQDSNCNGVHTQKRVRKPTRRYIDESVDLINTRRNKKKLEVSTSLSKDKCYRVKCSKKHNKPLELEFQPEETLCEAIQVPFGPLVPEECHIKHPSSVVKVKPNEIPLCGNKDGDKKHASDVKKVKLNQLPSFSPENCCQRYELDVHKVKLNKTVKAKHMSGFEECDGKHTSNVNKANQNHILPFGSKEYLNSPACDVNKVLKSDDENEMLMDESDDGVVTLRSDGDGARRKHHRLWTVSEVRELIDGVSQCGVGRWSQIKRLFFSSSDHRTPVDLKDKWRNLLKATHLQNQSSKKAGKGKQSFSWRPLPKPILHRVVELASVHPYPRNCRSKTPHSY >itb03g22470.t1 pep chromosome:ASM357664v1:3:20509067:20512053:1 gene:itb03g22470 transcript:itb03g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVENFKIWVLFICLGWELGHGFYLPGSYPHKYGVGDFLNVKVNSLTSIDTEIPFTYYSLPFCKPPDGVKDSAENLGELLVGDRIENSPYRFKMFSNETEIFLCQSKPLSGEEFKLLTKRIDEMYQVNLILDNLPAIRYTRKEGFVLRWTGYPVGIKVQEAYYVFNHLKFTVLVHKYEEANVARVMGTGDAAEVIPSVGGDGSEAPGYMVVGFEVVPCSFQHNADSLKNLKMYDKYPSPIKCDPTTVGMAIKENEPVAFTYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVFVILLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVSDVFRAPSNPTLLCVMVGDGVQILGMGVGTILFAALGFMSPASRGTLITGMLFFYMILGVAAGYVAVRLWRTIFCGDTKGWVSVSWKAACFFPGISFLILTTLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLIGGYLGAKSPHFEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVMILLVAVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVYYLFSSVKLD >itb04g01980.t2 pep chromosome:ASM357664v1:4:1220460:1227367:-1 gene:itb04g01980 transcript:itb04g01980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPIAHEPFASEYDVFLSFRGEDTRKTFTDHLYSALCQTGIRTFRDDEELRKGEYLAPELTRAIQNSRISMIVFSKDYASSRWCLDELVQIVQCKEKGKQIVFPIFYNVDPSEVRKQSGNYGLSFARHEERFGKGDKVQKWRNALTKVADMSGWDLQGITNGYESKFINTIINEVRLTVSQVPTFVSNTVGLDYRVEHVVQLLLGKSHDGVRMIGIHGMGGIGKTTLAKAVYNRLNVYFERSCFLEIDSAISGQQDNGINLEKVDNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVLDNLEHRNQFNKLCGGRDWFGGGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLQLFSLRVFGEPALQKEDYNKLLLDGMVAYCGGLPLALEVLGAYLRHKSEKEWNNAFEKLKRIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSNGVFKEKIDNMGYFSDIEIQDLVDKCLINCEQSLISMHSLIREMGREVIRSESPNNPGERSRLWCPNDIHDVLIGEKGTRNIEVIVFNYSPMKGVKYNTKAFKNMENLRILEIDEVHLDGKFKHLSSSKVLRCLKWNHCPLKSINIPSSGCFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMEWCHHLTSTPNFSGCQNLRKLSFFGCSSLLKVHSSIGELGKLVSLSFSECQKLKKLPKNVSHLRSLQALSMWGSLELKASPEIFGNLTSLQRLFLERTSTYGVLSNLSHLFNLKTIELNFCKNQEVLQQLPHTVEVVRLHHCDNLKMIQELPLNLRIISLDSCKSLKMLPILPPNLEQIHLDGCENLEMLPELPHSLERIRLSSCKNLKMLTEFPPNLRDIFLGGCEKFEMLPELPSNLSEIKLDDWKNLKMLPELPLNLRKIILYRCKDLKMLPKLPLNLHKISLSSCKNLKMLPKFPPNVTEIYIDDCQNLKLLPELPPGLQDLMVENCELIEKVSNLSNCTGLHHLNLINCKKLKEFKGWENFHSIKTMRFGGVSHTDFSESIKEVLKHSMNSNGLLACNEIPGWIRCQKEKSSISFQYPSANLKNHTLEFYGFVFLVVFNPAPLLPPLYCHYDMRIETHDSKAVRYAWHKYRGIQLEVEGILFLHVITVNDLYDYGFGDIKAGEVIKATPYNSNL >itb04g01980.t6 pep chromosome:ASM357664v1:4:1220204:1227367:-1 gene:itb04g01980 transcript:itb04g01980.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPIAHEPFASEYDVFLSFRGEDTRKTFTDHLYSALCQTGIRTFRDDEELRKGEYLAPELTRAIQNSRISMIVFSKDYASSRWCLDELVQIVQCKEKGKQIVFPIFYNVDPSEVRKQSGNYGLSFARHEERFGKGDKVQKWRNALTKVADMSGWDLQGITNGYESKFINTIINEVRLTVSQVPTFVSNTVGLDYRVEHVVQLLLGKSHDGVRMIGIHGMGGIGKTTLAKAVYNRLNVYFERSCFLEIDSAISGQQDNGINLEKVDNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVLDNLEHRNQFNKLCGGRDWFGGGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLQLFSLRVFGEPALQKEDYNKLLLDGMVAYCGGLPLALEVLGAYLRHKSEKEWNNAFEKLKRIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSNGVFKEKIDNMGYFSDIEIQDLVDKCLINCEQSLISMHSLIREMGREVIRSESPNNPGERSRLWCPNDIHDVLIGEKGTRNIEVIVFNYSPMKGVKYNTKAFKNMENLRILEIDEVHLDGKFKHLSSSKVLRCLKWNHCPLKSINIPSSGCFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMEWCHHLTSTPNFSGCQNLRKLSFFGCSSLLKVHSSIGELGKLVSLSFSECQKLKKLPKNVSHLRSLQALSMWGSLELKASPEIFGNLTSLQRLFLERTSTYGVLSNLSHLFNLKTIELNFCKNQEVLQQLPHTVEVVRLHHCDNLKMIQELPLNLRIISLDSCKSLKMLPILPPNLEQIHLDGCENLEMLPELPHSLERIRLSSCKNLKMLTEFPPNLRDIFLGGCEKFEMLPELPSNLSEIKLDDWKNLKMLPELPLNLRKIILYRCKDLKMLPKLPLNLHKISLSSCKNLKMLPKFPPNVTEIYIDDCQNLKLLPELPPGLQDLMVENCELIEKVSNLSNCTGLHHLNLINCKKLKEFKGWENFHSIKTMRFGGVSHTDFSESIKEVLKHSMNSNGLLACNEIPGWIRCQKEKSSISFQYPSANLKNHTLEFYGFVFLVVFNPAPLLPPLYCHYDMRIETHDSKAVRYAWHKYRGIQLEVEGILFLHVITVNDLYDYGFGDIKAGEVIKATPYNSNL >itb04g01980.t1 pep chromosome:ASM357664v1:4:1220204:1227367:-1 gene:itb04g01980 transcript:itb04g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPIAHEPFASEYDVFLSFRGEDTRKTFTDHLYSALCQTGIRTFRDDEELRKGEYLAPELTRAIQNSRISMIVFSKDYASSRWCLDELVQIVQCKEKGKQIVFPIFYNVDPSEVRKQSGNYGLSFARHEERFGKGDKVQKWRNALTKVADMSGWDLQGITNGYESKFINTIINEVRLTVSQVPTFVSNTVGLDYRVEHVVQLLLGKSHDGVRMIGIHGMGGIGKTTLAKAVYNRLNVYFERSCFLEIDSAISGQQDNGINLEKVDNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVLDNLEHRNQFNKLCGGRDWFGGGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLQLFSLRVFGEPALQKEDYNKLLLDGMVAYCGGLPLALEVLGAYLRHKSEKEWNNAFEKLKRIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSNGVFKEKIDNMGYFSDIEIQDLVDKCLINCEQSLISMHSLIREMGREVIRSESPNNPGERSRLWCPNDIHDVLIGEKGTRNIEVIVFNYSPMKGVKYNTKAFKNMENLRILEIDEVHLDGKFKHLSSSKVLRCLKWNHCPLKSINIPSSGCFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMEWCHHLTSTPNFSGCQNLRKLSFFGCSSLLKVHSSIGELGKLVSLSFSECQKLKKLPKNVSHLRSLQALSMWGSLELKASPEIFGNLTSLQRLFLERTSTYGVLSNLSHLFNLKTIELNFCKNQEVLQQLPHTVEVVRLHHCDNLKMIQELPLNLRIISLDSCKSLKMLPILPPNLEQIHLDGCENLEMLPELPHSLERIRLSSCKNLKMLTEFPPNLRDIFLGGCEKFEMLPELPSNLSEIKLDDWKNLKMLPELPLNLRKIILYRCKDLKMLPKLPLNLHKISLSSCKNLKMLPKFPPNVTEIYIDDCQNLKLLPELPPGLQDLMVENCELIEKVSNLSNCTGLHHLNLINCKKLKEFKGWENFHSIKTMRFGGVSHTDFSESIKEVLKHSMNSNGLLACNEIPGWIRCQKEKSSISFQYPSANLKNHTLEFYGFVFLVVFNPAPLLPPLYCHYDMRIETHDSKAVRYAWHKYRGIQLEVEGILFLHVITVNDLYDYGFGDIKAGEVIKATPYNSNL >itb04g01980.t5 pep chromosome:ASM357664v1:4:1220217:1227367:-1 gene:itb04g01980 transcript:itb04g01980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPIAHEPFASEYDVFLSFRGEDTRKTFTDHLYSALCQTGIRTFRDDEELRKGEYLAPELTRAIQNSRISMIVFSKDYASSRWCLDELVQIVQCKEKGKQIVFPIFYNVDPSEVRKQSGNYGLSFARHEERFGKGDKVQKWRNALTKVADMSGWDLQGITNGYESKFINTIINEVRLTVSQVPTFVSNTVGLDYRVEHVVQLLLGKSHDGVRMIGIHGMGGIGKTTLAKAVYNRLNVYFERSCFLEIDSAISGQQDNGINLEKVDNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVLDNLEHRNQFNKLCGGRDWFGGGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLQLFSLRVFGEPALQKEDYNKLLLDGMVAYCGGLPLALEVLGAYLRHKSEKEWNNAFEKLKRIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSNGVFKEKIDNMGYFSDIEIQDLVDKCLINCEQSLISMHSLIREMGREVIRSESPNNPGERSRLWCPNDIHDVLIGEKGTRNIEVIVFNYSPMKGVKYNTKAFKNMENLRILEIDEVHLDGKFKHLSSSKVLRCLKWNHCPLKSINIPSSGCFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMEWCHHLTSTPNFSGCQNLRKLSFFGCSSLLKVHSSIGELGKLVSLSFSECQKLKKLPKNVSHLRSLQALSMWGSLELKASPEIFGNLTSLQRLFLERTSTYGVLSNLSHLFNLKTIELNFCKNQEVLQQLPHTVEVVRLHHCDNLKMIQELPLNLRIISLDSCKSLKMLPILPPNLEQIHLDGCENLEMLPELPHSLERIRLSSCKNLKMLTEFPPNLRDIFLGGCEKFEMLPELPSNLSEIKLDDWKNLKMLPELPLNLRKIILYRCKDLKMLPKLPLNLHKISLSSCKNLKMLPKFPPNVTEIYIDDCQNLKLLPELPPGLQDLMVENCELIEKVSNLSNCTGLHHLNLINCKKLKEFKGWENFHSIKTMRFGGVSHTDFSESIKEVLKHSMNSNGLLACNEIPGWIRCQKEKSSISFQYPSANLKNHTLEFYGFVFLVVFNPAPLLPPLYCHYDMRIETHDSKAVRYAWHKYRGIQLEVEGILFLHVITVNDLYDYGFGDIKAGEVIKATPYNSNL >itb04g01980.t3 pep chromosome:ASM357664v1:4:1220204:1227367:-1 gene:itb04g01980 transcript:itb04g01980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPIAHEPFASEYDVFLSFRGEDTRKTFTDHLYSALCQTGIRTFRDDEELRKGEYLAPELTRAIQNSRISMIVFSKDYASSRWCLDELVQIVQCKEKGKQIVFPIFYNVDPSEVRKQSGNYGLSFARHEERFGKGDKVQKWRNALTKVADMSGWDLQGITNGYESKFINTIINEVRLTVSQVPTFVSNTVGLDYRVEHVVQLLLGKSHDGVRMIGIHGMGGIGKTTLAKAVYNRLNVYFERSCFLEIDSAISGQQDNGINLEKVDNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVLDNLEHRNQFNKLCGGRDWFGGGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLQLFSLRVFGEPALQKEDYNKLLLDGMVAYCGGLPLALEVLGAYLRHKSEKEWNNAFEKLKRIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSNGVFKEKIDNMGYFSDIEIQDLVDKCLINCEQSLISMHSLIREMGREVIRSESPNNPGERSRLWCPNDIHDVLIGEKGTRNIEVIVFNYSPMKGVKYNTKAFKNMENLRILEIDEVHLDGKFKHLSSSKVLRCLKWNHCPLKSINIPSSGCFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMEWCHHLTSTPNFSGCQNLRKLSFFGCSSLLKVHSSIGELGKLVSLSFSECQKLKKLPKNVSHLRSLQALSMWGSLELKASPEIFGNLTSLQRLFLERTSTYGVLSNLSHLFNLKTIELNFCKNQEVLQQLPHTVEVVRLHHCDNLKMIQELPLNLRIISLDSCKSLKMLPILPPNLEQIHLDGCENLEMLPELPHSLERIRLSSCKNLKMLTEFPPNLRDIFLGGCEKFEMLPELPSNLSEIKLDDWKNLKMLPELPLNLRKIILYRCKDLKMLPKLPLNLHKISLSSCKNLKMLPKFPPNVTEIYIDDCQNLKLLPELPPGLQDLMVENCELIEKVSNLSNCTGLHHLNLINCKKLKEFKGWENFHSIKTMRFGGVSHTDFSESIKEVLKHSMNSNGLLACNEIPGWIRCQKEKSSISFQYPSANLKNHTLEFYGFVFLVVFNPAPLLPPLYCHYDMRIETHDSKAVRYAWHKYRGIQLEVEGILFLHVITVNDLYDYGFGDIKAGEVIKATPYNSNL >itb04g01980.t4 pep chromosome:ASM357664v1:4:1220204:1227367:-1 gene:itb04g01980 transcript:itb04g01980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPIAHEPFASEYDVFLSFRGEDTRKTFTDHLYSALCQTGIRTFRDDEELRKGEYLAPELTRAIQNSRISMIVFSKDYASSRWCLDELVQIVQCKEKGKQIVFPIFYNVDPSEVRKQSGNYGLSFARHEERFGKGDKVQKWRNALTKVADMSGWDLQGITNGYESKFINTIINEVRLTVSQVPTFVSNTVGLDYRVEHVVQLLLGKSHDGVRMIGIHGMGGIGKTTLAKAVYNRLNVYFERSCFLEIDSAISGQQDNGINLEKVDNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVLDNLEHRNQFNKLCGGRDWFGGGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLQLFSLRVFGEPALQKEDYNKLLLDGMVAYCGGLPLALEVLGAYLRHKSEKEWNNAFEKLKRIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSNGVFKEKIDNMGYFSDIEIQDLVDKCLINCEQSLISMHSLIREMGREVIRSESPNNPGERSRLWCPNDIHDVLIGEKGTRNIEVIVFNYSPMKGVKYNTKAFKNMENLRILEIDEVHLDGKFKHLSSSKVLRCLKWNHCPLKSINIPSSGCFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMEWCHHLTSTPNFSGCQNLRKLSFFGCSSLLKVHSSIGELGKLVSLSFSECQKLKKLPKNVSHLRSLQALSMWGSLELKASPEIFGNLTSLQRLFLERTSTYGVLSNLSHLFNLKTIELNFCKNQEVLQQLPHTVEVVRLHHCDNLKMIQELPLNLRIISLDSCKSLKMLPILPPNLEQIHLDGCENLEMLPELPHSLERIRLSSCKNLKMLTEFPPNLRDIFLGGCEKFEMLPELPSNLSEIKLDDWKNLKMLPELPLNLRKIILYRCKDLKMLPKLPLNLHKISLSSCKNLKMLPKFPPNVTEIYIDDCQNLKLLPELPPGLQDLMVENCELIEKVSNLSNCTGLHHLNLINCKKLKEFKGWENFHSIKTMRFGGVSHTDFSESIKEVLKHSMNSNGLLACNEIPGWIRCQKEKSSISFQYPSANLKNHTLEFYGFVFLVVFNPAPLLPPLYCHYDMRIETHDSKAVRYAWHKYRGIQLEVEGILFLHVITVNDLYDYGFGDIKAGEVIKATPYNSNL >itb11g09330.t1 pep chromosome:ASM357664v1:11:6204295:6204763:-1 gene:itb11g09330 transcript:itb11g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSDKINPTAKLPSAAANGGAKSQPYNAPRPMYRPKPPSRRRGSRSCVCCCCLWITFLVITLVLLVAVAGAVFWLIYRPQRPDFVVDSLQLSQLALASSALTSKFSTHPSDPERFPDSNTARRTLPR >itb11g09330.t2 pep chromosome:ASM357664v1:11:6204014:6204763:-1 gene:itb11g09330 transcript:itb11g09330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSDKINPTAKLPSAAANGGAKSQPYNAPRPMYRPKPPSRRRGSRSCVCCCCLWITFLVITLVLLVAVAGAVFWLIYRPQRPDFVVDSLQLSQLALASSALTSKFSVSLSARNPNNKVEFIYDPISVSVFSGDASIGSGTISGFEHGAKNTTALKTTVAAAGETLDADQVSAMKSAIKNKSRFPVKITLDTKVKVKAGGLKTKRVGIRVTCDDIRVAAPAKKSPSKATIPNDKCNVDVRFKIWKWNF >itb11g06080.t1 pep chromosome:ASM357664v1:11:3631917:3634364:1 gene:itb11g06080 transcript:itb11g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCARAVVMLVSLLICYSSVGVVVNAQGIAKPPSLPPAPAPAPEHVNLTDLLTVAGPFHTFLNYLESTKVIETFQNQANDTEEGITLFVPKDQAFSKLKKVSLSNLTAGQLKSLCLFHALPHYYTLADFRNLSQFSPINTFAGGQLYGLNFTDVSGTVHLNSGWTSTKISSAVRATFPVAVYQVDGVLLPEAIFGTDIPPTPAPAPAPDIAPVADAPAGDKGASGDSSASPASSPPSSSHKITSLGLLNHLVLAIVGGSALLFL >itb09g00870.t1 pep chromosome:ASM357664v1:9:545338:548323:1 gene:itb09g00870 transcript:itb09g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAYCVDAAVAMNTSIPAPEVFVVPPATITAGAAPLNFSHWSPALSSALYRIDGWGAPYIAVNSSGNISVRPHGTDTQPNQEIDLLKVLKKVSDPKSMGGLQLPLPLVIRFPDVLKSRLESLQFAFNYAVQSLGYGAHYQGVYPVKCNQDRFVVEDIVKFGSAFRFGLEAGSKPELLLAMSCLCKGSPEGLLICNGFKDAEYISLALVARKLLLNAVIVLEQEEEVDVVIDVSRKMSVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEDFGMLDCLQLLHFHIGSQIPSTALLSDGVGEAAQIYCELVRLGASMKVIDIGGGLGIDYDGSKSSDSDLSIGYSLNEYASAVVQAVRSVCDHKGVNHPVLCSESGRAIVSHHSILVFEAVSSSSSQNPSHFSSADIQSFVELLNEDSQADYRNFSAAAIRGDNDTCILYADQLKQSCVDQFKKGTLGIEQLAAVDGICDLVTKAIGASDLTSTYHVNLSIFTSIPDFWAIGQLFPIVPIHRLDERPGVRGILSDLTCDSDGKINKFIGGESSLLLHELGGNRGGSDSGGRYYLGMFLGGAYEEALGGFHNLFGGPSVVRVSQSDGPHSFCVTSAVPGQSCADVLRVMQHEPELMFETLKHRAEESMLGGGEEGDRVAFDSLASSFARSFHNMPYLVTTSSCGYYYGNSEVCAPADSAAVAAEDEHWSYCAA >itb13g03430.t1 pep chromosome:ASM357664v1:13:3317946:3332489:-1 gene:itb13g03430 transcript:itb13g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALSSLMRTIELDLLNPGRSAIVEDEEQVKYLYQSLGFFKEFLDKSKDNVAAKNLEPKIKGSVFQIEDRIEKKLETIYGETYSDPQRQKVQRRLSSTLKQAIRDTDDLKVEIRRILYSPVQDFPSADPQASCGGSSEHAAASDVMEGRGRKFKEIRDQLIRKGSSGREVISIVGMGGIGKTTLARRLYEDPLVLSHFDILGWTVVSQKHDVRRMLLDLINNEAAKIDEVTDSDLAEKLQKCLRGQRYLIVLDDIWSTSCWEGIQLCFPDDNCGSRILLTTRHKEVADSANDSGNRLHTLGFLNQEDSWNLFCKSFPGIQSFPFELKTIGRQVVDKCHGLPLAIVVVAGVLSKLSQTVEEWQVFENQTNSLVVTTDLSEQCSRILTLSYNYLPLHLKACFLYLSTVPQDKAIIVKRLVRLWIAEGFVELINSENLEEVAHGYLQDLVDRSLILINNRHFNGEIKTCRMHDMVHEFCVREAIKEKLLNVENEQHPYGELQQEGCRWLNFWPKKILRRDGLRDLDNIYVPRSILYWQHTSYASSEVIEPDDDLRRGLLLRVLEFSPPITSSSSLNYISLLRYLGICLGDSSLLRYLGVCLGDSSLPLGYLLHLLSRSQNLQTLIVSHKPSSINDGLSSCHYLSSEMWASQELSHVECSYLISLDPPNELETLKITAAINQNICLRNPQAFPQNLKELTLFHTRLRWKHINIIGNMPSLQVLKLKREAVCGKTWEPSDGGFRQLKFLLIDYCDQFQYWEATPDHYPVLERLVMRNCRLKEIPNSFEDMITLCLIEISNCTTSLLNSAHQIQKAQQDLGNYQLVVRDVTPFSGIGLGLGLGMLPLHPPTLTISATKSISSSSSHHSYFRRQVTKRSETTERRHVLPPRLTPTLPPQPQLATPIAGSSTVTVHALPSDHESGSSHELISKIEDRMVGRRSELNTIINQLIRRPSIEHKVISILGMGGIGKTTLARRVYEEPLVVSHFDIRAWTTVSQEVNVRHILCNLLWSISRGMNTEGSTDDLAHKLRQRLMGKRYLIVVDDVWETGVWDHLARCFPESYGSAVLVTSRLEEIADYTTSGASNLHNLHFLDSNESWDLFCSHIFWKQPLCSKFNRIQRNIVDKCGGLPLAIVVAAGLLSRLSKVEELEKIEKEMSHLASTDIGEQCSRILIVSYNHLPHHLKACFLYLGVFSGHSEIPVKKLVRLWIAEGFVEKSVRDKELEEVGVGYLQDLISRSLVQIDKLKCDGQIKTCRMHHLLRVLCVRQARNEKLLYVEDDGFDMCNSSSKEACSGACRWLSFRSPKPENFDLCNSSVNKARSVLCLHNDEMPMVNDPKLVRFTLLRVLDLTSPLYNKGMYMSFENLSNLVLLEYLAFMSNRSLGFEGLDIALSKNQKLHTLVVWHSAAGWRPNYSLLPSTIWESPQLRHLEFRNCFRVEPPGIVKENLQSLYWLSIFHCTEEVISKIPNIKTLGIFCEGRLISDNAVSCNGASSLENLCHLNQLEALTIEAEYAIPKCISLPRINAFPGNLRELKLSKTYLPWKDIAAIAMLPGLEVLKLKMDAFYGPEWKPTEGGFQRLKFLLLINTDLKIWNAFDDEFPILEHLVLKHCENLEGIPTVFFNVTALKSIDLERCGQALSSCAKNIQQEQLDYGNNELKVLVRNPQTTYKQLTFYKGRHRKVVGGSCDSDSDEEPSQDFGEWLKLIDG >itb01g21690.t2 pep chromosome:ASM357664v1:1:27754571:27759598:-1 gene:itb01g21690 transcript:itb01g21690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLSNASNPQPSVTANMETSNVNSVPAQDGPSKLSTWAKSLKIPQPFTGSQEEENSGNAAKSTFARFTSGFGMLSSPKSPTDDNSEGTSTTPQSSFIGTFTKGLVDSSKNAVKAVSVKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFESHHKDKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPIQLIMSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESINYYNQKRCMDAKGLVLPSQIRYVKYFERILMYFNGENQPARRCMLRGFRLHRCPYWIRPSITISDHNGVVFSTKKHPRTKDLLPEDYWFSAPKKGVVVFALPGEPGVAELAGDFKVHFNDRQGDFYCWLNTTMIENRKILNTSDLDGFDKRKLPSPGFQVEIVLVDYDTAFPTRSQPEVAVSSKSTDNSSTNPASGSSPHSADEPADANSNQKSGSSEKDDVFSDNEAEETEKPKVGKVTIASEGVQAKVSTSGNNTGSPQISSLTQKTQQVSLGDSGLKHNEQKRDTTVGAASVPEVSNTEVSDFKIMAADASVFTFGDEEDYESE >itb01g21690.t1 pep chromosome:ASM357664v1:1:27754571:27759598:-1 gene:itb01g21690 transcript:itb01g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLSNASNPQPSVTANMETSNVNSVPAQDGPSKLSTWAKSLKIPQPFTGSQEEENSGNAAKSTFARFTSGFGMLSSPKSPTDDNSEGTSTTPQSSFIGTFTKGLVDSSKNAVKAVSVKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFESHHKDKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPIQLIMSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESINYYNQKRCMDAKGLVLPSQIRYVKYFERILMYFNGENQPARRCMLRGFRLHRCPYWIRPSITISDHNGVVFSTKKHPRTKDLLPEDYWFSAPKKGVVVFALPGEPGVAELAGDFKVHFNDRQGDFYCWLNTTMIENRKILNTSDLDGFDKRKLPSPGFQVEIVLVDYDTAFPTRSQPEVAVSSKSTDNSSTNPASGSSPHSADEPADANSNQKSGSSEKDDVFSDNEAEETEKPKVGKVTIASEGVQAKVSTSGNNTGSPQISSLTQKTQQVSLGDSGLKHNEQKRDTTVGAASVPEVSNTEVSDFKIMAADASVFTFGDEEDYESE >itb01g21690.t3 pep chromosome:ASM357664v1:1:27754571:27759598:-1 gene:itb01g21690 transcript:itb01g21690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLSNASNPQPSVTANMETSNVNSVPAQDGPSKLSTWAKSLKIPQPFTGSQEEENSGNAAKSTFARFTSGFGMLSSPKSPTDDNSEGTSTTPQSSFIGTFTKGLVDSSKNAVKAVSVKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFESHHKDKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPIQLIMSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESINYYNQKRCMDAKGLVLPSQIRYVKYFERILMYFNGENQPARRCMLRGFRLHRCPYWIRPSITISDHNGVVFSTKKHPRTKDLLPEDYWFSAPKKGVVVFALPGEPGVAELAGDFKVHFNDRQGDFYCWLNTTMIENRKILNTSDLDGFDKRKLPSPGFQVEIVLVDYDTAFPTRSQPEVAVSSKSTDNSSTNPASGSSPHSADEPADANSNQKSGSSEKDDVFSDNEAEETEKPKVGKVTIASEGVQAKVSTSGNNTGSPQISSLTQKTQQVSLGDSGLKHNEQKRDTTVGAASVPEVSNTEVSDFKIMAADASVFTFGDEEDYESE >itb12g23950.t1 pep chromosome:ASM357664v1:12:25593030:25597648:-1 gene:itb12g23950 transcript:itb12g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEEDQTRSNQAAAASAEEDYKDIDEEEDEEEEETEPVNEGTRMRTDRERMDNLFRRLSTERVPVRVHDVLIKGNTKTKDSVIEAELQALKSVTSFQKLLQAASIANANLHRLEIFDSVNITLDAGPPELPGTANVVVEVTETANPLSGNFGIFSKPEARSWSLEGLLKLKNLFGYGDIWDGSLAYGWDQTSEISTGLSLPRFKRLTTPVTARLSLLSQDWLKFSSYKESALGLSLGLLSSRNHELEYNISWRALTDPSQMASTTVRRQLGHRLVSALMYTFRTDLRDSPLRLTQGYAFVATSEISGLSPDYRSLRSIRQVFDLRYALPLGFYNAALNFGISAGAVLPWGNGSLNTPSYLPQRFFMGGNSSPVCFLGGPTSIFGFKSRGLGPAEPRRQVGESADGESSDNASGLDFIGGDLAITAFADLSFDLPLKILREAGIHGHAFICTGSTNKLTENAYRDLSLQKFQDSFRSSAGFGIIIPTKLFRMEVNYCHILKQQEHDRAKTGVQFSFSSSL >itb12g23950.t2 pep chromosome:ASM357664v1:12:25590604:25597648:-1 gene:itb12g23950 transcript:itb12g23950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEEDQTRSNQAAAASAEEDYKDIDEEEDEEEEETEPVNEGTRMRTDRERMDNLFRRLSTERVPVRVHDVLIKGNTKTKDSVIEAELQALKSVTSFQKLLQAASIANANLHRLEIFDSVNITLDAGPPELPGTANVVVEVTETANPLSGNFGIFSKPEARSWSLEGLLKLKNLFGYGDIWDGSLAYGWDQTSEISTGLSLPRFKRLTTPVTARLSLLSQDWLKFSSYKESALGLSLGLLSSRNHELEYNISWRALTDPSQMASTTVRRQLGHRLVSALMYTFRTDLRDSPLRLTQGYAFVATSEISGLSPDYRSLRSIRQVFDLRYALPLGFYNAALNFGISAGAVLPWGNGSLNTPSYLPQRFFMGGNSSPVCFLGGPTSIFGFKSRGLGPAEPRRQVGESADGESSDNASGLDFIGGDLAITAFADLSFDLPLKILREAGIHGHAFICTGSTNKLTENAYRDLSLQKFQDSFRSSAGFGIIIPTKLFRMEVNYCHILKQQEHDRAKTGVQFSFSSSL >itb01g02610.t1 pep chromosome:ASM357664v1:1:1699338:1701279:-1 gene:itb01g02610 transcript:itb01g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDENETTQVFEKLYKFVGNNLKNIVESPSHEGPDPAPGRYCFRLQTNRVYYVSESLVKRATNIKRDNLISLGTQIGKFTKGGKFHLTIQSLSLLAAHAKHKVWLKPTSEMSFLYGNNVLKGGVGRITENVSEHDGVVVFSMSDVPLGFGVAAKSTQDCRKLDPNGIVVLHQADIGEYLRMEDEL >itb03g15540.t1 pep chromosome:ASM357664v1:3:14869856:14872106:1 gene:itb03g15540 transcript:itb03g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQTKAEKKIAYDQKLCQLLDDYTQILIAVADNVGSNQLQNIRKGLKGDSVVLMGKNTMIKRSIRVHAENTGNTAILNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLIAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLIGKFAMGVSMVTSLSLAISYPTLAAAPHMLINGYKNALAIAVETECSFPLADKVKEYLADPTKFAVAAVPAATAEAGSTPPAAAVEEKKEEPAEESDDDLGFSLFD >itb01g08480.t1 pep chromosome:ASM357664v1:1:6809866:6817421:-1 gene:itb01g08480 transcript:itb01g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLLLSLRPLPLPPRLSDLCFFASSPLRLSPLCLSPLTACLSTLVSRPVPQTAVAPRQSPPSSASHSQISLPPVSRTAVSRSTRDKSPHSSLPQPLTLRV >itb09g07960.t1 pep chromosome:ASM357664v1:9:4765135:4767753:-1 gene:itb09g07960 transcript:itb09g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSGGGLSFDPDPISADNNHHFFRQPHSFLTLPLPSPMDSPVNNSPPTLQFPVHVPCAADHHNIDRNTIRVSGEVDFFAHKDDRASAVVPTAVAADLDFDVNIGLHLHTTNATGGRSIVEDGLSPTSQDNRAKNEVGVIKAELDRMNAENQHLRDMLHQATNNYSALQTHLTSLMQQQQQQQNGGGGGLTAPPPLRPFMGLGAEAAKDASQSSSEGRSGGGEPPRSSPVNNVESVSGENIHSLEKGGSSNVKVARTRGHGSKSSSGDNVDQATEATIKKARVSVRARSEAAMITDGCQWRKYGQKMAKGNPCPRGYYRCTMATGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSADGASVAALMNNSNFLARAFLPAGMATISASAPFPTVTLDLTQTQTPNNPLHFPRIPPNHSHNNQAAFSPLFGQPLFNQTKFSGLHLSHDLENQAMHHQQNPAELADTVNALTSDPNFPAALAAAIASILGGSSVSDNTGASNAATLGNDNAGSVSMETTK >itb05g21540.t1 pep chromosome:ASM357664v1:5:27243608:27245128:-1 gene:itb05g21540 transcript:itb05g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMGPSLATLMFIWTLYQNFFPYQISNYIITQLHKIHYFFYPYIHITFHEYDHEELFDRSKLFLAIERYLSHNSSNNAKRLVAKGVKDSTQALVLTMDDHEEVTDVFRGIKVWWSSHQHPTNGHMISYFPKEDEKKFFQLKFHRRDRDLITQSYLKHVLDEGEAIAVRDRKRKLYTNNKSDHWHGWRSTEWSHVIFKHPSNFKTLAMEPTRKQEIMEELHNFSNSKDYYAKIGKAWKRGYLLHGPPGTGKSSMIAAMANLLQYDVYDLELTAVKDNIDLRKLLIETSCKSIIVIEDIDCSLDLTGQRKKEREKEEIDPIKKQLLKETEKKDNEVNQVTLSGLLNVIDGLWSAIGEERIIVFTTNYIQKLDPALIRKGRMDNHIELSYCCFEAFKVLAKNYLDIESHELFPEIRVLLGETKVTPADVAENLMPKSGGEKAETCLKRLIEYLETAKEKARLKAEEEERSKAKEKEEATMKAEESLVKENGDAKKIDTMVIENGYTN >itb03g01690.t1 pep chromosome:ASM357664v1:3:946949:953625:-1 gene:itb03g01690 transcript:itb03g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKPQRTPEEIEDIIIRKIFLVSLIDSMENDSRFVYLEMTAAEVLSEGKDLRLSRDLMERVLIDRLSGNFAAAEPPFQYLVNCYRRAHEEGKKIGNMKDKSVRSEMELVVKQAKKLAVSYCIIHLGNPDTFPNWETNTSNVSPLLPLIFSEVSLAVDGFGGSSSSGGVTCPPGLLDDFFKDGDFDSLDPILKQLYEDLRGSVLKVSALGNFQQPLRALLLLVKYPVGSKSLVNHPWWIPKNMYMNGRVIEMTSILGPFFHVSAIPDNTLFKSQPDVGQQCFSDASTRRPADLLSSFTTIKTVMNNLYDGLTEVLKCLLKNTNTRENVLEYLAQVINKNASRAHIQVDPLSCASSGMFVNLSAVMLRLCEPFLDHNLSKRDKIDSSYVFYSKRLELRDLTAMNASSEEVSEWISGNNPGKADACKDSSGGEFRLLESQEATSSGNNTGGSSILHDNKPKSSCTEKDKYSFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDSLSTFRAMSEQGQAPQSQNDIARLEKVLELYNQEKLCYEAQILRDGGLLQRALSFYRLMVVWLVDLVGGFRMPLPSTCPMEFASMPEHFVEDAMELLIFASRIPRALDGILLDDFMNFIIMFMGSPQYIRNPYLRAKMVEVLNCWMPRRSGSSATTTLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNRVEWEQRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLTFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKELLKQIVKIYVHLARGDKENIFPAAITKDGRSYNEQLFSAAAVVLRRIGEDSRIIQEFIDLGAKAKVAATEAMDTEAALGEIPDEFLDPIQYTLMKDPVILPSSRITVDLPVIQRHLLSDSTDPFNRSHLTADMLIPNTELKAKIEEFVRASQKSKKRGEDLGMQSTKTTIQTTDTSTLIE >itb03g07910.t1 pep chromosome:ASM357664v1:3:5897317:5899036:-1 gene:itb03g07910 transcript:itb03g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLALRQARHLATASAAAASTSAATISISKAKSVLRSEHDPDKALEIYSSVSGHYSSPLSSRYAQEYTVKRLAKSHRFSDIEAFLESHKKDPKVSQEPFLATIIRSYGIVGMFDNALTTYEQMTDLGTPRSSVSFNALLSACIHSKLYDRVPQLFDEIPKKYKVSPDRVSFGILIRSYCEVGTPKLAMEKLKEMEGKGMQITAVPYTTIMNSLYKKGMSDEAEKVWDEMVKSGCELDVGAYNVRIMNIQGGNPDGVKGLIDEMSNLGLKPDIISYNYLMTCYCRNGMMDEAEQVYNNLEDNFCKPNATTFRTLIYYACQQGQFDTGYKVFNKSVTMNKIPDFNTLKHLVRGLVTQSKTIEAKSVISIVKSKFPPNVLKAWTKLEEELGLTNDETPPRV >itb07g11560.t1 pep chromosome:ASM357664v1:7:13057700:13058530:1 gene:itb07g11560 transcript:itb07g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAAKIKKGAGGRKGGGPKKKPVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSGSEATKEPKTKAAKSPKKKAA >itb09g24130.t1 pep chromosome:ASM357664v1:9:23745236:23751328:1 gene:itb09g24130 transcript:itb09g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLEGSVQNILEQDTLKWVFVGGKGGVGKTTCSSILGILLAQVRSSVLIISTDPAHNLSDAFQQRFTKFPTLVNGFSNLYAMEVDPNVENEDTVGSDGMDGFLSELASAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLGKMMKLKNSFGGVLNQVTSLLGVGNEYGEDAILGRLEGMKEIIEKVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFDIDTHNIIINQVIFDEEVVESKLLKARMRMQEKYLNQFYILYDDFNITKLPLLPQEVCGVEALTAFSHNFTTPYQPSLVRGSVEELEQRVSVLREQLKDAEVELEKLRKGKQKA >itb07g02620.t4 pep chromosome:ASM357664v1:7:1673990:1676988:1 gene:itb07g02620 transcript:itb07g02620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLSLSNPSFSLKQLPTVVSARPISSTRFSFFSLIDHPQRISLGFHFSGSYQLRARVRELARAALWEDPDNESESDYEYEEEDEGEEGEEGEESCSELEAGRDSSMLENSINGISDSNYEEELVKEVELLLGPEERATLQQNETPQMDKILTEKWNPIHTLALAGQMQFMDQLLGNGLNVDMIDKDGRTALHYAVIGKREAVISHLLRKGANPQARDLVR >itb07g02620.t3 pep chromosome:ASM357664v1:7:1674058:1677906:1 gene:itb07g02620 transcript:itb07g02620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLSLSNPSFSLKQLPTVVSARPISSTRFSFFSLIDHPQRISLGFHFSGSYQLRARVRELARAALWEDPDNESESDYEYEEEDEGEEGEEGEESCSELEAGRDSSMLENSINGISDSNYEEELVKEVELLLGPEERATLQQNETPQMDKILTEKWNPIHTLALAGQMQFMDQLLGNGLNVDMIDKDGRTALHYAVIGKREAVISHLLRKGANPQARDLLFILIAGRCHATPLCSSSWSNADCQAID >itb07g02620.t2 pep chromosome:ASM357664v1:7:1674198:1677906:1 gene:itb07g02620 transcript:itb07g02620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLSLSNPSFSLKQLPTVVSARPISSTRFSFFSLIDHPQRISLGFHFSGSYQLRARVRELARAALWEDPDNESESDYEYEEEDEGEEGEEGEESCSELEAGRDSSMLENSINGISDSNYEEELVKEVELLLGPEERATLQQNETPQMDKILTEKWNPIHTLALAGQMQFMDQLLGNGLNVDMIDKDGRTALHYAVIGKREAVISHLLRKGANPQARDLDGATPLHYAVQVGAMQTVKLLIKYNVDVNIADNEGWTALHVAVQSRNRNIVKVLLVNGADKSRRNKDGNTALDLSLCYGKDFKSYDLAKLVKLVPAESAPIFG >itb07g02620.t1 pep chromosome:ASM357664v1:7:1673990:1677906:1 gene:itb07g02620 transcript:itb07g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLSLSNPSFSLKQLPTVVSARPISSTRFSFFSLIDHPQRISLGFHFSGSYQLRARVRELARAALWEDPDNESESDYEYEEEDEGEEGEEGEESCSELEAGRDSSMLENSINGISDSNYEEELVKEVELLLGPEERATLQQNETPQMDKILTEKWNPIHTLALAGQMQFMDQLLGNGLNVDMIDKDGRTALHYAVIGKREAVISHLLRKGANPQARDLDGATPLHYAVQVGAMQTVKLLIKYNVDVNIADNEGWTALHVAVQSRNRNIVKVLLVNGADKSRRNKDGNTALDLSLCYGKDFKSYDLAKLVKLVPAESAPIFG >itb04g00750.t1 pep chromosome:ASM357664v1:4:409891:411452:-1 gene:itb04g00750 transcript:itb04g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDWDLHAVVRGCAVTSTTPAAATTTSPFCSFNPRQQDENLDFSHEPFDFSSSTDNSSTWFNEELHDLYLPFLHRREPPSLPPQSPPPPFPVLRGLEGSVLHNQLNITTTRIQANSQSLSSNASSTTSSNSRPQTPAGKRRKNQMKRLCQVPAEDLASDMWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPNMFIVTYTAEHNHPMPTHRNSLAGSTRRKGASHQTTTSGSETNRPSTSSPPPEKQESSRDEKGGVFDDEFRVSNMEIMDGNAPEDDDDFFEGMAELGESLKSDDAGDSFSDNFQDAMQFQCWLPTTAGGGGG >itb06g11710.t1 pep chromosome:ASM357664v1:6:16234920:16236246:1 gene:itb06g11710 transcript:itb06g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEHSVATSSSAKAAKVYPSILGYSFQCSKCCKWRLVPTKEIYENIRANISANPFFCEMANQWERNISCEDPTDVVPDGSRLWAIDKPNIPLPPPGWRRELGFRAVGVHPMSVATVDNGSS >itb03g00640.t1 pep chromosome:ASM357664v1:3:321619:332163:-1 gene:itb03g00640 transcript:itb03g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MPSGCILCGLEAACWKWIAQLCSVELLDALFSLHNDLLKPAGSVEYILEVSRRLLVVQKELGLSFLPELSSAISFIFIILVHSELEHEQLSALKLLCFILKWKNDSGKDVHRSSCLINEELLFIFPVINLVYSPTKSVKQAAIDLLSVLRKLSINLLAAPRTEVSTEKKHPSISTSAHIAVRLLQSLWLQDQSSLPGVFYLNFASGIESSVDKHNKAKPWTSMLSEYMQRVISRRKSSQSISESHESLHTEMPLLLGGIACVLLMHQSLGHSAGDLLAICNKMDPKLGVPLMLVILFYNHIYSDNKNVVSHGMQLKLMGMLPSLASHSAMLPLVVQTILPMLQKDDKSVLYATAIRLICKTWECNDRVFGSLQGVLHANKFTEFASDREIYISMALSICDVCRKDPDRGVDLILSVEACIKHQDSFIQSLGLESLAHLCEADVVDFYTAWDVIAKQLNYSGNATVACSLCHLLRWGAMDAESYTEAAIGVVEVLWEIGTSATVGHGWSKARASAFEALTHYEVGQFQGSLPDFNERNLEFLTSETDLEVLRALEALEVKIINHEHITRRRVVKQKRLPKSKIEKLLDVFPQVMFASGNGRRARELPGAALFCLSFTLKGLNMPGASEALQDVLDKYKNAVVEIADSLQLSRNVLIALLALQSWKPFMQRWIRARIMLLDTKVHSAVLDKTSNAAKEILKCLIEIAERSPPRSAENIALAIGALCSVLPLSDHAIKSTASKFLLSWLFQHEHEYRQWSAAISLGLISSFLHVTDRKQKVEYINALLEVLSVSKSTLVKGACGVGLGFSCQDLLTKSGAEDNFHLSKGALRMEEIDLLKKIIGSLSKLICVLTQSSSDVLINAFSWLQLGSDDFDSNITPKFLGQCSDDFEEDVWGVAGLILGLGSSVGAIYRAGFYDAVLNLKTLLFSWIPNLNPSIPFLTTSAKSELLFSVGACLAAPIVMAFCHRVELMDGTEIDQLVGCYKHLISELVSVQKFSTLHQSLLMASCIGAGSLLGTILNGSLHSLKVEHVKDLLALFRKSYSDPNPPLIHLGATIGAVNAFGAGAGTLIQDHPSFSSHATNNQKESSYINGPLLSSPALEPDLTSLVQEIFLVAQNPDADQLQQYAAWAASFLRHSLQLQEHYNEATTVSGAGTKNVSHIFSEDSTVLKLSLWLSHLKHPGTGNISHVNTISMVLRCLEHAPRLPSLDWGAIIRRFMRYESEVAELLSQDVTFKKGHLREECLLFSLSHAHQFDHLLIFLDELCDFARMRTLEPTLQSCILVHLADLTKIFSSSRLVKLFNDVACFLSWLVSSGLYSPEQKSSLRFSCWRGLNQCLNESSLDTQSYTSEIENCMKVLFDLLPQFPSVGSRELYQANIIEEWSEAVRCLGKSEQGWLLDLLQISEVNLIEANGQQLESVKKIQVKSRLVQTGSVPFSELGKLKAYMLNCRCEVIWDALLGVTETLHYADGSVKRQWLVNAMEISCVSKFPSTALQFIGLLCGSCCRYMPVLVVDQMTVLSDLPVTLTSLLSDSNWAVVAESVVSHLWSLTLRVYNLAKHTADDYPPNQLPIDSSEYDKAAFLFQVLHHSCISLKNYLPPEKLLQLTNITIH >itb03g00640.t3 pep chromosome:ASM357664v1:3:321619:329958:-1 gene:itb03g00640 transcript:itb03g00640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MPNKFKCNNYSVLLCLLAVILDFLSILESPLLPALKLCLKSSLYKLGVFQDQSSLPGVFYLNFASGIESSVDKHNKAKPWTSMLSEYMQRVISRRKSSQSISESHESLHTEMPLLLGGIACVLLMHQSLGHSAGDLLAICNKMDPKLGVPLMLVILFYNHIYSDNKNVVSHGMQLKLMGMLPSLASHSAMLPLVVQTILPMLQKDDKSVLYATAIRLICKTWECNDRVFGSLQGVLHANKFTEFASDREIYISMALSICDVCRKDPDRGVDLILSVEACIKHQDSFIQSLGLESLAHLCEADVVDFYTAWDVIAKQLNYSGNATVACSLCHLLRWGAMDAESYTEAAIGVVEVLWEIGTSATVGHGWSKARASAFEALTHYEVGQFQGSLPDFNERNLEFLTSETDLEVLRALEALEVKIINHEHITRRRVVKQKRLPKSKIEKLLDVFPQVMFASGNGRRARELPGAALFCLSFTLKGLNMPGASEALQDVLDKYKNAVVEIADSLQLSRNVLIALLALQSWKPFMQRWIRARIMLLDTKVHSAVLDKTSNAAKEILKCLIEIAERSPPRSAENIALAIGALCSVLPLSDHAIKSTASKFLLSWLFQHEHEYRQWSAAISLGLISSFLHVTDRKQKVEYINALLEVLSVSKSTLVKGACGVGLGFSCQDLLTKSGAEDNFHLSKGALRMEEIDLLKKIIGSLSKLICVLTQSSSDVLINAFSWLQLGSDDFDSNITPKFLGQCSDDFEEDVWGVAGLILGLGSSVGAIYRAGFYDAVLNLKTLLFSWIPNLNPSIPFLTTSAKSELLFSVGACLAAPIVMAFCHRVELMDGTEIDQLVGCYKHLISELVSVQKFSTLHQSLLMASCIGAGSLLGTILNGSLHSLKVEHVKDLLALFRKSYSDPNPPLIHLGATIGAVNAFGAGAGTLIQDHPSFSSHATNNQKESSYINGPLLSSPALEPDLTSLVQEIFLVAQNPDADQLQQYAAWAASFLRHSLQLQEHYNEATTVSGAGTKNVSHIFSEDSTVLKLSLWLSHLKHPGTGNISHVNTISMVLRCLEHAPRLPSLDWGAIIRRFMRYESEVAELLSQDVTFKKGHLREECLLFSLSHAHQFDHLLIFLDELCDFARMRTLEPTLQSCILVHLADLTKIFSSSRLVKLFNDVACFLSWLVSSGLYSPEQKSSLRFSCWRGLNQCLNESSLDTQSYTSEIENCMKVLFDLLPQFPSVGSRELYQANIIEEWSEAVRCLGKSEQGWLLDLLQISEVNLIEANGQQLESVKKIQVKSRLVQTGSVPFSELGKLKAYMLNCRCEVIWDALLGVTETLHYADGSVKRQWLVNAMEISCVSKFPSTALQFIGLLCGSCCRYMPVLVVDQMTVLSDLPVTLTSLLSDSNWAVVAESVVSHLWSLTLRVYNLAKHTADDYPPNQLPIDSSEYDKAAFLFQVLHHSCISLKNYLPPEKLLQLTNITIH >itb03g00640.t4 pep chromosome:ASM357664v1:3:321816:332163:-1 gene:itb03g00640 transcript:itb03g00640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MDAYSPLLEKIRIPQPSFQKLAVISIFEKLRSAPPHLGPDSYPGTEAITQCLHSASPAVVDQSVRELCRLVRDSKLDLSRGLLELQSALEATASRFVNLFVRGLGYLVRLGFQNNPHSFQFQASETHPFVMILSSRKEVQAELVRQVLIFLAECKQCGMVEVCRFLTPFLNSAIVRMSSLVSLTSFVRDLLSSIASLCCSLPHEAIPITKLLIGRIKYFPCKNEDDYTEIFYLVECLVDAYSVVLRQLVGNGLLVHEAQLCSVELLDALFSLHNDLLKPAGSVEYILEVSRRLLVVQKELGLSFLPELSSAISFIFIILVHSELEHEQLSALKLLCFILKWKNDSGKDVHRSSCLINEELLFIFPVINLVYSPTKSVKQAAIDLLSVLRKLSINLLAAPRTEVSTEKKHPSISTSAHIAVRLLQSLWLQDQSSLPGVFYLNFASGIESSVDKHNKAKPWTSMLSEYMQRVISRRKSSQSISESHESLHTEMPLLLGGIACVLLMHQSLGHSAGDLLAICNKMDPKLGVPLMLVILFYNHIYSDNKNVVSHGMQLKLMGMLPSLASHSAMLPLVVQTILPMLQKDDKSVLYATAIRLICKTWECNDRVFGSLQGVLHANKFTEFASDREIYISMALSICDVCRKDPDRGVDLILSVEACIKHQDSFIQSLGLESLAHLCEADVVDFYTAWDVIAKQLNYSGNATVACSLCHLLRWGAMDAESYTEAAIGVVEVLWEIGTSATVGHGWSKARASAFEALTHYEVGQFQGSLPDFNERNLEFLTSETDLEVLRALEALEVKIINHEHITRRRVVKQKRLPKSKIEKLLDVFPQVMFASGRNSILHVLCQITEMCRSGRIAAQNPQYYHLCIQLAGNGRRARELPGAALFCLSFTLKGLNMPGASEALQDVLDKYKNAVVEIADSLQLSRNVLIALLALQSWKPFMQRWIRARIMLLDTKVHSAVLDKTSNAAKEILKCLIEIAERSPPRSAENIALAIGALCSVLPLSDHAIKSTASKFLLSWLFQHEHEYRQWSAAISLGLISSFLHVTDRKQKVEYINALLEVLSVSKSTLVKGACGVGLGFSCQDLLTKSGAEDNFHLSKGALRMEEIDLLKKIIGSLSKLICVLTQSSSDVLINAFSWLQLGSDDFDSNITPKFLGQCSDDFEEDVWGVAGLILGLGSSVGAIYRAGFYDAVLNLKTLLFSWIPNLNPSIPFLTTSAKSELLFSVGACLAAPIVMAFCHRVELMDGTEIDQLVGCYKHLISELVSVQKFSTLHQSLLMASCIGAGSLLGTILNGSLHSLKVEHVKDLLALFRKSYSDPNPPLIHLGATIGAESSYINGPLLSSPALEPDLTSLVQEIFLVAQNPDADQLQQYAAWAASFLRHSLQLQEHYNEATTVSGAGTKNVSHIFSEDSTVLKLSLWLSHLKHPGTGNISHVNTISMVLRCLEHAPRLPSLDWGAIIRRFMRYESEVAELLSQDVTFKKGHLREECLLFSLSHAHQFDHLLIFLDELCDFARMRTLEPTLQSCILVHLADLTKIFSSSRLVKLFNDVACFLSWLVSSGLYSPEQKSSLRFSCWRGLNQCLNESSLDTQSYTSEIENCMKVLFDLLPQFPSVGSRELYQANIIEEWSEAVRCLGKSEQGWLLDLLQISEVNLIEANGQQLESVKKIQVKSRLVQTGSVPFSELGKLKAYMLNCRCEVIWDALLGVTETLHYADGSVKRQWLVNAMEISCVSKFPSTALQFIGLLCGSCCRYMPVLVVDQMTVLSDLPVTLTSLLSDSNWAVVAESVVSHLWSLTLRVYNLAKHTADDYPPNQLPIDSSEYDKAAFLFQVLHHSCISLKNYLPPEKLLQLTNITIH >itb03g00640.t5 pep chromosome:ASM357664v1:3:321619:328223:-1 gene:itb03g00640 transcript:itb03g00640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MDAESYTEAAIGVVEVLWEIGTSATVGHGWSKARASAFEALTHYEVGQFQGSLPDFNERNLEFLTSETDLEVLRALEALEVKIINHEHITRRRVVKQKRLPKSKIEKLLDVFPQVMFASGNGRRARELPGAALFCLSFTLKGLNMPGASEALQDVLDKYKNAVVEIADSLQLSRNVLIALLALQSWKPFMQRWIRARIMLLDTKVHSAVLDKTSNAAKEILKCLIEIAERSPPRSAENIALAIGALCSVLPLSDHAIKSTASKFLLSWLFQHEHEYRQWSAAISLGLISSFLHVTDRKQKVEYINALLEVLSVSKSTLVKGACGVGLGFSCQDLLTKSGAEDNFHLSKGALRMEEIDLLKKIIGSLSKLICVLTQSSSDVLINAFSWLQLGSDDFDSNITPKFLGQCSDDFEEDVWGVAGLILGLGSSVGAIYRAGFYDAVLNLKTLLFSWIPNLNPSIPFLTTSAKSELLFSVGACLAAPIVMAFCHRVELMDGTEIDQLVGCYKHLISELVSVQKFSTLHQSLLMASCIGAGSLLGTILNGSLHSLKVEHVKDLLALFRKSYSDPNPPLIHLGATIGAVNAFGAGAGTLIQDHPSFSSHATNNQKESSYINGPLLSSPALEPDLTSLVQEIFLVAQNPDADQLQQYAAWAASFLRHSLQLQEHYNEATTVSGAGTKNVSHIFSEDSTVLKLSLWLSHLKHPGTGNISHVNTISMVLRCLEHAPRLPSLDWGAIIRRFMRYESEVAELLSQDVTFKKGHLREECLLFSLSHAHQFDHLLIFLDELCDFARMRTLEPTLQSCILVHLADLTKIFSSSRLVKLFNDVACFLSWLVSSGLYSPEQKSSLRFSCWRGLNQCLNESSLDTQSYTSEIENCMKVLFDLLPQFPSVGSRELYQANIIEEWSEAVRCLGKSEQGWLLDLLQISEVNLIEANGQQLESVKKIQVKSRLVQTGSVPFSELGKLKAYMLNCRCEVIWDALLGVTETLHYADGSVKRQWLVNAMEISCVSKFPSTALQFIGLLCGSCCRYMPVLVVDQMTVLSDLPVTLTSLLSDSNWAVVAESVVSHLWSLTLRVYNLAKHTADDYPPNQLPIDSSEYDKAAFLFQVLHHSCISLKNYLPPEKLLQLTNITIH >itb03g00640.t2 pep chromosome:ASM357664v1:3:321619:332163:-1 gene:itb03g00640 transcript:itb03g00640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MDAYSPLLEKIRIPQPSFQKLAVISIFEKLRSAPPHLGPDSYPGTEAITQCLHSASPAVVDQSVRELCRLVRDSKLDLSRGLLELQSALEATASRFVNLFVRGLGYLVRLGFQNNPHSFQFQASETHPFVMILSSRKEVQAELVRQVLIFLAECKQCGMVEVCRFLTPFLNSAIVRMSSLVSLTSFVRDLLSSIASLCCSLPHEAIPITKLLIGRIKYFPCKNEDDYTEIFYLVECLVDAYSVVLRQLVGNGLLVHEAQLCSVELLDALFSLHNDLLKPAGSVEYILEVSRRLLVVQKELGLSFLPELSSAISFIFIILVHSELEHEQLSALKLLCFILKWKNDSGKDVHRSSCLINEELLFIFPVINLVYSPTKSVKQAAIDLLSVLRKLSINLLAAPRTEVSTEKKHPSISTSAHIAVRLLQSLWLQDQSSLPGVFYLNFASGIESSVDKHNKAKPWTSMLSEYMQRVISRRKSSQSISESHESLHTEMPLLLGGIACVLLMHQSLGHSAGDLLAICNKMDPKLGVPLMLVILFYNHIYSDNKNVVSHGMQLKLMGMLPSLASHSAMLPLVVQTILPMLQKDDKSVLYATAIRLICKTWECNDRVFGSLQGVLHANKFTEFASDREIYISMALSICDVCRKDPDRGVDLILSVEACIKHQDSFIQSLGLESLAHLCEADVVDFYTAWDVIAKQLNYSGNATVACSLCHLLRWGAMDAESYTEAAIGVVEVLWEIGTSATVGHGWSKARASAFEALTHYEVGQFQGSLPDFNERNLEFLTSETDLEVLRALEALEVKIINHEHITRRRVVKQKRLPKSKIEKLLDVFPQVMFASGNGRRARELPGAALFCLSFTLKGLNMPGASEALQDVLDKYKNAVVEIADSLQLSRNVLIALLALQSWKPFMQRWIRARIMLLDTKVHSAVLDKTSNAAKEILKCLIEIAERSPPRSAENIALAIGALCSVLPLSDHAIKSTASKFLLSWLFQHEHEYRQWSAAISLGLISSFLHVTDRKQKVEYINALLEVLSVSKSTLVKGACGVGLGFSCQDLLTKSGAEDNFHLSKGALRMEEIDLLKKIIGSLSKLICVLTQSSSDVLINAFSWLQLGSDDFDSNITPKFLGQCSDDFEEDVWGVAGLILGLGSSVGAIYRAGFYDAVLNLKTLLFSWIPNLNPSIPFLTTSAKSELLFSVGACLAAPIVMAFCHRVELMDGTEIDQLVGCYKHLISELVSVQKFSTLHQSLLMASCIGAGSLLGTILNGSLHSLKVEHVKDLLALFRKSYSDPNPPLIHLGATIGAVNAFGAGAGTLIQDHPSFSSHATNNQKESSYINGPLLSSPALEPDLTSLVQEIFLVAQNPDADQLQQYAAWAASFLRHSLQLQEHYNEATTVSGAGTKNVSHIFSEDSTVLKLSLWLSHLKHPGTGNISHVNTISMVLRCLEHAPRLPSLDWGAIIRRFMRYESEVAELLSQDVTFKKGHLREECLLFSLSHAHQFDHLLIFLDELCDFARMRTLEPTLQSCILVHLADLTKIFSSSRLVKLFNDVACFLSWLVSSGLYSPEQKSSLRFSCWRGLNQCLNESSLDTQSYTSEIENCMKVLFDLLPQFPSVGSRELYQANIIEEWSEAVRCLGKSEQGWLLDLLQISEVNLIEANGQQLESVKKIQVKSRLVQTGSVPFSELGKLKAYMLNCRCEVIWDALLGVTETLHYADGSVKRQWLVNAMEISCVSKFPSTALQFIGLLCGSCCRYMPVLVVDQMTVLSDLPVTLTSLLSDSNWAVVAESVVSHLWSLTLRVYNLAKHTADDYPPNQLPIDSSEYDKAAFLFQVLHHSCISLKNYLPPEKLLQLTNITIH >itb07g18260.t1 pep chromosome:ASM357664v1:7:22704070:22711973:-1 gene:itb07g18260 transcript:itb07g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCALLGDLGYEAHQSLDPDSFEWPFQYDDTRPILDWLCSSLRPSNVLSPSEVSQYEQFLQEGKLLEGEDLDFAYDSISAFSTRRDNQEAVFGSEEGLKDIRDATVALKSEALELQKQLRCLQSQYDMLTGQASSLIQGRRARVAASSVVNGQLTAIDDSLSARNLEMNAVLGRMVSTAQELTHYHSGDEDGIYLAYSDFHQYLVVDASCIKELNQWFTKHLDTGPYRLVAEEGKSKCSWVSLNDISNVLLQDLEKSHHQRVSELQRLRSIFGTSERQWIEAQVENAKQQAILTTLKTQVTSDEAHIHLDLHSLRRKHAELVGELSSLYRNEEKLLSETIPDLCSELAQLQDTYILQGDYDLKVMRQELYINRQKMFISHLVNLLSRHQFLKMSCQLEKKTMLGAYSLLKVIESELQGYLSATQGRVERCMALIQAASDVQEQGAVDDRDTFLHGVRDLLSIYSNAQAGLSTYVSAPGIIQQISSLRSDLMTLQYDLEHTLPEDRYKCINKLCTLVQSLQQLLFASSTTAQPILTPRTLMKELDEMEKANAKLSTAVEEVTHEHWKKNEIVKHHKEEVALQRRVFVDFFCNPERLRNQVRELTARVRALQAS >itb08g04280.t1 pep chromosome:ASM357664v1:8:3397179:3400013:1 gene:itb08g04280 transcript:itb08g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMERKPSHIQAPTYGKLVTVLSIDGGGIRGIIPAIILDYLESQLQELDGEDARLADYFDVISGTSTGGLVTAMLTAPDEKGRPLYAAKDIKPFYLDNCPKIFPQKGGWFGKIWKLIVSVFGPKYNGKYLHSLVKDELKQIRLKDTLTNVVIPTFDIKNLQPVIFSTFEAKRCPKMDPYLSDICIGTSAAPTYLPAHNFQIEDPKQGTEPPTEYNLIDGGVAANNPTLVAITQVTKQIFDHNPDFSLIKPTDFQRFLVISIGTGAAKNEKKYDSIQAAKWGIFRWLFNKSSTPLIEVFSQSSADMVDLHNSVVFQALHSEDSYLRIQDDSLAGTVSSVDIATKENLENLVKTGENLLEKQVSRVNLGTGVSEPVANSGSNKDALKRFAKLLSDEKKLRDLKSPVTGHQQKLDQSNA >itb10g19530.t1 pep chromosome:ASM357664v1:10:25348891:25351329:-1 gene:itb10g19530 transcript:itb10g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAERWEVLSGSTNWKGLTDPLDLDLRNYLIHYGEMTQATYDTFNAELASKYAGSSRYSEANLFAKVGLDKGNPYKYEVTKYFYATSSYTLPDAFIIKPIPLDAWSRESNWMGYVAVATDQGKAALGRRDVIVCWRGTIRTLEWVSDLEFLLVPAPEIFGVGASPMVHRGFSNLYSTENPDSAFNIISARDQVLAEVKRLVELYKNEEVSITVCGHSLGASLATLNAIDIATNGANTTTDGKSFPVTAFVYASPRTGDHNFKNAYDATPNLHLLRIRNVPDIVPQVPPATPLIGYTDVGVELTIDVTKSGYVKPPGDVASWHLLEPYLHGIAGTKGVGLLDGFELVVNRDISLINKLLDYLKEEYCIPGNWWVEKNKGMVQRDDGSWELLDNEEGYVPLPP >itb03g00500.t1 pep chromosome:ASM357664v1:3:243344:249166:-1 gene:itb03g00500 transcript:itb03g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVDGISSLPNGHSSVAAANCIASSVPRASRPPHHPSMKQYRYEGSSSSSYPIAGDFESKSLLSSKGSMVSAKSYKTSDNNLEISHVHVQSDIVSTSVGDHLESNKGKKEFLGVEDFVPKAEGLSGKHLVIDSQNYKSNECLEPGEFTSQNAAKESSIDLLNGQLPPQSGYCPSPQNSFYSATLYAEAKESFTNTEVSECASSVDKSGDSGEVSNSCDFVESTKTSVYRASTGSDVSDESSSSSLSSAVSKPHKANDTRWEAIQAVRSNDGTLGYNHFRVFKRLGCGDIGSVYLAELIGTRSYFAMKVMDKATLESRKKLVRAQTEREILQSLDHPFLPTLYSHFETEKSSCLVMEFCPGGDLHALRQRQPGKYFQENAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSSNSNIESKKSGYCIEPSCIQPACFTPRFLSRAKKDKKKSSKPKTEIHSQVTPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGQGNRATLFNVVGQPLRFPDSPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCASPPDVPKPFIIQQPAVQKSPAATKVQSGVDVKSSDNYFEIDFF >itb04g11730.t1 pep chromosome:ASM357664v1:4:11382596:11385280:1 gene:itb04g11730 transcript:itb04g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEELYLDSNQFSSIPQDFLLGLPNLRTFSISDNGNLSPWQIPSYLAESTNLESFYASNAGITGVIPNFFDSFPNLQNLRLSYNNLTGSLPGSFGSSKIQSLWLNSQQQGLGLSGTIDVLSSMTQLTQLKDNQLTGVVPVSITALQNLANITLQNNNLQGPIPDFGNNVKINVFGNSFCKDTPGPCDPQVTALLAFAGGLGYPITLTQSWEGNKACNNWTSIFCDAQGNVITVTLRNQGFSGTISPALANLTSLRNIYLNDNNLTGPIPESLTTLPHLQVLQVSNNNLSGPIPVFPPSVKFSHGGNLFLGNPLSGNSDAPIPSDNPGGGSPVSGLNSDARIFSDNSNTVSISVGMIVGGVITVIVGVVVFFVSYKCYMKRQHKMKVNVKGTAVLTEIKKLDIVNCGKTFHVHEDGNIAIPIQVLEKATNFFSEENVLGSGGYGVVYLGELDDGTKVAVKTMKDGASHTKGMNEFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQGTLGHHLFEWEKHGFDPLTWKQRVTIALYVARGIEYLHSLAHQSFIHRDIKYSNILLSDDMRAKVADFGLIRKVPNDKSCFETRVAGTFGYLAPEYATTGRAINKVDVYAFGVVLMEIITDKKTVDETLPDETCHLVTWFNKIIRKGHNLKNTIDPTLDLDDQTFESISKVAELASHCTANKYFRRPNMEHVVNVLGPFAQKWKPLRPEEIEEKYGGFDLYMSLPLAFEDSSIESLSFTEAQLNRYHLNQSAQF >itb12g17420.t1 pep chromosome:ASM357664v1:12:19510776:19511381:1 gene:itb12g17420 transcript:itb12g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLDADVSATAKRKLDEYSDDAFASECLIAAAIRIRKDQAGPTSAADSTQVSDLRSTSAVAVSTSRSSASFAAASSSSSAFASFSVLPSTKILVFEQQLIYRGKQLQCDQTLAECDIQKDAILELVGRMQSTSHPQAWQFIDDMVWKIFELCKSKTLQPSA >itb13g23050.t3 pep chromosome:ASM357664v1:13:29182009:29184072:1 gene:itb13g23050 transcript:itb13g23050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCKSVRDSSSPFDAIIFDMDDTLYSSKVGIGQALKKNIDDFLVEKCGFPETEASALRVDLFKKYGSSLAGLRALGYDIDADEYHSFVHGRLPYNLIKPDPELRSLLCSINQRKIIFTNSDRVHAMKVLDRIGIKDCFEQIICFETMNPNLSRSSRPDEFPVVLKPAMEAMNIAVDFADVDPRRTVASQFSSITFNFNTSFISKPNVSDSPCNFSSSSMTTRETLPPETP >itb13g23050.t1 pep chromosome:ASM357664v1:13:29182009:29184072:1 gene:itb13g23050 transcript:itb13g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCKSVRDSSSPFDAIIFDMDDTLYSSKVGIGQALKKNIDDFLVEKCGFPETEASALRVDLFKKYGSSLAGLRALGYDIDADEYHSFVHGRLPYNLIKPDPELRSLLCSINQRKIIFTNSDRVHAMKVLDRIGIKDCFEQIICFETMNPNLSRSSRPDEFPVVLKPAMEAMNIAVDFADVDPRRTLFLDDNTRNIAAGNAVGLRTALVGTATKTKESDYAMEKVTDMMQVIPEIWFGEEVRCSRSEMDSYLATTSVGA >itb13g23050.t2 pep chromosome:ASM357664v1:13:29182009:29184072:1 gene:itb13g23050 transcript:itb13g23050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCKSVRDSSSPFDAIIFDMDDTLYSSKVGIGQALKKNIDDFLVEKCGFPETEASALRVDLFKKYGSSLAGLRALGYDIDADEYHSFVHGRLPYNLIKPDPELRSLLCSINQRKIIFTNSDRVHAMKVLDRIGIKDCFEQIICFETMNPNLSRSSRPDEFPVVLKPAMEAMNIAVDFADVDPRRTLFLDDNTRNIAAGNAVGLRTALVRAICYNHFLVIFFNE >itb13g04660.t1 pep chromosome:ASM357664v1:13:5560455:5566157:-1 gene:itb13g04660 transcript:itb13g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGRYPPGIGNGRGGGGSGYGGGGFRSGHNSGGYNQPRNPHYQYAQQNPPQPQQYGQRTLQNQPQQQQQQWLRRNPSAAASESSSNEVLKTIQSEAIDSSSQDWKARLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDTETNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTNLKDDIMRLYQPVHLLVGTPGRILDLAKKGICILKDCAMLVMDEADKLLSPEFQPSVEHLITFLPEYRQILMFSATFPVTVKDFKDRYLRKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNKVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYKIEQELGTEIKPIPPHIDQAIYCQ >itb13g04660.t2 pep chromosome:ASM357664v1:13:5560455:5566157:-1 gene:itb13g04660 transcript:itb13g04660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGRYPPGIGNGRGGGGSGYGGGGFRSGHNSGGYNQPRNPHYQYAQQNPPQPQQYGQRTLQNQPQQQQQQWLRRNPSAAASESSSNEVLKTIQSEAIDSSSQDWKARLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDTETNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTNLKDDIMRLYQPVHLLVGTPGRILDLAKKGICILKDCAMLVMDEADKLLSPEFQPSVEHLITFLPEYRQILMFSATFPVTVKDFKDRYLRKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNKVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYKIEQELGTEIKPIPPHIDQAIYCQ >itb10g17370.t1 pep chromosome:ASM357664v1:10:23581377:23584635:1 gene:itb10g17370 transcript:itb10g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MRVSCVPRCCVSTACSVHPTEKRNVGEGGENPNLQTPKRSRQLNLLKSLAPPLMAALVAFNPLFTPPVSLGQTIDVQKGASLFNRACIGCHTAGGNIIQPGATLFLKDLQRNGVDTEEEIYRVTYYGKGRMPGFGEECTPRGQCTFGPRLQEDEIKLLAEFVKSQADQGWPDGESGGN >itb10g17370.t2 pep chromosome:ASM357664v1:10:23581371:23583910:1 gene:itb10g17370 transcript:itb10g17370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MRVSCVPRCCVSTACSVHPTEKRNVGEGGENPNLQTPKRSRQLNLLKSLAPPLMAALVAFNPLFTPPVSLGQTIDVQKGASLFNRACIGCHTAGGNIIQPGATLFLKDLQRNGVDTEEEIYRVTYYGKGRMPGFGEECTPRGQCTFGPRLQEDEIKLLAEFVKSQADQGWPDGESGGN >itb08g00950.t1 pep chromosome:ASM357664v1:8:678283:682047:1 gene:itb08g00950 transcript:itb08g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFREDDPRIDGIQSRIRVVPDFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISIVAGMEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVLRQEYDLTYGSDCLEMHVGAVEAGDRALVVDDLIATGGTICAAMDLLERAGAEVVECACVIEVPELKGRDRLNGKPLYVLVEWQ >itb08g00950.t2 pep chromosome:ASM357664v1:8:678283:681123:1 gene:itb08g00950 transcript:itb08g00950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFREDDPRIDGIQSRIRVVPDFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISIVAGMEARGFIFGPPIALAIGAKFVPLRKPKKLPGKVLRQEYDLTYGSDCLEMHVGAVEAGDRALVVDDLIATGGTICAAMDLLGMLSNSTLLVGCSLK >itb01g06890.t1 pep chromosome:ASM357664v1:1:5098835:5104929:1 gene:itb01g06890 transcript:itb01g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYMYTILIPIILIFYPIFLEAQSGHLPQHELNALKEIAEQMGKKDWDFGLNPCDNNSNWMTPERKDMPAYNNTLTCNCTFPGGICHVQSLILKGQDLQGVLPPALVKLPFLKIIELSRSYLSGTIPPEWASIKLEYLSVTVNRLSGPIPKYLGNITTLIHLNLQNNMFSGTIPPELGRLSNLENLILSDNYLTGELPKELNALTSLTELRLSRNNFTGKMPSFQGLKNLQRLELQASGFAGPIPENIFVPTNLTELRISDLNGVSRFPTFNNMTGMTTLMLRRCNISGKIPDIANMKGLQQLDLSFNNLEGEIDVLQGLENLQYLYLTNNSFSGQVPQWVLNRDSRYFADLSYNNFEASSVPPICNRETLNLFKSYNGGENSNIGKCLMDCTKEWYSFHINCGGGSVLIGDTTYDADDDSGPAKFVSNRENWVTSNTGYFWDKSTGPLDNRATNISVIRGKDSEIYKTARLSPLSLTYYGRCLANGNYTVKLDFAEIVLRDNRSFQSLGRRVFDVYIQGQRKLKDFDIETAARGVDKVIVKQFQAIVRDKTLELRFEYAEKGTTVVPLPGVYGPLISAISVESDFNPPKNIKTLIIVVATASSLFLVFTILCFVGWKIYIRSKTSKQRELRGLDLRTGLFTLRQIKAATNNFDASNKIGEGGFGPVYKGTLLDGTVIAVKQLSSQSRQGNHEFLNEISMISCFHHPNLVKLYGCCVEGKQLLLVYEYLENNSLSHALFGPEDCQLKIDWPTRHRICVGVAKGLAFLHEESEIKIVHRDIKGTNVLLDKELNPKISDFGLAKLDDGENTHISTRVAGTRGYMAPEYVLWGYLTFKVDVYSFGVVALEIVSGKNNVKYRPNENCICLLDWALDLQKKGNLMELIDLRLGSDFDKEQALRMIKVALLCTNPSPVLRPSMSAVVSMLEGRDDIPEYKSDLHEFNLQAMRDCYDGTPLGLSDSPYNLNFSSNVN >itb03g23340.t1 pep chromosome:ASM357664v1:3:21550107:21554268:1 gene:itb03g23340 transcript:itb03g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPSLIRRVSVLEVDGFDSSEEHGDTKRTGDAAAVESGEAKILHSVSISMPPSPIGATKTPRSSKFKDKRFDSFKTWSGRCEKQISSARSKQRQLQQEESDGSDHNNNEMESISVDRYYDALEGPELDTLKASEEGVLLPEDRTWPFLLRFTIGSFGMCLGVSSQAILWKALATAPSTEFLHVSLDVNLVLWFISVALFCIIASIYALKTILYFEAVRREYYHPVRVNFFFAPWIVVLFLGLGVPPSVTENLPSSLWYVFMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGATMGLREGPIFFFAIGLAHYMVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFGDGAKSVRINFFRGFKFSLAWWAYTFPMTGAAVATIRYSSVVTNTLTKSLAVILSAAASLTVTFLLVTTIIHAFVLGDLYPNDIAIAICERRPKSHRLWNNTASDTSSDANQIDMYLKYADTKDVER >itb03g23340.t2 pep chromosome:ASM357664v1:3:21550107:21554373:1 gene:itb03g23340 transcript:itb03g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPSLIRRVSVLEVDGFDSSEEHGDTKRTGDAAAVESGEAKILHSVSISMPPSPIGATKTPRSSKFKDKRFDSFKTWSGRCEKQISSARSKQRQLQQEESDGSDHNNNEMESISVDRYYDALEGPELDTLKASEEGVLLPEDRTWPFLLRFTIGSFGMCLGVSSQAILWKALATAPSTEFLHVSLDVNLVLWFISVALFCIIASIYALKTILYFEAVRREYYHPVRVNFFFAPWIVVLFLGLGVPPSVTENLPSSLWYVFMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGATMGLREGPIFFFAIGLAHYMVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFGDGAKVAYFIALFLYFSLSVRINFFRGFKFSLAWWAYTFPMTGAAVATIRYSSVVTNTLTKSLAVILSAAASLTVTFLLVTTIIHAFVLGDLYPNDIAIAICERRPKSHRLWNNTASDTSSDANQIDMYLKYADTKDVER >itb12g02660.t1 pep chromosome:ASM357664v1:12:1732872:1735440:-1 gene:itb12g02660 transcript:itb12g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVVKWHSVSRLTLPWVRVSVETPTPTLPPPSTAADSQGKVQFASSYAIHHLHIFIFALAVTHVLYSITTWGLGRLKMMTWKAWEDGTRTLNYQFYNACSSFFSYQHQWNIDALLVLFDDNLGASYLRSPTGECVKTSSQKC >itb09g30410.t1 pep chromosome:ASM357664v1:9:31050676:31054764:1 gene:itb09g30410 transcript:itb09g30410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDRSKESSAILMPPPSPYTPLLAGKSASPTRRRDFASALAAVSLAALVGVTVFLVVALRVDNAGKSKTPAIVSSVLPNATVTRPPPAVARGVAEGVSNKSFGPSGVDQPFIWTDDMLSWQRTAFHFQPMKNWMNGPLFYKGWYHLFYQYNPESAVWGNIVWGHAVSRDLIHWRHLPIAMVPDHWYDANGVWTGSATFLPDGQLLMLYTGATNDYVQNLAYPEDLSDPLLLKWVKYEGNPVLVPPSGIGSRDFRDPTTAWYSEAQGRWHIMIGSKINRTGITMVFDTEDFKHFRLVNGLAHGVAGTGMWECVDFYPVSTTEGIGLDTSYVGPNIKHVLKTSLDDDRNDYYALGTYQEPGEKWRPDDPTIDAGIGLRYDYGTYYASKSFYDPSKKRRVNWGWITEIDSETSDLQKGWASLQGIPRTILFDNKTGTNLIQWPVEEVEKLRGQRSKFEKVEVKPGSVVQLDVGKADELDIVAEFEVEKDAFEKAVGSDIPYSCAAGAAQRGVLGPFGLLVIAKDDFSEHTPIYFYIAKDTKGNFKTFFCADHLRSSLANDVRKITYGSTVSVLDGENFSVRILVDHSIVESFGQGGRTCITSRIYPTSAVGEDAKVFVFNNATDATITASVEIWQMSEMDSAAPHNFNNWGTCTYIIFIVFFFLL >itb13g18030.t1 pep chromosome:ASM357664v1:13:25077020:25083212:-1 gene:itb13g18030 transcript:itb13g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLGANVTSGEEVAVKLESIKTKHPQLHYESKIYMLLQGGTGIPHLRYFGVEGEYNAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQFLNRVEYMHARGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLHTHKHIPYRDNKNLTGTARYASVNTHRGIEQSRRDDLESVGYVLMYFLRGSLPWQGLKAGTKKQKYDRIAEKKMLTPIEVLCKSYPSEFMSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPHIGGSGGSRGRQQTARLPLNPGPSVERAEKTPVKQEVRDRSSGALETFVRRNHSGTGLHGEHSRHRSSADMTVSKDMQADAEKWRGSRTGSSSRKAVASSSKPSSTEPTENRTLRLSSSSGHLSSAQRVQQPGHESKASSFTRNAATKSGGQDEALRSFELLTIGTGRRK >itb15g01950.t1 pep chromosome:ASM357664v1:15:1156276:1160677:-1 gene:itb15g01950 transcript:itb15g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKRSRFQRICVFCGSSPGKKPSYQEAAIELGKVLVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPTTLMTREITGETIGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVEGYYNSLLSFIDKAVDEGFVSPTARRIIVSAPTAKQLVRELEEYVPFCDEITSKLIWEDGGRLSLAEDVGRLSLAESGVPTA >itb08g06870.t1 pep chromosome:ASM357664v1:8:5881943:5883447:-1 gene:itb08g06870 transcript:itb08g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGFEVDLSKPLVFQVGHLGDAYDEWVHQPIVSKEGPRFFGNGFLEFLTRTPWWAIPTIWLPVVWWFASTSVNMGLPTPQLAVTLLASLAAWTLLEYSLHRFLFHVKTRGYWANTFHYLMHGCHHKHPMDGMRLVFPPAATTIMLMPLWGLVKLLAPPIYVYAILGGALLGYVMYDCTHYYLHHGKPLTGMSQSLKRFHMDHHFRNQDKGFGITSTFWDIVFGTLPPPRSTKKSR >itb10g07070.t1 pep chromosome:ASM357664v1:10:8218385:8218855:-1 gene:itb10g07070 transcript:itb10g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLHLAKCAAVISSLKQQETMASGRGVVVGRRLVKLQDDGCDSIGSRAAGSGSPQLRSSPHRVFTLLHDVAAAWRCGIMNLRWKNAGAPLPFDVSIAGPSVLRGGFGRRWSRRDSERWCLSLRCNTAAVAGDGVSWVAFPLLVGVQRCMAADGGR >itb06g13550.t1 pep chromosome:ASM357664v1:6:18216851:18217737:1 gene:itb06g13550 transcript:itb06g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEERKPSVEISKLKFTYPGIDGHPPPGSTPLIDDFSLTLFPGDRYLLVGSNGAGKTTILKILGGKHMVEPDMVRVLGRSAFHDTALTASGDLCYLGGEWRREVAFAGFEVPIQMDVSAQKMIFGVAGVDPQRRDELIKV >itb08g04750.t1 pep chromosome:ASM357664v1:8:3902117:3903694:1 gene:itb08g04750 transcript:itb08g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPFTPIPANQTGSSGESLDCPQAQVPLYTTPNSTLSTVLPYNGVHPSPAAAAAATSYPAPAAATAFPPPGNPAVPTSYPPNPNPNPNPNPNPNLNPNPNLPSQMPFLFSSSLAAPVPYPPPAAAASAAPVHCPTYSSNSEPYVPAPYPPQTHSSSYPTYPYAPPGAAYPPPPGAAYPPPPAATPAGGYPPQPPHAPGVYPPVWSSGSPWPPAPANEHYQNPPPSLGVYPPPPAAGAYPPPHY >itb08g04750.t2 pep chromosome:ASM357664v1:8:3902249:3903694:1 gene:itb08g04750 transcript:itb08g04750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPFTPIPANQTGSSGESLDCPQAQVPLYTTPNSTLSTVLPYNGVHPSPAAAAAATSYPAPAAATAFPPPGNPAVPTSYPPNPNPNPNPNPNPNLNPNPNLPSQMPFLFSSSLAAPVPYPPPAAAASAAPVHCPTYSSNSEPYVPAPYPPQTHSSSYPTYPYAPPGAAYPPPPGAAYPPPPAATPAGGYPPQPPHAPGVYPPGTSLL >itb13g10770.t2 pep chromosome:ASM357664v1:13:15691889:15692547:-1 gene:itb13g10770 transcript:itb13g10770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLEQYKRTPRLNVLGINLLTRFLSAISVRPILFPLPFLYSSINLGQRQFFSAEVRGFGDSSSGFVGDKFLLGSFKIVLYDINSLAEVLSGSFFHFLSYSLNCEL >itb13g10770.t1 pep chromosome:ASM357664v1:13:15689352:15692547:-1 gene:itb13g10770 transcript:itb13g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLEQYKRTPRLNVLGINLLTRFLSAISVRPILFPLPFLYSSINLGQRQFFSAEVRGFGDSSSGFVGDKFLLGSFKIVLYDINSLAEVLSESIGALKKL >itb02g02550.t1 pep chromosome:ASM357664v1:2:1494690:1498215:-1 gene:itb02g02550 transcript:itb02g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAGASFVSPVKTESRRLAVGRPSVDVCGNNGKVEYGRRPASVLVVRGCCPGDSLSNAAGNGIYTGGGINSSNNDFLPRPRAFPPCLPHLLRDSEEIKLGDGASEFSDTESEPSHEGRSIGFPYRDPSEKIVLAVDIDEVLGNFVSAINQFIADRYSSHHSVSEYHVYEFAKIWNCSKDEANIRVHEFFDSSYFKTAIHPIPGAQQALENLSKVCNMSIVTSRQYAIQDHTIQWIETHFPGLFQKIHFGNHFALNGKSISKSEICRSLGAEVLIDDNPTYAIECAEAGIKVLLFDYENSYPWSKTELVNGHPLVTRVHNWGDVELQFASWILPSNNNAIPN >itb02g02550.t2 pep chromosome:ASM357664v1:2:1494690:1498215:-1 gene:itb02g02550 transcript:itb02g02550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAGASFVSPVKTESRRLAVGRPSVDVCGNNGKVEYGRRPASVLVVRGCCPGDSLSNAAGNGIYTGGGINSSNNDFLPRPRAFPPCLPHLLRDSEEIKLGDGASEFSDTESEPSHEGRSIGFPYRDPSEKIVLAVDIDEVLGNFVSAINQFIADRYSSHHSVSEYHVYEFAKIWNCSKDEANIRVHEFFDSSYFKTAIHPIPGAQQALENLSKVCNMSIVTSRQYAIQDHTIQWIETHFPGLFQKIHFGNHFALNGKSISKSEICRSLGAEVLIDDNPTYAIECAEAGIKVLLFDYENSYPWSKTELVNGHPLVTRVHNWGDVELQFASWILPSNNNAIPN >itb15g23410.t1 pep chromosome:ASM357664v1:15:26224937:26227045:1 gene:itb15g23410 transcript:itb15g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQSSSSRQQLQVVSICGMPGTGVTTLAKTAYEDPFIVSHFDIRAWTVASRPYTRRKLLIAILGSIVSSFTTEISNKDDNQLAQQLCKILTGQRYLIVIDNLWTTEAWDELKASFPPNSNGSRVLITTADQRVARSLSSGMRIFHKRFLNPEEGWNLLSKNASNGKYCPSREIELIGRSIANKCQGIPLAIVLIGRLLATLNNSPNQWEDIETQFNNPLIRYNDPSRILWHTVEVCYNYLPSYLKACFLYLGGFPESTEIHVKKLIKLWIAEGFLKSEMNKSSEEIAEDYLCDLINRKLVQVHKRSLDGKIKSCSLCDFQWFKLQRLQTLILSSWAKECQLQLPCNVLDLPWLRHVRYDKGCSSHLPNMVQKNLQTLSWFKVTGEDSRTTNFTKVPNLKELGIYLEGEVLSNALDSLAQLCRLEKLKIKMGRVERFNLPNSFPSNLKQLTLNNTYLSWEDMDIIGKLPNLDLLKLKDFAFRGPEWTPKDGEFLQLRIFLIERSDLEHWNANANHFPELERLILRYCSDLEKLPNDFKEIRTLRLIELGNCCSYLVTSAKEIQRQAERDFGYKRFIVRDVTKVSL >itb02g02150.t1 pep chromosome:ASM357664v1:2:1181937:1184909:-1 gene:itb02g02150 transcript:itb02g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGKASVACASWIRRPENAHLVVIGKTNPSSLEIFSFHPKTTSLSPSPKAKYAFEGECEPVSIAVHPSGDVVVCSTTTGCKLFELYGREDNLKLSCKYLIHLQNVGPQQCLAFSVDGSRLAAGGVDGHFRLYEWPNMHIIVDEPGAHKSFQDMDFSLDSEFLASTSTDGAARIWRTSDGIPVSSLARNPDEKFGLCRFSKDGTKPFVFCTVQKGDKMLIAVWDICEWKKIGHKSLLKKPASVMSVSLDGKYLAIGSKDGDVCTIEVKKMEVSHLSKRLHLGSNIASLEFCPSERVVLTTSAEWGAMVTKLSLPAEWKEIPVFLELPRSILETNRHLKTESCLDIWNTQSYPSRDHLDPWSFNIFRDF >itb02g02150.t2 pep chromosome:ASM357664v1:2:1181937:1184909:-1 gene:itb02g02150 transcript:itb02g02150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGKASVACASWIRRPENAHLVVIGKTNPSSLEIFSFHPKTTSLSPSPKAKYAFEGECEPVSIAVHPSGDVVVCSTTTGCKLFELYGREDNLKLSCKYLIHLQNVGPQQCLAFSVDGSRLAAGGVDGHFRLYEWPNMHIIVDEPGAHKSFQDMDFSLDSEFLASTSTDGAARIWRTSDGIPVSSLARNPDEKFGLCRFSKDGTKPFVFCTVQKGDKMLIAVWDICEWKKIGHKSLLKKPASVMSVSLDGKYLAIGSKDGDVCTIEVKKMEVSHLSKRLHLGSNIASLEFCPSERVVLTTSAEWGAMVTKLSLPAEWKEWQLYLLLLGMFLASAVAFYVFFQKSQSFWNFPDPYSRPTDI >itb04g23450.t1 pep chromosome:ASM357664v1:4:28500174:28502327:1 gene:itb04g23450 transcript:itb04g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPIISVFLIALSLPTTSSQPSSNVCNGVLLSYVYDSGFVIPPTLSPSDRKDQPYRFQSTLNVLNNGLEELKSWRVFVGFQNKEMLVSAPRAVLADGRSFPADVSNGTTLAGYPVENLKTAVETAGDFNQMQARVELVGTQFGVAPPDFPMPSSIYLVNDGFSCSPTPSLGKNDTHVCCTKDPNAKTNTTRDEEEFLPRQEGDLTIMYDVTSSYESNYWAQITISNNNLIKRLDNWHLSWEWMREEFINAMRGAYPLVVDTSDCIFGKQGEFYQGLDFSKALNCERRPTIIDLPLAKTNDTIVGLVPFCCRNGTILPPAMDPAMSKSAFVMQVYKMPPYLNRSELTPPQNWKINGSSSGEGYVCGPPVRVSPTLFPDPSGLPSETAAVASWQVVCNITRSGNDQSPKCCVSFSSFFNESVIPCNTCACGCKPNPGKVCSATAPALLLPADALLVPFDNRTEKAIEFAGLKRRDLPNPLPCGDNCGVSINWHLLTDYNDGWTARITLFNWGKDDIVDWSAALQLEKAMPGFEKVYSFQGQPMTNSSNTLFLQGGSGLNYLLAERNGDNPRRDPPVPGTQQSVISFKKKNTPGINVARIDGFPSKVFFNGEECSLPVTLPSRAYRMPVVSTAFTIVLAVLVLIV >itb09g13090.t1 pep chromosome:ASM357664v1:9:8424451:8434458:1 gene:itb09g13090 transcript:itb09g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPITSPFIPPSTSSRHHIYTLRCFDSVGSSVMAFAAAAVIVPVGVLFFISGLVTNAIQATCYVVIRPFSKSTYRRINRLFAELLWLELVWLIDWWAGVKVQLYTDPETFKLMGKEHALLISNHRSDIDWVVGWVLAQGFVTAVNHMRSFVPAIYEVTVAIPKSSPAPTMLRIFKGQPSVVHIHLKRHMMKDLPENDDDIAQWCRDVFVAKDKLLDKHIAEDSFGEEQLQNIGRPVKSLVVVVSWACLLIVGAIKLFQSSALLSSWKGITVSATLLAVITILMQILINFTQSERSTPAKVAPAKRQNGKKVVVENGQ >itb01g10240.t1 pep chromosome:ASM357664v1:1:8538074:8540534:-1 gene:itb01g10240 transcript:itb01g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNEDGAQDAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDLKTSMTSIGSASQCQKCFQTGHWTYECKNERVYISRPSRTQQLKNPKLRMKVSISYDLESPDIEKDGKNEEKVKKSKRKHKSDTESGSDSEASVFESDSGAGTDSSSGGSETSYSSTDSEEERRRRRRKKKKKLQQRKRRHRRYSSTSESSDSSSDSESDSEGRSSRKKSSRRQSRKR >itb01g10240.t2 pep chromosome:ASM357664v1:1:8538394:8540480:-1 gene:itb01g10240 transcript:itb01g10240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNEDGAQDAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDLKTSMTSIGSASQCQKCFQTGHWTYECKNERVYISRPSRTQQLKNPKLRMKVSISYDLESPDIEKDGKNEEKVKKSKRKHKSDTESGSDSEASVFESDSGAGTDSSSGGSETSYSSTDSEEERRRRRRKKKKKLQQRKRRHRRYSSTSESSDSSSDSESDSEGRSSRKKSSRRQSRKR >itb10g13710.t4 pep chromosome:ASM357664v1:10:19917996:19923905:-1 gene:itb10g13710 transcript:itb10g13710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGDLWAELIASEQKRNEQRPVKPQEPEIAVIYRRRKPQTNTQQNVARRDSSTSSEVENRLSLAPPNRISWNRSLSTRGRTSIAVTACVKPQRRKPGRKAKPPLPRGKAVELPNFDKEKAYFEEVDAFDLMEESPSPKKSGTWTMAIQTDHVAIPRLTSILQKWLLSKKLNNSYAPPISLSKILETPASRRESAPYELSRYSILKASENASIQLQLGLCFNEKRCLDFTNEDISKRKASSERSIAEVHTVVEDDGGDIEVQVGKLSLTSRPSSLDAHSWDPFLALLAACGQSHPFTLLDVISNSCNPTDIVKIGEGTYGEAFKVGENVCKIVPFDGDLRVNGEIQKKAEELLEEVVLSGTLNSLRGCENDMLNCCTSFIQTIDMRVCQGPYDASLIRAWEDWEVNQGTLNDNPREFPEKQCYIIFVQEHGGKDLESFVLLNYDEARSLLVQATLALAVAEAAYEFEHRDLHWGNILLTRKASPTVQFILEGRQIHVKTFGLLISIIDFTLSRMNTGEDVLYLDLSSDPELFEGPKGDKQVQGKEFISL >itb10g13710.t3 pep chromosome:ASM357664v1:10:19917137:19923905:-1 gene:itb10g13710 transcript:itb10g13710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGDLWAELIASEQKRNEQRPVKPQEPEIAVIYRRRKPQTNTQQNVARRDSSTSSEVENRLSLAPPNRISWNRSLSTRGRTSIAVTACVKPQRRKPGRKAKPPLPRGKAVELPNFDKEKAYFEEVDAFDLMEESPSPKKSGTWTMAIQTDHVAIPRLTSILQKWLLSKKLNNSYAPPISLSKILETPASRRESAPYELSRYSILKASENASIQLQLGLCFNEKRCLDFTNEDISKRKASSERSIAEVHTVVEDDGGDIEVQVGKLSLTSRPSSLDAHSWDPFLALLAACGQSHPFTLLDVISNSCNPTDIVKIGEGTYGEAFKVGENVCKIVPFDGDLRVNGEIQKKAEELLEEVVLSGTLNSLRGCENDMLNCCTSFIQTIDMRVCQGPYDASLIRAWEDWEVNQGTLNDNPREFPEKQCYIIFVQEHGGKDLESFVLLNYDEARSLLVQATLALAVAEAAYEFEHRDLHWGNILLTRKASPTVQFILEGRQIHVKTFGLLISIIDFTLSRMNTGEDVLYLDLSSDPELFEGPKGDKQSETYRKMREVTGEFWEERLCNNSLCFVYLFLVWCLATSSKGFLV >itb10g13710.t1 pep chromosome:ASM357664v1:10:19915625:19923905:-1 gene:itb10g13710 transcript:itb10g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGDLWAELIASEQKRNEQRPVKPQEPEIAVIYRRRKPQTNTQQNVARRDSSTSSEVENRLSLAPPNRISWNRSLSTRGRTSIAVTACVKPQRRKPGRKAKPPLPRGKAVELPNFDKEKAYFEEVDAFDLMEESPSPKKSGTWTMAIQTDHVAIPRLTSILQKWLLSKKLNNSYAPPISLSKILETPASRRESAPYELSRYSILKASENASIQLQLGLCFNEKRCLDFTNEDISKRKASSERSIAEVHTVVEDDGGDIEVQVGKLSLTSRPSSLDAHSWDPFLALLAACGQSHPFTLLDVISNSCNPTDIVKIGEGTYGEAFKVGENVCKIVPFDGDLRVNGEIQKKAEELLEEVVLSGTLNSLRGCENDMLNCCTSFIQTIDMRVCQGPYDASLIRAWEDWEVNQGTLNDNPREFPEKQCYIIFVQEHGGKDLESFVLLNYDEARSLLVQATLALAVAEAAYEFEHRDLHWGNILLTRKASPTVQFILEGRQIHVKTFGLLISIIDFTLSRMNTGEDVLYLDLSSDPELFEGPKGDKQSETYRKMREVTGEFWEERLCNNSLCFVYLFLVWCLATSSKGFLV >itb10g13710.t2 pep chromosome:ASM357664v1:10:19915625:19923905:-1 gene:itb10g13710 transcript:itb10g13710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGDLWAELIASEQKRNEQRPVKPQEPEIAVIYRRRKPQTNTQQNVARRDSSTSSEVENRLSLAPPNRISWNRSLSTRGRTSIAVTACVKPQRRKPGRKAKPPLPRGKAVELPNFDKEKAYFEEVDAFDLMEESPSPKKSGTWTMAIQTDHVAIPRLTSILQKWLLSKKLNNSYAPPISLSKILETPASRRESAPYELSRYSILKASENASIQLQLGLCFNEKRCLDFTNEDISKRKASSERSIAEVHTVVEDDGGDIEVQVGKLSLTSRPSSLDAHSWDPFLALLAACGQSHPFTLLDVISNSCNPTDIVKIGEGTYGEAFKVGENVCKIVPFDGDLRVNGEIQKKAEELLEEVVLSGTLNSLRGCENDMLNCCTSFIQTIDMRVCQGPYDASLIRAWEDWEVNQGTLNDNPREFPEKQCYIIFVQEHGGKDLESFVLLNYDEARSLLVQATLALAVAEAAYEFEHRDLHWGNILLTRKASPTVQFILEGRQIHVKTFGLLISIIDFTLSRMNTGEDVLYLDLSSDPELFEGPKGDKQSETYRKMREVTGEFWEESFPKTNVLWLQYLVDILLLKKAYARTTKDERELRSLKKRLNSYGSAKEAASDPFFSDLYAQ >itb06g20140.t2 pep chromosome:ASM357664v1:6:23224285:23232464:-1 gene:itb06g20140 transcript:itb06g20140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSAAEKIPAATVDSATDSNAAQGDESKQENYKKPKYVQISVESYSHLTGLEDQVKSYEEQVKVLEEEVKDLNEKLSAAEDEMTNKDNLVKQHAKVAEEAVSGWEKAESEAAALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHDVVLNKTKQFDKMKLEFEAKISNLDQELLRSAAENSALSRSLQERSNMLVKLSEEKSQAEAEIEHLKGNIESCEKEINSLKYELHIVAKELEIRNEEKNMCARSAEVANKQQLEGVKKIAKLEAEVQRLRGLVRKKLPGPAALAQMKLEVESLGRDYEETRLRKSPGKPPSSPHHSMHDFSFDNMHKYQKENELLTERLLAMEEETKMLKEALAKRNSELQISRSTCAKTANKLQSLEVMLQVNGDYTSPLKHNMHIPVEGSFRQNASNLRSLASMSEDGNDDTISCAGSWTAASMPDLSHIKKDNIFESPHKSESASHLELMDDFLEMEKLANLSNDSNGGVSSSDGLNNIKSEVENTNTTALENQASSNKDVSEANHQPVSEPSPFMKLQSKILMVFESKSSEADMQKVLEDIRRTLQEMSETLNPHSATSDVEALPSSDASIKCESYLEVHDVTAENNISVSHDRNTGADAVHNISQELADAICQIHDFVMFIGKEAKAVQGTSIDGNGLYEKLDEFSATYMEVVSSKVSLVKFVLDLSLVLSKASELHFNILGYKNSETEISSADCIDKVALPENKGQQHMVDGYSNGCARLSDSASDPDIPHDGSLVPTSESTTASWKCSSEEFENLKLEKENLVVDLGRRTENLESTKSQLAETEQLLAEIKSQLISAQKSNSLAETQLKCMAESYNSLEARAENLQAEVNVLQAKIETLDIELQEEKKGHHDALARCKDLQEQLEMIESSKAEIDAKKTQEKELAAAAEKLAECQETIFLLGKQLKSLRPQAEFMGSPQSPRSQKGEGLNEEPTISGLSLHDADLTKLDTAASPNRKLNLESQMELYEARFSPSDSESNNPLRSPVSSKHRPTRSSIPYPASAQTPEKHSRGFSRFFSSKGKSGS >itb06g20140.t1 pep chromosome:ASM357664v1:6:23224285:23232466:-1 gene:itb06g20140 transcript:itb06g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSAAEKIPAATVDSATDSNAAQGDESKQENYKKPKYVQISVESYSHLTGLEDQVKSYEEQVKVLEEEVKDLNEKLSAAEDEMTNKDNLVKQHAKVAEEAVSGWEKAESEAAALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHDVVLNKTKQFDKMKLEFEAKISNLDQELLRSAAENSALSRSLQERSNMLVKLSEEKSQAEAEIEHLKGNIESCEKEINSLKYELHIVAKELEIRNEEKNMCARSAEVANKQQLEGVKKIAKLEAEVQRLRGLVRKKLPGPAALAQMKLEVESLGRDYEETRLRKSPGKPPSSPHHSMHDFSFDNMHKYQKENELLTERLLAMEEETKMLKEALAKRNSELQISRSTCAKTANKLQSLEVMLQVNGDYTSPLKHNMHIPVEGSFRQNASNLRSLASMSEDGNDDTISCAGSWTAASMPDLSHIKKDNIFESPHKSESASHLELMDDFLEMEKLANLSNDSNGGVSSSDGLNNIKSEVENTNTTALENQASSNKDVSEANHQPVSEPSPFMKLQSKILMVFESKSSEADMQKVLEDIRRTLQEMSETLNPHSATSDVEALPSSDASIKCESYLEVHDVTAENNISVSHDRNTGADAVHNISQELADAICQIHDFVMFIGKEAKAVQGTSIDGNGLYEKLDEFSATYMEVVSSKVSLVKFVLDLSLVLSKASELHFNILGYKNSETEISSADCIDKVALPENKGQQHMVDGYSNGCARLSDSASDPDIPHDGSLVPTSESTTASWKCSSEEFENLKLEKENLVVDLGRRTENLESTKSQLAETEQLLAEIKSQLISAQKSNSLAETQLKCMAESYNSLEARAENLQAEVNVLQAKIETLDIELQEEKKGHHDALARCKDLQEQLEMIESSKAEIDAKKTQEKELAAAAEKLAECQETIFLLGKQLKSLRPQAEFMGSPQSPRSQKGEGLNEEPTISGLSLHDADLTKLDTAASPNRKLNLESQMELYEARFSPSDSESNNPLRSPVSSKHRPTRSSIPYPASAQTPEKHSRGFSRFFSSKGKSGS >itb15g04450.t1 pep chromosome:ASM357664v1:15:2819507:2820663:1 gene:itb15g04450 transcript:itb15g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLKQGKQYFEGRPTYPHQLLDFIASKTPCHDLVWDVGTGSGQAAVSLAEKYKNVVGTDTSPNQLQFAPNLPNVRFICTPPNMSLAEVETKVAEESSVDLVTIAQAMHWFDLPTFYQQVKWVLKKPGGVIAAWCYYFPEVSTSVDSIFLRYYTTNQHFWEPGRKLVDQKYMTIDFPFDPVDGCEHNGPYEFKAHKLMDLESYFAFIRSSSGYQTAKLKGVEILSSDLVQDFTRAWNEDGKSQKIVAFPIYLRIGKVGILD >itb12g08510.t1 pep chromosome:ASM357664v1:12:6695911:6696896:1 gene:itb12g08510 transcript:itb12g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRNHQEEHVLPAKRKPDPEFAVEAATEGQDDESSKKAKLENSVDGAAEDKKIGKGSQNDVCSVKDEEDQDEDDEEDYKLEEEEEEDEDEQSNGEAEIDRKGKAIRSDDKGKGKLVEESVEDSDSDSDSSAIGSDSDADGESDLSDDPLAEVDLDNILPSRTRRRSVHPGVFISSAAGNPKHDGDSDA >itb12g12950.t1 pep chromosome:ASM357664v1:12:11657222:11657566:1 gene:itb12g12950 transcript:itb12g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDLRRSAPIVVAQTPGLASIHGQDLQIPSEELVKIERDSDVVKMDPNVEVSDWAAPCFVANDMHKNSDKLEELSDIKGNIEVQVTVQIVELLAPVHCVVMDHLGMHEVMNS >itb15g20100.t2 pep chromosome:ASM357664v1:15:22583867:22587766:-1 gene:itb15g20100 transcript:itb15g20100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAATSSMAASSLPSSSERSSSSALQLEVKEGVESDDEIRRVPEMGGEAAGPAASGRDGTSAAGPVNHSAASQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLIDLEARVKDLETKNAELEERLSTLQNENQMLRHILKNTTAGSQEGRK >itb15g20100.t1 pep chromosome:ASM357664v1:15:22583867:22587757:-1 gene:itb15g20100 transcript:itb15g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAATSSMAASSLPSSSERSSSSALQLEVKEGVESDDEIRRVPEMGGEAAGPAASGRDGTSAAGPVNHSAASQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLIDLEARVKDLETKNAELEERLSTLQNENQMLRHILKNTTAGSQEGRK >itb07g21300.t1 pep chromosome:ASM357664v1:7:25689695:25690442:1 gene:itb07g21300 transcript:itb07g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNVVKTRRQKARRGLKSLGFALIFPLSLTLLDITLFGSSHLYRTMEKPFWFPRLWALHLSCLGSAFLMGLSAWLVWAEGGFHRHPVAMLLYVCQLGLSLAWDPVVFKAEATRIGMALCVALFGSLLGCSMVFKNVNPIAADLVKPCLGSALLLAVANLKLVYISEG >itb10g23450.t1 pep chromosome:ASM357664v1:10:27805499:27807460:-1 gene:itb10g23450 transcript:itb10g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFLLLLLLIIPLVHPLSFNFDQFTPSNVQNITFERTSVVNNSIQITPNQRNSIGRATYAEPLHLWDKPTGKLASFNTHFAFVIDSNGSAKYGDGFAFFLAPVGSRIPRNATKGGGFGLANDDERLNSSNKFVAVEFDVYLNHYDTEQKHVGIDVDSLQSVVTATWSPDIERGRIGEAWINYDPRSMNLSVVFRNLGSYSHVSYILDLRKYLPEKVTFGFSGATGRDTALQMINSWIFTSALDISENQGARKSTGKGLVIGLVVGGCFVIGGSMAFSFLAWRKRKMREEFDDDIFGNIGELGGGAGPKRFTYRELARATNNFSRQDKLGEGGFGSVYKGYLKELDSYVAVKRVSRGSKQGIKEYASEVRVISRIRHKNLVHLIGWCHENKDLLLVYEFLSNGSLDSHLYKGKSLLTWQLRYKIVQDLASALLYLHEECEKCIVHRDIKSSNIMLDSNLNAKLGDFGLARLVDHEKGSRTTALAGTMGYIAIECVTSGKASKETDMYSFGIVTLEIASGRKPIDPTAEECHVNIVDWVWKLYGTGQLLDAADPNLSGDFNGREVEQMMIVGLWCAHPDCNLRPSIRQAIQVLNFEAPLPDLPETMPVATFWPQRNVQYSSSSSHYSSDVSQTSQTKSLVSSSNTDCSCSSAV >itb07g24090.t1 pep chromosome:ASM357664v1:7:28365753:28369599:-1 gene:itb07g24090 transcript:itb07g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYWSDIFEDASETFEDENIQQLQNSLQIRGNFCPNAGYHQYNGQRLPAEWTIDMHHIQRGGIGGGEEEGEGGDRAEEEGGGGGGEGSYGEEEEEEEEEEVEEDEEDDGGEGDGEGEIQEEEEEEEVEEDEEDDEGEGEIQEEVEEEREGGLGGGNRGGENDKKEANGGGYEYNNNITGGGGRVRKRINNRGKANEGHNNNNNNDSHDYNNNNTEGSGRGGNDYNNNNNEGSGRGGNDRGEANVGVHPHLSLPYNMIGRSSPMKCIFRVPKYTLVIEKRTYTPQTISIGPYPYTPQTVSIGPYPYTPQIVSIGPYHYGNPKLSRMESQKKLLFESMRCNPDRRLSLTAAMTEFEAKARKCYSTKFNNIDADTFREMMLIDAFFIIHTFLSFDRWCKNPDDGELQRQPIFGTAWRQGNICQDLLMLENQVPFFILVKVYAILTNESNEGESGNCLKKLAMQFFKQVEFGRIGGGDSVTIAEDPKHLLDLFHSSFVVAVDKRKTQKLKKVNTTSSSSSSSSLPLLKMKTNCWVNSASELSSNGVKFIGTKKGNPLDIQFVNFIGHLRVPTLCINDTTVTVLKNLVAYEQGSPLTNPYFTTLAIFFSNIAPTPDDIKLLREENIINHQPADDGEVVLLLQQLNKASQKNGFNACLIKHHLQLLDRYLLSGQSRVISVVRKRGGVDNLIFHLLMFLFLTIIFSLVGNALGKGP >itb09g20680.t1 pep chromosome:ASM357664v1:9:17590742:17591218:1 gene:itb09g20680 transcript:itb09g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNANGASVAGGSRFAPLETESVPVRNSEADTNCAMESGEGEPADRGENRRINQNAAPAQPRGTLRRSNVIANEKQIANEPHQAQATMGAERTVTGRSSQRRGSRRAAEEDDHVVNQGENGGSIISTTRVTNQEPVQDPLPAVTQDPMDNDLDAAGD >itb03g04800.t1 pep chromosome:ASM357664v1:3:3154709:3158350:1 gene:itb03g04800 transcript:itb03g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDWWWWAVVIMVVSVSSEPAFSDPQTTQLNKGCSQYNVTDVPGFFRQLNASFADLRSQLSDGKKRFATAQQPAYVMVQCRNYLSTADCVACYDAAVSVIRSCNAANGGRVIFDGCFLRYESNNFYDQTTLPGNVEICGNRTVRQQDAFNTSVQKLLNELLLATPRINGFYAAAKQGESGGGGASTTYAVAQCAETVSESGCRDCLAVASKNIQGCLPKFADGRAVDAGCFLRYSDTAFFADNQTTDITPFLGGGSSSGKRKAIIGGVVGGVGLILVLGAIFLLYQRSRKPKAAKRANILGATELRGPEPYRYKDLRAATKDFSDETKLGEGGFGDVYKGTLKNGDVVAVKKLATISNRVKADFETEVRLITNVHHRNLIRLLGCSAKGEELLLVYEYMANGSLDKYIYGEKRGMLNWKQRFDIIFGTARGLAYLHDQFHVCIIHRDIKSSNILLDDDFQPKIADFGLARLLPENQSHLSTKFAGTLGYTAPEYAIRGHLTEKVDTYSFGVVVLEIVSGRRSSDIQLEPVTEYLLEQAWKLYENDEHLELVDESLDPNEYNPEEVKRILEIALKCTQSPSNLRPSMSEVVVMLSSDGSIMQTPPSRPTIIDFEKRVPVDTSTTTASSASNATATFSEFTGR >itb01g19070.t1 pep chromosome:ASM357664v1:1:25041703:25042305:1 gene:itb01g19070 transcript:itb01g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPADRAAPWVDLPRDLTVNILQRLRVVDIFKSARVCIAWRRLCQDPSMWRYVDLRNVLAYEPGKARDWDKICREVVNRSDGQLISIKLGHYATDDLLFYIAQRFYPSPVLYPAHKLVHSASPHSATWRGLGIASARQYTPVRS >itb12g21070.t1 pep chromosome:ASM357664v1:12:23461485:23462291:1 gene:itb12g21070 transcript:itb12g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSMRAVAGGRHILAPTSHSHSPAGGATTAASTATFRRRFSASAIKMSAGQIVEHVVLFKVKPDVEPLKINEMVGNLNGLSSMPQVLHLTAGAVVQTRSSSFAFTHMLHSRYASKSDLADYSAHPDHMGVVRANVLPICDDIMAVDWVADDLIGPVKVPPGSVFRVTFLKLKEDLGENEKNEILTVTKGIKEKFPSINQLSVGENFSPARAKGFSIASIAVFGGAKELEALESQSAAANEQKDKVRRFLDGVLVVDYAVPALHAVNL >itb01g29410.t1 pep chromosome:ASM357664v1:1:33650522:33652335:-1 gene:itb01g29410 transcript:itb01g29410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCFGRNSMFDQEADLPRKEKKKKKTKQKAKSFTEAILVSARKSNNDDSVAPSSLYDPYRTGNTECPLPLPLPFYSSDSSARSRNSTSSISSISNYDEEIIITTSAPKLSLMQWGVSCESSPSHPRHPLPLPPTSPTTTCHDSKWKKGRLLGRGTFGHVYAGFNRDIKGANILVNAKGDIKLADFGLAKHIPSSSLMLSLKGSPHWMAPEIVVNERGYGLAVDIWSLGCTVLEMATAKPPWSQYEGVAAMFKLATSKACPEIPTHLSEDAKSFIELCLQRDPSVRPTAAQLLHHPFVQEIH >itb05g15470.t1 pep chromosome:ASM357664v1:5:22823145:22827429:1 gene:itb05g15470 transcript:itb05g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPIYPALFMFILLIQTPVTLCQNNGSSSEYEACGEPFSCANIENVGYPFWGGSRPAYCGHPSFELDCSKDSPEITIQSVKYRIVNISNGAQTATVARDDLTANICPSNPRNASLDFNLFSYVSSGDNNISLFYGCTITTPVSLVSNLFNCSEANSNSTGNGLWLPSTTGLPNNNIKCGFEIFVTVTQEAFEALGNASLASEELLRTSVGGGFPVEWKANNSLCQECTSSGGRCGSNGNFTSTQFVCYYARSSSNSKRRSLGKVLGIVGAVLGGIFMGWLVCRQKGKWVVAAQAQQQEELPPDDQNIEAFIRTNGFHGTKLYTYSDILKMTNSFNDKIGEGGFGCVYRGELPDGYPVAVKLLTNAKGNGEDFINEVATISRTSHVNVVTLVGFCYQKKRALIYEFMPNGSLDKYIGNMESPNKNCLLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDRDFAPKISDFGLAKLCKKKESVVSLSMYGARGTVGYIAPEVFFRSIGSVSHKSDVYSYGMTVIDMVGARENGDLDETSDSYFLSWVYEYLEQGFDFSGEGIRDEEDKEMARKLILVSLWCIQTNPADRPSIRKVVEMLEGSIESLEIPPKPFFSLPIDDSPRHQSPISPGP >itb01g02990.t1 pep chromosome:ASM357664v1:1:1950739:1956754:1 gene:itb01g02990 transcript:itb01g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASVVTKSGKALVSRQFVDMSRIRIEGYLAAFPKLVGTGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLETLRLLSKLVPEYCYSLDEEGICKTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEERLHKMVLQSKINETKDVMKRKASEIDKSKIEKNRGGEKGGFMSLQSMGSGRIDSGFGSDTSISNTGGGFGSGFGLPADMDSFSTKSKGRPSASATAPPKGLGMQLGKSQKTNQFLESLKAEGEVIVEDVRPSIGQSKPASLPPTDPVTLTVEEKLSVTLKRDGGVSNFDMQGTLCLQILNQEDGFIQVQIETSGNPAILFKTHPNVNKELFSNENILGLKDPNRPFPAGQSGDGVGLLKWRMQSTDESILPLTLNCWPSVSGNETYVNIEYETPANIDLQNVIVSVPLPALREAPNVQQIDGDWRYDSRNSVLEWSIVLIDASNRSGSLEFVVPAADPSSFFPISARFSSSKTFSDLKVTNILPLKGGATPKYSQRTQLVTENYQVV >itb02g14490.t1 pep chromosome:ASM357664v1:2:10553391:10554192:-1 gene:itb02g14490 transcript:itb02g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLSAPTFQGLRPLNKPTDLSSFKPAAIFSCKPIIKKKLNCSIKAELNPQLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVSHFEAGDTRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIIAYYVLATSSNGYDPKFFG >itb06g22170.t1 pep chromosome:ASM357664v1:6:24566487:24568469:-1 gene:itb06g22170 transcript:itb06g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNKASQQNRKLVRLLTLPARCLTRARDYYVKGMNRCAVNPPKQRIRRSYSSASATARRTSNAVDDSEDFRELLRAASGRKEGNAAVVQPPQMRLRKTPRSCSVAMGRIDEEKPCFFEKEDDDNNNNIYVINPQLHYYPRSKTINNQKPPYHCFYFATATPPTPPKQNSRHH >itb08g02200.t3 pep chromosome:ASM357664v1:8:1766017:1766635:1 gene:itb08g02200 transcript:itb08g02200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCENSTSTAVVAADVQNPSPAARPRPKRFVKNQIPDSILNDAALNAAISLLPANYNFEIHKCVWRIRSTGAKRVALQFPEGLLMYSLVIVDILSTFTSAAHCFVLGDVTYGACCVDDLSAAALSADLLIHYGHSCLVPIDTTTIPCLYIFVEIAIDVRKFLHELKVNFAPEQYGF >itb08g02200.t1 pep chromosome:ASM357664v1:8:1766015:1767789:1 gene:itb08g02200 transcript:itb08g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCENSTSTAVVAADVQNPSPAARPRPKRFVKNQIPDSILNDAALNAAISLLPANYNFEIHKCVWRIRSTGAKRVALQFPEGLLMYSLVIVDILSTFTSAAHCFVLGDVTYGACCVDDLSAAALSADLLIHYGHSCLVPIDTTTIPCLYIFVEIAIDVRKFLHELKVNFAPEQYGNFIMAGTIQFAGAIRAVKPELEKLGFRVLIPQAKPLSAGEVLGCTAPSVSKICSPENAVLIFVADGRFHLEAFMIANPGIRTYRYDPYIGKLFLEEYDHDGMKEERRRAIEKAKEAKNWGVVLGTLGRQGNPRILDRLEKKMEEKDMTWTVIMMSEISPARIALFEDSVEAWIQIACPRLSIDWGDAFGRPLLTPFEAEIALGDLPGWWERKSAVNSGASSKNGSESSKNESCCSCNNAGLKGEEEAVVDYPMDYYARDGGEWNSCYSKKPARPSQRSTQCCRS >itb08g02200.t4 pep chromosome:ASM357664v1:8:1766017:1766957:1 gene:itb08g02200 transcript:itb08g02200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCENSTSTAVVAADVQNPSPAARPRPKRFVKNQIPDSILNDAALNAAISLLPANYNFEIHKCVWRIRSTGAKRVALQFPEGLLMYSLVIVDILSTFTSAAHCFVLGDVTYGACCVDDLSAAALSADLLIHYGHSCLVPIDTTTIPCLYIFVEIAIDVRKFLHELKVNFAPEQYGNFIMAGTIQFAGAIRAVKPELEKLGFRVLIPQAKPLSAGEVLGCTAPSVSKICSPENAVLIFVADGRFHLEAFMIANPGIRTYRAARKSEDFG >itb08g02200.t2 pep chromosome:ASM357664v1:8:1766017:1766788:1 gene:itb08g02200 transcript:itb08g02200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCENSTSTAVVAADVQNPSPAARPRPKRFVKNQIPDSILNDAALNAAISLLPANYNFEIHKCVWRIRSTGAKRVALQFPEGLLMYSLVIVDILSTFTSAAHCFVLGDVTYGACCVDDLSAAALSADLLIHYGHSCLVPIDTTTIPCLYIFVEIAIDVRKFLHELKVNFAPEQYGNFIMAGTIQFAGAIRAVKPELEKLGFRVLIPQAKPLSAGEVLGCTAPSLIQG >itb09g25660.t1 pep chromosome:ASM357664v1:9:25766045:25767751:-1 gene:itb09g25660 transcript:itb09g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIQTWSPGYIEDRKLNVQAQNNIIPFIENEMKERSQGLDSLIFDIDEQISHLASEVNDKINAWTNQIDKRIYELREHSNMYTSERENTLWKKEKDWSLGLVVDEIDYEVTSWIREERYIFLYGGNDIKWVREFTSKVHEVSLKTEYIGLIYVGKNEKVRASIIEENMSYLLRSPYYAWWFWTRLQSALLSRTNYLNESNCHGDECDDEIAQGFKKLLGYECKGVTMEGWALLSKGQKVVVCGQGAKMLRVINEYESWKENMALKSFDQAFKDYYNKTLHTCCSSNSHSCCAFEYPITLKEVPKKEKCQECFRDMQKFVTFTCYHGDS >itb09g15670.t2 pep chromosome:ASM357664v1:9:10931618:10933959:-1 gene:itb09g15670 transcript:itb09g15670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRPCNAEKREDPKISKSNLGISSCSGFSEFDVTLASASLNASDTSTDSRGRSQFPNLSDRPSDLRVFTFTELKETTKNFNRSTKIGEGGFGSVYKGTVKSPEDPSAKIDVAIKQLSRTGMQGHKEWVTEVNVLGVAEHENLVKLIGYCAEDGERGIQRLLVYEYMPNRSVDSHLSARLGTPLSWAMRLKIARDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYASPEYIQTGHLTSKHDVWSYGMFLYELITGRRPLDRNRPKNEQKLLDWVKPHTTDPKKFRKILDPRLEGNFVRSAHKLSLIANRCLVKNPKSRPTMSEVLEMVSSVVEAPAGTGNPRPAIKSPKPVRAKEETDRKGKRRMINIRIGDSGWLVRMLSLKRAKMTS >itb09g15670.t1 pep chromosome:ASM357664v1:9:10931618:10934645:-1 gene:itb09g15670 transcript:itb09g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFRPCNAEKREDPKISKSNLGISSCSGFSEFDVTLASASLNASDTSTDSRGRSQFPNLSDRPSDLRVFTFTELKETTKNFNRSTKIGEGGFGSVYKGTVKSPEDPSAKIDVAIKQLSRTGMQGHKEWVTEVNVLGVAEHENLVKLIGYCAEDGERGIQRLLVYEYMPNRSVDSHLSARLGTPLSWAMRLKIARDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYASPEYIQTGHLTSKHDVWSYGMFLYELITGRRPLDRNRPKNEQKLLDWVKPHTTDPKKFRKILDPRLEGNFVRSAHKLSLIANRCLVKNPKSRPTMSEVLEMVSSVVEAPAGTGNPRPAIKSPKPVRAKEETDRKGKRRMINIRIGDSGWLVRMLSLKRAKMTS >itb04g01540.t1 pep chromosome:ASM357664v1:4:866345:868633:1 gene:itb04g01540 transcript:itb04g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRIQAALNIKGLIAGNCRASLITKNEIHGVDASYSTIYGSVELPRKLKRSERKPLVTGVNELKRRARAEKKERREAREVPLKPPENGVLVKGLIPVAHEVLAAKAELFVCVSRVAEEIPIYSCRLCGEVHVGDPPHKIRTCSVSGSQRSKEHIWERGGVKHLLPVVESFHMYDRLGRAVSHNERLEVDRIPAVMELCIQAGVDIPDYPTRRRKFPVYRVAGKMIDFEKKFPKDYSSGNHIQTSGFWGTTKRSTENEKTLSLPHHNVKGFAEIGMEAWEKMRSGAIQIMQKYAVQTCGYCPEVQVGPKGHRLRQCQAFKHQMRDGQHAWQEATIDDLVPPVYVWHVQDPHSGVPQIDALKRYYGKLPAVVELFAQGGAKVGSNYDGIMRADVALPSLDEEKLVV >itb15g18890.t1 pep chromosome:ASM357664v1:15:20782283:20784061:-1 gene:itb15g18890 transcript:itb15g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVASASEYLVITGVGITDIKISKKAWVLPGQSFTVFDVSPVNYTFEVQAMSAEKLPFILPAVFTIGPRVDDESSLLKYAKLISPHDKLSHHVKELVQGIIEGETRVLAASMTMEEIFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEARMKGEIGSKSREGQTLQNAARIDAETKIISTQRQGEGKKEEIKVKTEIKIFENLREAEVAEANADLAKKKAGWAKEAEVAEVEAKKAVALRDAELQKEVERMNALTVTEKLKAEFLSKASVEYETKVQEANWELYKKQKAAEAYLYQKEKEAEAQKAMAEADLYKRQQILDGELYAKQKEAEGLKAIAEAQGVYLRTLLGALGGNYAALRDYLLISGGVFQQIAKINAEAVKGIQPKISIWTNGDAAASAADGGALGEVAGVYKMLPPLFQTVQEQTGMLPPAWMGKMDTNN >itb15g15560.t1 pep chromosome:ASM357664v1:15:14534683:14540809:-1 gene:itb15g15560 transcript:itb15g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSMYMFRDTSEMEKRQLFLRSYQFSRKKSVAEKIKGSFFRVKRVIWRKVRSARKLRRTVWLKLKNGLFICTRRRRRTFFLRLNTNNAGAGGGRLMVSATGDNLPDQRAWWRRRSCAALSLPPVQRLAMATASGGWRWRWLAAAGDGDG >itb08g03470.t3 pep chromosome:ASM357664v1:8:2844420:2848216:-1 gene:itb08g03470 transcript:itb08g03470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNSKPPIGQNFGFGASHARSLSQPHFFANNCLPPLSPFPHGESSMPPTNSGMKDVQMDEVDVNSRGAPIASVVKENVFRGSDSLPPRKGHRRSNSDVPMGFSAMIQSSPQLMPISGHGVFGKSEYGGDFLGREKPICLQKREIDVSSDRKSEGEVIDELFSSYMNLDNMETFNSSGTDDKDKDSMVSGTKMSGGDSGNEVESVSKGKGTQIHITGSREGVKRCAAGDIAPTARHFRSLSMDSALENLNFGDESPKLPASLGNQVGQLSPSNSGYESSSKLNFDFGNAEFNEAEMKKIMADERLAEIATSDPKRVKRILANRQSAARSKERKLRYISELELKVQTLQSEATTLSAQVNIMQKDLDDLSSHNHELKFRLQAMEQQAQLRDALHEALTAEVQRLKVATGELSDECRLSSSCVPQEILQHHMSQMQRQQPGQVPQLSMATSRTAST >itb08g03470.t1 pep chromosome:ASM357664v1:8:2844393:2848547:-1 gene:itb08g03470 transcript:itb08g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNSKPPIGQNFGFGASHARSLSQPHFFANNCLPPLSPFPHGESSMPPTNSGMKDVQMDEVDVNSRGAPIASVVKENVFRGSDSLPPRKGHRRSNSDVPMGFSAMIQSSPQLMPISGHGVFGKSEYGGDFLGREKPICLQKREIDVSSDRKSEGEVIDELFSSYMNLDNMETFNSSGTDDKDKDSMVSGTKMSGGDSGNEVESVSKGKGTQIHITGSREGVKRCAAGDIAPTARHFRSLSMDSALENLNFGDESPKLPASLGNQVGQLSPSNSGYESSSKLNFDFGNAEFNEAEMKKIMADERLAEIATSDPKRVKRILANRQSAARSKERKLRYISELELKVQTLQSEATTLSAQVNIMQKDLDDLSSHNHELKFRLQAMEQQAQLRDALHEALTAEVQRLKVATGELSDECRLSSSCVPQEILQHHMSQMQRQQPGQVPQLSMATSRTAST >itb08g03470.t2 pep chromosome:ASM357664v1:8:2844393:2848547:-1 gene:itb08g03470 transcript:itb08g03470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNSKPPIGQNFGFGASHARSLSQPHFFANNCLPPLSPFPHGESSMPPTNSGMKDVQMDEVDVNSRGAPIASVVKENVFRGSDSLPPRKGHRRSNSDVPMGFSAMIQSSPQLMPISGHGVFGKSEYGGDFLGREKPICLQKREIDVSSDRKSEGEVIDELFSSYMNLDNMETFNSSGTDDKDKDSMVSGTKMSGGDSGNEVESVSKGKGTQIHITGSREGVKRCAAGDIAPTARHFRSLSMDSALENLNFGDESPKLPASLGNQVGQLSPSNSGYESSSKLNFDFGNAEFNEAEMKKIMADERLAEIATSDPKRVKRILANRQSAARSKERKLRYISELELKVQTLQSEATTLSAQVNIMQKDLDDLSSHNHELKFRLQAMEQQAQLRDALHEALTAEVQRLKVATGELSDECRLSSSCVPQEILQHHMSQMQRQQPGQVPQLSMATSRTAST >itb06g09220.t1 pep chromosome:ASM357664v1:6:13393207:13396998:-1 gene:itb06g09220 transcript:itb06g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDFEMAELMHRQKPAKKKFMAENASSPSLSSYFPVNSSHTLLQQANPFPFTQPFFQEHHFVPPFFDPVREHSNSSNLLLPLSFKLGSSGDEIGQRNKALGCARAGDDDTPLHRNCHGGGGGGGSPDDAMIQCWENQEDSALKQRFWKPLSTEFPNGNEKECPKENDDEENRKEEEMSRHETEEKNKFSFFDGELEAIYRQADIAETAQTASGSALTAENFPASASLHSQIPDNNAAKGRKERKKRKLKKKNVNDEYRSMGELFESLVKRVMDHQEELHSRFLETMERLERERVGREEAWRKQEVMNLEREANDRANQKALASSREAAILSYLEKITGQKITLPSST >itb09g01270.t1 pep chromosome:ASM357664v1:9:758532:764417:1 gene:itb09g01270 transcript:itb09g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQMSAIKAAKVLMVGAGGIGCELLKTLALSGFQNIHIVDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLKFRPGIKIEPYHANVKDPNFGVDFFKEFNVVLNGLDNLDARRHVNRLCLAAGVPLVESGITGFLGQRP >itb09g01270.t2 pep chromosome:ASM357664v1:9:758532:760806:1 gene:itb09g01270 transcript:itb09g01270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQMSAIKAAKVLMVGAGGIGCELLKTLALSGFQNIHIVDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLKFRPGIKIEPYHANVKDPNFGVDFFKEFNVVLNGLDNLDARRHVNRLCLAAGVPLVESGITGFLGQAAVLVLVSNYSVAK >itb09g01270.t3 pep chromosome:ASM357664v1:9:758532:760396:1 gene:itb09g01270 transcript:itb09g01270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQMSAIKAAKVLMVGAGGIGCELLKTLALSGFQNIHIVDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLKFRPGIKIEPYHANVKDPNFGVDFFKEFNVVLNGLDNLDARRHVNRLCLAAGVPLVESGITGFLGQVLLPPYRLFIIHGCLVTYFLEIYT >itb07g07080.t1 pep chromosome:ASM357664v1:7:5348029:5352816:-1 gene:itb07g07080 transcript:itb07g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTTKVMKIDGQTMKFKTPVYAGEIVKNYPGHVLMDSEAVKHFGVRAKPLEPQQELRGKRLYFLVELPKVAEERAAPVRRVRSGSIHMSAKDRLESLMLARRSASDLSLMKPASIPLDRTPPMQTPENGGGVRVKLRLPKAEVEKLMMQSKDEGEAAEKLMQLCIANNGNGGAGAGFATKTDQIHPQPRCDQGIIKKSSKSREKRVGFLPVSEGEIRHLAVAS >itb11g01450.t1 pep chromosome:ASM357664v1:11:692292:694006:-1 gene:itb11g01450 transcript:itb11g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMKPVRPCTIKPPTLPLHNTTRLPLNSTTVILGVSKWVETRQLTHIHPAVGQIRAVKNLVREKAGLTGLDRGLSLRQRWMDYQGIKNWEGLLDPLDDTLREEIIRYGKFVEAAYRSYEFDPAAAGYSHCRHSKAKLLTRAGFPATGYRVSKNLSATSGIQLPRWAEKTPEWMSVQSSWIGFVAVCQDKREIERLGRRDVVIAFRGTATCLEWLENLRATLTPLPAAAACGGGGVGDFFSPNECGPMVESGFLSLYTSKVGSSQSLQTSIRDEISRILKLYGDEPLSFTISGHSLGAALAILTAYDIKQTFQNLPHVTVMSFGGPRVGNPSFRSHLERQGTKVLRIVNSDDPITKVPGFVIDADADTDNNVRMNDNMANISGWFQKQWVYADVGCELRLSSRDSPYHSGINIAACHDLRTYLDLVSGFVSSNCPIRATARKIISCGG >itb01g28130.t1 pep chromosome:ASM357664v1:1:32658418:32660082:1 gene:itb01g28130 transcript:itb01g28130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSRMLELLVMVALSCSLASSYAGDFYQDFDMTWGGNGTKISNGGQLLSLSLDTTSGSAFRSKKDFLFGRVEMEMKLVPGNSAGTVTAFYLSAQGPTHDEIDFEFLGNVTGEPYVLHTNVITQGRGGREQQFYLWFDPTKNFHTYTIVWKPQHIIFLVDKMPIRVFKNDEEIGVPFPKNQTMKVYSSIWNAEAWATRSGEEKIDWSYAPFTAHCRNFQAKTFPTSHQFSNGEWESQELDDHGKSRLKWIQKKFMVYNYCTDFKRFPMGIPGECRVPMF >itb14g02910.t2 pep chromosome:ASM357664v1:14:2633146:2637501:1 gene:itb14g02910 transcript:itb14g02910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MEEMDACTLGIIDREPIKILLNEGPSIEAKDMEEVRSSMEILNRVDLDLAYSSEKLVNLGNLLVNVRAKQDEVEALAVANDDSVDSVEKALTLDHLNAVLNSEIRQLDEFFSNLHDIILDARQKISSCGRLSELGIIVENKLHDSEESLGQLKERVMKLKMQLANALQIGSLGSNQDEWRLGVAPKPQVQAAEQKRVLRMLEKSLARELDLEKKITEMKQNEDDLKLKLRLREQVAFCMEEAAEVIWGRFLEAENTVEVLMGISKEMAGRLQIINFNLNGSLQREHETKLKFEACQQQLNAKDIAIRKLNESIAQLNAENSEVLSLKQNVETLEQKLSGSESQLRKANASIEENREQIKEMESEIDSLKEDVYQLENRAESAEAKVAELTETNLELNEELGFLKGSNDSSTKKVSILEKKIRELELQLQHAKASSEAGQEQQNMLYSAIWDMETLIDELKQKVSKAENKTDSAEEQCIILSEANLDLNKEIDILRTRVEDLETSLNQAAVEKMASAKDINIKTNFIMDLVMQLAIERERVQKQLCSLKNENKMLTGKLKKMTLENASPTGVESGDGDDKEPPPFTDNLAGATCVETPQEVPVECPLRSCEVEELPDNQTREGMSSSSANTVLEPEEVEGAAEARRHKSRRYIYVAILGVLLSILAPFLYNRRSSFVNFDG >itb14g02910.t4 pep chromosome:ASM357664v1:14:2633060:2636954:1 gene:itb14g02910 transcript:itb14g02910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MEEMDACTLGIIDREPIKILLNEGPSIEAKDMEEVRSSMEILNRVDLDLAYSSEKLVNLGNLLVNVRAKQDEVEALAVANDDSVDSVEKALTLDHLNAVLNSEIRQLDEFFSNLHDIILDARQKISSCGRLSELGIIVENKLHDSEESLGQLKERVMKLKMQLANALQIGSLGSNQDEWRLGVAPKPQVQAAEQKRVLRMLEKSLARELDLEKKITEMKQNEDDLKLKLRLREQVAFCMEEAAEVIWGRFLEAENTVEVLMGISKEMAGRLQIINFNLNGSLQREHETKLKFEACQQQLNAKDIAIRKLNESIAQLNAENSEVLSLKQNVETLEQKLSGSESQLRKANASIEENREQIKEMESEIDSLKEDVYQLENRAESAEAKVAELTETNLELNEELGFLKGSNDSSTKKVSILEKKIRELELQLQHAKASSEAGQEQQNMLYSAIWDMETLIDELKQKVSKAENKTDSAEEQCIILSEANLDLNKEIDILRTRVEDLETSLNQAAVEKMASAKDINIKTNFIMDLVMQLAIERERVQKQLCSLKNENKMLTGKLKKMTLENASPTGVESGDGDDKEPPPFTDNLAGATCVETPQEVPVECPLRSCEGAGLGLCPTITPAPASLNWTQTCDLALIHLLGSNAYHYVKSYS >itb14g02910.t1 pep chromosome:ASM357664v1:14:2633060:2637632:1 gene:itb14g02910 transcript:itb14g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MEEMDACTLGIIDREPIKILLNEGPSIEAKDMEEVRSSMEILNRVDLDLAYSSEKLVNLGNLLVNVRAKQDEVEALAVANDDSVDSVEKALTLDHLNAVLNSEIRQLDEFFSNLHDIILDARQKISSCGRLSELGIIVENKLHDSEESLGQLKERVMKLKMQLANALQIGSLGSNQDEWRLGVAPKPQVQAAEQKRVLRMLEKSLARELDLEKKITEMKQNEDDLKLKLRLREQVAFCMEEAAEVIWGRFLEAENTVEVLMGISKEMAGRLQIINFNLNGSLQREHETKLKFEACQQQLNAKDIAIRKLNESIAQLNAENSEVLSLKQNVETLEQKLSGSESQLRKANASIEENREQIKEMESEIDSLKEDVYQLENRAESAEAKVAELTETNLELNEELGFLKGSNDSSTKKVSILEKKIRELELQLQHAKASSEAGQEQQNMLYSAIWDMETLIDELKQKVSKAENKTDSAEEQCIILSEANLDLNKEIDILRTRVEDLETSLNQAAVEKMASAKDINIKTNFIMDLVMQLAIERERVQKQLCSLKNENKMLTGKLKKMTLENASPTGVESGDGDDKEPPPFTDNLAGATCVETPQEVPVECPLRSCEVEELPDNQTREGMSSSSANTVLEPEEVEGAAEARRHKSRRYIYVAILGVLLSILAPFLYNRRSSFVNFDG >itb14g02910.t3 pep chromosome:ASM357664v1:14:2633461:2637632:1 gene:itb14g02910 transcript:itb14g02910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 2 [Source:Projected from Arabidopsis thaliana (AT1G68910) UniProtKB/Swiss-Prot;Acc:A8MQR0] MEEMDACTLGIIDREPIKILLNEGPSIEAKDMEEVRSSMEILNRVDLDLAYSSEKLVNLGNLLVNVRAKQDEVEALAVANDDSVDSVEKALTLDHLNAVLNSEIRQLDEFFSNLHDIILDARQKISSCGRLSELGIIVENKLHDSEESLGQLKERVMKLKMQLANALQIGSLGSNQDEWRLGVAPKPQVQAAEQKRVLRMLEKSLARELDLEKKITEMKQNEDDLKLKLRLREQVAFCMEEAAEVIWGRFLEAENTVEVLMGISKEMAGRLQIINFNLNGSLQREHETKLKFEACQQQLNAKDIAIRKLNESIAQLNAENSEVLSLKQNVETLEQKLSGSESQLRKANASIEENREQIKEMESEIDSLKEDVYQLENRAESAEAKVAELTETNLELNEELGFLKGSNDSSTKKVSILEKKIRELELQLQHAKASSEAGQEQQNMLYSAIWDMETLIDELKQKVSKAENKTDSAEEQCIILSEANLDLNKEIDILRTRVEDLETSLNQAAVEKMASAKDINIKTNFIMDLVMQLAIERERVQKQLCSLKNENKMLTGKLKKMTLENASPTGVESGDGDDKEPPPFTDNLAGATCVETPQEVPVECPLRSCEVEELPDNQTREGMSSSSANTVLEPEEVEGAAEARRHKSRRYIYVAILGVLLSILAPFLYNRRSSFVNFDG >itb11g22110.t1 pep chromosome:ASM357664v1:11:23922646:23925958:-1 gene:itb11g22110 transcript:itb11g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTQQSAKQLQVVSIVGMGGIGKTTLARKVYEDPSITSHFYIRAWVTVSQKYNVEQILQCVVGCVNAASNDNLAESLRKHLMGQRYLIVMDDIWSTTTWDSVQRCFPDNNNGSRILLTSRLREVAEYVSSGNFTINMPFLDAHESWNLFCNVFGQTKFLWVFEEIGRDIMKKCEGLPLAIVVVASLLSKTEAAVEKWNNVAKNVSRYVIGDSNDACSRILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLVRLWAAEGFLRAEDHQNMEEAAMECLQDLVDRSLVFVSKQSYNGKTKTLKFFLTSLAWSDMKIIGMLPNLEVLKLKRAIARKDTIWEPSEEGFRKLKRLVIEYTCLERWSAMGDHFPVLECLELHKCDNLLEIPSGFADITTLALIQLNGVFYILCDSVSMVISFDYVCRQGRMKRWRTGTMSEDTVIYCLG >itb11g02720.t1 pep chromosome:ASM357664v1:11:1395308:1399026:1 gene:itb11g02720 transcript:itb11g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLNLSAVEAIGDRGVVAASEKVLELSGTSNSSVVNAETSSTAGDDEYSCSDGYAFEILRREEDGKEYGESENRGGFETKELFPLSGGEAVLFNQQQQQQWLDLSANYGIVADPRIMILPPQRPQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNVSDYEEDLQQMKNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKLNGREAVTNFELSAYEQELTSEVDTGGGSQDLDLHLGIAPTSFVDGMGTLQLQSGLASPKQWGVGASASNTVESELLPHGYELLSEHPPICNGVNTQFFPIYKGTAIGKGMEIDSSPNWAQAYGGTPPATLFSTAASSGFANTTFTSSSAAARLPHFSGGMLPYHHSSPPIQTMNSTAHFCCRS >itb07g02830.t1 pep chromosome:ASM357664v1:7:1887128:1888424:1 gene:itb07g02830 transcript:itb07g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLSSVLSNITDFVVHEGNGVKGLADMGLQSLPKQYIQPAEERITTSTVIVDDTIPVIDLSEWGSDPKVGDLICEAAEKWGFFQIVNHGVPLEILEEVKAATYRFFRLPAEEKNKHSKDNSPSNNVRYGTSFTPHAEKALEWKDFLSLFYVSDEEAAALWPSACRDEALTFMRNCDAVIKRLLMSLMKGLNVTEIDGTKESLLMGSKRINMNYYPKCPNPELTVGVGRHSDVSTLTILLQDQIGGLYVRKLDSDTWVHVPPINGAIVINVGDALQILSNGRYKSIEHRVIANGSNNRISVPIFVNPRPNDIIGPLPEVLESGEKAVYKNVLYSDYVKHFFRKAHDGKETVDFAKIK >itb03g23790.t1 pep chromosome:ASM357664v1:3:22042490:22045991:1 gene:itb03g23790 transcript:itb03g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVIILAILCATNCRGHQENRNCSASCGNIQDIHYPFRLTGDPNSSTCGLSTYELACQNNRTILNLNSHKYFVLSITYTNFSMRILDPGLQNTKTPFPLHDLKPQDLSRRYHLLRRRFNSPAAFLSCTNPANSSSYLKSEVKSEDSSFSYVLVGEHVDVGKIEDSCSITGAAWFSTSVFPYQRHRGNMSLGQIHDALAYGFELSWLPLNCSAVCGKKHCRIEDNNTIACVASRLASNSNSMPLLHKCEIFGSFLVVLVILATFGIYFLNKKRKEQLKIERFLDDYKALKPARYLYADIKKITNKFSEKLGEGTYGTVYKGKLSHDVFVAVKVLNNSKGDGEEFINEVSTIGSTHHVNVVRLVGYCADGFHRALIYEYLVNDSLEKFICSPNEKNFIGWEKLRQIALGIAKGIEYLHQGCDQRILHFDIKPTNILLDQNLNPKISDFGQAKLCSKEKSIVSMTNARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRNKSDVEVGSSEMTGQDYFPEWIHNIMNKGEEEIEIEIEKEGSDSKIARKLTIVGLWCIQWYPVDRPSIKVVIQMIEAEEPPSVPPNPFGSTHPSCARASKPGKMFTSGLEIITESE >itb14g17320.t1 pep chromosome:ASM357664v1:14:20582547:20583428:1 gene:itb14g17320 transcript:itb14g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEKDLVKRGDFWLYFMVYFLGATLGLVYLNNLGQISNASLLVSLSSSFGFFGRLLPSLNDYFFSIRLARGLTVIFAITIATGAYAVITTRPGPKIRSPHRQGVEEAVSSDSVMGIEGGEVTGEEPSGIVVVEEVEEKDLVKRGDFWLYFMVYFLGSTLGLVYLNNLGQIAESRGFSNASLQVSLSSSLGFFGRLLPSLYDYFFSM >itb06g14270.t3 pep chromosome:ASM357664v1:6:18863941:18867756:-1 gene:itb06g14270 transcript:itb06g14270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIDQSGRREKLNLNWEDAFRLYEHSIACENEVLRVQATIKLARMSKHAPETILERSVPILVQLLTSPISDLNPSIHEASAYCLKCIACEYQGRLALLIGESGAVPSILRLLLHSEGSLQRTLLKCLRNLVTFAERNRIIVFRSGGLEIVVSMLNACPDGLESYLLEILSALALSREVRRRAIFTSGGVRFLIESARRGRMLSRRRAAQAIGLLGLVKSARPTLVASGAIQALLELLQVGDASTKLVAGNALGVISSHVDYIRPVAQAGAIPLYVELLQGPEPMGKEIAEDVFCILAIVEENAVIIAEHLVRILQGDNAGAKAAAADVLWDLSGYKHLQHILRNSNAIPILVELLQDQNVNVREKVSGAIAQLSYNEADRVALADSGAIPVLINMLQDELEDLRDNAAETLVNFSEDPLLRDRISSVVDSPAFHSMQIRLMQIRASDVHVASSLRNISIEHLTGDPSLN >itb06g14270.t1 pep chromosome:ASM357664v1:6:18863941:18867756:-1 gene:itb06g14270 transcript:itb06g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIDQSGRREKLNLNWEDAFRLYEHSIACENEVLRVQATIKLARMSKHAPETILERSVPILVQLLTSPISDLNPSIHEASAYCLKCIACEYQGRLALLIGESGAVPSILRLLLHSEGSLQRTLLKCLRNLVTFAERNRIIVFRSGGLEIVVSMLNACPDGLESYLLEILSALALSREVRRRAIFTSGGVRFLIESARRGRMLSRRRAAQAIGLLGLVKSARPTLVASGAIQALLELLQVGDASTKLVAGNALGVISSHVDYIRPVAQAGAIPLYVELLQGPEPMGKEIAEDVFCILAIVEENAVIIAEHLVRILQGDNAGAKAAAADVLWDLSGYKHLQHILRNSNAIPILVELLQDQNVNVREKVSGAIAQLSYNEADRVALADSGAIPVLINMLQDELEDLRDNAAETLVNFSEDPLLRDRISSVVDSPAFHSMQIRLMQIRASDVHVASSLRNISIEHLTGDPSLN >itb06g14270.t5 pep chromosome:ASM357664v1:6:18864112:18867756:-1 gene:itb06g14270 transcript:itb06g14270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIDQSGRREKLNLNWEDAFRLYEHSIACENEVLRVQATIKLARMSKHAPETILERSVPILVQLLTSPISDLNPSIHEASAYCLKCIACEYQGRLALLIGESGAVPSILRLLLHSEGSLQRTLLKCLRNLVTFAERNRIIVFRSGGLEIVVSMLNACPDGLESYLLEILSALALSREVRRRAIFTSGGVRFLIESARRGRMLSRRRAAQAIGLLGLVKSARPTLVASGAIQALLELLQVGDASTKLVAGNALGVISSHVDYIRPVAQAGAIPLYVELLQGPEPMGKEIAEDVFCILAIVEENAVIIAEHLVRILQGDNAGAKAAAADVLWDLSGYKHLQHILRNSNAIPILVELLQDQNVNVREKVSGAIAQLSYNEADRVALADSGAIPVLINMLQDELEDLRDNAAETLVNFSEDPLLRDRISSVVDSPAFHSMQIRLMQIRASDVHVASSLRNISIEHLTGDPSLN >itb06g14270.t2 pep chromosome:ASM357664v1:6:18863941:18867756:-1 gene:itb06g14270 transcript:itb06g14270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIDQSGRREKLNLNWEDAFRLYEHSIACENEVLRVQATIKLARMSKHAPETILERSVPILVQLLTSPISDLNPSIHEASAYCLKCIACEYQGRLALLIGESGAVPSILRLLLHSEGSLQRTLLKCLRNLVTFAERNRIIVFRSGGLEIVVSMLNACPDGLESYLLEILSALALSREVRRRAIFTSGGVRFLIESARRGRMLSRRRAAQAIGLLGLVKSARPTLVASGAIQALLELLQVGDASTKLVAGNALGVISSHVDYIRPVAQAGAIPLYVELLQGPEPMGKEIAEDVFCILAIVEENAVIIAEHLVRILQGDNAGAKAAAADVLWDLSGYKHLQHILRNSNAIPILVELLQDQNVNVREKVSGAIAQLSYNEADRVALADSGAIPVLINMLQDELEDLRDNAAETLVNFSEDPLLRDRISSVVDSPAFHSMQIRLMQIRASDVHVASSLRNISIEHLTGDPSLN >itb06g14270.t4 pep chromosome:ASM357664v1:6:18863941:18867756:-1 gene:itb06g14270 transcript:itb06g14270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIDQSGRREKLNLNWEDAFRLYEHSIACENEVLRVQATIKLARMSKHAPETILERSVPILVQLLTSPISDLNPSIHEASAYCLKCIACEYQGRLALLIGESGAVPSILRLLLHSEGSLQRTLLKCLRNLVTFAERNRIIVFRSGGLEIVVSMLNACPDGLESYLLEILSALALSREVRRRAIFTSGGVRFLIESARRGRMLSRRRAAQAIGLLGLVKSARPTLVASGAIQALLELLQVGDASTKLVAGNALGVISSHVDYIRPVAQAGAIPLYVELLQGPEPMGKEIAEDVFCILAIVEENAVIIAEHLVRILQGDNAGAKAAAADVLWDLSGYKHLQHILRNSNAIPILVELLQDQNVNVREKVSGAIAQLSYNEADRVALADSGAIPVLINMLQDELEDLRDNAAETLVNFSEDPLLRDRISSVVDSPAFHSMQIRLMQIRASDVHVASSLRNISIEHLTGDPSLN >itb06g14270.t6 pep chromosome:ASM357664v1:6:18864113:18867756:-1 gene:itb06g14270 transcript:itb06g14270.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIDQSGRREKLNLNWEDAFRLYEHSIACENEVLRVQATIKLARMSKHAPETILERSVPILVQLLTSPISDLNPSIHEASAYCLKCIACEYQGRLALLIGESGAVPSILRLLLHSEGSLQRTLLKCLRNLVTFAERNRIIVFRSGGLEIVVSMLNACPDGLESYLLEILSALALSREVRRRAIFTSGGVRFLIESARRGRMLSRRRAAQAIGLLGLVKSARPTLVASGAIQALLELLQVGDASTKLVAGNALGVISSHVDYIRPVAQAGAIPLYVELLQGPEPMGKEIAEDVFCILAIVEENAVIIAEHLVRILQGDNAGAKAAAADVLWDLSGYKHLQHILRNSNAIPILVELLQDQNVNVREKVSGAIAQLSYNEADRVALADSGAIPVLINMLQDELEDLRDNAAETLVNFSEDPLLRDRISSVVDSPAFHSMQIRLMQIRASDVHVASSLRNISIEHLTGDPSLN >itb03g14530.t1 pep chromosome:ASM357664v1:3:14204254:14209241:-1 gene:itb03g14530 transcript:itb03g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNGSGSDLASSPSSPSSITVWPPFRFFRFPVSTLLEYSGVLRVQPDSSESMALVPNATETSDAGTSGSGEVTIRIIGTAEQDRVGIEDDVAGGGEAGVEERGVGGEEAARMAVDSGAGESDSGTSRDSSYQRYDIQQIARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWVTAFMFKSNDILRKQTALKGERKMSVLIGYFLAFMLHVIVIYWWYRKDDLFFPLFMVPPKAIPPFWHAVFIILVNDTMVRQAAMALKLVLLMHYKNGRGHNFRRQGQILTLVEYTLLLYRALLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTTIVEKVQSCFTALKALSRKELHYGSYATTEQVNAAGDLCAICQEKMHAPILLSCKHIFCEDCVSEWFERERTCPLCRALVRPADLRSFGDGSTSLFFQLF >itb12g18000.t1 pep chromosome:ASM357664v1:12:20243345:20245741:1 gene:itb12g18000 transcript:itb12g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPETTASPPHLYPQTLQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRISNASSPSPPTLPTRSTNHPSLHTPMSEGGLKGVIQKVRLPVIVFGEDPAMKESQCCCCICLGEFEEKEELQQLPACTHIFHTQCITHWLRSNSTCPMCRSPVVAPNITTNHPPPMVSPPPPSIPDTRHHPRTNDEEHGTTNGLLFRSDCHRSSREEEVVITINLQGSSSSSSSSSNT >itb02g10110.t1 pep chromosome:ASM357664v1:2:6502879:6506628:1 gene:itb02g10110 transcript:itb02g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >itb11g02120.t1 pep chromosome:ASM357664v1:11:1061789:1063146:1 gene:itb11g02120 transcript:itb11g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSGSNKMKVQNKNRKSKLEFLGWGSKRLIEFLDSIGKESRQYSQGEVDAILKKYVSSNNVIDPTNKRRILCDEKLEKLFKKKTVLRKNVYYLLEVHLKENHVDDDDVDNAPEKSCFAAISVENIKLIFLRMQFVKGLLKENPEMFEDNVVGCIVRILSNSKKRSYQLHQVIGVSQSSGEPNLRLSNVEAEVPISELSDRYITEEEFESKAQSLHRFLTKNWIDRELVSLKQRIDLANEKGRRAEYPLYMYISSSSPEILK >itb07g01550.t1 pep chromosome:ASM357664v1:7:935088:936981:1 gene:itb07g01550 transcript:itb07g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKFGAWEAKPGSSPSNYTVMFSEARANRKQHKTEYTHHSIGNDQELREEEGGHSLGLSLWVLLGLHFQSPGNTLKHLSLYFLHSCTFSVPEEVKLENKRKLDYHIVSTQDSIKCILAQGLKARVCEMS >itb05g08270.t1 pep chromosome:ASM357664v1:5:11501756:11502836:-1 gene:itb05g08270 transcript:itb05g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHYGTFPAAITNQALRQQIIRSGLLESRRPWKQMLSFSIPQTFAESLTRLNANISYFFTNYTIFIMFLVFLCLLFRPVPLTVSVAVMAAWLFFYFLRDDPVSILGYVVDERMVLAWLSIFTVLLLFLTVTVKVVVALVVGVVAVVVHGVFRKPDDSLIMVRDYEEGIGGSAGSCPTPNVNFKGTAIASVSSSSSSSFSY >itb11g13930.t1 pep chromosome:ASM357664v1:11:11024341:11024902:-1 gene:itb11g13930 transcript:itb11g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRLQVLSLFRSLLRTARDFPDYNIREYTKRRTIDAFRQNKALSDSSLVAVAFSDGKAQLEIAKRQAVVYGLYAPKIKSVMELKH >itb05g21410.t1 pep chromosome:ASM357664v1:5:27174064:27174738:-1 gene:itb05g21410 transcript:itb05g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLFVAIGVMALASSFANASDPSPLQDFCVAIDDPKDACNNEYYIVQLMKWVGLIMFFFFFFFFMLSLLEFKQIIVELFVWDARIKLFIIYLDNEIRDEIINNSNCPIAQLLFVFAVFVNGKFCKNPDEVNADDFFFRGLNKPGDTSNPLGSKVSAVNVANLTGLNTLGISLARIDFAPYGLNPPSHTHPRATESLLFWRAPSTLDLFFLTSTRNEEQALY >itb01g01140.t1 pep chromosome:ASM357664v1:1:578806:582095:-1 gene:itb01g01140 transcript:itb01g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSNRSLSPVPLRPHRRSFSGSPSPNPFPLTNPRRSFNPITPANSPADSGRRGFPVKEEDFAEKENERAAKLPSPAKGSKNFMSPTISAASKICASPKKKVLVDRNEPVRSSITLCDGKATFFSSISSESTEYSKPKPEITGILDHKEDVLPVTKALKRVKFAEVPLYCENVSDSLSETVVTDSDCFTAETSLKNISSCSTLSSPSTNIAPLDADPSPSPYDPKTNYLSPRPQFLHYRPNPRIDVLRSLDKGGDMGEPKLLDESFMSEIVSENSDSESQMEDSQKESEDSSSSEAEVVVESAVEETEVVEEPKFSEEEVVVVKSLEEEVVLEPEIDETEGVEELDSEVALPVSMPGTDEMAEEIPDVRKETKRRFYIHSKLFYTIFLVMIACLSISVTDSPILNGYGVKDLKLTNISYLGSQISVLFQSTLNDQLKGSSGFSPVQFVDITADLEGGSKWELEEDREFEELEEDDELDNDIEPEKMVEKVEEDEVDEDVESDVEGSEEEDDENPGFGDSSEMEFGEKLEFEIEVKEDNAKAEEAEFELENEVEEDVGSAEAYEGEVYSDFGANMEMESKESFVLEVEDGQNQKQELMASRYDSVKADDLVAAASKDFDIKTEAATPTDIQPEVVEVVKFQGDDNANPHTELETALLDANLESKIDDFRVEKPSTSEMLASTIQQRSSTILGISSFLLGAVAVAAFVFLKQKNNPADPCLPKNLVSSASAKHIVEEKQSSKDWEPEADVVAESCPSEMSSFEVSTSHSRKDAAMKTSRAQKQERKPSKSSSSRRESVAASSDYSLGSPSPSYGSFTTYERIPIKHRSGGGEEIITPVRRSSRIRSSSQV >itb01g01140.t2 pep chromosome:ASM357664v1:1:578811:582095:-1 gene:itb01g01140 transcript:itb01g01140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSNRSLSPVPLRPHRRSFSGSPSPNPFPLTNPRRSFNPITPANSPADSGRRGFPVKEEDFAEKENERAAKLPSPAKGSKNFMSPTISAASKICASPKKKVLVDRNEPVRSSITLCDGKATFFSSISSESTEYSKPKPEITGILDHKEDVLPVTKALKRVKFAEVPLYCENVSDSLSETVVTDSDCFTAETSLKNISSCSTLSSPSTNIAPLDADPSPSPYDPKTNYLSPRPQFLHYRPNPRIDVLRSLDKGGDMGEPKLLDESFMSEIVSENSDSESQMEDSQKESEDSSSSEAEVVVESAVEETEVVEEPKFSEEEVVVVKSLEEEVVLEPEIDETEGVEELDSEVALPVSMPGTDEMAEEIPDVRKETKRRFYIHSKLFYTIFLVMIACLSISVTDSPILNGYGVKDLKLTNISYLGSQISVLFQSTLNDQLKGSSGFSPVQFVDITADLEGGSKWELEEDREFEELEEDDELDNDIEPEKMVEKVEEDEVDEDVESDVEGSEEEDDENPGFGDSSEMEFGEKLEFEIEVKEDNAKAEEAEFELENEVEEDVGSAEAYEGEVYSDFGANMEMESKESFVLEVEDGQNQKQELMASRYDSVKADDLVAAASKDFDIKTEAATPTDIQPEVVEVVKFQGDDNANPHTELETALLDANLESKIDDFRVEKPSTSEMLASTIQQRSSTILGISSFLLGAVAVAAFVFLKQKNNPADPCLPKNLVSSASAKHIVEEKQSSKDWEPEADVVAESCPSEMSSFEVSTSHSRKDAAMKTSRAQKQERKPSKSSSSRRESVAASSDYSLGSPSPSYGSFTTYERIPIKHWWR >itb07g13070.t1 pep chromosome:ASM357664v1:7:15175160:15177514:-1 gene:itb07g13070 transcript:itb07g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDTIAIHRGNTISLETGPKSTTVKIPIFKTPFNGYSVKFNPFYDNQLAIATAQNFGILGNGRVHVLQLNPNGPISQLAAFNTADGVYWSEDHDSLA >itb14g10380.t1 pep chromosome:ASM357664v1:14:11660892:11663782:-1 gene:itb14g10380 transcript:itb14g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMKIKMKMKMKIMLVKLELELELQMLRGKNKGEVGVGDEDEDYVGVGPACTHLNLLLTLHLSLSLAASVVVFLSQNMSVTETPFRPRQKLLEKQRYFQSIHRHTYLKGPLDKVTSVAIPLALAASSLFMIGRGIYNMSNGIGKKE >itb10g25700.t1 pep chromosome:ASM357664v1:10:28906271:28908475:1 gene:itb10g25700 transcript:itb10g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSFIVSFFLAFFILTHRGGVLGATFTFVNKCEYTVWPGILANAGSPSLESTGFELPKDSSSALTAPTGWSGRFWGRTGCNFDESGSCATGDCGSGEVECNGAGAAPPATLAEFSLGTVGQDFYDVSLVDGYNLPMLIEASGGSGMCATTGCVTDVNQICPAELRLSGGQACRSACEAFAKPEYCCSGEYNSPITCKPSVYSEMFKSACPRSYSYAYDDPTSTFTCSAADYTLTFCPSLPSQKSSKETMPTTSGVGSAGTGMESRSGSGETGMGDGSWLAGLAMGDSTRILATTGLHCICGVILLFLFL >itb10g14000.t1 pep chromosome:ASM357664v1:10:20276575:20278070:-1 gene:itb10g14000 transcript:itb10g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPGIKVPLALIHRLRRGGRGGGRGNNESNKTEGGSSSDKKAEGGSSHKKSEGSDRGGATTNKVEMDSLLGLLRIRIKRGVNLAVRDARSSDPYVIVKMGKQKLRTHVLKRDVNPEWNEDLTLYVTDPSLPIKLTVYDHDTFSKDDKMGHAEIEIKHLLEALKMNGNGVGNEETVAARVQPKRSNCLASESCVVWKDGKVTQDMCLRLKNVESGEVEIQIQWINLPAASKP >itb10g04370.t1 pep chromosome:ASM357664v1:10:4148409:4149071:-1 gene:itb10g04370 transcript:itb10g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLADGVGSGYNYDASARSFLDTMAVSPGYNPALPSQNVKLQLSEACPKNFIIFDQTDYRSQIMFHPAMASNFYPHLNINASPLYQDGMEEINANNEGREISSQQEDSDDIDALMDLMSFEEENYAESEDEELSTARMDANYGSSSPDSCSNYPCHKRSSVSGESCSKRKKLRKMVKTLRGIVPGANGMNTVAVLDETVRYLKSLKVEVQKLGIKNLRS >itb11g12560.t1 pep chromosome:ASM357664v1:11:9469533:9471708:1 gene:itb11g12560 transcript:itb11g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVGNSGICAELPQYFGVGSETRKTNSSIPDPYGIEVGNSPPGLEEGWMLNVHAIDTRGTGENQQGCAECRCDLYNVTKDDYPGGMKCCYDGARCRVERGFQGEKRGLYLKYTVTYVDWNPSIVPVKIYLFDVTDTLELSHIFPGRHTCEIEYQVEACSADTPTHECVHSKSLTVSLPKGGDLIHAYAHQHIGGIGSTLFGEDGRVLCNSLPIYGNGTEPGNEDGYLVGMSTCYPQPGSVKIAPMEKLTIVSNYSNVQMHTGVMGHYFIFVAESLPESNPTFHSSTWQI >itb10g24450.t1 pep chromosome:ASM357664v1:10:28271535:28272890:-1 gene:itb10g24450 transcript:itb10g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLINKMGDQKGSSDEILHNLFTLMKIYKDGRVERLSGTDVVPAGLDAETGVLSKDVVISPEPKLSARLYLPPKISAGRKLPLLLYFHGGAFVVESAFSPTYMKPLNLLAAEANVLIVSVDFRLAPEHPLPAAYDDGWTALKWAASHAAGDGGEEWLNEYADFDRVFLGGDSVGANISHNMALRVGSSENNNNSVDGVKINGLFFNCPFFWGAERIGDEGDIPIFVSFFDTICRVALPEPAGLDDPRINPSKDPELAKLGCKRIIIFVPEKDPLKHRGWLYKEALVSSGWRGAAQVVEVKGEHHIFNLNNPTCDNAMAMLKKLATFFHDHNP >itb04g13640.t2 pep chromosome:ASM357664v1:4:13779448:13789174:-1 gene:itb04g13640 transcript:itb04g13640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTGLSESANAATRTVYGVSEPISTGGPTEFDVVRNTELEKFLVDAGLYESQEEAIRREEVLGRLDQIVKKWVKNISHAKGHSEHLAQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRYATREDFFSELHKMLAEMDEIEELHPVPDAHVPVMGFKFNGISIDLLYANVALWVIPEDLDISQESILQGVDEPTVRSLNGCRVTDQVLHLVPNIQSFRTTLRCMRLWAERRGVYSNVTGFLGGVNWALLVARICQLYPNAIPSMLVSRFFRVYSMWRWPNPVLLCPIQEGSLGLPYWDPRRNFKDRMHLMPIITPAYPCMNSSYNVSTSTLRVMTEEFQRGNDISEAIEANRASWVNLFEPFAFFEAYKNYLQIDISAENNDDMMNWKGWVKSRIRLLTLKIERDTSGVLQCHPSPGEFSDKAKPFHHSYFMGLRRKQGTNPQQGEQFDIRLTIEDFKRDVYQYSFWKSTMLIRVCHVKRKNIPNFVFPGGVRPAQPAKAPVATRLTPVDTAVTRKRKQDEVLSSSDASLPGETREAKRLESDVGDTLSSVRHVESHVEVSIKSRSPVVPSPSEAPAERLLVKQFVEPIIDQPDSSQVFDGLGDDELKDGGGCFFDPPEAAEMPGDEAASSDKGDENSNSCGAVRDECLEELEPVELNAPPSGMATTSAFIAPQKPMIRLNFTSLRRTTENGA >itb04g13640.t3 pep chromosome:ASM357664v1:4:13779415:13789146:-1 gene:itb04g13640 transcript:itb04g13640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTGLSESANAATRTVYGVSEPISTGGPTEFDVVRNTELEKFLVDAGLYESQEEAIRREEVLGRLDQIVKKWVKNISHAKGHSEHLAQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRYATREDFFSELHKMLAEMDEIEELHPVPDAHVPVMGFKFNGISIDLLYANVALWVIPEDLDISQESILQGVDEPTVRSLNGCRVTDQVLHLVPNIQSFRTTLRCMRLWAERRGVYSNVTGFLGGVNWALLVARICQLYPNAIPSMLVSRFFRVYSMWRWPNPVLLCPIQEGSLGLPYWDPRRNFKDRMHLMPIITPAYPCMNSSYNVSTSTLRVMTEEFQRGNDISEAIEANRASWVNLFEPFAFFEAYKNYLQIDISAENNDDMMNWKGWVKSRIRLLTLKIERDTSGVLQCHPSPGEFSDKAKPFHHSYFMGLRRKQGTNPQQGEQFDIRLTIEDFKRDVYQYSFWKSTMLIRVCHVKRKNIPNFVFPGGVRPAQPAKAPVATRLTPVDTAVTRKRKQDEVLSSSDASLPGETREAKRLESDVGDTLSSVRHVESHVEVSIKSRSPVVPSPSEAPAERLLVKQFVEPIIDQPDSSQVFDGLGDDELKDGGGCFFDPPEAAEMPGDEAASSDKGDENSNSCGAVRDECLEELEVFSANFIYLIFCFLKDSLYCFL >itb04g13640.t1 pep chromosome:ASM357664v1:4:13779406:13789174:-1 gene:itb04g13640 transcript:itb04g13640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTGLSESANAATRTVYGVSEPISTGGPTEFDVVRNTELEKFLVDAGLYESQEEAIRREEVLGRLDQIVKKWVKNISHAKGHSEHLAQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRYATREDFFSELHKMLAEMDEIEELHPVPDAHVPVMGFKFNGISIDLLYANVALWVIPEDLDISQESILQGVDEPTVRSLNGCRVTDQVLHLVPNIQSFRTTLRCMRLWAERRGVYSNVTGFLGGVNWALLVARICQLYPNAIPSMLVSRFFRVYSMWRWPNPVLLCPIQEGSLGLPYWDPRRNFKDRMHLMPIITPAYPCMNSSYNVSTSTLRVMTEEFQRGNDISEAIEANRASWVNLFEPFAFFEAYKNYLQIDISAENNDDMMNWKGWVKSRIRLLTLKIERDTSGVLQCHPSPGEFSDKAKPFHHSYFMGLRRKQGTNPQQGEQFDIRLTIEDFKRDVYQYSFWKSTMLIRVCHVKRKNIPNFVFPGGVRPAQPAKAPVATRLTPVDTAVTRKRKQDEVLSSSDASLPGETREAKRLESDVGDTLSSVRHVESHVEVSIKSRSPVVPSPSEAPAERLLVKQFVEPIIDQPDSSQVFDGLGDDELKDGGGCFFDPPEAAEMPGDEAASSDKGDENSNSCGAVRDECLEELEPVELNAPPSGMATTSAFIAPQKPMIRLNFTSLRRTTENGA >itb01g26850.t1 pep chromosome:ASM357664v1:1:31908767:31909417:-1 gene:itb01g26850 transcript:itb01g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSTVNHQRHLLRLVLSCRKITAQVTHPQTASIIAMASSSEQEFTAEYRAKLNRFPRSHNMWDSKIASRVGEKLGHRLKEAGICNVEIDHQEELARPAHYRKMVSPLFQAVKRAGIAVAGGEKLEFWS >itb04g11820.t1 pep chromosome:ASM357664v1:4:11439009:11439841:-1 gene:itb04g11820 transcript:itb04g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVACPLLSDQCCNAKLVQDVWKTGTRVKANEDGIFEARELKKCIECGMGERGAELSKNAKKWRDLARSTMKECGSSYLNLKA >itb12g07300.t1 pep chromosome:ASM357664v1:12:5461319:5462215:-1 gene:itb12g07300 transcript:itb12g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMIKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCISVYSARSDGSWDTKRIDQAHPVGVTTVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPALQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLVVASWDNSHAVERSSGWGVATTQN >itb03g29300.t1 pep chromosome:ASM357664v1:3:30121887:30124888:1 gene:itb03g29300 transcript:itb03g29300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVTSAQKDDYNSHQDRTSLIGFKAWVVSDPLGALESWNNNGLHVCNWTGVKCDKKMSRVVELDLSHRSLRGEISPQLSNLSCLEVLDLSWNFFAGKIPPELGSLVQLMQLSLSTNLLGGRIPGELGGLRELVYLDLGSNDLSGEIPVPLFCNGSLSLQYMDLSNNSLSGEIPMSSHCELRELKFLLLWSNRLVGEVPKALGNSSRLEWIDMESNSLRGELPSEMVSKLPRLQFLYLSYNHFCSHDGNTNLWPFFASLRNSSNLEELELGGNHLGGEIPSIIGHFSTNLVQIHLEDNLIHGPIPQGISNLVNLTLLNLSANLLNGSIPVEICQIGRLERLYLSNNHLSGPIPSAFGNAPHLGLLDLSKNRLTGAIPDTFANLPQLRRLLLQGNRLSGQIPPSLGQCINLEILDLSRNRLSGTIPTQVAGLRSLKLYLNLSGNHLHGPIPRELSKMDMVLAVDLSSNNLTGTIPSQLGSCIALESLNLSHNFFEGSLPASVGQLAYLKELDVSFNQLSGEIPQAFQSSSSLKKLNFSYNRFSGNVTEKGAFSTLSFASFLGNDHLCGSINGMKNCKGSKRGHHFLITILLSLLVTPIFCIVGCPLVHRSRFKKQWAVVVNNNKVAEFTEEGKTDPKYPRISRGQLIQATSGFSSSSLIGSGRFGQVYKGVLKDNTRIAVKVLDTKTPGKNISGSFRRECQVLRRTRHRNLIRIITACSRPDFKALVFPLMQNGSLENHLYPSHGLNSRHLDLSHYPHRLEPIVEEALVRCKPRASVSYNSNIWRDTILELIEVGLVCTQYSPGTRPSMVDVALEIGRLKEYLASPSILHCEEDLHGF >itb01g28260.t1 pep chromosome:ASM357664v1:1:32777612:32780119:1 gene:itb01g28260 transcript:itb01g28260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLISDLSLCLYVASSMSLLFVFQEKHKEKKHKKDKKDKEKREGKEKKEKDRSDGKHKAKKEKKDKHKDKKEKHRDKKDKEKDKNKDKERSSISEEARLAGHPGASSADPGKKDEQNSGIFLENKKYAAQHQDQHGQKPVQTSIPDREVDESKFVQELGRRIKDDEKGKGNQLAKSIGNFGERKRDEMMDRLGNKDVGIFCEDDMEKRVGNSVMGAQATWNGSVFSGNAMVPNFSGASKSKVEGMPRPLEENNERKEVKEKPKRGDEKVGHKKEKEKTKGGDEKLGHKSKDNDRDKKSQKKDKDREKKEKAKEKNKLKNSEQDRLRNISKNDSIVVSNSQTLHISGDKNDAALEGNIRKRKDIESNGFLHESESRPAKLPRHIPNETIENGSKVEPPQTPHLYTSARQGGGSNLKVVNTDHRINGTIQGQLLSGSRPKPSPVTTGNCQIAEASRKPPCSDEIADACKKPPHPYHQIHETSKKPPQLNQITEVLKKPPHPDLKYLSQILSVPKMDEWNEYDDQEWLVGSKDSSGGMKPKDIPNEEQRVWSEALYISPEILALPYVIPY >itb15g12180.t2 pep chromosome:ASM357664v1:15:10134446:10139045:1 gene:itb15g12180 transcript:itb15g12180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSAALRTTAISLLLLAIVGVFLLRLPPANRPSNHISLLPHRSLLNSSCRFFSDESDGLFNYFSFHSCLFRQNPFLSVPFLGLFVLLYFYILITTAQAHFSVVVTKLVAHLRLSPSMGAVTLLALGNGAPDVFASVAAVKGGQPRTGFGAILSAGTFVSAFVVGFVSIYAAPFAVDPAPFVRDVMFYLTAAMFLFYVYLSAEIFLWQAVGFVGFYLFFVGIVFWMDLGEGNRRRAEMGSVGNGKTHKRMVEMGDCENGKFLGSSEIKKNPSSGLLLAYEKISQVWELPVSILLKLTIPNTSLSEWSRFYQSANIALCPLALLFSCRSFMSFNHPVAFLLSNVHFPLWVVVLCGSSSLALLHYIVEKEPPKSEQMPSILAAFVMSVFWISTAAGELLNCLAVLGVLLKLPSALLGLTVLAWGNSVGDLVADVAVAKAGQPALAMAGCFAGPMFNMLFGLGTALVIQTGNVYPEAYELHFHVSSPEILGILPCWTLLLFHVSEPGNRKVFCIMFRQRELDVMAVTLSYILLAHFYCKYLRLSTLEVIALQKKKNIRGYHIFFCVNVI >itb15g12180.t1 pep chromosome:ASM357664v1:15:10134445:10139045:1 gene:itb15g12180 transcript:itb15g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSAALRTTAISLLLLAIVGVFLLRLPPANRPSNHISLLPHRSLLNSSCRFFSDESDGLFNYFSFHSCLFRQNPFLSVPFLGLFVLLYFYILITTAQAHFSVVVTKLVAHLRLSPSMGAVTLLALGNGAPDVFASVAAVKGGQPRTGFGAILSAGTFVSAFVVGFVSIYAAPFAVDPAPFVRDVMFYLTAAMFLFYVYLSAEIFLWQAVGFVGFYLFFVGIVFWMDLGEGNRRRAEMGSVGNGKTHKRMVEMGDCENGKFLGSSEIKKNPSSGLLLAYEKISQVWELPVSILLKLTIPNTSLSEWSRFYQSANIALCPLALLFSCRSFMSFNHPVAFLLSNVHFPLWVVVLCGSSSLALLHYIVEKEPPKSEQMPSILAAFVMSVFWISTAAGELLNCLAVLGVLLKLPSALLGLTVLAWGNSVGDLVADVAVAKAGQPALAMAGCFAGPMFNMLFGLGTALVIQTGNVYPEAYELHFHVSIVVAFVFLLISLMGSLLVVTWHRFQVPRFWGFCLVGLYSFFMLVSLVIAKFSA >itb11g09380.t2 pep chromosome:ASM357664v1:11:6244685:6252049:1 gene:itb11g09380 transcript:itb11g09380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLVMWDVLAVGMLLLCSWFCCGNASVSYDRNAIIVNGQRKILISGSIHYPRSTPEMWPDLIQKAKEGGLDYYFEERYDLVKFIKLVHQAGLYVHLRVGPYACGEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQKFTTMIVNKMKSERLYESQGGPIILSQIENEYGPMEYILGAPGHAYAQWAAKMALNLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKIWTEAWTGWFTEFGGPAAYRPVEDLAYSVAKFIMKGGSFVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEFGLLREPKWGHLKDLHRAIKLCEPALVSGDPVITSLGNSQEARVFKSKSGACAAFLANYDQHSYAKVSFGNMHYNLPPWSVSILPDCKNTVYNTARIGAQSTQMKMTPVSQGFAWQSYNEETTSYDDNTFTVVGLLEQINTTRDASDYLWYTTDVTIDPREGFLKGGKWPWLNVFSAGHALHVFINGQLVGSAYGSLENPKVTFSKSVYMRAGVNKIALLSIAVGLPNIGPRFETWNTGILGPVSLGGLNEGKRDLTWQKWSYKIGLKGEALSLHSLTGSSSVEWVQGSFVVQKQPLTWYKTTFNAPPGNEPLALDMNTMGKGQVWINGQSIGRFWPAYKASGNCGACNYAGWFNEKKCLRKCGEATQRWYHVPRSFLRPTGNLLVIFEEWGGNPYGISLVKRQVDSVCADIFEWQPQLMNWKMQASGKVTKPLRPKAHLSCGPGQKISSIKFASFGSPGGVCGSFRQGSCHAFHSYDIFNKYCIGWNSCTVPVTPEAFGGDPCPNVMKKLSVEAVCS >itb11g09380.t1 pep chromosome:ASM357664v1:11:6244490:6252603:1 gene:itb11g09380 transcript:itb11g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLVMWDVLAVGMLLLCSWFCCGNASVSYDRNAIIVNGQRKILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPQPGKYYFEERYDLVKFIKLVHQAGLYVHLRVGPYACGEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQKFTTMIVNKMKSERLYESQGGPIILSQIENEYGPMEYILGAPGHAYAQWAAKMALNLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKIWTEAWTGWFTEFGGPAAYRPVEDLAYSVAKFIMKGGSFVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEFGLLREPKWGHLKDLHRAIKLCEPALVSGDPVITSLGNSQEARVFKSKSGACAAFLANYDQHSYAKVSFGNMHYNLPPWSVSILPDCKNTVYNTARIGAQSTQMKMTPVSQGFAWQSYNEETTSYDDNTFTVVGLLEQINTTRDASDYLWYTTDVTIDPREGFLKGGKWPWLNVFSAGHALHVFINGQLVGSAYGSLENPKVTFSKSVYMRAGVNKIALLSIAVGLPNIGPRFETWNTGILGPVSLGGLNEGKRDLTWQKWSYKIGLKGEALSLHSLTGSSSVEWVQGSFVVQKQPLTWYKTTFNAPPGNEPLALDMNTMGKGQVWINGQSIGRFWPAYKASGNCGACNYAGWFNEKKCLRKCGEATQRWYHVPRSFLRPTGNLLVIFEEWGGNPYGISLVKRQVDSVCADIFEWQPQLMNWKMQASGKVTKPLRPKAHLSCGPGQKISSIKFASFGSPGGVCGSFRQGSCHAFHSYDIFNKYCIGWNSCTVPVTPEAFGGDPCPNVMKKLSVEAVCS >itb03g19810.t1 pep chromosome:ASM357664v1:3:17802859:17803650:1 gene:itb03g19810 transcript:itb03g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNKNITISTTSPKGATGESNTIPAKSPKGQCLCSPTTHKGSFRCRYHRSDSSGSSAWFKRSNSLPPGKSHNLPALSPKSVEST >itb03g22980.t1 pep chromosome:ASM357664v1:3:21082528:21084694:-1 gene:itb03g22980 transcript:itb03g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEKCSVLYGKYELGRFLGHGTFAKVYHARNVHTGKSSAMKVVGKEKVVKAGMMEQIKREISVMSMVKHPNIVELYEVMASKTKIYFAMELVRGGELFAKISKGRLREESARNYFHHLISAVDFCHSRGVYHRDLKPENLLLDDDGNLKVTDFGLSAFSDHLRHDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNVVAMYRKIYRGDFKCPPWFSSDARKLITKMLDPNPNSRISISKIMDSPWFKKSVPRALRSKEEEEFTVEDESCLGKTKEMESLNAFHIISLSQGFDLSPLFEEKKKRMEKEDLRFATTKPASSVISRLEEVAKTSNFSIKKSDSSVRLQGHESGRKGKLGISADLFAFSPSFLVVEVKKASGDTLEYNQFCTKELRPALKDIVWTSPST >itb02g17780.t1 pep chromosome:ASM357664v1:2:13945319:13946281:1 gene:itb02g17780 transcript:itb02g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQEIIASLPKKERWSGAFYNYEYQGFWFSPFVLEGIISVQESFQAQTSDILLCSAPKTGTTWLKALAFAIVTRNRFDGLSDNNNNHPLFNAVPHDCVPFLEVDLVENNKKDPEMPLLSTHLPFSSLPKCIISKGCKMVYICRDPKDTFVSYWHYLQRALPGGQHDHVVKRLSLGTEFESFCDGSTYYGPYWDHVLGYWKASIERPDNVLFLKYEDLKRDTLFYVRKLGEFMGQPFTAEEERRGAAERIVEVCSLQKLSRLEVNKTGKHRQGTPMSSNNYSFFRRGMVGDWKNLLTPEMQRRIDEITEQKLHGSGFSFS >itb14g01820.t1 pep chromosome:ASM357664v1:14:1477408:1478254:1 gene:itb14g01820 transcript:itb14g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKRKRLNDVEVPGKKARAAPAPENDGKTNNPVAAEEEEVEEFFAILRRIQVAVKYFGKVNGESGGGGRKATAEMAVRPWNPSFRREDFEEIAEAPETVVNTGLDLNTEPDCQPTDDKKPV >itb03g04440.t1 pep chromosome:ASM357664v1:3:2787168:2791522:1 gene:itb03g04440 transcript:itb03g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMTLDDMIKSRRNSERGRGRGRPRRGRGAGGSFRGGRPAGAPRSGGPLGVNARPSAHVIAKSFRRTKNLPWQNGLFEDSLRAAGLSSGLESGSKLYVSNLDVGVTNEDIRELFSEIGELIRYAIHYDKNGRPSGSAEVVFARRTDAFQALKRYNNVQLDGRPMKIEIVGTNSQIPMLARVNVVGGTNGRRTVIMNAGQGPARTRSGGVTPAANWGSGQRGRGTRGRGGRGRGRGRGRGGQGRKKPVEKSADDLDKELDNYHAEAMQT >itb06g20220.t3 pep chromosome:ASM357664v1:6:23292753:23297124:1 gene:itb06g20220 transcript:itb06g20220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLRRCWRPARVKMAEEINSPSAAAAAAAATAAASEKKKRSLWPSVLRWIPTSTDRIIEAEKRLLSLVKTPYTQERVNIGSGPPGSKVRWFRSASNEPRFINTVSFDSKEGSPTLVMVHGYGASQGFFFRNFDYLAKHFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLTNFILLGHSFGGYVAAKYALQHPEHVQHLILVGSAGFTSETEHKSEWLTQFRATWKGAIMNHLWESNFTPQKLVRGLGPWGPDLVRKYTGSRFSSAGDGLTNEESTLLADYMYHTLAAKASGELCLKYIFSFGAFARSPLLHR >itb06g20220.t1 pep chromosome:ASM357664v1:6:23292752:23297055:1 gene:itb06g20220 transcript:itb06g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLRRCWRPARVKMAEEINSPSAAAAAAAATAAASEKKKRSLWPSVLRWIPTSTDRIIEAEKRLLSLVKTPYTQERVNIGSGPPGSKVRWFRSASNEPRFINTVSFDSKEGSPTLVMVHGYGASQGFFFRNFDYLAKHFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLTNFILLGHSFGGYVAAKYALQHPEHVQHLILVGSAGFTSETEHKSEWLTQFRATWKGAIMNHLWESNFTPQKLVRGLGPWGPDLVRKYTGSRFSSAGDGLTNEESTLLADYMYHTLAAKASGELCLKYIFSFGAFARSPLLHRASEWKVPTTFIYGVHDWMNYEGAVEARKNMKVPCEIIRVPQSGHFVFIENAPDFHSAVYYACRRFLSSDQDNQSSNQDNQSLPESLLFV >itb06g20220.t2 pep chromosome:ASM357664v1:6:23292753:23297124:1 gene:itb06g20220 transcript:itb06g20220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLRRCWRPARVKMAEEINSPSAAAAAAAATAAASEKKKRSLWPSVLRWIPTSTDRIIEAEKRLLSLVKTPYTQERVNIGSGPPGSKVRWFRSASNEPRFINTVSFDSKEGSPTLVMVHGYGASQGFFFRNFDYLAKHFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLTNFILLGHSFGGYVAAKYALQHPEHVQHLILVGSAGFTSETEHKSEWLTQFRATWKGAIMNHLWESNFTPQKLVRGLGPWGPDLVRKYTGSRFSSAGDGLTNEESTLLAGSFK >itb02g25270.t1 pep chromosome:ASM357664v1:2:26047268:26052253:1 gene:itb02g25270 transcript:itb02g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLIANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGTKNEELLVACHKSVYIVYTVLGDVSIFVVGKDEYDELALSEAIFVITSGIKDVCGKPPTERLFLDKYGKICLCLDEIVWKGLLENTEKERIKRLIRLKPPVEF >itb04g26170.t1 pep chromosome:ASM357664v1:4:30554396:30558066:-1 gene:itb04g26170 transcript:itb04g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFITFFLLAVAVQAAREVPGGNENANGLKDQKNYYSYGGLGGMSGMGNGGLPYGGVMGGMGTGGNIGVTPFNGGVGGGMGIGNMGAGGGNNLPAFGGGNNMPGGFGGVGNMPGFGGGAGGGGGGAGAGGAGNLPLP >itb02g04250.t1 pep chromosome:ASM357664v1:2:2530227:2532597:-1 gene:itb02g04250 transcript:itb02g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAASLPLGYDLMDSALYEAMVEGNEDLDEALWDKMEQHGGRQVTPKGNTILHIAALYGHTHFVQKILEKHTSLLCIVNKKNETALHIAAREGHTAVVSALICCAKARPELESGIGGARDMVRMMDGDKDTALHKAVRKGHLEVVKLVVKEDPEFEFGPNEAGETPLYLAAELQFHECLLEILNNSQRPVFDGPLGRNALHAAIIHSGGPKASLCEVGDDWGWTPLHYAVRLNNERAVEQILQVKSSAAYIPAGGKEGEWTTAVHIAVSEDSIVLFKQILQHCPLCWEMVDSKGQNVLHEAILNDARKVMKFILNSPKMDHLVDERDEDGDTPLHLVAASNNYVPKLIPHPRLKGTVIFNKQNLTPLDIAYQEPALVALKPILIKVGWKRGWRGDLTKKTKTNAFNNGGTSRTQMIQKRKDREEMYINSVEKVAKTHILVATLIATVTFAAGITLPGGYNNTLGETTQGMAILLGKSAFKAFVITNAIGFVCSIASLTGYMRLVGEVSDTKRLEILENVGFYSALMLDLSLTAVVLAFATGMFAVLTHSSALAVGLCISICIVVLGLISFPVFIGYKEKGLIVSKFKKYVIIKKA >itb07g17110.t1 pep chromosome:ASM357664v1:7:21281607:21288135:-1 gene:itb07g17110 transcript:itb07g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFEQEVNRGVKRKMGDHQHYKLCEGQKVEFNNYRGWIRPLPPPLYIGKSSLHYGECVDSFYRDAWWEGVIFDHEDGSENRMIFFPDMDDEMTVCVNTLRITRDWDEVTEEWKPRASSSADETRVLPKNLDVGNDSKNLDTLIMRNLDEVLSPTPSVQSSLPHTAGGIHGMLIPFKNSEVSGEELKNSKRKTKLMWSPVALEAQSFPEAIAEFKRVSLSDRRPALDLHVKVRKHLLYLGWNVESVYDRRMTRFRYLSPSGTVLMSLSQICKELETMSKTLSDGSTSCSSIVKQEAGRELYEPIIDSVYCPQAVFEYVRVVAPKRLSKKRRPGVAILADKAKKHLVFSDWKIYSQRKGVRRELRYMSPAGKVFYSLITACECYIKENALSLSDAHLTGQRQIRQVDVEDEDHVCNEQLLLESSEKLPTIKSHKKRKLNLYTSRAFIGSGDVADSHSSTRVLRSSKRARKIASSSHQTPRSILSWLIDNNVILPRTKVHYRGREDDHPKREGRISHDGIKCNCCQKVYGLSTFAAHAGGTTHCSSENIILEDGRSILDCQLEMKWKISARKTELHIKKRRHNMSKNDYICSICHDGGELILCDRCPSAFHTGCLGLKELPDGDWFCPLCCCRICSQSRFDKNKDQFADNNALYCSQCEHQYHVACIRNNGLLKHPEGYWFCDNRCEQIFVDLHKLLGKPIQLGTDNLTWTLLKYKKPDSSRPDVVDDKHALENYSKLNVAIDVMHECFEPVKESRTGSDLMEDIIFSRWSELNRLNFQGFYTVILERNEELITVATLRVHGDKVAEIPLVATRFQYRRLGMCRILMNVLEKKLSELGVERLVLPAATSVLNTWISSFGFSVMEESTRLDLSGFNILNFYGTVMCEKFLARTSPSMELIVSTAAAEPNQHIFGAVANKGNNAELDGTTSAVSEVLQAEQIDGSDIIDKGPAETPGGTGTNDHPAPLVLVLKETPAPDDFPAQNTLDCLREEAPNQREAGNNVVGMFKCYERRRRYEAVEANLFTKVVTDG >itb11g22450.t1 pep chromosome:ASM357664v1:11:24388135:24390566:-1 gene:itb11g22450 transcript:itb11g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLTQQTTKGRQVVSVVGMGGIGKTTLARKVYEQPSITSYFDMRAWVTVSQEFNNEQMLRCLIGCVTAASRDELHKQSTNQDQLAERLRKHLKGQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLGEVAGYASSGNSPLNMPFLDAYESWNLYCKVFGKTEFHLVFEKIGRDIVKKCEGLPLAITVVASLLSKTEEDEEKWKNVVAKSVIDDSNDDACSRILYLSYNQLPHHLKACFLYFGVFQEDYEIHVKKLVRLWTAEGFLRAMKHVNMEEVAIECLQDLVDRSLVIVSKHSYNGKMKRIKIHDMLRDLCLREARHENLLSNAIIGDQEFPFNTSISPHQFSKPCRWLAVSRNGLCGLLRNLMDAALQPWEKNEFIHLKSQLF >itb15g10350.t1 pep chromosome:ASM357664v1:15:8170876:8173327:-1 gene:itb15g10350 transcript:itb15g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYGVESKSLKIHAKEKIPLDSFTHLQFHGELDTKSGAPSYLSAVIRHFYKDLSVSLGVGMEYDRRQKLSYNVRGKRAFPVTTDGFLNFHVKGRCDTDQEFKQRKPKGSAEFSWDIVNFKKDQEVRIKVGYEVFEKVPYLQIRENNWTLNADVTGRWNVKYAL >itb03g14340.t1 pep chromosome:ASM357664v1:3:14107161:14110175:1 gene:itb03g14340 transcript:itb03g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLTDNRAVSYDTAKAFADEIGIPFMETSAKNASNVEQAFMAMAADIKKRMASQPAGSNAKPPTVQIRGQPVNQKSGCCSS >itb02g14660.t1 pep chromosome:ASM357664v1:2:10656871:10660380:-1 gene:itb02g14660 transcript:itb02g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEEEEVDSVKMPLLQHTRSSPSSSSTPPTETIKDPLKRTGNEWTALAHIITAVIGSGVLSLAWSMAQLGWIAGPLSMLCFASVTIISAFLLCNCHKSTDPECTIRHGSYLDAVTSILGKKSAWFCGIVVQINFIKVAIVYTITTASSIGAIQKSNCYQYEGHDAACGGNSNTKHMVIFGAVQALVSQVRNFQNTKWLSVVAAIMSFTYSLIGAGLGLAKVIENGEIKGSITGLPSDNAIEKVWSVAQALGDIAFAFPFSLVFLNIQDTLKETPPEKATMKKASTIAVCITTLFYLCCGGFGYAAFGTNTPGNLLTGFGFYEPYWLVDIANACVVVHLVGAYQVFSQPLFANFESWLGRKFPESDIVHRDYDLKRLLLPGFRLNLLRLVFRTAYVVTVTGIAVLFPYFNQVVGFSGAITFWPVVVYFPVEMYLKQKRIESWTTIKVVLRTYTYICLVVIVFAFVGSVRGLIVARFS >itb02g14660.t3 pep chromosome:ASM357664v1:2:10656871:10660380:-1 gene:itb02g14660 transcript:itb02g14660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEEEEVDSVKMPLLQHTRSSPSSSSTPPTETIKDPLKRTGNEWTALAHIITAVIGSGVLSLAWSMAQLGWIAGPLSMLCFASVTIISAFLLCNCHKSTDPECTIRHGSYLDAVTSILGKKSAWFCGIVVQINFIKVAIVYTITTASSIGAIQKSNCYQYEGHDAACGGNSNTKHMVIFGAVQALVSQVRNFQNTKWLSVVAAIMSFTYSLIGAGLGLAKVIENGEIKGSITGLPSDNAIEKVWSVAQALGDIAFAFPFSLVFLNIQDTLKETPPEKATMKKASTIAVCITTLFYLCCGGFGYAAFGTNTPGNLLTGFGFYEPYWLVDIANACVVVHLVGAYQVFSQPLFANFESWLGRKFPESDIVHRDYDLKRLLLPGFRLNLLRLVFRTAYVVTVTGIAVLFPYFNQVVGFSGAITFWPVVVYFPVEMYLKQKRIESWTTIKVVLRTYTYICLVVIVFAFVGSVRGLIVARFS >itb02g14660.t2 pep chromosome:ASM357664v1:2:10656871:10660315:-1 gene:itb02g14660 transcript:itb02g14660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEEEEVDSVKMPLLQHTRSSPSSSSTPPTETIKDPLKRTGNEWTALAHIITAVIGSGVLSLAWSMAQLGWIAGPLSMLCFASVTIISAFLLCNCHKSTDPECTIRHGSYLDAVTSILGKKSAWFCGIVVQINFIKVAIVYTITTASSIGAIQKSNCYQYEGHDAACGGNSNTKHMVIFGAVQALVSQVRNFQNTKWLSVVAAIMSFTYSLIGAGLGLAKVIENGEIKGSITGLPSDNAIEKVWSVAQALGDIAFAFPFSLVFLNIQDTLKETPPEKATMKKASTIAVCITTLFYLCCGGFGYAAFGTNTPGNLLTGFGFYEPYWLVDIANACVVVHLVGAYQVHSTSSPNYLTLLALLN >itb13g07750.t1 pep chromosome:ASM357664v1:13:9766322:9768226:1 gene:itb13g07750 transcript:itb13g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVFFESTKKHLTALVNREQNKHLLSKNICSSNIPLENHFKLWSSVIPSLAQGGFGSETALLEASQIQKSGSEPTIHTLVHLIRGCANLGRFSHGEQFHCHVLKSGYVSDVFVSTSLINFYVKFEAVGDAHKLFVEISEPSVVSWNALISGYVRCGKFNKALNLFVQLERSGLCADSYSFTASLSACRQPSLLHFGESIHSKIVRLGVECSVVVGNSLIDMYGKCGCARESIRVFNGMIDRDTISWNSVIAANARNGRLEQAFRFLHQMPDPDTISYNELISGIAQFGEIEDAIHLLSRIPNPNSSSWNSIITWYVNRGRACQALEFFCKMHFSGIQMDQFTFSSILSGTANVADITWGRLIHCCTVKHGLDGSIVVGSALIDMYSKCGKVNQAEVLFETLPRKNLVTWNAMISGHAHNGNSIKVLGLFEQLKMVTDFQPDGITFLNVLAACWHNKIPLQVANGYFESMIKNYGISPTAEHCSSMIRLMGQEGEVSGAEKMIKELGFEKCALVWRALLGACVTCGDIKVAEVAAEKVIGLEGHNVDFVYVLLSNVYASHEKWKEVKGTRTLMKERRVMKASGHSWIEVK >itb05g13250.t2 pep chromosome:ASM357664v1:5:20119699:20122397:-1 gene:itb05g13250 transcript:itb05g13250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASLVEGTDRQRSKRCGSGPPSAPVTSFSGGVKTVKFLAVEASGIRAAFYQARFSKIK >itb05g13250.t1 pep chromosome:ASM357664v1:5:20119699:20122395:-1 gene:itb05g13250 transcript:itb05g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASLVEGTDRQRSKRCGSGPPSAPVTSFSGGVKTVKFLAVEASGIRAAFYQARFSKIK >itb15g13530.t1 pep chromosome:ASM357664v1:15:11530875:11531525:-1 gene:itb15g13530 transcript:itb15g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRITPRLLVRVVSGKRNYEHQSGGDGDGDGIIRGRKNMNGWVLCIINNTLFCSSTSTKAAVEEEEEVEVASSSSGRKINMLKEVVSHRLRRIFVPHGKSKKIVLGKQKLGRSFKCLVSRFSGMFRLKKKKGGFKLRKWKKKIRMRKSSSVGAVKKRFARIRSCRIRKEEEIELCKKRILMGEKCKPLNVSGSLHYDQNGVLLPEPLLLPFPCES >itb11g10720.t1 pep chromosome:ASM357664v1:11:7660652:7665006:-1 gene:itb11g10720 transcript:itb11g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKKFRIGAEGFGKINWFLGRVTRQGGHSKLPTAFDSLKAASFQDGLGSAGLKSFRVLALIGAGVSGLLGSATIVFSDEAEHGLECPSYPWPHKGILSSYDHSSIRRGHQVYQQVCASCHSMSLVSYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGVPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAGYYRRLRWSTLKSRKLVIDAVN >itb01g19420.t1 pep chromosome:ASM357664v1:1:25485474:25485908:-1 gene:itb01g19420 transcript:itb01g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDYWDSVRGWKWECLPPLPQGVKQHIQCITLHDGEGEDERYWSREASGMFSINYVYSLIHDNSENMQDREWSRLWKLKVPNKLKTFLWTAMHERVMGNAERKRRALTSNDSCGFCPGMSETADHILRNCNKAKEIWRAFSST >itb02g17480.t1 pep chromosome:ASM357664v1:2:13521116:13524056:1 gene:itb02g17480 transcript:itb02g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIESFICVIIFTVSLRLGPKYVIFWPINLFCINPSSTPLSHGFCKTQQTAEMLIRQRSVLARALNHRLRYFSQSAAALAVPPDSPAPEPLSLTYLDGFPRPDPKYAETIHAIPRSISGKNISAKERKAGRVPSIVFEQEDGQHGGNKRLISVQTNQIRKLVTHLGRTYFLSRLFDLELRPNFDSEEEIIEKVRVLPRQIHLHAGTDAPLNITFIRAPSSALLKVDIPVVFRGDDVSPGLKKGSYLNIIKKTVKFLCPADIIPPYIDVDLSELDVGQKIVMGDLKVHPALKLVQPKDHPVCKIMGARVSDQRKSK >itb15g23930.t1 pep chromosome:ASM357664v1:15:26845595:26848331:1 gene:itb15g23930 transcript:itb15g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAFNFISFVLFLSFIILIHAQWKNKSKTSGKLPPGPWKLPIIGNLHQLSNSVLPTHRVLGELAKKYGCSQGIMRLQIGEISAVVVSSPAMAKEFLKTHDLAFATKPELVTNKIIFYNCSDIAFCPYGDYWRQMRKICVMELLNGKNVRSFSSIRHDEIHSLLAYVRSTSGHGQLVNFPERMFLLMTSIICKSAFGKVFTGREEFMEQLKEMSELLGSFDFADVFPSWRVLHGLCGGNKNRIIKAHRKVDAIIENIIKEHREKLENGRDESGGDCLIDALIRQMDSGDLRVPISHDNIKAIIIDMFAGGSETSTATTVWAMSEMMKNPRVMAKAQTEVREVFKGKETLDEKDIMEQLPYLKLVVKETLRCHPPFPVFSPRECREESIVNGYTIPLKTKVMINAWAIGRDPEYWKDPDSFIPERFEESSIDFTGNHFQYLPFGAGRRMCPGHAFGFANSMSPLAHLLFHFDWKLPNGVTVDSFDMTEKPGLSVGRNTDLCLIATPHIA >itb06g01280.t1 pep chromosome:ASM357664v1:6:2530663:2532982:-1 gene:itb06g01280 transcript:itb06g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTRCPAPASLRSTTAGGTTNSDKRLPITTSRSSVKFQHCVSRKKLNMGASSGQRLSGMQKQVLSLYRGFLRAARAKPPIERRQIKSLVTAEFRHNAKQVDRKNFMYIEYLLRRGKKQLDQLKSPDIVGLSSLSVDSSQTKRL >itb11g00410.t1 pep chromosome:ASM357664v1:11:178849:184620:1 gene:itb11g00410 transcript:itb11g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEDTEETAARGNEWEVVSLTASAYAAALGSKQEDANDEHKDSGEYEAETSSALFMSGHFVFPPSQHENLPLKQENNEILTEKVVKDAEFSSAEGSMADMKNEEYLKKFEGDAVLQGLNLVDKEPSLYSAAAYSSLHREEHMLGSVVLDESSASNDPFESLQQISDSDISNSPKAMDENKPDGDGLPCQAWWKRHATSLIAHAKEANTFWSIFIAAAVMGLAILGRCWQHERLHVLQLKWQLGVHNERMSRMLGPLSRLKDVIVGSERRASFIRGSSPALPHLKEFSRSLQLSKKLFSLLRRFPVTSPSRILGFRVGMDEEENPQITRRATRLSSSSSSGTPNPKTVDPESKPLTINDLAFGNESINFDELMSSLPGRRAQIRKLLGIIGPLNSPISPIFIYGGASVGKTSTILQIFKYLKMPFVYCSCITCYSPRVLFESVLNQLLLHRRTESNGYSSAKRCDKPSDFVNLLREALVKVVEDLKMNVEKSGSKKSAQRTSGRMVYLIFDNLERVQEWNKSDILPFLFKLYDVLKMPEVGLIFVSATSPDTYYSDTGFIEPVHVYFPDYTDDDLHQIFTRKETQNCIHLFLRLDDLGAVPDEHMKNRLYCHLKPHIGPAMNEVFKVLSRPFPEVATNKIKHKVVPMKDGFGESCNEIDFHMSECAKYLLISAFIASRNPATLDASLFDSTGGSSSRKRKRKSSQKSMEQKEIAEQESVMKGPGTFPLERLLAIFQCITSVVEYSTDEEAEAGGNNNGLMCDVLLQLSSLCNANFISKGGSCPLEGSLRYRSMVSEDLALKVARTLKFPLSKYLYR >itb09g09240.t1 pep chromosome:ASM357664v1:9:5541854:5543443:1 gene:itb09g09240 transcript:itb09g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMCFAVVAFIFSLICFPAACSSIHAHHQDFVHCLSSKLRNNATSQVLYTPSSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGVSFVSSLPFAILDLIKFRSIEVNVGEKSAWVQTGATLGELYYSIANKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGGGASFGIILAWKLELVPVPETVTVFNISKTLEQNLTNLLYKWQFFANKADRNLYLRVVLQNTNTTGERTVEGLFNAQYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQRSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLGYATGWDEVGQVAAQKHLSWIRKLYAYLTPYVSNNPRESYSNYRDFDLGQNNLVGTTSLDQASSWGYKYFMNNFYRLAKVKALVDPHNFFRNEQSVIPLSYPL >itb04g29220.t1 pep chromosome:ASM357664v1:4:32676617:32678325:-1 gene:itb04g29220 transcript:itb04g29220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQIMSLEKGNEDDVPAKLTGQVIVCSIIAAFAGLMFGYDIGISGGVTSMDEFLEKFFPAVYVKKHMIHEDNYCKYDNQMLQLFTSSLYLAAVVASFFASHCCKRYGRKLTIQLASIFFIIGVILNTTAVNLMMLIFGRLFLGIGVGFGNQAVPLFISEIAPANKRGLLNVLFQFLITIGIFFANMVNYLSSRFIRSNGWRVSLGIAAIPSIFLGLGSLIIVETPTSLIERGKNEEGLRALKKIRGVENVEKEYEHILRSTEMAKKIKTPFRNLMKRSSWPQLFCGTILQVFQQLTGINVIMFYAPVLFQTMGLGANASLLSAVITGLVNSLSTVGAILGSDYFGRRVLLIEGAIQMFVAQGVVGGILAAYLNATNMIPKFAAVIVLVFICVFVMGFAWSWGPLGWLIASEIYPLETRTAGFFFAVSTNMIFTFIVAQAFLTMLCTMKSAIFFMFASFVLVMGLFVVFLLPETKGIPIDEMNERVWKKHWLWKRCFDENDDASTSSIA >itb10g05490.t1 pep chromosome:ASM357664v1:10:5677055:5677753:-1 gene:itb10g05490 transcript:itb10g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKEIASSEEGVQDDLLSSKEKKRISEYIGNVKLEQGVESIGSEKLAKEEAEQKVSEDDGDKDLCLPGCKGCHMAMARAVKKILERPLPDDWMVSSCMPMN >itb07g15830.t2 pep chromosome:ASM357664v1:7:18875666:18877117:1 gene:itb07g15830 transcript:itb07g15830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMIRVITALCLILTAQEALGKTVSHYDQGTDNKQQIQFPAANPRKLKLTNDAATVKSYGDDTHYHPTTVNKQEVALSEKRHGREGKVGRHGRKGTRQEWMEGSDRDIDMSEFYTMDYHWVRRRRPIHNKSFPFRQP >itb07g15830.t1 pep chromosome:ASM357664v1:7:18875666:18877117:1 gene:itb07g15830 transcript:itb07g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMIRVITALCLILTAQEALGKTVSHYDQGTDNKQQIQFPAANPRKLKLTNDAATVKSYGDDTHYHPTTVNKQEVALSVEKRHGREGKVGRHGRKGTRQEWMEGSDRDIDMSEFYTMDYHWVRRRRPIHNKSFPFRQP >itb13g01080.t2 pep chromosome:ASM357664v1:13:1039262:1046527:1 gene:itb13g01080 transcript:itb13g01080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSSRPINLVAADMGKQVQEESHITPVAISGNGNPGFIPTIQYSATPDAEFVGMGFNNGVAMMAGRVPQVMLSTQMGGIGLDHGSSRSQSASGHGTDESGEDSVSLGKKVKLLCSFGGKILPRPSDGALRYVGGQTRIISVRRDVSFAEMIGKMMDTYGQDVVIKYQLPDEDLDALVSVSCPDDLENMMDEYEKLVEKSADGSAKLRVFLFSPSEVEAAGALQYGTLQDNGQMYVEAVNGIAEGGGGSGGGAMRFTSTASASSTQNSEASGADAVELSGLGQGVISRPPSSETLSSSGISAPAAAQGAAASRVVDPNPAVYVNTNSTAPPLGIHVAVSGPPSNLAAPSELEYEKSVPITVQQQQQQQMGFDLQQPGVTFPVHSTYVPDPHREGYAQFPSQIGFPTQLRGNVGPVYTQQPFTAGTTLQQFVPAVHMTMSPSHVSMNPNMLTHLVHPQQVRFEQYPSENTMGQRIVQLPGDQGYGTYQPQLQPKILGGAYGWHQIPHPQQVAFSEAGMAQPPTPSGQEAIPRLDGCYMCQKSLPHAHSDSVAQDQRDSPVSTTPAYKSAYDGPWVDDKGRHMCMAVTTGAMLEGASEHHGAAVGPRIIGHMDHEVGKTQAEGTGVSQNVQNPERPKISLPQGAVGLTSGMQSHYAQFIPTVPQSCQVDSPEQHLIPMQYHVKEDVGVNKAIPHDIPNVGMPFQMPEYLVRESPEGYPGSIPVVLPKEDNKESTTALDHLKQVESIMENLQIHTAETHANGKQIKSGVDNYMKDNILENRIQQVGWNQPFTDANHIKLNEMLPSSSTETVYLQTFRAPELCAVSQPSPLEKPDFDVHSRQGIGQFVPDEMSFDNPAFSSAESANPTLNIPVSELQPKLIPTGIDLVSPDGSSTSISPSSMYGAAQETSPSLFSNQDPWNMQRDSHFPPPKPSKVRAKKETMGVKDQSGDHSRNSGELTIGGNEALGTKMRMDEGVIRYSTNSKLDLGSDPALSNKSSAEEVIKQELQAVAEDVAASVLQSAVPSNPDFPVFGGSKYGSISEHNSEVQSSDGETVDRNKFEKAKTKLPERRDFGFPVSDDLGRLQIIRNSDLEEFRELGSGTFGTVYHGKWRGTDVAIKRINERCFAGKPSEEKRMVGVFNRDDFWNEAIKLADLHHPNVVAFYGVVLDGPNGSVATVTEFMVNGSLRNALQKNERSLDKWKRLLITMDVAFGMEYLHGRNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGGSNLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDPDWRSLMERCWSAEPSERPNFTEIANELRAIASKLRSRGPSQQPLPTAHSQAKS >itb13g01080.t1 pep chromosome:ASM357664v1:13:1039262:1046527:1 gene:itb13g01080 transcript:itb13g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSSRPINLVAADMGKQVQEESHITPVAISGNGNPGFIPTIQYSATPDAEFVGMGFNNGVAMMAGRVPQVMLSTQMGGIGLDHGSSRSQSASGHGTDESGEDSVSLGKKVKLLCSFGGKILPRPSDGALRYVGGQTRIISVRRDVSFAEMIGKMMDTYGQDVVIKYQLPDEDLDALVSVSCPDDLENMMDEYEKLVEKSADGSAKLRVFLFSPSEVEAAGALQYGTLQDNGQMYVEAVNGIAEGGGGSGGGAMRFTSTASASSTQNSEASGADAVELSGLGQGVISRPPSSETLSSSGISAPAAAQGAAASRVVDPNPAVYVNTNSTAPPLGIHVAVSGPPSNLAAPSELEYEKSVPITVQQQQQQQMGFDLQQPGVTFPVHSTYVPDPHREGYAQFPSQIGFPTQLRGNVGPVYTQQPFTAGTTLQQFVPAVHMTMSPSHVSMNPNMLTHLVHPQQVRFEQYPSENTMGQRIVQLPGDQGYGTYQPQLQPKILGGAYGWHQIPHPQQVAFSEAGMAQPPTPSGQEAIPRLDGCYMCQKSLPHAHSDSVAQDQRDSPVSTTPAYKSAYDGPWVDDKGRHMCMAVTTGAMLEGASEHHGAAVGPRIIGHMDHEVGKTQAEGTGVSQNVQNPERPKISLPQGAVGLTSGMQSHYAQFIPTVPQSCQVDSPEQHLIPMQYHVKEDVGVNKAIPHDIPNVGMPFQMPEYLVRESPEGYPGSIPVVLPKEDNKESTTALDHLKQVESIMENLQIHTAETHANGKQIKSGVDNYMKDNILENRIQQVGWNQPFTDANHIKLNEMLPSSSTETVYLQTFRAPELCAVSQPSPLEKPDFDVHSRQGIGQFVPDEMSFDNPAFSSAESANPTLNIPVSELQPKLIPTGIDLVSPDGSSTSISPSSMYGAAQETSPSLFSNQDPWNMQRDSHFPPPKPSKVRAKKETMGVKDQSGDHSRNSGELTIGGNEALGTKMRMDEGVIRYSTNSKLDLGSDPALSNKSSAEEVIKQELQAVAEDVAASVLQSAVPSNPDFPVFGGSKYGSISEHNSEVQSSDGETVDRNKFEKAKTKLPERRDFGFPVSDDLGRLQIIRNSDLEEFRELGSGTFGTVYHGKWRGTDVAIKRINERCFAGKPSEEKRMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPNGSVATVTEFMVNGSLRNALQKNERSLDKWKRLLITMDVAFGMEYLHGRNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGGSNLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDPDWRSLMERCWSAEPSERPNFTEIANELRAIASKLRSRGPSQQPLPTAHSQAKS >itb05g05270.t1 pep chromosome:ASM357664v1:5:4999387:5008435:1 gene:itb05g05270 transcript:itb05g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDHIQGMNDISLKPQLLRSLLSEYVPTEKHPFRRPSDLSFVVSVVKTHRLLSESAAPSVDQKLIDNWKSAVDAWFKRFLNLASSNMPDKCWAGICLLGVTCQECSSERFLASYSSWFIKLLSYIQSPADSHFVKAASCASISDLFTRLSGFTSAKKDGMSHATKVIQPVLKLLNEDTSDVVWEEAISLLCTVINVFPSSVQRCYDEVESAVVSKFMSGKCSQSMLRKLGNCLALLPKAKGDEDSWLLMMQKILLTINNQLNDVFQGLEPETRHNEAMRLLLPPGKDAPLLGGQDTSRKILAETMNRPEHQLMSRISILMLCCSTMLTSTYPVQVAVPVHSLVDLTKRVLMVDGSASGLYSFMTTMKQELVLSELPVLHLGSLELITAIVKGLHSQLLPHAAGIARLLTEYFQRCVLPEIRIKLYSIMKVLLMSMGVGIAVHLTEVVIDNATMDLNEGATAPGDHAKISVEAQPLTNMRKRKHANAMGAHEDQPDGNVTEVKVQQDSTLISVKIAALEALEALLTVGGSWRSESWRANVDNLLLAVAKSACQVGFSIEEKSIFLSGTPTDSWANFQLAALHALLASLVSPRLVQLANAAQCLVPIRPPHLAQGLELFRKGMQETGTRLSEFCAHALLTLEVLIHPRALPLIDHHSPIDYDGRNQRIMDGRKLGGQRQTVTFDLGASRNGPDEQESEGDELHENWTRIENEIEAPSSDLAKDKSNSIEPSDTLNDPSSKNLPCDDASAAKVSEGSQLREPAAASAVEHLVNRDNTTLDQQHSQEASKQPGEKSPVQAGTSAPIADAENHARSGAFVSGSSASDPMESDTAPLTKSHSGLEKAAASDMLEGKDDGFDSALNKVSSSVSNADSGGKEPMQESDDDDSDSIPDIVDVEPDDSEYEVST >itb03g09500.t3 pep chromosome:ASM357664v1:3:7339439:7344906:1 gene:itb03g09500 transcript:itb03g09500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCAQSKQSSSTGFLNLSEAGIAKRAMSEARVYADVNVLRPREYWDYDSFTVQWGNQDDYKIIRKVGRGKYSEVYEGISVSSNEKCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLNTVRDQHSKAPSLIFEYVNSMDFKVLYSKLTDYDISYYIYELLEALDYCHSRGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKKYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFKGRDNQDQLVKIAKVLGTDELNAYLQKYHLELDPQLVAMVGRYVFVLVTC >itb03g09500.t2 pep chromosome:ASM357664v1:3:7339439:7344906:1 gene:itb03g09500 transcript:itb03g09500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCAQSKQSSSTGFLNLSEAGIAKRAMSEARVYADVNVLRPREYWDYDSFTVQWGNQDDYKIIRKVGRGKYSEVYEGISVSSNEKCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLNTVRDQHSKAPSLIFEYVNSMDFKVLYSKLTDYDISYYIYELLEALDYCHSRGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKKYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFKGRDNQDQLVKIAKVLGTDELNAYLQKYHLELDPQLVAMVGRHSRMPWSKFINADNQHLVSPEVMFSPRLIAFYFGPIPTTLRCTCRPLIFSTNFFDMIIRIGSQQEKQWITHISC >itb03g09500.t4 pep chromosome:ASM357664v1:3:7339439:7344906:1 gene:itb03g09500 transcript:itb03g09500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCAQSKQSSSTGFLNLSEAGIAKRAMSEARVYADVNVLRPREYWDYDSFTVQWGNQDDYKIIRKVGRGKYSEVYEGISVSSNEKCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLNTVRDQHSKAPSLIFEYVNSMDFKVLYSKLTDYDISYYIYELLEALDYCHSRGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKKYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFKGRDNQDQLVKIAKVLGTDELNAYLQKYHLELDPQLVAMVGRYVFVLVTC >itb03g09500.t1 pep chromosome:ASM357664v1:3:7339439:7344906:1 gene:itb03g09500 transcript:itb03g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCAQSKQSSSTGFLNLSEAGIAKRAMSEARVYADVNVLRPREYWDYDSFTVQWGNQDDYKIIRKVGRGKYSEVYEGISVSSNEKCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLNTVRDQHSKAPSLIFEYVNSMDFKVLYSKLTDYDISYYIYELLEALDYCHSRGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKKYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFKGRDNQDQLVKIAKVLGTDELNAYLQKYHLELDPQLVAMVGRHSRMPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMDHPYFLLVRAAENGRKQPYSWSEL >itb03g09500.t5 pep chromosome:ASM357664v1:3:7339439:7344906:1 gene:itb03g09500 transcript:itb03g09500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCAQSKQSSSTGFLNLSEAGIAKRAMSEARVYADVNVLRPREYWDYDSFTVQWGNQDDYKIIRKVGRGKYSEVYEGISVSSNEKCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLNTVRDQHSKAPSLIFEYVNSMDFKVLYSKLTDYDISYYIYELLEALDYCHSRGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKKYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFKGRDNQDQLVKIAKVS >itb03g09500.t6 pep chromosome:ASM357664v1:3:7339439:7344906:1 gene:itb03g09500 transcript:itb03g09500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCAQSKQSSSTGFLNLSEAGIAKRAMSEARVYADVNVLRPREYWDYDSFTVQWGNQDDYKIIRKVGRGKYSEVYEGISVSSNEKCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLNTVRDQHSKAPSLIFEYVNSMDFKVLYSKLTDYDISYYIYELLEALDYCHSRGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKKYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFKGRDNQDQLVKIAKVS >itb04g00300.t2 pep chromosome:ASM357664v1:4:201136:202835:-1 gene:itb04g00300 transcript:itb04g00300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVCVTGGAGYLASFLIKTLLSRGYTVHATLRNLGDSTKVGLLKGLPGAETNLKLFEADIYRADEFVPALHGCQVVLHLATPLLHNHQSSKYKNTSEAAVDGVRSIVDACIRSGTVKKLIYTASVMAAAPLNHEDVTTFKNSMDETCWTPSNFPTPYPIDLVVNYVQSKTAAEKEVLSYNGRGIQVVSLACGLVGGLETLQYVIPESVGLIVSQVARDKNRYEMLRSLEELLSKVPLVHIQDVTAAHVFCIKNSDINGRFLCANYYLKSVEIASYYQHHSSLVTIPQE >itb04g00300.t1 pep chromosome:ASM357664v1:4:201130:202835:-1 gene:itb04g00300 transcript:itb04g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVCVTGGAGYLASFLIKTLLSRGYTVHATLRNLGDSTKVGLLKGLPGAETNLKLFEADIYRADEFVPALHGCQVVLHLATPLLHNHQSSKYKNTSEAAVDGVRSIVDACIRSGTVKKLIYTASVMAAAPLNHEDVTTFKNSMDETCWTPSNFPTPYPIDLVVNYVQSKTAAEKEVLSYNGRGIQVVSLACGLVGGLETLQYVIPESVGLIVSQVARDKNRYEMLRSLEELLSKVPLVHIQDVTAAHVFCIKNSDINGRFLCANYYLKSVEIASYYQHHSSLVTIPQEFIEDSMRETKWGSRKLEEAGFEYKYDYKMILSGSLECAKKFCNLLN >itb07g03720.t1 pep chromosome:ASM357664v1:7:2500123:2510196:1 gene:itb07g03720 transcript:itb07g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIEELSELSESMRQASALLADEDIDETSSSSSSKRPSTFLNVVALGNTGAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDSSLSSKSIVLQIDSKPQQVSASALRHSLQDRLSKISSKSRNEIYLKLRTSTAPPLKLVDLPGVDKGNLDDSLVEYVQHNDAILLVVISAAQAPEVASSKAIRIAKEYDSECTRTVGVISKIDQVASEPKILAAVQALLLNQGPRSTSDIPWVALIGQSVSIASAQSGSVGSENSLETAWRAESESLKSILTGAPQNKLGRLALVETLAHQIRSRMKIRLPNLLSGLQGKSQVVQDELVRLGEQMVHSAEGTRALALELCREFEDKFLLHIAGGEGDGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSAAANATPGLGRYPPFKREVVEIAVTALEGFKNESKNMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDEIKNRSSKKAADAEQSILNRATSPQTGGQQSGGNLKSMKEKSGQSDKDAQEGPALKTAGTEGEITAGFILKKSAKTNGWSRRWFVLNEKTGKLGYTKAQEERHFRGVITLEECNLEEIPDEEETPAKSSKNKKANGPDAGKGPSLVFKLTSRVPYKTVLKAHSAVILKAESLADKTEWLNKLRNVISSKGGQVKGESAPTIRQSHSDGSLETMTRRPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNKLYSSISAQSSTRIEELLQEDHNVKHRRERIQKQSSLLSKLTRQLSIHDNRAAAAAAAASSYSNGEAESPRTPGPSPGDEWRTAFDAAANGPSSFSRSGSSEHGRRQGEPMQNGDVSSRSNSNGRRTPNRMAPAPPSQQGSGYRF >itb10g15760.t2 pep chromosome:ASM357664v1:10:21984126:21993539:-1 gene:itb10g15760 transcript:itb10g15760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPAALAGYGGEDGAADCSRIVTVAHRLATCVCAHPRINVHEFCQLSFLLASDIDFAIANQDVPTKASELPSLVKQVCRHNYEPSLQAANMVLMISVKSACQSGWFSEKDCEDLHHLANEISRYFCTGTDFSSEPSGSQTIIQTIMTRFFPTLKMGQIFVFLEVKPGYGAFVNDFCIPKNVEFSPGENIRLLVAQVDNMETSSCLISPPQVNFLLNGNVLPKRANMLMDSGPQVPTVLSLKYGTNLLQAVGQFNENYIIVVARMSTISIPDPATQPTLLNFLQPAPALEDPESDVIEGPSRISLNCPISFKRIKTPVKGHSCKHLQCFDYENYVDINSRRPSWRCPYCNQPVCFTDIRIDQDIVKVLKEVGEKTTHVVISSDGSWKATMDGDDHNDEKTPDKSLKSAEGEAVLPCSNGISNAPVDILDLTTLDDEMDEVGKGDSVDQKAIQSNYQGQPCTKNPSNSNHTSHGVDDFWRGIYLSTYGLGTSSSTSNLQTVGVSNHTPISMPSPVLTDAVIPASVMQGGVSSPSTLQNSDFPPIVSHTSGMPVAVQAAPALASQHRPRNGMNFTICNGPSATSQNSPAQSLINRNAVPFRLGMQEQFSMSNPNMLQALQLPSSTGQLEHPFISAHLAQQFTGFQGRGQMPGPYRGSSGLAATAPVFSSQQGMLNQRAPYVTSNCNSQGLTRPSASSLLQTPRVQGSSWSGVGQARGGVSCQRAQSMVGVQPSSQSARPVQIPPNAAHLLVHPNSFRVPPGAGGYQHGNMSGTVAVNLPLPSDQDGRPMVRMRGGLSGQAYNDALRQYNIHPSQQAQAQAQAIPPNFSPQLQVLIANRVAVGSAPVNNSSSTG >itb10g15760.t1 pep chromosome:ASM357664v1:10:21983875:21993539:-1 gene:itb10g15760 transcript:itb10g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPAALAGYGGEDGAADCSRIVTVAHRLATCVCAHPRINVHEFCQLSFLLASDIDFAIANQDVPTKASELPSLVKQVCRHNYEPSLQAANMVLMISVKSACQSGWFSEKDCEDLHHLANEISRYFCTGTDFSSEPSGSQTIIQTIMTRFFPTLKMGQIFVFLEVKPGYGAFVNDFCIPKNVEFSPGENIRLLVAQVDNMETSSCLISPPQVNFLLNGNVLPKRANMLMDSGPQVPTVLSLKYGTNLLQAVGQFNENYIIVVARMSTISIPDPATQPTLLNFLQPAPALEDPESDVIEGPSRISLNCPISFKRIKTPVKGHSCKHLQCFDYENYVDINSRRPSWRCPYCNQPVCFTDIRIDQDIVKVLKEVGEKTTHVVISSDGSWKATMDGDDHNDEKTPDKSLKSAEGEAVLPCSNGISNAPVDILDLTTLDDEMDEVGKGDSVDQKAIQSNYQGQPCTKNPSNSNHTSHGVDDFWRGIYLSTYGLGTSSSTSNLQTVGVSNHTPISMPSPVLTDAVIPASVMQGGVSSPSTLQNSDFPPIVSHTSGMPVAVQAAPALASQHRPRNGMNFTICNGPSATSQNSPAQSLINRNAVPFRLGMQEQFSMSNPNMLQALQLPSSTGLEHPFISAHLAQQFTGFQGRGQMPGPYRGSSGLAATAPVFSSQQGMLNQRAPYVTSNCNSQGLTRPSASSLLQTPRVQGSSWSGVGQARGGVSCQRAQSMVGVQPSSQSARPVQIPPNAAHLLVHPNSFRVPPGAGGYQHGNMSGTVAVNLPLPSDQDGRPMVRMRGGLSGQAYNDALRQYNIHPSQQAQAQAQAIPPNFSPQLQVLIANRVAVGSAPVNNSSSTG >itb15g07590.t1 pep chromosome:ASM357664v1:15:5207331:5209442:1 gene:itb15g07590 transcript:itb15g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRNPENAQPGDRVKLNVGGKLFETTVSTLQSGGPDSLLSALSNRPSHDEVFIDRDPEIFSALLSLLRTNRLPSTARRFSNQELIDEALYYGIDSQLRSALAPIQLSGIDASLFTTIKPSSDGVVSDFNAIDSDGSVWIAHGGQISIYDWSLSHSGTVRTHLDYISSIRTVRPDIAAIGSEFDSGLHFYNLANGRRIGSTEWTDPSDPRIYKARVNAIADSDDSVFASFQCQHRENCILVIDKSTMKATSELGRQSGNSAKTTVIGKMTYVPEIGALFGSSISAGAFGYSGYVRLWDPRSAEVVWETNEPGSGRSSRFGDPFADVAVDPEDLTLFKLCSKSGDLAVADLRKLSDDPWVYLKEKNPSMRYTGNGDSLSSFLIHCYRKQVFVGREGELEVWSRIEEPQNEGDLSEGMYRRNYVDKVDDSERGTIKKIEGGGDRLFVTRENAEGIEVWQSSHFSGALMVS >itb10g24100.t1 pep chromosome:ASM357664v1:10:28102372:28103211:1 gene:itb10g24100 transcript:itb10g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTLSLCILILGAAIHGCRAVEYTVTNTAANTPGGARFNQAIGEEYSKQVLDAAANFIWRVFQQNSPSDRKDVQKVSMFVDDMDGVAYASNNEIHVSARYINGYSGDVKGEITGVLYHESTHIWQWNGNGAANGGLIEGIADFVRLKAGYAPSHWRKPGEGDRWDAGYDVTARFLDYCNDLKNGFVAELNKKMKDGYSDSYFSDILGKTVDQLWTDYKAKYNTN >itb12g27520.t1 pep chromosome:ASM357664v1:12:27865020:27870418:1 gene:itb12g27520 transcript:itb12g27520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVLVLKDSLKREQGRKVHYANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLGGEMLHVAEAFIDKNYHPTVICQAYNRALEDAVTVLEKIAMTIDVKDSATMLGLVKSCIGTKFTSQFGDLIADLAIDATATVGVEIGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVIAPGKMRRKIVNPRIILLDSPLEYKKGENQTNAELLKEEDWGVLLKMEEEFIENMCAQILKFKPDVVITEKGLSDLACHFLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFTFIVECKDPKACTVLLRGASKDLLNEVERNLQDAMSVSRNIIKNPKVLPGGGASELAVSAMLKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTVLQGKHANGENAWIGIDGVTGEIADMKEKKIWDSFTVKAQAFKTAIEGACMLLRIDDIVSGIKKKQAPGASQGPSKPKVEEEGDADNDQLIPE >itb13g04600.t1 pep chromosome:ASM357664v1:13:5500114:5505757:-1 gene:itb13g04600 transcript:itb13g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRSEKGKIKAVFKLHFRATQVAGDALMISVVPADVGKPTVKSEKATIRDGSCCWENAVYETVKLYREPKSGKIHERIYNFVVGTGSSKSGVVGEASIDFSSYAEATKVSSVSLPLKNSKSGAVLHVSIQRIHDSFDQSIIQENETAKPDAEDRTLKAQISNEDMEASFREDSVDETPVNKNISLVSKLNGRRASSESDITLSSSECSSGLDTPRDLRMKNSNTCKDQTTVGVPAIEEHQRSRWEWMGASTLDGSTDDSSSTSREVNLRGSEEIPDDVEKLRSELVAVARQAEVSELELQTLRKQIVKESKRGQDLSREIVILKEDRDAFKEECEKLKASRRRLDDAKSKDELLDRGDVQALVHELRQELNYQKDLNANLQIQLEKTQDSNSELILAVRDLDELLEQKNKEIMNLSGKSEISDDAERLQSASSKHDINNDDDDDDDDEQKALEQLVRQHSDIKEAYLLEQKITDLQNELEIYRRDKDELEMQMEQLALDYEILKQENHDLLHRVEQSQLQEQLKMQYECSTSYATVSELEAQIEGLESELKKQSATVSELEAQIEGLENDLKKQSRESSDSLLTISSLESQAKSLEEELEKQAQGFEADLEALSRDKVEQEQRAIRAEEALRKTRWQNANTAERLQEEFKRLSVQMTSTFEANEKLAIKALAEASELRLQKTHLEEMLQTSSEELESVTEHYEARLHELTSQVNMMSGQIKQMQSEKSTLLENEKRHAGEKRDEMQLAKAEIEKLLESNKILSELANKRESLINELEEMKETIAEMELMLEQGNKERSHLENMLALMQEKAEESLNELNSITHLKDEKDMLVGKLQLEIGTLREQYNELKTRSSEDESEKDKLRKQVSQLKGDLKKKEDALNSLDKKLKDANNKLASTNGAKAASRNNKFASATQGLKEVNSLKDKVKLLEGQIKLKENALETSTNSFLEKEKDLQNKIEELEARLEQINQNTAIICEQNSEKVATEDLNLNPGMTETVNSSENGLLEEEIRDSVSSTGDMNKLLNEVTLLREKNSLMEDELKEMQERYSEISLKFAEVEGERQQLVMKVRNLKNAKKGH >itb13g04600.t2 pep chromosome:ASM357664v1:13:5500181:5505737:-1 gene:itb13g04600 transcript:itb13g04600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRSEKGKIKAVFKLHFRATQVAGDALMISVVPADVGKPTVKSEKATIRDGSCCWENAVYETVKLYREPKSGKIHERIYNFVVGTGSSKSGVVGEASIDFSSYAEATKVSSVSLPLKNSKSGAVLHVSIQRIHDSFDQSIIQENETAKPDAEDRTLKAQISNEDMEASFREDSVDETPVNKNISLVSKLNGRRASSESDITLSSSECSSGLDTPRDLRMKNSNTCKDQTTVGVPAIEEHQRSRWEWMGASTLDGSTDDSSSTSREVNLRGSEEIPDDVEKLRSELVAVARQAEVSELELQTLRKQIVKESKRGQDLSREIVILKEDRDAFKEECEKLKASRRRLDDAKSKDELLDRGDVQALVHELRQELNYQKDLNANLQIQLEKTQDSNSELILAVRDLDELLEQKNKEIMNLSGKSEISDDAERLQSASSKHDINNDDDDDDDDEQKALEQLVRQHSDIKEAYLLEQKITDLQNELEIYRRDKDELEMQMEQLALDYEILKQENHDLLHRVEQSQLQEQLKMQYECSTSYATVSELEAQIEGLESELKKQSATVSELEAQIEGLENDLKKQSRESSDSLLTISSLESQAKSLEEELEKQAQGFEADLEALSRDKVEQEQRAIRAEEALRKTRWQNANTAERLQEEFKRLSVQMTSTFEANEKLAIKALAEASELRLQKTHLEEMLQTSSEELESVTEHYEARLHELTSQVNMMSGQIKQMQSEKSTLLENEKRHAGEKRDEMQLAKAEIEKLLESNKILSELANKRESLINELEEMKETIAEMELMLEQGNKERSHLENMLALMQEKAEESLNELNSITHLKDEKDMLVGKLQLEIGTLREQYNELKTRSSEDESEKDKLRKQVSQLKGDLKKKEDALNSLDKKLKDANNKLASTNGAKAASRNNKFASATQGLKEVNSLKDKVKLLEGQIKLKENALETSTNSFLEKEKDLQNKIEELEARLEQINQNTAIICEQNSEKVATEDLNLNPGMTETVNSENGLLEEEIRDSVSSTGDMNKLLNEVTLLREKNSLMEDELKEMQERYSEISLKFAEVEGERQQLVMKVRNLKNAKKGH >itb12g03580.t1 pep chromosome:ASM357664v1:12:2350966:2351699:-1 gene:itb12g03580 transcript:itb12g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKASHSDKETEPVEKDAKIREVRAAIGPLTGRSLKFCNDACIRLYLKARNWDVEQAKKMLEETLKWR >itb14g07710.t1 pep chromosome:ASM357664v1:14:7076923:7077768:-1 gene:itb14g07710 transcript:itb14g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLPSMLLIFFVAFTVATAHHSPSPSDKTRLVKACSEALGQSLGGRSLITFCARDFLASKASLLAHSGKRETAAIVVNEARKKAKVVEDFKSKIDSDKSLSKGELKDLKSCWESMSVVIKTIGEVYVNIAVKKLSVDVLGENIDNNIARAMGQCKFSAVERQGGLWGEFHAKADASFNAQIVALAFMNEYHSV >itb12g05330.t1 pep chromosome:ASM357664v1:12:3947929:3948627:1 gene:itb12g05330 transcript:itb12g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLMKSSSENEMEIMTLLTMRPNNPHHHQPLDNLGFSIHNPYDAGAGAATLSFSGVHQHHPVAGAGGADPPRHKISSNGGSMEAMREMIFRIAMMQPIHIDPEAVKPPRRKNVKISKDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAVHYVKFLKKQLEYLEAAASGPTPMIPGFPTTMSPPTLLDMNMNIMNYSSSSTSGSMKACQAAIPSMGSNLQML >itb04g24180.t4 pep chromosome:ASM357664v1:4:28943356:28946409:1 gene:itb04g24180 transcript:itb04g24180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCVASFLEMTEEVSEKNLEMRSEIFLKEIVLPNISNCISVLHRCETLLPVSDNKANLVARLINSIANNACKEQLTSGLSKLEHNFPSKANQSVDVDAETPSDWWGKMLTVLNLDFFQRVVSAVKGKGLKQDIISRILINYAQNSLQGIILKDPQLVKGGFLDLDFQKKQRITVETISSLLPTQSRKSTVPIAFLSSLLKSAIAALASTSCRSDLERRIGLQLDQAILEDILVPANPHGNSHSPLYDTDSVLRIFSFFLNLDEDDDEDSNPLRDEAEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLSPSKFIALAELLPDHARLVYDGLYRAVDIFLKVHPNVKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNNQLFFGSSLHNNQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRSHPANKLFKSFAKKLSKLNTLFRIKDLRPLGGKVNAESRLLFQKRRRHSVS >itb04g24180.t2 pep chromosome:ASM357664v1:4:28942486:28946384:1 gene:itb04g24180 transcript:itb04g24180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISDVTSDLTIEVGGASFALHKFPLVSRSGRIRKLLADAKESKVSRLNLSDVPGGSEAFELAAKFCYGVNVEISLSNVGMLKCVASFLEMTEEVSEKNLEMRSEIFLKEIVLPNISNCISVLHRCETLLPVSDNKANLVARLINSIANNACKEQLTSGLSKLEHNFPSKANQSVDVDAETPSDWWGKMLTVLNLDFFQRVVSAVKGKGLKQDIISRILINYAQNSLQGIILKDPQLVKGGFLDLDFQKKQRITVETISSLLPTQSRKSTVPIAFLSSLLKSAIAALASTSCRSDLERRIGLQLDQAILEDILVPANPHGNSHSPLYDTDSVLRIFSFFLNLDEDDDEDSNPLRDEAEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLSPSKFIALAELLPDHARLVYDGLYRAVDIFLKVHPNVKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNNQLFFGSSLHNNQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRSHPANKLFKSFAKKLSKLNTLFRIKDLRPLGGKVNAESRLLFQKRRRHSVS >itb04g24180.t3 pep chromosome:ASM357664v1:4:28943060:28946409:1 gene:itb04g24180 transcript:itb04g24180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCVASFLEMTEEVSEKNLEMRSEIFLKEIVLPNISNCISVLHRCETLLPVSDNKANLVARLINSIANNACKEQLTSGLSKLEHNFPSKANQSVDVDAETPSDWWGKMLTVLNLDFFQRVVSAVKGKGLKQDIISRILINYAQNSLQGIILKDPQLVKGGFLDLDFQKKQRITVETISSLLPTQSRKSTVPIAFLSSLLKSAIAALASTSCRSDLERRIGLQLDQAILEDILVPANPHGNSHSPLYDTDSVLRIFSFFLNLDEDDDEDSNPLRDEAEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLSPSKFIALAELLPDHARLVYDGLYRAVDIFLKVHPNVKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNNQLFFGSSLHNNQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRSHPANKLFKSFAKKLSKLNTLFRIKDLRPLGGKVNAESRLLFQKRRRHSVS >itb04g24180.t1 pep chromosome:ASM357664v1:4:28941717:28946409:1 gene:itb04g24180 transcript:itb04g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTIAELKPSVSGKRSFRPSSSARHVTEWPISDVTSDLTIEVGGASFALHKFPLVSRSGRIRKLLADAKESKVSRLNLSDVPGGSEAFELAAKFCYGVNVEISLSNVGMLKCVASFLEMTEEVSEKNLEMRSEIFLKEIVLPNISNCISVLHRCETLLPVSDNKANLVARLINSIANNACKEQLTSGLSKLEHNFPSKANQSVDVDAETPSDWWGKMLTVLNLDFFQRVVSAVKGKGLKQDIISRILINYAQNSLQGIILKDPQLVKGGFLDLDFQKKQRITVETISSLLPTQSRKSTVPIAFLSSLLKSAIAALASTSCRSDLERRIGLQLDQAILEDILVPANPHGNSHSPLYDTDSVLRIFSFFLNLDEDDDEDSNPLRDEAEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLSPSKFIALAELLPDHARLVYDGLYRAVDIFLKVHPNVKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNNQLFFGSSLHNNQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRSHPANKLFKSFAKKLSKLNTLFRIKDLRPLGGKVNAESRLLFQKRRRHSVS >itb07g18220.t1 pep chromosome:ASM357664v1:7:22631640:22632648:-1 gene:itb07g18220 transcript:itb07g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNLRRLASQISRNPSLSHSFRATIARSSASSVTSSTPTSSAKVSDRIVNLFAIDPDGHKRGVIGLSGQTLLKALTNHGLIDPASHRLEEIDACSAECEVHIAQEWLEKLPPPTYDEQYVLKRNSRARVLNKHSRLGCQVVLTPELQGMVVAVPEPKPWDIP >itb14g15860.t1 pep chromosome:ASM357664v1:14:19196351:19198408:1 gene:itb14g15860 transcript:itb14g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDRRSAIGNTGAAERKTVTVAPSPSATPLATVHRSYSSPNCFHSCSPRCSLAICRCKSRKTTGGYSEDMIPRLAYSYVNESSY >itb01g09830.t1 pep chromosome:ASM357664v1:1:8143291:8154342:1 gene:itb01g09830 transcript:itb01g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQSELEEQLVEAGKKLLQPPSSADELLHLLEQVESFLLKVKQAPAKSMRDALSPLMKALIVDKFMRHPDTDVKVAVAFCLSEITRISAPDAPYDDEKMKEVFQLIVSIFENLYDDSSKSFNKRAFILETVAKVRSCVVMLDLECDGLIIEMFQHFFKTIRDSHPDNVFSSMATIMSLIIEESEDVSVELLTQLLASVKKDSKDIMPIGKRLGEKVFADCALKLKPYLTQAVKSLDLSLHEYSKVVTSILEGTTIVVEHSNDHTLKNQLTVESKAATASSDEASQRPEDTQQDVCSEDVGHAVNTSPKSITSNGSRTMNGGTSVQIKSVKETEHHDPDNQPATAKSETNDPHAGESVKSKSMSEHTDNKEGKMSNSCTQLTEYPDHAPVDNEKDSQMFPDGKKGCGIPSVDTPKKLPAEQKGKRNDIDNLSAGGPGLEEKNLEEKEISAHLSPEKKSKGEVVNVDCKSPSQSLPDGRHGKTVDQLKKKEHLLQEVNVSSDIAFKVSEGTSDSEAKTPSACDRKVHSEQCGSMSDLETKNLKQQGNNSDASNNQKDGLSLKKESAKESGYGKTSLEKERTKSAAKDDMFSQKCDDVKEEETTKMIVKRPKSGAKDMLSSRKSPKMSTKDDGKEKETTRTTVQRKHYCKEKAADIKYNESLVGSKVRVYWPKDFAFYEGVIHSFDRVTMKHKVVYLDGDEEVLRLKKETLEFISDDIRLKKKAKTSSGTSSKNEKSDITPKSGGKSVCATSKSACKSSSEVKVETKSKDHTFKSSGNSKDLSGSKSKDAICNLLKSKTKQDISPKTTGESKQDSAKTPLKSEGKSTQSGIKPSGNATAKTKSSVSRVKETGDEKETSNETEKTTDAMKRKASDASKEQETETKSGKKHRKK >itb01g09830.t2 pep chromosome:ASM357664v1:1:8143986:8154050:1 gene:itb01g09830 transcript:itb01g09830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQSELEEQLVEAGKKLLQPPSSADELLHLLEQVESFLLKVKQAPAKSMRDALSPLMKALIVDKFMRHPDTDVKVAVAFCLSEITRISAPDAPYDDEKMKEVFQLIVSIFENLYDDSSKSFNKRAFILETVAKVRSCVVMLDLECDGLIIEMFQHFFKTIRDSHPDNVFSSMATIMSLIIEESEDVSVELLTQLLASVKKDSKDIMPIGKRLGEKVFADCALKLKPYLTQAVKSLDLSLHEYSKVVTSILEGTTIVVEHSNDHTLKNQLTVESKAATASSDEASQRPEDTQQDVCSEDVGHAVNTSPKSITSNGSRTMNGGTSVQIKSVKETEHHDPDNQPATAKSETNDPHAGESVKSKSMSEHTDNKEGKMSNSCTQLTEYPDHAPVDNEKDSQMFPDGKKGCGIPSVDTPKKLPAEQKGKRNDIDNLSAGGPGLEEKNLEEKEISAHLSPEKKSKGEVVNVDCKSPSQSLPDGRHGKTVDQLKKKEHLLQEVNVSSDIAFKVSEGTSDSEAKTPSACDRKVHSEQCGSMSDLETKNLKQQGNNSDASNNQKDGLSLKKESAKESGYGKTSLEKERTKSAAKDDMFSQKCDDVKEEETTKMIVKRPKSGAKDMLSSRKSPKMSTKDDGKEKETTRTTVQRKHYCKEKAADIKYNESLVGSKVRVYWPKDFAFYEGVIHSFDRVTMKHKVVYLDGDEEVLRLKKETLEFISDDMLKKKAKTSSGTSSKNEKSDITPKSGGKSVCATSKSACKSSSEVKVETKSKDHTFKSSGNSKDLSGSKSKDAICNLLKSKTKQDISPKTTGESKQDSAKTPLKSEGKSTQSGIKPSGNATAKTKSSVSRVKETGDEKETSNETEKTTDAMKRKASDASKEQETETKSGKKHRKK >itb09g09640.t1 pep chromosome:ASM357664v1:9:5917635:5920374:1 gene:itb09g09640 transcript:itb09g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLHCLTTSLLVSFLLYSFHSIAIAEAKHLLQLDRGHSLSVEDADSTFLTSPDNTFSCGFYGLGTNAFWFAIWFTNSRDKTVVWVANRRTPVNRLGSKVSLQKHGALTLTDVDGRIVWETNTSATDVNRAELLETGNLVLKNSKGEVFWQSFGFPADTLLPSQVFTKDYKLISPLREGSFEPGYFRLFFDGDNVLKLQYDNQDISRQYWPNPYFDVYTNERTNYNGTRIAFLDNLGRFFSTDRKDYNLYLNASDAGPGITRRMKLDIDGNLRIYSLDDSTGLWKITWQALQKPCSVHGLCGGNGICNYGPEPKCSCPPGHVMINSSDWGKGCKALFNSTDLIAQPVKFLEISHVDYWGFDLNYSETSSLKDCRDMCLEDQACVAFTYWNHLDERRCFTKNTLFNGYRSPDFQGSTFLKLPENLPVPDSGTVILNRSNLVCPENITEIMVGSPKMYKEKTRNKLIWVYLYSFCFAIGGVEVVAFVLGWWALFSKHGIPASIENGYCMLSSQFRRFTYAELKKATKNFKVELGRGGSGAVYKGGLEDGRAVAVKRLGDEFQGEEQFWAEITTIGKINHMYLVRMWGFCAEGKHRLLVYEYVQNSSLDNHIYTSNFLGWKERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLTGDLEPKIADFGLAKLAQRGDPGSYFTRIRGTKGYMAPEWALSQPITAKVDVYGYGVVILEMVKGSRLINWMVEESGFQQEADMKKFFWAMKRKVELKEEGWVDEMVDKRLEGKFSRNQAKTLIKVGLACVEEDRKMRPTMASVVQMLLECQD >itb01g09130.t1 pep chromosome:ASM357664v1:1:7338371:7340211:1 gene:itb01g09130 transcript:itb01g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLALSLLLLETIVVLGDIGTATSYNPPYTPTRCNGNRQDQFPAGNLFVSVSEGLWDNGAACGRRYRLSCLSGNNRPCKGDTIDVKVVDFCAKRPCPSTIVLSKDAFAQISHSPHAKINIEYIQIPSVKQTPRRKIKETVTGLSLCFLLSACPSEKNSGFVGFLGLGNLLGFGLLVPGMESPMGIRKGSMLQETVRTTLELLQKTCYTKGSKFRRVRAVIWGGMGPEKQLWERSRERREEMLKRFAGMGSEKLLYAREREERASAELRRNVVGEVAVG >itb05g19070.t1 pep chromosome:ASM357664v1:5:25679449:25680009:1 gene:itb05g19070 transcript:itb05g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHSYASTSLSLSSSSSSSSSSYYPVEVHQYREGKGKGGTKPQPSSYQSSIHGRVPKPMTKKLPIAPFPRTPPKIYKVEAEKFKETVQMLTTEPGFQSNNPSRRLQEVAPPPLNLKPRKCSHNNEIRSETTLLEGDIPPILSPNFLGDGFSQLWVFSPIGFGPLSPSSLAQWAPILPSPGTLSSL >itb01g01230.t1 pep chromosome:ASM357664v1:1:647497:652407:-1 gene:itb01g01230 transcript:itb01g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MHPLSKKLKQPSSSSSFFSASSLFLYIICFAALFLSFSLFKTAPSPAQNNHSTNREALFSPSCNVSDGRWIYDRTIKSPRYDNTCKEIYKGWNCIGGNKNNALDIVKWRWKPYGCDLPQFDALRFLDRFRNTNIGFVGDSLNRNMFVSLFCTLKGVSDAVKKWRPAGADRGFTFLKYNLTIAYHRTNLLARYGRWTANVNGGELESLGYKEGYRVDVDVPDGTWDKAPFFHDILIFNTGHWWWAPSKFDPVKSPMLFFKNGNPVIPPLPTDAGLDLVLKHMISYVEERTRQNAILLFRTQSPRHFEGGDWDQGGSCKRSQPLSPQEVEELFSIRNNGTNVETRLVNQHLHKALKGTKFRVMDITHMSEFRADAHPSTAGGKKHDDCMHWCLPGITDTWNDLFIAYLNNLVP >itb01g01230.t2 pep chromosome:ASM357664v1:1:647429:652393:-1 gene:itb01g01230 transcript:itb01g01230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MHPLSKKLKQPSSSSSFFSASSLFLYIICFAALFLSFSLFKTAPSPAQNNHSTNREALFSPSCNVSDGRWIYDRTIKSPRYDNTCKEIYKGWNCIGGNKNNALDIVKWRWKPYGCDLPQFDALRFLDRFRNTNIGFVGDSLNRNMFVSLFCTLKGVSDAVKKWRPAGADRGFTFLKYNLTIAYHRTNLLARYGRWTANVNGGELESLGYKEGYRVDVDVPDGTWDKAPFFHDILIFNTGHWWWAPSKFDPVKSPMLFFKNGNPVIPPLPTDAGLDLVLKHMHHSLIDIICGGKNSSECHFIISNTVTKTFRGW >itb09g02240.t1 pep chromosome:ASM357664v1:9:1322004:1323750:1 gene:itb09g02240 transcript:itb09g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELHVFFFPLMAQGHMIPTLDMAKLFASRGVKATIITTPANQPVFSKPADKYTQLGFQIQIRLLEFPAVEVGLPEDCQSVDKIPSVEARPRFFKACAMLQEPLEQILRETRPDCLVADMFFPWATNAAAKFDIPRLIFHGTSQLSLCAVHSLRIHKPFKNVSSDSELFTIPNLPHALKLTGSRVSPFERNEFESPMTEIMSRVRESEETSYGVIFNSFYELEPDYAEHYKNVLGRRAWSVGPLSLYNRDVEDKAERGKKSAIDEHECLEWLDSKNPHSVVYICFGSVANLAPSQLHEMAMGIEASGIDFVWVIRNKREEDNGSEKWMPKGFEERTKRKGLIIRGWAPQVLILDHEAAGAFVTHCGWNSILEAVCAGVPMVTWPLFAEQFVNEKLMTDILQTGIGVGSKEWKILDNDGVKKEAIAEAIKKVMISEESEEMRSRAKTMKDKAKKAIEEGGSSYLDFNSLLDELRAYRAKH >itb04g05480.t2 pep chromosome:ASM357664v1:4:3563795:3567584:1 gene:itb04g05480 transcript:itb04g05480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTGDGNGGGGGEGGLTVVGVKMDGRSKELLTWALVKIARPGDRVVALHVLNLNTDKGELLSLVKTFDSVLAAYEGFCNLKQVDLKFKVCRGSPVRKILVREAKTYRAANLVVGTSSTHHTIRSTVSVAKYCARNVERSVSVIAVSDGKIAFQRGASDLIGQTCHVDVPGTARLASSSSGGGNESNPLALVPAKSMDLPESKPGWSLLRRVLLQNGKKSGKSAKMSSLVQRVLTLQSRQSFAAIYPDHKHGICEDNCHSPRSDEKNDEIASASSGTTSLSVPKELEDLSKKYASLCRLFSYEELLTATSNFTSENIIGKGGSSMVYKGCLPDGKEVAVKTLKPSQTMVIQFCSEIEILTTLHHKNIISLLGFCFGDSNLLLVYNLLSRGSLEDNLRGTPNVGNTFGWSDRYKVALGIAEALDHLHNSADEPIIHRDVKSSNILLSDDFEPRLSDFGLTTMASSLSCHLESIDVAGTFGYLAPEYLAHGKINEKIDVYAFGIVLLELLSGRKPIDNRNANAQKSLVLWAEEMLRLGKATALLDESLVDAYDHDEFERVVLAAKLCINHDPRFRPEINLVVRLLRGEPDAIDLARQHVDGSEELDGVDCEKPGTDIQSLINLALLNLEDDSPASSSNGQNISVEDYLQGRWSCSSSCD >itb04g05480.t1 pep chromosome:ASM357664v1:4:3563784:3567681:1 gene:itb04g05480 transcript:itb04g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTGDGNGGGGGEGGLTVVGVKMDGRSKELLTWALVKIARPGDRVVALHVLNLNTEDKGELLSLVKTFDSVLAAYEGFCNLKQVDLKFKVCRGSPVRKILVREAKTYRAANLVVGTSSTHHTIRSTVSVAKYCARNVERSVSVIAVSDGKIAFQRGASDLIGQTCHVDVPGTARLASSSSGGGNESNPLALVPAKSMDLPESKPGWSLLRRVLLQNGKKSGKSAKMSSLVQRVLTLQSRQSFAAIYPDHKHGICEDNCHSPRSDEKNDEIASASSGTTSLSVPKELEDLSKKYASLCRLFSYEELLTATSNFTSENIIGKGGSSMVYKGCLPDGKEVAVKTLKPSQTMVIQFCSEIEILTTLHHKNIISLLGFCFGDSNLLLVYNLLSRGSLEDNLRGTPNVGNTFGWSDRYKVALGIAEALDHLHNSADEPIIHRDVKSSNILLSDDFEPRLSDFGLTTMASSLSCHLESIDVAGTFGYLAPEYLAHGKINEKIDVYAFGIVLLELLSGRKPIDNRNANAQKSLVLWAEEMLRLGKATALLDESLVDAYDHDEFERVVLAAKLCINHDPRFRPEINLVVRLLRGEPDAIDLARQHVDGSEELDGVDCEKPGTDIQSLINLALLNLEDDSPASSSNGQNISVEDYLQGRWSCSSSCD >itb11g03190.t1 pep chromosome:ASM357664v1:11:1648021:1650354:1 gene:itb11g03190 transcript:itb11g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATTTTTTNPPWVNKPKLSSLRRMGCSWSHLSFSPQKPSSQELRLKINCSAQSVLHFPKQSSAPPTVPTSNSTAITTISCPKEAKPDSWFSGGWNLVQRAAAAALDAVEGKLTEHELEHPLPRTADPRVQIAGNFAPVPEQPVCHSLPVAGKIPECINGVYVRNGANPHFEPVAGHHFFDGDGMVHAVNFKNGAVSYACRFTETQRLVQEKALGRPVFPKAIGELHGHSGIARLMLFYARGIFGLLDHSKGTGVANAGLVFFNNRLLAMSEDDIPYHVAITPAGDLKTVERYDFDGQLKSTMIAHPKLDPISGELFALSYDVIQKPYLKYFRFSKTGSKSPDVEIPVPDPTMMHDFAITENFVIVPDQQVVFKISEMIRGGSPVVYDKNKVSRFGVLNKYAKDASEMKWVEVPDCFCFHLWNAWEEPETDEIVVIGSCMTPPDSIFNECDQGLKSVLSEIRLNLMTGKSVRKPIIQTPSEEVNLEAGMVNKNKLGRKTRYAYLAIAEPWPKVSGFAKVDLFAGEVKKFIYGDEKYGGEPLFLPKSADSEAEDDGYILAFVHDEKTWKSELQIVNAQTLHLEASVKLPSRVPYGFHGTFISANDLSTQAV >itb14g01600.t1 pep chromosome:ASM357664v1:14:1213429:1214142:1 gene:itb14g01600 transcript:itb14g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTGLILTPCPPEEDLQLLLFFNAELFVFFFEQFKWLWLWVLNSNDETEREMNGSDFDLCFRRARGGAWGLGVGAEPVELLRERNWRERTCRTRPWPVPALFRLLLRRKCQKCFAGGEESSSDSGVVDRNSFSIFRFSSEFERRGGILGAGEAAGSFLISTGRIWPLAKSSSAERVWWPAVVQKWKSKSMVGSKRKGSSAGTMWSESWRSWEKEILGLAVELEASEHTSTAMAGRG >itb14g02600.t1 pep chromosome:ASM357664v1:14:2232863:2235638:1 gene:itb14g02600 transcript:itb14g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEGFPLSLLVILALFSTQIQSLRFDVESGHTKCISEDIKSNAMTVGKYQVVNTDDGHPLPDTHKLAVRVTSTYGNSYHYADHVSEGYFAFQTAEAGDYMACFFAADQKPPATLTIDLDWKSGVVAKDMTNVAKKGSVEAMKTQLMKMYLTVIDIQTEMNYLREREEEMQELNRSTNANMGWLSGLSIIVCLSVAGLQLWHLKGFFEKKKLI >itb14g01840.t1 pep chromosome:ASM357664v1:14:1537600:1538743:1 gene:itb14g01840 transcript:itb14g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVACKKRVRVDSDELGIDSPEVKRLREDLLDDLDEFELCTAEQDLDSFMKSFEEEITASPPPAAAAAVVDLTSESGESQPDLGYLLEASDDELGLPPATASPPAEEAEKPELIRVSSDSAELTGDLWCLDDHIASYDSYEFGIVDSENYNINGGEYAALDGLFDHSDLAYGSGDYLWRPETLPAN >itb06g11250.t1 pep chromosome:ASM357664v1:6:15855536:15856177:-1 gene:itb06g11250 transcript:itb06g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTTEVFELTVNKWRMEVDEMNREIKSMNETLESMWVDVKERDKKLEELDKKGKEGDKKLEEFRKDVEELRKKLDKALEESDKKFEELGKNIKELDKMHEEMQEENGKMIEECWKDIEEEFGEMLKSIQ >itb06g11250.t2 pep chromosome:ASM357664v1:6:15855714:15856058:-1 gene:itb06g11250 transcript:itb06g11250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEMNREIKSMNETLESMWVDVKERDKKLEELDKKGKEGDKKLEEFRKDVEELRKKLDKALEESDKKFEELGKNIKELDKMHEEMQEENGKMIEECWKDIEEEFGEMLKSIQ >itb02g17140.t4 pep chromosome:ASM357664v1:2:13134113:13141469:1 gene:itb02g17140 transcript:itb02g17140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEKRHQMMQNLFGDQSEEEEEEEVESEHESSRQPGYASDEGDGGPVPDEEGEGEGEGEGEGEGEGEGEGEGEGEGEGEVQLEPERESDVDMQDGDEQGESEAERDQSVDQREDSHGRDTDSDEKEEYGQRVVTSRRRDVIDSGSDRSENLFADIDDEEVNQARSRRSPAEEKDEVPFSNSAPEIRDVFGDSDDEEPTRFGVQNEMGEESNRSPIEEEGNYEKELVPEDMVADEYDQYESEEDHLDKKHKEKPIGPPLELEIPLQHPPALPEKMHLIKVSNIMGIDPRPFDPKTYVEDNAFVKDESGSNKRISLVNNIVRWREVKNPDGTTSIESNARFVHWSDGSIQLMIGNEVLDTTVQDAQHDQAHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEQKERAESQMIRASVSLNRKKEKVSRKYMPTVRRERQLSPGFLEDALEEEEETDYYESRRPAARRRFDEDLEMEAQAERRIMNAKKRDIPRKTSMPAARPSKRPVDFSESEKEESEYETEGEEEKVEHEYEEEGHEQEEEEETYEESEEEAEEPKQKVRESGTSLKRKGIESDEESPPRKAAAHRRMAIVYDSDEE >itb02g17140.t1 pep chromosome:ASM357664v1:2:13134113:13142107:1 gene:itb02g17140 transcript:itb02g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEKRHQMMQNLFGDQSEEEEEEEVESEHESSRQPGYASDEGDGGPVPDEEGEGEGEGEGEGEGEGEGEGEGEGEGEGEVQLEPERESDVDMQDGDEQGESEAERDQSVDQREDSHGRDTDSDEKEEYGQRVVTSRRRDVIDSGSDRSENLFADIDDEEVNQARSRSRSPAEEKDEVPFSNSAPEIRDVFGDSDDEEPTRFGVQNEMGEESNRSPIEEEGNYEKELVPEDMVADEYDQYESEEDHLDKKHKEKPIGPPLELEIPLQHPPALPEKMHLIKVSNIMGIDPRPFDPKTYVEDNAFVKDESGSNKRISLVNNIVRWREVKNPDGTTSIESNARFVHWSDGSIQLMIGNEVLDTTVQDAQHDQAHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEQKERAESQMIRASVSLNRKKEKVSRKYMPTVRRERQLSPGFLEDALEEEEETDYYESRRPAARRRFDEDLEMEAQAERRIMNAKKRDIPRKTSMPAARPSKRPVDFSESEKEESEYETEGEEEKVEHEYEEEGHEQEEEEETYEESEEEAEEPKQKVRESGTSLKRKGIESDEESPPRKAAAHRRMAIVYDSDEE >itb02g17140.t2 pep chromosome:ASM357664v1:2:13134113:13141625:1 gene:itb02g17140 transcript:itb02g17140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEKRHQMMQNLFGDQSEEEEEEEVESEHESSRQPGYASDEGDGGPVPDEEGEGEGEGEGEGEGEGEGEGEGEGEGEGEVQLEPERESDVDMQDGDEQGESEAERDQSVDQREDSHGRDTDSDEKEEYGQRVVTSRRRDVIDSGSDRSENLFADIDDEEVNQARSRSRSPAEEKDEVPFSNSAPEIRDVFGDSDDEEPTRFGVQNEMGEESNRSPIEEEGNYEKELVPEDMVADEYDQYESEEDHLDKKHKEKPIGPPLELEIPLQHPPALPEKMHLIKVSNIMGIDPRPFDPKTYVEDNAFVKDESGSNKRISLVNNIVRWREVKNPDGTTSIESNARFVHWSDGSIQLMIGNEVLDTTVQDAQHDQAHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEQKERAESQMIRASVSLNRKKEKVSRKYMPTVRRERQLSPGFLEDALEEEEETDYYESRRPAARRRFDEDLEMEAQAERRIMNAKKRDIPRKTSMPAARPSKRPVDFSESEKEESEYETEGEEEKVEHEYEEEGHEQEEEEETYEESEEEAEEPKQKVRESGTSLKRKGIESDEESPPRKAAAHRRMAIVYDSDEE >itb02g17140.t3 pep chromosome:ASM357664v1:2:13134113:13141625:1 gene:itb02g17140 transcript:itb02g17140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEKRHQMMQNLFGDQSEEEEEEEVESEHESSRQPGYASDEGDGGPVPDEEGEGEGEGEGEGEGEGEGEGEGEGEGEGEVQLEPERESDVDMQDGDEQGESEAERDQSVDQREDSHGRDTDSDEKEEYGQRVVTSRRRDVIDSGSDRSENLFADIDDEEVNQARSRSRSPAEEKDEVPFSNSAPEIRDVFGDSDDEEPTRFGVQNEMGEESNRSPIEEEGNYEKELVPEDMVADEYDQYESEEDHLDKKHKEKPIGPPLELEIPLQHPPALPEKMHLIKVSNIMGIDPRPFDPKTYVEDNAFVKDESGSNKRISLVNNIVRWREVKNPDGTTSIESNARFVHWSDGSIQLMIGNEVLDTTVQDAQHDQAHLFLRHGKGILQSQGRILSKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEQKERAESQMIRASVSLNRKKEKVSRKYMPTVRRERQLSPGFLEDALEEVCLLFSLPKAHQIDDVLLFI >itb08g05310.t1 pep chromosome:ASM357664v1:8:4370638:4371526:-1 gene:itb08g05310 transcript:itb08g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGRHRKRLIRFVTFPLTALCKARDFYVRSMTDCSGRVGVGNVAGVGPMHGGRLPKNFSVGSLRSNESEDFRELVRASSSTNGVRSADLDLLARERMKRAASDPGGMPRSCSVGMGKIDEDAPFVSGEDNDFGNNGGNGEVNFGRNRSYDVTKSNAKL >itb05g00290.t1 pep chromosome:ASM357664v1:5:210332:213032:-1 gene:itb05g00290 transcript:itb05g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWSNGLALTLWAASTSSGWAIAPYPLAGLIHESPPSSPPRSSSSATTPTPPPPPSSAATRTPPSSAATTAPSPRLSRLLPSAASCRHPPPPSRTRWLLFMFDSLITATLLQVCSLFGFSISDPYCCCWSWLLPLFSRPIKYIKLIL >itb14g16240.t1 pep chromosome:ASM357664v1:14:19555731:19557478:-1 gene:itb14g16240 transcript:itb14g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTLESMLGCTKAEQQEKKAKPSADEQSLKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSRPRTTQDPLFSSLTSTPLMPSLTTTLPYDRPIICNNNPTNNVNPCDVLESYGLLGHLGVGFLQTPGTNGLFHSLCYGIGSEQSNSVEWNGGNGEMMGNSSYQDRICSGGVKQEVCYGRDEGESRLNWGFPWLQGGEEGANMVGNSDVISSSRQSWNENGFGPYSSWHGLINTPLM >itb05g06840.t1 pep chromosome:ASM357664v1:5:7707887:7710275:1 gene:itb05g06840 transcript:itb05g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSGELPPDFGRFSKLTVFYICNNQFSGEIPEGLWTAENLEVLQIKNNQFTGQLPQKMASNLYIVDISNNRFSGEIPDGVWTSEQLKWLFIKNNQFTGQLPQKMASNLYIVDISNNRFSGEIPDGVWTSEQLKWLFIKNNQFTGQLPKNITSNLSLLDISNNQFFGEIPLAISSWSNLYIFSASNNLLTGQIPHELTTLRSLSVLRLDGNKLSGNFPSNIISWESLSTLTCSRNQLSGAIPPALCHLANLNQLDLSQNQFSGEIPPALSLLVNLNQLDLSENQFSGEIPPALSLLVNLNQLDLSENQFSGEIPPEIEFNAKIADFGLARMLMKHGDPNTMSTFAGTCGYIAPEYVKTRRVNEKIDVYSFGVILLELVTGREPNDGDMDCCLADWARYYVVEENPIEDALDEEIKEAENIDVMCGVFKLGIFCIGKTPAQRPTMREALRILQHPSPLSLYGKERSVSERDVLPLIKCSSSEGILEDEDHCLEIQDRNCEVLDFRQ >itb07g23810.t2 pep chromosome:ASM357664v1:7:28145565:28159750:1 gene:itb07g23810 transcript:itb07g23810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDPSSRLEERGGIHEDPSSRLEERGGIHGRFVGLCTTLFEEKMGSKTKTAPLRLGWRKEGASMAPPPSSVVDELTKEEEATYILDQDEGGSTLAARFLPSSLTATSTLIRFKPLSPSSSPPPPRAPRMSTEAAASSSSSPSPSSAIDFLTLCRRLKTTKRAGWVLRDVQGPESIADHMYRMGVMALISADLPGVDRDKCVKMAIVHDIAEAIVGDITPSDGISKAEKSRREREAIEDMCKLLGGGPRAKEIKDLWLEYEECSSLEAKVVKDFDKIEMILQALEYEKEQGKDLDEFFQSTAGKFQTDVGLNVHLWPPLLHASKSSDFCIFRRRNHHQIHLSSTAMASRRSLLSSLHCSLLQRTSLYCAGFQQGSSSWKYSTIASSKQRLLKIEDQQKKLANESDPTFECDISEIREQFNAAKQRFLKIPDALKDMPKANPKGIYANKNLRLDNIKVYGFDYDYTLAHYSSNLQTLIYDLAKQHLVNEFKYPESCMEFKYDPSFPIRGLYYDKVKGCLMKLDFFGSIEPGSCYFGRRKLSHEEIDEIYGTRHIGRDQAGKLVCLMDFFCFSEACLIADIVQHFVDAKLEFDAGYIYEDVNRAIQHVHKSHSVHKGILSDPQKYLVKNGQLLSFLRKLKDKGKKLFLLTNSPFFFVDGGMRFMLEDSLAQQDSWRELFDVVIAKAKKPDFYTSEHPFRCYDVEKDTLEFSKVDSLLPDKVYYHGCLKTFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWHTAAIIHELENEISIQNDDSYRFEQAKFHIIQELLGKLHAKVVNCQISEAYKSLLWELNDERQKARYTMKEMFNRSFGATFLTDSGQESAFAYHIHQYADVYTSKPENFFFYLPEAWLHVPYDIKILPHHVKVSSDLFKT >itb07g23810.t1 pep chromosome:ASM357664v1:7:28145565:28159750:1 gene:itb07g23810 transcript:itb07g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDPSSRLEERGGIHEDPSSRLEERGGIHGRFVGLCTTLFEEKMGSKTKTAPLRLGWRKEGASMAPPPSSVVDELTKEEEATYILDQDEGGSTLAARFLPSSLTATSTLIRFKPLSPSSSPPPPRAPRMSTEAAASSSSSPSPSSAIDFLTLCRRLKTTKRAGWVLRDVQGPESIADHMYRMGVMALISADLPGVDRDKCVKMAIVHDIAEAIVGDITPSDGISKAEKSRREREAIEDMCKLLGGGPRAKEIKDLWLEYEECSSLEAKVVKDFDKIEMILQALEYEKEQGKDLDEFFQSTAGKFQTDVGLNVHLWPPLLHASKSSDFCIFRRRNHHQIHLSSTAMASRRSLLSSLHRTSLYCAGFQQEFLGSSSWKYSTIASSKQRLLKIEDQQKKLANESDPTFECDISEIREQFNAAKQRFLKIPDALKDMPKANPKGIYANKNLRLDNIKVYGFDYDYTLAHYSSNLQTLIYDLAKQHLVNEFKYPESCMEFKYDPSFPIRGLYYDKVKGCLMKLDFFGSIEPGSCYFGRRKLSHEEIDEIYGTRHIGRDQAGKLVCLMDFFCFSEACLIADIVQHFVDAKLEFDAGYIYEDVNRAIQHVHKSHSVHKGILSDPQKYLVKNGQLLSFLRKLKDKGKKLFLLTNSPFFFVDGGMRFMLEDSLAQQDSWRELFDVVIAKAKKPDFYTSEHPFRCYDVEKDTLEFSKVDSLLPDKVYYHGCLKTFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWHTAAIIHELENEISIQNDDSYRFEQAKFHIIQELLGKLHAKVVNCQISEAYKSLLWELNDERQKARYTMKEMFNRSFGATFLTDSGQESAFAYHIHQYADVYTSKPENFFFYLPEAWLHVPYDIKILPHHVKVSSDLFKT >itb07g23810.t3 pep chromosome:ASM357664v1:7:28145565:28159750:1 gene:itb07g23810 transcript:itb07g23810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDPSSRLEERGGIHEDPSSRLEERGGIHGRFVGLCTTLFEEKMGSKTKTAPLRLGWRKEGASMAPPPSSVVDELTKEEEATYILDQDEGGSTLAARFLPSSLTATSTLIRFKPLSPSSSPPPPRAPRMSTEAAASSSSSPSPSSAIDFLTLCRRLKTTKRAGWVLRDVQGPESIADHMYRMGVMALISADLPGVDRDKCVKMAIVHDIAEAIVGDITPSDGISKAEKSRREREAIEDMCKLLGGGPRAKEIKDLWLEYEECSSLEAKVVKDFDKIEMILQALEYEKEQGKDLDEFFQSTAGKFQTDVGLNVHLWPPLLHASKSSDFCIFRRRNHHQIHLSSTAMASRRSLLSSLHCSLLQRTSLYCAGFQQEFLGSSSWKYSTIASSKQRLLKIEDQQKKLANESDPTFECDISEIREQFNAAKQRFLKIPDALKDMPKANPKGIYANKNLRLDNIKVYGFDYDYTLAHYSSNLQTLIYDLAKQHLVNEFKYPESCMEFKYDPSFPIRGLYYDKVKGCLMKLDFFGSIEPGSCYFGRRKLSHEEIDEIYGTRHIGRDQAGKLVCLMDFFCFSEACLIADIVQHFVDAKLEFDAGYIYEDVNRAIQHVHKSHSVHKGILSDPQKYLVKNGQLLSFLRKLKDKGKKLFLLTNSPFFFVDGGMRFMLEDSLAQQDSWRELFDVVIAKAKKPDFYTSEHPFRCYDVEKDTLEFSKVDSLLPDKVYYHGCLKTFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWHTAAIIHELENEISIQNDDSYRFEQAKFHIIQELLGKLHAKVVNCQISEAYKSLLWELNDERQKARYTMKEMFNRSFGATFLTDSGQESAFAYHIHQYADVYTSKPENFFFYLPEAWLHVPYDIKILPHHVKVSSDLFKT >itb04g02460.t1 pep chromosome:ASM357664v1:4:1465011:1466703:1 gene:itb04g02460 transcript:itb04g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRFLYTSLLMAAFVFAAVSGYSPAEIQSWCGQTPYPQQCEYYLSQSYRNGGPIKDKSDFLNVAVGLALEHAMHAKANTYSLGPKCRNGREKAAWEDCLELYENTVMKINAAVDPNVKCSAADAQTWLSTALTNLETCRGGFAEVGVTDNVLTALMSNNVSDLIRNTLALNKGFTTTTNEAASYSGGFPAWVKPGDRKLLQSSAASKADVVVAQDGSGNYKTVTEAVNAAAKRSGSGRYVIYVKAGVYKENVNIGNKVKNVMLVGDGIGKTIITGSQSVGGGTTTFKSATVAVVGDGFIGQGITFRNTAGAANHQAVALRSGSDLSVFYQCSFEGYQDTLYVYSDRQFYRECDIYGTVDFIFGNAAVVFQNCNIYARNPPNKINTITAQGRSDPNQNTGISIHNSKVTAASDLKGSSGSVKTYLGRPWKQYSRTVFMKTFLDGVIEPAGWYPWDGTFALSTLYYGEYLNTGAGASTANRVKWGGYHVITSATEASKFTVGNFIAGGSWLPATNVPFTSGL >itb12g00410.t1 pep chromosome:ASM357664v1:12:355127:357189:-1 gene:itb12g00410 transcript:itb12g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNSCCGSEKIDEGSKNVVGGGGNTSWRIFTYKELHSATNGFSEDNKLGEGGFGSVYWGKTSDGLQIAVKKLKSMNNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCAGNEQRLIVYDYMPNLSLLSHLHGQYAREVQLDWKKRMRVAIGSAQGLLYLHHEATPHIVHRDVKASNVLLDSNFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVTGRKPIEKLPNGIKRTITEWAEPMIAKGKFSDLVDPKLRGNFDETQLKQAINAAALCVQTEPERRPTMKEVVSLLKGHDPMNGNKGVQQNLRIQSVKYEEDLMRLDQTSDDDEDDDDDESSAKVDESSAYGVFGAMEMQKMNDPYKRYGDK >itb04g10390.t2 pep chromosome:ASM357664v1:4:9775553:9777079:1 gene:itb04g10390 transcript:itb04g10390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSSSPGPGRRLTEKNRRQQMRDLYRRLASLVSRENTLEKSPASDLLGEATNFIKQLEENVNELKARKDSLQLPIVIIGVNESERGESLEINIVCGSENKKLKMHKVFCILKEEGAEVVSAYNSTVGLKIYHTILCKV >itb04g10390.t1 pep chromosome:ASM357664v1:4:9775553:9778212:1 gene:itb04g10390 transcript:itb04g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSSSPGPGRRLTEKNRRQQMRDLYRRLASLVSRENTLEKSPASDLLGEATNFIKQLEENVNELKARKDSLQLPIVIIGVNESERGESLEINIVCGSENKKLKMHKVFCILKEEGAEVVSAYNSTVGLKIYHTILCKEHPCALQTSSSSYPIVSQGSQVGKKGLERSM >itb01g16910.t1 pep chromosome:ASM357664v1:1:21382790:21389033:1 gene:itb01g16910 transcript:itb01g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMLAAFSIIYGEYILLDSRLCEGSPKLGGLAEKLGFLRQHNLVETPPSYIQKVVVDCLNSVLELTKCVVELKQCPYYSPPSSIILALPMATYWIATTLINVSAACACDAHFKVLHQNELEELSALIAKILAMFSPELAKKKAEESFQILRHAWYSNSSNKIQLFKLMFNVMDDDEAIFQRRIFHWYNAAWTTEDEHHFEKVKEGMHELFVLKDPQRRLLPQFTRFVKEELFPNLKMRWGEEAILVSIDRKGRIAHPNVMHMILTWNYGYIEKNTIGVQRLYNITPLVAKEVKEGTSGVNRVVPEIDEMIRDFLHDMHDRINDWAHLVERKIEKVLDESTPYHSDREKDLWQQETTWSLHLPVPKFQSYQAIGKLINVWIQEEIYIFLYGGNGMQWVQEFPSKVREVGSITQLNIELVYVGRNKKIRRIIDEKRMSHSPLHNSTRVWWFWTRLQNMFLSRIHYLDVTNCPVKEYKNDEILQGLNGLRKHEYNN >itb01g29370.t1 pep chromosome:ASM357664v1:1:33609462:33611243:-1 gene:itb01g29370 transcript:itb01g29370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTGGGGTGTAASASSDDSSRTCPRGHWRPAEDEKLRQLVEQYGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFSEEEEERLVAAHRIHGNKWALISRLFPGRTDNAVKNHWHVLMARRQREQSKLSVKRTYQQVFNNVNINDTNVFRRRYKSPEPYPYPSNKIISFLEFQNNPADSRPNTFSLSKYTPHQAPYSSPPLRPTTSSSSDYSLLRHHKNNTNKNLGFSLVGSHGNGIRLNPPNLKPGNNFLNYSSTFNDGGRGAKNDEFRSEKRERNEQKDKEDDEGEDKRGRREDVTFIDFLGVGSSS >itb01g01860.t1 pep chromosome:ASM357664v1:1:1098624:1099786:-1 gene:itb01g01860 transcript:itb01g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQTTLPTNIITTYSPLFSYVVVNMKALALFFALSLYLLPNPTHSTRNPIRLPTAASGTPVLDNEGDALVPGRPYMLRSWKWTHGGLRLVSLDGATTKCPSDVIISSDLDDEGSPVVFTPADPDTPVVSRSTFLNIKFAIPTVRLCVSNVSWEVEYDASSGQRFVKAGDVLSYQFKIESVAPVLHAYKFSYCDSGTDNCYVVGPHYGPDQQTRLALGTDESYSISFMKARVA >itb11g21750.t2 pep chromosome:ASM357664v1:11:23459753:23463113:-1 gene:itb11g21750 transcript:itb11g21750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLMGTLHLHFLQSQPRFPLQHKQEIVNSLHENLGFLQEILEKSEIAYDDAGAMKDLEAEIRDVTFKAEEMIEMELSSIYLQSSSLEACLLRLHGIFNQALKQTDYLKTKIIREKQLAKGSSQAERIADVSLLGWIRQRRLHLGSTSSQPDLELENIKMSKFSKNTSTFDSRMVGCEEEFKTIMDQLTRQSVKQLQVVSIVGMGGIGKTTLARKVYEDPSITSHFYMRAWVTVSQVYNVEQMLRCLLDCVIAASRDEHPQQSKVPKEQRNPKEKLPPPERLRKLLKEQRYLIVIDDIWSTSAWDSLQRCFPDDNTGSRILLTSRLKKVAEYASSGNSPLNMCLLDMVQSLNLYCKVFGKTEFPFGLEQIGRYIVNKCEGLPLAIIVVASLLSKMGEKEEKWMIVAKNVSRSVIGGSNDVCLRILSLSYNQLPHHLKACFLYFGIFPEDYEIRVKNLVRLWAAEGFLGAVKHQNTEEVAMEWLQDLVDRSLVIVSKQSYYGEMKTIRIHDLLRDFCLTETRRENLLNVIEKLPFYKTISQHLFSEPCRWISYRPGSSFLWLDNQIRSNWLNKSHSIHSSALHFRDMKHLFSHFKLLRVLDIEIVFGEGHGNALNVLANLVHLRYLALSTSEDAFSFDVKLFEHWNMQSFIVRSCAGILDCSQASQIWKMSLLRNFYVQACFSLETSEVIHRNLQTIFWLDRKCCTNDLFKRIPNLKKLGIRGGVSSGNPDYFYNLFQLGQLEKLSIRGWHPKGILCNGIPWETNFLPTLKKLIFKETGLPWCDMRFIGMLPNLEVLKLINACEGPKWEPSEGGFRRLKMLVIEEVFLNCWNAVSDHFPVLECLELRKCYWLQEIPSGFVDITTLALIQLKSCEDCLLASAKRIQDEQHSYGNHALLRPEPTMLYNEGWYEAWIMMADFRNAEKPLNLVEAHGWWTFLNLHRKVVSASEKKLLLQFILLYRKKLNR >itb11g21750.t3 pep chromosome:ASM357664v1:11:23459753:23463113:-1 gene:itb11g21750 transcript:itb11g21750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLMGTLHLHFLQSQPRFPLQHKQEIVNSLHENLGFLQEILEKSEIAYDDAGAMKDLEAEIRDVTFKAEEMIEMELSSIYLQSSSLEACLLRLHGIFNQALKQTDYLKTKIIREKQLAKGSSQAERIADVSLLGWIRQRRLHLGSTSSQPDLELENIKMSKFSKNTSTFDSRMVGCEEEFKTIMDQLTRQSVKQLQVVSIVGMGGIGKTTLARKVYEDPSITSHFYMRAWVTVSQVYNVEQMLRCLLDCVIAASRDEHPQQSKVPKEQRNPKEKLPPPERLRKLLKEQRYLIVIDDIWSTSAWDSLQRCFPDDNTGSRILLTSRLKKVAEYASSGNSPLNMCLLDMVQSLNLYCKVFGKTEFPFGLEQIGRYIVNKCEGLPLAIIVVASLLSKMGEKEEKWMIVAKNVSRSVIGGSNDVCLRILSLSYNQLPHHLKACFLYFGIFPEDYEIRVKNLVRLWAAEGFLGAVKHQNTEEVAMEWLQDLVDRSLVIVSKQSYYGEMKTIRIHDLLRDFCLTETRRENLLNVIEKLPFYKTISQHLFSEPCRWISYRPGSSFLWLDNQIRSNWLNKSHSIHSSALHFRDMKHLFSHFKLLRVLDIEIVFGEGHGNALNVLANLVHLRYLALSTSEDAFSFDVKLFEHWNMQSFIVRSCAGILDCSQASQIWKMSLLRNFYVQACFSLETSEVIHRNLQTIFWLDRKCCTNDLFKRIPNLKKLGIRGGVSSGNPDYFYNLFQLGQLEKLSIRGWHPKGILCNGIPWETNFLPTLKKLIFKETGLPWCDMRFIGMLPNLEVLKLINACEGPKWEPSEGGFRRLKMLVIEEVFLNCWNAVSDHFPVLECLELRKCYWLQEIPSGFVDITTLALIQLKSCEDCLLASAKRIQDEQHSYGNHALLVSFENIETRAYNVIQ >itb11g21750.t1 pep chromosome:ASM357664v1:11:23459753:23463776:-1 gene:itb11g21750 transcript:itb11g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLMGTLHLHFLQSQPRFPLQHKQEIVNSLHENLGFLQEILEKSEIAYDDAGAMKDLEAEIRDVTFKAEEMIEMELSSIYLQSSSLEACLLRLHGIFNQALKQTDYLKTKIIREKQLAKGSSQAERIADVSLLGWIRQRRLHLGSTSSQPDLELENIKMSKFSKNTSTFDSRMVGCEEEFKTIMDQLTRQSVKQLQVVSIVGMGGIGKTTLARKVYEDPSITSHFYMRAWVTVSQVYNVEQMLRCLLDCVIAASRDEHPQQSKVPKEQRNPKEKLPPPERLRKLLKEQRYLIVIDDIWSTSAWDSLQRCFPDDNTGSRILLTSRLKKVAEYASSGNSPLNMCLLDMVQSLNLYCKVFGKTEFPFGLEQIGRYIVNKCEGLPLAIIVVASLLSKMGEKEEKWMIVAKNVSRSVIGGSNDVCLRILSLSYNQLPHHLKACFLYFGIFPEDYEIRVKNLVRLWAAEGFLGAVKHQNTEEVAMEWLQDLVDRSLVIVSKQSYYGEMKTIRIHDLLRDFCLTETRRENLLNVIEKLPFYKTISQHLFSEPCRWISYRPGSSFLWLDNQIRSNWLNKSHSIHSSALHFRDMKHLFSHFKLLRVLDIEIVFGEGHGNALNVLANLVHLRYLALSTSEDAFSFDVKLFEHWNMQSFIVRSCAGILDCSQASQIWKMSLLRNFYVQACFSLETSEVIHRNLQTIFWLDRKCCTNDLFKRIPNLKKLGIRGGVSSGNPDYFYNLFQLGQLEKLSIRGWHPKGILCNGIPWETNFLPTLKKLIFKETGLPWCDMRFIGMLPNLEVLKLINACEGPKWEPSEGGFRRLKMLVIEEVFLNCWNAVSDHFPVLECLELRKCYWLQEIPSGFVDITTLALIQLKSCEDCLLASAKRIQDEQHSYGNHALLVSFENIETRAYNVIQ >itb07g21890.t1 pep chromosome:ASM357664v1:7:26419165:26421278:1 gene:itb07g21890 transcript:itb07g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSWSNSLEGGFWVSDNGLEFPVETLKRTTNNPQTERNLIPFCEGELFMVDMMWNSLVSSSSADKDDEEDDGVIKMLSSESSLPSKRPRTANHEEIKGRQCSSPTYTQQDIVMKFSSQVPVCQVHGCNRDLRSSKHYHRKHKVCSEHSMAAQVVVNGAEQRFCQQCSRFHIIAEFDDKKRSCRKRLTQHNERRRKPMNQIHWGPAARFLDMSSQRLPIPFHFPAELSQTYFFHDIYGKNSSCQIESTVQQSNAHTNANQHNPQKQASMETLSAAGRKSRRRAFSLLSAEPTRFTLHGCNGGFNNGADQPEGNCQESGPVLDLQQLSALFQRVQQQQQQELPSF >itb04g02900.t4 pep chromosome:ASM357664v1:4:1797730:1801530:-1 gene:itb04g02900 transcript:itb04g02900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRLDGSSSSSTAWFSHFWASARRFKSLAPPSTTDTPAGDGLVRRLGLFDLLLLGIGASIGAGIFVVTGTVAHEAGPGVTISFVIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFSELMAFLVFTQLMLDYHIGAASIARSLASYTINALELIPFLKDNIPSWVGHGSQVHLGVFSFNLLAPLLLVILTMVLCWGVGESSIFNAVVTVTKVVIVFFVIIVGAFKVDASNWTPFAPHGFKSILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIALYIGVCLVITGMVPYKLLGEEAPLAHAFKAQGLTYVSVLISVGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFARVHPTRHTPVHSQVWVGIVAIILAGLFNVRLLSHILSVGSLTGYSVVSACAVTLRWKEKNVNEVSTGFISGRSEGIICLILVACCGFAAGALYRFGAPFGFLVLSAVIAIFAAAALHFRQVTIDRYSSVFLLSFFSSFPYFFPLVIIYCSSC >itb04g02900.t3 pep chromosome:ASM357664v1:4:1797201:1801530:-1 gene:itb04g02900 transcript:itb04g02900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRLDGSSSSSTAWFSHFWASARRFKSLAPPSTTDTPAGDGLVRRLGLFDLLLLGIGASIGAGIFVVTGTVAHEAGPGVTISFVIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFSELMAFLVFTQLMLDYHIGAASIARSLASYTINALELIPFLKDNIPSWVGHGSQVHLGVFSFNLLAPLLLVILTMVLCWGVGESSIFNAVVTVTKVVIVFFVIIVGAFKVDASNWTPFAPHGFKSILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIALYIGVCLVITGMVPYKLLGEEAPLAHAFKAQGLTYVSVLISVGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFARVHPTRHTPVHSQVWVGIVAIILAGLFNVRLLSHILSVGSLTGYSVVSACAVTLRWKEKNVNEVSTGFISGRSEGIICLILVACCGFAAGALYRFGAPFGFLVLSAVIAIFAAAALHFRQVTIDRYSSVFLLSFFSSFPYFFPLVIIYCSSC >itb04g02900.t1 pep chromosome:ASM357664v1:4:1797201:1801532:-1 gene:itb04g02900 transcript:itb04g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRLDGSSSSSTAWFSHFWASARRFKSLAPPSTTDTPAGDGLVRRLGLFDLLLLGIGASIGAGIFVVTGTVAHEAGPGVTISFVIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFSELMAFLVFTQLMLDYHIGAASIARSLASYTINALELIPFLKDNIPSWVGHGSQVHLGVFSFNLLAPLLLVILTMVLCWGVGESSIFNAVVTVTKVVIVFFVIIVGAFKVDASNWTPFAPHGFKSILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIALYIGVCLVITGMVPYKLLGEEAPLAHAFKAQGLTYVSVLISVGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFARVHPTRHTPVHSQVWVGIVAIILAGLFNVRLLSHILSVGSLTGYSVVSACAVTLRWKEKNVNEVSTGFISGRSEGIICLILVACCGFAAGALYRFGAPFGFLVLSAVIAIFAAAALHFRQVYDDPPGFSCPGVPILPAVCIFLNIFLFAQLHCEAWVRFVVLSIVAVGIYALYGQHHANPDSSGTSLIIYQRESADV >itb04g02900.t2 pep chromosome:ASM357664v1:4:1797201:1801532:-1 gene:itb04g02900 transcript:itb04g02900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRLDGSSSSSTAWFSHFWASARRFKSLAPPSTTDTPAGDGLVRRLGLFDLLLLGIGASIGAGIFVVTGTVAHEAGPGVTISFVIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFSELMAFLVFTQLMLDYHIGAASIARSLASYTINALELIPFLKDNIPSWVGHGSQVHLGVFSFNLLAPLLLVILTMVLCWGVGESSIFNAVVTVTKVVIVFFVIIVGAFKVDASNWTPFAPHGFKSILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIALYIGVCLVITGMVPYKLLGEEAPLAHAFKAQGLTYVSVLISVGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFARVHPTRHTPVHSQVWVGIVAIILAGLFNVRLLSHILSVGSLVRFLCLLPKFL >itb04g02900.t5 pep chromosome:ASM357664v1:4:1797201:1801530:-1 gene:itb04g02900 transcript:itb04g02900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRLDGSSSSSTAWFSHFWASARRFKSLAPPSTTDTPAGDGLVRRLGLFDLLLLGIGASIGAGIFVVTGTVAHEAGPGVTISFVIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFSELMAFLVFTQLMLDYHIGAASIARSLASYTINALELIPFLKDNIPSWVGHGSQVHLGVFSFNLLAPLLLVILTMVLCWGVGESSIFNAVVTVTKVVIVFFVIIVGAFKVDASNWTPFAPHGFKSILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIALYIGVCLVITGMVPYKLLGEEAPLAHAFKAQGLTYVSVLISVGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFARVHPTRHTPVHSQVWVGIVAIILAGLFNVRLLSHILSVGSLVRFLCLLPKFL >itb06g15690.t1 pep chromosome:ASM357664v1:6:19991649:19997856:1 gene:itb06g15690 transcript:itb06g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRAWIVAATVGTVEALKDQGFTRWNYASRIIQQRAAKSNRKTYSQATRMPSSSSALASAKMTTEQKLKKSEESLRTVILAELMMSGKSRACKFEATLKMMQRPKEMSVAESVNVKSCETRRDGTAGGEFPAKRSAGDDEKYHHRKRSLERAEDLFHLIYWGPH >itb08g04890.t1 pep chromosome:ASM357664v1:8:4022466:4023145:1 gene:itb08g04890 transcript:itb08g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELTRFLEREGARPNAVHCRPFPPPAGEKVAGFGHPKAAEVEAGKSNKGMGGSLKSMAFILASGPSKKGPGH >itb09g21120.t1 pep chromosome:ASM357664v1:9:18751764:18758521:1 gene:itb09g21120 transcript:itb09g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTAQEKNKGIQKAIFAVAIIICIGYAFIWVMLPTKLYRESWLPKIRAQTNSTYFGTQGATMLLYTFPVLLVAALGCVYLHVGRNSSHSSTYKGWQAKLEAVGLRLGLVGNICLSLLFFPVTRGSSVLPLFGLTSEASVKYHIWLGHITMALFTAHGLCYIIFWTATHHISQMLEWANADISNLAGEISLAAGLGMWATTFPSIRRKMFELFFYTHYLYILFVIFFVFHVGISYSCIMLPGFYLFLVDRYLRFLQSRKHVRLVSARLLPCETIELNFSKSQGLMYTPTSIMFVNVPGISKLQWHPFTITSSSSLEAKQVSVMIKGDGSWSKKLYQILSSSNSPDRLDVSVEGPYGPASTHFLRHDLLVMVSGGSGITPFFSIIRELMHKSQSSESKIPQVVLISVFKNSSDLTMLDLILPISGAQSEVSKLRIQMEAYVTREKQPPALENKKKNVQIKWFKSNHADQPMTPILGQNNWLWLGAIISSSFILFLILLGLITGYHIYPINQKTDNNYPYWKKAVFFMLFICICIVITATAGFLWNKRNINAKEAKQIQNIAGASPNFQWSYNAEREMESLPQQWLAQHTNVHYGQRPDLKRMLFEHKEESVGVLVCGPKKLRHDVANICASDSSANLHFESISFSW >itb09g13720.t1 pep chromosome:ASM357664v1:9:8981992:8988087:-1 gene:itb09g13720 transcript:itb09g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGRIHPLGSLGFGAGVGSGTKVTTTTLKRKTPSELRGEQLKRKNIKELVDESPDSVIGYARNNDWVIPGSKNADLQKNPRYINTRMNELYPVRKNSNKLRLLSKKDDSKDCIPTKNSGSQEISSVSSEFAAQSQLQIPREQAAQTFSTADKCNGSTFRSVAELSLGNEKLSGLPTVDIDKALKGLVAHEPIVASNSCSEPSKNIDGHGAKNLCSEFHVPCKKTPLDLTLKTTMRIVSSTSVNWFHRLVSCGSINSLSQYAPSVCSSRNMTSSEVNSTNQVLNPLVLHSWVYPQSPLPPSVISALTLSASQEGQMDFLSKRQQAWEDSFRSLYYMLRKNKCNIFYVCTAQFVVMFTGAGSLKENKCVCNAFISQSTRGLRSLLKEHDVCFSMPLCDSKVEEVSKEDLFELSEIEKQNLGKTTRPDTLAYVDNSPQSLLAFMGNENVHGLYDFLLNYRYFLTSLTGMDVPMLYSPLPFENAALSAPEVRCKEVRRIDHMPLPSKESSGSGESNMGHSQGICYSIEIKDRYLPPWIISNTCYAVCSRGTSFEASFVTEPTSIGLNIGSNVGGESSDLQASANEGLEKGILCFGVPNTTHSSHLQSAFLKELKYDGNTYTAFLSPL >itb14g04120.t1 pep chromosome:ASM357664v1:14:3657359:3661887:-1 gene:itb14g04120 transcript:itb14g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKLDPLFSLNRVKSIQMLMGTLILYLMLMGMEIPIVLRNGFGLDAPNKREYTESENSRPRLSNGEKKSEFRPRRKTAVPGPITQEKKMGLRLRQRRMGEKSENKKISGLVIDEKSFDGADKGSGLELRRAVRDAFVAGKKLLAELESGKVGTELTQNRNESCPESVALTGHELLEKGNTVIPCGLCLGSHITVVGTPRRAHPESQFMMELLGLENVYGEDPPRILHFNPRLKGDWSKTPVIEQNTRYRMQWGIAIRCDGKSNPAEETVDGQVKCERWILDDNSRSEESKVMWWLKRIVKRTKKVSLDWPYPFAENRMFVLTVAAGFEGFHISVDGRHVTSFPYHPGFTLDDATGFSLKGDVNVHSFFAASLPSMRPSFAPHRHLEMLPEWQAPPLPRGPVELFIGILSAGNHFAERMAVRKSWMQHKSIKSLNVVARFFVAMHRKNEVNVELMKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYAIRIMASKYIMKCDDDTFVRIDALMNEVKKVPHGRSLYVGNINYNHKPLRKGKWAVTYEEWSEADYPPYANGPGYIISSDIAHYIVSNFEQHKLRPEILSIWVRRGLLHRTLSIPEANDLPVDKTAKRTKSSLLQREMTMANYHRRHDSKPATLTGD >itb04g32190.t1 pep chromosome:ASM357664v1:4:34844774:34850105:-1 gene:itb04g32190 transcript:itb04g32190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVQRSLRPPLLGLLLLLLCSFATAARRPAGYMKYKDPTRPISARIRDLIGRMSLEEKIGQMAQLDREGLTPEIMRDYSIGSVLSGGGSVPREKATAAEWVEMVNRFQNGSLSSRLGIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRDPQLVKRIGAATALETRATGITYAFAPCIAVCRDPRWGRCYESYSENPEVVKEMTEIILGLQGDLPQDYPKGFPYVGGKSKVAACAKHFVGDGGTTYGVDESNTVIDWDGLLSIHMAAYYPSILKGVSTVMVSYSSWNGKKMHANRALITDFLKGTLDFKGFVISDWQGIDRITIPDHANYTYSVLAGVGAGIDMIMVPYNYTEFIDILTYLVKNKFIPMSRIDDAVTRILRVKFALGLFENPLADLSLTDQLGNQAHRDLASEAVRKSLVLLKNEALLPLPKKATRILVAGSHADNLGYQCGGWTITWQGLSGNTTIGTTILKAISDTVDPTTEVVYNENPDPNFINSNDFAYAIVVVGEHPYAEYDGDNMDLTIPEPGPATITTVCENIKCVVVLISGRPLVLEPYLPKMDALVAAWLPGSEGQGVADVLFGDYEFTGKLPRTWFKTVDQLPMNIGDSHYDPLFPFGFGLTTEQVQPIADS >itb11g05620.t1 pep chromosome:ASM357664v1:11:3315246:3315989:1 gene:itb11g05620 transcript:itb11g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEFGMEVGPQEPILEMKRRIQNLLGVPMASQSLSIFGWELIDGLDMEDYPIISDGTKIDLSIQSNHPFHQQQQPSNTKFQITVKFSARKINMEVDPTDTVRSLKEKIHIIDGTPIKRMALFFSGNELEEEHQSLSHYGLREFSQVIVYLKTMSRAMADPPSRKISFLVQTSSSLLNAATIPLEISDLSTVNDLIQSLITRKILPQDDYIFIHKQRIMRESCSLRWHGVENGECLYVFKGTVSSG >itb12g11250.t1 pep chromosome:ASM357664v1:12:9439557:9442689:1 gene:itb12g11250 transcript:itb12g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPFEFQLFLTTAFLLVCINDAGSMGKCLNDQKSLLLGMKSGMVFISSASTKMVHWNATSDCCFWHGVTCSSEGRVVGLDLSWEAISDGIGSSSSLFSLHYLESLSLAFNSFNSSLIPVQMYNLTNLRYLNLSQAGFGGQIPNGISRLTRLVTLDLSTLFPVGQPLKLENPNLRLLFENASTELREVYLDGVSIPAQGSEWCEALSSSLPNLRVLSLKSCQVSGPMHPSLLKLRALSVIYLDGNNLSSAVPGFLAKFSNLTTLSLSYCNLWGELPKSILRVQTLQHLELSNNPDLSGSFPVFQQNISLKTLSLSDTRFSGSLPDSIGHLHNLSRIELSNCSFVGAIPSTMAKLTSLAYVDFSYNNFTGSFPSFQMSKKLIYIDLSHNILTGPLSFSHFEGLSELKHVNLGSNLITGKIPPYLFSLPSLQSLYLNQNLLEGQVDEFDNASASQLLTLDLSSNRLNGSIPNSFFKLPKLSVLSLSSNFFSGKMQFNDIVKLPDLSELDLSYNNMTVDTTISNEPLFSSALTVLNLASCKLQKFPDLRNQSKMKRLDLSDNQIVGTIPNWIWKVGKGGLTSLNLSCNSLDYLEEPYSINSSLSVIDLHSNRLQGNLPIPPVFALYVDYSGNNFSSAIPNRIGTSLVVAVFFSLSKNRFTGPIPDSVCNSSWVQVLDLSQNLLSGKIPSCLMNSSSIVVLDLRRNNLSGTIPDEFPDRCAVKTLDLSRNMLEGQMPESLVNCRFLEVLNFGNNKIVDRFPCPLRSLSTLHVLVLHSNRFFGDLHCLNSNLSWKNLQIIDISSNEFSGKLDSSYFFSWRGMISNEDAFTPAENQIGFVSLFDDLCYEDTFSGFFCIAKYAVKDLTGTSTMFF >itb13g09590.t1 pep chromosome:ASM357664v1:13:13780832:13781239:1 gene:itb13g09590 transcript:itb13g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSQRNDGGALVAKTFAADDRKYASPPASSITVSKKVIIKSADMKDDMQKEAVDIAIAAFEKNSLEKDVAEHIKEFDKKHGPIYPTAMAAGLGSSTQT >itb09g17930.t3 pep chromosome:ASM357664v1:9:13322236:13326927:1 gene:itb09g17930 transcript:itb09g17930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQLGNIASVIKSLSTGGVDESELPSDIGIGYPKFLVPLHIPHRREDNRRQQTVNDVVMPHILIQNPHLRPFPNLNLRSSSITISNTPPGGLSISPPFLLRLRRIFTSSGGGHGSRGSLVKCMANPRRVKMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADQYLSSLTTISDVEVSADLQVIAILDRIKRENEKKEADLKANAKNDSSEHSEDDEDWEGEDPDDEGIFYVE >itb09g17930.t1 pep chromosome:ASM357664v1:9:13322236:13327729:1 gene:itb09g17930 transcript:itb09g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQLGNIASVIKSLSTGGVDESELPSDIGIGYPKFLVPLHIPHRREDNRRQQTVNDVVMPHILIQNPHLRPFPNLNLRSSSITISNTPPGGLSISPPFLLRLRRIFTSSGGGHGSRGSLVKCMANPRRVKMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADQYLSSLTTISDVEVSADLQVVKVYVSVFGDKRGKEVAIAGLKSKAKYVRSELGKRMKLRLTPEIRFIEDLSIERGSRVIAILDRIKRENEKKEADLKANAKNDSSEHSEDDEDWEVHFSGSSIAMFRSTPDMGGPLMSTHKQGWPRALRAAQQASLGGIGLKGATAALQGMS >itb09g17930.t2 pep chromosome:ASM357664v1:9:13322236:13326927:1 gene:itb09g17930 transcript:itb09g17930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQLGNIASVIKSLSTGGVDESELPSDIGIGYPKFLVPLHIPHRREDNRRQQTVNDVVMPHILIQNPHLRPFPNLNLRSSSITISNTPPGGLSISPPFLLRLRRIFTSSGGGHGSRGSLVKCMANPRRVKMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADQYLSSLTTISDVEVSADLQVVKVYVSVFGDKRGKEVAIAGLKSKAKYVRSELGKRMKLRLTPEIRFIEDLSIERGSRVIAILDRIKRENEKKEADLKANAKNDSSEHSEDDEDWEGEDPDDEGIFYVE >itb04g25470.t1 pep chromosome:ASM357664v1:4:30068552:30071637:-1 gene:itb04g25470 transcript:itb04g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDSSLLDSSKTKKVLHSSSKPNPSPEIKSPDRDPWSSKTPEKPVNAPRRSRNRSSALSLKQVRQAALKLRKSDPLLSSAAGQIGSQLESPPPAKPKKSDGPAKLPEKYEILGEFFNSLDNSIRLLLIKGSKTTFTNISTKIECLTDRRFSHSHLAQLKFILPEAIEVTKILVHDERTSCMKPDLRITLNASAVGDNGKVKSGSGNLELRKVFRARLLDFFKSHPEGDDIPEEELPHPFNQSKPPLPFGSSLKGGEARNEAVYEIDGIVVNLSKPPASTSHLSRSFGRSFSQRSTLCRAEDTKQERTVAVNLSPERKACKSCADSGVGCSPNAAAVSAKGTPTKLASTPVKPMSSTPALRPPKRCYMTPEDNDSAKSPNKLVRRPSRGRLIFDTPVKNAGSETERLSAHDDIYDLPEDLLQSIREKERKALEEKDPAISQAKWRKQMLAGLPKLFDMIYFLFQSIRRSVITKEELMYKIISSHLGTVDTREIEEQLRLLHELAPEWIYEKPASSGDLLICVNKIPGPESIRSRLADAK >itb07g06100.t1 pep chromosome:ASM357664v1:7:4271523:4276106:1 gene:itb07g06100 transcript:itb07g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSSSSFKAASALPATLLAHLLFVAIFTMVLVWLLHFREGVALRSPNKPKIFNVHPLLMVIGLILVSGQAIMAYATVPSSTKRQKVVHMILHLIALVFGIVGVYAVFKFHHEAKIPHVYTLHSWIGISTISLFGIQWVLSFLTFMFPKARNSTRAKIAPWHALLGIIIFSMATIAALTGLTEKFIFLRLTNHSQEGLVINFTGLLILLYGISVALTALLPAA >itb08g04290.t1 pep chromosome:ASM357664v1:8:3401804:3404319:1 gene:itb08g04290 transcript:itb08g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIERRPSQIQAPTYGNLVTILSIDGGGIRGIIPAIILHNLESQLQELDGEDARLADYFDVISGTSTGGLVTAMLAAPGENGRPLYAARDIKPFYLKNGPRIFPQKRGWFCKIWQLVRSVFRPKYDGKYLKSVVKEELKDIRLKDTLTNVVIPAFDIMHLQPVIFSTYEAKRCPKMDVYLSDVCIGTSAAPTYLPAHYFKVEDPKHGTQPRCREYNLIDGGVAANNPTLVAISQVTKQIFDNNPDFFPIKPMDFRRFLVISIGTGAARNEYKYNSKKAAKWGILSWLLHKSTTPLVEVFMQSSADMVDLHNSVVFQALNSEDCYLRIQVHILFQLSSYMHI >itb15g14650.t1 pep chromosome:ASM357664v1:15:12949898:12951352:1 gene:itb15g14650 transcript:itb15g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELDISNNKFSGSVPSSLGELSNLEELYISNTSLVGFLSEFHFSKLSKLQTLHISSNLFVWNVSSNWVPPFQLSDIAMKSIKIGPHFPHWLRTQRYVERLFMSNASISSAIPDWFEKFFWNSFELDLSKNQISGELPLKPHVEGYKKMWYLSLSNNYLSGGIPKWLCSFEILMILALSTNQLYGEIPPCLGKLQSLGVLDLGNNNLSGHIPNSLGSLQWLYSMHLQNNELEGKLPGTMQNLTSLITLDLSENKLMDVIPSWIGGKLLSLKYLVLYRNKFYGDIPLQLCQLHDLQLLNLANNNISGYIPQCFGNFTAMAFDDKQSYDSYNGTYEDEIDEVIKGLTLQYTRNLRFLKSIDLSGNHIAGKIPIEIMSLHALQNLNFSRNNLSGTIPETIGNLSKIESLDLSRNEFSGPIPPSLSSLNFLSHLNLSFNHLYGRIPTGSQLQTLNDPSIYMGNEGLCGAPLPKDCPNDVPSFVNQST >itb08g14200.t1 pep chromosome:ASM357664v1:8:15933026:15941000:-1 gene:itb08g14200 transcript:itb08g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKQGKRTSSHKAQTRRRRCLREERTAASLGNPAPPLPPPSVATPTPKPAAEAFRRRFNPNQSAVLSSSSVTPGTGAYNLHQNYLNIAAFERELSLSVVDMEISSVQMLCKHLIFCAVQRCRLRDDPCRLSVKLTPSPLLSPPLVRISVSDTGIGSKLEEYQHLKYPNDLVLAGSHIESCILAIECGSLPLTTESITCLRSGLEDYVLKHGNKLNSICQSCFLTGENLKVGTGIASCLGNMQNNRLVMEAVIIISELSELATPSCFREHEMETELKALDTQIGQIDRSLTRKAVKLALDDLKEKNQGVLLSRHAQKICNYAPDLAKTIARLILSSNDLNFQGECCSLLGLQPRDMKMEAVECSIRERIVSVIGKNDQKPQRSRREAAELLFERPDLMEDEYHQELEDEVYNSFDLE >itb12g00450.t1 pep chromosome:ASM357664v1:12:377951:379367:-1 gene:itb12g00450 transcript:itb12g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MALVPRPVVCELKSQTPPLQKAEAVSVPNYNNKVRVAVEGSEYNGKIVLQPRMCTLRSFASDPVGVIKTSSVAAGDNDEVSTFFATLSDYIDSSKKSQDFEIISGRLAMIVFAATVTTEVVTGNSLFRKMELEGIAEAAGVCLGAVTCAAIFAYFSSARSRVGRIFTLGCNTLIDSLIDQIVDGLFYDTDHNDWTDEI >itb06g12920.t1 pep chromosome:ASM357664v1:6:17504285:17505046:1 gene:itb06g12920 transcript:itb06g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFDWWEAMFGEEHEGCVFHGRRLARRSLYCRRRETERDDKAEHRLLRLKVGGRRSTMDGNGFSIWLPQSSNRVTNFISGGWSLFLMNGSSVDDRWRWILDLEVGVCFSDLAMIGSDWWEAMIGEERENGEAECNADLSFFFTN >itb02g01400.t1 pep chromosome:ASM357664v1:2:767140:771132:1 gene:itb02g01400 transcript:itb02g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFPVLSLSPMVRASSVDTKQRLSYNPHRTYPKSSDALPLASPASISTANNRPEISISYLLRRDVPRPKNGKFPETYLGYETWLPNPPKVEKPRSAFNAASLAYIGDCIFELYARRHFLFPPLNIEEHNKRVMAVVRCEAQDAMLQKLINDNFLSGEERGVLRWGKNVGSGKTRTKKRAGVAVYNSASSLETLIGYLYLTNMQRLEEIMLKLGFCTGASSQHILENINGLENDVQQVA >itb02g01400.t2 pep chromosome:ASM357664v1:2:767140:771132:1 gene:itb02g01400 transcript:itb02g01400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFPVLSLSPMVRASSVDTKQRLSYNPHRTYPKSSDALPLASPASISTANNRPEISISYLLRRDVPRPKNGKFPETYLGYETWLPNPPKVEKPRSAFNAASLAYIGDCIFELYARRHFLFPPLNIEEHNKRVMAVVRCEAQDAMLQKLINDNFLSGEERGVLRWGKNVGSGKTRTKKRAGVAVYNSASSLETLIGYLYLTNMQRLEEIMLKLGFCTGASSQHILENINGDCTGLENDVQQVA >itb04g12370.t1 pep chromosome:ASM357664v1:4:12091977:12096505:-1 gene:itb04g12370 transcript:itb04g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLLGSKNNSSDEDDLALQLYQSLKGQGYFVVMDDVWSRDSWNDVNSCFPDDINGSRVLLTTPVAEVATCIGSNNHFSHQMQFLDQSESWELFHKKACKSHGVEFETIGRPIVEKCKGLPLAIVVIAGLFSKLNIVDEWKKTANALISSSTSTLDDEECRRILSLSYNHLPYNLKACFLYLGVFLEDYKINANKLARLWAAEGIVKAFKNESFEAVAKRKLRFDFMKSEWKAINVLSKLPRLEVLKLVNADLGKKWELPVNVKFCHLICLKIWSPNLKHWEVGADNFPKLERLFLNTCFELREIPNSFAEIPTLNLIHLERCHPSAVMSAKQIQAEQHDYGNENMVVIEINTTQNSIDLGIMKRTFPWSKGDDDSSSDGDGDGDGDSSFVDSDAEENAAEKSS >itb06g00480.t1 pep chromosome:ASM357664v1:6:1171158:1171713:-1 gene:itb06g00480 transcript:itb06g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLGASSNCSGSSTVGRKCYLYGECISVEVCGCGQEMVLRTSWTNENPGRRYWECSRHKDEFMRWYDPPMCPRSKRIIPGLLRRINKIEEENVKLKSKLRSLGEDTEIKYIRRSGKCTSMRILVVVGMILVIISYFISTVGRNGSKNVYMLP >itb06g20900.t1 pep chromosome:ASM357664v1:6:23685658:23687416:-1 gene:itb06g20900 transcript:itb06g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEAQIITSAGKKEQSSDGGHGFFGRGKYKLWVIVAILLLAFWSMFTGSLTLSLNRVSDSSGFPVHDDLDVLEVEEREKVVRQMWDVYTQSRSSRLPKFWQEAFEAAYEDMTSDSAAVRDAAVSEIAKMSLLSTATSESMSNQRETEAETERGHAINLGKKQ >itb12g27280.t1 pep chromosome:ASM357664v1:12:27760989:27762816:1 gene:itb12g27280 transcript:itb12g27280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNVLLICSVVGFLGILSAVTGFAAEATRIKGSQVEFTSPSECVYPRSPALGLGLTAAVALMVAQIVVNVATGCICCRKGPHQSNSNWTLALVCFVVSWFTFVIAFLLLLTGAALNEQHGEENMYFGNYYCYVVKPGVFAGAAVLSLASVSLGMVYYLTLISAKNTNDPWCPPAPSSQGGIAMGQPQFPPQTTQDPVFVHEDTYMRRQLA >itb09g12680.t2 pep chromosome:ASM357664v1:9:8014612:8017164:1 gene:itb09g12680 transcript:itb09g12680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPNAPLFIPASVRALEDFSPEWWNLMNSATWYHDYWLSQQQGTEYDNNDVADLLPDTIDLDVDEDILNMEAQYEEFLQSTASEQTYQPSLAGVKGFPESGFDKHSDSMLRSLSFPKERGPKSPIEPPKYYEKPSKTVSPKWNTRIIQQPR >itb09g12680.t1 pep chromosome:ASM357664v1:9:8014612:8017164:1 gene:itb09g12680 transcript:itb09g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPNAPLFIPASVRALEDFSPEWWNLMNSATWYHDYWLSQQQGTEYDNNDVADLLPDTIDLDVDEDILNMEAQYEEFLQSTASEQTYQPSLAGVKGFPESGFDKHSDSMLRSLSFPKERGPKSPIEPPKYYEKPSKTVSPKWNTRIIQQPR >itb04g20210.t1 pep chromosome:ASM357664v1:4:24834621:24838549:-1 gene:itb04g20210 transcript:itb04g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKRGAQKKAAAAAKRGGKAAAAATSSKAAAATAAENSSVDNLANGVGELQISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTSIGCRELPIPEHMDIYHLSREIEASDMSSLQAVISCDEERLRLEKEVEALAGQEDGGGEQLDRIYERLEAMDASTAEKRAAEILYGLGFNKKMQEKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQSKKLKMYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKVLVFRFPDVGKLPPPVLQFVEVAFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMIGELVPSDGMVRRHNHLKIAQYHQHLAEKLDMEMSALQYMIKEYPGNEEEKMRASIGRFGLTGKAQIMPMKNLSDGQRSRVIFAWLAFRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMGFKEHLKHKAGLGE >itb04g20210.t2 pep chromosome:ASM357664v1:4:24834643:24838407:-1 gene:itb04g20210 transcript:itb04g20210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKRGAQKKAAAAAKRGGKAAAAATSSKAAAATAAENSSVDNLANGVGELQISDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTSIGCRELPIPEHMDIYHLSREIEASDMSSLQAVISCDEERLRLEKEVEALAGQEDGGGEQLDRIYERLEAMDASTAEKRAAEILYGLGFNKKMQEKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQSKKLKMYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKVLVFRFPDVGKLPPPVLQFVEVAFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMIGELVPSDGMVRRHNHLKIAQYHQHLAEKLDMEMSALQYMIKEYPGNEEEKMRASIGRFGLTGKAQIMPMKNLSDGQRSRVIFAWLAFRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCENQAVTRWEGDIMGFKEHLKHKAGLGE >itb04g28550.t1 pep chromosome:ASM357664v1:4:32257514:32262757:-1 gene:itb04g28550 transcript:itb04g28550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLGFCFWSSNIDSNIPRSSDLDKGGETEQGKWPAFREYGLDELKIATSGFSVDNIVSEHGEKAPNVVYKGQLENGSHWIAVKRFHKSAWPDARQFLDEARMVGQLRSDRLANLLGCCYEGDDRLLVAEFMPNETLSKHLFHWDTQPLKWAMRLRVALYLAQALDYCTSKGRALYHDLNAYRVLFDQEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVVFSFGTLLLDLLSGKHIPPTHALDLIRGKNYLVLMDSCLEGHFSNDEGTQVVRLATRCLQYEARERPNVKSLVTNLTSLQKEADVASHVLMGMSQETSAQEQTPRLSPLGEACSRVDLTAIHEILETTGYKDDEGVANELSFQMWTGQIQDTLNSRKRGDTAFRAKDLTTAIDCYTEFIEGGTVVSPSVLARRSLCYLMSNKPQEALADAMQAQAVYSEWPTAFYLQAAALFSLGMDSDARETLGDGAALEARRKRH >itb15g00730.t1 pep chromosome:ASM357664v1:15:426074:427006:-1 gene:itb15g00730 transcript:itb15g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSETLGSSGTGATADHAGDFSIDPIFHLLRILPYSFLRPPRLRLKLPSLTLPSAMTVYSLILLTYFMVVSGIVYDVIVEPPGIGSTQDRFTGAVRPVVFMPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLALDRNRAKSVRISFASAGVASVVIAYVMSMLFVRIKIPAYLR >itb13g03150.t1 pep chromosome:ASM357664v1:13:3101649:3104866:1 gene:itb13g03150 transcript:itb13g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMQKCNTDCVYFLASPLTCKKGIECEYRHSDIARLNPRDCWYWLSGSCLNPTCGFRHPPLESRNVESAPTCHQSVLPVNKTRVPCFFYYNGFCNRAEKCVFLHGPDDGAPTRKPSKTLSAVNDGPYLEKKTSVGSETGSAPVETHPNSFKSNPNRAADENTRREVNLHSAKDNLTIGNTSRDISGSPSEEADAMNLNSSPPVEGFNQGGFRSSFIHHSDDEVDDDVEREELLESSPGFDVLVGGRSDLGYENHDYLMQHDVDGGELNGQYLGYDFEENIEYDPEYPDMTTLFEEDLCDSVENFDNEYNSESLRIIHSHVREREEMNGTLPRKRKLWHTDLGFHERGNVELRNYLKKHQIVDASTISYYPKRHDSSHLSTQSRGRHFLQGSRWMHRRVASKVESNGIRSRLDKQNLLNCTNQQNALRGYRMNGYRQLFKGSRQGKRQHFPSKFSRRRVSRKRESTEDSTMFNGPKTLSQIKEEKTKGRGVGDFPQENRAF >itb13g03150.t2 pep chromosome:ASM357664v1:13:3102548:3104689:1 gene:itb13g03150 transcript:itb13g03150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFQGIECEYRHSDIARLNPRDCWYWLSGSCLNPTCGFRHPPLESRNVESAPTCHQSVLPVNKTRVPCFFYYNGFCNRAEKCVFLHGPDDGAPTRKPSKTLSAVNDGPYLEKKTSVGSETGSAPVETHPNSFKSNPNRAADENTRREVNLHSAKDNLTIGNTSRDISGSPSEEADAMNLNSSPPVEGFNQGGFRSSFIHHSDDEVDDDVEREELLESSPGFDVLVGGRSDLGYENHDYLMQHDVDGGELNGQYLGYDFEENIEYDPEYPDMTTLFEEDLCDSVENFDNEYNSESLRIIHSHVREREEMNGTLPRKRKLWHTDLGFHERGNVELRNYLKKHQIVDASTISYYPKRHDSSHLSTQSRGRHFLQGSRWMHRRVASKVESNGIRSRLDKQNLLNCTNQQNALRGYRMNGYRQLFKGSRQGKRQHFPSKFSRRRVSRKRESTEDSTMFNGPKTLSQIKEEKTKGRGVGDFPQENRAF >itb03g14770.t2 pep chromosome:ASM357664v1:3:14345251:14347414:-1 gene:itb03g14770 transcript:itb03g14770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCEDLCNIGGDGDGDGRANYGSACSLSSTSSALIVRQSNLQGLGRGDNAAEVILWRQRCALFGLVVVATAAWMLFERSGLPFISACCDVLLILVVMLFLRANYAAYRKKRLPTLPELVLTEEMVNNAAASFRAKINYVLLMAHDITLGRDFKLFFKAVIFLWILSVIGSVVSFFTIAYVGMY >itb03g14770.t1 pep chromosome:ASM357664v1:3:14344847:14347445:-1 gene:itb03g14770 transcript:itb03g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCEDLCNIGGDGDGDGRANYGSACSLSSTSSALIVRQSNLQGLGRGDNAAEVILWRQRCALFGLVVVATAAWMLFERSGLPFISACCDVLLILVVMLFLRANYAAYRKKRLPTLPELVLTEEMVNNAAASFRAKINYVLLMAHDITLGRDFKLFFKAVIFLWILSVIGSVVSFFTIAYVGTIIFIIVPALYNRFEGHLDGHFSRQYKVVDESIRRLPRNLTKDKDL >itb03g14770.t4 pep chromosome:ASM357664v1:3:14345251:14347257:-1 gene:itb03g14770 transcript:itb03g14770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENCEDLCNIGGDGDGDGRANYGSACSLSSTSSALIVRQSNLQGLGRGDNAAEVILWRQRCALFGLVVVATAAWMLFERSGLPFISACCDVLLILVVMLFLRANYAAYRKKRLPTLPELVLTEEMVNNAAASFRAKINYVLLMAHDITLGRDFKLFFKAVIFLWILSVIGSVVSFFTIAYVGMY >itb03g14770.t3 pep chromosome:ASM357664v1:3:14344847:14347280:-1 gene:itb03g14770 transcript:itb03g14770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENCEDLCNIGGDGDGDGRANYGSACSLSSTSSALIVRQSNLQGLGRGDNAAEVILWRQRCALFGLVVVATAAWMLFERSGLPFISACCDVLLILVVMLFLRANYAAYRKKRLPTLPELVLTEEMVNNAAASFRAKINYVLLMAHDITLGRDFKLFFKAVIFLWILSVIGSVVSFFTIAYVGTIIFIIVPALYNRFEGHLDGHFSRQYKVVDESIRRLPRNLTKDKDL >itb11g21580.t1 pep chromosome:ASM357664v1:11:23273488:23276207:1 gene:itb11g21580 transcript:itb11g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSILPLSVLTLDQTQLHDVSRRSADFHPSIWGDYFLSYSSQAKLEVDAPEWQEHQHLREKVKNMIMEAQHTTSQKLDLINKIQHLGVCYQFQKEIEATLQQIFEAYNEFNVKEDENDLYIVSLKFRLLRQGGYPISSNVFEKFTNSEGKFSESLINNVPAMLSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLTSLLRTEVSEALKQPFYKRIRRLEAKRYISIYEVDETHDPMLLKLAKLDFNMLQKEHKRELGIFTRWWKDLDVTNNFPFARDRLVEGYFWTLGIYFEPQYSLARKFFFKVISMSSIIDDIHDVYGTPNELQLFSDAIERWDATAVNELPEYMKICYMALLDVYVEMEKELAETGELYRIDYAKTEMKIFVRTQLKENKWLRDRCTPKFEEYMKLATETCGLRLLLTTSLVGMQEDFVTKEAFDWVTKGALIVEAASVICRLMDDIAGHEFEQQRGHVASSVECYMNEYKKSKEDTYKELQERVINAWKDINQECFKPLFPMPILTRALNFSRIMDTLYDDGDSYTHSKTKMKDYITSLFVDPVP >itb09g07580.t1 pep chromosome:ASM357664v1:9:4458924:4464880:-1 gene:itb09g07580 transcript:itb09g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLIDLNTVSNGDAGDETTTTSSSSANSELTASSGTAVASVCMELWHACAGPLISLPKKGSAVVYLPQGHLEHLCDYTAVAYDLPPHVFCRVVDVKLQAELATDEVYAHVSLVPDNQIEQKWSNGETEVDNGEDDNEGIGKSTAPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVARDLHGIEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRSGDGELRLGVRRTDQAKSGTPYLAPFSQHMNISGIADVVNAISTKGVFHICYNPRHSSSEFIVPYHKFSRSLVHSFSAGMTFKMRHETEDTAERYTGLILGVSDIDPVRWPGSKWRSLLVRWDDLDSTRHNRVSPWEIEPSGALPGPSSMVVPAAKRTRVGLPNVKADFSIPGDRMAVSENGDPSRFQVLQGQEVMGLNTTCDGIDRFPPRIRYFPDSDDFRISGMSRGIIPQFADPFESHERTGFVESQRFNKVLQGQETFPNTLYGKSVTGPVQPTHGSGIVGGIHVRSHLSTRSTPPIQDCIAQRNPSTPIVQVSSPPSVLMFQQANSPAKFQPLYNHNLGKLDNGNPAAKYERQLPSCPPFEDGPRVEDLQRISSFSSHKEHKLLGFSHLLGSQTSVKGNQNLVSSMCNSNCRIFGFPLNEGRSAITKDNNPIQATTSHGHEITPFPCSEGQLHLRPPLITSVAGSNYTNVNDLYPARDALLDIAL >itb09g07580.t2 pep chromosome:ASM357664v1:9:4458924:4464880:-1 gene:itb09g07580 transcript:itb09g07580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLIDLNTVSNGDAGDETTTTSSSSANSELTASSGTAVASVCMELWHACAGPLISLPKKGSAVVYLPQGHLEHLCDYTAVAYDLPPHVFCRVVDVKLQAELATDEVYAHVSLVPDNQIEQKWSNGETEVDNGEDDNEGIGKSTAPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVARDLHGIEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRSGDGELRLGVRRTDQAKSGTPYLAPFSQHMNISGIADVVNAISTKGVFHICYNPRHSSSEFIVPYHKFSRSLVHSFSAGMTFKMRHETEDTAERYTGLILGVSDIDPVRWPGSKWRSLLVRWDDLDSTRHNRVSPWEIEPSGALPGPSSMVVPAAKRTRVGLPNVKADFSIPGDRMAVSENGDPSRFQVLQGQEVMGLNTTCDGIDRFPPRIRYFPDSDDFRISGMSRGIIPQFADPFESHERTGFVESQRFNKVLQGQETFPNTLYGKSVTGPVQPTHGSGIVGGIHVRSHLSTRSTPPIQDCIAQRNPSTPIVQVSSPPSVLMFQQANSPAKFQPLYNHNLGKLDNGNPAAKYERQLPSCPPFEDGPRVEDLQRISSFSSHKEHKLLGFSHLLGSQTSVKGNQNLVSSMCNSNCRIFGFPLNEGRSAITKDNNPIQATTSHGHEITPFPCSEGQLHLRPPLITSVAGSNYTNVNDLYPARDALLDIAL >itb01g25770.t1 pep chromosome:ASM357664v1:1:31101659:31106412:-1 gene:itb01g25770 transcript:itb01g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCSTLLFFFFLPSLLSLGVHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDNDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPNAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNIRWNKLQDVIPPEIGELKQLTHLYLSFNNFKGEIPKELAYLPELRYLHLHENHFVGRIPPELGTLPNLRHLDVGNNRLVGTIRELIRIEGCFPALRNLYLNNNYLTGGVPSQLANLTNLEILHLSYNRMTGAIPASLGHVPKLTYFYLDHNQFSGRIPDAFYKHPFLKEMYIEGNSFRHGANPIGVHKVLELSDSDFLV >itb14g03150.t1 pep chromosome:ASM357664v1:14:2802496:2814637:1 gene:itb14g03150 transcript:itb14g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease Dicer homolog 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) UniProtKB/Swiss-Prot;Acc:Q9LXW7] MEHPEKKLNPLKRSFQAMNGGVDTDPTNVTRMRFPPRDEMKVVKVALMRNTIAVLDSGIDRTMLSLLMVQEFVKSFMGINVGKKIVILATTVNFVHQQYEAIKCHTKLQVQEFYGAKGIDDWDGKTWEKEVNDHDVLVMTPQILLNGLEKEFLNIGRISLMVLDECYRAFGSHPYAKIMKEFYHQSSKKPKIFGMAACAFSRNGSSSTEELESLLDSQIFTIESWGESIDSVCSANECCIFYDPPVTSSSEMKMKLDSSWSKFDAILLKLQGSLPSQSTDTDAKYEMLRKKLSEDHASIICCLNNLGAIPAFEAAKVCLENILNDREECEYTECSSQRRYFLEEVILIFDEAFPPDCEELPAFGYDPSVTVTMDHISPKLNLLFETIKSFGKNQVPCLVFVENTLTAKVIERLVMTITCLHHFNVSYLTRTELQGETLESFCSRKVDVLFTTDADVEGINLPDCSSVIRFDLPRTASSYVQSQKQASQKDLKYIIMLERGNVEQRDQMFNLICSECPVTDVVTDVAISKETNASVLKPCEMKETNAYCIEATGASVNMDSSIALINRYCQKLGDKPNFETMLLGQLHRCKLTLPQKAAFQTIIGPDSSNARLAKKLVCLEACKKLHELGALNDHLLPTGSKPPKKDPNLNGQSTQISTSGAGTTKRKELHGTTCINALAGMWGEEEAEVNFQAYKMDFCSSDPEVQYSKYVLLLEQKLDDDVGNIEVELFLLKRNVKASVSSLGELCLDSDQVAKAKRFQEFFFNGLFGKLFTKVSGERTLIFNKEESSWEKSYMYLLLPLDTTDPSPEPSCIDWNGIQSSFSTVEYLKKNAWLNVEMSEANRRNSLLNRNDSDAMDIDCTELIHLANKSVHGNSVRNMVVVAIHTGRIYSILEVVTNSSAESPFEGGSDEIPSTYSSYADYFLKRYGIILVHPEQPLFLLKQSHNAHNLLEDFRNTGLSSERKSENGSKMAYIKPQQHVHIPPELLISIDVRIDVLRSLYLLPSLMHRLQCLMLASQLRREISCHYGNSPVPSSLVLAALTTQRCNESISMERLELLGDSVLKYAMSCHLFLKYPDKNEGGLSDKRRWAVCNSTLHKLGISRDIQGYILDRPFIPRMWTAPGQLSIWPSPCNHGVETLQVPVDGKFFRDDEKVELGQCCDRGHRWMVSKTISDCVEALIGAYYVGGGLDAALKAMKWIGVDVDLDLSLVNDTIKAASLHSYTPSSKDIEILESKIGYVFSVKGLLLEAITHKTKQDLGVSYSYERLEFLGDAVLDILITLYLYQNHTDVDPGELTDLRSASVNNQNFALAAVRANLHPHLEHCSGLLESQVLAFEKSVSNSSCNADLLMGGKAPKELGDLVESIVGAILIDTKLDLGKVWEIVEPLLSPIVTPENLELPPYRELIELCSSLGYFLKQDWKRKGDVVHAELSLQLEDDLLVKEGLGQCRKDAKGQAALLLLKDLKSREISSKRNRPEIDLVAVPLAGVPLLTADSSSSPCNNSNNNIPEIPPINMKKGGPRTSLFNLCKTLQWPMPTIDPLETKSKSLIEFGESSERRTGFISFESRITLTIPNVGAIELLGEKQPDKKSSSDSAALAMLRELERQGKVIVRKE >itb04g27050.t1 pep chromosome:ASM357664v1:4:31188356:31189323:-1 gene:itb04g27050 transcript:itb04g27050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPHSSSATKTKGHYHTKKNVHVTALDSVVDVNSLFTIAMFLGLDNELVSPGELQSLNVREECQPGANTAKMLIVFEIISFSFYLFSSLVAQSIKLSLDLQPPDQVAGKDGSEESLWLTTGVLFSAMSSMLGCLFMLVSVVYVVELRLGVLSCMAASTLVSVAFLIPLSLTGIIIYVFVAISVYVSRASGPDAGGGQQEA >itb15g23360.t1 pep chromosome:ASM357664v1:15:26175041:26179997:1 gene:itb15g23360 transcript:itb15g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSEAYKEHPLSLEHIIPLDFQSLHKVPDSHLWPKITDPNHHKQYASIPTVDLLAPNVVELIGHACETWGMFHVVNHGVSPSLVDEVESQAYRLFSLPAHRKMKALRSPGGATGYGAARISPFFPKLMWHEGFTIMGSPAHHARQLWLHDHQHFCDTMENYEKKMKALAFKLFLLTLNSIGASEQHLKWLNPSPAATQSEGALQLNSYPRCPNPTHAIGLAPHTDSLFLTILHQSTATGLQVFSQGLGWAPVVPVAGALLVNVGDLLHVLSNGRFPTVYHRAVVNESVHRVSVAYFYGPPVDSVVAPVVLRDGDGAPAYRTVRVKDYLSLKAMHLDKALSFIRL >itb12g09920.t1 pep chromosome:ASM357664v1:12:7911123:7912405:1 gene:itb12g09920 transcript:itb12g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSACKCSSDCSGCKMYPDMVETAKTTAQTLVQGVAPQKKENGGAKEGCHCGPNCQCDPCTCN >itb01g09300.t1 pep chromosome:ASM357664v1:1:7508220:7511168:-1 gene:itb01g09300 transcript:itb01g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHSKSCGNSPMASSNSPGSASHRNGSLSFPFPFFSVEGFSYGKIPDQPLKFTVLKLDGTSFDIEVAGNRTVVDLKNAVEAAFSHLEEEDGAQEVSWSHVWGHFCLSYNGKQLLTDSDYIESHGIKDGDKLAFTRQETISQPVDNQSEGEDSDVSDDTGDRQAACKEGEDYKCDEDDRGERDENEEESEGRSRLVYFLRKWFSYSRFRAKEQGWNEMQQQDYALVSQEIL >itb09g00360.t1 pep chromosome:ASM357664v1:9:320041:322984:-1 gene:itb09g00360 transcript:itb09g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVSICRDRKNQLRLTVDRRYTLADAHHRYFQALNGVSAALKLFVARHSLPSSPYLITLPPPSPSSPPNKQNVVSTSLFLQQKPSHPTQEAIGCVESSKCATQSEDSPEEQIREEKFEKKEEKLQQAPVCGYFYMEMPQEILHSTPTDFGWDFFNPFNGVRPEVVNGYNQISEEDLKAVREREGIPDLEKEEEEEEEEEEDSSNVGKKEECQVVMAAVEDNENVEHKENGFEDVKAVERRDVLSPEEHKGGMGVMDTPLEGRELLEALKDIEDHFTRAYDSGKEVSRMLEANWVHSQPNLEDLKEHSTKLALTWLPTPAHSLSSKSLVASSSKSSSTWTEFTNDLFGDYGGMGSGSHSLTLGRLYAWEKKLYEEVKNGDSTWKLYEKKCNKLRNQDARRDGGKKPDKTRAAVKELYSRILVTIRSAETISKRIEKLRDEELQPQIIELLQGMMRTWQIMLESHEIQKKIMFEVTSFNCPTYGKFCNDSHRLATLQFEVELQNWRSCFTEYIAAQKAYVESLHGWLSKFVVSEVEFYSRGRSATPPCRANGPPLLTICHDWLSAMNKLPDKSVSCALKSCVKDARALWVQQGEEQQQKRKVDSLSKELERKTLAFQKAENRICEFKLTDRNSELEIEHRAEYIKERKDLLDNLRKRVDSEREEHKKCMRETERITLRGFQCGFGRVFESVTEFSRVASKMYNEVLSTWDNSQKAGNPTYIEGSQAEDVKR >itb05g18660.t3 pep chromosome:ASM357664v1:5:25378946:25381903:-1 gene:itb05g18660 transcript:itb05g18660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGVPASPQINFDEDDERMAGFEFLVRVFVYISVLVIFVMVLALIVKLIACFDDDAAVMPAGDGENSTETSLLLPKEAAPAVIYGACEEEEEDEESGNCSRSSSSEDFYDGKICVICYDRKRCCFFVPCGHCATCYQCAKRISEGEAKICPFCRTSIQRIRRLFTP >itb05g18660.t2 pep chromosome:ASM357664v1:5:25378946:25381903:-1 gene:itb05g18660 transcript:itb05g18660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGVPASPQINFDEDDERMAGFEFLVRVFVYISVLVIFVMVLALIVKLIACFDDDAAVMPAGDGENSTETSLLLPKEAAPAVIYGACEEEEEDEESGNCSRSSSSEDFYDGKICVICYDRKRCCFFVPCGHCATCYQCAKRISEGEAKICPFCRTSIQRIRRLFTP >itb05g18660.t1 pep chromosome:ASM357664v1:5:25378946:25381903:-1 gene:itb05g18660 transcript:itb05g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGWLDLNFWFACSFTSLFLVVIFVMVLALIVKLIACFDDDAAVMPAGDGENSTETSLLLPKEAAPAVIYGACEEEEEDEESGNCSRSSSSEDFYDGKICVICYDRKRCCFFVPCGHCATCYQCAKRISEGEAKICPFCRTSIQRIRRLFTP >itb13g17310.t1 pep chromosome:ASM357664v1:13:24320950:24321258:1 gene:itb13g17310 transcript:itb13g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKAASQNKVVIFTKSSCCMSHSIKGLFYSQGISPVIYELDEDRCNGREMERALRRLGCNPVVPAVFIGGRFLGSVQTVLTLQVNGSLKNMLMDARCRL >itb02g07960.t1 pep chromosome:ASM357664v1:2:4984866:4988685:1 gene:itb02g07960 transcript:itb02g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGREILNKMKEKACFYTSMPASPDPVKGKSTSKRITQGFHLLKGKSNHAMEDYVVSKFKKVNEHDLGLFAIFDGHMGHDVAQYLQSHLFDNILKEHDFWTDTENAIKRAYHTTDNDILEKSMHLGKGGSTAVTAILINGERLVVANVGDSRAVISSKSSAKQLSVDHEPNKEKPIIESKGGFVSNIPGDVPRVDGQLAVARAFGDKSLKRHLSSEPDVKTVVIDDDVDFIILASDGLWKVMTNQEAVDAIKNIKDAESAAKHLAEAAVARKSKDDISCIVVKFH >itb04g12560.t1 pep chromosome:ASM357664v1:4:12302293:12304711:-1 gene:itb04g12560 transcript:itb04g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHPLPCKSSMAALILLLVGLLHITGVESIGVSYGKNGNNLPSETDTINLMKSNGIQGLRVYAADTTVFNALKGSNIAVIVDVSNPRLQELTDPGRAIAWVQNNIVRYYPDVNFKYIAVGNEVYAGNPDTARFVNFVMPALRNVHNALAAVGLQGKIKATTSTYSAVLTNTYPPNQGVFQDNAKGLMIPLVQFLAQTGNPLLASIYPYFSYIGNPSQIPLSYALFTDPNPNSVGYSNLFDALIDSMYAALHKAGGRNVPIVVSETGWPSAGHYAATMENAATYYRNLIGHVNGNAGTPLKPGRSIETYLFALYDENLKGGDPVENNFGVFRPDKSSKYQLNFN >itb09g17150.t1 pep chromosome:ASM357664v1:9:12385522:12387916:1 gene:itb09g17150 transcript:itb09g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYHLKEEARMSGEGKVVCVTGASGYIASWLVKLLLHRGYTVHATVRNLKDPSKVSHLLELDGAKERLHLFEADLVEENSFDHAINGCEGVFHTASPVDLSPSATKVGVVDTAVKGTLNVLGSCVRTPSLKRVVVTSSTASILFKRDPITPMTVIDETWYTDKEFALEAKQWYVLSKVLAEEAGWKYAEENGIDLVSLHPALVIGPLLQPTLNATSKVIIDLVKEGKDGLPNGIYPVVDVRDVANAHIQAFELPSARGRYCLVAITMHSSQVLKIASRLFPSLPISDKYKDDLPAVATYQVSQEKAKSLGINYTSFDVSLKDTIESLKEKNFLIF >itb09g17150.t2 pep chromosome:ASM357664v1:9:12385522:12387916:1 gene:itb09g17150 transcript:itb09g17150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYHLKEEARMSGEGKVVCVTGASGYIASWLVKLLLHRGYTVHATVRNLKDPSKVSHLLELDGAKERLHLFEADLVEENSFDHAINGCEGVFHTASPVDLSPSATKVGVVDTAVKGTLNVLGSCVRTPSLKRVVVTSSTASILFKRDPITPMTVIDETWYTDKEFALEAKQWYVLSKVLAEEAGWKYAEENGIDLVSLHPALVIGPLLQPTLNATSKVIIDLVKEEMSQMHISKHLSYLLPVEDTVWLR >itb08g02770.t1 pep chromosome:ASM357664v1:8:2364253:2367765:1 gene:itb08g02770 transcript:itb08g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSIIFYLLASIIIFTFLLLLRSVFKLFSSPSAMKLPLPPGTLGWPFIGETFHLYSQDPNLFFSAKIKKYGSIFKTHILGCRCVMISSPAAAKMVLVTKAHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPESIKHFVPAVESIATNTLHSLQGRLINTYQEMKTYTFNVALLSILGKDEVVYREDLKRCYYILEKGYNSMPVNLPGTLFHKSMKARAELAKILAKILCIRRQSSETHNDLLGSLMADNEGLTDDQIADNIIGVIFAARDTTASVLTWILKFLAENPTVLEAVTVEQEAIMRSKGEKVLSWEDTKKMPITTRVIQETLRVASILSFTFREAVEDVEFEGYLIPKGWKVLPLFRNIHHSPDHFPDPETFDPSRFEVAPKPNTFMPFGNGAHACPGNEFSKLEILVLVHHLTTKYRWSMVGPQNGIQYGPFALPQNGLPINLYLKDSLNSSH >itb10g16060.t2 pep chromosome:ASM357664v1:10:22319391:22321522:-1 gene:itb10g16060 transcript:itb10g16060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGRPDKGYLYWRWSPRDCELRQFNPKKFLELMRDKNWALIGDSISRNHVQSILCMLSRVEEAIEVYHDGDYKSRRWFFPSYNFSISVFWSPFLAEAAIFEDINGVSSSEIELHLDRLDKNWTRYFDGLDYVVFSSGEWFVKTAVYFKNDTIIGCHLCPKRNLTELGFDFAYREVLRSFFSYIISSKHKGMIFFRTTTPEHFEHGEWFSGGTCNRTEPAREGDFKLSEIHRILREVELQEFEKVSANATEAGVKLKLFDITPLSLLRPDGHPGPYRFYQPFADGKNGTGINDCLHWCLPGPIDSWNDLLMEMLRCG >itb10g16060.t1 pep chromosome:ASM357664v1:10:22319357:22322632:-1 gene:itb10g16060 transcript:itb10g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDWKSSWWRSLSKQNHLIVKLAISVLLAGLAFRLLFSRSIDIPDGAETEIIFLEDGVALAPSLQLSVAPSTVVDQVAPTDEQNVEQCDLFVGDWVPNPRGPAYTNDSCKFIENHQNCMLNGRPDKGYLYWRWSPRDCELRQFNPKKFLELMRDKNWALIGDSISRNHVQSILCMLSRVEEAIEVYHDGDYKSRRWFFPSYNFSISVFWSPFLAEAAIFEDINGVSSSEIELHLDRLDKNWTRYFDGLDYVVFSSGEWFVKTAVYFKNDTIIGCHLCPKRNLTELGFDFAYREVLRSFFSYIISSKHKGMIFFRTTTPEHFEHGEWFSGGTCNRTEPAREGDFKLSEIHRILREVELQEFEKVSANATEAGVKLKLFDITPLSLLRPDGHPGPYRFYQPFADGKNGTGINDCLHWCLPGPIDSWNDLLMEMLRCG >itb12g19280.t1 pep chromosome:ASM357664v1:12:21654606:21654965:1 gene:itb12g19280 transcript:itb12g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPARLWFAVEKLKSIYKPKGKWVGTMTPRRQVNDDAREGQIEKENHDPVVAFSRPPPLPPFIGPLVALSLLQSWSKRDGNDD >itb02g12990.t1 pep chromosome:ASM357664v1:2:8977467:8979527:1 gene:itb02g12990 transcript:itb02g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSRTRALLFPKPSNFSSSTSIPAIPILDNANSNFHPTVKSLSQLLIQRPPISQLKQIHAQILTLSLSSHPSLTNSLIHCYHFAHDLSSAKLLFNKYPLPTPPILIWNLMIQAYSKLQNSPEPINIFRKMLALDHPFRVIPDKYTFTFLITSCAHQMSLVHGVIAHGLVMKIGYATNLYVANSLVNMYGIFKRTDDACMVFDEMPERDVFSWTSLVCGYAKNGEMCQALEAFGKMPVRNDVSWAVMVSGFVGSGRYMEALRCFREMLSGSENKVKPNEAVLVCALSACAHLGALEQGNWIHTYIDKSGIPYTSNVFTALVDMYAKCGRIDCAELVFDKITRPDVHNFTSMISGLSIHGLGKDAFAVFNRMLDEKISPNEVTILGVLNGCSHSGLVEEGSSVFYNMERSWGIEPKIEHYGCYVDLLGRAGYLEKAFEVVQSMPLEPDIILWRALLSACRIHRNADLGESIINYIKGLGSCGSSGGEVLLSNLYASLGRWEKVIELRKAMGERKTQPDIGCSWIEVNGVVHEFRVAEKLHPQILQIQEKLKEVLNKAREAGYVANTMHVSFDLIEEEKEHAVAWHSEKLAVAYGLLSTMSGTTIRIVKNLRTCEDCHSALKAISKVYKREIIVRDRSRFHTFRGGNCLCNDYW >itb05g18810.t2 pep chromosome:ASM357664v1:5:25480776:25482837:-1 gene:itb05g18810 transcript:itb05g18810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARRCPRINTGELKLQIVRKIGKQKAGMYFSLLSRFIRLKVNKLEFDKLCVSMLGRENICLHNALIRALIKNASFADTPPTKDNKMLASLNVKVPDGCQGSSIQSLCRDVFPLSPRKGRTHTFRDRKFLDRPSPLGPHVKTHTAICEDSAPKVQEQQSATELLSLGSRHPVEVTSVEDGEEVEQAGSPGIYSKSPVTAPFGVSMIARATKKVLLHGSASFPNRETCHSTGELPDTSSLKKRLEQKLEAEGLKISTDCVNLLNNGLDGYMKRLIKPCMALAASKSRHKVVQHQAVPILNGITNVRYIQKMKESPSISMEDFRVAMELNPRILGEDWPIQLEKVRLHTS >itb05g18810.t3 pep chromosome:ASM357664v1:5:25480634:25482480:-1 gene:itb05g18810 transcript:itb05g18810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARRCPRINTGELKLQIVRKIGKQKAGMYFSLLSRFIRLKVNKLEFDKLCVSMLGRENICLHNALIRALIKNASFADTPPTKDNKMLASLNVKVPDGCQGSSIQSLCRDVFPLSPRKGRTHTFRDRKFLDRPSPLGPHVKTHTAICEDSAPKVQEQQSATELLSLGSRHPVEVTSVEDGEEVEQAGSPGIYSKSPVTAPFGVSMIARATKKVLLHGSASFPNRETCHSTGELPDTSSLKKRLEQKLEAEGLKISTDCVNLLNNGLDGYMKRLIKPCMALAASKSRHKVVQHQAVPILNGITNVRYIQKMKESPSISMEDFRVAMELNPRILGEDWPIQLEKVRLHTS >itb05g18810.t1 pep chromosome:ASM357664v1:5:25480634:25482913:-1 gene:itb05g18810 transcript:itb05g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARRCPRINTGELKLQIVRKIGKQKAGMYFSLLSRFIRLKVNKLEFDKLCVSMLGRENICLHNALIRALIKNASFADTPPTKDNKMLASLNVKVPDGCQGSSIQSLCRDVFPLSPRKGRTHTFRDRKFLDRPSPLGPHVKTHTAICEDSAPKVQEQQSATELLSLGSRHPVEVTSVEDGEEVEQAGSPGIYSKSPVTAPFGVSMIARATKKVLLHGSASFPNRETCHSTGELPDTSSLKKRLEQKLEAEGLKISTDCVNLLNNGLDGYMKRLIKPCMALAASKSRHKVVQHQAVPILNGITNVRYIQKMKESPSISMEDFRVAMELNPRILGEDWPIQLEKVRLHTS >itb02g11640.t1 pep chromosome:ASM357664v1:2:7763538:7769474:-1 gene:itb02g11640 transcript:itb02g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDLGDSGDSFYEVRPQCTDVPKTKFRVKAGKTLSSRRWEAAFTPEGYLNIGKILRRIYRGGIHPSIRGEVWEFLLGCYDPKSTFGERDQIRQRRREQYAVLKEECRQIFSMIGSGRFITAPVITEDGYPTQDPLVLQEANGAQRPTENGSLNDPDLVKETDQRIVQWKLTLHQIGLDVFRTDRTLIFYEKQENLAKLWDILAVYAWFDKDIGYCQGMSDLCSPMIILLEDEGDAFWCFQHLMRRLRGNFKCTESSVGVEMQLSNLATVTQVIDPKLHKHLETLGGGDYLFAFRMLMVLFRREFSFGDSLFLWEMMWALEYDPTLFLLYEDPESSNEKSEGSKGKTKTKSEYGKYERAYMKNAGKNNSVAPLPLSVFLVASVLKEKSPKLLAEARGLDDVVKILNDTTGNLDAKKACNGALKIHKKYLKKVK >itb01g05150.t1 pep chromosome:ASM357664v1:1:3522230:3527221:-1 gene:itb01g05150 transcript:itb01g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVLRQLAETEAMAMSKKVITREEWEKKLNNVRIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQKQRLIELIRNGKVEEALEFAQDELAPRGEENQGFLEELERTVALLAFEDVANCPLGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKLLVWAQNQLDEKAAYPRINDVSTATLEDPAV >itb01g05150.t2 pep chromosome:ASM357664v1:1:3522361:3525352:-1 gene:itb01g05150 transcript:itb01g05150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVITREEWEKKLNNVRIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQKQRLIELIRNGKVEEALEFAQDELAPRGEENQGFLEELERTVALLAFEDVANCPLGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKLLVWAQNQLDEKAAYPRINDVSTATLEDPAV >itb03g28350.t1 pep chromosome:ASM357664v1:3:29125721:29128090:1 gene:itb03g28350 transcript:itb03g28350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALSLQPANGPDILLQTREWFPPSRALMALSAFRQTRLAFSKHAQAASAMSSPEPTPSDALGDDPLAASSGQVIVGVESRYRVVYRLVNSIYVLAITTADENDKDRIVNNVFECISIVNQAVSVVVTACRGVDVTPEKLGKKYAEIYMALDIVLRGVSNIRLAAMLASMHGDSIAKMVHSAANTESKIRGADNWASLEGHSVEHEAGVESFSKAIFELPPETLEAGDEVAATLVITGGEKEEEKEKVEESEEKDPFAASDRINKPESLVGEFKKYKDKDNSDVTKALAGLEVTTLPPAAASQSTHIGVEGFEGDYGGIEFTNEGSTLREDFEGINDAWGGGLDASEYVGTKKIKKDQGLGGLELLETSEPPKTQAGAPAESDAGKNLEDILVKKMKGPEMYITEEISAEFRESLLSRVGLMGTIYLKTMPPKPSDDKETEFSFKVDGTGGVKRFVMQNSLVSSLGNGMFHVKTTPSNEPIPIIKYSLLPRLTPLPLRVRLVQRLSGTLLSVMLQYVSNPDLSAPLTNVTFVMKLPVDPTLVKVSPKAVLNRSEKELKWHIEEIPLKGNPGRLRARFPVDISDEDNIEELEVVGSVKFSTQGARSLSGISLLPAIEGKTDFYEVDHRYASGNYICN >itb14g03060.t1 pep chromosome:ASM357664v1:14:2766832:2767344:-1 gene:itb14g03060 transcript:itb14g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASNAFIFVFLVVICTFIFKKLLQMFPQSKVLNCVVSVAAQLKWGWDCLLLQSLCQLPNDVTGLMSPENGASEVGVRVFEGESDGAVECVVCLCKIEEGEEVRDLKCKHIFHRACLDRWLGTGRITCPLCRTHVKPPSRRFQDDLHHQEVIVFDFCSHRRDRCTWWLR >itb09g27270.t1 pep chromosome:ASM357664v1:9:27640583:27641307:1 gene:itb09g27270 transcript:itb09g27270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFPSLYDDADSCSTSSAVNKGHFTIYTADQKRFVVPLSYLENEIIRQLLSMSEEEFGLASDGPITLPCDAAFFDYIISLLSRGLSRELENALLISLTSYRCSSASLHREGLRNQELLVC >itb03g22810.t1 pep chromosome:ASM357664v1:3:20925982:20928672:-1 gene:itb03g22810 transcript:itb03g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQEYFLTLLASVLLISGDMAAGVASGETNSLSVSIIKSSCGSTRYPDLCYSSLASNPGVRSKKDVIEASLNNTIKVAQTNRANVQKLMASTTNYTKREKIALSDCLETIDQALFQLNTALQDLRLYPTKKSIVAHADDLKTLMSAAMTNQETCLDGFSHCKADHNARKPIFNGQVHVEKLCSNCLALIKNMTDTDRQNEIKASGNRKLKEVEGGGEWPEWLSAGDRRLLQSTAATPNVVVAADGSGNYRTVSEAVKNAPSKSSKRYVIRIKAGTYKENVEVPKSKTNIMFIGDGRGKTVITGNRNVIDGSTTFNSATVAVVGERFLARDITFQNTAGPSKEQAVALRVGSDLSAFYNCEMKAYQDTLYVHSNRQFYVKCLISGTVDFIFGNAAAVFQDCDIQPRRPNSGQKNMLTAQGRTDPNQNTGIVIQKCRIAASSDLKPVQKSFPTYLGRPWKEYSRTVVMQSSISDVVHLAGWHEWSGSFAVNTLFYGEHSNTGAGAATSQRVKWKGFKVISSATEAQSYTAGRFISGSNWLGSTGFPFSLGL >itb14g01780.t1 pep chromosome:ASM357664v1:14:1454743:1455533:1 gene:itb14g01780 transcript:itb14g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLSAAIAQHSNSHFLFFHCFLGSCLHAPASRSITPSELFIEDLQIRGLHRLYRPPSSGYSPPWLRPFTISLWFDPIFIILQLYSLN >itb09g15310.t1 pep chromosome:ASM357664v1:9:10568309:10570467:1 gene:itb09g15310 transcript:itb09g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELQASLLIVLCALPCVFGGDDGWRDAHATFYAPPEGTIGGACGLQQFKDTYAPYTAALSAALFKDAASCGACYELKCENSTGHCKTTEKAIIVTATDLCPTGDGKWVPCKRQGGERFTISGHQYFNLVTVSNVGGSGDVAKVEVNPEGDEKWTTLKRNWGEKWETNEKLSGKSLNFRVTTNDGKTVTSRKVAPKSWQTPIPEHLGFEPQSRLVQAQLPNATSESSFIGATAGGVAERKKRWREKATDIQHK >itb11g03390.t1 pep chromosome:ASM357664v1:11:1771788:1773723:-1 gene:itb11g03390 transcript:itb11g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVVIKLSMNNHKSRVESVAIKGKENNQLEVVGQVDAVVLATLLRKCLGKAELVSVGAAAGDKNANKAETPAAQVQHYGPNSIDMVGVSSHSIESGIPINTSFFRGLLFFLFLSILASFFFKFSLGPSQVFVCTCLQNPCTRSTNPNHDSKGETNPIVPTFTAD >itb12g08270.t1 pep chromosome:ASM357664v1:12:6469396:6474160:-1 gene:itb12g08270 transcript:itb12g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSQEPPRHPCLKINSKEPFNAEPPRSALVSSYVTPVDLFYKRNHGPIPIVEDIERYSVTLSGLIGHPKEVFMRDIWKLPKYTVTATLQCAGNRRTAMSNTRKVRGVGWDISALGNAIWGGARLADVLELIGIPKLTSMTPSGGKHVEFVSIDKCKEEKGGPYKASIPLSQATNPVADVLLAYEMNGETLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICSLEDVSVVKQGKITIKGYAVSGGGRGIERVDISIDGGKTWIEASKYQKTGIPYISDDESSTDKWAWVLFEAEVNVSHSAEIVAKAVDSSANVQPENVGDIWNLRGILNTSWHRVQVRVGQSNL >itb12g08270.t2 pep chromosome:ASM357664v1:12:6469458:6474160:-1 gene:itb12g08270 transcript:itb12g08270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSQEPPRHPCLKINSKEPFNAEPPRSALVSSYVTPVDLFYKRNHGPIPIVEDIERYSVTLSGLIGHPKEVFMRDIWKLPKYTVTATLQCAGNRRTAMSNTRKVRGVGWDISALGNAIWGGARLADVLELIGIPKLTSMTPSGGKHVEFVSIDKCKEEKGGPYKASIPLSQATNPVADVLLAYEMNGETLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICSLEDVSVVKQGKFQEVAEALKG >itb08g09480.t1 pep chromosome:ASM357664v1:8:8719868:8723316:-1 gene:itb08g09480 transcript:itb08g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAKSSTEASPMTSKMWGSVVMKPNKRLAVKSSAKFRVFALQSENSTVNWMEQLLNLDVTPYTESLIRSLLYTYGLVALELTRQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYILERITEQAGVGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHRDHISAYGEGNERRLTGKHETASINTFSWGVANQGCSIRVGRDTEKNGKGYSEDRRPASNMDPYVVTALLAELPYCGSPQSRPKLLLPKNWH >itb11g22550.t1 pep chromosome:ASM357664v1:11:24524168:24525332:1 gene:itb11g22550 transcript:itb11g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGKGCKGLGKGGAKRHRKPLWTLFIWCVSPSPTFHSPLSTLHSPLSTLRSLPLCDAGQLKRRLLFEVLRQASPLGSPTMR >itb13g01770.t1 pep chromosome:ASM357664v1:13:1584074:1587172:1 gene:itb13g01770 transcript:itb13g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCVVSSDVVPEGSRSSADGGVHLGGTRGDSVALVSPVLPHKFFGLFLFDFWKLLERLADKTGELARCILALIPLVNEAGAVAGNNVNFWQVLDVAQVMMTMVWVVVLAGMRILITFLDLSMVVDQVLMTNNKFMMGVWMVVRICGQTVSRDDICSLAVGAEVSVGVIIVGSCILTCREHTKDPSTPTRVFASPFTTLNTTVLFEKIYKLKLARFSEALGADFALGSYKTLGHVHLRLEIIDNSASTQPTPVKYGDTPKNVKLLLSEYFTFVGEKFKSIVCDNLKTKRMPMKWRDTKNKVDYGMYLMRHMENYVGEAVKVLQNGIVG >itb03g11350.t1 pep chromosome:ASM357664v1:3:9432471:9437671:-1 gene:itb03g11350 transcript:itb03g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMISLLLVLALFGLLCNYVFINGGRFQAYNPYVFINGGRFQAYNPKNGLGLVYLYRGFVSFRFLHMNGSSPPKPLPPFSSPLPLRSNSSRYRTFSSSIAGLASKSPTLAYQTTEAKADARHATHLSTLAGLASKSSVAGQRALSVRRELASQRPLSAAAPSVNQHNQFVDLILYIHFVDLILSNQILGSSIMESENSLPSLDEVQVLKSKSEEIEPYLSGRCIYLVEQAVGGTSVAEIFKLHGEGFFRDNETEVLRKLSLMPEVVVSTGGGVVVRPINWRYMHKGISVWLDVPVDALARRISAVGTHSRPLLHNESGDIYAKVYNLTLKLHIWKWL >itb08g08370.t1 pep chromosome:ASM357664v1:8:7357699:7358540:1 gene:itb08g08370 transcript:itb08g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSLKAVDDATKDLGFARIMTDSGQLLHLRCPKSARQLLAEFPGYNVYGQGHMSSPMSEQHQLQAGQFYYLLPVAGETEAAAPENEVRVEVLAPPRKGVWKVKFVIDSQQLGEILAEDENTEAMIEQMRSAAINSPKGRRKSSWGGSLKVIFANAFKMPLENQNKVQALDCCTSSPSPR >itb15g06380.t1 pep chromosome:ASM357664v1:15:4195605:4203058:1 gene:itb15g06380 transcript:itb15g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRGPFASAAGKEGPAPSSPSAPNPDPSSIGSERWARAENLTRDIIQNVQPTTEFEERRKALINYIQRLIRDSLHCEVFPYGSVPLKTFLPDGDIDLTAFGAPMLEDGLANDIVYILEREHRNNAADFTVDDVQLISAEVKIVKCIVQNIVVDISFNQIGGLCSLCFLEKVDHIVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLDGPLAVLYKFLDYFSKFDWENYCVSLTGPVRLSSLPDIVAEAPENGGGDFLLSNDFIRYCVSKFSVPSRGFETNARTFQQKHLNIVDPLKENNNLGRSVSKGNFYRIQSAFTFGARKLGTILLQSKENIADELNKFFENTLLRHGSAPRLGVEDFGPAVGHDSFFPTRPVSGSDSSRMEDVNCKMKSSCLADSTGDCTVKPNGISNEENRDQEGGLKLRQSALPPETVEAGTGNAFGDHLSGDKKQCLTLSNGNSTDFASSRAEKSAPVVGKPFFAPHLCFTKSASNGEMKRESSEEKPPERHGCNEGKGSEQNLLNDKNGALTVVNNARSDDSVCIDRAWPLATGGKSPRALNDLSDLSGDYDYYFRCMQYGRRCYEHLSALPIPPLPPPPFHVKNLSGPVWPPSQMIPNGFPQGSPNGFIPNQMFYPPNPRLVPNAAFGFEEMLKPRGTGTYFPNMNQPPHGYRHSKGGRIRAPLSSPRTNARKPTFTDTYTLDSNYHEMPHPRPAFPHSEVHPAIDQRDVNQSFSPRDKVHPNLNGPWMTDFPPEA >itb03g08440.t1 pep chromosome:ASM357664v1:3:6317480:6318197:-1 gene:itb03g08440 transcript:itb03g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRILKISSGDDDDDVGDAHGDEPEEEEQERGEPAAAQGARELPAEVDGALLAEVDGELLAAAVEVSVYAGGGVPFFFGLFWLRIKVVREIYRDKIDSFTLKTKVIFFFL >itb12g12200.t2 pep chromosome:ASM357664v1:12:10725580:10728850:1 gene:itb12g12200 transcript:itb12g12200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNAEQQEDFRFQLEGFRSRLENMRFRQVHFWSRQLLTVYQRILILIMAHPEYLRFRTSSLTTDNSGSWSRRPGNVLVVVEDLWSQLEDLWYQRDFSSRQLVRVGLRIISFLTSHPQYLSPQRSSHTTTSFRIQERIQAQIAGIFNDLVELELQANFVDVDYLDAQGLDELDEHLAETDGLRSGDTRPLASSRTTPSLRIHERIRAQIAGISNDLEELELQANLVDVDYLDALGLDESVEHGLRRGAPPAAVSVVNSLPCVVIKDHEQLDDNLACAICKDSLSVGSVVNQLPCSHVYHPSCILPWLSSRNTCPLCRYELPTDDQDYEVRKRLSGNEFVVRETQQLNMYEGSSSDAIDDAEAMEPREFGDGRTEQGEEVMSMSGSGRTTEPQELGQGGRSVQEEAMSMSGSDTRNTQRRWFFQALVAQVVSFGGIALTLWLGNRNQLTEKRRSSTLFSRTASNHPLSPRF >itb12g12200.t1 pep chromosome:ASM357664v1:12:10725580:10728850:1 gene:itb12g12200 transcript:itb12g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNAEQQEDFRFQLEGFRSRLENMRFRQVHFWSRQLLTVYQRILILIMAHPEYLRFRTSSLTTDNSGSWSRRPGNVLVVVEDLWSQLEDLWYQRDFSSRQLVRVGLRIISFLTSHPQYLSPQRSSHTTTSFRIQERIQAQIAGIFNDLVELELQANFVDVDYLDAQGLDELDEHLAETDGLRSGDTRPLASSRTTPSLRIHERIRAQIAGISNDLEELELQANLVDVDYLDALGLDESVEHGLRRGAPPAAVSVVNSLPCVVIKDHEQLDDNLACAICKDSLSVGSVVNQLPCSHVYHPSCILPWLSSRNTCPLCRYELPTDDQDYEVRKRLSGNEFVVRETQQLNMYEGSSSDAIDDAEAMEPREFGDGRTEQGEEVMSMSGSGRTTEPQELGQGGRSVQEEAMSMSGSDTRNTQRRWFFQALVAQVVSFGGIALTLWLGNRNQLTEKRRSSTLFSRTASNHPLSPRF >itb04g03100.t4 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSCMVSLFDASFGSVNWKLAWFMPNCIDICAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t8 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGGGSFVAVRRISQGLDRSNTCHSDSAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t6 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSCMVSLFDASFGSVNWKLAWFMPNCIDICAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t3 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGGGSFVAVRRISQGLDRSNTCHSDSAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t9 pep chromosome:ASM357664v1:4:1912621:1917338:1 gene:itb04g03100 transcript:itb04g03100.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSCMVSLFDASFGSVNWKLAWFMPNCIDICAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t1 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSCMVSLFDASFGSVNWKLAWFMPNCIDICAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t2 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSCMVSLFDASFGSVNWKLAWFMPNCIDICAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t7 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGGGSFVAVRRISQGLDRSNTCHSDSAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g03100.t5 pep chromosome:ASM357664v1:4:1911981:1917409:1 gene:itb04g03100 transcript:itb04g03100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGGGSFVAVRRISQGLDRSNTCHSDSAEVVAGSAAWLGRGLSCICAQARENDARPSFNLTPAQEECLQRLQSRMDISYDRSIPEHQSALRALWNAAFPEEELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPNSFQDLLQKREGDRSMWEYPFAVAGVNITFMLIQMLDLEAVKPRNLVGATFLKFLAENESAFDLLYCITFKLMDHQWLSMRASYMDFNSVMKATRRQLEKELLLEDITRLEDLPSYSLLTQ >itb04g08050.t1 pep chromosome:ASM357664v1:4:5630773:5631195:1 gene:itb04g08050 transcript:itb04g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGGGAENMRENERESGGEIKYRGVRKRPWGKFAAEIRDSARQGARVWLGTFNTAEEAARAYDRAAYAMRGHLAILNFPEEYNLPRTSSHFYSASSASASAASSSRQEREVLELEYLDDKVLEELLDCDKEENRKKRG >itb01g29200.t1 pep chromosome:ASM357664v1:1:33491741:33498011:-1 gene:itb01g29200 transcript:itb01g29200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MATCVAYCPCRHLEMSRAITTAGATRLSHNHPNYFSTRFPGSGFGFCYGSALQRKQRWPRRHRLKLVLTAQLSNAFSVNIGLDSQSRGTSQLRWIGPLPGDIAEVEAYCRIFRAAEQLRNTLMDTLCNPVTGECSVSYDVPSEDKPLLEDKIVSVLGCMVCLLNKGREEVLSGRSRMMNSFRDVDDDMMEDKVPPLAVFRGEMKSYCESLHVALENFLTPDDARSTNVWRKLQRLKNVCYDAGFPRRDDFPCQSLFANWSAVYLSTTNEETDSARSEAAFWTGSQVTEEGLKWLLDNGFKTIVDLRAEVVKDNFYENMLDEAICSGKIRLIKLPVEVGTSPSMEQVEMFATLVSDSRIRPIYLHSKEGIRRTSAMVSRWRQFMARYTSQVVQNQGAPSNDNLLRDNREIEVPLFSNSDEGTAFNDNGRSGDDQDESHPKKSSDVVSSTRELVNRSSQDRENQSLNKNEVEKTAAFKSDTAFSGTESVVVSYTKINPLESQLPPPNVFSRKEMSTYFKNRKISPEIYFTHEQKRLEILCASKYDNKRKPWRSDITASRTEYKLIESDSPNGLYNSKSLSGSLSASPADLGTYVGPNGSMAPALNGYSNGFTYTMSPNGEFANTRAELERNAISSTIITDQTSNVEAPASSSDEELEIDGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGQELMEQAKEVASFMHYQENMNVLVEPEVHDIFARIPGFGFVQTFYSQDTSGLHERVDLVACLGGDGVILHASNIFRGAVPPVVSFNLGSLGFLTSHRFDDYKKDLRHIIHGNNTSDGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPVDARSNAWVSFDGKRRQQLSRGDSVRICMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERMDQKAL >itb10g25600.t1 pep chromosome:ASM357664v1:10:28846589:28847113:1 gene:itb10g25600 transcript:itb10g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVLTWKGYEPYEAQLLIFMSPRIXLEMHVLESPAREPCILTTLARSDPPALEEALERVKAVFESALGLYDLNLAAMVALNSQKDPKEFLPYLPERLHDSRRASAPSETPPGKNLTTAAPLMIIVFSNSTWTSELQL >itb14g06260.t1 pep chromosome:ASM357664v1:14:5519945:5521987:1 gene:itb14g06260 transcript:itb14g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPNSGMDRMDGFAKKAFGAVSGVASTACGYAKQLPSSHINGMDLCGKAFGAVSGVSSTAWGYAKQLPISHINGKAFDAVSGVSSAACGYAKQLSNLATDQQKLNILAVQSSQITDLINLYGMCTGLGVNATTVGAVATVGVAAWGVYMLVGGPGKKMMKNPGRPWELIDAGPGKKMMKNPGRPWELIDAVEFRNNPIFRKAMFDRTHLLKAGLYIPA >itb11g09920.t1 pep chromosome:ASM357664v1:11:6758932:6763645:1 gene:itb11g09920 transcript:itb11g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSAMSSVGAVVSASHTIEKKSLKSSEALSSFASLSPNALGGRRKNVVLRKRSKVRAMAKDLYFNTDGSAIKKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGASLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPIQITRGIERTTKALVDELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGRGADNHLYVVEGMQFDRGYISPYFVTDNEKMVAEYENCKLLLVEKKITNARDLVNVLEEAIRGGYPIIVIAEDIEQEPLATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAVLTGGTVIREEVGLSLDKAGSEVLGHAAKVVLAKESTTIVGDGSTQEVVSKRVAQIRNLVEATEQEYEKDKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKESLDNDEQRVGADIVKRALSYPMKLIAKNAGVNGSVVIEKVLSHDNPKYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVARTFLTSDAVVVEIKEPEPAVVGNPMDNSGYGY >itb12g06190.t1 pep chromosome:ASM357664v1:12:4590109:4595564:1 gene:itb12g06190 transcript:itb12g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPFSESLIIIANASKKNLFFPIATAAVSSYSVASNRRRPPPINGQLEGGKAGDMGGQGKAGDEEKQQQQQLEAPKTASFDLNDFKIIKEGEAEILMHSKNVVFFNKAQVNNRDMSIAVLRAFIAKRKQEHETFVAKKTKMVPKDKDNSGMELDAPVDNDQHNGTPNNGCEVAEETSQDEQCSISEEPIKSLGGKCRELKPPRVLEALSASGLRALRYACEIEGIGQVVALDNDKASVEACQRNIKFNGSVACEKVESHLDDARVYMLTHPKEFDAVDLDPYGSPSVFLDSAVQSVVDGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRHKRYIVPILSVQMDFYVRVFVRIYTSASAMKNTPLKLSYVYQCIGCDSFHLQPLGRTVSKNNSVRFLPGFGPTVLQECSDCGKKFNMGGPIWSAPIHDQEWVASILADVKSMKDRYPAYDRISAILTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKTDAPMEVIWDIMRCWIKNHPVKAQPPELSGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLKAGRQVTSKHISLLGPEAVNGLSNHEDVEEPAAKRMKTEEDPPHES >itb03g02160.t1 pep chromosome:ASM357664v1:3:1190587:1191276:1 gene:itb03g02160 transcript:itb03g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGETLSSTSFFLFVFLAFFIMLSTAPTKLAGSAEYGGVVGDCMETTGGGESEFLMDSETSRRLLFGGSKHRNHLVYKPVHEKGPICNSHRYGSCIGGKRNGKIHCTYDNRSCRR >itb13g06540.t1 pep chromosome:ASM357664v1:13:7840659:7840964:-1 gene:itb13g06540 transcript:itb13g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMASKVALQLVAVFTPTLDVVDRMVALARLCYLHTILQVHSQRLIMYKGKNRMMLTKRLLSFSSTILFLLLQPSPFTTKKWWMPSQNVEWAIEPLHSRS >itb05g05630.t1 pep chromosome:ASM357664v1:5:5417847:5419391:1 gene:itb05g05630 transcript:itb05g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIILGLQGDVPQNYPKGFPYVGDKSKVAACAKHFVGDGGTTYGVDEGNTVIDWEGLLSIHMPAYYPSILKGVSTVMVSYSSWNGKKMHANRALVTDFLKEFSQRHSRLSVLAGVGAGIDMIMVAYNYTEFIDTLTYLVKNKFIPMSRIDDAVTRILRVKFIMGLFENPLADLSLAHHLGSQAHRDLAREAVRKSLVLLKNGDDEALLPLPKKATRILVAGSHAHNLGYQCGGWTITWQGHSGNNITIGTNSDPILS >itb05g17280.t1 pep chromosome:ASM357664v1:5:24277371:24279518:1 gene:itb05g17280 transcript:itb05g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGITFLLCFVLNGFTEARHYPPAAVLVGTVYCDTCFHRDFSEASHFISGASVAVECGHSRNGPSFRKEVKTDDHGEFRVHLPFSVSKHVKKIKGCSVKLINSSEPFCAVASSATSSSIHLKERKEGKHIFSAGFFTFKPLNQPELCNQKPSIHGGFKKINSADSPITDPNNPAFLPPIRDPPPAATPLLPPVTGVPQLPVQLPLPPIGIQIGNPLNPPAVRQEATFSEHPKIKSKYLNPDDPSFFPPIQEAPPGLLPPIIPGGIIPPLPELPPLLPSIPGLPLFPPESKKPKKPNKLATESQVTDEKIAQPRFFAPVGGLPPNPLLPPPSVLPPNPLLPPPSVLPPNPFLPPRSVLPPNPLLPPPSVVSPNPLQPPTSTPSLTPSPPSLLPPLFQSPPPSAQPPVPLLPSPSFPTPIPRFPFQPTPLFPGDPPAATSTKKSSP >itb15g05310.t1 pep chromosome:ASM357664v1:15:3399350:3402885:1 gene:itb15g05310 transcript:itb15g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGSLSWLVVAVVVVALAGRVAGNSEGDALYALRRSLSDPDNVLQSWDPNLVNPCTWFHITCNQDNHVTRVDLGNSNLSGHLVPDLGKLEHLQYLELYKNNIQGTIPEELGNLKNLISLDLYNNNISGTIPPSLGKLKSLVFLRFNDNQLTGRIPRELASISTLKVVDVSNNNLCGTIPTSGPFEHIPLNNFENNPRLEGPELMGLASYDTNCS >itb05g21750.t1 pep chromosome:ASM357664v1:5:27389168:27392615:-1 gene:itb05g21750 transcript:itb05g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFAHYSFVSLAHLFTCFLSTDNCQFFTIKLSANCLTLFPLSLSLLSSALSAIANLSLSFFVQMSHSTRLKSSRRRDAPPAKGRRSWCCFAEPPHSPDNPTTSAAFSHKTKSPSSISNSFPASPLSHKPSTNGVVTRTTRRILSPGRVSPIDETLAPNPPLHVHSAAEIPASPSPLRREGSNASAVTEECGAEGIFDARLSLKGSNGSVLVLELSAEALSANSLVFADLIADYRRKCRGLCRIEVPDVDNLGVFRETIELMFEEDIPRALLRMGVCRAIDVLEVSAGIKFVRGILSCLKYIEAMPWTEEEEEKLRSLFGRVKFDDVTTRDILGRLYTEDYSSNSQTLTRNIVSSITACNDANVRNELKYLVKGLLCKSSVYEKCGELNKEDIFAVCRACLGSLISLFEEATTPNPCANLAEKPKEKPLIERISNQVDNINWLLDILLEHQMAEDLVDMWTDQAELLSMHERASPMLRYELSRVSAMLFIAMGTRKVHCRSEARSGLLQAWFRPMVSDFSWLRRCKKGLDVKVLEEAMGVVLLTLPLKEQYMLFMDWFRCFSKNGTDCPNLSKAFQIWWRRSFLKGSETHAAESR >itb15g13030.t1 pep chromosome:ASM357664v1:15:10930943:10933023:-1 gene:itb15g13030 transcript:itb15g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 35 [Source:Projected from Arabidopsis thaliana (AT1G56210) UniProtKB/Swiss-Prot;Acc:Q9C7J6] MLCYLPLSVFPSTFKASLHLLLLLPISLSLSLSLYPSAMASHTAAPADHPEPLKHKMWVLKVSIHCEGCKRKVKKILLQVPGVKDIDIDIRQQRVVVTGDVHADTLIKKLVKSGKNAELWPQMVAPREGIPAAAAAVKKAKELKPESKPEDSCTSGHGGGQREVKPPGKVAAVIQEPPAKKTEGCREEPASGGGGVAKDEGNSVAGAGTGAGDSKSVENLQGAKESKSEGKKPETGGESSSQTPATAEKKDGEAGGSAAGEDRNGGGAENGSTGKTKKKKGQNGNSSEKISSSAGGPAGTGSQHHETAPHAASTFTNQITPHHPYYGPTPVYAVSYNTAYPTSSSTTSYYASPPSNSYAYTYPGPTMEPPPSDLVHPQQPLDSFELFSDENPNGCSVM >itb12g13900.t1 pep chromosome:ASM357664v1:12:13051790:13059527:-1 gene:itb12g13900 transcript:itb12g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSMEPYSTQTCENICKQIHASLLHHRLSLLFGVLASPNRVECQRIREKYKEMYGEDPVQRLQAADENEPETTQALAAVLLSPHERDAAFAREALRRDDVDFRALAEIFTCRKSSHVQLIHQAYVAKFRRHLDHDIATIETPCPYQKILMALSASHKAHNADISQHIAKCDARRLYQSGEGRSGGAIDEAVVLEILSKRSIPQLNLTFSSYNHIYGHTYTKSLKDGCLGEFEDALLTIVKCICSPSKYFAQMLYTCLNGRRVDKGGLVRIMISRAEIDLDEIQLMFKNKHGLELKDAICKSIPQGAYREFLVMLATKPSKRARLGSL >itb07g20150.t1 pep chromosome:ASM357664v1:7:24583791:24587436:-1 gene:itb07g20150 transcript:itb07g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRPKSDPVPFLRPSNELRQFMEQENRIYIRNENLLVSKLCKLLMMAKDRVISADKLLEVKREFGFPNDFLVNLVPKYPEYFRLIGSPGEGKSFLQLVSWNDDFGKSVIEQRAEEESRLTGIRTMPAFNWKLPPGFCIKEEMREWIRDWMELPYISPYTDVSHLGQASREMEKRTVGIFHELLSLSLYKRIPIPILGKFGEEYRFSNAFPNLFTRHSGIFYVSLKAGIKTAMLREAYKADELIDLDPLLEITDKFLELLAEGHKKKSEQLRLQREMIRKDMNFIAARSAIIDDHGDNLGAVRNCKDHGIQQRKLVLNACQAEQRMFSLPLHNKHGWKQYP >itb10g01970.t1 pep chromosome:ASM357664v1:10:1607440:1611514:-1 gene:itb10g01970 transcript:itb10g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKLFCLLPLLFIAAVVLTTQTAMAIIIPSVNPIGYNCSNFRNYTINSKYPNNVDKLLGNLASESPINDGFSSGSAGQYIDKVYGLALCRGDASNTSCKSCLDYARTAIRQFCSNNQNGIIWYDSCLLKYSEYDFIGKIDNVNKLYLSSPSPVRNRRGAFLQKRDNLLKQLAVKAAGQKSFYATEDVKFDESVNMYGLVQCTRDLSGEDCRKCLNGAIAELPKCCRAQRGGRVMGGSCIVRYEIYPFYN >itb12g06650.t1 pep chromosome:ASM357664v1:12:4941822:4943357:-1 gene:itb12g06650 transcript:itb12g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHHGVTYDIVILGASGFTGKYVVREALKFLNVPESPFNSLALVGRSPSKVAKALQWASHPNPPPQIPILTADTTDPISLRRVASQAKIILNCVGPFHLYGQPVVAACIDVGCDYLDITGEMEFVERMEASYHHRAAKKGSLVICGCGYDSVPAVLGMMFNSRQWVSPAAPNRVEAYLSMESDKRVVLNLGSYETLVLAMANKDKSQELRHCTPQRPQPMIPGQPPKGSIVEHQKEIGHWGVVFPSSLDKIAVERMVSCLTENPEGIPGVNESAQQIKKREAFWSTVKPVHFGMNMASKSVLGVVRFITLALLVWVFSRFSIGRWLLMKFPAAFSLGFFRKNGPTEEEVASASFKLWFVGRGYSDAALVSKEGKKPDMEIITRVTGPEIG >itb08g12260.t3 pep chromosome:ASM357664v1:8:12393980:12397169:1 gene:itb08g12260 transcript:itb08g12260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAMRSKEIAEKKFLAKDVIGAKKFAHKAHTLYPGLEGIAQMLATLDVYVSAENRVNGEVNFYEILGVNPQADEDAIRKQYRKLALILHPDKNKSIGAEGAFKHLSEAWSLLSDKDKKSAYDQKHANVFQKKVQSSNGDPSQPMQNGFYNFAKSTASRKKPPKGNATTTNPTSSKKQERRTFWTVCYRCKVQYEYLRKYLHHNLLCPNCHEAFFAVEITPPSNGSRLSTDSVNYQQQENLKHHGISKKVPDSGRSNSGTSNAKSSGFQWSPFSKTAGPASAVQAANVVQQAYEKVKRQRQEAQAATKREDALRRKNSSSKRAHGISLTGNSSSAKRKREVDNLNTGRETLKPSMESGLAYKTSFSGQVRLKGRSILGDMKGMPHHDVQHMLMEKAKLQIQKKWNEWSSATLAKSLAPSEANVNEETQDITMEDKSTEVHGREDSEQATNSSEVPGEKYDSGASSSYLSRELSDHVSIDVLDSDFYNFNRDRTESCFGGNQIWAAYDNNDGMPRYYAMVHNVVSINPFTVRISWLSSLTNSGLGPQHWFDSGSSKTCGGFKKGRQEIYSFLNCFSHKVKWSKDSHGAIQIFPRKGDVWALYRNWSREWNELTDDDAIHKYDLMEILDDYDEEHGVIVAPLVKVAGFKAVFHRHLSPREIRIIPRDEIYRFSHQVPSHLLTGQEGPNAPKGCRELDPAAMPSELLHVIPDANEVECIIDKGKEENIIGHIGKTTTKEKVECSITLEVTDEITGESMDIRNKAGEVTLVKTVNQECL >itb08g12260.t1 pep chromosome:ASM357664v1:8:12393409:12397169:1 gene:itb08g12260 transcript:itb08g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAMRSKEIAEKKFLAKDVIGAKKFAHKAHTLYPGLEGIAQMLATLDVYVSAENRVNGEVNFYEILGVNPQADEDAIRKQYRKLALILHPDKNKSIGAEGAFKHLSEAWSLLSDKDKKSAYDQKHANVFQKKVQSSNGDPSQPMQNGFYNFAKSTASRKKPPKGNATTTNPTSSKKQERRTFWTVCYRCKVQYEYLRKYLHHNLLCPNCHEAFFAVEITPPSNGSRLSTDSVNYQQQENLKHHGISKKVPDSGRSNSGTSNAKSSGFQWSPFSKTAGPASAVQAANVVQQAYEKVKRQRQEAQAATKREDALRRKNSSSKRAHGISLTGNSSSAKRKREVDNLNTGRETLKPSMESGLAYKTSFSGQVRLKGRSILGDMKGMPHHDVQHMLMEKAKLQIQKKWNEWSSATLAKSLAPSEANVNEETQDITMEDKSTEVHGREDSEQATNSSEVPGEKYDSGASSSYLSRELSDHVSIDVLDSDFYNFNRDRTESCFGGNQIWAAYDNNDGMPRYYAMVHNVVSINPFTVRISWLSSLTNSGLGPQHWFDSGSSKTCGGFKKGRQEIYSFLNCFSHKVKWSKDSHGAIQIFPRKGDVWALYRNWSREWNELTDDDAIHKYDLMEILDDYDEEHGVIVAPLVKVAGFKAVFHRHLSPREIRIIPRDEIYRFSHQVPSHLLTGQEGPNAPKGCRELDPAAMPSELLHVIPDANEVECIIDKGKEENIIGHIGKTTTKEKVECSITLEVTDEITGESMDIRNKAGEVTLVKTVNQECL >itb08g12260.t2 pep chromosome:ASM357664v1:8:12393409:12397136:1 gene:itb08g12260 transcript:itb08g12260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAMRSKEIAEKKFLAKDVIGAKKFAHKAHTLYPGLEGIAQMLATLDVYVSAENRVNGEVNFYEILGVNPQADEDAIRKQYRKLALILHPDKNKSIGAEGAFKHLSEAWSLLSDKDKKSAYDQKHANVFQKKVQSSNGDPSQPMQNGFYNFAKSTASRKKPPKGNATTTNPTSSKKQERRTFWTVCYRCKVQYEYLRKYLHHNLLCPNCHEAFFAVEITPPSNGSRLSTDSVNYQQQENLKHHGISKKVPDSGRSNSGTSNAKSSGFQWSPFSKTAGPASAVQAANVVQQAYEKVKRQRQEAQAATKREDALRRKNSSSKRAHGISLTGNSSSAKRKREVDNLNTGRETLKPSMESGLAYKTSFSGQVRLKGRSILGDMKGMPHHDVQHMLMEKAKLQIQKKWNEWSSATLAKSLAPSEANVNEETQDITMEDKSTEVHGREDSEQATNSSEVPGEKYDSGASSSYLSRELSDHVSIDVLDSDFYNFNRDRTESCFGGNQIWAAYDNNDGMPRYYAMVHNVVSINPFTVRISWLSSLTNSGLGPQHWFDSGSSKTCGGFKKGRQEIYSFLNCFSHKVKWSKDSHGAIQIFPRKGDVWALYRNWSREWNELTDDDAIHKYDLMEILDDYDEEHGVIVAPLVKVAGFKAVFHRHLSPREIRIIPRDEIYRFSHQVPSHLLTGQEGPNAPKGCRELDPAAMPSELLHVIPDANEVECIIDKGKEENIIGHIGKTTTKEKVECSITLEVTDEITGESMDIRNKAGEVTLVKTVNQECL >itb04g29500.t1 pep chromosome:ASM357664v1:4:32850650:32851632:1 gene:itb04g29500 transcript:itb04g29500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEERLILELHSKWGNKWSRIARKLPGRTDNEIKNYWRTHMRKKAQENKKKKGGACISASSSSLTNCCSYSSSANSPAAVESEVDEANERDFYDTGGIDEETTQVPPVQENGGATAKAYTMDEIWKDIEQLGDVYCGNSQQRSVTTSSPMWNYWAETLWMTTADYNHGGGESKTVSFPPLPLPPPPPTNNDQFYSSFDNQGSIFLTG >itb04g00760.t1 pep chromosome:ASM357664v1:4:414451:417552:-1 gene:itb04g00760 transcript:itb04g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLDFLKKKYIDGFKSVTGAKLVIRCPNLLNDISTDLEQKSFKPDKIHEAIDLLYELINSLEDCSTFEETPGPTGRKNRRYWRGIRRDCDATKKKLCNERNTQAELEKLKDRLKELQLASTEKPPAETSAISVIKREGFPYFDKSEVLCFDVPLLFFKGVVENQHNVAMAFVGIGGSGKSTLARMIFLDEGVQKKFDNVLWVDCSEVSDSKKKLSCITVEKFRHTASPCRYTLEEALRSLHGIIRDRRCLVVLDGLWTSDGTKTFVDVVTQQLRGTIIITTRLHGVAEDLVAENNIFCSDNFWASVSERAKKEEGSGEEKDVAGAKREEKEKQIQDHCWLVFEETLKRKKFGDIGGIELHKDIRITELEEVIKRGSLGNPLAAKTLAEVISQRLHKTGYWAEEKEIVPNNEQKDENWEFLLKVDAYDHLSLSKAWFRVLSTPEVEKEKSYYRKNELIVTSKCHPRDVLRSLRDVCHAEFISIVHTKTERPTN >itb06g14590.t1 pep chromosome:ASM357664v1:6:19119132:19120079:1 gene:itb06g14590 transcript:itb06g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIHNSEILKLFLISTLLIITPLLSSSLRTTYLYFLVNLLIIALGAEAGLLSFFSNKITAPHKPPILTSTTSSSDPSFSDQETTANPKINSSKVEKCANSDEKINGVKKCPSTPSLFFIGGGDNQMAEEEDEEEEDEVMSGQELFNKAETFIGDFYKQLKMQREESWNSLQDSRYLP >itb03g23570.t1 pep chromosome:ASM357664v1:3:21803883:21804624:1 gene:itb03g23570 transcript:itb03g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFSSFEVLCADSFGLKVNLSRPPSSAQQQLQQTGSESKTAPPPSAAAGGSNKSGEVPTPQAKRPQQQRRPRFAPEFDGVHCFETVIPY >itb04g16260.t1 pep chromosome:ASM357664v1:4:17701764:17703103:-1 gene:itb04g16260 transcript:itb04g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNKDAVLFDFSPMLIVYTDGRVHRIADFPFVPPSPEDPSAAVSSKDVTISPHISARIYLPKLAAADQKLPILVWFHGGGFCIESAFSSLYHRYLNILASEAQAVAVSVEYRLAPESPLPAAYEDCWEALQWVASHAHPEKIGVKEPWLVNHGNFDKILIGGDSAGGNIVHNTLIRAGKIGEVKITGAILSYPYFWGSFEADTESLAGRLWGFVYPTAPDGIDNPLINPFVENAPKLSGLGCSRMFVCVGEKDVLKGVGIRYVEEVKKSGWEGDIELINVEGEDHVFDIFDPYSEKAKHLISRIASFIQH >itb11g12550.t1 pep chromosome:ASM357664v1:11:9468430:9468744:1 gene:itb11g12550 transcript:itb11g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLWVAIILVALTMAAPTSQRSLRMGIKVETFISPRFELEPGLVANKFFIGIGFPKGHIAWKGFDAEIVDEEGKSVPLHETYLHHWVVAKYMIRKGKPPAQEL >itb09g02170.t1 pep chromosome:ASM357664v1:9:1303015:1305006:1 gene:itb09g02170 transcript:itb09g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHVFFFPMMAHGHMIPTLDMAKLFASRGVKATIITTPLNQPVFSKAVDKYTKLGFQMEIRLLEFPAVEAGLPESCQRLDQVPGDDGLPRFFKACAMLQPSLEDLLQELRPDCIVADMFFPWATKAAAKFDIPRLIFHGTSYLALCAMQSLRVYKPFNDVTSDLELFNIPNLPHELKLTKLQVSPFERSEVENPMSEFMRRVRESEETSYGVIFNSFYELEPDYAEHYKNVLGRRAWSVGPLSLYNRDVEDKAQRGKKSAIDEHECLKWLDSKNPHSVVYICFGSVANFAPSQLHEMAMGIEASGMDFVWVIRNKREEDNGSEKWMPEGFEERTKGKGLIIRGWAPQVLILDHPSVGAFVTHCGWNSTLEGVSGGVPMVTWPVFAEQFVNEKLMTDILRTGTGVGSKEWKRVDSDGVKREAIAEAIKKVMISEESEEMRSRAKAMKDKAKKAIEEGGSSYLGLSFLLDELRAYHVKH >itb06g11370.t1 pep chromosome:ASM357664v1:6:15933930:15942233:1 gene:itb06g11370 transcript:itb06g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSIRPRPLDFNKKLPIVKSVKDFEDDIDTPTNTRNSQFLRLAAEAPDPEVQQVSAKKLASEIPTPEYVIVDTYERDYSRTFTQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNKERKILPAEKLEIILYKLEVLDHKARERAGVITPTLVSHIPVLLSFDAAIEALQSISTTYGVFQSIYSYWKEKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSFALPGFHSFQSKVGSSEDEFVDSDDVAVGRPYARPSMVQSMPFTDSKMVAVSTGNVRRDFKRRQTPYGWLQKLDPNEPVLLFTKPLDPEKLAAAGIKPPTDSSMANGILGRSLNLRGRIGRGGRLVFDRWNPLMHTPIECGDSLYLPPKPRPATHR >itb06g11370.t3 pep chromosome:ASM357664v1:6:15933930:15942233:1 gene:itb06g11370 transcript:itb06g11370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSIRPRPLDFNKKLPIVKSVKDFEDDIDTPTNTRNSQFLRLAAEAPDPEVQQVSAKKLASEIPTPEYVIVDTYERDYSRTFTQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNKERKILPAEKLEIILYKLEVLDHKARERAGVITPTLVSHIPVLLSFDAAIEALQSISTTYGVFQSIYSYWKEKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSFALPGFHSFQSKVGSSEDEFVDSDDVAVGRPYARPSMVQSMPFTDSKMVAVSTGNVRRDFKRRQTPYGWLQKLDPNEPVLLFTKPLDPEKLAAAGIKPPTDSSMANGILGRSLNLRGRIGRGGRLVFDRWNPLMHTPIECGDSLYLPPKPRPATHR >itb06g11370.t2 pep chromosome:ASM357664v1:6:15933930:15942233:1 gene:itb06g11370 transcript:itb06g11370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSIRPRPLDFNKKLPIVKSVKDFEDDIDTPTNTRNSQFLRLAAEAPDPEVQQVSAKKLASEIPTPEYVIVDTYERDYSRTFTQPTSYIHARGARAEIGEFVEYDLDNEDEDWLHEFNKERKILPAEKLEIILYKLEVLDHKARERAGVITPTLVSHIPVLLSFDAAIEALQSISTTYGVFQSIYSYWKEKREHWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALVKREEKKREVMESEISLQRLQMKHKNETELLEDSFALPGFHSFQSKVGSSEDEFVDSDDVAVGRPYARPSMVQSMPFTDSKMVAVSTGNVRRDFKRRQTPYGWLQKLDPNEPVLLFTKPLDPEKLAAAGIKPPTDSSMANGILGRSLNLRGRIGRGGRLVFDRWNPLMHTPIECGDSLYLPPKPRPATHR >itb12g19810.t1 pep chromosome:ASM357664v1:12:22236744:22241981:-1 gene:itb12g19810 transcript:itb12g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQSGAMGLLGSTSRERDRELLIPGAETVDGDDHDAACSQHHHSGRDTFYKVARSWASNKFMTGCVILFPIAVTFYLTWWFIHFVDGFFSPIYAHLGINVFGLGFVTSITFIFLVGVFTSSWLGASVLSLGEWLIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVVLQNYAGDEELYCVYVPTNHLYIGDVFLINANDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRTIEVDRTRSVRS >itb11g01240.t1 pep chromosome:ASM357664v1:11:556388:557746:-1 gene:itb11g01240 transcript:itb11g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFATCVSVSFLLLSLPCLPARTTPAAVNLKPTNNVVNRFDRLVDGSKGSSVHGISELKRYFHHFGYLRRENVTRSTRFTDLFDDSFEHALTTYQANLGLRVTGKLDRETISAITSPRCGVPDDVVLPNSRLHVKKNYAFFSDRPRWNRAVPMTLTYAFSPENTIKSLTGIEIRTAVKNAFGHWASVVPVTFLETSDYGFADIRIGFYAGDHGDGEPFDGVLGVLAHAFSPESGRFHLDAAETWAVDFTTQTSDVAVDLESVATHEIGHLLGLAHTSVQEAIMYPSLKPREKKVELKLDDIKGIQALYGSNPNFSYKAFEESDMSSNGGSDFKNKPTLLSIFLLPFILWFSL >itb05g15280.t1 pep chromosome:ASM357664v1:5:22670712:22674065:-1 gene:itb05g15280 transcript:itb05g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNIAYDLKHILSGFLTASMLLMLCNMIKTDHIDPLLGNFSAIQPITPNNGTKSASRVLISSDPWGLNKTKEVLNPCWRKSTNSKPQESRGLICVTLAQDPEYHVSQIATAVVVAKYLGATLVIPNIRQSASGQKRPFGEIYDLEKFRATLDEKVPTLQAMDAQFAEISNQKVTTVRVPNMVSKEFVKSKIEPIFKTSKNLRLSIFFPSSMKHKRMNPYGCLATFDNSLMLKPQLQASIDSMVGTLRSLNPRSHGRFVAVQTMPGSGFDAKEIASFMKKIGFVNETTVYLTLNDWDTSIIEHFRNIFPNTFTKDVIIPADEKSKFLDPGRPELKQIIDFYISSAADVYIPTSSNLFSDNVIASRIGTTKQQVALVPINRSSLSAEDYIPPYISQKGHWAYSCFCLGGMN >itb08g08600.t1 pep chromosome:ASM357664v1:8:7581653:7588382:1 gene:itb08g08600 transcript:itb08g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKFIVQVEPAKPATDGKPSVGPVYRSVFAKDGFPAPIEGLDCCWDIFRLSVEKYPNNPMLGRREITNGKPGKYVWMTYKEVYDVVVKIGNSIRSCGVEEGEKCGIYGANCPEWIMSMEACNAHGLYCVPLYDSLGAGAVEFIICHAEVKIAFVEEKKIPELLKTFPNAAKYLKTVVSFGRVTPEQKDEVEKFGTVIYSWEEFLLLGEGKCFDLPVKKKTDICTIMYTSGTTGDPKGVLISNNSIVTLIAGVKRLLESVNEALTVNDVYISYLPLAHIFDRVIEECFINHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLERVYSGLQLKISSGGFLKSKMFSLAYAFKFRNMKKGSKHDEASPLCDKIVFSKVKEGLGGRVRLILSGAAPLASHIETFLKVVACCHVLQGYGLTETCAGTFVSIPNRMDMLGTVGPPVPNVDVCLESVPEMGYDALSDVPRGEVCVRGDTVFSGYYKREDLTKEVLVDGWFHTGDIGEWQPSGGLKIIDRKKNIFKLSQGEYVAVENLENVYGQVPVIDSIWIYGNSFESFLVAIVSPNKPAIENWAEQNDIPGDFDSLCENQKVKEYILEELKKIAKEKKLKGFEIIKSVHLDPVPFDMERDLLTPTFKKKRPQLLKYYQSVIDNMYKSTK >itb09g26940.t1 pep chromosome:ASM357664v1:9:27497453:27497722:1 gene:itb09g26940 transcript:itb09g26940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLFTKVLKEGDVFVFPQGLIHFQFNIGHSKAIAFAGLSSQNPGVITIANAVFGSDPPISPNVLTKAFQVDKNVINYLQSQFWYNNN >itb04g29730.t1 pep chromosome:ASM357664v1:4:33031979:33035520:-1 gene:itb04g29730 transcript:itb04g29730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNREDSATLSAAYRAATSSSKALPPHDMETLRRFWKEERNRPVDMRGNTILHFLAVYGNVAALGALIQESLQVSSEELGMKNDDGDTPLHKAARFGQKEAANIILSADINLAWAANILGETPLYVSAAAGEGEVFSILARYDTSQSTLKRNDGCTILHAAVMHERYDVAMKILSLFPQLARMPNGSGMTALNVLATQQHSFRSASPFRFQDLGTMPLYFWQLFETTIYCCIPALFEVTKQHGSTVFTKRKRWPFINCILRNGWLASIDDAKQRHILAVMLARRLIELDEWKYYHLRELQNPLLQATKHGITELVKEILQTHPEAAETWDENGSNIVHIAAMVKNRFLYDYLMKNLVNKDSMLSDIDLKGNTVMHYAAKRGGHKTFPHGPLKQMSWAVLWFKRVQHCTHPSLWNLKNSDGKTATEVFEEEHYTLQNDAESTIRDLANYGLVLAILLATIDFAAVFTVPGGFDQDNGLPIFLKNKHLQLWRLLFFLGAALFASVFNIAGLLGILNTKFDFNDFYIGLPLKYIVVLLGMFFASLFTILACCQALFLEKIADDNGTWYVILGVTVVIVTSLFGHVEVSGPFYSHGNYVLRHLFSYKSQFT >itb01g35390.t1 pep chromosome:ASM357664v1:1:37626974:37630507:-1 gene:itb01g35390 transcript:itb01g35390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRVETAIDENEIRITSQGRMRNYISYAMSVLQEKEPDKIVFKAMGRAINKTVTIVELIKRRVVGLHQITSITSTDITDTWEPLEEGLLPLETTRHVSMITITLSKNELDTKDVGYQLPIPTDQVKVSTEIDYEERSPTGRGRGRQGGRGRGRPRVVSGNGYLDAEYDDGGYDRNRSYGRRGRGRGGNFRGRGRGRGGYNGPQFEMQQDGGYDHEEPVAQGRGRSRNFRGRGRGGYNDPQFDTRQDGGYDYWEPPHARGRGRNFHGRGRGGYNDPQFDMQQDGGYDYDEPAQGRGRGRNFRGRGRGGYNGPNLDTRQDGGYEYESQGQGGGHNFHGRGRGGYNGPQFDTQQDGGYSYEAAAQGRGRGRNFRGHGRGGYNGPQFDTQRDGGYNYEAPAQGQGRARGRGDRGRGRGGFRSNGPIHATARYA >itb07g18810.t2 pep chromosome:ASM357664v1:7:23239661:23242060:-1 gene:itb07g18810 transcript:itb07g18810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKGKITADMAVMDLSQSPLGVRTRAKTLALQRLQSAPSPDSDYLQLRSRRLQKHTSSFREDCKKFAQKRHCQDAPEAVACQKWKLESSSRLRVRSANSSSASITRSDTKEGCLQVLGQGYEAEESCDLGVGENNLEFEARDRSTRESTPCSLIREEDTIKAPSSTTKRTNQTTRTRSSVLTNMPSAEEIDEFFAYAEQQQQRRFIEKYVVYIFRSSTTILIIFTR >itb07g18810.t1 pep chromosome:ASM357664v1:7:23239221:23242060:-1 gene:itb07g18810 transcript:itb07g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKGKITADMAVMDLSQSPLGVRTRAKTLALQRLQSAPSPDSDYLQLRSRRLQKHTSSFREDCKKFAQKRHCQDAPEAVACQKWKLESSSRLRVRSANSSSASITRSDTKEGCLQVLGQGYEAEESCDLGVGENNLEFEARDRSTRESTPCSLIREEDTIKAPSSTTKRTNQTTRTRSSVLTNMPSAEEIDEFFAYAEQQQQRRFIEKYNFDIVNDLPLPGRYEWVRVSQ >itb09g25200.t1 pep chromosome:ASM357664v1:9:25122303:25125160:1 gene:itb09g25200 transcript:itb09g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTKLHLKSLWKRKSDPDLRTSSIPILVSDMESINQRIYNITCESIPAQGIWCKDDGCVFKTIFCPFCANPNNCLGVQVMAADASNVQFLNKILFYSDSLLIKAAETSTMRHEAYRRKQEVVAIDLESRWESLAKRARYCLAWLTW >itb04g23360.t1 pep chromosome:ASM357664v1:4:28457716:28458644:1 gene:itb04g23360 transcript:itb04g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEDNADAMAIDSEDKTHSAPPTPLHDKGFKKKKRSRSFSLLKAALNIFHDKPSEKEENKKPKKKSNDWKRVVESMRPLTLQDKSPSPSVPSMSPSSSFESLTLDAAVLDSPSVSSVSSLGGMSQYASAPNLQALDTGSDDPEEVFEAVGGDEMIDAKAEEFITQFYKQMKLQERQEF >itb12g02490.t1 pep chromosome:ASM357664v1:12:1634727:1636187:1 gene:itb12g02490 transcript:itb12g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVILGLGLVCVALSVLTGTIMAQGVGSIVTKPLFDEMLKHRNDANCASGFYTYEAFIQAANSFAAFGTAGDVDTRKREIAAFLAQTSHETTGGWATAPDGPYAWGYCFKQEQGNPPDYCQASQEWPCAPGKKYFGRGPIQISYNFNYGPAGKAIGADLLNNPDLVATDPVISFKTAFWFWMTPQSPKPSAHAVMTGGWTPSAADTAAGRVPGYGVVTNIINGGIECGKGSNAQMEDRIGFYKRYCDILGVGYGNNLDCANQRPFGS >itb01g09360.t1 pep chromosome:ASM357664v1:1:7572835:7574560:1 gene:itb01g09360 transcript:itb01g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLKVYVYEEGETPLVHDGPCKSIYSSEGRFIGEMESGTTHFRTPNPNLAHLYFMPFSVVSMVRYIYKDDTYDVTPLRHFVSDYVTVISNKHPFWNRTSGADHFMISCHDWGPMASEGNAFLYNTSIRVLCNANSSEGFNPQKDVSLPEINLYGGEISPEIQTPPPPNASRPYLGFFAGGIHGPIRPILLHHWKDKDPDLRVHEYLPEGQDYYSFLLHSKFCLCPSGYEVASPRIPEAIYSECVPVILSEHYVLPFSDVLNWDAFSIQVEVSEIPRLKEILSAVTDDKYVRLKEGLRAVRKHFVFNQPAQRFDVFHMILHSVWLRRLNLSLG >itb11g21460.t1 pep chromosome:ASM357664v1:11:23182379:23189910:1 gene:itb11g21460 transcript:itb11g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDSGSEVEPEDSESEPEFVEIDPSGRYGRYKEVLGKGAFKKVYRAFDEWEGIEVAWNQVKVTDLLKNSVDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKKVEVRALKNWSRQILEGLSYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECANAAQIFKKVTAGVKPASLAKVKNPGVKEFIEKCIARVSERLSAKELLMDPFLLTDDDSGSLARSPFIPHYADSHRSYELNSSKAPVPEGGRDFTVQGQRKDLNTIFLKLRIADSSGHIRNIHFPFDIQVDTANAVASEMVEELDLTDQDVSAIAAMIDAEIRSYIPDWAPIERSDDHIADEHTISDAATFASHDELSTIECTRTAGLVLEKLPSGRKYWSDSPKTTSPANSPLRPLRAGSPYAEENEKPLPHSEKDESGSPNAAAANEHLETESNTDNYMEQEVSALRNSYMGEIDHSADLVFANGPNQLGMQNATSYKTYSDLTKRTVQKLEQLMFEQRKELEELKKKHEMAILSLVEELPREVRYGVLAICGKQISVCRPEYER >itb01g09280.t1 pep chromosome:ASM357664v1:1:7488935:7499643:-1 gene:itb01g09280 transcript:itb01g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNMASSPSSPLHCSSSSSSSWHFIKYLAGSSPSEYDSNSSTKPTCTQILLASSIGFIFAAVMHFRIKKIRDLKIVPRVKVSESGQILKLERFSHYVARQIGFADKRECPNLCKLASDYIRKIEGCEEEIYNFFANEPGADSLFIKLVEELERLILSYFAFHWSQASNMISQILSATDYSEPKKKLKNIVMAATREQRFERVTKNLKVARVFTTLVEEMKAIGLVAADDSKCTDVMVPMAHKDRSPVLLFMGGGMGAGKSTVLKDILKEPFWVGAAANAVVIEADAFKESDVIYKALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWLPFVVQTITMARNVHRRRYRMGAGYKVEDDGTVTENYWERIDEEPDLVDGVKKRRPYRIELVGVVCDAYLAVIRGVRRAIMCRRAVRVNSQLKSHKRFANAFTTYCNLVDNARLYSTNALEGPPKLIGWKDRERTLLVDPDEINVLKVVGRLNEDADCIHKLYNHPHPAYQKDSRRRKRELFSLNPLNLNPCLIQWISEHLWFFSLVV >itb13g02350.t1 pep chromosome:ASM357664v1:13:2206439:2207674:1 gene:itb13g02350 transcript:itb13g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKKQSCGLRCDADWRNKKQSYLESLPPKELRRDIAAAARSPSSPQRCRRHPLPRLREPKLAVVRRLKPPANQNPASSRADETM >itb01g31920.t1 pep chromosome:ASM357664v1:1:35539623:35547723:1 gene:itb01g31920 transcript:itb01g31920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDSNALKWVREGSGNNHKNEVHYTPMSTHRARIDTLTGLRSGGRSAGLPPSKFQSGHLSGVIPVSRVIHADSDDNGTASENDMCTDSEEEVYGGRYSLDSSPQDERLPARGAAQRYYNYAQRRVPQYGSESAFSDDVDVNSSRETIGRGIGHVAERAVRGTSRFPERSGAYTEEEEESDSAASSEFSTTQVGTNSGTVLRSATYASEDYSSSVPSKSNRGSLTQKDMVAGSLGKVSFDEEIPSAPPICGSAGEIKQDNDEVPASGATCKSSAVDANGPSAGAHANISASIHKQENVTNLSGSPLRTTVGVENGGPSGSHPTRLPTFHVSALGPWHRIIAYDACVRLCLHAWARGCMEAPMFLENECALLREAFSLQQVLLQSEEDLMAKRSSERTETAIEGAAPKSKQLAGKMKVQVKRVKMVVDPPTGCSFSSLKAPNLKMDCVKQRLYNLRLSISSGWRALCKVRFAPQAPRNGSFSRQSLAYMQASTQYIKQVSGLLKIGVTSLRSSPSSYDSVQESYSCLLRLKSSREEDVVRMQPGSSETHIFFPDSLADDLIVEVYDSKGQHYGRVLAQVATIAEEPGEKLRWWSLYHEPEHELVGKVQLFINYSTIIDENSNLKCGSVAETVAYDLVLEVALKIQHFQQRKLLLHGPWKWLLSEFASYYGVSDTYTRLRYLSYVMDVATPTADCLTLVYELLLPVMMKGNSRSTLSHQENRILGEIEDQLEQIFALVFENYKLLDESAPSGLMDIFRPATGVAPPALEPAVKLYSLLHDILSPEVQNKLYSHFQAAAKKRSRRHLTDTDEYVSGNNEATLMDAVTVSTAYQRMKSLCTNIRNEIFTDIEIHNQNILPSFIDLPNLSSAIYSAELCSRLRAFLIACPPTGPSPHVTALVIATSDFQRDLANWNIKPVKGGVDAKELFHLYIMLWIQDRRLALLESCKLDKVKWSGVRTQHSTTPFIDEMYDRLKETLNDYEVIISRWPEYSSFLESAVADIEKAILEALEKQYADVLSPLKENLGPKKFGLNKYVQKLAKRSVCHYVMPDDLGILLNSMKRMLDILRPKIEQQFKSWGSYIPEGGNTAPGERLSEVTVMLRSKFKNYLQAVVDKLAENMKAQGSTKLKKILHDTKDALTESDVRSKMQPLKDQLTSTINHLYTILGPTVFVALCRGYWDRMGQDVLSFLESRKENRAWYKNSRIAVSILDDTFASQMQQHLGHSLQEKDLEPPRSILEVRSMLCRDGSANKGPNYYY >itb09g10710.t1 pep chromosome:ASM357664v1:9:6611128:6613921:1 gene:itb09g10710 transcript:itb09g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVLDVSDPTGPNPQNQNGVHSDPTLRPAATHPVVLSPEEQKTPISRAEIDTSAPFESVKEAASRFGGIGFWRPASQKPSPNSPQGAEEFDIAKVEEQAAQLEKDLIAKELETFDVLKELESAKHVVEELKVKLQEASKLSDSLNADSEAKLDVAFELDDKKDGDENVDGIDGRKTGDSLDTDAAFELGEKESDENVNGGSDMCVSSAPGVVDSGLQFVSVPVLLELKQAKLNLSRTTNDLAEIRATVESYNKKIEKERVFLEKTRQRLSSNTAKVSSLEEEINQTKQKLQLVKDCEDGSCDYMDVTRELQRLSSETDQCKNIGEAAKSEVLRAMLEIEQTKTRIKTAEIRLVAAKKMKEAARASEAVALAEIKALSNTENSFTALKRKPEEGITLSFEEYSSLTSKARDSEESCKRRVVDATLLVDEANVSTTEILKKVEEATEEVKISKKALEEALSRVEAANRSKLEVEEALRKWRSERGQRRRSIQNSTKFKNAYSTQHRKDSQLLDVNGLTLITDEAKPVLKSSLSIGQILSRKLQLAEEFENGILAEKSSGKRKVSLAQMLGKTNSSLTNSKDDKENAHKQQQPPAKRKKFGFSRISLLVTKQSKKKKQTPSYRCRSV >itb04g12800.t3 pep chromosome:ASM357664v1:4:12541929:12548242:-1 gene:itb04g12800 transcript:itb04g12800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMDWDDGEGDGFMDEFGGEYFNYHHNNEDNVNRNEHDMLPKVTDTSAAQAREGKDVQGIPWDRLNITRQSIRVTRLEQYRNYENIPLSGESVDKECKQTEKGANYYDFFYNTRVVKPTLLHFQLRNLVWATSKHDVYLFSNCSLMHWSSVSHNLSEVLNFSGHVIPAEKHAGSLLEGLSQTQVSTLAVKDRFIVAGGFQGELICKHLDKSGVSFCTRTSYEDNAITNAIDIYDSMSGKLHFMASNNDCGVREYDMERFQLVNHFHFLWPVNHTSISPDRKLVTVMGDDLDGLLVDAQNGKTVASIVGHRDYSFASAWHPDGRTFATGNQDKTCRVWDVRNLSEAVAVLKGNIGAVRSLRFSSNGEFLVVAEPADFVHIYSTEANFKKRQEIDIFGEIPGVSLSPDDESLYIGVWDRTYASLLQYNRRHSYRYLDAFM >itb04g12800.t2 pep chromosome:ASM357664v1:4:12541929:12548242:-1 gene:itb04g12800 transcript:itb04g12800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMDWDDGEGDGFMDEFGGEYFNYHHNNEDNVNRNEHDMLPKVTDTSAAQAREGKDVQGIPWDRLNITRQSIRVTRLEQYRNYENIPLSGESVDKECKQTEKGANYYDFFYNTRVVKPTLLHFQLRNLVWATSKHDVYLFSNCSLMHWSSVSHNLSEVLNFSGHVIPAEKHAGSLLEGLSQTQVSTLAVKDRFIVAGGFQGELICKHLDKSGVSFCTRTSYEDNAITNAIDIYDSMSGKLHFMASNNDCGVREYDMERFQLVNHFHFLWPVNHTSISPDRKLVTVMGDDLDGLLVDAQNGKTVASIVGHRDYSFASAWHPDGRTFATGNQDKTCRVWDVRNLSEAVAVLKGNIGAVRSLRFSSNGEFLVVAEPADFVHIYSTEANFKKRQEIDIFGEIPGVSLSPDDESLYIGVWDRTYASLLQYNRRHSYRYLDAFM >itb04g12800.t1 pep chromosome:ASM357664v1:4:12541929:12548242:-1 gene:itb04g12800 transcript:itb04g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMDWDDGEGDGFMDEFGGEYFNYHHNNEDNVNRNEHDMLPKVTDTSAAQAREGKDVQGIPWDRLNITRQSIRVTRLEQYRNYENIPLSGESVDKECKQTEKGANYYDFFYNTRVVKPTLLHFQLRNLVWATSKHDVYLFSNCSLMHWSSVSHNLSEVLNFSGHVIPAEKHAGSLLEGLSQTQVSTLAVKDRFIVAGGFQGELICKHLDKSGVSFCTRTSYEDNAITNAIDIYDSMSGKLHFMASNNDCGVREYDMERFQLVNHFHFLWPVNHTSISPDRKLVTVMGDDLDGLLVDAQNGKTVASIVGHRDYSFASAWHPDGRTFATGNQDKTCRVWDVRNLSEAVAVLKGNIGAVRSLRFSSNGEFLVVAEPADFVHIYSTEANFKKRQEIDIFGEIPGVSLSPDDESLYIGVWDRTYASLLQYNRRHSYRYLDAFM >itb09g06390.t1 pep chromosome:ASM357664v1:9:3677035:3678358:1 gene:itb09g06390 transcript:itb09g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCKSAARVFCDSDQANLCWECDARVHSANFLVAKHSRTLLCQACQSQTPWTGSGPKLGPTVSVCQACFGRNSSTSAPDHDLENGAGDQDDDDDEEEGENGGDEDESDHNSEEEEEEEDNQVVPFSSSTPLQLQQPPSLPSTSSSSEDSSTRFYRETDPSRNGFLSKRTDENASSHYDGIERPDENAYQRTRSWTWR >itb04g08640.t1 pep chromosome:ASM357664v1:4:7194332:7194899:1 gene:itb04g08640 transcript:itb04g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKKQVMIFAVDDSEHSFYALEWTLDHLIIPTNSLFNIVVVHAKTSPSGVIGLAGPGASDVLQAVEADLKRAATKVIEKAKDLCKKKGVKDTDMAFDLVDGDARNVACDAVDKHQASLLVLGSHGYGTFKRAVLGSVSDFCSHHANCSVMIVKQPKTSN >itb15g06510.t1 pep chromosome:ASM357664v1:15:4329336:4330807:-1 gene:itb15g06510 transcript:itb15g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSIHFNVAFTLSCLLFSLLSGMSSAQLSATFYSKSCPNALSIIKSAVKSAVQSEARMGASLLRLHFHDCFVNGCDASILLDDTTNFTGEQTARPNNKSIRGLNVIDNIKAQLEKSCPGIVSCADVVAVAARDSVVALGGPSWNVLLGRRDSTTASLSAANNNIPGPNFTLSQLKSSFSNQGFSEREMVALSGGHTIGKARCTTFRSRIYNDNNINAAFAKSLQANCPQSGGDNNVASLDTSPTSFDNVYFKDLQNQKGLLHSDQELFNGGSTDSVVNSYSSNPSTFSADFANAMLKMSNLNPLTGTSGQIRKNCGKTN >itb06g14830.t1 pep chromosome:ASM357664v1:6:19290544:19293547:-1 gene:itb06g14830 transcript:itb06g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKDLMRAGRCEKAGERNLSRCERNRGASGPRMHRPVNLPLQRGGGLRCSVKPASRGALQSKDGEASAFAETPPFNSPLGTGRPEDSTQSPAFRASPIVPPS >itb15g05320.t1 pep chromosome:ASM357664v1:15:3403575:3404033:-1 gene:itb15g05320 transcript:itb15g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLIPLLLLTFLLTHNAPLANGVTIFGQNVAGLWVRGVVACSTTGNLPGRGLAGVNVTIVCNGARTEPLAQILTDGNGVFNAILTALEGIAFDQSPAPCEAIVHTPIVGCELLGRPGLLRAVVTVAANLVQTVLGLVATTVLGPFQFVPI >itb03g15580.t1 pep chromosome:ASM357664v1:3:14881464:14886178:1 gene:itb03g15580 transcript:itb03g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLSCMEQLILRCGLFVPVMSLSSLDTDERVWGFGTLNPSNPSVTNSSTIAAIVTSLGGPPATVGIVRLSGPSAVSIVGRLFRSLKKKKKKKIRRKDDTSEWRPKSHVVEYGVVSDSRGNTDERVWGFGTLNPSNPSVTNSSTIAAIVTSLGGPPATVGIVRLSGPSAVSIVGRLFRSLKKKKKKKIRRKDDTSEWRPKSHVVEYGVVSDSRGNVSDEI >itb07g13290.t1 pep chromosome:ASM357664v1:7:15441491:15451624:1 gene:itb07g13290 transcript:itb07g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLGASSNCSGSSTVGRKCYLYGECISVEVCGCGQEMVLRTSWTNETRKCIGSVNVDLMLQMEVPRKRPAQKRATVKPIKPEAVIVISPDTKEEVKEKNSLRRKAAAEDSFSKQGRCTCVIVKETSPDGFNGGTLYSLYTYKCIGSVNVDLMLQMEVPRKRPAQKRATVKPIKPEAVIVISPDTKEEVKEKNSLRRKAAAEDSFSKQDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQGGGVFISDPKKPAGGHVLAHTHLQQKLAQMLSRLTKIAEEFNVAVYMTNQGGGVFISDPKKPAGGHVLAHTHLQVIPASYLAGGSN >itb15g15060.t1 pep chromosome:ASM357664v1:15:13567711:13569528:-1 gene:itb15g15060 transcript:itb15g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSAGAAGSAECGNCGAVERRLPLHDVRHRGNFRKLCTTCVLRLHSQSFCPTCFTVYNPSPPRSSQNDAVTCFKCYSSSHTQCVGPNPPNPYICPLCVTPNSSIFALRKATGASVDAKIGNGNSNSCRVIDKAAARVLLAAARIAATSMSKAAMAARTEAERRAKEAAFTRKRAREALEHVTHLAAKEKVRRKEVLLVSSQVRPSGGGNVGSIVVNKGDIGRNATPLPVVVKNQRENLERVDTSSEVLAALNAVDLTGNEGLQGSEGHNELAEVQAPDNTVAMDVEENEGLAVAPDNVNGLSIVHNNDGGDENGKSESLAKIEINNGQEVRINSGMVSIPARGDQDQQTRHSLAVEHNKSVLQE >itb09g06970.t1 pep chromosome:ASM357664v1:9:4050137:4051529:-1 gene:itb09g06970 transcript:itb09g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPVINMEKLNGAERGDAMELIKDACENWGFFELLNHGIPHEVMDNIEKLTKEHYKKVMEQRFKDLVASKGLEGVQAEVTDMDWESTFFLRHLPVSTISQVPDLDDNYREAMRDFAKRLEKLAEELLDLLCQNLGLEKGYLKKAFYGSSAPNFGSKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTDGARMSIASFYNPASDAVIYPAPALVEKAADDEKVYPKFVFDDYMKLYAGLKFQPKEPRFEAMKAAQTA >itb15g07060.t1 pep chromosome:ASM357664v1:15:4750059:4752230:1 gene:itb15g07060 transcript:itb15g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAPSKCMSCDDEADNRHENQTLAIQNLVKRLQIVAKSHKENNGETTTNDECGDTREKSSSSVAFCGVNICRERRAAVLVCLFEGRDGELRVILTKRSMNLSTHPGEVALPGGRTDEEDADDSATALREAKEEIGLHPSLVQVVANLKPFISLHLLTVVPVVGLLAKVEEFKPLLNADEVDAIFDVPLEMFLQEGDIHTRVEKEWEGWKYVCHRFEHQTSKKERYVIGGLTASILMHAASLIYRRTPPPFIEHLPNFTQLQLQLMLKQPYH >itb15g07060.t2 pep chromosome:ASM357664v1:15:4750059:4752230:1 gene:itb15g07060 transcript:itb15g07060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAPSKCMSCDDEADNRHENQTLAIQNLVKRLQIVAKSHKENNGETTTNDECGDTREKSSSSVAFCGVNICRERRAAVLVCLFEGRDGELRVILTKRSMNLSTHPGEVALPGGRTDEEDADDSATALREAKEEIGLHPSLVQVVANLKPFISLHLLTVVPVVGLLAKVEEFKPLLNADEVDAIFDVPLEMFLQVVAAY >itb13g06370.t1 pep chromosome:ASM357664v1:13:7655343:7659627:-1 gene:itb13g06370 transcript:itb13g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELWNGSSAFAGSLLEIDAILSMSMIAQLVASVSLPRGFSRPCLPEYRRPAYSLEQYRRQHRSAASALLVSSQYSVAMEAKFFRFLKIVGVGFKARAESEGRLLYLKLGYSHEVELTVPPAVRVFCFKPNVICCTGIDKQRTHQFAAAIRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >itb11g18690.t1 pep chromosome:ASM357664v1:11:19134571:19142116:-1 gene:itb11g18690 transcript:itb11g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETKEKKEGSGSENVRPQSTRKKKALGWIEWLRGLLFVVYELLFQRIAASHLENPMPLPPVNHLTCIVTGSTSGIGREIARKLAEAGAHVVMAVRNTKAANELIKKWQEDWAGKGLPLNIEVMELDLLSLDSVVRFADAWNARAGPLHVLINNAGIFSICEPQKFSKDGYEEHMQVNHLAPALLSILLLPSLIRGSPSRIVNVNSIIHYTGFVDSEDMNVVSGKRKYRSLVGYSGSKLAQVMFTSVLQKRLPAEAGINALCVSPGIVQTNVARDLPKFIQGAYHLIPYLIFTPEEGSRSTLFAATDPQVQEYCEMLKADEWPVCAYLSQDCRPMNPSEESHDLETSYKVWEKTLELIGLPTDAVERLIEGEEVECKFGKPKD >itb06g24430.t2 pep chromosome:ASM357664v1:6:25991937:25996002:-1 gene:itb06g24430 transcript:itb06g24430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVEGKRSELWEIGKRKWGSGKMVLKKAAAAFRESRVEKLRSSVIFSRSRMKLWMIRATTSVLLWTCFIQLTTFGETWGPRVLKGWPSCLSQDSISAMHLNAAKDVPATVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFHDIFDVDHFITSLRDEVRILKELPPRLKRRVEMGMFYSMAPISWSDISYYENQILPLIRKYKVVHLNRTDSRLANNGQPLEIQKLRCRVNFSALKFTPQIEELGRKVIGLLRQKGHFIVLHLRYEMDMLAFSGCTQGCNNDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRALDIDPSIQIYIAAGEIYGGDRRMASLAASYPNLVSRKSRNQSFIAQLASVPWIHHTYWWPFSTG >itb06g24430.t1 pep chromosome:ASM357664v1:6:25991913:25995953:-1 gene:itb06g24430 transcript:itb06g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVEGKRSELWEIGKRKWGSGKMVLKKAAAAFRESRVEKLRSSVIFSRSRMKLWMIRATTSVLLWTCFIQLTTFGETWGPRVLKGWPSCLSQDSISAMHLNAAKDVPATVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFHDIFDVDHFITSLRDEVRILKELPPRLKRRVEMGMFYSMAPISWSDISYYENQILPLIRKYKVVHLNRTDSRLANNGQPLEIQKLRCRVNFSALKFTPQIEELGRKVIGLLRQKGHFIVLHLRYEMDMLAFSGCTQGCNNDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRALDIDPSIQIYIAAGEIYGGDRRMASLAASYPNLVRKETLLDPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLDYKKTILLDRRLLVDLIDQYIAESLTWVEFSNAVKATHADHMGNPAKRLVIPDRPKEEDYFYSNPWECLQPSNEDGALSSSSSI >itb06g24430.t3 pep chromosome:ASM357664v1:6:25991937:25996002:-1 gene:itb06g24430 transcript:itb06g24430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVEGKRSELWEIGKRKWGSGKMVLKKAAAAFRESRVEKLRSSVIFSRSRMKLWMIRATTSVLLWTCFIQLTTFGETWGPRVLKGWPSCLSQDSISAMHLNAAKDVPATVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFHDIFDVDHFITSLRDEVRILKELPPRLKRRVEMGMFYSMAPISWSDISYYENQILPLIRKYKVVHLNRTDSRLANNGQPLEIQKLRCRVNFSALKFTPQIEELGRKVIGLLRQKGHFIVLHLRYEMDMLAFSGCTQGCNNDEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRALDIDPSIQIYIAAGEIYGGDRRMASLAASYPNLVSRKSRNQSFIAQLASVPWIHHTYWWPFSTG >itb01g22420.t1 pep chromosome:ASM357664v1:1:28316525:28317709:1 gene:itb01g22420 transcript:itb01g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISIGPYFHRKMKRHSRKMKNLKERYLQSFLKRAEGRGLTKENISNKLKGLESRAKSYYGDPIIDMEGYEFVEMLLHDGCFVVEFVVRSKSTTTGCSSDPPPIHVPDWMRVQIVRDMLLMENQLPFFVLNELYELIMDTTQDRTPFLNLVEFTFSDVLSKLRYFSLILNEVNDQEIKHLLQLVHILCRPHQSQVTEIRTPHPATSMESSYIRCASELLEAGVDFKKVAGHNMMSLFNIRFNRGTLEIPSFRLGDSSVSLFKNLIAYEQHSVDVYPKYFSDYVVFMDDLIKTDKDVSVLRLNGIILNGLGNDKEVAHLFNTLSKGVVYSSRDYCYANVCYDLIQHCNKPRNVLMSKLRRDYFHSPWAGISTVAAVLLLFLTATQTITSILGLHK >itb05g20540.t1 pep chromosome:ASM357664v1:5:26589869:26590126:1 gene:itb05g20540 transcript:itb05g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSIFSSFDALSAELFGQKLGLSWVPFSAKEQKELRAPASGGSDKAASPAPAYDDKKPRQEQRRPRFAVELDGIHCFETIIPN >itb10g15990.t2 pep chromosome:ASM357664v1:10:22247679:22251302:1 gene:itb10g15990 transcript:itb10g15990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRQIGEVVGSLKALMVLQHEISINQRQCCLLSDILVQAFDTIAEEIRQNLRLDERNTKWKVLERPMMELHRIFKEGESYINHCLDIKDWWGKAVSLRLNTDCVEFHIHNLLCCFPVVIEAIEAAAEISGQDEEEIQKRRVALMNKYGSDWNDPRLFMWKYGKQYLVPREICSRLERAWKEDRWLLLESIKQKKNTKLGEGLMKMLDGSETTNAKLLHTSVLLRANGYVAKRRLGSGGSHLKEINWLGESFASRSFYGDAEEHAAQISLLLSLSHPNVLQYHCGFHDEVKKEGFLVMELMSKSLDAFIKENSCQKKRISFSIPVAVDIMLQIARGMEYLHSRKIHHGELNPSNILLKPRYSSAETYFQAKVAGFGLSSVKRNYTYKSSSKPGSSAVDEIWCAPEVLAEQEQPGSKCAKYSEKADVYSFGMVCFQLLTGKVPFEEGERPLEGGKIFRNLIAGERPLFPHPSPRYLVNLTKKCWQTDPSLRPSFSSICRILRYIKKVLVINPEHGQPECPPPLVDYCDIEARYSKKLPEDGICTVSQIPYQMFAYKLVEREKASGSCKEKSWDSTNDRVGVIDDLFHRPPDSRSAYSEIIDRKDVGTFVDQRSVISDDTQRKSPADPRSIVGKTPQRRFLPGVSPVEKLGIAEIPEWGKQSSSPTEQNLLLPRDEMPGRKLNPSSGESKKRNGDCMNSADEPKPKESTSDPKPAFPQTPERRPSPVEQTPCGFEAPQNKHSAVVMANYKIARSESTQKRTLSNSAKLTKIPEKKTLSSSEIGHTSSTSPRTPAKDAPSNKKFKDTRASNESAGKLKDRPPSSSPGRIKRTHSSPVFSASGITRTQSVPVSLTIHPLKASSPSRGPPVNTSGYVYPGLLPHPHSNKFNRDGLLQSVMSLKGQRKAQVKDTEIP >itb10g15990.t1 pep chromosome:ASM357664v1:10:22247679:22251302:1 gene:itb10g15990 transcript:itb10g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRQIGEVVGSLKALMVLQHEISINQRQCCLLSDILVQAFDTIAEEIRQNLRLDERNTKWKVLERPMMELHRIFKEGESYINHCLDIKDWWGKAVSLRLNTDCVEFHIHNLLCCFPVVIEAIEAAAEISGQDEEEIQKRRVALMNKYGSDWNDPRLFMWKYGKQYLVPREICSRLERAWKEDRWLLLESIKQKKNTKLGEGLMKMLDGSETTNAKLLHTSVLLRANGYVAKRRLGSGGSHLKEINWLGESFASRSFYGDAEEHAAQISLLLSLSHPNVLQYHCGFHDEVKKEGFLVMELMSKSLDAFIKENSCQKKRISFSIPVAVDIMLQIARGMEYLHSRKIHHGELNPSNILLKPRYSSAETYFQAKVAGFGLSSVKRNYTYKSSSKPGSSAVDEIWCAPEVLAEQEQPGSKCAKYSEKADVYSFGMVCFQLLTGKVPFEEGERPLEGGKIFRNLIAGERPLFPHPSPRYLVNLTKKCWQTDPSLRPSFSSICRILRYIKKVLVINPEHGQPECPPPLVDYCDIEARYSKKLPEDGICTVSQIPYQMFAYKLVEREKASGSCKEKSWDSTNDRVGVIDDLFHRPPDSRSAYSEIIDRKDVGTFVDQRSVISDDTQRKSPADPRSIVGKTPQRRFLPGVSPVEKLGIAEIPEWGKQSSSPTEQNLLLPRDEMPGRKLNPSSGESKKRNGDCMNSADEPKPKESTSDPKPAFPQTPERRPSPVEQTPCGFEAPQNKHSAVVMANYKIARSESTQKRTLSNSAKLTKIPEKKTLSSSEIGHTSSTSPRTPAKDAPSNKKFKDTRASNESGKLKDRPPSSSPGRIKRTHSSPVFSASGITRTQSVPVSLTIHPLKASSPSRGPPVNTSGYVYPGLLPHPHSNKFNRDGLLQSVMSLKGQRKAQVKDTEIP >itb09g07800.t2 pep chromosome:ASM357664v1:9:4644886:4650167:1 gene:itb09g07800 transcript:itb09g07800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMINILFILSILLTPSVGCYTAIFGFGDSVTDTGNHLLLSPSNDIPHMGLPPYGETFFGHPTGRCSDGRLIIDFIAERFGLPLLPPSAGTLLGGINTKGGVNFAFVGAAAMDSLFYEERGISNIYTNVSMRVQLNWFKDMLPSLCTTRSNCGDLFEGSLFILGPFGGNDYRHALFQGSTKEEVWSFAPKVVDAIASAVKELIEVGAKTIMVPGEMPDGCLAVTLSQFLSTSSKDDYDPETGCLIWMNKLAEFHNQLLITQLDHIQKQHPNVLIIYADYYNAAMKLYRSPRKHGNHKP >itb09g07800.t1 pep chromosome:ASM357664v1:9:4644886:4650705:1 gene:itb09g07800 transcript:itb09g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMINILFILSILLTPSVGCYTAIFGFGDSVTDTGNHLLLSPSNDIPHMGLPPYGETFFGHPTGRCSDGRLIIDFIAERFGLPLLPPSAGTLLGGINTKGGVNFAFVGAAAMDSLFYEERGISNIYTNVSMRVQLNWFKDMLPSLCTTRSNCGDLFEGSLFILGPFGGNDYRHALFQGSTKEEVWSFAPKVVDAIASAVKELIEVGAKTIMVPGEMPDGCLAVTLSQFLSTSSKDDYDPETGCLIWMNKLAEFHNQLLITQLDHIQKQHPNVLIIYADYYNAAMKLYRSPRKHGFVGEPKCLSACCGGGGPYNYNSSAECGIPPSTVCSDPSTYIDWDGPHLTEAAYRLISIDLLDGPFTSPKLRDVCATAKPKDPQAI >itb12g10210.t1 pep chromosome:ASM357664v1:12:8264344:8268015:-1 gene:itb12g10210 transcript:itb12g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKLVIWLVISSALLDFVGSEDVGFTYHGFNSANLSLDGAAGVMPNGILMLTNETTFQTSRALYSVPVNFKSASNGSSFSFSTTFVFAIVPGHSSLDGHGMTFAISPVGGLPGGLDAPYLGLFNGSNNGKSTNHVVAVELDTMQTKEFRDIDGNHVGIDINGMISNVSKSAGYFDDTDNTFRSLRLAGSKAIKVWVEYDGKAKQMDVTMAPLMVSKPKKPILSFSYDLSMVFQETMSVGFTAATGAVVSTQYVLGWSFKMNGVALELDPYKLPKLPRIGPKERPKVLVIGVPMVSTVLFVVIFLGVVYYIGRKRKFAELLEDWELEFGPHRFKYKDLYYATKGFSEKELLGTGGFGMVYRGVLKCSKMEIAVKRVSHGSRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYEYMPYGSLDKILFDNPKPTLGWSQRFGVIKGVASGLLYLHEEWEKLVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHLVGTIGYLAPEQTRAGKATTCTDVYAFGAFLLEVVCGRRPLDPQVEDDDDAVLVDRVFSYWCRGEILKTVDSNLGADYVVGEVELVLKLGLLCCQYEPMGRPSMRQAVLYLQGALPLPELSLLRISSAGIRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHLVGTIGYLAPEQTRAGKATTCTDVYAFGAFLLEVVCGRRPLDPQVEDDDDAVLVDRVFSYWCRGEILKTVDSNLGADYVVGEVELVLKLGLLCCQYEPMGRPSMRQAVLYLQGALPLPELSLLRISSAGIRF >itb10g14250.t1 pep chromosome:ASM357664v1:10:20600550:20606697:-1 gene:itb10g14250 transcript:itb10g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNGVSAMEIAEQNPNNADQINKSKFSINVLQLLKSAQMQHGLRFGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKRAITAAVVTEVRFLHLVLYTAERAWSHAMEKKTLPDGPNARQRSYLIGRLRKAVKWAILFQELCAIKGDSKTSLEAEAYAAYMKGNLLFEQDKNWDVALKCFRSARAVYEQLGKYGDLENQVLCREYVEQLEPSIRYCLHKIGESNLQTSELVHIGEMEGPALDLFKAKLEAAMAEARSQQAASMTEFTWLGHRFPISNAKTRVSILKAQELERDIHGPAGDSLPAEKRLVVFDKIFAAYHEARSSIRSDLVTAANSENVKDELSGLDKAIGAILGQRTIERNQLLVSIAKSKFNKVRDDKNEKVTKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFAEECELKSMVFRAQRCFYLAKSYSSAGKRTEAYALFSRARSLADAALKKLHSVDISDQVIVNELEVLSNESRSNSCIEHARGIMEEEKVPENLSKKISDISLSGNDKKLEKFLMEKLDSYESAVGDSNTKVAPRIEVFPPPFQAVPRNPIVLDLAYNIIDFPALDSRMRKDKKGGFISRFWG >itb14g02540.t1 pep chromosome:ASM357664v1:14:2183150:2187842:-1 gene:itb14g02540 transcript:itb14g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSFSLIFSLLLITAFLCFTTTNATSRRLLGPNSPHEAGDGIVGIPAPPYKVPNNGSPKGDAPFAVKKTIGSPYPRLIKTPAPPSKRAPPHA >itb11g15930.t1 pep chromosome:ASM357664v1:11:13776496:13781095:1 gene:itb11g15930 transcript:itb11g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALSVANSTLHQVSNKGLSSEFSGLRSSSSSLPFARRSHDDLHSLVSFQTSVVGGKQRRAVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDDAISVDGKVIKVVSSRNPLNLPWGEMGIDLVIEGTGVFVDREGAGKHIEAGAKKVLITAPGKGDIPTYVVGVNADAYSPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAANELDGILSVCDEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >itb12g27320.t1 pep chromosome:ASM357664v1:12:27772843:27776503:-1 gene:itb12g27320 transcript:itb12g27320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILWQLTKKIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTTLLLIKALHWLAQKRVEYIETTPTVPLLSHIRIVSFMGFLLFIDSLFLYNSVNYLIQTRQASVSLFFSFEYMILATATVSTFVKYIFYVSDMLMEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFINYGVPLHLIRELYETFRNFKIRIADYIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRAQVVPPENGASQTGPTGPRADSQQGTSVASSSQGSQADGERNSNINQHQARIQAAASAASIYGKSFVYTYTPSHAQLWSPGFAMRPPPNKPSDGSASVSSGGEHALGEHSQPLQFANASFAQFPQYAFVPFQLPGHADIGSSSGPLTGDMHPEVQKKVIEEQIKALQHQLTLLPKPNTENKTAAAETCDGKGKAVSSSS >itb03g07330.t1 pep chromosome:ASM357664v1:3:5384395:5389334:-1 gene:itb03g07330 transcript:itb03g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLILSQPHCSLRIRLPKRCSSSFKFRLLFSKPLRAQSSAVYWLYPPPPARSSAFSLEFSRSFSQCHSRSSLPNREQIPSLHHFIPKAASTVTDTIHASDIHGDPETASEALPRGRIYHETYGCQMNVNDMEIVLSIMNKAGYGEVVDVPENAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKSNVAVGRSQSLHPPKIVVLGCMAERLKEKILDAEKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADITPVRISKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDTSGIENEVELGPNWKLSEGFSSKCKVKYVGLRFADLLDRLAIEFPEMRFRYTSPHPKDFPDELLYVMRDRYNICKSIHLPAQSGNSIVLERMRRGYTREAYLDLVQKIRDIIPDMGISSDFICGFCGETEEEHRDTVSLIKAVGYDMAYMFAYSMREKTHAHRNYEDDVPDDVKQRRLTELIEAFRESTGQFYDAKVGTVQLVLVEGPNRRAPDTELIGKSDRGHRVSFTNLPIPDNVDNNGKRNPKVGDYVEVHITKSTRATLFGNALAITKLSSFYSSLHEEAVACANRS >itb05g19830.t1 pep chromosome:ASM357664v1:5:26142356:26143775:-1 gene:itb05g19830 transcript:itb05g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQHLTNPNPYSSLFYFNPPIPLASKTLKPYTKPYSPPTTVKAILQYNRKPQLAGETPRAVVITSGKGGVGKTTTTANIGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALIWLVEALKARDTGVPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGVIPEDTEVIRSTNRGYPLVLNKPPALAGLAFEQAAWRLVEQDSMEAVMVEEQPKKRGFFSFFGG >itb13g03070.t1 pep chromosome:ASM357664v1:13:3028181:3030388:-1 gene:itb13g03070 transcript:itb13g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSCPVTASPMHFLPDSNPPYNLLHTHQSLSLLSKCRTIENLRQIHSQIIKCGLHHSPFALSKLVQFCAISPYGDISYALSIFDTIENPNVVVYNMMIRGYSLSSSPGLALEFYRRMLFSGNRPNSYTFPFVFKSCARMAMGRVGKQVHGHVLKVGLESDVYVHASLVNMYAQTGELSCARLVLDKSSYRDAVSFTSLICGYVLRGFLDDARKLFDEIPVRDVVCWNAMISGYAQVGRYKEALALFEEMKRENVTPDVSTLLSLLSACAHLGELGFGIWVHSWIEDHGLSSNLRLINGLIDMYAKCGDLDSAKRLFESMGERDLISWNVMIGGYTLKGKYKEGLSVFRQMQRSRVEPNDVTFLSILPACAHLGALDLGKWIHAYIDRHQDDFKNASLWTSLINMYAKCGNVEAAEQVFNGMETKTLASYNVMISGLAMHGDAYKALEVFAEMKEKGFEPDNITFVSVLSACSHAGMVDLGRQYFNSMIQDYNFAPKLQHYGCMIDLLGRAGLLDEAMALVQSMDVEPDGAIWGSLLGACRIHGKVELGEFAAKNLFELERDNPGGYVLLSNIYARAGRWDDVGRIRTFLHNKGLKKVPGCTSIEVDSIVHEFLASDRTHPESEKIYGMLEEVDKLLEMAGHVPDTSQVHRDVDEEWKEGVLSQHSEKLAISFGLVSTKPGTTLRIFKNLRVCSNCHSATKLISKIFNREIIARDRSRFHHFKDGSCSCKDYW >itb13g16460.t2 pep chromosome:ASM357664v1:13:23429354:23436150:-1 gene:itb13g16460 transcript:itb13g16460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHKCSSDDVDVSLNLELPTNDLWFKKKKKLLEDMGSNPLGPFHIQSFATADQLKTAFTLILQQARIINLDELELYFGGDDANNLVGFNSPRNELEALHSVLAAIDQAFPSSKDANVVALQDLRQKTVDFISEFSNRIKEDTKICAECTIEKENSLLQWAESNGVKSKLRIAYVEGAGRGAIATQDLKVGDIALEVPISVIISENLVQESDMYSILKVVDGMSSETMLLLWSMKEKHNRHSKFKLYFDTLPEAFNTGLSFGVEAIMTLDGTLLLEEIVQAKEHLRLQYDELFPALCNDHPDTFPPELYTWEQFLWACELWYSNSMKIMFSDGKLRTCLIPIAGFLNHSTSPHILHYGKVDSTTNTIRFPLSRPCSTGEQCFLSYGNFSSSHLLTFYGFLPRLENPYDVIPLDIDTTMDEGSENAELAPDSTSHMVRGTWFSKNHGVFHYGLPFPLLDHMRRARNPSLKTIALVIFLPPFFPLQFMNFLGDPSTYANSSQKNELVSQKFN >itb13g16460.t1 pep chromosome:ASM357664v1:13:23429354:23436150:-1 gene:itb13g16460 transcript:itb13g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHKCSSDDVDVSLNLELPTNDLWFKKKKKLLEDMGSNPLGPFHIQSFATADQLKTAFTLILQQARIINLDELELYFGGDDANNLVGFNSPRNELEALHSVLAAIDQAFPSSKDANVVALQDLRQKTVDFISEFSNRIKEDTKICAECTIEKENSLLQWAESNGVKSKLRIAYVEGAGRGAIATQDLKVGDIALEVPISVIISENLVQESDMYSILKVVDGMSSETMLLLWSMKEKHNRHSKFKLYFDTLPEAFNTGLSFGVEAIMTLDGTLLLEEIVQAKEHLRLQYDELFPALCNDHPDTFPPELYTWEQFLWACELWYSNSMKIMFSDGKLRTCLIPIAGFLNHSTSPHILHYGKVDSTTNTIRFPLSRPCSTGEQCFLSYGNFSSSHLLTFYGFLPRLENPYDVIPLDIDTTMDEGSENAELAPDSTSHMVRGTWFSKNHGVFHYGLPFPLLDHMRRARNPSLKTIALTQENLEIELEILGDLCSTFEAMMESLGDAETDDQDNVMWDVKLAVEFTNLQQRIVSSIVNSCKAGCELLECELQKCMP >itb06g13610.t1 pep chromosome:ASM357664v1:6:18298866:18303350:1 gene:itb06g13610 transcript:itb06g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLMVDVVVLLVMSCGFVVSDEQAKRLYDFKESSVENDPNGFLRDWGSSSSSSPCNWNGVGCSNGVVTQLALQNAGISGFLHISHLMLLPALRNVHLNGNHFYGNLSSPSAVSCSFESLDLSDNNFSDSLFLDPLLFACNRLAVLNLSRNSIPHADLKFGGSMLQIDISRNKVSNLDLVDYSLSNCQNLNLLNLSDNKFSGQLRSSFLSCKSLSVLDLSGNNISGNLNDLEFGACRNLTVLNLSFNSLSASEFPPSLANCQSLEMLNIGHNNMTGLKVPGDLLAKLKSLRHLVLAHNQFSGEIPWELGQICSTLEELDLSGNQLTGELPSTFTACSSLTSLNLTNNQLSGGFLTSVVSLLGNLRYLSVSFNNLTGPVPMALKNCSRLEVLDLSANAFTGNVPSWFCSRTPVSSLTMMMLADNNLSGTVPSELGSCRNLKKIDLSFNSLSGSIPMEIWSLPNLSDLVLWANNLRGEIPEGICSNGGNLQTLILNNNLISGALPKSISKCTDLVWVSLSSNQLRGEIPEGIGELTKLAILQLGNNSLSGAIPRGLGKCKNLIWLDLNSNSLTGPIPTELADQAGLIVPGIVSGKHFAFVRNEGGTECRGAGGLVEFEGIHPERLASFPMIHSCSSIRIYSGTTVYTFASNGSMIYLDLSYNSLSGIIPASVGSMSFLQVLNMGHNNLTGSIPSSFGGLKMVGVLDLSHNSLQGSIPGSLGGLTFLNDLDVSNNNLSGLIPSVGQLTTFPASRYENNPGLCGVPLTPCNTRSAHGSSGFHEHGKRQATTIGMVLGITVSFLSLCALLVALFRAKKGQDKEEQRDKYIESLPTSGSSSWKLSSVPEPLSINVATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGDVYKAHLRDGMVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKWGSLEGVLHERHKMGASKLNWAARKKIAIGSARGLAFLHHSCLPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKRPINTQEFGDDNNLVSWAKQLHKEKRSHDILDPELITHLSGDAELYHYLKIAFECLDEKPFRRPTMIQVMAKFKESMQHDSESDILDDISVKNSLIDESPEGEP >itb02g05330.t2 pep chromosome:ASM357664v1:2:3197598:3201742:1 gene:itb02g05330 transcript:itb02g05330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVDFCCLVCDADSALFDASQYAFFERNVLEEVELGGLEDEEDSSSVPAGAGGVGGGVGDEDIQEYHLFEKDEGSMLGSLSDLDDLATTFSKLNRNVTGPRHPGVIGDRGSGSFSRESSSAAEWTRDADFNEWFDQHLSDSECYQENKKWSSQPHLNSVHLAESKPLYRTFSYPHQPQIQQLQHCSSEPILVQKSSFTSFPPPGGRSQQASPRSLSHHYDLSSHGPQSPFSSANLSSMSSSNMQLSSLPHRVHYNGNIPQLTSSGPSLNNRLQNHWANHPNPFHRDYSGLLNNVLPHQFPHQNGLLSHQLMSPQQQRLHLSVQPSLAHFSALQSQLYNSFPAPAHMGKHGMPDVRDSRAKSHKSRSVRFSQQGSDAASQKSESNAPQFRSKYMTGEEIESILKMQHAATHCNDPYVDDYYHQACIAKRAVESRSKHRFCPNKEQSSRSRNSAESVPHLHVDAEGRVSFSSIRRPRPLLEVHPPGFASADGSGEQKTSDTPLEKEPMLAARITIEDGFHLLLEVDDIDRLLRFSQPQDSGTQLRRKRQMLLEGMAASLQLVDPLGKTNSSVGLNPKDDIVFLWLVSIPKGKKLISRYLKLLIPGGELARIVCMAIFRHLRVLFGGLPSEQEASETISNLAKVVSKSVCGMDLNSLSACLVAVVCSSEQPPLRPLGSPAGDGATVILKSVLDRATYLLKDPQASHSYSMPNPALWQASFDAFFGLLTKYCVSKYESIMQSIAQTQASTEMISPEAARAVSREMPVELLRSSLPHTNENQRKLLLNFAQRSMPVTGFNSHGGSSGQINPESVSC >itb02g05330.t1 pep chromosome:ASM357664v1:2:3197080:3201742:1 gene:itb02g05330 transcript:itb02g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNGKDLKDFTSSSSSVSDSALFDASQYAFFERNVLEEVELGGLEDEEDSSSVPAGAGGVGGGVGDEDIQEYHLFEKDEGSMLGSLSDLDDLATTFSKLNRNVTGPRHPGVIGDRGSGSFSRESSSAAEWTRDADFNEWFDQHLSDSECYQENKKWSSQPHLNSVHLAESKPLYRTFSYPHQPQIQQLQHCSSEPILVQKSSFTSFPPPGGRSQQASPRSLSHHYDLSSHGPQSPFSSANLSSMSSSNMQLSSLPHRVHYNGNIPQLTSSGPSLNNRLQNHWANHPNPFHRDYSGLLNNVLPHQFPHQNGLLSHQLMSPQQQRLHLSVQPSLAHFSALQSQLYNSFPAPAHMGKHGMPDVRDSRAKSHKSRSVRFSQQGSDAASQKSESNAPQFRSKYMTGEEIESILKMQHAATHCNDPYVDDYYHQACIAKRAVESRSKHRFCPNKEQSSRSRNSAESVPHLHVDAEGRVSFSSIRRPRPLLEVHPPGFASADGSGEQKTSDTPLEKEPMLAARITIEDGFHLLLEVDDIDRLLRFSQPQDSGTQLRRKRQMLLEGMAASLQLVDPLGKTNSSVGLNPKDDIVFLWLVSIPKGKKLISRYLKLLIPGGELARIVCMAIFRHLRVLFGGLPSEQEASETISNLAKVVSKSVCGMDLNSLSACLVAVVCSSEQPPLRPLGSPAGDGATVILKSVLDRATYLLKDPQASHSYSMPNPALWQASFDAFFGLLTKYCVSKYESIMQSIAQTQASTEMISPEAARAVSREMPVELLRSSLPHTNENQRKLLLNFAQRSMPVTGFNSHGGSSGQINPESVSC >itb04g17630.t1 pep chromosome:ASM357664v1:4:20748305:20749722:-1 gene:itb04g17630 transcript:itb04g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDDCTTLIGSANINDRSFLGSRDSDGIFFLFSSFPNHHFSQNWCSLSCMLNHHMQFLDFGNNLTCVGNDCSGYNGLMYAIATVRATDKKSPREAVEFVLQLLKVR >itb07g14700.t1 pep chromosome:ASM357664v1:7:17369934:17370855:-1 gene:itb07g14700 transcript:itb07g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMARNPGESFSFSRRYFHSKIKKLEEEDDDREEILEWKLPEELDLAVPPPRPKTAVSKLRSALTFGLKSRATAQGPLGARVVGTLFGFRRGRVHFAFQEDPRLGPAFLVELAMPTGVLVREMASGLVRIALECERNTGEKIKNKNKKKKPKLLEEPAWRAYCNGRKCGFAARRECGPDDWRVLNSIGPITMGAGVLPGKEDDGIGPDGELMYMRAKYERVVGSRDSEAFYMMSPDGHGGPELSIYLLRV >itb14g09240.t1 pep chromosome:ASM357664v1:14:9546517:9546861:-1 gene:itb14g09240 transcript:itb14g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTINVCDKGPPVLCDEYYGKVVVFPTTTTEAQRLYDNPLDYAIKLMEKAKGRANKDYIRSMVNFIASKGRPPILRSRGSFIVTDLSRLGFSEMNFGWGNPMYGGHHRSCSLPE >itb08g04180.t1 pep chromosome:ASM357664v1:8:3318137:3323189:-1 gene:itb08g04180 transcript:itb08g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFIVFLIFRSTAVSAAACNRSCGGRVSPAELPSPFGFSAGCKIRLNCTSGGTPAVHDFPVYDVTADSILINLPAKCGRPISAAGALFTANYAPTRKNDILLQNCSSPETDCRVPSTEIQTRFDLLDCGSGMDNISCYSEKTKPAGKFIHFDGLMKSGCKSLFSAVAVKSLGDTPAVAMDIQVVQLEWWLTGDCRCSKNAKCTRIGRVGYRCHCFEGFAGDGYQSGSGCRKEITKCNPTKYLSGKCGGTTKVGVLVGGVVAGAVLMVTVGLICCMIRRRITLHNRSKRRRELCATTGITIPVYPYREMEKATNFFSDKRRLGNGAYGTVYSGKLTDQEWVAIKRIRRRGIDSNEQVINEIKLLSSVNHPNLVRLLGCCIEKGEQILVYEFMPNGTLSQHLQREKGSGLSWAVRLKIASGTAQAVAYLHNAMHPPIYHRDVKSSNILLDDEYRPKVADFGLSRLGLTELSHVSTAPQGTPGYVDPQYHQSFCLSDKSDVYSFGVVLVEIITGLKAVDLTRPQDEVNLAALAVDRIGNGCLHEIIDPLIEPHSWFSVHKVAELAFRCLAFHRDMRPSMMEAAVELEQIRLSVQAFREDYSTAASSSEALSSSRSSSSDASDKPLSVSTEKLEIDLGSFASMNSFGEGKPRA >itb14g18790.t1 pep chromosome:ASM357664v1:14:21694925:21698788:-1 gene:itb14g18790 transcript:itb14g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWLWYYFYVNAGGGGGGDGGGSSGGVSVFLSHRIIATMIGGYAAFSSSSCYAFAMGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKGAAKKETTEETEGAAAEEAKKSNHVQRKLEKRQKDRKLDSHLEEQFGSGRLMACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGSGAAA >itb15g05680.t1 pep chromosome:ASM357664v1:15:3672445:3675120:1 gene:itb15g05680 transcript:itb15g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLVEPPERDGGAVPSIRVGAKKGRNVAAMGTAKKKPRLHNAVGLQRLFMLCRQVFDGVPGSVPSSRDVQRLCDILDGMTPEDVGLSSNLQFFQPKTKVEGNPRVTFTTIYNCDNFSLCLFFLPANAVIPLHNHPGMTVFSKLLLGSLHIKAYDWLDRDSELSSRADAVKEGIGRRLARLKADKIFTAPCSTSVLYPTFGGNIHEFKAITPCAVLDVLGPPYTMDDGRDCSYYRDIPFNPSSKAEEDGELYGWLEEIEMPKDGEMDVIQYLGPQVVE >itb09g18500.t1 pep chromosome:ASM357664v1:9:14244136:14244564:1 gene:itb09g18500 transcript:itb09g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFQTTFTQNFNASTQKTTTAVAHFLPVAQLHRHRTHYSPKFPLYSRRPKSLLFQPTSSLQPTPLAANNLSAALHLPQRTKHPPPRRPFSSPSKFVHLQQSPVLLQATGRRFSSAAQRHRRQSTTACVLLRTATQQVAGVQ >itb06g23130.t1 pep chromosome:ASM357664v1:6:25222858:25224104:1 gene:itb06g23130 transcript:itb06g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGVGNIVLVLSILCNVVPSLGTKYTVGDTSGWALSVDYGTWASGKTFKVGDSLVFNYPSGHTVDEVSGSDYKSCSAANSISTDSSGATTIPLKAAGKRYFICSVMGHCSGGMKLEVNVEAAGSGGSTPPSSTSPPASGGGATPDSPATKSAPKQEVPAPSSSPATVSPLAASLFLGVMIVLLAF >itb04g03550.t1 pep chromosome:ASM357664v1:4:2180235:2181885:-1 gene:itb04g03550 transcript:itb04g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHLSDLSSGVGVCASCLREKLFAVILTQAQAQAEARIQQRALQDEGRKSDTNPPVLVFPRSVSPYISRRKSDISAWNQHHQTSRHSLADQRFYSTPQVGPNGAIIAGGEYCKKKKNYSFSLFYNLFRSKSLKPDSCSDPRVSNSEGPRADTTSSSSQSWFSTIFSGRRKKQNRTFSLDEETIGGRRINCHNRDRGMSPARCSDDEEDQHCRGGSSGYSSESSQGWKQTPRRTPAAPSSRRGNGRSSRGRNVSGMTFCLSPLVRASPNQHWNQKGMPPDMAYSGELRAPSSKSHLSSATSFCKNRSRKLADFGRFNYNH >itb04g06410.t1 pep chromosome:ASM357664v1:4:4173344:4175173:1 gene:itb04g06410 transcript:itb04g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHHPIHHAFFFFILLLSFPNYSQSLTTHDDGERGILLSLKQHWENPSSLERWNSTSSPCHHWPEISCIDGSVTEIYLSGMNIRGSFPSKIICQLSNLSSIYLSMNYLWGTIPVGLSNCSKLEELDLFENLLTGKIPGELFSMKTLRFLNLQENMLSGEIPTIPMVAHTLEYLLLSFNQLNGSIPDDIGNFYNLVALDLSMNSFSGPIPARLLQLQQLSYLSLSFNKLSGEIPTQLFSMKKLISLRLGHNMLSGEIPTLMVSQSLQKLDLSSNHLNGSIPATLLRLHQLSYLLLASNNLTGEIPASLDLFSLIYINLSHNHLSGSIPRGFEELQQLETIDVSHNKFSGEICETVSRMSAARLTLRICKNHFSGRIPYQLMDRKYKHRCFDEANLCSYVMDKRLPTCPSQLFSDYSVPQHCASNKPSKSKKIISAIIAVVLIVGLGILILVFRYAWGRRRENDGEEWSIISLQRLEFNKWDILGNLTDENLIGNGGSGKVYRVITKKGQSVAVKSIWHEPKQGQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCAYKWLHGKKRGLTTQVLQWERRLKIAIGASQGLCYIHHS >itb07g23880.t1 pep chromosome:ASM357664v1:7:28213970:28215362:1 gene:itb07g23880 transcript:itb07g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKSDKIFVAGHGGLVGSAVVRKLSHLGFSNLLFRTHSQLDLAVQSAVDAFFAAEKPDYVVLAAAKVGGIHANNTYPADFITINLQIQTNVVTSAYKHGVKKLLFLGSSCIYPKFAPQPIPEAALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQFKFDAISAMPTNLYGPNDNFHPENSHVLPALLRRFHEAKARNDEKVVVWGSGSPLREFLHVDDLADAIVFLLEHYSGLEHVNVGSGKEVTIKELAELVKEVVGFKGELVWDPTKPDGTPRKLMDSSNLAGMGWTPKISLRDGLVDTYKWYLDNYVQK >itb12g04810.t1 pep chromosome:ASM357664v1:12:3192244:3194401:-1 gene:itb12g04810 transcript:itb12g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTAQKTCKNNNNNPGCFFCTMAEPDPSARRSRIAKCFRELPLRDDKELLIILTGVWRIAVAKPDDPEFPALGLFPCMAKLINYRTNMSIPYYAAHIIGSYTMNTSEFAEMAVRAGVLTPLMELLRGKMSWVEQRVAVRAIGHIASHRATFKAVAAHESEIVKLAMKIACTCIETVYNEFVKMGSEGRMEYQCELMGKGVELQNKKAEAWATQLQCWSLYLLNCFARKKRSINLICNQDFLTQLSSIWGGLENQNSFSGIGLIRTLCLTQNGRENIANSPRLLKTLCNLSRSSDHSQIKAIKILLSLLQDANIRHKTLQISAPFLVDLVELRANRARPKLGDQIAELLLQDYAKTKVEKSESLSHRNLPLYYLVGPEGQGISHFLDEAWELKVGRRKRDELMSERELKQRRVMVSLLKKEGNQKFWAGKVAEAEIMYKKALDLCPLKLRKERIVLHSNRAQCFLIQGEAESAISDTTRALCLSGQANPHLKSLWRRSQAFDMKGMGRESLMDCMAFINACSKAKGRRGMKIPYYAIRMLNKQMNATWIFAAAAAKIDDDETQRAVVLLENGETKEKKKKKKFLDGKMEKLIGNGMHKKKGLWRRISIKKNKGLDRRSSVDF >itb02g13020.t1 pep chromosome:ASM357664v1:2:9032690:9040960:1 gene:itb02g13020 transcript:itb02g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRELRGGEVCERRRFGQHMPATTTRTVAAGDSCLTSITADSFCKDGRKISVGDCALFKPPHDSPPFIGIIRRLTSRNDNILDLSVNWLYRPAELKLGKGILPEAAPNEIFYSFHRDEIPAASLLHPCKVAFLPKVVELPTGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVDQLLQKTRLEMHASLQPGGRSPKPTSVPMSTSHSKPGSDNMQNSVTSFSSQVKGKRRDRGDQGPDQVKRERSIKLEDSDSGLYKTESVLKSEISKITERGGLVDFEGVEKLVQLMQPDRVERKMDLISRSLLAGVVAATDKFDCLNRFVQLRGLLVLDEWLQDVHKGRVGDGNPKDGDKYVEEFLLVLLRALYKLPVNLQALQMCNIGKSVNHLRSHKNMDIQKKARGLVDTWKKRVEAEMNMIDSKSGSTQAVAWPSKSRLPEASHSGNKNPSGSNDATTKSSVPLLSASKTASIKPLQGESILKSASSSPGPLRSASSPAPGKDSQTRLSISGASEVPLIREDKSSSPNQSHNHGQSLSGKEDARSYTAVSTSNSKISNSSSRRKSVNGFPGASVSGGQKESAAVRGTSLHKNSIPEKLSQSAQLGEKAVEVPVAEGSSHKLIVKIPNRGRSPTQTVSAGSYEDPNIMNSQASSPALSEKHDQFDRSVKEKNDACRSNAASDVNSESWHSNDFKDILTGSDEGDGSPAAVPDEGRSKTVDDGKPMEVSKITSSTSGAELKSGKLHEASFSSMNALVESCAKYSEANASMSIGDAVGMNLLASVATEEMSKTDMTSPSASQRNSPADEACMSDDSRSKSPLFDSFPSECNQKKDNLDDNNEKKVEVGSSWTAEKLHQNRSAISETSGDIKPNVSTEANTTAECVKHISSLCIDSKVAAGSSLEMNERSVERDKSSSSPSSGASKGNDGELNKKSQERREIPGGGGSLQEEANDTKLCDNDTSMTEDNLCKAPTSMDDQKRLGEGLSSNSSFEGDRKSSGTTCAGVNPCVATMNCGVMEKLEKEEMVPASSSRDLIAHKVCGAEDTKNDNVNQSERQIADQGGGTPVPDAKALGNADSAFTDKKEHNEGNVEARDIRLQYSGGSLSQTEIAGIASLELEKHMDSRESKFCSAFLDKTSDPVPTIVETSSVSAALSPETSSKMKFDLNEGFVSDDGKYGEVVSTTTPGCSSTAHVMNPLPFPVTSMPSSVPASITVAAAAKGPFVPPEELLRFKGEIGWKGSAATSAFRPAEPRKVLDMPLGSLAVSHSEASSSKHNRPLLDFDLNVPDERVFEEIRDSVAVLGSMSNHTSNYGLKKEASDSPSVRSSGGLDLDLNKADEPNDVGQCSVSSVHRFDGQVAPIKPSQGGPSREVRRDFDLNNGPGVDDASIEQSLFLQNIRGNINSQPATSSLRMNNQDLGSFSSWVPQGNPYSTVTLMPDRGDQSFPILAPGTQRILGHAPAGTPFPPDVYRGSVLSSSPAVPFQSMFPFGTTFPFGTTFPLPSATYSVGSNSYIESASGGRPFAPLVNSQLLGHVSAVSSQFPRPYMVGHPDGTSNGGADNRKWARQGLDLNAGPGAVDIEGGEESVPLASRQHSVVGSQALAEESSRLYQIPGGSIIKRKEPDGGWDNDSFRYKPSSWQ >itb02g13020.t2 pep chromosome:ASM357664v1:2:9032690:9040206:1 gene:itb02g13020 transcript:itb02g13020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRELRGGEVCERRRFGQHMPATTTRTVAAGDSCLTSITADSFCKDGRKISVGDCALFKPPHDSPPFIGIIRRLTSRNDNILDLSVNWLYRPAELKLGKGILPEAAPNEIFYSFHRDEIPAASLLHPCKVAFLPKVVELPTGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVDQLLQKTRLEMHASLQPGGRSPKPTSVPMSTSHSKPGSDNMQNSVTSFSSQVKGKRRDRGDQGPDQVKRERSIKLEDSDSGLYKTESVLKSEISKITERGGLVDFEGVEKLVQLMQPDRVERKMDLISRSLLAGVVAATDKFDCLNRFVQLRGLLVLDEWLQDVHKGRVGDGNPKDGDKYVEEFLLVLLRALYKLPVNLQALQMCNIGKSVNHLRSHKNMDIQKKARGLVDTWKKRVEAEMNMIDSKSGSTQAVAWPSKSRLPEASHSGNKNPSGSNDATTKSSVPLLSASKTASIKPLQGESILKSASSSPGPLRSASSPAPGKDSQTRLSISGASEVPLIREDKSSSPNQSHNHGQSLSGKEDARSYTAVSTSNSKISNSSSRRKSVNGFPGASVSGGQKESAAVRGTSLHKNSIPEKLSQSAQLGEKAVEVPVAEGSSHKLIVKIPNRGRSPTQTVSAGSYEDPNIMNSQASSPALSEKHDQFDRSVKEKNDACRSNAASDVNSESWHSNDFKDILTGSDEGDGSPAAVPDEGRSKTVDDGKPMEVSKITSSTSGAELKSGKLHEASFSSMNALVESCAKYSEANASMSIGDAVGMNLLASVATEEMSKTDMTSPSASQRNSPADEACMSDDSRSKSPLFDSFPSECNQKKDNLDDNNEKKVEVGSSWTAEKLHQNRSAISETSGDIKPNVSTEANTTAECVKHISSLCIDSKVAAGSSLEMNERSVERDKSSSSPSSGASKGNDGELNKKSQERREIPGGGGSLQEEANDTKLCDNDTSMTEDNLCKAPTSMDDQKRLGEGLSSNSSFEGDRKSSGTTCAGVNPCVATMNCGVMEKLEKEEMVPASSSRDLIAHKVCGAEDTKNDNVNQSERQIADQGGGTPVPDAKALGNADSAFTDKKEHNEGNVEARDIRLQYSGGSLSQTEIAGIASLELEKHMDSRESKFCSAFLDKTSDPVPTIVETSSVSAALSPETSSKMKFDLNEGFVSDDGKYGEVVSTTTPGCSSTAHVMNPLPFPVTSMPSSVPASITVAAAAKGPFVPPEELLRFKGEIGWKGSAATSAFRPAEPRKVLDMPLGSLAVSHSEASSSKHNRPLLDFDLNVPDERVFEEIRDSVAVLGSMSNHTSNYGLKKEASDSPSVRSSGGLDLDLNKADEPNDVGQCSVSSVHRFDGQVAPIKPSQGGPSREVRRDFDLNNGPGVDDASIEQSLFLQNIRGNINSQPATSSLRMNNQDLGSFSSWVPQGNPYSTVTLMPDRGDQSFPILAPGTQRILGHAPAGTPFPPDVYRGSVLSSSPAVPFQSMFPFGTTFPFGTTFPLPSATYSVGSNSYIESASGGRPFAPLVNSQLLGHVSAVSSQFPRPYMVGHPDGTSNGGADNRKWARQGLDLNAGPGAVDIEGGEESVPLASRQHSVVGSQALAEESSRLYQIPGGSIIKRKEPDGGWDNDSFRYKPSSWQ >itb03g06450.t1 pep chromosome:ASM357664v1:3:4704667:4706016:-1 gene:itb03g06450 transcript:itb03g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEADQEQLLQNLQVFKIKGKDKSGRKILQIFGKFFPARIVSVEVVNKFLREKIFPDLENRPFAVLYFHTGVEKNENFLGISALRSLYDSIPAAVRQNLEAVYFVHPGLQSRLYLATVGRIMFTGGLYGKLRYVTRLAYLWDHVRRNAVEIPEYVHDHDADLEYRPMMDYGFETDHTRVYGAPALDSPDPMHSMRCIS >itb10g05390.t3 pep chromosome:ASM357664v1:10:5521142:5524481:-1 gene:itb10g05390 transcript:itb10g05390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGMEMPSTTTTTKTSLPRRRSTEDNSHRRRTVLGDSESSVLDPEDFSDVFGGPPRSVMRRQFSGNEYRKTLFYEEIFTPPENVIPARCGRNLPEFRIPMEKCGRMYDQGFYSDIFGWEEEDEVRRSRTRSGSKSKASSSSVLSSEDVSPLRPAIGEADPDVSFFASKLRPINVASRWNTRKFHEEYPRYQGMPAFSCHPPSYNNTENDHSESLRNTHFGFSRRNSSPETISVDPNSYRSLKISTDHDLDLNSPPSSVASSLGQDQEASRGCGIQDDLLNDDDQVVTEQEEDEVMSSYVIEINTSSGREIMVDEAIGVDEAIAWAKEKFQRHCSETENNPQMAPQQEKEHSDMPSMHQSSDEQIDGTEFTQATIPDEEADKCAEGEGRQNFDTDMEMELLDEKIRIWLTGKEGDIRLLLSTLHHILWFNSGWLPVPLTYLIESSRVKKAYQKARLCLHPDKLQQRGATTPQKHIAEKVFSILQVHTYN >itb10g05390.t1 pep chromosome:ASM357664v1:10:5521132:5524482:-1 gene:itb10g05390 transcript:itb10g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGMEMPSTTTTTKTSLPRRRSTEDNSHRRRTVLGDSESSVLDPEDFSDVFGGPPRSVMRRQFSGNEYRKTLFYEEIFTPPENVIPARCGRNLPEFRIPMEKCGRMYDQGFYSDIFGWEEEDEVRRSRTRSGSKSKASSSSVLSSEDVSPLRPAIGEADPDVSFFASKLRPINVASRWNTRKFHEEYPRYQGMPAFSCHPPSYNNTENDHSESLRNTHFGFSRRNSSPETISVDPNSYRSLKISTDHDLDLNSPPSSVASSLGQDQEASRGCGIQDDLLNDDDQVVTEQEEDEVMSSYVIEINTSSGREIMVDEAIGVDEAIAWAKEKFQRHCSETENNPQMAPQQEKEHSDMPSMHQSSDEQIDGTEFTQATIPDEEADKCAEGEGRQNFDTDMEMELLDEKIRIWLTGKEGDIRLLLSTLHHILWFNSGWLPVPLTYLIESSRVKKAYQKARLCLHPDKLQQRGATTPQKHIAEKVFSILQDAWAVFLSQDIRIG >itb10g05390.t2 pep chromosome:ASM357664v1:10:5521132:5524482:-1 gene:itb10g05390 transcript:itb10g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGMEMPSTTTTTKTSLPRRRSTEDNSHRRRTVLGDSESSVLDPEDFSDVFGGPPRSVMRRQFSGNEYRKTLFYEEIFTPPENVIPARCGRNLPEFRIPMEKCGRMYDQGFYSDIFGWEEEDEVRRSRTRSGSKSKASSSSVLSSEDVSPLRPAIGEADPDVSFFASKLRPINVASRWNTRKFHEEYPRYQGMPAFSCHPPSYNNTENDHSESLRNTHFGFSRRNSSPETISVDPNSYRSLKISTDHDLDLNSPPSSVASSLGQDQEASRGCGIQDDLLNDDDQVVTEQEEDEVMSSYVIEINTSSGREIMVDEAIGVDEAIAWAKEKFQRHCSETENNPQMAPQQEKEHSDMPSMHQSSDEQIDGTEFTQATIPDEEADKCAEGEGRQNFDTDMEMELLDEKIRIWLTGKEGDIRLLLSTLHHVRFSLYFRIQQINYQNYSVHK >itb14g18910.t1 pep chromosome:ASM357664v1:14:21752677:21756217:-1 gene:itb14g18910 transcript:itb14g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPEEVNVVHPLDKERGITLEDCKLIKLHMSNYIARLAQTVTLRQRVVATAISYMRRVYVRKSMTEYDPRLVAPACLYLASKAEECTVQARLLVFYIRKLYSDDKYRYEIKDILEMEMKVLEALNYYLVVFHPYRCLSQLLQDAGMNDATHLTWGIVNDTYKMDLILVHPPHLIALACIYIASVLKDKEITSWFEELRADMNVVTWYYLLIWALGK >itb14g18910.t2 pep chromosome:ASM357664v1:14:21753154:21756217:-1 gene:itb14g18910 transcript:itb14g18910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPEEVNVVHPLDKERGITLEDCKLIKLHMSNYIARLAQTVTLRQRVVATAISYMRRVYVRKSMTEYDPRLVAPACLYLASKAEECTVQARLLVFYIRKLYSDDKYRYEIKDILEMEMKVLEALNYYLVVFHPYRCLSQLLQDAGMNDATHLTWGIVNDTYKMDLILVHPPHLIALACIYIASVLKDKEITSWFEELRADMNVVKNIAMEILDFYDSHKMITDERVNAAMSKLVGK >itb01g25560.t1 pep chromosome:ASM357664v1:1:30975672:30977962:-1 gene:itb01g25560 transcript:itb01g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGDLLSRTRKLVKGFAKAEPVWLKAMEKAPPATFPRAEKKLNPITLPEDVYVKKFFRKHPNSKHEDAIKIRGFDPPPARLFGWRVLELKEQGLNEEDAMAVADMEYRSERKAKKMAYSRLKKIARLQGKEPPPNPYPKAIEEIQEEEKPYVRDRFYNPDILRIVDKLKQERATEMQERRRGSW >itb06g13450.t1 pep chromosome:ASM357664v1:6:18159289:18159711:1 gene:itb06g13450 transcript:itb06g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIFTCCVFILALISVTNTVKCFAARNLLQANNNPVVPGIPTIPGMPTFPITGIPTIPGMPAIPTVQANNPAVPGIPTIPGMPTIPRAAASPMPSIPTQPTFPTIPGIPKFAMPPMPSFPSSFPKIPFPFFSPPPSKN >itb02g16330.t1 pep chromosome:ASM357664v1:2:12203246:12204308:-1 gene:itb02g16330 transcript:itb02g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEESSKMRPTKKTSVIKRQWTKAEDAALIECLIDLSNDRSTKGDNGFKSGYLQQLEKMLQVKLPGSNIKATPHIESRYKLWRRQFLAIQEMLNKGSGFGWNDSEKCVTATKDVFEEWVKSHPTAAGLRNKPFPYLDELMAVWGNDHASGAGAETPADAVEELDQRGDNVDDFQVDWEIGEDEGLGQNEAEHNVDKADLSSCPSTNTANVKKKLTGKKRSRSDDGFNDLVAEIHDYVGAYKHVATYFQNESENNTRKMKIFEEIMQLPGFSTEEIMNAGEHILKDSHKLDTFFALPKELRSHYVVKQLSEINAYHPTFDFHGGDDV >itb12g16310.t1 pep chromosome:ASM357664v1:12:17083094:17085147:-1 gene:itb12g16310 transcript:itb12g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTHNNCLGWAARDSSGLLSPYNFSRRVVGSDDVSLDIAYCGICYADVHWTRNEGGTSKYPLVPGHEIVGIVKAVGSNVQRFKVGDPVGVGTYVNSCRECEYCDEGLEIQCSKGSVYTFDGVDVDGTITKGGYSSYIVVHERYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVISSDEQQMMALSKSFDFLINTASGDISFDLYLSLLKTGGVLALVGFPKQVKFSPGSLSLGMRSIAGSITGGTKLTQEMLEFCASHKIYPEIEIVPIQYVNEALERLINKDVKYRFVIDIDSSLK >itb12g16310.t2 pep chromosome:ASM357664v1:12:17083135:17085147:-1 gene:itb12g16310 transcript:itb12g16310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTHNNCLGWAARDSSGLLSPYNFSRRVVGSDDVSLDIAYCGICYADVHWTRNEGGTSKYPLVPGHEIVGIVKAVGSNVQRFKVGDPVGVGTYVNSCRECEYCDEGLEIQCSKGSVYTFDGVDVDGTITKGGYSSYIVVHERYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVISSDEQQMMVRYHTYTCLVKLCRVMIWLLKNMSVFCYRHCRNHLTS >itb12g16310.t4 pep chromosome:ASM357664v1:12:17083094:17085147:-1 gene:itb12g16310 transcript:itb12g16310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTHNNCLGWAARDSSGLLSPYNFSRRVVGSDDVSLDIAYCGICYADVHWTRNEGGTSKYPLVPGYYIVYIINYNLIDAHFYMYVFIFFSFNLFLYLYIISFFCMHTRHEIVGIVKAVGSNVQRFKVGDPVGVGTYVNSCRECEYCDEGLEIQCSKGSVYTFDGVDVDGTITKGGYSSYIVVHERYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVISSDEQQMMVRYHTYTCLVKLCRVMIWLLKNMSVFCYRHCRNHLTS >itb12g16310.t3 pep chromosome:ASM357664v1:12:17083094:17085147:-1 gene:itb12g16310 transcript:itb12g16310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTHNNCLGWAARDSSGLLSPYNFSRRVVGSDDVSLDIAYCGICYADVHWTRNEGGTSKYPLVPGYYIVYIINYNLIDAHFYMYVFIFFSFNLFLYLYIISFFCMHTRHEIVGIVKAVGSNVQRFKVGDPVGVGTYVNSCRECEYCDEGLEIQCSKGSVYTFDGVDVDGTITKGGYSSYIVVHERYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVISSDEQQMMALSKSFDFLINTASGDISFDLYLSLLKTGGVLALVGFPKQVKFSPGSLSLGMRSIAGSITGGTKLTQEMLEFCASHKIYPEIEIVPIQYVNEALERLINKDVKYRFVIDIDSSLK >itb09g13190.t1 pep chromosome:ASM357664v1:9:8544533:8547450:1 gene:itb09g13190 transcript:itb09g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MSNQDDYKAKETKPQLGERWPHGGIRGGGGWLTSDRVTSTYDLVEQMHFLYVRVEKARDLPLNPVTASCDPYVEVKLGNYKGKTKHFEKRINPEWKQVFAFSKDKIQSSVLEVLVRDKEMVARDDYLGKVVFDMNEVPTRVPPDSPLAPQWYRLENRRGESKVKGEVMLAVWMGTQADEAFADAWHADAASVHGEGVYSVRSKVYVSPKLWYLRINVIEAQDVEPEDKSQPPQAFVKAQVGNQILKTKVSPTKTTNPFWNEDLLFVAAEPFEEQLVLTIENKTSSKDEQVGRLTLPLNTFEKRLDHRTVHSRWFNLEKYGFGVLEGDKRHELKFSTRLHLRGCLEGGYHVLDESTMYISDVRPTARQLWKQPVGILEVGILNAQGVQGMKTKDGRKTTDAYCVAKYGLKWVRTRTILDSLSPKWNEQYTWEVYDPCTVITLGVFDNCHLGGSDKPAKDSRIGKVRIRLSTLEMDRIYTMAYPLLVLQPSGLKKMGELQLAFRFTCLSLAHIIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLARAEPPLGREVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGAISMSKWLEEVCKWKNPVTTILVHVLFCILICYPELILPTIFLYMFLIGIWNFRFRPRHPPHMDTKLSWAEAANNDELDEEFDTFPTTKQEFTVKMRYDRLRSVAGRVQTVVGDIATQGERFQSLLSWRDPRASSLFIVFCLFTAVILYVTPFKMIALIAGFYYLRHPKFRSKLPSAPINFFRRLPARADSML >itb03g04200.t1 pep chromosome:ASM357664v1:3:2614893:2623488:-1 gene:itb03g04200 transcript:itb03g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTDTLSISNIRLPLIRASVIDTKPSHYQLKPRAQSCSCTSNSHVNSAIFDGDNSKVLQNTIALPHHVTAISSTSNPFVKHCLKLRSSSSYRHSHGLVLVVGTTPIREICKFQETMAETPIKIECLLVLDDTQIPEELDIRSARVVHVSSIVMKKLSGVQSIDSIQMIALFRIPSTFHSVGDDFREDDCSRWFPSANRILVLDGIQDPGNLGTLLRSALAFRWNGAFLLPGCCDPFNEKALRASRGACFQLPLVSGGWTQLQTLGESFKMKMLAGHPANDGRLKPVSRLCQGFADSLADQPLCLVLGSEGGGLSEKSREVCELVSIPMAGEFESLNVSVAAFLPVPAPQKPSNRVSYSQYTRRQGKQPKLVSKSSETTYPSAMSAAKASQSPTSLATVCTLQGSLLTLAVLTLVSFSYISLKSLHSPSLESSTNPSPFQRPLVINSAVNDTEISTSVEEPVVSLSSEEELSDVYHSPDVFRLNYAEMEKKFKVYIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESKFVTDDPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLIAKYPYWNRTLGADHFFVTCHDVGVRATEGLPFLIKNSIRAVCSPSYDVGYIPHKDVALPQVLQPFALPAGGNDVENRTSLGFWAGHRNSKIRVILARVWENDTELDISNNRINRATGHLLYQKRFYRNKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWRKFAVIVREKDVYELKQILKSITQEEFVTLHYNLVKIQKHYQWNSPPVKYDAFHMVMYELWLRHRVLKY >itb06g06470.t2 pep chromosome:ASM357664v1:6:9094073:9095324:-1 gene:itb06g06470 transcript:itb06g06470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIAFLTMSSSDSPSFLVRSPGAQYVDVDSKKPTTDDLIQVLKDVRLGYLLSRFDGLDSMHEWSSVLSLGEQQRLAFARLLLSKSYLVLLDESTSALDEANEEHLYQLIQAAGITYVSIGHRSTLNKYHKKMLQISSTADPTSTKPNWCIDSIP >itb06g06470.t1 pep chromosome:ASM357664v1:6:9094073:9095324:-1 gene:itb06g06470 transcript:itb06g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIAFLTMSSSDSPSFLVRSPGAQYVDVDSKKPTTDDLIQVLKDVRLGYLLSRFDGLDSMHEWSSVLSLGEQQRLAFARLLLSKSYLVLLDESTSALDEANEEHLYQLIQAAGITYVSIGHRSTLNKYHKKMLQISSTADPTSTKPNWCIDSIP >itb07g21950.t1 pep chromosome:ASM357664v1:7:26446537:26449467:1 gene:itb07g21950 transcript:itb07g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWGHDLDNEGSLLFPEYGVEVNAFSDFDKNMIIAPSYGEINEGADFMELWTNNSNNNIDNNNFSSKMMFSDDDMNSNWKRIPAIESVSAECSKGGYGGILESGQSASAKKKKIITDFNYPCQVPIICQVDGCKMDLSSSKYYHQRHRVCVDHSKTIKAILHGVEQRFCQQCSRFHLLAEFDDDKRSCRKRLACHNKRRRKSRFHTHWDASLLLDMASQGASPFLIPEILSGNLNFCQEQENNTTSNNCPVGISILSSYDARPAAVAGGNLVGMLSSVQELSGGENSGGAFSLLSTQTCRAEHRPGIEKPNNNFMNYSRKCLKASPKRSGATTTIDLQQLSTHLRRVEQQRSSEQVKNQAETIMSSNNVLCYVLETDSEQTDPANGADQDGLCWTWGLGLSPVLGLGLDLVIGLGLVLGLGIQPWPWGQARPDVEAEHGQSCCN >itb04g26740.t1 pep chromosome:ASM357664v1:4:30966782:30969029:-1 gene:itb04g26740 transcript:itb04g26740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRVLAISGLFILPFLYLSLRKHKNKTKKLPPEPPGGLPILGHLYEFSKETHLVKTLATMADKIGPVFIIRLGLPRVLVVSSWEAVKDCFTTNDKAFAGRPNICAGVYLGYDNAALAFTNGAFWRKMRKMVVVELLSTTKLDKWKHIWISEMNFSVKQLYRSVTKNRKVEVDMEEWIGYVNLNFISKIVAKKRYNYSVEHGFDNQDAVVLRQAFKDFMTLTGEFSSADAFPFRIFHWLDIEGLVRTMKKVSDGTDVILQGWIDEHVRRGPLPAGEDPDFIDIMMKEVDEEFLAGQNYTRDIIIKATAVTMLQDAAETAVSNMVWIMAMLLNHKDVTKRIQEEIDAKVGRERWVEDSDTDNLVYLQAVVKECMRLYPVVPFIVPHEAIEDCEVCGYHIPKGTQLFVNVWKLHRDPKIWPEPEKFKPERFLTESGPDGLARQFGWMPFGLGRRSCPGSAYALKMAHFIFARLLQGFDFATPSDMPVDMSEGPGITMPKAKPIKALLTPRLPAAIYESYD >itb01g04920.t1 pep chromosome:ASM357664v1:1:3354020:3356681:-1 gene:itb01g04920 transcript:itb01g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSPPENGFSVKPLKTHLQAESKHGHISPEPPAGESRIRRAIDLISTLISLTHSTKVFSVKWQLIRCKLEELLAGVSAVESCDDSGENRRIFSSVKSVTATVKSCHDLARRCVDLTYSGKLLMQSDLDIAAAKLDGHIKSMAEIYARGLLKQSNAIVVSRPGASASREDIKFYVADLLSRLKIGRAQMKKEALVAFNEAIQEDERYVKAAIEIDSFIALLVSLLDFEDSSVHQEAAKAVSVISGFQPFRSVLIMAGIVGPLIRILENGRDELGKDYAARCLIKVTENSDNAWGVSAHGGVTVLVKICADCDDSGGELVGLACGVLKNLVGVEEIKRFMIEDGAIPVFIRLVRMTDEVTQLSAMDLLLSMGSGDESTRQMIIREGGIRAFVTILDPISSFSSKIREAALRGIVNLCFTSPNSLTILLSIGFIDYILYFLQYGEVSVQEFALKVAFWLCKASEDAKKAMGDAGFIAELVKFLNSRSYDTREMAAETLSSMLVVPRNRKRFVQNDQNVGLILQMLDPQEKLFGNKNLLLSILKSLASSSSARKMIASSGYLKNIEKLAENHVSDAKKIVKRLHSNRFRNILSGIWGS >itb03g06810.t1 pep chromosome:ASM357664v1:3:4933661:4937723:-1 gene:itb03g06810 transcript:itb03g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATIICIDNSEWMRNGDYSPNRFQAQSDAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACTHGLEIGGEMNLAAGIQVAQLALKHRQNKNQQQRIIVFAGSPVNYDKKVLEMIGRKLKKNSVSLDVVNFGEEDEGKAEKLEALVAAVNNNNSSHIVHVPPGPNALSDVLISTPIFTGDEEGGSGFAAAAAAASAGGLSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKRAAEETAEQEKGENQPAIQDVGMTENVSAGISESESKASDLMDDDNALLEQALAMSMGSTSNDATRDINMQEAASDEELAIALKLSLQDVENDQSNPTDMSKLLTDQSYMSSVLASLPGVDPNDPAVRDLLASMQSQSESEEKKDEDNASKEDKN >itb04g27120.t2 pep chromosome:ASM357664v1:4:31212781:31215227:-1 gene:itb04g27120 transcript:itb04g27120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MESLSQSLSTFPPLLNHSRTLISKPRFTSTRKFTSHCLNSLQTHATKPPNLPPFPRFRHISLNPHFFSLCTALSFPLSCFASGTAVPTEEASAKINLEAILVSIDEFFNRYPFFVAGVTFIWLVVIPLTEEYLQKYKFISAINAFKKLRDEPSCELLDIRDKRSLAYLGSPNLKILKKSALQVHFVEGNEDAFVKEVLENFRDPENTTVCVVDNFDGNSLKVAELLVKNGFKEAYAIRGGLRGNKGWQEIQESLLPLSVRVYPKKKAKVSEQQGKGGQPDEDMDSSVKRSEEITNGSVSKPVQPTSQTRSAARPLSPYPNV >itb04g27120.t1 pep chromosome:ASM357664v1:4:31212062:31215227:-1 gene:itb04g27120 transcript:itb04g27120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MESLSQSLSTFPPLLNHSRTLISKPRFTSTRKFTSHCLNSLQTHATKPPNLPPFPRFRHISLNPHFFSLCTALSFPLSCFASGTAVPTEEASAKINLEAILVSIDEFFNRYPFFVAGVTFIWLVVIPLTEEYLQKYKFISAINAFKKLRDEPSCELLDIRDKRSLAYLGSPNLKILKKSALQVHFVEGNEDAFVKEVLENFRDPENTTVCVVDNFDGNSLKVAELLVKNGFKEAYAIRGGLRGNKGWQEIQESLLPLSVRVYPKKKAKVSEQQGKGGQPDEDMDSSVKRSEEITNGSVSKPVQPTSQTRSAARPLSPYPNYPDLKPPSSPTPSKPSS >itb02g17750.t1 pep chromosome:ASM357664v1:2:13915578:13920798:1 gene:itb02g17750 transcript:itb02g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAADYRRPVRRRFSCWIWTLLGIFFVAGFVLFVLHHLHDSEDHVEQQNSDRGSRNDQVVHEHLNFTQEISSAGSYARQLTEQMTLAKAYVIIAKEHNNLHLAWKLSVKIRSCQFLLSKAAMREEAISLDEAEPIIQSLSSLIFEAQDVHYDIATTMMTMKSHIQALEERANAASVQSAAFGQLIAESLPKSLHCLDITLTTDWLKKMLLQDLADKTRNSPRLVDNNLYHFCVFSDNVLAVSAVVNSTVSNANHPQQLVFHIVTNGVHYGAMHTWFLSNDFKGSTIEVQNIEDFRWLNASYSPVVKQLQEADVQKYYFGGSEDANINIEPKLRNPKYISLLNHLRFYIPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLDAYHRFYKYLNFSNPLISSKFDPHTCGWAFGVNVFDLIAWRKANVTAQYHYWQEQNADGGIWKTGTLPPGLLAFYGMTEPLDRRWHVLGLGYDLHIDKHLIESAAVIHFNGNMKPWLNLGISSYKPLWERYVDHNNLYIKGCVNR >itb05g21770.t1 pep chromosome:ASM357664v1:5:27400430:27402469:-1 gene:itb05g21770 transcript:itb05g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQLSGLSYEFAIVLWHGEDLSLYIFFIPEFWGLRQLAQLSQVKPPLKPLYLYKPYSDQNPSLSIRIASFSSSLLPPPSVTLSALSALPAQFHPPFRCLLVPSRYIYLSSGVISFS >itb12g02750.t1 pep chromosome:ASM357664v1:12:1791961:1801430:-1 gene:itb12g02750 transcript:itb12g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAGLVSIAILVFFVVCSYGSISASGYRKYNTGGGIVEGKLNVHLVPHSHDDVGWLKTVDQYYIGSNNSIQGACVENVLDSVVTSLRRNRNRKFIFAEMAFFHRWWVEQSPEVHQEVKELVASGQLEFVNGGWCMHDEATCHYIDMIDQTTLGHQLIKSQFNTTPRAGWQIDPFGHSAVQAYLLAAEVGFDSVHFARIDYQDREKRKSEKSLEVIWLGSKTFGSSSQIFANAFPVHYSPPDGFDFEVDVDFVPVQDNPLLFDFNVEERVNDFINAALMQANVTRANHIMWTMGDDFQYQYAESWFRQMDKLIHYVNKTVQRLIGLVSLLAAQLSSDMFAARQLECWTGRKSTGPNTYSLADALGIVQHHDAVTGTAKQHTTNDYAKRLAIGALESETVVNSALSCLVNSKSIGQCNGSPSSFNQVVVAYNSLGWNRSDVIRIPVNDANLIVQDSMGNVVEAQYIELDNVTSNLREFYVKAYLGKSPKHAPKYWLLFQVSVPPLGWNSYFISKASQKGNHSSGYISVMSLPQNGTVEIGSENLKLSFSSESGQLKRFFNSRTGVQVKIIRGPLVDEVHQQFSSWIYQIGPIPTEDKIGKEIITKMTSNMATNKVFYTDSNGRDFLKRISLGIYTVDNKSEFSVLVDRATGGASIQDGEIELMLHRRMIHDDSRGVVGNLNETVCVGDTCEGLTIRGNYYVSVNQLGAGSHWRRTTGQEIYSPLLLAFGHENPEEWKASHLTKATMMDPNYSLPLNVALITLQELVDGGVLLRFAHLYEAGEDADYSSLAKVELKKMFPGKTIKSLKEMSLSANQQKSEMKRRSWKAEGENGSEPTPIRGSPVDMSSLVVELGPMEIRTFVLCF >itb09g14890.t1 pep chromosome:ASM357664v1:9:10170935:10175390:-1 gene:itb09g14890 transcript:itb09g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEKDSTETGSAGVNSGSESPPLSGGGGVFPQVMQMAVNMSMERTVGPPQAEAPVAAVVSGGVSGGGGGDSGGLMMGKKKRGRPRKYDSEGNLRVPYISPAAAGMTSPPTQGFTLTSPPSSDYSSGKRGRGRHAASSNWQLLASLGELFANTAGGDFTPHVVTVHTGEDVAGKVYSFAQRGARGICILSANGAVSNVTIRQPGSSGGTLTYEGRFEILTLTGSLTTAENGGIKSRTGGLSVSLAGPDGRVIGGGIAGLLTAASPIQMVVGSFTPNIYKTTHKRKQNAEHKMAPPVIHNASASDIVMTARPISQAPPPGSMVPTPPPQLAMRSHGNADNSHSKKDVPNSTSVDLSDCNGSEPTFDQRPYPDINVSIHME >itb02g15760.t1 pep chromosome:ASM357664v1:2:11475363:11475800:1 gene:itb02g15760 transcript:itb02g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPRPDFGPWGLLCSISICNYTGPILRFCSPDCLLTPESSIPNPHMSVSFSGDCGSEARTADWRLRKRGAEATGEPRWRPASREEADGERSTGHRADCRSKSPIPQFRRS >itb06g22710.t2 pep chromosome:ASM357664v1:6:24947040:24951704:1 gene:itb06g22710 transcript:itb06g22710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVELVEAPKIHDGEINEDEVSPIEQVRLTVPNTDDPTLPVWTFRMWVLGVLSCVLLSFLNQFFAYRKEPLTITQITVQVATLPIGRCMAATLPTTKFRVPGFGSREFSLNPGPFNMKEHVLITIFGNAGYSFGDGTAYGVGIVTIIIAFYRRKISFYTGWLLVVCTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRTLHEKENEDENRQMSRSKFFVIALACSFCWYLFPGYIFQTLQSISWVCWAFPHSVTAQQLGSGMNGLGLGAFTLDWATTASFLSSPLISPFFAIVNVFVGYASIVYVVIPLFYWGFDVYDAKKFPIFSSDLFTSEGQEYNISAIVNDRFELGEAQYAKQGKIHLSTMFSQAYGFGFATVAATLSHIGLFYGREIYSRYRASSQEKMDIHTRLMKKYKDIPSWWFYILLLAAMLVSLALCIFLKKEIQMPYWGLLLAAAIAFVFTLPISIIMATTNLFTGTIIAGTTNIIVAWWLLHSVDHICHQDKFSNSPWTCPGDHVFFDASVIWGLVGPKRIFGSLGNYSALNWFFLGGLLGPVIVWLLHKAFPSQTWITLINLPVIFGATYVMPPASSLNYNSWILVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGVAFMAVFLYFTVGLENKNVSWWGTNDSEHCDLATCPTAKGISVDGCPVF >itb06g22710.t1 pep chromosome:ASM357664v1:6:24947040:24951704:1 gene:itb06g22710 transcript:itb06g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVELVEAPKIHDGEINEDEVSPIEQVRLTVPNTDDPTLPVWTFRMWVLGVLSCVLLSFLNQFFAYRKEPLTITQITVQVATLPIGRCMAATLPTTKFRVPGFGSREFSLNPGPFNMKEHVLITIFGNAGYSFGDGTAYGVGIVTIIIAFYRRKISFYTGWLLVVCTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRTLHEKENEDENRQMSRSKFFVIALACSFCWYLFPGYIFQTLQSISWVCWAFPHSVTAQQLGSGMNGLGLGAFTLDWATTASFLSSPLISPFFAIVNVFVGYASIVYVVIPLFYWGFDVYDAKKFPIFSSDLFTSEGQEYNISAIVNDRFELGEAQYAKQGKIHLSTMFSQAYGFGFATVAATLSHIGLFYGREIYSRYRASSQEKMDIHTRLMKKYKDIPSWWFYILLLAAMLVSLALCIFLKKEIQMPYWGLLLAAAIAFVFTLPISIIMATTNLPIGLNIITEYIMGLIYPGRPIANVCFKTYGYLSTYQAVSFLSDFKLGHYMKIPPRSMFLVQFTGTIIAGTTNIIVAWWLLHSVDHICHQDKFSNSPWTCPGDHVFFDASVIWGLVGPKRIFGSLGNYSALNWFFLGGLLGPVIVWLLHKAFPSQTWITLINLPVIFGATYVMPPASSLNYNSWILVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGVAFMAVFLYFTVGLENKNVSWWGTNDSEHCDLATCPTAKGISVDGCPVF >itb12g02740.t3 pep chromosome:ASM357664v1:12:1785738:1788211:-1 gene:itb12g02740 transcript:itb12g02740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNKYIEAEEAYRRALLVAPDNNKMCNLGICLMKQGRIGEAKENLRRVRPAVADGPRGVDSHLKAYERAQQMLRDLESEMMTKAAGTDRLQQSKVFESFLGSSAIWQPQPCSENHHQNPTPFPTPTIPDEFPDENLNSCNIIMNQRSGKALTFCTVNALNSHAPPFYSARFPKDLPFPQFPERLKRTISETEEYTENNKVRKQEDKAQVSAAVEGLLPDSKEFEEAIIAAVLGSDDTEKLKPEAIRKVDKRLKVFQDITLSLSPKGGV >itb12g02740.t2 pep chromosome:ASM357664v1:12:1785738:1788211:-1 gene:itb12g02740 transcript:itb12g02740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVMKQQNRAEEAIEAIRSLRNRCSDQAQEALDNILLDLFKRCGRLDDQIALLKHKLYLIHQGLAFNGKRTKTARSQGKKFQVSVEQEANRLLGNLGWALMQQNKYIEAEEAYRRALLVAPDNNKMCNLGICLMKQGRIGEAKENLRRVRPAVADGPRGVDSHLKAYERAQQMLRDLESEMMTKAAGTDRLQQSKVFESFLGSSAIWQPQPCSENHHQNPTPFPTPTIPDEFPDENLNSCNIIMNQRSGKALTFCTVNALNSHAPPFYSARFPKDLPFPQFPERLKRTISETEEYTENNKVRKQEDKAQVSAAVEGLLPDSKEFEEAIIAAVLGSDDTEKLKPEAIRKVDKRLKVFQDITLSLSPKGGV >itb12g02740.t1 pep chromosome:ASM357664v1:12:1785738:1787890:-1 gene:itb12g02740 transcript:itb12g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMVNAPPGFRPSKSAPVSPAKPLGGGLSRMRSDSFHIAHKVPVGDTPYVRAKNVQLVDKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIRSLRNRCSDQAQEALDNILLDLFKRCGRLDDQIALLKHKLYLIHQGLAFNGKRTKTARSQGKKFQVSVEQEANRLLGNLGWALMQQNKYIEAEEAYRRALLVAPDNNKMCNLGICLMKQGRIGEAKENLRRVRPAVADGPRGVDSHLKAYERAQQMLRDLESEMMTKAAGTDRLQQSKVFESFLGSSAIWQPQPCSENHHQNPTPFPTPTIPDEFPDENLNSCNIIMNQRSGKALTFCTVNALNSHAPPFYSARFPKDLPFPQFPERLKRTISETEEYTENNKVRKQEDKAQVSAAVEGLLPDSKEFEEAIIAAVLGSDDTEKLKPEAIRKVDKRLKVFQDITLSLSPKGGV >itb05g15570.t1 pep chromosome:ASM357664v1:5:22914196:22917118:1 gene:itb05g15570 transcript:itb05g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHRHFSLCFILLFGISISSLAQDLVYDSSATSECKPRPFKPLYGGGLLKGQKPSYEPIQINKWVTITAPSYKLPNLVPGVYYSFSSWVKIMGAESALIWANLGSLQNCEATVLAKRGCWTFLKGGIFLTQPLNTSTLYFEALGAKGINIDVASASLQPFTEEQWRENQQAVINRERKRDTTITVTDQKGIPLQGAKVKVEQISSGFPFGVAISSMITQNSHYQEWFKKRFNAAVFENELKWPSNEPRPGVVNYNISDQMVDFVKKNKIMTRGHNVVWQDPNFAPSWTRNLTGPEMQQAVDRRVNSVVDRYKNKFIHWDVNNELLHYDFYERKLENPNASLDFYKKVQEKDPNATLFINDFKVVEQCGNTTNVDSFVAKIKEFQANGIAKPGIGLEGHFDIPNPPFMRAVMDKLATLKVPMWLTEVDISIKYSKEEQAMYLEKVLREGFSHPAINGIIIWAGITPKGCWNMCLTDLQFNNTVVGDVVDKLLKEWQTGTLTGVTNNQGSFEFSGFFRNIQCHS >itb06g07260.t1 pep chromosome:ASM357664v1:6:10616227:10618477:1 gene:itb06g07260 transcript:itb06g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGKKNKTDESIDYISRLPESVIHHILSFLPFRRIVRTSVLSKTWNRFWSNYPNVDLTLDFGLYDCPRPQFLSIFERIMDQCLFRKDCIQKLDLDINFPFLEELVPFLDRSLGAATVRNVSELVIKLHCREEGDGPYCFYSIPQEVFTGSLKVLDIERCKFEGCHAWIELPCLQKFKLNCCKFLGENLLNKILCGCPELEFLDVSFCEGVGHCLSVLSKPRLKYFKVFHLKEPARIEVFAPSLETFKCSLLKPCAIDLAGCTVLKYLKLDGADLSADYVPLQDLLSKFHYIEELELGNCIVADKIEISSSCLKKLVIIDYINFPGAEIDIPNLLHLDFLVTGACNSRSKLHSWNVPKVEEIHMAFSVQTFLALCRAGLKGFLMKLHNYENLKLLIACKGLELEKFIVLEKLHAVSFSSLNTVLKKTMPEFIVISSRRVEDILGHMLYPINSSISVSLIFSSRQSIELLYRNLSSDKTVKFPCRDFQLVSTEEIEHEMDSAWKSFMKMHSTGNETATIIVQGRNWCNDWLFDSSFLC >itb05g15730.t1 pep chromosome:ASM357664v1:5:23105507:23106982:-1 gene:itb05g15730 transcript:itb05g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQLHVALLSSPGMGHLIPVLVLGDHLATHHNVKVTVLAITKSAETQFLKSHQKSNSPIDIIPVPAGDVSGLIDEKTKVFTQLRITVREALPSIRYSMAAMDRRPDTLIVDVFCTEALSIAEELGIPRYAYHPTTAWTAALFMYFQVFDKTIEGEFVDRLEPLRIPGCMPVQPEDIVDPLLDRTDQQYREYVNLGIEYTRFDGLLINTWEELEPNTLKALRENEELRGIVKVPIYPIGPLKRNFEGKNEGEGRSDILKWLDRQPVESVLYVSFGSGGFLTAEQTAELAWGLENSQQRFVWVVRPPCDGGPDNSINPKLEGGDGAAPDYLPEGFLARTRDVGFVVQMWANQMEILTHPSVGGFLSHCGWNSTLESLTNNVPMVAWPLYAEQKMNATMLAKEVGVAVRPAVTPTVKVVRREEIERMVRTLLQHNEGKGIREKVKKVKASGDIALSKGGSSYNSMCELLKDIHTRMHSTLGNPNPFLSQITN >itb10g03570.t1 pep chromosome:ASM357664v1:10:3297656:3302147:1 gene:itb10g03570 transcript:itb10g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSVIVPFIVVLNVLAAASSAVERKVYVVYLGEHSGGKSFKEIEDHHCSFLHSVKGSKEEARASLVHSYKNVINGFSALLTQEEADRISEMEGVISVFHSDPTEIKPHTTRSWDFVNLLESINNGNSVGGGEDLLSKTNGGKDVIVGIMDSGVWPESPSFSDEGMKPIPSSWKGICQEGVAFNSSHCNRKLIGARYYLKSYEATFGRLNETRDFRSPRDVDGHGTHTASTVGGRRVPNAAALGGFGAGTASGGAPNVRLAIYKVCWPIPDKTLAEGNTCLADDTLAAFDDAISDGVHVISVSLGAIPKGQYYTQNGIAIGALHAVKRNIVVACSAGNDGPKASTVANVAPWIITVGASSTDRVFSSPLKLGNGMIIEGQTVTPIRRRVMLPLVYAGNVEIPGTTNSTTTGLCRPGTLSRNLVKGKIVVCRSTPTISASQEVQRAGGAATILGNLYNEIAVNAFLHPTTVVFSYGLIAILKYISNDENPMATLLPGETVVGTKPAPVMAPFTSVGPNTIEPNILKPDITAPGLNILAAWSEASSPTKFPFDRRVVKYNIVSGTSMSCPHVSAVAALLKAIHPDWSSAAIRSALMTTATTNNVLGAPIVNSTGYLATPFEYGAGHILPSKAADPGLVYDTTYNDYLLYLCNLGVTLDSSFKCPKNTPSASNLNYPSLSIANLQGSMTVKRTVTNVGNGNSTYIVKVAPPPGYVVAISPATLRFSQQGEKQSFNITVKTNGVGKRNGFAFGGYSWSDGVHVVSSPIAVSSE >itb04g01180.t1 pep chromosome:ASM357664v1:4:648643:660041:1 gene:itb04g01180 transcript:itb04g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVAEFLSCVALLQRLPRSSVERIAQLVAVKNYDRGDYVLREGEAGDGIYFIWDGQAEVCGSGHADEENRTEFQLKQYDYFGHGLASSAQHADVIALTKLTCLVLPHEYSSLLHPKSIWNADKSVDNCSLVEHILHLEPIEVNIFRGITLPDAPKFGKVFGGQFMGQALAAATKTVDCLKIVHSLHAHFLLVGDFDIPIIYQVHRVRDGKSFATRRVDAIQNGNVIFTLVASFQKEEEGFDHQDALKPNVPDPETLLSMEEIRERRMTDPRLPRTYRNKVATSKVVPWPIEIRFCDPNTATSQTKSPPSLRYWFRAKGKLSDDQALHRCVVAFASDLIFASVSTNPHRRKGLKTASVSLDHTMWFHRPLRADDWILFVIKSPSAHNVRGFVSGQMFDRKGTLVVSLTQEALQRMARPHKPVVQSKLPDIVVLLLEKGADVNSTDRWGRTPLSDAREFGHTNICEILEAHGGTDPMGETQSQCCEIDEEEVNMRDKILIGEGAYGEVFLVEWRGTKVAAKTIRPAIASNPMVKKNFLMELGLWQKLRHPNIVQFLGVLRDADQLVLLTEYLQNGSLYDLLRKKGRLEAETAISYALDIARNVLQDESGRLKITDFGLSKIAQEKDSQVYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEMFQGGPANWEDAPEQVADKRAYEDSRPPLASYIYPDPIKQLLRECWHKNPDRRPSFEEIIIRLENIQNDMNQRKGIGPCCNCVIS >itb04g01180.t2 pep chromosome:ASM357664v1:4:648643:660041:1 gene:itb04g01180 transcript:itb04g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVAEFLSCVALLQRLPRSSVERIAQLVAVKNYDRGDYVLREGEAGDGIYFIWDGQAEVCGSGHADEENRTEFQLKQYDYFGHGLASSAQHADVIALTKLTCLVLPHEYSSLLHPKSIWNADKSVDNCSLVEHILHLEPIEVNIFRGITLPDAPKFGKVFGGQFMGQALAAATKTVDCLKIVHSLHAHFLLVGDFDIPIIYQVHRVRDGKSFATRRVDAIQNGNVIFTLVASFQKEEEGFDHQDALKPNVPDPETLLSMEEIRERRMTDPRLPRTYRNKVATSKVVPWPIEIRFCDPNTATSQTKSPPSLRYWFRAKGKLSDDQALHRCVVAFASDLIFASVSTNPHRRKGLKTASVSLDHTMWFHRPLRADDWILFVIKSPSAHNVRGFVSGQMFDRKGTLVVSLTQEALQRMARPHKPVVQSKLPDIVVLLLEKGADVNSTDRWGRTPLSDAREFGHTNICEILEAHGGTDPMGETQSQCCEIDEEEVNMRDKILIGEGAYGEVFLVEWRGTKVAAKTIRPAIASNPMVKKNFLMELGLWQKLRHPNIVQFLGVLRDADQLVLLTEYLQNGSLYDLLRKKGRLEAETAISYALDIARGMNYLHQHKPHAIIHRDLTPRNVLQDESGRLKITDFGLSKIAQEKDSQVYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEMFQGGPANWEDAPEQVADKRAYEDSRPPLASYIYPDPIKQLLRECWHKNPDRRPSFEEIIIRLENIQNDMNQRKGIGPCCNCVIS >itb10g24660.t1 pep chromosome:ASM357664v1:10:28354119:28354391:1 gene:itb10g24660 transcript:itb10g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIKVTTAHLQHYYCISFNIPKSSMSALVDIWTMEAAKQHEKAADKATTQVEPRGYAAGWSWSPKLRQFKVVNYSDASLFMLLHCFSP >itb02g06020.t2 pep chromosome:ASM357664v1:2:3738280:3741805:-1 gene:itb02g06020 transcript:itb02g06020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNSKRCLSLILAIYLLTSYRELTMANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQVYLGKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMVFAHKEKVVSHLARFVVIIWCFVVLILTQSYTASLASMLTIQQLEPAVSSVRELTERRENVGYAKGSFIRQLLTMQMNFSESQLKAYDTLDELDDSFSNGGISAAFGEIPYMKLFLAKHCSKYTMVSPTIKTDGFGFVFPIRSPLVPDVSRSILNLTEGGRISGIEKTWFGQQPPCPDESSTMGLSNSLSLHSFWGLFLIVGIASSLAVIIFASILLYQKRRFLKHLRPKDLWRRIGLLSKCFKNSEQNNVEVSSISVHGLPPLTN >itb02g06020.t3 pep chromosome:ASM357664v1:2:3738294:3741805:-1 gene:itb02g06020 transcript:itb02g06020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNSKRCLSLILAIYLLTSYRELTMANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQVYLGKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMRRKW >itb02g06020.t6 pep chromosome:ASM357664v1:2:3738655:3741627:-1 gene:itb02g06020 transcript:itb02g06020.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKVTSLVSEIGKHLPRALSRARFDGLSGVFDIVNGELQSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMVFAHKEKVVSHLARFVVIIWCFVVLILTQSYTASLASMLTIQQLEPAVSSVRELTERRENVGYAKGSFIRQLLTMQMNFSESQLKAYDTLDELDDSFSNGGISAAFGEIPYMKLFLAKHCSKYTMVSPTIKTDGFGFVFPIRSPLVPDVSRSILNLTEGGRISGIEKTWFGQQPPCPDESSTMGLSNSLSLHSFWGLFLIVGIASSLAVIIFASILLYQKRRFLKHLRPKDLWRRIGLLSKCFKNSEQNNVEVSSISVHGLPPLTN >itb02g06020.t7 pep chromosome:ASM357664v1:2:3738655:3741627:-1 gene:itb02g06020 transcript:itb02g06020.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKVTSLVSEIGKHLPRALSRARFDGLSGVFDIVNGELQSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQVYLGKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMVFAHKEKVVSHLARFVVIIWCFVVLILTQSYTASLASMLTIQQLEPAVSSVRELTERRENVGYAKGSFIRQLLTMQMNFSESQLKAYDTLDELDDSFSNGGISAAFGEIPYMKLFLAKHCSKYTMVSPTIKTDGFGFVFPIRSPLVPDVSRSILNLTEGGRISGIEKTWFGQQPPCPDESSTMGLSNSLSLHSFWGLFLIVGIASSLAVIIFASILLYQKRRFLKHLRPKDLWRRIGLLSKCFKNSEQNNVEVSSISVHGLPPLTN >itb02g06020.t4 pep chromosome:ASM357664v1:2:3738280:3741805:-1 gene:itb02g06020 transcript:itb02g06020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNSKRCLSLILAIYLLTSYRELTMANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQVYLGKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMVFAHKEKVVSHLARFVVIIWCFVVLILTQSYTASLASMLTIQQLEPAVSSVRELTERRENVGYAKGSFIRQLLTMQMNFSESQLKAYDTLDELDDSFSNGGISAAFGEIPYMKLFLAKHCSKYTMVSPTIKTDGFGFVCMLFLSSALFLLSCSIEMKIFFG >itb02g06020.t5 pep chromosome:ASM357664v1:2:3738280:3741627:-1 gene:itb02g06020 transcript:itb02g06020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKVTSLVSEIGKHLPRALSRARFDGLSGVFDIVNGELQSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQVYLGKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMVFAHKEKVVSHLARFVVIIWCFVVLILTQSYTASLASMLTIQQLEPAVSSVRELTERRENVGYAKGSFIRQLLTMQMNFSESQLKAYDTLDELDDSFSNGGISAAFGEIPYMKLFLAKHCSKYTMVSPTIKTDGFGFVCMLFLSSALFLLSCSIEMKIFFG >itb02g06020.t1 pep chromosome:ASM357664v1:2:3738325:3741805:-1 gene:itb02g06020 transcript:itb02g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNSKRCLSLILAIYLLTSYRELTMANGMNPTKIPVKIGLILDKSSWAGMMGLNCVSFALSDFYASHGHYRTRLVLHFRDSKDSVIGAASAASNLIKDVGVQAIIGPQTSMQANFVISLGDDAKVPIVSFSATSPSLSSLRSKFFIRATLNDSSQVKAISSVIQAFGWRAAVPIYIDTEFGEGIMPYLADALQEVETHIPYRSVIRASATDDELVAELYKLMTMQTRVFIVHMPPTLGIRLFNKAREVGMMSEGYVWIITTGITNHFSLVHPSTHSSMQGVLGIKVHVPKRKKLEKFILRWKRKFPRHSPVDLRVFGLWAYDAARALAIATEEIVAREMNTNNLIDSQDLKSSYFQIVNLMGNKGRGVGFWTPRLGITKKLNAPISEQHDSTKVNIKAIVWPGNVTSIPKGWVIPTNGKKLRIGVPVRSGSNAFVNVIKDPRTGMTTFTGYSIDVFDSVMAMLPYNVPYQYVPFAFPNGSSAGSYDDLVFQVYLGKFDAAAGDITIRANRSKYVDFTQPFIESGITMVVPVKDRRKGAWTFLKPLTWDLWVTSGCFFVFMGFVIWILEHRTNEEFRGSPHQQVGTGFWFSFSIMRRKW >itb02g24010.t1 pep chromosome:ASM357664v1:2:24331474:24334772:1 gene:itb02g24010 transcript:itb02g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSPNCFAEQELIKQVVDAGNELLENLPASKEELLEKLQKWLLIGAASPAHFAEQELIRQLVDSGNELLENLPTSKEELLEKLQVRQAFFSALFPVLIYHEFKILAFMCIVCRCWPSENALPKLLVGDRASQNDNQTPTRRRVRKPNSLMKPEEGYDLTWISVERKSDKTPCQGKASKKRTGHPPKHSVSMGSDFSSGSMKVVSLLKTILKISTSSIMMMNV >itb08g16490.t3 pep chromosome:ASM357664v1:8:18589089:18592561:1 gene:itb08g16490 transcript:itb08g16490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHATMGQQTADSKVGDYGANTESSVPNSDKQPSDLVAAKSIPQCENLAPKSIISTASQKNNGPAGEASKICGSKRSNPDCPVNSLQSQCPTSNSGNGHLVYVRRRPDAELGKSTTSEDQHCVSDRLGGRRRPPDAELDKSTTSEDQRERKRFGEQDEKVQQEVQMKESSNCLTKVLEIPKASLVCYLPMKPSAGSSTEKCKNNYPLSNLSNLHTTCINHLLDTPKRAKLKEWEERYCRLQNLLNSLEQSKQEDYIQMLRSLSSVELSKHAVELEKRSIQLALEEAKEMRWVKVLDVLGKDWNSRAC >itb08g16490.t2 pep chromosome:ASM357664v1:8:18589085:18592577:1 gene:itb08g16490 transcript:itb08g16490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTADSKVGDYGANTESSVPNSDKQPSDLVAAKSIPQCENLAPKSIISTASQKNNGPAGEASKICGSKRSNPDCPVNSLQSQCPTSNSGNGHLVYVRRRPDAELGKSTTSEDQHCVSDRLGGRRRPPDAELDKSTTSEDQRERKRFGEQDEKVQQEVQMKESSNCLTKVLEIPKASLVCYLPMKPSAGSSTEKCKNNYPLSNLSNLHTTCINHLLDTPKRAKLKEWEERYCRLQNLLNSLEQSKQEDYIQMLRSLSSVELSKHAVELEKRSIQLALEEAKEMRWVKVLDVLGKDWNSRAC >itb08g16490.t1 pep chromosome:ASM357664v1:8:18589085:18592577:1 gene:itb08g16490 transcript:itb08g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTADSKVGDYGANTESSVPNSDKQPSDLVAAKSIPQCENLAPKSIISTASQKNNGPAGEASKICGSKRSNPDCPVNSLQSQCPTSNSGNGHLVYVRRRPDAELGKSTTSEDQHCVSDRLGGRRRPPDAELDKSTTSEDQRERKRFGEQDEKVQQEVQMKESSNCLTKVLEIPKASLVCYLPMKPSAGSSTEKCKNNYPLSNLSNLHTTCINHLLDTPKRAKLKEWEERYCRLQNLLNSLEQSKQEDYIQMLRSLSSVELSKHAVELEKRSIQLALEEAKEMRWVKVLDVLGKDWNSRAC >itb01g14040.t3 pep chromosome:ASM357664v1:1:15302333:15305159:-1 gene:itb01g14040 transcript:itb01g14040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MAISLPVTFSFNTIIHRKPQSLSESTTRAPFLFKPSLEILSKSHICFALPPSNSGDSSGFEQREEARWLREEQRWLREEQRWLREERRWNAEREALLREIQALQLQIQELKSRSPLQEVSVSETVANIVKLLQVLKEGDLVNNVNRIAESGSIAVPLVMEATKEEEEVVIKEIVKEVEREAGEVKKRKTLRKGSEGDDVRFMQEALLKLGFYCGEEDMEYSTFSSGTERAVKTWQASFGATEDGIMSSELLERLYMEQTFEKSDLRLNENPEQHDVNSLEARANGVPVASIMEISEFEQKVVKEGDTETDITQHRVFLLGENRWEEPSRLKGNMQPAPTKSSSGKATTKCLSCRGEGRLLCMGTFLLSCIFLPLAFYVNFVGILSCFLKPHLKFRSQ >itb01g14040.t2 pep chromosome:ASM357664v1:1:15301101:15305159:-1 gene:itb01g14040 transcript:itb01g14040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MAISLPVTFSFNTIIHRKPQSLSESTTRAPFLFKPSLEILSKSHICFALPPSNSGDSSGFEQREEARWLREEQRWLREEQRWLREERRWNAEREALLREIQALQLQIQELKSRSPLQEVSVSETVANIVKLLQVLKEGDLVNNVNRIAESGSIAVPLVMEATKEEEEVVIKEIVKEVEREAGEVKKRKTLRKGSEGDDVRFMQEALLKLGFYCGEEDMEYSTFSSGTERAVKTWQASFGATEDGIMSSELLERLYMEQTFEKSDLRLNENPEQHDVNSLEARANGVPVASIMEISEFEQKVVKEGDTETDITQHRVFLLGENRWEEPSRLKGNMQPAPTKSSSGKATTKCLSCRGEGRLLCMECDGSGEPNIEPQFLEWIDEDTKCPYCEGLGFVTCDVCEEEGEFGR >itb01g14040.t1 pep chromosome:ASM357664v1:1:15301048:15305159:-1 gene:itb01g14040 transcript:itb01g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MAISLPVTFSFNTIIHRKPQSLSESTTRAPFLFKPSLEILSKSHICFALPPSNSGDSSGFEQREEARWLREEQRWLREEQRWLREERRWNAEREALLREIQALQLQIQELKSRSPLQEVSVSETVANIVKLLQVLKEGDLVNNVNRIAESGSIAVPLVMEATKEEEEVVIKEIVKEVEREAGEVKKRKTLRKGSEGDDVRFMQEALLKLGFYCGEEDMEYSTFSSGTERAVKTWQASFGATEDGIMSSELLERLYMEQTFEKSDLRLNENPEQHDVNSLEARANGVPVASIMEISEFEQKVVKEGDTETDITQHRVFLLGENRWEEPSRLKGNMQPAPTKSSSGKATTKCLSCRGEGRLLCMECDGSGEPNIEPQFLEWIDEDTKCPYCEGLGFVTCDVCEGKKVVET >itb09g18690.t1 pep chromosome:ASM357664v1:9:14661000:14663563:1 gene:itb09g18690 transcript:itb09g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHHYLQNIEPLQHPIARSHESSAPLNEPNMVENQQKQLEIMSHLFDEVKEDSFKGLVLIDTMQRFGMDHYFEDQIHESLKQQYQDSQTLVCNNEDELYAVSLRFRLLRQQGFHVPAADVFNSFKGENMKFKDALSEDIRGLMALHEASHLSMEDEDILDEASIFTTHFLTEKLPHLDDRHALMVQNTMHYPYHKSLARFTAMNYLKNHDLKNDWEKLLADLAIMDFNLMQYLYHKEILQVFKWWKGLGVSEELKLARNQPLKWYIWSMAVVRDPCLSKQRIEITKPISLVYLVDDIFDVYGTLDELIIFTEAINRWEFSATDKLPSYMRMCLKVIHDTTHEISNLVHQEFGWSPMDYLKEAWTSLCNAFLTEAKWFASGDSPKAEEYLKNGIISSGVPMVLTNLYFLLGYGESTRTTDIEGIISSVATILRLLDDLGTAKDEEQEGKDGSYIDYYIKEKQDLSLGDGRQHVMDMVSQQWKILNEHCLSPTPIPTPFRTACLNAARLVPMMYTYNDNHRLPVLEEHVKFMFSNIREDLMW >itb01g14360.t1 pep chromosome:ASM357664v1:1:16151878:16152996:-1 gene:itb01g14360 transcript:itb01g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPWDFARECKENRLKETENDDKIPRKVYRLIIQETDSEADLDTRRAKALAHYRNEVERIVNILEGAKRQAEQNQQNEELKVKEKASTYRYTGNAP >itb04g29760.t1 pep chromosome:ASM357664v1:4:33051682:33054049:1 gene:itb04g29760 transcript:itb04g29760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAGWMSNLVVYLINTYHVQKIDAVQISTLIHAFFNLFPLFAAIIADSFLSCFTVIWIFGFTSLLGTVVLTASTIISSLKPPECPEGTQSSSCTPTKLQFAALYAALTLATVGLGGIRSSISTMGANQFRKQKHQGIFFNWYFITSYMAHVIAQTVLVYVEDNVSFKCGFIICVVANSIGLIAFLSGTRFYSFANPKGSPFTTLARVLVASVHKRNLELPEETNVDRFFIGDDEKHPYHGPPSTAFKFLNRAAVKSDGDVNSNGLVEKPWRICTVQQVEDLKSLLKMIPVWTTGIILLVPIGALLSFTVVQAMTMDRHIGKKFQIPPGSMNVVVLFSCTIAIALVDRLLYPAWKKTAGKPLTPLQKIGVGHVVTVLGMAASALVEYKRRNAANSAVGPISVLWLIPPLLFMGVAEAFHYPVTAQFYYQEFPANLKNMATALSAVLIAIALYVASLIIELIRHVTAWLPNNDINKGRLDYVFWVLFGLGLLNYGYFLACASAYKYQNCGKPPKNDDKLHH >itb04g29760.t2 pep chromosome:ASM357664v1:4:33051604:33054049:1 gene:itb04g29760 transcript:itb04g29760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKAESCDDREVALSPTHENRKGGWITFPFMLVTTGGLMLGGAGWMSNLVVYLINTYHVQKIDAVQISTLIHAFFNLFPLFAAIIADSFLSCFTVIWIFGFTSLLGTVVLTASTIISSLKPPECPEGTQSSSCTPTKLQFAALYAALTLATVGLGGIRSSISTMGANQFRKQKHQGIFFNWYFITSYMAHVIAQTVLVYVEDNVSFKCGFIICVVANSIGLIAFLSGTRFYSFANPKGSPFTTLARVLVASVHKRNLELPEETNVDRFFIGDDEKHPYHGPPSTAFKFLNRAAVKSDGDVNSNGLVEKPWRICTVQQVEDLKSLLKMIPVWTTGIILLVPIGALLSFTVVQAMTMDRHIGKKFQIPPGSMNVVVLFSCTIAIALVDRLLYPAWKKTAGKPLTPLQKIGVGHVVTVLGMAASALVEYKRRNAANSAVGPISVLWLIPPLLFMGVAEAFHYPVTAQFYYQEFPANLKNMATALSAVLIAIALYVASLIIELIRHVTAWLPNNDINKGRLDYVFWVLFGLGLLNYGYFLACASAYKYQNCGKPPKNDDKLHH >itb12g08460.t3 pep chromosome:ASM357664v1:12:6646873:6657461:-1 gene:itb12g08460 transcript:itb12g08460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQRKKRMNAVNIVACSQDRNGSKKRKLGITGYDLMMRCNVSLLWDEKKKCVVSKNDQIGISRRDFIPFSGSVPHHHNVLADVFTVPQEIFELDNLAEVLSYEVWQTCLSDNERSLLTEFLPKGTDPERLVHDLLAGDSFHFGNPSLKWSNFLCSGNLHPDNIISHRQSVKSHKKAYYLELQKYHANMIENLQTWKDKWLSCKDSDEEIVEMIWSSKKLHGKRGHSLELEGEENLVCTPECSWAAGDKGFSSDSPNPSMLQGESQRRKGIMDNQFGNSLDWNKVTKTRRVDQLQKHNIQQTDGAKYMSYIKVSKEHYERVKNSMKHNSNSIQSRSLNNVLGNIKTLHVKPFEAYEEEERQKLHEHWLQLAKRDLPAHYAVWLKRQSCEWQLRESLGHDLKEKLKSLHEDEMKENSDVKSPEMTDNKATEVEPTITTESSDDDEDEDEDEDEEEEEEEEDTSDGLLLHQTNKAGDHSSPPVLEDEDIDKPDCMVEEKIGYSTANIKDNSGSSSTSEHDQKVQQIVSLGDTNQFNQLDPDPSDNEIIKKLDEVSSSVSEYSERLNNKDAPLNQGGPLASADHVWPVANMPGPFSSTPMDNEYSASEELPLSHPQVMDGQAAHLVNLDVHSSENDVGKARLHRQSNSVSFFNSYSHDRNELFQPFLKSNAGSVHNETKQSSLAFQSGDNLMVESGQFSGHFKEQVHAPLPLVLKHTGLNDLYMHQNVHGNEYTDGGTYSFPRQENLHVGGMQDWDMNAVRMPAPQSHLNGGDLGQSWFSGENRAHGGWSGLESGVGPSQGIRSGNASDQSLFSVLTECNELCRGSSYDTVVGSTERFMQSGNYDEMGVRIPSASNTQQATNPLAYLSSSHEGSGGPTNNMGWVNVANQNSGLQDSLGKPFLKSWNQ >itb12g08460.t1 pep chromosome:ASM357664v1:12:6646804:6657465:-1 gene:itb12g08460 transcript:itb12g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQRKKRMNAVNIVACSQDRNGSKKRKLGITGYDLMMRCNVSLLWDEKKKCVVSKNDQIGISRRDFIPFSGSVPHHHNVLADVFTVPQEIFELDNLAEVLSYEVWQTCLSDNERSLLTEFLPKGTDPERLVHDLLAGDSFHFGNPSLKWSNFLCSGNLHPDNIISHRQSVKSHKKAYYLELQKYHANMIENLQTWKDKWLSCKDSDEEIVEMIWSSKKLHGKRGHSLELEGEENLVCTPECSWAAGDKGFSSDSPNPSMLQGESQRRKGIMDNQFGNSLDWNKVTKTRRVDQLQKHNIQQTDGAKYMSYIKVSKEHYERVKNSMKHNSNSIQSRSLNNVLGNIKTLHVKPFEAYEEEERQKLHEHWLQLAKRDLPAHYAVWLKRQSCEWQLRESLGHDLKEKLKSLHEDEMKENSDVKSPEMTDNKATEVEPTITTESSDDDEDEDEDEDEEEEEEEEDTSDGLLLHQTNKAGDHSSPPVLEDEDIDKPDCMVEEKIGYSTANIKDNSGSSSTSEHDQKVQQIVSLGDTNQFNQLDPDPSDNEIIKKLDEVSSSVSEYSERLNNKDAPLNQGGPLASADHVWPVANMPGPFSSTPMDNEYSASEELPLSHPQVMDGQAAHLVNLDVHSSENDVGKARLHRQSNSVSFFNSYSHDRNELFQPFLKSNAGSVHNETKQSSLAFQSGDNLMVESGQFSGHFKEQVHAPLPLVLKHTGLNDLYMHQNVHGNEYTDGGTYSFPRQENLHVGGMQDWDMNAVRMPAPQSHLNGGDLGQSWFSGENRAHGGWSGLESGVGPSQGIRSGNASDQSLFSVLTECNELCRGSSYDTVVGSTERFMQSGNYDEMGVRIPSASNTQQATNPLAYLSSSHEGSGGPTNNMGWVNVANQNSGLQDSLGKPFLKSWNQ >itb12g08460.t2 pep chromosome:ASM357664v1:12:6646804:6657465:-1 gene:itb12g08460 transcript:itb12g08460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQRKKRMNAVNIVACSQDRNGSKKRKLGITGYDLMMRCNVSLLWDEKKKCVVSKNDQIGISRRDFIPFSGSVPHHHNVLADVFTVPQEIFELDNLAEVLSYEVWQTCLSDNERSLLTEFLPKGTDPERLVHDLLAGDSFHFGNPSLKWSNFLCSGNLHPDNIISHRQSVKSHKKAYYLELQKYHANMIENLQTWKDKWLSCKDSDEEIVEMIWSSKKLHGKRGHSLELEGEENLVCTPECSWAAGDKGFSSDSPNPSMLQGESQRRKGIMDNQFGNSLDWNKVTKTRRVDQLQKHNIQQTDGAKYMSYIKVSKEHYERVKNSMKHNSNSIQSRSLNNVLGNIKTLHVKPFEAYEEEERQKLHEHWLQLAKRDLPAHYAVWLKRQSCEWQLRESLGHDLKEKLKSLHEDEMKENSDVKSPEMTDNKATEVEPTITTESSDDDEDEDEDEDEEEEEEEEDTSDGLLLHQTNKAGDHSSPPVLEDEDIDKPDCMVEEKIGYSTANIKDNSGSSSTSEHDQKVQQIVSLGDTNQFNQLDPDPSDNEIIKKLDEVSSSVSEYSERLNNKDAPLNQGGPLASADHVWPVANMPGPFSSTPMDNEYSASEELPLSHPQVMDGQAAHLVNLDVHSSENDVGKARLHRQSNSVSFFNSYSHDRNELFQPFLKSNAGSVHNETKQSSLAFQSGDNLMVESGQFSGHFKEQVHAPLPLVLKHTGLNDLYMHQNVHGNEYTDGGTYSFPRQENLHVGGMQDWDMNAVRMPAPQSHLNGGDLGQSWFSGENRAHGGWSGLESGVGPSQGIRSGNASDQSLFSVLTECNELCRGSSYDTVVGSTERFMQSGNYDEMGVRIPSASNTQQATNPLAYLSSSHEGSGGPTNNMGWVNVANQNSGLQDSLGKPFLKSWNQ >itb10g21380.t4 pep chromosome:ASM357664v1:10:26614192:26616885:1 gene:itb10g21380 transcript:itb10g21380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMDGVAAAALRSVLQRVQLAAERCGRRSDRVRIVAVSKTKPVPIIRQVYDAGHRCFGENYVQELVEKAPQLPDDIEWHFIGNLQRNKVKPLLTAVPNLSMVESIDDEKIANQLDRVVGNIGRKPLKVLVQVNTSGEETKSGVEPAGCVNLVKHVTSSCPNLEFHGLMTIGMPDYTSTPENFKSVAFRH >itb10g21380.t3 pep chromosome:ASM357664v1:10:26614178:26616662:1 gene:itb10g21380 transcript:itb10g21380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMDGVAAAALRSVLQRVQLAAERCGRRSDRVRIVAVSKTKPVPIIRQVYDAGHRCFGENYVQELVEKAPQLPDDIEWHFIGNLQRNKVKPLLTAVPNLSMVESIDDEKIANQLDRVVGNIGRKPLKVLVQVNTSGEETKSGVEPAGCVNLVKHVTSSCPNLEFHGLMTIGMPDYTSTPENFKIEMGSTNVRIGSTIFGPREYHKKQSN >itb10g21380.t1 pep chromosome:ASM357664v1:10:26614169:26616906:1 gene:itb10g21380 transcript:itb10g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMDGVAAAALRSVLQRVQLAAERCGRRSDRVRIVAVSKTKPVPIIRQVYDAGHRCFGENYVQELVEKAPQLPDDIEWHFIGNLQRNKVKPLLTAVPNLSMVESIDDEKIANQLDRVVGNIGRKPLKVLVQVNTSGEETKSGVEPAGCVNLVKHVTSSCPNLEFHGLMTIGMPDYTSTPENFKSVAFRH >itb10g21380.t2 pep chromosome:ASM357664v1:10:26614178:26616885:1 gene:itb10g21380 transcript:itb10g21380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMDGVAAAALRSVLQRVQLAAERCGRRSDRVRIVAVSKTKPVPIIRQVYDAGHRCFGENYVQELVEKAPQLPDDIEWHFIGNLQRNKVKPLLTAVPNLSMVESIDDEKIANQLDRVVGNIGRKPLKVLVQVNTSGEETKSGVEPAGCVNLVKHVTSSCPNLEFHGLMTIGMPDYTSTPENFKTLAKCRS >itb05g02970.t1 pep chromosome:ASM357664v1:5:2393182:2396300:1 gene:itb05g02970 transcript:itb05g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSSSHLPPHVIIFPFPVQGHVNCMLRLAQLLCHESSIHVTFLNSEFAHQRLLRYTDLHIRFSSYPNFYFRTIPDGLPADHPRTGDRVVDLFNSINAVTKPRFREMLASGSLQSPGRPPVTCIIADGIMCFAIDVGNELHIPTVLFRTFSASCFWAFFCVPKLIESGDLPLNATLRTTRAHGLILNTFEDLEESALAQIRKHIPNLYTIGPLHAHLRARVSRSKEELPWRSSSSLWEEDRSCMAWLDEQPLKSVLYVSFGSMTVLTRNQFLEFWYGIVNSGKAFLWAIRPNSVVGDDHIPPELIEGTRKNGYMVGWAPQEEVLVHPAVGGFLTHSGWNSTLESICEGLPMICWPFYADQQVNSRLVGEVWKNGVDMKDMCDRITVEKMVKDLMEVRGDELTKCSNRMAKLARKAVMQHGSSHCNLDRLVEDLKKMKLQL >itb13g14470.t1 pep chromosome:ASM357664v1:13:21037474:21040975:1 gene:itb13g14470 transcript:itb13g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMDDRKMGCMSGFLQLFDRHHILAGKRLYTTKRLLPFTEVNDTSQEIGKERIKGHPAKVVAAPSPERLKESPPSKLQSVCHAAFVATETPPKSPLPLPIFEVKDGGKSSWKIRKEAPRLSLDSRATVDAKGSLHPKEIQINASSMSANTCENAKDLVVTDEDKQHRCPSVIAKLMGLEALPESSSQTTQKAELRRSASESRVSRDLFQCHFIEGNRFEFNEPNYLQFNIPNCVMTENVEIDCRRSMLNARHRGQLESGLGIEKSERPRSSNRGLSSTSWKSPQHRKCFYDTADIFPEPTQIVPHHGEINKRLRIRGLDEPSKDLESLKQTLEALQLKGLLHSKKPSEQINRLNVIYDPCFTCDESQIVVMKSSRSISPVNRKNRNDTPPPNMCSRTGFNQNINHSTESLPSVSPYRERPTDRNAHSPLRTTGSTLPNRRDGSVRHSNSVIQPKLVDPQRRASESIEYQKPSSFQSPKVISRRNCFEQNSTKQSWNKKSLAQVHQKEKITNFIAYDESSSISESSLTAPFQTRGERSNTEYNEGRNLLERCDKLIHSIVEMTATDMQPSPVSVLDSAFYKEDSPSPSPIRKQSIEFLGDFDNEIPRAEIPPVQSKSKDTTVDCDISYITDILRASDCLPKESDIFLLLEKQQFLKGKDTSEDSRLQRKLIFDTITEIRDRSRQVPPWKVYSWSNSVTAQPSVQKVLSEFQRIREQEVSNDLFEIICRTLKKDLAQDAVNGWGDYPIEMSETVLYMERLIYKDLMGETISELASFASKSSAITASRRKLVF >itb01g12660.t1 pep chromosome:ASM357664v1:1:12272452:12274890:1 gene:itb01g12660 transcript:itb01g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein CP33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52380) UniProtKB/Swiss-Prot;Acc:Q39061] MLNSSLLMAATATTTAVAFTTTSSLPSSLPLYTNLPLDQFSLTTPLHFPSKPKPFKHPKLTAHFSNFHVLTRTATFCASADSIIEAIQEDTQVVEASEQEEEETDEVEEEEEEEEDGDNGSVESPSAEAGRLFVGNLPFSLTSSQLSEIFSEAGRVSKVEVVYDRVTGRSRGFAFITMGSVEEANEAIRMFDGSLVGGRTAKVNFPEVPRGGEREVIASRARSSNQENFNSPHRLYSSNLSWNLTSQGLREAFADQPGVLNAKIVYDKETGKSRGFGFVSFASAGELESALNAMDGVEVEGRPLRLKIAIQKIPNSSSAAVDTNPVKELQTSELVSSASSQKEEEVA >itb01g02150.t1 pep chromosome:ASM357664v1:1:1399328:1404637:1 gene:itb01g02150 transcript:itb01g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMASVLLFSLFISQLLSPVQSQTGPVYACDTVKNPGLKSLPFCNPRLDVKSRVADLVKRLTLPEKITFLVNTGGNVSRLGIPTYEWWSEALHGLSYTGPGVNFSGVVPAATSFPQPLLTAASFNETLFHTIGKVISTEARAMHNYGLAGLTYWSPNMNIFRDPRWGRGQEVPGEDPTLTGKYAAAFVRGLQERDDGNEEKLKVAACCKHYTAYDVDDWKGHQRYDFNSVVTAQDMADTFQPPFEYCIREGKVASVMCSYNQVNGKPVCGDYSILAGVIRGQWKLNGYIVTDCDSFNAMYNYQHLTKTPEETAALGLNAGVDLNCGPFLAKYTQGAIDKGLVKVSEVDRAISNNFATLMRVGFFDGDPRKQLYGKLGPKDVCSPAHQELAREAAREGIVLLKNTAGSLPLSRAAIKSLALIGPNANNPYTMLGNYEGKPCKYTSPIQGLSALVATNYSPGCDNIKCATALLDDAKKIAATADAVVLVMGSDQSIETEARDRVNLTLPGQQSYVVSEVAKVARGPVILVLMCGAGMDVSFAVKDPKVTSILWVGFPGEAGGGAIADVIFGYHNPSGRLPNTWYPQSFADSVSMIDMRMRPDPKTGYPGRTYRFYTGPTLFKFGYGLSYTKFRHSIVQAQDSVSLALDQGHACRSTKCESVDAAEQTCTNSAPLDIHLNVKNVGTVSGGHSVLLFSSPPAVHNAPRKQLVGFQKVHLSPNQDEVVVFNLDVCKHLSVVDEAGNRKVALGLHVLHIGHLKHSLTVEI >itb01g02150.t2 pep chromosome:ASM357664v1:1:1399328:1404637:1 gene:itb01g02150 transcript:itb01g02150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYGLAGLTYWSPNMNIFRDPRWGRGQEVPGEDPTLTGKYAAAFVRGLQERDDGNEEKLKVAACCKHYTAYDVDDWKGHQRYDFNSVVTAQDMADTFQPPFEYCIREGKVASVMCSYNQVNGKPVCGDYSILAGVIRGQWKLNGYIVTDCDSFNAMYNYQHLTKTPEETAALGLNAGVDLNCGPFLAKYTQGAIDKGLVKVSEVDRAISNNFATLMRVGFFDGDPRKQLYGKLGPKDVCSPAHQELAREAAREGIVLLKNTAGSLPLSRAAIKSLALIGPNANNPYTMLGNYEGKPCKYTSPIQGLSALVATNYSPGCDNIKCATALLDDAKKIAATADAVVLVMGSDQSIETEARDRVNLTLPGQQSYVVSEVAKVARGPVILVLMCGAGMDVSFAVKDPKVTSILWVGFPGEAGGGAIADVIFGYHNPSGRLPNTWYPQSFADSVSMIDMRMRPDPKTGYPGRTYRFYTGPTLFKFGYGLSYTKFRHSIVQAQDSVSLALDQGHACRSTKCESVDAAEQTCTNSAPLDIHLNVKNVGTVSGGHSVLLFSSPPAVHNAPRKQLVGFQKVHLSPNQDEVVVFNLDVCKHLSVVDEAGNRKVALGLHVLHIGHLKHSLTVEI >itb05g12340.t1 pep chromosome:ASM357664v1:5:18887989:18888315:1 gene:itb05g12340 transcript:itb05g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTGLPVPSGEFGCVVSAKAEASWPLDRRAPRQAGFTEQRTPPPLCSGRITGRSIFSPLALDGLSKLNHCLFPFAFMHGQEQCGLKLLVGSVESRAFPYWRVWVVRQ >itb11g00700.t1 pep chromosome:ASM357664v1:11:320526:323160:1 gene:itb11g00700 transcript:itb11g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKTFLILLALSLPAVPEASVPPQDMYVVHMDPTKIPESSGTTTWYEAVLDSVTESSASENPPPQLLYVYETAVSGFAAKLSRQNLESLKHVPGFLAAVPDEMLTLHTTHSPQFLGLRTGKGLWGAKNLASDVIVGVVDTGIWPEHISFRDAGISPVPSRWKGTCEAGKGFSPANCNRKLIGARTFYKGYESIVGKINETSEFKSPRDSEGHGTHTASTVAGDSVKDASFLGLAKGIASGMKYTARIAAYKACYSLGCSSIDVLAAVDQAVKDGVDILSLSLGGFLPKPFYTDNIAIAAFGATQKGVFVCSSAGNSGPLISSVSNTAPWIMTVAASSLDRRFPALVELGDGRVFRGESLYSGKDVKKKLGLVYGRNAGGKGAEFCSQGSLFSRLVKGRIVVCERGNSARAEKGSEVKRGGGAGMILINRESEGEEVYADPHFLPATALGYSSGIAVKKYINSSKSATASIKFQGTVYGNRAPVMAVFSSRGPSAVSPEIIKPDVTAPGVNILAAWPPNIGPTMLESDNRSVDFNILSGTSMSCPHVSGLAALLKSVHRDWSPAAIKSALMTTAYTLDTRRSPITDSVSRNLISATPFAFGSGHVDPERAAHPGLIYDISTQDYFNYLCSLNYNSTQISLLLRKKSACRGHHHPGDLNYPSFAVIFDSSSRNSSHTFTRTATNVGIPKSVYAVHVMEPDGVSVIVKPKVLKFNKMGQKLRYTVSFVAQRGKIASGGDHSFGSLVWKSRNFSVRSPIAVIWQED >itb01g27740.t1 pep chromosome:ASM357664v1:1:32402726:32409484:-1 gene:itb01g27740 transcript:itb01g27740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVCSATACCSSHSQITINNGLRSFSSYWKTCDVKCSLVDWSYGVQLQSSSFRGQLNASLCSNFVEKSGRLGSTDTINVFYDQSELNGVNYLMREVNDYPSTATRHLKFVDSSSGSIGEVELTDFTNNLVGNADPPIPESITTDVIQDNSAFASADVLTEPVIPESATTLDAMQNTSASDSLSMDAISLSGVKTSAVDAINEVNKSINSSFEKAQNFFNDSLDAITSSINSAAKDVSGTFDDAIGKMTSTVDKTGGLASDTVSGFSSDLKNATGKVGTVAIDTLRQAILVAEGALSQGATLVVYAYGSVKELLPPEIHDVLNLSEEKAIKFLSPVGAAFQQVYVSLEGLEKIIGLDPSDPIVPFVLFLGVSTTLWGTYLVLTYGGYAGDLSPESTMQLLVGKENAVLIDIRPEDFRERDGIPDLRRAARFRYANVTLPEVDGSMKKLFKSGRDLENALLAAVIRDLKIVKAGSKVIVMDDDGARSKGIARSLRKLGLKRPYLMQGGFRSWIKEGLRIKELKPETTLTILNEEAEAILEKINPTPLKLLGFGTGLIVAVYAALEWETTLQLIGFIGLFLTIYLRFASYEGSEDLKQDIRLLFVPVRLGGQAISWAVGKLEKNGNGLPTSPSSTDVQSRVLQAAAKHESQPSDNEDIKDPSPGAMASVNESVDLSEA >itb07g00260.t1 pep chromosome:ASM357664v1:7:212740:213114:-1 gene:itb07g00260 transcript:itb07g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVLGTTLLTNQDYNLLLEYAPAGSLDRLIYPRRCWDCKMAESDVAFYAYQILKGLLHIHDKGFVHSDLKPENILVFPITREDKFLLKLADFGVSRRAGKNDFNLMKMTMVNHFVQTIVVGV >itb02g08660.t2 pep chromosome:ASM357664v1:2:5500749:5505102:-1 gene:itb02g08660 transcript:itb02g08660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNACKASLSANGPVSEEGLEKVRSLLDKIKPSDVGLDQEAQLVRNWNGNGVEHNGGLQSLPPIKYLHIHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLYVKAYDWVDIPGPSDPSQGARPAKIVKDCEMTAPCGTTVLYPTKGGNIHCFRALTPCAIFDILCPPYAAEDGRDCTYFQRSPSMDLPGDVEVDGLTVSEVIWLEESQPPNDFEVRRGQYKGRTLKT >itb02g08660.t3 pep chromosome:ASM357664v1:2:5500749:5505102:-1 gene:itb02g08660 transcript:itb02g08660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNACKASLSANGPVSEEGLEKVRSLLDKIKPSDVGLDQEAQLVRNWNGNGVEHNGGLQSLPPIKYLHIHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLYVKAYDWVDIPGPSDPSQGARPAKIVKDCEMTAPCGTTVLYPTKGGNIHCFRALTPCAIFDILCPPYAAEDGRDCTYFQRSPSMDLPGDVEVDGLTVSEVIWLEESQPPNDFEVRRGQYKGRTLKT >itb02g08660.t1 pep chromosome:ASM357664v1:2:5500749:5505102:-1 gene:itb02g08660 transcript:itb02g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNACKASLSANGPVSEEGLEKVRSLLDKIKPSDVGLDQEAQLVRNWNGNGVEHNGGLQSLPPIKYLHIHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSARPAKIVKDCEMTAPCGTTVLYPTKGGNIHCFRALTPCAIFDILCPPYAAEDGRDCTYFQRSPSMDLPGDVEVDGLTVSEVIWLEESQPPNDFEVRRGQYKGRTLKT >itb02g08660.t4 pep chromosome:ASM357664v1:2:5500749:5505053:-1 gene:itb02g08660 transcript:itb02g08660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNACKASLSANGPVSEEGLEKVRSLLDKIKPSDVGLDQEAQLVRNWNGNGVEHNGGLQSLPPIKYLHIHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSARPAKIVKDCEMTAPCGTTVLYPTKGGNIHCFRALTPCAIFDILCPPYAAEDGRDCTYFQRSPSMDLPGDVEVDGLTVSEVIWLEESQPPNDFEVRRGQYKGRTLKT >itb09g03390.t1 pep chromosome:ASM357664v1:9:1907127:1908880:1 gene:itb09g03390 transcript:itb09g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERAKTEAMEILGMFQVLPRLVVFDLDYTIWPFYCECRSKREMPKLYPQAKGILYALKDKGVNVAIASRSPTPDIANAFLEKLEMKSMFAAQEVFSSWSHKTEHFQKIHTRTGVPYKEMLFFDDENRNIDAVSKMGVTSILVGKGVNMGALRQGLLEFTQNFTSSEKNKERWRKFSQKPGSSQGDEQQ >itb14g00070.t2 pep chromosome:ASM357664v1:14:43060:44822:-1 gene:itb14g00070 transcript:itb14g00070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQWYFITSESLCNSSIAVSFAMVPAEDRRMGVSSSSSSSSRSPSSTAALLSFMMRMADRMSRARWWYNFLIHSTSDLSASNPFNSLTWMMMECITLTIQILITAYALAVSKLKGETPISPIRIWVSGYAFGCILCLMLLCWRYFLVFHHNRPGDNAFSAPPPNSDDTQHHTTIEESRSFRFRAVEKFRTCVELFFAIWFVMGNVWALDSRFGSFHRAPKLHVLCIILLAWNALTYSLPFILFVLLCCCVPLFSSILGYMGSIHHPGPGGASDDRLSTLPTWKYNQVGSCNIDSTNSGFHQECCICLASYSEKEEIRQLPCSHIFHLKCVDQWLRIISSCPLCKKELER >itb14g00070.t3 pep chromosome:ASM357664v1:14:43080:44583:-1 gene:itb14g00070 transcript:itb14g00070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQWYFITSESLCNSSIAVSFAMVPAEDRRMGVSSSSSSSSRSPSSTAALLSFMMRMADRMSRARWWYNFLIHSTSDLSASNPFNSLTWMMMECITLTIQILITAYALAVSKLKGETPISPIRIWVSGYAFGCILCLMLLCWRYFLVFHHNRPGDNAFSAPPPNSDDTQHHTTIEESSILGYMGSIHHPGPGGASDDRLSTLPTWKYNQVGSCNIDSTNSGFHQECCICLASYSEKEEIRQLPCSHIFHLKCVDQWLRIISSCPLCKKELER >itb14g00070.t1 pep chromosome:ASM357664v1:14:43060:44822:-1 gene:itb14g00070 transcript:itb14g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQWYFITSESLCNSSIAVSFAMVPAEDRRMGVSSSSSSSSRSPSSTAALLSFMMRMADRMSRARWWYNFLIHSTSDLSASNPFNSLTWMMMECITLTIQILITAYALAVSKLKGETPISPIRIWVSGYAFGCILCLMLLCWRYFLVFHHNRPGDNAFSAPPPNSDDTQHHTTIEESRFGSFHRAPKLHVLCIILLAWNALTYSLPFILFVLLCCCVPLFSSILGYMGSIHHPGPGGASDDRLSTLPTWKYNQVGSCNIDSTNSGFHQECCICLASYSEKEEIRQLPCSHIFHLKCVDQWLRIISSCPLCKKELER >itb03g18220.t1 pep chromosome:ASM357664v1:3:16553598:16556500:1 gene:itb03g18220 transcript:itb03g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVTFSLGLSSLLLLSYYLARISYSILLKPKWLQRRLRQQNIKGTTYKLLLGDLKDVGKHMADAWSKPLSLTHDLVPRRVDPFTHDLVQKYGKISVCWFGTSPRLTIMDPEMIKEILLNKAGHFHLPPLNPVILSLARGLTIQQGETWVHHRRIMNPAFHMEKLKGMIPAFSESCAVLIEKWKTSMTPQGAGEIDVWPEFQDLTGDIISRTAFGNSYEEGNRILVLQKELQQLVMEAMRTLYIPGFRFLPTKKNRRRNNLDKEITSMLRTLVETKETMIRAGEAKEDDLLGLLLQSNAQTTPQHHESNSKNYEMSMEEIIEECKQFYLAGHETTATWLTWTIIVLAMHQDWQQKAREEVLHVCGDKTPDPEAISHLKIVTMILNEVLRLYPSVIALYKHAYKETQIGDLSIPSGVDVTLPIMLINRDPELWGDDAEEFKPDRFAEGVSKACKDHPSAFMPFGWGPRTCIGQNFANIEAKVAIAMILKHFWFELSPSYIHAPYTVMTLQPQHGAQIILHQL >itb01g24310.t1 pep chromosome:ASM357664v1:1:29983206:29985150:1 gene:itb01g24310 transcript:itb01g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLKTPNELEFLHPHHGFTSLKPQKQEIRIGSWKSCRNVGRVKASSSALLELVPVTKKENLDFELPMFEPSKGIVVDLAVVGGGPAGLAVAQQVSQAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAMVYIDDRTTKDLDRPYGRVNRKKLKSKMMQKCIANGVKFHQAKVIKVIHEESKSMLICSDGVTIQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPYDLNKMVFMDWRDSHLNSNLELKERNKRIPTFLYAMPFSSQRIFLEETSLVARPGLDMKDIQERMVARLRHLGINVKSIEEDERCVIPMGGPLPVIPQRVVGIGGTAGMVHPSTGYMVARTLAAAPVVANAIIQYLGSERSLLGNELSASVWKDLWPIERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPRYWHGFLSSRLFLRELIFFGLSLFSHASNTSRLEIMTKGTLPLVNMINNLLQDID >itb04g30910.t1 pep chromosome:ASM357664v1:4:33891211:33892085:1 gene:itb04g30910 transcript:itb04g30910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFETKICERRGVGEALEDGIEIAGVAEVSEPYRRTGFAFVAEETRLPSHLQNSHQRRDSAAAASLDGAPRRGHCSPHQQSLIAYHPLPIQHKPYTYKFLFLFQPQIRSERGSEICKGKKRKEGKRGSELKKRSRGGKRKPPPNRQRGVSLFLSKSLFGNRHPPLIAAPVLAGNRHPPPPIRVIAGVKLNHYHRALSICCCSPSLICLSCCRRRHQLAEGDEDTTGAATSCSPPPDCLAIAAWFVVRQPTKREERGREPLEQN >itb01g19100.t1 pep chromosome:ASM357664v1:1:25104011:25106034:1 gene:itb01g19100 transcript:itb01g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSCSIILLTIFNKIYFSAKNTLMGTVKNIQSELDDERIFNTTSSLLLDPEEFRRQGHMVVDFLADYFHNVGKYPVRSQVEPGYLRKTLPEAAPNTPEPLENILRDVYKDILPGITHWQSPNFFAYFPCISSTPGILGEILSSGLNVVGFNWIASPAATELESIVMDWFGKLLRLPTSFLFSGGGGGVLQGTTCEAMVCTIVAAREKMLGKIGRDNFGKLVVYSSDQTHFSLKKAAQIAGINPHNVRVIETTKADLFALSPEALQSSILCDIEQGLIPLYLCATLGTTATTAVDPIRRLCEIAGKHDIWVHIDGAYAGSACICSEYQHYLDGVENADSFSLNAHKWLFSTLDCCCLWVKHPTDLTKALSTNPECLRNNATESKQVVDYKDWQIALSRRFRALKLWIIFRSYGVANLRNFIRGAVNMAKGFEGLVAKDERFEIMVPRNFSLVCFRVSPTAIEKHLKASHRDEDEVNEFNAKVLEAINSSGKIFMTHAVMEGVYMMRFAVGAPLTEFRHVEMAWKVIQDHATSMLNNAA >itb13g21390.t1 pep chromosome:ASM357664v1:13:27992973:27993753:1 gene:itb13g21390 transcript:itb13g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTLFSLVMFISTMLLDKDKNTLITATCRNTPNYPLCVATLQSDPRSSAAGAGIETLGLVMVSAVKARAVEITQAIPPLKAAKPEWAQPLSQCYFYYDAVLRADVPEAEMALKRGVPKFAEAGMADAAVEAASCDGAFKNGGITESPLKDFNDNVVQLSGVATSIIKMLL >itb04g11560.t1 pep chromosome:ASM357664v1:4:11243937:11246535:-1 gene:itb04g11560 transcript:itb04g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTVLEYLVAHGSERVIDEIREHSYQISILSEFQYVDSSGRDQGSNVRKKSQSLVALVNDKERIQEVREKAAANREKYRNTSTGSMYRPGSYSNPGGYGDRYDEDRYGGRDDDRNGYGREREWGGNEDRYGRDSYGREGDRYGREYDERYTRDGYRDDDYSGRNRSIDEYNSGSRSSEKGRDHAYDDDAQYSSRESGARAEDQSQGSASHGGIDQKYSEQNLGAPPSYEEAVGAAQSPTQIERYALLGNSLSVGVVAPLLQYLFTEPP >itb09g18890.t1 pep chromosome:ASM357664v1:9:14958282:14962320:-1 gene:itb09g18890 transcript:itb09g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEGKLGEREEEDGGEEEEQIVEGLGLYKGKVRIVNSEEPSEETMLLWGIQQPILSKPNAFAKQSSLQLRLDSCGRSLSILQSPSSLGTPGVTGSVMWDSGVVLGKFLEHAVESGTVLLQGKKVVELGSGCGLVGCISALLGAQVILTDLPDRLRLLKKNVEANLYGDVKGSATVTELTWGDELEPFLCDPLPDYVLGSDVIYSEGAVMDLLETLVDLCGSETTIVLAGELRNDAILEYFLEAASKEFIVGRVDQKQWHPDYLSPRVVIYVLVKK >itb04g12910.t1 pep chromosome:ASM357664v1:4:12724201:12731713:-1 gene:itb04g12910 transcript:itb04g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIDEQKKIGLGLIGFGILFTFLAIILFFDRGLLALGNILWLAGVSLLLGWRSTLQIFTNRMNYKGSASILLGIFFIFVRWPILGIIMEVYGCIVLFGGFWPSVKVFLYQIPVIGWILRYPTLLLDRLRGSSS >itb04g12910.t2 pep chromosome:ASM357664v1:4:12725767:12731713:-1 gene:itb04g12910 transcript:itb04g12910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIDEQKKIGLGLIGFGILFTFLAIILFFDRGLLALGNILWLAGVSLLLGWRSTLQIFTNRMNYKGSASILLGIFFIFVRWPILGIIMEVYGCIVLFGGFWPSVKVFLYQIPVIGWILRYPTLVKDMLFV >itb05g12180.t1 pep chromosome:ASM357664v1:5:18666102:18667340:-1 gene:itb05g12180 transcript:itb05g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAAMEQHQDQFDANELQNIARDLEEDSEKTISDGEQLDITPTDNTLKELFVSEELFEYAGYYLNRDTFQSMRARELESVLVDVWCLKLNQLDLNRGVDKPKRIFFST >itb14g04030.t1 pep chromosome:ASM357664v1:14:3610692:3613781:-1 gene:itb14g04030 transcript:itb14g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSTSSVRQGASSAMPQQVFMVGNNNALEEIKDKLTNSSKKRDVISITGMGGIGKTTLAKKVYEDECIKSHFDIRAWITVSQSYSLDDLLRVLLQSLDASSLIEGQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICFEDKRNGSRVLLTTRLTYVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKESCPPIQFEKIGRDIVLKCKGLPLSIMVIAGIVSKAEMKVEDWENVARDVALSSTLYEEQNCEEILLLSYNHMPESLKTCFLYLGVFPEDYEIPVRRLVGYWVAQEFVEDEALVANKKEEVAWQKLQDLIDRNLILVEKRGWGGKIKTCKIHDLTREMCLKLAKGKNILHVIDDKFQIGQSSKGISQENGNFWVSLQSISNISRLGFDHRTFQKCHSFLAMFPSKYTLGSREGSPILTNYLSTATSIQVLDLLQLYFPYLPSSLWINNLCQLRYLALHIGAFSGSLSILSSLKNLQTLILHSARPYEVVYLTLPKIPQLRQLRILNNSSFHFKDEEEENLILENLTTLLWLSDFCCKNEALMVRIPNVKKLGVRYEVLKYRDSKHSIDLLHTLSHLEQLEDIRFYGYYSLKYSGLVSIPKPYDFPPKLKKLKFLNTWMKLGITMTILGRLPNLEVLQLKRHAFDDSETEWEQVEEGFPKLKVLVFEDQSLRRWKDSDFTFPSLECLVLNNINLESLPYECLSGCPCLKLIHLEGSCSDGVLESAKKIQNDGDGQLEVREELVYPTTQYWIRPIKKD >itb04g27480.t1 pep chromosome:ASM357664v1:4:31469263:31469973:1 gene:itb04g27480 transcript:itb04g27480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPPSPSFYKKPSTSSTFKTPITQQSHKRMSTEATRGVEGFARDDTEDAACRRFENYLVEMILEEGKTGDLVDVEELLRCWKSLKSPVFIDLVSRFYGELCKDLFPRDSSELLPRDSTQQ >itb04g28110.t1 pep chromosome:ASM357664v1:4:31941567:31947587:-1 gene:itb04g28110 transcript:itb04g28110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVFGTRLCMIFVYADSSGVPRDIQVVKYLTVIGAWKLLSLALLFLLPPKTLAQPFLSLPATKTFFASSFHAQLFYSVVLVNLPRMSDDEREERELDLTSPEVVTKYKSAAEIVNKALQLVLSLCKPKVKVVDLCEKGDAFIREQTGNMYKNVKKKIERGVAFPTCISLNNTVCHFSPLSGDEVVLEEGDMLKIDMGCHIDGFIAVVAHTHVLQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNSDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLNVSNPETRVDDAEFEENEVYSIDIVTSTGDGKPKLLDEKQTTIYKRAVDKSYNLKMKASRFIFSEISQKFPIMPFTARDLEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRVTSHPLQELQATKTIDNEPEIKAWLALPTKTKKKGGGKKKKGKKGEKAEESSQTEAMEEDTNGAKS >itb09g01060.t2 pep chromosome:ASM357664v1:9:661432:663957:-1 gene:itb09g01060 transcript:itb09g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRLLLSLFIVNCITVIPAVNTEDPATALPAKSFQPFIPQDNYLFDCGSNSSTVLPGNRTFQPDNTTTEFLAYDGKDIQVSGGAEANANANVNASPIYRSAKVFATDATYTFPITAPGWHWIRLHFFALNATHYDLKTAKFTVETDKLVLLHQFELQNDTSVIMKEFLVNVTEERFPLKFKPKGTVAFVNAIEFVSAPNPLIGEYATLLFPVSQKFELSTHSFQTVYRLNVGGPEILTDQDSLQRIWHPDTPYLDPRQMGKNVAVSPAIISYPEVGGSPLIAPPLVYASALELADSKSVKPNFNITWRMDVNVHFWYLIRLHFCDIVSKSLNELYFNVYINDNIAISGLDLSALTRKLATAYYKDIVVNSTMVSSPLEIKISPVDDEAQGTRNAILNGVEVFKMNNSVGSLDGEFGVDGTRASDRTNGDRQTVAAVGFAMMFGSFVGLGAMAVKWRKRPQDWRRRSSFSSWLLPVHSGDATFTNPNRTPLGSRQSLFFSSTTEFGRYFSFSELQEATNNWETTKIIGIGGFGSVYLGLVDEKTKIAVKRGNPQSEQGFHEFQTEIQMLSKLRHRHLVSLIGYCDENNEMCLVYEYMSNGPLRDHLYGKNLPSLSWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKSTNILLDEKFVAKMADFGLSKNASTAPEQNHVSTSVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLFEVLCARQAINPQLPRDQMNLAEWAMQWKRKGLLEKIIDPTLVGHINPESMRKFAEAAEKCLAEYGVDRPTMGDVLWNLEYALQLQEASAMGKTDEENKSFAAPNSPAVISPSTAPSNNPFSHLATE >itb09g01060.t1 pep chromosome:ASM357664v1:9:661758:663957:-1 gene:itb09g01060 transcript:itb09g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRLLLSLFIVNCITVIPAVNTEDPATALPAKSFQPFIPQDNYLFDCGSNSSTVLPGNRTFQPDNTTTEFLAYDGKDIQVSGGAEANANANVNASPIYRSAKVFATDATYTFPITAPGWHWIRLHFFALNATHYDLKTAKFTVETDKLVLLHQFELQNDTSVIMKEFLVNVTEERFPLKFKPKGTVAFVNAIEFVSAPNPLIGEYATLLFPVSQKFELSTHSFQTVYRLNVGGPEILTDQDSLQRIWHPDTPYLDPRQMGKNVAVSPAIISYPEVGGSPLIAPPLVYASALELADSKSVKPNFNITWRMDVNVHFWYLIRLHFCDIVSKSLNELYFNVYINDNIAISGLDLSALTRKLATAYYKDIVVNSTMVSSPLEIKISPVDDEAQGTRNAILNGVEVFKMNNSVGSLDGEFGVDGTRASDRTNGDRQTVAAVGFAMMFGSFVGLGAMAVKWRKRPQDWRRRSSFSSWLLPVHSGDATFTNPNRTPLGSRQSLFFSSTTEFGRYFSFSELQEATNNWETTKIIGIGGFGSVYLGLVDEKTKIAVKRGNPQSEQGFHEFQTEIQMLSKLRHRHLVSLIGYCDENNEMCLVYEYMSNGPLRDHLYGKNLPSLSWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKSTNILLDEKFVAKMADFGLSKNASTAPEQNHVSTSVKGSFGYLDPDYQETR >itb10g20720.t1 pep chromosome:ASM357664v1:10:26172643:26173262:-1 gene:itb10g20720 transcript:itb10g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGRDVVFEDFFPSMVEKLGAEGFLQELCNGFQLLRDGEKGVITFESLKKNMAQLGLGGISDEELRCMMKEGDTDGDGCLSEMEFCVLMFRLSPDLMSKCRMWMDNLINNQA >itb02g23440.t1 pep chromosome:ASM357664v1:2:23385773:23386674:-1 gene:itb02g23440 transcript:itb02g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLTMMLSVITFILTFSSLLETTQSRMFRQPNVLLSSGREHDAVYRPSPSPPPPPRPATPSRPAAQYSLSWPFPLSASYYVNKLESFIFPPTIIGGDNWYGRDSPKYPPPPTANTPGRQIDGDQYVAATGGYRRPASAGPPPPPYPPAVIGLTFSRTSLHEPQLDESS >itb01g20090.t1 pep chromosome:ASM357664v1:1:26393648:26398037:1 gene:itb01g20090 transcript:itb01g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVERSFEAWEEVQRQSQDFADKLTQGFTGLIQSHIVPPSFSWPNPQTPKLFDVEFPAQNFVPKDFGLAVDKAGSHGVSAIFDIGNRIGQAGADFGACLDGMVQQFIRWFPAPFKHEEIAGVSLQADANIQRTDLVITRQEDLGSLAERFRDFGYVENASAQEGSTEEESFGANLKKLKHFGRSQGTFNFTSTYNSRTRNIESSLVARGDLWRVEASQGRSTSGNENSSLFLLQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKHGMHSLCPAVWSKQRKWLCMSMICLSPLSCSFMDLQFPNGQITYISGEGLSTSAFVPLCGGLLQAQGQYPGDIKLSFSCKTNWGTSITPTLQLPDKSFTLCLAQPLAWKRSGLMYRPTVQLSLYPTYGGSNPGVQAEITHSLKKELNLICGCALARHSSAFASLSLGRSKWNGNVGSSGMVFKVETPIGRAGNPSFSVQFNSGFEL >itb15g03510.t1 pep chromosome:ASM357664v1:15:2223442:2226270:-1 gene:itb15g03510 transcript:itb15g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNHLMVETEDTFASLLEFAANNDVQGIERWIERDPSGVDEVGLWYGRRNGSKQMVLEHRTPLMVAANYGSIDVVKLILSLPEVDVNRCCGRDKSTALHCGASGGSVNCVDTVKLLLGAGADPNLVDANGNRAIDVIVESQNLQDMRLSLENLLRTDVVGEVLTPRSGSNSPPLSPSPGNQSASCSSGSVSSPKSDEKYNDPPVSSMPERKEYPVDPSLPDIKNSIYSSDEFRMFSFKIRPCSRAYSHDWTECPFLHPGENARRRDPRKYHYSCVPCPEFRNKGACRRGDMCEYAHGVFESWLHPAQYRTRLCKDGTKCNRRVCFFAHTQDELRPLYVSSGSTVPSPTSNAIEFAAAMGLIPGSPSPFTPPMSPSNNAIQNIGWPQQNVPALHLPGGSLQSSRLRASFHARDIPPEELNMLQISRSSISTSSFNRSESSSPRYCDQVLPKSCFSPTHKSAILNQFQLLSPINTNSPPKSAENPLLQTPFGVSSPGRMSPRVMDPVSPLPSHVSLLSHHEKQQQFRSLSFNSSAAVDSWPRWDFSGTKPSCVVNSEPFGKLRRSSSFEQANNGEEPDLSWVQRLVKESPQETKDISANAIAIAGASVEGSPSNSPIEHIDQSELSAWLEQVQLGQLLAQ >itb07g03620.t1 pep chromosome:ASM357664v1:7:2423118:2425315:-1 gene:itb07g03620 transcript:itb07g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLAAFDLRTEGYRLFPEPNYFEERVHVTMRVLGESLCVVCNYYVSFYIDIWVMKEYAVKESWTKLFRVAVSDVRLQEYVTPLAYSKSGREVFMVQNYTVPLWYDIEQRTIRDEFLSEGFKLCFNPLRLIAMRWWSFYLHRFSLQALDPIPHRLLHVVAYISNNAASISDSLSSSSSKNVNTKGFPKSSVDSCPTLNQKVYNHLDLFLH >itb08g16040.t1 pep chromosome:ASM357664v1:8:18205831:18210993:1 gene:itb08g16040 transcript:itb08g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHIAALNLFLPSSQSNAPFVPSLVFVFRPVLMVHCLPKFKTFTLEAAKGMGVYGILELRRTGRSRRKRRSEGCDWCCCAGGLASEGDAELEARILEFMEKSEKPATFPSRKELEKAGRFDLADAISERGGWFTLGWNSGDEEKVDKEAETLDFDFEIEKLRKRLASCQETTTIENNEVVPSQETAAFENTEMEYSEFENNEVESTGFHDSSESASQPASSSGRLLETEAEEGGGIEGILSRLEKERNSSLGINIGNYRNGSHGSSRDNQSDRNPVSTGSDRIDLGMINSPREIRPDSWRTWNLQRAGTQDIEFEAAEIAFSQNREGERNASMDDIDLLAESATDDLHRQNGNNHNNIRTRLMHLEVELASALQLLRSKSEEYISREVTKSDLEKLSDAWEFQENEFINAHERLRSIRAKLAVIEGKMTLAIIDAQKMLEEKQKRIDGAHKALQLLHNAHIVWPNPASEVLLTGSFDGWATQRKMEKLSTGVFSLRLKLYPGRYEIKFIVDGEWRIDPLRPIVQNNGYENNLIIITSK >itb08g16040.t2 pep chromosome:ASM357664v1:8:18205831:18210993:1 gene:itb08g16040 transcript:itb08g16040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHIAALNLFLPSSQSNAPFVPSLVFVFRPVLMVHCLPKFKTFTLEAAKGMGVYGILELRRTGRSRRKRRSEGCDWCCCAGGLASEGDAELEARILEFMEKSEKPATFPSRKELEKAGRFDLADAISERGGWFTLGWNSGDEEKVDKEAETLDFDFEIEKLRKRLASCQETTTIENNEVVPSQETAAFENTEMEYSEFENNEVESTGFHDSSESASQPASSSGRLLETEAEEGGGIEGILSRLEKERNSSLGINIGNYRNGSHGSSRDNQSDRNPVSTGSDRIDLGMINSPREIRPDSWRTWNLQRAGTQDIEFEAEIAFSQNREGERNASMDDIDLLAESATDDLHRQNGNNHNNIRTRLMHLEVELASALQLLRSKSEEYISREVTKSDLEKLSDAWEFQENEFINAHERLRSIRAKLAVIEGKMTLAIIDAQKMLEEKQKRIDGAHKALQLLHNAHIVWPNPASEVLLTGSFDGWATQRKMEKLSTGVFSLRLKLYPGRYEIKFIVDGEWRIDPLRPIVQNNGYENNLIIITSK >itb12g02100.t1 pep chromosome:ASM357664v1:12:1411551:1412354:1 gene:itb12g02100 transcript:itb12g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTSETSSEYVVVETWQITRPPSRLKPPRRAGCFPVVFTVLIVHPCPNVPNLVRYKTKYEFWLNCDDFSRPETAAAAVNRLVDLMNVPFPLHDVHLFWKEHAGANKSGTGELPVADWNSMVARLSLLLRQVNDLVRKQEENKSDEEYPFVKLQISKFVKLSKEELETAAREAARGGVNGAWNMKSLWRPQVEMEWRMAVKGGGGEKESCGICWEDMSVGSSEVIARLPCAHYCHKRCILRWLKINKSCPFCRSKIDGVESITTLT >itb09g16730.t1 pep chromosome:ASM357664v1:9:11876635:11879728:-1 gene:itb09g16730 transcript:itb09g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEGLRRKDVSGQTPLHVAAWHGNVEVAEILVRRDYNLLYVHTQDGLFPIHFAARNHRKSKDAFLYFFRLTKDDEYGQPNPYECSPIGVSILVNLIGSKFYDLALKLVKKYPDLGRHNGLCSNMSALDTIVRFDCPIINKHNLSFWQSFIYYCVSKGSTSAVLGKSIVNKMVMHQEAVKLLKCLCDQLKTLNEKQVLSLTLGAMFRATSSDICNVILNIVEAYPLMAYSTNSMGQNILHAAVENRSENVFNLVCGTRVLRSLLSDSEDRNKNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVQKIAPSYCLSFRNVDDKTPNMIFTEEHKGLKEGGEKWMKDTATSCTIAAVLIVTVVFAAAITVPGGNNGGNGLPIFSNHTAFTVFAISNAATLYTSTTSLLVFLSILTSRYAEQDFLYALPKRLIIGLFTLFLSIIFMMIAYSATVYLVVGHNRRGVLIIVAALACLPVTSFVLLQFPLLVALVSSTYGRGIFDHRGFPQLLV >itb09g31210.t1 pep chromosome:ASM357664v1:9:31694035:31696063:-1 gene:itb09g31210 transcript:itb09g31210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKWWFLVVVVCVALIVGCGEGFDFKERELESEERLWELYERWRSHHTVSTSLEEKQKRFNVFKANVQYVHNFNKKEDKPYKLKLNKFADMTTHEFKSLYATSKIKHHRMLQGANSRASPSFKYANVTDIPPSVDWRAKGAVTNVKDQGRCGSCWAFSTVVAVEGINQIKTNQLVSLSEQELIDCDTQENQGCNGGLMDLAFDFIKNKGGISTEQIYPYRAVDGRCDAQKMNSPVVSIDGHEDVPANDEDALLKAVANQPVSVAIEASGFDLQFYSEGVFNGACGKELDHGVAIVGYGTTLDGTKYWIVKNSWGSEWGEKGYIRMKRGVEDKEGLCGIAMQASYPLKNSSTNPQHSSSHQPKDEL >itb06g21440.t1 pep chromosome:ASM357664v1:6:24013300:24014750:1 gene:itb06g21440 transcript:itb06g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMQEEPPSQPPLAAAAATTTTGSVASSPLPSPPPSAASSPSHEFSFTISLHAPSSTKPAAAACGGGSKTAKSSPASFAVDLSPADEIFFHGHLLPLHLLSHLPVSPRSSTTSMDSFTLPIKDQNFQTAITAQQTSNDDQTTDAKSKPKSFSLFGLPKRRKSCEVRDNKEDKEKHWRKLKYDVTQAVKRYIRMVRPLLSLKGRRRRSVEFHRQTHSFSGNLINMRRGNRSSDIIRGFRGEYSAPASTRTSPTNSGLLVAPSTFSSPSDSTMEELQAAIQAAIAHCKKSIAMEENIKCQEN >itb07g21560.t1 pep chromosome:ASM357664v1:7:25924990:25929006:-1 gene:itb07g21560 transcript:itb07g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREKKETFLSEGEDVQNGGRETGISIPKSLSSSGIIEEITQVKHGRRPNLSLEIPMMSLQNSREEIVQIKKLLTPTPTAKKVNFLVTPSPSDPRVNGSPGHLPRGKSSIRNLLPKLNFMSHNSNLDMEKATDIDLGCSPATVPQDKVSISISRSWSLSKMFTPRIKRTSSLPIDPLAHLNPESALGGSVSTTLQQAAASSPTSLHSKGTQLRIPRSLSLPVVNKDGNARKVESFFRVIPSTPCLKDLESIVSAAPTPKRDSEESEDGGEDIPEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVRNLPVTLLRIQSIRNANAAAARFNQIDVNGRVWQEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLGSMTSSTMVKRRFVWVYASVQFAFVVLFAHIFYSLVHVQAVLSILLSTFAGFGVAMSGSSILVEYFRWRRRRRVLLHRQENPQVALPPGRWPQPNQTATATAAATSHVGPQHHQTDIENPETFSGS >itb02g00350.t1 pep chromosome:ASM357664v1:2:273529:275927:-1 gene:itb02g00350 transcript:itb02g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSSITLKVILVLAVLVVAVQPPPCGAATDRLSTILRSAVDKDGEDENSGGTKWAVLVAGSNEYWNYRHQSDVCHAYQLLKKGGLKDENIIVFMYDDIANNEENPRKGVIINSPNGSDVYAGVPKDYTGEEVNTDNFFAVLLGNKSAVVGGSGKVLNTKNKDVIFIYYADHGAPGYVAANTEESSWGWYCPGEEPAPPPEYTTKKERIEEQFERVKYRTFNNGTEMGSHVKEYGNMDIRKDKLSKYQGYVAADSKHCWVPPTNNVNVATMPMPGGVHQRDAELLYLWEKYKRLGDKSEMKEKVLKEIGEKMQLRAHIDGSVAAIGDYLFGTQKAHSILKSVRKTGPLVDDWECLRSMVKIFEEHCGALGEYGRKHMRAFANICNNAISLAAMGKASMAACDGHSAQ >itb01g33710.t1 pep chromosome:ASM357664v1:1:36705771:36707890:-1 gene:itb01g33710 transcript:itb01g33710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAAMAKVSLFFTFSFLFLLAACIQRYEAKGFSSLNREKLLLSRLRHTNVVDNIVTTELSSGRSNIPVYIGPQDGLKDSDKIETLPGQPSGVDFTQYAGHVTVDPVTGKALFYYFTESPKTPSSKPLVLWLNGGPGCSSIGGGAFAELGPFRPAKDGKTLNSNPYAWNNVANIIFLESPIGVGFSYTNTSSDYDNIGDESTAQDSYTFLLNWLERFPEYKTRDFYITGESYAGHYIPQLAQLILHKNQHTNQSRINLKGIAIGNAYVDYEANMQGTSDYYWSHALISNELYKNILSTCNFSTPSAASEECINLVNIQIGIESGNIYSYDIYAPLCGSDSPSYPISGYDPCTNGYTYAYLNTLQVQAALHANITATPHPWSKCNDTLNAAWKIPPTVLPVITELMGSGIRIWLYSGDTDNTVPITDTRLALYKLGVTVKTPWYAWYLPGEEVGGYVEEYENITLVTVRGAGHFVPSYQPARALVLFSSFINGTLPPRNTKN >itb11g15760.t1 pep chromosome:ASM357664v1:11:13575256:13576071:1 gene:itb11g15760 transcript:itb11g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQTIKSRIESQVPINWAVLLNQSSRKWALPDSATQCHLSLSSKPSKTRNGKTSTTLKITDSVKGKLILGAKLLRAGGVQKVFKKNFGVREGEKLLKVSQCCLSTTAGPLAGLLFVSTEKVAFLSERSIRVPSSSGKSKRMHYKVLIPIAKIKTSKNLKNPSEKYVQVVTEDHFEFWFMWFQQHQRTLKYLRDAISQSAQYP >itb07g04080.t1 pep chromosome:ASM357664v1:7:2742295:2745406:-1 gene:itb07g04080 transcript:itb07g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALHSEDVELVAVNDPFISTEYMTYMFKYDTVHGQWKHHDVKVQDEKTLLFGEKSVKVFGCRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKAGAKKVVISAPSKDAPMFVVGVNEKEYKPELNIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRTEKKATYEDVKKAIKEESEGKLKGILGYIDEDLVSSDFVGDCRSSIFDAKAGISLNENFFKVVAWYDNEWGYSSRVIDLIRHMHSAA >itb10g24560.t1 pep chromosome:ASM357664v1:10:28310572:28315347:-1 gene:itb10g24560 transcript:itb10g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPLMNPLQQPPAMVTGQQAYVDLPAHGSVGAVIGVVAVIVILGAVAVIIGRLCSGGSIMGRGHYAFERWFETKCGSCVNGLIEVPERPVDAEAAAENGGSGDPSPAPEQEAAPQETKEEEEDVAAPSPLCFSLSRNLSFSLKSQSSLTPTGYRPPPLALTASLALSLSPSERNCPSLSLSHSVSLTAPVRHQPTAIRQQPRTVDLLQPPGIEASRPPSTACQPPPATQAPHCLSRTQSATASRPPSTRTRTRAAPSPLCFSLSRNLSFSLKSQSSLTPTGYRPPPLALTASLALSLSPSERNCPSLSLSHSVSLTAPVRHQPTAIRQQPRTVDLLQPPGIEASRPPSTACQPPPATQAPHCLSRTQSATASRPPSTRTRTRDVNGRNFSVVYGSFVR >itb04g32790.t2 pep chromosome:ASM357664v1:4:35208650:35215362:-1 gene:itb04g32790 transcript:itb04g32790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADSPAHSSSSDDFAAFLDEELETASGTSSELEAEVEEEDWKDDEEEQDEEENEDQGEEDGGSKDNSDSSRIKRLKVGTYEDTGNPESSTSHREHAETSRVSVKTDMCTHPGVMGGMCIRCGQLVDNESGVSFGYIHKNLRLAHDEVARLREKDLKNLLQHKKLYLVLDLDHTLLNSTRLSDISAEEEYLNNALPDALKSSLFRLDRIYMMTKLRPFVHDFLKEASDLFEMYIYTMGERPYALEMAKLLDPGDVYFHSRVIAQGDSTQRHQKGLDIVLGQESAVLILDDTEVVWGKHKENLILMERYHFFASSCKQFGFDSKSLSQSKADESEDSGALATVLAVLKRIHGIFFDQKRKDNMLDRDVREVLKGVRKEVLEGCKIVFSRVFPTKFKAENHQLWRMAEQLGATCSTEVDEFVTHVVSLDAGTEKSRWALKQNKFVVHPKWIEASNYLWKKQPEENFPVSTTNSK >itb04g32790.t1 pep chromosome:ASM357664v1:4:35208718:35215357:-1 gene:itb04g32790 transcript:itb04g32790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADSPAHSSSSDDFAAFLDEELETASGTSSELEAEVEEEDWKDDEEEQDEEENEDQGEEDGGSKDNSDSSRIKRLKVGTYEDTGNPESSTSHREHAETSRVSVKTDMCTHPGVMGGMCIRCGQLVDNESGVSFGYIHKNLRLAHDEVARLREKDLKNLLQHKKLYLVLDLDHTLLNSTRLSDISAEEEYLNNALPDALKSSLFRLDRIYMMTKLRPFVHDFLKEASDLFEMYIYTMGERPYALEMAKLLDPGDVYFHSRVIAQGDSTQRHQKGLDIVLGQESAVLILDDTEVVWGKHKENLILMERYHFFASSCKQFGFDSKSLSQSKADESEDSGALATVLAVLKRIHGIFFDQKRKDNMLDRDVREVLKGVRKEVLEGCKIVFSRVFPTKFKAENHQLWRMAEQLGATCSTEVDEFVTHVVSLDAGTEKSRWALKQNKFVVHPKWIEASNYLWKKQPEENFPVSTTNSK >itb15g23920.t1 pep chromosome:ASM357664v1:15:26835368:26837530:1 gene:itb15g23920 transcript:itb15g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLENLIERPFVKFSPSLWGDYGFHSSSIDDQVAEAYAKEIEILKEQTRATLLQTIATGSSSDVAEKLRFINLLECLGISYHFEKEIDDQLQHIYTHTGPVHFVDGNGKFRDTSDVKGLLSLYEASYVRTRSDQVLEGATAFAATRLRSEVPNLRPNSTLEKLVTHALDQPFHTGIPRVETRFFISVYQEEEKSSRNDELLRFAKLDFNLLQMLHKQELCEVSRWWKDLDFVTILPYARDRAVECYFWALGVYFQPQYSKARVMLAKNISIVDDTFDAYGTIEELEVYTDAIQSYKVVLDLYENDEKDLSKEGRSYAVQHGRERMKELVRCYFTESKWFSNEGHQPAFAEYLKNAFATSAYYLLSTISCYTLKSADEQAFNWLMKNPKILEAGVTICRLIDDIATFDVEKDRGQVTTGIECYMKEYSLSLKKTMEKFQELADLALKDLNEGLLQSTPVSTEILLGIFNLTCIIFVTYQHNQDGYTCPEKVLKPHIIALL >itb05g01010.t1 pep chromosome:ASM357664v1:5:848814:852025:1 gene:itb05g01010 transcript:itb05g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLRFLVLLLVLSAILVFAPTATSISIAKPKCDDRCGNVSIPFPFGMTSNCYLNPDFLITCNNSSHPPKPFLVDSKVEVRGISVKEQQVTIMTNVYSRCYEKGKPQSRLKLGYKSGSLKLYVSQTANKFVAVGCDTYAYVDGNGDDNSYKMEGCMASCVGIEEVKNGTCGGTGCCETDIPNVARNVYVSLDSINYYGNTSNDVNSCSYAFVVKSDEFNFSSTMLTKDWDVKEVPTVLDWTVPADKTPSETACKDNTTPVPFNDNEYRCACKEGYDGNPYLSGCQDIDECDVKQKNNCSQNAICTNTEGSYECSCKKGYHGDGKGDRGCIPSNHQPIGLVLEKFFRENGGSILQQKIAQGSASSRTTRIFTTDELKRATNNYDQTRIIGQGGFGIVYKGHLLDGRIIAVKKAKMMDPTQVEQFINEVIVLSQINHRNIVKLFGCCLEKEIPLLVYEFISNGTLSEHLHNKDKASKMPWSTRLRIATETAEVLSYLHSAASPPIIHRDVKSVNILLDDDCTARVSDFGASRLVPQDQTQLTTMVQGTFGYLDPEYLQTNHLTEKSDVYSFGVVLVELLTSRRALSFDGPEKERHLSQYFLSLLKENQLFKIVDAKIVCEENTEELQEVALLAKRCLNVKGEDRPTMKEVAVELSGLRRAAKHPWTNNLETSIESEALLTAQPIPFGYNATFSISTSEYDSLKHHMELPVAAGR >itb07g09920.t1 pep chromosome:ASM357664v1:7:10032019:10038236:1 gene:itb07g09920 transcript:itb07g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVFSGILAILSVLLAVSVASVAGEEKEYVLTLDHTNFSETVSKHNFIVVEFYAPWCGHCKKLAPEYEKAASVLSSHDPPVTLAKVDANEDSNRDLASQYEVQGFPTIKILRDGGKTVQDYKGPREADGIVTYLKKQVGPASSEIKSKEDAANIIDEKKVFVVGVFQEFSGEKFENFISLAEKLRSDYDFGHTLDAKLLPSGEPVDKPTLRLLKPFDELFADFQDFQVDAMEKFIGEASTPIITIFDQNPENHPYVNKFFDSPNDKAMLFVNFSSELSAFKSKYNDVAVLYKGKGVSFLLGDLETSGGALQYFGLKEDQAPVIVIQDKDQQKFIKPNVEPDQLATWVKDYKEGKVEPFIRSEPIPEVNNEPVKVVVSDSLENMVFKSGKNVLLEIYAPWCGHCKKLAPILDEVAVSFENDPDVMIAKLDGTANDIPGKKFDVQGYPTVYFISATGNITPYEGDRTKDDIIDFIQKNRDKPLQSDSIKSDSVKEESAKDEL >itb09g18760.t1 pep chromosome:ASM357664v1:9:14781002:14782498:1 gene:itb09g18760 transcript:itb09g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNASPKRGKKNARAKKRDVSEEESGASAEKMGASAEKMDASEEKKDVSAKKKKDVRDHFGVELFSSGRFTQPKNPYFVTKIRPRRRSDLFIPFEVIKNHNTKLPANVILPDEKGKNWNTYVKTWSDGRTWLSGEWRSLCRWNLVQEHDSDLAKIKVAEQ >itb03g19380.t4 pep chromosome:ASM357664v1:3:17470601:17473496:1 gene:itb03g19380 transcript:itb03g19380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLDLSLALPCGGCPASWKSKTRRPNLDGNTKNLDGISLHGSDQMKNEGSFFSNLSSKSVNIDASRKFGGFWTANDSRLTEVEEEKATEVGHKRKSLFGKSERDALYADLLENTRTSNSSINRIAGSTAGENEDIANIEAEGSTSGQISHHDDRLDSSKQCDSGYADLTGKRRLIVSSDKEAKFGNTQFSVPFLGQPVNILNMPYFAPLKDSSNTTGTVGGSSFPVPGIVPMMAATGTERPGTRSIIPANMPPMFGYSPVMLPSLDKNNSYDPISHFQHQQFHQSYTRGPLNINKDNESLKISQDVASQSSQYNGKAIEQVKSNHATKEGCSSSSTYGEENSKGSNIIIFPGKRPSEQPQTDGLSPSEFPPIRLGAAADLKFGGCGSSPDLPWVSTTGPNGKTISGVTYWFSPTQIKIVCACHGLHLSPDEFVLHASAPKTSHEVGTGGTPSFPGSNSAASAQN >itb03g19380.t1 pep chromosome:ASM357664v1:3:17469886:17473496:1 gene:itb03g19380 transcript:itb03g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLDLSLALPCGGCPASWKSKTRRPNLDGNTKNLDGISLHGSDQMKNEGSFFSNLSSKSVNIDASRKFGGFWTANDSRLTEVEEEKATEVGHKRKSLFGKSERDALYADLLENTRTSNSSINRIAGSTAGENEDIANIEAEGSTSGQISHHDDRLDSSKQCDSGYADLTGKRRLIVSSDKEAKFGNTQFSVPFLGQPVNILNMPYFAPLKDSSNTTGTVGGSSFPVPGIVPMMAATGTERPGTRSIIPANMPPMFGYSPVMLPSLDKNNSYDPISHFQHQQFHQSYTRGPLNINKDNESLKISQDVASQSSQYNGKAIEQVKSNHATKEGCSSSSTYGEENSKGSNIIIFPGKRPSEQPQTDGLSPSEFPPIRLGAAADLKFGGCGSSPDLPWVSTTGPNGKTISGVTYWFSPTQIKIVCACHGLHLSPDEFVLHASAPKTSHEVGTGGTPSFPGSNSAASAQN >itb03g19380.t3 pep chromosome:ASM357664v1:3:17470601:17473496:1 gene:itb03g19380 transcript:itb03g19380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLDLSLALPCGGCPASWKSKTRRPNLDGNTKNLDGISLHGSDQMKNEGSFFSNLSSKSVNIDASRKFGGFWTANDSRLTEVEEEKATEVGHKRKSLFGKSERDALYADLLENTRTSNSSINRIAGSTAGENEDIANIEAEGSTSGQISHHDDRLDSSKQCDSGYADLTGKRRLIVSSDKEAKFGNTQFSVPFLGQPVNILNMPYFAPLKDSSNTTGTVGGSSFPVPGIVPMMAATGTERPGTRSIIPANMPPMFGYSPVMLPSLDKNNSYDPISHFQHQQFHQSYTRGPLNINKDNESLKISQDVASQSSQYNGKAIEQVKSNHATKEGCSSSSTYGEENSKGSNIIIFPGKRPSEQPQTDGLSPSEFPPIRLGAAADLKFGGCGSSPDLPWVSTTGPNGKTISGVTYWFSPTQIKIVCACHGLHLSPDEFVLHASAPKTSHEVGTGGTPSFPGSNSAASAQN >itb03g19380.t2 pep chromosome:ASM357664v1:3:17469886:17473496:1 gene:itb03g19380 transcript:itb03g19380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLDLSLALPCGGCPASWKSKTRRPNLDGNTKNLDGISLHGSDQMKNEGSFFSNLSSKSVNIDASRKFGGFWTANDSRLTEVEEEKATEVGHKRKSLFGKSERDALYADLLENTRTSNSSINRIAGSTAGENEDIANIEAEGSTSGQISHHDDRLDSSKQCDSGYADLTGKRRLIVSSDKEAKFGNTQFSVPFLGQPVNILNMPYFAPLKDSSNTTGTVGGSSFPVPGIVPMMAATGTERPGTRSIIPANMPPMFGYSPVMLPSLDKNNSYDPISHFQHQQFHQSYTRGPLNINKDNESLKISQDVASQSSQYNGKAIEQVKSNHATKEGCSSSSTYGEENSKGSNIIIFPGKRPSEQPQTDGLSPSEFPPIRLGAAADLKFGGCGSSPDLPWVSTTGPNGKTISGVTYWFSPTQIKIVCACHGLHLSPDEFVLHASAPKTSHEVGTGGTPSFPGSNSAASAQN >itb09g12960.t1 pep chromosome:ASM357664v1:9:8260732:8265280:-1 gene:itb09g12960 transcript:itb09g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNAATCESGCYRDASGEVGEKEQQKRRPVTGNNGDTPGANSSESFGNRICVKCKLNETITASGAGGGGLRGDGERFCADCFRSNLYGKFRFSVSSNAMISPSDNVLVAFSGGPSSRVALHFVSEMQDKAQKNFEASRDRALPVFGVGVAFVDERSISPVPGHEFDKAIEEMKLIVSNLAPPLKQFHVVPTESVYSLEPANGREQLKKLIDAVSDTTGKEDLLEHFRMLALQKTALENGYTKIVLATCTSRIACHVLEATVKGQGYSLAADIQYVDARWEIPVVLPLRDCFAHEMSLLCCLDSLKTVELFNGLRTGINGLVSSFVKLLQEENPSRESTIVRTAGKLTPFHFNRIPEDNEYNGHTASQRRQKKYNIKTDNLIPQESFCPICNSPVKISDLTNLTHSEDVQTSMDLTGSCCSSCQFQILPGELSAMDYFHSLLPDPIIARAKDASSVHQSRLREQIEDCLLSDTEDGT >itb04g26860.t1 pep chromosome:ASM357664v1:4:31037285:31038755:-1 gene:itb04g26860 transcript:itb04g26860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFHLLLFLLAIASFSETSSANLGVCYGQLGNNLPTPNKSAELLRQLNVSHVKIYGADPQILNALKGVKIHVLIMVPNDLLPNISSDQKLADAWVGKNVAVFYPATKIRYVLVGNEILSPWNNASIWPHLVPAMRRIRSALKKSGLAKIKVGTPVAVDMVDSVFPPSNATFRRNISDVMVDMLRFHKRTKSVFFVDLYTYFPWVDQFKEIDLDFALLEPGNVTYSDPGSGFKYTDLLDVMIDAVIYAMKRVGFPDIPLFLAETGWPNGGDIDQIGASVRNAATYNRNVVKKFTAQPPAGTPLRPGVAMPALIFALYNENRKPGPGTERHFGLLYPNGTNVYDIDLSGQTPEPTYTPLPEPTNNEPYKGKTWCVVARTANQTQLAAAVAEACKQGSRTCKAIRPGGKCYKPYLTILHANYAFSSYWARFRKSGAYCYFNGLAVQTTKNPSKFLNFQNIFEK >itb03g12400.t1 pep chromosome:ASM357664v1:3:11598567:11602909:1 gene:itb03g12400 transcript:itb03g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGKEMKTVEKVQQVGAMVVAATFLGGNIVLSKVAANDGMPVSIMVAYRWIFATAFLGPIAIVVEWNKRPKMTWGVLLQSFLSALLGGSLFSNMFFKGVVLTSATFATAIYNLIPAMTFVVAVLLRMENMKLHKASGKAKVMGTIVCISGAMLLTFYRGQEVQIWSSKIDLFHLAHPHAQSAHAEGSSGSFALGATLTMISCFCYAVWIVLLARVSKNYPCHYSSTALMSFNGAIQSVVFALCVTRDEAEWKLGWNIRLFVVLFMGILGSGVVVILMTWCANKSGPLFVSIFNPLILVIVALASSLLLSETLHLGSVLGGFVIIIGLYVVLWGKSRDLKDVSSAVPQQVLPKTHSDIVQ >itb09g27200.t1 pep chromosome:ASM357664v1:9:27626194:27626886:-1 gene:itb09g27200 transcript:itb09g27200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMANILCSKQGLRISSSRTNRKSEVLNGHIAVYVGECGKKRFVIPMSYLKDPSFQDLLSQAEEEFRFDHPMGGLTIPCPEDTFLDIISSSRRF >itb05g27530.t1 pep chromosome:ASM357664v1:5:30839872:30843995:1 gene:itb05g27530 transcript:itb05g27530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGTGITVADGNLNVLGKCILSNLHDNIILTPATAEVLINGAFLGVQSDRIGSRRVFPVGKLEGLQFMCSFRFKMWWMTQRMGTCGQDIPFETQFMIVEGHEASQFEETDEGSALYVVFLPILEGDFRAVIQGNADNELEICLESGDPAVEEFQGSHLVFVAAGSNPFDVITDAVKAVESHMQTFCHRERKKMPDMLNWFGWCTWDAFYTTVTAEGVKQGLEILQKGGVCPKFVIIDDGWQSVGMDPSSVGVNADNSANFANRLTHIRENHKFQKDGKEGHRVEDPAMGLKHFISEIKDQHDLKYVCVWHALAGYWGGVKPGIAGMEHYESELAYPVSSPGVQSQEPDGSLDCMTKNGLGLVNPEKVYTFYNELHSYLASAGIYGLKVDVQNILETVGAGHGGRVKLARRYHQALEASISRNFPDNGIISCMSHNTDSLYSAKRIAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFQSLHPMAEYHAAARAVGGCAIYVSDKPGHSNFDVLRKLVLPDGSVLRAKLPGRPTRDCLFSDPARDGKSVLKIWNLNHVNGVVGAFNCQGAGWCRVEKTNLIHDEQPGTVTGTIRAKDVEYLPRITHGDWNGDVVIYSYCQANLVYLPKNCILPVTLKAKEYEVFTVVPIKKMSSGSAFAPIGLVKMFNSGGAITEVKYEAEGTGAVFMKVRGCGEFGAYSSVRPRRIAVDSTEVEFQYEDASGFITFELGIPEKEMYLWDVVVDL >itb01g21050.t1 pep chromosome:ASM357664v1:1:27245684:27248010:1 gene:itb01g21050 transcript:itb01g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNWTSPAFLQWRPLLVPTTTACFASSKTLNTDQLRQQLDHLHKEADKTRAKANNARLRLLRLSEAADKLRRQAAISVQSGKEDDAREMLIQKKKVMQALEKSKNRIELLDELAAKLNEAITMRETQLIGNVSLDLEVEAEDIPSAVRIVSPKETDCNDSDGNRVHAQDAVEVSNAQELQYLDHSVADLEADNQLNNNEEASASGNAWNEADRVDSLKGISSYEDFLEHIDLKLKTIEDELVTVLRFSGLVIESKEKLENSKIQQLLEIFDSVHRVRERVEGIIQRKTGIK >itb10g25690.t2 pep chromosome:ASM357664v1:10:28901106:28904621:1 gene:itb10g25690 transcript:itb10g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLAVACLSLLLSTSSLFSEVQSTTFKIVNKCRQTVWPGILTGANRAILYPTGFKLNSGKSKTLSVPRSWSGRVWGRTLCSNDSSGKFSCVTGDCGSGKVQCAGSGAIPPATLAEFTLNGDQGLDFYDVSLVDGYNLPMLIVAKGGKRGGCASTGCLVDLNAACPSDLKVARGNGSDSEGVACKSACLAFNQPIYCCSDAYATPDTCHPSTYSLFFKHACPRSYSYAYDDHTSTFTCDGADYLIMFCPPPYTSQKVLSARKQAADLPLVNETMLYLGRRHRSGMFYMHDFGGSLCWPAVTNLGEFTSG >itb10g25690.t1 pep chromosome:ASM357664v1:10:28901002:28905083:1 gene:itb10g25690 transcript:itb10g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLAVACLSLLLSTSSLFSEVQSTTFKIVNKCRQTVWPGILTGANRAILYPTGFKLNSGKSKTLSVPRSWSGRVWGRTLCSNDSSGKFSCVTGDCGSGKVQCAGSGAIPPATLAEFTLNGDQGLDFYDVSLVDGYNLPMLIVAKGGKRGGCASTGCLVDLNAACPSDLKVARGNGSDSEGVACKSACLAFNQPIYCCSDAYATPDTCHPSTYSLFFKHACPRSYSYAYDDHTSTFTCDGADYLIMFCPPPYTSQKVLSARKQAADLPLVNETMLYLGRRHRSG >itb02g25580.t1 pep chromosome:ASM357664v1:2:26336819:26337560:-1 gene:itb02g25580 transcript:itb02g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGVYYLIKILQLPCPHSVIFCKAVAIYGVIVAIILQTNLESVLASQIHAPESLTAGYAIFASGIIVGFANLVCRLCVGIIRSSCALSDAQNSTLFDKILVIERY >itb08g13800.t1 pep chromosome:ASM357664v1:8:15175055:15175984:1 gene:itb08g13800 transcript:itb08g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIKRLNGFTDNSSDLIPPLPKRCGAAAAGSSATNRRAAAAAARDGGGSGGGGIIKYRGVRRRPWGRYAAEIRDPQSKERRWLGTYDTAEEAACAYDAAARAMRGVKARTNFVYPVSPPYPAAVHGGNFPVSNFPAFFQPVFSSFSSLSTQSNQTSNNPMSLCDHQLALMLNVDNGSSFLNEITALQARSGSIFNPQTTLSSSSPTSADNNSSSGMEFFESQTPNSGLLGDVLNGFFPKPQQTPKSSEPSTSHAKKSVDQKGQFGICIDEAAGEFGNFNNNNGFSGGFPVEDVFGDAAGVQLSRAFW >itb01g05530.t1 pep chromosome:ASM357664v1:1:3793850:3796045:1 gene:itb01g05530 transcript:itb01g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEIGAVPFNPDGWGPPDSSTGGSISVPNQPSNVPFAPFSRSERIGRIADWTRTLQNPGRQGTNSKQSHADSAFDFSTDDSFATLAADEDSSFRLVDTAAKSHHHGQHRPKFNPRWRFNPHHQRSQLPQRRDEEVEARKREAEKERARRDRLYNLNRSGINAGQRRESSVFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLICGGLEFYDRSFDRITPRSDRRLERFKNRNFFRITTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVIQKVGNKLFFDKRDGSQLDLLSVHETSQEPLPDVKEDINSAYSLSVEAAYINQNFSQQVLVRDGNKLTFEEPNPFATEGEEVASVAYRYRRWKLDDDMYLVARCEVQSVVEVNNQRSFLTVNALNEFDSKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTSNMWGIVKSIVDLFMKLNDGKYVLVKDPSKPQVRIYEVPPDAFENDYVEEPLPEEEQVQPPAEDADTGEANGVANDVEDNKEVSTEAAA >itb02g00290.t1 pep chromosome:ASM357664v1:2:223251:226597:-1 gene:itb02g00290 transcript:itb02g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAMDQSDGNSGAVNSKLETMTTQAGVSSSLGSEYLPKVRKPYTITKQRERWTEEEHQRFVEALKLYGRAWRKIEEHVGTKTVIQIRSHAQKFFTKVVRDLGNDGDGPIEIPPPRPKKKPLHPYPRKMVDSSKPEMEVLGQSERSPSKIYNGERDNQSPDSVLSAIGSDDMESPVAELQHTQRSPASCTSDADSPNLAPIENDNECLTSNSSVEKKGSVASVGVTAKSSLPTDNSSVKLDLLSRDTASSVKESTRDTPASFRLFGQTVSVSIAVADSSKQEPDNFKSVPLDAAREENEVNDNTTVEGLPNHVNSQFVYTMVPHSMIPPASWFPQFLPNKDSNSVGAKPTTMPPWWSWYQDIMHRYFSSCSHVAAQTTYPEEDFKHSRTQRERSSTDSSSGSASEVNSVLRNSDAIESKGGTVKLENTQNRKGFVPYKKCLAERNEESSAADIEEPERKKLCVGL >itb02g00290.t3 pep chromosome:ASM357664v1:2:223251:225739:-1 gene:itb02g00290 transcript:itb02g00290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQAGVSSSLGSEYLPKVRKPYTITKQRERWTEEEHQRFVEALKLYGRAWRKIEEHVGTKTVIQIRSHAQKFFTKVVRDLGNDGDGPIEIPPPRPKKKPLHPYPRKMVDSSKPEMEVLGQSERSPSKIYNGERDNQSPDSVLSAIGSDDMESPVAELQHTQRSPASCTSDADSPNLAPIENDNECLTSNSSVEKKGSVASVGVTAKSSLPTDNSSVKLDLLSRDTASSVKESTRDTPASFRLFGQTVSVSIAVADSSKQEPDNFKSVPLDAAREENEVNDNTTVEGLPNHVNSQFVYTMVPHSMIPPASWFPQFLPNKDSNSVGAKPTTMPPWWSWYQDIMHRYFSSCSHVAAQTTYPEEDFKHSRTQRERSSTDSSSGSASEVNSVLRNSDAIESKGGTVKLENTQNRKGFVPYKKCLAERNEESSAADIEEPERKKLCVGL >itb02g00290.t2 pep chromosome:ASM357664v1:2:223251:224883:-1 gene:itb02g00290 transcript:itb02g00290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKPEMEVLGQSERSPSKIYNGERDNQSPDSVLSAIGSDDMESPVAELQHTQRSPASCTSDADSPNLAPIENDNECLTSNSSVEKKGSVASVGVTAKSSLPTDNSSVKLDLLSRDTASSVKESTRDTPASFRLFGQTVSVSIAVADSSKQEPDNFKSVPLDAAREENEVNDNTTVEGLPNHVNSQFVYTMVPHSMIPPASWFPQFLPNKDSNSVGAKPTTMPPWWSWYQDIMHRYFSSCSHVAAQTTYPEEDFKHSRTQRERSSTDSSSGSASEVNSVLRNSDAIESKGGTVKLENTQNRKGFVPYKKCLAERNEESSAADIEEPERKKLCVGL >itb06g09510.t1 pep chromosome:ASM357664v1:6:13794463:13798202:1 gene:itb06g09510 transcript:itb06g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVTGAMAERRMNNGARIEGGLDSGAKMDPNSEVCRAEIDTSVPFESVKEAATRFGGIGFWRPTAHKPSRRDS >itb10g12230.t1 pep chromosome:ASM357664v1:10:18176338:18177468:-1 gene:itb10g12230 transcript:itb10g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLNENGVYDGKYTTFALCGFVRAQGDADSGLDRLWQKKKVEARQQ >itb04g15510.t2 pep chromosome:ASM357664v1:4:16702507:16710494:-1 gene:itb04g15510 transcript:itb04g15510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVRSGGVVKKKSSSGCLIVRKKDDRAGGVQRGGIGSLQKNKKKRPRVIANDSESGDELPEPYKRKAQEISGNSPITCRSGAEGNGNTESDTKRSRLDLFEFDEYDEFDERAMRNEYRDGRLKVTQGMGSSREFRSGSSAEMIVAKRKFDSSRKALGSRTKGFDCSAKSETDEEEDEADLPISMLKLKYQKTSNEAIRLQGKNGVLKVMVNKKKDLSHKKNDCRDFDSRKGSTSEDLIMKKLQIQPTIHSDSEHSEKQHLSVQRDKSKMKSQKNLMSKNTRASDSETDGTGTSPKVVLSALPAGNSLKRVKKEENKLSTAKPVSPTNKEGKTKRGASTEKQKLREKIRGILTDAGWTIDYRPRRNRDYLDAVYISPCGTAYWSIVKAYDAFQKQLEEDGGGNKSAGVSAPFSPLLEDINKLTRQTRKKIEKEMKKKRKDNGTSRDNKKTSGNESAEGSDSDQHDERLSSYIKKSGKLLKGKFHARHQKNQYETNENISISKDGKLDQDIIGKSSVAPTGKLIQGRKSKIIGRCTLLVRSSDKGQNSDIDGYVPYSGKRTLLAWMIDSGTAKLSEKVQYMNRRKTRVKLEGWITRDGIHCGCCSKILTVSKFELHAGSKLRQPFQNIVLESGVSLLQCLIDAWNRQEESERQDFCTVDTDGDDPDDDTCGICGDGGDLICCDSCPSTFHQSCLGIMMLPPGDWHCPSCICKFCGIADESPTEDDMDVNELHLCILCEKKYHKLCRQELKANNVLPVKNKISSTSFCGQTCQELYDRLRKIVGVKHELEAGFSWSLIQRTNLDSDTSHCEFPQRVEGNSKLAVALSIMDECFLPIVDRRSEINIIHNVLYNCGSNFSRINYRGFYTAILERGDEIISAASIRIHGTQLAEMPFIGTRHIYRRQGMCRRLLSAIETVLSTLKVEKLIIPAISEHIHTWTVVFGFNQLEESHKQEMKSMNMLVFPGVDMLQKQLVKQGASEGLRAVDFRSPDTVSCAKRDANECHDTGLQNANEIHAKVESGCSGSPASAVQSHDSTMIDGQDSSPSTIKSSNKVTESIKSEVENKLAESSTNLGHSAAGENVVTLDMQDAVLDTPGQITQTSVHVDQEACEKAIKASSSQSVHESSDEAALRGTRERDEDQDAVLVPVICGIIETPSHLCSESSQSKVVENQAEACSIPEASHNAEAVLPTKDNVLATSEISHRAPTEVDGKEICADSVHCSSLDLAIQCKTEDGDRKQTSQSTELSAAGKPIEQPSSDPNHPSTIDGENKPNMASEITTDTEGVDSAKIIPCEGKKDLASSNDGCCERKNVEESCVEPSKTSSQDMAAKDESS >itb04g15510.t1 pep chromosome:ASM357664v1:4:16702507:16710798:-1 gene:itb04g15510 transcript:itb04g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVRSGGVVKKKSSSGCLIVRKKDDRAGGVQRGGIGSLQKNKKKRPRVIANDSESGDELPEPYKRKAQEISGNSPITCRSGAEGNGNTESDTKRSRLDLFEFDEYDEFDERAMRNEYRDGRLKVTQGMGSSREFRSGSSAEMIVAKRKFDSSRKALGSRTKGFDCSAKSETDEEEDEADLPISMLKLKYQKTSNEAIRLQGKNGVLKVMVNKKKDLSHKKNDCRDFDSRKGSTSEDLIMKKLQIQPTIHSDSEHSEKQHLSVQRDKSKMKSQKNLMSKNTRASDSETDGTGTSPKVVLSALPAGNSLKRVKKEENKLSTAKPVSPTNKEGKTKRGASTEKQKLREKIRGILTDAGWTIDYRPRRNRDYLDAVYISPCGTAYWSIVKAYDAFQKQLEEDGGGNKSAGVSAPFSPLLEDINKLTRQTRKKIEKEMKKKRKDNGTSRDNKKTSGNESAEGSDSDQHDERLSSYIKKSGKLLKGKFHARHQKNQYETNENISISKDGKLDQDIIGKSSVAPTGKLIQGRKSKIIGRCTLLVRSSDKGQNSDIDGYVPYSGKRTLLAWMIDSGTAKLSEKVQYMNRRKTRVKLEGWITRDGIHCGCCSKILTVSKFELHAGSKLRQPFQNIVLESGVSLLQCLIDAWNRQEESERQDFCTVDTDGDDPDDDTCGICGDGGDLICCDSCPSTFHQSCLGIMMLPPGDWHCPSCICKFCGIADESPTEDDMDVNELHLCILCEKKYHKLCRQELKANNVLPVKNKISSTSFCGQTCQELYDRLRKIVGVKHELEAGFSWSLIQRTNLDSDTSHCEFPQRVEGNSKLAVALSIMDECFLPIVDRRSEINIIHNVLYNCGSNFSRINYRGFYTAILERGDEIISAASIRIHGTQLAEMPFIGTRHIYRRQGMCRRLLSAIETVLSTLKVEKLIIPAISEHIHTWTVVFGFNQLEESHKQEMKSMNMLVFPGVDMLQKQLVKQGASEGLRAVDFRSPDTVSCAKRDANECHDTGLQNANEIHAKVESGCSGSPASAVQSHDSTMIDGQDSSPSTIKSSNKVTESIKSEVENKLAESSTNLGHSAAGENVVTLDMQDAVLDTPGQITQTSVHVDQEACEKAIKASSSQSVHESSDEAALRGTRERDEDQDAVLVPVICGIIETPSHLCSESSQSKVVENQAEACSIPEASHNAEAVLPTKDNVLATSEISHRAPTEVDGKEICADSVHCSSLDLAIQCKTEDGDRKQTSQSTELSAAGKPIEQPSSDPNHPSTIDGENKPNMASEITTDTEGVDSAKIIPCEGKKDLASSNDGCCERKNVEESCVEPSKTSSQDMAAKDESS >itb06g14150.t1 pep chromosome:ASM357664v1:6:18774867:18780150:1 gene:itb06g14150 transcript:itb06g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIALASLRATMEFEIQQPSPRVLPDELQQMRSILQDLAPLQAFLDKFNIKAGRKDAESRDVELKIKRFALQAEDQIEIHLRNIILEEDTRRELRRSLGEIRKEIGGLSQIVINHSDNDESHHHDIPSQLSADKWRSQTHEVEMVGRHKELFQIERLLRCFNKRPISSPLTPSVISIWGMGGIGKTTLTRKVYEKPMEYFGVRAWVTVSQKYELKQIIISLLRCIKTITPEEIKVATEDELACQLRKLMTEKTYLIVLDDVWSTRAWDEILGLFAHERNEGFILLTTRDREVAMYASDCDFGKTYNLSILEEKDSWELFRNIFPLEKYMAPRFNKFWSYLARVVEKCDGLPPTIIAVAERLSKCKNATKELKNIEKELESLGIIGHNIRTHIYCQLSEDLKVYLLYLGVFPKRSEIQVKTLLRLWIAEGLVKQTCAMNIEETAYRYLESLVDRSLVLICNLSSDGKIKSCRVHSALHSVCVVEAQKEGILCAVNTLQHVGLPLSSVFANSCRWLSLYTHGFDYYVLLGTNICRSIFFFCENSESEFVPLRFLRVLAFVPSRFLERENLGNLVFLRYVHVKQWFEGLEDIVPTNPNLQVLIVTHKGTIASSMCLPSKIWETPKLIRHVEVSYSLSVDPPPPSEVRESLQTLYWLSLHHCTEDVFSRIPNVKKLGIICGGDKGSSSPNEDNLGNLGSLGELKTLMIAFRKGSPTGFQNLKSLPLHLNIKKLKLKRTCLPWSEINVVGMLPNLEVLKLKEASDGSDWNSSLQRGFFKLEFLYVEAKNLVRWEINEFDEFMCLKHLVLKRCTQLEAIPTGFEVVRTLESVELLNCSLHLKEHVKHGYDLQEPASGDSYILKLAF >itb15g03950.t2 pep chromosome:ASM357664v1:15:2487936:2488577:1 gene:itb15g03950 transcript:itb15g03950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDYSDYDVFYSVRPYPTDLFDCRGASSAASHIHVRFSHHTADDIDDDGREYEVESRTVCVGDDLLEEGSEVDCGNFIYSELPFYWPIHGDILQEIFDEVIEKAREFKCNLGVDIRTVHIRDYVEDRDFGAAAEGVNNGAAPAASDEMSVVKSLKRKRIEEGGGNCCVICLEELKAGRDVVVLPCTHHSFHDDCLSSWFKRSPSCPLCRRKI >itb15g03950.t1 pep chromosome:ASM357664v1:15:2488115:2488577:1 gene:itb15g03950 transcript:itb15g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRLAGGGVGGRLREFHLLRIAVLLAYSRRHPPRNLRRTEGVNNGAAPAASDEMSVVKSLKRKRIEEGGGNCCVICLEELKAGRDVVVLPCTHHSFHDDCLSSWFKRSPSCPLCRRKI >itb11g21390.t1 pep chromosome:ASM357664v1:11:23106092:23107230:-1 gene:itb11g21390 transcript:itb11g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEENDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLRKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb04g17090.t1 pep chromosome:ASM357664v1:4:19525328:19528850:1 gene:itb04g17090 transcript:itb04g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYAMVFAARYLDIFTDYVSLYNTVMKLIFLGSSFSIVWYIRRHKIVRRSYDKDQDTFRHLFLILPCLLLALFINEKFTFKEVMWTFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVLLLGAYRALYILNWIYRYFTEPHYVHWITWISGLVQTLLYADFFYYYFQSWKNNTKLELPA >itb10g16650.t1 pep chromosome:ASM357664v1:10:22935272:22937119:1 gene:itb10g16650 transcript:itb10g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRNPPLSLPFLVSTLMTIIINLQILPCFSTTSFVFGDSLVDAGNNNYLVSLSRADFPPNGIDFPPNGRPTGRFTNGRTIADIVGEGLGVKPFPPPYFAPNAEPNAVQSGLNYASGASGILDETGSLFIGRVPLRQQISNFEQSRRHMVKVMGERNTSKFLKEAIFSVTIGANDVLNYIQPLIHIPFLHDHPTVSPSIFQDFLISNLTTHLKRLHGLGGRKFVIVDIGPLGCIPFVRAIKFVPKGKCSVEVNTLIRGYNSKLKAEIHRLNREIGPKAIFVYANTYDAFRHIILNYRYFGFENNDDPCCGGYVPPFLCRLGNNENVSSVMCNERGKYVFWDAYHPSEATNLILSEKLLNGDITVAWPMNLSQLHHYKL >itb11g09230.t1 pep chromosome:ASM357664v1:11:6139748:6145107:-1 gene:itb11g09230 transcript:itb11g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGGGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYEAKLYKLLQGGTGIPNVKWYGVEGDYNVLVIDLLGPSLEDLFNFCSRKMSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTSIEVLCRGYPTEFASYFHYCRSLRFVDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKHQQSQLSSPPSHGHGGIGATSSGMPLTAANIDKQTGVEEGLPTGWSSGNLVNSRNTGLVLSPGSKQKGPVNDSTMSKGLSSSNIIQSSGSSRRPAVSSIRDSVTAGVESDLSRTRAADTTHASPGTIPKMTSTAERSSPVIFDNKCSSSTRNSNMKNIESTLKGVEGLSFGNDEKSHY >itb01g35510.t2 pep chromosome:ASM357664v1:1:37676940:37677185:1 gene:itb01g35510 transcript:itb01g35510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALQCVSSNAKDRPKMPAIIETLESLQHLRDMAVACGQWPPSPASAKGAVFSPKGHKDMNGNRSFKKNSSLATKPKTN >itb01g35510.t1 pep chromosome:ASM357664v1:1:37675290:37677185:1 gene:itb01g35510 transcript:itb01g35510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPWRPLTAGRCCSAEHQALCGNFSRCRPSRSEFSKNIAPLPSFRRLSFSDLSRSSSTRINEDLAQSFGQDLCDFQLSELRAITQNFSSNFLLGEGGFGKVHKGYVDENMRAGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVRLIGYCCEDEERLLVYEFMPRGSLENHLFKRLSLSLPWGTRLKIAIGAAKGLAFLHGAEKPVIYRDFKTSNILLDSDFNAKLSDFGLAHMGPEGSKTHVTTQVMGTYGYAAPEYVSTGHLTTKSDIYSFGVVLLELLTGRRATEKTRPKNEQNLVDWSKPYLTSSRRLRCIIDPRLAGQYSVKGAKEMALLALQCVSSNAKDRPKMPAIIETLESLQHLRDMAVACGQWPPSPASAKGAVFSPKGHKDMNGNRSFKKNSSLATKPKTN >itb12g21670.t1 pep chromosome:ASM357664v1:12:23962791:23968203:1 gene:itb12g21670 transcript:itb12g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLCLKAMLLGTRSGQDYSADTERKDIVKGLYRESHINQTYDFILGKFCCYQALEGFLNGAVVVPKKVEDGGFIVPESNAFGNTDYSADTERKDIVKGLYRESHINQTYDFVKQMREKYRKMDRVEMSIWECCELLNAVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPSFGGLPQWAVVGDTFPLGCAFDESIVHPEFFKENPDYNNPLYNTKNGVYSEGCGLENVLMSWGHDDYMYLVAKENKSTLPNAGLFIVRYHSFYLPKKVEDGGFIVPESNAFGNTDYSADTERKDIVKGLYRESHINQTYDFVKQMREKYRKMDRVEMSIWECCELLNAVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPSFGGLPQWAVVGDTFPLGCAFDESIVHPEFFKENPDYNNPLYNTKNGVYSEGCGLENVLMSWGHDDYMYLVAKENKSTLPNAGLFIVRYHSFYPLHKNGAYTHLMNDEDRENLKWLEIFNKYDLYSKSKVRIDVEKVKPYYESLSKKYFPEKLKW >itb01g07070.t3 pep chromosome:ASM357664v1:1:5272335:5274690:-1 gene:itb01g07070 transcript:itb01g07070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKNLKITNSSKGVQIHCERDSQENVQNLQNSVDAVKKLEMNIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGAILQLLMAHKHGWAFLKPVDPVAFNIPDYFAIITKPMDLGTVLSKLEGNMYFCVEEFAADVRLTFANSVRYNPLDNPFHTMAKELDSLFNKQWKLMEANWKSKSGSAEQGCLPNRRGKDFQDMNKCYIKKAPLHVKPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRILVAAKKVWMDKSTEKICLSMASKGLWI >itb01g07070.t5 pep chromosome:ASM357664v1:1:5272335:5274690:-1 gene:itb01g07070 transcript:itb01g07070.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKNLKITNSSKGVQIHCERDSQENVQNLQNSVDAVKKLEMNIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGAILQLLMAHKHGWAFLKPVDPVAFNIPDYFAIITKPMDLGTVLSKLEGNMYFCVEEFAADVRLTFANSVRYNPLDNPFHTMAKELDSLFNKQWKLMEANWKSKSGSAEQGCLPNRRGKDFQDMNKCYIKKAPLHVKPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRILVAAKNLSSKIVLEEKVWMDKSTEKICLSMASKGLWI >itb01g07070.t4 pep chromosome:ASM357664v1:1:5272335:5274690:-1 gene:itb01g07070 transcript:itb01g07070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKNLKITNSSKGVQIHCERDSQENVQNLQNSVDAVKKLEMNIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGAILQLLMAHKHGWAFLKPVDPVAFNIPDYFAIITKPMDLGTVLSKLEGNMYFCVEEFAADVRLTFANSVRYNPLDNPFHTMAKELDSLFNKQWKLMEANWKSKSGSAEQGCLPNRRGKDFQDMNKCYIKKAPLHVKPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRILVAAKFTFMLKIFELKFLVSQYLSLMFCYAGRTYHPK >itb01g07070.t1 pep chromosome:ASM357664v1:1:5272335:5274690:-1 gene:itb01g07070 transcript:itb01g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKNLKITNSSKGVQIHCERDSQENVQNLQNSVDAVKKLEMNIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGAILQLLMAHKHGWAFLKPVDPVAFNIPDYFAIITKPMDLGTVLSKLEGNMYFCVEEFAADVRLTFANSVRYNPLDNPFHTMAKELDSLFNKQWKLMEANWKSKSGSAEQGCLPNRRGKDFQDMNKCYIKKAPLHVKPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRILVAAKAEPIIQNSIGRSKCTSLTLKVWMDKSTEKICLSMASKGLWI >itb01g07070.t6 pep chromosome:ASM357664v1:1:5272335:5274683:-1 gene:itb01g07070 transcript:itb01g07070.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKNLKITNSSKGVQIHCERDSQENVQNLQNSVDAVKKLEMNIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGAILQLLMAHKHGWAFLKPVDPVAFNIPDYFAIITKPMDLGTVLSKLEGNMYFCVEEFAADVRLTFANSVRYNPLDNPFHTMAKELDSLFNKQWKLMEANWKSKSGSAEQGCLPNRRGKDFQDMNKCYIKKAPLHVKPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRILVAAKVNFDLLVVPTSLYQPN >itb01g07070.t2 pep chromosome:ASM357664v1:1:5272335:5274690:-1 gene:itb01g07070 transcript:itb01g07070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKNLKITNSSKGVQIHCERDSQENVQNLQNSVDAVKKLEMNIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGAILQLLMAHKHGWAFLKPVDPVAFNIPDYFAIITKPMDLGTVLSKLEGNMYFCVEEFAADVRLTFANSVRYNPLDNPFHTMAKELDSLFNKQWKLMEANWKSKSGSAEQGCLPNRRGKDFQDMNKCYIKKAPLHVKPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRILVAAKAEPIIQNSIGRKSLDG >itb04g32970.t1 pep chromosome:ASM357664v1:4:35345197:35345762:-1 gene:itb04g32970 transcript:itb04g32970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLVPDPTFVHDENTIVVRFCPSDEELIHSYLWKKVQNQPLPPNKIHEVNLYKFSPWELSEAKPGCWEATGADKSIMNKQKVLVDSRKALVFYEGKPPKGVKTNWIMYEYRVEAPSPRPRGDDDWVLCRIYNKTV >itb07g24600.t1 pep chromosome:ASM357664v1:7:28839140:28842435:-1 gene:itb07g24600 transcript:itb07g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSSSRVSAMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTKLHEDEEGVPPTTLREISLLRMLSRDPHIVRLLDIKQGQNKEGKTVLYLVFEYMDTDLKKFIRSFRQNGDIIPSKTIKSLLYQLCKGVDFCHGHGVLHRDLKPHNLLMDRKTNTLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTNQALFPGDSELQQLLQIFRLLGTPNEEMWPGVSKLVNWHEYPQWRPKPLSSAVPNLDGDGLNLLGEMLRYEPSKRISAKKAMEHPYFDDLDKSCL >itb11g07900.t2 pep chromosome:ASM357664v1:11:4994748:4997511:1 gene:itb11g07900 transcript:itb11g07900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGWLFPIVDEPPVVGRRGGGGGFDSKTGIYHSLLQLDEHSKIPTSPDLDTATFVLSQFPNISMAESRVALVDSATKQSVTYAQIQRSIALLATGLHHGLGVRKGDVVFVLSPNSLLYPVICLAVLKVGAVLSTSNPLNTPAEIAKQVSISGAKLAIAAPEEVHKLVPTGVPTLYTTRPKDDNANALSIEELIENCEPQDLPECRPSQSDTAAILYSSGTTGVSKGVVLTHANLVAVMTLLKWYVVSTSSQDDVFLCFVPMFHIYGLAFFGLGLFCLGTTTVLMQKFDFQAMLEAIQTHKVNHIPAVPPVILGLVKYNRGGYDLSSLRRVGSGAAPLSKEVADGFRKKFPWVELRPGYGLTESSGAATFFSSSQEANARPASSGLLFPCMSAKVVDTETGEALAPLKQGELWLRGPNIMNEYIGNQEATANTLDSDGWLKTGDLGYFDEDGYLYIVDRIKELIKHNGYQVAPAELEAVLLTHANILDAAVVPLEDEEAGEIPVAYVVRASGSELTEEQVIQFVASQVCLSPSLLLH >itb11g07900.t3 pep chromosome:ASM357664v1:11:4994748:4997511:1 gene:itb11g07900 transcript:itb11g07900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGWLFPIVDEPPVVGRRGGGGGFDSKTGIYHSLLQLDEHSKIPTSPDLDTATFVLSQFPNISMAESRVALVDSATKQSVTYAQIQRSIALLATGLHHGLGVRKGDVVFVLSPNSLLYPVICLAVLKVGAVLSTSNPLNTPAEIAKQVSISGAKLAIAAPEEVHKLVPTGVPTLYTTRPKDDNANALSIEELIENCEPQDLPECRPSQSDTAAILYSSGTTGVSKGVVLTHANLVAVMTLLKWYVVSTSSQDDVFLCFVPMFHIYGLAFFGLGLFCLGTTTVLMQKFDFQAMLEAIQTHKVNHIPAVPPVILGLVKYNRGGYDLSSLRRVGSGAAPLSKEVADGFRKKFPWVELRPGYGLTESSGAATFFSSSQEANARPASSGLLFPCMSAKVVDTETGEALAPLKQGELWLRGPNIMNEYIGNQEATANTLDSDGWLKTGDLGYFDEDGYLYIVDRIKELIKHNGYQVRKSNLSIFKSIPQENSYSLTFAIPDVTELTSTQNAGGSRRAGSSSIDSCQYTRCSSCTVSCLIHRANFTIRKTLSYIYVHAYSVYQFNIQQWVALVVKMGHT >itb11g07900.t1 pep chromosome:ASM357664v1:11:4994748:4997511:1 gene:itb11g07900 transcript:itb11g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVDGWLFPIVDEPPVVGRRGGGGGFDSKTGIYHSLLQLDEHSKIPTSPDLDTATFVLSQFPNISMAESRVALVDSATKQSVTYAQIQRSIALLATGLHHGLGVRKGDVVFVLSPNSLLYPVICLAVLKVGAVLSTSNPLNTPAEIAKQVSISGAKLAIAAPEEVHKLVPTGVPTLYTTRPKDDNANALSIEELIENCEPQDLPECRPSQSDTAAILYSSGTTGVSKGVVLTHANLVAVMTLLKWYVVSTSSQDDVFLCFVPMFHIYGLAFFGLGLFCLGTTTVLMQKFDFQAMLEAIQTHKVNHIPAVPPVILGLVKYNRGGYDLSSLRRVGSGAAPLSKEVADGFRKKFPWVELRPGYGLTESSGAATFFSSSQEANARPASSGLLFPCMSAKVVDTETGEALAPLKQGELWLRGPNIMNEYIGNQEATANTLDSDGWLKTGDLGYFDEDGYLYIVDRIKELIKHNGYQVAPAELEAVLLTHANILDAAVVPLEDEEAGEIPVAYVVRASGSELTEEQVIQFVASQVAPYKKVRRVNFISQIPRSTAGKILRKELVSQSKQSVPSKL >itb08g01420.t2 pep chromosome:ASM357664v1:8:1037256:1040167:1 gene:itb08g01420 transcript:itb08g01420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSAPPPHFPPLSSSSSSSKPHLSHNLSLSFLPKNPHNLSVLVPARAVNDGSALASTAVAVELENALEKASEAPVADGESNSTDSNSNGSPAAMSLGMFQDPRWVGGTWDLKQFQIDGKTHWDAVIDAEVRRRKWLEDNPESSSNEDPVVFDTSVIPWWVWMKRFHLPEAELLNGLLRLFSLPFFLSKIQKCPII >itb08g01420.t1 pep chromosome:ASM357664v1:8:1037256:1040167:1 gene:itb08g01420 transcript:itb08g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSAPPPHFPPLSSSSSSSKPHLSHNLSLSFLPKNPHNLSVLVPARAVNDGSALASTAVAVELENALEKASEAPVADGESNSTDSNSNGSPAAMSLGMFQDPRWVGGTWDLKQFQIDGKTHWDAVIDAEVRRRKWLEDNPESSSNEDPVVFDTSVIPWWVWMKRFHLPEAELLNGRAAMVGFFMAYLVDSLSGVGLVDQTGNFFCKTLLFVSVVGVLVIRKNEDIEALKKLVEETTLYDKQWKASWQEETSEDP >itb06g22070.t1 pep chromosome:ASM357664v1:6:24501244:24504932:-1 gene:itb06g22070 transcript:itb06g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEIRDRESGKLRPNSYSNRVKLNRLAALQLSNHKEIVSPHRGPVNSLQVDLTEQRYLLSCASDASVAVYDVQRATGHEGGGLIAKHKPLFVVDKRHEQGHKYAVSTAIWYPVDTGLFVTGSYDHRVNVWDTNTTQVVVNFKMPGKVNKTAMSSLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMSVEWSSSSEWVLMTGGCDGAIRFWDIRRAGCFRVLDQSHSQLGRRPPVLARSAVNKSSTSKSPSVNQTSSLKSRPSQRKTVISNGHKQSVIDRQVRGSSKQRLHPGLLSSQDRATAHYGVVSSLKVTDDGLYLLSAGSDSRLRLWDIESGCNTLVNFETGRLQTTKALQMAISEDSTAVFVPCMATTKAFDLWSGKAMMNFRGHYENVNCCWYNAQDQELYTGSSDRQILVWSPPKTVIEESEGKMKQQSMVADEDCWSD >itb09g12210.t1 pep chromosome:ASM357664v1:9:7702423:7704594:-1 gene:itb09g12210 transcript:itb09g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDMLFISLSFLSSILPPNVLTILIISVFLSYKWLSNQKAAKQFPLPPGPKPWPVVGCFPQMLRNKPVFRWILSLMEEMNTEIACFRLGVTNVISVTSPEVAREILKKQDSVFASRPACASAELISSNYLISFVSPLGDQWKKMRRVITSHVVSPNTLNWLAHKRAAEADHLVRYIYNQCRNAAGCGVVDVRAAARHFCGNMVRQMVFSKRFFGAGTEDGGPGVEEEEHVNATFGVLAYVYSFGISDYLPWLRMFDLDGHRKALKKAVKGVRKHQDPEVDARIKMWNNGIKTEQQDILDVLINLKDIDGRPLLTSEEIKAEILELMIGTLDNPSNAVEWVLAEMLSQPEILRKVTEELHNVVGRERLVQESDLPRLNYLNACIRETFRLHPFGDFVPPHLCTSDTTVSNYFIPKGSHVIISRPGLGRNPRVWEEPLKFKPERHLKNDGLEVSFVDPELRILSFSTGRRGCPGIQLGSLVSSMLLARLVQGFDWVQCGRIELRESKHNLLLAHPLHALAKPRLPHYIYSSLA >itb09g09680.t1 pep chromosome:ASM357664v1:9:5952170:5954040:-1 gene:itb09g09680 transcript:itb09g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLLLFLLIHSTVIPARAALPGTWELLVPNAGIASMHTAVTRFNTVVLLDRTNIGPSRIRLPPHHCRSDKNDPVLKTDCYAHSVLLDLETNSLRPLTILTDTWCSSGQFLPDGSLIQTGGDLDGFRKFRKFVPCNSSSLCDWEELEHVQLSQGRWYATNQILPDGSVIIVGGRTAQSVEFFPPRKGGAVHFPFLGEVEDKQLDNLYPYVHLLPNGHLFVFANNKAVMYDYKVNSIVKQFPELEGGPRNYPSAGSSVMLALTGDYSSATVVVCGGAQFGAYLQRITDSPAVGSCGRIEATGLEPVWEMEDMPFGRIMGDMVMLPTGEIVVINGAQAGTQGFEMASDPCLYPVLYRPSEPAGLRFMTLNPGTVPRMYHSTANLLPDGRVLLAGSNPHYFYKYRGPFPTELSIEAFSPEYLSADRANLRPVLVELPEKVGYRQAFEAAITVELPVVGVVEVNFASAPFSTHSFSQGQRLVKLPVTSAIPDGTGKYRIGCTAPPNAMVAPPGYYMVFAVNQGVPSVARWVQLSA >itb05g19550.t1 pep chromosome:ASM357664v1:5:25995057:25996410:-1 gene:itb05g19550 transcript:itb05g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLHSAKLAIEKGLAQGPNEAYVKQLSDYVVPALVEALHKDSLNECLQISGPLLDEAQARSIVDEIKQVITASSSRKRDRAERERKLKILMQRRVNCLKRKMRKKKKFLTKSMKQTFLGCHLQLSFAEEFYGRGKMLHLNLGDNSTAFCLTQVVLINLVCYFFPVDN >itb08g05210.t1 pep chromosome:ASM357664v1:8:4272655:4274757:1 gene:itb08g05210 transcript:itb08g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MGQSLLEALNVRVVGSGEKTLVLAHGSGTDQSAWRGILPYFLRDYRVVLFDLVCAGSVNPDYFDFRRYTTLDAYADDLLNILAALGIDRCYYVGHSVSAMIGILAAIRRPDLFSKLILIGASPRFLNDEEYHGGFEQGEIEKVFSAMQANYEAWVRGFAPLAVGADVPAAVREFSRTLFNMRPDITLFVSRTVFNSDLRGVLGLVKVPCHIIQTAKDVSVPGSVATYLKNHLGGRNTVHVLPIEGHLPHLSAPAMLAQELRRALGR >itb05g02120.t1 pep chromosome:ASM357664v1:5:1641346:1643236:1 gene:itb05g02120 transcript:itb05g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLLTSGIHSSLFTLPSLEYLYLDDNKSSGELEEFSNTSSSVLEGLDLSGNELSGVVPKSIFQLPNLIHLLLGSNNFNGSVKIEMLKNLKNLTILDLSGISLTVEENDDTSFDLPQLLELYLHKCNLSGFPIFLKSSLQLTYLDLADNHIRGYVPSWLGNNSNLKVLDLSGNPIHFLEPSSAMGNSSFTSLYSLDMRSCNISKFPKFLKGLDALENLDLSDNKIEGEIPSWIWMDKLQFLNISHNLLSDLDEFPSNISALNVFYLYLHGNCIKGSLHSGICNMSNLQVLDASDNNLSGLIPECLLKLESLLVLNLQGNIYHQMPSNFTLAGNLVTLNINGNRLKGKLPRSLANCKMLEILDLGNNMISDTFPFWLEKLLSLKVLILRNNMFYGQVEIPRTKFVLPSLRIIDCSSNNFTGKLSTYFLQSLSAMAMGLPLLDVVLDCLLD >itb11g05650.t4 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGNGANHIVPFL >itb11g05650.t3 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGTDFSSQYHIRAACKDSVAMLFMFDLTSRCTLNSVLGWFQQARKWNQVWYCS >itb11g05650.t7 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGTDFSSQYHIRAACKDSVAMLFMFDLTSRCTLNSVLGWFQQARKWNQTAIPVMIGTKFDDFVELPLDLQWTIASKSICKGTECYPVLL >itb11g05650.t6 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGNGANHIVPFL >itb11g05650.t5 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGTDFSSQYHIRAACKDSVAMLFMFDLTSRCTLNSVLGWFQQARKWNQVWYCS >itb11g05650.t2 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGTDFSSQYHIRAACKDSVAMLFMFDLTSRCTLNSVLGWFQQARKWNQTAIPVMIGTKFDDFVELPLDLQWTIASEARAYAKALNATLFFSSATYNINVNKIFKFVTAKLFNLPWTVERNLTVGEPMIDY >itb11g05650.t1 pep chromosome:ASM357664v1:11:3330192:3332395:-1 gene:itb11g05650 transcript:itb11g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSVKRKLLLRTSILQKYLTRLWRQILARRVHKSAKYRQLPRTTSAASAVIPPAVSLPRDAVECSFSSSASASDPPRFDLDKDGSDLVALKISLLGDNQIGKTSFLTKYVGKEKGKAGISRNGLNQMDKTINVKGAQISYNIWEVEGTDFSSQYHIRAACKDSVAMLFMFDLTSRCTLNSVLGWFQQARKWNQTAIPVMIGTKFDDFVELPLDLQWTIASEARAYAKALNATLFFSSATYNINVNKIFKFVTAKLFNLPWTVERNLTVGEPMIDY >itb04g15090.t2 pep chromosome:ASM357664v1:4:16254785:16260360:1 gene:itb04g15090 transcript:itb04g15090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFSSTIRNSTLLQALKIPKLEIPTSHFHSTIPPSNPAIQFILEEAQDDLQSSQGPIKRLSSGNGEISRPTECDSGVISHPYPEWVDLMETLIKNGYFANVGNPFLRNNELGNKDSNEIRTACLNFARDQFDLVRYLSQKDIEVIAQAGCPSVDRKVVNSGKRLRAHLGIDEGNVCSSCILRGNCERAYVKVREDEGRRTVDVMRLLFIYGLDPVSGSVENKPCLNERVKESVRKLLKEIVEFSKAEGAYETNKALIPGRSSTQQLAANCRDGKMKVPMKQGDWLCTKCNFLNFARNIKCLRCNDLSQERLHNLRVEQDNLPLKKGDWICDKCNFLNFAKNTRCLQCKENPPKRLLHPGEWECESCNYINFKRNVVCLKCDHRRPRASNCASSTCLSASPTTSNHLSRPYLGTEKQPRDEFNKPKFVKGDGEYPDDSVLWNHETGYADFPILGGKSDLSRNEQKRERWKREMTEKSTKAAKAKEKAHEFKSCFVDSSKHFQLTDDEEMADWFGQ >itb04g15090.t1 pep chromosome:ASM357664v1:4:16254785:16260360:1 gene:itb04g15090 transcript:itb04g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFSSTIRNSTLLQALKIPKLEIPTSHFHSTIPPSNPAIQFILEEAQDDLQSSQGPIKRLSSGNGEISRPTECDSGVISHPYPEWVDLMETLIKNGYFANVGNPFLRNNELGNKDSNEIRTACLNFARDQFDLVRYLSQKDIEVIAQAGCPSVDRKVVNSGKRLRAHLGIDEGNVCSSCILRGNCERAYVKVREDEGRRTVDVMRLLFIYGLDPVSGSVENKPCLNERVKESVRKLLKEIVEFSKAEGAYETNKALIPGRSSTQQLAANCRDGKMKVPMKQGDWLCTKCNFLNFARNIKCLRCNDLSQERLHNLRVEQDNLPLKKGDWICDKCNFLNFAKNTRCLQCKENPPKRLLHPGEWECESCNYINFKRNVVCLKCDHRRPRASNCASSTCLSASPTTSNHLSRPYLGTEKQPRDEFNKPKFVKGDGEYPDDSVLWNHETGYADFPILGGKSDLSRNEQKRERWKREMTEKSTKAAKAKEKAHEFKSCFVDSSKHFQLTDDEEMADWFGQ >itb04g15090.t3 pep chromosome:ASM357664v1:4:16254785:16260277:1 gene:itb04g15090 transcript:itb04g15090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFSSTIRNSTLLQALKIPKLEIPTSHFHSTIPPSNPAIQFILEEAQDDLQSSQGPIKRLSSGNGEISRPTECDSGVISHPYPEWVDLMETLIKNGYFANVGNPFLRNNELGNKDSNEIRTACLNFARDQFDLVRYLSQKDIEVIAQAGCPSVDRKVVNSGKRLRAHLGIDEGNVCSSCILRGNCERAYVKVREDEGRRTVDVMRLLFIYGLDPVSGSVENKPCLNERVKESVRKLLKEIVEFSKAEGAYETNKALIPGRSSTQQLAANCRDGKMKVPMKQGDWLCTKCNFLNFARNIKCLRCNDLSQERLHNLRVEQDNLPLKKGDWICDKCNFLNFAKNTRCLQCKENPPKRLLHPGEWECESCNYINFKRNVVCLKCDHRRPRASNCASSTCLSASPTTSNHLSRPYLGTEKQPRDEFNKPKFVKGDGEYPDDSVLWNHETGYADFPILGGKSDLSRNEQKRERWKREMTEKSTKAAKAKEKAHEFKSCFVDSSKHFQLTDDEEMADWFGQ >itb12g06230.t1 pep chromosome:ASM357664v1:12:4640980:4641842:-1 gene:itb12g06230 transcript:itb12g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNYRLLKCTIIAANLLLLLLVLLINISTCTAGAAQSSFLPVPSSVSSVMMMGSCGSAAECSTAGGGVIGEEFVMMDSETSKKLLESAPGSGDHLSYSTLDSAPVCNAAVQNSCFTDQKYGKMNCATYHRTCGGGGP >itb13g19180.t1 pep chromosome:ASM357664v1:13:26181308:26184100:-1 gene:itb13g19180 transcript:itb13g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTHPIIISCTNNISLSTKSSSPRNVSRPSQIFLNPSRAIKIRSEGRENPDTDSLSSAKLDRRNVLLGLGGLYAATSNPLALADYIKAPDINSCGTAIISNTQNKEPVPYSCCPPTLGPCVPYKIPTFSKLNVRPAAHAVDDDYLNKYKTAIQRMKDLPADDPRNFYQQANVHCAYCNEAYKLNDKPYQIHYTWLFFPFHRWYLYFYERILQSLIDDPTFTLPYWNWDNPQGMILPEIFDDDESSPLYDKSRNQDHRKGYVMDLAYAGEETKASEFQTVKNNLAVMYRQMLTNAPCPLLFFGKPLRNDNDFEHSGMGTIENVPHNSIHRWVGDPTEAHHEDMGNFYSAAKDPVFYCHHSNVDRMWTLWKTLGGNRKDIADPDWLQTEFLFYDETKTLVKVKVADCVDNEKLGYTFQKMPTPWENFKPTRKRKTKLRSTTASVPPSTTAFPVNLCNITTLYVTRASTSKTASQEELLDLDLEYDDTQFIRFDVFVNEDYDVNTVELDRVEYAGSFSNLAHVHKPHITTSSTTKTTFSLAISELLQDSGLQDDDKILVCLVPRAGGCYLNVNKASTQIVDC >itb06g00820.t1 pep chromosome:ASM357664v1:6:1933506:1934184:1 gene:itb06g00820 transcript:itb06g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVDYAFCPCIALPNSEFAQRQEDIDMFANETRPTKPGEIGIKAPKMANNKRHGKRLKSKKEKATEKKKKKARACATCDDVGHDSRNCADKMYASDD >itb11g03120.t1 pep chromosome:ASM357664v1:11:1609069:1611369:-1 gene:itb11g03120 transcript:itb11g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPPRASGDLFSRRPIPTRPHLHILTPAALNRRLKVSCTAAHGGGDSQSKIDRRDVILGLGGLYGAANLPAAMAAPIEAPEVSKCVVPPTDLPAGADVDNCCPPMAGRYLDYQLPSVSPATMRVRPALHRLDGKYREKFAKAVEMMKALPADDPRSFTQQAKVHCAYCNGAYDQVGFPDIEIQVHNSWLFFPFHRWYLYFFERILGKLIGDPTFGLPFWNWDIPAGMVFPSVLNDRNVSLYDENRNQSHLPPTIVDLGYQGKDTEATDLERITNNLALMYKQMFTNAGTAELFLGKPYRSGDKKNPGAGSMENSPHVPIHRWVGDPRRKNGEDMGNFYSAGRDISFYCHHANVDRMWTIWQQLGGRGRKRNFTDADWINASFLFYDENAQPVRVRVGDSVDNQQMGYKYEFANLPWTNSKPVPRPRRRKVALRSAAPYVATVFPVTLDKVVRVKVPRPKKNRTKEEKELEEEILLIEGIEVQANQYTKFDVYLNDEDEPDGGYDKAEFAGSFAHLPHRQKNVEKIKTSLSLGLNEPMDDLGAEDDDTVLVTLAPNVGGGVVTIDNIKIVYGS >itb09g15010.t1 pep chromosome:ASM357664v1:9:10290766:10292904:-1 gene:itb09g15010 transcript:itb09g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRADSQVFLFANSKCKRYFHNRLKPSKLTWTAVYRKQHKKDLAQEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKAQKAGKGNIPKGAAPKGPKIGGGGGKR >itb09g03860.t1 pep chromosome:ASM357664v1:9:2126902:2127442:1 gene:itb09g03860 transcript:itb09g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMVKKGEWWSRKMMRRTPKGHFVVYVGEEMKRFVVPTLYLKDAGFQKLLEQAAEEYGFHSPKGIVLPCHESVFLTIVLPLQHKTTLYIT >itb14g20670.t1 pep chromosome:ASM357664v1:14:22974116:22976013:-1 gene:itb14g20670 transcript:itb14g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSFSSCVPLLIGLLSLFLSFSFTFSSSSSSSATNLRLTIRKPAVPIFNEAPAFRNGDTCSLASSSADFIHVVMTLDANYIRGTMAAVFSILQHSTCPENVVFHFLWLRHDPDVFSSIQSTFPYLNFKVYRFDAARVRGLISKSIRQALDQPLNYARAYLVDILPADVKRVIYLDSDLVVVDDILKLWKVDLGGKVLAAPEYCHANFTSYFTAAFWSDPELAKAFEGRRPCYFNTGVMIMDVEKWRRGGYTQKVEDWMQVQKQKKIYHLGSLPPFLLVLAGNIMPVDHRWNQHGLGGDNLEGKCRSLHPGPISLLHWSGKGKPWLRLDSRRPCSVDHLWAPYDLYRTSRNSFVE >itb04g12680.t2 pep chromosome:ASM357664v1:4:12409643:12416298:-1 gene:itb04g12680 transcript:itb04g12680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) UniProtKB/Swiss-Prot;Acc:Q9ZW86] MATAMRIVLGLLTFVTLGMIIGALVQLAYIRKLEDTYGTEPTLRGLRGIQHGVNPLAKGISHLAYDKEAVILRLGYVKPEVVSWSPRIIVFHNFLSTEECDYLRGIAKPRLKVSTVVDAKTGKGMKSDVRTSSGTFLSPDERSYPIIQAIEKRISVYSQVPVQNGELIQVLRYQKSELYRPHHDYFADQFNIKAGGQRIATMLMYLSDNVEGGETYFPMVDHQTSE >itb04g12680.t1 pep chromosome:ASM357664v1:4:12408233:12416446:-1 gene:itb04g12680 transcript:itb04g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) UniProtKB/Swiss-Prot;Acc:Q9ZW86] MATAMRIVLGLLTFVTLGMIIGALVQLAYIRKLEDTYGTEPTLRGLRGIQHGVNPLAKGISHLAYDKEAVILRLGYVKPEVVSWSPRIIVFHNFLSTEECDYLRGIAKPRLKVSTVVDAKTGKGMKSDVRTSSGTFLSPDERSYPIIQAIEKRISVYSQVPVQNGELIQVLRYQKSELYRPHHDYFADQFNIKAGGQRIATMLMYLSDNVEGGETYFPMAGSNECSCGGKTVKGLCVKPTKGSAVLFWSMGLDGESDPNSLHGGCEVLSGEKWSATKWMRERAIS >itb13g02690.t1 pep chromosome:ASM357664v1:13:2623763:2624744:-1 gene:itb13g02690 transcript:itb13g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHFRKKEVYYCRFPSIRKLRVVYKDVLVPSCSVGRCCRNPIIILENFENLLRLENLTVMVPVGSITLLEQVGFPANLEKLRLCGTNFPVKVLTVIGQLPKLKVLKLENAFYGRVWEVIEGGFPELKKLEVEARSLERVVANTSNHFPKLRSIFLKRCYSLEEISPVSAIMHGRMHSIKLEQCPPSVVTSAKRFCEDVPNLIIDGKAFEEEDEEDYDPWQYTDKEQSEEEEYNVWDQCYTDTEESEE >itb15g04900.t1 pep chromosome:ASM357664v1:15:3171410:3172855:1 gene:itb15g04900 transcript:itb15g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSALLKPVLERIVNPVLEEIGYMFEYKSNIENFRNKVQKIKVMRDGVKERIEEEEKNLQIVAPRVKAWLHETDKITAKEQTILAKKSDVEKGCFKGFCPNLKLRYSLSKKAKKGSQDAVELLGEGYQYSNFSRLGPFLSQRSFVI >itb06g13970.t2 pep chromosome:ASM357664v1:6:18603363:18606203:-1 gene:itb06g13970 transcript:itb06g13970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDTKDSESKPNSFPAWDEMFRSASMRKPDDPLPQNPLPPKPPAKTGQESPLAPQESLSGDSQVRLAIYIAMAHAGLALTIFILYGVCKILEEYLRPILWAVLCSIPLRGIQQTLVGFWSEPLKLGLTETFLAIPVAIFKIFVGTLVEIKEMVVRVVLRRRKVSGFRHHRTGFFIVLRWLVSFWAFVMTYEHLGGIASVGLLALGFMFSASGVESTMSTVTSFRSQSFRRFPITSFFTRAVLKKLKIIVAIGLIVGMSVGSLAGVIFFSYKIGVEGKDAVFRVQSHLVESNYAERIGVKKWIDENDVPAMVDKYTTQVYETVFDQIDSYAMQYNMTEFVSGIKHFLRVQSDNSSERSTGLVSPSPYYEKILNLKGWIRNREWGKIYAEMDGIFRELRLSREDMVVKAKGLALQGADVMQRVVFSGASVLGGSAKIMFVVADSIISGAAGVFNFVSQSMVFLWVLYYLITSESGGVTEQVMCMLPISHSARGRCVEVLDKAISGVLLATAEIAFFQGCLTWLLFKLFSIHFLYVSTVLAFISPLFPIFPSWLSTIPAALQFLLEGNYIFAISLSVIHLVLMEYGANEIQLDIPGYSAYLTGLSIIGGMALLPSAVEVTLP >itb06g13970.t1 pep chromosome:ASM357664v1:6:18602770:18606227:-1 gene:itb06g13970 transcript:itb06g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDTKDSESKPNSFPAWDEMFRSASMRKPDDPLPQNPLPPKPPAKTGQESPLAPQESLSGDSQVRLAIYIAMAHAGLALTIFILYGVCKILEEYLRPILWAVLCSIPLRGIQQTLVGFWSEPLKLGLTETFLAIPVAIFKIFVGTLVEIKEMVVRVVLRRRKVSGFRHHRTGFFIVLRWLVSFWAFVMTYEHLGGIASVGLLALGFMFSASGVESTMSTVTSFRSQSFRRFPITSFFTRAVLKKLKIIVAIGLIVGMSVGSLAGVIFFSYKIGVEGKDAVFRVQSHLVESNYAERIGVKKWIDENDVPAMVDKYTTQVYETVFDQIDSYAMQYNMTEFVSGIKHFLRVQSDNSSERSTGLVSPSPYYEKILNLKGWIRNREWGKIYAEMDGIFRELRLSREDMVVKAKGLALQGADVMQRVVFSGASVLGGSAKIMFVVADSIISGAAGVFNFVSQSMVFLWVLYYLITSESGGVTEQVMCMLPISHSARGRCVEVLDKAISGVLLATAEIAFFQGCLTWLLFKLFSIHFLYVSTVLAFISPLFPIFPSWLSTIPAALQFLLEGNYIFAISLSVIHLVLMEYGANEIQLDIPGYSAYLTGLSIIGGMALLPSAVEGAIIGPLVTTVVIALKDLYVEFVLEGWKETLD >itb03g21280.t1 pep chromosome:ASM357664v1:3:19116883:19118517:-1 gene:itb03g21280 transcript:itb03g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHIQDSPKKKKKKPPKKTVFLRCFGFSGRRRDNNNDNLFSGDIDKPKSPPSAAGKRRRWLSLSLCRKQKSAAKTVPIADATAAPADDEVNRSKEIHVVKSDSSKKTSSKNRQLPATPAASAGGSPPGETILHKTSKASRYDKTESTIIEKTKTSDHSNSTEHLITIHNKKASKKNISAGATDDKTGKSQIKKKKTVAVHGGDNSESNIDSTRNQDFTYGMSLLMVILIIMLFWGRLCAIVCTAAWFYFVPRIKRNNEEEPPETTRRSDGGGGVSNDALYFSSAERKKKVVLDGFLERNHRTTIIL >itb01g01640.t1 pep chromosome:ASM357664v1:1:966439:966882:1 gene:itb01g01640 transcript:itb01g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVIEHTKLSIAVTVVEDGDGGTAIDGDGAAALDAGAGEAAETGDNSASAAEEGDGHGAATGAAGGSAAECGDGAAAKQTATEKAANSATKNNTTSLENAIDDGRKGIELIKIVDGEKVLWIRENLERAFGWAEREMKGGIYRLLC >itb03g05770.t1 pep chromosome:ASM357664v1:3:4098565:4101619:-1 gene:itb03g05770 transcript:itb03g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRPHAVCIPGAVQGHICAMLKLAKLLHHRGFHITYVLTQSNYSHIMEARDFRPLNQSPTFRFEIIPDGIPPRESRISATDFTDIASIPKNCYAPFRELIQKLNVASSDVPPVSCIVSDASMSFTIGAGQELGIPGVSFWPLSGVGSMLHLYSPHLRDKVSINSDDDYIINWIPGVNSIRLGDIPTTAWSRNPNDPIVDYTISQLSGNYKASAFIFHTFDELEPEVCTALRSMFNRAYTIGPIPLLLKGFPESEINKIECHMWKEDQDCFKWLNSKTPKSVVYVNFGSLAVTSPDKLVELAIGLCKSQQNFLWIIRPELISGGDWSAVLPPEFMDAIKGGGKGYVASWCDQEQVLNHPSVGGFLTHCGWNSIVESLSAGVAMICWSCFAEQRLNRLCCCSQWGFGLEIELDVNRENVESVVRELMEGEKGREVKQKAMFWKERGDKAIGVGGSSFSNLDKLIGEVLLNGCPQFET >itb04g07440.t1 pep chromosome:ASM357664v1:4:5029817:5033140:1 gene:itb04g07440 transcript:itb04g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHRPNPQAPPPPAGAAAAAAAAPPPMTSAEEEAIKKNTDCVYFLASPLTCKKGSECEYRHSDNARLNPRDCWYWLNGNCLNPKCAFRHPPLDGLLGNQAPNPVEPFMPPVQAPFMPPVQAAAPAAQVPYFANKQGVPCIFFQKGICLKGDRCPFLHGPNTLINKAPPQKQGVLPTTEVSSKKTFGGLEKCTQEKNTPQNNVLKTGERPQVAKPVAKEGPALAKNHFLVDKNMAPISVIDNEPLKYKPTSVPPNGNPVGRSSQMQHQHGFDDPSMHNKDDEISREASPGFDVLVDDELRDSDYYPVEDQYGGAGDHERNEYDIDPAADYSIGDIDQDMYRDAHGYDSYAHYGWEQRGASSERKSGRSSHPERRYGNADSSDQFSESDLRHRLSKHRRVNGLRSVISHEQTRERHVEDQSYRSSRRESNNLSSHESSLSNRLRGRIKIPGRSSSPTDVAENRSDREMDRGRDRGRFSHGRPQVPSHQVRLQDRIKGRVQEDLNYVGRNIRGPPLRGDMLSETNINFAGPKSLAELKGKKGGIEGNGPQSLGKRKHQKYDDQLQSGGDLSFEGPKPLEEILKRKRRAGENEDYNQQERTTTTEPYSHKNEADHGAQKEDFQPPSGNNTGALENKSAEDLEVEDGMLADEGAEYQDGEGYDPQDGDYNYEQVDGEDVNLDEAENGDPDEEYLEDDDDADDFAKKMAVVFS >itb01g27040.t1 pep chromosome:ASM357664v1:1:32020111:32024811:1 gene:itb01g27040 transcript:itb01g27040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding DFEIDGDLNGEVVGSSVIEVRADAENAIEGSPDEHDFHQASNVKVDHDLSGRDVVSIEEPETVSNSAPDEPYVGQEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRKDGSAIGRALVCNKEGFRMADRREKVVRQRAETRVGCRAMILVRKVSSGKWIVTKFVKEHTHPLTPGKGRRESIYDQFPNEHDKIRELSQQLAAEKKRSATYKRHLEMIFEHIEEHNQSLSKKIQDIVNNVREMESRDQQNQQNRR >itb05g13080.t1 pep chromosome:ASM357664v1:5:19953580:19956308:-1 gene:itb05g13080 transcript:itb05g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLEEAIAAILRCFGVESSQSAAENPIGQPLLQPSQPTDDNAPPPDDGVDPMPITITAVAAAPPRPGLGNPGGPQTNRSPT >itb10g21950.t1 pep chromosome:ASM357664v1:10:26955745:26956601:-1 gene:itb10g21950 transcript:itb10g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETSNNIYTTHPAQSPTNLKSFKKPQEGRNQKKQKTMNRYAKIKADSNSKSKSRSLESSADPKSFSNTTHHSQSPETQEFNEIKKENIISRDSDFDDHQEQQDDSKSGSGFGVKLTRSSSVASSGASQQRFRLERAGSRRVKKAFSMRRSSSVSERYCRIHNQCVTLSSPTFDDGDMDSNNGDYAGETSPTSAEKISGTVKSTSEKLLRACKRLLRL >itb03g23170.t1 pep chromosome:ASM357664v1:3:21335031:21335360:-1 gene:itb03g23170 transcript:itb03g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYAEIHLFNLPENTPALPNLLFRPAGHLSLRTLLTYIYYYFVYLCMYVIPGAVRLLMPRQHPPETSSGRQSTRARAFSLPRDDHSFLCVHPWRSACTGTLRCKTPFLL >itb03g14400.t1 pep chromosome:ASM357664v1:3:14139069:14140093:1 gene:itb03g14400 transcript:itb03g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 40 [Source:Projected from Arabidopsis thaliana (AT5G14340) TAIR;Acc:AT5G14340] MAEVKRGAWSPEEDTKLISYIRNHGIWNWTQMPKFAGLARTGKSCRLRWVNYLRPGVKRGPFSREEVEIIIKMYESMGNRWSAMAAQLPGRSDNEIKNFFHTHLKKQLRRQEAKHAATDHRISKADKTTSDDAKINIIADDCKLLGSSSSSVVILESSQSCSSRVENNDGSSGGNNGTAAVAPPFSSDTDDDVNAFWYDVLMEAEYLNF >itb02g03990.t1 pep chromosome:ASM357664v1:2:2385243:2388199:1 gene:itb02g03990 transcript:itb02g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFRSLNEDSSPFQQDIIKCPFLRNINEPTNFSFSSSLGFPMPVRGGKGPIFEDGPNFNMAFRLFHGKDGVVPLSGRSFMKNEKTEPEPPPTQFNPLAAKAATISLSAFGPGWPFFGDFSSKGKNKKISKSDGKSSSQGGNSKHEALSNEWLQSGNCPIAKSYRAMSKVLPLVAKAIQPPPGVHLKCPPAIVAARAAISQTAFAKNLRPQPLPMKVLVIGVMGMAANIPLGIWREHTEKFSPSWFAAVHAAVPFIGMLRKSVLMPKTAMAFTIAASILGQIIGSRAERYRLKALATKNEDLTKSSADVSLSQAAIPSRKLILSGTPNHEPSQVAIVRGHCGETVDWSQQAGGTSSPASVLS >itb02g03990.t2 pep chromosome:ASM357664v1:2:2386505:2388199:1 gene:itb02g03990 transcript:itb02g03990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRDFFSSFFFWFSNQVRGGKGPIFEDGPNFNMAFRLFHGKDGVVPLSGRSFMKNEKTEPEPPPTQFNPLAAKAATISLSAFGPGWPFFGDFSSKGKNKKISKSDGKSSSQGGNSKHEALSNEWLQSGNCPIAKSYRAMSKVLPLVAKAIQPPPGVHLKCPPAIVAARAAISQTAFAKNLRPQPLPMKVLVIGVMGMAANIPLGIWREHTEKFSPSWFAAVHAAVPFIGMLRKSVLMPKTAMAFTIAASILGQIIGSRAERYRLKALATKNEDLTKSSADVSLSQAAIPSRKLILSGTPNHEPSQVAIVRGHCGETVDWSQQAGGTSSPASVLS >itb01g05830.t1 pep chromosome:ASM357664v1:1:4048033:4051376:1 gene:itb01g05830 transcript:itb01g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRQFSTPEEMMAGTSASRPRTFKKEEAEVEEVESSESEEESGGSDEESEKRKGTQGIIQIENPNLVKPKNLKAKNVDIEKTTELSRREREEIEKQQAHERYMKLQEQGKTEQARKDLERLAMIRQQRAEAAKKREEEKSAKEQKKLEARK >itb06g23970.t1 pep chromosome:ASM357664v1:6:25719894:25721933:-1 gene:itb06g23970 transcript:itb06g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGTLEFLSDLLSSAKRSKKKKKQVNTVAIKIRMDCEGCVRKVKKVLSGVKGAKSVDVDLKQQKATVTGFVDAKKVMKAAKSTGKKCEPWPYVPYAMVAHPYVAGVYDKKAPPNFVRATNDPAIANLNPMEEQFTLMFSDENPNACSIM >itb13g01950.t1 pep chromosome:ASM357664v1:13:1778776:1779408:-1 gene:itb13g01950 transcript:itb13g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNELKRSAKYIAPGVDQRPLCECACEPLSPPPTIQQGRLISSLNNQVPVPPLLTSLSLSISSRSQPPLFQVGG >itb05g04350.t2 pep chromosome:ASM357664v1:5:3887144:3890821:-1 gene:itb05g04350 transcript:itb05g04350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPYADVDFNLRALAGRAEGFGRFSIGGLNGGLYRVTTLADDGPGSLRDGCRKKEPLWIVFEVSGVIHLSSYLSVSSYKTIDGRGQRVKLTGKGLRLKECEHIIVCNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFANHDKTMLIGADPSHVNDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWGIYAVCASVESQVSLVLVYYFSCMLKIGNYLTHFMVGSRFTLNATFMKQDKRKKLLNTTRRRQQIRRRQDQA >itb05g04350.t1 pep chromosome:ASM357664v1:5:3887144:3890821:-1 gene:itb05g04350 transcript:itb05g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPYADVDFNLRALAGRAEGFGRFSIGGLNGGLYRVTTLADDGPGSLRDGCRKKEPLWIVFEVSGVIHLSSYLSVSSYKTIDGRGQRVKLTGKGLRLKECEHIIVCNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFANHDKTMLIGADPSHVNDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGQKKKAFEYYTEKAADKEEARSGIIRSEGDLFLNGAQGFLLNGVGEQCFHPSEFYPNWTLETVSDSLKTVLQICTGWQSLPRPAE >itb12g06520.t1 pep chromosome:ASM357664v1:12:4871740:4875328:1 gene:itb12g06520 transcript:itb12g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPFHDVESYEIGPKHPRQQESLTQSSPFLETFLRENGSHKFISGETVNDFSQCQVGRLAAKEAAGESSNGTAETAKNTFHDYSSAVNSETEFGEAESNFGKCRVGGLGGKEISDEYSNGAAAEYGDSSPNTAGTVHDYSNAVNSETDFGTLSNDSSCVWVNSDAFEGYEEAYESVHKPVFPHFFELDLHEFCSTLTEHPIWKTVPVGPEYQADIPDWNPQSLSNYSSEQLAGGICIAPIPDVESSVSTSFIGVENEGGCYCLDKGSIRCVRQHVKESRAKLIENIGLKKFEELGFCDMGEVVAERWSDEEEIFFHDIIFSNKASFGKNYWHSLAISFPSRSKMELVSYYFNVFKLRQRAEQNRLIPTNIDSDNDEWQGFEAQHRDEDNGFAVESLDGRDAFVHDQATHEKDIPGDTENGAYQDHLDGINREKLKPKEGEGNFNDVSGMQVGEPRNNCVAGSVFPLLTKATNNASPQDRRDESCKPCECQVGSPTVRVDAETDARKLSPE >itb13g11540.t1 pep chromosome:ASM357664v1:13:16236603:16238141:-1 gene:itb13g11540 transcript:itb13g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPVKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQMWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLAWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLMAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKEILDAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIIVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGVVIL >itb04g09710.t1 pep chromosome:ASM357664v1:4:8973688:8975317:1 gene:itb04g09710 transcript:itb04g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISCSADQLAPLLGPNATAAAAYICGQFSGVNTALVNTGFAVDSTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGTGGNSNGFIGHHFFGLKNIPDGAAGFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWFWSADGWASPFNNDNLLFGTGVIDFAGSGVVHMVGGIAGLYGALIEGPRIGRFDRSGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVPYPDAGDAYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITGGCSVVEPWAAIICGFVAALVLIGFNKLAEKVKYDDPLEAAQLHGGCGAWGIIFTALFAKEKYVNEVYGGKAGRPHGLFMGGGGRLLGAHVIQILVIFGWVTATMGPLFYILHKLKLLRISEEDEMAGMDMTRHGGFAYVYHDEDDHKSGIQMRRIEPAVLPS >itb05g27960.t1 pep chromosome:ASM357664v1:5:31135011:31135826:1 gene:itb05g27960 transcript:itb05g27960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIRRLSRVGDSSQYSLLRSESRAPSRSRRFESFRTIKLRSGSVGVPEGHLPVYVGEEMERFVVSAELLNHPVFIHLLNKSAQEYGYKQEGVLRIPCHVLVFERVLEALRTGCQSCDLLDSLSEEFL >itb01g01380.t1 pep chromosome:ASM357664v1:1:780216:784212:1 gene:itb01g01380 transcript:itb01g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGIRSASLPESICSGSGGSSMDTGEDEEFYERIEAPKFVDFTAPDYFRPDDHYWFCLRVGCEQKHEDCEKIYKEFVLRVMAARSPNVRLQKALNRNASRNMKCPMTVPSKYSKPRVSRLAVASSISRKLGYEKEKVKPPTNPMSTPKAKTKQVAAKYLTTPRSKKCLPDANSFRSVQNPKPTTVAVPKNRMAAKALVFSSPKKAISLKKSVELRMPLTKLCEGMKRLEVTSQKKRLLGHSNRSLKDAGHTPLKSMPLDSAVKVKTSKKDNRVAKVPSQSQPQAAKKVKPVKSVKGKKERNLKEIVENEPCSMEVDAENDKQQLTAGGECNDTESPQIEANPSSEPLSTAPREKLNLSNEGSEQDTEENSENVENFKNHSTEIQPSEVQYHETESTEGDDKENDVSANENRTVNDNNIETKILGEQTNTIKKIIKPADKNLSGLKFKKPKPTNPKPFRLRTDERSILKESNFERKGHHPDPPSGNLLRKHGADTHGNGESTEQQNRGAGSNCKGKESSDTDSSLMTKGSRTAERTKMSRDKVRSKTVTMTPKRPNASPFQERSERSATRKVKSPLEKKQAMFQRLASTKMAMASSPSRNLETTDKSSSSRGKRRVTVAKEPHFHTTHVPKNCSRKLT >itb01g13270.t2 pep chromosome:ASM357664v1:1:13301269:13307936:-1 gene:itb01g13270 transcript:itb01g13270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYPPPAPPPPPPEASKKPIRIRSVWSANLEAEFALIRRILDRYPFVSMDTEFPGVVFRHDLRDWKPDEHYVYLKSNVDALKLIQVGITLTDAAGNLPDLGSHFRFIWEFNFCDFDVVRDDHAPGSIELLRHQGIDFDRTRAFGAHTAHFAELMMSSGLVCNEAVTYITFHSGYDFGYLIKALTGRNLPGELRQFLGLLGLFFGKRVYDVKHLMKFCKSLYGGLDRVACSLEVNRAVGKRHQAGSDSLLTWHSFQKIRKLYFDNNEALTEKYAGVLYGLEVLLPQTL >itb01g13270.t1 pep chromosome:ASM357664v1:1:13301288:13307903:-1 gene:itb01g13270 transcript:itb01g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYPPPAPPPPPPEASKKPIRIRSVWSANLEAEFALIRRILDRYPFVSMDTEFPGVVFRHDLRDWKPDEHYVYLKSNVDALKLIQVGITLTDAAGNLPDLGSHFRFIWEFNFCDFDVVRDDHAPGSIELLRHQGIDFDRTRAFGAHTAHFAELMMSSGLVCNEAVTYITFHSGYDFGYLIKALTGRNLPGELRQFLGLLGLFFGKRVYDVKHLMKFCKSLYGGLDRVACSLEVNRAVGKRHQAGSDSLLTWHSFQKIRKLYFDNNEALTEKYAGVLYGLEVLLPQTL >itb01g13270.t3 pep chromosome:ASM357664v1:1:13301269:13307936:-1 gene:itb01g13270 transcript:itb01g13270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANYPPPAPPPPPPEASKKPIRIRSVWSANLEAEFALIRRILDRYPFVSMDTEFPGVVFRHDLRDWKPDEHYVYLKSNVDALKLIQVGITLTDAAGNLPDLGSHFRFIWEFNFCDFDVVRDDHAPGSIELLRHQGIDFDRTRAFGAHTAHFAELMMSSGLVCNEAVTYITFHSGYDFGYLIKALTGRNLPGELRQFLGLLGLFFGKRVYDVKHLMKFCKSLYGGLDRVACSLEVNRAVGKRHQAGSDSLLTWHSFQKIRKLYFDNNEALTEKYAGVLYGLEVLLPQTL >itb07g16650.t1 pep chromosome:ASM357664v1:7:20484663:20486147:-1 gene:itb07g16650 transcript:itb07g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAIARRFGIEGKNQGAIARRFGIEGKNQSTIARRFGIEGKNQSKDRVKDLCEGKNQSKDRVKDLWYEGRWRLEGLENHVHQYLLGVTLNENHVHQYLLGVTLNEDCVDTAFWKLNVNGNCVDTAFWKLNVNGNFTLASAKKMIVDQEGEEEHEREFWFKPCWSKEVPWKMSFLAWRVFKRKISSDDNLRRFGYQLASRCYCCPNPGLDNLQHIFYTRSTASQVWGYFARSLGFNIQIRGVKQLCYEWWKKKPRNRMIRFLTHKLPVVILWELWVHYNQCKYGKESPSRARIIFKVTRDMVDCIMRKWPSWDPFPPNWNYILRRADLFKCSKIVREASWCKPPKGWIKINTAVKKGSCSFMIRNSKGEFVMARVYSGDRDMEMIMLKECLSWCKKRGLGRVQIEGEQVRVDGDEQGLRVEWLKCDRRVNCIAQWLLDKCEGQNVVYRRVSALPKGFLYILSLEGFPHFSSLPRRDFIPVDNNQNRRWDPGGR >itb09g03330.t1 pep chromosome:ASM357664v1:9:1870670:1875233:1 gene:itb09g03330 transcript:itb09g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKKFAVLLCAEDSEYVKKKYGGYFGVFVKMLGEEGEIWKVFHVAKGEFPTDAEIGEFDGYVITGSCNDAHGNDIWICKLLNLLNKLDAMKKNILGICFGHQILGRALGGKTGRATSGWDIGVTAVHISSSKLFSSLNLPASLHVIECHRDEIIELPEKAEIMAWSNKTGIEMFRYGDHIMGIQGHPEYTKDILVHLIDRLSQRNLIQDDVAISAKAKLGTYEPDREAWRKLCTGFLKGRL >itb09g03330.t2 pep chromosome:ASM357664v1:9:1870670:1875233:1 gene:itb09g03330 transcript:itb09g03330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKKFAVLLCAEDSEYVKKKYGGYFGVFVKMLGEEGEIWKVFHVAKGEFPTDAEIGEFDGYVITGSCNDAHGNDIWICKLLNLLNKLDAMKKNILGICFGHQILGRALGGKTGRATSGWDIGVTAVHISSSKLFSSLNLPASLHVIECHRDEVTVFLVYVIFRIYHFL >itb04g29910.t1 pep chromosome:ASM357664v1:4:33162301:33168716:1 gene:itb04g29910 transcript:itb04g29910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERSTNLDWYKGPTLLEALDMIHEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAQKKNSSLPLFALSLIRTLIQSSQGQSPPFHSLSLFPCISISFLLNRMGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYMKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIHEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAQKKK >itb09g09500.t1 pep chromosome:ASM357664v1:9:5729533:5731731:-1 gene:itb09g09500 transcript:itb09g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHQPHQDSFHDPLKPWKYIPFQTLRITTDAFTNFMKGFLGICLVGSISLFSFLAFSKWFGCSEYQNIFISTSSEFSTGYDVRAHSHETNISHILFGIGGSAKTWNFRRHYCEAWWKPNVTRGFVWLDERPPENESWPETSPRFQVSQDTSRFKYTCPYGSRAAVRIARIVKESFELGLENVRWLVMGDDDTVFFPENLVAVLSKYDHNQMYYIGSNSESVEQDQVHSYTMAYGGGGFAISYALAAVLVRVLDGCIDRYAAFYGSDQKIGGCMSEIGVPLTKELGFHQMDIRQNPYGVLAAHPVAPLVSLHHLDYVQPLFPGTSQEESVKKLVEAYKSDPSRTLQHSFCYDLSRNWSISVSWGYTIQLYPTLVNAKELATPFRTFLTWKSWNEGPFTFDVRRMSSDPCEKPLMFYLNHVHNLGNGSTVSSYTRPKVDGNQCQNENYAPALLVHSFNVSAQILSREIWKKVPRRQCCEVVNDGDGAEGILQVKLRGCNQWESVTPP >itb13g14250.t1 pep chromosome:ASM357664v1:13:20808458:20812538:1 gene:itb13g14250 transcript:itb13g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVYGGMKMEDNSVVVAVQEMHHSSQTEVNQKEERDEATVIQQQSRKPSLPSLQIPARLSTETALDVAGPNTTRDELPPRPSSDKLMSSVKSPREICGATDIIPEGEKRILIIPNTPLSDKPSSSMSSKKSIHSLPDTEDKGVSQKPMEANRLDDHSELPIFEGQQHIKRSFSVPANVKSRSLRRTDSIRSLIRVISSSAKLNTDSEAPPAKLQETENGGTDDTGEDIPEEEAICRICFDELGEGGETFKMECSCKGALALAHKNCILKWFSIKGNKTCDVCKVEVRNLPVTLLRIQSTPTNVRRPADGSPQQQDVYSYRVWKDVPILFLISMLAYFCFLEQLLVSDMGAARALVLSLPLASGLALLSSMIASTMGMSFQSISSALSYIMALW >itb04g09660.t1 pep chromosome:ASM357664v1:4:8922706:8926999:-1 gene:itb04g09660 transcript:itb04g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPFQDLDLQLGPSTFTVAGNCSSSGAGSSGMIVPKIEPKLEPLDEFAQADLQTPASFSNPSPTSNSGFSLAPPRQSEGGYGLSFPGDDNVYSEFHRISEMFRTAFAKRMQRYGDVEVVEDPDSRAIVPVNNEGQLSTVVIARRKYQQRSSELVRVTDLSPEDQRYFRDVVRRTRMLYDSIRVLAPLEDERNQQFGHFKKTRGDLKAAQLMRERGLWLNRDKRIVGSIPGVYVGDLFFFRMELCVVGLHGQVQAGIDFVSASHSSNGEPIATSVIVSGGYEDDMDSGDVIIYTGHGGQDKNSRQCVSQKLEGGNLALERSMYYGVEVRVIRGFKYEGSASGKVYVYDGLYRIVDCWADVGKSGFGVFKYKLVRIEDQPEMGSAVLKFAETLRTRPLEARPTGYVSLDISRKKENVPVFLYNDIDTDQEPAYYDYLVKTIFPPHVFQYMGASAGCDCVNGCFGNCFCAIRNGGEFAYDHNGILLRGKPLVLECGPHCRCPATCRNRVSQKGVRKRFEVFRSRETGWGVRSLDLIQAGSFICEYAGIVLTKEQEEIFKMNGDSLIYPSRFSERWAEWGDLSQIYPEYVRPSYPSIPPLDFAMDVSRMRNLACYMSHSSSPNVLVQLVLYDHNYACFPRLMLFAMENIPPLQELSIDYGVADDLGKLAICN >itb04g09660.t2 pep chromosome:ASM357664v1:4:8924499:8926993:-1 gene:itb04g09660 transcript:itb04g09660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPFQDLDLQLGPSTFTVAGNCSSSGAGSSGMIVPKIEPKLEPLDEFAQADLQTPASFSNPSPTSNSGFSLAPPRQSEGGYGLSFPGDDNVYSEFHRISEMFRTAFAKRMQRYGDVEVVEDPDSRAIVPVNNEGQLSTVVIARRKYQQRSSELVRVTDLSPEDQRYFRDVVRRTRMLYDSIRVLAPLEDERNQQFGHFKKTRGDLKAAQLMRERGLWLNRDKRIVGSIPGVYVGDLFFFRMELCVVGLHGQVQAGIDFVSASHSSNGEPIATSVIVSGGYEDDMDSGDVIIYTGHGGQDKNSRQCVSQKLEGGNLALERSMYYGVEVRVIRGFKYEGSASGKVYVYDGLYRIVDCWADVGKSGFGVFKYKLVRIEDQPEMGSAVLKFAETLRTRPLEARPTGYVSLDISRKKENVPVFLYNDIDTDQEPAYYDYLVKTIFPPHVFQYMGASAGCDCVNGCFGNCFCAIRNGGEFAYDHNGILLRGKPLVLECGPHCRCPATCRNRVSQKGVRKRFEVFRSRETGWGVRSLDLIQAGSFICEYAGIVLTKEQEEIFKMNGDSLIYPSRFSERWAEWGDLSQIYPEYVRPSYPSIPPLDFAMDVSRMRNLACYMSHSSSPNVLVQLVLYDHNYACFPRLMLFAMENIPPLQELSIDYGVADDLGKLAICN >itb07g13270.t1 pep chromosome:ASM357664v1:7:15417424:15424610:-1 gene:itb07g13270 transcript:itb07g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSEMGVLQGDSSRMEGWLYLVRSNRIGLQYSRKRYFVLQDQLLKSFKSIPLSKHEEPIRSAIVDSCIRVTDNGRESIQRKVIFIFTLYNTRNHNDQLKLGANSPDEAASWIQAFQEAAFKADLNRGDATNCQRRDSQSLRLNCPSKSLRANSVNWTFISSSVTDAVGSDVVAPSSWTISGCQNGLRLFKETKDKESHGMWDDHPAIMAVAVVDGTPETIFQTFMSLGPSRSEWDFCFYKGSVIEHLDGHTDIVHKLLLRDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSVVHKNCPQQKGYVRACLKSGGYVISPINQGRQSVVKHMLAIDWKFWRSYLRTSLARSITICMLRRLAALRELFRTKVGEYSSSESSGELVRVRRLNQTEEEKVEAQRRLENGKNKEHMEEEIVNAPSEHASLIGLDDAEDEFFDVSEPLDYQQSENGWPSDYGQEIYSQDTHHPRLSTAAVFVKRLHDLAVQKRGYVDLHGLAREDNLLCSYGSTLPKDSTCNLLCSWTESDPSTFLIRGATYLDDRKKFKAKGILMQMVGADWLKSDKREDDLGGRPGGIVQKYAVKGGPEFFFIVNIQVPGSTTYNLALYYMMNTSLEDAPLLKSFVEGDDAYRSSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGRNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQANTPEELPEYLLGTCRLNHLDAAKAVLVKP >itb07g13270.t2 pep chromosome:ASM357664v1:7:15417424:15424610:-1 gene:itb07g13270 transcript:itb07g13270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSEMGVLQGDSSRMEGWLYLVRSNRIGLQYSRKRYFVLQDQLLKSFKSIPLSKHEEPIRSAIVDSCIRVTDNGRESIQRKVIFIFTLYNTRNHNDQLKLGANSPDEAASWIQAFQEAAFKADLNRGDATNCQRRDSQSLRLNCPSKSLRANSVNWTFISSSVTDAVGSDVVAPSSWTISGCQNGLRLFKETKDKESHGMWDDHPAIMAVAVVDGTPETIFQTFMSLGPSRSEWDFCFYKGSVIEHLDGHTDIVHKLLLRDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSVVHKNCPQQKGYVRACLKSGGYVISPINQGRQSVVKHMLAIDWKFWRSYLRTSLARSITICMLRRLAALRELFRTKVGEYSSSESSGELVRVRRLNQTEEEKVEAQRRLENGKNKEHMEEEIVNAPSEHASLIGLDDAEDEFFDVSEPLDYQQSENGWPSDYGQEIYSQDTHHPRLSTAAVFVKRLHDLAVQKRGYVDLHGLAREDNLLCSYGSTLPKDSTCNLLCSWTESDPSTFLIRGATYLDDRKKFKAKGILMQMVGADWLKSDKREDDLGGRPGGIVQKYAVKGGPEFFFIVNIQVPGSTTYNLALYYMMNTSLEDAPLLKSFVEGDDAYRSSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGRNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQVLIFHVSLLVNVLTAR >itb10g21150.t1 pep chromosome:ASM357664v1:10:26465714:26470793:1 gene:itb10g21150 transcript:itb10g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCEAIDGPMIEEYSFSFSYSNSDSQEVMMNVNRTGTKKRGTFKCNSDSEITPNQMRNSACKMVRTLIQLMRTLDKMPEEPADYEPPFFRGCKEEEALNPWAKSPLKMEVGNVNSKHFVLALKVKSVLDPCEDDNDGNQSDNASLAADSAQRDDSDFDSELSHSDDDQYIVAPIEKEEKQQNAPILDEDDTQDPAEDEEQLGRVKDWISAYHLDKIELTDVLSNFPDISVCIFSAICLLLVTFSNDLQALIEEIMEKLVKDGAVSKTSSDSYNINKQKKFDYEFDAVKEEMDCQVGTNGHKTQNGTTADYMYMKALYHALPMNYVTVSKLQSKLEGEVGQVTVRKLIDKMTQDGFVEAKSNRRLGKRVIHSDLTERKLIEVKKTLQKDLMVIALTRRFMVLIVVTSMIDSLIAIYFQDMDIHESGNNHRDLSTCGGLHSIGSDITRTKGKSDAYQNGSIRSDQTTSNRKDYGSTPTSRVEPVASRESFVPGNENESVIKDPTNCDEFDNIISSRSSQNKRSRKASTVKEPILQYMKRQKSQAA >itb07g08660.t1 pep chromosome:ASM357664v1:7:6940492:6942943:-1 gene:itb07g08660 transcript:itb07g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFIKPLKWDLWLTIIAACIFLGFVVRVLEHRKMKNNNSAVHPNRDHNGLLFCYPIAVLAFPEGNMVSNNWSRFVLMIWVFMAFILAQSYTANLSAILTVDRFESAFSKDYIVGYQEGSFVRDFLLKTLHVDMSKLKEYSTIEKYHDAMNKGGKGGGIDAIFDEIPYMKLFLRRYGSQYKIVGPIFKTDGFGFAFQQNSTLVPFFSRAILKVTQSENMTCIENKNFGTGNSISEPLSFISDDKPSLTAYNFGGLFIIIASATIFALFCSKTPWGRRMVIFSSQFSHKTLDAVITMSESFSAAAKRCNKKCSSLLKIKRNRPSVHHSATQQVNSNNDPPANDDATHNQVILEIGDAIHSANNSTPEMHNQVILEIEDAIHSANNSTTSEMQVHL >itb07g08660.t2 pep chromosome:ASM357664v1:7:6940492:6945319:-1 gene:itb07g08660 transcript:itb07g08660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNNGVQAIVESEISIEDYVAELGTKSQIPIISLTPTPLSKPFKTPPYFLQLTPNNENHQAQALAAICKHFQWRQVAILYEENENGNRFTSLLNRALQRTDILTASIISIPSFAEESEISAELKRLMSMETRVFVVHTTAPLGSRLFVLAENLGMMSAGYAWIITDALTNSLSFINSTVTNSMDGVLGIRPYVPMSKNLGIFEKKWKRNMLSEFNVYCLWAYDTTWALASSIRAIDGNFKNETKFGPKILQELQGLKLEGLSGNFEIINGRVGPTAMEVVNMVGTGVRTVGYWLKSKGIREEKLKNVLWPGDSLVKPTGWVVQTKGRLRVGVPKKNGFTEFVNVEWNSSTNKSSVEGFSIDIFRYALESLPFKVEYDFVPFVNESGQRNGSYNDLLHKIKENDFDIVVADSTILAQRAEYVDFALPYSESGVVMVVKNNIHKDMWIFIKPLKWDLWLTIIAACIFLGFVVRVLEHRKMKNNNSAVHPNRDHNGLLFCYPIAVLAFPEGNMVSNNWSRFVLMIWVFMAFILAQSYTANLSAILTVDRFESAFSKDYIVGYQEGSFVRDFLLKTLHVDMSKLKEYSTIEKYHDAMNKGGKGGGIDAIFDEIPYMKLFLRRYGSQYKIVGPIFKTDGFGFAFQQNSTLVPFFSRAILKVTQSENMTCIENKNFGTGNSISEPLSFISDDKPSLTAYNFGGLFIIIASATIFALFCSKTPWGRRMVIFSSQFSHKTLDAVITMSESFSAAAKRCNKKCSSLLKIKRNRPSVHHSATQQVNSNNDPPANDDATHNQVILEIGDAIHSANNSTPEMHNQVILEIEDAIHSANNSTTSEMQVHL >itb11g05770.t1 pep chromosome:ASM357664v1:11:3417398:3419147:1 gene:itb11g05770 transcript:itb11g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNEYLSVGMSLSSSNGRFELGFFRPGQSSNYYIGIWFKNISPQTVVWVANRVTPISSSTKLKILDGNLALVADGAQNPVWSTNITAGAAVLATLGDNGNLVLSDGGAKPIWQSFDHPTNTYLPGSKIGYNKRTKTKQVFTSWKDLDDPAPGLFSFDNNGNMSFLLWNGTEEYWNSGPKFGNGTLRYLKPNPTFNFTIIDNENESYFTFTIKTSLISRFVIDINGQHKSLAWLESTQEWQSMIAEPSQECDVYAHCGPFSICSQNSSPVCACLYGFEYKSQNALFFDGCVRKTRFKCEDSFGFKMYPNVRLPRHPQNITTENQAECESTCLNNCSCTAYAYHHHNSNGSRCSLWIGQLLNLKQFDGNGSTIYIRLAASEFSNVEDINSRHLSGKLKAIIPTVAVVAAALLAFTIFYVCYRRRTSLKITGH >itb08g05660.t1 pep chromosome:ASM357664v1:8:4657565:4658755:-1 gene:itb08g05660 transcript:itb08g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSQNRYVGIWYNQIPNRTVVWVANRDTPLTNTSSVVLKIINPGWLALVDGNNNATIWHTNTSRQVPNPVAKLLDSGNLVVTDNANDESPENLLWQSFDHPTDTQLPGMKLGKNFVTGLEVPLSAWKAENNPEKGEYELHIEPKGYPQSIMRKGGNKVYRSGSWNGLRWGGTHGIQKKSYVIDTSVIINTNEVSTSFKVHNSSDLVRMVLTSTGSILLYKWADETEEWKTLRNAPTDVCDTYGSCGAYGSCNYDNFPVCGCLDDKFLPRDKVAWGKSDFSGGCVRRTPLKCQNVTSNGFLKYSGIKLPDTEFTWFNTSMNLQECEQVCLKNCSCMAYSSLDISNGQNGCLLWFSDLIDIRVLPEQGQDLYIRMASSDLGNNFVATNSKRP >itb04g22990.t1 pep chromosome:ASM357664v1:4:28215746:28216054:-1 gene:itb04g22990 transcript:itb04g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGWLRASRRQAEQRRVSTDGVGRQSNGETLVFGLPYSSGESVTQRARLTSGSFLWRAKLAVATWASSSFPSLTFSYSRIWFSLFLRCSAAAARAVVSGG >itb01g34940.t1 pep chromosome:ASM357664v1:1:37401434:37410671:-1 gene:itb01g34940 transcript:itb01g34940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MGEQGSVNKVSAKRRTKALEMDFDSGDDEPIGYMFKLKGKKYKTRVELDGGRDKVTGVEDVMQKQAVENEDLVGMDDTLASFWKKLKGPRKDGGLVPCGGGKSGSGTKLPVQSLNAFQKDEDLAAELPSKTVEREQKDADALCDETVNKGFEKGCKRKSKRSRVSFIPERVDSDDFSEVQRSMNKDVGKASSADGILEESLSAFLQKSDLSKKSRSSSCLKLGKVTETSGDGFNLSSSSISEDILSISRRTSKKLVEEVPGCGGDALVDPCSKHDCPSPHVATFQCDTLDDVSSKAILKNPVLATIPQASELGLQACTNKVSSVQSDEVDYLTAGHQGGITLETHNLTEHRSVSCQESASEDSLKDLPTSKLGQSVASENKETLEVCDYASLGNSDVNSDNLPNVHTLNTDDKVHSPVLKTDLQSCSNNESMNGQAENTMQGSSRIVMGETLLPSKRILAGSSAIKGNMDMALQTDVRLESENSQKDIGQDQVHSLKSIDSLYEQVTAGGCRDSIQIPTDYVDEPLQASLSSGKKDASASGEVQHGDGNDSYSYSYSKEVARNNVVARAENCNSISHHLQLFDEMDRGAFSQSCDYLSGDEVYNGSSSPSNVPGSDEVETGSMPDESKEDRMLGRQRAARNSKKRRHGDMAYEGDFDWEVLVQGQDFISHQDGDSGQTKQRGKLDPSSLAFLDAENGGDAAVSVGLKARAVGPIEKIKFKEVLKRKGGLQEYLQCRNRILSLWKKDLSRILPLSECGMPDTPSVHGSPNASLVRDVYTFLDQCGYINFGIASKKDISEGGHEHNLKILKEEKFTEKSGASVAESDDGVCFIMGQSKSSEIVAMQNDVLSNNETCAAKYHKDRQLGDTPASELLTSTEHAYCPPDGHQGDGCCDTLANSDNIMSETSGKDENEKLQHVLDPNSLSPNDAKAGGITFVQPEVSKGPFSFQPYTRDCHNQTHSDSEAKKIIIVGAGPAGLTAASHLQRQGYHVTLLEARSRIGGRVFTDRSSLSVPVDLGASIITGIEADVATERRPDPSSLICAQLGLELTVLNSDCPLYDIVTGEKVPANLDEALEAEYNSLLDDMLLLVAQKGEHASEMSLEDGLEYALKRRRMALSGRNYVGNKQKSLNTVMDSEMLSASDEVCDNHCLKADILSPLERRVMNWHFANLEYGCAALLKEVSLPHWNQDDVYGGFGGAHCMIKGGYSAVVESLGEGLTIHFNHAVTDIMYNTESSITSDGLTNKVKVSTSNGKEFSGDAVLITVPLGCLKAGAINFTPPLPHWKSLSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDRRGQCFMFWNVRKTVGAPVLIALLVGKAAIDGQHIGSSDHVNHALSVLRKLFGESRVPNPVASVVTDWGRDPFSYGAYSYVAVGSSGEDYDILGRPVGNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIINILNTGTDFTAEVEATEDANRHLDVEESEVRDIIKRLEAVELSNVCKKSLDGTQILTRDLLWDMFCNAKTTPGRLHLLKALLNLPVEVLKSFAGTKEGLITLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLVAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWIEIFRKEKASNGLKQLRQSTVTIPPKSKSSIASGKPPLRTTAVAPENKRSLRVPTSAGSQLPMNANNKKMNIKPVKSETAADSKSDAKPPISQGSVGRQNATIDGSQNFPLTEEEKNAIAAAEAARAAARAAAEAYASSGAKYNTTLLLPKIPSFHKFARREQYSLMDESDIRRNWSGGAIGKQDCLSEIDSRNCRVQNWSVDFSAAGVNLDGSRIPVDNRSQHSHSNENACQFNFREHSGESAPVDSSILTRAWVDSSDSLGKDYNAIEMWQCQAAAANSDFYDQ >itb01g34940.t2 pep chromosome:ASM357664v1:1:37401434:37410671:-1 gene:itb01g34940 transcript:itb01g34940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MGEQGSVNKVSAKRRTKALEMDFDSGDDEPIGYMFKLKGKKYKTRVELDGGRDKVTGVEDVMQKQAVENEDLVGMDDTLASFWKKLKGPRKDGGLVPCGGGKSGSGTKLPVQSLNAFQKDEDLAAELPSKTVEREQKDADALCDETVNKGFEKGCKRKSKRSRVSFIPERVDSDDFSEVQRSMNKDVGKASSADGILEESLSAFLQKSDLSKKSRSSSCLKLGKVTETSGDGFNLSSSSISEDILSISRRTSKKLVEEVPGCGGDALVDPCSKHDCPSPHVATFQCDTLDDVSSKAILKNPVLATIPQASELGLQACTNKVSSVQSDEVDYLTAGHQGGITLETHNLTEHRSVSCQESASEDSLKDLPTSKLGQSVASENKETLEVCDYASLGNSDVNSDNLPNVHTLNTDDKVHSPVLKTDLQSCSNNESMNGQAENTMQGSSRIVMGETLLPSKRILAGSSAIKGNMDMALQTDVRLESENSQKDIGQDQVHSLKSIDSLYEQVTAGGCRDSIQIPTDYVDEPLQASLSSGKKDASASGEVQHGDGNDSYSYSYSKEVARNNVVARAENCNSISHHLQLFDEMDRGAFSQSCDYLSGDEVYNGSSSPSNVPGSDEVETGSMPDESKEDRMLGRQRAARNSKKRRHGDMAYEGDFDWEVLVQGQDFISHQDGDSGQTKQRGKLDPSSLAFLDAENGGDAAVSVGLKARAVGPIEKIKFKEVLKRKGGLQEYLQCRNRILSLWKKDLSRILPLSECGMPDTPSVHGSPNASLVRDVYTFLDQCGYINFGIASKKDISEGGHEHNLKILKEEKFTEKSGASVAESDDGVCFIMGQSKSSEIVAMQNDVLSNNETCAAKYHKDRQLGDTPASELLTSTEHAYCPPDGHQGDGCCDTLANSDNIMSETSGKDENEKLQHVLDPNSLSPNDAKAGGITFVQPEVSKGPFSFQPYTRDCHNQTHSDSEAKKIIIVGAGPAGLTAASHLQRQGYHVTLLEARSRIGGRVFTDRSSLSVPVDLGASIITGIEADVATERRPDPSSLICAQLGLELTVLNSDCPLYDIVTGEKVPANLDEALEAEYNSLLDDMLLLVAQKGEHASEMSLEDGLEYALKRRRMALSGRNYVGNKQKSLNTVMDSEMLSASDEVCDNHCLKADILSPLERRVMNWHFANLEYGCAALLKEVSLPHWNQDDVYGGFGGAHCMIKGGYSAVVESLGEGLTIHFNHAVTDIMYNTESSITSDGLTNKVKVSTSNGKEFSGDAVLITVPLGCLKAGAINFTPPLPHWKSLSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDRRGQCFMFWNVRKTVGAPVLIALLVGKAAIDGQHIGSSDHVNHALSVLRKLFGESRVPNPVASVVTDWGRDPFSYGAYSYVAVGSSGEDYDILGRPVGNCLFFAGEATCKEHPDTVGGAMMSGLREAVRIINILNTGTDFTAEVEATEDANRHLDVEESEVRDIIKRLEAVELSNVCKKSLDGTQILTRDLLWDMFCNAKTTPGRLHLLKALLNLPVEVLKSFAGTKEGLITLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLVAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWIEIFRKEKASNGLKQLRQSTVTIPPKSKSSIASGKPPLRTTAVAPENKRSLRVPTSAGSQLPMNANNKKMNIKPVKSETAADSKSDAKPPISQGSVGRQNATIDGSQNFPLTEEEKNAIAAAEAARAAARAAAEAYASSGAKYNTTLLLPKIPSFHKFARREQYSLMDESDIRRNWSGGAIGKQDCLSEIDSRNCRVQNWSVDFSAAGVNLDGSRIPVDNRSQHSHSNENACQFNFREHSGESAPVDSSILTRAWVDSSDSLGKDYNAIEMWQCQAAAANSDFYDQVMHVMDEEDSNMSSKLPSGRHDMPANESSASQVTVTKATHNQPRGAEKIKQAIVDYVASLLMPLYKARKIDREGYKSIMKKTATKVSTPLFIRLPLNTI >itb09g00790.t1 pep chromosome:ASM357664v1:9:501722:502437:1 gene:itb09g00790 transcript:itb09g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPSVTHSSRILRKFSSRDIPKGHLAVYVGQDDQKKRYVSHPWFQDLLSQAQEEFGFNHSMGGLTIPCIEDAFVHLTSGLVPRGLLKQQSYRLTCTHAQSRSRVKRLA >itb02g11370.t2 pep chromosome:ASM357664v1:2:7601710:7602819:1 gene:itb02g11370 transcript:itb02g11370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGAAKKAQSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKPADED >itb02g11370.t1 pep chromosome:ASM357664v1:2:7601748:7603675:1 gene:itb02g11370 transcript:itb02g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGAAKKAQSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKPADED >itb09g27400.t1 pep chromosome:ASM357664v1:9:27696419:27697234:-1 gene:itb09g27400 transcript:itb09g27400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHIFRAKQGLRRSSSRTNKESEVPKGHFAVYVGESEKKRFVIPVSYLKDPSFQDLLGQAEEEFGFDHPMGGLTIPCPEDTFLDIISSSSTS >itb01g21990.t1 pep chromosome:ASM357664v1:1:27958672:27960359:1 gene:itb01g21990 transcript:itb01g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVNPSSIFAPSKPPIVTLSTVTPRHFTTVIRCHSAADPPASRPWFNFFAAADAAASPGSGRIGLNSDGAAASPVEKRVAYNGKTTKANAKERWSRNRESYLTDNDDALPLPMTYPDTSPVSPEEIDRRLRCDPQVEDCKEVVYEWTGKCRSCQGTGFVSYYNKRGKETVCKCIPCLGIGYVQKITARKDIDVMEDLDNG >itb01g15390.t1 pep chromosome:ASM357664v1:1:17829660:17832100:-1 gene:itb01g15390 transcript:itb01g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAASPSSHASKTHPYGRVGIILAVLFVGFLAWAYQEIKPPPPKICGSPGGPPVTAPRIKLRDGRHLAYKEHGIPRDRAKYKIVFVHGFDCCRHDVAIAATLSPDVIESLGIYIVSIDRPGYGESDPNPNQTQKSLPYDIMELADQLGLGSKFYVVGFSMGGQLVWTCLKYIPHRLAGAALIAPVSNPWWPGFPANLSNEVFYNGPPRDQWTLLVSHYTPWLTYWWNTQNLFPASSAVAYEPSLFNSQDTQLMASYLAKRKEYQGQVRQQGGFESIHRDMIVGFGKWEFDPMDLENPFPGNEGWVDLWQGDEDGLIPLPMQRYIAQKLPWVRYHELPGAGHMFPYVGDMGNKIVKALLLKD >itb01g28110.t1 pep chromosome:ASM357664v1:1:32633092:32638754:-1 gene:itb01g28110 transcript:itb01g28110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDHRATVSSGHASHGVHLCHKCGWPFPNPHPSAKHRRAHKKVCGTIEGYKLTESEPTHLAISDDDEHPSDDDRRTPSPKIINKSFKEAAASFKSTRSEDELFSDAMTDFSDSGHHNPVMDEGLEAAIKLGKHAQKFPGGDELPKVDAPTDAPKPLDDPLKTSQLGDPQLLGSAAKPLDATTPFGSKSKEDSTGLNEPSGQQLDVAPILENVKATGEEVLMSNDRKDICVQENEHANASEILADAVEPSRDIAPLQSRLSEPDSVKTAVQEGEEKTSSLSQKEEVELVEADSKISSSSSLTPELFEGPRDTPEHIIQGSKAVESVEADSKMSSSSSLEPELLEEARDAPGHIILGSKSVEAENKVSRSSSLEPELLEGARDTPGHIILESKSNGEDVKISCPPDQKSDQFQADGNASEVASLDRKLEPSDELETKMGGKDESGVFLHVSPLQKTDTEDIEGRCQNDIVELCKTEVEEKEDVRLLSEAKDISSLHNAELAVQGYKDYKYLKSNLALDLGAGDEGIGSAQDDVKPDQSLLGPPSVLDASGDDIRKGDGKVPIEGKMDLNGSSEVINDENLGSSISVPATLESPKLTFQPTNSHSTEDECSQNSFQQCKPLAHSDENSIAPCMGGEVGQIIPTILGASGDDISKDDGKVPIEGKIDPEGSKVIYEEISVSAPTTLENLDPTKDGSSQNSFKQCKQPGDSDNNSIAPSTGDEVRKTITRGGSEIFYDCAMDTTKMSFPAENESREGHPQDEYSAGTKIGFGHPDSSFIFEKTQTPVSFGGDDHPDLEKSGTKCLDVERSRSMEETGGKLAKVNGGGAEDDQTGHSLGVGDGSLHDKARTEVPNIAAGSEHRGEDAKDKMANIEPKHDSSVTVLESTNAAGDDERNHENVEHISSISGKHADVVLQEKPYEGPTFSESASLPVDLNSGNSATVENNNIVDATSTSVVGSVPLKGEGDDKTTKQQDGVTAVDMSVTSSSRTDSLEANWGSVSVLSIQSETTAPVDGEVPDSGAQEKKTKVERNPDKSDTFEPPSFMTLVESGSEIENAQNAQHPKSDSLKAGWFPSLNNVVNESQGRKKNEEIIAKVTNWSTGKQHTPLKNLLGEAKSPNTKQVPSASATQKDETTTHNTAATATTTTVNKVLSFEPASEPTAPKEAAVEKEWDSPARYPVGIKKEKKKGKAYWVPFVCCSSVH >itb04g23850.t1 pep chromosome:ASM357664v1:4:28770224:28771361:-1 gene:itb04g23850 transcript:itb04g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLHAPTSTPLLPFNQGDRCSSALEVCFITGHRISSSQQRPQPTPRLCGGATWSWRLRRRLIRFRRGQFKVFWVESRTTQTAGWLGFVEYEA >itb11g00090.t1 pep chromosome:ASM357664v1:11:38557:43353:1 gene:itb11g00090 transcript:itb11g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKIYGLPPIISTTTGTSQPPANALSFTGIRAPLTIDDSDDFEYSSSSLSNAENDSSIADAYTSHNESASEASISGEDGFDSNSEMDDSGPNEQTLEVEQTPFVNQFDYRPSVEYSEGEIVEENSNLDEFDDDYISSVGNVGYSGFRQGIPIAVLSVDNDDDSEGSVVMEDFNDGFSGSVRVPSYTTVLERIGGSAPKVRVPTVEGEEEEEEEEEELECNENAMQPDVVVDDGGVEDENAQEKQVVAVDSVLSGNAKRLVSDYVQHQIIADCPVPDSVPDEYKDCDVEEIKVECRVTSKEPPLPLREQLESTGMQLEEDIQSVYMGAQDIEIVNLDIDSKLPVVFKWVIEEEPKELNFFGIDDDMGIAKSNLQVGENACLAQIGKNEIQDSIVKQEIYQSFETKTCNIKGDEVKSSDGAENVQISAAQDQVFPLSGTEVNTTHGLAEGDELSRDSNISQSMAINSLPELQIIKDNCTFKLVEDEENSFPLNNDDGLTFEDSRVKETIDRSDYRFDGDSCLDHVQNIDGEVVTDSNGEVDTIEENKKKETFETAALLKAAGLGSTIQPSGIVSQPKDSNISAPSDHAVEPEGILSEAERSNLEKIQQLRLKFLHLIHRLNQPPEDSLAAAQVFSCLALATGKPFAQAFCVNSAQTEALQFESDGRNNLNFSLNVLVIGKTGVGKSATINSIFHEDKAVTDAFKPATTTVKEMVGTLEGVIVRALDTPGLRSSISEQIYNRKVLLSIKKAMTRCPPDVILYIDRLDTQTRDLCDLPLLKSITTYLGPSIWEKTIVTLTHAASSPPDGSTGKPLSYEMFVAQRLHAIQELINHTVGDLHIMSAGLMNPMSLVENHSLCMRNGNGEILLQHRENWRSQLLLLCYSMKIVSELSSVVKSRDPLDPDFEMCWPPLTYFLSSVLQYNVHPNCEGEDVDSDSSSQPAYLSNSGKEDENECESSIRIHTGPIFKLRGWDCDYGYDQPTLIWGRRCKVGVRAELNKKQSGGMCIRTSSSDYSQIGILCIIITVVKDIIRRIYPQEL >itb13g13990.t1 pep chromosome:ASM357664v1:13:20563989:20566102:-1 gene:itb13g13990 transcript:itb13g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVNRCQIQEWYPKFKSDSIKTEILDLPESFIEYLLDDNGPFLLPLSIEDNDALPNRIHKPEDEEDCEVTGGSEDESEIPPTPPSFPELEMKVKESIQSLGGSAFPKLNWSSPKDSAWMSSTGNLKCTSFSEIALLFKSSDSLVHDLCHAYDSCSNKTISRPPKFVLALRKWYPSLHPEMEFRCFVRNMVLVGVSQREVTGFYPSLIERKYELKTAIQSFFCAKVKSKFESKSYTFDIYVTLDHRIKLLDFNPWGAFTLPLLFTWEELEEGGNELDFRIVENQCGVRPGLKTAVPRDYLDTSPGSGWDEFLRKANEELNP >itb13g13990.t2 pep chromosome:ASM357664v1:13:20563989:20566069:-1 gene:itb13g13990 transcript:itb13g13990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVNRCQIQEWYPKFKSDSIKTEILDLPESFIEYLLDDNGPFLLPLSIEDNDALPNRIHKPEDEEDCEVTGGSEDESEIPPTPPSFPELEMKVKESIQSLGGSAFPKLNWSSPKDSAWMSSTGNLKCTSFSEIALLFKSSDSLVHDLCHAYDSCSNKTISRPPKFVLALRKWYPSLHPEMEFRCFVRNMVLVGVSQREVTGFYPSLIERKYELKTAIQSFFCAKVKSKFESKSYTFDIYVTLDHRIKLLDFNPWGAFTLPLLFTWEELEEGGNELDFRIVENQCGVRPGLKTAVPRDYLDTSPGSGWDEFLRKANEELNP >itb06g03840.t1 pep chromosome:ASM357664v1:6:6326169:6326666:-1 gene:itb06g03840 transcript:itb06g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGHSVDRSQSEKQMEEGQRSLFLEAMEDSKKTDKEAMRVRHQPVIIKKAVEKGGNRDPKAAANRLVVYTNKTTFRWIGDRSLTSFFSLRGRAQQTTCMSIVDGGNGRTMRYGALQVLKAAWVSLNDSGPRGGLGSGRWLKRRKSGQKDNVALVLKRRGRSEGR >itb05g00930.t1 pep chromosome:ASM357664v1:5:777769:779090:1 gene:itb05g00930 transcript:itb05g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHKEHLAEEWAPFGEVKVYPLSKYYTFALACKLFLNIEGRDEVKRLLDPLLIVTSGMFTLPLNLPGTAYNRAMKAGSMLRCRLVEVIKKRKAMMSSSSGKVGEQGQDVLGRLLSATDEEGQHMNEAQIYNNIIGLIIPSYHSTSSAITFILKYLAELPHIYDQVYTEQMEIAKSKGPNERLCWEDIQKMKYSWNVACEVLRLIPPGQGGFRETVKDFIYAGFIIPKGWKTFWNPYSTHKNPKYFTEPEKFDPSRFEGNGPAPFTFVPFGGGPRMCPGKEYTRLELLVFMHNVVTNFKLEILMPDEKIVYSNGVPIPVNGLPIRFIPH >itb02g22310.t1 pep chromosome:ASM357664v1:2:21554228:21555107:-1 gene:itb02g22310 transcript:itb02g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRSNRRQPSPPLSSFRRRGKTKQIVAGGRRGFLRRPMSTSTFIPFLLLSSRPVAAKNGSDCSSSDEISSEQGRPAWVASRRRACGCGDMRQ >itb04g20900.t1 pep chromosome:ASM357664v1:4:25925222:25931784:-1 gene:itb04g20900 transcript:itb04g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MRGLSRLGSGVSTPSPPSSPRYRRKNSAIGGGGGSFRGGVDGGGVKLHNFAEKLGFMLVSAVYRRRGVLLFAPLLYISGMLLYMGTLGFNGGNEVAPPGSVYRSPQVFEKLWPHMQAENNGTSNLLTNVWNPKFHQNWKPCLDKSISQAGLPESSKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSKFGDIFDEEFFIYALRNHVKVVKQLPEDVLQRFDNNISNIVNLRVKAWSSPVYYRQKVLPKLLELGAVRIAPFSNRLAHAVPPNIQGLRCLCNFEALRFSSSIRMLAAKMVDRMMKNSSRSAGKYVSVHLRFEEDMVAFSCCIYDGGEKEKLEMDVARERSWRGKFRKRGRIIRPGAIRMDGKCPLTPLEVGMMLRGMGFDNSTPVYVAAGKIYKAEKYMAPLKQIFPHLESKDTLASAEELAPFEGHLSRLAALDYTVCLYSEVFVTTQGGNFPHFLVGHRRYLNGGHGKTIKPDKRKLALLFDRPSIRYKDFKRQLQDMLHHNDMKGCEVKKPSSSLYTYPMPDCMCKQADVNNESGNTTKAL >itb09g26140.t1 pep chromosome:ASM357664v1:9:26479011:26479667:-1 gene:itb09g26140 transcript:itb09g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDQEGEEEHEREFWFKPCWSKEVPWKMSFLAWRVFKRKIPSDDNLRRFGYQLASRCYCCPNPRLDNLQHIFCTGSTASQVWGYFARSLGFNIQIRGVKQLCYEWWKKKPRNRMIRFLTHKLPVVILWELWVHYNQCKYGKESPSRARIIFKVTRDMVDCIMRKWPSWDPFPPNWNYILRRADLFKCSKIVREASWCKPPKGWIKINTAVKKGSCSL >itb07g06580.t5 pep chromosome:ASM357664v1:7:4730604:4735643:1 gene:itb07g06580 transcript:itb07g06580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDSTSSSNNAIVYHDGMGRPSELSPLQKHVSFFDINKDGIVYPWETYQGFRKIGCGVPLSVFASLFINFGLSQKTRPGKWPSPLLPIEVGNIKLSKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNPNGLTSVELDEMLQANRKPNDYGGWIGALSEWKILYLIGKDKNGILPKETIRGVYDGSLFESMAKKHASKKQV >itb07g06580.t2 pep chromosome:ASM357664v1:7:4730604:4735643:1 gene:itb07g06580 transcript:itb07g06580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDSTSSSNNAIVYHDGMGRPSELSPLQKHVSFFDINKDGIVYPWETYQGFRKIGCGVPLSVFASLFINFGLSQKTRPGKWPSPLLPIEVGNIKLSKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNPNGLTSVELDEMLQANRKPNDYGGWIGALSEWKILYLIGKDKNGILPKETIRGVYDGSLFESMAKKHASKKCGNNFLL >itb07g06580.t6 pep chromosome:ASM357664v1:7:4730614:4735643:1 gene:itb07g06580 transcript:itb07g06580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWEGLLSCPLCKSMYRSLISTRMASFIHGKLIKGKWPSPLLPIEVGNIKLSKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNPNGLTSVELDEMLQANRKPNDYGGWIGALSEWKILYLIGKDKNGILPKETIRGVYDGSLFESMAKKHASKKQV >itb07g06580.t3 pep chromosome:ASM357664v1:7:4730631:4735643:1 gene:itb07g06580 transcript:itb07g06580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWEGLLSCPLCKSMYRSLISTRMASFIHGKLIKGKWPSPLLPIEVGNIKLSKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNPNGLTSVELDEMLQANRKPNDYGGWIGALSEWKILYLIGKDKNGILPKETIRGVYDGSLFESMAKKHASKKCGNNFLL >itb07g06580.t4 pep chromosome:ASM357664v1:7:4730614:4735646:1 gene:itb07g06580 transcript:itb07g06580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWEGLLSCPLCKSMYRSLISTRMASFIHGKLIKGKWPSPLLPIEVGNIKLSKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNPNGLTSVELDEMLQANRKPNDYGGWIGALSEWKILYLIGKDKNGILPKETIRGVYDGSLFESMAKKHASKKQVW >itb07g06580.t1 pep chromosome:ASM357664v1:7:4730604:4735646:1 gene:itb07g06580 transcript:itb07g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDSTSSSNNAIVYHDGMGRPSELSPLQKHVSFFDINKDGIVYPWETYQGFRKIGCGVPLSVFASLFINFGLSQKTRPGKWPSPLLPIEVGNIKLSKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNPNGLTSVELDEMLQANRKPNDYGGWIGALSEWKILYLIGKDKNGILPKETIRGVYDGSLFESMAKKHASKKQVW >itb04g32750.t1 pep chromosome:ASM357664v1:4:35192336:35195048:1 gene:itb04g32750 transcript:itb04g32750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFSTRNIGKTLVTRTQGTKIASEGLKHRVFEVSLADLQNDEDNAFRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQSLIEAHVDVKTTDNYTLRMFCIGFTKKRVNQQKRTCYAQSSQIRQIRRKMREIMVNQAQSCDLKDLVLKFIPESIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTEDVGTKLDRPADEPIAEATEPVGA >itb12g25960.t1 pep chromosome:ASM357664v1:12:27030626:27033210:-1 gene:itb12g25960 transcript:itb12g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETPEEKRVKVLRKSNVKPGKPLGRKECQLVTFDLPYLAFFYNQKLLVYCGAGAGEFEEAVERLKDGLAVVLEEFYQLAGKLGKDEEGVFRVEYDDDMDGVEVAVAAADAVAVADLINEEGTAVFKELIPYTQILNLEGLHRPLLSVQLTKLKDGVAIGLAFNHAVLDGMSTWHFMSSWAEICRGAHSISVPPFLDRTKSRNTKVKLNLSKPSDAPEHAKSAVNGVDPTDAKPGPPKLREKVFKFSDSAIDKIKAHVNANASDGSKPFSTFQSLSTHVWHAVTRARQLKPEDYTVFTVFADCRKRVDPPMPESYFGNLIQAIFTVTAAGTLLANPPEFAAALIQKAIDSHDAKTIEARNKEWENNPKIFEFKDAGMNCVAVGSSPRFKVYEVDFGFGKPESVRSGSNNRFDGMLYLYQGKNGGRSIDVEITLEASAMDKLEKDKEFLMIMDS >itb04g10160.t1 pep chromosome:ASM357664v1:4:9431141:9433195:1 gene:itb04g10160 transcript:itb04g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFAHLPEGCISEILSLASPEDTARLSAVSKGFKAAAESDSVWDRFLPPRLTDIISRSVSPVVYSSKKELYFTLCNSPILLDDGAKLSFSLDPRSGKKCFLIAARQLQIFWGLGDGSCQWESLPDSSKGRFSEVAVIRKIIWLDIRGKIATNMLSATTNYAAYLVFRFAGSAYGLQLSKALVRLVSQESEEDDAQNGADNLVHLFNRSTRRERSDGWMEVEMGSFYNDAGDDGDVEAQFTELWPFEWKRVLIVQGFEFRPK >itb01g16340.t1 pep chromosome:ASM357664v1:1:20519742:20520185:-1 gene:itb01g16340 transcript:itb01g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDIIETGDPDAFKQYLLETDNTICGRQPISVFLHMSKNCSAKIKIRFVRYEQSSQCKNMRDSSVSYA >itb09g08720.t1 pep chromosome:ASM357664v1:9:5196296:5197721:1 gene:itb09g08720 transcript:itb09g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPRMSADDLPKKKKTLQIADICLDPPVKSKPPQLSSSTPSSPRRLRENSAVKFSCLCSPTTHPGSFRCRHHRNQMRTSMSVGAKLSEMAAGK >itb15g19110.t1 pep chromosome:ASM357664v1:15:21245329:21247155:1 gene:itb15g19110 transcript:itb15g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRNTNHHHLVNNLTVGVPFQPTSNVEDNAMVGHSEELDEVKYHLLHDTLKERQVMAMVVHNKAQALYDLCRCIMPMSNTFNNKMEGDLEVQLRQSLLGQRYLIVVDDIWTTEAWDDIQRCFPNDENGSRILMTTRDREIAQYANSGEYCYNMRFLNPFEGWDLFYQKFLAKEFLNNEFETIGRNIVQKCQGLPLTIVVLAGLLSTINRWLSLKVAIPAFHLVIASTKCRSILCFNMASNCDREWYLHANSFKKLRVLDLSKIKFASGMPQDITDIVFLRYLALASSKLLNHIPLWKNWNLQTLIISEDDNGSRKLPHGIWELPQLRHLELYHQVSIDLPKVIQENLQTLYWLFASECTMEVFLRIPNVKQLGIIAGDEEALPQGLINNLSCLSHLEKLRVQGSYHPLHLGPQATIYLPTKP >itb10g11420.t3 pep chromosome:ASM357664v1:10:16940817:16947882:-1 gene:itb10g11420 transcript:itb10g11420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTESAEEEGLGVTPLLPRLLASESRPNVVLVLSTLVAVAGSFVFGCAVGFSSPAQSGIIDDLGLSLAEYSLFGSILTIGAMLGAIVSGKIADLFARRGAMGFSELFGLVGWLAIIFGQNAWWLDIGRLLIGFGIGVLSYVVPVYVAEITPKNLRGAFTNVNQLMICCGVSVTYLVGAVIAWRPLAVIGMLASILQLLGLFFIPESPRWLAKSGRWKECEASLKLLRGENVNISEEASEIRGYTETLQQLSESNLIDLFQRKYAHSLIVGVGLMVLQQFGGVNAIAYYASSIFESAGFSGRIGTISMVFVQVPMTVVGVLLMDKSGRRPLLMDLIQWKYCPVLALVGILVFTGSFSLGMGGIPWVLMSEIFPINLKGLAGSIVTVVNWFGSWIISYSFNFLMQWTSQGTFFIFASVCGLTVLFVAKLVPETKGRTLEEIQASMNSFTREE >itb10g11420.t5 pep chromosome:ASM357664v1:10:16942988:16947896:-1 gene:itb10g11420 transcript:itb10g11420.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTESAEEEGLGVTPLLPRLLASESRPNVVLVLSTLVAVAGSFVFGCAVGFSSPAQSGIIDDLGLSLAEYSLFGSILTIGAMLGAIVSGKIADLFARRGAMGFSELFGLVGWLAIIFGQNAWWLDIGRLLIGFGIGVLSYVVPVYVAEITPKNLRGAFTNVNQLMICCGVSVTYLVGAVIAWRPLAVIGMLASILQLLGLFFIPESPRWLAKSGRWKECEASLKLLRGENVNISEEASEIRGYTETLQQLSESNLIDLFQRKYAHSLIVSSRPRLSGT >itb10g11420.t1 pep chromosome:ASM357664v1:10:16940817:16947896:-1 gene:itb10g11420 transcript:itb10g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTESAEEEGLGVTPLLPRLLASESRPNVVLVLSTLVAVAGSFVFGCAVGFSSPAQSGIIDDLGLSLAEYSLFGSILTIGAMLGAIVSGKIADLFARRGAMGFSELFGLVGWLAIIFGQNAWWLDIGRLLIGFGIGVLSYVVPVYVAEITPKNLRGAFTNVNQLMICCGVSVTYLVGAVIAWRPLAVIGMLASILQLLGLFFIPESPRWLAKSGRWKECEASLKLLRGENVNISEEASEIRGYTETLQQLSESNLIDLFQRKYAHSLIVGVGLMVLQQFGGVNAIAYYASSIFESAGFSGRIGTISMVFVQVPMTVVGVLLMDKSGRRPLLMISASGTCLACFLIGLSFLLQDLIQWKYCPVLALVGILVFTGSFSLGMGGIPWVLMSEIFPINLKGLAGSIVTVVNWFGSWIISYSFNFLMQWTSQGTFFIFASVCGLTVLFVAKLVPETKGRTLEEIQASMNSFTREE >itb10g11420.t2 pep chromosome:ASM357664v1:10:16940817:16947882:-1 gene:itb10g11420 transcript:itb10g11420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTESAEEEGLGVTPLLPRLLASESRPNVVLVLSTLVAVAGSFVFGCAVGFSSPAQSGIIDDLGLSLAEYSLFGSILTIGAMLGAIVSGKIADLFARRGAMGFSELFGLVGWLAIIFGQNAWWLDIGRLLIGFGIGVLSYVVPVYVAEITPKNLRGAFTNVNQLMICCGVSVTYLVGAVIAWRPLAVIGMLASILQLLGLFFIPESPRWLAKSGRWKECEASLKLLRGENVNISEEASEIRGYTETLQQLSESNLIDLFQRKYAHSLIVGVGLMVLQQFGGVNAIAYYASSIFESAGFSGRIGTISMVFVQVPMTVVGVLLMDKSGRRPLLMISASGTCLACFLIGLSFLLQDLIQWKYCPVLALVGILVM >itb10g11420.t4 pep chromosome:ASM357664v1:10:16940817:16944752:-1 gene:itb10g11420 transcript:itb10g11420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGVSVTYLVGAVIAWRPLAVIGMLASILQLLGLFFIPESPRWLAKSGRWKECEASLKLLRGENVNISEEASEIRGYTETLQQLSESNLIDLFQRKYAHSLIVGVGLMVLQQFGGVNAIAYYASSIFESAGFSGRIGTISMVFVQVPMTVVGVLLMDKSGRRPLLMISASGTCLACFLIGLSFLLQDLIQWKYCPVLALVGILVFTGSFSLGMGGIPWVLMSEIFPINLKGLAGSIVTVVNWFGSWIISYSFNFLMQWTSQGTFFIFASVCGLTVLFVAKLVPETKGRTLEEIQASMNSFTREE >itb07g08190.t1 pep chromosome:ASM357664v1:7:6387031:6390842:1 gene:itb07g08190 transcript:itb07g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTTTTLAVTSASRQQFRVLRGLCITNFPKFSLSSCVALNGKYPVGIKFVCKRCLVCFIPCGANSNRPFLIFLHGLLNWCSPFSRYLVRPICALGSGFQTSLSDDASITVKDSEITLVSQDDKTIQVRVDLTGKDTQIIFDKTLIRLGREAPPVPGFRKQKGGKMKIPKEFLLEMLGEDRVTNFVIQEIITSTVANYVTEEKLVVKDNKVTTTQTAEELKSSFAPGNAFGFDAILELEKSAADTLNSTT >itb03g00970.t1 pep chromosome:ASM357664v1:3:515488:515865:-1 gene:itb03g00970 transcript:itb03g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWPENHNFQIFKLEIEEIFLINWYGGPKPLTVDQYLQSQIPSSKPDKMVSFKRLISTSVNFLSWLNQSL >itb03g28380.t1 pep chromosome:ASM357664v1:3:29160293:29165450:-1 gene:itb03g28380 transcript:itb03g28380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHSTSQSDIDEIENLINSHPSTVLPARPPSPPRASIPVSSSPFIPSNLPPPSAQTVKPASPPPVPQAPPPLPPSSHPTTGQSGIPSTGFGSAPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGQIIFFQSLSLLGYCLFPLDVGAVICMVMDNVIVKIVVVGVTLAWSSWAAYPFMSTAVTSSRKALALYPVLLMYVSVGFLIIAID >itb07g13390.t1 pep chromosome:ASM357664v1:7:15588645:15597103:-1 gene:itb07g13390 transcript:itb07g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MRIRKEGSLNDDNNAAFRSFFPILILILASSAPRSGHAATDTDNPAAVQLFAQAVFDKFKNFNSLFDDSVRTQLRYCVDNVDADWKAAFDFSKDTEFLSNCVKQTKGDIMQRLCTASEMKFYASSIILDTLEDSSTKSSNYVKPNMNCNLSSWVPGCEPGWACSVGKDVQVDLKNSNEIPSRTLECQPCCEGFFCPHGLTCMIPCPLGSYCPSSKLNETTGVCDPYSYQLPPGDTNHTCGGADVWVDFVSSSDLFCKAGFYCPTTTQKNPCSRGHYCRAGSTEQTRCYELATCEEQTTNQNITAYGLLFFAGIILVLLIIYNWSDQVLSTREKKQAKSREAAARSARETAQAREKWKSAKDNAKKRASGLQESFSRTFSRRKPSMAESKGTGQTKSGSGAPLPPPPSGMSQAKAKKQNNLTKMMRELEENPESHEGFNVEIGDKNLKKHKTKELHTRSQIFRYAYNEIEKEKAMQEQNKNLTFSGVISMANEFELGTRPPIEVIFKDLTLTLKGKNKHLLRCVSGKFSPGHVSAIMGPSGAGKTTFLSALTGKATGCTMTGLILINGQPECMRSYKKIIGFVPQDDIVHGNLSVEENLWFSARCRLPADLGKPEKVLVVERVIESLGLQHVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSSSSQLLLRALRREALEGVNICMVLHQPSYTLFRMFDDFILLAKGGQTVYHGPVKKVEEYFAGLGIHIPERVNPPDYFIDILEGIVKLSPSIGVNYKDLPLRWMLHNGYPVPPEMLDAAGEAAPVGENSSHGGNPAAAGSAATFVGELWEDVKSSVEQKKDQMLHNFFTSKDLSGRITPGVMTQYKYFLGRIGKQRLREARIQAVDYLILLLAGICLGTLAKVSDETFGSMGYLYTVIAVSLLSKIAALRSFSPDKVYYWRESASGMSSLAYFLAKDTIDHFNTIVKPAVYLSMFYFFNNPRSTILDNYIVLICLIYCVTGIAYALAIYFDPGQAQLWSVLVPVVLTLVANQEGDKFVMRLGDFCYTKWALESFLIANAKRYYGVWLITRCGSLKQRGYRLEDWYPCLGYLIIAGILSRGLAFFCLITFQKK >itb04g29560.t1 pep chromosome:ASM357664v1:4:32896579:32898524:1 gene:itb04g29560 transcript:itb04g29560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIISVLVLYVLPLLCIFFSLKKMIDLRTRRACYILDYQCYKPTDDRMLSTKLSGEVVFRNKNLGLSEYKFLLKAIVSSGIGEETYGPRMVFQGRETCPAYEDGISEMEEFFHDSIGKMLKRQGICGSEIDVLVVNVSMLASVPSLSARIINRYKMREDIKVYNLSGMGCSASLISINIVENIFKTRENLSALVVTSECLSPNWYSGNDRSMILANCLFRSGGCAILLTNKPALHHKAMFKLKTLVRTHHGAKDESYNCCIQKEDELGKLGFHLGKTLPKAATVAFLENLKEIAPKILPVRELVRYALLQKIPTNSGKSGTARPAVINFKTGVHHFCIHTGGKAVINGIGQSLNLSEHDLEPARMTLHRFGNTSAGSLWYVLAYMEAKKRLKKGDNVFMISFGAGFKCNSCVWEVVRDLEDANVWKDCIDSYPPQTLINPFLDKYGWVHNADPASFSLPDYYRLP >itb02g14330.t1 pep chromosome:ASM357664v1:2:10465733:10466860:1 gene:itb02g14330 transcript:itb02g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGGGLTRGRRLPNILVVLALLAISNVVSGDISYLYSSPPPPVYQYKSPPPPSPSPPPPYEYKSPPPPVHPPPPPYAYKSPPPPSQSPPPPYAYKSPPPPSQSPPPPYAYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPSYYYKSPPPPSPSPPPPYYYTSPPPPKKSPPPPYYYTSPPPPVKLPPPSYHYSSPPPPVKSPPPPYYYSSPPPPVKSPPPLYYYSSPPPPVKSPPPPYYYSSPPPPKKSHTPYYYTSPPPPKKSPPSPYYYTSPPPPTHYYPPHYDQLVVKVVGKVYCFKCYDWAYPKKSHNMKHLKGKFFPSTIFTTIVWLVFLIVFPFMFY >itb04g24260.t1 pep chromosome:ASM357664v1:4:28987902:28991999:-1 gene:itb04g24260 transcript:itb04g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSFPEEVLEHVFSFLSSDKDRNAVSLVCKSWFEIERWCRRRIFVGNCYAVSPSIMIRRFPEVRSLELKGKPHFADFNLVPENWGGFVYPWIIAMSRSYPWLEEIRLKRMVVNDESLELIARSFKNFKVLVFAFCEGFTTDGLAAITAKCRNMKELTLRECDVEDLSGYWLIDLSENCTSLESLDITCLSCEVSFSALERLVSRCPNLRTLRLNRALPLEKLHILLRRAPQLVELGTGALTAEVRSDTFSNLADAFSGCKQLKGLSGFWDVTPAYLPAIYPVCYGITSLNLSYASIQSPDLFKFVSQCHNLQRLWVQDYIEDIGLEAIAASCKDLQELRVFPSDPYGADPNVILTEQGLVAVSEGCPKLQSVLYFCRQMSNAALDAIARNRPNMIRFRLCIIEPRTPDYLTLEPLDTGFGAIVQHCKDLRRLSLSGLLTNRVFEYIGSHAKKLEMLSIAFAGDNDLGLHYVLSGCESLRKLEIRDCPFGDKALLANAAKLEKMRSLWMSSCSVSFRACKLLGQKMPRLNVEVIDERGPPNSRPEDDPVEKLYIYRTVRGPRLDTPEFVWTMHEDAALRFS >itb15g05240.t1 pep chromosome:ASM357664v1:15:3374169:3376136:-1 gene:itb15g05240 transcript:itb15g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVRRNQPIKEILKRHTTQFLNQFLKPSQNPLQPNKCLPRAEPILPFSTQASKFPEYEMPTVTWGVIQGRKEKLVSRVIVCDYLKSIGIVPDELEDLELPSTVEVMRERVEFLQKIGLTIDDMNEYPLILGCSVRKNMIPVLSYLEKIGISKPRLGEFVKNYPQCLHSSVVVELVPVIRFLRGLDVEKQDIGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQYPYLLGMRVGTMIKPLVDYLVSLGLPKKILARMLEKRAYLLGYDLEETVKPNVDCLTSFGIKREVLPSVIAQYPQILGLPVKAKLSSQQYFFNLKLKIDPDGFARVIEKMPQIVSLNQHLIMKPVEFLLGRGFPSDDVAKMVVKCPQLVALQVGIMKNSYYFFKTEMARPMKELVEFPEYFTYSLESRIKPRYHRIQSKGIKCSLAWFLNCSDQRFEERLYGDFIEAENNGPSFVMGGKLELPGSEIVSEEEEESDDEILYRRTVSL >itb15g24210.t1 pep chromosome:ASM357664v1:15:27053389:27064887:-1 gene:itb15g24210 transcript:itb15g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.5 [Source:Projected from Arabidopsis thaliana (AT4G31120) UniProtKB/Swiss-Prot;Acc:Q8GWT4] MPLGERKGDEKNDSKYCGVETEFDDNMPQLLGRNILGGFDFVIAPLMDPNYRPSLMENRDGGSCVLPFAGSDLELSPSQWSSHVVGKISSWIDLDSEDEILRRDSEISLRQEIAWASHLSLQACLLPAPKGVSCANYARCVNQILQNLNNMQLWLRIPLKKSDDDIVNGNPVTMDGHVDSWELWNAFRALCEHHIQLSVALDVLPSLPSVNSLLRWFGEPVRAAIVNTNSFLTNARGYPCLSKRHQKLLTGFFNHSIQIVVSGQPVHNLPMGSSGSGESNTGTQRHPLRAYLDYVGYLYQNMDALPEQERFELGYRDYLQSPLQPLMDNLEAQTYETFERDTVKYIQYQRAVAYALMDRVPDEKASTVTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAIVTLHSLVRLEGWEQIVTIVSSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAERFLKPDGVSIPTSYTSFIQPVTASKLYNDIKSHKDIVHFETAYVVKLHRVARLATPQPVFTFTHPEHSTNKNNNRYKKLRFEIPVDTGSAMVHGFAGYFDAVLYKDVHLGIEPSTATPNMFSWFSIFFPLRTPVCVPPGIPLDVHMWRCCGSTKVERMCHIELFNHK >itb01g01510.t1 pep chromosome:ASM357664v1:1:876197:876604:1 gene:itb01g01510 transcript:itb01g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTKYRIEVCFRLCLLVYVATETGALVFMLCADLCATNSGILVGTYVRTLFSLADQISRILVVVLHRSGRAINLRAEVATDLKSTCGYCRRRT >itb13g21830.t1 pep chromosome:ASM357664v1:13:28345104:28345661:-1 gene:itb13g21830 transcript:itb13g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLPFLMACVMMLVALELHWHPLSMAAATNTNHTWVGSKYQIECTLCSSCDNPCDTPSPPPPPLPPPPGATANCPPPPPPPSPPHSSNSYYYSPPPPPPSGYSYYPYTPPKGVVGGDGYFPPPYNAYPSGPAPPPPNPIVPYFPFYFHSPPPPSSSHSLQFKNPSLLSHLIITITPFLLFFLI >itb03g22450.t1 pep chromosome:ASM357664v1:3:20490809:20494101:-1 gene:itb03g22450 transcript:itb03g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASCVLVVGLLWMLAASTVVGNHSKTVTYDKRSLIIGGAREIFFSGSIHYPRMPTEFNFEGNNDVVKFIRMIGDMGMYVTLRVGPYLEAEWNLGGFPYWMKEVPNIIFRSYNEPFMNHMKKFVVMVVDMMKKEKLFAPQGGPIIMAQIENEYSNVAAAYRELGIKYIHWAAELAVGLYNEVPWIMCKQKEAPSSVINTCNGRQCGDTFPGPNGPNKPFMWTENWTAQYRVFGDPPSQRSAEDLAFSVTRFYAKNGTYVNYYMYFGGNNYGRTGSSFVSTGYYDEGPLDQYGLYRDPKWSHLRDCHRALKLSKKPLLWGSPTVKKISTDLEIITFENTNLKLCAAFLTNNNTAKPNSINFRGTEYYLPARSISLLPDCKNVIFNTQNVVSQHNARNFVPSKVATNLKWEMFHESIPKHDSLSLKSQSPLELYTLTKDTTDYAWYSTRITLNKRDLPMRSDILPVLEIRSRGHALLAFVNGEYVGSNHGSNIEKGFDFRMPVNMKPGDNEITIFHILVGYPNSGAYMEKRFSGLKRVVLQGLMSGTLDITLNSWTQSVGVSGEKLKLFTEEGSEKVKWSPAGKVHPPLTWYKTYFDVPEGNDPVAVRTNNMAKGMVWINGKSIGRYWVAFLSPLGQPTQSEYHIPRTYLKPKNNLMVVFEEIGGDPQGVEVMLVNRDTICSYISEYYPSNVKSWERKGDEFRSVSDDLKPTVRLSCPDDKVMKKIEFAEYGDPEGVCGNYFPGNCTFPNADKIVEKACLGKSQCKIPVDKSLFEEGGKDLCPNIYKSLAVQAKCGRQGKDD >itb09g17740.t1 pep chromosome:ASM357664v1:9:13113454:13119650:1 gene:itb09g17740 transcript:itb09g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKESFNLFDGLRELLLGEYSKRTWRQREGTALGDIGNMVTVGGAEGKQQLPQVSCHLTRGFCAQLLANGQAAAADKNKKCIGAVNVDLLLQMEVPRKPPAQKRATVKPIKPEAVIVISPDTKEEVKEKNSLRRKAAAEDSFSKQGRLTSFLYVWEMYKLGVFCFLRSLLCRPLPADIGRCTCVIVKETSPDGFNGGTLYSLYTYIVDSVIALFRVDFTGRGELVERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHTHLQVIPASYLAGVSN >itb15g21500.t2 pep chromosome:ASM357664v1:15:24185402:24188317:-1 gene:itb15g21500 transcript:itb15g21500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTNSPLCFSTFPSYIFLFLFCLMPFAVISQSPVTDRDILLEVKRRWGNPASLEGWKATSSPCSWPEIECSGDGSVTGIHLKDYNIVSKIPANICGLKNLTVLDLSWNYIPNKFPTGLYNCSKLEHLDLSQNYFVGPIPSDIDRLRNLRHLDLGGNNFTGDVPPTIGNMTELRLLYLYSNLFDGTFPFEISKLSNLESLGMSFNNFLPAKIPPEFGNLKKLKVIWWRAANLIGEIPRGFENLLSLEDLDLAYNSLEGEIPRGLFLLANLSDVFLFKNGFSGHIPSGFAESSRLSRVDLSMNNLSGEIPGGFGDLQRLEVLHLFSNQLYGEIPPSIGRISSLKNFKVFTNNLSGILPPELGLHSRLEAFEVFGNRFTGNLPENLCAGKALTGITAYENNLTGEIPRSLENCDALRTIQLYSNGFSGEVPAGIWTLKNLTSVMLSDNSFSGQIPSKVAQNFTRLEINNNRFSGEIPAGVSSMKTIIVFQASNNQISGHIPVEFTALSHLSELNLAGNSLSGELPSKILSWSSLTTLNLAGNHLSGEIPAAIASLPDLLDLDLSQNQFSGSIPPELGHVRLTSLNLSSNKLSGNIPDQFDNMAFETSFLNNPNLCSHNLKLPGCQAQTRGSNRLSPRILALILVLAVTVFLVTIVVTLYMFRDYRNKKHILDIATWKVTSFQKLDFSEENILSSLTETNMIGSGGSGKVYKISTGKLGEYVAVKRIWNDRKLDHTLEREFLAEVEILGSIRHSNIVKLLCCVSSDGSKLLVYEYMEYESLDRWLHPKKRGSFQGIGLDWPKRLQIAIGAAHGLCYMHHDCSPPIVHRDVKSSNILLDSEFNPKIADFGLAKILAKKGELNTMSAVAGSFGYLAPGLLVSFLDTFSIFDLKKMMIL >itb15g21500.t1 pep chromosome:ASM357664v1:15:24184580:24188332:-1 gene:itb15g21500 transcript:itb15g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTNSPLCFSTFPSYIFLFLFCLMPFAVISQSPVTDRDILLEVKRRWGNPASLEGWKATSSPCSWPEIECSGDGSVTGIHLKDYNIVSKIPANICGLKNLTVLDLSWNYIPNKFPTGLYNCSKLEHLDLSQNYFVGPIPSDIDRLRNLRHLDLGGNNFTGDVPPTIGNMTELRLLYLYSNLFDGTFPFEISKLSNLESLGMSFNNFLPAKIPPEFGNLKKLKVIWWRAANLIGEIPRGFENLLSLEDLDLAYNSLEGEIPRGLFLLANLSDVFLFKNGFSGHIPSGFAESSRLSRVDLSMNNLSGEIPGGFGDLQRLEVLHLFSNQLYGEIPPSIGRISSLKNFKVFTNNLSGILPPELGLHSRLEAFEVFGNRFTGNLPENLCAGKALTGITAYENNLTGEIPRSLENCDALRTIQLYSNGFSGEVPAGIWTLKNLTSVMLSDNSFSGQIPSKVAQNFTRLEINNNRFSGEIPAGVSSMKTIIVFQASNNQISGHIPVEFTALSHLSELNLAGNSLSGELPSKILSWSSLTTLNLAGNHLSGEIPAAIASLPDLLDLDLSQNQFSGSIPPELGHVRLTSLNLSSNKLSGNIPDQFDNMAFETSFLNNPNLCSHNLKLPGCQAQTRGSNRLSPRILALILVLAVTVFLVTIVVTLYMFRDYRNKKHILDIATWKVTSFQKLDFSEENILSSLTETNMIGSGGSGKVYKISTGKLGEYVAVKRIWNDRKLDHTLEREFLAEVEILGSIRHSNIVKLLCCVSSDGSKLLVYEYMEYESLDRWLHPKKRGSFQGIGLDWPKRLQIAIGAAHGLCYMHHDCSPPIVHRDVKSSNILLDSEFNPKIADFGLAKILAKKGELNTMSAVAGSFGYLAPEYAYTTRVNEKIDIYSFGVVLLELVTGREPNFGEEHTSLAEWAWKNYAEANSIDEMLDGEIKKPGFLDEMKTVFTLGLICTSTSPSSRPSMKEVVQILQSCRPLDKFERKMSGKNCDVAPLLGNGDKYLASYKRSSRKIGDESDDDNLMLSV >itb11g19520.t1 pep chromosome:ASM357664v1:11:20306435:20306953:1 gene:itb11g19520 transcript:itb11g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDAYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYITAGGEKGNPGSQTINNWFKIVKTTNAYKLMYCPDVCYYCSYYCRDVGISVEAGQRRLVLSDTPLEINFRKA >itb06g11520.t1 pep chromosome:ASM357664v1:6:16079611:16096084:1 gene:itb06g11520 transcript:itb06g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVITAVEVGAGEGYPEVGSDIEDRRVGDDGVGVSLLNLVGGDEAGVDNVDNEGMRVGGAGLVVDEGGFGDPVDAGTGHVNSREVVISAVEAGVGEGNLEVGSSIEDRRVGDDGVGVSLLNLVGGDEAGIDSVGNVGMRVGGAGLVVDEGGFEDPVDAGTCDRDLEEEAGMMWFSMEVVICAVEVGAREGNPEVGSVIEDRRVGVDGVGISYLNLFGEDEVGVDGVGNEGIRVEGAGLVVDEGGFEDPVDAGTGYVNSREVVICAVEVGAREGNPEVGSVIEDRRVGVDGVGISYLNLFGEDEVGVDGVGNEGIRVEGAGLVVDEGGFEDPVDAGTGRLLSVPLKQVQDRGIQRLVPSLRTEGSESTASGVSHLNLFGEDEIGVDGVGNEGGQSSAPLKEILDLVGRSGFENPVDARICERDLEEEASGEDVVLDVRVANVGVGAWFNGGFGDSEKDNGEKEHYPEAVRQIKAEVIGLAEEELRPQGRKVSSSSIPESVTKGGSIIHLLNCDGILLGWKCSRFNECHSLATWGVPNSIHLQRGLKGLSYLHSIFKVHIDIKGGNILLSGQGEVKLGECLYAFSVSPKFQIVLELLLLSNRFL >itb04g26060.t1 pep chromosome:ASM357664v1:4:30479301:30481052:-1 gene:itb04g26060 transcript:itb04g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGMASAASEFLLTAKVVASNTTTPRAINMAMFPSKNTTTPARRLVVRAADEASAAPPPAAADGEAPPAKAAKPPPIGPKRGAKVRILRKESYWFKGVGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEVEEVK >itb04g00320.t1 pep chromosome:ASM357664v1:4:217324:218345:-1 gene:itb04g00320 transcript:itb04g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRWTPLPLPFHHSNYSLRDWDLWEEIRVYGIVPEQCPSIFFSQLTGDKNGQSSMKFLEDLLGKIAVVHIEDVCDAHIFAMKAPNGSMSGRFLCANAFVSAAEIANYLRHNYPQFPVNLQDQDCMRNGPDEQQVMLNYEKLLNKGFTYKKSLKDVVDDSISCGMRFGHL >itb04g00320.t2 pep chromosome:ASM357664v1:4:217324:218345:-1 gene:itb04g00320 transcript:itb04g00320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRWTPLPLPFHHSNYSLRDWDLWEEIRVYGIVPEQCPSIFFSQLTGDKNGQSSMKFLEDLLGKIAVVHIEDVCDAHIFAMKAPNGSMSGRFLCANAFVSAAEIANYLRHNYPQFPVNLQDQDCSCMRNGPDEQQVMLNYEKLLNKGFTYKKSLKDVVDDSISCGMRFGHL >itb04g31840.t1 pep chromosome:ASM357664v1:4:34529102:34530065:-1 gene:itb04g31840 transcript:itb04g31840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDCAHHHRERRKLYHRLFLILSSSIILILFLVFLIWLILRPTKPRFILQDATVYNFNAAAAAGGAATGLNLLTTNIQVTLASRNPNERIGIYYDRLDVYATYRGQQITLPTLLPVSYQGHKDVTIWSPFLNGNAVPVAPYLGVEISQDQNAGRVLINIRVDGRIRWKVGTFISGRYRLDANCPAYLSFGGENYSPNSILVGSTAKYQLVQNCHVDV >itb07g13730.t1 pep chromosome:ASM357664v1:7:16069939:16074511:-1 gene:itb07g13730 transcript:itb07g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFLLVLVLVSSPGQANWEDNSMAKRRAEKMIRQLNLFPLHDLNRGSGHSPAEADSPRLVEKKLKLKLLGDSGATVEDLGHHAGYYRLPDTVDARMFYFFFESRSNKSDPVVIWLTGGPGCSGSLALFYENGPFYIADNQSLIWNDYGWDKVSNLIYVDQPIGTGFSYSSSKDDIGHDENGISNDLYAFLQEFFKAHPEYAKNEFFVTGESYAGHYIPALGTRINQGNKKKEGIYINLKGLAIGNGLTNPEIQYPAYPDYALDNNLISKSYHDELIQLIPDCQKAVRMCNSNGGSACGDVVDNSCQPLFDRIMEKHEHLNYYDIRKKCLGGSLCYDLSNAETFLNTQSVKDALGVGDIDWVSCSPTVSLAMAYDWFKNLAVGIPSLLEDGINLLFYVGEYDLICNWLGNLRWVRALQWSGHKGFRAAPNVKFLVDGKEKGVQKNYGPLTFLKVHNAGHLVPMDQPKASLEMIRRWMKGQLTKL >itb13g21120.t1 pep chromosome:ASM357664v1:13:27774061:27775423:-1 gene:itb13g21120 transcript:itb13g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNSWYIWSNFSAETLWHGGWLLLQLLPHSDANFNSNHHELLDVDIITIDFSEKLMFRLKQPMVKAAIQIIGDIFKGFRTKASGCCS >itb01g35450.t2 pep chromosome:ASM357664v1:1:37646910:37653066:-1 gene:itb01g35450 transcript:itb01g35450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFKVDELRAELAKRGLDTTGTKPTLVRRLEEAIQEVSNRQSTSSNGNNRKRQRDGSENEDTEKNKVKVTEELRSMTVKQLREEASRRGISTSGSKKELVERLSSFSDDGSDNGKLPDVVEVEEAKEEKLVTLTKKGASVLDQWLPDDIKANYHLLQCGDEIYDAMLNQTNVGQNNNKFYVIQALEFDGGGKFLVYNRWGRVGAKGGTQVFGPYTSQHDAIREFESKFHGKTKNHWSNRKDFVCYPGKYTWLEMDYSQNGEDSSVSGEPNSLMKNQPRETKLEGRIAKFISLICNVSMMKQQMMEIGYNADKLPLGKLSKSTISKGYDVLKRIADVINQSDRKILENLSSEFYTVIPHDFGYKKMREFTIDTPQKLKRKLEMVESLGLIELATKLLEDPTELQDDPLYYHYQQLHCQMTPVDVGTKEYLMVEKYMKNTHAKTHSSYNVDIVHIFRVARDGEEERFQKFSNTRNRMLLWHGSRLSNWIGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASPTAGDGVLLLCEVALGEMNELLTANYNADKLPKGKLRFHSSHLQLTLESDSNTPQNSLPFFISTQKNYMIKQFIPIMFF >itb01g35450.t1 pep chromosome:ASM357664v1:1:37645965:37653066:-1 gene:itb01g35450 transcript:itb01g35450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFKVDELRAELAKRGLDTTGTKPTLVRRLEEAIQEVSNRQSTSSNGNNRKRQRDGSENEDTEKNKVKVTEELRSMTVKQLREEASRRGISTSGSKKELVERLSSFSDDGSDNGKLPDVVEVEEAKEEKLVTLTKKGASVLDQWLPDDIKANYHLLQCGDEIYDAMLNQTNVGQNNNKFYVIQALEFDGGGKFLVYNRWGRVGAKGGTQVFGPYTSQHDAIREFESKFHGKTKNHWSNRKDFVCYPGKYTWLEMDYSQNGEDSSVSGEPNSLMKNQPRETKLEGRIAKFISLICNVSMMKQQMMEIGYNADKLPLGKLSKSTISKGYDVLKRIADVINQSDRKILENLSSEFYTVIPHDFGYKKMREFTIDTPQKLKRKLEMVESLGLIELATKLLEDPTELQDDPLYYHYQQLHCQMTPVDVGTKEYLMVEKYMKNTHAKTHSSYNVDIVHIFRVARDGEEERFQKFSNTRNRMLLWHGSRLSNWIGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASPTAGDGVLLLCEVALGEMNELLTANYNADKLPKGKLSTKGVGATAPDFSKACTLEDGVVVPCGTPKEQPGIKGSLLYNEYIVYNVEQIRTRYVVQVKFNFGRHQS >itb10g19480.t1 pep chromosome:ASM357664v1:10:25321194:25323068:1 gene:itb10g19480 transcript:itb10g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFNSKSILAILFLLGTCAYEVTSRTLALEEASLVQRHERWMARHARSYKDDVEKANRFKIFKQNLEFIESFNKAGNRSYKLGLNKFSDMSHEEFKATMLIDANKFVRPTTKFPKGNSFGNNESLVDAPNSVNWIERGAVTAIRNQHRCGACWAFSTVAAVEGITQIKTGRLVPLSEQQLVDCDTTNKGCDGGWPTKAFQYVQEANGLMSESDYPYKGYQQATCATTGGYAAATITGFEQVEQGEDALLQAVSNQPVSIIISLDGYELQHYGSGVFANDCGSGSLHAITVVGYDATMEGDKYWLVKNSWGTTWGENGYIKMARDMVEGGLCGLAKMASYPTID >itb13g21340.t1 pep chromosome:ASM357664v1:13:27964297:27964719:-1 gene:itb13g21340 transcript:itb13g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAKTASNIPVIDLCGERSEVSSLIVNASEEFGFFKVINHGVDEDVMKRMEDQSFQFFRKPGFEKQKLGGPANPYGYGCKNIGFNGDVGEVEYLILHANNLLSLAQTSNLPLNLRYYMFFLRLYYLIQLKIITTRQAGL >itb11g02490.t1 pep chromosome:ASM357664v1:11:1244456:1258026:-1 gene:itb11g02490 transcript:itb11g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYTSQDSSERAHVENTLKCFSANIDYISQCQFILDNALTPYALMLASSSLLKQVSAHSLSLQLRLDLWNYLINYLASRGPKLQPFVIGSLIQLLCRITKFGWFDDDRFRELATESIKFMTQATSDHYAIGLKILNQLVCEMNQPNSGLPSTHHRKVACSFRDQSLLQVFRISITSLHQLKNDANSRLQELALSLSLKCLSFDFIGTSIDESSDEFGTIQVSSSWKSILEESSTLQIFFDYYALAKAPLSKEALECLVRLASIRRSVFTNDAARSKFLAHLMTGTKDILQTGIGLSDHDNYHEFCRLLGRFRINYQLSELVNVEGYGEWIRLVSSVPYLKGDAPSLLDEFVPKITEGFITSRFDSVEASLPDDLSENLLDNTELLQDQLDCFPQLCRFQYDRCSLFIINIMEPILQAYTERAQLQNGSSNFELSVIEDKLAWVVHIIAAVLKIRQCVGCSTDAQEVIDAELSARVIRLVNVTDSGLHSQRYGEASKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYVRLSELLGLHDHLLLLDFFVRKIATNLRCYTESEELIDHTLNLFLELASGYMTQKLLLKLDTVKFIIAHHTKEHFPFLENHVCSRSRTTFYYAIGWLIFVEDSSAKFKLSMDPLRQVFLTLESVPDTMFRTDTVKHALIGLMRDVRGIAMATHNRRTFGLLFDWIYPAHMPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRILSIPNPTDIYAYKYKGIWISLTILSRALGGNYVNFGVFELYGDRALADVLDITLKMTLSIPLADIFAYRKLTRAYFTFLEVLFNSHIVFVLNLDTKTFMFMVGSLESGLKALEAGISTQCASAIDNLASFYFNNITIGEAPTSPAAVCLARHIAESPNLFPQILKTLFEIVLFEDNGNQWNLSRPMLGLILISQQIYSDLKAQILASQPIGQHQRLSLSFDKLMTDVTNSLDSKNRDKFTQNLTTFRQEFRVK >itb11g02490.t3 pep chromosome:ASM357664v1:11:1244456:1258026:-1 gene:itb11g02490 transcript:itb11g02490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYTSQDSSERAHVENTLKCFSANIDYISQCQFILDNALTPYALMLASSSLLKQVSAHSLSLQLRLDLWNYLINYLASRGPKLQPFVIGSLIQLLCRITKFGWFDDDRFRELATESIKFMTQATSDHYAIGLKILNQLVCEMNQPNSGLPSTHHRKVACSFRDQSLLQVFRISITSLHQLKNDANSRLQELALSLSLKCLSFDFIGTSIDESSDEFGTIQVSSSWKSILEESSTLQIFFDYYALAKAPLSKEALECLVRLASIRRSVFTNDAARSKFLAHLMTGTKDILQTGIGLSDHDNYHEFCRLLGRFRINYQLSELVNVEGYGEWIRLVSSVPYLKGDAPSLLDEFVPKITEGFITSRFDSVEASLPDDLSENLLDNTELLQDQLDCFPQLCRFQYDRCSLFIINIMEPILQAYTERAQLQNGSSNFELSVIEDKLAWVVHIIAAVLKIRQCVGCSSTDAQEVIDAELSARVIRLVNVTDSGLHSQRYGEASKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYVRLSELLGLHDHLLLLDFFVRKIATNLRCYTESEELIDHTLNLFLELASGYMTQKLLLKLDTVKFIIAHHTKEHFPFLENHVCSRSRTTFYYAIGWLIFVEDSSAKFKLSMDPLRQVFLTLESVPDTMFRTDTVKHALIGLMRDVRGIAMATHNRRTFGLLFDWIYPAHMPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRILSIPNPTDIYAYKYKGIWISLTILSRALGGNYVNFGVFELYGDRALADVLDITLKMTLSIPLADIFAYRKLTRAYFTFLEVLFNSHIVFVLNLDTKTFMFMVGSLESGLKALEAGISTQCASAIDNLASFYFNNITIGEAPTSPAAVCLARHIAESPNLFPQILKTLFEIVLFEDNGNQWNLSRPMLGLILISQQIYSDLKAQILASQPIGQHQRLSLSFDKLMTDVTNSLDSKNRDKFTQNLTTFRQEFRVK >itb11g02490.t2 pep chromosome:ASM357664v1:11:1244456:1258026:-1 gene:itb11g02490 transcript:itb11g02490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYTSQDSSERAHVENTLKCFSANIDYISQCQFILDNALTPYALMLASSSLLKQVSAHSLSLQLRLDLWNYLINYLASRGPKLQPFVIGSLIQLLCRITKFGWFDDDRFRELATESIKFMTQATSDHYAIGLKILNQLVCEMNQPNSGLPSTHHRKVACSFRDQSLLQVFRISITSLHQLKNDANSRLQELALSLSLKCLSFDFIGTSIDESSDEFGTIQVSSSWKSILEESSTLQIFFDYYALAKAPLSKEALECLVRLASIRRSVFTNDAARSKFLAHLMTGTKDILQTGIGLSDHDNYHEFCRLLGRFRINYQLSELVNVEGYGEWIRLVSSVPYLKGDAPSLLDEFVPKITEGFITSRFDSVEASLPDDLSENLLDNTELLQDQLDCFPQLCRFQYDRCSLFIINIMEPILQAYTERAQLQNGSSNFELSVIEDKLAWVVHIIAAVLKIRQCVGCSSTDAQEVIDAELSARVIRLVNVTDSGLHSQRYGEASKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYVRLSELLGLHDHLLLLDFFVRKIATNLRCYTESEELIDHTLNLFLELASGYMTQKLLLKLDTVKFIIAHHTKEHFPFLENHVCSRSRTTFYYAIGWLIFVEDSSAKFKLSMDPLRQVFLTLESVPDTMFRTDTVKHALIGLMRDVRGIAMATHNRRTFGLLFDWIYPAHMPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRILSIPNPTDIYAYKYKGIWISLTILSRALGGNYVNFGVFELYGDRALADVLDITLKMTLSIPLADIFAYRKLTRAYFTFLEVLFNSHIVFVLNLDTKTFMFMVGSLESGLKALEAGISTQCASAIDNLASFYFNNITIGEAPTSPAAVCLARHIAESPNLFPQILKTLFEIVLFEDNGNQWNLSRPMLGLILISQQIYSDLKAQILASQPIGQHQRLSLSFDKLMTDVTNSLDSKNRDKFTQNLTTFRQEFRVK >itb09g10120.t1 pep chromosome:ASM357664v1:9:6284444:6285962:1 gene:itb09g10120 transcript:itb09g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKITELPPPKMGPGRPEGSSKERLKWTQELHNLFEKAVNQLGGPERATPKGILKVMSIPGLTIYHVKSHLQKYRMSKFVPEDPIRGKFEMRSISEILPNFSATSGAQLNEALQIQMNVYKRLSDQHEVQRSLKMKMEAQGRFLERIMEEHRMKATCSSSSSKPSCKSYSPMSLPSLCEESESNVKDIESDSEVVDKNEIRSNELFPQQKRIRFDEEVMSQTYSFASPSGSSLINNLQLPFSGMEMNNIQWSLMSYQSPMLPAAMYDSLI >itb12g03320.t4 pep chromosome:ASM357664v1:12:2165975:2168226:1 gene:itb12g03320 transcript:itb12g03320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYFASKILAEKEAWKVTKEKKIDFISIIPPLVVGPFITPTFPPSLITALSLITGNQAHYSIIKQGQYVHLDDLCEAHIFLYEHPKAEGRFICSSHHTTIHGLAEMIRQNWPEYYIPSQFKGIQKDLPVVYFSSKKLQDMGFQFKYSLEDMYRGAIETLRKKGLLPYSTKEAAAIHEEEQETVPLKVQEPTKQEATTVPLKPAAIEQKQETVPLKLEEPTAIEQKQKVVPLKA >itb12g03320.t2 pep chromosome:ASM357664v1:12:2164713:2166990:1 gene:itb12g03320 transcript:itb12g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDANHPKVVCVTGAAGFIGSWLVMTLLQRGYHVHATVRDPGNTKKVKHLLELPKADTNLTVWKGVMEEEGSFDEAIAGCEGVFHVATPMDFDSKDPENEVIKPTINGVLNIINSCVKAKTVKRLVFTSSAGTLNVQPQQKPMYDESCWSDLDFIYVKKMTGWMYFASKILAEKEAWKVTKEKKIDFISIIPPLVVGPFITPTFPPSLITALSLITGNQAHYSIIKQGQYVHLDDLCEAHIFLYEHPKAEGRFICSSHHTTIHGLAEMIRQNWPEYYIPSQ >itb12g03320.t1 pep chromosome:ASM357664v1:12:2164627:2168382:1 gene:itb12g03320 transcript:itb12g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDANHPKVVCVTGAAGFIGSWLVMTLLQRGYHVHATVRDPGNTKKVKHLLELPKADTNLTVWKGVMEEEGSFDEAIAGCEGVFHVATPMDFDSKDPENEVIKPTINGVLNIINSCVKAKTVKRLVFTSSAGTLNVQPQQKPMYDESCWSDLDFIYVKKMTGWMYFASKILAEKEAWKVTKEKKIDFISIIPPLVVGPFITPTFPPSLITALSLITGNQAHYSIIKQGQYVHLDDLCEAHIFLYEHPKAEGRFICSSHHTTIHGLAEMIRQNWPEYYIPSQFKGIQKDLPVVYFSSKKLQDMGFQFKYSLEDMYRGAIETLRKKGLLPYSTKEAAAIHEEEQETVPLKVQEPTKQEATTVPLKPAAIEQKQETVPLKLEEPTAIEQKQKVVPLKA >itb12g03320.t3 pep chromosome:ASM357664v1:12:2165532:2168226:1 gene:itb12g03320 transcript:itb12g03320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAWKVTKEKKIDFISIIPPLVVGPFITPTFPPSLITALSLITGNQAHYSIIKQGQYVHLDDLCEAHIFLYEHPKAEGRFICSSHHTTIHGLAEMIRQNWPEYYIPSQFKGIQKDLPVVYFSSKKLQDMGFQFKYSLEDMYRGAIETLRKKGLLPYSTKEAAAIHEEEQETVPLKVQEPTKQEATTVPLKPAAIEQKQETVPLKLEEPTAIEQKQKVVPLKA >itb12g03320.t5 pep chromosome:ASM357664v1:12:2164627:2168382:1 gene:itb12g03320 transcript:itb12g03320.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLWSIQYYVDHGSHNNLPSLRAKIGDGVSSVAMWVTSQKLCAAGAWGTWGNTKKVKHLLELPKADTNLTVWKGVMEEEGSFDEAIAGCEGVFHVATPMDFDSKDPENEVIKPTINGVLNIINSCVKAKTVKRLVFTSSAGTLNVQPQQKPMYDESCWSDLDFIYVKKMTGWMYFASKILAEKEAWKVTKEKKIDFISIIPPLVVGPFITPTFPPSLITALSLITGNQAHYSIIKQGQYVHLDDLCEAHIFLYEHPKAEGRFICSSHHTTIHGLAEMIRQNWPEYYIPSQFKGIQKDLPVVYFSSKKLQDMGFQFKYSLEDMYRGAIETLRKKGLLPYSTKEAAAIHEEEQETVPLKVQEPTKQEATTVPLKPAAIEQKQETVPLKLEEPTAIEQKQKVVPLKA >itb03g19550.t2 pep chromosome:ASM357664v1:3:17606096:17610101:1 gene:itb03g19550 transcript:itb03g19550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKDQATPHLSSLVVRPTDSGGGAAAGGGGGTDYETGEVHRDLPPYSRSNRLPDGHAVYVAWCKNLSLEEGTLVMRAGSVSPVRHRNTDRQFSPDFVHPGGPPRGHGFGNGRDPGRYRDYSPPYGRGRESNRFVGRSYDRPGNAPGSFKGENVARSNPNVRPREGDWICHLCNNLNFARREYCNNCKSLRYASSGSPTPRRGYAPPPLRRVPGPPMDRSPGRFINGHRSPPRAWARDDPRDFRAGFPHPRYEGRFPDPPLRRDMTDFVDEDYRGRGRFDRPALDWGHNRDRGRETFLPERRGYERRLPSPPPPLPAMPTRGDQWARDIRKRSRSPIRGEATAKDYRRNMFAGRGRR >itb03g19550.t1 pep chromosome:ASM357664v1:3:17606000:17610416:1 gene:itb03g19550 transcript:itb03g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKDQATPHLSSLVVRPTDSGGGAAAGGGGGTDYETGEVHRDLPPYSRSNRLPDGHGYRMRAGSVSPVRHRNTDRQFSPDFVHPGGPPRGHGFGNGRDPGRYRDYSPPYGRGRESNRFVGRSYDRPGNAPGSFKGENVARSNPNVRPREGDWICHLCNNLNFARREYCNNCKSLRYASSGSPTPRRGYAPPPLRRVPGPPMDRSPGRFINGHRSPPRAWARDDPRDFRAGFPHPRYEGRFPDPPLRRDMTDFVDEDYRGRGRFDRPALDWGHNRDRGRETFLPERRGYERRLPSPPPPLPAMPTRGDQWARDIRKRSRSPIRGEATAKDYRRNMFAGRGRR >itb09g05140.t1 pep chromosome:ASM357664v1:9:2910614:2912953:-1 gene:itb09g05140 transcript:itb09g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPIINLEKLNTEERPATMEQIKDACENWGFFELVDHGISIELMDTVEKMTKDHYKKCMEQRFKEMVAAKGLDAVQTEIDDLDWESTFFLKHLPVSNISEIPDLEDDYRKVMKEFAQELEKLAEKLLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQWVDVPPMRHSIVVNIGDQLEVITNGKYKSVLHRVIAQTDGNRMSLASFYNPGSDAVIFPAPALVEKEAEENKQKYPKFVFEDYMKLYAGLKFQAKEPRFEAMKTMESTVNSSPIATV >itb09g12500.t1 pep chromosome:ASM357664v1:9:7910583:7914190:1 gene:itb09g12500 transcript:itb09g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCQNLLRRASSGLPLRPHSSSSSSSNNKSTFRHIIIGSNAAASSPSVSIWRRKKEMGKEGLMVAKELKRLQSDPIRLERFMKSNVSRLLKSDLLAVLAEFQRQDLIFLSMKMYEVVRKEIWYRPDMFFYRDMLLMLAKNKRVDEAKKVWEDLKSEGVLFDQHTFGDLVREFLDSGLSDEAMHIYDEMRSSTDPPLSLPYRVILKGLLPYPDLREKVKGDFLELFPDMIIYDPPEDLFDDEEWRKESEEEIIR >itb13g15210.t1 pep chromosome:ASM357664v1:13:21949976:21953232:-1 gene:itb13g15210 transcript:itb13g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIMVMLLTLASIFLLKKCFCFGSKKLGLPPGTLGWPYIGETFQLYSQNPNVFFASKVKKYGSIFKTHILGCHCVMISSPEAAKVVLVSKAHLFKPTFPASKERMLGKQAIFFHQGDYHLKLRKLVLRAFMPEAIRNTVQDIDSIAKHTVQSWEGRLINTFQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYTLEKGYNSMPVNIPGTLFHKAMKARKELAQILGEILSIRRGTMKNDSPNDLVGSFMGDNGGLSDEQIADNIIGVIFAARDTTASVLTWILKYLAENPSVLQAVTEEQEAILKGKEGQVLCWEDTKKMPLTSRVIQETLRVASILSFTFREAVQDVEFEGYLIPKGWKVLPLFRNIHHSPENFPEPEKFDPSRFEEAPKPNTFMPFGSGIHACPGNEWSMVGPQNGIQYGPFALPQNGLPIRLSLKETP >itb04g27610.t1 pep chromosome:ASM357664v1:4:31598982:31602514:1 gene:itb04g27610 transcript:itb04g27610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFIVSGRRALSARASPLPHRPFATAAQPDESLASLLPKMPPFDYSPPPYTGPSAAEVLQKRQRYLSPAIFHFFKNPLNLVDGKMQYLFDENGRRYLDAFGGIATVCCGHCHPEVVEAIVNQTKRLQHSTVLYLNHTVADFAEALASKMPGDLKVVYFTNSGTEANELALLMARLYTGCHDVISIRNGYHGNAAATMNATAQSNYKFNVVQAGIHHSLNPDQYRGLFGSDGPKYARDVDEIITYGTTGCVAAFIAEAIQGVGGIMELAPGYLPAVYSSIRRAGGLCIADEVQSGFGRTGSHFWGFEAHGVVPDIVTMAKGIGNGIPLGAVVTTPDIAKVLTNRTYFNTFGGNPVCTAGGLAVLKVLEKEKLQQNALTVGSYLKERLVSLMEKHEIIGDVRGRGLMLGVELVTDRKAKTPAKVEIAHLMEQMKEMGVLIGKGGFFGNVFRITPPLCFTKDDADFLVDVMDYNMSKL >itb03g27050.t1 pep chromosome:ASM357664v1:3:26697570:26703667:-1 gene:itb03g27050 transcript:itb03g27050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKEAALRLRAAAEAAIQSIGLGYDMVDDLRLKYCKRNSSMDKDSRLIVIDDDQVRDIAIPGGILVQNVPKSINCDKGERMRFSSDVLPFQQMSEQFNQELGLSGKIPTGHFNAAFEFTGCWQKDAAYTKALAFDGVFISLYSIALEKSQVALCDHVKQAVPSSWEPAALANFIEKYGTHVIVGVKMGGKDVIYLKQQYSSPLQPADVQKRLKDVADKRFSEATGQSNSYSGKDYNDQMVENNEQGLAFMDSNSSTVHFHKEDITFLWRRRGGNGSRTTPHIKWCKTVPLEPDVISMSFIPISSLLNGIDGSGFLSHAINLYLRYKPPIEELYQFLEFQLPRQWAPVFGELPLGPDRKQHSGASLQFSLMGPKLYVNTNPVDVGDKPVTGLRLYLEGKRSNCLAIHLQHLSSLPKSFQLQDELHGDSSNSYDRRYYEKVQWKSFSHVCTAPVESEDDYSIATGAHFEVSESGMKNVLFLRLHFSKVIGATIVKKPEWDGSPALSQKSGIISTISSRFSSAEKPPPRPSDVHINSALYPEGPPAPAQTRKLLRFVDTTEMTRGPQDQPGYWVVSGARLVVDKGKVSVRVKYSLLAVIPTDEEASFQE >itb10g06920.t1 pep chromosome:ASM357664v1:10:7861321:7861866:1 gene:itb10g06920 transcript:itb10g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEIQSNYTYMGRSFSDLNINDDSSAFSDCNSDRSGEFPTASSQSRRLLLACATDNSDELIPQLVFDLDSSSIDAIKQAAMELRLLAKNMPENRIKNARAGAIKPLISLISSTDPQLIEYGVTAILNLSLCDENKQLIAASGAIKRLVQALKVGTPVAKENAACALLRLSQIEENKVAIG >itb09g04330.t1 pep chromosome:ASM357664v1:9:2413920:2419747:1 gene:itb09g04330 transcript:itb09g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGSSTNLSFLSPSSTLARSVLPGVTAFHAHRRSSFLSPSSHSFRLQNNAFRPEIWTRNFSTAALPFDLSPPPIDHDLIDTMKVAGAKVLEDDIIETYGNDDEALSAAENGVAVVDLSHYGRIRVSGEDRVQFLHNQSTANFEILHEGQGCDTVFVTPTARTIDIAHAWIMKNAITLVTSPETSERITSMLRKYIFFSDKVEIQDISKQTCFFVLVGPRSNQIMEGLNLGDLVGQPYGSHKHYSVNGNPVTIAVGNIISEEGFSLLVSPATAKSVWKAIVDYGAVPMGSNAWEELRIIQGRPAPGKELTDEFNVLEANLWNAVSLNKGCYKGQETISRLVTYDGIKQRLWGIRLSSSVEPGSSISVDGKKVGKLTSVTSSGDTSKPFGLGYIRKKAASKGDTVIIGDGVVGTVVEVPFLGRQLPPSSKS >itb14g00890.t1 pep chromosome:ASM357664v1:14:655163:659627:1 gene:itb14g00890 transcript:itb14g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIEEPFRHNFQADSLHSGSISFGRFKNEDLCWERRSSFSHNRYLEEVEKYSKPGSVIEKKAILEAHFKRRALLSQSSCESRNSGAECQTSGNDLSENNVHNEQDDENVNSAGQSAHFSVTESTGYEGDFEHFNDCSYSAQSRDGSVYDGEHELTEFEREDCGFLYSGPLSKPAVDYSGTADIVSDHFQEAEGCSRVTGIVNPESEIHAEENFGGEASSENVTSSTAAPIPTSPKIEIDGSTSSEPRPKFSPKARSASQIKQSKSRSSLVNTANKVTAIVSKEATKDPVKDITRKPRRLSGISSSAREKPVLQSVSAPAYCSVSRTPKHEVSSGSKSRVHKESKSAEKEMRTKEKVVHKVHQGVDRHKRAVASATPSVKQNGSAFSFKSEERAERRKEFSMKLEEKMNAKEAEMHQLQTRKKEKSEAEIRRLRRSLNFKATPMPSFYNEAGQQSDRNKNMANRSKSSKPQRKQSSSSTVGAENDTSSSGATNNGSHSTRKHLDFGGASQQASSTIKHRKTISSDGSVSSPLPTPVVKNEQETRNSRKPKTPEANKVNKSPPKVEGKPKIISSARRTSNNVIKKDIKGIHLGSNSRMDCFAVGVAS >itb09g16490.t1 pep chromosome:ASM357664v1:9:11676446:11679225:-1 gene:itb09g16490 transcript:itb09g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGRCIYDAVSSNSAILLFVGVVTIVIIRVLFVMYRSTKPLRPESPRPLCTLIVLGSGGHTAEMMNLLFALEEDRFKPRFYIAATTDNMSLQKARVFEDSLVQKAGTEVVGTAQFMQIYRSREVGQSYITSVATTLIAIAHGLWLMIKIRPEVILCNGPGTCIPICVIAFFLKVLGIRWSSIFYVESIARVKRLSLSGLLLYKLHMIDQLFVQWPQLKSKYPRVHYVGRLM >itb09g16490.t2 pep chromosome:ASM357664v1:9:11676446:11679225:-1 gene:itb09g16490 transcript:itb09g16490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGRCIYDAVSSNSAILLFVGVVTIVIIRVLFVMYRSTKPLRPESPRPLCTLIVLGSGGHTAEMMNLLFALEEDRFKPRFYIAATTDNMSLQKARVFEDSLVQKAGTEVVGTAQFMQIYRSREVGQSYITSVATTLIAIAHGLWLMIKIRPEVILCNGPGTCIPICVIAFFLKVLGIRWSSIFYVESIARVKRLSLSGLLLYKLHMIDQLFVQWPQLKSKYPRVHYVGRLM >itb14g05920.t1 pep chromosome:ASM357664v1:14:5170775:5172687:-1 gene:itb14g05920 transcript:itb14g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISSSNNSSVPRGGAGAGGGGVFFFSVFVPEVQMLELALAVTVFVTIHALRQGKKHGLAYWPVVGMLPSLILGLQKDMYEWISRVLCRMNGTFTFRGPWFTNLNCVVTSDPRNLEYLLKTRFSNFPKGEYFRSNVQDLLGDGIFSADNEMWQSQRKTASLEFHSAKFRNLTTNSLLELVHSRLLPVMEDSINQSTPLDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFAQAFEAATEATITRFVVPTFIWRSMKYFCLGTERALKQSLKKVDEFAEEVIRTRKKELALASDGTKQRSDLLTVFMGLRDEDGRPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLNRKPEVEERILGEICGILNSREDGADTEKNQETLVFKPEEIKKMEYLQAALSEALRLYPSVPVDHKEVLEDDVFPDGTVLKKGTKVIYAIYAMGRMEALWGKDCREFKPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILYRYHVKLVENHPVSPKMALTLYMKHGLKVTLSRRDLNNNE >itb14g02210.t1 pep chromosome:ASM357664v1:14:1800336:1802883:1 gene:itb14g02210 transcript:itb14g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP6 [Source:Projected from Arabidopsis thaliana (AT1G13290) UniProtKB/Swiss-Prot;Acc:Q9FX68] MQAHPSNFTHHIMPSNHEELDDASTVLSLGPPGQHHPQNPQNPMMKTRFHDVLFSSSSSSSFSSTYNTLKDHQSLQNYGGGGRGGVTVAFHMGPPGASSSSTSFNPSGGDMAAAGLTLGQGHQYWIPTPAQILVGPTQFSCTVCHKTFNRFNNMQMHMWGHGSQYRKGPESLKGTKPSSSSSSLSSSSMLRLLPCFCCAEGCKNNIRHAKAKPLKDFRTLQTHYKRKHGAKQFACRKCAKAFAVRGDWKTHEKNCGRLWFCVCGSDFKHKRSLKDHVRAFGEGHAAHSESALLCGYGEDEEDDCEESDVAIDEEEE >itb12g16640.t1 pep chromosome:ASM357664v1:12:18058160:18060772:1 gene:itb12g16640 transcript:itb12g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTNEQYSKYHFAPPLNPKAPNVPSTSIPKNRDFLIHLEAYLAKRDSVDKLLKISRYATKFILASSVLPSNLPLTDRLKSFESSVGVSRKAFRLGKFLQDINAFRGLSFTSPAHFFLALVAYGGEGLYYFVEQFVWLGKAGLIDKKHLSQLQRISAWCELIGYIGSVSLKVKELQRISEDEAGVVSNIETAAVGGLARLADGEKLRKLREKKLLKRLSIAQDFADALMAVADIRDGKGLLSGKLLLSSAGLLSALISTHKNWVSC >itb15g08220.t2 pep chromosome:ASM357664v1:15:5750954:5754263:1 gene:itb15g08220 transcript:itb15g08220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAFSCQEQREGTLEFQPQLKHFIPLNCHTTTPRSKNTSHFSALRLRLPKSLKNLYHKAKVNNGFGSKRHQFDGIVVSNSAYCSSPCFLDEKMETCAEKLEEKVDFVVGYGNEEEEGRESCSGSEYLVSEATLNEEHSSTDSCSPPSMIWHIQENEDSHVNEEVEKPNLDKRKFQKQGSSLSEVEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLPPEKKLMWRREMEWLLCISDHIVELIPSWQTFADGTKLEVMTSRPRSDLYVNLPALRKLDNMLLPLCLKEILDTFENTEFWYVDQGILAPEADGSSSFRNPLPRQEEKWWLPVPRVPTSGLSEKARKQLQHRRDCTNQILKAAMAINSNALAEMDIPESYLEALPKNGKASLGDLIHRYITSDQFSPECLLGCIDLSSEHQALEIANRVEASIYIWRRKTHSKPLPNTHRSNSKTSWEMVKDLVIDADKREVLADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLVYVDDLTKHSDQFVPISKVGIIAHKSAGTPLSVPVSGTPYKTAFSTPSFSPSQRFSPAKGERERSHFLDTTKLPHRGFGVKKILTDYLSIDSKGKDFSNNMRRSDSLSSTSHEISAPESVRSSECSREVISPFTLGSTGED >itb15g08220.t1 pep chromosome:ASM357664v1:15:5750954:5754263:1 gene:itb15g08220 transcript:itb15g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAFSCQEQREGTLEFQPQLKHFIPLNCHTTTPRSKNTSHFSALRLRLPKSLKNLYHKAKVNNGFGSKRHQFDGIVVSNSAYCSSPCFLDEKMETCAEKLEEKVDFVVGYGNEEEEGRESCSGSEYLVSEATLNEEHSSTDSCSPPSMIWHIQENEDSHVNEEVEKPNLDKRKFQKQGSSLSEVEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLPPEKKLMWRREMEWLLCISDHIVELIPSWQTFADGTKLEVMTSRPRSDLYVNLPALRKLDNMLLEILDTFENTEFWYVDQGILAPEADGSSSFRNPLPRQEEKWWLPVPRVPTSGLSEKARKQLQHRRDCTNQILKAAMAINSNALAEMDIPESYLEALPKNGKASLGDLIHRYITSDQFSPECLLGCIDLSSEHQALEIANRVEASIYIWRRKTHSKPLPNTHRSNSKTSWEMVKDLVIDADKREVLADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLVYVDDLTKHSDQFVPISKVGIIAHKSAGTPLSVPVSGTPYKTAFSTPSFSPSQRFSPAKGERERSHFLDTTKLPHRGFGVKKILTDYLSIDSKGKDFSNNMRRSDSLSSTSHEISAPESVRSSECSREVISPFTLGSTGED >itb04g11980.t1 pep chromosome:ASM357664v1:4:11630549:11631685:1 gene:itb04g11980 transcript:itb04g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVVVLLGLSLAVFLLIASEVTAREMAETTPSSVDPKAEKASGVDGYGGGYGHGGHGGGGYGHGGHGGGGGGYHGGCKHGCCGHGYHGGCKCCSYKGQAVDAGYVAKP >itb05g00980.t1 pep chromosome:ASM357664v1:5:822277:826472:1 gene:itb05g00980 transcript:itb05g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFLVLVLWAVLGCFAPTATSKTNYPIAKPHCKDHCGNISIPFPFGLTPGCYLNRDFFINCSTSSIDGSPQPFARTTNIEVNEISVEGQLSVMTLIAQNCHQGVSWASLRLPRFYVNQTANKFVAVGCNTIATVSGSDKGLSYETGCIASCNRLQDVANGACSGIGCCQTTDIPILASNVNFTLRRMVGNRSTEGATGCSYASVVKKDKFEFSSDMLTRKWEVKGLPMVIDWVIFNDTCSNSSSTCQGNTTCVPFEGPDGGYRCACGKGYEGNPYLHPGCLDIDECEKGKNNCSENATCENKPGGYSCHCKEGYEEDGEGGCQLPSKHEKNVNAIVLGVSLGTIMLLITSFCLYLGYRRRKSVQIKEKFFRENGGFILQQRIAQGGVSSGTTRIFTAEELKKATNNYDQDRIIGQGGFGIVYKGHLLDGRIVAVKKAKMMDPTQVEQFINEVIVLSQINHRNIVKLFGCCLETEIPLLVYEFISNGTLSEHLHNKEKASKLPWLTRLKIASEAAEVLSYLHSAASPPIIHRDVKPANILLDSDYTAKVSDFGASRLVLQDQTQLITMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLVELLTGRRAVFFDGPEEERNLSLHFLSSLKENRLFMILDDNIVCEGNNEELQEVALLAKRCLNVNGEDRPTMKEVAVELGGLMRTAKHPWINNNSETSMESHALLIPFEYDANFSITTTTEYDSLKHHMKLPVAAGR >itb04g12490.t1 pep chromosome:ASM357664v1:4:12226722:12235550:-1 gene:itb04g12490 transcript:itb04g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFWLVVLIISLSYPPLSHGAADTICGSKYITKGKTIVSLGGRFELGFFTPGKSSNYYIGIWYQNISPQTVVWVANRNKPIPSIEINSAKLLILEGNLVLTTVTQGVVWSTNINSDVALPQPVIARLNDDGNLVLSYNHTETPLWQSFENPTDTLLPISKFGFNKRTGKGQVYTSWKSSEDPAPGLYTYARDAIVSYMKWNGTEEYWNSGPFMNTTHQFQNLPRDLWTAMLNSTFVNNDNETYYMYTIPDPSFITRHVVDKDGQVKQWAWMNTTKDWLFFYTQPCGNEEDKFKEYPNMLLPRHPQNVTVGSGAGCKSTCLNNCSCTAYAYHDDTHVCSFWVGELFNLKQQDVGGNTIYIRLASSEFSNVKDKNSKRLSGKVKVIVPSAVVAATLVCSLVFVCYFKTKRAKLQNAESGMGDGTGAIDLMIDVFSFGVVMLEIITGKNNSAFYEHEEVSNLLGYVWKLWTKGNTMDLVDESVLQSCNEDEVLKCINVGLLCVEEDPNNRPNMSNVLLMLSNENTALSKPNQPAFVARTHTSVNSNTVEIDKSCSNELTISDQEGR >itb14g16000.t2 pep chromosome:ASM357664v1:14:19294890:19295138:-1 gene:itb14g16000 transcript:itb14g16000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEKMDLEHLNGDLNTTTATRNRVMKKKNRIAELEHKIIEENVEEGLAGSSIQPPLAAVAISAAFALVVVVCYFRFRRRI >itb14g16000.t1 pep chromosome:ASM357664v1:14:19294890:19296538:-1 gene:itb14g16000 transcript:itb14g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRVILLLFVSCGCGLRPGGMGDVEKMDLEHLNGDLNTTTATRNRVMKKKNRIAELEHKIIEENVEEGLAGSSIQPPLAAVAISAAFALVVVVCYFRFRRRI >itb02g02410.t1 pep chromosome:ASM357664v1:2:1377897:1386243:1 gene:itb02g02410 transcript:itb02g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLPSSQLALIPFRRCRNRKFEDRRGWRRRRFTVRSNLFASPSPFESLFQNLIAHFPSVNSLDLIAPALGFASGAALYLSRGDSGIRGALREGSVSEIGEWVLFTSPTPFNRFVMLRCPSIAVPGSELLENVSESLVKEERHLVRINSGRIQVRKSEENFDEKLVYQRLCVSMEDGGVVSLDWPANLDLEEERGLDTTFLIVPGTVEGSMDEDIRGFVCDCLRRGCFPVVMNPRGCAGSPLTTARLFTAADSDDISTAIKFINKRRPWSSLMGIGWGYGANMLTKYLAEAGEETPLVAATCIDNPFDLEEATRRASPYFDQKLTSGLVDILQSNKELFQGRAKGFNVDKALVSTSIRDFEREISMVSYGFNAIEDFYAKSSTRDVVGRVKIPLLFIQNNDRSVPLYSVPQSSIAQNPFTSLLLCSRLPFTETMTSRSTISWCQNLTIEWLTAVELGLLKGRHPLLQDVDVTINPSSVTALLMRPSDGNAGVKKLLNLPNLDSLDVHGLGPSKETFEKSNTAASQRLLHEHKGTFVHGDCSDAKSGEEEVVNHNDGDRGQVLQAAQVVMNMLDVTMPDTLKEEQKKKVLTAVGQGETLINALQGAVPEDVRGKLTTAVSEIVHNQGSNLKLDGLLALGSIPYVTSRSKSAVQEKVRGGSSAEVENESLHSSDGVKAGNLQNSSNKGVSSADNRLEELESEWQASENLQKSDDTGQSQPMNSSSSMEKEEMTDLVDNNENADHSGGKTVLDSGTKENESKAGAEPESSNFSEGASAIENMDSEKYKMQLDGGKNQMDLKEESYNHSKEENVADSSGDKNKTMSNTQAEDKSTSPASPSETQVMEKEGSDNIKREEKSMQTNSNQSITNTPGFSVSQALDAFTSIDDSTQVAVNSVFHVLEDMITQLEEGKNDENKINDKYNRELEDPEEKNEYAETPPSDAIPLNNQSHTEEKSKEVHVSFGDSSADTKHSAERNEGNKQNFVNGKLSAEDSAQYLNTVSHNVPMYVIKDLHGDPLHKVNYLVSKARRTNSLDLDTTTALFLDYFPEDGQWKLLEQTENDVDSDEVVASHEGGHSQPKSKDIDIEPYYVILDSEKQREMIEKSNGNVEIGNEKLEEPSHIIRSIILDTLKVEVGRRLSSADMEEMEPELARDLEHIANAVSRGVGLDDRLTSVTKLEDTDSEKVGNLHGEHVVKAISSAVQNTSYLRRVLPVGVIVGSSLAALRKSFDVSAVENNGKSNEMILDQITKSEKGSDDVLSQNTNQKYELESSDLKTERRVESRNLNKSNTESRDDSGDSSKSIMVGAVTAALGASAFLLHHQENGTGNLSNPHNGKEDASTETHKLEDVDKTQDNIVTSLAEKAMSIASPVVPTKDGHVDQERLVAMLAELGQRGGILRFVGKFALLWGGLRGAMSLTDKLISFLRIAERPLFQRILAFICMVLVLWSPVAVPLLPTLVQSWTTHQPSKVAELVCIVGLYISVLLLVTLWGKRIRGYENPLEQYGLDLTSLSKVQDFIKGLIGGIILVLLIYSVNSLLGCVHLHFPITFTSSSSAALAWLKLFSQMVVLAVQGLATATGIAIVEELLFRSWLPDEIAPDLGYNRGIIISGLAFSLLQRSLWAVPGLWILSLALSGVRKRNRGSLSLPIGLRAGILASSFFLKTGGFLRFESKFPPWFTGPYSSHPFSGAIGLAFALLLAAVVYPIQLHKKNLCRTIRE >itb02g02410.t2 pep chromosome:ASM357664v1:2:1377897:1387061:1 gene:itb02g02410 transcript:itb02g02410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLPSSQLALIPFRRCRNRKFEDRRGWRRRRFTVRSNLFASPSPFESLFQNLIAHFPSVNSLDLIAPALGFASGAALYLSRGDSGIRGALREGSVSEIGEWVLFTSPTPFNRFVMLRCPSIAVPGSELLENVSESLVKEERHLVRINSGRIQVRKSEENFDEKLVYQRLCVSMEDGGVVSLDWPANLDLEEERGLDTTFLIVPGTVEGSMDEDIRGFVCDCLRRGCFPVVMNPRGCAGSPLTTARLFTAADSDDISTAIKFINKRRPWSSLMGIGWGYGANMLTKYLAEAGEETPLVAATCIDNPFDLEEATRRASPYFDQKLTSGLVDILQSNKELFQGRAKGFNVDKALVSTSIRDFEREISMVSYGFNAIEDFYAKSSTRDVVGRVKIPLLFIQNNDRSVPLYSVPQSSIAQNPFTSLLLCSRLPFTETMTSRSTISWCQNLTIEWLTAVELGLLKGRHPLLQDVDVTINPSSVTALLMRPSDGNAGVKKLLNLPNLDSLDVHGLGPSKETFEKSNTAASQRLLHEHKGTFVHGDCSDAKSGEEEVVNHNDGDRGQVLQAAQVVMNMLDVTMPDTLKEEQKKKVLTAVGQGETLINALQGAVPEDVRGKLTTAVSEIVHNQGSNLKLDGLLALGSIPYVTSRSKSAVQEKVRGGSSAEVENESLHSSDGVKAGNLQNSSNKGVSSADNRLEELESEWQASENLQKSDDTGQSQPMNSSSSMEKEEMTDLVDNNENADHSGGKTVLDSGTKENESKAGAEPESSNFSEGASAIENMDSEKYKMQLDGGKNQMDLKEESYNHSKEENVADSSGDKNKTMSNTQAEDKSTSPASPSETQVMEKEGSDNIKREEKSMQTNSNQSITNTPGFSVSQALDAFTSIDDSTQVAVNSVFHVLEDMITQLEEGKNDENKINDKYNRELEDPEEKNEYAETPPSDAIPLNNQSHTEEKSKEVHVSFGDSSADTKHSAERNEGNKQNFVNGKLSAEDSAQYLNTVSHNVPMYVIKDLHGDPLHKVNYLVSKARRTNSLDLDTTTALFLDYFPEDGQWKLLEQTENDVDSDEVVASHEGGHSQPKSKDIDIEPYYVILDSEKQREMIEKSNGNVEIGNEKLEEPSHIIRSIILDTLKVEVGRRLSSADMEEMEPELARDLEHIANAVSRGVGLDDRLTSVTKLEDTDSEKVGNLHGEHVVKAISSAVQNTSYLRRVLPVGVIVGSSLAALRKSFDVSAVENNGKSNEMILDQITKSEKGSDDVLSQNTNQKYELESSDLKTERRVESRNLNKSNTESRDDSGDSSKSIMVGAVTAALGASAFLLHHQENGTGNLSNPHNGKEDASTETHKLEDVDKTQDNIVTSLAEKAMSIASPVVPTKDGHVDQERLVAMLAELGQRGGILRFVGKFALLWGGLRGAMSLTDKLISFLRIAERPLFQRILAFICMVLVLWSPVAVPLLPTLVQSWTTHQPSKVAELVCIVGLYISVLLLVTLWGKRIRGYENPLEQYGLDLTSLSKVQDFIKGLIGGIILVLLIYSVNSLLGCVHLHFPITFTSSSSAALAWLKLFSQMVVLAVQGLATATGIAIVEELLFRSWLPDEIAPDLGYNRGIIISGLAFSLLQRSLWAVPGLWILSLALSGVRKRNRGSLSLPIGLRAGILASSFFLKTGGFLRFESKFPPWFTGPYSSHPFSGAIGLAFALLLAAVVYPIQLHKKNLCRTIRE >itb11g21810.t1 pep chromosome:ASM357664v1:11:23593167:23597367:1 gene:itb11g21810 transcript:itb11g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIYGMTNPNEQGFFLIVSEPIKHDMRTYFSLANRAILALNDESRFLRPFRSLLDRINALLHINDDFVLRPIDVLMIETSGGSFEFKIGFISPNPQIGPNLSSMEYASQIVRGWINIPTNRLSNDMKLLLAVMGNYNPACKYNLKMLCRSPVFYVNKKEALIDFVIKLHRVMINQSSSINTAVHKKLVQAFQIMNIRNIFSTSCKWYSIGHASAEIRDCINAKPSSVVNRVTHMVRGIRNIVVHIFPHAKDCLDYAVVYDGIEQMSPGCWAALHFAALEILPHEFTED >itb11g21810.t4 pep chromosome:ASM357664v1:11:23593167:23597367:1 gene:itb11g21810 transcript:itb11g21810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIYGMTNPNEQGFFLIVSEPIKHDMRTYFSLANRAILALNDESRFLRPFRSLLDRINALLHINDDFVLRPIDVLMIETSGGSFEFKIGFISPNPQIGPNLSSMEYASQIVRGWINIPTNRLSNDMKLLLAVMGNYNPACKYNLKMLCRSPVFYVNKKEALIDFVIKLHRVMINQSSSINTAVHKKLVQAFQIMNIRNIFSTSCKWYSIGHASAEIRDCINAKPSSVVNRVTHMVRGIRNIVVHIFPHAKDCLDYAVVYDGIEQMSPGCWAALHFAALEILPHEFTED >itb11g21810.t3 pep chromosome:ASM357664v1:11:23593167:23597367:1 gene:itb11g21810 transcript:itb11g21810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIYGMTNPNEQGFFLIVSEPIKHDMRTYFSLANRAILALNDESRFLRPFRSLLDRINALLHINDDFVLRPIDVLMIETSGGSFEFKIGFISPNPQIGPNLSSMEYASQIVRGWINIPTNRLSNDMKLLLAVMGNYNPACKYNLKMLCRSPVFYVNKKEALIDFVIKLHRVMINQSSSINTAVHKKLVQAFQIMNIRNIFSTSCKWYSIGHASAEIRDCINAKPSSVVNRVTHMVRGIRNIVVHIFPHAKDCLDYAVVYDGIEQMSPGCWAALHFAALEILPHEFTED >itb11g21810.t2 pep chromosome:ASM357664v1:11:23593167:23597367:1 gene:itb11g21810 transcript:itb11g21810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIYGMTNPNEQGFFLIVSEPIKHDMRTYFSLANRAILALNDESRFLRPFRSLLDRINALLHINDDFVLRPIDVLMIETSGGSFEFKIGFISPNPQIGPNLSSMEYASQIVRGWINIPTNRLSNDMKLLLAVMGNYNPACKYNLKMLCRSPVFYVNKKEALIDFVIKLHRVMINQSSSINTAVHKKLVQAFQIMNIRNIFSTSCKWYSIGHASAEIRDCINAKPSSVVNRVTHMVRGIRNIVVHIFPHAKDCLDYAVVYDGIEQMSPGCWAALHFAALEILPHEFTED >itb04g04510.t1 pep chromosome:ASM357664v1:4:2787722:2790923:-1 gene:itb04g04510 transcript:itb04g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRSMKLVVEVIDAHDLMPRDGEGSASAFVEVDFENQVSKTKTIPKNLNPSWNQKLVFSFDATDKTYHYKSIEVSVYHERRPVPGRIFLGRVRVPCSNIVRKKEEVYQRFNLEKKSFFGFVKGEIGLKIYTSPETEPESHLPESAIQPAPKPSDIPLAEATETAETIAVPRVSSTNSSEVITDKPETKKPEIAIAEAQFDPSSSAEKAAEKVQHIHKHQVLQQPVFSVGKRPEGIQLKMRHQVNPQANPNLNEDEFELKDTNPQLGERWPHGGGYGGRGWTNNERYTSAYDLVEQMFYLYVRVVKAKDLPPGGITASVDPYVEVKLGNYRGRTRHFDKKTNPEWNQVFAFSKDHIQSSILEVFVKDKETLGRDDHLGRAVFDLNEVPTRIPPDSPLAPQWYRLEDRRGEGKVRGNIMLAVWMGTQADEAFSEAWHSDAAMAHGEGVMTVRSKVYVSPKLWYLRVNVIEAQDIIPNDRTRLPEVQVKVQVGNQVLKTGICPTRTANPIWNEELVFVAAEPFEEQLILTVEDHVHPTKDEVLGRIALPLSTFEKRLDHRPVHSHWFNLEKFGFGTLEPDRRKEQRFSSRLHLRACLEGGYHVLDESTMYISDQRPTARQLWKPPVGILEVGVLGAQGLLPMKMKDGRGSTDAYCVARYGQKWVRTRTILDTFSPKWNEQYNWEVYDPCTVITLGVFDNCHLGTEKPGATTSVKDSRIGKVRIRLSTLESNKLYTHSYPLLVLHPSGVKKMGELQLAVRFTSLSLANMVYTYGHPLLPKMHYLNPFTVNQLENLRYQAMNIVAARLARAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLLSGMMALNKWFGDVCQWKNTVTSVLVHILFLILIWYPDLILPTLFLYMFLIGLWNYRLRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSKNQDIVRMRYDRLRSVAGRIQIVVGDIATQGERMQSLLSWRDPRATSLFIVFCLCAAVVLYATPFRVLALVAGLYMLRHPRFRTKMPAVPSNFFKRLTARTDSLL >itb05g10090.t1 pep chromosome:ASM357664v1:5:14972324:14973687:-1 gene:itb05g10090 transcript:itb05g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLYCSCSHISLGRVFLWAICGARISGLSKVYQEGSSLEGGCKLEGESILRCKTGCYNLP >itb11g00340.t2 pep chromosome:ASM357664v1:11:151924:154254:-1 gene:itb11g00340 transcript:itb11g00340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQDPQRPYLPFKNPFSMILPKGSHLSPRLLALLNAFEESLAEKLRSLMHRGRGNALSVSWMKEAMASLSTIHTDIKTLVTELELPVCDWDDKWIDVYMDNSVSLLDICTAFSSEILRHNQGNLYLRCTVRNLDGEPKQFMQARSSLDGWRQHVNSKNPRLENSFAILDRLTESLKFPKKKDSAKGKVLMQALYGVQVITIFLCSLLASAFSGSTKKLKHFEVLEGCSWADAFADLQSLINNEISVKHSSGGVTAPKELEAVDASVKTLYPIIESGSNPDEAGGLQNATSDLAKNTDKFAEGLDLLAKEVDGFFQIVLTGRDAMLSNIRVGSKISQDKKKCRGANSETNNRGHVSSFVHKGAA >itb11g00340.t1 pep chromosome:ASM357664v1:11:151856:154558:-1 gene:itb11g00340 transcript:itb11g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQDPQRPYLPFKNPFSMILPKGSHLSPRLLALLNAFEESLAEKLRSLMHRGRGNALSVSWMKEAMASLSTIHTDIKTLVTELELPVCDWDDKWIDVYMDNSVSLLDICTAFSSEILRHNQGNLYLRCTVRNLDGEPKQFMQARSSLDGWRQHVNSKNPRLENSFAILDRLTESLKFPKKKDSAKGKVLMQALYGVQVITIFLCSLLASAFSGSTKKLKHFEVLEGCSWADAFADLQSLINNEISVKHSSGGVTAPKELEAVDASVKTLYPIIESGSNPDEAGGLQNATSDLAKNTDKFAEGLDLLAKEVDGFFQIVLTGRDAMLSNIRVGSKISQDKKKCRGANSETNNRGHVSSFVHKGAA >itb02g20190.t1 pep chromosome:ASM357664v1:2:17921297:17925629:-1 gene:itb02g20190 transcript:itb02g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTFFLVFPIFFFLIKSNAAYEDVALLFENNHCHPPSPCKKGVEIKYPFWRLDDSSSTVCGYPGFGIDCSNPDPDFPLLYLSDDSFLVKEINYDEFSVTLADADAYKKECPRARHNFTLTQKSPLLYDHKDLNLTFYFNCTKNPLPAAAGGAAYPIDCLNKSDRKASYLYVGALNPYNWDWWGICEAKVETTVMETEVVVNDIGWLAKNIGGAMNSGFMLHWQPLGDCGHCEIPDGWCEQDNHSFGKFLCFCENGNVIRDCPSKGKSVGMKIGIGIGGAALCFVAFCTILYAYCRRQKGYSSGNELDLQGSFNHRGCNGGIFKQASQKRKEYGNLEVFMEQYGSLAPTVYSFSDIKKMTNSFKHKLGQGGYGEVYKGNLHNGIHVAVKVLNSTKGNGEEFINEVASISRTSHVNVVNLLGFCCHGTKRALVYEFMVNGSLEKYIHENSIHLGWDKLYEIALGIAKGLEYLHKGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCDNKESTISMFGARGTIGYIAPEVVSRNFGTVSHKSDVYSYGMMVLEMVGGRKNVNDKVSHSSEIYFPHWAYQRLLLNEDLKLQGLRTEDEEVIAKKMILIGFWCIQTDPSHRPSMNKVIEMLVASLEKLEMPPKPFLYSSSHSGDISTAISPIVPLHSFLSSSCTISMNID >itb14g12370.t1 pep chromosome:ASM357664v1:14:13960514:13965545:-1 gene:itb14g12370 transcript:itb14g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEEQDFGFISATSFFKRSLVSLSPFTPTASPAPRRLSSCFTQPSQPVRAKRQLAWVSLQGRLVGAEEASSSRAIGGGLSPEEAVAWDLFTPVQRVLVVAVIGAAASAANSKKNKRICELEKSVQLRDQVLLKMQQKLDNLCEQVNDQLEPPSNNCWLCEQHKHLPKLFPMTDSGRKMSMGEEVIKSEVLIPANETEQEERRMSDLSDLAPSVASSVDTQVNTVTVYQDDYSLRRDCEEKDATIKSLSACLQSSESLDSKRITELEGVIRRKNMIISKLRKDILVLEQKVTNLTRLRRPSFSKANLMKLPVLTDNIIYDMDSTTGPSSSDSDNSPRRNKPQTPAAMSLEVSKISAREEEQKRGQEKKPAPVKVIDRHYSSRPVSPSLNQTGNSVSSSRNKPLTPAAKNLEVTKSAEREGDQKWGPEKNPALVRLTDRYYSSRPVSPLKEISSNQIGSSVSSSKSKQTPSGREPTSRGKPPVRSKLADQHKRWV >itb04g32240.t1 pep chromosome:ASM357664v1:4:34893990:34896453:-1 gene:itb04g32240 transcript:itb04g32240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSPEFPKEMMKMNIYKSKLQEFCHGKKWAPPRYTCMKDGADHIPQFKASVLVNGLNFDTLSPWKSRKEADNEVAKLAFLYFSSGSGEQKATETHKNPDDFGINPDSEQQYKKKLQIYAKRMKLGLPTYCVQREGVLDDLCFKAIVSLSGESFKSLGGYKSAKEAEEDAARFALMSLTSAAFKESVKRSYKSLLQELGQSKGLAMPAYMTTMSGKHHNLTFLSSVEIDGNVFHGTAAMSKKQAENNAAKVAYMSLMGEEDPLATDISLESLSLSPKASDSIVDRSTKGTAEMKTYLLCNRFKVYNHIPDMALPKGTVLLPIRDGEWVIASLEFPSQK >itb12g02460.t1 pep chromosome:ASM357664v1:12:1619344:1622124:1 gene:itb12g02460 transcript:itb12g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLFRNSAAALTRRLWPRVRNSRRRMCGFSGELEAESWKSMEGLLKCAANHAPLTPISFLERAAVVYADRTSVLFGCSVRFTWEQTYHRCLKLASALTHLGISRGDVVATLAPNVPAVQELHFAVPMAGAVLCTLNARHDSAMVSTLLRHSEAKVLFVDYQLLPVAQGALNLLADGKTKPPLLVLISESENVSPISNPVSNSSPPQYEYEALLENGDGDFGIKWPRTEWDPISVNYTSGTTSRPKGVVYNHRGAYLNTIATIFVHQMSFLPVYLWTVPMFHCNGWNMVWGMAALGGTNVCLRRFSPEDIFDNISVHKVTHLGAAPTVLNMILNSPPSARKPLPHMVKIMTGGSPPPPQVLCKMEQMGFGLIHIYGLTETYGPSTFCLWRTEWDSLPEEQLQKMKARQGIPHFCLQGVDVRDPVTAQSIPADGKTMGEVMFRGNTVMSGYLKDRKATEEAFKDGWFRSGDLAVKHPDGYIEVKDRSKDIIISGGENICTVEVERVLYDHPAVLEAAVVARPDNHWGQTPCAFVKLKEGFEVKCEEIISFCRDHLPHYMAPRTVVFEDLPKTSTGKIQKFVLREKAKGFGSLF >itb10g04250.t1 pep chromosome:ASM357664v1:10:4053142:4058480:1 gene:itb10g04250 transcript:itb10g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEERLLDITSDESEGIQDAKPKYNKSLDYSYLMRFKWWIELSLYTFLSLGGQAGATLLGKVYYDEGGSSKWLVATLQTAGFPFLIPFLLLFPSTKTPKNNNTSLPLLASVYTFLGVLLAAGGVFYSVAIEYLSASTFSLLISTQLAFTAILAPFINAQRFTPYILNSVVLLAFSPLLLVLENDSGGSPAPAPAAGDGFMLGALFTVAAGASFALLFSLTELSYEKIGGAGEAMKETLKMTLWQCVVATAVTAAGLLWSGEWRGLRAEMEGYKQGVFSYFLNVVGTAVSCQAFYVGSIALTFKVSSLFSNVVIRLATPVIPFLSVVFLGEEMNGLKVTALMLSLWGFASYIYQQYLDELKADENQSIQDLKFSV >itb07g04330.t1 pep chromosome:ASM357664v1:7:2919800:2923423:1 gene:itb07g04330 transcript:itb07g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTINTGLPMSENITRPTDTTPLMTQIAPTTNPVHEIIPRATNLNPRSLTPLTPSISPAYPVQQVVMPAAVAANVSAIAPPSQVVTPLQAKIIPAGRGIRKRGDFLASSEDTTMMDHVRATHDMDAVHYKVKPLVHLIEDIMPRANATIPGVQSVQTQSSARLDAILEEKQVLHSGLNEVIEAFSYPVYRTSFEIIGGYSTNRDAHSITLSVLKLLANFWWDAKVVIAFAAFAQQYGEFGLVVRLYPTDPLAKSVATIVQIPDLMESSESSRAVSSKFREVAKLADKMLEVTHKIIALKEIGSEEKSLKVKYRVSLYKELAESLSPVTAEQENIIAKASYYVVKAAVTCSLVILNLLAVGRDYYSSTEEELEISTLTHKLGYILGDLQKALQKSTQEIGKIKHTIKRKILEETLARTHTDNKYSAELITCGENDPTPIIHGTGLEMKKHGLDILRRKYVFLLVSDLDIPNEVITMLRHMYLESKQDPSRAESQFEIVWLPIVDRRSPWTGAKEDQFTLVKGSMPWYSVSHPSKIDEAVYGYVKEVWGFTHKPLLAVVDPQGKLTNVNALPMFWIWGSVAFPFNKLKEESLWDETSWSMALLADSIDQNLFTWLNEGKYICLYGGEDMDWIRNFTKRTRYVAQQARIPLEMLYVGKMNVKERIKRNSATIQEEGLSSILQDPTMIWFFWERLESMWFLKGEKTLAMRGDDKTYIIPEESRDPILQQVKAILSYDGSNRCWAVFSRGLGEMTKGEGSNVVKVLNNFDSWKHEVTDVNAFIPAMDKQLRGLYTKHHCTRMVVPAAVGHYPETVACVECGRTMEKFFMYSCCLDEYEN >itb07g12730.t1 pep chromosome:ASM357664v1:7:14695302:14700705:1 gene:itb07g12730 transcript:itb07g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCMNRCENVSSKLISSSSLKSHSNKANAFRVSTHFAKPSVKKDYSCLNLQYGSPVLHESKFRISVSSIRCEKGVVGKQELGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPEMADRTYIEPLTPEIVEQVLEKERPDALLPTMGGQTALNLAVALAESGALEKYGVELIGAKLDCIKKAEDRDLFKQAMSNIGLKTPPSGIGTTLEECFEIANVIGEFPLIIRPAFTLGGTGGGIAYNREEFEAICKSGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAINPEDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSIGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGESMAVGRTFQESFQKAVRSLETGYSGWGCASIKELDWDWEKLRYSLRVPNPDRIHAIYAAMKRGMAIDEIGEISYIDSWFLRQLKELVDVEQYLLTRTLSSLTKDDLYEVKKRGFSDRQIAFATKTTERDVRSKRLSLGIKPAYKRVDTCAAEFEADTPYMYSSYDLECESAPTQRKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLSLPIQQYLDENKPKCRSGVGYVCIWGTSPDSIDAAEDRERFNAILNELRIEQPKGGIAKSEKDALAIAADIGYPVVVRPSYVLGGRAMEIVYDDDKLVTYLENAVEVDPERPVLIDRYLSDAIEIDVDALADLHGNVVIGGIMEHIEQAGVHSGDSACMIPTQTVSPSCLETIRSWTTKLAKRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDLGFTKEVIPRHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGICFESSIAFAKAQIATGQRVPLSGTLFLSLNDLTKRHLATIANAFLSVGYQVVATSGTAYVLESEGIPVERVLKMHEGRPHAGDLIANGKIQLMVITSSGDALDQIDGRKLRRMALAYKIPVITTVAGALATAEAIKSLKCNKLEISALQDYFDVPKETESSKNLQSAYYSSSS >itb15g06210.t1 pep chromosome:ASM357664v1:15:4082677:4086251:1 gene:itb15g06210 transcript:itb15g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIFTVVFMVPVLVGCLDPGAFNDDVLGLIVFKAGLIDPQAKLASWSEDAESACNNWEGVKCDPLSNRVSEVVLDGFSLSGHIGRSLLRLQFLRVLSLSKNNLTGTVNPALAQIQSLNVIDLSENSLSGPVPDEFFRQCGSLKVVSFANNNLSGIIPVSLTSCSTLERVNLSSNRLSGQLPSGFWSLSSLQSLDFSDNFLEGEIPTGVENLYGLRSIDLSKNSFVGQLPENIGNCVLLESIDLSENALSGALPESMQKLGLCTSLNLRGNMFTGEFPDWVGDMRSLEVLDFSANNFSGRIPESISYLQFLKEVNLSNNQFMGGLPDSFENWVSLLVLDVSKNLLNGNLPAWIFRLGLKTVILSGNRFNGSIGYDCMEPKTPPSYQTLQVLDLSMNSLSGEIPSSIGNFSSLQVLNVSWNSLSGGVPSSVGKMNVIRVLDLSHNGLNGTIPVEIGGAVSLQELWLESNFLSGLVPADITHCSSLSSLVLSQNNLSGEIPAEIAGLTGLQILDLSLNNFSGSLPKELTNLTHLITFNVSHNHLEGELPVGDFFNTIPLSAVTGNPSLCGSVFNHSCPAVHQKPIVLNPNSDDSAHSSLSPLGHKRIVLSISSLVAIGAAVFIALGVITVTILNNFHVRSSVWRSAAPVVFSGGDEFSHSNGTEANYGKLVMFSGGDAAGFASGTQALLSKDCELGQGGFGSVYKTELGDGRSVAIKKLNVSSLIKSQQDFEREVKTLGEVRHQNLVAIEGYYWTPSLQLLINEYATGGSLHKQLHDEEGCSLSWQQRFNVVLGTAKGLAHLHQMNIIHYNMKSTNVLLDSAGDPKVGDYGLARLLPALDRYILSSKIQSALGYMAPEFACQTVKITEKCDVYGFGILVLEVVTGKRPVQYMEDDVVVLCDMVRRGLEDGNIEECIDKRLQGKFPVEEAIPVVKLGLICASHVPSNRPDMEEVIRILELIRSPSASQKDLE >itb07g20640.t1 pep chromosome:ASM357664v1:7:25016154:25019383:-1 gene:itb07g20640 transcript:itb07g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARDSKLSSHWWWFEAEKNTYKNRSPWLQSTLAELDRKTNAMLRIIEGDADSFAQRAEMFYKKRPELISMVRDYYRAHRLLAEGYDQVKSESGARLLTPWALPLTNIKCQAEKPVSSIDKAYDSYSETFDLEESDLSEVDDPEPEEVQVEKENGTEEGSVCIGEVLKLREEIERVKEEARALKDMKRDDIGNDEVAKLKEEMEKLEEENRIQKEVLVQKDEEKREAIRQLSLAMDLLREENTSLRNRIASNIGSRNSHQSPKSKVPIPKEAFWRRFFNGPPKAEVSLVTF >itb07g20640.t3 pep chromosome:ASM357664v1:7:25016154:25019383:-1 gene:itb07g20640 transcript:itb07g20640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARDSKLSSHWWWFEAEKNTYKNRSPWLQSTLAELDRKTNAMLRIIEGDADSFAQRAEMFYKKRPELISMVRDYYRAHRLLAEGYDQVKSESGARLLTPWALPLTNIKCQAEKPVSSIDKAYDSYSETFDLEESDLSEVDDPEPEEVQVEKENGTEEGSVCIGEVLKLREEIERVKEEARALKDMKRDDIGNDEVAKLKEEMEKLEEENRIQKEVLVQKDEEKREAIRQLSLAMDLLREENTSLRNRIASNIGSRNSHQSPKSKVPIPKEAFWRRFFNGPPKAEVSLVTF >itb07g20640.t4 pep chromosome:ASM357664v1:7:25016155:25017950:-1 gene:itb07g20640 transcript:itb07g20640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVELDRKTNAMLRIIEGDADSFAQRAEMFYKKRPELISMVRDYYRAHRLLAEGYDQVKSESGARLLTPWALPLTNIKCQAEKPVSSIDKAYDSYSETFDLEESDLSEVDDPEPEEVQVEKENGTEEGSVCIGEVLKLREEIERVKEEARALKDMKRDDIGNDEVAKLKEEMEKLEEENRIQKEVLVQKDEEKREAIRQLSLAMDLLREENTSLRNRIASNIGSRNSHQSPKSKVPIPKEAFWRRFFNGPPKAEVSLVTF >itb07g20640.t2 pep chromosome:ASM357664v1:7:25016154:25019383:-1 gene:itb07g20640 transcript:itb07g20640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVELDRKTNAMLRIIEGDADSFAQRAEMFYKKRPELISMVRDYYRAHRLLAEGYDQVKSESGARLLTPWALPLTNIKCQAEKPVSSIDKAYDSYSETFDLEESDLSEVDDPEPEEVQVEKENGTEEGSVCIGEVLKLREEIERVKEEARALKDMKRDDIGNDEVAKLKEEMEKLEEENRIQKEVLVQKDEEKREAIRQLSLAMDLLREENTSLRNRIASNIGSRNSHQSPKSKVPIPKEAFWRRFFNGPPKAEVSLVTF >itb08g04510.t2 pep chromosome:ASM357664v1:8:3710530:3714306:1 gene:itb08g04510 transcript:itb08g04510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNFKNFGNEQLGDGGGRQASFGLVRQPSVYSLTFDEFQSAVGCGIGKDFGSMNMDELLKNIWSAEENQTMGSASGGQQQNSGPSGYLQRQGSLTLPRTLSQKTVDEVWRDIMKEYCVEKDGSGGGSGGGGGGGGVGGSNTPQRQQTLGEMTLEEFLSKAGVVREETQMAGQVTNGGGVFGDLVSNTGLGFGYQQAGQNNAGLMGGRMPENNPNLMLNMSQARPSQPHPGTSQLQQHQHQQKVEQQKIESQQQQKIEQQNHHHHHQQPLFPKQPTLAYGAPMPIPANGQLRSNGMKGGVMGIPDAAAINGNLVQGSTLQGGGMGLVGLGPGGVTVATGSPAVSSDGLTKSNGDTSSVSPVPYAFNGNVRGRKSSALEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELRKKQAEMMEMQKNQVHQILYILLLGLSFICTFNGDGIQTQAYYLARGLT >itb08g04510.t1 pep chromosome:ASM357664v1:8:3710530:3714306:1 gene:itb08g04510 transcript:itb08g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNFKNFGNEQLGDGGGRQASFGLVRQPSVYSLTFDEFQSAVGCGIGKDFGSMNMDELLKNIWSAEENQTMGSASGGQQQNSGPSGYLQRQGSLTLPRTLSQKTVDEVWRDIMKEYCVEKDGSGGGSGGGGGGGGVGGSNTPQRQQTLGEMTLEEFLSKAGVVREETQMAGQVTNGGGVFGDLVSNTGLGFGYQQAGQNNAGLMGGRMPENNPNLMLNMSQARPSQPHPGTSQLQQHQHQQKVEQQKIESQQQQKIEQQNHHHHHQQPLFPKQPTLAYGAPMPIPANGQLRSNGMKGGVMGIPDAAAINGNLVQGSTLQGGGMGLVGLGPGGVTVATGSPAVSSDGLTKSNGDTSSVSPVPYAFNGNVRGRKSSALEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELRKKQAEMMEMQKNQVLEMMNLQRGAKRRCLRRTQTSPW >itb13g18870.t1 pep chromosome:ASM357664v1:13:25870979:25875729:1 gene:itb13g18870 transcript:itb13g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGNNESEFRRSGSFARTSSSCSMQRSSRNLSFSFSLQLENDDGSESVSEAGDIGDRALCSNRYSGRLALSAESAAESGMVVPIAEEGFCFYDPVATNNVSPVSPSILDIISPDFEEEVPWWLEYFSSLLFLAVFGILGVLLRYGLQKLFGPGHVGATSDQSYMYLDLPSNVVGSFLMGWFGVVFKGEISKISDQLAMGLTTGFLGSLTTFSGWNQKMLELSVEGQWVFAVLGIIFGLFLVSHSIIFGIETAKGVRWIVRKTATSKNSSSESSWKMNSFKCHLVVSAALLLILGALWSTCIALEAHEFKRGNSEAQLWLACLVGPFGVWIRWFLARLNGRGLGKSGSLKWVPFGTIIANVSAACVMAALATLKKAVKTKDCDTVVAGIQFGLLGCLSTVSTFVAEFHAMRESKYPWRAYAYVLATILTSFIFGTLLYSVPVWTMGYK >itb01g28600.t1 pep chromosome:ASM357664v1:1:33013049:33013548:-1 gene:itb01g28600 transcript:itb01g28600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNTSSAEFMGDVRCRCGYLAPLKMSWSYPNPGKRYRACPRYGGNESCRYFEWMDYDVSERVAKVIRGLLKMANNYEKEIEKLNLTVEKKDLEIHKKSWESKMNFFFGFGFGIVIAMFVISVCMRTGSSTVRILQLK >itb06g03980.t1 pep chromosome:ASM357664v1:6:6477975:6479544:1 gene:itb06g03980 transcript:itb06g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGKEHKENQRSTQPGNIVIATGDDNLKDIFHQIRTSKTPAVINYGASWCGVCSQMLPAFSELSNKFSKLSFVYADIDACPETTLNIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >itb01g23950.t1 pep chromosome:ASM357664v1:1:29773602:29776762:-1 gene:itb01g23950 transcript:itb01g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESEGRLWQHFSHAHLLVLGNPPPEENPVCHGCKTTIFPAKPCYMCRKCPFFLHQVCFGMPKTVHHPVDPHALDLSSQKIAAPCKACGQLISTFYYGCVKCQSFYHVLCLAMPVSLKVPSHPHALTLEFSPPYDFRCDLCARPCYASHAAWLYHCGLCEFDVHISCAVTNRGARFLHDESPGGGDDGCRAARGSKRHEFMELLWRGINRNHQETPGELEDVATPSYQFSDACFSIDFANSVLDDEEGALPEHGGKITAGGYVNLPKPAPAPHHHHAQRSSSSLDFMSESSKRELNVKISSPISSRVWMEIDPESKKRDFQTQKSQNIPILQTSERGTHEKPGHFRGSSKPFLVRLATQNPIFCSKGFLHQTLLFNSAPHKEFL >itb07g11050.t1 pep chromosome:ASM357664v1:7:12479038:12480492:-1 gene:itb07g11050 transcript:itb07g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSRDRISQLPADILDHILGFLPIQDAAKTAVLSSIWRDVWFSLTQLNFDHGFFCYIYKKHRHANKYAKKSAVSLYVINKILLLHKGTIRKFVLSFYKVGVRAIMSRSYDFDQWLLLVTHKGVEEIYIRFEEKAFRLPSCIFSCLTLKRLHLYGVVIEPMNFPCILPNVASLCFECVDFGPINCLDCAIDVPVLEKLSFIHCRNIFHFDITAPKLCSLTIEFCSTTVRGKFLPVNLDLRSISTLDLGGHLQFESMATKCMDALLELHAVVQTNKMLNALKFLSFEGSHSEMLFIKELLTNFSTFEKVVIVREKHYRKKDTAGIMQELLDLPFASTKTKIIIV >itb12g04930.t1 pep chromosome:ASM357664v1:12:3248455:3248799:1 gene:itb12g04930 transcript:itb12g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSASAANKGMSAQGQMERKVETVDYESCAGHAQEQRLVEVTHQPVNKKTSGALLTNAATSVVSTLDSAKEALSNSKT >itb02g18870.t1 pep chromosome:ASM357664v1:2:15463843:15473934:-1 gene:itb02g18870 transcript:itb02g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSIVGSSIDQMEVDNQDNPDNNSGSVPDSKSKSKGILYVGSQALGFRRDYMEVLPSIKDGIVADWEIVENIWDHAFRECLLIDPKEHPMLLAEPCSNTQQQREKAAELMFEKYQVPALFLAKNPVLTSFASGRATSLVIDSGGGSTTIAPVHDGYVLQRAVTTSPIGGEVLTEALMRSLEQKGIVIKPRYSFRRKEIRPGEFQTVDLDFPNTTASYKLYYQKVIAGDIKECVCRAPDTPYDDSSYSNIPLTSYELPDGQTIEIGADRFKIPDILFNPSLVRTIPGMESFAESAASLRSLPQMVIESINRSDVDIRRELYSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGAPYVQRKCP >itb02g02180.t2 pep chromosome:ASM357664v1:2:1207841:1210805:-1 gene:itb02g02180 transcript:itb02g02180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIRSGRQNARSLISPPPTNSNTNKVFFFLLYSTKAAAESILTSQPYQFSSQECFHSSASCSSSIHTSNAGVFQLKDVVLSFKEWFQSRLNPDFDRIFEILRTKDEASADLALSRFNLRLSESLVLEILNYEKGKDVLSCLKFFDWAGRQPGFYHTQATFSAIFKILSKAKLTTLMIEFLEKYAKQRYIHRLRFYNTLVIGYSIAGKPEVALQLFGRMRFTGADLDYFAYHVLLNALVEDGFYDAVEMVLREIKLHGFENSVTHSIFVKSLCKRRELDKAEAYIRGLLGNGGAGLSGIVVATVVDALSKNGHFEKAGSLVEEFRESGLVSMEHAYGAWINNLVKAGKLDGALEFLKNRNVVDGHVPRVFRYNALVCKLLRENRLEEVYDWLMEMKDQGISPDEVTMNVTVCFFCKVGMVDVALELYDARSEFELSVNSMAYNYLINTLLGDSSNDDACRVLRNGIEQGYFPGRRTFSIIANSLCKEGKLDRVNELILAALDHNHMPSDMMYNRFISALCRAHRVEDGFLLHEQLSRLNRVTSRNTYFDLISGCVKSSRADIAARLLIEMQENGHSANSQLYRAVICCLCQLNNPEKQFFRLMEMQLSRFEPTCKNYNFFIDGAGHAGKPELAKEVYEMMEKAGAVPTLNSHVLLLHSYLKNGRISEALNFFYDLTKRGKTSRKLWHCMIVGLCKVGNHQHAWDVFWKMRAEGKKPSMESYEELVNLLCSHKEYYWALVVVDDLLRSGRKVSSFIGNVLLLHSLRTKKLYDLWLNSRNDQNTTIKSLKLGKLIEKFSGCSSTSMDINEIEELIQQCFPLDIYTYNLLLRRLSMCEVDYACGYFNTLRKKGYEPNRWSYDIIVHGLSKCGRTSEARKWIAEMLGKGYDLTEATEAMV >itb02g02180.t1 pep chromosome:ASM357664v1:2:1205970:1210805:-1 gene:itb02g02180 transcript:itb02g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIRSGRQNARSLISPPPTNSNTNKVFFFLLYSTKAAAESILTSQPYQFSSQECFHSSASCSSSIHTSNAGVFQLKDVVLSFKEWFQSRLNPDFDRIFEILRTKDEASADLALSRFNLRLSESLVLEILNYEKGKDVLSCLKFFDWAGRQPGFYHTQATFSAIFKILSKAKLTTLMIEFLEKYAKQRYIHRLRFYNTLVIGYSIAGKPEVALQLFGRMRFTGADLDYFAYHVLLNALVEDGFYDAVEMVLREIKLHGFENSVTHSIFVKSLCKRRELDKAEAYIRGLLGNGGAGLSGIVVATVVDALSKNGHFEKAGSLVEEFRESGLVSMEHAYGAWINNLVKAGKLDGALEFLKNRNVVDGHVPRVFRYNALVCKLLRENRLEEVYDWLMEMKDQGISPDEVTMNVTVCFFCKVGMVDVALELYDARSEFELSVNSMAYNYLINTLLGDSSNDDACRVLRNGIEQGYFPGRRTFSIIANSLCKEGKLDRVNELILAALDHNHMPSDMMYNRFISALCRAHRVEDGFLLHEQLSRLNRVTSRNTYFDLISGCVKSSRADIAARLLIEMQENGHSANSQLYRAVICCLCQLNNPEKQFFRLMEMQLSRFEPTCKNYNFFIDGAGHAGKPELAKEVYEMMEKAGAVPTLNSHVLLLHSYLKNGRISEALNFFYDLTKRGKTSRKLWHCMIVGLCKVGNHQHAWDVFWKMRAEGKKPSMESYEELVNLLCSHKEYYWALVVVDDLLRSGRKVSSFIGNVLLLHSLRTKKLYDLWLNSRNDQNTTIKSLKLGKLIEKFSGCSSTSMDINEIEELIQQCFPLDIYTYNLLLRRLSMCEVDYACGYFNTLRKKGYEPNRWSYDIIVHGLSKCGRTSEARKWIAEMLGKGYDLTEATEAMV >itb01g19150.t1 pep chromosome:ASM357664v1:1:25138053:25140640:-1 gene:itb01g19150 transcript:itb01g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSNHRPATPSLRRRISDAAATKRLPAAVNADRNDAIGSLASKASSGAAKLAETMSGFLSNLQIEIPPRRRRRKGFDSLNKFDDYTAVGSFADMADTPAMSPKEDISSKWRELQGCREWEGLLDPLHPWLRREIIKYGEFAQATYDALDSDPYSEYYGSCLYSRHKLLHKLGLTRSGYEVVSYIYAMSSNIDYMPEWVEGSSSSFVRAWSKDSNWIGFIAVSNNEETRRIGRRDIVVSWRGTVTPSEWWENMQNKLEPIGLGDAKVERGFLSIYTSKSDSTRYNKHSASEQTMLVLHKLVDFYRTKGEPLSLTITGHSLGGALAVLNAYESAVHFPTIPVAVISFATPRVGNIAFRDEVYQKGVKTLRVTVKQDLITRWPGVVFNEGLQKYDDFTGPLEWVYTHIGAELKLDVRWSPYLKGGINLFGCHMLETHLHLVDCFCSSSVRFREDAKRDVALVNKDCDMLVDELRIPPNWYQLANKGLERNGYGRWVRPKREVDDIPSPSSQLF >itb06g05610.t1 pep chromosome:ASM357664v1:6:8287188:8292724:-1 gene:itb06g05610 transcript:itb06g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCCKPSAIEESRESPRERVPCKASVPNGRREEAYRAKDPLECNDVKTMLIDKQANGSVRLQNGKSDRRSEKGEYIVTTTYHPGMGTLPKATEGEQVAAGWPSWLAAVAGEAIRGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQRKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLSSHPGLKFTEPQVKCYMQQLLQGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFFDPIHSQPRTSRVVTLWYRPPELLLGGTYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSRLPHSTIFKPQQPYKRCVGETFKDFPTPALGLIEILLSIDPADRGSAASALKSEFFSTKPLPCDPSSLPKYPPSKEFDAKMRDEETRRHVASGSKGHRHDAERRGTRESRAVPAPDANAELISSMQKRQSQSNSKSRSEMFNSQQEEVASGFPIDPPRPSQALGEASNDPQGQLHKRASHSGPLAHRAAWAKSGKCTEDAPKISTGEDLSALSGLVAARRSLLYENLSEQSGPANREAPKLISRFPGSFKEASDSTMKQNQKSQVLANSHQNHEGRSSSNDPVILGYGSKGNKIHYSGPLLVPSARVDQILKDHDRHIQEAARRARLDKAKARNAQVEKNQISTGSLVVSGR >itb06g15670.t1 pep chromosome:ASM357664v1:6:19976877:19978933:1 gene:itb06g15670 transcript:itb06g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRAWIVAASMGAVEALKDQGFARWNYALRMIQQHAKSNLRPYSQATRLSSPSSALVSGKIREDKLKQAEESLRTTIQESTSNPTGPNSQQSHETFISSAENEPGSLGMTEWWNIL >itb11g11730.t1 pep chromosome:ASM357664v1:11:8603460:8607638:1 gene:itb11g11730 transcript:itb11g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLGICACTYLKMHFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCLTMGVSIIFF >itb11g11730.t2 pep chromosome:ASM357664v1:11:8604173:8607638:1 gene:itb11g11730 transcript:itb11g11730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLGICACTYLKMHFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCLTMGVSIIFF >itb13g06560.t1 pep chromosome:ASM357664v1:13:7873074:7875009:-1 gene:itb13g06560 transcript:itb13g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLISFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSDAEEQLVIDLHAHLGNRWSKIASRLPGRTDNEIKNHWNTHIKKKLLKMGINPVTHEPLNKEETKPTSDDQSSTLPESDQKNGQQQVQVVPQSTTQVTTSEEQSSSCSPTENSSSSTATTTTEVVVVLDAVGGGEDDDPLLSSLLENHAPMADAAQWDLPLSDNQPPLIFDENHDLSMPTLLDDNFGWLFNCQDFGIQDFGFDCLNDPEMHIFDTVDSGNDHNK >itb11g04100.t1 pep chromosome:ASM357664v1:11:2176012:2179915:1 gene:itb11g04100 transcript:itb11g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNNVKVPKMPGGGAASALIKLGLVAGIGVYGVANSLYNVDGGHRAIVFNRIGGIKDKVYPEGTHFMLPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVPDQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRETVSRKIREILTQRAANFNIALDDVSITSLTFGREFTAAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIASTISSSANRVYLSSDDLLLNLQDLNLEAGRK >itb02g08650.t3 pep chromosome:ASM357664v1:2:5495889:5499395:1 gene:itb02g08650 transcript:itb02g08650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTRMEDKQLNLNQPLLSVRRISSTTNTGKDDKRTTDHSSYNVPRPPLYKSELKSGPVSNPGVVPFLWERSPGRPKDELSSQTDRLPIVPRLPPGRTLKAEQKNITKAPESPTIIAKSEMDNVPRESQPLSRKVNKPGSFGSSSDTVEDEEKFESADEDETYVDALDTLSRTESFFLNCSVSGLSGLDEPERNPLGNSPADPQTRDFMIGRFLPAAKAMASDMTSEVPQYAPRKQPVVHEQPQPPKKAIKADRRPQLRYGPSFAKHYYQSHDYAEEGSDDDDDDDNEHEYLPPKACGLLPRFCLRSPFFLMNPVPGMSVRTHVPMSPISKTQTRSSSAGSCSGTENERSTSDGSEQQSVFGLPTAEPYENTNDLEDELKMKTTRKVTDHKLDGSSTYKELSGNGISAYHDETPQRYDIKASLSTRGKSDNAGCDALESHKRKCKNFTGQINATQEDSGNPIVEKTLYVDTVHKVESSIQVPCSPETKGPPQLIKEDREILTQKIGHKPLEYFPFTEDGNLNSVEKGTKIKPVVQETLHLIPLFSADRLNSEVRRKPRKAYGKADLFYKGSNVKLDSEVDESSIKKETRTERLENTQIVLSKFPVPPPLPMSPSESWLCRTLPSLPTKNSPLRPYLGNGNPRNQAFKVPSDDPKWETMVKTTKGHHHHLRYSQETMALTPIPETQ >itb02g08650.t2 pep chromosome:ASM357664v1:2:5495625:5498967:1 gene:itb02g08650 transcript:itb02g08650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTRMEDKQLNLNQPLLSVRRISSTTNTGKDDKRTTDHSSYNVPRPPLYKSELKSGPVSNPGVVPFLWERSPGRPKDELSSQTDRLPIVPRLPPGRTLKAEQKNITKAPESPTIIAKSEMDNVPRESQPLSRKVNKPGSFGSSSDTVEDEEKFESADEDETYVDALDTLSRTESFFLNCSVSGLSGLDEPERNPLGNSPADPQTRDFMIGRFLPAAKAMASDMTSEVPQYAPRKQPVVHEQPQPPKKAIKADRRPQLRYGPSFAKHYYQSHDYAEEGSDDDDDDDNEHEYLPPKACGLLPRFCLRSPFFLMNPVPGMSVRTHVPMSPISKTQTRSSSAGSCSGTENERSTSDGSEQQSVFGLPTAEPYENTNDLEDELKMKTTRKVTDHKLDGSSTYKELSGNGISAYHDETPQRYDIKASLSTRGKSDNAGCDALESHKRKCKNFTGQINATQEDSGNPIVEKTLYVDTVHKVESSIQVPCSPETKGPPQLIKEDREILTQKIGHKPLEYFPFTEDGNLNSVEKGTKIKPVVQETLHLIPLFSADRLNSEVRRKPRKAYGKADLFYKGSNVKLDSEVDESSIKKETRTERLENTQIVLSKFPVPPPLPMSPSESWLCRTLPSLPTKNSPLRPYLGNGNPRNQAFKVPSDDPKWETMVKTTKGHHHHLRYSQVYSFVS >itb02g08650.t1 pep chromosome:ASM357664v1:2:5495608:5499395:1 gene:itb02g08650 transcript:itb02g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTRMEDKQLNLNQPLLSVRRISSTTNTGKDDKRTTDHSSYNVPRPPLYKSELKSGPVSNPGVVPFLWERSPGRPKDELSSQTDRLPIVPRLPPGRTLKAEQKNITKAPESPTIIAKSEMDNVPRESQPLSRKVNKPGSFGSSSDTVEDEEKFESADEDETYVDALDTLSRTESFFLNCSVSGLSGLDEPERNPLGNSPADPQTRDFMIGRFLPAAKAMASDMTSEVPQYAPRKQPVVHEQPQPPKKAIKADRRPQLRYGPSFAKHYYQSHDYAEEGSDDDDDDDNEHEYLPPKACGLLPRFCLRSPFFLMNPVPGMSVRTHVPMSPISKTQTRSSSAGSCSGTENERSTSDGSEQQSVFGLPTAEPYENTNDLEDELKMKTTRKVTDHKLDGSSTYKELSGNGISAYHDETPQRYDIKASLSTRGKSDNAGCDALESHKRKCKNFTGQINATQEDSGNPIVEKTLYVDTVHKVESSIQVPCSPETKGPPQLIKEDREILTQKIGHKPLEYFPFTEDGNLNSVEKGTKIKPVVQETLHLIPLFSADRLNSEVRRKPRKAYGKADLFYKGSNVKLDSEVDESSIKKETRTERLENTQIVLSKFPVPPPLPMSPSESWLCRTLPSLPTKNSPLRPYLGNGNPRNQAFKVPSDDPKWETMVKTTKGHHHHLRYSQETMALTPIPETQ >itb08g03620.t2 pep chromosome:ASM357664v1:8:2930865:2935739:-1 gene:itb08g03620 transcript:itb08g03620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALPPAPHWLPQDDFLLKNAAEAGASLQSLAKGAVQFSRRYTVQELQDRWNSLLYDPFVSERASTEMVQLECSFAISKLNRFENDKDIKSVSGKRKTESVRSCYYSMRKRVCNEAYPSMDMNFLTGSSNMSVPLLTDCVFEDSLADPFGDQQSGFDFIDNVFPDFEAAHTNATGGVVDATFFAMEHNDHFINSINVPENVSVTGSENVSVTGLEVPVLNSPVSDCGKSFQTFGHSPSSQMPGWTPIEGTSPVLHNFQLPKKEPEMVNDFTLPVDGGGNELNTPAYGIIQSEPNVEDQVPGDGGISTPIPDDLLAKIDSILNSSIEDDMFPMDYIGNEIINESYLDTYGSLLLDSPSAEQIANDSLPLVLVVPDENLNVAQPKESAEKYQYHCGDRYVVHGSEVQMLSSALSVNPAYPELRNGVICCTLNTEDPDIPSNDDVFLPIWMPSTSLPSMKQWKYDATFQSTSSSLRNALNNHTNNNGWSVPKKREQINNEQSYMSSQKVELLQHSGKGLNRSASDCGVSYEVPNSGTGNVIRRNTSSNEGPKLVTSPRITTICALPVPSKETVAQSDHAKNLNCNRDPHPLTYPNPLCLQKKTVTVKHELDSADNLQKNEPFCVEMVSTKATIPESSAEALLLEQEDVPGDSDEDIPHFSDVEALILNMDLSPDDKDICFHKEVAKYQHQQTKRTIMRLEQGAEAHIQRVIAAQGAFAVLYSRHSSHFIKKPEVLLGRGTAEAKVDIDLSGECCGNKVSRRQAIIKINEDGVFHLQNVSKHLIRVNGKEIVQNQSVHLTSSSLIEVWLACHNRG >itb08g03620.t1 pep chromosome:ASM357664v1:8:2930865:2935739:-1 gene:itb08g03620 transcript:itb08g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated (FHA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G54350) UniProtKB/TrEMBL;Acc:F4JCV6] MGALPPAPHWLPQDDFLLKNAAEAGASLQSLAKGAVQFSRRYTVQELQDRWNSLLYDPFVSERASTEMVQLECSFAISKLNRFENDKDIKSVSGKRKTESVRSCYYSMRKRVCNEAYPSMDMNFLTGSSNMSVPLLTDCVFEDSLADPFGDQQSGFDFIDNVFPDFEAAHTNATGGVVDATFFAMEHNDHFINSINVPENVSVTGSENVSVTGLEVPVLNSPVSDCGKSFQTFGHSPSSQMPGWTPIEGTSPVLHNFQLPKKEPEMVNDFTLPVDGGGNELNTPAYGIIQSEPNVEDQVPGDGGISTPIPDDLLAKIDSILNSSIEDDMFPMDYIGNEIINESYLDTYGSLLLDSPSAEQIANDSLPLVLVVPDENLNVAQPKESAEKYQYHCGDRYVVHGSEVQMLSSALSVNPAYPELRNGVICCTLNTEDPDIPSNDDVFLPIWMPSTSLPSMKQWKYDATFQSTSSSLRNALNNHTNNNGWSVPKKREQINNEQSYMSSQKVELLQHSGKGLNRSASDCGVSYEVPNSGTGNVIRRNTSSNEGPKLVTSPRITTICALPVPSKETVAQSDHAKNLNCNRDPHPLTYPNPLCLQKKTVTVKHELDSADNLQKNEPFCVEMVSTKATIPESSAEALLLEQEDVPGDSDEDIPHFSDVEALILNMDLSPDDKDICFHKEVAKYQHQQTKRTIMRLEQGAEAHIQRVIAAQGAFAVLYSRHSSHFIKKPEVLLGRGTAEAKVDIDLSGECCGNKVSRRQAIIKINEDGVFHLQNVSKHLIRVNGKEIVQNQSVHLTSSSLIEVRGLAFVFETNHSRVKQFVDGMRRGTMFKENED >itb12g13020.t2 pep chromosome:ASM357664v1:12:11711418:11715476:1 gene:itb12g13020 transcript:itb12g13020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTSTEPIVEDPCISEHPPPAKIVGGGGVETQTVHNKTPEELVAKAIAPVKREYLRPPPQRHHSDGNRAVTGVQNDDAAEAKSNPLLKEKKSKRQLKRERLQEQKSPLNLCPVVAKTGKVSACSYSDKCRFNHDVDAFNAQKPADIEGTCPFLDNEGPCPYGLACRFAGTHKDDLPVETLNSRRQCSELNVLSKETQKLLWKNKLKFTKAHATLKHLGITRHGINDKFSNQEEDDQIDTNGSSTTDNNEAPAYANIKLESSLLVTGEEGLDDAAVIEDDRPQKKAKSSINETPTFIDVNNGTAVMLSAATNIQETNLQRSQDRVTSAATVDHPAAETDKSLKVHPREKKLIDFKEKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEEFFGVQICGSYPDTVARTVELIEQECSVDFIDINMGCPIDIVVSKGGGSSLLTKPMRMKSVIAGATATVDTPITIKVRTGYFEGKNRIHSLIADIRNCGATAVTIHGRSRQQRYSKLADWGYIYQCAKTAPKSLQVLGNGDVFSYVDWNKHKNDCPELSTCMIARGALIKPWIFTEIKEQRHWDISSGERLDILKDYVRFGLQHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRINWRSPAYYGRDDLETLMASDSAADWIRISELLLGKVPEGFSFAPKHKSNAYDTAENG >itb12g13020.t3 pep chromosome:ASM357664v1:12:11711371:11715574:1 gene:itb12g13020 transcript:itb12g13020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTSTEPIVEDPCISEHPPPAKIVGGGGVETQTVHNKTPEELVAKAIAPVKREYLRPPPQRHHSDGNRAVTGVQNDDAAEAKSNPLLKEKKSKRQLKRERLQEQKSPLNLCPVVAKTGKVSACSYSDKCRFNHDVDAFNAQKPADIEGTCPFLDNEGPCPYGLACRFAGTHKDDLPVETLNSRRQCSELNVLSKETQKLLWKNKLKFTKAHATLKHLGITRHGINDKFSNQEEDDQIDTNGSSTTDNNEAPAYANIKLESSLLVTGEEGLDDAAVIEDDRPQKKAKSSINETPTFIDVNNASNIQEKVLQKSHDGDASVGTAVMLSAATNIQETNLQRSQDRVTSAATVDHPAAETDKSLKVHPREKKLIDFKEKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEEFFGVQICGSYPDTVARTVELIEQECSVDFIDINMGCPIDIVVSKGGGSSLLTKPMRMKSVIAGATATVDTPITIKVRTGYFEGKNRIHSLIADIRNCGATAVTIHGRSRQQRYSKLADWGYIYQCAKTAPKSLQVLGNGDVFSYVDWNKHKNDCPELSTCMIARGALIKPWIFTEIKEQRHWDISSGERLDILKDYVRFGLQHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRINWRSPAYYGRDDLETLMASDSAADWIRISELLLGKVPEGFSFAPKHKSNAYDTAENG >itb12g13020.t1 pep chromosome:ASM357664v1:12:11711371:11715587:1 gene:itb12g13020 transcript:itb12g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPTSTEPIVEDPCISEHPPPAKIVGGGGVETQTVHNKTPEELVAKAIAPVKREYLRPPPQRHHSDGNRAVTGVQNDDAAEAKSNPLLKEKKSKRQLKRERLQEQKSPLNLCPVVAKTGKVSACSYSDKCRFNHDVDAFNAQKPADIEGTCPFLDNEGPCPYGLACRFAGTHKDDLPVETLNSRRQCSELNVLSKETQKLLWKNKLKFTKAHATLKHLGITRHGINDKFSNQEEDDQIDTNGSSTTDNNEAPAYANIKLESSLLVTGEEGLDDAAVIEDDRPQKKAKSSINETPTFIDVNNASNIQEKVLQKSHDGDASVGTAVMLSAATNIQETNLQRSQDRVTSAATVDHPAAETDKSLKVHPREKKLIDFKEKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEEFFGVQICGSYPDTVARTVELIEQECSVDFIDINMGCPIDIVVSKGGGSSLLTKPMRMKSVIAGATATVDTPITIKVRTGYFEGKNRIHSLIADIRNCGATAVTIHGRSRQQRYSKLADWGYIYQCAKTAPKSLQVLGNGDVFSYVDWNKHKNDCPELSTCMIARGALIKVL >itb04g09070.t1 pep chromosome:ASM357664v1:4:8381894:8383842:-1 gene:itb04g09070 transcript:itb04g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGANASSGMGVAEHSKSTYMELQRKKVHRYVIFKIDEKKKEVVVEKTGGPAESYDDFTASLPETDCRYAVYDFDFVTSENCQKSKIFFIAWSPSVSRIRSKMLYATSKDRFRRELQGIHYEIQATEATEVDLEVLQERAN >itb01g19080.t2 pep chromosome:ASM357664v1:1:25073405:25076305:1 gene:itb01g19080 transcript:itb01g19080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVATMMTILDNVRTRIQKCQSFGTKKPDVVLSEVPPRRSYTDLRAGMRRCHQEAAGGDEENARLRRELNASTAARKSMEIMCSSLGKEKEIMAAELSRKAHELNGMEELINDLKAQNRTLLEKAHERASEQKEQDKSSNSSKGSSSEEDMQRNMALHERNKTLSEQVQIALDGYRSMKSRLKEAQEENAAMRATMEEMGAKVSASLERIRGFKEKFSMEEEEGEEEGNIQGEIAELEHMFECFEMQVSKHGGGGVKGECVKPKGGGEIINPRKPSVLA >itb01g19080.t1 pep chromosome:ASM357664v1:1:25073281:25076305:1 gene:itb01g19080 transcript:itb01g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFWALSSTPDSPSSSSGPSPEQAYDDSALEGVAANVKLLLKLIQDHKDACKKEKKDGRRMLRVATMMTILDNVRTRIQKCQSFGTKKPDVVLSEVPPRRSYTDLRAGMRRCHQEAAGGDEENARLRRELNASTAARKSMEIMCSSLGKEKEIMAAELSRKAHELNGMEELINDLKAQNRTLLEKAHERASEQKEQDKSSNSSKGSSSEEDMQRNMALHERNKTLSEQVQIALDGYRSMKSRLKEAQEENAAMRATMEEMGAKVSASLERIRGFKEKFSMEEEEGEEEGNIQGEIAELEHMFECFEMQVSKHGGGGVKGECVKPKGGGEIINPRKPSVLA >itb03g06370.t1 pep chromosome:ASM357664v1:3:4665510:4666307:1 gene:itb03g06370 transcript:itb03g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNHLRSISFPSRSHPNLQKVEEELTKLKTLQVSATPEAATISSGLLGLEQLYKCMDGLLNLPQTLQALSQNQNKKWIDGLLEKSVRLLDIGGLARDSVSQLKEQLRDLQSSLRRIKGDSSTEATITRYTSFIKKMNKDAKKSIAALKKINVEIDVSTPLDVHHDISAVMRALREASAVSTSIFQSALLFLSVPVLKPKTSRWSVVSKLVQNGRVACEYQHNTCNLETLEAQLEDIENTMESIFRCLIKLRSSILFKHRTQDA >itb02g15320.t3 pep chromosome:ASM357664v1:2:11134849:11139163:-1 gene:itb02g15320 transcript:itb02g15320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAISIFYLFIFKKLNLIVDATVMLCIVMKTSAGLNQQQSETQRQVQNQFISQSLATSSVVESSIPTSNELNLSSAVALHTASVGTDAIESDDLNQRGKAVNADQSSVTAERSSDDEYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGKITEIVYKGSHDHPKPQPSRRFTPGAMMPIQEDKFEKESFFNGQEDKFNSIAQTGLPEPSGIPILSPQRVGDDIHEGATLLLQGTNDDTDEDDPFSKRRKMDGCVDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDLPAARTSSHEMASTAPESGISRVRPEENDVISLDLGVGIGYGTENRTNDQLHSLAPETVPTQVLASGGGMMAVQAPAIVRYGIVNGGINRFGSRENHVQAPGFETLPLQSSNQCPQTLGRILMGP >itb02g15320.t4 pep chromosome:ASM357664v1:2:11134827:11138124:-1 gene:itb02g15320 transcript:itb02g15320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGGSAAFSFPDSRAFSQRKSSNFEFKFPIGSSSTSASTSIEPMTSAGLNQQQSETQRQVQNQFISQSLATSSVVESSIPTSNELNLSSAVALHTASVGTDAIESDDLNQRGKAVNADQSSVTAERSSDDEYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGKITEIVYKGSHDHPKPQPSRRFTPGAMMPIQEDKFEKESFFNGQEDKFNSIAQTGLPEPSGIPILSPQRVGDDIHEGATLLLQGTNDDTDEDDPFSKRRKMDGCVDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDLPAARTSSHEMASTAPESGISRVRPEENDVISLDLGVGIGYGTENRTNDQLHSLAPETVPTQVLASGGGMMAVQAPAIVRYGIVNGGINRFGSRENHVQAPGFETLPLQSSNQCPQTLGRILMGP >itb02g15320.t1 pep chromosome:ASM357664v1:2:11134861:11137846:-1 gene:itb02g15320 transcript:itb02g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGGSAAFSFPDSRAFSQRKSSNFEFKFPIGSSSTSASTSIEPMTSAGLNQQQSETQRQVQNQFISQSLATSSVVESSIPTSNELNLSSAVALHTASVGTDAIESDDLNQRGKAVNADQSSVTAERSSDDEYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGKITEIVYKGSHDHPKPQPSRRFTPGAMMPIQEDKFEKESFFNGQEDKFNSIAQTGLPEPSGIPILSPQRVGDDIHEGATLLLQGTNDDTDEDDPFSKRRKMDGCVDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDLPAARTSSHEMASTAPESGISRVRPEENDVISLDLGVGIGYGTENRTNDQLHSLAPETVPTQVLASGGGMMAVQAPAIVRYGIVNGGINRFGSRENHVQAPGFETLPLQSSNQCPQTLGRILMGP >itb02g15320.t2 pep chromosome:ASM357664v1:2:11134827:11139275:-1 gene:itb02g15320 transcript:itb02g15320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTVSPPSLPPTTFTFSPESSMEDSQSHSHSHSQSHAQHHSGEDLDALQSSRVIHAADGSSDASDAVFSRGVAGSNCGARYKLMSPAKLPIARSAGITIPPGLSPTSFLESPVLLSNIKAEPSPTTGSFSNLHLMQGSGGSAAFSFPDSRAFSQRKSSNFEFKFPIGSSSTSASTSIEPMTSAGLNQQQSETQRQVQNQFISQSLATSSVVESSIPTSNELNLSSAVALHTASVGTDAIESDDLNQRGKAVNADQSSVTAERSSDDEYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGKITEIVYKGSHDHPKPQPSRRFTPGAMMPIQEDKFEKESFFNGQEDKFNSIAQTGLPEPSGIPILSPQRVGDDIHEGATLLLQGTNDDTDEDDPFSKRRKMDGCVDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDLPAARTSSHEMASTAPESGISRVRPEENDVISLDLGVGIGYGTENRTNDQLHSLAPETVPTQVLASGGGMMAVQAPAIVRYGIVNGGINRFGSRENHVQAPGFETLPLQSSNQCPQTLGRILMGP >itb15g04120.t1 pep chromosome:ASM357664v1:15:2618286:2619314:-1 gene:itb15g04120 transcript:itb15g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAVVKRNFLLMGHAVIGKHVQCISSNVNLRLAQYEELVLSRFGRWDKIIATYLFPVGHPHRARWRSVTRKPQGDQAIWRLGTHTRSLKSQQNSIALDSKQHQLTSAFFCCPFQLPLKSFLFCSTLVFCGHTSIVGFLSFELPKFRGSFDDLNPCNWRELFCF >itb02g24910.t1 pep chromosome:ASM357664v1:2:25627368:25628640:1 gene:itb02g24910 transcript:itb02g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSFKAQVKVLCVEKDNIEKGIVDFISEHRIKKLVMGAAACRHYSRSSPRKLCMCVNKHLHSVRSGLYAKGIIFIQGVKIMTAAQLVKLLSGLFSVAFGQMVKFVVQHLCLILQESIAAEFLEMKQNWA >itb09g29910.t2 pep chromosome:ASM357664v1:9:30615589:30622837:-1 gene:itb09g29910 transcript:itb09g29910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDFLAGERRKAEFDVDAMKIAWAGSLSEFQLHDRISKLVASDPALSKEGRTMMPRKELFKNALRKVNHAWKLINELRLTEEEARKFRFYMDEPAYTDLHWGMFVPAIKGSGTEEQQKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPRTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLIVDGKDYGVNGFIVQLRSLEDHKPLPGITVGDIGMKFGSGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTRDGKYVQSDVPRQLLYGTMVYVRQAIVSDASTALSRAVCIATRYSAVRRQFGLQNDGQETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKSLYTDVTEKLQAGDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLNSSGLPELFAVYIPACTYEGDNTVLHLQVARFLMKTVSQLFSGKHPVGTTAYMGRLQQLLQCRSNVQQAKDWMNPSAVLEAYEARAARMIASCAKNLNNFANSEDGTNSSRLSSLLIMPKFTEVSFTLIYYPFNLSFFLQALQNYLRI >itb09g29910.t4 pep chromosome:ASM357664v1:9:30615589:30622921:-1 gene:itb09g29910 transcript:itb09g29910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDFLAGERRKAEFDVDAMKIAWAGSLSEFQLHDRISKLVASDPALSKEGRTMMPRKELFKNALRKVNHAWKLINELRLTEEEARKFRFYMDEPAYTDLHWGMFVPAIKGSGTEEQQKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPRTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLIVDGKDYGVNGFIVQLRSLEDHKPLPGITVGDIGMKFGSGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTRDGKYVQSDVPRQLLYGTMVYVRQAIVSDASTALSRAVCIATRYSAVRRQFGLQNDGQETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKSLYTDVTEKLQAGDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLNSSGLPELFAVYIPACTYEGDNTVLHLQVARFLMKTVSQLFSGKHPVGTTAYMGRLQQLLQCRSNVQQAKDWMNPSAVLEAYEARAARMIASCAKNLNNFANSEDGFAELSSDLVEAAVAHCQVIVVSK >itb09g29910.t3 pep chromosome:ASM357664v1:9:30615589:30622837:-1 gene:itb09g29910 transcript:itb09g29910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDFLAGERRKAEFDVDAMKIAWAGSLSEFQLHDRISKLVASDPALSKEGRTMMPRKELFKNALRKVNHAWKLINELRLTEEEARKFRFYMDEPAYTDLHWGMFVPAIKGSGTEEQQKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPRTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLIVDGKDYGVNGFIVQLRSLEDHKPLPGITVGDIGMKFGSGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTRDGKYVQSDVPRQLLYGTMVYVRQAIVSDASTALSRAVCIATRYSAVRRQFGLQNDGQETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKSLYTDVTEKLQAGDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLNSSGLPELFAVYIPACTYEGDNTVLHLQVARFLMKTVSQLFSGKHPVGTTAYMGRLQQLLQCRSNVQQAKDWMNPSAVLEAYEARAARMIASCAKNLNNFANSEDGTNSSRLSSLLIMPKFTEVSFTLIYYPFNLSFFLQALQNYLRI >itb09g29910.t1 pep chromosome:ASM357664v1:9:30615589:30622921:-1 gene:itb09g29910 transcript:itb09g29910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDFLAGERRKAEFDVDAMKIAWAGSLSEFQLHDRISKLVASDPALSKEGRTMMPRKELFKNALRKVNHAWKLINELRLTEEEARKFRFYMDEPAYTDLHWGMFVPAIKGSGTEEQQKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPRTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLIVDGKDYGVNGFIVQLRSLEDHKPLPGITVGDIGMKFGSGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTRDGKYVQSDVPRQLLYGTMVYVRQAIVSDASTALSRAVCIATRYSAVRRQFGLQNDGQETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKSLYTDVTEKLQAGDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLNSSGLPELFAVYIPACTYEGDNTVLHLQVARFLMKTVSQLFSGKHPVGTTAYMGRLQQLLQCRSNVQQAKDWMNPSAVLEAYEARAARMIASCAKNLNNFANSEDGFAELSSDLVEAAVAHCQVIVVSKFFEKLQQDIPGNGVKQQLENLFGIYSLYLIHKHQGDFLATGCITPKQASLANDQLRALYTLVRPNAVALVDAFNYTDHFLGSILGRYDGNVYPKLYEEAWKDPLNETVVPDGYHEYIRPLLKQQLGIARL >itb01g31860.t1 pep chromosome:ASM357664v1:1:35464967:35465666:-1 gene:itb01g31860 transcript:itb01g31860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSKGEGSGSGSTPAPAPDSGFECCMCGDHGLSFELFRCKSCQVRSQHRYCSNLYPKAESYRLCNWCLSDKDNSSNSSSSCRVKTEADRKIKNVKLPPPPPPQTEKNISPKGKKAPMKLRVKSPPPITKLKTTASPEQSPPSVVARKRVAAAGGGGIEERLRRTKSENGIVKPVFRNKVRRYKLLEEVSS >itb15g21670.t1 pep chromosome:ASM357664v1:15:24359336:24360175:1 gene:itb15g21670 transcript:itb15g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFANAWAMISKLGGDGEFIAEKKFVPFCDRTIVKDPYRTGDLMFGEIKKEIKVVPSEVEISTPRINKVRATYIMRRRDIENLKNLFIARRGIDYYVSGFTVTCAYIWTCWLRAEAAEEERTEYFSCIADCRSRLNPPLPASFFGNCVVGCRFAKSRNGVLVGGEGFVIAAELIGEAIRESVNDEEWILREEFWLSEFKEADPRRVVAVAGYPRMDLYGADFGWGKAEKVEFVSIDGGNSMSLCRCRDSEGDIEVGLAMPRAQMEAFAAIFADGLRSL >itb15g21670.t2 pep chromosome:ASM357664v1:15:24359468:24360175:1 gene:itb15g21670 transcript:itb15g21670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEIKKEIKVVPSEVEISTPRINKVRATYIMRRRDIENLKNLFIARRGIDYYVSGFTVTCAYIWTCWLRAEAAEEERTEYFSCIADCRSRLNPPLPASFFGNCVVGCRFAKSRNGVLVGGEGFVIAAELIGEAIRESVNDEEWILREEFWLSEFKEADPRRVVAVAGYPRMDLYGADFGWGKAEKVEFVSIDGGNSMSLCRCRDSEGDIEVGLAMPRAQMEAFAAIFADGLRSL >itb02g19000.t1 pep chromosome:ASM357664v1:2:15754021:15755280:1 gene:itb02g19000 transcript:itb02g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDNDKHHCDPKGTFTMTQVTIDGPCKAPIEVQVQATLKAPSDLLTLDRDKEWLTVNRVDHFTLSGRSVFDGQGVAAWDQNDCKKKSVCNNLPNNLSFNFLTNSVVRDITSLDSKLFHVNVLGGKNLTFDHFTIKAPADSHNTDGIHIAKIIDVNVKDSVIGTGDDCISIGDGTENLRITGVTCGPGHRISVRSLIGKTPVKSQLRKPSQVKISKVSYKNIKGTSATEEVVILACSSGVPCEGVEIGEINLTFKGGAAKSVCSNVKPTLTGKQVPPLVCVTGGAKSSYLK >itb03g19150.t1 pep chromosome:ASM357664v1:3:17293086:17300131:-1 gene:itb03g19150 transcript:itb03g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVGSVKEQLAKLFEESLRATFPDESDVQPMMAICNNPKFGDYQCNNAMSLWAKIKGKGTQFKGPQPVGQAIMNNLPQSDVIEKCSIAGPGFVNVVLSREWIAQSIQKMLLDGIETWAPKLPVKKTVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWEAASPQAIGDLEAFYKASKQRFDSDPDFKERAQKAVVSLQGGEEKYRKAWSQICEISRKEFEKVYHRLGVHLEERGESFYNPFIPKTIELLNEKGLVEESEGARVIFIEGKKIPLIVVKRDGGYNYASTDLTAIWYRLNEEKAEWMIYVTDVGQREHFEMVFAAAKLAGWFPSDENAYPKASHVGFGLVLGEDGKRFRTRSTEVVKLIDLLDEAKSRCKTALIERGKAAEWTEEELEQTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDIEELKKTGTLDLAHQDERTLGLHLLQFPEVVEEACTNLLPNLLCEYLYNLSEDFTGFYTNCQVVGSAQETSRLLLCEATAVVMRKCFHLLGITPVYKI >itb03g19150.t2 pep chromosome:ASM357664v1:3:17293086:17300131:-1 gene:itb03g19150 transcript:itb03g19150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFALKLQPSPSLSSPKLISFFNLSPHLSASRTLRSTSSRFRCVGKVTAKPISTMTAVGSVKEQLAKLFEESLRATFPDESDVQPMMAICNNPKFGDYQCNNAMSLWAKIKGKGTQFKGPQPVGQAIMNNLPQSDVIEKCSIAGPGFVNVVLSREWIAQSIQKMLLDGIETWAPKLPVKKTVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWEAASPQAIGDLEAFYKASKQRFDSDPDFKERAQKAVVSLQGGEEKYRKAWSQICEISRKEFEKVYHRLGVHLEERGESFYNPFIPKTIELLNEKGLVEESEGARVIFIEGKKIPLIVVKRDGGYNYASTDLTAIWYRLNEEKAEWMIYVTDVGQREHFEMVFAAAKLAGWFPSDENAYPKASHVGFGLVLGEDGKRFRTRSTEVVKLIDLLDEAKSRCKTALIERGKAAEWTEEELEQTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDIEELKKTGTLDLAHQDERTLGLHLLQFPEVVEEACTNLLPNLLCEYLYNLSEDFTGFYTNCQVVGSAQETSRLLLCEATAVVMRKCFHLLGITPVYKI >itb05g15500.t1 pep chromosome:ASM357664v1:5:22849164:22850293:1 gene:itb05g15500 transcript:itb05g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNLSINPFLSIITTLILIQAPATFCQNNSTSPNEYITCGEPFNCANVEGIGYPFWGGNRAAFCGHPGFELNCRNDFPEISIQSVQYRIFNISNQAQTANIARNDLLNNICPLHLQNASLDFNLFNYVPSGYHNITLFYGCTVKNRVSFRGNPDGLFNCSKDNHGQWACNSTSHSGFGSFPGIFNCSGDDPNCIIFNICSEGGDLGWACNGTGHVKFGSVPGIFNCSEGNSSLNGFGLGISPFTDLLVNITCGNEISATVGEGGFEDLGKASGFSEEAVRSSIASGFPVQWRANDEICKECVRSGGRCGSGGMNSTEFVCFCVNGTSSSICTRTHSHANSYNNGTIGMLPSLLHAAIIVKG >itb04g29350.t1 pep chromosome:ASM357664v1:4:32751266:32754126:1 gene:itb04g29350 transcript:itb04g29350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVSNPSSRRNSGTNCAMISSNPSKRHAIASGSKSFAHQSLKRISLLDCGKVGRGAGGQIALFLLKVAALESVRRISKSKCPPVWSGLQALQVVCYPPFKWISRWNPFGMLVKGMQMLSRPLLVLSIATAFSDNPECSDSTTESNEVSQEHIDPHDVSEFEPELSSAQSTTTLRVEDDPQQSVLPANWLPRLYKELENQGITLTDRINEEELHRFYTAADGDFLRLLSSVKKTIHWRETYRILSGQELEMWTSMVFWHGFDTKQHPILIVRLGLACSSLPFSDRPRFGQAVVSQVYHGTMHLADRENPQITVLVDCEGLSPLRLPMQMIRTCCTLLQNHFPNALGCLFVIRLPSIARVVAQTFVQVFKPVTRQKLRFLGDTYKNVLSECIETLPSYLGGSCTCLRCDIHKMRDDLYIHEDMPETGADSKHDDDLPSALSGEQTYAPYGARSLDEMLRMAILGVLILWVLFAFIAGIYDP >itb03g28690.t2 pep chromosome:ASM357664v1:3:29382781:29385981:1 gene:itb03g28690 transcript:itb03g28690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGVEVADQQQKVNKGIAEFYDESSGTWEDLWGDHIHHGFYDPHPHASDHRAAQIRMIEEVLRFASVSEDPEKKPKKIVDVGCGIEGSCTYLARKYCARCEGITLSPKQVKRAQALAAAQGLGEKVCFQVADALNQPFPDGEFDLVWCMETAEHIPDKAKLVSELARVAAPGGRIVIVTWCHRDTQHSNLHPQEKQLLNKIRNAVCLSPWSSASHYVNLLQSNSLQVTPNPEQARVGF >itb03g28690.t1 pep chromosome:ASM357664v1:3:29382781:29386725:1 gene:itb03g28690 transcript:itb03g28690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGVEVADQQQKVNKGIAEFYDESSGTWEDLWGDHIHHGFYDPHPHASDHRAAQIRMIEEVLRFASVSEDPEKKPKKIVDVGCGIEGSCTYLARKYCARCEGITLSPKQVKRAQALAAAQGLGEKVCFQVADALNQPFPDGEFDLVWCMETAEHIPDKAKLVSELARVAAPGGRIVIVTWCHRDTQHSNLHPQEKQLLNKIRNAVCLSPWSSASHYVNLLQSNSLQDIKVADWSENAAPSWPALRKSALSWKGITSLLTSGWKTLSGTLALQRMVIEGYKKGVIKYAVITCRKSHQL >itb03g21380.t1 pep chromosome:ASM357664v1:3:19243556:19245579:-1 gene:itb03g21380 transcript:itb03g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVNLLVENLTQLLKENYQLISGLKDDVERLLEDLGDFKAFLKEAGKVRYDNEVLKRLITKIRAVVNKAEDLIDRYVVAAKFHYDKKWKRYLDFEHPGRKKELAEEIRNIQENMEKIRQDSSYNINVLREEAIPNKGAAQAKVPTVEEEHVVGFDGEVDAIVKRLTEGEGFEVISIVGMPGLGKTTLANKVFKDERVEYYFMNRAWIYVSGVYKRRDVFLRILKELSKISNSYPDNMTDEDLAKEIKQILGSAKYFIVMDDVWTVEDWKALKIAFPMNNGSRVLVSTRDEVVARHANSRGDPHNLKFLTEEESWELLQKKVFREESCPFELKRPGRTIAKKCDGLPLAIVIIAGTLLGKPKSSGAYGTR >itb06g06930.t1 pep chromosome:ASM357664v1:6:9913585:9919316:-1 gene:itb06g06930 transcript:itb06g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSDEKENMPEEQKPLLSTSVSSKGGIKTLPFIIGSFGLMNMVPVALAANMIVYLMKEYHMDMASGTNFIYIWSALSNFAPVIGAFMADSFVGRFQMIGIGCFLSLVGTVLVWLSTMILQVRPPWCSESSESCSPATASQFAFLCIGLSVFAIGSGGVASSTLAFGADQLNELENKGSTLMESYVSMYLAMTSFSMFVGITCLIYIQDNFGWKVGYGVLVALVLFAVLVFFLGSAWYVRPNVKKNLITGLFQVMVASCRNRHLQSSLENAYYSKGSILSHPSEKLRFLNKACIIQDPQRDLATDGTAINPWRLCTVDQVEELKALLKVMPIWLTRMIMSINLTQASFVVIQATTLDRHIGPNFESPAASIGSLGLVFVVVWVVLYDRIVLPLASRISGKPFYFSMKSRMGCGIFVSFLSMVAMAVAEGIRRREAIEEGFSDEPDADVPMSILWVLPTYALTGIAEGMNGVALNEFYISEFPKSMSSIASSLYVLSMAFANLLSSLIMSVINRLTARDGEESWISSNINKGHYDYFYWVLSGLSMANFLLFLVFSKAYGPCKDQIQATEEEDIKL >itb06g21710.t1 pep chromosome:ASM357664v1:6:24296554:24299785:-1 gene:itb06g21710 transcript:itb06g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDADMMEAEHTAAQPSPPPQGMPSNSDHEEAVRNLLAMARQLIDQNKPSQALQAVVMAMRTRGGEEAVCQVLSRARQLYSNKVQASAAADELASLFAECAIAEAIPPRPGPSESNKMDHPTEIELHGTSILTESGRKQVMLDALSDGSSFICLQCGGLVNSHRREEHYSLWCL >itb06g21710.t2 pep chromosome:ASM357664v1:6:24296489:24299759:-1 gene:itb06g21710 transcript:itb06g21710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDADMMEAEHTAAQPSPPPQGMPSNSDHEEAVRNLLAMARQLIDQNKPSQALQAVVMAMRTRGGEEAVCQVLSRARQLYSNKVQASAAADELASLFAECAIAEAIPPRPGPSESNKMDHPTEIELHGTSILTESGRKQVMLDALSDGSSFICLQCGGLVNSHRREEHYSLWCL >itb04g20860.t1 pep chromosome:ASM357664v1:4:25861759:25863978:-1 gene:itb04g20860 transcript:itb04g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPLSCKSSMATILLLIGLLHIILLQNNGNGGLRLYDADSNTDVLNALRNSNIGVIVDVPNDKLQDLANPDTANDWVQSNIVPYSDVNFKYVAVGNEVYPENTSSDYALAALKNVHAALSAANKDRIKASTATYSAVLDNTYPPENGVFKDEAKNLMEPIVQFLAHNNLPLLANIYPYFGCDSAPLSFSLFSDNQLTEPCRVPKHV >itb14g10810.t1 pep chromosome:ASM357664v1:14:12305862:12307184:-1 gene:itb14g10810 transcript:itb14g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKIESKRIVKPLYEGNPPLTTSHVPLSVFDKVTFDAHIAIIYAYRPPTPPNSTILLGLRKTLAVYREWAGRLEKDEKGNPIILLNDKGVKFVEASSDTTLDKIMPLKPSASLLNLHPSLNGVVELIQVQLTRFKCGSLVIGFTSHHLIADGHSTSDFLIAWGKMCRGITIQPLPLNDRTIFVPRNPPKIEYNHVGAEYMPKQIMKNDPFQNNNDMNFLEDIVVHKVHFTFDFLAKLKAKASAMNDGARPYSTFESLVAHLWRAITKARNLGGFETTHIRISVDGRARLNPKIPNEYFGNLVLWAFPTAKVKDLLREPLPYAAKLIHDAVSKVNNNYFRSFIDFANSKVVTEKDGLVPTADMDKHILCPNLEVDSWLRFPFYDLDFGTGCPYIFMPTFFPTEGMMFLLPSFIGDGSIDAFIPLFQDNLATFQHNCYSLD >itb11g20220.t2 pep chromosome:ASM357664v1:11:21196537:21202075:1 gene:itb11g20220 transcript:itb11g20220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAVADSSPASVPLPLRVEPKAKSGIRQHDLLKRVVEVKPKRQRVTSPSEGSQPSKASRTHTSPSRLDTECKKESLARLNDEATNKTKVDNPVTSLLAAYESSDED >itb11g20220.t1 pep chromosome:ASM357664v1:11:21196537:21202075:1 gene:itb11g20220 transcript:itb11g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEVREYTNLTDPKDKKWGKNKDKIDDEEITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAVADSSPASVPLPLRVEPKAKSGIRQHDLLKRVVEVKPKRQRVTSPSEGSQPSKASRTHTSPSRLDTECKKESLARLNDEATNKTKVDNPVTSLLAAYESSDED >itb15g16990.t1 pep chromosome:ASM357664v1:15:17172385:17184133:-1 gene:itb15g16990 transcript:itb15g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAFLLLFLIFSSAYTTFSLYEDQVGLMDWHQRYIGKVKHAVFHTQKAGRKRVVVSTEENVLASLDLRHGDIFWRHVLGHKDVIDGIDIALGKYVITLSSGSTLRAWNLPDGQMVWESFLSGSSPSRSILLVLSNLKVDRDSLILIYSSGYLHTVSSTDGEVIWKKELANEGLDIQHIVHPEGTDDVYAVGLTSSSQFVAYKINARKGELLKHERMVFPGGFSGDISLVTSEKVVALDSTGSILVFIIFKDGLINFQQVHIADLVQDFTGAAVLLPSKLTGMVAIHINKFILFLRVTYEGKLEVVDKVAYGAVISESLSLSEGHQAFGLIKHGVGKIHLSVKSINDWGSNLLEESSAIDSQKGLVHKVFINSYIRTDRSYGFRALIVLEDHSLLLLQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVSKVEQNLFEWLKGHLLKLKGTLMLATPDDVAAIQQMRLKSSGKSKMTRDHNGFRKLLIVLSRSGKLYALHTGDGRIVWSFLVNALRKSETCENPNGLKIHQWQVPHHHAMDENPSVLVVGKCGLNSDAPSVLSFVDSYTGKELKILKHVHSIAQVIPLPYTDSTEQRLHLLIDTDGHAHLYPRTPEALGIFKSELGNIYWYSVDANNILRGHAVKENYFKDVGDDYYFATKYVWSVVIPSESEQIIATATRKLNEVVHTQAKVTVDRDVMYKYISKNMLFVATVAPKAAGDIGSVIPDESWLIVYLIDTVTGRILHRMTHQGSQGPVHAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDLLKLVLGKHNLTKPVSSYSRPEVLAKSQSYFFAQSVKTIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRTVNPTQAEKEDGIIPLTDSLPIIPQSYVTHAVKAEGLRGIVTVPAKLESTTLVFAYGVDLFFTQLAPSKTYDSLTDDFSYALLLLTIVALVVAIFITWIWSEQKELQEKWR >itb15g16990.t2 pep chromosome:ASM357664v1:15:17172385:17184133:-1 gene:itb15g16990 transcript:itb15g16990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAFLLLFLIFSSAYTTFSLYEDQVGLMDWHQRYIGKVKHAVFHTQKAGRKRVVVSTEENVLASLDLRHGDIFWRHVLGHKDVIDGIDIALGKYVITLSSGSTLRAWNLPDGQMVWESFLSGSSPSRSILLVLSNLKVDRDSLILIYSSGYLHTVSSTDGEVIWKKELANEGLDIQHIVHPEGTDDVYAVGLTSSSQFVAYKINARKGELLKHERMVFPGGFSGDISLVTSEKVVALDSTGSILVFIIFKDGLINFQQVHIADLVQDFTGAAVLLPSKLTGMVAIHINKFILFLRVTYEGKLEVVDKVAYGAVISESLSLSEGHQAFGLIKHGVGKIHLSVKSINDWGSNLLEESSAIDSQKGLVHKVFINSYIRTDRSYGFRALIVLEDHSLLLLQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVSKVEQNLFEWLKGHLLKLKGTLMLATPDDVAAIQQMRLKSSGKSKMTRDHNGFRKLLIVLSRSGKLYALHTGDGRIVWSFLVNALRKSETCENPNGLKIHQWQVPHHHAMDENPSVLVVGKCGLNSDAPSVLSFVDSYTGKELKILKHVHSIAQVIPLPYTDSTEQRLHLLIDTDGHAHLYPRTPEALGIFKSELGNIYWYSVDANNILRGHAVKENYFKDVGDDYYFATKYVWSVVIPSESEQIIATATRKLNEVVHTQAKVTVDRDVMYKYISKNMLFVATVAPKAAGDIGSVIPDESWLIVYLIDTVTGRILHRMTHQGSQGPVHAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDLLKLVLGKHNLTKPVSSYSRPEVLAKSQSYFFAQSVKTIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRTVNPTQAEKEDGIIPLTDSLPIIPQSYVTHAVKAEGLRGIVTVPAKLESTTLVFAYGVDLFFTQLAPSKTYDSLTDDFSYALLLLTIVALVVAIFITWIWSEQKELQEKWR >itb15g16990.t3 pep chromosome:ASM357664v1:15:17172385:17184133:-1 gene:itb15g16990 transcript:itb15g16990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAFLLLFLIFSSAYTTFSLYEDQVGLMDWHQRYIGKVKHAVFHTQKAGRKRVVVSTEENVLASLDLRHGDIFWRHVLGHKDVIDGIDIALGKYVITLSSGSTLRAWNLPDGQMVWESFLSGSSPSRSILLVLSNLKVDRDSLILIYSSGYLHTVSSTDGEVIWKKELANEGLDIQHIVHPEGTDDVYAVGLTSSSQFVAYKINARKGELLKHERMVFPGGFSGDISLVTSEKVVALDSTGSILVFIIFKDGLINFQQVHIADLVQDFTGAAVLLPSKLTGMVAIHINKFILFLRVTYEGKLEVVDKVAYGAVISESLSLSEGHQAFGLIKHGVGKIHLSVKSINDWGSNLLEESSAIDSQKGLVHKVFINSYIRTDRSYGFRALIVLEDHSLLLLQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVSKVEQNLFEWLKGHLLKLKGTLMLATPDDVAAIQQMRLKSSGKSKMTRDHNGFRKLLIVLSRSGKLYALHTGDGRIVWSFLVNALRKSETCENPNGLKIHQWQVPHHHAMDENPSVLVVGKCGLNSDAPSVLSFVDSYTGKELKILKHVHSIAQVIPLPYTDSTEQRLHLLIDTDGHAHLYPRTPEALGIFKSELGNIYWYSVDANNILRGHAVKENYFKDVGDDYYFATKYVWSVVIPSESEQIIATATRKLNEVVHTQAKVTVDRDVMYKYISKNMLFVATVAPKAAGDIGSVIPDESWLIVYLIDTVTGRILHRMTHQGSQGPVHAVLSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDLLKLVLGKHNLTKPVSSYSRPEVLAKSQSYFFAQSVKTIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRTVNPTQAEKEDGIIPLTDSLPIIPQSYVTHAVKAEGLRGIVTVPAKLESTTLVFAYGVDLFFTQLAPSKTYDSLTDDFSYALLLLTIVALVVAIFITWIWSEQKELQEKWR >itb06g19570.t1 pep chromosome:ASM357664v1:6:22850079:22851407:1 gene:itb06g19570 transcript:itb06g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSMDLIMFLTLSIFTLLSTQTINGFRVELIHPNSLSNPNRNPSTNQFHWIRQAYNNTRSRAASIQSRLGGGSAKFKTDIKSDGGGYVMKYSIGTPPFETYGIADTGSDVTWTQCKPCIECFRQSLPVFDPKNSKSYKTASCDSDACALVGSSVGSCSDQDICQYQLAYGDSSQSIGDVATDTLTIGDASFNNVVFGCGHENSGTFSGNASGIVGLGNSDISIVKQLDKDVGGKFAYCLSSQSDSKSHISFGTDAIVNGPGAVSTPFSIRPDQPTFYYLSLESISVGDQSFPLKQSFSSNVCGRRAGAKKTSSNGNIIIDSGTTLTLIPSDTFDNLKSELTKQISATPIEDPQGLFDLCYSTSDKIEVPKIVAHFSGADVELSPRGSFQEIEEGISCFTIIPDTEIGIAIFGNLSEVDYLVGYDLEAQTVTFKPTDCSKF >itb09g04630.t1 pep chromosome:ASM357664v1:9:2595063:2596337:1 gene:itb09g04630 transcript:itb09g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKLNLELIPKEKARNLTFKKRKEGIMKKMREFTTLCDVNACMIIYGPNSQSSEPAIWPNDRSEVERMIELYKEKVADNATRSYGISNFFMDRKKKLEEEVRKLKQKNLEAKYPTSLEFMDRLSKAELENFVRFLNGKLEASASRLEMMNEISNDINGELQQQSQAMQIFQGYNNNQDYINSVIDPMQMDNLGYVNPLQQQVVYNSPNLILDDDQEKNYGLLGYANPNPTPGRMIPMMKEAEYMHFAGGASSSSSGGAGGYIIYNNDTFQAGFRQQQQQINFYEPVGGGMSSNVMQAQYSMDRTTMQLAMAPPPPYMGGDLPPLPPCYLEMNEVKCTLPGLPGGGVNFPPQIISPPSYNYYLEDKETNTNNASTLLPPPPAAAGSGLPQLPPPYCMEEKEAAAAGSSSINQYQLTNQITRFS >itb09g30870.t1 pep chromosome:ASM357664v1:9:31432963:31434744:1 gene:itb09g30870 transcript:itb09g30870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKHCFSLVFMTFLYVTCTLAANANYNVESYGAKPDGKTDSTKAFMAAWTAACASTSPATINVPAGRFLLGSATTFGGQTCKSKAIRFEIDGTIVAPSDYNVIGKADNWIKFVRVSGVSIVGGTLDAKGAALWSCKSSAKSCPDGAGTLAFYNSNNVVIDGLSSQNSQKFHIRIESCHNAKLQGVKVSAAGNSPNTDGIHVQSSTGVTILNSHIGTGDDCISIGPGNSNLWIENIACGPGHGISIGSLGWKEQEAGVQNVTVKTVTFSGTQNGLRIKTWARPSNGFVKNVVFQHAVMSNVKHPIIIDQNYCPNNQNCPHQGSGVKISNIKYKDIHGTSATQVAMTFECSKTQPCRGITLDDVNLTYKNHPAQASCSNAGGTSSGSVTPASCL >itb05g27740.t1 pep chromosome:ASM357664v1:5:30961696:30964752:-1 gene:itb05g27740 transcript:itb05g27740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGLTFKLHPLVILNISDHYTRVKSQSQPPPPHSAPNGADPSSPAAAPPRVFGCVIGVQRGRTVEIFNSFELLYDPVTHSLDRGFLEKKQELYKKVFPNFYILGWYSTGSDAQESDMHIHKALMDINESPVYVLLNPSINHAQKDLPVTIYESELHVIDGIPQLIFVHASYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLLAMQKGEIPSENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLVTYLAMFTNCSSTMNDLVDKFNTAYDRHSRRGGRTSFI >itb11g20280.t1 pep chromosome:ASM357664v1:11:21278825:21279481:1 gene:itb11g20280 transcript:itb11g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDNRGIGRVPINWEVRLSIVKDIAKGLAYLHHSLPSHKAPHGNIASSNILILHRDNNHRQAYQPKLADYGLLPLLPSRESSARLAVARSPEFYQGKKLSGKADVYCFGIVLLEVITGKSPSALQASPGNADDGDLTDWVKEVVNNDWSTDILDLEILADKEGYDEMLKLTDLALQCTDTQPERRPTMGQVLRRIEEIEGERERKQFVESHESPHLS >itb13g14410.t2 pep chromosome:ASM357664v1:13:20973687:20979927:-1 gene:itb13g14410 transcript:itb13g14410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTVKRETHKNVEKLIQIKNGTKKSLKKRPKLNPKHQKTSSCLSKKMVIENPREGKGKGSSIGKTLQKAIFSKTKSPEKQSALTSKVRKLHKVDSKKNGGNEEADIEYDRLKKRRRAKRRKRNAELDEVSRLHRRTRYLLIKMKLEQNLIDAYSTEGWKGQSREKIKPEKELERAKLQILKCKLGIREAVHQLDLLSSVGHIDDEVIAPDGSVHHEHIICAKCKLRDAFPDNDIILCDGTCNCAFHQKCLDPPLSTENIPPDDEGWFCKLCKIRMEIIESTNVHLGTHFAVDSNWEDIFKEEAALPGGGDSLQCPEHEWPSDDSEDDDYDPEKFENSSSKSISNRESDDSDDASSSTSLGSLEDELFAFTGRPEESIGFENNSADIIAMLDSDQTTDFEVLNGPRHRTSVDYIKLNDELFGKNPPMNEQNSEDEDWGPTKRKRKKKEFESDAANTLMTLCENENDCQEKQSTEVEEKSYSGKTRRSIFRIPPNAVEKLRLVFAENELPSKEVKDNLSKELGLDSEKVNKWFKNARYLALKGRKKVEKTNPSKVVSPIASEECAADTVKVKIAEEVTAEDVATECAVQTSKNLKRTYRRKSAQKLICKSKKIQLRKAVRHSIGSNKAIVEFGDDMSLKLLRERVKEKRRLLCFNGKGGELEAEAEMERLCRIKNKVERLQLILLRFRDARYNKIAESPTPNSPFMYVPVAELKEKTQVS >itb13g14410.t4 pep chromosome:ASM357664v1:13:20973688:20979905:-1 gene:itb13g14410 transcript:itb13g14410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTVKRETHKNVEKLIQIKNGTKKSLKKRPKLNPKHQKTSSCLSKKMVIENPREGKGKGSSIGKTLQKAIFSKTKSPEKQSALTSKVRKLHKVDSKKNGGNEEADIEYDRLKKRRRAKRRKRNAELDEVSRLHRRTRYLLIKMKLEQNLIDAYSTEGWKGQSREKIKPEKELERAKLQILKCKLGIREAVHQLDLLSSVGHIDDEVIAPDGSVHHEHIICAKCKLRDAFPDNDIILCDGTCNCAFHQKCLDPPLSTENIPPDDEGWFCKLCKIRMEIIESTNVHLGTHFAVDSNWEDIFKEEAALPGGGDSLQCPEHEWPSDDSEDDDYDPEKFENSSSKSISNRESDDSDDASSSTSLGSLEDELFAFTGRPEESIGFENNSADIIAMLDSDQTTDFEVLNGPRHRTSVDYIKLNDELFGKNPPMNEQNSEDEDWGPTKRKRKKKEFESDAANTLMTLCENENDCQEKQSTEVEEKSYSGKTRRSIFRIPPNAVEKLRLVFAENELPSKEVKDNLSKELGLDSEKVNKWFKNARYLALKGRKVEKTNPSKVVSPIASEECAADTVKVKIAEEVTAEDVATECAVQTSKNLKRTYRRKSAQKLICKSKKIQLRKAVRHSIGSNKAIVEFGDDMSLKLLRERVKEKRRLLCFNGKGGELEAEAEMERLCRIKNKVERLQLILLRFRDARYNKIAESPTPNSPFMYVPVAELKEKTQVS >itb13g14410.t1 pep chromosome:ASM357664v1:13:20973687:20979927:-1 gene:itb13g14410 transcript:itb13g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTVKRETHKNVEKLIQIKNGTKKSLKKRPKLNPKHQKTSSCLSKKMVIENPREGKGKGSSIGKTLQKAIFSKTKSPEKQSALTSKVRKLHKVDSKKNGGNEEADIEYDRLKKRRRAKRRKRNAELDEVSRLHRRTRYLLIKMKLEQNLIDAYSTEGWKGQSREKIKPEKELERAKLQILKCKLGIREAVHQLDLLSSVGHIDDEVIAPDGSVHHEHIICAKCKLRDAFPDNDIILCDGTCNCAFHQKCLDPPLSTENIPPDDEGWFCKLCKIRMEIIESTNVHLGTHFAVDSNWEDIFKEEAALPGGGDSLQCPEHEWPSDDSEDDDYDPEKFENSSSKSISNRESDDSDDASSSTSLGSLEDELFAFTGRPEESIGFENNSADIIAMLDSDQTTDFEVLNGPRHRTSVDYIKLNDELFGKNPPMNEQNSEDEDWGPTKRKRKKKEFESDAANTLMTLCENENDCQEKQSTEVEEKSYSGKTRRSIFRIPPNAVEKLRLVFAENELPSKEVKDNLSKELGLDSEKVNKWFKNARYLALKGRKKVEKTNPSKVVSPIASEECAADTVKVKIAEEVTAEDVATECAVQTSKNLKRTYRRKSAQKLICKSKKIQLRKAVRHSIGSNKAIVEFGDDMSLKLLRERVKEKRRLLCFNGKGGELEAEAEMERLCRIKNKVERLQLILLRFRDARYNKIAESPTPNSPFMYVPVAELKEKTQVS >itb13g14410.t3 pep chromosome:ASM357664v1:13:20973688:20979905:-1 gene:itb13g14410 transcript:itb13g14410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTVKRETHKNVEKLIQIKNGTKKSLKKRPKLNPKHQKTSSCLSKKMVIENPREGKGKGSSIGKTLQKAIFSKTKSPEKQSALTSKVRKLHKVDSKKNGGNEEADIEYDRLKKRRRAKRRKRNAELDEVSRLHRRTRYLLIKMKLEQNLIDAYSTEGWKGQSREKIKPEKELERAKLQILKCKLGIREAVHQLDLLSSVGHIDDEVIAPDGSVHHEHIICAKCKLRDAFPDNDIILCDGTCNCAFHQKCLDPPLSTENIPPDDEGWFCKLCKIRMEIIESTNVHLGTHFAVDSNWEDIFKEEAALPGGGDSLQCPEHEWPSDDSEDDDYDPEKFENSSSKSISNRESDDSDDASSSTSLGSLEDELFAFTGRPEESIGFENNSADIIAMLDSDQTTDFEVLNGPRHRTSVDYIKLNDELFGKNPPMNEQNSEDEDWGPTKRKRKKKEFESDAANTLMTLCENENDCQEKQSTEVEEKSYSGKTRRSIFRIPPNAVEKLRLVFAENELPSKEVKDNLSKELGLDSEKVNKWFKNARYLALKGRKVEKTNPSKVVSPIASEECAADTVKVKIAEEVTAEDVATECAVQTSKNLKRTYRRKSAQKLICKSKKIQLRKAVRHSIGSNKAIVEFGDDMSLKLLRERVKEKRRLLCFNGKGGELEAEAEMERLCRIKNKVERLQLILLRFRDARYNKIAESPTPNSPFMYVPVAELKEKTQVS >itb07g21660.t1 pep chromosome:ASM357664v1:7:26174918:26177569:1 gene:itb07g21660 transcript:itb07g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPIFVIDSSEIDYVDDGGNRIDLSVRSKSSGANWILMETQNGCFRIPIMMGEELEVYVEVHDWQKIFCVCEIHRNSEGEVRYFPSTRGCIPSYNKEDGERKRKRKVQIGRGGHRRFLPITRGFIPSVMSLRNDAQRKRKKRKVQIGRGGTTIR >itb04g24020.t1 pep chromosome:ASM357664v1:4:28863128:28868017:-1 gene:itb04g24020 transcript:itb04g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVHGNKMSTATMRVVACLMEKGLDFQFVDIDMGADQHKQEPFLSLNPFGQVPAFEDGDLKLFESRAITQYIAYTCANKGSQLVCEDPKKMSTVSMWIEVENHHFDPAASALTWELGIKPVIGMATNDTIVEQNKERLSKVLDVYENRLIRSKYLGGDWFSLADLHHLPNMHCLIGTQVKTLFESRPNESSHLHFHRREIHPARAAMSSGSDHQATVIDGKAIAQTIRSEIASEIKVMSEKYGQVPGLAVVIVGNRKDSQSYVNMKRKSCAEVGIKSFDINLPEDVPEAELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCLELLFRSGVTIKGKKAVVVGRSNIVGLPVSLLLLKEDATIKGSWIKPGAAVIDVGTNAVDDPTKKSGYRLVGDVDFQEASKVAGCITPVPGGVGPLTVAMLLKNTLDGAKRVIEK >itb04g24020.t2 pep chromosome:ASM357664v1:4:28863128:28868017:-1 gene:itb04g24020 transcript:itb04g24020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVHGNKMSTATMRVVACLMEKGLDFQFVDIDMGADQHKQEPFLSLNPFGQVPAFEDGDLKLFESRAITQYIAYTCANKGSQLVCEDPKKMSTVSMWIEVENHHFDPAASALTWELGIKPVIGMATNDTIVEQNKERLSKVLDVYENRLIRSKYLGGDWFSLADLHHLPNMHCLIGTQVKTLFESRPNESSHLHFHRREIHPARAMSSGSDHQATVIDGKAIAQTIRSEIASEIKVMSEKYGQVPGLAVVIVGNRKDSQSYVNMKRKSCAEVGIKSFDINLPEDVPEAELISKVHELNANPDVHGILVQLPLPKHINEEKVLGEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCLELLFRSGVTIKGKKAVVVGRSNIVGLPVSLLLLKEDATIKGSWIKPGAAVIDVGTNAVDDPTKKSGYRLVGDVDFQEASKVAGCITPVPGGVGPLTVAMLLKNTLDGAKRVIEK >itb02g02360.t1 pep chromosome:ASM357664v1:2:1333996:1334898:1 gene:itb02g02360 transcript:itb02g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQKTFRDAISQDPKASLSSISSFFEKSKHNSSASASSSADHSQLLITRPPRQMVSAWTCSKLCAVCFVAGIFFGYTLKKRVRRWASKLLKQMKKD >itb15g21830.t1 pep chromosome:ASM357664v1:15:24484167:24485136:-1 gene:itb15g21830 transcript:itb15g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDGNTGGGSNQPVGEDHGVEALWAAFRAHEDNSNREFRELRGILEAIRLGMNGGGINPAGHPRQNQPVEGAIPRQAQHPPRRYNFPEQMDDSDDEDTTSHNYPAQQREYYRPKPLLVNSPWQHQLEILQRLTPRELLQTKILFLHYLIIPRRQ >itb09g04470.t1 pep chromosome:ASM357664v1:9:2501685:2504669:-1 gene:itb09g04470 transcript:itb09g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLGNGDGYDPLDPNGNISIKWDIIEENDDNQVVTVSIFNYQLYRHIDHPGWKLSWQWPGDGVIWDMWGAEATEQGNCSAFKSGQRPHCCEKKPVIIDLLPGTRYNKQFLNCCKGGVLSSMTQDPEKYLAAFQMSIGRVSSKSSKAAAVIPGNFTLGVPGYTCGEAFEVPPSKFVEDHGRRRTQAVGTWNITCSYSQFRAQSSPTCCVSLSAFYNKTIVSCPICTCGCEGQPGSAKCLKAGEQPPVVQLQHYSPPRAIVQCTKHMCPIRVHWHVKLSYTQHWRVKITITNYNFAKNFSQWNLVVLHPNLGKVTRVFSFNYRPLNQYGDINDTGMFYGIQYYNDMLLQAGKGGNVQTELLLNKDPTTFTFREGWGFPRRISFNGEECVLPQPDDYPRLPNSAPADSCLLQLLFLLFLVTFVV >itb06g26140.t1 pep chromosome:ASM357664v1:6:27515713:27516225:1 gene:itb06g26140 transcript:itb06g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGISRAQRQRHRNAYRSEDGRRHLLHFRCTEAAHDVIFFTASLRRSVHNSGAAWRRKDEQRRRSEEQQQRRCGNL >itb04g20630.t1 pep chromosome:ASM357664v1:4:25485357:25492001:-1 gene:itb04g20630 transcript:itb04g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSFPPRFSIKLSKPELVPPKTPTPKEKKALSDLDDQASLRYQMPGLLFYENKESMLGRDPATVIKEGLAKALVFYYPLAGRLFQGPNKKLIVDCNGEGALFLKAEANIALHKLGDFIHSPSPYLSKLLYNVPGSEGITGCPLLLIQVTRFTCGGIALGVRFNHTMVDGYGIVLFLKALCELAQGGSTPSVLPVWDREMLSATANPNPTCSHSVYEAPCFRNNFKLFDLEWWANKIFNVEKLASQPLYFFSPKILKPILVRSAFIIGPKEIQALRDQAIAQDYDMRQRSLAGLKLSPGYYGNAIVMQSATSIAKLLCDSPITYAIQLIREAKNKVNADYVKSVIDFMVTRGRPRMSVLRNMLVSDISRIGMEKIDFGWGDAIYAGASIAAYGATFLERPKSSNDTTEKSILVPISLPHIYMQIFKREFMKMTSSS >itb06g22530.t1 pep chromosome:ASM357664v1:6:24771412:24772156:1 gene:itb06g22530 transcript:itb06g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAVCISRMAVLRRSPPSPIGIPIGGSTKTLPSLGSNSVGAMTIECSSRPKKKATSLHIKTRPKKTQPWDVRRKGPTSYPPLPPLPPEWTLVSEENTAAAPPPEPVANSE >itb07g02170.t1 pep chromosome:ASM357664v1:7:1330760:1332627:-1 gene:itb07g02170 transcript:itb07g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVPPYLVAGLFIDVLSNYTSISECKAKTHEISKLPYSPSPPRNTAGAATKSANSFQFPIDRRFLVPEKPHERQQQRRAEQGTVTGRRKTSSGVHSRRRCRSHIELLRCSVRGETPQHEDNGEDDDFRDSQFRHFSQEYEEAQGFLRLR >itb01g04790.t4 pep chromosome:ASM357664v1:1:3255913:3261799:1 gene:itb01g04790 transcript:itb01g04790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MSEGESLPDDLRCNRTDGRQWRCKRRVLEGKKLCDIHYMQGRHRQMKQKVPDSLKFERARKMKKAPDKGHEIGVSRREKTLKVVRKLKAAAKRKRCVSEALDEALKRMELKRGDLPLELIRVFLKRQVEKKKQKELKNESDASVELMRELPNAVMAIPNLPSNNLNNGGFISDVKLGADPFSARQFRSKNIEPPPITTLQAVPFAKNLKKVKRKKCHWCRRTNYRVLVKCLSCKKQFFCMDCIKERHLEKPEIKAACPVCRGSCSCRICTKTKSKAINFKEFYRDKKRVNKTRLLYYLIHSLLPVLEKINQEQSIELEIEANISGKENSEVQIQQAAVGLKKLYCCNCKTSVLDYHRSCLNCSFVLCINCCSELRQRYECSKSNGASRGKSSDVDDDNELTVSMKQTSTSRLRSSWTQLASSISLCNWEPSIDGSISCPPTESGGCSGGFLHLRCLFPCNWFKELEISAQATLCHEKYEETKDASGSCSPCKEGDHKDCGVNNLLVELAQRLDTNDNFLYCPTLKDLWEEDFENFQKHWGKGHPVIVRNVLRSSSELSWDPVFMFCTYLEKRSKCPKNKEATKAPNSLDWCEVEIARKQVFMGSLQWQTHAAIRQQMIKFRAWLSSHLFEEQFPVHYLEILRALPLQEYMNPNSSLLNLAVKLPGEMASPDLGPSIYISYGGSEELVRTEFTTNLCYESYDVVNILAYATDTPISKEQLCKVKTLMKTFGAKDQRECTSNSTDQRGKSSLQSEDTEESGLQDVNGEHAQLPDAIAKVPFYSADSHKGQTFGVDDSNLLSDSDCDSGSDSEGSILCSESVDRLTDSDIDDFFHDSLESSNTHGTENSATICGAQWDIFRRQDVPKLLEYLRRHSKEFISACSYSKQPAHPILDQDFFLDAYHKLKLKEEFDVQPWTFDQHPGEAVIIPAGCPYQIRQLKSCVNIVLNFLSPENAAECIHLSDEIRLLPAHHKARRKLMEVKKMAVCGIRDAVGEIHDITTKLPS >itb01g04790.t1 pep chromosome:ASM357664v1:1:3255869:3261867:1 gene:itb01g04790 transcript:itb01g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MSEGESLPDDLRCNRTDGRQWRCKRRVLEGKKLCDIHYMQGRHRQMKQKVPDSLKFERARKMKKAPDKGHEIGVSRREKTLKVVRKLKAAAKRKRCVSEALDEALKRMELKRGDLPLELIRVFLKRQVEKKKQKELKNESDASVELMRELPNAVMAIPNLPSNNLNNGGFISDVKLGADPFSARQFRSKNIEPPPITTLQAVPFAKNLKKVKRKKCHWCRRTNYRVLVKCLSCKKQFFCMDCIKERHLEKPEIKAACPVCRGSCSCRICTKTKSKAINFKEFYRDKKRVNKTRLLYYLIHSLLPVLEKINQEQSIELEIEANISGKENSEVQIQQAAVGLKKLYCCSNCKTSVLDYHRSCLNCSFVLCINCCSELRQRYECSKSNGASRGKSSDVDDDNELTVSMKQTSTSRLRSSWTQLASSISLCNWEPSIDGSISCPPTESGGCSGGFLHLRCLFPCNWFKELEISAQATLCHEKYEETKDASGSCSPCKEGDHKDCGVNNLLVELAQRLDTNDNFLYCPTLKDLWEEDFENFQKHWGKGHPVIVRNVLRSSSELSWDPVFMFCTYLEKRSKCPKNKEATKAPNSLDWCEVEIARKQVFMGSLQWQTHAAIRQQMIKFRAWLSSHLFEEQFPVHYLEILRALPLQEYMNPNSSLLNLAVKLPGEMASPDLGPSIYISYGGSEELVRTEFTTNLCYESYDVVNILAYATDTPISKEQLCKVKTLMKTFGAKDQRECTSNSTDQRGKSSLQSEDTEESGLQDVNGEHAQLPDAIAKVPFYSADSHKGQTFGVDDSNLLSDSDCDSGSDSEGSILCSESVDRLTDSDIDDFFHDSLESSNTHGTENSATICGAQWDIFRRQDVPKLLEYLRRHSKEFISACSYSKQPAHPILDQDFFLDAYHKLKLKEEFDVQPWTFDQHPGEAVIIPAGCPYQIRQLKSCVNIVLNFLSPENAAECIHLSDEIRLLPAHHKARRKLMEVKKMAVCGIRDAVGEIHDITTKLPS >itb01g04790.t3 pep chromosome:ASM357664v1:1:3255913:3261799:1 gene:itb01g04790 transcript:itb01g04790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MSEGESLPDDLRCNRTDGRQWRCKRRVLEGKKLCDIHYMQGRHRQMKQKVPDSLKFERARKMKKAPDKGHEIGVSRREKTLKVVRKLKAAAKRKRCVSEALDEALKRMELKRGDLPLELIRVFLKRQVEKKKQKELKNESDASVELMRELPNAVMAIPNLPSNNLNNGGFISDVKLGADPFSARQFRSKNIEPPPITTLQAVPFAKNLKKVKRKKCHWCRRTNYRVLVKCLSCKKQFFCMDCIKERHLEKPEIKAACPVCRGSCSCRICTKTKSKAINFKEFYRDKKRVNKTRLLYYLIHSLLPVLEKINQEQSIELEIEANISGKENSEVQIQQAAVGLKKLYCCNCKTSVLDYHRSCLNCSFVLCINCCSELRQRYECSKSNGASRGKSSDVDDDNELTVSMKQTSTSRLRSSWTQLASSISLCNWEPSIDGSISCPPTESGGCSGGFLHLRCLFPCNWFKELEISAQATLCHEKYEETKDASGSCSPCKEGDHKDCGVNNLLVELAQRLDTNDNFLYCPTLKDLWEEDFENFQKHWGKGHPVIVRNVLRSSSELSWDPVFMFCTYLEKRSKCPKNKEATKAPNSLDWCEVEIARKQVFMGSLQWQTHAAIRQQMIKFRAWLSSHLFEEQFPVHYLEILRALPLQEYMNPNSSLLNLAVKLPGEMASPDLGPSIYISYGGSEELVRTEFTTNLCYESYDVVNILAYATDTPISKEQLCKVKTLMKTFGAKDQRECTSNSTDQRGKSSLQSEDTEESGLQDVNGEHAQLPDAIAKVPFYSADSHKGQTFGVDDSNLLSDSDCDSGSDSEGSILCSESVDRLTDSDIDDFFHDSLESSNTHGTENSATICGAQWDIFRRQDVPKLLEYLRRHSKEFISACSYSKQPAHPILDQDFFLDAYHKLKLKEEFDVQPWTFDQHPGEAVIIPAGCPYQIRQLKSCVNIVLNFLSPENAAECIHLSDEIRLLPAHHKARRKLMEVKKMAVCGIRDAVGEIHDITTKLPS >itb01g04790.t2 pep chromosome:ASM357664v1:1:3255869:3261867:1 gene:itb01g04790 transcript:itb01g04790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein B160 [Source:Projected from Arabidopsis thaliana (AT4G21430) UniProtKB/TrEMBL;Acc:Q8H1S7] MSEGESLPDDLRCNRTDGRQWRCKRRVLEGKKLCDIHYMQGRHRQMKQKVPDSLKFERARKMKKAPDKGHEIGVSRREKTLKVVRKLKAAAKRKRCVSEALDEALKRMELKRGDLPLELIRVFLKRQVEKKKQKELKNESDASVELMRELPNAVMAIPNLPSNNLNNGGFISDVKLGADPFSARQFRSKNIEPPPITTLQAVPFAKNLKKVKRKKCHWCRRTNYRVLVKCLSCKKQFFCMDCIKERHLEKPEIKAACPVCRGSCSCRICTKTKSKAINFKEFYRDKKRVNKTRLLYYLIHSLLPVLEKINQEQSIELEIEANISGKENSEVQIQQAAVGLKKLYCCSNCKTSVLDYHRSCLNCSFVLCINCCSELRQRYECSKSNGASRGKSSDVDDDNELTVSMKQTSTSRLRSSWTQLASSISLCNWEPSIDGSISCPPTESGGCSGGFLHLRCLFPCNWFKELEISAQATLCHEKYEETKDASGSCSPCKEGDHKDCGVNNLLVELAQRLDTNDNFLYCPTLKDLWEEDFENFQKHWGKGHPVIVRNVLRSSSELSWDPVFMFCTYLEKRSKCPKNKEATKAPNSLDWCEVEIARKQVFMGSLQWQTHAAIRQQMIKFRAWLSSHLFEEQFPVHYLEILRALPLQEYMNPNSSLLNLAVKLPGEMASPDLGPSIYISYGGSEELVRTEFTTNLCYESYDVVNILAYATDTPISKEQLCKVKTLMKTFGAKDQRECTSNSTDQRGKSSLQSEDTEESGLQDVNGEHAQLPDAIAKVPFYSADSHKGQTFGVDDSNLLSDSDCDSGSDSEGSILCSESVDRLTDSDIDDFFHDSLESSNTHGTENSATICGAQWDIFRRQDVPKLLEYLRRHSKEFISACSYSKQPAHPILDQDFFLDAYHKLKLKEEFDVQPWTFDQHPGEAVIIPAGCPYQIRQLKSCVNIVLNFLSPENAAECIHLSDEIRLLPAHHKARRKLMEVKKMAVCGIRDAVGEIHDITTKLPS >itb01g28060.t1 pep chromosome:ASM357664v1:1:32611038:32611403:-1 gene:itb01g28060 transcript:itb01g28060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHKSLMVMRMRPLTLHKTPAQDPDGDEAPAQAQDPDGDEAPAHAQDLDGDEAPAHAQDPDGDEAHAQAQVPDGDEAPAQAQVLDGDEAHAQAQVPDGDEAPAQAQDPDGDVAPAQAQGP >itb06g04360.t1 pep chromosome:ASM357664v1:6:6965824:6969585:-1 gene:itb06g04360 transcript:itb06g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFALFFNAQKKEEPNTVNSNSALSSLSGSSDPEGRPSSQDYNSRNASDASTESRGRTQFPNLSERPSNLRVFAFSELKTATKNFSHSTKIGEGGFGPVYKGTIKNSEDPTKRIDVAIKQLSRRGIQGHKEWMSEVNVLGVAEHENLVKLIGYCAEDDERGIQRLLVYEYMPNGSVENHLSAKSETPLSWIMRLKIARDAARGLVYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPAEGRTHVSTAVVGTMGYASPEYIQTGRLTFKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWIKPYVSDSKKFQMIVDPRLEGNFLRSAQKLSVVANRCLVRHPKSRPKMSEVLEMVNNVAEASTGLGNPRRPIRSSDPIPNKDDIDRKKVKR >itb01g02820.t2 pep chromosome:ASM357664v1:1:1822094:1827038:1 gene:itb01g02820 transcript:itb01g02820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGPSSIRNVLYSGKNSILPPKCPCPSISPSYIEYAPSIIQKGTPKPREGNLHHQRTSSESFLMDEQPSWLDDLLNEPDTPVYKGGHRRASSDSFTSSAYVDAANVANSGYIVQDHNKFRNLLPVPSWGSQLNYRGDACRTACFADPNAPSKHKSRARDASPNKIIHPSGIPSPRENAILQNSESLYSPQEDDLGDAWHTACYADPNALSKHRNRKRDASPNKITHPSGIPVPRENNIHQNSESLYPPQEDERAQSPGTVKHDAVESGPADTKSSAEKESSLAMISVSETDTKRAKQQFAQRSRVRKLQYIAELERTVQALQAHTIISLIRDLTWIVVFS >itb01g02820.t1 pep chromosome:ASM357664v1:1:1822094:1827038:1 gene:itb01g02820 transcript:itb01g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGPSSIRNVLYSGKNSILPPKCPCPSISPSYIEYAPSIIQKGTPKPREGNLHHQRTSSESFLMDEQPSWLDDLLNEPDTPVYKGGHRRASSDSFTSSAYVDAANVANSGYIVQDHNKFRNLLPVPSWGSQLNYRGDACRTACFADPNAPSKHKSRARDASPNKIIHPSGIPSPRENAILQNSESLYSPQEDDLGDAWHTACYADPNALSKHRNRKRDASPNKITHPSGIPVPRENNIHQNSESLYPPQEDERAQSPGTVKHDAVESGPADTKSSAEKESSLAMISVSETDTKRAKQQFAQRSRVRKLQYIAELERTVQALQVDGSVVSAELEFLNQQNLILSMENKALKQRLESLAQEHLIKYLEHEVLERERGRLRALYQQRQQLALPQLQKPLYSGHRLTKSVDFDKQFANLSMKE >itb06g00990.t1 pep chromosome:ASM357664v1:6:2181515:2198538:1 gene:itb06g00990 transcript:itb06g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MVQVPSLSPPPRPAFLVVFLKDLKVFSETPNRRRDGAFHSTRISEASTQFSVVMASDAPRARIDQFFASKKKRKFESPALKSPRVNKDAKISIEGSPGTKGSLESYLVNSKDNSTPLHEAGGSAVKRNLTLDLGLISKHGKEVAVSSRQAHSQGFETTGEGQKFEVLSNTGFAARELPKDSLESTGVQENLELKQFASDFLSLYCSEVPPSESLPLQQNVHPNKRHASPSTCDLDVMTSKGRRPFTADGSHSSVEDNAASSPNKYLEDTCNNVAAKSAYAIVRSSEVNVDRLAEPQISLRKCNNVPVAEATESLTPGLSATKHVAGTPSSGHRTSIFSPGETFWNEAIEVADGLFAGNKKVAYQVTKETEALNTRHEILSSNNLKNGGCGNKSNKLIEGIADKVSGVGMVPAIVPIRKIGKELDKEVSPLPVKHFDFALEDKFFSQSKNCQILSGQQAQHVSAANQYVHSTCNEAQVNGSSHEENVGTSKYAASKSKVEVFVQDNGSPMFNTPTERSGDIKAISESSQDDTPSSFALQKDRLDLSNWLPPEICNVYKKKGISKLYTWQVDCLHVDGVLENRNLVYCASTSAGKSFVAEILMLRRILSKGKMAILVLPYVSICAEKADHLEVLLEPLGKQVRSYYGNQGGGALPKDTSVAVCTIEKANSLVNRLLEEGRLSELGIIVIDELHMVGDRSRGYLLELLLTKLRYAAGEGNTESSSGESSGMSSGKADPAHGLQIVGMSATLPNVAAVADWLDAALYQTDFRPVPLEEYIKVGNTIYNRKMEIVRTMSKAAELGGKDPDHIVELCNEVVQDGHSVLIFCSSRKGCESTARHVAKYLKKFSISPHNNQTELFDIDSAIDALRRSPAGLDPILEETLPAGVAYHHAGLMVEERETVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGCDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEEIKRILRLINDSCPPLHSCLSDDKNGMIHAILEVVAGGIVQTANDIHRYVRCTLLNSTRPFEDVVKSAQDSLRWLCHRKFLEWSDDTKLYTTTPLGRASFGSCLSPEESLVVLDDLLRAREGFVLASDLHLVYLVTPINLEVEPDWDLYYSRFMELPTLDQSVGNRVGVQEPFLMRMAHGAAPVRSFNRSREGNKGLQVKLECKSGVSNHSVLSDEQVLRVSRRFFVALILTRLVQEVPVPEVCNAFKVTRGMVQGLQESAGRFASMVAVFCERLGWQDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKSGLRTPQAIAEASLPEIVKALFESSSWSEQGSVQRRMQLGVAKKIKNGARKIVLEKAEEARIAAFSAFKSLGLDVAPLSRPLLSTAAGNADRKQSSTSSDEKSTSSFVHPEHINHVVSTSANEGNEKINRVISAAGEENSKGRFSIQYNIAYGEAGEPVSAAEGYRSINSENETSTTLPLQFNSNEEKAYGEDIGHMVQKLHDRDGTSTRNDKNALESGPVNAVRSPGGFDTFLDLWDAAGEFYFDIHFNKRSELSTNVPFEIHGIAICWQNSPVYYVNFPKDLFWSNSKKNLLLSNVFGDNDALSPKHQWEMALQRWDRIRTIMGKNNVKKFTWNLKKQIQVLECPAVSILRFGSVNAVAKAEGLNLNEGYYILSPVHLQDAFDLCIVAWILWPDEEKGSSLSLEKEVKKRLSSEAAASANQNGRWKNQMRKAAHNGCCRRVAQTRALCLVLWKLLISEGLLKPLTAVEMPLVDILSDMELWGIGVDMEGCLRAREIVRRKLKCLEREAYMLAGMNFSLNTPADIANVLYNHLKLPVPNSDDKGKQHPSTDKHCLDLLRDEHPIIPVIKEHRTLAKLLNCTLGSICSLARLSMRTQRYTLHGHWLQTSTGTGRLSMEEPNLQCVEHMIDFKMNNNDKADGESDADHYKINARDFFVPTQENWLFLTADYSQIELRLMAHFSKDSSLIELLSNPHGDVFTMIAARWTGKSESIVSSKERDQTKRLVYGILYGMGSKSLAEQLGCSSDEAIEKIQSFKGSFPGVASWLQEAVSICREKGYVETLNGRKRFLSKIKFGNSKEKSKAQRQAVNSICQGSASDIIKIAMISVHSAIVKDIPKSRSNSALPENFDMLKGRCRIVLQVHDELVLEADPSVVKEAGMLLRTSMENAVSLLVPLRVKLMVGRTWGSLEPFLVEGSNSDVTVPTS >itb12g21240.t2 pep chromosome:ASM357664v1:12:23631029:23633329:1 gene:itb12g21240 transcript:itb12g21240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNHYIMHLPLIIAFILALLVPAVSAIPSKSLSSIVKELNEEGPYLGLITVYPPEENAFFSTNSFKPHPTHPYVDLSGRRFRAGKVEGKKVIYVRCGVGMVNAAAATQQMLDLFDVTGVVHFGIAGNANDSLSIGDVSIPRQLAQTGVWDWLNPNSTIPASDAAVLEIERYNVPEGGSNQLGRIGYSPEEDPSTAQRTIWFNTSKHWLRLASNLQGVKLERCVNSSVCLSQQPKLFVGLKGSTSNIFIDNAAYREFLFQTFGISSVDMESAAVVKASMNVNIIVVCGVRITY >itb12g21240.t1 pep chromosome:ASM357664v1:12:23631029:23633329:1 gene:itb12g21240 transcript:itb12g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNHYIMHLPLIIAFILALLVPAVSAIPSKSLSSIVKELNEEGPYLGLITVYPPEENAFFSTNSFKPHPTHPYVDLSGRRFRAGKVEGKKVIYVRCGVGMVNAAAATQQMLDLFDVTGVVHFGIAGNANDSLSIGDVSIPRQLAQTGVWDWLNPNSTIPASDAAVLEIERYNVPEGGSNQLGRIGYSPEEDPSTAQRTIWFNTSKHWLRLASNLQGVKLERCVNSSVCLSQQPKLFVGLKGSTSNIFIDNAAYREFLFQTFGISSVDMESAAVVKTSVSNGFPVIVIRGLSDLAGAQRGENVIGLFGPLAAINTANVVNQFVKSLPPDTTAGN >itb04g22950.t1 pep chromosome:ASM357664v1:4:28175013:28176976:-1 gene:itb04g22950 transcript:itb04g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNLVLPLVSIFLVVAVVLGAVLVLHIGSSNDAPSKNNNQIVSTGYMKSVTTFCQYAEYKDSCAKSISPVAKNQSATTKDFILAALKATIEEVSESHKLVVNTQVDENADPYSHMALEDCKDMLEDAIDDLEASLSVVGDSTLHNLNDRGDELLNWVSAVYAYQTTCTEQIEKPEYKSAIQDGMINATQLTNNVINIIADMAKVLEAFNITKAVDLLHSGAAATSTSSSSTSNSTTTTNSRRLLQEDGDFPSWFPAADRKLLAAQRAGKLKPNVVVAKDGSGKFKSIKKALKAYPKKYKRKYTIYVKAGVYDETVVVKKKMHNIFMYGDGAGKTIITGKKNFAFMKITTSKTATFSALGERFVARGITFRNTAGAEGHQAVAYRSQSDMSALFDCSIEGYQDTLYYHTYRQFYRNCVISGTIDFIFGKGSALIQNSVIIARKPLANQFNTITADGKALAKAKGGVVLQNCRIVPEAALFPSRFKLKTYLGRPWKAYSTSVVMESQIGDVVNPIGWKVWDGEKFEDTSICWEYKNFGPGANTVMRNRAFKKWEVVGPIKARQFTVGSWLNGDSWLPATGIPFALGFTRG >itb06g04760.t6 pep chromosome:ASM357664v1:6:7558206:7563005:-1 gene:itb06g04760 transcript:itb06g04760.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MINSAGSNSMMASSSSTSGNNAQSPGLKTHFKTPEGRYKLHYDKTYPTGYTQYGQTQSQAKTFTQATLAHLKDKPMQAQSQSSFVGSSGVRSAAARLLGGGNGTKSLSFVGANGGSKSVSGMSTRIGSLGASSTNHYVGNSNFDGKGNYLVFNFGEDIFICDLNSRDKDPIKSLHFSSNPPVCHAFDPEAKDSHDLLIGLASGDVYLVSLRLQLQEIGKKLVGAQHYNKDGSVNSTRCTTIAWVPNSDGTFVVGHADGSFYVYDKSKDSSSDPSFPAIKDQTQFSVSHARNSKNPVARWHILQGSINSIAFSTDGAYIATVGRDGYLRVFDYRNEQLVCGGKGYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEERKVVAWGEGHNSWVSF >itb06g04760.t5 pep chromosome:ASM357664v1:6:7558206:7562370:-1 gene:itb06g04760 transcript:itb06g04760.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLINFDVQATLAHLKDKPMQAQSQSSFVGSSGVRSAAARLLGGGNGTKSLSFVGANGGSKSVSGMSTRIGSLGASSTNHYVGNSNFDGKGNYLVFNFGEDIFICDLNSRDKDPIKSLHFSSNPPVCHAFDPEAKDSHDLLIGLASGDVYLVSLRLQLQEIGKKLVGAQHYNKDGSVNSTRCTTIAWVPNSDGTFVVGHADGSFYVYDKSKDSSSDPSFPAIKDQTQFSVSHARNSKNPVARWHILQGSINSIAFSTDGAYIATVGRDGYLRVFDYRNEQLVCGGKGYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEERKVVAWGEGHNSWVSGVSFDSYWSTPNSDGTGENIVYRFGSVGQDTQLLLWDLEMDEIVVPMRRPSGGSPTFSTGSQSAHWDTACPMGTLHPAPSMRDVPKLSPLVAHHVHTEPLSGLIFTHESVLTICREGHIKVWTRPGSGESSTSNSGSLLSTSLKEKQSISSKAVSSSFKQ >itb06g04760.t4 pep chromosome:ASM357664v1:6:7558206:7562998:-1 gene:itb06g04760 transcript:itb06g04760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPRPKPLLRQPLLILKTSQCRHNRSQVLWAAVVLGLQQQGCWVVEMGLSHLVLLEPMVEDPIKSLHFSSNPPVCHAFDPEAKDSHDLLIGLASGDVYLVSLRLQLQEIGKKLVGAQHYNKDGSVNSTRCTTIAWVPNSDGTFVVGHADGSFYVYDKSKDSSSDPSFPAIKDQTQFSVSHARNSKNPVARWHILQGSINSIAFSTDGAYIATVGRDGYLRVFDYRNEQLVCGGKGYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEERKVVAWGEGHNSWVSGVSFDSYWSTPNSDGTGENIVYRFGSVGQDTQLLLWDLEMDEIVVPMRRPSGGSPTFSTGSQSAHWDTACPMGTLHPAPSMRDVPKLSPLVAHHVHTEPLSGLIFTHESVLTICREGHIKVWTRPGSGESSTSNSGSLLSTSLKEKQSISSKAVSSSFKQ >itb06g04760.t2 pep chromosome:ASM357664v1:6:7558206:7563038:-1 gene:itb06g04760 transcript:itb06g04760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSAGSNSMMASSSSTSGNNAQSPGLKTHFKTPEGRYKLHYDKTYPTGYTQYGQTQSQAKTFTQATLAHLKDKPMQAQSQSSFVGSSGVRSAAARLLGGGNGTKSLSFVGANGGSKSVSGMSTRIGSLGASSTNHYVGNSNFDGKGNYLVFNFGEDIFICDLNSRDKDPIKSLHFSSNPPVCHAFDPEAKDSHDLLIGLASGDVYLVSLRLQLQEIGKKLVGAQHYNKDGSVNSTRCTTIAWVPNSDGTFVVGHADGSFYVYDKSKDSSSDPSFPAIKDQTQFSVSHARNSKNPVARWHILQGSINSIAFSTDGAYIATVGRDGYLRVFDYRNEQLVCGGKGYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEERKVVAWGEGHNSWVSGVSFDSYWSTPNSDGTGENIVYRFGSVGQDTQLLLWDLEMDEIVVPMRRPSGGSPTFSTGSQSAHWDTACPMGTLHPAPSMRDVPKLSPLVAHHVHTEPLSGLIFTHESVLTICREGHIKVWTRPGSGESSTSNSGSLLSTSLKEKQSISSKAVSSSFKQ >itb06g04760.t3 pep chromosome:ASM357664v1:6:7558206:7562998:-1 gene:itb06g04760 transcript:itb06g04760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MINSAGSNSMMASSSSTSGNNAQSPGLKTHFKTPEGRYKLHYDKTYPTGYTQYGQTQSQAKTFTQAQSQSSFVGSSGVRSAAARLLGGGNGTKSLSFVGANGGSKSVSGMSTRIGSLGASSTNHYVGNSNFDGKGNYLVFNFGEDIFICDLNSRDKDPIKSLHFSSNPPVCHAFDPEAKDSHDLLIGLASGDVYLVSLRLQLQEIGKKLVGAQHYNKDGSVNSTRCTTIAWVPNSDGTFVVGHADGSFYVYDKSKDSSSDPSFPAIKDQTQFSVSHARNSKNPVARWHILQGSINSIAFSTDGAYIATVGRDGYLRVFDYRNEQLVCGGKGYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEERKVVAWGEGHNSWVSGVSFDSYWSTPNSDGTGENIVYRFGSVGQDTQLLLWDLEMDEIVVPMRRPSGGSPTFSTGSQSAHWDTACPMGTLHPAPSMRDVPKLSPLVAHHVHTEPLSGLIFTHESVLTICREGHIKVWTRPGSGESSTSNSGSLLSTSLKEKQSISSKAVSSSFKQ >itb06g04760.t1 pep chromosome:ASM357664v1:6:7558206:7563038:-1 gene:itb06g04760 transcript:itb06g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSAGSNSMMASSSSTSGNNAQSPGLKTHFKTPEGRYKLHYDKTYPTGYTQYGQTQSQAKTFTQATLAHLKDKPMQAQSQSSFVGSSGVRSAAARLLGGGNGTKSLSFVGANGGSKSVSGMSTRIGSLGASSTNHYVGNSNFDGKGNYLVFNFGEDIFICDLNSRDKDPIKSLHFSSNPPVCHAFDPEAKDSHDLLIGLASGDVYLVSLRLQLQEIGKKLVGAQHYNKDGSVNSTRCTTIAWVPNSDGTFVVGHADGSFYVYDKSKDSSSDPSFPAIKDQTQFSVSHARNSKNPVARWHILQGSINSIAFSTDGAYIATVGRDEMSSLYVVEKDIMVLYYAVLGVWMVNIS >itb05g14420.t1 pep chromosome:ASM357664v1:5:21551800:21559922:1 gene:itb05g14420 transcript:itb05g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSSAAKPYDLENAAARYAPLPAGPHSCEIPTSRRPSLKSISTILLSSLFLCSLIILLVFNQSSIEPDGPVVFAGSGTRSAESVNPGVVLPPPSRGLSQGVSEKAFRGVSGGRISYPWSNLMLTWQRTAYHFQPEKNWMNGFAFWLILVPGGYFCGDDSSLEYSGWFCLAKDPDGPLYHKGWYHFFYQYNPDSAVWGNITWGHAVSRDLIHWFHLPFAMVPDQWYDINGVWTGSATILPDGQIVMLYTGSTDENVQVQNLAYPADLLDPLLLDWVKYSGNPVLVPPPGIGAQDFRDPTTAWKSPNVGKWLLTIGSKVNKTGISLVYETTNFTDYKLLDGVLHAVPGTGMWECVDFYPVSTIGAVGLDTSANGPGIKHVLKASLDDDKHDYYAIGTYDPFNNTWTPDNPMIDVGIGLRIDYGKYYASKTFYDQNKGRRILWGWIGETDSEADDLMKGWASVQTIPRTVLLDTKTYTHLLQWPVEEVESLRSGDPILTDVNLQPGSVVPIHVNTSTQLDISAWFEVENTSSLEADVGYNCSSSSGAVTRGALGPFGLLVIADETLSELTPVYFYIAKGTDGKAETHFCTDQTRSSESSSVGKEVYGSTVPVLEGETFTTRILVDHSIVESFAQGGRTVITSRIYPTKAIYGDARVFLFNNATQASVKASVKIWEMGSADIKPFSFINQL >itb03g14330.t1 pep chromosome:ASM357664v1:3:14103722:14105413:1 gene:itb03g14330 transcript:itb03g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKETLRKCSHCGHNGHNSRTCKGCSSIRLFGVKINVSGDENHHDPIRRCKSMGSLQQALKSANNNGDHGGGGGPGDAGYLSDDSNRTRKKGTSWTEDEHRSFLIGLEKLGKGDWRGISRTYVPTRTPTQVASHAQKYFIRMSSCDKKKRRSSVFDLGLNDTNQAPPPSSSDKAPPEIPKPASVPPSAPPVTKDESKGKAIETASEKLPIAPVDKAFTVLNLGHERPPISQNTTCEKPPISPVSPLVPPSFNCMPYMNVPATRMMPVVSWAPVVTYPSYGYLGNSHGNYAAPAGCVQYVPPRPAGPLLPRETRRGGPHGGLVAPATKKDGLDLNMGALKL >itb14g01900.t1 pep chromosome:ASM357664v1:14:1575111:1576779:-1 gene:itb14g01900 transcript:itb14g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNLFIAAGEESVELGVQNQRQKGVAEEVYVHPYSIIPPNPSVGLDLEDQSLDDRCIFHVPLVPIEEIELEEGEVLPPCEICASSQPLSTSIHGNPIIPGYGGLKLDPELIAAIVTVVRSAQIDLIDPDLLTMFLSNPQLVEKEMKVLLASSLLATEEIPKPSMAERKPELIALPHAGMPNMAAGGDPLSRAQCSSSVPISKREHESVGDKPPCKFFNTSKGCWNGSKCRFSHDVDAPYAKRRKA >itb11g02560.t1 pep chromosome:ASM357664v1:11:1311624:1311980:1 gene:itb11g02560 transcript:itb11g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKNTAGVSIPEEIVFEILSKLPAKTLVRFRCVSKLFCALIADHAFGVVHRSLSLTLPSRAGVLISIRSPSPHVRRPRAYYTLNFTPARECFKPTVSPTLTMHPFDAPPPTACLFV >itb07g05530.t1 pep chromosome:ASM357664v1:7:3817678:3819635:-1 gene:itb07g05530 transcript:itb07g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMALSMRFTLFLWVSLASKKQRRRSNTRKRRAEESLQSWRYALSGSVKQEQPSLGEKVEPPKIQHSAMEIEGEPSGLGDKEIEGEPSGLGDKVEEPPENKEGDDIMAEPSTGEDKQEMMALTLQKNAELINAVLEGNFAEDGDDDMMNSVPDPTDLARLQADKLIKYLGKISETLGELCEIVQECFSRSRSAPYVCRSGAPRLASCRLRSSSTSISAARRSPASSSTVAGCLACCSVTRLLLLR >itb11g00580.t1 pep chromosome:ASM357664v1:11:259369:261034:1 gene:itb11g00580 transcript:itb11g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQHIAIFTTASIPWLTGTAVNPLFRAAYLAKNGERKVTLVVPWLPLKDQQHLFPNNITFCNPSEQESYALQWVEERTGFKSNFRIHFYPAKFYVEKRSILGLGDITVVIPDDEADIAVLEEPEHLTWYHHGKRWKSKFRLVIGIVHTNYREIVKRERNALAAFFLKYINTWVVNIYCHRDLPRSIVCNVHGVNPKFLEIGEKTKWQQQNGAQTFTKGAYYIGKMLWSKGYGELLKLLSDHQNNLAGIEIDLYGSGEDSARVQLAAKKLELAIRIHPGQDHSDPKFNDYKVLLNPSTTDVVCTATAEAIAMGKIVVCAKHPSNEFFMQFPNCWTYNDDDDGFVNATLKALSEQPAPLTDKQRHELSWEAATARFLQAAQLDNVPKQKQPKTSSKPFLSKSISLHSHLESASAFVHYIGTGLLYSPPNDEQCRELGLPVPSKKWRLLPSER >itb04g33980.t1 pep chromosome:ASM357664v1:4:36036528:36039165:-1 gene:itb04g33980 transcript:itb04g33980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMTSVSPFLPIIITLFLLPTFSSSQTNVSIGSTLIAGDENQPPWISPSGEFAFGFWQIDHTDQFLVAVWYNNISEKTLAWYANGDNPAQKGSNLNLTSNRGLLLVSPNGEETQISDPIVRTVTHGSMLNTGNFVLFNGDSESLWESFKNVKDTILPGQAFKEGFSLSSRLSETNYSRGRFLLSLLGNGNLELATVNLPGEYKNEAYYLNGTIDGSGSSDYQLIFNESAWIFTLANNKIATIFSEGEKGPSRSFYHYARLDFDGIFTHYRRSKAFNDDKGWSVVWSIPDNICLANLVTKGSGVCGYNKICSLVNGNRPYCSCPSPFYQVNPEDDYRGCTPTFVQNCIDDPNDAESLYDFRTVTNIDWPMTDYEVLKPFNEDECKDSCLHDCMCAVVVFNGNTCWKKKLPLSNGRVGSSVNRRVFIKQRRGDSPAESSKSSEPNKSKNTIIVIISVFLGSSVLINFILLSVMCLGFFLVYRNRFTQFNRGGSSMDQNLRYFSYKELVNATDLFKEELGRGAFGIVYKGVINSGRSPIFVAVKRLDRVIQDGDKEFKTEVNVIGQTHHKNLVRLIGFCEEGSNRLLVYEFLKNGTLANFIFGDLKLTWNQRTQIALGIARGLLYLHDECSTQIIHCDIKPQNILLDDSYVPRISDFGLAKLLRMDQSETQTAIRGTKGYVAPEWFRNMAITVKADVYSFGVLLLEIVCCRKNAALELGGEQAFLSFWAYDCYREGTTEKLVGNDSDAAFDKKRLERFIMVALWCIQEDPHLRPTIKKVVLMLEEVVEVPGPPCPTPYSTGSSMLDSA >itb07g01900.t1 pep chromosome:ASM357664v1:7:1135342:1136184:-1 gene:itb07g01900 transcript:itb07g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSKSAVIGGYKGLLLCYPVLVFLTLVLLLQIGIWTCPSSSSSSLRLMFSSSSYSSPSSLNESPTNLSHLVFGLLGSEKAWHHRKAYIESWWRPNATVGFLLLDKPPGAELLPWPPSSPPYRVSDNVSRVVEETNHVNPRVARMVHGIMETVRDAPQRVTRTLRWVVMGDDDSIFLVDNLVDLLAQYDHTNYYYLGGHSEFVPANYYFSFKQAFGGAGMVLSFPLALAMADGIMDCLKRYSHLNSADKTTGYCIADLGVYLSPHQGFHQVYTCRKNI >itb04g32060.t1 pep chromosome:ASM357664v1:4:34730783:34732958:-1 gene:itb04g32060 transcript:itb04g32060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMIKNFNLEQVAVAVAAPPSPPPLPPAKKPKQGIGSILGSDSDHRAKTAASIRRTLSADMSSKKWLSQNGFPAIKKIASSGEFADDHPHTFSGEEDELSRPGQDEVWRAIQAAQKPRNDGVKPSLRSCESWSSILTTKNTEDCSKLPPPYVHPLVKARTASSLSEKSLEICTESLGSETGSDGFSSHSPPESGDEEEEQEEEKQDHNLQIPNNSSHSFEAPQAVKQSYSKRSAPRSFPPPLPSLAKNKASLQVLSRRQNGRLILEAVSVPPRDYLHAQRHDGRLLLTLVNGSASEETGDKDETTQLFDTFEETDDTVLIDDEDDEQELTKNSNLLPNIPTPPFMKQFKKNTLWVNNKAVDLIEEEEDLIITPPPPPAAAAAGVAGLIPAAALPHAAAFVNTYDYFWRKKPTVASVIFDTEQCKGGAKNNLKNNNDDNNNNVVESPKVALLRGSMANNMMRGCKESRRSLLPWEPYCIATT >itb08g06810.t2 pep chromosome:ASM357664v1:8:5827378:5829064:-1 gene:itb08g06810 transcript:itb08g06810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAER >itb08g06810.t1 pep chromosome:ASM357664v1:8:5825733:5829064:-1 gene:itb08g06810 transcript:itb08g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEDFTPAGR >itb02g04890.t1 pep chromosome:ASM357664v1:2:2926677:2929183:1 gene:itb02g04890 transcript:itb02g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPAAMEDENFVFNLVIDDDNSPDEMKSSIGESFPSTSANETHSSSPMQITPSIPFDFPMESLDCGVDMNPNSNSNPNLMLQSPVNGECINNNVNGETCSPFNNPEPELDHRRSAAAAAPAAKSLANNNNSAGSSSDDGYTWRKYGQKHVKGSEYPRSYYKCTHPKCTMKKKVERSPDGQITEIVYKGAHNHPKAPATSLRRSPPSLGAESSSSEMMSQGSGSCFRSQAPIWANIHHYGSIPERSALASSSDLTAEICDPLSSLTTRSAAAMSGFESATTPEPSSTLASQDCDDNEDAVNQGISPSQFGEDGESEPKRRRKDGWSIEANLSTRSIREPRVVLQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASDDLKSVITTYEGKHNHEVPPNKAAVVNYNSYSSASGTTASSAMPRAPALGGVGVQDHHPSFPFERKPMIAGAAGGDELLRPEMLDCYAGGDFRFVPSSIYPLKFPPPPLQGRSSPLTAAAATFNYSRPPGMVLPEFPMPLLPMSLPPFHELTNLPPLADFLHFNDPSTKEEHKENDPHTSLLYE >itb13g16690.t1 pep chromosome:ASM357664v1:13:23648187:23652261:1 gene:itb13g16690 transcript:itb13g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNPSASPAGTFFLFDEKPQSGLGLGFLDSPENPTLPPPPCLEVLPSQASSNADLTVEPVNVDGHTLLKGRVSTKEVFGLSNSDLVPGKYEGGLKLWEGSLDLIKTLNSEMKEKKLSFTGKRVLELGCGHGLPGIFAFSQGAAAVHFQDFNAEVLQCLTIPNVNANTQQISVSSTEKCNSEAELRYFAGDWSEVHRILPQILSDENGINECQTVNTAGYDIVLMAETVYSISALPSLYKLIKKCICSPHGVVYVAAKKHYFGVGGGSRRFISMVEKDGVFAAVLVAEFADGSSNVREVWKLHFR >itb13g16690.t3 pep chromosome:ASM357664v1:13:23648187:23651911:1 gene:itb13g16690 transcript:itb13g16690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNPSASPAGTFFLFDEKPQSGLGLGFLDSPENPTLPPPPCLEVLPSQASSNADLTVEPVNVDGHTLLKGRVSTKEVFGLSNSDLVPGKYEGGLKLWEGSLDLIKTLNSEMKEKKLSFTGKRVLELGCGHGLPGIFAFSQGAAAVHFQDFNAEVLQCLTIPNVNANTQQISVSSTEKCNSEAELRYFAGDWSEVHRILPQILSDENVHM >itb13g16690.t2 pep chromosome:ASM357664v1:13:23648187:23651431:1 gene:itb13g16690 transcript:itb13g16690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNPSASPAGTFFLFDEKPQSGLGLGFLDSPENPTLPPPPCLEVLPSQASSNADLTVEPVNVDGHTLLKGRVSTKEVFGLSNSDLVPGKYEGGLKLWEGSLDLIKTLNSEMKEKKLSFTGKRVLELGCGHGLPGIFAFSQGAAAVHFQDFNAEVLQCLTIPNVNANTQQISVSSTEKCNSEAELRYFAGDWSEVHRILPQILSDENGINECQTVNTAGYDIVLMAETVYSISALPSLYKLIKKCICSPHGVVYVAAKKHYFGVGGGSRRFISMVEKDVKLEC >itb03g06870.t1 pep chromosome:ASM357664v1:3:4959390:4960040:-1 gene:itb03g06870 transcript:itb03g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLRIAVAAAVAGGIAAPVSDSETVAAMLGIATGIAAAAAVEVAAVATRAVDSVTWLGIVQVAVAIVEAVVEEIVSSAANEATWRGTVPARMPAAVEAATVTGGLAVAVQTSATTVANQDTLLESAPTKTNFIWSSLFYHLNILNIIFFPVCFPTLLVGYKHPLLAFQNLLFHKWLIRNIAVCS >itb01g33960.t2 pep chromosome:ASM357664v1:1:36851677:36853802:-1 gene:itb01g33960 transcript:itb01g33960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVAYYGYVAQSLSSSASSKVLGCRFLHECCSARSRFFQSPDSNDFRGSKRDANFVRVRSSAANSTFSTLAADVLGCGLESPLVSGLISLMKSPSFSPGVYTAPGVFGISPLRRASIIPFLQGSKWLPCNEVGIGTGSTLLDNGGAVTENSKEVTIPNNSNPSTGTWLSKMFSISSEDAKTAFTALSITLLFKSSLAEPRSIPSTSMYPTLNVGDRIMAEKVSYIFRKPGISDIVIFKAPPVLQAIGYSSGDVFIKRVVATAGDYVEVRDGKLYVNDIPEDEEFILEPLDYEMKRMVLHFELRMKHSFCLLA >itb01g33960.t3 pep chromosome:ASM357664v1:1:36851606:36853802:-1 gene:itb01g33960 transcript:itb01g33960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVAYYGYVAQSLSSSASSKVLGCRFLHECCSARSRFFQSPDSNDFRGSKRDANFVRVRSSAANSTFSTLAADVLGCGLESPLVSGLISLMKSPSFSPGVYTAPGVFGISPLRRASIIPFLQGSKWLPCNEVGIGTGSTLLDNGGAVTENSKEVTIPNNSNPSTGTWLSKMFSISSEDAKTAFTALSITLLFKSSLAEPRSIPSTSMYPTLNVGDRIMAEKVSYIFRKPGISDIVIFKAPPVLQVCWCSYKTVECANLIFALSVI >itb01g33960.t1 pep chromosome:ASM357664v1:1:36851606:36853802:-1 gene:itb01g33960 transcript:itb01g33960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVAYYGYVAQSLSSSASSKVLGCRFLHECCSARSRFFQSPDSNDFRGSKRDANFVRVRSSAANSTFSTLAADVLGCGLESPLVSGLISLMKSPSFSPGVYTAPGVFGISPLRRASIIPFLQGSKWLPCNEVGIGTGSTLLDNGGAVTENSKEVTIPNNSNPSTGTWLSKMFSISSEDAKTAFTALSITLLFKSSLAEPRSIPSTSMYPTLNVGDRIMAEKVSYIFRKPGISDIVIFKAPPVLQAIGYSSGDVFIKRVVATAGDYVEVRDGKLYVNDIPEDEEFILEPLDYEMKRMLVPEGYVFVMGDNRNNSFDSHNWGPLPVKNIVGRSVYRYWPPSSMSNTLERKALTSS >itb08g06030.t1 pep chromosome:ASM357664v1:8:5187372:5193576:1 gene:itb08g06030 transcript:itb08g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSMALRRLFTSSSSSSSAASLLLRPLSGVSSLRYSSSLHNQALRQKEDPRVTWTKQLNAPLEQVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAERLCQKRALETFQLDPEKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEVMYDYEDKINQAVFPGLQGGPHNHTITALAVALKQAMTPEYRAYQEQVLSNCSKFAESLIGRGYDLVSGGTENHLVLVNLRNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEDDFVKVAEFFDGAVKLALEIKAKTEGTKLKDFVATMSSDTGFQSEIAKLRSEVEEYAKQFPTIGFEKEMMKYKH >itb15g05130.t1 pep chromosome:ASM357664v1:15:3293082:3294992:-1 gene:itb15g05130 transcript:itb15g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METATAMNGIRNRQLAPSSIVDAPKKRLYQAWYGHNKFVCGGRLVFGPDGASMILSAFLIGTPAVTFCLRTLSRTPEADPLFGQVVSIVGIVLTILDLNFLFLTSSSNPGIIPRNKSPPEFDEILEASVTSMDWYSSTTSIKIPRTKDIMVNGYTVKVKFCDTCLLYRPPRASHCSICNNCVDRFDHHCPWVGQCIGVRNYRCFLFFITTATTLCVYVFTFSLMNLLHLQGSLCSRMSEDVVSAILVVYCFFAVWFVGGLSVFHFYLLSHNQTTYESFRYRYDKKENPFNRGLINNWKEIFLSKTAPSQVNFREWVVGEDETVKSYASYRYGGISSKNKFDLEMGVVPENDILPNLDYNVIADRLKKEKDGGVAKGDKPKEVNSS >itb11g15700.t1 pep chromosome:ASM357664v1:11:13530277:13530897:1 gene:itb11g15700 transcript:itb11g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQMKSRIGDNLQVPINYWPVLLNHQSSTKQALPSSATQCHLSSSSKLRNGAVRLRPKITDTLKGKLILGAKLLQAGGVEKVFKKRFSAKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLSFLSERSIKIPSSTGKSMRMHYKVSIPISKIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFPHHQRTLKYLQNAISQIQYS >itb07g20020.t1 pep chromosome:ASM357664v1:7:24481930:24487595:1 gene:itb07g20020 transcript:itb07g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRRSEHCSSSTSKKSLKRKLDEDFEDDRLRIVSLSPEDAHQDIVREVRTQVEILGASFSSAEPDRASSKRAIHILSELAKNEEIVNVIVDCGAVPALVQHLQPPPPTRDGDAGPIPYEHEIEKGSAFTLGMLAIKPEHQQLIVDAGALPHLVSILKRHKDSPNSRALNGVIRRAADAITNLAHENSCIKTRVRVEGGIPPLVELLVFLDAKVQRAAAGALRTLAFKNDENKNQIVECNALSTLILMLRSEDTAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLSSSCSESQREAALLLGQFAATDSDCKVHIVQRGAVPPLIDMLHSPDSQLREMSAFALGRLAQDSHNQAGIAHSGGIMPLLRLLDSKNGNLQHNAAFALYGLADNEDNVADLIKVGGVQKLQDGEFIVQPTRDCVAKTLKRLEEKIHGRVLGHLLYLMRVEENVVQRRVALALAHLSSPEDHKTIFLDNNGLELLLELLESSIVKHQRDASAALYKLANEASSLSHIDAAPPSPVSQVRLGEQYVNNPTLSDVIFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNIRWDIFELMMRYIYTGTVDVNLEIAQELLRAADQYLLEGLKRLCEYTIAQDISVENVALMFELSEAFSATSLRNACILFVLENFDKLNVMPWYSPLIQRILPETRTYFVRALTRPFHTDFRR >itb05g19680.t1 pep chromosome:ASM357664v1:5:26071546:26076735:1 gene:itb05g19680 transcript:itb05g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAGLESNSTIPGLSWKVVTKGRRSRKPNSRSLNRDVNLLNRSPKRVGDISFSDSDKFGEAITREEISDKVEYIPIKKRRHLLQLSSPSQVPSGYCEESPSPESLRTPTQSEELVGQTNSDSYLTQQSQSCDGLGTSKFRDDVRDEVMDVKSTEVSNGEYYNTGDFSGIALLAAAACSDGIDYNSGSVEGSSDIKATSSQQNFGASTSPVPLKPNLSVNNSMDSAEGDMLPDTKVECASALKKSPITSQDLSERAEDGELIKRSFSPKIDRLHWDLNTSMDSWEQPHDDTVGRNVLNNVICNEMHEEKLEIGNPEEHRDSDICLDNSEKCIPLTQMHPSVLPSVLKTEGNKEGPVSSLNATCGEEISASNLGHIEPMGLLSVERGIPCHDDEGAFLTDSTSDKCHNASTISISERKLTAASSSGSASIQGVGSDVSKCGYNEDLIKTCELTDDKAYVWKATGTVDSKMLDIGTSECTSSKCVDLPASKSTEEQCVSATGEADGKQDRVSTPITNVNDSHMRFEPKGPQSDDPAYPSMKVGIESSPEDGHNSDISQEDHVQPGGSVNVSGFHARSDSPFEDGELQRSVLYPWEENEVDFEMECVDYESDGDALYSDNDDDHSDSEVVEAGSAGSHGIETRNSPASIHQVEDINKSWSGKQSLRGESAKIDFDGKKGSDAGSGTTTIQHQADMRLEGNIGARNRWRATNHMNAYGFRRPFEEETGSSANKGKLHSHIEIPRHLNATDRKDAVLVQNCSPHGSYTKPKRDCSSGRYMGRYRSSHYTKDENVLCGNRGYWDSPDHYSSTYHGSERRAPLTRQRSFTAATGKFGGTDPHDYQHAINFPRRSSVDRDDFVEFPRGRLPVRRGIIPNHGGREGSRRYNQQIVRVFTEEGHNTLHDDALSFSPFPGRAGHISLPQKRSRSPHAWYPQRDRILRTRPNRSPDSRFEGRMERPRVPFQKYRHPVDRGEGFISPTSHRFSPHHHQDSRWVGNRNPVDDHMRHRRSNMGNIRRTNQRFDDAGSGELKLDDYFRPERRITSARFPPERGFKLEESYNFKRHDGRYEEMIQEARHCDARRHADDNYKAVEEFHCAPDQRTLPKTARKDVSS >itb05g19680.t2 pep chromosome:ASM357664v1:5:26072594:26076572:1 gene:itb05g19680 transcript:itb05g19680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAGLESNSTIPGLSWKVVTKGRRSRKPNSRSLNRDVNLLNRSPKRVGDISFSDSDKFGEAITREEISDKVEYIPIKKRRHLLQLSSPSQVPSGYCEESPSPESLRTPTQSEELVGQTNSDSYLTQQSQSCDGLGTSKFRDDVRDEVMDVKSTEVSNGEYYNTGDFSGIALLAAAACSDGIDYNSGSVEGSSDIKATSSQQNFGASTSPVPLKPNLSVNNSMDSAEGDMLPDTKVECASALKKSPITSQDLSERAEDGELIKRSFSPKIDRLHWDLNTSMDSWEQPHDDTVGRNVLNNVICNEMHEEKLEIGNPEEHRDSDICLDNSEKCIPLTQMHPSVLPSVLKTEGNKEGPVSSLNATCGEEISASNLGHIEPMGLLSVERGIPCHDDEGAFLTDSTSDKCHNASTISISERKLTAASSSGSASIQGVGSDVSKCGYNEDLIKTCELTDDKAYVWKATGTVDSKMLDIGTSECTSSKCVDLPASKSTEEQCVSATGEADGKQDRVSTPITNVNDSHMRFEPKGPQSDDPAYPSMKVGIESSPEDGHNSDISQEDHVQPGGSVNVSGFHARSDSPFEDGELQRSVLYPWEENEVDFEMECVDYESDGDALYSDNDDDHSDSEVVEAGSAGSHGIETRNSPASIHQVEDINKSWSGKQSLRGESAKIDFDGKKGSDAGSGTTTIQHQADMRLEGNIGARNRWRATNHMNAYGFRRPFEEETGSSANKGKLHSHIEIPRHLNATDRKDAVLVQNCSPHGSYTKPKRDCSSGRYMGRYRSSHYTKDENVLCGNRGYWDSPDHYSSTYHGSERRAPLTRQRSFTAATGKFGGTDPHDYQHAINFPRRSSVDRDDFVEFPRGRLPVRRGIIPNHGGREGSRRYNQQIVRVFTEEGHNTLHDDALSFSPFPGRAGHISLPQKRSRSPHAWYPQRDRILRTRPNRSPDSRFEGRMERPRVPFQKYRHPVDRGEGFISPTSHRFSPHHHQDSRWVGNRNPVDDHMRHRRSNMGNIRRTNQRFDDAGSGELKLDDYFRPERRITSARFPPERGFKLEESYNFKRHDGRYEEMIQEARHCDARRHADDNYKAVEEFHCAPDQRTLPKTARKDVSS >itb05g19680.t3 pep chromosome:ASM357664v1:5:26072548:26076705:1 gene:itb05g19680 transcript:itb05g19680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAGLESNSTIPGLSWKVVTKGRRSRKPNSRSLNRDVNLLNRSPKRVGDISFSDSDKFGEAITREEISDKVEYIPIKKRRHLLQLSSPSQVPSGYCEESPSPESLRTPTQSEELVGQTNSDSYLTQQSQSCDGLGTSKFRDDVRDEVMDVKSTEVSNGEYYNTGDFSGIALLAAAACSDGIDYNSGSVEGSSDIKATSSQQNFGASTSPVPLKPNLSVNNSMDSAEGDMLPDTKVECASALKKSPITSQDLSERAEDGELIKRSFSPKIDRLHWDLNTSMDSWEQPHDDTVGRNVLNNVICNEMHEEKLEIGNPEEHRDSDICLDNSEKCIPLTQMHPSVLPSVLKTEGNKEGPVSSLNATCGEEISASNLGHIEPMGLLSVERGIPCHDDEGAFLTDSTSDKCHNASTISISERKLTAASSSGSASIQGVGSDVSKCGYNEDLIKTCELTDDKAYVWKATGTVDSKMLDIGTSECTSSKCVDLPASKSTEEQCVSATGEADGKQDRVSTPITNVNDSHMRFEPKGPQSDDPAYPSMKVGIESSPEDGHNSDISQEDHVQPGGSVNVSGFHARSDSPFEDGELQRSVLYPWEENEVDFEMECVDYESDGDALYSDNDDDHSDSEVVEAGSAGSHGIETRNSPASIHQVEDINKSWSGKQSLRGESAKIDFDGKKGSDAGSGTTTIQHQADMRLEGNIGARNRWRATNHMNAYGFRRPFEEETGSSANKGKLHSHIEIPRHLNATDRKDAVLVQNCSPHGSYTKPKRDCSSGRYMGRYRSSHYTKDENVLCGNRGYWDSPDHYSSTYHGSERRAPLTRQRSFTAATGKFGGTDPHDYQHAINFPRRSSVDRDDFVEFPRGRLPVRRGIIPNHGGREGSRRYNQQIVRVFTEEGHNTLHDDALSFSPFPGRAGHISLPQKRSRSPHAWYPQRDRILRTRPNRSPDSRFEGRMERPRVPFQKYRHPVDRGEGFISPTSHRFSPHHHQDSRWVGNRNPVDDHMRHRRSNMGNIRRTNQRFDDAGSGELKLDDYFRPERRITSARFPPERGFKLEESYNFKRHDGRYEEMIQEARHCDARRHADDNYKAVEEFHCAPDQRTLPKTARKDVSS >itb07g07810.t1 pep chromosome:ASM357664v1:7:6051024:6053238:1 gene:itb07g07810 transcript:itb07g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLLSHSMHLAPPSSSPANPIGPTFHRRLINFPAIHGSPLRPPMLRSMTTPARCCSALPLDFKGGQRMSGFHEIELKVRDYELDQYNVVNNAVYASYCQHGRHELLERIGVNADEVARSGDALALSELSLKFIAPLKSGDRFVVKVRISDLSAARLFFEHFIFKLPNEEPILEATGVAVWLNKSYRPVRIPAEFRSKFVQFLRKVESS >itb04g14230.t1 pep chromosome:ASM357664v1:4:14667199:14668823:-1 gene:itb04g14230 transcript:itb04g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGFDPQNAAQNEANGEEEDDHQILVLVNSPTLQDLYLDAAVQPPFDESSNKETHPAILPSSLALLKRFGRRFSKLKGQKKTNPRRKRAEESDNPSKKLSTDAILRLAGHNFIQSFSHHDSTFFLGLSDQDRKDVELVGYLLSAAEKVGQSDYDSAEILLTRCDELSSHQGNSVERLVHYFSQSLWAKIFCQTDSSSLFFQQDLEEALMNLRPCIAYHQKVPITQVFQFTSIQTVIEHVEDARKVHIIDLEIRSGVQWTILMQSFAESPCPEHLKITALQANKHHSKIEEETGMRLRSFAQSLNLCFSYNLVALDDLLNDNKEISFSALSGFQPDGEEKEQEEEEEETVIVYASCFFTTMISKQEKMESLMRVIKNVNPRVMFLTEVEANMNSVGFVNRFTEALFYYGAYFDALEDCMKSDEANRTTMEAKHFGQGIRNVVASEGESRVIRHVSIKVWREFFVRFGMEEMELSTPSVYQATLVLKRFGCGKSCTLDMDGKALTVGWKGTPLLSLSAWKFL >itb07g19190.t1 pep chromosome:ASM357664v1:7:23631926:23637600:1 gene:itb07g19190 transcript:itb07g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTGGSHLHAADHDERRELDRERDSPAAKKICRICRDEIGVMENGQVFVACRECGFPVCKPCYEYERSEGNQCCPHCNTRYKRHKGCPRVVGDDEDHFEGEDFEQEFQTKNHPPLSENGDYHNNQLHHNTSNAAAFQPTAPPGSVGGKDLEDEQKEVFSNAEWKDRVEKWKTRQEKRGLVTRFDDDNKGGADNEDDDFLMADARQPLWRKIPISSSLISPYRIVIVLRLVILCFFFHFRILTPAYDAFPLWIISVICEIWFGLSWILDQFPKWCPINRETYLDRLSLRFERDGEGEPNTLAPVDVYVSTVDPLKEPPIITANTVLSILGVDYPVDKVSCYVSDDGASMLLFDTLAETAEFARRWVPFCKKYCIEPRAPEFYFNEKIDYLKDKVQPTFVKERRAMKREYEEFKVRVNALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVEGKELPKLVYVSREKRPGYDHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPASEKRPKMTCDCFPKWCCCCCGGSRKSKSKKKGLKALLGLEGLYSKKKKVGGKNYTRKSSAQLFDLEEIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVFITSTLMEDGGLPEGTNPTTLIKEAIHVISCGYEEKTEWGKELGWIYGSVTEDILTGFKMHCRGWRSVYCCPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAWGGKLKLLERLAYINTIVYPFTSIALIAYCTLPAVCLLTGKFIVPTLNNFASIWFMALFLSIIVTGILELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAADDTDFGELYLFKWTTLLIPPTTLIILNMVGVVAGISGAINNGYGSWGPLFGKLFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPILKQCGVEC >itb09g12860.t1 pep chromosome:ASM357664v1:9:8184547:8186132:1 gene:itb09g12860 transcript:itb09g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSSRNGGIGAEVVVVMVPLPAQGHLNQLLHLSRLISSYNIPVYYTGAATHLRQAKLRLQGWDPLSLSNLHFHEFPTPSFQSPDPHTKTKSPSQLAPSFHAALLLRDPLRDFLSNLSRNTRRVVVIYDYLMSWNVQDIPSIQNAESYAFHSASAFSMYSFIWEIMQKPPLPPEAQVLKDLPNLDACMPPELVEYGNLQNEALKFNSGALFNSCRMIEGPFLDLLAKEPILRASQQWAIGPFNPVTLPENKDSGMRHKCLAWLDKQEQNSVIFVSFGSSTSLSEEQINEIAIGLAESEQKFIWVLREADKGDVFVGEARRAELPEGYEEGMKGKGMVVRDWAPQLEILAHPSTGGFMSHCGWNSCMESISMGVPIAAWPMHSEQPRNAMLITKVLKMGVEVDDCSSQEMVSSHRIADAVKRLMGSREGDEMRRRAEELSRDVKLSVMDGGATRLEMDSFISHITRE >itb09g14270.t1 pep chromosome:ASM357664v1:9:9485400:9487421:-1 gene:itb09g14270 transcript:itb09g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVCRKVYDYVRYDLKEIAFPSSLPDPPHIKKRRELTWKERFLVLKEASRLYAASWVRDIGPELRPNDYKKKQYTECMTHEQNGTTKEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGIQQVTEKKEETNKSREEKGCT >itb09g14270.t3 pep chromosome:ASM357664v1:9:9485400:9486802:-1 gene:itb09g14270 transcript:itb09g14270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDIAGSVDATLYLYRLPSHFREEIVGLGPLSASAQHEYYLTFGNNVIPALTIQAIPKNFIKGWIVYMINHPNLSIFYDFVIIVQVLKEASRLYAASWVRDIGPELRPNDYKKKQYTECMTHEQNGTTKEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGIQQVTEKKEETNKSREEKGCT >itb09g14270.t2 pep chromosome:ASM357664v1:9:9485616:9487421:-1 gene:itb09g14270 transcript:itb09g14270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVCRKVYDYVRYDLKEIAFPSSLPDPPHIKKRRELTWKERFLVLKEASRLYAASWVRDIGPELRPNDYKKKQYTECMTHEQNGTTKEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGIQQVTEKKEETNKSREEKGCT >itb09g14270.t4 pep chromosome:ASM357664v1:9:9485400:9486802:-1 gene:itb09g14270 transcript:itb09g14270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDIAGSVDATLYLYRLPSHFREEIVGLGPLSASAQHEYYLTFGNNVIPALTIQAIPKNFIKGWIVYMINHPNLSIFYDFVIIVQVLKEASRLYAASWVRDIGPELRPNDYKKKQYTECMTHEQNGTTKEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGIQQVTEKKEETNKSREEKGCT >itb06g21650.t1 pep chromosome:ASM357664v1:6:24232612:24235650:-1 gene:itb06g21650 transcript:itb06g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGTGHHSLEPEDMSSFLQNLLHGASTSADGDDGGGLFPRPATEATDFMGRMRDGASAPAIEPSPSLNFSDPSLFYGVQVKGSAVNAFSSAAIGDFDATGSSKRIEFEDDNKGCETSDAPSNRAQPRPSKRSRSAEIHNLSEKRRRSRINEKLKALQTLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLYPGYFQGSLPSVQLPSSGGEFDKGNAMLNTRGSATTFSGNQEMLAQTSFQISNQNSTAHQTMENNTTNSENPIALGTSIQNHYGLLNQLACTKGRCTIKIAFRDELLREQFITRHLFLVSKEIQCRQCPFAPSSRVPSPYGLPTPRQGSRKCPTLWGTKNFS >itb09g11350.t2 pep chromosome:ASM357664v1:9:7063404:7067202:-1 gene:itb09g11350 transcript:itb09g11350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQEISMEERMRLLEAIAATGKFWHDWDKLKSILSFHLKQVLEDYPEAKMTNELQISSLGEPFPDLAKRLDDALISFVDGPPFTLQRLCEILLDARNIYSKLSKLALALEKNLLVTSTLTISADPSTTMLKAAEADTEAEGSQQPSNSVPNGITPTGQDPDEIMGEVEGAEVVDDGMSIDMETLEEMVRSETNSTIAEPPGDS >itb09g11350.t1 pep chromosome:ASM357664v1:9:7063404:7067332:-1 gene:itb09g11350 transcript:itb09g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQEISMEERMRLLEAIAATGKFWHDWDKLKSILSFHLKQVLEDYPEAKMTNELQISSLGEPFPDLAKRLDDALISFVDGPPFTLQRLCEILLDARNIYSKLSKLALALEKNLLVTSTLTISADPSTTMLKAAEADTEAEGSQQPSNSVPNGITPTGQDPDEIMGEVEGAEVVDDGMSIDMETLEEMVRSETNSTIAEPPGDS >itb10g20410.t2 pep chromosome:ASM357664v1:10:25977588:25984862:1 gene:itb10g20410 transcript:itb10g20410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGEMEEGNTRCGVGSIGVLWDTFQSLPPFLDSDNFAAWLSKLVKGKDEVLIRSVVALCWTVWNSRNELLWSNKAWLHGEVVRRMELLIEEWYTLQTHSALEWCLDVVVSSFSCVSSFSFLPIQHTKTSPIPSSRYRRKSVNFTTFLTRSYRKEDNKK >itb08g01450.t1 pep chromosome:ASM357664v1:8:1069730:1074489:-1 gene:itb08g01450 transcript:itb08g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPNDSGPTKWASMALLVMGLISCIVVYTFMSAALSPTGSEKIESLESDSADEGERSDGCCRGIENLELWGPAVKWGADFKLNSSEECCKACKAMCSANSGACLCDTWVFCGNREACGSKFGECWLKKQKDIFTPDRQEAGNKVMWTSGIIFGGGEGIVALETEHGSIRVKLLPECSPRSIVYILDLLASRHCAGCQFHRAESRGEAWDSQGNHVKDASFGPPYALVQGTLEAQGVTFNNIPAEFCPTISRGSVAWIGSGPEFFISLANHEEWRHTYTVFGSVLAEDMEIVEKIAQLPTKPDDWSGVSVSVLEKPVPLRIRRIKRSG >itb06g02780.t1 pep chromosome:ASM357664v1:6:4812412:4814713:1 gene:itb06g02780 transcript:itb06g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYTPTFSFVTSDLLSRQDAAASSPPRSPTLVSRLFFPFFRSLPPTANQKPLLPSPVHREPATGAPQTAVGSVASPRKDLPCRQSRFRRLLFLLQFRFQCSRLAEGTGQRRNMKWKSKLG >itb14g20460.t1 pep chromosome:ASM357664v1:14:22812210:22813810:1 gene:itb14g20460 transcript:itb14g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNNNNGAKKFITTEELKNHNKPGDLWVSIHGKVYDVSEWVYRHPGGEYPLLSLAGQDVTDAFLAYHPAIAAHHLQRFFNGYYVSDHHVSEVSKDYRMLVSEFSRMGMFEKKGHLVMCYACALTAMMAVSFYGVVCTESLWVHMGCAVMVGFLWIQAGWVGHDSGHYDIMKTRKLSRAAQVLAGNCLTGVSIWWWRWNHNAHHIACNVIDYDPDVQLLPIFAFSSKFFQSSLISQFYEKPMSFNSLARFLVSYQHWTFYPVMLLIARINMFIQSWKTVLFKKKIFANRGEEILGLIIFWIWYPFLLFCLPNHSERIMFVVLSLMMTGVQQIQFSLNHLASSFFAGPLTGKDWVEKQIDGTLDIDCSPWMDWFHGGLQFQIEHHLFPRMPRCQLRKVSPFVKQLCEKHGIAYNHESFWAANVLMFKSIRDAAMMARDLSPEKAPKNLVWEALNSFG >itb14g15080.t1 pep chromosome:ASM357664v1:14:18245306:18247112:1 gene:itb14g15080 transcript:itb14g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGKKGIPYINTYDGRTIRYPDPLIKANDTVKLDLESNKIVEFIKFDVGNVVMVTEFIKFDVGNVVMVTGGRNRGVGNVVMVTGGRNRGRVGVIKNREKHKGSFETVHIQDALGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEAKKRLAAQSATTA >itb10g15020.t1 pep chromosome:ASM357664v1:10:21349042:21353688:1 gene:itb10g15020 transcript:itb10g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNITLEDIKNENVDLEKIPVNEVFQQLRCTKDGLSTEEAEHRLQESKVLKFLGFMWNPLSWVMESAAIIAIALANGQGKPPDWQDFVGIVALLIINSTVSFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEKDAAILVPGDVISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKNAGDEVFSGSTCKQGEIEAVVIATGLHTFFGKAAHLVDSTHQVGHFQKVLTAIGNFCICSIGVGIVIEIVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFAKGVDKEMVMLLAARASRVENQDAIDACIVGMLADPKEARAGIQEVHFLPFNPVEKRTAITYIDSNGKWHRVSKGAPEQIVELCALKGDVEKKVHSIIDKFADRGLRSLAISQQEVPEKNKDAAGTPWQFVGLLPLFDPPRHDSGETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGGEKGDGFEKLQIVELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPMPDSWKLKEIFATGVVLGTYLAVMTVIFFWAAHQSDFFTEKFGVRSIRDNYTELNSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLLFAFVVAQLIATVIAVYANWEFARVKGIGWGWAGVIWLYSVIFYIPLDVLKFGIRYALSGKAWDNMLNNKTAFTSKKDYGKGEREAQWATAQRTLHGLQNPSDTTNQLFDDNNNFRELSEIAEQARRRAEVARLRELHTLKGHVESVVKLKGIDADAIAQSYTV >itb15g21170.t1 pep chromosome:ASM357664v1:15:23838644:23839855:-1 gene:itb15g21170 transcript:itb15g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYGIPNGLHENFEDGKDIKMSEFEEGELVEMISKTKLGENSVVVSKIESQDETRSGTNGTENLVNKECGRRKEKKKGNKGTPGPSIKDMSSFVTTMCKRLGERKAYLVWDAVVCLGVSGVRDLVKEKRVFWNIIKVRKPNAYKEITKRALEFEMDRYKEIRKRFEFKKVPKTPVMEHEGTVTNQPLANALDDGSTNMMSANY >itb07g15070.t7 pep chromosome:ASM357664v1:7:17980592:17983825:1 gene:itb07g15070 transcript:itb07g15070.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMLMHGLLGFTMGSLWRYAIIALISKFLMFFLTILCSFPVKSFVIMKWRLPKSFLLLRSMP >itb07g15070.t3 pep chromosome:ASM357664v1:7:17980592:17983825:1 gene:itb07g15070 transcript:itb07g15070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMLMHGLLGFTMGSLWRLRASWTRSIFLCALVVFPFHFHLLL >itb07g15070.t2 pep chromosome:ASM357664v1:7:17980517:17983825:1 gene:itb07g15070 transcript:itb07g15070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMLMHGLLGFTMGSLWRLRASWTRSIFLCALARAIGAIGYVLLTSFLIGENILQLITINIHASLSYVLTSMGSNSIPTMNVIYTIFGTLLLINSAFFVLLLHILYAIFFAKFRMKASLRLPKWVDVAI >itb07g15070.t1 pep chromosome:ASM357664v1:7:17980517:17983825:1 gene:itb07g15070 transcript:itb07g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMLMHGLLGFTMGSLWRYAIIALISKFLMFFLTILCSFPVKSFVIMKWRLPKSFLLLRSMP >itb07g15070.t6 pep chromosome:ASM357664v1:7:17980592:17983825:1 gene:itb07g15070 transcript:itb07g15070.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMLMHGLLGFTMGSLWRYAIIALISKFLMFFLTILCSFPVKSFVIMKWRLPKSFLLLRSMP >itb07g15070.t5 pep chromosome:ASM357664v1:7:17980592:17982706:1 gene:itb07g15070 transcript:itb07g15070.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMVSNDNRTMKSRLHISVIFS >itb07g15070.t4 pep chromosome:ASM357664v1:7:17980592:17983825:1 gene:itb07g15070 transcript:itb07g15070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKLQNHHLTKPSRLSRTALPSLSSSFCTHRFPSLSSASPISLSCDFADSRNGHIRASTTQTPTWSSKEEESSEDSERYEYLAKDGEVFQNTLRLVETAMFAAVSGLAYLLSNSLAIENYFGCFFALPIVISSIRWGVVAGRKTMVATVVLLFVLSGPVKAVNYMLMHGLLGFTMGSLWRLRASWTRSIFLCALARAIGAIGLP >itb05g15210.t1 pep chromosome:ASM357664v1:5:22594949:22595503:1 gene:itb05g15210 transcript:itb05g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRSPPPSILSPSGRNPRNRRPSMHVVIRAHSFRDQGRGKRKIVDENLQVLRGRIEDVKNREKLERCLSEGTLLGWNYAACDDHDYNKHKKELEQSQLLQLLVVNVVGTLAFTLLTCTLCLCFISILIHISM >itb13g17000.t3 pep chromosome:ASM357664v1:13:23953664:23962223:1 gene:itb13g17000 transcript:itb13g17000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWWRWWVRWLVAVATAVAVGGVDVTYDGRSLIIDAQRKLLFSGSIHYPRSTPDMWPSLITKAKEGGLDVIETYTFWNIHEPQPGQYDFSGRKDIVRFMKEIQAQGLYACLRIGPYIEAEWNYGGFPFWLHDVPGIIFRSDNEPFKIENEYKNIEKAFREKGPPYVRWAAEMAVGLETGVPWVMCKQDDAPDPVINACNGMRCGEINFAPNSPNKPAIWTENWTSFYQVYGNDTLIRSAEDIAFHVALFIARKNGSYINYYMYHGGTNFGRTAASFMITSYYDQAPLDEYGLLREPKWGHLKELHAAIKMCSQILLSGTPSNFSLGQFQEAYVFRGDSGACAAFLINNDGKQSATVQFQNSSYELPPKSISILPDCKTVVFNTAKVNTQSNTRSMQPALKFDSTEEWEEFKELVVGFDDTNIRSDTLLDHMNTTKDVSDYLWYTLSLQQESSDPKSAISVESLGHVLHIFVNGELAGSAHGTFRNLSFTLERTVSLNKGMNNISLLSATVGLPNSGAYLEHKTLGPRKVTIEGSQDLSNHSWGYQVGLLGENLQIYTEKGSNAVEWREFSSSQPLIWYKTVFDEPKGNDPLALNLGSMGKGEAWVNGQSIGRYWVSFHTLAGTPSQTWYNVPRSFLKPTGNLLVLFEEEYGNPYGITLDQVSITLDKDIVEKACIGKRKCSISQSYQRFGGGPCPGIAN >itb13g17000.t1 pep chromosome:ASM357664v1:13:23953664:23962223:1 gene:itb13g17000 transcript:itb13g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWWRWWVRWLVAVATAVAVGGVDVTYDGRSLIIDAQRKLLFSGSIHYPRSTPDMWPSLITKAKEGGLDVIETYTFWNIHEPQPGQYDFSGRKDIVRFMKEIQAQGLYACLRIGPYIEAEWNYGGFPFWLHDVPGIIFRSDNEPFKLYMQNYTQKIVSMMKSEGLYASQGGPIILSQIENEYKNIEKAFREKGPPYVRWAAEMAVGLETGVPWVMCKQDDAPDPVINACNGMRCGEINFAPNSPNKPAIWTENWTSFYQVYGNDTLIRSAEDIAFHVALFIARKNGSYINYYMYHGGTNFGRTAASFMITSYYDQAPLDEYGLLREPKWGHLKELHAAIKMCSQILLSGTPSNFSLGQFQEAYVFRGDSGACAAFLINNDGKQSATVQFQNSSYELPPKSISILPDCKTVVFNTAKVNTQSNTRSMQPALKFDSTEEWEEFKELVVGFDDTNIRSDTLLDHMNTTKDVSDYLWYTLSLQQESSDPKSAISVESLGHVLHIFVNGELAGSAHGTFRNLSFTLERTVSLNKGMNNISLLSATVGLPNSGAYLEHKTLGPRKVTIEGSQDLSNHSWGYQVGLLGENLQIYTEKGSNAVEWREFSSSQPLIWYKTVFDEPKGNDPLALNLGSMGKGEAWVNGQSIGRYWVSFHTLAGTPSQTWYNVPRSFLKPTGNLLVLFEEEYGNPYGITLDQVSITLDKDIVEKACIGKRKCSISQSYQRFGGGPCPGIAN >itb13g17000.t2 pep chromosome:ASM357664v1:13:23953664:23961610:1 gene:itb13g17000 transcript:itb13g17000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWWRWWVRWLVAVATAVAVGGVDVTYDGRSLIIDAQRKLLFSGSIHYPRSTPDMWPSLITKAKEGGLDVIETYTFWNIHEPQPGQYDFSGRKDIVRFMKEIQAQGLYACLRIGPYIEAEWNYGGFPFWLHDVPGIIFRSDNEPFKLYMQNYTQKIVSMMKSEGLYASQGGPIILSQIENEYKNIEKAFREKGPPYVRWAAEMAVGLETGVPWVMCKQDDAPDPVINACNGMRCGEINFAPNSPNKPAIWTENWTSFYQVYGNDTLIRSAEDIAFHVALFIARKNGSYINYYMYHGGTNFGRTAASFMITSYYDQAPLDEYGLLREPKWGHLKELHAAIKMCSQILLSGTPSNFSLGQFQEAYVFRGDSGACAAFLINNDGKQSATVQFQNSSYELPPKSISILPDCKTVVFNTAKVNTQSNTRSMQPALKFDSTEEWEEFKELVVGFDDTNIRSDTLLDHMNTTKDVSDYLWYTLSLQQESSDPKSAISVESLGHVLHIFVNGELAGSAHGTFRNLSFTLERTVSLNKGMNNISLLSATVGLPNSGAYLEHKTLGPRKVTIEGSQDLSNHSWGYQVGLLGENLQIYTEKGSNAVEWREFSSSQPLIWYKTVFDEPKGNDPLALNLGSMGKGEAWVNGQSIGRYWVSFHTLAGTPSQTWYNVPRSFLKPTGNLLVLFEEEYGNPYGITLDQVSITLDKDIVEKV >itb01g00220.t1 pep chromosome:ASM357664v1:1:121079:129476:1 gene:itb01g00220 transcript:itb01g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGNNDVEIEDTEMQSQGVGKNEQGLDKKQVDADVKPEIAELPLSASVDEPKSSGLEQQHNEIITEAEDKKNEQDGTTGDSDESKAENEEGDQCKANVEGQREAGDEIEQNTAKTEIEDNCYLKNEADEKSNAGNEVKDQTNAATDDPSKPRDDSGDQNIAESDSKDVIMGGKEEPHLIENGEDKILTCEALEDKEANDDAAKGETLSENAQLPDEQLTNQVPEDTKGGMRGLAHDGENDIPENGNEMMIEEKKEPEDKTTSASVIDVKDASLGEASETKKKVNYIPVNLQEPVTPQTLVKCSAGKSGQISGEMCKNKFGYVKMDDDADDDDEDDGTPEDQAAFMREIENFYRERSLDFKPPRFYGQPLNCLKLWKAVIRLGGYDRVTGSKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYERHKIQTGELQLSIGSLPDPSGVDNEGNGHQASGSGRARRDAAARAMQGWHEQRLLRYGEVGEPIIKDKNLNSMAKREKNLKSIGSLKQKRPNEVELPVKAARTEMSRQLVTTVVDVGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITAFKKVVSLPARIDPLQTSAVVSLHGRLFVRVPFEQSNM >itb03g12190.t1 pep chromosome:ASM357664v1:3:11218505:11221741:1 gene:itb03g12190 transcript:itb03g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASTPNVLKDEDANSSMPPLPPRKRDKAAAKIRKLAKKASAKMEGGGLVSGDNDDNNATSRRRSLSKKFKDAVKKASSRREAAADAARRRSFSTKLENQEESSKEAKEELCATSKSKSQEIEKLKFNKEQTVANHSPTEVAQEMENPSTYLSMRKTPTYDDMPARNITKSQTFSERKTPTYEMYVRNITKSQTLSERKTPTYDMSVRNINKSPTFSERKTPTYDKSATNFTKSQNLSEIKTSTYDKFGRNISKSQILSWKKGDQSLADKTQKYEPHFNNKEEISLIPEKTIKDKHEQKPLISKTPPEKKAPIKPQTSSSEKPAEENILKPAGTILLEKKIEEKPQDKPTEQNNSSKPDISFEEDKKTEHHKSVVEENTTKPQTFEEKPAEKDSSNSLKSETLLAKKVEVKVAENSLKLEPPSVKKTEQKSAEENIPKTETSEKKTKEDLSKQNTLKADTLLEKKIDEKPTEKPMLPPAPLSYKKTEQKPTLKVEKKIEEQNTPLKPAETLLDKKIEDKPAENSIKSEDSSEKNIQQKPTKENTLILKTWFEKKTEEDTLKPAETLSEKKIEDKPAENSLKAEDSSEKNIQQKPTKENTSISKTSSEKKTEEKFTKENTLKPAETLLKKKIEDKPAENSLKSEDSSEKNIQQKPTKENTSISKTSSEKKTEEKLTKENTLKPAGTLLENKIEDKPAENSLKSEEKNIQQKPTKEHTSTSKTSSEKKTEEKPTEEEYTLKPETHLGKKIEEKPAETPLKSEGPSEKNIDQSPTKQNTAPGAPKIPSEKKPEENHRRQSSLKFGISFFKRKEEKSANMYRPKSESSLVEKGEENPKPDSPLPEGTKEEEPVATDMSIFMKEMEAKWIGI >itb12g19300.t1 pep chromosome:ASM357664v1:12:21669997:21674417:1 gene:itb12g19300 transcript:itb12g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAVRFQQNKNSNQLVLSVSAGSMLYFLPVARENQIPNHKFYRDQEAGSADPNRRVQSGRVIRSLRAAKSLQRQLEFIEIQEEYVKDELKNLRQEHLRVQEKLKRIQSVPLVIGQFMEMINQNNSFVGSSTGSNYYVRILSTINRELLNTVVGVCGEDFRVERGFFFVKTWTKFLEKSDFMKQPFTKQENTVIQICAVASSGIAFSGKPQLSTTNVFKETKHHRHRLRNYLLPTSSRKTNIIGDIVCATIYYQRLRGKQTSSVTLSSSTNDDFPSSSLDSETKKEMRRRQS >itb09g26460.t2 pep chromosome:ASM357664v1:9:27086690:27095067:1 gene:itb09g26460 transcript:itb09g26460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MAEIDKSIDEHENDGPLEMAPETELEQEGEMEPELEQEESHPEQKPEIENALTTEGGDRWPGWPGESVFRMLVPAQKVGSIIGRKGEYIKKMCEEAKARIKILDGPPGTAERAVMVSAKEEPDSSLPPAIDGLLRVHKRVVDGLDSDPSHSPPTVSGKVSTKLLVPAAQAGSLIGKQGTTVKSIQEASNCIVRVLGAEDLPVFALPDDRIVEVVGEPTGVHMAIELIGSHLRKFLVDRSIIPIFEMQMQAPNPPVEHVPPQSWGPPPQAYHPNAVGGPGYGSSPHFMPPPRQRDNYYPPPDMPPPVERPPHQGISAYGREAPMTVHAPSNNQSGSTVITQITQQMQIPLSYADAVIGTNGSSISYIRRVSGATVTIQEARGVPGEMTVEISGTASQVQTAQQLIQNFMADAAAAPQTQQAPLADQGYSAYAPPGSMYSSAPQNTGIPGQTGGYSSVYGSNYGY >itb09g26460.t1 pep chromosome:ASM357664v1:9:27086690:27095067:1 gene:itb09g26460 transcript:itb09g26460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MAEIDKSIDEHENDGPLEMAPETELEQEGEMEPELEQEESHPEQKPEIENALTTEGGDRWPGWPGESVFRMLVPAQKVGSIIGRKGEYIKKMCEEAKARIKILDGPPGTAERAVMVSAKEEPDSSLPPAIDGLLRVHKRVVDGLDSDPSHSPPTVSGKVSTKLLVPAAQAGSLIGKQGTTVKSIQEASNCIVRVLGAEDLPVFALPDDRIVEVVGEPTGVHMAIELIGSHLRKFLVDRSIIPIFEMQMQAPNPPVEHVPPQSWGPPPQAYHPNAVGGPGYGSSPHFMPPPRQRDNYYPPPDMPPPVERPPHQGISAYGREAPMTVHAPSNNQSGSTVITQITQQMQIPLSYADAVIGTNGSSISYIRRVSGATVTIQEARGVPGEMTVEISGTASQVQTAQQLIQNFMADAAAAPQTQQAPLADQGYSAYAPPGSMYSSAPQNTGIPGQTGGYSSVYGSNYGY >itb10g03600.t1 pep chromosome:ASM357664v1:10:3339465:3348142:1 gene:itb10g03600 transcript:itb10g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMNKILTTFLFLFFLHISACNAKPQVYVVYLGEHSGDKSVQEIEGQHRTYIHHVKRSKEEASASLVHSYKNIINGFSALLTSEEANTIAEMDGVISVFPSKSSELHTTRSWDFINMYEGNGNPTAGEEFLRKAGGGKDVIIGMLDTGIWPESQSFNDEGMEPVPKSWKGTCQQGLQFTATHCNRKIIGARYHLRGYEAAYGPVNSTFDIRSARDVNGHGTHTASTAGGRKVGSASALGGFADGVASGGAPLARLAIYKVCWQLPLQGIKRSTCRDDDILAGFDYAIADGVQVISISIGSLPADTYFTRNAIALGALHAMKMNIVVVASAGNDGDYYTVGNIAPWILTVGASSIDRVFSTPVVLGNNILVKGESISVIKERVTLPLVYAGDVELPGTTNFSGYCRPNTLSPEKVKGKVVFCLLGSLTQSLEVERAGGAAAILGNSISEVQVESFLIPATTIFYPSGTDTILKYIKTNSNPVATLIPGQTIFGAKPSPAMASFTSLGPSSVEPNILKPDITAPGLNILAAWSEASSPLEIPQDHRSVKYNIISGTSMSCPHVSAVVALLKAIHLDWSGAAIKSALMTTATTENVKGEAIKDAYGEVAGPFHYGAGHIQPSKAADPGLVYDSTYTDYLLFICSITGTSLDPSTLSCPMEAPSPSSLNYPSLAIAELKGSMVVKRTVTNVGSTIATYSVEVKAPSGYSVQVSPPVLNFTQVGEKQSFFISVKAESVKKSGEFEYGWYKWSDGIHIVKSPIVVASS >itb02g18750.t4 pep chromosome:ASM357664v1:2:15269401:15275131:1 gene:itb02g18750 transcript:itb02g18750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVAKSLQALAAHSLLLCFTLLLVLKLDRIIFCSWWIVFFPLWLFHAVVARGRFSLPAPAIPHDRHWAPCHAVVATPLLVSFELLLCIYLESKYVTHFPAVNLRIVFLPLLAFEIIILIDNFRMCKALLPGDDEGINDEAIWETLPHFWVAISMVFFVAATVFTLLKLTGDVGALGWWDLFINYGIAECFAFLVCTKWTNPMIHRSSPTREPSSSASIRYLDWFSSEDQSEDRMCGLQDIGGHLMKIPIICFQVLLCMRLEGTPAGARFIPLPVLFSPIFVLQGAAVLFSLSRFIEKIVLLLRSGAVTGRYQLFSSRLRDCFAFIHHGSRLLGWWSIDEANREEQARLFYDGASG >itb02g18750.t1 pep chromosome:ASM357664v1:2:15269345:15276971:1 gene:itb02g18750 transcript:itb02g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVAKSLQALAAHSLLLCFTLLLVLKLDRIIFCSWWIVFFPLWLFHAVVARGRFSLPAPAIPHDRHWAPCHAVVATPLLVSFELLLCIYLESKYVTHFPAVNLRIVFLPLLAFEIIILIDNFRMCKALLPGDDEGINDEAIWETLPHFWVAISMVFFVAATVFTLLKLTGDVGALGWWDLFINYGIAECFAFLVCTKWTNPMIHRSSPTREPSSSASIRYLDWFSSEDQSEDRMCGLQDIGGHLMKIPIICFQVLLCMRLEGTPAGARFIPLPVLFSPIFVLQGAAVLFSLSRFIEKIVLLLRSGAVTGRYQLFSSRLRDCFAFIHHGSRLLGWWSIDEANREEQARLFYDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKISQQEYERLQNEKVLCRVCFEREISVVLLPCRHRILCSACCERCKKCPICRVIVEEPLSVYDV >itb02g18750.t3 pep chromosome:ASM357664v1:2:15270857:15276936:1 gene:itb02g18750 transcript:itb02g18750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKALLPGDDEGINDEAIWETLPHFWVAISMVFFVAATVFTLLKLTGDVGALGWWDLFINYGIAECFAFLVCTKWTNPMIHRSSPTREPSSSASIRYLDWFSSEDQSEDRMCGLQDIGGHLMKIPIICFQVLLCMRLEGTPAGARFIPLPVLFSPIFVLQGAAVLFSLSRFIEKIVLLLRSGAVTGRYQLFSSRLRDCFAFIHHGSRLLGWWSIDEANREEQARLFYDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKISQQEYERLQNEKVLCRVCFEREISVVLLPCRHRILCSACCERCKKCPICRVIVEEPLSVYDV >itb02g18750.t2 pep chromosome:ASM357664v1:2:15269401:15276948:1 gene:itb02g18750 transcript:itb02g18750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVAKSLQALAAHSLLLCFTLLLVLKLDRIIFCSWWIVFFPLWLFHAVVARGRFSLPAPAIPHDRHWAPCHAVVATPLLVSFELLLCIYLESKYVTHFPAVNLRIVFLPLLAFEIIILIDNFRMCKALLPGDDEGINDEAIWETLPHFWVAISMVFFVAATVFTLLKLTGDVGALGWWDLFINYGIAECFAFLVCTKWTNPMIHRSSPTREPSSSASIRYLDWFSSEDQSEDRMCGLQDIGGHLMKIPIICFQVLLCMRLEGTPAGARFIPLPVLFSPIFVLQGAAVLFSLSRFIEKIVLLLRSGAVTGRYQLFSSRLRDCFAFIHHGSRLLGWWSIDEANREEQARLFYDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKISQQEYERLQNEKVLCRVCFEREISVVLLPCRHRILCRYHLHLLDIVYCESAPTQVVVS >itb10g22090.t1 pep chromosome:ASM357664v1:10:27041391:27042533:-1 gene:itb10g22090 transcript:itb10g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVYYSAVPQGPQVDEAWPIKKSLTLSDVDITHPFLTLSRQQVENHIVVHMTPQQQEILRAQGHIDFNAQDDDTGEMYGMKLKWRGSYYNLIGKWGRVVRSKGLDVGQEIRIRWDNGYLHFSAPQQLIPAVVTPVRMVAAQAVHDQWPIRKVLTVSDVDTNHPFLPLPRRMVEDHILVHWTPQLQEQLRNEDHVNVNARDYDSGEDYQMKIKLRGNFYNLIGKWGTIIRQKGLGVGEEIRICWVNDCLYFSVRQEHYVATSSVQDNWPIKKALTLSDVDTSHPFLTLPGKAVEDHILFYWTPQAREQLRNERQMDVNGQDEDTGDLYLMKLRWRGSYYNLIGKWGKIVREKGLRIGMEIRLRWDNRCLHFSVPELLHN >itb06g04300.t1 pep chromosome:ASM357664v1:6:6850623:6852515:-1 gene:itb06g04300 transcript:itb06g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSIGFLVGTFGVLILSHAAYSTIQYRALLKITEEEFSGPPINVVMELIIGLVLCIWAALIVPGKFLSIHPQSEENRVVALPANLDFMIFNHRGKAFPLEVDFKLK >itb03g03370.t1 pep chromosome:ASM357664v1:3:1954210:1959403:-1 gene:itb03g03370 transcript:itb03g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVPASVPSPTEDAEQLRKAFAGWGTNEALIIQILAHRNAAQRKLIREAYAATYKEDLLKDLDAELSSDFQRAVLIWTLVPAERDALLINEATKRLTASNWVIMEVACSRSSSDLFKVRQMYHDRYKKSLEEDVAYHTSGDIRKLLVPLVTAFRYEGDEVNLTLAKNEAKILHEKICDKKAYNDDEIIRIITTRSKAQLNATMNHYNDQFGNLINKDLKEESKDEYLTLLRAAINCLTNPEKYFEKVLRMAIKKLGTDEWALTRVVTTRAEVDMERIKTEYQKRNSVPLDRAIAGDTSGDYERILLALIGHEE >itb03g03370.t2 pep chromosome:ASM357664v1:3:1954570:1957490:-1 gene:itb03g03370 transcript:itb03g03370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVPASVPSPTEDAEQLRKAFAGWGTNEALIIQILAHRNAAQRKLIREAYAATYKEDLLKDLDAELSSDFQRAVLIWTLVPAERDALLINEATKRLTASNWVIMEVACSRSSSDLFKVRQMYHDRYKKSLEEDVAYHTSGDIRKLLVPLVTAFRYEGDEVNLTLAKNEAKILHEKICDKKAYNDDEIIRIITTRSKAQLNATMNHYNDQFGNLINKDLKEESKDEYLTLLRAAINCLTNPEKYFEKVLRMAIKKLGTDEWALTRVVTTRAEVDMERIKTEYQKRNSVPLDRAIAGDTSGDYERILLALIGHEE >itb10g19250.t1 pep chromosome:ASM357664v1:10:25109559:25115758:-1 gene:itb10g19250 transcript:itb10g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPNALTANITTDQSSLLSLKSHASLSHHHILAKNWSTNTSVCDWIAVVCGSKHHRVVVLDISSMGLVGTLPPQLGNLSFLVSLNLSHNSFHGNLPRELANLRRLKFLDFSYNDFSGKIPEEIGNLENVRNLILEFNQLEGPIPFTILNIFTLQLLSLMNNNLSGPLPMNLCQHPSRLKALCLSFNKLNGDIPNSLSACSELEELLLDNNNFAGMVPREIGNLTMLKFLHLGSNYLEGEIPKELGKLDELEELKLDENELSGFIQWEIFNISSLTDMTLASNYNLSGPISDCLGNVSSLREVYLFKNEFTFFPPTLWSHENLLMLELYSNNLSGSLPQEIGNAKTAIHIYLSNNKLSGQIPLSIGGLTEIINFSVAHNTIHGSIPDAFGKLLDLHLLDLSDNKISGMIPKSLEGLVSLKYFNVSYNKLIGEIPSGGAFANFTYESFLSNDGLCGSPRMHVPPCPANSLRTSKKSRVVMFVLISLAVLIVLVVSVTVYLIFKRRKKLLGDENSMAFTNSLTTIGYIAPEYGREGLVSTRSDMYSYGIMLLEVFTRTQPGDEMFNEDSSLRSWVQNAFPTEIGHVIDPNLLGLDEERYAEKLQCVIAIFEMGMKCSTDSPRERMVIKDALPALEKIKVTLLSLYART >itb03g02170.t1 pep chromosome:ASM357664v1:3:1190995:1192267:1 gene:itb03g02170 transcript:itb03g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRSTWHLFLLVLLTFFVMSAKLSADSLAGAGTVGDFMETGGEEFLMDSETTGRLHSVGKRVSLGYAAMQKTPICNEQSYASCLGEQKNVRRGCAVYNRAC >itb15g10520.t1 pep chromosome:ASM357664v1:15:8278248:8278766:1 gene:itb15g10520 transcript:itb15g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRELSGYPLGFPSEGKLQIWKFTPGSEGLRFDFNFNPVLDLSSPANAFRFFFDRLGEVDSGVGEEIVGRIATFALDQVGTSNWICGLFLLVVLETVPMRIDDGKKSENWLYTKEEGKCCSICLEGFKKGTMVIPLLPCLHRFHDGCIIPWLRNNTTCPLCRCTCTLKSS >itb06g16790.t1 pep chromosome:ASM357664v1:6:20818581:20823000:1 gene:itb06g16790 transcript:itb06g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSVASPLCTWLVAACMSAACEKDHRGKSPSIFQSPRWLRRKRTLAWSGNLGGGFVSSLYGSGIHGLMSSCFAFEPCQEYYNSRGLPFFGDNALSLFGFKLAPVNRRQRRMNKAAHSGKTMAVAVQPEKEALSKKKPITEKRRVVVTGIGVVSPVGHNVDEFYNNLLDGVSGISEIESFDCSDFPTRIAGEIKNFSADGWVSPKLSKRADKFMLYMLTAGKKALADGGITEDVIKELDKAKCGVLIGSALGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGETDMMLCGGSDSAIIPIGLGGFVACRALSTRNSDPTRASRPWDMERDGFVMGEGSGVLLLEELEHAKKRGAKIYAEFLGGSFTCDAYHMTEPHPDGAGTVLCIEKALKQSGVKREDVNYINAHATSTPAGDLKEYQAIIRCFGQNSELKINSTKSMIGHLLGAAGAVEAIAAIMAIQTGWVHPNTNLEKPDEGLQDTGMLVGSKKERLDIKVALSNSFGFGGHNSSILFAPYKDV >itb01g21060.t1 pep chromosome:ASM357664v1:1:27249705:27253281:1 gene:itb01g21060 transcript:itb01g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTVIFPVFMFIFLSIASAKRHPPQKYFNVLDYGAVADGKSENSPAFLKAWEDACRYPGKSRVLIPKGTFKIWSTTFSGPCNGSISFVIKGVVKAPVNPGEFMNMDTWLGFRYLDRLSVNGGGYLDGQGQAAWPHNDCSTNPNCSPLPVSLRFDFVNNAKINHLRSINSKNTHFNLFACNNVNISRVRLTAPGDSPNTDGIRIGASTNVKITTSLIQTGDDCVAMVTGAKNIVVSNVTCGPGHGISIGSLGKSRGEFVSDVKVINCTFIGTQNGARIKTWAAGSMPSTVSNVFFGYINMQHVNNPILIDQTYCPGLPFCSDLIKDVTFYNIWGTSSSKVAVALKCSAKEPCQNIILNNIYLSYDGRGGPAISECSNINGRSYGRQYPHSCL >itb02g00170.t2 pep chromosome:ASM357664v1:2:154251:161782:1 gene:itb02g00170 transcript:itb02g00170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNCHNIGAIDKETSSKSSRDISKELIINEIDCGTGKNGPDCKWKNVAHDGEQSKANESVVTSAKNLSFCNSSKDTAIELYSRKYNPNNSPFVCYQSDSGNLVANSFLGFPVSGKAIPNLNEAHDNGKASEKSGEDGYFSNEITSWSRSTSKTNLETKQEKSHHSDLKEVDQSHKKTGGLSKDAFGDNPWSKSDESTEYSSEPWNDCMVKTVFPFSKTDMLNYDHNIVIGDKKEGKRKLEITDIKTDIKEQGDDDGRASYFGRAQRNEPKDLSGFDFPLASENQKEELPRLPPVRLKSEEKPFNIHWVEKFERDEIDTKIANSDKSYLIGSFLDVPVGQEISHLGKRPMGGSWLSVSQGIAEDTSDLVSGFATIGDGISDSVDYPNEYWDSDEYDDEDDVGYTRQPIEDENWFLAHEIDYPSDNEKGTGHGSIPDPQRSQNREEDEQSFVEEDSYFSGERYIQSKDVDRVRPSDDPTDLSVAEIYRRPDDLIAQYDGQLMDDDELNLIRAEPVWQGFVTKTNDIVMLGDGEVLNEGGRARLDDICMDDDQHGSVRSIGVGINSDVADIGSEVRESLIGGSIDGDIEYFCDNDIGIGGSRLILHDSEKSFSERSTRDKKAAKPSSDKFITGVDRTKSHPDGGFSFPPPRKGQLVQTISDKSLLSKEGNAISADDCLVENDDMLVPWRRKSTDSSPVKSSKCENNATGSANSSPSSFSNYGYPEREHVKKEHDMQVIAREEDLGTSLEDEEAAAVQEQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVVGIISPINQEMLAKGKDTYKYFTKNHMLYERNQESNRLEYLIPKKSSLRHRLPMGDQGFIDFLSHLLEINPKKRPSASEALKHPWLSYPYEPISS >itb02g00170.t1 pep chromosome:ASM357664v1:2:154220:161794:1 gene:itb02g00170 transcript:itb02g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSVDVILEFLRRNKFTRAEAALRSELSNHPDLIGVLQKLALEDKELNPPLDESNGWRTAGESMGMNCHNIGAIDKETSSKSSRDISKELIINEIDCGTGKNGPDCKWKNVAHDGEQSKANESVVTSAKNLSFCNSSKDTAIELYSRKYNPNNSPFVCYQSDSGNLVANSFLGFPVSGKAIPNLNEAHDNGKASEKSGEDGYFSNEITSWSRSTSKTNLETKQEKSHHSDLKEVDQSHKKTGGLSKDAFGDNPWSKSDESTEYSSEPWNDCMVKTVFPFSKTDMLNYDHNIVIGDKKEGKRKLEITDIKTDIKEQGDDDGRASYFGRAQRNEPKDLSGFDFPLASENQKEELPRLPPVRLKSEEKPFNIHWVEKFERDEIDTKIANSDKSYLIGSFLDVPVGQEISHLGKRPMGGSWLSVSQGIAEDTSDLVSGFATIGDGISDSVDYPNEYWDSDEYDDEDDVGYTRQPIEDENWFLAHEIDYPSDNEKGTGHGSIPDPQRSQNREEDEQSFVEEDSYFSGERYIQSKDVDRVRPSDDPTDLSVAEIYRRPDDLIAQYDGQLMDDDELNLIRAEPVWQGFVTKTNDIVMLGDGEVLNEGGRARLDDICMDDDQHGSVRSIGVGINSDVADIGSEVRESLIGGSIDGDIEYFCDNDIGIGGSRLILHDSEKSFSERSTRDKKAAKPSSDKFITGVDRTKSHPDGGFSFPPPRKGQLVQTISDKSLLSKEGNAISADDCLVENDDMLVPWRRKSTDSSPVKSSKCENNATGSANSSPSSFSNYGYPEREHVKKEHDMQVIAREEDLGTSLEDEEAAAVQEQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVVGIISPINQEMLAKGKDTYKYFTKNHMLYERNQESNRLEYLIPKKSSLRHRLPMGDQGFIDFLSHLLEINPKKRPSASEALKHPWLSYPYEPISS >itb03g19850.t2 pep chromosome:ASM357664v1:3:17820434:17823420:1 gene:itb03g19850 transcript:itb03g19850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLRLAKALVIKEALSWIQHTRSLLSQSLIVAPRCLLVFRCRQCRCCFSWPVSYLLQVEEESETAVSFRALAQAGANLIVRKMLLSLYLKLQGQLYFMIALYYVLGGQKQSQLKKIMELRVLKTGASVRLNLLSVALICRDN >itb03g19850.t3 pep chromosome:ASM357664v1:3:17820434:17824740:1 gene:itb03g19850 transcript:itb03g19850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLRLAKALVIKEALSWIQHTRSLLSQSLIVAPRCLLVFRCRQCRCCFSWPVSYLLQVEEESETAVSFRALAQAGANLIVRKMLLSLYLKLQGQLYFMIALYYVLGEAESAKEDNGVEGFKNWCFCEMLVGEGKSDEARKVTKMVMHTLECMAKGGIRDHVGGGFHRYSGDECWHVPHFEKMLYDQGQLVNAYLNAFCITKDVFYSSVCRDILDYLRRDMIGPTGEIFSVEDADSLESEDASRKKEGAFYVWSSSEIYLSCNIWCSAVFLLKLVYLETILELPSFRSNQSLIEVHLEISFKLFSRHKDEVELKIELPLQARYQVA >itb03g19850.t1 pep chromosome:ASM357664v1:3:17823511:17824740:1 gene:itb03g19850 transcript:itb03g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGEGKSDEARKVTKMVMHTLECMAKGGIRDHVGGGFHRYSGDECWHVPHFEKMLYDQGQLVNAYLNAFCITKDVFYSSVCRDILDYLRRDMIGPTGEIFSVEDADSLESEDASRKKEGAFYVWSSSEIYLSCNIWCSAVFLLKLVYLETILELPSFRSNQSLIEVHLEISFKLFSRHKDEVELKIELPLQARYQVA >itb04g18940.t1 pep chromosome:ASM357664v1:4:22822988:22824467:-1 gene:itb04g18940 transcript:itb04g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLGLRDVMQIHQLNKCESPLPHQISLEHMDCTKIDHNNARSETLDRTRKGDEQHTSGIWLFLPSVSIRTRLLVAGHVVEILNQRQEPNGGVVRRLKMMKKHSSSADGVFPSREDRKRSVVRLAFLGKIVHSLSTNLPASLFALFLLSTKLISGEEDEVNSTKAINSASKFQVKTMIRQSFDSVYHFCVSF >itb02g22880.t1 pep chromosome:ASM357664v1:2:22594370:22595233:1 gene:itb02g22880 transcript:itb02g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSIWRGSFVDAFLLRMKKKRDPLLNRKIWSRRSSISPEFVDCSVRIYNGKTPVHCKITEGKHAIPSLILSSRSGISLPPILRSEVQYPILLFCLRSVSQQHQGKIDSSTSRSPIAATPFQKALTPRNSIGSSSSNGFNGIGVVGSNKS >itb09g12410.t1 pep chromosome:ASM357664v1:9:7861465:7864684:-1 gene:itb09g12410 transcript:itb09g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGLDGFRVFLPHSVSHFSFTLSAPLPLAELSESKTVWMWALRRASVSIRKQGLNTSTAQFCFATSELSNRCFEDYDSGVNRADTLVSDTSLSVSRFYKMACSPSTPFKGSHSFSSCAGTKSSGEDDDELDGFSELESPMTSGSVEEGNLNNGDELVSESELFDDDTEGPQRELGSDTESPASQTKANASSEILDIVLAASPLSVSSVLDKWLEEGKEVTQSDITTAMRGLRKRRLYAKALKLSEWLESLKHIDFTERDYASHTALIAKVGGLQRAEAYVQKIPKSFRSEVVYGTLLASCASVFNVKKTEEVFKKMKDLKFPISCFCFNQLLLLYKRTDKKKIGDVLLEMEKEGVKPDLFTYKMLIDAKGQCNDITGMEQFVESMKSEGIEPDISVNSLLARFYIRSGLNEKAEAVLKEMEADNVSENYWVYTILLPLYAALGNADEINRIWQLCGSNPRVEHCMAAIEAWGNLKKVDKAEAIFEMMLNKYPNMSSKRYSTLLNVYANNKMLAKGKDLVKRMTANGCHIGVSTWNTLVKLYVEAGEVEKAYTVLAMATEHNGVKPMLRSYIAILEQYAKKGDIHNSELIFRQMRQAGFTSLPWLYQTLVRAYFNAKVPAYGMNERMKADKVFLKKELAAMLPKVDPFRKTAVSEIFE >itb06g22140.t1 pep chromosome:ASM357664v1:6:24531029:24537166:-1 gene:itb06g22140 transcript:itb06g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKLNSTAQIWCCVFLYLLVFGDGGSQVKFLPGFQGPLPFELETGYIGVGESEDVQLFYYFTKSESQPESDPIILWLTGGPGCSALSGLLFEIGPFTLEKEKYNGSLPRIVLNPYSWSKVASIIFLDSPVGTGFSYAKTPSALQSSDMQTCHETYEFVRKWLNDHPEFISNPFYVAGDSYSGILVPIISQFISDGNEMGIHPQINLQGYMLGNPLTFPEENDYKIQFAHGMALISDELYESLQVHCNGKYQSVDPSNAKCLQDINTFNERINGLDGAQILDWTCGFAVSMVDDIASQRRRSLHQQLDHHPLSAIKCHIDWYRLSYYWADNESVRDALHIKKLIISSTLYGHADAGSPVKFLPGFQGPLPFHLETGYIGVGDVQFFYYFIKSESNPNSDPLMIWLSGGPGCSSLSGLIYEIGNEKGNNPQINLKVCNLQAKL >itb14g20110.t1 pep chromosome:ASM357664v1:14:22584746:22586372:1 gene:itb14g20110 transcript:itb14g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTTAAAAAAAASKDKDKKRPVSRSSRAGLQFPVGRIHRQLKTRTSAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTNKE >itb07g20440.t1 pep chromosome:ASM357664v1:7:24807157:24809135:1 gene:itb07g20440 transcript:itb07g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHGWTCSSSAREKPWSAASTEGREDSSDVTGKPASPPPPSLNAPPSVSSCDVNQPPPSCLQPRRKLVVPTLPSHASFFPSSSSIS >itb01g10360.t1 pep chromosome:ASM357664v1:1:8609840:8610974:-1 gene:itb01g10360 transcript:itb01g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFPFSLIIITFTTLLFLLFNLIKKITKKSKKSSSVSVAEKVPPGPWKLPFIGSIHHLAGSAPPNHVLRDLARKHGGIMHLQLGEISAVVISTPRAAKQVLKTHDVAFASRPEILASKIMMNNEDIAFAPYGEYWRQMRKIYIVELLSAKMVSSPKKVE >itb13g05710.t1 pep chromosome:ASM357664v1:13:6887357:6888168:1 gene:itb13g05710 transcript:itb13g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSYSNLSMVSDWTKGDPDVFTTNTSKPGWCNVDPDEAKRSAIVSMMDLGADSVSVRCLFRALVSINALGIAYAEVDFVSAPSVLYSIGDWPKWLRPAKVNILLLNLLVNIEAGVEKRGPLIHVYDLPPEYNSLLLEVSTLSANCFSSVLVKGFRLGVTYFSFSSSQLSSTLVLEEDDSG >itb04g20650.t1 pep chromosome:ASM357664v1:4:25517909:25520586:1 gene:itb04g20650 transcript:itb04g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPYKKIKKSAFLDEKKGEVDGGLEMEASTHKTWIPFDLLFHIFLLLPAESLCRVSFACKTLFNMISSANFIEAHLRQSETVLLTLKSVTEENTSLPFARQPHAQSRKFFFQFWELHSGKSRKVCVPYLKNIDRILASCNGLVLTKMKKNAGLAVINPSTRKHIQLPLGTIGFHPDLYGFMRRQLMGGYKVVHLFRDKFNHIRCEILNLSTRSWHAVDGPSSTFGSIIFHAPVSAIGAIYWLPERSGCNHIVSLGYDDEKFLSIPLPISSTKNDRLIEVGGGLLSFVTHATMHLIQVWILRRDGVGGDNWIKRYSINRNYDITGLIPFCTSGCEMVFNRHRRNLLYVYNLESDEMKEVNLDTETMDRDVEQDDMEFEEEVDNGEEFLFYIPHVNSLISGSTPHRQ >itb01g18620.t1 pep chromosome:ASM357664v1:1:24276000:24280881:1 gene:itb01g18620 transcript:itb01g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRNGAERGVHEENEKDEPILMVQSQRFCMFPIRYPQLWEMYKKAQASFWTAEEVDLSLDVQQWETLSDSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENVHSEMYSLLLETYIKDSSQKSKLFTAIETIPCVAEKAKWSLNWIESSSSFVERLVAFACVEGIFFSGSFCAIFWLKKRCLMPGLAFSNELISRDEGLHCDFACLLYSFVRKKLPWEKVHEIVNEAVEIETNFVCDALPCALIGMNSELMSQYIKFVADHLLVALGYQKKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSNLRDSSKNFEFKLDEDF >itb05g14930.t1 pep chromosome:ASM357664v1:5:22242927:22247949:-1 gene:itb05g14930 transcript:itb05g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGSKRIFRRLGPSQGDNGSKQQQKVCFHWRAGRCDRFPCPFLHRELAAPPPQTQGSLTNGTASSKRSHGYSDDYRGGPRRNTNFNNNWGRNPAHGGGNSTRAVTKTEKICTYWVQGSCKFGDNCRFLHSWITGNCFSLLTTLEGHQKVVSGIALPSGSDKLYSGSTDKTVRVWDCQSGQCAGVVNVSGEVGCMLSEGPWIFVGITNLVKAWNAQTAADLNLTGPVGQVYSIVVGNDMLFAGTEDGILAWKFNVATNCFEPAASLKGHSHHVVSLVVGGNRLYSGSMDNTIRVWSLETLQCLQILTGHTSVVMSVLCWDQFLLSCSLDKTVKVWVANESGSLEVTYTHQEEHGVLALCGMHDAEAKPVLLCSCNDNTVHVYDLPSFSERGKIFSKEAIRCIEIGPGGLFFTGDESGQVRVWKWLAETTTAA >itb05g13140.t1 pep chromosome:ASM357664v1:5:20014467:20020721:1 gene:itb05g13140 transcript:itb05g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANHIEELYVASDPKIKAFFESAPPLQDAADIKARLNEFIQRNYSTSGVGKANRIVCVTSGGTTVPLEKRCVRYIDNFSSGHRGAASTEYFLKSGYSVIFLYRRGTCQPFCRDLPENALLECLTYNNGSNLQVDQKSADVVKRAITEHQAAVAGGSLLKLPFTTIFEYLQMLQLIALLLRRVGPSAMFYLAAAVSDFYVPWESMTMHKIQSGSGPLDMQLAQVPKMLSVVRTEWAPLAFCISFKLETDKDILLEKADMALKKYGMHMVVANELLTRKEEVIVVLQNDKISVRREKSEAGADVENPLIKLIVERHSEYITASSA >itb05g13140.t2 pep chromosome:ASM357664v1:5:20014473:20020699:1 gene:itb05g13140 transcript:itb05g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANHIEELYVASDPKIKAFFESAPPLQDAADIKARLNEFIQRNYSTSGVGKANRIVCVTSGGTTVPLEKRCVRYIDNFSSGHRGAASTEYFLKSGYSVIFLYRRGTCQPFCRDLPENALLECLTYNNGSNLQVDQKSADVVKRAITEHQAAVAGGSLLKLPFTTIFEYLQMLQLIALLLRRVGPSAMFYLAAAVSDFYVPWESMTMHKIQSGSGPLDMQLAQVPKMLSVVRTEWAPLAFCISFKLETDKDILLEKADMALKKYGMHMVVANELLTRKEEVIVVLQNDKISVRREKSEAGADVENPLIKLIVERHSEYITASSA >itb04g12850.t2 pep chromosome:ASM357664v1:4:12574105:12583262:1 gene:itb04g12850 transcript:itb04g12850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQEWKDAFGFICSVEFWRMGVLWTLSLIYSYLQLFRQTLFSIKSKTYSPRCSPSSIATKRPICIVTGATSGLGAAAACALSREGYYVVLVGRSVDLLSKIASDIKEQSGDACVKAFQVDLSSFKSIWNFKREFQHWLSDMNMHCSVQLLINNAGILATRRRVTTEGCDKIMATNYVGAFCLTKILLPLLQNSPIPSRVVNVTSFTHRNVCLLLFSYELHRQVKLVEQSSQVSVIAVDPGAVKTNIMRELPKCISQLAFFTLRLLGLLQSSEEGVCSILDAAFAPPEISGVYFFGGNGRTLKSSPLSYNSKLAKDLWEASSDLFIELQLASGHTT >itb04g12850.t1 pep chromosome:ASM357664v1:4:12574105:12583262:1 gene:itb04g12850 transcript:itb04g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQEWKDAFGFICSVEFWRMGVLWTLSLIYSYLQLFRQTLFSIKSKTYSPRCSPSSIATKRPICIVTGATSGLGAAAACALSREGYYVVLVGRSVDLLSKIASDIKEQSGDACVKAFQVDLSSFKSIWNFKREFQHWLSDMNMHCSVQLLINNAGILATRRRVTTEGCDKIMATNYVGAFCLTKILLPLLQNSPIPSRVVNVTSFTHRNVSSMKINKETISGKCFSGSRIYPFAHVYEYSKLCLLLFSYELHRQVKLVEQSSQVSVIAVDPGAVKTNIMRELPKCISQLAFFTLRLLGLLQSSEEGVCSILDAAFAPPEISGVYFFGGNGRTLKSSPLSYNSKLAKDLWEASSDLFIELQLASGHTT >itb04g12850.t3 pep chromosome:ASM357664v1:4:12578625:12583117:1 gene:itb04g12850 transcript:itb04g12850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVGRSVDLLSKIASDIKEQSGDACVKAFQVDLSSFKSIWNFKREFQHWLSDMNMHCSVQLLINNAGILATRRRVTTEGCDKIMATNYVGAFCLTKILLPLLQNSPIPSRVVNVTSFTHRNVSSMKINKETISGKCFSGSRIYPFAHVYEYSKLCLLLFSYELHRQVKLVEQSSQVSVIAVDPGAVKTNIMRELPKCISQLAFFTLRLLGLLQSSEEGVCSILDAAFAPPEISGVYFFGGNGRTLKSSPLSYNSKLAKDLWEASSDLFIELQLASGHTT >itb04g23520.t2 pep chromosome:ASM357664v1:4:28535326:28538901:-1 gene:itb04g23520 transcript:itb04g23520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLILILLLSKGVEHTEAQFEGECKLNKPLKPRPHSVSVLEFGAVGDGKTLNTVAFQNAIFYLKSFTDKGGAQLYVPAGKWLTGTIDLTSHLTLFLEKDAIILGSEDYSHWEVVDPLPSYGRSIEVPGGRYRSLITGTNVTDVVITGNNGTIDGQGSVWWEQFDQHALNYSRPHLVEFISSSYVVISNLTLLNAPAWNIRPAYCSNVLVQNITVHSPSKSPYTNGIVPDSSNHVCIENSNISMGQDAIALKSGWDEYGIAYGKPTENVHIREVRVQSTEGAGVAFGSEMSGGISNVLVESLYLHESLVGIELKTARGRGGYIQGIILSGVVMENVSVGIKATGYVDTHPDDNFDPNAYPVVSNITFKDIVGTNISTAGNFTGLPESPFTSICLSDIYLSISPDTSTPQWICYAVSGVSLNVSPEPCSELQNPISGTYSTTCTYLLRPFTQVAIL >itb04g23520.t1 pep chromosome:ASM357664v1:4:28535326:28538966:-1 gene:itb04g23520 transcript:itb04g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEERNPMKALVFLILILLLSKGVEHTEAQFEGECKLNKPLKPRPHSVSVLEFGAVGDGKTLNTVAFQNAIFYLKSFTDKGGAQLYVPAGKWLTGTIDLTSHLTLFLEKDAIILGSEDYSHWEVVDPLPSYGRSIEVPGGRYRSLITGTNVTDVVITGNNGTIDGQGSVWWEQFDQHALNYSRPHLVEFISSSYVVISNLTLLNAPAWNIRPAYCSNVLVQNITVHSPSKSPYTNGIVPDSSNHVCIENSNISMGQDAIALKSGWDEYGIAYGKPTENVHIREVRVQSTEGAGVAFGSEMSGGISNVLVESLYLHESLVGIELKTARGRGGYIQGIILSGVVMENVSVGIKATGYVDTHPDDNFDPNAYPVVSNITFKDIVGTNISTAGNFTGLPESPFTSICLSDIYLSISPDTSTPQWICYAVSGVSLNVSPEPCSELQNPISGTYSTTCTYLLRPFTQVAIL >itb04g23520.t3 pep chromosome:ASM357664v1:4:28535326:28538610:-1 gene:itb04g23520 transcript:itb04g23520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLILILLLSKGVEHTEAQFEGECKLNKPLKPRPHSVSVLEFGAVGDGKTLNTVAFQNAIFYLKSFTDKGGAQLYVPAGKWLTGTIDLTSHLTLFLEKDAIILGSEDYSHWEVVDPLPSYGRSIEVPGGRYRSLITGTNVTDVVITGNNGTIDGQGSVWWEQFDQHALNYSRPHLVEFISSSYVVISNLTLLNAPAWNIRPAYCSNVLVQNITVHSPSKSPYTNGIVPDSSNHVCIENSNISMGQDAIALKSGWDEYGIAYGKPTENVHIREVRVQSTEGAGVAFGSEMSGGISNVLVESLYLHESLVGIELKTARGRGGYIQGIILSGVVMENVSVGIKATGYVDTHPDDNFDPNAYPVVSNITFKDIVGTNISTAGNFTGLPESPFTSICLSDIYLSISPDTSTPQWICYAVSGVSLNVSPEPCSELQNPISGTYSTTCTYLLRPFTQVAIL >itb12g13880.t1 pep chromosome:ASM357664v1:12:13027614:13034011:-1 gene:itb12g13880 transcript:itb12g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPDQTLTSELPRPSAGQNVRIELGTPTKTVTIEAGEGGRKRVVKKTVRIVKRIVRKKVPKSVPNSSTGNVELEKDITIKESGSLKPCRQVSTSNIQNSKPGDGNVKPYAGDEDLNKSEPQPMEVEKDSILADAVPESGKMHQSDLTIAEKPLEVVKENSNSIENQNVGKEGVRQDDTGVSVNENAKPIEHWRLGLVVEAGTEGSLEEVLERDVEWSDKATLNEEARTKGALEEVKEVLERDIECSDKVTLSEEMEALERKRRRRTQIFIGGLDKDTKEEDIRPIFEDVGEVMELRLLINRETGKNKGFAFLRFASAADAKKAVSKYSQVEVTNIILHICGKQCGVSLVEGNDTIYLGNIDKKWKTQDVAKLLERAGIDKIDKVTVMADPNDAERNRGFAFVELETSRDAQIAFNKLQKKEVFGKHLQVRVAWAQPLVEPNEEEMQKVKSIFAEYIPLSWDEIKVRDYFKRFGEIENVVISKDLPSSRRKDFAFVNYTSREAALACIMAFRHEEVNGDSSEVKMKVSLARPMPKSKQIKRATNPTIKKPSRDTRKVAHSILKVHEPTTKENPMRSDYGSSKVDRGSSSSSTDELLQLLRHQASTRQTQSSLNLGSSSMESQYLLTGSKRPPPLVRDIPPHFENRGFPRMRFENTSPIPNSVLSRSLGAPPVPHYQLQGAHASDSVPEVAKHGRPFQVEL >itb12g13880.t2 pep chromosome:ASM357664v1:12:13027614:13034011:-1 gene:itb12g13880 transcript:itb12g13880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPDQTLTSELPRPSAGQNVRIELGTPTKTVTIEAGEGGRKRVVKKTVRIVKRIVRKKVPKSVPNSSTGNVELEKDITIKESGSLKPCRQVSTSNIQNSKPGDGNVKPYAGDEDLNKSEPQPMEVEKDSILADAVPESGKMHQSDLTIAEKPLEVVKENSNSIENQNVGKEGVRQDDTGVSVNENAKPIEHWRLGLVVEAGTEGSLEEVLERDVEWSDKATLNEEARTKGALEEVKEVLERDIECSDKVTLSEEMEALERKRRRRTQIFIGGLDKDTKEEDIRPIFEDVGEVMELRLLINRETGKNKGFAFLRFASAADAKKAVSKYSQVEICGKQCGVSLVEGNDTIYLGNIDKKWKTQDVAKLLERAGIDKIDKVTVMADPNDAERNRGFAFVELETSRDAQIAFNKLQKKEVFGKHLQVRVAWAQPLVEPNEEEMQKVKSIFAEYIPLSWDEIKVRDYFKRFGEIENVVISKDLPSSRRKDFAFVNYTSREAALACIMAFRHEEVNGDSSEVKMKVSLARPMPKSKQIKRATNPTIKKPSRDTRKVAHSILKVHEPTTKENPMRSDYGSSKVDRGSSSSSTDELLQLLRHQASTRQTQSSLNLGSSSMESQYLLTGSKRPPPLVRDIPPHFENRGFPRMRFENTSPIPNSVLSRSLGAPPVPHYQLQGAHASDSVPEVAKHGRPFQVEL >itb11g19890.t1 pep chromosome:ASM357664v1:11:20767024:20767716:-1 gene:itb11g19890 transcript:itb11g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLLIFLSLSLFLSFFLSQAKEAPNPVLDINGTMVQEGFKYYVVPLDLEEAGLGFNLFSIQNGCPKTVFLAEPYYWSCLIAFHPVNPDKAGVIREWTDLNIEIFFDKYTAPCPEPNFWKIEGDPSRYDVNITTGGENGNLSSWFKIVKTQNGYKFMYCPSVVCDHCRVVCKDFGISETDQSRLVLSDTPFEFTFRRPETLVNNDVATPVAALQDIASCNSDFTNQTIS >itb03g19600.t1 pep chromosome:ASM357664v1:3:17631810:17634557:1 gene:itb03g19600 transcript:itb03g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDTVLPANEQMMKMIPEVEETDEDNDDTKFQKSLRELRDLCSQLHHAADYCETTFQNSEQKRSVVEHTREYICRAVVTVIDHLGCVSANLECCLAKSNNISETELRIDCLKQRLGTSQYYAQKLAVTRFYWSASCPRFHPRYLSPPMPDPMRTGGVTRESDSPVAAKPEFEAEDEVPLFLYTCNYKASLAEDTEKGISSSPVLPVRHDLPALPKPQNPNFEFQDGRKLKRSILNWKALQTTDLKSMIRRGKRTLNTPVSYC >itb09g00780.t1 pep chromosome:ASM357664v1:9:497894:498496:-1 gene:itb09g00780 transcript:itb09g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLVGISEAKEKLRRTLSPRKGSISTSNDVPKGHFPVYVGEAQRRFVVPISYLRHPLFQELLHWAEQEFGYEHRPTGGLTIPCSEDYFLTLISLLKSS >itb13g18810.t1 pep chromosome:ASM357664v1:13:25714689:25714997:-1 gene:itb13g18810 transcript:itb13g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHLNPSFLLLFFITLSPAVVNMVQTEARNLLEITLPQLPFPQIPTLPKLEIPSLPEIPKPELPTLPKPQLPEIPHPELPSIPKLPEFPEIPKPELPSKP >itb09g12110.t1 pep chromosome:ASM357664v1:9:7627085:7629046:1 gene:itb09g12110 transcript:itb09g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPFRSSFLSSTKRLAIFSHVRALINSLSNLELRNDDHFKQCYYVGQPKRECFTLPRIDYTLDPEVARSNLMITRLCKEGRVIEARGVFDEMPDPDVISWTAMISGYISCGMINEARELFDRGDAKRNVVTWTAMVAGYMRGNQIVDAERLFKEMPEKNVVSWNSMINGYVKAGRIDEALAFFESMEERNVVSRNMVIGGLTQCGRVDEAWRIFDQMPERNVISWTTMISGLSSNGRVDEARMLFEGMPERNVVSWNAMITGYIKNLRFDEAFELFERMPEKSVLSWNTMISGFIENKDLNSARMLFNEMPRKDVVSWTLMINGSVQNGQSEKAIETFSDMQWDTRVKPNEGTFVCVLSACSDLAGLGEGMQIHQVISKTVYQDNQPVISGLIDMYSKCGELSTARKMFDDGLRGQMDLVSWNGMIAAYAHHGCGREAINLFNDMQKMGFRPNDVTYVVLLAACSHSGLVEEGLNYFIQLMRDESVEVGDHHYSCLIDLCSRAGNLKEAYRFMEQLQSKLPAKIWVALLSGCNVHGDQETGKLAGEKLLEVDRVAAESSSTYMLLSNIYASSGNWKEAAKLQGKMKDRGLRKQPGCSWIEVANRVHVFVAGDRSHDETELLYSLLGSLHMKMKRAVFFTTHNFIFEDDFAFI >itb08g01740.t1 pep chromosome:ASM357664v1:8:1392531:1395042:-1 gene:itb08g01740 transcript:itb08g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSTALDIHFSYPCSSETKHPYVFNSPSLTLPRKRLNISCNNSSKSPPKTAEKPENRRNGSPSLSEQLKPLSTTVLADQPSQTKLLSKPQSTWVNPARPRPSVLSLQRQKRSSYSYNPQIRDLKRFARKLNEGYFDEEGFMAVLEEIPESPTKENALLVLNSLRDWQKSLLFLNWIKAKDLFPLETIFYNVAMKSLRFGRQFEHIERLAFEMVENGVELDNITYSTIITCAKRCGLFDKALEWFERMYKTGLIPDEVTYSAVLDVYAQLGKVEDAISLYERGRASGWTPDAVAFSMLARMFGSVADYDGIRFVLQEMKNVGVQPNLVVYNTLFEALGKAGKPGLARSLFEEMLEYGLTPNEKTLTCLIKIYGKARWARDALELWERMKSKGWPMDFYLYNTLLSMCADIGLEEEAERLFGDMKESRNCKPDSWSYTAMINIYASGGDVEKAVSLYKEMAEEGVELNVMGCTCLIQCLGKAKRIDDLVMVFEASMERGIKPDDRLCGCLLSVVSYCEGEDAEKVLGCLQRASPRLVAVVRMLGEESTSFDTVKEEIRVILSNTSPESRRPFCNCLIDICRNRNLQNRAHELLYIGTVYGLYPGLHSKTSQEWRLNVRSLSVGAARTAFEEWMATLAKIVQREEPLPQLFTAYTGAGTHRFSQGLANAFASHLETFEAPFKESEEKAGFFVATQEDLVSWIQSKAYSLDAATATA >itb03g21310.t1 pep chromosome:ASM357664v1:3:19152554:19157446:-1 gene:itb03g21310 transcript:itb03g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPISIPNNSLARNAISSSTSPPILIDGREEDVVLARSKVLHRMEVLRRRSRRIKQLSQIYRDYYWALMEEVKLKYRDYYWEYGKSPFEEDSEENNVSNANHVDSAPGTGENPNCNTLISNNGSNKCGVCNCKSKAMALTRFCHMHILSDPRQKLYKPCSYSIKSSPTGPILCGKPVLRSMVPCLCSPHLEKADKYATRALKKAGLNISSTSKLAPKFHVIVAEYVNQIQNRRRALKAASENSETKEDNCA >itb03g21310.t2 pep chromosome:ASM357664v1:3:19152554:19157385:-1 gene:itb03g21310 transcript:itb03g21310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPISIPNNSLARNAISSSTSPPILIDGREEDVVLARSKVLHRMEVLRRRSRRIKQLSQIYRDYYWALMEEVKLKYRDYYWEYGKSPFEEDSEENNVSNANHVDSAPGTGENPNCNTLISNNGSNKCGVCNCKSKAMALTRFCHMHILSDPRQKLYKPCSYSIKSSPTGPILCGKPVLRSMVPCLCSPHLEKADKYATRALKKAGLNISSTSKLAPKFHVIVAEYVNQIQNRRRALKAASENSETKEDNCA >itb04g31010.t1 pep chromosome:ASM357664v1:4:33966553:33978963:1 gene:itb04g31010 transcript:itb04g31010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINAVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDVVNADGWSDWMAQILDERDLGVLTSSMSLLVALVSNNHEAYWSSLPKCVRILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALSLVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMITDVQDIIKRHQAQIVTSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSSADFAMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAKEYLDKPAIHETMVRVSAYILGEYSHILARRPGCSPKEIFSSIHEKLPTVSTSTIPILLSTYAKILMHTQQPDPDLQNQIWAIFRKYESCIDVEIQQRAVEYLELSKKGAVLKDVLAEMPKFPERQSALIKKAVETEADTADQSAIKLRAQQQTSNALVVTDQHLTNGSPPVNQLGLVKIPTMSNVDNSSADEGVTQANGTLTVVDPQPQPSSTPSPDLLGDLLSPLAIEGPPAGGNQADTNLASDAKGAPMPPEALALAPVEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLASVRALVLPPTHLKMELSLVPETIPPRAQVQCPLEVINLHPSRDLAVLDFSYKFGTQAVNIKLRLPAALNKFLQPITVTAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLLEMTNLFSSLQLMVCPGLDPNANNLVISTTFYSESTRAMLCLIRIETDPADRTQLRMTVASGDPALTFELKEFVKEQLVSIPTATPRPAMPAPSQPRAASPPPVASDPGALLAGLL >itb04g31010.t2 pep chromosome:ASM357664v1:4:33966604:33976746:1 gene:itb04g31010 transcript:itb04g31010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINAVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDVVNADGWSDWMAQILDERDLGVLTSSMSLLVALVSNNHEAYWSSLPKCVRILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALSLVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMITDVQDIIKRHQAQIVTSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSSADFAMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAKEYLDKPAIHETMVRVSAYILGEYSHILARRPGCSPKEIFSSIHEKLPTVSTSTIPILLSTYAKILMHTQQPDPDLQNQIWAIFRKYESCIDVEIQQRAVEYLELSKKGAVLKDVLAEMPKFPERQSALIKKAVETEADTADQSAIKLRAQQQTSNALVVTDQHLTNGSPPVNQLGLVKIPTMSNVDNSSADEGVTQANGTLTVVDPQPQPSSTPSPDLLGDLLSPLAIEGPPAGGNQADTNLASDAKGAPMPPEALALAPVEEQTNTVQVLPTTYISIPPSVGAWRLIIRFIILTFC >itb15g21750.t1 pep chromosome:ASM357664v1:15:24411399:24413360:1 gene:itb15g21750 transcript:itb15g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPLSLSPVAAQVLTASRSRQSPLVEVMKQSSCVVHLTNITDQYVAFETLRAMPVIDLEHQLCLVGALKQVPCPSGRHKSNLGFLEMNLVLGIAGCFC >itb01g21370.t1 pep chromosome:ASM357664v1:1:27489936:27493864:1 gene:itb01g21370 transcript:itb01g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MATFTASCSSSSSSSWTLSNHSHRLPTCSYPISKYSPLGAKTHLFSSLWSSKNSKRLSFYVRAENKDEPSSSSVAVAHEEARNEEIARKDLHLEGELQKESGSEEIEREKQQEMDWKSDEEFKNFMGNPSIEAAIKLEKKRADRRLKELDRQTSSNPVVSLLNRLLRDSLSREKERLEKAEESFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRKPIQEVIPKLEKKLSEAAGRDVVLWFTEETTNDIKKQACVVQPKLEMDLQFESTKLSTPWGYISAIALCVTTFGTIALTSGFFLKPDATIDDYLANVVPLFGGFLTILGVSEITTRVVASRYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYVTSLLLVVAAFISDGSFNGGENALYIRPQFFENNPLFSFIQYVIGPYADDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTANLLTFATSFLLGLGGISGSIVCLAWGLFATFFRGGEEIPAQDEITPLGNERFAWGCVLFLVCFLTLFPNVGGTFSSSYFGSPYFRGDF >itb03g25460.t1 pep chromosome:ASM357664v1:3:24495310:24504589:-1 gene:itb03g25460 transcript:itb03g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MLSVETQKAELEVADSGKTQAQSSQIHIFRSRLPDIPIPNQIPLHTYCFQNLAEYRDRTCLIVGSTGKTYSFAETHLICRKVASGLAKLGVKKGDVIMTLFQNCPEFVFSFMGASMIGAVTTTANPFYTKAEIFKQMSASKAKVVITQSVYVEKLKDSGEEYPKIGEDFSVVTTDDPPENCLHFSVLSEADDEDMPATVEIAPDDAVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLKENDVVLCVLPLFHIFSLNSVLLCSLRAGAAVLLMQKFEIKSLLELIEKHRVSVAAVVPPLVLALAKNPIVDSYDLSSIRVVLSGAAPLGKELEEALHQRVPQAIFGQGYGMTEAGPVLSMCPAFAKQALPAKSGSCGSVVRNAELMVVDPETGCSLGRNQPGEICIRGSQIMKEYLNDPAATARTIDVDGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLLSHPMIADAAVVPQKDDAAGEVPVAFVVRSSDGFDLTEEAVKEFIAKQVVFYKKLHKVYFIHAIPKSASGKILRKDLREKLQAAPPSTPQ >itb03g25460.t3 pep chromosome:ASM357664v1:3:24497281:24504589:-1 gene:itb03g25460 transcript:itb03g25460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MLSVETQKAELEVADSGKTQAQSSQIHIFRSRLPDIPIPNQIPLHTYCFQNLAEYRDRTCLIVGSTGKTYSFAETHLICRKVASGLAKLGVKKGDVIMTLFQNCPEFVFSFMGASMIGAVTTTANPFYTKAEIFKQMSASKAKVVITQSVYVEKLKDSGEEYPKIGEDFSVVTTDDPPENCLHFSVLSEADDEDMPATVEIAPDDAVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLKENDVVLCVLPLFHIFSLNSVLLCSLRAGAAVLLMQKFEIKSLLELIEKHRVSVAAVVPPLVLALAKNPIVDSYDLSSIRVVLSGAAPLGKELEEALHQRVPQAIFGQGYGMTEAGPVLSMCPAFAKQALPAKSGSCGSVVRNAELMVVDPETGCSLGRNQPGEICIRGSQIMKEYLNDPAATARTIDVDGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLLSHPMIADAAVVPQKDDAAGEVPVAFVVRSSDGFDLTEEAVKEFIAKQVVFYKKLHKVYFIHAIPKSASGKILRKDLREKLQAAPPSTPQ >itb03g25460.t2 pep chromosome:ASM357664v1:3:24495310:24504589:-1 gene:itb03g25460 transcript:itb03g25460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Flavonoid biosynthesi [Source: Projected from Oryza sativa (Os02g0697400)] MLSVETQKAELEVADSGKTQAQSSQIHIFRSRLPDIPIPNQIPLHTYCFQNLAEYRDRTCLIVGSTGKTYSFAETHLICRKVASGLAKLGVKKGDVIMTLFQNCPEFVFSFMGASMIGAVTTTANPFYTKAEIFKQMSASKAKVVITQSVYVEKLKDSGEEYPKIGEDFSVVTTDDPPENCLHFSVLSEADDEDMPATVEIAPDDAVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLKENDVVLCVLPLFHIFSLNSVLLCSLRAGAAVLLMQKFEIKSLLELIEKHRVSVAAVVPPLVLALAKNPIVDSYDLSSIRVVLSGAAPLGKELEEALHQRVPQAIFGQGYGMTEAGPVLSMCPAFAKQALPAKSGSCGSVVRNAELMVVDPETGCSLGRNQPGEICIRGSQIMKEYLNDPAATARTIDVDGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLLSHPMIADAAVVPQKDDAAGEVPVAFVVRSSDGFDLTEEAVKEFIAKQVVFYKKLHKVYFIHAIPKSASGKILRKDLREKLQAAPPSTPQ >itb11g07360.t1 pep chromosome:ASM357664v1:11:4509932:4514607:-1 gene:itb11g07360 transcript:itb11g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLHSLLPALCWAFLFLGVCNCLKNADQVRDRITHLPGQPANVEFSHYSGYVTVNETAGRALFYWFIESPASRNPEKRPLILWLNGGPGCSSIAYGAAEEIGPFHIKSDGKTLYLNPYSWNKLANLLFLESPAGVGFSYSNTTSDLNTSGDQRTAEDAYAFLVKWFDRFPQYKYRDFYIAGESYAGHYVPQLSQIVYQRNKGIKNPVINFKGFLVGNAVIDDYHDYIGTFEYWWTHGLISDSTYKYLHIACDSESATHPSSDCIRALSIAEREQGNIDPYSIYTPPCANTSALQHNLRGHYPWMSRAYDPCTERYSQFYFNIPEVQKALHANVTRIPYPWATCSDLVSNYWGDSPVSMLPIYRELIAAGLRIWVFSGDTDSVVPVTATRYSIDALKLPTVANWYPWYDNGKVGGWSQIYKGLTLVTVTGAGHEVPLHRPRQAFILLRSFLENKPLPNA >itb13g22720.t1 pep chromosome:ASM357664v1:13:28976644:28978345:1 gene:itb13g22720 transcript:itb13g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTFIQLVFLLVLAVFLAVGVAAGDLRKGFYEDSCPQAEEIIQNITWKRVAANATLPAKLLRMHFHDCFGCDASVLIDSTANNTAEKAAPPNLSLGGFEIIDEIKTQLEKTCAGVVSCADILALSARDSVSFQFKKPMWEVLTGRRDGKISQASEALANIPSPFSNFTVLRQSFANKSLTVHDLVALSGAHTIGVGHCNFFSNRLYNFTGKSDSDKSLDPDYAAVLKAKCKSLSDNTTTVEMDPGGSLNFDNRYFSAVKQHKGLFQSDAALLTDKGAGKIVDEMLVAGKFFTEFAQSMKRMGAIGVLTGSAGEIRKKCNVVNS >itb09g04020.t1 pep chromosome:ASM357664v1:9:2230671:2235333:-1 gene:itb09g04020 transcript:itb09g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLKDQTFATHMVAGTWSVVLGTALTYPLDTLKVLLQVSADANTRPTTSQVLLKVRTLSGYSGLYNGLGWLAVGRVLGVGTRFGIYELLTSFYKDGREDNFVYVAEALMAGIVAGAAESFVTSPFELVKLRAQVSSAYRIRGTALDIKSDAASPLIGRLLRGYSPNMKALNNSVGLLSVLAKKHPNLGNAMKEYPWMMSGYGKPPSICHVRRPSDVISLEGWGALWRRVRSGIIRDSVFGGIFFSIWQLLHETMLDWKAVKMTPIPRLDEDVGPLSPLSVSLAAGFSGSVAAAASHVFDTAKSRDQCNVVPKHVYMEEKFFKMGLPGKELDRWTGIHPADRKILYRGIFFRMARSGVASFVIVGSYFLTIDQLFS >itb09g04020.t2 pep chromosome:ASM357664v1:9:2231769:2235333:-1 gene:itb09g04020 transcript:itb09g04020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLKDQTFATHMVAGTWSVVLGTALTYPLDTLKVLLQVSADANTRPTTSQVLLKVRTLSGYSGLYNGLGWLAVGRVLGVGTRFGIYELLTSFYKDGREDNFVYVAEALMAGIVAGAAESFVTSPFELVKLRAQVSSAYRIRGTALDIKSDAASPLIGRLLRGYSPNMKALNNSVGLLSVLAKKHPNLGNAMKEYPWMMSGYGKPPSICHVRRPSDVISLEGWGALWRRVRSGIIRDSVFGGIFFSIWQLLHETMLDWKAVKMTPIPR >itb02g07540.t1 pep chromosome:ASM357664v1:2:4684413:4685528:-1 gene:itb02g07540 transcript:itb02g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSTISRQHCRRSQEEDSQRYRVYASFCGRNHAPNVNMSNWISCFNPSSNSWHRVASIPGLLENHVLKRFAMVGIGDWIYVIGGRHCLKYVAGEAGGSSVHEKELGVLGNVQKYNVVTNKWCKCKPLKTPRFNFACTVADGKIYVAGGQTAVGSAEGTSSTEVYDPSLDEWKSLPNMNTMRYKCAGVTWQGKIHVVGGFAKSGHCDTLGPYIMERSSADVYDPKLDKWDVVTRMWELDVPPYQIVNVDGNLFSSGDCLNAWKGHIEAYDGRLKIWNVVDGSMSPISTSDTAESNWPPTERMYCTMAPIGTKLYFLAGYRMPGENSRCRNEVHVFDTAANGGGWTCFEPIEEEGEKELCSHCCALKMMDS >itb07g01770.t2 pep chromosome:ASM357664v1:7:1075244:1078607:1 gene:itb07g01770 transcript:itb07g01770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNSKTANLVGANPHNVPHSADSAEPWWKTAGYNSVPPGMVQGDASDSSSLEQSLDDQSQSDGGVNHEGDDGTEKSQTSKPSHQGSCGQVDKNLQPVASVIPDGSDEHLTQPQQLEFVGHSIACAPNPYIDPYFGQMIAAYGQPLVPPDILDMHRMRMPLPLEMTQEPVYVNAKQYHGILRRRESRAKAELQKKLIKVRKPYLHESRHQHALRRARGSGGRFVKKSDSNAPKQTGSGASLSPRSLTPPGPESFPSSAAGASNNCQEAVPKPQLHQYINSGGSYGSRCCSQESLYHVHSTESSGGGSTRK >itb07g01770.t1 pep chromosome:ASM357664v1:7:1075244:1078607:1 gene:itb07g01770 transcript:itb07g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNSKTANLVGANPHNVPHSADSAEPWWKTAGYNSVPPGMVQGDASDSSSLEQSLDDQSQSDGGVNHEGDDGTEKSQTSKPSHQEGSCGQVDKNLQPVASVIPDGSDEHLTQPQQLEFVGHSIACAPNPYIDPYFGQMIAAYGQPLVPPDILDMHRMRMPLPLEMTQEPVYVNAKQYHGILRRRESRAKAELQKKLIKVRKPYLHESRHQHALRRARGSGGRFVKKSDSNAPKQTGSGASLSPRSLTPPGPESFPSSAAGASNNCQEAVPKPQLHQYINSGGSYGSRCCSQESLYHVHSTESSGGGSTRK >itb06g16250.t2 pep chromosome:ASM357664v1:6:20433402:20436309:-1 gene:itb06g16250 transcript:itb06g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFLIFALFSFSPVVLSDSSFTPEAIPQIFLNFAKKTEVSDWMVGIRRKIHENPELMFEEFDTSKLIREKLDELGIPYKHPFAGTGVVGFVGSGNPPFVALRADMDALAMEEMVEWEHKSKNPGKMHACGHDAHVAMLLGGAKILQEHTNMLKGTVLLVFQPAEEGGAGAKQMMDAGALENVEAIFGMHVNPLLPVGEVRSRPGPLLAGAGIFEAVISGKGGHAAIPQHSIDPVLAASNVIVSLQHLVSREADPLDAQVVTVCKFEGSGAFNVIPDSVTIGGTFRAFSMESLMHLKQRIEEVIVGQAAVQRCNATVNFFADDNLISPPTVNNKDLHNHFEKVASDMLGSSHVQAMEPLMGSEDFAFYQETMPGYFFFLGAKDDTNIKLASAHSPYFKISEDALPYGAALGASLAVRYVLENQPEDALLNRRNHQEL >itb06g16250.t1 pep chromosome:ASM357664v1:6:20433402:20436309:-1 gene:itb06g16250 transcript:itb06g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWEHKSKNPGKMHACGHDAHVAMLLGGAKILQEHTNMLKGTVLLVFQPAEEGGAGAKQMMDAGALENVEAIFGMHVNPLLPVGEVRSRPGPLLAGAGIFEAVISGKGGHAAIPQHSIDPVLAASNVIVSLQHLVSREADPLDAQVVTVCKFEGSGAFNVIPDSVTIGGTFRAFSMESLMHLKQRIEEVIVGQAAVQRCNATVNFFADDNLISPPTVNNKDLHNHFEKVASDMLGSSHVQAMEPLMGSEDFAFYQETMPGYFFFLGAKDDTNIKLASAHSPYFKISEDALPYGAALGASLAVRYVLENQPEDALLNRRNHQEL >itb06g16250.t3 pep chromosome:ASM357664v1:6:20433402:20436309:-1 gene:itb06g16250 transcript:itb06g16250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWEHKSKNPGKMHACGHDAHVAMLLGGAKILQEHTNMLKGTVLLVFQPAEEGGAGAKQMMDAGALENVEAIFGMHVNPLLPVGEVRSRPGPLLAGAGIFEAVISGKGGHAAIPQHSIDPVLAASNVIVSLQHLVSREADPLDAQVVTVCKFEGSGAFNVIPDSVTIGGTFRAFSMESLMHLKQRIEEVIVGQAAVQRCNATVNFFADDNLISPPTVNNKDLHNHFEKVASDMLGSSHVQAMEPLMGSEDFAFYQETMPGYFFFLGAKDDTNIKLASAHSPYFKISEDALPYGAALGASLAVRYVLENQPEDALLNRRNHQEL >itb07g01960.t1 pep chromosome:ASM357664v1:7:1177343:1182213:1 gene:itb07g01960 transcript:itb07g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAWSVLLPASPKTMFKFCPLFFIIISIILSLWHLIVSVSGSDSWLVHEYVRQADRQFKQKTNRFWEFEEQSKSWVEVELPYDLVSCVNNQCTKVNRIEGERKKKKTEQHVQKQSDQKMDQDGPEETPEPLLPLRKRVSLRKMSESSVWVTGESGSIYERFWNGLQWVIAPHELPVSAGYAVSVFIVNQTILALSEAAVLYQMQLDENSQPAWIDFSPNCKMIKEKEPCSATQLVSGVVSPEKKRIYFCTKNGSLIELTEVNPPRWKNHGRPAGADVAAIADAAAFRPEVVFTISKTGDLYEFDHNSKPSWKKHIHKEASTQDTLLRPSTGCTLHGLNGAQSSSLFLLSKGGNLTERRLQQRKWKWIYHGSSRDLSLTSITCVGQDNQNENAYSLFLTTATGNVLEYRLPTHSGTSKENENPDNWVNHMHPSDAKAARGIVGVQLQPGRIIFPLDDGRLGELHFSGIGGENIGPNYQVNTKRKVSPKYVWSVLDAPETEGWNAEYCTEENGPSNCISGIRDDTSEADHRTPTTRRRKGSKSQQNYLPIITSGTSSATPLEDYNIPDNWINKNFRLRVMHEGKSFFLITEDGMTFEYLNAENVWFWLSHDQSTAMKGALGSYNGSLFLVDEQGSLLIRERTNSELAWIDCTVMRGGSQITSGPPWDGLSGRAPRATLEDALFFVSKAGRLLQFRVALRKFKWKDCRNPPNTKIASIVDREIFRENIVFVIGSNGRLYQYNKVTELWHEHLQSQHLVLSKVTGTAMRPSPLSSKGSLFMLSEDGGLVEYNWNSLDGWNWVEHGTPDQSVTLVGSPGPCFRGSQLFLIGSNGRVYLRLLDQGTWQWRDCGFPYIPEDKAADKSKQKGTCRNEDFAARTPEFEGNLHPSNKHCDTKVSSTRPIAFTEDSVIFELRDGRLAEMRRAGGMDWRWSHTIGTPTSQCTENFWTALAS >itb08g12520.t1 pep chromosome:ASM357664v1:8:12669286:12670290:-1 gene:itb08g12520 transcript:itb08g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITTLSEIFSKRETGDFWVPCKIIGIESDPNDWYYNSCPKQNCNKKLEFNSGMYDCGKCGGRFIKDDPTESPIGATPGKQDVAVNETEAVKRSLLDEFSSTQPSKKKKEIVVKMENEKEPTGEH >itb10g23060.t1 pep chromosome:ASM357664v1:10:27619395:27622001:1 gene:itb10g23060 transcript:itb10g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAMLPPQNSAVAPASYSSATVKVLSESPDLTSIPSNYVHSSRYEESPDSSDAEDSSLIPVIDFSQLTSNHSDQRSKAIQVLGKACEEWGFFMVVNHGIPDSLMKAVINVCNEFFNMPEEDKKRFEGKDVLDPIRCGTSFNSSKEKAFFWRDFLKVVVHPEFHCPSDPQAFSELMLEYSENCRKVVKKLLGAISESLELDECVINEALGLDSMFQTFNVNYYPRCPQPELAIGMPPHSDHGLLTVLIHNEVGGLQIMHKGKWINDNRSALPNSLLVNIGDQLEIFSNGKYKSVLHRAMVNNETTRISVAIAHGPSLEAIVRPASPLVQRTGCWPAYSPMAYKDYFYLKQSNQLDGKSCLDHVRIQKS >itb14g06880.t1 pep chromosome:ASM357664v1:14:6252299:6257711:1 gene:itb14g06880 transcript:itb14g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIMKATRISEVEGWVTIKSAHFELNGSPFLFNGFNSYWLMHVASEPNERHKVTEVLRDASAAGLSVCRTWAFSDGNRYNGLQISPGVYDERVFQGLDFVLSEARKYGIRLILSFVNNWNDFGGKAQYVQWARNAGAPVNNDDDFFTQSIPKGYYKNHIKGWVQEMARFVKSIDSKHLLEIGMEGFYGDSVPDRKQFNPGYQVGTDFISNHLIPEIDFATIHVYANQWVPGKSEDVQMEFVEKWITSHWQDANTVLKKPLVLAEFGKSSRDGGAFTVGVRDSFMATVYGQIYNLAKNGGVMAGTMVWQIMAQDMGGWDDGYSIVLPENPSTAAVLSSQSHAMADLSHSLALQRLPRVDGWITRNGDRFELNGSPFLFNGFNSYWLMHVASDADQRNKVTEVLKEASAAGLSVCRTWAFSDGSQYNALQTSPGVYDERVFQGLDFVISEARTYGIRLILSFVNNWYDFGGKAQYANWARDSGVQIDSEDDFYTHPVLIGYYKNHIKKVITRVNTVTGIAYKDDPIIMAWELMNEPRCQKDYSGKTLNGWVQEIASYVKSLDNRHLLEIGMEGFYGDSEPDREKFNPGYQVGTDFISNHLVPEIDFATIHAYTDQWVSGESDDAQMEFMENWMRSHWEDAKTVVKKPLVLAEFGKSSRDGGFSIAVRDSFLTTVYKNTYDLAKAGGTMAGSMVWQLMAHDMGAWDDGYSIVLPENSSTAGVISGQSQAMKNLARDLPHYEA >itb14g06880.t2 pep chromosome:ASM357664v1:14:6253807:6257711:1 gene:itb14g06880 transcript:itb14g06880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVKSIDSKHLLEIGMEGFYGDSVPDRKQFNPGYQVGTDFISNHLIPEIDFATIHVYANQWVPGKSEDVQMEFVEKWITSHWQDANTVLKKPLVLAEFGKSSRDGGAFTVGVRDSFMATVYGQIYNLAKNGGVMAGTMVWQIMAQDMGGWDDGYSIVLPENPSTAAVLSSQSHAMADLSHSLALQRLPRVDGWITRNGDRFELNGSPFLFNGFNSYWLMHVASDADQRNKVTEVLKEASAAGLSVCRTWAFSDGSQYNALQTSPGVYDERVFQGLDFVISEARTYGIRLILSFVNNWYDFGGKAQYANWARDSGVQIDSEDDFYTHPVLIGYYKNHIKKVITRVNTVTGIAYKDDPIIMAWELMNEPRCQKDYSGKTLNGWVQEIASYVKSLDNRHLLEIGMEGFYGDSEPDREKFNPGYQVGTDFISNHLVPEIDFATIHAYTDQWVSGESDDAQMEFMENWMRSHWEDAKTVVKKPLVLAEFGKSSRDGGFSIAVRDSFLTTVYKNTYDLAKAGGTMAGSMVWQLMAHDMGAWDDGYSIVLPENSSTAGVISGQSQAMKNLARDLPHYEA >itb13g05310.t1 pep chromosome:ASM357664v1:13:6357309:6361410:-1 gene:itb13g05310 transcript:itb13g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSLLLLLLSWLLLLAVSNNPNSSHATHDIINSTQILKDGDTISSSGGRFELGFFKLGSPFKQYLGIRYKQIPAKTVVWVANRNVPIIANASQVVLKVAKPGLLLVLNINNAAILWSTNTSRAVQNPVAQLLDSGNLVVRDAADENPDNFLWQSFDYPAQTYLPGVRMGKNLVTGKEVYMTAWRSDDDPSSGEITMHCDPSGYPQTVIRNGSTVIYRTGPWNGLRWSGIPFLSRNPIYTYDLVMDQDGMWIAYQLLKNSVYIHLTLTPAGVLQREMWVEQGGKWYPRPGPMIETLVVPLDVCDSYGICGPNGLCNIDDSATCTCLDKFLAKYEGPWDMGNWSEGCVRRTALNCSEGTDVFVEYSGIKLPDTRNSWFNRTMTLGECEQVCRRNCSCTAYSVVDISSDPGSGCLLWFGDLMDTRSLSQKGQSIFIRMAASELADSIKSKLLDWPMRFNIINGIARGLLYLHQDSQLRIIHRDLKASNVLLDMDMNPKISDFGLARSVSGNEIEANTRHVVGTLGYMSPEYTIDGLFSIKSDVFSFGVLVLEIISGKRNRGFSHPDHHLNLLGHVGVDFHHAQIVQSY >itb08g04660.t1 pep chromosome:ASM357664v1:8:3822703:3824818:-1 gene:itb08g04660 transcript:itb08g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHPPKCKFPPGFFHPNVYPSGTVCLSILNEDSGWRPAITVRQILLGIQDLLDQPNPNDPAQTDGYQLFIQDTGEYKKRVKQQAKQYPNIV >itb12g07740.t1 pep chromosome:ASM357664v1:12:5927693:5930483:1 gene:itb12g07740 transcript:itb12g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVQFSSVVFKRMLKHRLQSRIQNIDVDGSDGAASFGVTSRPEGEPIAPVNPVFTDGVATKDIHIDPLTSLSLRIFLPDTCLNSPDSHAKLQSKSKDNDSFKKKDSSSGSDPNQPLFRRNSINSFAINDIANVSQTGSNGHNRRNSDGCDIDVSLLSGENGAYSGYSPAGKKHRKLPVMLQFHSGGFVSGSNNTVANDLFCRRIAKLCDVIVLGVGYRLAPENRYPAAFEDGLKVLQWLAKQSNLAGCSKSVSKNKRGGGGGGADLRKSDVHNHVPDAFGASMVEPWLAAHADPSRCVLLGVSCGGNIADYVTQNAVEAGKLLDPVRVVAQVLMYPFFIGSDPTNSEIKLANSYFYDKETCILAWKLLFPEEEFDLDHPAANPLVPGRGPPLKQMPPTLTVVAEHDWMQDRAIAYAGELRKVNVDAPVLCYKDAVHEFATIGMLLKTPQAQACAEDIAIWVKKYISLRGNELSY >itb05g05370.t1 pep chromosome:ASM357664v1:5:5072474:5073073:-1 gene:itb05g05370 transcript:itb05g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTETSDEEGRSKAKAEIQNATEDHGFLNKAAIEKPEFPSLYCTVKEVEEVKIVLRILPIFMSTIMLNCCLAQLSTFSVQQSATMNTNIGSLRIPPTSLPMFLVLFVMVLALVYNHIIIPFARKITKSEMGITHLQRIGTGLVLSIVAMAIATLVESTRVETKPLSTVLLAAPPTLTMLPIRESSDDFALKQSFFTSL >itb10g09740.t1 pep chromosome:ASM357664v1:10:13240383:13244518:1 gene:itb10g09740 transcript:itb10g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLVVTIVCCLFIWHGLLLSGVNAKNTLASEDSLVPTDDDYLESSNKLFKLNFVKQPGSAFSCFLSIQWAGYFVTSTSERRTIWVAWLDEAYDFPELVMERDGRLLISDVEHELVVNDDQEHPYVINTTATLLDTGNLVLRGGGHTLWQSFDHPTGNTWISRMKLGWFRLKKTPQQRCLTSWTSEENPYPGAFRLCVDPNNTKQLVAMRRGVVYWQSGVWNGYNFPFLQVNSHLRYISNHNESYFVWDDDENNVYKDFAVIRIYATGAISYLFVDEHRNYMRSYIYCDRNDTGNYSNEGCLRSPKQSNCSAGDRFNLTTGFIEANLWKLVLFNTTLSIGDCNEMCVKNCSCNVYATLEESDGTGCKFSSSTKYQYAAYGDTLYIRYAKTADVHMHPKISDFGTARIFKDSDSRASTKSIIGTYGYMSPEYAMDGCFSEKLDVFSFGVMVMEIVSGKRNNGFYNPDRVSNLLGYAWDLWIEGKVSDLIDPTMDKMISVIEATRYIQVGLLCVQDSATDRPTMTNVVCMLRNESTILPIPKQPGFSAIIGLKCADAANNSNLYSINEVTITEIEGR >itb03g03620.t1 pep chromosome:ASM357664v1:3:2125456:2125767:1 gene:itb03g03620 transcript:itb03g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNNSFLLSLIITLSLSSIHTSLAARLLLQLPGAGVPSLPQPSLPTIPNIPLPTIPSLPLPTLPNIPTLPSAPKLTLPPLPANPLPTTIPAIPTLSPPPSN >itb04g14280.t1 pep chromosome:ASM357664v1:4:14728951:14732406:1 gene:itb04g14280 transcript:itb04g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAGALVIFVVDASGSMALNRTQNAKGAALRLLAESYTSRDQVCIIPFRGDVAEVLLPPSRSISMARKRQLLERLSCGWGFSACHGLTTTLCSRAEGKYYYLPNASDAVISATTKDALAAKELLNLKLDF >itb01g01080.t1 pep chromosome:ASM357664v1:1:528898:530283:1 gene:itb01g01080 transcript:itb01g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTKGGLSTKLLNISVVCFCKAKQLEKAEAVIIDGVKVGVEADVVTYNTLITAYCQFVGIHEGYSVLHRMKEVGVIPDVVTYNSLIAGATKHGLLSRCIDLFEEMLDLGIFPDVWSYNTLMHCFFKSGKPDEGYRVFRDILLQGIPPCPTTFNILINGLCKNGYTENAMMLFRYLKRHAFVPQLVTYNILIHGLCKSGRPAPARRLLKELVEIGVIPDTVTYTTVIKCCFRSRRFEEGLQVLADMRSKGYIFDSHAYCTVISCLLKAGRTKDAHQCLENMIMNGVNLDIVAYNTLINLYYKNGKLEDAYFLVEDAEKRGLVHDVYTHTILIDGLCKTGDIKGAEHHLDCMNKMGFVPNLIAFNCFINGLCKAGQIDHALHVFKSMAEKDCFTYSSMVHGLCRTGRFREASKLLLSCIRGGMKILKSDKEAVINGLRRSGFLHEARKLQSSIRVAKLLHYQ >itb01g10150.t1 pep chromosome:ASM357664v1:1:8443302:8445339:1 gene:itb01g10150 transcript:itb01g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLQGSSTAFLIRVMASPLLLAFLFFVILESPATANLIIDEVYSREELVKMAGYGEDKISTVQIEGKVVCQTCSDGADERSSLHPISGASVGILCSRSGRRASYSWVKGSTDEHGGFLIDLPSNLHAIPNLENTCLVRVLHLPKASICTQHYYYSRAPKHKGIQLIGVQEGTRSYTTHTIHLTPKSCNHTQQTMLNISSSTT >itb10g02070.t1 pep chromosome:ASM357664v1:10:1683942:1685431:1 gene:itb10g02070 transcript:itb10g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLFSLLPLLSMAVVLTLHTAMAADPLFHFCSNSGNFTPNSNYQNNLNQLLGDLYLKTPINGFGSGSAGKYSDQTYGLSLCRGDVSAADCKSCVADAGSALRDRCPYNKGAIIWYDNCLVKYSDEDFLGKIDNGNKFYMWNVQEVSNPEEFNRKTKELLSELAGKAYGVKSLYATGETELGAGGSSTTSEKLYGLVQCTRDLSGEDCKKCLDGAIGELPNCCDSKEGGRVVGGSCNFRYEIYPFVNDA >itb03g13790.t1 pep chromosome:ASM357664v1:3:13773586:13777119:-1 gene:itb03g13790 transcript:itb03g13790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLLLYLALLFTALCWAPSVCGNAELRALMDLKASLDPENALLSSWTVSGDPCDRSFEGVACNEKGQVANISLQGRGLSGKLSPAVGRLEHLTGLYLHYNSLYGEIPPEIANLTKLSDLYLNVNNLSGEIPLQLGKMASLQVLQLCYNQLTGSIPTEFGDLEKLSVLALQSNNLTGAIPASLGKLGLLKRLDLSFNHLFGSIPSKLADMPLLEVLNVRNNTLSGNVPLAFKRFVDGFQYENNPGLCGAGFLSLRACTSLNHPNPNRPEPYGADSNGFSTRDIPETANLNLSCSGSHCSTASKSSQASVVVGVVIVTVIGSALGILVFSRYRQWKQKRGGALDASDSSLHTEHAKDVNKKNGSPLVSLEYASGWDPLAEGRRYGGVPQEVFQNFRFNLEEVESAAQNFIDKNLLGKSNFSATYRGTLRDGSVVAIKRITKISCKSEEAEFLRGLSILTCFRHENLVRLRGFCCSRGRGECFLVYDYIPNGNLLRYLDVKEGDPEVLEWSTRIGIISGAAKGIEYLHGCKVNKPAIVHQNISAENILLDQRFKPLLSNSGLYKLLTKDTVFSALKASAAMGYLAPEYSTTGRFTEKSDVYAFGVLIFQIISGKRKFNNSMRTAAESCRFHDFIDKNLQGRFSEGEAAKLAKIAWTCTHECPEERPSMETIVRELGNHTNLC >itb04g01560.t4 pep chromosome:ASM357664v1:4:877132:880603:1 gene:itb04g01560 transcript:itb04g01560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTLDSIFRVVFGVELDSMCGSSEEGAKFSSAFDDASAMTLWRYVDIFWKLKKALNIGSEAKLKKSIQIVDDFVFKLIQSKIEQMQNARDDSSLSWKKEDILSRFLQLSETEPKYLRDIILNFVIAGKDTTAVTLAWFIYMLCKHPLVQEKIVQEIREATKTADARNFADFAANLREEALENMPYLHAALSETLRLYPAVPVDAKISFSDDTLPDGFSVKKGDMVAYQAYAMGRMRFIWGDDAEEFKPERWLDENGCFRPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLRFFGFKLADERKAVNYRTMINLHIDGGLQVRVFHRLSD >itb04g01560.t1 pep chromosome:ASM357664v1:4:877132:880701:1 gene:itb04g01560 transcript:itb04g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGLEPLQISIAVAFLSLAISAALLHFLIGKLQGEDGRKKKKYHPVGGTVFHQLSNFHRLHHYMTDLAGKYRTYRLISPFRNEIYTSDPVNVEYILKTNFDNYGKGDHNYTILKDLLGDGIFAVDGIKWKEQRKLSSHEFSTRVLRDFSSVIFRRNVVKLANILSEAADFNKTADIHDLFMKSTLDSIFRVVFGVELDSMCGSSEEGAKFSSAFDDASAMTLWRYVDIFWKLKKALNIGSEAKLKKSIQIVDDFVFKLIQSKIEQMQNARDDSSLSWKKEDILSRFLQLSETEPKYLRDIILNFVIAGKDTTAVTLAWFIYMLCKHPLVQEKIVQEIREATKTADARNFADFAANLREEALENMPYLHAALSETLRLYPAVPVDAKISFSDDTLPDGFSVKKGDMVAYQAYAMGRMRFIWGDDAEEFKPERWLDENGCFRPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLRFFGFKLADERKAVNYRTMINLHIDGGLQVRVFHRLSD >itb04g01560.t3 pep chromosome:ASM357664v1:4:877142:880631:1 gene:itb04g01560 transcript:itb04g01560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAGKYRTYRLISPFRNEIYTSDPVNVEYILKTNFDNYGKGDHNYTILKDLLGDGIFAVDGIKWKEQRKLSSHEFSTRVLRDFSSVIFRRNVVKLANILSEAADFNKTADIHDLFMKSTLDSIFRVVFGVELDSMCGSSEEGAKFSSAFDDASAMTLWRYVDIFWKLKKALNIGSEAKLKKSIQIVDDFVFKLIQSKIEQMQNARDDSSLSWKKEDILSRFLQLSETEPKYLRDIILNFVIAGKDTTAVTLAWFIYMLCKHPLVQEKIVQEIREATKTADARNFADFAANLREEALENMPYLHAALSETLRLYPAVPVDAKISFSDDTLPDGFSVKKGDMVAYQAYAMGRMRFIWGDDAEEFKPERWLDENGCFRPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLRFFGFKLADERKAVNYRTMINLHIDGGLQVRVFHRLSD >itb04g01560.t2 pep chromosome:ASM357664v1:4:877142:880631:1 gene:itb04g01560 transcript:itb04g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAGKYRTYRLISPFRNEIYTSDPVNVEYILKTNFDNYGKGDHNYTILKDLLGDGIFAVDGIKWKEQRKLSSHEFSTRVLRDFSSVIFRRNVVKLANILSEAADFNKTADIHDLFMKSTLDSIFRVVFGVELDSMCGSSEEGAKFSSAFDDASAMTLWRYVDIFWKLKKALNIGSEAKLKKSIQIVDDFVFKLIQSKIEQMQNARDDSSLSWKKEDILSRFLQLSETEPKYLRDIILNFVIAGKDTTAVTLAWFIYMLCKHPLVQEKIVQEIREATKTADARNFADFAANLREEALENMPYLHAALSETLRLYPAVPVDAKISFSDDTLPDGFSVKKGDMVAYQAYAMGRMRFIWGDDAEEFKPERWLDENGCFRPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLRFFGFKLADERKAVNYRTMINLHIDGGLQVRVFHRLSD >itb06g18040.t1 pep chromosome:ASM357664v1:6:21750598:21759486:-1 gene:itb06g18040 transcript:itb06g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPSPWDRKDFFRERKHERSELLGGGGGGGASGFGGGARWREHPLNHHHYSSSRWVPDFRSRYPPGHGKQGGWHLYQEEPAHGFMVSRSSEKILEDDSGRPSGSRGEGKYSRNGRENSRGSFGQRDWRCHSWETTSPNGPGRLNETNDQRLVDGTMNCHSSQPQSEYVNSRDQSHSRDQNNKSGTNGLGSTGQRVERENSLGSIEWKPLKWTRSGSLSSRGSFSHSNSSKSTGQESNETKAEMHPKNLTPIQSPSGDATACVTSSAPMEETNSRKKPRLGWGEGLAKYEKKKVEGPDDSAIKNCTVNSGNNLEANHSNPVILADKSPQVAGSSDCASPATPSSVACSSSPGLEEKQFVKATHADQDSGDIIGSPCAVSLSHPEDIVFNLEDLDLTKISNLNTKINELLQSDARSFNDSGYIRSTALNKLLVWKNDVSKVVEKTELEIDMLENELKSLNSGTENRCPAPSASSSLPKECESKPFEDQGATPNVSNRLISLEISSFGDTNMGTIHDAPGAEDVKVKILDIDSPGSATSKLVEVTSTDKNVSVSEEKIVEALVMTDVTDCRYLEVENALPSSEEENANEISTCEETSQMIAGDHRSLSDGNLNCSGDDFYNLIFAANKESANRAAEVLNSLLPANGCSFDISRASSSLLVVDPAIKEKVIKRKKHQQFKEKILALKFKVFHHLWKEDTNMLSLRKFRAKSQRKFDLSLRPVHIGHQKHRLSSRSRPSSAVGNLNLLPSSELLNFTSRLLSDSKVKVYRSTERMPALILDKKEKMISRFISNNGLVEDPCSSEKERLMINPWTSEEREIFIDKLATFGKDFSKIASFLDHKTTADCIEFYYKNHKSDCFAKTKRKPGYTKQGKSTYLVASGGKRWNREVNAVSLDILGAASAAVAANVDDEIGFQKCTSKYLLGVTSETKMPRHDELDVSNSLDVCNSDRETVAADVLAGICGSLSSEAMSSCITSSIDPSDGYHEWKYQKVSSSTRRPLTPEVTQSVDDETCSDESCGEMDPTDWTDEEKLMFIQAVSSYGKDFTMISRFVKTRSRDQCKIFFSKARKCLGLDTISPQPGNVVREDANGGGSDAEDACLLETDSSVCIKESGIKVESPNMKLNQELDLAEGMDVKPDLNSSEDNGDNGTGDLDSIDTELMSNHMSPNACDMDKQEIESDMDIKNEANVVVQGAGNVISSSFQSEPDVDKSGDECLPDKNCSDANAGEGDDVAKNSTEESRDNLAPLPECSLNVKSGLQLAGYDTSTIADTSSGMDDISGCHTKGDSESDSRLKSDVSSLQVSPPMQSLSQDSAVIACAASNGKLTNLDLGSVGDLKHLKSSGVSEQHISEHIESPQIIGNYVLPESIAKENGDFGCKSSASSVQGLPKGDRNTQSARFKTGDCVLRKCSSSPHGSSITEQPFPNQRQTQSSSSSEVGKPSRNGDVKLFGQILTKPSSQQNSQWREETTTQHPKVNNSKSFGVNDGIPAAAPGKFECNNFRGSENLPVRSYGYWDGNRIQTGFSSLPDSTILLAKYPAAFVNYAMPSTKMEQPPTSFHAVVKSSERNLNGVPVYPREISSSNGVAADFQVYRSREVQPFALDMKQRPEVSFSEMQRRSAGFDVVSGMQQQARGRLGINVVGRGGILVGGGQCTGVSDPVAAIKMHYAKVEQFGGQGGSMMREEDTWRGKGEIGSYSSSYDMIGQWRTASKGRLFD >itb11g10260.t3 pep chromosome:ASM357664v1:11:6995011:6996488:1 gene:itb11g10260 transcript:itb11g10260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHILEILLEDKIKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIRIRNLQVYSTNRGNCSAVKSFMHIM >itb11g10260.t4 pep chromosome:ASM357664v1:11:6994954:6996311:1 gene:itb11g10260 transcript:itb11g10260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHILEILLEDKIKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIRIRNLQVYSTNRGNCSAVKSFMHIM >itb11g10260.t2 pep chromosome:ASM357664v1:11:6992497:6995976:1 gene:itb11g10260 transcript:itb11g10260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHILEILLEDKIKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIRIRNLQVYSTNRGNCSAVKSFMHIM >itb11g10260.t5 pep chromosome:ASM357664v1:11:6994954:6995976:1 gene:itb11g10260 transcript:itb11g10260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHILEILLEDKIKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIRIRNLQVYSTNRGNCSAVKSFMHIM >itb11g10260.t1 pep chromosome:ASM357664v1:11:6992497:6996161:1 gene:itb11g10260 transcript:itb11g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHILEILLEDKIKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIRIRNLQVYSTNRGNCSAVKSFMHIM >itb01g22190.t2 pep chromosome:ASM357664v1:1:28119073:28123358:-1 gene:itb01g22190 transcript:itb01g22190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDSNQQQQQQQQQQQQQQQQQWMAMQQYQQQQWMAMQYPAAAMAMQQQMMYGQQYAPYYQQQPPSQQQYQHQQQQQKQSPQIHSSGEDNRTIWIGDLQQWMDEGYLHTCFAHAGEVLSVKVIRNKQTGQSERYGFVEFNSHASAEKVLQSYNGTMMPNAEQAFRLNWAGFSTGEKRAADPGSDLSIFVGDLAPDVTDTLLSETFASRYSSVKGAKVVVDANTGRSKGYGFVRFGDENEKSRAMTEMNGVYCSSRPMRVGVATPKKPSTQQQQYSSQVLAGGYASNGAMIQASQSDIDFSNTTVFVGGIDSDVTDEDLRQSFCQFGDVISIKIPVGKGCGFVQFANRSSAEDAIQKLNGSVIGKNTVRLSWGRTPANKQQARAEANGQWGGAYYGRQSYGGYGHSMPPQNHDQNMYSGGSGYGGAANGDGNHHQPLN >itb01g22190.t1 pep chromosome:ASM357664v1:1:28119013:28123358:-1 gene:itb01g22190 transcript:itb01g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDSNQQQQQQQQQQQQQQQQQWMAMQQYQQQQWMAMQYPAAAMAMQQQMMYGQQYAPYYQQQPPSQQQYQHQQQQQKQSPQIHSSGEDNRTIWIGDLQQWMDEGYLHTCFAHAGEVLSVKVIRNKQTGQSERYGFVEFNSHASAEKVLQSYNGTMMPNAEQAFRLNWAGFSTGEKRAADPGSDLSIFVGDLAPDVTDTLLSETFASRYSSVKGAKVVVDANTGRSKGYGFVRFGDENEKSRAMTEMNGVYCSSRPMRVGVATPKKPSTQQQQYSSQAVVLAGGYASNGAMIQASQSDIDFSNTTVFVGGIDSDVTDEDLRQSFCQFGDVISIKIPVGKGCGFVQFANRSSAEDAIQKLNGSVIGKNTVRLSWGRTPANKQQARAEANGQWGGAYYGRQSYGGYGHSMPPQNHDQNMYSGGSGYGGAANGDGNHHQPLN >itb01g31520.t1 pep chromosome:ASM357664v1:1:35226823:35228351:-1 gene:itb01g31520 transcript:itb01g31520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFVQFITQLLLVISLFNVCFASRKLNALYENQPNQLLQYHEGPLLYGKISVNLIWYGKFKPSQRAIISDFITSLSGSEKSQPSVNTWWKTTEKYYSLANSKNPLSLYLNKQVLDESYSFGKSLTQEQLVSLASKGEVKDAINVVLTAADVSVPGFCVSRCGTHGSYGSKAARVKGKNNKFAYIWVGNSETQCPGYCAWPFHQPVYGPQSAPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGEAGAPLEAASACPGVYGKGAYPGYAGDLLTDPTTGASYNAHGTNGRKYLLPALFDPSTSSCSTLV >itb08g05260.t1 pep chromosome:ASM357664v1:8:4302692:4304452:1 gene:itb08g05260 transcript:itb08g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGSECSSGCQSGWTTYFDQKSNSEDQYNSRFHGEDHRGKMGFCSEEDEDLSMVSDASSGPPHFRGGLEDEEEEEEEEEEYLYKGKQKRKSTEIWGDKEQRCYLDDTASSPALSFPFKENTASMENNVPGVSDLKGKSVLGKHFGFLKSSKSGKAASLKSGGMKGRK >itb01g18220.t1 pep chromosome:ASM357664v1:1:23190590:23193408:-1 gene:itb01g18220 transcript:itb01g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPPAPYWFLPVNLRPDDANPIWMNKGDNAWQLTAATMVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFACVLVCWVCWGYQLSFGKKLIPIWGRVNVALEQSYLFEHAFLGNFPNATMVYFQFVFAAITLILIAGALLGRMNFYAWMLFVPLWLTFSYTVGAYTIWSVEGWLSVKGIIDYSGGYVIHLSSGVAGFTAAYWVGPRLTKDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYAANIDASLAVINTHVAAATSLLTWLMLDVVFFGKASVIGAVQGMITGLVAITPAAGVVQGWAAIIIGLCSGSIPWFTMMVVHKRSALLQKVDDTMAVFHTHAIAGSLGGILTGLFANPYLCYLFYSAEKKYIGLFYGLHYGKAHNGFRQIGIQLLGIMFVVVLNVVVTSLVCLLVKLIVPLRMSEEDMEIGDEAAHGEEAYAIWGQGDRLEKSAGLSAYNNDKGQVEMV >itb10g12190.t1 pep chromosome:ASM357664v1:10:18125398:18126372:1 gene:itb10g12190 transcript:itb10g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPARIKPECSNSKPVNIDVEDAMETFASLFICEICCDAKQIRDNFIIKACKHSYYSDCISKFVALELQQNVPQINCPVSGCTGVVEPHNCCSILPPQVYDRWGDALCEALVLAFEKFYCPFKDCFALLIDEKIEVVESECPECRRLFCAKCKVPWHAGIVCSEFQKLRENEREKEDILLLNIANQKQWMRCPNCRVYVERVSGCPFMMCRWTQDGSLKLRQSSDGDNNNRSAPDFVTPILRRERERERERAKRRQQKKC >itb02g20450.t1 pep chromosome:ASM357664v1:2:18395677:18395955:1 gene:itb02g20450 transcript:itb02g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPQLKDYLVNSAAAKKVYELCKVTMPKC >itb15g12960.t1 pep chromosome:ASM357664v1:15:10890701:10895832:-1 gene:itb15g12960 transcript:itb15g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLRKIAVRDRKSIVGVVAGAVAGSVLVNCATSDADSKASHLKLSIPKPLQDSLTWKWSNIQDKDLYPAYLFLGASQPSRFPFFVSRTSADPSSSSDISKETSSVAGGGPKHSCNCLGRDTIANAAASVGPAVVNLSVPKGFYGLNVGKSIGSGTIIHADGTILTCAHLVVDFQGLSPSSKGKIDVTLQDGRSFEGIVENADLHSDIAIVKIKSETPLPCAKLGSSTKLRPGDWVIAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNVDGEVVGVNIMKVLAADGLGFSVPIDSVSKIIEHFKKNGRVVRPWLGLKMLDLNDMIVAQLKEKDYRFPNVNQGVLVPMVIPDSPAEHAGFHPGDVVIEFDGKLVGSIKEIVDIMGDRIGQPLKVVVKRRHNVRVTLTVIPVEANPHM >itb14g00230.t1 pep chromosome:ASM357664v1:14:162387:166379:1 gene:itb14g00230 transcript:itb14g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYLGISSLASRSVGLLFFLFLVAVTAKSQSPDAGVMQELKKSINPPASLKWDDPDPCKWRQVECAGDRVTKIQVGKQGLTGSLPPNLGNLSALTIFEVMENGLTGALPNLSGLASLQRILLNGNAFTSIPPNFFAGMTSLETVSLDNNPFSPWPFPESLKDASPLRSFSAINCSISGTMPDIFISSNFPSLTDLRLSFNSLSGPLPPSFSGSSLQTLWLNGQKGDSSSLNGSIAVLQNMTALTQVWLHSNSFSGPIPDLSGLNSLQDFSVRDNSLTGPVPDSLTKLSSLKVVNLTNNMLQGPTPQFPDTVQADVVANTNSFCLSQAGKGCDTNVNILLAVAKDVGYPIQFAKDWKGNDPCTPWRGITCDNGGISVVNFQKLGLTGTISPNFSSITTLQRLILSNNDLTGNIPEQLTSLNQLKLLDVSNNQLYGKVPTFNSNVKVITDGNVNIGKDGPPPVVPSPGGTPNSPGGGGGTSGGGGGGKKSSTGAIVGVVVGGACGVAALAGLFVFCLYRTKRKRSGRVQSPHTMVIHPRHSGSEHDAVKITIAGSSATGGISETYSHGSSGPSDVHIIESGSMMISIQVLKNVTNNFSEDNILGRGGFGTVYGGELHDGTKIAVKRMESGVMGDKGLDEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRYLFDWKKEGLKPLEWMKRITIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPDGKASIVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMEIITGRKALDETQPEESMHLVPWFRRMWVDEEQFRKAIDPTIDLNEETLASVRTVAELAGHCCARDPHQRPDMGHAVNVLSSLAELWKPSEPNEDDIYGIDFFLSLPQAVKKWQALEESSGFDASSYPGSSDNTQTSIPTRPSGFADSFTSADGR >itb03g09730.t1 pep chromosome:ASM357664v1:3:7554872:7557287:1 gene:itb03g09730 transcript:itb03g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSIVSSSPLGPPACEKDAVALHFIEEMTTNCDPVQEKVLGEILSRNGETEYLRQFKLDGATDRETFKSKVPVVSYDDLHPYIHRIANGDFSPILSSQPISEFLTSSGTSAGERKLMPTIPEEMDRRQKLYSLLMPVMNLYVPDLEKGKGLYFLFTKASTKTPGGLLARPVLTSYYNSQQFKNRPHDPYNVYTSPNEPILCIDSFQSMYAQMLCGLLMRHEVLRVGAVFASGLLRAIRFLQIHWRQLAEDISSGILNPNITDVSVRDSVTKILKPNPELAQFIAGECEGENWEGIIKRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPKACTMYASSECYFGLNLKPMSPPSEVSYTIMPNMGYFEFLPHDPVNPVTVSRDSPPRLVDMADVEVGKEYELVITTYSGLCRYRVGDILQVTGFHNAAPQFKFIRRKNVLLSIDSDKTDEAELQTAVNNATALLREYDTSVVEYTSFADTKTIPGHYVIYWELLMKDPENPPSDDVLNKCCLVMEESMNSVYRQCRVADNSIGPLEIRVVKSGTFEEVMDLAISRGASINQYKAPRCVNYTPIVELLDSRMVSSHFSPAAPHWTPARRR >itb11g00650.t1 pep chromosome:ASM357664v1:11:283321:288407:1 gene:itb11g00650 transcript:itb11g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVAVIIFSSRGKLYEFGSSSYGITKTLERYQNCSFNPQENCIEREPQNWPHEVIKLKAKYESLQRTQRHLLGEDLGPLSIKELQNLEKQLEIALAQTRQRKTQVMMEQMEELRRKERHLDDVNKQLKTKVSLELSSLEAVEGQGLGALLCPWNSSNNASASTSSFPVQPSQANHMNFSLEPVLQIGYPHYMAAEGSSGTRNMAVESDIYHGWNL >itb10g11850.t1 pep chromosome:ASM357664v1:10:17490442:17491986:1 gene:itb10g11850 transcript:itb10g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLALIADAHYNAAPQAIKNMADEFFWSMVKKGNCSVKQDDFLASMKKKGYTEYAKPEFFQSLLKDGNQDLSIFEGRTLFYILVSRRPFCSWCNCFIPDIFFCCSKCPGSTYSLCLHCYTAKAYVRHNHYGDHDAFFLDYTVLHNCIQTSPTKPKKQKTGLKIGKDTLSTITAILGAWAASLTIASACCTIM >itb05g19100.t1 pep chromosome:ASM357664v1:5:25692494:25693371:-1 gene:itb05g19100 transcript:itb05g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKTTTFFIVALLLLFTLSSASRPLPESREATTLNKTQHEVIEKEESCKGVGEEECLMRRTLAAHLDYIYTQKENKP >itb12g03360.t1 pep chromosome:ASM357664v1:12:2183261:2186234:-1 gene:itb12g03360 transcript:itb12g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIQRLELTSVQKCEGTNTIIFVRHHYTLPKKAKQSKAKRWQQRALHHHLTTPIGTQNSDFSLLELKMAEIHSQGSGRNSHTVLNERILSSMSRRTVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYQDIKELPPHRLAEIRRFFEDYKKNENKSVAVEDFLPADSAVDAIKYSMDLYASYIVESLRQ >itb12g03360.t2 pep chromosome:ASM357664v1:12:2183701:2186234:-1 gene:itb12g03360 transcript:itb12g03360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIQRLELTSVQKCEGTNTIIFVRHHYTLPKKAKQSKAKRWQQRALHHHLTTPIGTQNSDFSLLELKMAEIHSQGSGRNSHTVLNERILSSMSRRTVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYQDIKELPPHRLAEIRRFFEDCIHSLLISAYDMYIQFPAYIIHCPPSLLWINQ >itb14g14350.t2 pep chromosome:ASM357664v1:14:16880889:16883731:-1 gene:itb14g14350 transcript:itb14g14350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYTHWCYQCRQPVKPRGSNILCPYCHGGFVLELNEVVGDGEQRGHIGSNIEDDHHSRFMEPFPDPRFGIVDALEAFMRQRMAGRNPSYDIRSRSGMMPENNMSFGSGPWVIFHGQSPVRMTPDDAFEYFFNGSSRMGGQRRTNFDDFFMGPGLQQLIEQLSMNGRQGPPPAPRSAIDAMPTIRITERHLNTDSHCPVCQDRFELGSEARQMPCNHIYHSDCIVPWLVQHNSCPVCRLELPSNVSGRGRSNWSSRSSNASNGNNGNGSSNSNSNSSTDSNGQNQGRRNPFSFLWPFRSSNQNSSSSAAAYEDNNGTNYYHY >itb14g14350.t1 pep chromosome:ASM357664v1:14:16880889:16883731:-1 gene:itb14g14350 transcript:itb14g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYTHWCYQCRQPVKPRGSNILCPYCHGGFVLELNEVVGDGEQRGHIGSNIEDDHHSRFMEPFPDPRFGIVDALEAFMRQRMAGRNPSYDIRSRSGMMPENNMSFGSGPWVIFHGQSPVRMTPDDAFEYFFNGSSRMGGQRRTNFDDFFMGPGLQQLIEQLSMNGRQGPPPAPRSAIDAMPTIRITERHLNTDSHCPVCQDRFELGSEARQMPCNHIYHSDCIVPWLVQHNSCPVCRLELPSNVSGRGRSNWSSRSSNASNGNNGNGSSNSNSNSSTDSNGQNQGRRNPFSFLWPFRSSNQNSSSSAAAYEDNNGTNYYHY >itb04g00950.t2 pep chromosome:ASM357664v1:4:508826:515546:1 gene:itb04g00950 transcript:itb04g00950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPIPTHSDSNICLYLFFTLYCVVCGCCLSYGQVRGDAVGRYNAVTLSSFTYARTALKPYDWRYIRVDLPPWFSSVDISLDSDVDIDLERIRKSPKSSMPIICFREGSPPLPDVYNISATGLVLDYVSNGSFVQDLQLVEKCYPMQSTIFLKLTNEQIPSGVWYIGLFNGVGPMRTQSKMINRGSAYSFSGNITVEGCTTSAISGQFCNQSVTSLSCLDGYSPPEIGLNGSSNQSTGNVMSCKVEDSCHGYRDSNVYSFDIVGITERVILTASNVRFNQSQSSNSTKNGSHIPLMIYARYRAMPLTTLHDYSGDITDAPLVMLSPKIGRWYIIVQPANVSEMVGKAQDADKEVCYSLQSQILQCPVDKAGLNCTWERYMLQTVLRKNPTVPFESYYLPISDKVSSDSANFPLEPLLTNTSNGGNNDVVWTFFLIDIPSGATGGNIHIRLSSDSNVGYEIYAKYGGLPSINSWDYFYANSTSNSNGSMFFKLYDTSDTKVSFYILYAIGGTWSFGLRHKNPSNLNSMDQTIMSISLERCPQKCSSHGTCQSLVDASGLTLYSYCACDRNHGGFDCSVELVSHLGMLKRVGHMWQSIALIASNAAALLPAYWSLRRKAFAEWVLFTSSGISSGLYHACDVGTWCALTFHVLQFLDFWLSFMAVVSTFVYLATISEISKRTIHTVVAILTALMAETGPTRSSNIIIVVAIGAAGLLVGLLIEFCTRHRMLSFSTEICLNLLHRWEGIKTWIHNLIKTLLKRFCWGFLLAGFMALAMAAVSWKLETSESYWIWHSLWHISIYTSSFLFLCSKVDVPNPNCENEQPRDGSYELTRQNSFTGEQRDDR >itb04g00950.t4 pep chromosome:ASM357664v1:4:508812:515546:1 gene:itb04g00950 transcript:itb04g00950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPIPTHSDSNICLYLFFTLYCVVCGCCLSYGQVRGDAVGRYNAVTLSSFTYARTALKPYDWRYIRVDLPPWFSSVDISLDSDVDIDLERIRKSPKSSMPIICFREGSPPLPDVYNISATGLVLDYVSNGSFVQDLQLVEKCYPMQSTIFLKLTNEQIPSGVWYIGLFNGVGPMRTQSKMINRGSAYSFSGNITVEGCTTSAISGQFCNQSVTSLSCLDGYSPPEIGLNGSSNQSTGNVMSCKVEDSCHGYRDSNVYSFDIVGITERVILTASNVRFNQSQSSNSTKNGSHIPLMIYARYRAMPLTTLHDYSGDITDAPLVMLSPKIGRWYIIVQPANVSEMVGKAQDADKEVCYSLQSQILQCPVDKAGLNCTWERYMLQTVLRKNPTVPFESYYLPISDKVSSDSANFPLEPLLTNTSNGGNNDVVWTFFLIDIPSGATGGNIHIRLSSDSNVGYEIYAKYGGLPSINSWDYFYANSTSNSNGSMFFKLYDTSDTKVSFYILYAIGGTWSFGLRHKNPSNLNSMDQTIMSISLERCPQKCSSHGTCQSLVDASGLTLYSYCACDRNHGGFDCSVELVSHLGHMWQSIALIASNAAALLPAYWSLRRKAFAEWVLFTSSGISSGLYHACDVGTWCALTFHVLQFLDFWLSFMAVVSTFVYLATISEISKRTIHTVVAILTALMAETGPTRSSNIIIVVAIGAAGLLVGLLIEFCTRHRMLSFSTEICLNLLHRYIIKPVFTKKFAVVMKLAPHCLQVGGYKNVDS >itb04g00950.t3 pep chromosome:ASM357664v1:4:508826:515546:1 gene:itb04g00950 transcript:itb04g00950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPIPTHSDSNICLYLFFTLYCVVCGCCLSYGQVRGDAVGRYNAVTLSSFTYARTALKPYDWRYIRVDLPPWFSSVDISLDSDVDIDLERIRKSPKSSMPIICFREGSPPLPDVYNISATGLVLDYVSNGSFVQDLQLVEKCYPMQSTIFLKLTNEQIPSGVWYIGLFNGVGPMRTQSKMINRGSAYSFSGNITVEGCTTSAISGQFCNQSVTSLSCLDGYSPPEIGLNGSSNQSTGNVMSCKVEDSCHGYRDSNVYSFDIVGITERVILTASNVRFNQSQSSNSTKNGSHIPLMIYARYRAMPLTTLHDYSGDITDAPLVMLSPKIGRWYIIVQPANVSEMVGKAQDADKEVCYSLQSQILQCPVDKAGLNCTWERYMLQTVLRKNPTVPFESYYLPISDKVSSDSANFPLEPLLTNTSNGGNNDVVWTFFLIDIPSGATGGNIHIRLSSDSNVGYEIYAKYGGLPSINSWDYFYANSTSNSNGSMFFKLYDTSDTKVSFYILYAIGGTWSFGLRHKNPSNLNSMDQTIMSISLERCPQKCSSHGTCQSLVDASGLTLYSYCACDRNHGGFDCSVELVSHLGMLKRVGHMWQSIALIASNAAALLPAYWSLRRKAFAEWVLFTSSGISSGLYHACDVGTWCALTFHVLQFLDFWLSFMAVVSTFVYLATISEISKRTIHTVVAILTALMAETGPTRSSNIIIVVAIGAAGLLVGLLIEFCTRHRMLSFSTEICLNLLHRYIIKPVFTKKFAVVMKLAPHCLQVGGYKNVDS >itb04g00950.t5 pep chromosome:ASM357664v1:4:508826:515546:1 gene:itb04g00950 transcript:itb04g00950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPIPTHSDSNICLYLFFTLYCVVCGCCLSYGQVRGDAVGRYNAVTLSSFTYARTALKPYDWRYIRVDLPPWFSSVDISLDSDVDIDLERIRKSPKSSMPIICFREGSPPLPDVYNISATGLVLDYVSNGSFVQDLQLVEKCYPMQSTIFLKLTNEQIPSGVWYIGLFNGVGPMRTQSKMINRGSAYSFSGNITVEGCTTSAISGQFCNQSVTSLSCLDGYSPPEIGLNGSSNQSTGNVMSCKVEDSCHGYRDSNVYSFDIVGITERVILTASNVRFNQSQSSNSTKNGSHIPLMIYARYRAMPLTTLHDYSGDITDAPLVMLSPKIGRWYIIVQPANVSEMVGKAQDADKEVCYSLQSQILQCPVDKAGLNCTWERYMLQTVLRKNPTVPFESYYLPISDKVSSDSANFPLEPLLTNTSNGGNNDVVWTFFLIDIPSGATGGNIHIRLSSDSNVGYEIYAKYGGLPSINSWDYFYANSTSNSNGSMFFKLYDTSDTKVSFYILYAIGGTWSFGLRHKNPSNLNSMDQTIMSISLERCPQKCSSHGTCQSLVDASGLTLYSYCACDRNHGGFDCSVELVSHLGMLKRVGHMWQSIALIASNAAALLPAYWSLRRKAFAEWVLFTSSGISSGLYHACDVGTWCALTFHVLQFLDFWLSFMAVVSTFVYLATISEISKRTIHTVVAILTALMAETGPTRSSNIIIVVAIGAAGLLVGLLIEFCTRHRMLSFSTEICLNLLHRYIIKPVFTKKFAVVMKLAPHCLQVGGYKNVDS >itb04g00950.t1 pep chromosome:ASM357664v1:4:508772:515546:1 gene:itb04g00950 transcript:itb04g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPIPTHSDSNICLYLFFTLYCVVCGCCLSYGQVRGDAVGRYNAVTLSSFTYARTALKPYDWRYIRVDLPPWFSSVDISLDSDVDIDLERIRKSPKSSMPIICFREGSPPLPDVYNISATGLVLDYVSNGSFVQDLQLVEKCYPMQSTIFLKLTNEQIPSGVWYIGLFNGVGPMRTQSKMINRGSAYSFSGNITVEGCTTSAISGQFCNQSVTSLSCLDGYSPPEIGLNGSSNQSTGNVMSCKVEDSCHGYRDSNVYSFDIVGITERVILTASNVRFNQSQSSNSTKNGSHIPLMIYARYRAMPLTTLHDYSGDITDAPLVMLSPKIGRWYIIVQPANVSEMVGKAQDADKEVCYSLQSQILQCPVDKAGLNCTWERYMLQTVLRKNPTVPFESYYLPISDKVSSDSANFPLEPLLTNTSNGGNNDVVWTFFLIDIPSGATGGNIHIRLSSDSNVGYEIYAKYGGLPSINSWDYFYANSTSNSNGSMFFKLYDTSDTKVSFYILYAIGGTWSFGLRHKNPSNLNSMDQTIMSISLERCPQKCSSHGTCQSLVDASGLTLYSYCACDRNHGGFDCSVELVSHLGHMWQSIALIASNAAALLPAYWSLRRKAFAEWVLFTSSGISSGLYHACDVGTWCALTFHVLQFLDFWLSFMAVVSTFVYLATISEISKRTIHTVVAILTALMAETGPTRSSNIIIVVAIGAAGLLVGLLIEFCTRHRMLSFSTEICLNLLHRWEGIKTWIHNLIKTLLKRFCWGFLLAGFMALAMAAVSWKLETSESYWIWHSLWHISIYTSSFLFLCSKVDVPNPNCENEQPRDGSYELTRQNSFTGEQRDDR >itb01g22050.t1 pep chromosome:ASM357664v1:1:28008578:28010042:-1 gene:itb01g22050 transcript:itb01g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRKPPSQKRATVKPIKPEAVIVISPDTKEEVKEKNSLRRKAAAEDSSRNTYTATLSARSQAACGLNIKLPKEKIEDIDAGDVYNELALWRRQQLKIHLERLILQLSLLEARLLVD >itb08g04090.t1 pep chromosome:ASM357664v1:8:3257477:3258640:1 gene:itb08g04090 transcript:itb08g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDDILNVTKSSEVLGKTAGKDLVADKMTYPKLLGLEKAREFAEKLNAVAKEQLVEFDQQKAAPLIALADYIAYRQN >itb04g04420.t1 pep chromosome:ASM357664v1:4:2733845:2739477:-1 gene:itb04g04420 transcript:itb04g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGAYDSSGTDDDLPPSHQNRIPRGGPSGRIAGNGRSAVMASVPYPKMYGETDMEAQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNSDDVIRRIREWRQSGGHQQGMINTGQAVHDPVPSPSVSASRKKQKIPPSLPSQSFGGPSPSFHPQAVATQNQPSSSAAKRGPMMGPKGKKHKSGQMLPGTKMQYPPSGPSGRGQFGNRISQPAEGASYDPLVGRKVRTRWPDDNNFYEAVITDYNPTEGRHALVYDMGTTNETWEWVNLSEISPEDIQWDEGPGHVVNRTIGRDSAPGLGRGRGLTKSQSRKDFPPSQNGIGKKGMDDIQLLHTDTLIKEVERVFGASNPDPLEVEKAKKVLKEHEQALIDAIQRLGEISDGESDERGHFMHGQE >itb06g06110.t1 pep chromosome:ASM357664v1:6:8795609:8796203:-1 gene:itb06g06110 transcript:itb06g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRRGRAGLLNNGKLRWSLYRSRATAANKLLPPSSTTVLHLPYPTPTHDQAGGNYMKMPEHLRPPHQSYNKITLNQDHQLTPQLPIHTRSVPCYPSTPDITKNTAVDSYSAAKLDTLYGGAVDDAVDLKAANYISSVREKFRLDYLN >itb01g35620.t1 pep chromosome:ASM357664v1:1:37732001:37732330:1 gene:itb01g35620 transcript:itb01g35620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENCWKAVALVVGASGMVGVALAEALKDPTALGGPWKVYGAARRPLPSWFPASFLDEYITFDALNFEDTHNNLSKISHEVTHVFWLALQFHEKEEENICSLFSCANI >itb06g16670.t1 pep chromosome:ASM357664v1:6:20737237:20739531:-1 gene:itb06g16670 transcript:itb06g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGIVIGLALAFIAVRSVIKFKATNSAQTVGLLHEEKILPLGKDITAVGICSSIRGIPEIKSCNSLPYFLSERTKDQMIVELAFKTKVLLWSWVVFGSLAIGILSYAAVRYWNRWKGRRPQRQTQRQNAVAQFEDTGDVVDRQLCVICLTRRRRAAFVPCGHVVCCQHCAFYVVRDLSPKCPVCRQSIYPRHFSMQ >itb04g18030.t1 pep chromosome:ASM357664v1:4:21289222:21296312:-1 gene:itb04g18030 transcript:itb04g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLSFYVGVVGNILSIFIFLSPVDVFIRIVKNRSTEDFDSTPYISALLNSSFWCYYGLTKPGAFLVFTVNAFGVVAEAVYVLIFIVFAPPKTKNKTAALAAALNIGLLAVVVLVTKITLEGESLIDAIGFVCIGLNIIMYASPLAAMGTVLRTKSVEFMPFYLSLFICLNAGVWAVYAFIVRDWFLGVPNGTGFIFGAVQLILYAIYSKPKTAKSSTAVLEDNPSVDGVLRRIPPCHQQHRRIAARISWNKHQSAVSMFGEERMNIGSAQPLAGTFSSPSTLLGSSFRLLECFSIYRRDCWGLRCCVSWIATKSYSPLTPVLLEVIGAFYRDIVL >itb15g21060.t1 pep chromosome:ASM357664v1:15:23771300:23771986:1 gene:itb15g21060 transcript:itb15g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAQIPQGLTEEEFSELEPLIRRYHTFDHVPNTCTSLITQHIDAPASVVWPIVRRFDNPEKYKHFIKSCRMTGDGGVGSIREVTVVSGIPAATSTERLEILDDHNHILSFRVVGGEHRLNNYRSVTSVNQFPRRRGAHNNNNNNNNNNNGFYTVVLESYIVDIPEGNTGADTRMFTDTVVKLNLQKLGVVAMAALHGGGGGGGSGGHS >itb01g32910.t1 pep chromosome:ASM357664v1:1:36253454:36254258:1 gene:itb01g32910 transcript:itb01g32910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPFICGSSHLHVDEDDMDVLSPCSTPKRSKKGGRDNNNNNKNPYANRGLDKFSALLADLEDKKQKIYTQVGAEDISIVRFVFPANSNDVKPIVVKFKGKKESAGRDDNRAAKTGGLPEKVEVEKRVMHSSNDQKEEKKKKLSKSVSWKMDMKWEDLKKPRFYMPLTIVLILVFLAIYGRTFAILCTSIGWYVIPTIRGKHSSSSSSFNSRNKPQRKKEYTRRFSEKNISTPKGDGSTSPKSVLSGHHAHRKSF >itb13g00280.t1 pep chromosome:ASM357664v1:13:224897:228955:1 gene:itb13g00280 transcript:itb13g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPLSTWPWDNFGLYKYLLYGPFLAKILHSRYYEEESSSRATIWCINILILYTLRFTVHQAWSSYSNMLFLVRNRRISQQGIAFQQIDKEWDWDNFLILQAFMASLGFIMFPETTSLPSWDTRGVIAAILLHILVSEPLYYCLHKCFHGSYLFTHYHSLHHSSAVQHPFTAGHGTVLEHILLGAVMGVPILGACMIGYGSTAMIYGYVLMFDFLRCMGHSNVEIVPHQLFEALPFLRYLIYTPTYHSLHHSEMNSNYCLFMPLFDALGNTLNKDSWEKHSEISLQAGKNGRVPDFVFLAHVVDMTAALHVPFVWRSFSSTPFRTRLFLLPFLPISFVSMLAMWAYSKTFLVSFYFLRGRLHQTWTVPRFGFQYFLPFAAKGINQRIEEAILRADRLGVKVISLAALNKNEALNGGGTLFVNKHPNLKVRVVHGNTLTAAVILHDIPEDVTEVFLTGATSKLGRAIALYLCQRRVRVMMLTLSTERFQKIQKEAPADCQKLLVQVTKYQAAKNCKTWIVGKWITPKEQNFAPTGAHFHQFVVPPILPFRRDCTYGDLAAMKLPDDVQGLGNCEYTMERGVVHACHAGGAVHSLEGWTHHEVGAIDVDRIDVVWEAALKHGLKPVS >itb03g27160.t1 pep chromosome:ASM357664v1:3:26892122:26894805:1 gene:itb03g27160 transcript:itb03g27160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLERGSEVLSYIQSMTIRLIRIRSMELNSFKNTRSETFSKLSFQPFTIADATISKHHRLRRRSPSTSSASRSATPSPASSFAVADHFSDPPANRSEATAAAAAPLPPLKLLKLPSDALPPPALPHSRPPR >itb11g14480.t1 pep chromosome:ASM357664v1:11:11593456:11601156:1 gene:itb11g14480 transcript:itb11g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKKAVSVTPAAFDHSGLLRKDDGGDAVRSDAKKMKRKSRVAMSETASFRLGNIQNYAEAEQVAAGWPAWLSAVAGEAIHGLVPLRADSFQTLEKIGQGTYSTVFQARDLDSGRIVALKKVRFDHLEPGSVQFMAREIAILRRLDHPNIIKLEGIVASQLSCSIYLVFEYMEHDVSGLLSCPEVTFSESQIKCYMKQLLSGLHYCHSRGIMHRDIKGANLLVNNEGILKVGDFGLANFCNLRRRKPLTSRVVTLWYRPPELLLGSTNYGASVDLWSVGCVFGELLTGRPILRGRTEVEQLHKIFKLCGSPPDEYWTSSKLPRATLFKPQHHYESCIWEAFRHLPKDAASLIETLLRVEPHKRGTAASALASEYFKTKPYACDPSSMPKYPPNKEINARQREEITRKRTGARAHRPKTIRKLTRKQNALSKLVPEENVPVQNQTARKSDGPILHNHNKNDMVMNLEQHKQSIDMMEEASHTRNVSQSDVPFSGPLQVSGSSGFAWAKRRFDDSSRRSRSRSSSKSLLFEPSGALELKNNSGSKRQEKCEHRNCGETNSKCHDFCENGMHADPCDASDWYLPKELPIEVYLEEELALKKMNLLGDHGDRVEYSGPLLSQSHRVDELLEKRERQIRHAVQKSWL >itb11g14480.t2 pep chromosome:ASM357664v1:11:11593456:11600699:1 gene:itb11g14480 transcript:itb11g14480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKKAVSVTPAAFDHSGLLRKDDGGDAVRSDAKKMKRKSRVAMSETASFRLGNIQNYAEAEQVAAGWPAWLSAVAGEAIHGLVPLRADSFQTLEKIGQGTYSTVFQARDLDSGRIVALKKVRFDHLEPGSVQFMAREIAILRRLDHPNIIKLEGIVASQLSCSIYLVFEYMEHDVSGLLSCPEVTFSESQIKCYMKQLLSGLHYCHSRGIMHRDIKGANLLVNNEGILKVGDFGLANFCNLRRRKPLTSRVVTLWYRPPELLLGSTNYGASVDLWSVGCVFGELLTGRPILRGRTEVEQLHKIFKLCGSPPDEYWTSSKLPRATLFKPQHHYESCIWEAFRHLPKDAASLIETLLRVEPHKRGTAASALASEYFKTKPYACDPSSMPKYPPNKEINARQREEITRKRTGARAHRPKTIRKLTRKQNALSKLVPEENVPVQNQTARKSDGPILHNHNKNDMVMNLEQHKQSIDMMEEASHTRNVSQSDVPFSGPLQVSGSSGFAWAKRRFDDSSRRSRSRSSSKSLLFEPSGALELKNNSGSKRQEKCEHRNCGETNSKCHDFCENGMHADPCDASDWYLPKELPIEVYLEEELALKKMNLLGDHGDRVEYSGPLLSQSHRVDELLEKRERQIRHAVQKSWL >itb08g12700.t1 pep chromosome:ASM357664v1:8:13092824:13093226:1 gene:itb08g12700 transcript:itb08g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADIQAMLGGETNSRKIESGNIIGARIQQNISRIGRSGMESESDWTKHKKLSAFTAQDIRSAWSSRISISEGYHEFL >itb13g21560.t1 pep chromosome:ASM357664v1:13:28144832:28145435:-1 gene:itb13g21560 transcript:itb13g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >itb11g10950.t1 pep chromosome:ASM357664v1:11:7805796:7808964:1 gene:itb11g10950 transcript:itb11g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFDDIPSSPTSASPPISKKIRRSSSTSPVRFSVAPTPTSLFDQLRAQFPDMDSQLIEKALEECGYNLDAAIKSLNDMQLGRAQSSADVETNTNMQNVPSEDPTLQCNLPVDGSGWVELLLKEMMGAASIDDARARASRVLESLEKSISLRAGAESTQNIQKENMMLKEQVEALIRDNTLLKRAVAIQHERQKEHDDKSQEVQHLKQLVSQYQQQLSSLEVSNYALKMHLLQAQQGNNIPGRFHPDVF >itb04g21900.t2 pep chromosome:ASM357664v1:4:27018662:27025910:1 gene:itb04g21900 transcript:itb04g21900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRNGSLDGEDESSSDSLPKGCLENLPSLTWQRKLNSAAIIPSQFTMRIPEIVHLLPLGLRLYRHINEEAAKGTPSIMDPYNKRLISCYHGVPLGGIGAGSIGRSFRGEFQRYQLFQRQCEDTPILSNQFSVFVSRPNGQKFSSVLCSAAPPNEIKAGKGSGIESWNWNLDGEKCTYHALYPRAWTVYDGTPDPELQIICRQVSPFIPHNYKESSLPVSVFVFTLSNTGVVDADVSLLFTWANSVGGTSGFSGNHLNEKTKKQDGVPCILLHHNTSNGHPPVTFAMAARETEEVRVSECPCFSISGDSNNATAKDIWNQIEEHGSFDYLKDDETPTLSEPGSSIGAALAATATVPSGAVRTVTFSLAWDCPEIRFPRGKTYHRRYTKFYGTHGDAAAAIARDAILEHANWEDEIEEWQKEILEDQTLPEWYRVTLFNELYYLNAGGTIWTDGSLPMQSIATIGERKFTLEKPKSEMVCNGGSQTDNIHINLLERMKHTIDKMNSPVASNSAFGTYLLRDGEENVGQVLYLEGIEYHMWNTYDVHFYSSYALLMLFPKLELSIQRDFAMAVMMHDPSKMGVLSDGTWVPRKALGAVPHDIGLNDPWFEVNAYNFFNTDRWKDLNSKFILQVYRDFYATGDKEFARAVWPSVYLAVAYMDQFDKDGDGMIENEGFPDQTYDAWTVKGVSAYCGGLWVAALQAASALAREVDDLKAADYLWLRFQKAKAAYDTLWNGSYFNYDNSGKSSSSSIQADQLAGQWYANASGLSPISSEEKIRSALQKIYDFNVLKLKGGTRGAVNGMLPNGKVDKTAMQSREIWTGVTYSLAAAMIQEGLVEMAFHTASGIHETVWSETGLGFAFQTPEGWNSDDKYRSLCYMRPLAIWAMQWALTKPKQQNKEMSYNVVENSGYLKQQAGFEEIARALRLPNEEPSKSYLQLLHELLCRKLGF >itb04g21900.t1 pep chromosome:ASM357664v1:4:27018662:27025910:1 gene:itb04g21900 transcript:itb04g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRNGSLDGEDESSSDSLPKGCLENLPSLTWQRKLNSAAIIPSQFTMRIPEIVHLLPLGLRLYRHINEEAAKGTPSIMDPYNKRLISCYHGVPLGGIGAGSIGRSFRGEFQRYQLFQRQCEDTPILSNQFSVFVSRPNGQKFSSVLCSAAPPNEIKAGKGSGIESWNWNLDGEKCTYHALYPRAWTVYDGTPDPELQIICRQVSPFIPHNYKESSLPVSVFVFTLSNTGVVDADVSLLFTWANSVGGTSGFSGNHLNEKTKKQDGVPCILLHHNTSNGHPPVTFAMAARETEEVRVSECPCFSISGDSNNATAKDIWNQIEEHGSFDYLKDDETPTLSEPGSSIGAALAATATVPSGAVRTVTFSLAWDCPEIRFPRGKTYHRRYTKFYGTHGDAAAAIARDAILEHANWEDEIEEWQKEILEDQTLPEWYRVTLFNELYYLNAGGTIWTDGSLPMQSIATIGERKFTLEKPKSEMVCNGGSQTDNIHINLLERMKHTIDKMNSPVASNSAFGTYLLRDGEENVGQVLYLEGIEYHMWNTYDVHFYSSYALLMLFPKLELSIQRDFAMAVMMHDPSKMGVLSDGTWVPRKALGAVPHDIGLNDPWFEVNAYNFFNTDRWKDLNSKFILQVYRDFYATGDKEFARAVWPSVYLAVAYMDQFDKDGDGMIENEGFPDQTYDAWTVKGVSAYCGGLWVAALQAASALAREVDDLKAADYLWLRFQKAKAAYDTLWNGSYFNYDNSGKSSSSSIQADQLAGQWYANASGLSPISSEEKIRSALQKIYDFNVLKLKGGTRGAVNGMLPNGKVDKTAMQSREIWTGVTYSLAAAMIQEGLVEMAFHTASGIHETVWSETGLGFAFQTPEGWNSDDKYRSLCYMRPLAIWAMQWALTKPKQQNKEMSYNVVENSGYLKQQAGFEEIARALRLPNEEPSKSYLQLLHELLCRKLGF >itb02g07660.t1 pep chromosome:ASM357664v1:2:4786764:4790347:-1 gene:itb02g07660 transcript:itb02g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MVFIKTPDNGTLALDINPSTTTLQSLTLKIHETHHIPLPTQRLFLGCRRLIDGAVPIPISHLGVSPNSTLTLHIPLLGGMQAPVPPKTRLDFLNTKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAIGGAAATGVGRGRGKGGGEEDEEDENDDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAIWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLHTLSNQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKAKQEKEHVSALDPKSRAVGGTETPWSQTPVADLTAMGEGRGTVLSVRLDRILDSVTGQTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQAARQLIKKGCEECPKSEDVWLEACRLASPSEAKAVIASGVKVNPTSVKLWLQAAKLEEDDVNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLQRAVECCPLHVELWLALAKLETYENAKKVLNKAREKLSKEPAIWITAARLEEANGNTATVAKIIERGIRALQREGLEIDREAWMKEAEACERAGSVLTCQAIISNTVGLGVEEEDRKRTWVADAEECKKRGSIETARQIYSHALTVFRTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYIPNAEVLWLMGAKEKWLAGDVPAARAILEEAFAAIPDSEEIWLAAFKLEFENKETERARKLLAKARDRIPHAQRVWMKSAIVERELGNVEEEKMLLDEGLKRFPAFFKLWLMLGQLEERLGNLEQAKSTYESGLKHCPDCIPLWLSLAKLEERMNGLSKARAVLTMARKKNPKNPELWLAAVRAEARHGYKKEADVLMAKALQECPTSGILLAASIEMAPRPQRRSTSADAYKKCNQDPYVLAAIAKLFWNDRKVDKARSWFNRAVTLAPDIGDFWALFYRFEEQFGSEDERNDVLRKCVAAEPKHGERWQAIAKSVENSHKPTDEILRQVVAALKKEENAAEMNEP >itb01g17500.t1 pep chromosome:ASM357664v1:1:22234640:22235804:-1 gene:itb01g17500 transcript:itb01g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGMKSGLIVGLAMVAMISAAAAQSGGCTTAILSMASCLDYVTGKAPSPSSGCCTAFSGVLKSQPRCLCTIVNGGGSSVGVQINQTLALQLPDSCKVKTPPVSKCNNAGDGSAISPVGSPGDSPAVAASNSAGNMMKLQPFFPVSLAFSLFILSTLASF >itb11g21220.t1 pep chromosome:ASM357664v1:11:22694274:22695071:-1 gene:itb11g21220 transcript:itb11g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVILLQGRYAGRKAVIVRCFDDGTRDRPYGHCLVAGIAKYPKKVIRKDSAKKQAKKSRVRTFVKVVNYNHIMPTRYTLDVDLKDAVTQDCLQSHDKRVAAAKEAKARFEERFKTGKNRWFFSKLRF >itb14g00850.t1 pep chromosome:ASM357664v1:14:596829:602478:1 gene:itb14g00850 transcript:itb14g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPHQDQPPWPAPENPARPETPMGNFRAFAMTMAMNSAPNGSLSPSQRVFVHQKLLELFPDSKPTPDHPPYSWMIQRAIENLNEEGGSTEEAISEFIVKENSSLPWAHTTLLSHHLGKLCESNEIFQTVDGYYALNDPTTNTCPAASPNECISSDHSSDKKRVNPKNVQKKDSEKRKGKFGECNVSGEKVQMDVEKEATEEEDPLLDEKDEKIDVIEEQHPPHGKMYKNDQQGQEEPANLKLDIHLQVLDGNAHVEPKEQASKQMQQDFVSEKCRGEQLNKEQELEKGTRRSSRVAKRNRKNYGLEEQTNKEIHVLTQRLEGLDPEEPNEQIQQDLASEEYNKDEEQQLNTEQALEKMKRKQGKPHKNVVEELINSESDFQRQELDDAQNENKQMQQGFTSKECKEAEDQPLNREHEQMILKAIENLNEEGGSTVVTISEYILRENSNSPWAYTVLLSRHLGELCQRNEIFETVEDYYALNRPPITKICPTSSPDECISSKHGSDRKRVNPKTIQKKDSKRRKEGKFGKCNVRGKKVLTNTDDEATEEEDLVSRECYEDEERQLNSRRPGKALKRKRRKMVLEDEEEEEIDVQGQQKGRRLPKRRIKKVVQQEESNLEMDGKLQRFEDGARKEPNKKMRQDVVSEKRRGEQLNRVQEQEPQKGKRRSSRVPKRNRKNNDIEEQTNIEIHGLKDTDPEKPNEQAQQDVENEECNKDEEQHQNKEQALEKGKRKQGKPHKNVVEEPTNSESDFQPQDLDDSQNENECREAEEQQLKRKGKLGRRQQQLHTCRPKTKSIEGLLTKPSAHLLQSSSQNRLQLGDRKHIKHEGSAKPQEIRRSTRISIATSKKKEYNSAT >itb04g19390.t1 pep chromosome:ASM357664v1:4:23751641:23760142:1 gene:itb04g19390 transcript:itb04g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRAKVAMQGYLNHFLGNIDIVNSHEVCKFLEVSSLSFSPEYGPKLKEDYIMVKHLPQIRSSDDHNKCCSCNWFSCCKDNWQKVWAVLKPGFLAFLKDPFDTSPLDIVVFDVLPASDGNGEGRVSLAKEVKDGNPLRHYFRVSCGIRSIKLRAKSNTRVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLVEDGSQAQWFVDGQAAFESIALAIEKAKSEIFMCDWWLCPELYMRRPFQDHASSRLDSLLGAKAKQGVQIYILLYKEVALALKINSVYSKRKLLEIHENVRVLRYPDHFSSGVYLWSHHEKIVVVDHQVCFIGGLDLCFGRYDSSEHKVGDCPPRIWPGKDYYNPRESEPNTWEDTMKDELDRTKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPRHHMVIPHYMGVSIETHTENEDASNAQSIKRQDSFSSQSSCQDIPLLMPQEADEMNISGEPNSSEFSNGYDLHDQPNRSTRISFPFRKAKVEPFLPGMPMKGFVDEGDDMDCQRELSSSLMHIGTRASDNEWWEAQERGNQVVSADETRQVIRSVSQWSAGTSQIEESIHNAYCSLIEKAEHFVYIENQFFISGLVGDEIIRNRVLEALYERITQAYNEKKCFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGNTSILQNLSDLIGPRVHDYISFYGLRSYGRLFDDGPVVSSQVYVHSKIMIVDDCTALIGSANINDRSLLGSRDSEIGVVIEDKEFVDSYMGGNPYKAGKFASSLRRSLWSEHLGLHAGEIGQITDPVIDSTYRDIWMATAKTNTMIFQDVFSCIPNDLIHSRVSLRQCLAHWKEKIGHTTIDLGIAPNKLESYQDGDIKGTDPMERFLVSVRGHLVSFPLDFMSREDLRPMFNESEYYASPQVFH >itb04g19390.t2 pep chromosome:ASM357664v1:4:23751641:23760142:1 gene:itb04g19390 transcript:itb04g19390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRAKVAMQGYLNHFLGNIDIVNSHEVCKFLEVSSLSFSPEYGPKLKEDYIMVKHLPQIRSSDDHNKCCSCNWFSCCKDNWQKVWAVLKPGFLAFLKDPFDTSPLDIVVFDVLPASDGNGEGRVSLAKEVKDGNPLRHYFRVSCGIRSIKLRAKSNTRVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLVEDGSQAQWFVDGQAAFESIALAIEKAKSEIFMCDWWLCPELYMRRPFQDHASSRLDSLLGAKAKQGVQIYILLYKEVALALKINSVYSKRKLLEIHENVRVLRYPDHFSSGVYLWSHHEKIVVVDHQVCFIGGLDLCFGRYDSSEHKVGDCPPRIWPGKDYYNPRESEPNTWEDTMKDELDRTKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPRHHMVIPHYMGVSIETHTENEDASNAQSIKRQDSFSSQSSCQDIPLLMPQEADEMNISGEPNSSEFSNGYDLHDQPNRSTRISFPFRKAKVEPFLPGMPMKGFVDEGDDMDCQRELSSSLMHIGTRASDNEWWEAQERGNQVVSADETRQVGPCVWCRCQVIRSVSQWSAGTSQIEESIHNAYCSLIEKAEHFVYIENQFFISGLVGDEIIRNRVLEALYERITQAYNEKKCFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGNTSILQNLSDLIGPRVHDYISFYGLRSYGRLFDDGPVVSSQVYVHSKIMIVDDCTALIGSANINDRSLLGSRDSEIGVVIEDKEFVDSYMGGNPYKAGKFASSLRRSLWSEHLGLHAGEIGQITDPVIDSTYRDIWMATAKTNTMIFQDVFSCIPNDLIHSRVSLRQCLAHWKEKIGHTTIDLGIAPNKLESYQDGDIKGTDPMERFLVSVRGHLVSFPLDFMSREDLRPMFNESEYYASPQVFH >itb07g01240.t1 pep chromosome:ASM357664v1:7:756462:762492:1 gene:itb07g01240 transcript:itb07g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTFRCKLPSIHHRSHASSFRMCYHTTATTRRSGNYEPPTWDYSYIQSLTTNNYTAERFVTRRDELKERVKNMLKNEEMGEVEKMEMIDELQRLGCSYHFEEEIMAALMDIYMKKKKNSNGCRSEEKGLYATALGFRVLRQNGFNISQDVFDGFMDGKRSGFNANLCEDTKGLLNLYESSFLSMEGETSLELARDFSAKHLKHSLKLPLHWRMPRLEARGFIDSYDKGKTTSNAILLELAKLDFNIVQAVHLEDLRFVSRWWKNSYITERLPFVRDRVVENFFWTVGSNPNPKYVNCRRTLAKLNCLICTVDDIYDVYGTLDELQLFTDTIERWDDATKVEHLPDYMRLCYLALNNFVNEVAYDIHKEHGIFILHHLRKSWADICKSYLQEAKWYHSGYTPTYQEYIENAWISISCPVILVHAFAFVNNPAEDAAALHCLTDYHQIIRLSSMILRLANDKGTSPDEMKRGDVPKAIQCYMGEAKASVNDARGFIDLEMNDIWKKMNKVLLEGGSPFSGTFIEVAMNIARMAQCMYQHGDGHGIKNLETQTRKVSCNNLNRARPCGRAPAWYRRPTPWAGAQVKHGAQSEPQAEAYVKALGQGPS >itb15g17350.t1 pep chromosome:ASM357664v1:15:17880882:17881145:-1 gene:itb15g17350 transcript:itb15g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERAKQQQRERSWTVEAKRSPASLKESRSAAASSPESQLRYRLCHRLTPTPPPCVHPPQPRCHQAAAFTDSTNNNGRRSIEMPRPG >itb15g06420.t1 pep chromosome:ASM357664v1:15:4271677:4273682:1 gene:itb15g06420 transcript:itb15g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEESCSLAGVAAAGDEDLNRRILGKYELGKLLGCGAFAKVYHARVIRTGQSVAIKAVSKHRILKGGLTGHVKREVSIMRRLRHHHIVRLLEVLATKTKIYFVMEFAKGGELFTKVAKGRFSEDLSRKYFQQLISAVGYCHSRGVYHRDLKPENLLLDENWDLKITDFGLSAVTDPARPDGRLHTLCGTPAYVAPEILAKKGYYGAKVDIWSCGIILFVLNAGYLPFNDTNLMNMYRKIYKGEYRCPKWTSPELKHLLDRLLDTNPDTRITVDEIKADPWFRKGFNDVKPQIESEFEFKTDNESETENKYLNAFDLISFSPGVNLSGLFATHSAIADTERVLSAEPPEKIVDRVERIAKAEGMKVIRKNAGIRVEGQNGHPALLVEINRLTEELVIVELKNYRGDEAGREKWRVKFRQQLKLLSYQPDDAH >itb12g13720.t1 pep chromosome:ASM357664v1:12:12716836:12719836:-1 gene:itb12g13720 transcript:itb12g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNGQSVGVGIFCKKSSLSCLFTLTSIFCFLSWFSMLQSSNQPLSSIDLSISPNFNPLAVVHDDQTLVRNGLDTGIGVESSCKQQLKVFMYDLPPEFHFGLLGWNKPVEGQKKSVWPDIRTEVPPYPGGLNLQHSIEYWLTLDLLYSEFADLSGQRSAVRVLNSSDADVVFVPFFSSVCYNRFSRLQPHQKMSTNALLQQKLVTFLTAQEEWKRSGGKDHVILAHHPNSLLDARTKLWPAMFILSDFGRYPPNIANVEKDIVAPYKHVVRNYINDSSDFDSRPTLLYFQGAIYRKDGGYVRQELFYLLKDEKDVHFSFGSIQNDGINQAALGMQASKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDILDYSEFCIFVRTADALKGKFLINFIRSIGKEEWSRMWIKLKEVEKLFEYQFPSEEKGAVQMIWEAIARKLPAAKMKIHRNWRFSPTNARSQ >itb15g01240.t1 pep chromosome:ASM357664v1:15:761371:766435:1 gene:itb15g01240 transcript:itb15g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFIFSLFIFFLSVRATPIWPHDTQRKPYIVYMGELPDDPTISAVDEHHNLLTETLGDEELARQYRIHSYRKSFSGFVARLLPHEASRLSEKDKVVSVFPNTEMKLLTTRSWDFLGMPTKTKRNREVESNLIVGLLDTGVWVESPSFKDDGYGPPPAKWKGKCQEGLNFTGCNRKVIGAQYFNVEGFAPVEQESPVDFDGHGTHIASIAAGRMVEGASLYGIAEGTARGGVPSARIASYKVCWGQGCQDMDVLAGFDAAIADGVDVISLSVGGHPRSFFQDPIAIGGYHAMKKGIVTTAAAGNEGPYFGTIQNGSPWLMTVAATSIDRRFESDVKLGNGETLSGFSINTFELGKMYPLISGTLAQDKNATYPGNYSACDYLSISEKLVKGKIVYCLSSASQDYTIKWIHGVGVITSDKLPDTVLPTMIPATIVDIEDGKKIDKYINSTKAPTAEIFRSRAVKATAPSVASFSSRGPQTISPNILKPDLAAPGLGILAAYTQLTTMTGQIDDTRVVKYNVESGTSMATPHAAGAAAYVKTFHPDWSPAAIKSALMTTAKAMKIKPIGAYLASGAGLIRPTKAVAPGLIFDIDTNGYKSYLCKEWNNATLGSLTGSEDDKCSKIPAAQGADGLNYPSMHLQLTNPNSTTFSAVFYRNVTYVGAGSTVFKANVKAAQALTVSVTPNILSFPATNQTRSYKVVVSGRFEKAMSWFLAGSLIWKDNTHSVRCPILIYRQLQVDD >itb04g27600.t1 pep chromosome:ASM357664v1:4:31592453:31596135:1 gene:itb04g27600 transcript:itb04g27600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAENPKDDKPVIVRVKRKASQFCLDAFWLEINERPLKRPLIDFEKLSISNSSSSSSKVEELKTKKILVQHVETITNSEVTADLVRSFVPDSADSSIIKEKFEERRHAFKANNHMQRQDQLLTKAKQKQEDLSKSARFEQVWKSRRGKKDDEALHEVCRLYDVVRVDTEGKNHDVQEEDPELEDHKMMSQYLPFLREVMPSAADEIESDIHDYIFKQASSDGFVYDFYAVKNDVETMVESTANHFPLVQVDDDDDFYDGPDDSDYGTDDSNDENNPRNDYPDEESSGDEDEVESLSSNDRSEAESESSSDEQETDIYVSRERDDLSRFECSYGGDPFVEDDIYSGDDCELYDSGEDVW >itb04g27600.t2 pep chromosome:ASM357664v1:4:31592453:31596091:1 gene:itb04g27600 transcript:itb04g27600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAENPKDDKPVIVRVKRKASQFCLDAFWLEINERPLKRPLIDFEKLSISNSSSSSSKVEELKTKKILVQHVETITNSEVTADLVRSFVPDSADSSIIKEKFEERRHAFKANNHMQRQDQLLTKAKQKQEDLSKSARFEQVWKSRRGKKDDEALHEVCRLYDVVRVDTEGKNHDVQEEDPELEDHKMMSQYLPFLREVMPSAADEIESDIHDYIFKQASSDGFVYDFYAVKNDVETMVESTANHFPLVQVDDDDDFYDGPDDSDYGTDDSNDENNPRNDYPDEESSGDEDEVESLSSNDRSEAESESSSDEQETDIYVSRERDDLSRFECSYGGDPFVEDDIYSGDDCELYDSGEDVW >itb09g30520.t1 pep chromosome:ASM357664v1:9:31150969:31154168:1 gene:itb09g30520 transcript:itb09g30520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASRHPKPKTLAPPSLTPKPSLSPTTMGSQAPPAAKSNPVLILDYGSQYTHLITRRIRSLSVFSLCINGTSPLETITKLEPRVIILSGGPHSVHADGAPSFPPGFIEYVQSRGVYVLGICYGLQLIVQQLGGKVNVGEKHEYGRMEIEVAKEGSGLFGNKRVGDRQVVWMSHGDEAVKLPDGFEVVARSHQGAVAAIEHRERRFYGLQYHPEVTHSPEGMETLRQFLFDVCGVSADWKMEDVLEEEIKVIRETVGPDDHVICALSGGVDSTVAATIVHQAIGDRLHCVFVDNGLLRYKEQERVMETFQRDLHLPVTCVDATEQFLSKLKGVVDPEMKRKIIGKEFICIFDKFAHDLEQKLGNRPAYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGRLLNIPVAFVKRHPFPGPGLAVRIPGDVTEGNALDILRQVDEIFIQSIKEAGIYDDIWQAFAVFLPVKTVGVQGDQRTHSHAVALRAVTSQDGMTADWYYFDFKFLDDVSRKICNSVRGVNRVLLDITSKPPSTIEWE >itb10g02240.t1 pep chromosome:ASM357664v1:10:1873304:1877413:-1 gene:itb10g02240 transcript:itb10g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHVWLRRAFLLAISCIWVPLAVLGGNENDTAPSSSRPKEVNVGVMFTVHSVIGESVKPALEAAANDVNSDSTILNGTKLNFIMQDTNCSGFIGMIDALQVMESNIVAAIGPQSSGIAHVITHIVNELQVPLLSFGATDPSLNSLQNPFFLRTVTNDRFQMLAVADLIGYFGWKEVIAIFVDDDNGRNGISVLGDALAAKRAKISYKAALRPGATREEINTLLSNVNLMESRVYVVHVNPDSGLNLFSEAKSLNMISSGYVWIATDWLPSVLDSSQTVNLETMDLLRGVVALRHHTPDSDQKKRFASRWRSFSEKEASAGFNSFALYAYDSVWLLARALDVFFSEGGNVTFSSDPRLSNTKGSALQLRSLNIFDQGQKLLDILTRVNFTGLTGQVQFDAEKNLIHPAFDILNIAGNGSRILGYWSNYTGLSVVAPEILYAKPPNTSTSNQHLDSPTWPGKTTALPRGWVFPDNGNPLHIAVPFRVTFQEFVSKDDGPLGVKGYCIDIFEAAIELLPYPVPHVYVLYGDGKRNPYFNNLVNDVAQNKYDAGVGDITITMNRTRIVDFTQPFMESGLVVVVLVKDSKSSPWAFLQPFTVEMWCVTGLFFFFVGAVVWILEHRINHEFRGPPSQQIVTVFWFSLSTMFFSHRENTVGTLGRLVLILWLFVVLIINSSYTASLTSILTVQQLATGIQGIDSLISSSDPIGIQDGSFAYRYLIEEMNVAESRLRILKTQDEYIQALNRGPRGGGVAAIVDELPYIEVFLSSTNCNYRIVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSEKGELQKIHDKWLPKDDCAIQDNQIDDNRLSLKSFWGLFLICGVACFIALLLFSCRVCSQYRRYTPEARQQEIPSTPEPGPSNSCSLQGLIKFVDQKEAEIKEILKKKATSESKPQLTQSSDVQESAP >itb10g02240.t3 pep chromosome:ASM357664v1:10:1873304:1877388:-1 gene:itb10g02240 transcript:itb10g02240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLYLLLFYLQQIWFSSGCVFVALQVMESNIVAAIGPQSSGIAHVITHIVNELQVPLLSFGATDPSLNSLQNPFFLRTVTNDRFQMLAVADLIGYFGWKEVIAIFVDDDNGRNGISVLGDALAAKRAKISYKAALRPGATREEINTLLSNVNLMESRVYVVHVNPDSGLNLFSEAKSLNMISSGYVWIATDWLPSVLDSSQTVNLETMDLLRGVVALRHHTPDSDQKKRFASRWRSFSEKEASAGFNSFALYAYDSVWLLARALDVFFSEGGNVTFSSDPRLSNTKGSALQLRSLNIFDQGQKLLDILTRVNFTGLTGQVQFDAEKNLIHPAFDILNIAGNGSRILGYWSNYTGLSVVAPEILYAKPPNTSTSNQHLDSPTWPGKTTALPRGWVFPDNGNPLHIAVPFRVTFQEFVSKDDGPLGVKGYCIDIFEAAIELLPYPVPHVYVLYGDGKRNPYFNNLVNDVAQNKYDAGVGDITITMNRTRIVDFTQPFMESGLVVVVLVKDSKSSPWAFLQPFTVEMWCVTGLFFFFVGAVVWILEHRINHEFRGPPSQQIVTVFWFSLSTMFFSHRENTVGTLGRLVLILWLFVVLIINSSYTASLTSILTVQQLATGIQGIDSLISSSDPIGIQDGSFAYRYLIEEMNVAESRLRILKTQDEYIQALNRGPRGGGVAAIVDELPYIEVFLSSTNCNYRIVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSEKGELQKIHDKWLPKDDCAIQDNQIDDNRLSLKSFWGLFLICGVACFIALLLFSCRVCSQYRRYTPEARQQEIPSTPEPGPSNSCSLQGLIKFVDQKEAEIKEILKKKATSESKPQLTQSSDVQESAP >itb10g02240.t2 pep chromosome:ASM357664v1:10:1873304:1877176:-1 gene:itb10g02240 transcript:itb10g02240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHVWLRRAFLLAISCIWVPLAVLGGNENDTAPSSSRPKEVNVGVMFTVHSVIGESVKPALEAAANDVNSDSTILNGTKLNFIMQDTNCSGFIGMIDALQVMESNIVAAIGPQSSGIAHVITHIVNELQVPLLSFGATDPSLNSLQNPFFLRTVTNDRFQMLAVADLIGYFGWKEVIAIFVDDDNGRNGISVLGDALAAKRAKISYKAALRPGATREEINTLLSNVNLMESRVYVVHVNPDSGLNLFSEAKSLNMISSGYVWIATDWLPSVLDSSQTVNLETMDLLRGVVALRHHTPDSDQKKRFASRWRSFSEKEASAGFNSFALYAYDSVWLLARALDVFFSEGGNVTFSSDPRLSNTKGSALQLRSLNIFDQGQKLLDILTRVNFTGLTGQVQFDAEKNLIHPAFDILNIAGNGSRILGYWSNYTGLSVVAPEILYAKPPNTSTSNQHLDSPTWPGKTTALPRGWVFPDNGNPLHIAVPFRVTFQEFVSKDDGPLGVKGYCIDIFEAAIELLPYPVPHVYVLYGDGKRNPYFNNLVNDVAQNKYDAGVGDITITMNRTRIVDFTQPFMESGLVVVVLVKDSKSSPWAFLQPFTVEMWCVTGLFFFFVGAVVWILEHRINHEFRGPPSQQIVTVFWFSLSTMFFSHRENTVGTLGRLVLILWLFVVLIINSSYTASLTSILTVQQLATGIQGIDSLISSSDPIGIQDGSFAYRYLIEEMNVAESRLRILKTQDEYIQALNRGPRGGGVAAIVDELPYIEVFLSSTNCNYRIVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSEKGELQKIHDKWLPKDDCAIQDNQIDDNRLSLKSFWGLFLICGVACFIALLLFSCRVCSQYRRYTPEARQQEIPSTPEPGPSNSCSLQGLIKFVDQKEAEIKEILKKKATSESKPQLTQSSDVQESAP >itb04g03260.t1 pep chromosome:ASM357664v1:4:2009604:2010442:-1 gene:itb04g03260 transcript:itb04g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTALYYTGCEEHQYQPHFLDACFLCQRSLGPNSDIFMYRGNTPFCSQECRQEQIEMDEANEQRQKLSSSSSSSSSSSKRSSKTQRQTATQESTTKETDTKKAVRTGTVAVA >itb04g30800.t1 pep chromosome:ASM357664v1:4:33834469:33837567:1 gene:itb04g30800 transcript:itb04g30800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKMHKSNYTALVHLISLIAIFLYTESRFTFTQGVGAQNTTVRCLEREREALLELKKGLLDEHGVLSSWGSEDHKKECCKWWGVRCSKYTGHVTVLDLHCPVDHGFPVQMPLSGKVSPSLLQLGHLGYLDLSYNDFQGDNIPDFIGSLHSLRVLNLNSAMFSGKVPNQLGNLTNLQVLDLSENDFLPISNLDFLSHLSSLKYIDLTALRFELREFVKTSVTLPISLEELKLSFCRLSDLTPFYPNSSSSNSLSVVDLSLNNLTSPSVFKWLQNFNTTLTSINLSDNPHLGGPIPETFQEMEFLQSLNLSSNNFTGGIPKSLGNLSHLTSLALNFNELKEPLPDLLEKLSKRAGKSLQLLRLDGNRLFGSLPDISTQFPFLRKLKASYNHLNGSLPSSFGSLEELDLESNEISGSFPDIRNLGIVSGLRVLDLSQNNISGTLPDLTPFPSMEELLLCLNQLRGVLPESIGQLSKLQSLDVRANSFEGVMNESHFLKLSNLKLLKLSFNSGLSFNFSPDWNPPFQLDVMHFTSCKLGPKFPKWLQTQSGFSELYISNTGISDTMPNWFWDLCSKVEYLDLSNNKIEGELPNLSTKFGVFPEIDLSHNNFRGPIHSLPPKVKSLYLSNNSFVGSISFVCKVIKFMSIDLSDNQFSGEIPDCWNHLSPLNNLNLANNNFSGKVPPSFGYLYSLKALQLRNNNFTGELPSSLQNCTLLRILDLGRNQLIGRVPSWFGTSLVDLIIVSLRENQFYGDLPLSLCHLNDIRVLDLSQNRIAGRIPHCVSNFTFLSLTKSSYGTTEASNVYFAFQYDSYITNILIQWKYNEQEYSSQLRLLKVIDLSSNLLAGDIPEEFSSLHGLISLNLSRNHLTGKIIREIGQMEMLESLDLSHNQLSGEIPISLAGLSFLQVLDFSNNNLSGKIPSSTQLQSFNASSYAHNSGLCGDPLPQCPRNVPTPKNKEEEDEDDGLIITRGFYISMALGFSLSFWGFLVTLFLKHSWRYAYYRFLNDFTDWVYVIFKVNLVRLQRKLKRREM >itb06g18570.t1 pep chromosome:ASM357664v1:6:22197007:22199001:1 gene:itb06g18570 transcript:itb06g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLERYVLLPFSLGCISESSVVVRRSDCHIKKSSEPQHSPPYHLTTPTRTKEGREKEEEEEEEDEDGDGQSLPRFQRLLKNFKNFSQLLLYKEEDDEIEDEEIPIMDGGDGMEIGFPTDVKHVTHIGLDGAATSLLSPNWRQPTADVDLFSRQNLLITGNDSPHPPPAVAMVAAPGGTPA >itb06g18570.t2 pep chromosome:ASM357664v1:6:22197007:22198816:1 gene:itb06g18570 transcript:itb06g18570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLERYVLLPFSLGCISESSVVVRRSDCHIKKSSEPQHSPPYHLTTPTRTKEGREKEEEEEEEDEDGDGQSLPRFQRLLKNFKNFSQLLLFDQAVYKEEDDEIEDEEIPIMDGGDGMEIGFPTDVKHVTHIGLDGAATSLLSPNWRQPTADVDLFSRQNLLITGNDSPHPPPAVAMVAAPGGTPA >itb12g16470.t1 pep chromosome:ASM357664v1:12:17637501:17645406:-1 gene:itb12g16470 transcript:itb12g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRVRRSGRGQSNQTLLPLRARRLSNTISCWYCDFKFSMLNEPLFLFGRRHSRYLRSWFAMGVGFSLAALLGVTLILLWELGRILHPYNEESQFINLLSGSLFGFSSGLSGLTISVADIGYLCISTIISVSVHELGHALAAASEGIQMEYIAVFLAVLFPGALVAFNHEILQALPKSAALRIYCAGIWHNAGLCAVCAISLFILPLILYPVYIHGERPMVLNVASTSPLSRYLSPNDVIHSVDGIRINTVQEWLQIATSLTEQEYQGNYSAFKSSSGKGYCVPNSLLEKRIHVQLRGNETTCPNDLIAFVATSCPELKFSGDWQMENIYCLYAKDILKLEKCGDGWTKSSDNRSSCLCSQEESCLAPVLLPGVAWVEITYLRPFMPGCYQFQRTHFLDDKNSDFGDKGCFQSFVFIGDVESLLDSVHMTSYQPRWPTKFGAQFPNVLEKLLMFVFHVSLTLALLNSLPVYFLDGESILELLLQYLRFLSPRKRRVALKCCLLGGTFITTLIIFRVFVSF >itb03g28970.t1 pep chromosome:ASM357664v1:3:29681959:29685863:1 gene:itb03g28970 transcript:itb03g28970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDIYDVLAAIIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLGFHFISTNDIYAMNYHFIAADSLQKVVILGALFAWQALSGKGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVMQSVVWYTLMLFMFEYRAAKLLIGEQFPETAASITSFRVESDVVSLNGREPLQTEAEVGEDGKLHVVVRRSSAASSVISAYNKGFQSTNMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAGSPKHGYTSSFGGDVFSLQSSKGPTPRTSNFEDEMLKIGKNKKGGAGGGGRSMSGELYNGGGGSAYPPPNPMFSGHRRKESGATGGVVPVPTNNYNYNNNNNNNINTATSGNSKELHMFVWSSSASPVSEGNLRPHGGGGVNRAASTELGVLDASKAVLQQEIAASRGKLNGGDRDIEVEEGVKRSANASPLAGGAKKIEMEEGEKKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLIWSLISFRWNIQMPSIVKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTA >itb10g12740.t1 pep chromosome:ASM357664v1:10:18824014:18824550:1 gene:itb10g12740 transcript:itb10g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPYPLSTGDNCGNPSYRVYCNKGVLNFLSETGFYYKIQSIDPSASRLIISPPMILTNTCMSSDLSLGGLTIDQNSPFNISSRNTVMLLNCSEGIRVSPLNCSLSSPCRLFEGVGEGRGCGDTLCCSYLKDASMTSHRIRVRAEGCTAYTSVVDLNPGDVNVHAWHYGIELQWIPLT >itb04g17170.t1 pep chromosome:ASM357664v1:4:19751468:19755499:-1 gene:itb04g17170 transcript:itb04g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEKEILMKNTLFEACMKQQWERVSSIYHRSGVIAWEAKITKSGDTVLHILINCYHPGSKNLLQHIKDMVDILEDDDEALRVLKLQNDRGDTPLHLAARLGNTEICTHILSRAQKQGKAYELIRDRNFKKETPLFLAAHRGKMEAFQFLLTVFKKENHNPIDICRQENGDTILHSALSGEYFGATVRSLKRAKQSAEDDEILKNFPANWWTLVELFKFVWECISRLFGIGSSCSRTFADQNDPEEGNASRETNANKNDPTEQESWKTGEAAEHKVRFCQISTRRTQGTLPENYTTTVMLFKLLMKVVLIILGIGFGRIRKIKDKKEMNTWAVQIMNELIEKESSYKYQYTGGRPQEDAARESTGIEPPSTPPTIDESPTSTNCTKSETIMKKNENEFDTNENKETPLLAAARVGIKEIVEKILETFPVAIQDVDANEKNVLLLAIENRQTAVYDFLVEKKKSLPEFVYYQVDNEGNSAVHLAAMYNGQQNWRMPGAALQLQGELKWYKYVKGHMARESYVRFNNKGQVPEEVFKETHADLTKSGTKWLVTTSNSCSVIAALIATVAFATSATIPGGVDDKTGSPILKGQPAFDAFAVSSLIALGFSVTALVFFLAILTSRCQQKDFKNNLPRKLLLGLNCLFTSIAAILISFCAGHFFLLTDHIKVAAFPIYILTCLPVTLFALNQLPLYMDLFRSIFQSVHFRSFKVSYATKHLKDKPLLDISFTPKNQAFRVVLHDLQKFISQAESRFVKS >itb07g05450.t1 pep chromosome:ASM357664v1:7:3745725:3748110:1 gene:itb07g05450 transcript:itb07g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTSQGQQQPEGRHDDDTAIADFLTSLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFISDVATDALQQCKARTAGIVKDKRDKQQKDKRLILTMDDLSKALREYGVNVKHQEYFADSPSAGVDPASREE >itb04g29920.t2 pep chromosome:ASM357664v1:4:33169186:33172671:-1 gene:itb04g29920 transcript:itb04g29920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTASFCIVGVSNGNLPENPFVRHPSDMADSPTQFAIELNNTPCPSPRPPIPIEIISDEEMALIEAALAATRPSLSSSSLTQFQRSARSIHSITLTSKRRLSGCTETGLSSVGDIEDGRNRTIISPRKKNRFTQSLLHQFRRKRGLAVTDITATEWCEKQMEYFLLLGKPEVSKAMKAGSMRHAALEEEVVKRVKVSAQSKEDVWAIKIINFMVGANQLLFDGLTRELPIIGFAEGVWVVGVIDEIRMPTEENDRFPILVDTKTRVRATLPSEPQRRNGRLQLMCYKLLWDNVVADKFPSGRFFDFFSLNPNCILSAEIRENTNNSGFPAEVN >itb04g29920.t1 pep chromosome:ASM357664v1:4:33169166:33172671:-1 gene:itb04g29920 transcript:itb04g29920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTASFCIVGVSNGNLPENPFVRHPSDMADSPTQFAIELNNTPCPSPRPPIPIEIISDEEMALIEAALAATRPSLSSSSLTQFQRSARSIHSITLTSKRRLSGCTETGLSSVGDIEDGRNRTIISPRKKNRFTQSLLHQFRRKRGLAVTDITATEWCEKQMEYFLLLGKPEVSKAMKAGSMRHAALEEEVVKRVKVSAQSKEDVWAIKIINFMVGANQLLFDGLTRELPIIGFAEGVWVVGVIDEIRMPTEENDRFPILVDTKTRVRATLPSEPQRRNGRLQLMCYKLLWDNVVADKFPSGRFFDFFSLNPNCILSAEIRENTNNSGFPAETLGELMGYFRNACSMLPRAHDKLLLRYELQEDQSFLGEDEFAHDSDWVKSQIQGCLEFWRGDREAICTPMEERWKCKSCKFASVCPAENTGLPSLQEKTEHK >itb05g19080.t1 pep chromosome:ASM357664v1:5:25680303:25684831:-1 gene:itb05g19080 transcript:itb05g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYKPYVDPAVESIIERIHPPRVCIDNDTCRDCTLVKVDSANKHGILLEMVQVLTDLDLLISKSYICSDGGWLMDVFHVKDRLGCKITDEKLIRYIQQSISENKMGSREDREGPGPCIGRDVRPWHVLTNHTALEMTTTDRPGLMSEISAVLAETGCHISAAVVWTHNARAACILYVDDPSQLPRLLSQLENVLDAHHCSGGSRSVMRLSEAGPGQTHTERRLHQLLAGDSDYEQCCSAPERGNGDDDGRCYRKRSCTSETYIKIENCEERGYSIVTIRSGDRPKLLFDTICALTDMHYVVFHASISSHDSKSFQEYYIRHKDGWTLNSEIERHRLTQCLIAATERRISHGLRLDVCTQNRLGLLSDITRVFRENGLSITMAEIGTRGDRAEGTFYLKDVSGQTVKPETLESLRKEIIGGTVLVVHKSPGRLSQTTSSSGEKPGFSLGGLLWAQVEKFSNNYFRPIKY >itb13g22860.t1 pep chromosome:ASM357664v1:13:29057902:29060761:1 gene:itb13g22860 transcript:itb13g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFTEEQDYSDTEDTEISSSNLDVQAQPESPPGAPPDGNPNIPAIVNAWGFGSGLLKTLASKSESVIQNYRRDLEEFSSGLQKETAIIREAASRAVQDLPAHIESGAAAAQESLETVGQAIDDIGSSVAEIIVQGKDSILAPEDSGNEFSENDGRNDRSDDVLNSNAKPYSRIEALIRAIQCDAKTYCEEPEDSEDYNEWKLGFVFEEKLGEIGDLVEENNVIGEIYSEIVPSKVDRETFWSRYFYKIHRVKKAEEARVRLVKKVISGEEDEDLTWDVEDDNDNDNGSCDVVRKEIAETENGLNSLHIEEEKQKNSETANDANVSASEAKTHDEKGDSESRNEAGAESSGEKLRFKPNDKGASEAKNDNSDFSVVSSQQSSREEEDLGWDEIEDIDSYSESKATGQANTIRADLHKRISVSNEEEDLSWDIDDDEDDVPSKP >itb13g22860.t2 pep chromosome:ASM357664v1:13:29057849:29059752:1 gene:itb13g22860 transcript:itb13g22860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFTEEQDYSDTEDTEISSSNLDVQAQPESPPGAPPDGNPNIPAIVNAWGFGSGLLKTLASKSESVIQNYRRDLEEFSSGLQKETAIIREAASRAVQDLPAHIESGAAAAQESLETVGQAIDDIGSSVAEIIVQGKDSILAPEDSGNEFSENDGRNDRSDDVLNSNAKPYSRIEALIRAIQCDAKTYCEEPEDSEDYNEWKLGFVFEEKLGEIGDLVEENNVIGEIYSEIVPSKVDRETFWSRYFYKIHRVKKAEEARVRLVKKVISGEEDEDLTWDVEDDNDNDNGSCDVVRKEIAETENGLNSLHIEEEKQKNSETANDANVSASEAKTHDEKGDSESRNEAGAESSGEKLRFKPNDKGASEAKNDNSDFSVVSSQQSSREEEDLGWDEIEDIDSYSESKATGQANTIRADLHKRISVSNEEEDLSWDIDDDEDDVPSKP >itb03g02520.t1 pep chromosome:ASM357664v1:3:1427313:1430559:-1 gene:itb03g02520 transcript:itb03g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVSAIGFEGYEKRLEISFFEPEIFANPKGQGLQSLSKEQLDKILKPAECTIVSSLANDEVDSYVLSESSLFVYPHKIIIKTCGTTKLLLSIPPILELADGLDLKVKSVRYTRGTFIFPGAQSFPHRDFSEEVSVLDGYFGELGSGSKAYVMGGVDPQQQWHVYSASAESADDDHPIYTLEMCMTGLDSKSASVFYKTDSSSAAFMTQVSGIRDILPESEICDFDFDPCGYSMNAIEGAAISTIHVTPEDGFSYASFESVGYDFRTLSLNALVERVLACFKPADFSVALHSDSTGAELGSVFNLDVKGYVSDDMSCKALGNGGSIMYCSFTYSSAVSCGSPRVDIQQMQARAEGAAP >itb03g11820.t1 pep chromosome:ASM357664v1:3:10389810:10395430:-1 gene:itb03g11820 transcript:itb03g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQGQEREVQKSYWIEHSTELTVEAMMLDSKATDLDKEERPEVLSMLPAYEGKSVLELGAGIGRFTGELAQKAGQVIALDFIESAIKKNEAINGHLGNIKFMCADVASEDLNFSDESMDLIFSNWLLMYLSDQEVESLAERMVKWLKVGGYIFFRESCFHQSGDHKRKNNPTHYRVPKFYTKVFKECHLNVGKGKSFELSLIACKCIGAYVRNKKNQNQICWVWKKVSSEGDRGFQRFLDTVQYKCSGILRYERVFGPGFVSTGGLETTKEFVAKLDLQPGQKVLDVGCGIGGGDFYMAENYDVHVIGIDLSTNMISIALERAIGLKCSVEFEVADCTKKTYPDATFDIIYSRDTILHIQDKPALFKSFYRWLKPGGKVLISDYCKRSGPPSEEFAGYIKQRGYDLHDVEEYGQMLRDAGFEDVIAEDRTDQFMKVLQKELDAVENKKEAFIQDFSEEDYNDIVGGWKLKLAWSSSGEQS >itb03g11820.t2 pep chromosome:ASM357664v1:3:10390280:10395483:-1 gene:itb03g11820 transcript:itb03g11820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQGQEREVQKSYWIEHSTELTVEAMMLDSKATDLDKEERPEVLSMLPAYEGKSVLELGAGIGRFTGELAQKAGQVIALDFIESAIKKNEAINGHLGNIKFMCADVASEDLNFSDESMDLIFSNWLLMYLSDQEVESLAERMVKWLKVGGYIFFRESCFHQSGDHKRKNNPTHYRVPKFYTKVFKECHLNVGKGKSFELSLIACKCIGAYVRNKKNQNQICWVWKKVSSEGDRGFQRFLDTVQYKCSGILRYERVFGPGFVSTGGLETTKEFVAKLDLQPGQKVLDVGCGIGGGDFYMAENYDVHVIGIDLSTNMISIALERAIGLKCSVEFEVADCTKKTYPDATFDIIYSRDTILHIQDKPALFKSFYRWLKPGGKVLISDYCKRSGPPSEEFAGYIKQRGYDLHDVEEYGQMLRDAGFEDVIAEDRTDQFMKVLQKELDAVENKKEAFIQDFSEEDYNDIVGGWKLKLAWSSSGEQRWGLFIAKK >itb11g01580.t2 pep chromosome:ASM357664v1:11:773396:776199:1 gene:itb11g01580 transcript:itb11g01580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGGGGSSKSEIVGGALDRKRINDALDKHLEKLSPSTSKSSKEKAVSSIAAGAGGKLHQHHQQQIDHRGDNRSSSAFAAKNKCSEESETDSEDSDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLEKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGSILQLRCLLVYSFYIFPLLLLFFSNFACQLDIHTFHVTGEKNIWTKIFVILHSISIFNLYGVTQLDFLH >itb11g01580.t1 pep chromosome:ASM357664v1:11:773396:776199:1 gene:itb11g01580 transcript:itb11g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGGGGSSKSEIVGGALDRKRINDALDKHLEKLSPSTSKSSKEKAVSSIAAGAGGKLHQHHQQQIDHRGDNRSSSAFAAKNKCSEESETDSEDSDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLEKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQSYVPRVFGFKLHKS >itb04g29750.t3 pep chromosome:ASM357664v1:4:33047908:33050496:1 gene:itb04g29750 transcript:itb04g29750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLNQIIRSNQNFSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPNIENEFMTEYVVTRWYRAPELLLNSSDYTGAIDVWSVGCIYMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGCVRNDDAKRYIRQLPQHPRQELAKVFPHVHPLAIDLVDKMLTFDPSKRITVEEALAHPYLAKLHDEADEPVCPVPFSFDFELQALGEEQIKDMIYQEALAMNPEYANPGNL >itb04g29750.t4 pep chromosome:ASM357664v1:4:33047132:33050496:1 gene:itb04g29750 transcript:itb04g29750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNMGAGGEFPEFPAVPTHGGRYIQYNIFGNLFEITSKYRSPIMPIGRGAYGIVCSVLNAETEEMVAIKKITSAFDNYMDAKRTLREIKLLRHLDHENVTVLRDVIPPPVRREFSDVYIATELMDTDLNQIIRSNQNFSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPNIENEFMTEYVVTRWYRAPELLLNSSDYTGAIDVWSVGCIYMELMNRKPLFPGKDHVHQMRLLTEVRLDSKDRNSIVTSHSFMFI >itb04g29750.t1 pep chromosome:ASM357664v1:4:33047132:33050496:1 gene:itb04g29750 transcript:itb04g29750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNMGAGGEFPEFPAVPTHGGRYIQYNIFGNLFEITSKYRSPIMPIGRGAYGIVCSVLNAETEEMVAIKKITSAFDNYMDAKRTLREIKLLRHLDHENVTVLRDVIPPPVRREFSDVYIATELMDTDLNQIIRSNQNFSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPNIENEFMTEYVVTRWYRAPELLLNSSDYTGAIDVWSVGCIYMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGCVRNDDAKRYIRQLPQHPRQELAKVFPHVHPLAIDLVDKMLTFDPSKRITVEEALAHPYLAKLHDEADEPVCPVPFSFDFELQALGEEQIKDMIYQEALAMNPEYANPGNL >itb04g29750.t5 pep chromosome:ASM357664v1:4:33048260:33050259:1 gene:itb04g29750 transcript:itb04g29750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYVVTRWYRAPELLLNSSDYTGAIDVWSVGCIYMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGCVRNDDAKRYIRQLPQHPRQELAKVFPHVHPLAIDLVDKMLTFDPSKRITVEEALAHPYLAKLHDEADEPVCPVPFSFDFELQALGEEQIKDMIYQEALAMNPEYANPGNL >itb04g29750.t2 pep chromosome:ASM357664v1:4:33047132:33050496:1 gene:itb04g29750 transcript:itb04g29750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNMGAGGEFPEFPAVPTHGGRYIQYNIFGNLFEITSKYRSPIMPIGRGAYGIVCSVLNAETEEMVAIKKITSAFDNYMDAKRTLREIKLLRHLDHENVTVLRDVIPPPVRREFSDVYIATELMDTDLNQIIRSNQNFSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPNIENEFMTEYVVTRWYRAPELLLNSSDYTGAIDVWSVGCIYMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGCVRNDDAKRYIRQLPQHPRQELAKVFPHVHPLAIDLVDKMLTFDPSKRITGIFNLGLSGLMFMSET >itb13g01880.t1 pep chromosome:ASM357664v1:13:1735018:1735388:-1 gene:itb13g01880 transcript:itb13g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSFAAALLFVIMFMATEVGRNTIMVVEARTCDSPSSKFKGQCSQDTNCATVCMSEGFSGGYYKGFRRRCICTKPC >itb08g04770.t1 pep chromosome:ASM357664v1:8:3913230:3917682:1 gene:itb08g04770 transcript:itb08g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRGLPLHRLHHHRQPQHHLLTSGLLNRRLWCNDASESIKSDADAASVSLSQSTAVLSAKGPPPYLRRFSADYRKWKAKESEILRDIEPVILLTKEILHSDRYMDGELLTAEDVKIVVEKLLAYHPRFEDKIGCGLDSIMVDRHPQFKNSRCLFVVRKDGIWIDFSYQKCLRQYIRDKYPLHAERFIKEHFKLGS >itb03g01380.t1 pep chromosome:ASM357664v1:3:771979:775636:1 gene:itb03g01380 transcript:itb03g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKKSFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLREIAKLIETAQGGLFLEELNRKWRDHNKALQMIRDILMYMDRTFIPSTHKTPVHELGLNLWRENVIHSSKIQARLQDTLLELVQQERNGEVINRGLMRNVIKMLMDLGSFVYQEDFEKPFLEVSADFYRVESQQFIESCDCGDYLRKAEKRLNEEIERVSHYLDVRSEANITKVVEKEMIESHMYRLVHMENSGLVNMILDDKYDDLGRMYNLFRRVPNGPSLIKDVMTSHIREVGKQLVTDPEKLKDPVDFVQRLLDEKDKHDNIINKAFNNDKTFQNALNSSFEYFINLNPRTPEFISLFVDDKLRKGLKGVSEEDVEIVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFNDMRTSHDTMQGFYASMGSEVADGPTLTVQILTTGSWPTQSVSPCNLPLEILGVCEKFKTYYLGTHTGRRLTWQTNMGTADLKATFGKGQKHEFNVSTYQMCILMLFNNADGLSYKDIVQATEIPTADLKRCLQSLACVKGKNILRKDPMSKDITEDDAFFFNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVSEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >itb01g23660.t1 pep chromosome:ASM357664v1:1:29575290:29580890:-1 gene:itb01g23660 transcript:itb01g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAATTASSHPKITNGIGIGIGISTRVRLGCSCLTRRPASTSSMATPSTLSPIPNGLLVLKSASTTRRPIRGDSLGGFFTRRRASHLSLRISAAVATEEHAQTSSSERSVENLVIIGSGPAGYTAAIYAARANLKPVVFEGFQMGGVPGGQLMTTTEVENFPGFPDGITGPDLMDKMRRQAERWGAELYQEDVEFIDVKNAPFTVRSSERKVRCHSLIVATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVFNNPNITVHFNTETVDVVSNQKGQMSGILVKRLDTQEESVLEAKGLFYGIGHSPNSQLLEGQIELDSLGYILVEHGTAKTSAEGVFAAGDVQDHEWRQAITAAGSGCIAALSVERYLTSKDLLVEFHQKPTEEAKKELTDRDVQEGFDITLTKHKGQYALRKLYHESPRIVCVLYTAPTCGPCRTLKPILSKVIDEFDESVHLVEIDITEDPEIAEAAGIMGTPCVQFFKNKEMLKTIPGVKMKREYREFIEANK >itb02g07120.t1 pep chromosome:ASM357664v1:2:4436033:4436590:-1 gene:itb02g07120 transcript:itb02g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGNEFQDMLPMMADKLGGDGLIKELCKGFSLLMDRDKGVITFESLKKNSAIIGLPDLKDDELRSMVKEGDLDGDGALDQMEFCVLMFRLSPQLMQESEAWLQTALH >itb12g20220.t1 pep chromosome:ASM357664v1:12:22686372:22687912:-1 gene:itb12g20220 transcript:itb12g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIEGNKLTAAAILGLDGSPWAQSANFPALKPEEITAILKDFDEPGSLAPTGMHIAGAKYMVIQGEPGVVVRGKKGPGGICIKKTTQCLLFGLYDEPMTPGQCNLVVERLGDYLVDQGF >itb07g08220.t1 pep chromosome:ASM357664v1:7:6416119:6420707:-1 gene:itb07g08220 transcript:itb07g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKNIVFGMMMMVVLVTTAQAVYPWPCCTPPTVWCCEENLKNGDGIPIRGNRPFSTKPAAVQNETVSTFTTGPSTFAASPNHHSSSFCTQSRPNALIAKAVYPWPCCNPPTVWCCEENLKNGDAGIPIRGNRPFSHAAAQNETGSTVIDDKQP >itb09g20960.t1 pep chromosome:ASM357664v1:9:18161815:18162737:1 gene:itb09g20960 transcript:itb09g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKILSGVGWEDSLKMITCDSATYMELISATPKYETILNRKFEYYDEMSIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKISVSTATSSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb04g32020.t1 pep chromosome:ASM357664v1:4:34692844:34697412:1 gene:itb04g32020 transcript:itb04g32020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEKTGLGRALVKHHNQMIQQSKEKGRFYRNQHKKVLESVTEVNDIDAVIDQADEAQRLFSDRHPPVNLLIKLDSGSSNSEVTPEQRRELQKREEELHSSSLHVPRRPPWNSRMSVEELDTNERQAFLIWRRSLARLEENENLVLTPFEKNLDIWRQLWRVVERCDLLVMVVDARDPLFYRCPDLEAYAQEVDEHKKTMLLVNKADLLPLSIRKKWAEYFRLHGILFVFWSAKAATAALEGKKISALKIQDDLQESDDAETRIYGREELLARLLSEAENIVLTRNGLRSSLPVPLDGHLADENLAENAQPKSVMVGFVGYPNVGKSSTINALVGEKRAGVTSTPGKTKHFQTLIISDRLTLCDCPGLVFPSFTSSRYEMIASGVLPIDRMTEHREAIQVVANRVPRKVIEDVYKISLPKPKPYEPQSRPPLASELLRAYCASRGYVAASGLPDETRAARQILKDYVDGKLPHFEMPPGIPNEEEDGQEDAAATDDDVDDAGPSLSDDDSSDVEDPSVSELEDAPSLDHILNDLNSFDITNGLAASSKATVKKKSTKAPHKMHKKPQKKKDRTWRVQNDGGDGMPAVRVFQKPVNTGPLNI >itb04g32020.t2 pep chromosome:ASM357664v1:4:34693736:34697396:1 gene:itb04g32020 transcript:itb04g32020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSWHCNDRDSGSSNSEVTPEQRRELQKREEELHSSSLHVPRRPPWNSRMSVEELDTNERQAFLIWRRSLARLEENENLVLTPFEKNLDIWRQLWRVVERCDLLVMVVDARDPLFYRCPDLEAYAQEVDEHKKTMLLVNKADLLPLSIRKKWAEYFRLHGILFVFWSAKAATAALEGKKISALKIQDDLQESDDAETRIYGREELLARLLSEAENIVLTRNGLRSSLPVPLDGHLADENLAENAQPKSVMVGFVGYPNVGKSSTINALVGEKRAGVTSTPGKTKHFQTLIISDRLTLCDCPGLVFPSFTSSRYEMIASGVLPIDRMTEHREAIQVVANRVPRKVIEDVYKISLPKPKPYEPQSRPPLASELLRAYCASRGYVAASGLPDETRAARQILKDYVDGKLPHFEMPPGIPNEEEDGQEDAAATDDDVDDAGPSLSDDDSSDVEDPSVSELEDAPSLDHILNDLNSFDITNGLAASSKATVKKKSTKAPHKMHKKPQKKKDRTWRVQNDGGDGMPAVRVFQKPVNTGPLNI >itb10g03000.t1 pep chromosome:ASM357664v1:10:2657581:2660550:-1 gene:itb10g03000 transcript:itb10g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISTVVEQLINILKHQAQELKRALGVEKEIANLSSKLENIREVLDDAEKRSFKDKGIKLWIENIQDFCYQVDDVLDEWRTRSLRQQIESPEASRSSFLPSRSKFKRFVMHRDIAKKIKELDSTLDRITKEKGQFTFDSASITHTSAASHSDQELMRVTTAFDVDASQIQDLGRCYQFSRLPDEIGNLSQLRYINLSESKVETLPDTICSLENLQTLVLKSCEHFSRLPEGIGNLINLRHLNIRDTNRLEMMPQGIAKLTQLCSLSGFKVRKESSKLGYMEKLNQLKGELSIIFLCDLNNAADVEEAEKAELRNKKHIEDLRLDFSGGVDVGIDVMEALKPPPELQTLELNGYGGIHLPSWILLSLDNLRILRIDECLNWSSLPPLGKLPSLETLRIWWMKELRYVGREFLGVTEVGGVAFPKLKKLKFSYCEEWEEWEDLKEEATIIIMPCIRELLLKGCWKLKTVPHHLLSRLEYLKIEGCPHLKVE >itb04g04330.t1 pep chromosome:ASM357664v1:4:2685081:2685563:-1 gene:itb04g04330 transcript:itb04g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSHPHELHLIEVSTQNHQTNCSACEVYLFGQCYACKRCNFFLHKSCSDLPQSTRHRSHPHHALTLFAPPHAGAGAQCDTCGDSCDGGLAYSCRLCNFHLHSRCARLHDAEARDDRELYFLNFLRRILAEQKKLVGLLRPMMAAGRGGGASDLEDENV >itb13g02530.t1 pep chromosome:ASM357664v1:13:2417464:2420835:1 gene:itb13g02530 transcript:itb13g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPLSKSQPFLENTQFTGLKRPQAPIFSVPIGRNQQSSRLSLRKSKMVVASSTTAAERPKKRYPGEAKGFVEEMRFVAMKLHTRDQAKEGEKEPEGQPMAKWEPSVEGYLKFLVDSKFVYDTLEKIVEKAPFPEYAEFRNTGLERSERLAKDLEWFRQQGHAIPEPSEPGLNYARILEELSQNDPQAFLCHFYNIYFAHSAGGRMIGRKVAEKILNKKELEFYKWDGDLSQLLQNVREKLNKVADSWTREQKDHCLEETEKSFKLSGDILRLILSS >itb07g07880.t1 pep chromosome:ASM357664v1:7:6132073:6133038:1 gene:itb07g07880 transcript:itb07g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD42 [Source:Projected from Arabidopsis thaliana (AT1G68510) UniProtKB/TrEMBL;Acc:A0A178W6D5] MSCNGCRVLRKGCSDNCTLRPCLHWINSPQSQANATIFLAKFYGRAGLINLINQGPDLLRPAIFKSLLYEACGRIINPIHGSAGLVGEGRWELCTEAVEAVLKGMPITPLSTGHGGAPARPIFPLKGSDIRHFSKDSRPVRRVRTRNRFKRSASVYGGALLPDEFTAEPAKFSITGWDCDKPENNGSDRAPSHDSLSVETVEPSLVNRECPVWIPETEIWTGDEDGEPILELTLGVNPARRAPAEKLCISNL >itb14g16420.t1 pep chromosome:ASM357664v1:14:19761131:19763121:1 gene:itb14g16420 transcript:itb14g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQSRWSPTPEQIMILEEMYQKGLRSPNTSQIQMLTAHLSLYGKIEGKNVYYWFQNHKARDRKKLKKRLNKQVHHHHQSFSGTTVDELSPLHLHRPFAQPHHHSHSSPQFQSYPSSSVGYLLQATVVGEEEEEDAFQLLPTPVNFHPRKMDLTLPQHSDQTPATTTVVETSSSSSCITRTTMDGRDCIFTMATGPTLPCCTTHHTHTPLKTLQLFPTSSTKDKEGNL >itb10g05960.t1 pep chromosome:ASM357664v1:10:6494042:6498386:1 gene:itb10g05960 transcript:itb10g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKTDAFQRKGQAWFCTSGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIAEAPEGENGCIIKLPDVPGGDKTFELVAKFCYGVKLELTAANVVYLRCAAEHLEMSEEYGEGNLISATEMFLNQVVLRSWKDSLKALQSCDDVSTYAEQLQITKRCIDSLAAKACTDPNLFGWPIMEHGGPMQSPGGSVLWNGISTGARPKNSSSDWWYEDASTLSLPIYKKLVSAMESRGIKEEIIAGSLTYYAKKYLPGVNRRQSTNESSSRLGSMSLGSSLSEDEQKVLLEEIDSLLPMQKGLVPTKFLFGLLRTAMILRASPSRISNLEKRIGMQLDQATLEDLLMPNFSHSMETLYNVDCVQRILDHFLATEQVAGGDSPCSIDDAQLMGSPSLTPITTVAKLIDGYLAEVAPDVNLKLPKFQSLAAAVPEYARALDDGLYRAIDIYLKSHPWLAESEREQLCRLMDCQKLSLEACTHAAQNERLPLRIVVQVLFFEQLQLRTSIAGCFLVSENLEGSRQLRSGMVGAAAAANEGGWATAVRENQVLKVGMDNMRLRVSELEKECSNMRQEIEKLGQGKGSSVWGNVSKKFGFKLKSQMCSAQEGSVSNQNSTNNNVKAIKAGNAKEKRGKHHKNVSIDE >itb12g04250.t1 pep chromosome:ASM357664v1:12:2767733:2769176:-1 gene:itb12g04250 transcript:itb12g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMVSISNLPADMLLEILKRLPAKTLMKSKCVSKYWLNIIRDSSFAESHFNHARTHRSEDASHLLFATVLHYWAHPIRTIYPATNDDLSLSSQLPSHTFGQYFQPCSNVVNGLVCVCLVEFSNQSQHCIWVLNLTTIEKKPLPEPPSLKAFKTKYPHVNLYGTSRFYLGFDQISNKYKLLHNLLGACEVLTLGINQTWRQVQGNPGVEDDLGLGKLRGEEGFIFQGYGHAGMASINGRIYFRNLTKRVIVFFDLKEEKFRQVAIPQSIEGISYKTDIVDLGGKVGVVVGIYEPVTQLRIWILEDDHDHNNINNWVEKRLTLPDVYYVETMGVTGNHKLILYCKNTPTTLSIPPSYFFYYDLKTLERITTTAITMEPYIDPIFYNFVENIVPLDRI >itb13g21610.t1 pep chromosome:ASM357664v1:13:28190333:28192599:-1 gene:itb13g21610 transcript:itb13g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASTMLLVFLLCLSRVGAEDPYLYYTWKVTYGTISPMGVPQQGILINGEFPGPRINGTSNNNVLVNVFNEIDEPLLFTWGGIQQRKNSWVDGTPGTMCPIMPGTNFTYKFQVKDQIGSYFYFPTLGLQRAVGGYGPINVHSRELIPVPFDRPADEFNVFLSDWYNKGHKTLKKILDSGGSITRPDGLVINGKHGKVGDKMEPLFKMEAGKTYRYRVCNLGLRTSVNFRFQGHNMVVVEVEGSHTVQNDYDSLDLHVGQCLSVLVTADQEPKDYYLVASSRFFKQEYLTVAIIRYTNGNGIAPSPELPPPPPVSTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQINITRTLKLVSSKSTEGGKLRYAINGISHIDSDTPLKLAEYYQIPEKVFKYNIMGDNPPDNLEKVTVAPNVVNATFRNFIEIIFENHEKTIQTYHLDGHSFFAVGIEAGKWSPEKRKNYNLVDAISRHTVQVYPHCWAAVMTTLDNAGMWNLRSEMWGRAYLGQQFYFSVLSPERSLRDEYNLPDNQALCGLVKTMKKPPPYTI >itb05g18970.t1 pep chromosome:ASM357664v1:5:25610348:25613196:1 gene:itb05g18970 transcript:itb05g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLQRLARRSHQAYGFSVTSLSRAFSASSSDALVEIQRGEVGMVSGIPQEHLRRKVLIYSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYANVGDAALTFDSEEAAKSFAERHGWEYTVKKPHTPLLKIKSYADNFKWKGPPKTEG >itb08g00930.t1 pep chromosome:ASM357664v1:8:660391:663280:-1 gene:itb08g00930 transcript:itb08g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLHPNPMRPITSKNVAVIGAGAAGLVAARELRREGHGVVVFERESQLGGTWVYTSAVESDPLGLEPCREVVNSSLYASLRTNLPREVMGFLDYPFVDTKKARRDPRRFPGHREVLEYLRDFAGEFRVTEMVRFSTEVRYVGLVGDGKWKVRSIKRGGGSGGGGGAAADVDEVYDAVVVCNGHYTEPRIAEIPGMDVWPREQIHSHNYRVPDGFKDKVVVVIGASASAEDISREIAKVAKEVHIASRSFQTGSSAKLLGYENVWRHPMVEALSADGGVNFPDGSVIYADAILHCTGYKYHFPFLETNGVVSVDDNRVGPLYKHIFPPALAPGLSFVGLPWKVVPFILCELQSKWIARVLSGRVCLPPEEEMMADVETLYSDMEKHGTLKRYTHRVGEHQFEYDDWLAAQCDFPPIEEWRKEMYFGTGLRKRGQPETYRDLWDDEHLISQANEDFMRYIPKVPITKL >itb13g01840.t1 pep chromosome:ASM357664v1:13:1669719:1671665:-1 gene:itb13g01840 transcript:itb13g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAKGQKDSPLPTTGASPAAAGKKRKYGLVSFQELPEYMKDNEFILNYYRAEWPLKQALFSIFRWHNETLNVWTHLIGFILIVVLVVANSAHIHQLADFMTFLRNLPASADANTSLNSKDAFQVPAQESLMDMKSAEGIVVAATWPMYVFLAGSMFCFLSSSVCHLFCCHSQPLTLLLTQMDYVGITVMISTSYFPPMYYIFQCSPPWHIVYLTGISIIGVVIILTLLTPAFSSGKYRWFRACLFLAMGLFGLIPAVHAMVVYWNDPYRNVTLAYEGAMALFYIVGTMFYVSRIPERWKPGAFDLAGQSHQIFHVFVILGALAHYGAAHIFLEYRSRLGCPRVR >itb13g01840.t2 pep chromosome:ASM357664v1:13:1669719:1671623:-1 gene:itb13g01840 transcript:itb13g01840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAKGQKDSPLPTTGASPAAAGKKRKYGLVSFQELPEYMKDNEFILNYYRAEWPLKQALFSIFRWHNETLNVWTHLIGFILIVVLVVANSAHIHQLADFMTFLRNLPASADANTSLNSKDAFQVPAQESLMDMKSAEGIVVAATWPIGKYRWFRACLFLAMGLFGLIPAVHAMVVYWNDPYRNVTLAYEGAMALFYIVGTMFYVSRIPERWKPGAFDLAGQSHQIFHVFVILGALAHYGAAHIFLEYRSRLGCPRVR >itb01g27830.t1 pep chromosome:ASM357664v1:1:32460807:32463138:1 gene:itb01g27830 transcript:itb01g27830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQDVIAVPFPYQGHLNPMFHFCSCLSARGLKVTLVLTHGVAKSMQSTLSQASIHTVEFISDGIEGVGDPPRTFQEYHARLRAAFSDGVGAVIEKQKAKVLVHDALMPWLLEVGRADGLGVATFFTQPASVCAVYYHMLHGHIQSHTHDSKLRLPSLPELEFPDLPSFSYFAGIVEEVTEFNISQAANMPKADCFLINTFHSLEEKVVAWMVNKWAVKCVGPLVPIFHKTLHDEKQDRIDLFELDGESCIQWLDNREPKSVVYVSFGSVVVLTEEQMEHIAWGLAQSNKFFIWIVRESEEIKLPKDFKLKTSKMGLIMKWCPQIEVLSHKAIACFVTHCGWNSILEALCLGVPMVGMPHTTDQPTNAKLIEDVWKVGVRVKVNEEGLSTRQEIEGCIKQVTGERAEEFTRNVIKWKELAKEATSEGGSSYENIKDFVAFAKSYSE >itb12g11000.t1 pep chromosome:ASM357664v1:12:9137415:9140826:-1 gene:itb12g11000 transcript:itb12g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKMQASVQCRPQERTLGMVMKEVDEDLAIFLQMRRSVKEREDHIHSGIFEEFNDSLFENSPADSTAKENFLSSENDTSDYDWLVSPPGAPSYPSLDEEENTVGKHSDSTESDSMPADSPKDLASQNSSSVGASTSSGKSTSSSSMNSSSSGNGRPASAGGRKSTASRSATPTGRPRVSSISKMSRPSTPTSRASLPSAKTTAAAAPGRTSSRSSTPAAPGRTSSRSSTPAARPSVPATSKSASRSATPTRSASSARSSSVAKTAPAKAKKPESARGPSPTVKSRPSSSQDAPKNSRASVMKRPVSASRGRPIAPHVRPSTTHTGSDGKPRHRSCSPSKGQIPIISIHSHWSGSLSRSRGYNNDEDDVNPVLMGTKMVERVVNMRKLAPPKPDDHQSSSKDSSKKSSISQKSSSFGTSFSKKSLDMAIRHMEIRRSSGDNANRAMKKGCPASSGNGVQANCSSKGKTSSNASPEPSINNGCHHGYESEVEGSNCGSESGLPSHHLQPSEK >itb12g11000.t5 pep chromosome:ASM357664v1:12:9137415:9139461:-1 gene:itb12g11000 transcript:itb12g11000.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVNYGMLQPADSPKDLASQNSSSVGASTSSGKSTSSSSMNSSSSGNGRPASAGGRKSTASRSATPTGRPRVSSISKMSRPSTPTSRASLPSAKTTAAAAPGRTSSRSSTPAAPGRTSSRSSTPAARPSVPATSKSASRSATPTRSASSARSSSVAKTAPAKAKKPESARGPSPTVKSRPSSSQDAPKNSRASVMKRPVSASRGRPIAPHVRPSTTHTGSDGKPRHRSCSPSKGQIPIISIHSHWSGSLSRSRGYNNDEDDVNPVLMGTKMVERVVNMRKLAPPKPDDHQSSSKDSSKKSSISQKSSSFGTSFSKKSLDMAIRHMEIRRSSGDNANRAMKKGCPASSGNGVQANCSSKGKTSSNASPEPSINNGCHHGYESEVEGSNCGSESGLPSHHLQPSEK >itb12g11000.t2 pep chromosome:ASM357664v1:12:9137415:9140826:-1 gene:itb12g11000 transcript:itb12g11000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQCRPQERTLGMVMKEVDEDLAIFLQMRRSVKEREDHIHSGIFEEFNDSLFENSPADSTAKENFLSSENDTSDYDWLVSPPGAPSYPSLDEEENTVGKHSDSTESDSMPADSPKDLASQNSSSVGASTSSGKSTSSSSMNSSSSGNGRPASAGGRKSTASRSATPTGRPRVSSISKMSRPSTPTSRASLPSAKTTAAAAPGRTSSRSSTPAAPGRTSSRSSTPAARPSVPATSKSASRSATPTRSASSARSSSVAKTAPAKAKKPESARGPSPTVKSRPSSSQDAPKNSRASVMKRPVSASRGRPIAPHVRPSTTHTGSDGKPRHRSCSPSKGQIPIISIHSHWSGSLSRSRGYNNDEDDVNPVLMGTKMVERVVNMRKLAPPKPDDHQSSSKDSSKKSSISQKSSSFGTSFSKKSLDMAIRHMEIRRSSGDNANRAMKKGCPASSGNGVQANCSSKGKTSSNASPEPSINNGCHHGYESEVEGSNCGSESGLPSHHLQPSEK >itb12g11000.t4 pep chromosome:ASM357664v1:12:9137494:9139792:-1 gene:itb12g11000 transcript:itb12g11000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSPKDLASQNSSSVGASTSSGKSTSSSSMNSSSSGNGRPASAGGRKSTASRSATPTGRPRVSSISKMSRPSTPTSRASLPSAKTTAAAAPGRTSSRSSTPAAPGRTSSRSSTPAARPSVPATSKSASRSATPTRSASSARSSSVAKTAPAKAKKPESARGPSPTVKSRPSSSQDAPKNSRASVMKRPVSASRGRPIAPHVRPSTTHTGSDGKPRHRSCSPSKGQIPIISIHSHWSGSLSRSRGYNNDEDDVNPVLMGTKMVERVVNMRKLAPPKPDDHQSSSKDSSKKSSISQKSSSFGTSFSKKSLDMAIRHMEIRRSSGDNANRAMKKGCPASSGNGVQANCSSKGKTSSNASPEPSINNGCHHGYESEVEGSNCGSESGLPSHHLQPSEK >itb12g11000.t3 pep chromosome:ASM357664v1:12:9137494:9140381:-1 gene:itb12g11000 transcript:itb12g11000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKMQASVQCRPQERTLGMVMKEVDEDLAIFLQMRRSVKEREDHIHSGIFEEFNDSLFENSPADSTAKENFLSSENDTSDYDWLVSPPGAPSYPSLDEEENTVGKHSDSTESDSMPADSPKDLASQNSSSVGASTSSGKSTSSSSMNSSSSGNGRPASAGGRKSTASRSATPTGRPRVSSISKMSRPSTPTSRASLPSAKTTAAAAPGRTSSRSSTPAAPGRTSSRSSTPAARPSVPATSKSASRSATPTRSASSARSSSVAKTAPAKAKKPESARGPSPTVKSRPSSSQDAPKNSRASVMKRPVSASRGRPIAPHVRPSTTHTGSDGKPRHRSCSPSKGQIPIISIHSHWSGSLSRSRGYNNDEDDVNPVLMGTKMVERVVNMRKLAPPKPDDHQSSSKDSSKKSSISQKSSSFGTSFSKKSLDMAIRHMEIRRSSGDNANRAMKKGCPASSGNGVQANCSSKGKTSSNASPEPSINNGCHHGYESEVEGSNCGSESGLPSHHLQPSEK >itb11g05980.t1 pep chromosome:ASM357664v1:11:3570951:3574934:-1 gene:itb11g05980 transcript:itb11g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNMRKRERENPCGVCGHYHKYEEGEVCQICGHRMAGVAEKVSSIQVSAFPTEILPEFLYLGSYDNASRAELLKTQGISRVLNTVPAIQNLYKNSFTYFCLQDEHNLQFDDAVEFLEECERDRARVLVHCMTGKSRSPAIVMAYLMKSKGWKLAQCYQWVKERRPSVELTQGVYQQLQDYEQKVFVSVENSITLMPAFTPPAAPSFSFGFPKPSEPVSAPTFNTAGATSVFSGPRLDIPPQKFTFGAGHTQNNASQNLPVSNQNPSDGDISMDGS >itb11g10390.t1 pep chromosome:ASM357664v1:11:7316453:7320881:1 gene:itb11g10390 transcript:itb11g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWGTKNEGKESA >itb11g10390.t4 pep chromosome:ASM357664v1:11:7316453:7320372:1 gene:itb11g10390 transcript:itb11g10390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWGTKNEGKESA >itb11g10390.t3 pep chromosome:ASM357664v1:11:7316453:7320881:1 gene:itb11g10390 transcript:itb11g10390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWGTKNEGKESA >itb11g10390.t2 pep chromosome:ASM357664v1:11:7316453:7320881:1 gene:itb11g10390 transcript:itb11g10390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWVLTPHQ >itb05g17780.t1 pep chromosome:ASM357664v1:5:24692823:24693122:-1 gene:itb05g17780 transcript:itb05g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKAKLALQSYQSTAARSQPPLFFLFSVNRSPLQRQSQISPPVSSTDLRHLCSPPPSLFAIAVAVRLRLRPRPCRRRYVPLHLCLSAIALLCWSVGR >itb08g05890.t1 pep chromosome:ASM357664v1:8:4846664:4847551:-1 gene:itb08g05890 transcript:itb08g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVTGLSALYISSIELIDKSCVTRFNVRPAARPPGGAADAMSPRFTSGFPTANTVSARDGPALRPAPAGRAIPAPAPAPRKLRLHHRAVFSDG >itb14g01320.t1 pep chromosome:ASM357664v1:14:1011504:1013337:1 gene:itb14g01320 transcript:itb14g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSYVPNFTIKCSISPREEPKHHNVIGVKINGHSSAAFPGAKVDLLSQNHAVVAATTTPLHPGERLEIRQNIPTKKQLVDPFRQGLIVEGGVGYRQTVVIRSYEVGPDKTATIETILNLLQETALNHVWMSGLLSDGFGATHGMMKNNLIWVVSRMQVQVDNYPIWGEVMEINTWVGASGKNGMRRDWLLTSKATGLVFARATSTWVMMNQKTRRLSKMPDEVRAEISPWFIEKQAIPEENPVKIDKLDNNATYVISDLKPKRSDLDMNHHVNNVKYVRWMLETIPDQFLEAHQLSNIILEYRRECGSSDVVQSLCEPNENNNNNNISLLNGFSLASGILHQGNGLLESLTRGAFSYTHLLQTEGEEAKSKEIVRGRTSWKRKLPSMPSFPS >itb15g05890.t1 pep chromosome:ASM357664v1:15:3846999:3854342:-1 gene:itb15g05890 transcript:itb15g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNNITARIFERQICTPAPGTSIHRARLFYEDIVPSYTVYDVECPDHSFRKFTDDGLYLISFSRDLQDLIVYRPTWLSFSCNEEDCNGHELPPKAKKFESFFTQLYSVSLASSGEVICKDFFLYLESNQFGLFATSTATAQVQDAPSIIGAVHGIPSIEKITFHLLRLEDGAILDERVFCNDYINLAHNIGVYLYDNLLAILSLRYQRIHILQIRDSGDLVDVRCIGEFCHEDDELFLNSFSQDFGGNLNNNQTIPETSFLGVLKQRLLSFIFRGIWNEESDQTMRMQSLKKKFYLHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGMSRNADNHPAFFAVYNMETTEIVAFYQNSADELYSLFEFFCDHFHASSRSSLHMNFISTHSNNIHALEQLRCTKNKASSSSQFVKKVLATLPFSCQSQSPSPYFDQSLFRYDEKLISAADRHRQSTDHPIKFISRRQQVNNNPKFKIKAGPEAGNADGRAKKISSFLFHPIFPLALSIQQTLFLQQAVVNIHFRAFGGRDRDRDR >itb08g02040.t1 pep chromosome:ASM357664v1:8:1633433:1636965:-1 gene:itb08g02040 transcript:itb08g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKKPHDKESGKVAPNSDPNPDSSSIFQHLFGEVSANAATTSIFSDDNPFRRKPNSSSHTAQQPELESAVAASDVNDSELKKRKKEKKKAEENGGSRFDKEGEEKDEDGVRKKLKSVEPGKLDSEVTVNGKESGSERKNKKKRKRDDVEAEYEAKLYGLEKAENAGIGSGKVGEKRKKMDNPEDLMVSKEGFDDESKLLRTVFVGNLPLKIKKKTLFKEFSKFGEIESIRIRSVPLADSKVPRKGAVLKKQLNENADGVHAYIVFKTEESAHASLALNMTVVGGNHIRVDRACPPRKKMKGDCGPLYDNKRTLFVGNLPFDVKDEEIYQLFCGIKNLEESVEAVRVIRDSNTLLGKGVAYVLFKTKEAVNLVVKKRLNLRDRELRLSHAKATATPSKRKNSNENTNASPTKRFAAEPTTPGSRGKRTEKAPLSYQGMRATKSSSVQKKSRPRTSEQPNSKSRLQVANKQTERNTKRPAVAARKAKALKNAAASSKQAGTKRKLENRTPSSAAGRKKKLRKF >itb06g08140.t1 pep chromosome:ASM357664v1:6:12068255:12078756:-1 gene:itb06g08140 transcript:itb06g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 6 [Source:Projected from Arabidopsis thaliana (AT5G11800) UniProtKB/Swiss-Prot;Acc:B5X0N6] MSRRRMGSSSPPSSPSSCSLLDRPILSTLALLLLSLALLSATAPTTSAVFAFALSESDQLLDVDGGAANASEFNSSSNGPHSRPKEDSFADMIDRALEKEFNETDPNEANDAGSFNNTVSEQQAVLETVARVKSKKNDTKKEEKSFQLHHVFNLDNDHGAEETPTLIDRKDNVFIISSFKSKYPVLQLDLRLISDLVVVIVAATCGGIAFACAGQPVITGYLLAGSIVGPGGFNVVSEIVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQILLFMCLCGITASLCGGKPSEGVFVGAFLSMSSTAVVLKFLMEKNSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGVMSMTKSSVMLFTFLAVLSILSRTCVPWFLKLMVSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVIVVKTAVIVTVVKGFGYNNKTALLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFSPDSQTELGLKGESLRSESVKQRIALISKDLLIHEG >itb08g11080.t2 pep chromosome:ASM357664v1:8:10868979:10871621:-1 gene:itb08g11080 transcript:itb08g11080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATATAADNATAIATATDATAIATAHTPPPPSFLLIERALRRCRCHRLPIARADASTPATAVHSPVITHAVTQAAATTRLTTGEGHRRQKRLKRSKHGLSRD >itb08g11080.t1 pep chromosome:ASM357664v1:8:10868979:10871621:-1 gene:itb08g11080 transcript:itb08g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATATAADNATAIATATDATAIATAHTPPPPSFLLIERALRRCRCHRLPIARADASTPATAVHSPVITHAVTQAAATTRLTTGEGHRRQKRLKRSKHGLSRD >itb13g00750.t1 pep chromosome:ASM357664v1:13:684275:688166:-1 gene:itb13g00750 transcript:itb13g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRPQLIPPWISLCFFFSLCFFSKVHSFYLPGVAPQDFKQGDPLKVKVNKLTSTKTQLPYSFYSLPYCKPDHIVDSAENLGEVLRGDRIENSVFEFRMREQETCKVACRIVLDAKAAKEFKEKIDDEYRVNMILDNLPLVMPIKRPDLDSIVYQHGFHVGLKGQYAGSKEEKFFIHNHLAFTVKFHKDEQTDAARIVGFEVKPFSVKHEYDGKWKENTQLKTCDVNAKRTVTSSDSPQEVEDKKEIIFTYDVKFEESDIKWASRWDSYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRAPTNSDLLCVYIGTGVQFLCMTLVTMIFAALGFLSPSNRGGLMTAMLLLWAFMGVFAGYASARLYKMFKGSDWKKITLWTAFMFPGTVFAIFFVLNAIIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYVGFKKPAIEEPVKTNKIPRQIPEQAWYMNPLFSILTGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTAGSSALYLFLYAAFYFFTKLEITKLVSGILYFGYMLIASYAFFVMTGTIGFYACFWFTRLIYSSVKID >itb03g07270.t1 pep chromosome:ASM357664v1:3:5321939:5328626:1 gene:itb03g07270 transcript:itb03g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWILVLCMVFFLSSELTQCSVSYDRKAIVINGQRRVLFSGSIHYPRSTPEMWEDLISKAKEGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQKAGLYAHLRIGPYICGEWNFGGFPVWLKFVPGISFRADNEPFKMAMKGFTEKIVNLMKSHNLFESQGGPIILSQIENEYGPQAKVLGASGHQYVTWAAKMAVEMDTGVPWVMCKEEDAPDPVINTCNGFYCDKFSPNKPYKPAIWTEAWSGWFSEFGGPIHQRPVQDLAFAVAKFIQKGGSFVNYYMYHGGTNFGRSAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAVKLCEKALVSTDPTVTSLGGLQEAYIYSSETGDCAAFLSNYDTQSVARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGAQTSQMEMLPTNSEMYSWETYNEDLSPFDDSSSFTAFGLLEQVNVTRDASDYLWYKTSVDVGSSESFLLGGELPTLIVQSTGHALHVFINGQLSGSAFGTRQNRRFTFKGKVNFRAGTNKIALLSVAVGLPNVGGHFETWSTGILGPVALHGLDQGKLDLSWARWTYQVGLKGETMNLVSTDGSSVDWMQGSLIAQKQQPLTWHKARFNAPNGDEPLALDMSSMGKGQVWINGESLGRYWTAYATGNCNGCHYAGPFKPPKCQLGCGQPTQRWYHVPRSFLKPTENLLVLFEELGGDPTRISLARRSVSSVCADVGEIHPNIRNWEIESYGSRSQELPKPKLHLHCSPGQSISSIKFASFGTPLGTCGSFQQGPCHASTSYSVLEKKCIGRQRCSVAISNTNFGDPCPNVVKRLSVEAVCTPSQWH >itb04g02250.t1 pep chromosome:ASM357664v1:4:1339549:1340754:-1 gene:itb04g02250 transcript:itb04g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSNLPQDIIRLILLQLPVKCVIQCQCVCKQWRSLIDDSDFKFSYRGQFRVILHESNNRWRRSIVRSTSHDLRLRRHKWPYGEGSPLIRATFENNFSVLCSCHGLVLLLVANRDIWLWNPSTKYSTKVLESPYPEDLHVVTDAVVAAGLCYDSCTRDYKVVLSLRKDIKSPFEIEIGYPFLMYASFNHKEWRPVQFPYNLHSVRGGIEFRNTFYWWVSDMKDWNWYINFYSDRNRIIYFDPVRDEFRILPLPTPEHSKNFSISGLGFIDDCLCMAASKLREKKEEPEPETQTIWIMKKYGRQESWMAAFSIQMSELGNIYGSYILTFYSQKKNAQEVLFLRCNMRRYGRQIFIYDRGKNELKEDQLYFLTSDRRAYFFPYFYVESLNCMPGPATTD >itb05g26920.t1 pep chromosome:ASM357664v1:5:30576755:30579933:1 gene:itb05g26920 transcript:itb05g26920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAGEALLKKKNKALGRSKSHAFDELHSFRSWLKWMCVDQSDRCSTVLSWTVFLLMTVVVPCFSHFFLACSGCDSKHSRPYDIIVQLSLSGVAALSFVCLSGFVRKYGLRRFLFFDKLCDESEAVRKCYTEQLNRSIRILFIFVMPCLAAESAYKIWWYTSGGTQIPFLGNAVVSDVFACTLELCSWLYRTVVFFLVCVLFRLICYLQILRLQDFAQVFHQDSNVESVLREHLRIRRYLRIISHRYRVFILWALVFITASQFASLLVTTRATADLHIYKSGELALCSLSLLAGLMILLRSATRITHKAQGVTCLAAKWHVCATIDSFDTVEAETPQIAQIPSTDCVFPVTSDPSSDADDVGEEEDELDNTNFVHSYAFSTISFQKRQALVTYFENNRAGITIYGFMLDRTSLHTIFGIELSLVLWLLGKTVGIS >itb03g14880.t1 pep chromosome:ASM357664v1:3:14409341:14411272:1 gene:itb03g14880 transcript:itb03g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERYEEIGKKIKREGDDFSQMGLGRRHNNVLGPAGTLNTVTPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLMDVPESLRADAANSLVYEANLRLRDPVYGCMGTIIALQQQVQALQAELNEVRAEIMRYKFGESAANIIIPSPQVSFFLTSGAVSIAAAAPPLPPPPPPLPPPVLLPRSSSFSLYTPAPPSAASDNADFGTISSENISYFD >itb04g06420.t1 pep chromosome:ASM357664v1:4:4175247:4175730:1 gene:itb04g06420 transcript:itb04g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEGDPETASAIVGTFGYIARTRKVDVKSDIYSFGVVLLELTTGREAVTGNEDMNLAQWAHKHQREGKSAADVLEEEIKEPCYFEAMISVFKLGLACTLSSPSSRPSMKDISQILRCSVNNHMSPES >itb04g27340.t1 pep chromosome:ASM357664v1:4:31374527:31378605:1 gene:itb04g27340 transcript:itb04g27340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 2 [Source:Projected from Arabidopsis thaliana (AT2G30520) UniProtKB/Swiss-Prot;Acc:Q682S0] MASPLKSAATRLSLAMERTGQWVFSQEIPTDVIVEVGEASFSLHKFMLVAKSNYIRKLILDCKEADLTRINLSGIPGGPEIFERAAKFCYGVNFEITVHNVAALRCAAEFLQMTEKYCDNNLAGRTEDFLSQVALSSLSGALVVLKSCEDLLPLAEDLKIVQRCVELASAKACVEANFPSRSPPNWWTEELTILDVAFFAKIIATMRSRGAKPLTIASAIITYAERSLRDLVRDHSGNGTKSMVPEAEDSDVRIRQRDLLESIVTLLPPEKAAFPINFLCCLLRTAIFLRAATGCKNELEKRVSAILEHVTVDDLLVLSFTFDGERLFDLESVRRIISGFVEKEKSVAVFNGGDFREVCSTAMQRVAKTVDAYLGEIATHVELSISKFNGIANLVPKGARKVDDDLYRAIDIYLKAHPQLDEIEREKVCSVMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKIRSGAEDPKSTPNAIATRNQLQADVTLVKENEALRTELLQMKMFIADMQNNKGSQGSSVKGSSASVRKPTFFSSMSKKLGKLNPFKHGSKDTSNLDDGVVDITKPRRRRFSIS >itb06g04610.t1 pep chromosome:ASM357664v1:6:7397416:7398234:-1 gene:itb06g04610 transcript:itb06g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVVAEHVVADQVGNEQVMADLNVQSEQVMAEHIVGNAQGGQREAVAEEIPVNTQPCEELTDDELLRLFDCPILGIPNIGVHSVRVDPLLNVGDNIIANSLTNAQNMAVDTTQMEIIPSSQLQFEEMDNTSHQQQEQKSTKRKHKSKETKSLGLTKSRTTVAKTYRTRSSNIMKFKNDMSSAIELD >itb01g05460.t1 pep chromosome:ASM357664v1:1:3745780:3751089:-1 gene:itb01g05460 transcript:itb01g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSSGLRSSGSYGSLQLHQFQNGSLSIQASPPIPPRKPPKMFKEKEGLFHWIFKFAPRRKIGMLLLCVVSAAVFVWVLYVGKGEDSQVLGMPSISINATIKNIDFFSEPEEENNNRRTGLAEPSSIVGIASTAHPPPTPPVYFTGYKLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPSAPSFSPFIKNLTYIHQENLNKSEFGGSEFGGYPSLSQREDSYDIRESMSVHCGFVKGARPGHGSGFDIDDSDLDEMATCQGVVVASAIFGAFDVIHHPANISEYAKRNVCFYMFVDEETKTFLRNSSDPDSSSKIGLWRIVEVHNLPYSDSRRNGKVPKLLLHRLFPNARYSMWIDGKLELIVDPYQILERFLWRKNASFAISRHYRRFDVFVEAEANKAAGKFDNASIDFQVEFYKKEGLTPFSAAKFPITSDVPEGCVIVREHIPISNLFTCLWFNEVDRFTPRDQISFSTVRDKIRSKTNWTVHMFLDCERRNFVVQGYHRDILEHWSPPPPSIVHPPPPILDGTLKASGNIPVRKGPQKRGRERRSRRHRKALPDIRMLA >itb13g04510.t1 pep chromosome:ASM357664v1:13:5189933:5190855:1 gene:itb13g04510 transcript:itb13g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKIVKNTWMMICKLKNLSGVGWEDSLKMITCDSATYMELISATPKYETILNRKIEYYDEMSIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKTSVSTATSSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb04g10850.t1 pep chromosome:ASM357664v1:4:10416443:10419428:1 gene:itb04g10850 transcript:itb04g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESFIYSFVARGTMVLAEYTEFTGNFPAIAAQCLHKLPSSNNKFTYNCDHHTFNFLLEDGYAYCVVAKDSVGKQISIAFLERVKADFKKRYGGGKADTAVAKSLNKEFGPVMKEHMKYIIEHADEIEKLLKVKAQVSEVKSIMLENIDKTIERGENLTILTNKAEDLHNSAQQFKKQGTEIRRKMWYQNMKIKLVVLGIIVFLVLTIWLSVCHGFDCTN >itb15g03920.t1 pep chromosome:ASM357664v1:15:2476315:2479433:-1 gene:itb15g03920 transcript:itb15g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGVDDYSDYLTTYSVRPYPTVGEDDAPFACAASSTAFRSHLHVRFSHHTVDDSDDGEYEVESRTICLSDDVLAEESGDECRSFIFSEGLCYWPIDADTVLNIADEVVEKALRFKCDLRVDIRSVQIRGSDDVQETYEDDDEDFGTAARGVNGAAAASDGGFIVKSLKRKIIEDGAGSCCVICLEELTAGERCGCDALQPSLFSRRLPFILAGEKPFLPSLPTQDFCCHRRDSFDCRRASSAASHIHVRFSHHTADDDDREYEEESRTVCVGDELLEEGSEVDCGNFIFSELPFYWPIHGDILQEIFDEVIGKAREFKCNLGVDIRTVHIRDYEEDRDFGAAAEGVNNGAAPAASDGMSVVKSLKRKRIEEGGGNCCVICLEELKAGRDVAVMPCTHHSFHDDCLSSWFKRSRSCPLCRRKISDSSS >itb02g17240.t1 pep chromosome:ASM357664v1:2:13216753:13219188:1 gene:itb02g17240 transcript:itb02g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGFLLSMFTFHALLTCSINELVGKLNVAECCDVCGDIGFGEIIVTCAECKINQEHLYCMETFRMEEPEVWLCEECTPRNGNQSSGGKSGITVAPNFTTSCKDHRENLQCAYPRKLNRGRGWVDWEKKVAKGRTKYISVEEALMLPQLQNVASSVKKTSHSNPLSPNKHISSPLSRTPVNPGTCIPKCQQLKKHPTSLGPQHLKTQSLENSKKHPTSSSLQHPKTQSLENSKKHHPTSSGLQHPKTQSLENSNTNQVTQPKEQSSKVLNGPMEKENIGDTKITHTIAEETTDKHTSVASACCPQVISGKITSLFSLDSNCFSIAFLKIPSQSN >itb09g27810.t1 pep chromosome:ASM357664v1:9:28312671:28313099:1 gene:itb09g27810 transcript:itb09g27810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAGKGGAGRGKAKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLASEVLELAGNAARDNKKNRIVPRNIQLAVRNDEELSKLLGDVTIANGGVLPNIHQTLLPKKAGSGKGEIGSASQEF >itb06g09970.t1 pep chromosome:ASM357664v1:6:14242304:14242573:1 gene:itb06g09970 transcript:itb06g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQQLSEGETMVVGLTEWREDSSDITGKLAPPPPLSLDATPAPAAFRQQLRREPATTILLATSKLTSRANVYLRLLRPPANQKKNRRA >itb06g22040.t4 pep chromosome:ASM357664v1:6:24480216:24485763:-1 gene:itb06g22040 transcript:itb06g22040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIKENYGEVKAKNSSEEALERWRKLCWLVKNRKRRFRFTANLSKRFEARAIQRSNQEKLRIAVLVSQAALSFIQGAASYSVPEDVKAAGFQICGDELGSIVEGHNLKKLKVHGGVEGLADKLSTSAANGISTSEDSLSRRKDIYGINRFTESPARGFWIFVWEALQDTTLMILAVCAFVSLVVGILTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLDKEKKKITVQVTRNGLRQKISIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPVNVTTENPFLLSGTKVRDGYCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLYIRKLREGSHWSWYGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKACMCGKIKDSSVDVRTFGSEVPDSAINLLLESIFNNTGGEIVKSKDEKIEILGTPTETALLEFGLLLGGDFQAVRQASEIIKVEPFNSIKKRMGVVVKHPNGGLRAHCKGASEIILAACDSTLGPNGEVIPLDAASVDHLKCSIEKFACEALRTICLAYKDVGSDFSVDNTIPFEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRAKSEEELAEIIPKLQVMARSSPMDKHTLAKHLRTTFQDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVLLPSLLFSFYGST >itb06g22040.t2 pep chromosome:ASM357664v1:6:24481314:24485564:-1 gene:itb06g22040 transcript:itb06g22040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIKENYGEVKAKNSSEEALERWRKLCWLVKNRKRRFRFTANLSKRFEARAIQRSNQEKLRIAVLVSQAALSFIQGAASYSVPEDVKAAGFQICGDELGSIVEGHNLKKLKVHGGVEGLADKLSTSAANGISTSEDSLSRRKDIYGINRFTESPARGFWIFVWEALQDTTLMILAVCAFVSLVVGILTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLDKEKKKITVQVTRNGLRQKISIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPVNVTTENPFLLSGTKVRDGYCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLYIRKLREGSHWSWYGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKACMCGKIKDSSVDVRTFGSEVPDSAINLLLESIFNNTGGEIVKSKDEKIEILGTPTETALLEFGLLLGGDFQAVRQASEIIKVEPFNSIKKRMGVVVKHPNGGLRAHCKGASEIILAACDSTLGPNGEVIPLDAASVDHLKCSIEKFACEALRTICLAYKDVGSDFSVDNTIPFEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRAKSEEELAEIIPKLQVMARSSPMDKHTLAKHLRTTFQDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACLTGRIN >itb06g22040.t3 pep chromosome:ASM357664v1:6:24482166:24485617:-1 gene:itb06g22040 transcript:itb06g22040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIKENYGEVKAKNSSEEALERWRKLCWLVKNRKRRFRFTANLSKRFEARAIQRSNQEKLRIAVLVSQAALSFIQGAASYSVPEDVKAAGFQICGDELGSIVEGHNLKKLKVHGGVEGLADKLSTSAANGISTSEDSLSRRKDIYGINRFTESPARGFWIFVWEALQDTTLMILAVCAFVSLVVGILTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLDKEKKKITVQVTRNGLRQKISIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPVNVTTENPFLLSGTKVRDGYCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLYIRKLREGSHWSWYGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKACMCGKIKDSSVDVRTFGSEVPDSAINLLLESIFNNTGGEIVKSKDEKIEILGTPTETALLEFGLLLGGDFQAVRQASEIIKVEPFNSIKKRMGVVVKHPNGGLRAHCKGASEIILAACDSTLGPNGEVIPLDAASVDHLKCSIEKFACEALRTICLAYKDVGSDFSVDNTIPFEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRAKSEEELAEIIPKLQVMARSSPMDKHTLAKHLRTTFQDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVTFKFCCSMNIS >itb06g22040.t1 pep chromosome:ASM357664v1:6:24480216:24485763:-1 gene:itb06g22040 transcript:itb06g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYIKENYGEVKAKNSSEEALERWRKLCWLVKNRKRRFRFTANLSKRFEARAIQRSNQEKLRIAVLVSQAALSFIQGAASYSVPEDVKAAGFQICGDELGSIVEGHNLKKLKVHGGVEGLADKLSTSAANGISTSEDSLSRRKDIYGINRFTESPARGFWIFVWEALQDTTLMILAVCAFVSLVVGILTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLDKEKKKITVQVTRNGLRQKISIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLIDESSLTGESEPVNVTTENPFLLSGTKVRDGYCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLYIRKLREGSHWSWYGDDALEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKACMCGKIKDSSVDVRTFGSEVPDSAINLLLESIFNNTGGEIVKSKDEKIEILGTPTETALLEFGLLLGGDFQAVRQASEIIKVEPFNSIKKRMGVVVKHPNGGLRAHCKGASEIILAACDSTLGPNGEVIPLDAASVDHLKCSIEKFACEALRTICLAYKDVGSDFSVDNTIPFEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRAKSEEELAEIIPKLQVMARSSPMDKHTLAKHLRTTFQDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACLTGVAPLTAVQLLWVNMIMDTLGALALATEPPTDDLMKCPPVGRKGNFITNAMWRNIFGQSLYQFVIIWYLQSSGKAAFHLDGPDSDLVLNTLIFNVFVFCQVFNEISSRDMEKINVFHGILNNYVFVGVISCTVLFQIIIVEFLGTFANTIPLTKQQWFASVAIGFLSMPVAAAIKMIPVGSL >itb08g12330.t1 pep chromosome:ASM357664v1:8:12452260:12452917:1 gene:itb08g12330 transcript:itb08g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFSLKIWMPYLRLWFPNNLPLSSTKSPPSPANRALLGCSNVGATSPPPIVAIACRKSPTCLKTFVATQSGESLVGRVLHQVQGVQIPASRTHQDALQALRETALGEIAKSVETGNGFYTDGYESVFVLGPCEGDLGSGNYVKITVEKSKSTCSSAISAQMYLQQCYITLQKQWQLSWEDWPVWPGVGSCLSAIHKISFQEKDPFQVQHGG >itb09g16780.t1 pep chromosome:ASM357664v1:9:11922178:11926285:1 gene:itb09g16780 transcript:itb09g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLGKEGTLCAARRAAGFVRGDDVLHKLFTELAYRYKERAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQLPQRPAMDPWTKSRLSRQFAPPKEEKSTDSEN >itb14g01340.t3 pep chromosome:ASM357664v1:14:1025101:1033014:-1 gene:itb14g01340 transcript:itb14g01340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSSSNCSIATDEGLFELPEPLPHNTAAMENIIRRRSMQMHTEQQSWQESAEGRKMLEFRCSLPAYKEKDAILSAISQNQVVIISGETGCGKTTQIPQFILESEIESMRGAACSIICTQPRRISAMSVAERVAVERGEKLGETVGYKVRLEGVKGRDTHLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDAELFSSYFGGAPAVHIPGFTYPVQTHFLENILEMTGYRLTLYNQVDDYGQEKAWKVNKQAPRKRKSQLVSAVEDALGAADFKDYSPQTRESLSCWNPDCIGFNLIEYLLCHICENEGPGAVLVFMTGWDDISSLKEKLQCHPTLGDASRVLLLACHGSMASSEQRLIFDEPEGGVRKIVLATNIAETSITINDVVFVIDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVRPGICYHLYPRCVFDALADYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPMEPKLGKMIILGAIFNCLDPVLTIVAGLSVRDPFLAPLDKKDLAEAAKAQFSRDYSDHLALVRAYEGWRDAEKDLAGYEYCWKNFLSAQSMKAIDSLRREFYSLLKDAGLVDSNAAIYNAWSYDHCLLRAVICYGLYPGICSIVHNEKSFSLKTMEDGQVFLYSNSVNARDSKIPFPWLAFNEKIKVNSVFLRDSTAVSDSVLLLFGGSILKGDMEGHLTMLGGYLEFFMSSAIAEMFQTLRRELDELIQTKLLYPRMDLHAYHELLSAIRLLLSGDQCSGKFVFNRQFLQQSKPFVAASSPAAALISRTESGPGGDNSKSRLQTILSRAGYAAPVYETKQLGNNQFRATVEFNGTQIIGRPCNNKKQAEKDAAAEALDWLLGENRATNGNVDQISMFLKKSKTDHS >itb14g01340.t2 pep chromosome:ASM357664v1:14:1025091:1036784:-1 gene:itb14g01340 transcript:itb14g01340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPTLQAFHPQFPFQNPKTHRTISSSADAFSAAAVRMKDRMPSPHGAVYVPPHQRLRSVIVAPSATPQPGATTPIDSKPSFSSKTSFPKAKPNNPYPYLPPHHQLQPQQQCHFRNTQPQKNPQLDGVYEEGSHRDYELPVFVGSATSDKVDAWKWKMTTLLRDNDNQEIVSREKKDRRDYEQIAALASGMGLYSHMYAKVVVVSKVPLPNYRFDLDDKRPQREVILPPGLPRRIDDHLKNYLSCKPSTAMFSRSSSNCSIATDEGLFELPEPLPHNTAAMENIIRRRSMQMHTEQQSWQESAEGRKMLEFRCSLPAYKEKDAILSAISQNQVVIISGETGCGKTTQIPQFILESEIESMRGAACSIICTQPRRISAMSVAERVAVERGEKLGETVGYKVRLEGVKGRDTHLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDAELFSSYFGGAPAVHIPGFTYPVQTHFLENILEMTGYRLTLYNQVDDYGQEKAWKVNKQAPRKRKSQLVSAVEDALGAADFKDYSPQTRESLSCWNPDCIGFNLIEYLLCHICENEGPGAVLVFMTGWDDISSLKEKLQCHPTLGDASRVLLLACHGSMASSEQRLIFDEPEGGVRKIVLATNIAETSITINDVVFVIDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVRPGICYHLYPRCVFDALADYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPMEPKLGKMIILGAIFNCLDPVLTIVAGLSVRDPFLAPLDKKDLAEAAKAQFSRDYSDHLALVRAYEGWRDAEKDLAGYEYCWKNFLSAQSMKAIDSLRREFYSLLKDAGLVDSNAAIYNAWSYDHCLLRAVICYGLYPGICSIVHNEKSFSLKTMEDGQVFLYSNSVNARDSKIPFPWLAFNEKIKVNSVFLRDSTAVSDSVLLLFGGSILKGDMEGHLTMLGGYLEFFMSSAIAEMFQTLRRELDELIQTKLLYPRMDLHAYHELLSAIRLLLSGDQCSGKFVFNRQFLQQSKPFVAASSPAAALISRTESGPGGDNSKSRLQTILSRAGYAAPVYETKQLGNNQFRATVEFNGTQIIGRPCNNKKQAEKDAAAEALDWLLGENRATNGNVDQISMFLKKSKTDHS >itb14g01340.t1 pep chromosome:ASM357664v1:14:1025091:1036784:-1 gene:itb14g01340 transcript:itb14g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPTLQAFHPQFPFQNPKTHRTISSSADAFSAAAVRMKDRMPSPHGAVYVPPHQRLRSVIVAPSATPQPGATTPIDSKPSFSSKTSFPKAKPNNPYPYLPPHHQLQPQQQCHFRNTQPQKNPQLDGVYEEGSHRDYELPVFVGSATSDKVDAWKWKMTTLLRDNDNQEIVSREKKDRRDYEQIAALASGMGLYSHMYAKVVVVSKVPLPNYRFDLDDKRPQREVILPPGLPRRIDDHLKNYLSCKPSTAMFSRSSSNCSIATDEGLFELPEPLPHNTAAMENIIRRRSMQMHTEQQSWQESAEGRKMLEFRCSLPAYKEKDAILSAISQNQVVIISGETGCGKTTQIPQFILESEIESMRGAACSIICTQPRRISAMSVAERVAVERGEKLGETVGYKVRLEGVKGRDTHLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDAELFSSYFGGAPAVHIPGFTYPVQTHFLENILEMTGYRLTLYNQVDDYGQEKAWKVNKQAPRKRKSQLVSAVEDALGAADFKDYSPQTRESLSCWNPDCIGFNLIEYLLCHICENEGPGAVLVFMTGWDDISSLKEKLQCHPTLGDASRVLLLACHGSMASSEQRLIFDEPEGGVRKIVLATNIAETSITINDVVFVIDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVRPGICYHLYPRCVFDALADYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPMEPKLGKMIILGAIFNCLDPVLTIVAGLSVRDPFLAPLDKKDLAEAAKAQFSRDYSDHLALVRAYEGWRDAEKDLAGYEYCWKNFLSAQSMKAIDSLRREFYSLLKDAGLVDSNAAIYNAWSYDHCLLRAVICYGLYPGICSIVHNEKSFSLKTMEDGQVFLYSNSVNARDSKIPFPWLAFNEKIKVNSVFLRDSTAVSDSVLLLFGGSILKGDMEGHLTMLGGYLEFFMSSAIAEMFQTLRRELDELIQTKFVCAAPISEDGFTCISRTSVCNTVASLRGSMQW >itb09g18080.t1 pep chromosome:ASM357664v1:9:13508721:13510230:-1 gene:itb09g18080 transcript:itb09g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYSYVVIIALALLGSLATPPVNIIALAHHHNYQNKHHVALFVFGDSIFDPGNNNYISTNTYSQANFPPYGETFFKYPTGRFSDGRLIPDFIAEFAELPLIPAYFQTERLGLINGVNFASAGAGSLVETFSGFVINLKTQLEYFKNVVKELKGKLGDNESNKLLSSAVYMLSIGNNDYFNPFTADSTIFDSYTHHQYVDMVIANFTNVIKVSS >itb11g14630.t1 pep chromosome:ASM357664v1:11:11834543:11836597:-1 gene:itb11g14630 transcript:itb11g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDSKGKGKQAAGGSDDTGSKGKGKGGKGDGLGTCTYVKARHILCEKQSKINEAYKKLQDGWLDNGDKVPPSEFAKLAAEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTTIGATSAPFKSTHGYHVILCEGRKN >itb01g27780.t1 pep chromosome:ASM357664v1:1:32435026:32444781:1 gene:itb01g27780 transcript:itb01g27780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPDVIAVPFPFQGHLNPMLQFCSSLSARGLKVTLLLTHGVAKSMQFPLSHCRVELISDGTDAGEPPRTFQEYRTRLQAAVSEGVAAIIQKHQKAKVLVHDSIMSWLLEVGRAAGLRVASFFTQPAAVCAIYHHMLCGTVKQHTASDSTLRLPSLPAIMEFADLPSFSYFGDIAEEVTDFTINQAFNMPKADCFLINTFDSLEEQVVKWMAEKWAVKTIGPLVPTIDKTLKGGKHDRIDLFELDSESYLKWLDIREPKSVVYVSFGSVVVMSEEQMEEIAWGLAQSNKYFIWVVRESELVKLPKHCNFKTTEKGIIVKWCPQIEILSHEAVACFMTHCGWNSTLEALCLGVPIVAMPNIADQSTNAKLIEDVWKVGDGGQTPLSCRRRQREQRHFHSPAASPSLNLSSDNNQRLREASAASCGYEQHRRRVSRVTLFGLLSFIIFKSSIFCSSSTINLIWSCRFCGKRCGYKVAADGGGGGGADREVDRVERKEGSGNGGEREKRDA >itb05g01910.t1 pep chromosome:ASM357664v1:5:1480687:1483637:1 gene:itb05g01910 transcript:itb05g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGLVLSLLAPILVCAVYPSLDDDVLGLIVFKADIQDPDGKLGSWNDEDDSPCRWNGVKCSPRSNRVSEINLDGFGLSGKIGRGLLQLQSLRKLSLAMNNFSGGLSVSLTQLGNLRTIDLSENSLSGPIPGDLFQECGSLRTISLAKNKFSGRIPESLGSCASLALLNLSSNQFSGLLPRTLWSLNSLRYLDLSDNLLEGEIPKGIEGLSNLTGISLQKNQFKGEIPDGIGNCLLLRSIDLSRNSLSGGLPSTMQKLSLCHDLILRQNAFTGDFPEWIGEMKVLETLDLSENRFSGKVPTSVGKLQSLKVLKISKNSISGNLPESIGSCTNLLALDVSHNSLTGEIPSWLNKPGLQQVIFSENKFAGEIPSALGGYSDLEVLNLSGNSLTGRIPKSIGKLKSLDVLDLSKNRLNGSIPWGIGGATSLKELRLQKNNLTGGIPPSIGNCSSLVSLILSHNDLTLPLPESLANLTAIKHVDLSFNNLTGGLPKELANLVHLLSFNVSYNKLQGELPRSGFFKTISPSSVSNNTFLCGAAVNKSCVDKPVVMNISTNASTPNSIPRGFGHPKKILSISALIAIVAAAAIVVGMITITVLNLSVRSATSRSAADLTFSGGDDFSNSPSTDGNSGKLVMFSGDPDFNTGSHALLNKDCELGRGGFGAVYRTVLKDGHPVAIKKLTVSSLVKSQEDFERVVKKLGKAGHPNLVALEGYYWTPSLQLLIYEFVSGGNLYKRLHEGLGPNLIWNERFNLILGTARSLAHLHQMNIIHYNIKSSNVLIDSSGEPKLADYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVFGFGVLALEIITGKRPVEYMEDDVIVLCDTVRAALEEGRVEECVDLRLQGDFRAEEAIPIVKLGLICTSQVPSNRPYMTEVVNILEMIRSPSESQDELP >itb01g33580.t1 pep chromosome:ASM357664v1:1:36644607:36646047:1 gene:itb01g33580 transcript:itb01g33580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSHNEDPSNPSKRCKFLPSTLKDAFANCRNFRGKLSGTRPEDGGATSDEEEDDEDEVFVSAVISKYMESKIKRKTSITWDKFGWAFSPAAGDLFTTAKAAPAPAEEEEDEFMSVGTHLSRCSSAGSLEAFFSAKTTSCLSRCSSLGRIEFPEYRRRSSIILEFSHCEGWPFGLCRKAVLLPPLPKSPSDSWLWRNKTTGRPSSFKMH >itb12g22380.t7 pep chromosome:ASM357664v1:12:24492135:24500954:1 gene:itb12g22380 transcript:itb12g22380.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEKHQVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPSVTSTSTTNSGVTNVTQDYSSYPTYPSTDPYGYNTAGYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKVCLLFLLTLIDNCTGATVQDFLLAISLKDFV >itb12g22380.t6 pep chromosome:ASM357664v1:12:24492135:24501459:1 gene:itb12g22380 transcript:itb12g22380.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEKHQVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPSVTSTSTTNSGVTNVTQDYSSYPTYPSTDPYGYNTAGYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKASTSSLFMPEPEDAVAHGDASLAVSDFLTRNLVV >itb12g22380.t2 pep chromosome:ASM357664v1:12:24492025:24501502:1 gene:itb12g22380 transcript:itb12g22380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEKHQVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPRYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKASTSSLFMPEPEDAVAHGDASLAVSDFLTRNLVV >itb12g22380.t4 pep chromosome:ASM357664v1:12:24492127:24501502:1 gene:itb12g22380 transcript:itb12g22380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPSVTSTSTTNSGVTNVTQDYSSYPTYPSTDPYGYNTAGYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKASTSSLFMPEPEDAVAHGDASLAVSDFLTRNLVV >itb12g22380.t3 pep chromosome:ASM357664v1:12:24492025:24501502:1 gene:itb12g22380 transcript:itb12g22380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEKHQVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPSVTSTSTTNSGVTNVTQDYSSYPTYPSTDPYGYNTAGYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKASTSSLFMPEPEDAVAHGDASLAVSDFLTRNLVV >itb12g22380.t1 pep chromosome:ASM357664v1:12:24492025:24501502:1 gene:itb12g22380 transcript:itb12g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEKHQVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPSVTSTSTTNSGVTNVTQDYSSYPTYPSTDPYGYNTAGYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKASTSSLFMPEPEDAVAHGDASLAVSDFLTRNLVV >itb12g22380.t5 pep chromosome:ASM357664v1:12:24492025:24500954:1 gene:itb12g22380 transcript:itb12g22380.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MINQGGSAMNTVTAFEPISQEKHQVVDGNQHYTSSYYAPPGTASASWTSHGVDSYATKTGVGSNSSYDHDQRAGMTSGNVQDGPSVTSTSTTNSGVTNVTQDYSSYPTYPSTDPYGYNTAGYAGYYNGYQQQSNQPYPQPNGAYQNTGAYQPLSSYQSTGSYAGGTSFSSTYYSNTDYQTSGGYPSGGGYPSGVYSNQYGQYAPYPSHQYPSSDSSHLYHSSDSTASYSSTTAVSSSQYQQQYQQWAGYYNQTQTEVSCAPGTENLSVSNASSLSCSVPGVSGGYATSNNQTPAPYVPPPKPESDTSELPTVQSAAISDSAHDSYWKHWAPAFQNQQSSSVQVHIQNPSVSTPYDNFQNQQTSTIAQGPNVQYTASHQMTPTNPNYQSSLQNIHQTVPQTVPPEDTRRVSKLQIPTNPRIASNLGLNLPKTDKDSSTTTAAAKPAYVSVTLPKQNEKVSSQATDSILKPTGFPKSLRGYVERALARCKDDAQMAACQDVMKEIITKATADGTLHTRDWDTEPLFALPNTDGVTKESLLCSTPTSSSSKSKRSPSRRSKSRWEPVSEEKQIDKQASVTPHTMKYGSWNKQHSSGKTESKVHSSAHVKFSLSSEQKSPSTFSFRSTKRQRLGDSMNATDNGEASSDSDKEQSLMAYYSAAIALADSPEERKRRENRSKRFEKRNGNQAERNNFSPKSVGAGNVYSRRATASVLSRTFEENGSVAVEDIDWDALTVKGTCQQIEKRYLRLTSAPDPATVRPEEVLEKALLMVQNSAKNYLYKCDQLKSIRQDLTVQRIRNELTVRVYETHARLAIEVGDLAEFNQCQSQLKTLYAEGINGCHMELAAYNLLCALLHSHNKRDLLSTMSRLSAEARENTAVKHALSVRTAVTSENYVLFFKLYRTAPNLNSCLMDLYVEKMRYAAVRCMSRSYRPTVPIPYVAQVLGFTSVMPTTEVSDAERDGVEECIEWLKAHGACLTSDNSGEMQLDTKVCLLFLLTLIDNCTGATVQDFLLAISLKDFV >itb02g24850.t1 pep chromosome:ASM357664v1:2:25569439:25575993:1 gene:itb02g24850 transcript:itb02g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNCDEQSKKNSDQQSERNSDEQSEKNCDKESEKDCVEELEKNCEEEREREEVLLQKETDICPNKEETAEHILRDCVQAEEVWKAMIGSGQWRKERHLNYRKWLERNIIHRSEEEDQCEWPRTFALTVWWIWRWRNERIFNTGYMEINQKIAWIREADKEVNRAFLRVANMGGRVTTEKILKLCWKPSTTHHCTLNVDASVKASRKTAGIGGVLRNEEGDWIEGFVGRCGMFPDVEDVKTVTLIKYVYPFVCVCH >itb01g12520.t1 pep chromosome:ASM357664v1:1:12051664:12052430:1 gene:itb01g12520 transcript:itb01g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRVSLRSALSAREPLLNPGRDSSSGPEGVRRARFAEVAGGTTADIAAVCCCCPCGLVNLVVLAVYKLPAGICRKAIRSKRRRRLMKSGDWPVRHCSCADSELQIHTITSPSAVAAALESDKEVVELEKEMWDRFYGAGFWRSPSQRSEVSQISGI >itb13g18570.t1 pep chromosome:ASM357664v1:13:25541937:25547639:-1 gene:itb13g18570 transcript:itb13g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAVEAINHALRALRKRHLLEEAAHLPALTALSRPIIHQGSEWKEKAENLEIELQQCYKAQSRLSEQLVVEVAESRALKAQVQEKEAMITDLQNELNQARDECSSLTALLEEKTKALELMISEHQDLKAELDEMTEKANKAESENKMLIDRWMLQKMQDAERLNEANSIYEEMLDKLKASSIEQLARQQVDGVVRRSEDGAEYYMESTIPSSCKQRITAHEGGCASTIFEYNSSKLISGGQDHTIKMWDTNSGSLSNTLHGCLGSVLDLSITHDNRSIIAASSSNNLYVWDVGTGRIRHTLTGHKDKVCAVDVGKFSTRHVASAAYDRTIKVWDLMKGYCANTILFHSNCNSLCFSLDGQTVCSGHVDGNLRLWDFQTGKLLSEVAAHSQAVTSMSLSRNGNVILTSGRDNLHNLFDIRTLEICGTFKANGNRIASNWSRSCISADDSYVAAGSADGSVHIWSILNGKIVSTLKEHTAPVLCCSWSGLGKPLATADKSGIVCIWS >itb13g18570.t2 pep chromosome:ASM357664v1:13:25541937:25545972:-1 gene:itb13g18570 transcript:itb13g18570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWIMIDFICSILLQQGSEWKEKAENLEIELQQCYKAQSRLSEQLVVEVAESRALKAQVQEKEAMITDLQNELNQARDECSSLTALLEEKTKALELMISEHQDLKAELDEMTEKANKAESENKMLIDRWMLQKMQDAERLNEANSIYEEMLDKLKASSIEQLARQQVDGVVRRSEDGAEYYMESTIPSSCKQRITAHEGGCASTIFEYNSSKLISGGQDHTIKMWDTNSGSLSNTLHGCLGSVLDLSITHDNRSIIAASSSNNLYVWDVGTGRIRHTLTGHKDKVCAVDVGKFSTRHVASAAYDRTIKVWDLMKGYCANTILFHSNCNSLCFSLDGQTVCSGHVDGNLRLWDFQTGKLLSEVAAHSQAVTSMSLSRNGNVILTSGRDNLHNLFDIRTLEICGTFKANGNRIASNWSRSCISADDSYVAAGSADGSVHIWSILNGKIVSTLKEHTAPVLCCSWSGLGKPLATADKSGIVCIWS >itb02g24660.t1 pep chromosome:ASM357664v1:2:25293044:25296500:1 gene:itb02g24660 transcript:itb02g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPPRRSSYAAAVSGEANQERRTASPTVRSPARTSSTTIPQRNPISQTQRNRKEQKNNTGHLQWGVRRSCGITINLFNNVHRRPILDLDAPAPLSDCTRKCSHLTTQHKSSLRPYTFDLTTIIVKILQAMFGAVQLGLLAACVVLFVPLVMAGWHLSRNKMLFFSCALFITLSVGVHLAPYFPAISSFVSSPGLQSSDSNPNSCISLLHQVAFTTNTTDLYDKSWEWKWVHSEPADRCGFQKLGKSDALDLLNGSWVVVAGDSEARLVAVSLLELLLWPNEMELIRGDLFKRHSDYSIVVGKIGMKLDFRWAPYVSNLTSLMFEFKEKNNYPDVLVMGSGLWDMLHVNDASDYGFSLKLLRDSLVMSLPVYLDTVSARSPQLFWLGMPMLINSMLNTNAKRERMTDAHWQAYNDELYRSKLLLQFGGPFFLLDFHTLTHNCGVECTTDGMHYNGIVYEPAVQIMLNGLLIESNQKL >itb04g00100.t1 pep chromosome:ASM357664v1:4:79488:84750:1 gene:itb04g00100 transcript:itb04g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNSTVSTTHPIFRSLPFSSLSTNNSSTITFSKSKKFPLFSQFSSPSRFIISAHFSRRSNRQNHLRRKILENQQLRQQQEVSGNSVISAPFSQSVQQDYENFDQNSKLHDFDSEIAQYSTKSRDIKLSRPFGESVMWNKLENWVQQYKKDTEFWGVGTGTIFTVYQDSGGKVERVVVNEDEIFRRSKVQPAVFRDADAAVDDISEVNEKVSFAKSLAREMEFGNNLIPTNSSVTKFLISDEAKLDNVLKGISGFSLNPSSYKMLPQTGIAVLCGLIAMWGLKMLFGAWNNEQGYTRLENEMLRRKREARMKKEKDVKGSVEVVQESTEHNTNFSIERPQFNKEKLMSSIMKTRESHNKPALPEYPSSQQIGDTQFDYKIQEIKEMARRARELEEKDNLPNDDVADSDTFDAFSNENDVVEQPHLRSLGSQMDLNEYSGDTRDLRKTTEPTPLDSIENDARVLSCVTAKSPTDSLSSTENQSDLVHDASLLNNVNGITEQSAMPGGLSSKPRKNYMKTKSRIIMSVKEAREYLSRKTNKCKENQESIVASVKKVDTSSTNLMEKKGVGHVNQKSDDSSEFLKDSNCKRKKSVIQESIVTSVEQVDTLSTNLMEKKTAGCVNQKSHDSHEFLEDASCKRKKSLPIDNNYVAVQGSKAVDSGDLDLSSHDGGHCESSVNRLADLALPIRATDSESRSDQDDNNKIISPSILLEASTTCQDSSFTNVPSFPAMEIPEDVKKGVADLNIPETHSDRNRNDRSQETAPLVNKENWLEKNFHVLEPVIKKMHMGFRDNYLVAKDKPSEELDAKTKHLHLETSKYGGELEWMRDERLKEIVFKVRENELAGKDPFFQMSNEDKLAFFDGLEKKVEQENKQLLDLHEWLHTNIENLDYGTDGISLYDPPQKIMPQWRSPPMEKIHELLNSSVELRKTVDEGTKESTVMNKYKQETHLKSTDSQINTELENKSAKAPKTIIEGSDGSARAGKKSGKEYWQHTKKWSQGFLESYNAETDPEIKSVMKDIGKDLDRWVTEKEIQEAGELIDKLPERGKKFIKEKLDKVRREMELFGPQAVVSKYREYADEKEEDYLWWLDLPYLLCIEMYVRQEGEMEVGFYSLEMAADLELDPQPKHVIAFEDAGDCKNLCYIIQAHMEMLGNGNAFVVAQQPKDAFREAKENGFGVTVIRKGQLQLNVDQALEEVEEVISEIGSKIYHDKIMRERGVDIEALMKGVFGTGKCAYSKKSKKKLRKLLANRRKHSK >itb04g00100.t2 pep chromosome:ASM357664v1:4:79488:85887:1 gene:itb04g00100 transcript:itb04g00100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNSTVSTTHPIFRSLPFSSLSTNNSSTITFSKSKKFPLFSQFSSPSRFIISAHFSRRSNRQNHLRRKILENQQLRQQQEVSGNSVISAPFSQSVQQDYENFDQNSKLHDFDSEIAQYSTKSRDIKLSRPFGESVMWNKLENWVQQYKKDTEFWGVGTGTIFTVYQDSGGKVERVVVNEDEIFRRSKVQPAVFRDADAAVDDISEVNEKVSFAKSLAREMEFGNNLIPTNSSVTKFLISDEAKLDNVLKGISGFSLNPSSYKMLPQTGIAVLCGLIAMWGLKMLFGAWNNEQGYTRLENEMLRRKREARMKKEKDVKGSVEVVQESTEHNTNFSIERPQFNKEKLMSSIMKTRESHNKPALPEYPSSQQIGDTQFDYKIQEIKEMARRARELEEKDNLPNDDVADSDTFDAFSNENDVVEQPHLRSLGSQMDLNEYSGDTRDLRKTTEPTPLDSIENDARVLSCVTAKSPTDSLSSTENQSDLVHDASLLNNVNGITEQSAMPGGLSSKPRKNYMKTKSRIIMSVKEAREYLSRKTNKCKENQESIVASVKKVDTSSTNLMEKKGVGHVNQKSDDSSEFLKDSNCKRKKSVIQESIVTSVEQVDTLSTNLMEKKTAGCVNQKSHDSHEFLEDASCKRKKSLPIDNNYVAVQGSKAVDSGDLDLSSHDGGHCESSVNRLADLALPIRATDSESRSDQDDNNKIISPSILLEASTTCQDSSFTNVPSFPAMEIPEDVKKGVADLNIPETHSDRNRNDRSQETAPLVNKENWLEKNFHVLEPVIKKMHMGFRDNYLVAKDKPSEELDAKTKHLHLETSKYGGELEWMRDERLKEIVFKVRENELAGKDPFFQMSNEDKLAFFDGLEKKVEQENKQLLDLHEWLHTNIENLDYGTDGISLYDPPQKIMPQWRSPPMEKIHELLNSSVELRKTVDEGTKESTVMNKYKQETHLKSTDSQINTELENKSAKAPKTIIEGSDGSARAGKKSGKEYWQHTKKWSQGFLESYNAETDPEIKSVMKDIGKDLDRWVTEKEIQEAGELIDKLPERGKKFIKEKLDKVRREMELFGPQAVVSKYREYADEKEEDYLWWLDLPYLLCIEMYVRQEGEMEVGFYSLEMAADLELDPQPKHVIAFEDAGDCKNLCYIIQAHMEMLGNGNAFVVAQQPKDAFREAKENGFGVTVIRKGQLQLNVDQALEEVEEVISEIGSKIYHDKIMRERGVDIEALMKGVFGTGKCAYSKKSKKKLRKLLANRRKHSK >itb13g17940.t1 pep chromosome:ASM357664v1:13:24887658:24891371:-1 gene:itb13g17940 transcript:itb13g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEEKGFDDDGYTKDGTVSISGKAVLRSKTGGWKACSFIVVYEVFERMCYWGISSNLVLYMTTVLHQGTVQSSNNVTNWVGTVFLTPILGAYVADAFLGRFWTFILASAIYFSGMALLTLAVSVPALKPPPCADANGPHCPKPSTLQLGVFFGALYILAIGNGGTKPNIPTIAADQFDVHDPKEKSQKLSFFNWWLFSVFLGTFFANTIVVYIQDNVGWAVGYGLPTVGLAVSLIIFLSGTPFYRHKKALGSPITKMARVIVAAFKKWNVPIPSDPNEFYELDSDVYIKKGQYKIKSTPSLRFLNKACVETGDTSPWMLCPVSQVEETKQMLRLVPILISTFIPSILFAQINTLFVKQGVTLDRKVGNFNFPPASVVGMVTLSMLLCIVIYDRAFVPAIQKWTKNPRGITLLQRLGIGLTLNILVVVVAGFTEMHRLAVAKDHGLVESGGQVPLTIFVLLPQLFLLGLADAFVEVAKTEFFYDQAPESMKSLGSSCFPTSLGVGNFLCSFVLSTVSRVTERGGRKGWILNNLNDSRLDYYYWFIALLNVVNLGFFFVVAKYYEYKAEISDFSDMMVELKQNGTEGNGDTDDHEASRKY >itb05g18710.t1 pep chromosome:ASM357664v1:5:25417809:25420367:-1 gene:itb05g18710 transcript:itb05g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVIILFVMLFELCHVSVATATKKTYIVHMAKSQMPTSFRHHAHWYDSSLKAVSNSAEMMYVYKNAIHGFSARLTAKQARFMENLPGVLSVWPEMKYELHTTRTPMFLGIDETAGFLPSSAAESDVIIGVLDTGVWPEIRSFDDSGLGPVPSSWKGACEGGTNFSASNCNRKLIGARFFSMGYEASAGAINETDEFKSPRDDDGHGTHTASTAAGSQVVGASLFGYAPGSARGMATRARVAVYKVCWKGGCYNSDILAAMDKAIEDGVNILSLSLGGASVDYYADSIAVGAFAAMENGILVSCSAANAGPTPSSLANSAPWITTVGAGTLDRDFPASVSLGNGKKFSGVSLYKGDPLPGKLLPFVYAGNASNVTGGNLCMEDSLDKEKVKGKIVLCDRGVTARVDKGAVVKAAGGAGMVLANTDLQGEELVADAHLLPATAVGQKSGDAIKSYLFSDPNPTATILFEGTKVGVEPSPVLAAFSSRGPNPVTPEILKPDIIAPGVNILAGWTGVAGPTGLPEDNRRVEFNIISGTSMSCPHVSGLAALLKAAHPNWSPAAIRSALMTTAYTAYKSGGQLIDAATGKPSTPYDHGSGHVDPVSALNPGLIYDANTDDYLNFLCALNYTPSDINILSRRNFTCDSTKKHSAGDLNYPSFAVPLSGSKSSVKHTRTLTNVGESGTYKVSVSSPNSVKVSVEPQTLSFTAMNEKKSYTVTFSAPAAMASGTNMFGRIEWSDGKHVVGSPVAITWS >itb05g18820.t1 pep chromosome:ASM357664v1:5:25489583:25490968:-1 gene:itb05g18820 transcript:itb05g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDTCILRPCLHWIQSADAQGNATIFVAKFFGRAGLLSFISAVPENQRPSLFQSLLYEAAGRTVNPVNGAVGLLGSGKWHVCQAAVETVLRGGELRPIPEFLGGVADGDEGSDCTAQKLSNLPDGSSKGLKVAADLDLSLTPGFQASHTPLPGKQRWPPRTLSMNSEESITTTTTCFEGGFGIGDQGGVEPKLLNLF >itb04g19960.t1 pep chromosome:ASM357664v1:4:24399402:24400248:1 gene:itb04g19960 transcript:itb04g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQTIKSINWAVLLNQSSRKWALPDSATQCHLSLSSKPSKTRNGKTSTSLKITDSVKGKLILGAKLLRAGGVQKVFKKNFGVREGEKLLKASQCCLSTTAGPLPGLLFVSTEKIAFLSERSIRVPSSSGKSMRVHYKVLIPIAKIKTANESKNLKNPSEKYVQVVTEDHFEFWFMWFQQHQRTLKYLQDAISQSAQYP >itb07g23840.t2 pep chromosome:ASM357664v1:7:28177216:28180505:-1 gene:itb07g23840 transcript:itb07g23840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDIRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAVETLIVWENLDITRYVLKNNTSGETIIKHLNKDQEADQSNFRDPETNAELEVQDKMPLLEWFANEYRRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDVRAFDDFSDDGGVYEDSD >itb07g23840.t4 pep chromosome:ASM357664v1:7:28177216:28180297:-1 gene:itb07g23840 transcript:itb07g23840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDIRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAVETLIVWENLDITRYVLKNNTSGETIIKHLNKDQEADQSNFRDPETNAELEVQDKMPLLEWFANEYRRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDVRAFDDFSDDGGVYEDSD >itb07g23840.t1 pep chromosome:ASM357664v1:7:28177216:28180505:-1 gene:itb07g23840 transcript:itb07g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDIRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAVETLIVWENLDITRYVLKNNTSGETIIKHLNKDQEADQSNFRDPETNAELEVQDKMPLLEWFANEYRRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDVRAFDDFSDDGGVYEDSD >itb07g23840.t3 pep chromosome:ASM357664v1:7:28177216:28180297:-1 gene:itb07g23840 transcript:itb07g23840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDIRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAVETLIVWENLDITRYVLKNNTSGETIIKHLNKDQEADQSNFRDPETNAELEVQDKMPLLEWFANEYRRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDVRAFDDFSDDGGVYEDSD >itb01g24860.t1 pep chromosome:ASM357664v1:1:30368360:30372908:1 gene:itb01g24860 transcript:itb01g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGISERLLSSEVEKTNDDLKVRVYDESRKIWRVALPGVLSRVASFGSIIVTQSFIGHIGELDLAAYALVQTLTVRFVNGILIGMSSATETLCGQAYGAGQYHMMGIYLQRSWIVDFITLTVLLPFFIFATPIFKLLGQEESIADAAGYVSYWFIPVVYNFVFSLTIQMYLQAQQKNMIIAWLSVAQFVIHIPLSWLLVYQFEFGVPGAMIAHIFGGWCPNTWRGFTMDALKDIFPVVKLSISSGVMVCLELWYNAVLVLLAGYMKNAEVAISAFSICLNINGWEFMISLGFLGAACVRVANELGRGDAKATRFSIKVLVSTSILVGLFFWILCLAFGSKIGYLFTNEKEVADAVSELSLLLAFSVLLNSIYPVLSGVAVGAGLQSTVAIINLCCYYLIGIPIGAVLGYVANLEVQGIWLGMICGVVTQSIALCYMTWRTDWDNEVAKAKQRLQKFYLKSDESNQLA >itb12g24700.t1 pep chromosome:ASM357664v1:12:26138756:26140143:-1 gene:itb12g24700 transcript:itb12g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQHHQMSLLSFSTCGHKSTSIYAHFHLTFHILNPTLFQNPQSSISIHPMISNSATIVASSDDLLTEIFLYLPVKSLLRFKSVSKRWLSLITHPYFHTRRKKSVSGIVFKKSNSTLDFPEFDFISLDGSDPPFRTLEFGDEKCGMAVQSSCNGLLCCSSFKVEDNRRRFYVYNPSTRSFAALPDSQGIGRVHSVTLAFDPTKSPHYKVVLVVFSGTEAPFQLEVYSSETREWKRVQRPLFRDLNYKLGVYWNGNVNWFGNNVETGLHFNVEKERFGEMAMPPMPDQKRVRYMQESCGHLHLIDIHGPKTTQFKVYEMERDYSGWFVKYQVDIENVMNAFPESISKNLEASDKFYYKFLTASIVRDGDRDEDGDSFLVLSVPGKVVRYNFTDNSFTVLRDFGSELFGNSLMLGWFDCFQYIESFSCV >itb11g01400.t1 pep chromosome:ASM357664v1:11:650157:650882:1 gene:itb11g01400 transcript:itb11g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQENLSSSDLSLLESISQHLLNDYDFSDVLSTKSSSYELTDFTDSHNSSFDFSDMLLRTNSSYELTDSTNSSHELTDFTNDHDSSSHMGNEGRAVARESEAAGDWRRFRGVRRRPWGKFAAEIRDPERRGFRIWLGTYEKPEDAALAYDRAAYKMRGSRAVLNFPHLIGSPDAPEPVRVRPRRRLQSSPPHAPPSSSVGSQSPMKRRRKIELINAVAKANLMNAISGIQLGHSPLLTPKF >itb06g20280.t1 pep chromosome:ASM357664v1:6:23316480:23317910:-1 gene:itb06g20280 transcript:itb06g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACHNHEASFYCVADEAALCSACDHRVHHANKLANKHQRFSLLQPSAKQGPLCDICQERNGFFFCQQDRAILCRECDVPIHKANEHTQKHNRFLLTGVKLSATSAVYSSSSSSSSSSSSSSSSPTVAFSANSPSSLKSQNSRIQPAVIPPPAVVSPAIHNPLSKPTNKPPISSNVQGVTAAIKGTGNGQLMNGGGNGSTTSSILEYLEMLPWYHVEELLDSSHGLCKIGLSDAFPQTLHLQANQNPDFSARTIAFGDQIGFEDSIKEIASTKASRTWRNDNNNNSFAVPQPQINQPPTTFKRLRTSY >itb07g10690.t1 pep chromosome:ASM357664v1:7:11825145:11827163:1 gene:itb07g10690 transcript:itb07g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLDTVRSVEVTELNVMASGSIEMNKNVLSSTSSIVLGEQLPNDKNKTSRHPRWTRPETLVLIEGKKIAEDRKGCGTSSVLGCAQIKPKWDLVSSYSKQNGVNRGPVQCRKRWSNLISDFKKIRTWELQVKEKRDSYWMMMNDLRKMRKLPGFFDREIYDVLKGKAFIEAKHQLAGTDVNTGHHMETGEGGDEVQDNGKAQQVLGSGHHKIADSGILSDFEPTPQWNEDDSTEEGITPTITIPSPVSISGTAKGEHPSSHFWKGPPLQEGVKRRRLFSDCCENSNLEDCLAKVMERNGNMLNAELEARNMNVQLDREQCKEQSESLVAALNKIGDALVRIADKL >itb01g19400.t1 pep chromosome:ASM357664v1:1:25472981:25480169:-1 gene:itb01g19400 transcript:itb01g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEPSQTRWSFQDFKLFYDIKFGRKKSSVAKDEAENRQTLSNGSSPVATSNGNGYVKNTTDLSIYEQYNQERGSSTHSNGVSSARIDEKPQRSLLPPFESAEMRALGESLSRDIIRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARKAMFEELLPSASDGEHLPYDLLVEKTEGYSGSDIRLLCKEAAMQPLRRLMAFLEEKQEMVPEDELPKVGPITERDIDVALRNTRPSAHLHAHRYDKFNDDYGSQVLQ >itb01g19400.t2 pep chromosome:ASM357664v1:1:25472981:25480169:-1 gene:itb01g19400 transcript:itb01g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEPSQTRWSFQFGRKKSSVAKDEAENRQTLSNGSSPVATSNGNGYVKNTTDLSIYEQYNQERGSSTHSNGVSSARIDEKPQRSLLPPFESAEMRALGESLSRDIIRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARKAMFEELLPSASDGEHLPYDLLVEKTEGYSGSDIRLLCKEAAMQPLRRLMAFLEEKQEMVPEDELPKVGPITERDIDVALRNTRPSAHLHAHRYDKFNDDYGSQVLQ >itb12g27650.t1 pep chromosome:ASM357664v1:12:27923178:27924819:1 gene:itb12g27650 transcript:itb12g27650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWTLITHLHTLAGPVTMLLYPLYASVVAIETTSKLDDEQWLAYWILYSFLTLMEMLLEPMLQWIPIWYDVKLLFVAWLVLPQFRGAAFIYDKLVREKLIKRYGAGFLQQQEQKKSPNGKAKTKTKFVDFITPKKSEHEVS >itb12g27650.t2 pep chromosome:ASM357664v1:12:27923178:27924819:1 gene:itb12g27650 transcript:itb12g27650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWTLITHLHTLAGPVTMLLYPLYASVVAIETTSKLDDEQWLAYWILYSFLTLMEMLLEPMLQWIPIWYDVKLLFVAWLVLPQFRGAAFIYDKLVREKLIKRYGAGFLQQQEQKKSPNGKAKTKTKFVDFITPKKVSKRFPFLCSPLTL >itb09g12340.t2 pep chromosome:ASM357664v1:9:7787877:7796073:1 gene:itb09g12340 transcript:itb09g12340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPNPSVLIHSRFHHHHRLATVFRSLHGHLNLSPFSLSGRTFRPHSTSPSSSSLSVESSAESPTQNTRSGRSGSIASPPVHLDVLQKIDVNPPKGTRDFPPEDMRLRTWLFQNFREVSQSFGFEEVDFPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPDNTAEAELIASIVCFFKRIGITAADVGFKVSSRKVLQEVLYHYSVPENMFSRVFIVIDKLEKIPIDEIKKELKSAGLSDVAIEELLQILSVKSLAKLEEKLGAAGQALSDLKQLFSLAEQYGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDRLLSTFGGDDLPACGFGFGDAVIVELLKEKGLIPKLSQQVEYIVCSLDRDLQGAASSVAAKLREKGQSVDLVLENKPLKWVFKRAARINARKLILVGSAEWQKGMVGVKILSTGEQYEVKVNEL >itb09g12340.t1 pep chromosome:ASM357664v1:9:7787877:7796073:1 gene:itb09g12340 transcript:itb09g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNKVSQSFGFEEVDFPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPDNTAEAELIASIVCFFKRIGITAADVGFKVSSRKVLQEVLYHYSVPENMFSRVFIVIDKLEKIPIDEIKKELKSAGLSDVAIEELLQILSVKSLAKLEEKLGAAGQALSDLKQLFSLAEQYGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDRLLSTFGGDDLPACGFGFGDAVIVELLKEKGLIPKLSQQVEYIVCSLDRDLQGAASSVAAKLREKGQSVDLVLENKPLKWVFKRAARINARKLILVGSAEWQKGMVGVKILSTGEQYEVKVNEL >itb11g00690.t1 pep chromosome:ASM357664v1:11:307141:310711:1 gene:itb11g00690 transcript:itb11g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHFVLRMLGKRHFHCLPTFYLICLLLITTGPQNAEADSNKGSYIVFLKDHHPVDEETAFQNHIDLLSSLKGSSLEATESHVYSYTRVFNAFAAKLSEDEADELSRLPEVASVIPNRYHKLHTTRSWEFIGLPPTARRNLKAESNIIVGVLDTGITPQSQSFRDDGLGPPPAKWKGSCGHFLNFSGCNNKLIGAKYFKLDRTHDPSDILSPIDVDGHGTHTSSTIAGSLVQGANLFGLARGVARGAVPAARVAAYKVCWASSGCSDQDILAGFESAIVDGVDVISISIGGLTGSYTSDAIAVGSFHAMRRGILTVASAGNDGPNYNTVSNHAPWILTVGASSIDRQFRSEVVLGSGQTISGIGVSTIEPKQRSYPVTTGADVAKNSESKDVSKYCLEDSMDPGKVKGKLVYCILGGNWGADSVVKGLGGIGTVIESEQYLDSAPIFMAPATIVNSTTGKKITDYIHSTRSPSAVIHKSQEVKIKAPFVASFSARGPNPGTQHLLKPDVVAPGIDILAAYTLMKSLTGLKGDTQFSEFTLMSGTSMACPHVSGAAAYVKSFHPNWSASAIKSALLTTATPMSSRVDREAEFAYGAGQVNPAKARSPGLVYDMEDMSYIQFLCHEGRENSSSLATLVGRPVNCSKLIPANGEDAINYPTMQLALRSDKEPTVAVFRRTVTNVGQAQSVYNATIRARKGLEITVKPMTLSFSQVEQKRSFKVVVKAKPLSSALIVSGSLIWRSSRHIVRSPIVIYDPKNFD >itb11g00690.t2 pep chromosome:ASM357664v1:11:307141:310711:1 gene:itb11g00690 transcript:itb11g00690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHFVLRMLGKRHFHCLPTFYLICLLLITTGPQNAEADSNKGSYIVFLKDHHPVDEETAFQNHIDLLSSLKGSLEATESHVYSYTRVFNAFAAKLSEDEADELSRLPEVASVIPNRYHKLHTTRSWEFIGLPPTARRNLKAESNIIVGVLDTGITPQSQSFRDDGLGPPPAKWKGSCGHFLNFSGCNNKLIGAKYFKLDRTHDPSDILSPIDVDGHGTHTSSTIAGSLVQGANLFGLARGVARGAVPAARVAAYKVCWASSGCSDQDILAGFESAIVDGVDVISISIGGLTGSYTSDAIAVGSFHAMRRGILTVASAGNDGPNYNTVSNHAPWILTVGASSIDRQFRSEVVLGSGQTISGIGVSTIEPKQRSYPVTTGADVAKNSESKDVSKYCLEDSMDPGKVKGKLVYCILGGNWGADSVVKGLGGIGTVIESEQYLDSAPIFMAPATIVNSTTGKKITDYIHSTRSPSAVIHKSQEVKIKAPFVASFSARGPNPGTQHLLKPDVVAPGIDILAAYTLMKSLTGLKGDTQFSEFTLMSGTSMACPHVSGAAAYVKSFHPNWSASAIKSALLTTATPMSSRVDREAEFAYGAGQVNPAKARSPGLVYDMEDMSYIQFLCHEGRENSSSLATLVGRPVNCSKLIPANGEDAINYPTMQLALRSDKEPTVAVFRRTVTNVGQAQSVYNATIRARKGLEITVKPMTLSFSQVEQKRSFKVVVKAKPLSSALIVSGSLIWRSSRHIVRSPIVIYDPKNFD >itb01g31830.t1 pep chromosome:ASM357664v1:1:35435562:35439314:-1 gene:itb01g31830 transcript:itb01g31830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMTSNSSPSSSIGSEERSEMSEDEEPSRPSSSASDLGGGGGAAKSRICSTGGGGDHCFVPSYSDQVLENVLENVLCFLSDRRDRNNASLVCKSWFKAEAMTRSEVFIGNCYAVSPKRVTRRFAQVTSMSVKGKPRFADFGLLPRDWGARFSPWVAAMAEAYRALEKLYLKRIDVSNEDLMMLARSFPNFKELVLVCCEEFGTSGLATVACECRKLRVLDLIDCDVKDDEVDWISCFPETKTCLESLIFDCVDCDVNFQALEQLVMRSPSLKKLRLNGHVSIVQLYRLMVRAPQLTNLGTGSFGASEEEAELELDYTSAFAACKSLVCLSGFREILADYLPAIYPVCTNLTSLNFSYASISAEQLKPVICHCHKLQILWVLDSVCDEGLKAVAATCKDLRELRVFPADAREDAEGPVSEVGLLAISEGCRKLQSILYFCQKMTNAAVIAMSKNCPDLEVFRLCIMGRHRPDHLTGEPMDEGFGAIVKNCKKLTRLSTSGLLTDQAFSYIGKYGKLVRTLSVGFAGDSDLGLKYVLEGCPKLQKLEIRDCPFGDSALHSGIHHYYNMRFVWLSACKVTHQCCKEIAHQLPRLVVEVIDGNVMGRDANVCDTVYMYRSLDGPRCDAPKFVQIF >itb08g05530.t3 pep chromosome:ASM357664v1:8:4561409:4563219:1 gene:itb08g05530 transcript:itb08g05530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWLPFPVTREGDLSCNIDVQRAIPSLKITYGDSMHKVLHVGPDTCSVVSKLLTEEDTEAWGVEPYDIEDADRHCKKLVHKGIVRVADIKFPFPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSGGVIVFTGYPHNHKPKNADNPKSGRQAKLRSATWWVRYFIQTSLEQNDAAIKKFEQAAFKRSYHPSCQVFHLKSYR >itb08g05530.t1 pep chromosome:ASM357664v1:8:4559882:4563219:1 gene:itb08g05530 transcript:itb08g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPINPSRRVPGSGAASLSASVRSKPSPPYLRIGLVVLGIFLVTGYFYRDSGGDIGGVSRVEGDLSCNIDVQRAIPSLKITYGDSMHKVLHVGPDTCSVVSKLLTEEDTEAWGVEPYDIEDADRHCKKLVHKGIVRVADIKFPFPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSGGVIVFTGYPHNHKPKNADNPKSGRQAKLRSATWWVRYFIQTSLEQNDAAIKKFEQAAFKRSYHPSCQVFHLKSYR >itb08g05530.t2 pep chromosome:ASM357664v1:8:4559882:4563219:1 gene:itb08g05530 transcript:itb08g05530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPINPSRRVPGSGAASLSASVRSKPSPPYLRIGLVVLGIFLVTGYFYRDSGGDIGGVSRVEGDLSCNIDVQRAIPSLKITYGDSMHKVLHVGPDTCSVVSKLLTEEDTEAWGVEPYDIEDADRHCKKLVHKGIVRVADIKFPFPYRAKSFSLVIVSDALDYLSPRYLNRTLPELARVSSGGVIVFTGYPHNHKPKNADNPKSGRQAKLRSATWWVRYFIQTSLEQNDAAIKKFEQAAFKRSYHPSCQVFHLKSYR >itb03g05840.t2 pep chromosome:ASM357664v1:3:4133930:4134860:1 gene:itb03g05840 transcript:itb03g05840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKWAAVCWCVWKGRNEVIWNNVAWSENNIRYAASRLFNEWSETASFNSSGFFVTAPITTVVSPVDNVLKVYVDAAVFPDIRRAFYGVVVNDGNGVFVAATNGHLQCLADPHLAEALAIKEALSWCKDRNFARISIFSDCQIVCNLFNGSLPDHSYAGCVINECRTLKRHFEVVSLQFIQRSANKLAHALARAARSQFDSSSWFSSIPLCIEHFY >itb03g05840.t3 pep chromosome:ASM357664v1:3:4133930:4134867:1 gene:itb03g05840 transcript:itb03g05840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTFTTLVSRHIDVQRECPLCNTQSETIKHLLCDCSQVLPIWSEFPADFLPTSDESFGAWLFRRLTADDAMLSMKWAAVCWCVWKGRNEVIWNNVAWSENNIRYAASRLFNEWSETASFNSSGFFVTAPITTVVSPVDNVLKVYVDAAVFPDIRRAFYGVVVNDGNGVFVAATNGHLQCLADPHLAEALAIKEALSWCKDRNFARISIFSDCQIVCNLFNGSLPDHSYAGCVINECRTLKRHFEVVSLQFIQRSANKLAHALARAARSQFDSSSWFSSIPLCIEHFY >itb03g05840.t1 pep chromosome:ASM357664v1:3:4133725:4134867:1 gene:itb03g05840 transcript:itb03g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDQNLLVNQGWKLLWKLKVPPNVRNFLWRCACMVLPTFTTLVLPIWSEFPADFLPTSDESFGAWLFRRLTADDAMLSMKWAAVCWCVWKGRNEVIWNNVAWSENNIRYAASRLFNEWSETASFNSSGFFVTAPITTVVSPVDNVLKVYVDAAVFPDIRRAFYGVVVNDGNGVFVAATNGHLQCLADPHLAEALAIKEALSWCKDRNFARISIFSDCQIVCNLFNGSLPDHSYAGCVINECRTLKRHFEVVSLQFIQRSANKLAHALARAARSQFDSSSWFSSIPLCIEHFY >itb12g23400.t1 pep chromosome:ASM357664v1:12:25135835:25138582:-1 gene:itb12g23400 transcript:itb12g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARGENAVPHVLIFPLPIQSPVNSMLKLAELLCLAGVHVTFLITKHNHQRLLRSTDGVESRFDRYPGSFGFEIISDGFPEDHPRSVDDFLDLLNCLQGVAEPHLRQVFLRPEKGRKVTCGIVEAKFSYAFEIGSEVGVPVFAFETISPCCLGVFLCIPQLFEAGKLPLTKGEDLETSVDVVPGMEGLLKVRDLPRFCRTEGPQAEKSRKLFMAKIQSLRKAQGLILNSFEELEGPILPHIRTHFPNTTYLIGPVQQHLKTRLAEMPPSSNSFWREDKTCIQWLDEQPDESVIYVSFGSLSTLTMAQLMEVFHGLVTSGVRFLWVLRPDILKAGEELSDQNMVTQLKKNCSQNGQIVSWAPQEQVLAHRAVGGFWTHSGWNSTLESIIAGKPMICWAQIGDQRITRRVVSEVWKIGVDMEDKCDRLSIEKMVKELMGSRRQELKKSAQKFSKLAKKSVNNGGSSYTSFDHLINDIRRLSSIEHS >itb01g31060.t1 pep chromosome:ASM357664v1:1:34883678:34887234:1 gene:itb01g31060 transcript:itb01g31060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNFIEKKKQKLLDEMAAIVQRLKGIGAVAAVSLVRLKETPHLYSQIFLRMIEQFRIHFLPSLVHRTSDPPWSSSSLYTS >itb01g31060.t2 pep chromosome:ASM357664v1:1:34883678:34886204:1 gene:itb01g31060 transcript:itb01g31060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNFIEKKKQKLLDEMAAIVQRLKGIGAVAAVSLVRLKETPHLYSQIFLRMIEQFRIHFLPSLVHRTSDPPWSSSSLYTS >itb06g17570.t1 pep chromosome:ASM357664v1:6:21375850:21380348:-1 gene:itb06g17570 transcript:itb06g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFARAVEDGLRLSRRVYLGKDRAVAPPRPMSAMEKATHSYLPTAPMLYAVIENPAIVDNPDIPSYQPHVHGRCDPPALIPLQMNSSSLDADCYLDTAFLTFTGSWRVHCVKGSKFCDCRIAIPMGEQGSIQSVEVEVPRGLYRTQLVAIDDKTESEKATQVEDGSYLKPHIFTVKIPQIDGGTNLLVTISWSQKLSYYDGEFSLKIPFSFPEYVTPAAKKISKREKIKLNVNCGLGTQVLCRTTSHPLKELRRQAGRLGYLYEADVINWSSNDFVFTYSISSSQTFGGVLLQSPSALDIDQREMFCCYLFPGIEQRKMVFRKEVVFVVDISGSMRGQPLEDTKSALFAALSKLDSQDAFSIIAFNDQTYRFSSSLELATKEAIENATQWIHMNFVAGGGTNILNPLNQALEMFSNTTSKAIPLIFLITDGAAEDERHICDVLKSHQTKNRTISPRVCTFGIGRFCNHYFLRMLAMLGRGFYDAAYDVDSIEARMQNLFATASSIILANICIDFETLHVEDFEVYPYQIPDLSSGSPLVLSGRYNGLFPKNLEARGILADLSNFSVDLKVQETKDIPLDKVLPKHQIDILTAQAWLTENKKLEEKIAKMSVQNAVASEYTRMTVIGTERTKGTIDSTKTKKVHDPQNLEEPKQQKIIVLQNLGLGFGNLTATAENTRPGSVESKPDAAELFVKAASSCCSMLCEKLCCMCCIQTCSKMNDQCSILMTQLLGSLACLGCFSCCELCCSGDEG >itb06g17570.t2 pep chromosome:ASM357664v1:6:21376672:21380348:-1 gene:itb06g17570 transcript:itb06g17570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFARAVEDGLRLSRRVYLGKDRAVAPPRPMSAMEKATHSYLPTAPMLYAVIENPAIVDNPDIPSYQPHVHGRCDPPALIPLQMNSSSLDADCYLDTAFLTFTGSWRVHCVKGSKFCDCRIAIPMGEQGSIQSVEVEVPRGLYRTQLVAIDDKTESEKATQVEDGSYLKPHIFTVKIPQIDGGTNLLVTISWSQKLSYYDGEFSLKIPFSFPEYVTPAAKKISKREKIKLNVNCGLGTQVLCRTTSHPLKELRRQAGRLGYLYEADVINWSSNDFVFTYSISSSQTFGGVLLQSPSALDIDQREMFCCYLFPGIEQRKMVFRKEVVFVVDISGSMRGQPLEDTKSALFAALSKLDSQDAFSIIAFNDQTYRFSSSLELATKEAIENATQWIHMNFVAGGGTNILNPLNQALEMFSNTTSKAIPLIFLITDGAAEDERHICDVLKSHQTKNRTISPRVCTFGIGRFCNHYFLRMLAMLGRGFYDAAYDVDSIEARMQNLFATASSIILANICIDFETLHVEDFEVYPYQIPDLSSGSPLVLSGRYNGLFPKNLEARGILADLSNFSVDLKVQETKDIPLDKVLPKHQIDILTAQAWLTENKKLEEKVNSSHNSIIL >itb05g18980.t2 pep chromosome:ASM357664v1:5:25613305:25618738:-1 gene:itb05g18980 transcript:itb05g18980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSQSPCFPALCRNRRTFSHTSTTIWTPRFRSYFDLRRLQVEFRSPNPSSSSRYRSKDVSAQCDAFGETAGESIEASSALKDELFIRFFREAWPYFRAHRGSTFVVLISAEIVDSPHLDALLMDISLLHGLGIKFVLVPGTHVQIDSLLSERGSEPKYVGRYRMTDADSLEAAMDAAGRIRILLEAKLSPGPSLSGVRRHGDNSRWYDGVSVTSGNFLAAKRRGVVEGIDYGSTGEVKKIDVSRIRERLDQDCIVLISNLGYSSSGQVLNCNTYEVATACAVALGAEKLICIIDGPILDESGRLIRFLTLQDADMLVRKRAEQSETAATYVKAVSHEELSSLGYKASNGSVLSQNGNGFSHRYNATFQNGVGFDNGNGLWSGEQGFAIGGQERLSRLYGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARATDIPGIKQILQPLEEFGTLIRRTEEELLEALDSFIVVEREGQIIACAALFPYFKEKCGEVASIAVSPDCRGQGQGDKLLDYIEKKASSHGIQKLFLLTTRTADWFIRRGFSECSIDQIPVERRKKINLSRGSKYYMKKLQPDRSGIRFGSVIA >itb05g18980.t3 pep chromosome:ASM357664v1:5:25615733:25619740:-1 gene:itb05g18980 transcript:itb05g18980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEPYFAAHRGSTFVVVLSAEIVDSPHLSSILEDISLLHGLGIKFVLVPGTHVQIDSLLSERGSEPKYVGRYRMTDADSLEAAMDAAGRIRILLEAKLSPGPSLSGVRRHGDNSRWYDGVSVTSGNFLAAKRRGVVEGIDYGSTGEVKKIDVSRIRERLDQDCIVLISNLGYSSSGQVLNCNTYEVATACAVALGAEKLICIIDGPILDESGRLIRFLTLQDADMLVRKRAEQSETAATYVKAVSHEELSSLGYKASNGSVLSQNGNGFSHRYNATFQNGVGFDNGNGLWSGEQGFAIGGQERLSRLYGYLSELAAAAFVCRVSHYFPSPTLRVQKNTKICKFITKIFLLVLIFLQLLSCDIFSLTKICCIKTYHVKCVCLSIVSAL >itb05g18980.t1 pep chromosome:ASM357664v1:5:25613305:25619844:-1 gene:itb05g18980 transcript:itb05g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKQSCLFSVRREEHLVLRLGSSLVWRKTCAAAGSPLKLRCCGGVGCSSPSPSPEKRGVDGGDDQFVRVMREAEPYFAAHRGSTFVVVLSAEIVDSPHLSSILEDISLLHGLGIKFVLVPGTHVQIDSLLSERGSEPKYVGRYRMTDADSLEAAMDAAGRIRILLEAKLSPGPSLSGVRRHGDNSRWYDGVSVTSGNFLAAKRRGVVEGIDYGSTGEVKKIDVSRIRERLDQDCIVLISNLGYSSSGQVLNCNTYEVATACAVALGAEKLICIIDGPILDESGRLIRFLTLQDADMLVRKRAEQSETAATYVKAVSHEELSSLGYKASNGSVLSQNGNGFSHRYNATFQNGVGFDNGNGLWSGEQGFAIGGQERLSRLYGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARATDIPGIKQILQPLEEFGTLIRRTEEELLEALDSFIVVEREGQIIACAALFPYFKEKCGEVASIAVSPDCRGQGQGDKLLDYIEKKASSHGIQKLFLLTTRTADWFIRRGFSECSIDQIPVERRKKINLSRGSKYYMKKLQPDRSGIRFGSVIA >itb05g18980.t4 pep chromosome:ASM357664v1:5:25615733:25618738:-1 gene:itb05g18980 transcript:itb05g18980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSQSPCFPALCRNRRTFSHTSTTIWTPRFRSYFDLRRLQVEFRSPNPSSSSRYRSKDVSAQCDAFGETAGESIEASSALKDELFIRFFREAWPYFRAHRGSTFVVLISAEIVDSPHLDALLMDISLLHGLGIKFVLVPGTHVQIDSLLSERGSEPKYVGRYRMTDADSLEAAMDAAGRIRILLEAKLSPGPSLSGVRRHGDNSRWYDGVSVTSGNFLAAKRRGVVEGIDYGSTGEVKKIDVSRIRERLDQDCIVLISNLGYSSSGQVLNCNTYEVATACAVALGAEKLICIIDGPILDESGRLIRFLTLQDADMLVRKRAEQSETAATYVKAVSHEELSSLGYKASNGSVLSQNGNGFSHRYNATFQNGVGFDNGNGLWSGEQGFAIGGQERLSRLYGYLSELAAAAFVCRVSHYFPSPTLRVQKNTKICKFITKIFLLVLIFLQLLSCDIFSLTKICCIKTYHVKCVCLSIVSAL >itb03g15220.t1 pep chromosome:ASM357664v1:3:14613184:14615247:-1 gene:itb03g15220 transcript:itb03g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASPPPMVSSGFPPVGVLLESLINVSSEVVSVEKLPFLQRRNVSTMVRRVKLLSSLFEEIQEASSPLPPSSILCLNELLSVIQKIKLLIESCRDGSCLWNLMQTESVSNQFYAAMKEMGKALDILPLSLLNITVDTKEQVDLLHKQAKRGELVVDPRDVQRRDELLLHVMAAANENSSKKKGFVDLGSAKEILSSIGLRSSLDYEGEISKLEAEAEKQAGTGGLLVVVNINNLISLLSLAKAAVVGDEESLKTREDLRQRAEVNNTRPDLSSSSYSAVCNIPDEFRCPISLDLMRDPVIVASGHSYDRNSIAQWINSGHHTCPKSGQRLIHMALIPNYSLKSLIHQWCQENNIPITEPSASSDLERSSSKRKLCEDAIDHISATKVAMDAVKMTAEFLVGKLATGSPDIQRQAAYELRLLAKTGMDNRRIIAEAGAIPFLVTLLSSHDPRLQENAVTALLNLSIHENNKILIMSAGAIDTIIHVLQSGKTMEARENAAAAIFSLSIIDDYKVIVGSRPTAIPALVGLLGEGTTAGKRDAATALFNLALYDVNKVNVVLAGAVPLLINLLMDDKAGITDDALAVLALLLGCSEGLKEIRKSRVFVPLLVDLLRFGSSKGKENSITLLLGLCKDGGEEVAGKLLMNPRSIPSLQQLAANGSLRARRKADALLRLLNRCCSQSRNPVR >itb14g07740.t1 pep chromosome:ASM357664v1:14:7092617:7093468:-1 gene:itb14g07740 transcript:itb14g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLPFILFILFVAATIATAHHSPSPSDKTKLVKACSEALGPGLGGRSLVTFCARDFLASKASLLAHSGKREAAAIVVNEAHKKAKVVEDFKSKIDSDKSLSKGELKDLKSCWESMSILIKTVGEVYVNVAFKKLSVDVVGKNMDNNIAKAMGQCKFSAAERQGGLWAEFHAKADASFKAQIVALAFMNEYRSIGFVA >itb10g22030.t2 pep chromosome:ASM357664v1:10:27015896:27020369:-1 gene:itb10g22030 transcript:itb10g22030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MKVKKGTERSKEPKKEVEEEEQAKIDAVAKQSEEDYSDESPVVSDDDDEEFSEDNGSLRESGSDEESELSGSEDVFVSSDGDDATNADDLDGAGPSNSNRDDLGHSDVDDDSGSDDSRPEVEESDSSEDEVAPRNTVGNVPLVWYKDEEHIGYDLAGKKIKKKEKQDKLDSFLASADNSKNWRKIYDEYNDEEVELTKEEIKTIRRMLKGKAPHADFDPYAPYVDWFAWDDAKHPLSSAPEPKRRFIPSKWESKKVVKYVRAIRKGLIKFDEKPKEEPNFYLLWGDDSTAMERQGLSYIPAPKPKLPGHEESYNPSLEYIPTEDEIKSYELMFEEDRPKFIPKQFESLRSVPAYDKSVKETFDRCLDLYLCPRAHKKRLNIDPESLKPKLPSRRDLRPYPTTCYLEYKGHSGPVVSICTEPSGQWIASGSNDGTVRIWEVETGRCLRIWELGEAVQHVSWNPVPELPVLAVSVGPDVCLLNVGLGNEEGQKRVEELLRVQTPPVTDDSDSGSLVSWSQDDKFGGIRLKHSKTVASVEWHRKGDYFSVVSPSGDARAIFIHQLSKQNTQRLPFKLHGIPVSTAFHPTRSLFFISTKKVVRVYDLLNPKAIKKLETKLREVSSISIHSSGDHVIVGSRDGKLCWFDMDLSSQPYRVLK >itb10g22030.t1 pep chromosome:ASM357664v1:10:27014130:27020369:-1 gene:itb10g22030 transcript:itb10g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MKVKKGTERSKEPKKEVEEEEQAKIDAVAKQSEEDYSDESPVVSDDDDEEFSEDNGSLRESGSDEESELSGSEDVFVSSDGDDATNADDLDGAGPSNSNRDDLGHSDVDDDSGSDDSRPEVEESDSSEDEVAPRNTVGNVPLVWYKDEEHIGYDLAGKKIKKKEKQDKLDSFLASADNSKNWRKIYDEYNDEEVELTKEEIKTIRRMLKGKAPHADFDPYAPYVDWFAWDDAKHPLSSAPEPKRRFIPSKWESKKVVKYVRAIRKGLIKFDEKPKEEPNFYLLWGDDSTAMERQGLSYIPAPKPKLPGHEESYNPSLEYIPTEDEIKSYELMFEEDRPKFIPKQFESLRSVPAYDKSVKETFDRCLDLYLCPRAHKKRLNIDPESLKPKLPSRRDLRPYPTTCYLEYKGHSGPVVSICTEPSGQWIASGSNDGTVRIWEVETGRCLRIWELGEAVQHVSWNPVPELPVLAVSVGPDVCLLNVGLGNEEGQKRVEELLRVQTPPVTDDSDSGSLVSWSQDDKFGGIRLKHSKTVASVEWHRKGDYFSVVSPSGDARAIFIHQLSKQNTQRLPFKLHGIPVSTAFHPTRSLFFISTKKVVRVYDLLNPKAIKKLETKLREVSSISIHSSGDHVIVGSRDGKLCWFDMDLSSQPYRVLKCHPKDINKVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHAIENGRGVLDCTFHPRQPWLFTAGADSVIKLYCD >itb01g20530.t3 pep chromosome:ASM357664v1:1:26806464:26810833:1 gene:itb01g20530 transcript:itb01g20530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPKKIVKEEALTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLALTLLHMVFSSVACFLLTKVFKIMKVEPGMTLEIYIWSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSIISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISVMYYVSPCSAICLLVPWIFLEKPKMDAQETWSFQPLILSLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALIFADTKLTLINIFGYAIGNFIFSFGFTFALYGSLTYLGCAWFMGLDTSIVWLTTF >itb01g20530.t1 pep chromosome:ASM357664v1:1:26806464:26810833:1 gene:itb01g20530 transcript:itb01g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPKKIVKEEALTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLALTLLHMVFSSVACFLLTKVFKIMKVEPGMTLEIYIWSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSIISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISVMYYVSPCSAICLLVPWIFLEKPKMDAQETWSFQPLILSLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALIFADTKLTLINIFGYAIAIAGVAAYNNHKLKKEASRTSTNDESEPTQSIPLVSSNSEK >itb01g20530.t2 pep chromosome:ASM357664v1:1:26806464:26810833:1 gene:itb01g20530 transcript:itb01g20530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPKKIVKEEALTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLALTLLHMVFSSVACFLLTKVFKIMKVEPGMTLEIYIWSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSIISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISVMYYVSPCSAICLLVPWIFLEKPKMDAQETWSFQPLILSLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALIFADTKLTLINIFGYAIAIAGVAAYNNHKLKKEASRTSTNDESEPTQSIPLVSSNSEK >itb01g20530.t4 pep chromosome:ASM357664v1:1:26808371:26810740:1 gene:itb01g20530 transcript:itb01g20530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSMCRYIWSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSIISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISVMYYVSPCSAICLLVPWIFLEKPKMDAQETWSFQPLILSLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALIFADTKLTLINIFGYAIAIAGVAAYNNHKLKKEASRTSTNDESEPTQSIPLVSSNSEK >itb05g26800.t1 pep chromosome:ASM357664v1:5:30488216:30489479:1 gene:itb05g26800 transcript:itb05g26800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPLYTLVFSEVAILLLLLFKTPLRNPLVMLLGRFKRGRGPVIAKTAGGTLFMVLISIFYNMSRIKTDHLLLANHLLEASLLGFSLFLAMMIDRIHYYIKELQTMRKDLEAATKQIHTRSSKEIDKTASKDD >itb12g19730.t3 pep chromosome:ASM357664v1:12:22132667:22137252:-1 gene:itb12g19730 transcript:itb12g19730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAACAAFSPIDNPRPKSDAKTSGKQLGGTAPASIDARGLKSKSSPSGNMQVKANTQAPPKVHRSKTGVMDKTDDEVISSSSPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRSDSFIDSFGLGGFVQQDGSLFRQNFSIRSYEIGADRTASIETMMNHLQETALNHAKSAGLLSGGFGSTPEMCKKNLIWVVSKMQVVVDRYPTWGDVVQVDTWIAASGKNGMRRDWVIHDSNTGDMLMRASSLWVMMNKETRKLAKMDDEVRAEIGSYFVDVPPVIDEDGKRLPKLDDERADYVRTGLTPKWTDLDVNQHVNNVKYIAWILEVHNLLPFPRLTFFFS >itb12g19730.t2 pep chromosome:ASM357664v1:12:22132637:22137253:-1 gene:itb12g19730 transcript:itb12g19730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAACAAFSPIDNPRPKSDAKTSGKQLGGTAPASIDARGLKSKSSPSGNMQVKANTQAPPKVHRSKTGVMDKTDDEVISSSSPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRSDSFIDSFGLGGFVQQDGSLFRQNFSIRSYEIGADRTASIETMMNHLQETALNHAKSAGLLSGGFGSTPEMCKKNLIWVVSKMQVVVDRYPTWGDVVQVDTWIAASGKNGMRRDWVIHDSNTGDMLMRASSLWVMMNKETRKLAKMDDEVRAEIGSYFVDVPPVIDEDGKRLPKLDDERADYVRTGLTPKWTDLDVNQHVNNVKYIAWILESAPLPILESHELASMTLEYRRECRKDSTVQSLTSILGNDIGNLAGSGSIECQHLLRLEGGTEIVKGRTEWRPKSASGFGSMAELPTESG >itb12g19730.t4 pep chromosome:ASM357664v1:12:22132637:22137292:-1 gene:itb12g19730 transcript:itb12g19730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAACAAFSPIDNPRPKSDAKTSGKQLGGTAPASIDARGLKSKSSPSGNMQVKANTQAPPKVHRSKTGVMDKTDDEVISSSSPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRSDSFIDSFGLGGFVQQDGSLFRQNFSIRSYEIGADRTASIETMMNHLQETALNHAKSAGLLSGGFGSTPEMCKKNLIWVVSKMQVVVDRYPTWGDVVQVDTWIAASGKNGMRRDWVIHDSNTGDMLMRASSLWVMMNKETRKLAKMDDEVRAEIGSYFVDVPPVIDEDGKRLPKLDDERADYVRTGLTVSLLMSFLNAPLCSPHMSSSLVMMLCVSHCSQSGLI >itb12g19730.t1 pep chromosome:ASM357664v1:12:22132463:22137292:-1 gene:itb12g19730 transcript:itb12g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAACAAFSPIDNPRPKSDAKTSGKQLGGTAPASIDARGLKSKSSPSGNMQVKANTQAPPKVHRSKTGVMDKTDDEVISSSSPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRSDSFIDSFGLGGFVQQDGSLFRQNFSIRSYEIGADRTASIETMMNHLQETALNHAKSAGLLSGGFGSTPEMCKKNLIWVVSKMQVVVDRYPTWGDVVQVDTWIAASGKNGMRRDWVIHDSNTGDMLMRASSLWVMMNKETRKLAKMDDEVRAEIGSYFVDVPPVIDEDGKRLPKLDDERADYVRTGLTVSLLMSFLNAPLCSPHMSSSLVMMLCVSHCSQSGLI >itb09g31010.t1 pep chromosome:ASM357664v1:9:31534862:31537180:1 gene:itb09g31010 transcript:itb09g31010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNFLNFNIKSPLYCPKPSEAFNLHAAPSNLFLSSSKHFECPSSLFPPPNQSVAAIVFGDGSHSQLYPLTKRRSEAALPIAGNYRLVDAVVSNCINSNITKIYALTQFNSTSLNSHLSRAYSSARFGKDGGFVEVVAAYQSPEGKGWFQGTADAIRRCLWILEEYPFFELLVLPGYHLYKMDYQKLIEVHRNNNADITVAVSSNTSRHDDEDSGFGFFHVNSENKVTSFNEKPEIPAAETSVKINGIGNDSFPSMGIYVVSRDAMIKLLTEHFPLANDLRSEIIPGAISLEMKVYAYKFDGYWEDMRNIEAYYRSNMESTKKTNNAYNFYDRYSPLYTLPRHLPPSLITDAVITDSAIGDGCILNRCRIKNTVVGMRTRVGEGAVIEDSVVLGSDNYESISTEEETIRTPLGIGEGSHIKKAIIDKNARIGKNVKILNRDNVQEGNNEAAGYIVSRGIVVILRSAVIPDGSIV >itb13g05390.t2 pep chromosome:ASM357664v1:13:6433993:6436561:-1 gene:itb13g05390 transcript:itb13g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MLHRFPALTFAPWTQTLPGSCSDKFEYLKPQIGSSKYYAAIGARRAGVFLSLDRTPPSPRDGKTKKKGVPQNVDFPPIQPKNKKKPYPIPLKKILQAARADKKLAQMGMEKPLQPPKNGVLVPELVPVAYQVLDAWKILINGVAQLLHVVPVHACCECSEVHIAERAHEIQDCRGTSGPSRKGCHSWVKGSINDILIPIESYHMYDPFGKRIKHETRFDFDRIPAIVELCIQAGVELPEYPSRRRTKPIRMIGKKIIDIGGYVEEPGPRQSVEIGSSIVDIDTHRAFERFGPPEESEVPVIAQKTMEAYEKVKKGVTKLMKMYTVKACGYCSEIHVGPWGHNVKLCGAFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPKGHPLKSTLKRFYGKAPAVVELCMQAGAKIPNKYLPMMRLDIVLPDTDEAYLIA >itb13g05390.t3 pep chromosome:ASM357664v1:13:6433993:6436131:-1 gene:itb13g05390 transcript:itb13g05390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MGMEKPLQPPKNGVLVPELVPVAYQVLDAWKILINGVAQLLHVVPVHACCECSEVHIAERAHEIQDCRGTSGPSRKGCHSWVKGSINDILIPIESYHMYDPFGKRIKHETRFDFDRIPAIVELCIQAGVELPEYPSRRRTKPIRMIGKKIIDIGGYVEEPGPRQSVEIGSSIVDIDTHRAFERFGPPEESEVPVIAQKTMEAYEKVKKGVTKLMKMYTVKACGYCSEIHVGPWGHNVKLCGAFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPKGHPLKSTLKRFYGKAPAVVELCMQAGAKIPNKYLPMMRLDIVLPDTDEAYLIA >itb13g05390.t4 pep chromosome:ASM357664v1:13:6434008:6435494:-1 gene:itb13g05390 transcript:itb13g05390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MYDPFGKRIKHETRFDFDRIPAIVELCIQAGVELPEYPSRRRTKPIRMIGKKIIDIGGYVEEPGPRQSVEIGSSIVDIDTHRAFERFGPPEESEVPVIAQKTMEAYEKVKKGVTKLMKMYTVKACGYCSEIHVGPWGHNVKLCGAFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPKGHPLKSTLKRFYGKAPAVVELCMQAGAKIPNKYLPMMRLDIVLPDTDEAYLIA >itb13g05390.t1 pep chromosome:ASM357664v1:13:6433993:6436561:-1 gene:itb13g05390 transcript:itb13g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MLHRFPALTFAPWTQTLPVSIFSGSCSDKFEYLKPQIGSSKYYAAIGARRAGVFLSLDRTPPSPRDGKTKKKGVPQNVDFPPIQPKNKKKPYPIPLKKILQAARADKKLAQMGMEKPLQPPKNGVLVPELVPVAYQVLDAWKILINGVAQLLHVVPVHACCECSEVHIAERAHEIQDCRGTSGPSRKGCHSWVKGSINDILIPIESYHMYDPFGKRIKHETRFDFDRIPAIVELCIQAGVELPEYPSRRRTKPIRMIGKKIIDIGGYVEEPGPRQSVEIGSSIVDIDTHRAFERFGPPEESEVPVIAQKTMEAYEKVKKGVTKLMKMYTVKACGYCSEIHVGPWGHNVKLCGAFKHQWRDGKHGWQDATVDEVFPPNYVWHVRDPKGHPLKSTLKRFYGKAPAVVELCMQAGAKIPNKYLPMMRLDIVLPDTDEAYLIA >itb07g08320.t2 pep chromosome:ASM357664v1:7:6513115:6515591:1 gene:itb07g08320 transcript:itb07g08320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLTTSFPMRPIPRNSLKSPKFLVASTLHPCTMEENRLKMKPLINNHQMEIFKSMEDWAEKNLLVHLKPVEKCWQPHDLLPDPASDGFQDHVRELKESAMEIPDEYFVVLVGDMITEEAISTYQTVLNSVDGVKDETGSSLSPWAKWNRGWTAEENRHGDLLNKYIYLSGRVDMRAVEKTIQYLIGSGMDIQIGNNAYNLYMYTSFQERATNISHCNTAKHAKHYGNTKLAKICGTIAADEKRHEVAYTRIVKRLFELDPDGAVQAFATMMRRRITMPAHFLYDGSDPSLFDHFSAVAQRLGVYTARDYADILEFLVGLWDVGDLAGLSPEGRKAQDYVCELAHKIRRVDERAQERAKRAPTIPFSWVHGREVQL >itb07g08320.t1 pep chromosome:ASM357664v1:7:6513115:6515591:1 gene:itb07g08320 transcript:itb07g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLTTSFPMRPIPRNSLKSPKFLVASTLHPCTMEENRLKMKPLINNHQMEIFKSMEDWAEKNLLVHLKPVEKCWQPHDLLPDPASDGFQDHVRELKESAMEIPDEYFVVLVGDMITEEAISTYQTVLNSVDGVKDETGSSLSPWAKWNRGWTAEENRHGDLLNKYIYLSGRVDMRAVEKTIQYLIGSGMIGNNAYNLYMYTSFQERATNISHCNTAKHAKHYGNTKLAKICGTIAADEKRHEVAYTRIVKRLFELDPDGAVQAFATMMRRRITMPAHFLYDGSDPSLFDHFSAVAQRLGVYTARDYADILEFLVGLWDVGDLAGLSPEGRKAQDYVCELAHKIRRVDERAQERAKRAPTIPFSWVHGREVQL >itb09g27500.t1 pep chromosome:ASM357664v1:9:27773333:27774073:-1 gene:itb09g27500 transcript:itb09g27500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFPSLYDDADSCSTSSAVNKGHFTIYTADQKRFVVPLSYLENEIIRQLLSMSEEEFGLASDGPITLPCDAAFLDYIISLLSRGLSRELENALLISLTSYRCSSASLHREGLRNQELLVC >itb12g05960.t1 pep chromosome:ASM357664v1:12:4447796:4451109:-1 gene:itb12g05960 transcript:itb12g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSSMEFPSVKKPAFLNTPKLIPLLALVLIFLTVFPLYYPFRCTDHAEKDKNSSIYTPIDQPKGISVENIVEVEHYPPKKEAENASANLSHPEDLRKESSLESGVELQAKNTGAERLKKCDIFTGEWVPNPEAPYYTNNTCYSIQEHQNCMKFGRPDNGYLKWKWKPDGCELPVFDPREFLELVRGKSLAFVGDSIARNHMQSLVCLLSRVAYPLDISNTTDDNRKRLVYKDYNFNISMYWAPYLVKTGVTYSELNRNPFNLYLDEFDESWTTKIGAFDFVIINAGHWFFRPTNFYLEGKLVGCLYCSEPNVTHMTKDFSYRWALKTAFRAINSLENFKGVAFLRAFAPQHFEHGAFDQGGECVRTEPFKRNDTILKEFEIDMYDIQRQEFSIAEEEGKKKGSKFRLFDVTQAMLLRPDGHPNKYGHLQNLNVTMFNDCVHWCLPGPIDTWSDFFLELLKRELS >itb03g27620.t1 pep chromosome:ASM357664v1:3:27451477:27453854:1 gene:itb03g27620 transcript:itb03g27620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MNNKVVFLRYQIMEIMSKSKCLVLTGHSVGGAIASLATLWLLSYLQTISSPKLSVLCITFGSPMLGTHSLCQSILQERWGGNFCHVVSQHDIVPSLPLSINFPDSPNLSDEYKVEVFTAVLVSLEKLSKGHQCESLYRPFGSYFFCTSMGAICVDNSTAILKLLYFMLTKTSPISSFDDHFKYKDYIDKMNWQFLERRNSLEENLSESSFEAGIMLALQSSGISSHEPNSGEAKECLKMAKKLGRTRNLNSANLAIGLSKINPLRAQIEWYKQLCEDSDDQLGYYDAFKLRGASRKDFKVNMNRIKLGQFWDSLIEKLETNQLPHDFNNREKWVCGSHFYKLLVEPLEIAEYYKTEMHLKKGHYLENGRERRFKIFDKWWNDKKAEPGRNTRRSKFASATQDSCFWARVEEARDRLNKVRSEADSSRRYMLLENIDNFDKYAMRIIDEKEVSKDVLATNSSYSLFVREWRELKSQLQLLLPQYLS >itb11g13660.t1 pep chromosome:ASM357664v1:11:10699373:10704117:1 gene:itb11g13660 transcript:itb11g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPRDLSQERRQPREAALHHCLEIGVAAIKANKSPLDVVELVVRELENIPCFNAGRGSVLTNSGTVEMEACIMDGNTKRCGAVSGLTTVVNAVSLARLVMEKTEHIYLAFEGAEAFAREQGVETVDTSHFITPENIERLKQAKEANRVQIDYTQPIPKVQKEETIPNGDSQIGTVGCVAVDGNGNLATATSTGGLVNKMVGRIGDTPVIGAGTYANRFCAVSATGKGEAIIRATVARDVAALMEYKGISLKEAADYVIQECAPKGTAGLVAVSATGEVAMPFNTTGMFRACATEDGYREVEIW >itb06g21270.t1 pep chromosome:ASM357664v1:6:23916020:23918098:-1 gene:itb06g21270 transcript:itb06g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENSKSNNPLFCLKWPWDTVNPNQNNPQSPATPCTLETPWLFKSFQNLTSLAFSFIHNTSNSRNFSLGIPALKPPPAVKKKLSPEEQSEAEQRAFAAALASAKEATVLEFYSPACQLCNSLLNFVTEVEKRNSDWLNIVYVDATSDQWLPELLNYDINYVPCFVLLDKHGKALAKTGVPNSRLHVVAGVSHLLKMKRPQKKQ >itb13g04650.t2 pep chromosome:ASM357664v1:13:5557415:5559244:1 gene:itb13g04650 transcript:itb13g04650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraketide alpha-pyrone reductase 1 [Source:Projected from Arabidopsis thaliana (AT4G35420) UniProtKB/Swiss-Prot;Acc:Q500U8] MMEGKMKKIDDEEEHAKAKLVCVTGAAGYLASCLIKRLLLCGYTVIGTVRDPGDERKVGHLWKLEGAEERLKLVKADLTEEGSFDDAIMGCEGVFHTASPVLPTPNEGMLKAAVEGSLNVLRSCKKNPCLRRVVLTSSSSAVRARNDFDPKIPLDESSWSSVQLCQNLQLWYALSKTLAERAAWEFCKHNNIHLVTVLPTFVIGPSLPPHLCSTATDVLGLFKGNSLHLHISYYHYNLLTSIIL >itb13g04650.t1 pep chromosome:ASM357664v1:13:5557415:5560458:1 gene:itb13g04650 transcript:itb13g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraketide alpha-pyrone reductase 1 [Source:Projected from Arabidopsis thaliana (AT4G35420) UniProtKB/Swiss-Prot;Acc:Q500U8] MMEGKMKKIDDEEEHAKAKLVCVTGAAGYLASCLIKRLLLCGYTVIGTVRDPGDERKVGHLWKLEGAEERLKLVKADLTEEGSFDDAIMGCEGVFHTASPVLPTPNEGMLKAAVEGSLNVLRSCKKNPCLRRVVLTSSSSAVRARNDFDPKIPLDESSWSSVQLCQNLQLWYALSKTLAERAAWEFCKHNNIHLVTVLPTFVIGPSLPPHLCSTATDVLGLFKGVTEKFQVHGRMGYVHIDDVAASHILVYEQEDAEGRYICNSTVIDIQDLASILSKRYPNLPISNRFIKPEDRQYYEFNTSKLKSLGMKFKSLEEMFDDTVASLMEQGHLSP >itb05g02840.t1 pep chromosome:ASM357664v1:5:2314458:2314870:1 gene:itb05g02840 transcript:itb05g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKKGDAAASANKKNPKKANLLDHGSIKHLLDESVSEIVTSKGYTEDVRLSNIRLLLGIVIITIALFAQFYNKKFPDNRNFLLGCIVLYPFLNLLSQF >itb02g23900.t1 pep chromosome:ASM357664v1:2:24209618:24213488:-1 gene:itb02g23900 transcript:itb02g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSGQVVCVTGAGGFIASWLVKILLEKGYTVRGTVRNPDDQKNCHLRELEGASERLTLLRADLLDYQSLCEAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVITAAAEAGVRRVVFTSSIGAVYMDPSRDPDKVVDETCWSDLDFCKNTKNWYCYGKMVAEKTAWEEAREKGVDVVVINPVLVLGPLLQPTINASVLHILKYLTGSAKTYANSVQAYVHVKDVALAHILLFETPSASGRYLCAESVLHRGDVVEILAKFFPEYPIPTKCSDEIKPRVKPYKFSNQKLKDLGLEFTPVKQCLYETVKSLQEKGHLPLPVQHDEPIRIGS >itb04g13390.t1 pep chromosome:ASM357664v1:4:13467533:13471398:1 gene:itb04g13390 transcript:itb04g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIPVLVLVISFHLIAFVLAVGAERRRSTAKVVPDEYDERTYCVYGTDASTAYGLSAFGLLLISQTVLYCVTKCLCCGRGMMGGKSTSCAIFFFVFSVVSFLGAEACLLAGSARNAYHTKYRGFFRVDHLSCATLRKGVFAAGAALTILSMIGSIFYYWSHSKADTGGWQKHQNEGLGMTMSSFPESGERKG >itb08g02010.t1 pep chromosome:ASM357664v1:8:1602628:1604276:1 gene:itb08g02010 transcript:itb08g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTVSDPKTWVPYMNTRDCSQGFCSLYCPQWCYIIFPPPPPFDFPEDNNSGPSFSPLVIAIIGILASAFLLVSYYAIMSKYCGNRRGRIRESDDNNQRDSDDSEENQDPSIHGQPWNSANTGLDEALIKSITVFKYKKGDGVVIDGSDCPVCLSEFQEDENLRLLPKCSHSFHVNCIDTWLKSHSNCPLCRSNIVFLNPSLPPPIMDPPSTAPEPQNQEQSSAEQEETEAEEAEESAETNNPPKSPERNHHTITIREDNLTRRSVSMDSSPCQARLSIADILRIDHDEECSFHDSQMQEIGSSKAEIRQSVLGCVISPAVMHRSFSSGRFFFPKLNRPPTNTSILPS >itb09g23470.t1 pep chromosome:ASM357664v1:9:22791535:22792368:1 gene:itb09g23470 transcript:itb09g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKIDQTWFLGILTVGFIGGAVCIMAFIQTATAPILCKTAGMLAAAAAAGNSSSSRAHLVDVILHYATSRLTPQQSVQEIRMSMEVVRKVGPANFLVFGLGYDSLMWAALNLGGTTLFLEDDPKFYDAITGPNPNITAHLVKYTTKMSEAGELVQHFRNEAECSATRSFLRGNRRCRLALHMLPDEVYDVEWDLILIDGPKDSYSGAPGRMAPIYSAAVMARNRKRSGVTHVFLHDVHRKVEKVYAELFLCRKYLVDAVGNLWHFQIPPTSNTHFC >itb12g21260.t1 pep chromosome:ASM357664v1:12:23637659:23639174:1 gene:itb12g21260 transcript:itb12g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIRIRIRNPYPYLPADSIFVLKIPYRTLTQICLSILLSLYLVIPAVLHQNCKQQARETMAELETAAAVNGGSTTSKDEQQNKPTNPLLALLSAFLQLFKLPSPKPAAPEEAQMLNPEPQVAKEEEKPSVVKFPRQELPSLKLEAQGPEADTNPVVLWQVYAIGGYFILRWAWTRWNERRGKKAPSNEEEPPPPNDE >itb07g17680.t1 pep chromosome:ASM357664v1:7:21979151:21979468:-1 gene:itb07g17680 transcript:itb07g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATSPRGANKNRRLTTPPFSAGNLCRGPPPERRAFAYCRNAGGSVPRRDRRATPPFPSRIIESRRGRTKKRWIKEGKTGLDCLIIFERTYPKFADSFSKKNAL >itb05g16320.t1 pep chromosome:ASM357664v1:5:23538129:23547004:-1 gene:itb05g16320 transcript:itb05g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGNSGGGEGNAMPEAEKKKEEAVPFFQLFCLADKFDWVLMISGSLGAIVHGSSMPVFFLLFGEMVNGFGKNQADFHKMTHEVSKYALYFVYLGLLVCVSSYAEIACWMYSGERQASALRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYISTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKTRESYSNAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQKTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLFEMIKQKPTIVQDPTDGKSLTEVNGNIEFKNVTFSYPSRPDVFIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGEILLDNVDLRTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAASAANAHGFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMIGRTTVVVAHRLSTIKNVDSIAVIQQGQVVETGTHEELIAKAGGAYASLIKFQEMVGNRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRVEMVSNAETDRKTRAPDGYFCRLLTLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPATMERKTKEFVFIYIGVGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEENNSSLLAARLATDAADVKSAIAERISVILQNMTSLFTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMIAGEGVSNIRTVAAFNAQEKILSLFGVELRVPLRQSCRRCNLSGFLFGLSQLALYSSEALILWYGVHLVSKDLSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVVSVFSILDRPTRIDPDDPDADPVDSVRGDIELHHVDFAYPSRPDVAVFKDLSLRIRAGKSQALVGASGSGKSSVIALIERFYDPISGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAATIFDNIAYGKEDATEAEVMEAARAANVHGFVSALPEGYRTPVGERGVQLSGGQKQRIAIARAVLKNPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTVRNVDSIGVVQDGRIVEHGSHSELISRPEGAYSRLLQLQHHRI >itb05g16320.t2 pep chromosome:ASM357664v1:5:23538320:23544566:-1 gene:itb05g16320 transcript:itb05g16320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLFEMIKQKPTIVQDPTDGKSLTEVNGNIEFKNVTFSYPSRPDVFIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGEILLDNVDLRTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAASAANAHGFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMIGRTTVVVAHRLSTIKNVDSIAVIQQGQVVETGTHEELIAKAGGAYASLIKFQEMVGNRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRVEMVSNAETDRKTRAPDGYFCRLLTLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPATMERKTKEFVFIYIGVGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEENNSSLLAARLATDAADVKSAIAERISVILQNMTSLFTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMIAGEGVSNIRTVAAFNAQEKILSLFGVELRVPLRQSCRRCNLSGFLFGLSQLALYSSEALILWYGVHLVSKDLSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVVSVFSILDRPTRIDPDDPDADPVDSVRGDIELHHVDFAYPSRPDVAVFKDLSLRIRAGKSQALVGASGSGKSSVIALIERFYDPISGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAATIFDNIAYGKEDATEAEVMEAARAANVHGFVSALPEGYRTPVGERGVQLSGGQKQRIAIARAVLKNPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTVRNVDSIGVVQDGRIVEHGSHSELISRPEGAYSRLLQLQHHRI >itb07g08480.t1 pep chromosome:ASM357664v1:7:6715282:6716297:1 gene:itb07g08480 transcript:itb07g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKASKLDNEDTIRWCKERRRLMKEAVYARHHLVAAHSDYYCSLKLTSSALISFAAGKLLSIAEEMPNVFIRTPSSTPTFKTPPLPTPVVRIPSPSPSIHQPPQIHYFVTSQQQQPQAHGKKPVKLPHILSESSFPTTPRNHNFFENENYTYDAKASTYSSTPSQASSDIKGIAAIFIYSSTD >itb05g28070.t2 pep chromosome:ASM357664v1:5:31206636:31211761:-1 gene:itb05g28070 transcript:itb05g28070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFRFWDDCVNLLDLEAMWMDPNVAAEWITVGETKGSKVHLSRNPDGQPYLTQAEMKAVSSIIVGRHFVSQIDSDMLCAIAELESGKQPLAMQYNKKTKEVTMGLMQITQKTAEWIVSELGFRIYEVAENSTMLYKPFVNVYLGAAYLKWLSYYDQKERSEEFMVRAYKGGVKKAAHKSTLLYWKRYLSVKESLPSRRIFEVNPLPCALSTSAAGSEKKGTWDSRASAQDMEAMWNHPSVSKEWSKSGEKRGKVRFSLDTETRPYLSRVELKAVSEVIIAKHYSTTGIKPTVLCAIAEIVCMRYVNGIGQRIGLMGIDYPTAHWLYKDLGYKVYVVESVEDLRKPFESMYFGAAYLAWLSQYDGRVRSPEFIVQAYLSGPQNVNLQETGPHWLKFQEALIRYEDLKKGSGSCNIL >itb05g28070.t1 pep chromosome:ASM357664v1:5:31206636:31211761:-1 gene:itb05g28070 transcript:itb05g28070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFRFWDDCVNLLDLEAMWMDPNVAAEWITVGETKGSKVHLSRNPDGQPYLTQAEMKAVSSIIVGRHFVSQIDSDMLCAIAELESGKQPLAMQYNKKTKEVTMGLMQITQKTAEWIVSELGFRIYEVAENSTMLYKPFVNVYLGAAYLKWLSYYDQKERSEEFMVRAYKGGVKKAAHKSTLLYWKRYLSVKESLPSRRIFEVNPLPCALSTSAAGSEKKGTWDSRASAQDMEAMWNHPSVSKEWSKSGEKRGKVRFSLDTETRPYLSRVELKAVSEVIIAKHYSTTGIKPTVLCAIAEIVCMRYVNGIGQRIGLMGIDYPTAHWLYKDLGYKVYVVESVEDLRKPFESMYFGAAYLAWLSQYDGRAAKREPAGNRSTLAKISGSINPL >itb05g28070.t4 pep chromosome:ASM357664v1:5:31206636:31211761:-1 gene:itb05g28070 transcript:itb05g28070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFRFWDDCVNLLDLEAMWMDPNVAAEWITVGETKGSKVHLSRNPDGQPYLTQAEMKAVSSIIVGRHFVSQIDSDMLCAIAELESGKQPLAMQYNKKTKEVTMGLMQITQKTAEWIVSELGFRIYEVAENSTMLYKPFVNVYLGAAYLKWLSYYDQKERSEEFMVRAYKGGVKKAAHKSTLLYWKRYLSVKESLPSRRIFEVNPLPCALSTSAAGSEKKGTWDSRASAQDMEAMWNHPSVSKEWSKSGEKRGKVRFSLDTETRPYLSRVELKTVLCAIAEIVCMRYVNGIGQRIGLMGIDYPTAHWLYKDLGYKVYVVESVEDLRKPFESMYFGAAYLAWLSQYDGRVRSPEFIVQAYLSGPQNVNLQETGPHWLKFQEALIRYEDLKKGSGSCNIL >itb05g28070.t3 pep chromosome:ASM357664v1:5:31206641:31211760:-1 gene:itb05g28070 transcript:itb05g28070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFRFWDDCVNLLDLEAMWMDPNVAAEWITVGETKGSKVHLSRNPDGQPYLTQAEMKAVSSIIVGRHFVSQIDSDMLCAIAELESGKQPLAMQYNKKTKEVTMGLMQITQKTAEWIVSELGFRIYEVAENSTMLYKPFVNVYLGAAYLKWLSYYDQKERSEEFMVRAYKGGVKKAAHKSTLLYWKRYLSVKESLPSRRIFEVNPLPCALSTSAAGSEKKGTWDSRASAQDMEAMWNHPSVSKEWSKSGEKRGKVRFSLDTETRPYLSRVELKLPFFQAVSEVIIAKHYSTTGIKPTVLCAIAEIVCMRYVNGIGQRIGLMGIDYPTAHWLYKDLGYKVYVVESVEDLRKPFESMYFGAAYLAWLSQYDGRVRSPEFIVQAYLSGPQNVNLQETGPHWLKFQEALIRYEDLKKGSGSCNIL >itb10g17470.t1 pep chromosome:ASM357664v1:10:23672375:23674730:-1 gene:itb10g17470 transcript:itb10g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPTTHTQVVSGWAAHDSSGKIMPYTFKRRENGPNDVTIKILYCGICHTDLHFAKNDWGITIYPVVPGHEITGIVTVVGSNVTNFKIGDRVGVGCMAATCLECDFCKQSQENYCENIQLTYNGIFWDGSITYGGYSKLLVADYRFVVHVPESLAMDRAAPLLCAGVTMFCPLKDNNLVGNPGKRIGIIGMGGLGHLGVKFGKAFGHHVTVISTSPSKEKEAKERLGADDFILSTDADQMQAKKRSIDFILDTVSAKHSLGPYLELLKVNGTLVLVGAPDKPIDFLCFPLISGKRTVKGSVIGSMEETQEMLNICAKFNILCDIETITPDKINVAFDKLVKNDIKYRFVIDMAGNPTSSP >itb11g21480.t1 pep chromosome:ASM357664v1:11:23199866:23202658:-1 gene:itb11g21480 transcript:itb11g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFWAARVHSTKHHSAVQANRVTNSDTYMNMGNEGAEDLRAWFPCPFCYIEIEVPVLCSHLQEEHCFDLKNAVCPICAATLGKDPISHFTVQHAQSVKRRRKYQKSGFWNNATAAMIGRDLRDLTGSNIINSHFNGQEPAPDPLLLPFLPNVPHSDSESMQEDNNSCYVATATDTQSKQHLSDPAREEDYAEKRKRAAFLQELMASTIFLDQ >itb11g21480.t2 pep chromosome:ASM357664v1:11:23199866:23202658:-1 gene:itb11g21480 transcript:itb11g21480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFWAARVHSTKHHSAVQANRVTNSDTYMNMGNEGAEDLRAWFPCPFCYIEIEVPVLCSHLQEEHCFDLKNAVCPICAATLGKDPISHFTVQHAQSVKRRRKYQKSGFWNNATAAMIGRDLRDLTGSNIINSHFNGQEPAPDPLLLPFLPNVPHSDSESMQEDNNSCYVATATDTQSSKQHLSDPAREEDYAEKRKRAAFLQELMASTIFLDQ >itb03g02770.t1 pep chromosome:ASM357664v1:3:1597542:1598549:-1 gene:itb03g02770 transcript:itb03g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILSLSVIIALAICHGYAQAVTPSPPTGAATPAAPPPSAQEFLDAHNQARAEVGVAALNWSPMLATAASLQVRYQRDKKNCSFADISGGKYGGNQLWAGGMAVPPRMAVEHWVEEKSFYDYANNSCVSDHKCGVYTQVVWKKSLELGCAQATCAKGQVTLTLCFYNPPGNIIGERPY >itb15g19100.t1 pep chromosome:ASM357664v1:15:21225469:21231066:1 gene:itb15g19100 transcript:itb15g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRNTNHHLVNNLTVGAPSQPTSNVEDNAMVGHSEELDEVKSQLFRDSLKQRQVMAMVGMGGIGKTTFAKRIYNDPLVTSRFKFRAWTTLSQEHNKLQALTDLIRCILPMSNKKIGGDPEDLLRKSLLGQRYLIVVDDIWSTKAWDDIQRCFPDDNNGSRILLTTRVREIAQYADSGEYSYNLRFLNLYEGWDLFYQKLLDKDFLNNEFETIGKNIVQKCHGLPITIVVAAGLLSTINKSVDEWKKIEATINSLQTLDHDEQFSRILELSYNNMPSHLKGCFLYLGIYHEDCDIPVKKLIRLWIAEGFVETMSNRRPSEEVGGNYLQDLIDRCLVMVHGRSSGHKIKTCRMHDLLRELCVTKAKKENLLYLETTGSCHGFDHFVRLGDKPWLSLKVVKPHFHLAIASRKCRTIVCFNMVGNCDYEWYLLANSFKKLRVLDLSKINFSLGMPPDITQLVFLRYLEIASSKVLNCIPLWKNWNLQALVVTEDDNGARRLPPGIWDLPQLRHLEINHQVPIDDLPKMVQENLQTLYWLSTLQCTKEVFMRIPNVKDLGIIARCQVSSSHGLNDDLWCLNHLEKLRVRGSYHPLHLPSQGDIFPQNLKELTFERTLIPWEAMTIISLLPNLEILKLKNFACVGQEWQLIEEGSFPQLKVLLISLTNLKEWKAYVESPFPKLEHLLLRNCFELKEMPEWMEDIITLQLIKLEYCYSSLVTSAMTVKEDQLDNYGNDKLDVLDFHTRPDEDHVGVEEECSNEQISGEECAPNSLRSLCFEARKLRISGGEEPSYWSWTLDSTTGYEVAELLQMSWFEIGFTCDIRCLSKMTCYSAYLVFKLVVGGFQDVNTALTCVRYSKDKNLYGKLRGQNRNSQVFLAKTKSYGDCGQFPADDRSDGWMEIKLGDFYVSSGNEGGVEFQLWHVSDQYWLSGFVVKGIEVRRTN >itb14g16760.t1 pep chromosome:ASM357664v1:14:20150673:20155143:-1 gene:itb14g16760 transcript:itb14g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb09g27950.t1 pep chromosome:ASM357664v1:9:28603455:28610092:-1 gene:itb09g27950 transcript:itb09g27950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAQSIFAQETSEKKKRSPLRLHRRRTRCSDSIAAAPATQTPSAFSLQLYHHTSINFVAHNRAWSKEVFAHSSAHCLHLRSRSSPSPVLIAVCLFSVIFDIVAISRQLCLICYREYKQHKRGIKAAENGLSTVVSFGALAQAGGGELRRWSSDLGFVLTCNQFLKTTDICT >itb09g27950.t2 pep chromosome:ASM357664v1:9:28603751:28610092:-1 gene:itb09g27950 transcript:itb09g27950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAQSIFAQETSEKKKRSPLRLHRRRTRCSDSIAAAPATQTPSAFSLQLYHHTSINFVAHNRAWSKEVFAHSSAHCLHLRSRSSPSPVLIAVCLFSVIFDIVAISRQLCLICYREYKQHKRGIKAAENGLSTVVSFGALAQAGGGELRRWSSDLGFVLTCNQFLKTTGAILLKLKSTLNSSSICRNTEWACGVAVYTGNEMKQNWA >itb12g21750.t2 pep chromosome:ASM357664v1:12:24002322:24003300:-1 gene:itb12g21750 transcript:itb12g21750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDGCTHYTLTRVNWTGSVGGHPHTYHPPEISPELIHTLRQSNSTYSFLFARKFSFDCLKPLLELADREGHTFLLFWVLKAA >itb12g21750.t1 pep chromosome:ASM357664v1:12:24001506:24004678:-1 gene:itb12g21750 transcript:itb12g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTPFVLSFALLLSCPLLFVFVPRFLPPKHVEISLPDELDDLALFRRANLAAVHRGGGGGVRGVGSVSHLGTISSRPKIAFLFLTNTDLHFAPLWEKFFAGNEGLYNIYVHADPSVEITPPGGVFQGRFISAKKTERSSPTLISAGRRLLAAAILDDSLNSYFALVSQHCIPLHSFAFVYTSLFAPQLAESSSSSSSSPRLPMFRSFIEILSDEPQLWDRYVARGEDVMLPEVPFERFRVGSQFFVLARRHALMVIRDRRLWRKFRLPCFDKDTCYPEEHYFPTLLSMEDPDGCTHYTLTRVNWTGSVGGHPHTYHPPEISPELIHTLRQSNSTYSFLFARKFSFDCLKPLLELADRVIFKD >itb14g19230.t1 pep chromosome:ASM357664v1:14:21949936:21954232:-1 gene:itb14g19230 transcript:itb14g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSIIAPMTTVLVAVMVAAAFWDGAAAARAFFVFGDSLVDNGNNNYLFTSARADSPPYGIDYPTHRPTGRFSNGYNIPDLISQAIGSESTLAYLDPELRGQRLLVGANFASAGIGILNDTGIQFANIIRIAQQLQFFEQYQQRVGSLIGSAPAAMLVNQALFLITLGGNDFVNNYFLVPVSARRIQFSIPNFSRYLISEYRKILMRLYEMGARRVLVTGTGPLGCVPAELAQRSLNGQCAVEPQQAAAIFNPLLIQMIADLNQQLGSDIFVGVNAMQMQNDFINNPQAYGFVTSKVACCGQGPYNGIGLCTAASNLCANRDEYAFWDPFHPSERANRLVVQAILTGTNKYMSPMNLSTIMVLDSKE >itb10g01540.t1 pep chromosome:ASM357664v1:10:1164352:1169644:1 gene:itb10g01540 transcript:itb10g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVVVLAFLCFFCCNVIGASKDNCLRHGHPPVTRNRTLVSAGGNFALGFFRPGNSSYSFLGIWYNTINNTVIWVANRESPLPPQDSEAVFTLGDDGNLLLLDGERNAIWSTNISGGGGNSSFAQLRDTGDLILKQGESRVWESFNGDSDSLMPEMRLMVNKKTGKRNVIRCWSSSDDPRPGKFSLGMDPKGSPQILIWKEDVPYSRSNLFQDGFVYYRYFPELGYSASYSFATKNHKEYLSYGYNNDISYHPRAILTPTGHLQLMLRQKGRRDNKWVILWQVPSTKCDLYSHCGSFGVCEQHGSHSVCSCLKGFKPKSQKDWDKGKYEAGCVRSVELGCGEDSDEFMRLGLMKWPDHSYSMGKMMFMECKLECSRNCSCTAFAHANITPYSEANCINWFGDLVDLTHKYSAGFNDFGQDLHVRVHASELSNKDGSGGNGHSAYKNKGVVAVIVASVSAFFLITGFVYFTRKAIKRLSKQSSQGQEEFMNELKLIAKLQHTNLAWLNWKKGQLLEFVDPAIRESYDFLKIVRCIEVGLLCVQAIPTDRPAMLDVVCMLSNDPETPIPTIKEPAYVSSNSIAISSTSYPKSSGSNSKNEVTISILEPR >itb07g07820.t1 pep chromosome:ASM357664v1:7:6055101:6057870:1 gene:itb07g07820 transcript:itb07g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEISVGQEQMLLHQQKNKVMVAIDDSENSLHALRWTLHNFILRNRNDPSPSAIDYGVLIFTVQPLLDYTFVHAASFGSTPPELMNSLRENQKKMADALLEKATKLCTQYGITPETATEVGDPKEAICEAVERLNVHLLVLGTHSRGALQRAFLGSVSNYCVHHAKCPVLVVRKTAQEST >itb03g18020.t1 pep chromosome:ASM357664v1:3:16407227:16410442:1 gene:itb03g18020 transcript:itb03g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWLRSLWKTTKKRGGGSSEKQIIGVLAFEVASLMSKMVHLWKSLCDKQVGSLREEIKNSPGIRKLVSEDDAYIARLICSEMIENLGNVAIAVARLAKKCNDPLLRSFEQAFNDLLKLGIDPYGWHLSWKKMDRKVKKMERFVVINSNLYQEMECLADFAQTLQRMKCNDDADIISLVEYEKKVSWKQQEVKHLKEVSVWGRSYDYVVRLLARGVFTIYCRIGHVFGVNPVGDQEMRDSKVLESDNIHRSQSVAYTQSSVHPAETSLSRFSSEPIENLLTRSGPISRTANISTFYSGPLRNSTSTASLAPGGHKAVNFHSGPLEMSKAKPGQTTRVNRSAFKWWRLRDHSGKLQGKTPISKSNKLTSVVGENGFPMSNSYINTNGGYSGDLSGAKPVQGNSVYGPMPNFNSKKSLMNAPPETLGAAALALHYANVIIVIEKLVSSPHLIGHDARDDLYNMLPASIRTALRAKLKPYAKKLSSSVCDMALAEEWNEALTGILEWLAPLAHNMIRWQSERSFEHQSFVSRTNVLLVQTLFFANQPKIEATITELLVGLNYIWRYGRELNAKAIEECASVRTFDEFLDE >itb03g18020.t2 pep chromosome:ASM357664v1:3:16407227:16410298:1 gene:itb03g18020 transcript:itb03g18020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWLRSLWKTTKKRGGGSSEKQIIGVLAFEVASLMSKMVHLWKSLCDKQVGSLREEIKNSPGIRKLVSEDDAYIARLICSEMIENLGNVAIAVARLAKKCNDPLLRSFEQAFNDLLKLGIDPYGWHLSWKKMDRKVKKMERFVVINSNLYQEMECLADFAQTLQRMKCNDDADIISLVEYEKKVSWKQQEVKHLKEVSVWGRSYDYVVRLLARGVFTIYCRIGHVFGVNPVGDQEMRDSKVLESDNIHRSQSVAYTQSSVHPAETSLSRFSSEPIENLLTRSGPISRTANISTFYSGPLRNSTSTASLAPGGHKAVNFHSGPLEMSKAKPGQTTRVNRSAFKWWRLRDHSGKLQGKTPISKSNKLTSVVGENGFPMSNSYINTNGGYSGDLSGAKPVQGNSVYGPMPNFNSKKSLMNAPPETLGAAALALHYANVIIVIEKLVSSPHLIGHDARDDLYNMLPASIRTALRAKLKPYAKKLSSSVCDMALAEEWNEALTGILEWLAPLAHNMIRWQSERSFEHQSFVSRTNVLLVQTLFFANQPKIEATITELLVGLNYIWRYGRELNAKAIEECASVRTFDEFLDE >itb03g18020.t3 pep chromosome:ASM357664v1:3:16407260:16410442:1 gene:itb03g18020 transcript:itb03g18020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWLRSLWKTTKKRGGGSSEKQIIGVLAFEVASLMSKMVHLWKSLCDKQVGSLREEIKNSPGIRKLVSEDDAYIARLICSEMIENLGNVAIAVARLAKKCNDPLLRSFEQAFNDLLKLGIDPYGWHLSWKKMDRKVKKMERFVVINSNLYQEMECLADFAQTLQRMKCNDDADIISLVEYEKKVSWKQQEVKHLKEVSVWGRSYDYVVRLLARGVFTIYCRIGHVFGVNPVGDQEMRDSKVLESDNIHRSQSVAYTQSSVHPAETSLSRFSSEPIENLLTRSGPISRTANISTFYSGPLRNSTSTASLAPGGHKAVNFHSGPLEMSKAKPGQTTRVNRSAFKWWRLRDHSGKLQGKTPISKSNKLTSVVGENGFPMSNSYINTNGGYSGDLSGAKPVQGNSVYGPMPNFNSKKSLMNAPPETLGAAALALHYANVIIVIEKLVSSPHLIGHDARDDLYNMLPASIRTALRAKLKPYAKKLSSSVCDMALAEEWNEALTGILEWLAPLAHNMIRWQSERSFEHQSFVSRTNVLLVQTLFFANQPKIEATITELLVGLNYIWRYGRELNAKAIEECASVRTFDEFLDE >itb03g18020.t4 pep chromosome:ASM357664v1:3:16407260:16410442:1 gene:itb03g18020 transcript:itb03g18020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWLRSLWKTTKKRGGGSSEKQIIGVLAFEVASLMSKMVHLWKSLCDKQVGSLREEIKNSPGIRKLVSEDDAYIARLICSEMIENLGNVAIAVARLAKKCNDPLLRSFEQAFNDLLKLGIDPYGWHLSWKKMDRKVKKMERFVVINSNLYQEMECLADFAQTLQRMKCNDDADIISLVEYEKKVSWKQQEVKHLKEVSVWGRSYDYVVRLLARGVFTIYCRIGHVFGVNPVGDQEMRDSKVLESDNIHRSQSVAYTQSSVHPAETSLSRFSSEPIENLLTRSGPISRTANISTFYSGPLRNSTSTASLAPGGHKAVNFHSGPLEMSKAKPGQTTRVNRSAFKWWRLRDHSGKLQGKTPISKSNKLTSVVGENGFPMSNSYINTNGGYSGDLSGAKPVQGNSVYGPMPNFNSKKSLMNAPPETLGAAALALHYANVIIVIEKLVSSPHLIGHDARDDLYNMLPASIRTALRAKLKPYAKKLSSSVCDMALAEEWNEALTGILEWLAPLAHNMIRWQSERSFEHQSFVSRTNVLLVQTLFFANQPKIEATITELLVGLNYIWRYGRELNAKAIEECASVRTFDEFLDE >itb01g36090.t1 pep chromosome:ASM357664v1:1:37973584:37976586:-1 gene:itb01g36090 transcript:itb01g36090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTQQLGVIKCPPIPTTRIGGSSKKIIQSYSYKKQLRVVCQAAAVVGNAQTRERQKLKEMFEEAYERCRTAPMEGVSFTLEDFHAALDKYDFDSEIGTKVKGTVFSIDAYGALVDITAKSSAFLPLREASIHNIKNVEEAGIVPGLREEFVVIGENEADDSLILSLRSIQYDLAWERCRQLQAEDVVVKGKVIGANKGGVVALVEGLRGFVPFSQISTKSTAEELLDKELPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIETVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLSSDLPAEGLDLSEIPQAEDSFDISEIPPAEDPIDSIDDL >itb06g22600.t1 pep chromosome:ASM357664v1:6:24838909:24845615:1 gene:itb06g22600 transcript:itb06g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MPEGERPRARQNRASTSREPARATRVSLKLLLRVASVAGGIQFGWALQLSLLTPYVQELGIPHVWSSIIWLCGPLSGLLVQPLIGVLSDRCTSKFGRRRPFILGGAVSIMIAVIVIGFSADIGWLLGDRGEVKVGAIAAFIVGFWLLDVANNMTQGPCRALLADLTKKDHRRTRVANAYFSLFMAAGNILGYATGSYSGWYKIFPFTLTAGCGVNCANLKSAFLLDIIFIVITTYISLSAAHEQPLDSHQGSAQTGGDAEQSSHGEEEPFLWELFGTFRYFPTTVWIILLVTALTWIGWFPFILFDTDWVGREIYGGEPNEGTNYSTGVRMGSLGLMLNSVVLGITSVFMEKLCRKWGAGFTWGVSNIVMALCFIAMLIITAVRNHMDIGISDPPPDGIVIAVLVVFTILGAPLAITYSVPYALVSSRIEALGLGQGLSMGVLNLAIVIPQMFVSLGSGPWDELFGGGNSPAFVVAAISAFAGGLVAILAIPRTKVDKPKILP >itb06g22600.t3 pep chromosome:ASM357664v1:6:24838909:24844854:1 gene:itb06g22600 transcript:itb06g22600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MPEGERPRARQNRASTSREPARATRVSLKLLLRVASVAGGIQFGWALQLSLLTPYVQELGIPHVWSSIIWLCGPLSGLLVQPLIGVLSDRCTSKFGRRRPFILGGAVSIMIAVIVIGFSADIGWLLGDRGEVKVGAIAAFIVGFWLLDVANNMTQGPCRALLADLTKKDHRRTRVANAYFSLFMAAGNILGYATGSYSGWYKIFPFTLTAGCGVNCANLKSAFLLDIIFIVITTYISLSAAHEQPLDSHQGSAQTGGDAEQSSHGEEEPFLWELFGTFRYFPTTVWIILLVTALTWIGWFPFILFDTDWVGREIYGGEPNEGTNYSTGVRMGSLGLMLNSVVLGITSVFMEKLCRKWGAGFTWGVSNIVMALCFIAMLIITAVRNHMDIGISDPPPDGIVIAVLVVFTILGAPLAITYSVPYALVSSRIEALGLGQGLSMGVLNLAIVIPQMFVSLGSGPWDELFGGGNSPAFVVAAISAFAGGLVAILAIPRTKVDKPKILP >itb06g22600.t2 pep chromosome:ASM357664v1:6:24838909:24845615:1 gene:itb06g22600 transcript:itb06g22600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MPEGERPRARQNRASTSREPARATRVSLKLLLRVASVAGGIQFGWALQLSLLTPYVQELGIPHVWSSIIWLCGPLSGLLVQPLIGVLSDRCTSKFGRRRPFILGGAVSIMIAVIVIGFSADIGWLLGDRGEVKVGAIAAFIVGFWLLDVANNMTQGPCRALLADLTKKDHRRTRVANAYFSLFMAAGNILGYATGSYSGWYKIFPFTLTAGCGVNCANLKSAFLLDIIFIVITTYISLSAAHEQPLDSHQGSAQTGGDAEQSSHGEEEPFLWELFGTFRYFPTTVWIILLVTALTWIGWFPFILFDTDWVGREIYGGEPNEGTNYSTGVRMGSLGLMLNSVVLGITSVFMEKLCRKWGAGFTWGVSNIVMALCFIAMLIITAVRNHMDIGISDPPPDGIVIAVLVVFTILGAPLAITYSVPYALVSSRIEALGLGQGLSMGVLNLAIVIPQMFVSLGSGPWDELFGGGNSPAFVVAAISAFAGGLVAILAIPRTKVDKPKILP >itb01g06670.t1 pep chromosome:ASM357664v1:1:4883550:4884576:-1 gene:itb01g06670 transcript:itb01g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEIDSRLGCRDNANIPVGLFATPRFLSKIFDMVEDPNTDSLVSWSSNGESFVIWDHNRFAAELLPKYFRHSNLASFIYQLNNYGFRKVNSERHEYENQWFRPGKKDWLKSIRSRVQKLKMLQKNGSFTAPVTEDLDVVMKKLKAEQIALRAEIQKLKEQQENMQKEFARAQEILENSDDDEERVISSFSLEFLQLVKRKAGTDNDGGGSSSGSGKKKKAVVIQPELQCLDEGKKEEEENARNAENCAFWKKIFEDDSDAENGGVEPLKDPKIMVELDDMMASKIAMEEGQSLISKVAGLEGEEDHEPHLQLWT >itb11g03110.t1 pep chromosome:ASM357664v1:11:1599998:1603793:-1 gene:itb11g03110 transcript:itb11g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSACISTAPKTAASFSSAAASKRNRRFKVSCNAAEGGGGDGGESQQKFDRRDVLLGLGGLYGAASLGANPLASAAPIQAPVLSNCVVPAAGLPAGAYFQDCCPPVPAFVLPYQLPPVDPFALKIRPAAHKLDAAYIAKFERAIQLMKELPESDPRNFYQQAKVHCAYCNGGYVQPDSPNKEIQVHNSWLFFPFHRWYMYFFERIMGKLLGDPTFALPFWNWDSPAGMYMPSYVNNIFSPLYDQNRNQSFLTRLMDLAFFGLDIPLPDALRIEINLFLMYKTMVSNGGAAILFHGQPYRAGDDPGLFLLGAGSVENIPHGTVHRWTGDLARTPNGEDMGNFYSAARDPLFYCHHSNVDRMWTIWQQLGGAGRRCDFTDPDWLDASFIFYDENATAVRVRVGDCLDNQKMGYKYAFENLPWLDSKPVPAKTKRGFADASDAPSVESVFPLKLDKVVRVKVPRPRKSRTKEEKAAEEEILRIEGIEVSADQFAKFDVYLNDEDEEPTLEKLAAEYAGSFVNLPQNHHGSGKIRTSLCMGLNEVLEDFDCEDDDAVVVTLVPMLFSGTTTIQNIRIIYHS >itb02g05150.t1 pep chromosome:ASM357664v1:2:3096786:3100936:-1 gene:itb02g05150 transcript:itb02g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATMYRKSATQLSRLILNQLRPISTSSSSAVGTSNPAVVLRPLLPSPSHFLPAPRFLSSASYRERQWEGKFDDLFSQERSELLKEKEERIKQGLDTADLDARAAELDKREENQKKESAAAYEEPTDSDSDDEDERWGTDALRKRWDVFEKKFERHEQLLKNFTNAETLDEAHKWMSRIDKFEQKHFPLRSEYRVIGELMNRLKVAEGKEKFLLQLKINRALRMVQWKEACDPNDPANFGVIQREQAPSVDASERAELEEEQTTQVVENDSDDDLEFDDMKERDDILLEKLNAIDRKLETKLAELEHTFGRKGKLLEEEIRDLAEERNSLTEKKRKPLYRKGFDARLVDVNRTCKVTKGGQVVKYTALLACGNYNGVIGFAKAKGPAVAIALQKAYEKCFQNLHYIERHEEHTIAHAVQTNYKKTKVYLWPAPTQTGMKAGRTVQIILHLAGFKNVKSKVVGSRNPHNTVKAVFKALNAIETPKDVQEKFGRTVVESYLLQ >itb02g05150.t2 pep chromosome:ASM357664v1:2:3097235:3100936:-1 gene:itb02g05150 transcript:itb02g05150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATMYRKSATQLSRLILNQLRPISTSSSSAVGTSNPAVVLRPLLPSPSHFLPAPRFLSSASYRERQWEGKFDDLFSQERSELLKEKEERIKQGLDTADLDARAAELDKREENQKKESAAAYEEPTDSDSDDEDERWGTDALRKRWDVFEKKFERHEQLLKNFTNAETLDEAHKWMSRIDKFEQKHFPLRSEYRVIGELMNRLKVAEGKEKFLLQLKINRALRMVQWKEACDPNDPANFGVIQREQAPSVDASERAELEEEQTTQVVENDSDDDLEFDDMKERDDILLEKLNAIDRKLETKLAELEHTFGRKGKLLEEEIRDLAEERNSLTEKKRKPLYRKGFDARLVDVNRTCKVTKGGQVVKYTALLACGNYNGVIGFAKAKGPAVAIALQKAYEKCFQNLHYIERHEEHTIAHAVQTNYKKTKVYLWPAPTQTGMKAGRTVQIILHLAGFKNVKSKVVGSRNPHNTVKAVFKALNAIETPKDVQEKFGRTVVESYLLQ >itb06g23620.t1 pep chromosome:ASM357664v1:6:25493805:25496964:-1 gene:itb06g23620 transcript:itb06g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVHTPAAALAGATSAVAVGPAPPQSPTGAMAAFTNSSLYVGDLDPSVDEGQLYDLFNQVAQVVSVRVCRDQSRRVSLGYAYVNFGTPQDALSAREVLNFTPVNGKPIRIMFSHRDPSIRKTGFANVYIKNLESSIDNKALYETFAAFGTVLSCKVAVDGNGKSKGHGFVQFDAEEAANNAIKRLNGMLINDKQVYVGRFIRRQERSGGNVPEKFTNVYVKNLSETTSDEDLDKLFNKFGPVTSAVVMKDENGKSKCFGFVNFQNTDDAAAAVEELNGSTMDEKVLYVSRAQKKSEREAELKAKFELERASRFEKLKHSNLYLKNLDDSITDEKLKEIFSEYGTISSCKVMLDPQGTSKGAGFVAFSNPDEATRALSEMNGKLIGRKPLYVALAQRKDERRAWLQAHFAQMRPTGAMPPLPAGIPGFHPGAPRIAPQQLYFGQGSPGLMPPQAAAGYGFQQPLVPGLRPGVAPNFIMPYQLHRQGQAAQRVGARRGANTQPTQQWMQRNNNQGGLGRYTANGNHPTSLASQSLMGPIMPLPFDVSGGVAASAIDIQRPAPIATPTLASTLASASPEDQRMMLGEQLYPLVERLERDQAGKVTGMLLEMDQTEVLHLIEAPEALKKKVAEAMDVLRSASDSNGGDKFGSAI >itb13g21160.t2 pep chromosome:ASM357664v1:13:27805633:27810571:1 gene:itb13g21160 transcript:itb13g21160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEGVPEFVIDQGLYYPTATNYGYFCTGFDSPGNWDDHQRVFGLDGQEIYAGAQSESFPYVYYTPSYGYAQSPYNPYTPGAVVGVDNSYMGTQQYYTIPSYENPESTQSYFPMVVQSESDIVANSKTPFLDSSFSTTNLAESHGLKHNFSSLSPMFIPTSLGPSSSQTNTFMRSSDGIKSVPGSSKHPAPHGVVPSDSFSNPSSKGKVAQALGSVSHGKAPSNHAQLRVSLPSDHGLSNFRPIGHDGASVDKFQPRFLHGRVPSDVKVSPDASTGQNLVPRINKLKNPLVVKAYTTRAGDVDSHGNITICRDQYNKDGFLTDFVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNNAYEDAQRMAAGNSKGCPIFLFFSVNASGQFCGVAEMLGHVDFHKDMDFWQQDKWSGSFPVKWHIVKDVPNSNFRHIILENNENKPVTNSRDTQEIHYKKGMEMLKIFKNFASRTSLFDDFLFYESREKIMQEDRAKILIRSYESPFLVPVLDPPRKLNSIFDMPSSGAEKIYKHADTKMPGSSLGAVTEEAIVSTINKSAASESEKPVGEEKMTSKSGSSVAAVSAKQVTIASEGGSERCVADDKISKNGDPKKSGSGVMAVAAEQAPVVSKTNKTVASESEKSVADEKISNHCDPKKPVSDVFAVPAEQVSVVPKINKTSADEGEKIVSGRGTGIDSVLKIGSLTINAKQPKSEPKESVSTTVSNQSVDVVTVGSMPIKVSAYAEPSGFLTVGSIQLDPRSLRCNESSSSGKSTSRKV >itb13g21160.t1 pep chromosome:ASM357664v1:13:27805633:27810571:1 gene:itb13g21160 transcript:itb13g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEGVPEFVIDQGLYYPTATNYGYFCTGFDSPGNWDDHQRVFGLDGQEIYAGAQSESFPYVYYTPSYGYAQSPYNPYTPGAVVGVDNSYMGTQQYYTIPSYENPESTQSYFPMVVQSESDIVANSKTPFLDSSFSTTNLAESHGLKHNFSSLSPMFIPTSLGPSSSQTNTFMRSSDGIKSVPGSSKHPAPHGVVPSDSFSNPSSKGKVAQALGSVSHGKAPSNHAQLRVSLPSDHGLSNFRPIGHDGASVDKFQPRFLHGRVPSDVKVSPDASTGQNLVPRINKLKNPLVVKAYTTRAGDVDSHGNITICRDQYNKDGFLTDFVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNNAYEDAQRMAAGNSKGCPIFLFFSVNASGQFCGVAEMLGHVDFHKDMDFWQQDKWSGSFPVKWHIVKDVPNSNFRHIILENNENKPVTNSRDTQEIHYKKGMEMLKIFKNFASRTSLFDDFLFYESREKIMQEDRAKILIRSYESPFLVPVLDPPRKLNSIFDMPSSGAEKIYKHADTKMPGSSLGAVTEEAIVSTINKSAASESEKPVGEEKMTSKSGSSVAAVSAKQVTIASEGGSERCVADDKISKNGDPKKSGSGVMAVAAEQAPVVSKTNKTVASESEKSVADEKISNHCDPKKPVSDVFAVPAEQVSVVPKINKTSADEGEKIVSGRGTGIDSVLKIGSLTINAKQPKSEPKESVSTTVSNQSVDVVTVGSMPIKVSAYAEPSGFLTVGSIQLDPRSLRCNESSSSGKSTSRKV >itb13g21160.t3 pep chromosome:ASM357664v1:13:27805646:27810571:1 gene:itb13g21160 transcript:itb13g21160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEGVPEFVIDQGLYYPTATNYGYFCTGFDSPGNWDDHQRVFGLDGQEIYAGAQSESFPYVYYTPSYGYAQSPYNPYTPGAVVGVDNSYMGTQQYYTIPSYENPESTQSYFPMVVQSESDIVANRPSSSQTNTFMRSSDGIKSVPGSSKHPAPHGVVPSDSFSNPSSKGKVAQALGSVSHGKAPSNHAQLRVSLPSDHGLSNFRPIGHDGASVDKFQPRFLHGRVPSDVKVSPDASTGQNLVPRINKLKNPLVVKAYTTRAGDVDSHGNITICRDQYNKDGFLTDFVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNNAYEDAQRMAAGNSKGCPIFLFFSVNASGQFCGVAEMLGHVDFHKDMDFWQQDKWSGSFPVKWHIVKDVPNSNFRHIILENNENKPVTNSRDTQEIHYKKGMEMLKIFKNFASRTSLFDDFLFYESREKIMQEDRAKILIRSYESPFLVPVLDPPRKLNSIFDMPSSGAEKIYKHADTKMPGSSLGAVTEEAIVSTINKSAASESEKPVGEEKMTSKSGSSVAAVSAKQVTIASEGGSERCVADDKISKNGDPKKSGSGVMAVAAEQAPVVSKTNKTVASESEKSVADEKISNHCDPKKPVSDVFAVPAEQVSVVPKINKTSADEGEKIVSGRGTGIDSVLKIGSLTINAKQPKSEPKESVSTTVSNQSVDVVTVGSMPIKVSAYAEPSGFLTVGSIQLDPRSLRCNESSSSGKSTSRKV >itb13g21160.t4 pep chromosome:ASM357664v1:13:27805646:27810571:1 gene:itb13g21160 transcript:itb13g21160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEGVPEFVIDQGLYYPTATNYGYFCTGFDSPGNWDDHQRVFGLDGQEIYAGAQSESFPYVYYTPSYGYAQSPYNPYTPGAVVGVDNSYMGTQQYYTIPSYENPESTQSYFPMVVQSESDIVANRPSSSQTNTFMRSSDGIKSVPGSSKHPAPHGVVPSDSFSNPSSKGKVAQALGSVSHGKAPSNHAQLRVSLPSDHGLSNFRPIGHDGASVDKFQPRFLHGRVPSDVKVSPDASTGQNLVPRINKLKNPLVVKAYTTRAGDVDSHGNITICRDQYNKDGFLTDFVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNNAYEDAQRMAAGNSKGCPIFLFFSVNASGQFCGVAEMLGHVDFHKDMDFWQQDKWSGSFPVKWHIVKDVPNSNFRHIILENNENKPVTNSRDTQEIHYKKGMEMLKIFKNFASRTSLFDDFLFYESREKIMQEDRAKILIRSYESPFLVPVLDPPRKLNSIFDMPSSGAEKIYKHADTKMPGSSLGAVTEEAIVSTINKSAASESEKPVGEEKMTSKSGSSVAAVSAKQVTIASEGGSERCVADDKISKNGDPKKSGSGVMAVAAEQAPVVSKTNKTVASESEKSVADEKISNHCDPKKPVSDVFAVPAEQVSVVPKINKTSADEGEKIVSGRGTGIDSVLKIGSLTINAKQPKSEPKESVSTTVSNQSVDVVTVGSMPIKVSAYAEPSGFLTVGSIQLDPRSLRCNESSSSGKSTSRKV >itb11g05780.t1 pep chromosome:ASM357664v1:11:3424393:3425724:1 gene:itb11g05780 transcript:itb11g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFFVLLPLLLVIFFPNTPFSHGVEIISGNKYITQGRTISSPNGRFEFGFFKPGESPNYYAGIWYKNISPQTTIWVANRATPIPPSTINSTKLTILDRNLVLIDAAAQNSVWSTNISAPLKLSPVLASLRDDGNLILSHDGINSTAPLWQSFDHPTNTFVPGSKVGYNKRTKTKQVFTSWKNRDDPAPGLFSFFYVPNESHQLWNGTEIYWNSATIVGNGNSSLTLKAYRQRLDPAFNFTIVDNENETYFLFYNLVPSIITRHILDVNGQQKTLFWSEVEKEWMLTFVSPLKQCDVYAFCGEFGICSDNSTPVCDCLHGFRRKSETEWGLNEFFLGGCVRNTSLKCGINYQEEDIDRFRMYPNVRLPRHPQNTTTKNQAECESICLKNCSCTAYAYSSGCCSFWEGQLLNLKQLGEEDGNGSTIYIRFASPSEFSNQKGNY >itb08g15720.t1 pep chromosome:ASM357664v1:8:17873959:17877814:-1 gene:itb08g15720 transcript:itb08g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSEASLDQVDLIRDCLSHFCEASGQRINYSKSQVLFSNNMDPNLGDAIASRLQIAQTKDLGKYLGVQSIYGRATCVSFGDLLERFNRRLAGWKTKVLSMAGRSTLAKSVLNAIPVYAMQTSILPATICLEMEKRTRRFIWGSDGPENRSKLSLVKWNIVTTPKEAGGLGLFKLQQLNMAYMAKLGWRLNTERDSLWAQVLKAKYFPHEDTLENMGRCMRASATWKGIMAAQPLLQEGTVRLVRNGRSTRFWMDKWITTEPLYKYLQSPLGLPELYSQVADYWVEGRGWNWEIFQDLLPVEISQKLAGFMLSEVEAASDELGWGNDTNSCFTVRSAYDAATQSQPTDDNSIWTRIWALKVPQKICFFMWLVNHKRILTNVERARRNITSNVYCVFCADQPENCDHLFRRCREATPLWQDVLSPTVLNRLRHLDWNDWFSAQIRGDRRLGLDDSWPARFAIRLWWIWRWRNNFIFREERRSTNDKISWVRKQEKEIASVFIRRDVPGIRTGSYVDTAVAWKKPGSGWVKLNVDGSVSRTTGLAGCGGVLRDTNGNWVEGFVYHIGRCSIQDAEAWGVLQGLRMASRRGIMNIIVESNSSSLVESLREPHTDLGQRNNIIHRCSVEISRIPNVQIMHVYREQNRLADALAKKGLSPQQGINILTETPQEMLEVAHEDRFGVGIHRRIPLIGAC >itb04g21210.t1 pep chromosome:ASM357664v1:4:26306679:26308414:1 gene:itb04g21210 transcript:itb04g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNTLYFLFVSLICLSSYSSNHQALAYIDEGLKQCLIRNSQNQTASIFNDIYTPDNSSFVSVLQYPIKNTRFNSSDNPKPFSVITPKEEAEVQSVILCAVELNLRLRIRSGGHDYEGLSYTTPEKGDQTPFLVLDLTNFNNVSVDSAEKTAWVGSGATVGDLYYRISEKSKTLGFPAGVCHSIGIGGHFSGGGYGMMLRKHGLAADHVVDARLVDAKGKILDRTSMGEDLFWAIRGGGGNTFGVVLSWKVELIDVPETVTTFHIVKTPEQNATNIVHKWQSIAPKLPQELFIRIIAIAQKPENTVQAMFNSLYLGPADTLLPILQQSFPELGATRQDLGEMSWIEALTTIDGFPKGTDPKALTGTIVNEGKPFYFKGKSDYVKNPIPVEGLEGVWDFLRESNSGLIIMSPYGGRMEEISESAIPFPHRAGNLYKIQYIMDWSEAGREAYESHMGWIRRLFHYCTPFVSKSPREAYVNYRDLDIGRNSMGNSTYGAESKNWGIKYFKNNFERLVTVKTQVDPQNFFRNEQSIPPRSHILN >itb06g14810.t1 pep chromosome:ASM357664v1:6:19276249:19280802:-1 gene:itb06g14810 transcript:itb06g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLQLEDMAEYQILRSREIKNHLDAKCEKVADVVAMDDIGLDTSTFKETPPPNSPLGTGGPVDSNPSPAQLFHHLNAPTWVTSSRYQAQQSILLARELLRENISHLVSMWEIVQGLVWLSWGKTGADNYHAWEEMMKEGQHYFEALVLEQILGAVIKLVKDIKLEHQNKYGRKERREQRGMSKRNAAIELKKLEIMKGQTTLLEQSVNFGASSSKIKYETLVMWEWQRPLLVKINVDRAERAPLSLGSALAERLPAGPHAQFSTTLMLPLRLQARATKPSEQLF >itb09g08060.t1 pep chromosome:ASM357664v1:9:4851997:4855876:1 gene:itb09g08060 transcript:itb09g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATTRRPSPAPHPLGACSSSFILVLVLRPPEISSPKREESPPALIHSTTRDNNSYSTIRATLESCLVVVARSVDKPLQVSGFKTRISLGSAQNLKPFHQELKRADQTARDGKFCDKSNFE >itb04g32170.t1 pep chromosome:ASM357664v1:4:34840444:34842317:-1 gene:itb04g32170 transcript:itb04g32170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIDGLQGDVPKDYPKGFPYVGGKSKVVACAKHFVGDGGTTYGVDEGNTVIDWEGLLSIHMPAYYPSILKGVSTVMVSYSSWNDKKMHANRALVNDFLKGTLDFKGFVISDWEALDRITTPFHANYTYSVLAGVGAGIDMIMVAYNYTEFIDTLTYLVKNKFIPMSRIDDAVTRILRVKFIMGLFENPLADLSLADHLGSQAHRDLAREAVRKSLVLLKNGGKNGDDEALLPLPKKATRILVTGSHADNLGYQCGGWTITWQGHSGNNITLGTTILKAISDTVDPTTEVVYNENPNSDFMNSNDFSYAIVVVGEHPYAEYDGDNMNLTIPDPGPATITTVCENIKCVVVLISGRPLVLEPYLPKMDALVAAWLPGSEGQGVADVLFGDYEFTGKLPRTWFKTVDQLPMNIGDSHYDPLFPFGFGLTTEQVQLIADS >itb04g32210.t1 pep chromosome:ASM357664v1:4:34869048:34872545:-1 gene:itb04g32210 transcript:itb04g32210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSFAGYRRPMGVANMSKCAKPAMGFAVLLLLLCFMSGVMAAEKYKDPKQPVGERIKDLMKRMTLEEKIGQMTQIERKVSTPDVINQYFIGSVVSGGGSWPAPKASVEDWVNMFNEFQRGALSTRLGIPIIYGIDAVHGNNNVYKATIFPHNVGLGVTRDPELVKRIGAATALEVRATGVNYAFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIIPGLQGDPPANSQGVPFVAADKTKVVGCAKHFVGDGGTVNGTDESNTIIDINGLLSIHMPAYYDSIRKGVATVMVSYSSWNGKKMHAHRELLTGFLKRKLKFKGFVISDWEGIDRITDPPHANYTYSVQAGVLAGIDMVMVPINYTDFIGDLTSLVKKKVIPMSRIDDAVKRILRVKFMMGLFENPMADMSLASQLGSQEHRELAREAVRKSLVLLKNGKSDDNQPLLPLPKKAAKILVAGTHAHNLGYQCGGWTIEWQGAGGNDLTLGTTILTAVKNTIDPSTQVVYQENPGPDILNSNNFSYAIVVVGETPYTEMFGDSANLTILEPGGDIINNVCAAVKCAVVVISGRPVALEQYISKIDALIAAWLPGSEGQGVADALFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGITTEAEKGEITQISIESRGYSAI >itb10g04070.t1 pep chromosome:ASM357664v1:10:3836847:3838881:1 gene:itb10g04070 transcript:itb10g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIYCAFTFVLFLLAHLGESAEIKVAAKAGVDISPELLKAWTEACAATTPSTIVIPKGTFEMKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKALADSHNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb03g08840.t2 pep chromosome:ASM357664v1:3:6688048:6695928:-1 gene:itb03g08840 transcript:itb03g08840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKDAFDRVTKKQKLSSSKTQEIIAQIGQEIEQAISNMQNDPSLANHKLILSELKTKLKEIAPLNHLEGTQKELNIALSKYPKILEKIFNPDISKAYRNVDFDIHTVNQIIASHFYREGLFDLGDCFVNESREPEAAANKSPYLEMYQILEAMRCRNLQPALSWAANNQEKLKNCGSDIEMKLHRQHFVEILQNRGRDEALNYARTFFPPFATKYMAEIQKLMACLLWAGRLDSSPYSDLLSPKHWEKLAEDLTRQFCNLIGQSYESPLSVTIAAGVQGLPTLLKLMNVMTAKKQEWQSMKQLPVPVDLDREFQFHSLFVCPVSRDQATEENPPMLLSCGHVLCKQSITKLSKNNSTRPFKCPYCPSEVEVVTLK >itb03g08840.t1 pep chromosome:ASM357664v1:3:6688048:6695928:-1 gene:itb03g08840 transcript:itb03g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKDAFDRVTKKQKLSSSKTQEIIAQIGQEIEQAISNMQNDPSLANHKLILSELKTKLKEIAPLNHLEGTQKELNIALSKYPKILEKIFNPDISKAYRNVDFDIHTVNQIIASHFYREGLFDLGDCFVNESREPEAAANKSPYLEMYQILEAMRCRNLQPALSWAANNQEKLKNCGSDIEMKLHRQHFVEILQNRGRDEALNYARTFFPPFATKYMAEIQKLMACLLWAGRLDSSPYSDLLSPKHWEKLAEDLTRQFCNLIGQSYESPLSVTIAAGVQGLPTLLKLMNVMTAKKQEWQSMKQLPVPVDLDREFQFHSLFVCPVSRDQATEENPPMLLSCGHVLCKQSITKLSKNNSTRPFKCPYCPSEVEVVTLK >itb03g08840.t4 pep chromosome:ASM357664v1:3:6692354:6695928:-1 gene:itb03g08840 transcript:itb03g08840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTIKDAFDRVTKKQKLSSSKTQEIIAQIGQEIEQAISNMQNDPSLANHKLILSELKTKLKEIAPLNHLEGTQKELNIALSKYPKILEKIFNPDISKAYRNVDFDIHTVNQIIASHFYREGLFDLGDCFVNESREPEAAANKSPYLEMYQILEAMRCRNLQPALSWAANNQEKLKNCGSDIEMKLHRQHFVEILQNRGRDEALNYARTFFPPFATKYMAEIQKLMACLLWAGRLDSSPYSDLLSPKHWEKLAEDLTRQFCNLIGQSYESPLSVTIAAGVQGLPTLLKLMNVMTAKKQEWQSMKQLPVPVDLDREFQFHSLFVCPVSRDQATEENPPMLLSCGHVLCKQSITKLSKNNSTRPFKCPYCPSEVEVGQCRQLYF >itb03g08840.t3 pep chromosome:ASM357664v1:3:6692354:6695928:-1 gene:itb03g08840 transcript:itb03g08840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHRVEHKQSAQDAQATGSISFPLEDSFIGYAYWRFFQTKPPVTFRWDFDILEMELNTIKDAFDRVTKKQKLSSSKTQEIIAQIGQEIEQAISNMQNDPSLANHKLILSELKTKLKEIAPLNHLEGTQKELNIALSKYPKILEKIFNPDISKAYRNVDFDIHTVNQIIASHFYREGLFDLGDCFVNESREPEAAANKSPYLEMYQILEAMRCRNLQPALSWAANNQEKLKNCGSDIEMKLHRQHFVEILQNRGRDEALNYARTFFPPFATKYMAEIQKLMACLLWAGRLDSSPYSDLLSPKHWEKLAEDLTRQFCNLIGQSYESPLSVTIAAGVQGLPTLLKLMNVMTAKKQEWQSMKQLPVPVDLDREFQFHSLFVCPVSRDQATEENPPMLLSCGHVLCKQSITKLSKNNSTRPFKCPYCPSEVEVGQCRQLYF >itb01g04080.t1 pep chromosome:ASM357664v1:1:2702914:2705714:-1 gene:itb01g04080 transcript:itb01g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVISMLSNYSANSWSVPPEPAFYCGESEKMPKEIELQQSVTQPRFMSELDIHIPTAFDPFADANAAENSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYTKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >itb10g13050.t1 pep chromosome:ASM357664v1:10:19136563:19141873:1 gene:itb10g13050 transcript:itb10g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNNNVVGIDNTFRKKFDREEYLQRAREREEKEAEGRKSKARGPPVQRKPLKQRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLKQVQERFEVLKKRKDSTSITVQDFDERMLKQQQEEEERKRQRREKKKEKKKEKAAEEEPEEDADIAAMMGFGGFRTSKK >itb04g30590.t1 pep chromosome:ASM357664v1:4:33679314:33679896:-1 gene:itb04g30590 transcript:itb04g30590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPSTNGLQGIVPRIFQMLFDNIERVYNGHIGDLLDPMQRNLKIKDDTKNGFYVENLAEEYVSTCEDANML >itb04g30450.t1 pep chromosome:ASM357664v1:4:33564495:33570731:1 gene:itb04g30450 transcript:itb04g30450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVTKLLYIVVVDGEEGRREGGKQTDVDKIREKDKDAFRYTRSVLQSTLQLMGCKSRHAFKISRRAFEKIRNVCAGESSVSVCTETLEQDNLNTYHQEEDYNYFAASLDKQDYRSKSIPFELYKKRTTVVVRRHTFLDVVCDALAEYNYVGLNQRADLTIACRLRERKESITVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVDEKENPLLWASTYHAGEHLDPLAVAEAKAKRKAKKAGYSTPVPQKDSAANHMTIGKYPQEGNASTFNLISPKQMAIEGFKAQSEMVIDSLDRLITTWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYIANEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCNRADKHLVPKVNNTNVDRSVAAIHATTFSCLQRRHEAGEKLYDPTTNTVSVINEEYRNYRATYSLSSKGMLQMLQRNDSSRHLMALLNNDGSVAKAWPVYSLDINGKPVMDHSIKTGIRGIYGPLQIRKSEPINLQFGYFGIRDWLSDTGCTSRASSVDESRGELTDNCSRYHSSCCSSPRFCEGPSKELKEEQSVHGSDEEIDELPEIDSDEDFGEDFKHNHEESEGSVDEESTKSDEEYDDLAMQDTQQSGYCSDDDEESMNKFELSNKVVPVSGDLISEEAINFDEIYQQKLGLFFRSEGEASVGPSQLCYSSFLGNSRSIRRSQSMGNV >itb07g18120.t2 pep chromosome:ASM357664v1:7:22553447:22556920:-1 gene:itb07g18120 transcript:itb07g18120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNRCLTTLSTIFICCALSTSLCYSLQSDIDCLKSIKETLQDPLNYLSSWDFNNSTEGFICKFTGIDCWHPDESKVISIRLSDMELKGKFPVGISKCEALTGLDLSSNKIFGAIPPNISKLIAFVTILDLSSNQLSGEIPVGLANITFLNSIKLDNNQLTGQIPPEFALLGRLKEFSVANNRLSGPVPNFGSNVSSTYGNNLALCGKSLSPCPGPPKKSRVGVIVGAAIGGVTVSAIVVGVVMLFYLRRASRKRKEGDPDGNKWAKSIKGAKAIKLSMFEQSVSKMRLSDLMKATDNFHKGNIIGSGRTGTVYKAVLEDGTSLMVKRLQNTQHSEKEFMSEMATLGNVKHRNLVPLLGFCMAKQEKLLVYKYMVNGTLHDKLHFVNEGDKTLEWYLRLKIAVCSAQGFAWLHHSCNPRIIHRNISSKCILLDANFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTSERPTYVSKAPESFKGNLVEWISSLSGESKLRESIDKSLLGKGYDSEVFQVLKVACRCVLPAPKERPTMFEVYQLLRAIGERYNFTTYDIMMPSEGDDGIELEELIVARDTIDGH >itb07g18120.t1 pep chromosome:ASM357664v1:7:22551944:22556920:-1 gene:itb07g18120 transcript:itb07g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNNRCLTTLSTIFICCALSTSLCYSLQSDIDCLKSIKETLQDPLNYLSSWDFNNSTEGFICKFTGIDCWHPDESKVISIRLSDMELKGKFPVGISKCEALTGLDLSSNKIFGAIPPNISKLIAFVTILDLSSNQLSGEIPVGLANITFLNSIKLDNNQLTGQIPPEFALLGRLKEFSVANNRLSGPVPNFGSNVSSTYGNNLALCGKSLSPCPGPPKKSRVGVIVGAAIGGVTVSAIVVGVVMLFYLRRASRKRKEGDPDGNKWAKSIKGAKAIKLSMFEQSVSKMRLSDLMKATDNFHKGNIIGSGRTGTVYKAVLEDGTSLMVKRLQNTQHSEKEFMSEMATLGNVKHRNLVPLLGFCMAKQEKLLVYKYMVNGTLHDKLHFVNEGDKTLEWYLRLKIAVCSAQGFAWLHHSCNPRIIHRNISSKCILLDANFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTSERPTYVSKAPESFKGNLVEWISSLSGESKLRESIDKSLLGKGYDSEVFQVLKVACRCVLPAPKERPTMFEVYQLLRAIGERYNFTTYDIMMPSEGDDGIELEELIVARDTIDGH >itb14g17650.t1 pep chromosome:ASM357664v1:14:20861456:20865644:1 gene:itb14g17650 transcript:itb14g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRVCVCFTRKFRVTEAEPPADVKEAFKKYAEGGTHLTSEQLRRFLVEVQGEVDATADAADAVVLQILQKRHPIAKFARHALTLDDFHHFLFSPDLNPPMASKVHHDMNAPLSHYFIFTGHNSYLTGNQLTSDCSDVPIIKALQRGVRVIELDIWPNSAKDDVNVLHGRTVTAPVELIRCLKSIKEHAFVASEYPVVITLEDHLTPDLQAKVAQMLIETFGDMLLIPETECLKELPSPEQLKHRIVISTKPPKEYLETQSFKEKQNGLQSQNSVQSTESRKDADDDDDVWGNEPSTMTADKEDLKLDGDPGENNHDSDDDEKAREPPAYKNLIAIHAGKPKGGLKEALKVEPDKVRRLSLSEQALEKATDTNGTDVVSFTQRNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRSNGGCGYVKKPDLLMNVGPNCEVFNPKTRLHVKKTLKVKVYMGDGWHLDFKQTHFDSYSPPDFYTRVGIAGVPADEVMKKTKIKEDNWTPVWGEEFTFPLTVPELALLRIEVHEHDMSEKDDFAGQTCLPVAELRPGIRAVPLCDRKGEKYNSVRLLMRFEFV >itb07g22580.t1 pep chromosome:ASM357664v1:7:27130851:27131399:1 gene:itb07g22580 transcript:itb07g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEEIIMADELLEALYDDGPAAPAPAPAPAVAAPAPAPAPAVAAPPPERHLIKIHYYGNIYDNIEIQNNDYVDPYHWRATGGSSTRRSYRCISTDCPAKKRVDRDENGTLFCVVYYGDRHDHAPGEERGPRPWLRGAGRQNQGGLRGAGRQNQGGLRGAGRQNQGRSDEQRRPWLQYRGG >itb06g10200.t1 pep chromosome:ASM357664v1:6:14521735:14523567:1 gene:itb06g10200 transcript:itb06g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKELIVQQCTEVEWILNSKQIIENASNTGATPFKCLEVLTLYKLPDLISVCKGEDVILPDYCTFSSLKHLYIEECDSMKKLLPHALLQNLKNLETLFVVDCSQLEVVIGGVGGDGEEEGSSNTPIYLPKLKQLLLACLPELKSICNGREMICPSIEEINIWRCTNVKRMPSFLPINETTEQPYISSSFRKIKLFEDEKEWWESLELHNPNAKHILQSHIKWY >itb13g27010.t1 pep chromosome:ASM357664v1:13:32062666:32063961:-1 gene:itb13g27010 transcript:itb13g27010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHVQALLQALGDRKGINRFGDFSAPLDESLIHVALDLSGRPHLSYDLQIPTQRVGTYDTQLVEHFFQSMVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGSIPSSKGVLSRV >itb03g02060.t1 pep chromosome:ASM357664v1:3:1156311:1161318:-1 gene:itb03g02060 transcript:itb03g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMIYLLLHLLTTTTCSGGSPAYTPPDHILHNCGGASKQDSNGRNWTSDAYYPECLLPDEPDISVTYKGKAVAAGNASIPDVPYSSVHIFVNKCTRSYAVSPGPKFIRLHFSPKTHYSVPGFSQQPEDSFFSVNANEYTLMANFSAFLTLSDASVVEKEYIVNVDANRLDITFTPSPNSFAFVNGIQIVSIPTGYYIGGEAAGVDDNPIKWVPGNNNYFIFNNTALETLYRLDVGGPKVFPVNDTGMDRTWYSDDNYVTGLGYFTPPLDVNITYTSYTPAYSAPEIVYTTARTIANDSNVVNWTFPVDSGFLYLFRLYFCEFAIEIDSGNERVFSVDIANITADSRTDVFLLAGGSKIPIFRDYLVNVPDMDGRRNKQNVSFAIRPNMATRPVWANALLNGLEIFKLNDTQGSMAVGPNLELPVQISGKKASSFLILFPFQAVLVGAIVGGVAGGVAVVVILVFLVYFRRRITRDSATNVTSSESSSKALDFSQSELCRHFSQDELKSATADFDESYVIGKGGFGKVYRASINESDCSIEDGAANNSIVAVKRLNRAESRQGENEFWMEIKMLSNLRNQNLVSLIGYCNEDEEMLLVYEYMARGTLADHLYKVNDDNRPSLSWEQRLNICLGAARGLQYLHAANVIHRDVKTSNILLDQDLVAKISDFGLSKIGPGNDSFTHISTDVKGTFGYLDPEYFLTKRLTTKSDVFAFGVVLFEVLSGRPALDMRLVVEQHSLATWAVFVEIAGRCLRREPRQRPDMADVVKNLELAVALQQRAAEIALIVSIIGRDNPSKGYVVRSHLYQLFQRGKLFFQDNN >itb04g03960.t1 pep chromosome:ASM357664v1:4:2465833:2471465:-1 gene:itb04g03960 transcript:itb04g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENTTNLPGRSRKWMVLAACMWIQAFTGTNFDFSSYSSDLKLVMGLSQVQLNYLSLASDLGKLFGWCSGVSLLYFPVWLVLFLAAFMGFLGYGLQWLVIQETIALPFFSVFLLCLLSGCSICWFNTVCYVLCIENFPASRPFALSLSVSFSGVSPALYNVTVKAINSENHGLYLLLNATLPLITSIAALLPILRQRPPPQVAAREIDSREYGVFLCHTILAAFTGLYLLVLNSLSYSTTTAQILLAGTIFLLVLPAITPETVSPQEWISQPVLSRSYHYQELSSRSIDNDNLEIHKQLTRDNGATAKDSRQNSCYSCSSKDQRKCRVHNNDNLEIHKEHIRDETTSTKHSTRDLYSSKDQEQKWDHNDFLKDHSVIPGEEHSTSALITSRDFWLYYIAYFCGGTIGLVYSNNLGQVSQSLGYSSDVTELVAVYSACSFFGRLLSSAPDFLRQKIYYARTGWLAFSLVPTPMAMYLLVLSGSNAALTLATALIGLSSGFVFSTAVSITSELFGPHSAGVNHNILITGIPLGSLLYGLLAALVYETNLPKTNHFTLSDGSRVCLGRKCYTETFMWWGCISMFGLVSSVLLYLRTKPAYERVERNKMCTQFS >itb01g30060.t1 pep chromosome:ASM357664v1:1:34162791:34165147:-1 gene:itb01g30060 transcript:itb01g30060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFFVFATLVSQLSEATTVVVDGVSEWKNPTVQIGDNIIFQHKYQYSLFIFQNQNAFSSCNFTQATLLTKSDSKTYTWQPSRPGFFYFSFNNGSNKACLEGQKLAIKVTSSPAKTPSPSPELPPHSGGIVSSSPTYPWPFRPHETAAPSPAPLALLPANSPLVPEKGGDLPFINSNPAVPLPTGEVDSATIRPLPTSGHPQRQVVGFLTVQRAWFCAIFLMLL >itb03g17840.t1 pep chromosome:ASM357664v1:3:16269039:16272432:1 gene:itb03g17840 transcript:itb03g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKASFTLAFFLCVLIVFLEVRHGADTKLFVFGDSYADTGNTPPIDGFECWEEPYGITFPGKPSGRFSDGRVLTDFIAEYLGIRSPVPYRGWKLGLNLQKNGMNFAYGGTGVFNTTSGGPNMTAQINDFQQLIQQRVFTKRDLTSSVAHVSAAGNDYGALSVGGQKDIESVISQLVLNLKRIYSLGVPRISVVAVPPFGCLPGDVPIQPSPNRVCNESQNSFSRLHNQLLKEAVEQLNTETGGSTFVILDLYSAFMSALNVQNNRSGKSSFVNPLGECCKGTISGSSCGDVDEDGRKEYVVCEDPKQSFFWDTIHPSQQGWLTVFSIVKPSLNTLLLPHATFTSTSVAI >itb01g16710.t1 pep chromosome:ASM357664v1:1:21182185:21182505:-1 gene:itb01g16710 transcript:itb01g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTQEKAKKLCYERRATCIMKKAMELQILCGLKIGVVIISPDGKLESWPPQLNDVKALLHAGKERPGVKSEKRKDPACQDFFSQLPGNLGFKTPTFEPEGGVL >itb12g02160.t2 pep chromosome:ASM357664v1:12:1448692:1451327:1 gene:itb12g02160 transcript:itb12g02160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIEELKDQTQKWLREAEALVDQIPPAQLYAAIGVVLLTVFLFFITRLFKRTTSDTIVLTGLSGSGKTILFYELKDGLPHEGTVTSMEPNEGTFILPSERAKRKIKPVHVVDVPGHSRLRQKLEEFLPQAAAIVFVVDGVEFLPNCCAASEYLYDILTKATVVRKKIPVLLLWNKVDKVTAHSKEFIKKQLKKEIDKLRPSRTAVSNADISNEITLGTPGEPFSFSQCQNQIVVGEASGLTGETCQLEQFIRANVKR >itb12g02160.t1 pep chromosome:ASM357664v1:12:1448494:1451327:1 gene:itb12g02160 transcript:itb12g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIEELKDQTQKWLREAEALVDQIPPAQLYAAIGVVLLTVFLFFITRLFKRTTSDTIVLTGLSGSGKTILFYELKDGLPHEGTVTSMEPNEGTFILPSERAKRKIKPVHVVDVPGHSRLRQKLEEFLPQAAAIVFVVDGVEFLPNCCAASEYLYDILTKATVVRKKIPVLLLWNKVDKVTAHSKEFIKKQLKKEIDKLRPSRTAVSNADISNEITLGTPGEPFSFSQCQNQIVVGEASGLTGETCQLEQFIRANVKR >itb10g06090.t1 pep chromosome:ASM357664v1:10:6665706:6674785:-1 gene:itb10g06090 transcript:itb10g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPDSDLFVYYCKHCSSHVLITDNQLQKMPKRKTDKAYVLDKKKYLARLSVNEAGKVLLKRGEGKLEKQFRMNCKGCGLFVCYRAEDDLDSASFIYVVDGALSTIAAETNPQDAPVPPCISHLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVTAPAARGEANNELLEFMGRVLGLKLSQMTLQRGWNSKSKLLVVEDLSARQVYEKLLEAAQP >itb15g10960.t2 pep chromosome:ASM357664v1:15:8714074:8717607:-1 gene:itb15g10960 transcript:itb15g10960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGSRVRVESMLTVVSSTPIEPNKVQKLNALDHALGLHTLHIIFYYRANPFREGPMELDLDNLRVTLSEHLCKYPPATGRLGPDEEGNWEVKCNDAGIRMLRARVGVTVDEWLRSADALEERDLTVWEDMPQDPTIWSPFRIQLNDFEGGGLAIGLSFTHMHADPTSATLFFKSWADFHRGLPILHPPIFSLPAFTNHPIPNPTSKTSEYYNTKCKEAETVSAPKMSTLTFRFSGSKIMKCLSQVHPICPDATPFDVLAALFWLRIMRFTSPTPLSICLDFRSHKSKVIPFHYFGNALHFSSLSLHAEALEDCELGHVAGLVHEHVTRIDEGEFWSAVDWFESPKGETGKYAPPFTMYGPELTCISMEHMSCADGTTDESLMYSAMFRQDERPVHVSYHVGNAAGKGLIMVMPSPGDRLGRTVMVSLPEQRIAILSQDQAIVELDPIMLISGKR >itb15g10960.t3 pep chromosome:ASM357664v1:15:8718447:8720380:-1 gene:itb15g10960 transcript:itb15g10960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGSRVRVHSKLRVMSSTPIEPNKVHKLSALDHAMALHTLHVIFYYRANPFHEGPMELDLDNLRITLSDHLCLYPPVTGRLVRDGEGNWEVKCNDAGIRMVRASVGVTVDEWLRSADASEERDLTVWENMPQDPIYWSPLRIQLNDFEGGGLAIGLSVTHTHADPTSATLFFKSWADFHRGLPILHPPIFNHPTANPNLKTSEYYIKKCKETETLSTKMPTLTFRFSGSRITKCLSQVHPICPDATPFDVVAALFWLRIMRFTSPTPLSICLDFRTHKTKVIPSHYFGNALHFSSLSLHAQALEDSELGHVVALVHKHVTGIEEGEFWSAVDWFESQKGEKGKYAAPFRMYGPELTCISMEHMSCADESLMYSAMFREEERPVHVSYNVGNVGGKGLIMVMPSPGDRVGRTVMLSLPENQISILSQDEAIMELDPQMLISGRR >itb15g10960.t1 pep chromosome:ASM357664v1:15:8714074:8720275:-1 gene:itb15g10960 transcript:itb15g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKGSRVRVHSKLRVMSSTPIEPNKVHKLSALDHAMALHTLHVIFYYRANPFHEGPMELDLDNLRITLSDHLCLYPPVTGRLVRDGEGNWEVKCNDAGIRMVRASVGVTVDEWLRSADASEERDLTVWENMPQDPIYWSPLRIQLNDFEGGGLAIGLSVTHTHADPTSATLFFKSWADFHRGLPILHPPIFSLPAFTNHPIPNPTSKTSEYYNTKCKEAETVSAPKMSTLTFRFSGSKIMKCLSQVHPICPDATPFDVLAALFWLRIMRFTSPTPLSICLDFRSHKSKVIPFHYFGNALHFSSLSLHAEALEDCELGHVAGLVHEHVTRIDEGEFWSAVDWFESPKGETGKYAPPFTMYGPELTCISMEHMSCADGTTDESLMYSAMFRQDERPVHVSYHVGNAAGKGLIMVMPSPGDRLGRTVMVSLPEQRIAILSQDQAIVELDPIMLISGKR >itb11g12790.t1 pep chromosome:ASM357664v1:11:9753196:9753654:-1 gene:itb11g12790 transcript:itb11g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGSYYNLPWLVFLLIIVQYLNGSRSCFENERNALLSFKRNVSHLSFSSLSSWGASRDDDCCKWEGIGCDNRTGHVVALHLGDAGLQGEISPSLLHLLFLNFLDLSFNEFERIPSFIGSLNKLVYLNLSYNDFFWECPSSSGKYFKFEIS >itb08g03020.t1 pep chromosome:ASM357664v1:8:2549701:2554159:1 gene:itb08g03020 transcript:itb08g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATAVAKPRGRQCVICNERRAALKRPKTLQQICRECFYEVFEEEIHRVIVDNQLFKPSERIAVGASGGKDSTVLAYVMSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKVVSYKELYGWTMDEIVKLIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRSILDIIKSGEDFRISTSTKMPEQGNCERCGYISSQKWCKACVLLDGLNRGLPKLGIGRSRGLNSDNTKNTKQANGTKNLQSKQCGSLDF >itb06g05730.t1 pep chromosome:ASM357664v1:6:8402502:8408138:-1 gene:itb06g05730 transcript:itb06g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEVLYETLSPLFGDASAEQTPPASPPRSDNLEQYVVFRNEISLDSTQCPSPEAAAQDYFSLDADAEVDELKLSSLSTPSPASVPVPVKEPARTIEGNWFRANCSFRSPMLQLHKEILDFCDFLSPSQEEQAGRNAAVERVFNVIKYIWPKSKPEVFGSFRTGLYLPSSDVDVVILGSDIRSPQIGLHALSKALSQKGIAKKIQVIAKARVPIIKFVEKESCISFDISFDVQNGPKAAEFITDAVSKWPPLRPLCLILKIFLQQRELNEVYTGGIGSYGLLVMLIAMLRNHRDFQASREQNLGVLLVSFFDLYGRKLNTSDVGLSCNGEGTFFRKITKGFLSKGKPYLISIEDPQTPENDVGKSSFNYFQVKSAFSMAFTTLTNANAILELGPSRSILGMIIRPDEVLLVRKGGSDGDTTFDSLLPGAGEPLPHSDTQDIYYNWQLNDEDEELLPRGNGIVEDMTPPSSSKKRKKSKEKQSSKKKMKDDGHTSSRSRKEKSSKKRRWKHEGSSPHYAK >itb09g01460.t1 pep chromosome:ASM357664v1:9:896528:899156:-1 gene:itb09g01460 transcript:itb09g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGYGGGGETSDQIMSSSMLFPPVQAHQQFQGLMNYDDQNLIQILENGWIERNSDIIGMNFAVSSCSPAAAAAAAETISAGKKKDAFPAEKLERESYKRRKTDKAVAEEERKDRKLKGCQQEDVRTEHTNNNSKKEHSTDTSKVTQDKKPDYIHVRARRGQATDSHSLAERVRREKISERMRYLQDLVPGCNKITGKAGMLDEIINYVQSLQKQVEFLSMKLAAVNPRLDFNIDSLFTKEMFQSSPSNFPPVGIISDVSTPFNLLQLVASSGLEMGISPSDMALRRTINSHVSVPKTLLNSSGINQGQTCPIWDGELQNLYAMEVQQGRSASFTSQPFMGL >itb06g19630.t1 pep chromosome:ASM357664v1:6:22873579:22875063:1 gene:itb06g19630 transcript:itb06g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVRASHSIHQSSTMESKFMIFCVFALLFAEINGITLDLIHPDSPLNPFRHPSQSRFGYIRQAFRNSQSRAAYINHRINGDFSTKFETAVKPAGGGYVMKYSIGTPPVETYGIADTGSDITWTQCKPCKTCFNQSLPIFDPKKSRSYKMVPCDSSTCTSFASLGCDADDICRYQVAYGDQSSTVGEVAMETLRLGNTSFKSVVFGCGFENGGTFSGDSSGIVGLGGDVTSIISQLDRQIGGKFVYCLSPDANSTSRISFGPDAVVKGAKAVSTPLIKKPKPANFYWLNLERITVGGKSLTVKSPASEISGRREGGVKQTKVEGNVIIDSGTTLTLLPYDLYNFTYSAVRDAIPATPVTDSQNIFPLCYKSADKLVFPRIVAHFTGADVELSPKGTFVEVEEGLLCLTVISQVRIGSDVAIFGNLSGIDYIVGYDLVAGTVTFKPADCSKF >itb01g22690.t1 pep chromosome:ASM357664v1:1:28744695:28747967:-1 gene:itb01g22690 transcript:itb01g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLPACAGFVSLLEKSTDADGAVVVHVDCEGFAGVVRLWQKVQLAAGEVGRRRRIQQKRARVAPVVASSSLFPDDDDDFVKGPVPSLQRNRQDPPREVPNNVLTADERESVLFYSFNGLHF >itb15g21980.t2 pep chromosome:ASM357664v1:15:24632105:24639391:1 gene:itb15g21980 transcript:itb15g21980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMATDSGDLSMVTVPQESENPTVVYPLRHGLKPPIHRASICWARGNTLRVTLLRKPGPDDAGGGDSEAGGKVVELNLSNTGDEEIDAVRWRKIAYGSVTPFALLQSRKNSMAALSKMSLDSPPYNAEWSEHVMEYSKEINSLLGNPKSAPTSVIEDPKAVLKEVEEPTCLKGAWELMEMFYADKQSQAWISERLLDWLADYDSLFSGTHPTVHSKVVDFQKDLVALQTIENDPKYWEVLSSALAVGWLDTVVKLLRLHGSYQFDQLGTRETENGLVEAVAVLISKMPRMRTTLPAGKLGECYPSKQDFMKAWERWRAQITKLECSAYWLQCDHLQTREGLKNMLQIMLGNASVLSNATYHWMELYVSHFLYIRPFTVGLESMYNLARKCMQIKPVSSSHKLMNLVLGIFGENAEVVLAECSRSFGPWMMAHAVELLTAGSTQAELLLHEEQPKLGGINLEELHRLAYAEVLSSHVLTWQIAPIYLTSCMKLGISLLENLLYKQPVQQHQVLLKSIEICRLYELNTVSSNIMRIAGVHHWKHGKKGPAVFWLQQAHDEVRLNRIAKQLFDFVGKSVSDEGFKQWEGLIELLGSESRTASGLEFLNKYRDFRRCLLQVEGYTSTDAAWKAAEALISLMKNPSTPQQFWLPLLHDSLKLLNWREHPLFNVSQTNLLLNKMQELSMARLRPDFVENDLPSEAVSSVRLALATNLGRAILEE >itb15g21980.t3 pep chromosome:ASM357664v1:15:24632121:24639624:1 gene:itb15g21980 transcript:itb15g21980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MLSEHVMEYSKEINSLLGNPKSAPTSVIEDPKAVLKEVEEPTCLKGAWELMEMFYADKQSQAWISERLLDWLADYDSLFSGTHPTVHSKVVDFQKDLVALQTIENDPKYWEVLSSALAVGWLDTVVKLLRLHGSYQFDQLGTRETENGLVEAVAVLISKMPRMRTTLPAGKLGECYPSKQDFMKAWERWRAQITKLECSAYWLQCDHLQTREGLKNMLQIMLGNASVLSNATYHWMELYVSHFLYIRPFTVGLESMYNLARKCMQIKPVSSSHKLMNLVLGIFGENAEVVLAECSRSFGPWMMAHAVELLTAGSTQAELLLHEEQPKLGGINLEELHRLAYAEVLSSHVLTWQIAPIYLTSCMKLGISLLENLLYKQPVQQHQVLLKSIEICRLYELNTVSSNIMRIAGVHHWKHGKKGPAVFWLQQAHDEVRLNRIAKQLFDFVGKSVSDEGFKQWEGLIELLGSESRTASGLEFLNKYRDFRRCLLQVEGYTSTDAAWKAAEALISLMKNPSTPQQFWLPLLHDSLKLLNWREHPLFNVSQTNLLLNKMQELSMARLRPDFVENDLPSEAVSSVRLALATNLGRAILEE >itb15g21980.t4 pep chromosome:ASM357664v1:15:24632105:24639391:1 gene:itb15g21980 transcript:itb15g21980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMATDSGDLSMVTVPQESENPTVVYPLRHGLKPPIHRASICWARGNTLRVTLLRKPGPDDAGGGDSEAGGKVVELNLSNTGDEEIDAVRWRKIAYGSVTPFALLQSRKNSMAALSKMSLDSPPYNAEWSEHVMEYSKEINSLLGNPKSAPTSVIEDPKAVLKEVEEPTCLKGAWELMEMFYADKQSQAWISERLLDWLADYDSLFSGTHPTVHSKVVDFQKDLVALQTIENDPKYWEVLSSALAVGWLDTVVKLLRLHGSYQFDQLGTRETENGLVEAVAVLISKMPRMRTTLPAGKLGECYPSKQDFMKAWERWRAQITKLECSAYWLQCDHLQTREGLKNMLQIMLGNASVLSNATYHWMELYVSHFLYIRPFTVGLESMYNLARKCMQIKPVSSSHKLMNLVLGIFGENAEVVLAECSRSFGPWMMAHAVELLTAGSTQAELLLHEEQPKLGGINLEELHRLAYAEVLSSHVLTWQIAPIYLTSCMKLGISLLENLLYKQPVQQHQVLLKSIEICRLYELNTVSSNIMRIAGVHHWKHGKKGPAVFWLQQAHDEVRLNRIAKQLFDFVGKSVSDEGFKQWEGLIELLGSESRTASGLEFLNKYRDFRRCLLQVEGYTSTDAAWKAAEALISLMKNPSTPQQFWLPLLHDSLKLLNWREHPLFNVSQTNLLLNKMQELSMARLRPDFVENDLPSEAVSSVRLALATNLGRAILEE >itb15g21980.t5 pep chromosome:ASM357664v1:15:24634744:24639624:1 gene:itb15g21980 transcript:itb15g21980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPRMRTTLPAGKLGECYPSKQDFMKAWERWRAQITKLECSAYWLQCDHLQTREGLKNMLQIMLGNASVLSNATYHWMELYVSHFLYIRPFTVGLESMYNLARKCMQIKPVSSSHKLMNLVLGIFGENAEVVLAECSRSFGPWMMAHAVELLTAGSTQAELLLHEEQPKLGGINLEELHRLAYAEVLSSHVLTWQIAPIYLTSCMKLGISLLENLLYKQPVQQHQVLLKSIEICRLYELNTVSSNIMRIAGVHHWKHGKKGPAVFWLQQAHDEVRLNRIAKQLFDFVGKSVSDEGFKQWEGLIELLGSESRTASGLEFLNKYRDFRRCLLQVEGYTSTDAAWKAAEALISLMKNPSTPQQFWLPLLHDSLKLLNWREHPLFNVSQTNLLLNKMQELSMARLRPDFVENDLPSEAVSSVRLALATNLGRAILEE >itb15g21980.t1 pep chromosome:ASM357664v1:15:24632121:24639624:1 gene:itb15g21980 transcript:itb15g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MLSEHVMEYSKEINSLLGNPKSAPTSVIEDPKAVLKEVEEPTCLKGAWELMEMFYADKQSQAWISERLLDWLADYDSLFSGTHPTVHSKVVDFQKDLVALQTIENDPKYWEVLSSALAVGWLDTVVKLLRLHGSYQFDQLGTRETENGLVEAVAVLISKMPRMRTTLPAGKLGECYPSKQDFMKAWERWRAQITKLECSAYWLQCDHLQTREGLKNMLQIMLGNASVLSNATYHWMELYVSHFLYIRPFTVGLESMYNLARKCMQIKPVSSSHKLMNLVLGIFGENAEVVLAECSRSFGPWMMAHAVELLTAGSTQAELLLHEEQPKLGGINLEELHRLAYAEVLSSHVLTWQIAPIYLTSCMKLGISLLENLLYKQPVQQHQVLLKSIEICRLYELNTVSSNIMRIAGVHHWKHGKKGPAVFWLQQAHDEVRLNRIAKQLFDFVGKSVSDEGFKQWEGLIELLGSESRTASGLEFLNKYRDFRRCLLQVEGYTSTDAAWKAAEALISLMKNPSTPQQFWLPLLHDSLKLLNWREHPLFNVSQTNLLLNKMQELSMARLRPDFVENDLPSEAVSSVRLALATNLGRAILEE >itb06g18310.t1 pep chromosome:ASM357664v1:6:22010973:22015537:-1 gene:itb06g18310 transcript:itb06g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LTL1 [Source:Projected from Arabidopsis thaliana (AT3G04290) UniProtKB/TrEMBL;Acc:A0A178VLC7] MSSSSGCCSWLPNPGILGLLAFTVVFFGRAECRAFFVFGDSLVDNGNNNYLATTARADGPPYGIDYPTHRATGRFSNGLNIPDIISERLGMEPTLPCLSPDLKGEKLLVGANFASAGVGILNDTGVQFMNIIRIGNQLDYFQEYQRRVSDLIGAADAKQLVNKALVLITLGGNDFVNNYYLVPFSARSRQFTIPEYVPFLISEYRKILQRLYDMGARRVIVTGTGPIGCVPAELAQRSRAGECAVDLMRAAALFNPQLTQMLAELNSELKADVFIAANTYNMHMDFIFNPQAFGFVTSKVACCGQGPYNGIGLCTQASNLCPNRDIYAFWDPFHPSEKANRIIVEGIFAGSPQYMHPMNLSTIMELDSRT >itb04g09140.t1 pep chromosome:ASM357664v1:4:8462483:8467376:1 gene:itb04g09140 transcript:itb04g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGVQKKRLLTSVGVIAIFLVFLYVYFGSKSSGESALEYGSRSLRKLGSSYLGGDDDGDVKQDENFGLEDGNDGVVLKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPMPERRFNCLIPPPAGYKIPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIIFPGGGTHFHYGADKYIASIANMLNFSKNILNNEGNIRTVFDVGCGVASFGGYLLSSNIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKQMSALVERMCWRIAAKRNQTVIWVKPLDNECYMSREPGTQPPLCRSDDDPDAVWGVPMEACISPYSDHDHKTKGSGLAPWPARLITPPPRLADFGYSNEMFEKDTELWRQRVDSYWRILSPKISSDTVRNIMDMKANLGSFAAALKEKDVWVMNAVPEDGPNTLKIVYDRGLIGTIHNWCEAFSTYPRTYDLLHAWTVFSDIEKKGCSGEDLLLEMDRILRPTGFIIIRDKQHVIDFVKKYVHALHWEAVRTADSSSDPDQEGDEVVLIVQKKLWLTSESIIATD >itb12g21990.t2 pep chromosome:ASM357664v1:12:24192756:24197989:-1 gene:itb12g21990 transcript:itb12g21990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MESSNGDKQAATVFLDRASRATRGKRMTKLLDEEIEEDEVFWNQDALKDEENDQEYEEEAEVADVFDSDFDEDEPVADEEGENEPEDRTQRKKRLIFPGKPSGKKKKKKKVLSKLETASEEKKTPEQSTPPEHHDAPDDVEEERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKKMSQEEMLLEAAQTEIMNLRNLEKVLAREEEVKKKAIVHKAVYSGPQIRYLSKDGCSYLEFNNGASFESEISTTSTPC >itb12g21990.t1 pep chromosome:ASM357664v1:12:24192756:24198010:-1 gene:itb12g21990 transcript:itb12g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MESSNGDKQAATVFLDRASRATRGKRMTKLLDEEIEEDEVFWNQDALKDEENDQEYEEEAEVADVFDSDFDEDEPVADEEGENEPEDRTQRKKRLIFPGKPSGKKKKKKKVLSKLETASEEKKTPEQSTPPEHHDAPDDVEEERIIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKKMSQEEMLLEAAQTEIMNLRNLEKVLAREEEVKKKAIVHKAVYSGPQIRYLSKDGCSYLEFNNGASFESEISTTSTPYPQKPVCAVTGLPAKYRDPKTGLPYATKEAFKIIRERYSIEKNSLVEKKGIIDVLSNTISGSGFSRRRKRSKPPHNSREMSDSRVFARFRRIPIYEIQDSE >itb07g22850.t1 pep chromosome:ASM357664v1:7:27351443:27351949:1 gene:itb07g22850 transcript:itb07g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAKNTTTATSSSILVTFFKYLHRAIQDAISAVLRSPQISINPSAVDEDAACSICMADVKVVMGRLGMAWEDDDEEEEEESERVVINGGGEEEASMGEVKRCFDVFDENGDGLIEACELQRVLVCLGLEEGCGLEDCKRMIWAFDENGDGVIDLAEFAKLMAQISI >itb11g23250.t1 pep chromosome:ASM357664v1:11:25163071:25165538:1 gene:itb11g23250 transcript:itb11g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLSSVRLLYPWTKDPSFLHTLCLSCNRGECERAYMAATLCLLKLPVLSSSSKHQQPHIPKLISSIPISLNLPQNLLKQAIKPLKSASLPLSALALTFFLDAQDAVAVGGEFGILEGRSFALIHPIVMGGLFVYTLYAGYLGWQWRRVRTIQEEITELKKQVKPVAVTPDGTPAAEPPKPSPLESKIQQLTEERKELVKGSFRDKHFNAGSILLGFGVFESIFGGVNTWFRTGKLFPGPHLFAGAGITVLWAAAAALVPAMQKGNETARNLHIALNVLNVILFIWQIPTGIDIVFKVFEFTSWP >itb02g01030.t1 pep chromosome:ASM357664v1:2:592572:595075:-1 gene:itb02g01030 transcript:itb02g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEYKKRIIPEVKSRQNSNRVTVTGEGKIERQTMLKNVDDSKSTARPRSNRMPLRSIPNSNNGVRHLSRSTKTKKKLPEKKEPEEAVVGDSSLDRLLLVHSDLSSLVHQIDELVVQALTSKKEVKEIKSFADFLSEMQVSLKPWVSRFQKALSDTSTRPENTVEQPLESKVVLVPAVKEDMRNVVDSPEQCKWDSLVCSSPLVSWPADCTSECDRQLFLLTPLPQSKGLLSKFRESSKSIVEKIKPDQIPQLPLAFDAMLDMGHNLLDSAKPETTSKRTGQPLYHLDITGNVNDQKLGSIAVTAVPKEVSDIAMKKPEGSLDSKLVSPEKVLSRQNSILMTPCLKVSPPKSCVVLEPVSEYHYKGNLKLHKLTPYPSALQFSSESQDSESSTIQSSEKLNVKYPELFGIKLGNQLVNRWKVADESPKWSPPKTCVLLEPPEDAAGECLIPETAPNPNQKNFLPKRISTQELGSKCELVESTPMMKEPESCIKIGKHPGENTLKRELWTKFEAASTHAICFNPPTTQKMALQKGFLDRLDEVSSDE >itb11g14770.t1 pep chromosome:ASM357664v1:11:12059570:12061109:-1 gene:itb11g14770 transcript:itb11g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74650 [Source:Projected from Arabidopsis thaliana (AT1G74650) UniProtKB/TrEMBL;Acc:Q9CA52] MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDQEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKVEGSSEDGQDGNSSSSSHQSISKGQWEKRLQTDIHMAKQALCDALSIHKPATPEPFQPVHALNPPVQPSSGSTYASSTENIARLLQTWTKPVQSRSNSETTIQSSLNNNPSLGPGSSSSPSEGTALSSAAFDQTVFGFNSNMDENNPWVFPIESKPQSVAPQNGNTSNNLGTQLPLTFLEKWLLDDANNVPAPDDLMDMGMGIDFF >itb08g06820.t1 pep chromosome:ASM357664v1:8:5834389:5841534:-1 gene:itb08g06820 transcript:itb08g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRTYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEVSAPFPFPLKS >itb08g06820.t2 pep chromosome:ASM357664v1:8:5834389:5841534:-1 gene:itb08g06820 transcript:itb08g06820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRTYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEVSAPFPFPLKS >itb08g06820.t3 pep chromosome:ASM357664v1:8:5834389:5839827:-1 gene:itb08g06820 transcript:itb08g06820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPSPLNFLSPSVHLYFYNPLLPTHSPTSFRTLRFKLYCSSQTTHSEIRYTTPTRKKRKPRASFLEKIQEKWSVKPTSSRQSFPWQEQKSELTVEEISEPGLQFFANGIENEEEKVEVSDSVSSSNSRIEVKLPPWAHGALPREHLFSSDARILEDTEEERINVSGFIETFENDDSDSRFQDLKALVEEVSFDSEVVETDKFDAKFTSNGIISQKSETLVQGFPFSGNFSSTVQEPNFDGESEDEVKLEGFQTYKGTYLKENSSKVFKNSVNVRGLNDPNDLLRLPWEGDSKYARKEKLGMSNTQVAEKSIPELELKRLRNVALRTYERIKVGAAGITQALVDSIHEKWKEDEIVKLKFEGPQSHNMKRTHEMLEAKTGGLVIWRSGSSIVIYKGLSYAFDCVKSFVKQNKANLDTLELSRDSVDNSGIKYLNGVHGTSKSYSNSLTEEEKMDLSELNLLLDELGPRFEDWNGRYPLPVDADLLPAVIPGYKPPFRRLPYGVRQNLRDREMTYFRRTARGMPPHFALGRNRELQGLAAAMVKLWERNAIAKIAIKRGWERNAIAKIAIKRGVHNTCNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLAPAVTEALKEAETRNTLQQEHEEQARQAAATSIVSNRRTAKRPLVAGTLAETIAATSRWANPPSNQEIEKMMKDAAEARHATLIRFLEYKLALANRKVKKAERALQKLQKNLEPAELPTDLETLTSEERFLFRKMGLSMKPFLVLGRREVFDGTIQNIHLHWKYRELVKINVERKTFPQVKHFAISLEAESGGVLVSIDKTTRGHAIILYRGKNYQRPSEFRPKNLLTRRQALARSIELQRREALKHHILELKDKIENMKSDLDETKTVKEIDEEALYSRLEAFADDEDMEEVSAPFPFPLKS >itb07g10710.t2 pep chromosome:ASM357664v1:7:11832865:11838714:1 gene:itb07g10710 transcript:itb07g10710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGLRFGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKRAITAAVVTEVRFLHLVLYTAERAWSHAMEKKTLPDGPNARQRSYLIGRLRKAVKWAILFQELCAIKGDSKTSLEAEAYAAYMKGNLLFEQDKNWDVALKCFRSARAVYEQLGKYGDLENQVLCREYVEQLEPSIRYCLHKIGESNLQTSELVHIGEMEGPALDLFKAKLEAAMAEARSQQAASMTEFNWLGHRFPISNAKTRVSILKAQELERDIHGPAGDSLPAEKRLVVFDKIFAAYHEARSSIRSDLVTAANSENVKDELSGLDKAIGAILGQRTIERNQLLVSIAKSKFSKVRDDKNEKVTKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFAEECELKSMVFRAQRCFYLAKSYSSSGKRTEAYALFSRARSLADAALKKLHSVDISDQVIVNELKVLSNESRSNSCIEHARGIMEEEKVPENLSKKISDISLSGNDKKLEKFLMEKLDSYESAVGDSNTKVAPRIEVFPPPFQAVLRNPIVLDLAYNLIEFPALDSRMRKDKKGGFISRFWG >itb07g10710.t1 pep chromosome:ASM357664v1:7:11832830:11838918:1 gene:itb07g10710 transcript:itb07g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANENGVSAMEIEEQNPNHADQINKPKFSINVLQLLKSAQMQHGLRFGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTKRAITAAVVTEVRFLHLVLYTAERAWSHAMEKKTLPDGPNARQRSYLIGRLRKAVKWAILFQELCAIKGDSKTSLEAEAYAAYMKGNLLFEQDKNWDVALKCFRSARAVYEQLGKYGDLENQVLCREYVEQLEPSIRYCLHKIGESNLQTSELVHIGEMEGPALDLFKAKLEAAMAEARSQQAASMTEFNWLGHRFPISNAKTRVSILKAQELERDIHGPAGDSLPAEKRLVVFDKIFAAYHEARSSIRSDLVTAANSENVKDELSGLDKAIGAILGQRTIERNQLLVSIAKSKFSKVRDDKNEKVTKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFAEECELKSMVFRAQRCFYLAKSYSSSGKRTEAYALFSRARSLADAALKKLHSVDISDQVIVNELKVLSNESRSNSCIEHARGIMEEEKVPENLSKKISDISLSGNDKKLEKFLMEKLDSYESAVGDSNTKVAPRIEVFPPPFQAVLRNPIVLDLAYNLIEFPALDSRMRKDKKGGFISRFWG >itb02g25320.t1 pep chromosome:ASM357664v1:2:26101650:26106865:-1 gene:itb02g25320 transcript:itb02g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEYSSFSSKPIFSVVNFFVYGAYIFATIENANGFEGLIQLPKSGSFPINKSGPLIVNVIDFGAVGDGITDDTNAFQDAWEIACSSPSRANIVVPDGQFIVGPINFTGPCTSKVSLRVLGCIVAPEDPKVWDGLDKNKWLYFVKVKHLTVEGGGTINGMGHRWWAESCKINKTNPCQHAPKAMTFHRCNYLRVNGIVMLNSQQMHLAFTSCVKVTVSRLKILAPSGSPNTDGIHISASTHVTVKECTIGTGDDCISIVGNSSRIRITNITCGPGHGISIGSLGKSNSWANVHDVSVRGAFLSNTENGARIKTWQGGSGFVRKIIYENLMMENVSNPIIIDQYYCDSANPCSNQTSAVGISRVSFARIKGTSATKEAIRFACSDSSPCRNLYLQDIELVSPSGGSTSSFCWEAYGSTTGLVYPPPCFPSAKTITQPVIQHSNLTSM >itb02g25320.t2 pep chromosome:ASM357664v1:2:26101650:26106865:-1 gene:itb02g25320 transcript:itb02g25320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEYSSFSSKPIFSVVNFFVYGAYIFATIENANGFEGLIQLPKSGSFPINKSGPLIVNVIDFGAVGDGITDDTNAFQDAWEIACSSPSRANIVVPDGQFIVGPINFTGPCTSKVSLRVLGCIVAPEDPKVWDGLDKNKWLYFVKVKHLTVEGGGTINGMGHRWWAESCKINKTNPCQHAPKAMTFHRCNYLRVNGIVMLNSQQMHLAFTSCVKVTVSRLKILAPSGSPNTDGIHISASTHVTVKECTIGTGDDCISIVGNSSRIRITNITCGPGHGISIGSLGKSNSWANVHDVSVRGAFLSNTENGARIKTWQGGSGFVRKIIYENLMMENVSNPIIIDQYYCDSANPCSNQVFTFTYNRSVLDPNTKCIFTPNSQISRYNGLHNVKFQEHRRG >itb02g10370.t1 pep chromosome:ASM357664v1:2:6689266:6692357:-1 gene:itb02g10370 transcript:itb02g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKGPSMTQNWPPSSFPFQAMPLPSSAAAQQNPWVDEFLDFSSARRNFHRRSVSDPIAFVEAPFMDECRGGALMTCNNVINGNSTANHAFDRLDDEQLTSMFPDESAAAMATAAPSSNPSSTNPSTPSSDQISENEEKPQTRPGEVQPKNEPGEVVDSSYKTQPVQPATRPSTANDSGDTSNAIVDPKRIKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHEQNLKKTTAGNNGGGEEEAAAAAQQDELQQP >itb03g24620.t2 pep chromosome:ASM357664v1:3:23387064:23390617:1 gene:itb03g24620 transcript:itb03g24620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLSSGIRHTSLPENYVRPESDRPRLSEVADCNNVPVIDLGCGERKLIVKQIAQACSEYGFFQVINHGVPKKAIEDMLKVAQEFFQLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCHPLEKYSPEWPSNPSCFRAIVRNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHMAVNFCIPCWKPERTYSLPAHTDPNDLTILLQDTQASCFQVLKDGKWLAMKPHPDAFVINLGDQLQVIKNFYCCNNIYKTNLCGNN >itb03g24620.t4 pep chromosome:ASM357664v1:3:23387064:23390617:1 gene:itb03g24620 transcript:itb03g24620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLSSGIRHTSLPENYVRPESDRPRLSEVADCNNVPVIDLGCGERKLIVKQIAQACSEYGFFQVINHGVPKKAIEDMLKVAQEFFQLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCHPLEKYSPEWPSNPSCFRAIVRNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHMAVNFCIPCWKPERTYSLPAHTDPNDLTILLQDTQASCFQVLKDGKWLAMKPHPDAFVINLGDQLQALRNGKYKSVCYSAIVNKHGARMLNMSLKMEEPIPNLQETELNVTATPQQRLTNYPPPPASDHTPPPHQRSRFWGKVVKITKRLTVELIWRALFDELADE >itb03g24620.t5 pep chromosome:ASM357664v1:3:23387080:23390605:1 gene:itb03g24620 transcript:itb03g24620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLSSGIRHTSLPENYVRPESDRPRLSEVADCNNVPVIDLGCGERKLIVKQIAQACSEYGFFQVINHGVPKKAIEDMLKVAQEFFQLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCHPLEKYSPEWPSNPSCFRAIVRNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHMAVNFCIPCWKPERTYSLPAHTDPNDLTILLQDTQASCFQVLKDGKWLAMKPHPDAFVINLGDQLQVIKNFYCCNNIYKTNLCGNN >itb03g24620.t1 pep chromosome:ASM357664v1:3:23387064:23390617:1 gene:itb03g24620 transcript:itb03g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLSSGIRHTSLPENYVRPESDRPRLSEVADCNNVPVIDLGCGERKLIVKQIAQACSEYGFFQVINHGVPKKAIEDMLKVAQEFFQLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCHPLEKYSPEWPSNPSCFRAIVRNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHMAVNFCIPCWKPERTYSLPAHTDPNDLTILLQDTQASCFQVLKDGKWLAMKPHPDAFVINLGDQLQALRNGKYKSVCYSAIVNKHGARMLNMSLKMEEPIPNLQETELNVTATPQQRLTNYPPPPASDHTPPPHQRSRFWGKVVKITKRLTVELIWRALFDELADE >itb03g24620.t3 pep chromosome:ASM357664v1:3:23387080:23390605:1 gene:itb03g24620 transcript:itb03g24620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLSSGIRHTSLPENYVRPESDRPRLSEVADCNNVPVIDLGCGERKLIVKQIAQACSEYGFFQVINHGVPKKAIEDMLKVAQEFFQLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCHPLEKYSPEWPSNPSCFRAIVRNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHMAVNFCIPCWKPERTYSLPAHTDPNDLTILLQDTQASCFQVLKDGKWLAMKPHPDAFVINLGDQLQALRNGKYKSVCYSAIVNKHGARMLNMSLKMEEPIPNLQETELNVTATPQQRLTNYPPPPASDHTPPPHQRSRFWGKVVKITKRLTVELIWRALFDELADE >itb10g23640.t1 pep chromosome:ASM357664v1:10:27897583:27898086:1 gene:itb10g23640 transcript:itb10g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKIPLFIACTLVFSITLCCNAQNSPQDFLDAHNAARAAVGVEPMTWDTDVEAYAQSYANIRSADCSLTHSGSFTYGYGENIAAGGGPLTAKTAVDMWVAEQQYYDYATNSCNAPAGESCLHYTQVVWRNSVKLGCARVECTNGAGHFVTCNYSPPGNYNNEKPY >itb01g21420.t1 pep chromosome:ASM357664v1:1:27545372:27549736:1 gene:itb01g21420 transcript:itb01g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGPGKWRTILKDPEYSGVLYLRSNVDLKDKWRNMTVMANGWGSREKSRLALKRVHRTSKQDESSLALTNVVQSEEESSEGKRVATSSGSPQNGGSKRSIIRLDNLVMDAINNLKEPGGSNKKTIAAYIEDQYWAPPNFKRLLSAKLKYLTATGKLMKMSRKYRIVPSSLLSNNTRNPPIPILEGRERILPKVDRYDIDILTKSQIDLELAKMRSMTPQEAATAAAQAVAEAEAAIAEAEQAAREAEAAEADAEAALAFAEAAMKTAHGRSTPRMMIQA >itb04g28800.t1 pep chromosome:ASM357664v1:4:32397563:32400574:1 gene:itb04g28800 transcript:itb04g28800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLFHAFPPLFSACFLIIMTSLFFFPHIAVAKHGSITRHYKFNIRMQNVTRLCHTKSIVTVNGKFPGPRIIAREGDRVLVKVENHVPNNVSIHWHGIRQIRNGWADGPAYITQCPIQTGQNYVYNFTIIGQRGTLFWHAHISWLRATLHGPIIILPRKNTSYPFPKPHKEVPMIFGEWWNADTEAVISQALQNGGGPNVSDAYTINGLPGPLYNCSANETFKVKVKPGKTYLLRMINAALNDELFFRIANHTVTVVEADAVYVKPFETDLLVIAPGQTMNVLLKTKDNPPMSTFFMAARPYFTGQGTFDNSTVAGILEYEIPPIKNSKNLTKSIALPPLPPINGTSFVSNFTGKLRSLGNSQFPANVPQTVDKNFFFTVGLGTNPCPKNQTCQGPNGTKFAASVNNVSMVMPTTAILQAFYSQKSNGVYATDFPSVPLMPFNYTGTPPNNTKVVNATKVVVLPFNATVELVMQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPNKDPANYNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHFEVHLSWGLKMAWIVKEGKLPNQKLLPPPSDLPKC >itb12g13060.t1 pep chromosome:ASM357664v1:12:11737327:11739421:-1 gene:itb12g13060 transcript:itb12g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGDGRKKMAVLVGCNYEGTQYKLHGCHNDVLSMRDVLIKRFGFDCSCIQLIMDKPGSPVMPTASNIKSALGRMVDQAQPGDVLFFHFSGHGTLIAKHHHREEAIVALDFNLITSTDFREIVNRVAEGAIFTILSDSCHSGGLIDKEKEQIGPAHIPAPINVSPSTKTHSKFIPFHSLLLHHLSSLTNINNINNTTTADDNDITSSLSFGEALKADEGILLSGCQADEVSQDIVEDSPEGRAYGAFSNAVQSVLREHSEPLSNKELVLMARRVLEMKHLGKQHPCLYCSDQNAVAPFLAQSETKLPIQFT >itb13g18960.t1 pep chromosome:ASM357664v1:13:25991112:25991444:-1 gene:itb13g18960 transcript:itb13g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANEVLKRKPCLGVIGLVPCAKGGTFMAEWSRGTELYNRIINRTAAALQGGGRLEALLWYQGENQADKGVGLATFATDVRNFFTSLREDLKAPNLPIIQVALSPDENR >itb11g00980.t1 pep chromosome:ASM357664v1:11:418778:423252:-1 gene:itb11g00980 transcript:itb11g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVPVAILSVILGAVIAFLAFGNYFRKRKSEVESISKVDAVQPNQKPSPSPRHSQQSHHNSKKSHSKSHSHAAADKDSNKRHHPLDLNTLKGHGDSVTGICFSSDGCTLATACADGIVRVFKLDDATSKSFKFLRINLPAGGHTSAVAFADEKSSIVVACPAFSGSSLYMYGEEKPKAATDGTKLPLPEIKWEHHKIHDQRAVLTLFSTKATYGTADGSTIIVSCSEGTDVVLFHGKTGKVLGNVDTNQLKNHMATISPNGRFIAAAAFTADVKVWEIVYSKDGSVKEVLRVMQLKGHKSAVTWLCFTPNSDQIITASKDGSIRVWNINVRYHLDEDPKTLKVFPIPLHDANGTTLHYDRMCLSPDGKILAAIHGSTLQWLHAETGKVLDTAEKPHDGNITDMAWSPRTISSGDKQVFVLATSSDDKKVKLWAAPSLQS >itb01g19220.t6 pep chromosome:ASM357664v1:1:25185664:25189360:-1 gene:itb01g19220 transcript:itb01g19220.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISR >itb01g19220.t5 pep chromosome:ASM357664v1:1:25185613:25189632:-1 gene:itb01g19220 transcript:itb01g19220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISR >itb01g19220.t4 pep chromosome:ASM357664v1:1:25184493:25189648:-1 gene:itb01g19220 transcript:itb01g19220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISRFSGNT >itb01g19220.t3 pep chromosome:ASM357664v1:1:25185158:25189632:-1 gene:itb01g19220 transcript:itb01g19220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISRLRRL >itb01g19220.t1 pep chromosome:ASM357664v1:1:25184476:25189648:-1 gene:itb01g19220 transcript:itb01g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISRPYGETNLSRNWKGGPN >itb01g19220.t2 pep chromosome:ASM357664v1:1:25184476:25189632:-1 gene:itb01g19220 transcript:itb01g19220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERPSSSSAVARRGWRKALYQFTQQDLPACKPVLTPKWVISTFFITGIIFIPMGFLFLHASRSVVEIVDRYDTECIPVPFRNTKAAYIVDDSVPKNCTRYLKVPKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLRYNTTGSCKPEDTNNGLPIVPCGLIAWSLFNDTYNFSRGVDELKVNRKNIAWRSDRDHKFGKHVYPFNFQNGSLIGGAKLDPSIPLSDQEDLIVWMRTAALPTFRKLYGRIEEDLDAGDVIVVKLVNNYNTYSFGGRKKLVLSTSNWLGGQNNFLGMAYICVGTSFIFVACVFILLHLKISRPYGETNLSRNWKGGPN >itb03g22070.t2 pep chromosome:ASM357664v1:3:20035728:20039378:-1 gene:itb03g22070 transcript:itb03g22070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGEKVKKLIGGRSGGSNVKKNKGSVNSTRLYDNDTAVFISMSQELKYEGNRLFQQRDYEGAMFKYEKAIKLLPGNHIDVSHLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEALNRLDLAMRDVSRVLQMEPNNLMATEIADRVKASIEQKAVGQNDVSIDLIPVPEYVEPPFVSSSSKASKEKARKMKNNKIEEKKVDSVEAEDKSVENGFDETDAKGKRHVTEKNEEEAKNKKVENGVLETKTGDKIGQKKAKNKNEEKRDEYGFDEMNPEGKKEEETKAEEVKVGDDKLEDGVHLQKAKNKNVEKAFVNGIHEPNAKGKSEEKKSEDKDMEKKDEYSDEERKTEDKLVVEEMKSNSLEEQPRRMVKLIFGEDIRWAQIPINCGILELREIICDRFPSSKSILIKYRDQEGDLVTITTNEELRWAEASVEHSSVRLYIVDVNPDQDPFFEKDWEEKELQKNHVMENGDLRSKALQYGPPCIDDWIVHFAHLFKNYVGFECDTYLDLHEAGMKLYSEAMEETVTSEEAQDLFVIAAEKFQEMAALALFNWGNVHMSRARKRVYITEDDTGESVLEQVKCAYVWAQKEYSSAGRRYEEALKIKPNFYEGILALGLQQFERAKLSWYYAISTNADMESWPSTEVFQLYNNAEENMERGMQMWEEEAEQHHNELSKSNKTQTLLQEMKMEHLFKDIPTVEVGEQAANMRSQINLLWGSMLYERSIMEFKLGLPVWQESLEVAVEKFDLAGASPTDIAVMIKSHCSNTTAPEGLGFNIDEIVQAWNEMYEARRWQRGVSSFRLEPLLRRRVSKLYHTLELA >itb03g22070.t1 pep chromosome:ASM357664v1:3:20035204:20039378:-1 gene:itb03g22070 transcript:itb03g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGEKVKKLIGGRSGGSNVKKNKGSVNSTRLYDNDTAVFISMSQELKYEGNRLFQQRDYEGAMFKYEKAIKLLPGNHIDVSHLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEALNRLDLAMRDVSRVLQMEPNNLMATEIADRVKASIEQKAVGQNDVSIDLIPVPEYVEPPFVSSSSKASKEKARKMKNNKIEEKKVDSVEAEDKSVENGFDETDAKGKRHVTEKNEEEAKNKKVENGVLETKTGDKIGQKKAKNKNEEKRDEYGFDEMNPEGKKEEETKAEEVKVGDDKLEDGVHLQKAKNKNVEKAFVNGIHEPNAKGKSEEKKSEDKDMEKKDEYSDEERKTEDKLVVEEMKSNSLEEQPRRMVKLIFGEDIRWAQIPINCGILELREIICDRFPSSKSILIKYRDQEGDLVTITTNEELRWAEASVEHSSVRLYIVDVNPDQDPFFEKDWEEKELQKNHVMENGDLRSKALQYGPPCIDDWIVHFAHLFKNYVGFECDTYLDLHEAGMKLYSEAMEETVTSEEAQDLFVIAAEKFQEMAALALFNWGNVHMSRARKRVYITEDDTGESVLEQVKCAYVWAQKEYSSAGRRYEEALKIKPNFYEGILALGLQQFERAKLSWYYAISTNADMESWPSTEVFQLYNNAEENMERGMQMWEEEAEQHHNELSKSNKTQTLLQEMKMEHLFKDIPTVEVGEQAANMRSQINLLWGSMLYERSIMEFKLGLPVWQESLEVAVEKFDLAGASPTDIAVMIKSHCSNTTAPEGLGFNIDEIVQAWNEMYEARRWQRGVSSFRLEPLLRRRVSKLYHTLELA >itb08g01700.t1 pep chromosome:ASM357664v1:8:1365446:1367621:-1 gene:itb08g01700 transcript:itb08g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIWASSTANALRLSTASSKPNLSPAAAFSLSRCFSSVLEGLKYAKSHEWVKSEGSVATIGITDHAQDHLGEVVFVELPDVGTAVKQGSSFGAVESVKATSDVNSPISGEVVEVNTKLTEGPGVINSSPYEDGWMIKVKPCNESELGCLMNPKEYTKFCEEEDH >itb01g26790.t2 pep chromosome:ASM357664v1:1:31853076:31857550:1 gene:itb01g26790 transcript:itb01g26790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G11710) UniProtKB/TrEMBL;Acc:A0A178VAG3] MGKSDNKNAQQQRQNAAAAEDEMDPTQYFENRLKALAAQKEAGVNPYPHKFQVTISIPEYVEKYQGLESGEHREDQVAIAGRIMTKRASSSKLLFYDLHAEGAKVQVMANARESELGEEGFIKLHSAVKRGDIVGVVGSPGKTKRGELSIFPKSFVVLSHCLHMMPRQKGAQTETTKKTDVWVPGSGRNPDSYILRDQETRYRQRYLDLMLNMEVRHIFKTRAKIITYIRSFLDNIGFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMSLTEKMLSGMVKDLTGGYVIKYHANGVDKDPIEIDFTPPFRRIDMIEELEKVANLSIPKDLASDEANKYLADACAKFEIRCAPPQTTARLLDKLVGHFLEETCVNPAFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDENFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQEEASAKESQNKPGEPAKAKDDAQTKPQDGGATRA >itb01g26790.t1 pep chromosome:ASM357664v1:1:31853043:31857690:1 gene:itb01g26790 transcript:itb01g26790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G11710) UniProtKB/TrEMBL;Acc:A0A178VAG3] MGKSDNKNAQQQRQNAAAAEDEMDPTQYFENRLKALAAQKEAGVNPYPHKFQVTISIPEYVEKYQGLESGEHREDQVAIAGRIMTKRASSSKLLFYDLHAEGAKVQVMANARESELGEEGFIKLHSAVKRGDIVGVVGSPGKTKRGELSIFPKSFVVLSHCLHMMPRQKGAQTETTKKTDVWVPGSGRNPDSYILRDQETRYRQRYLDLMLNMEVRHIFKTRAKIITYIRSFLDNIGFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMSLTEKMLSGMVKDLTGGYVIKYHANGVDKDPIEIDFTPPFRRIDMIEELEKVANLSIPKDLASDEANKYLADACAKFEIRCAPPQTTARLLDKLVGHFLEETCVNPAFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDENFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQEEASAKESQNKPGEPAKAKDDAQTKPQDGGATRAA >itb03g19050.t2 pep chromosome:ASM357664v1:3:17198241:17212293:1 gene:itb03g19050 transcript:itb03g19050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPWIRILVIQMIFVFDSLILRSAFGALSDFSIIDDDDDYSDLFHGDYSPPAPPPASPPPHPPPLSCEALEGIGSVDTTCELDYSLNFTGDVYIEGTGNLFILQGVVLSCPISGCSIEVNITGVFRLDANARIIAGTVYIVSGNTTLLGGSIINVTALAGDPPEHSRGTPKGYNGGGGGHGGRGASCLMDNKKLPEDVWGGDAYSWKSLDQPFSFGSKGGSTNRDDDYGGEGGGRIWLESTDVVDAQGLLLADGGDGGIKGGGGSGGSIYIKSKKMTGSGRISASGGNGFGGGGGGRISVNSFSRHDDPIFFVHGGISLGCPANSGAAGTFYDAVPRRLVINNHNMSTDTDTLLLDFPNQPLWTNVYIHNHARATVPLLWSRVQVRGQLSLSSGSVLTFGLVHYALSEFELMAEELLMSDSIIKIYGALRMSVKIHLMLNSKMLIDGEGDANVATSVLEASNLVVLKGSSIIHSNANLGVHGQGSLNLSGPGDLIEAQHLVLSLFYSINVGPGSILRGPLDNTSINHISAPQLCGELQDCPIELLHPHEDCNVNGSLSFTLQICRVEDVFIEGFLEGSVIHFHWVRAVLVKSSGTISASGLGCSGGLGSGELLPSGLSGGAGHGGRGGDAYYNGTYMGGGLTYGDADLPCELGSGSGNWSLPGATAGGGILVMGSLEHSLSSLTVYGSIQVNGESFGKFIREDGSKVASEPGPGGGGSGGTVLLFIHSLFLGDSSTISAVGGHGSTNGGGGGGGRIHFHWSDISVGDEYVAIANVNGTIVARGGVGRDLGKGGENGTISGKACPKGLYGIFCQECPLGTYKNVSGADRALCHKCESHYLPHRAVYVAVRGGVTDTPCPYKCISDRYHMPHCFTALEELIYTFGGPWLFGLILFSLLVLLALVLSVARMKFVSTDELPGPVAARRGSPIDRSFPFLESLNEVLETSRTEGSSTHVHRMYLLGANTFSDPWLVPHSPPKEVSQIVYEDAFNIFVDEINALASYEWWEGSIYSTLSIFGYPLAWSWLQWRRKKKIQRLRDFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLTYIDFFLGGDEKTEDMPPRLHQRFPMSIVFGGNGSYMTPFYLHSDNILTSLMSQSVPPTIWYRLVAGLNARLRLVRRGHLRDAFSQIVELLETHANPSLRAYGIRVDLTRIQPSASDYYQFGLVVCAVENEPVQPSNESPSKSLSIEKKSRPGILWRRAFDLVRVTNEHASTQKRILPGEILNSRNVKALKERFTIGYLSYYIVPNTKPVGHQDLVGLVISILLLGDFSLVLLTLLQLYSISLLDVVLVLSIIPMGILIPFPAGINALFSHGPRCSAGLARFYALWNIISVINVVIAFLCGFIHFEIQSSSSTNKHTDPNVQSWNLSKDETGWWMLPLGLCLIKIAQARLVNYHVANLEIQDRTLYSNDPEVFWSS >itb03g19050.t3 pep chromosome:ASM357664v1:3:17197875:17212293:1 gene:itb03g19050 transcript:itb03g19050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPWIRILVIQMIFVFDSLILRSAFGALSDFSIIDDDDDYSDLFHGDYSPPAPPPASPPPHPPPLSCEALEGIGSVDTTCELDYSLNFTGDVYIEGTGNLFILQGVVLSCPISGCSIEVNITGVFRLDANARIIAGTVYIVSGNTTLLGGSIINVTALAGDPPEHSRGTPKGYNGGGGGHGGRGASCLMDNKKLPEDVWGGDAYSWKSLDQPFSFGSKGGSTNRDDDYGGEGGGRIWLESTDVVDAQGLLLADGGDGGIKGGGGSGGSIYIKSKKMTGSGRISASGGNGFGGGGGGRISVNSFSRHDDPIFFVHGGISLGCPANSGAAGTFYDAVPRRLVINNHNMSTDTDTLLLDFPNQPLWTNVYIHNHARATVPLLWSRVQVRGQLSLSSGSVLTFGLVHYALSEFELMAEELLMSDSIIKIYGALRMSVKIHLMLNSKMLIDGEGDANVATSVLEASNLVVLKGSSIIHSNANLGVHGQGSLNLSGPGDLIEAQHLVLSLFYSINVGPGSILRGPLDNTSINHIAPQLCGELQDCPIELLHPHEDCNVNGSLSFTLQICRVEDVFIEGFLEGSVIHFHWVRAVLVKSSGTISASGLGCSGGLGSGELLPSGLSGGAGHGGRGGDAYYNGTYMGGGLTYGDADLPCELGSGSGNWSLPGATAGGGILVMGSLEHSLSSLTVYGSIQVNGESFGKFIREDGSKVASEPGPGGGGSGGTVLLFIHSLFLGDSSTISAVGGHGSTNGGGGGGGRIHFHWSDISVGDEYVAIANVNGTIVARGGVGRDLGKGGENGTISGKACPKGLYGIFCQECPLGTYKNVSGADRALCHKCESHYLPHRAVYVAVRGGVTDTPCPYKCISDRYHMPHCFTALEELIYTFGGPWLFGLILFSLLVLLALVLSVARMKFVSTDELPGPVAARRGSPIDRSFPFLESLNEVLETSRTEGSSTHVHRMYLLGANTFSDPWLVPHSPPKEVSQIVYEDAFNIFVDEINALASYEWWEGSIYSTLSIFGYPLAWSWLQWRRKKKIQRLRDFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLTYIDFFLGGDEKTEDMPPRLHQRFPMSIVFGGNGSYMTPFYLHSDNILTSLMSQSVPPTIWYRLVAGLNARLRLVRRGHLRDAFSQIVELLETHANPSLRAYGIRVDLTRIQPSASDYYQFGLVVCAVENEPVQPSNESPSKSLSIEKKSRPGILWRRAFDLVRVTNEHASTQKRILPGEILNSRNVKALKERFTIGYLSYYIVPNTKPVGHQDLVGLVISILLLGDFSLVLLTLLQLYSISLLDVVLVLSIIPMGILIPFPAGINALFSHGPRCSAGLARFYALWNIISVINVVIAFLCGFIHFEIQSSSSTNKHTDPNVQSWNLSKDETGWWMLPLGLCLIKIAQARLVNYHVANLEIQDRTLYSNDPEVFWSS >itb03g19050.t1 pep chromosome:ASM357664v1:3:17198241:17212293:1 gene:itb03g19050 transcript:itb03g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPWIRILVIQMIFVFDSLILRSAFGALSDFSIIDDDDDYSDLFHGDYSPPAPPPASPPPHPPPLSCEALEGIGSVDTTCELDYSLNFTGDVYIEGTGNLFILQGVVLSCPISGCSIEVNITGVFRLDANARIIAGTVYIVSGNTTLLGGSIINVTALAGDPPEHSRGTPKGYNGGGGGHGGRGASCLMDNKKLPEDVWGGDAYSWKSLDQPFSFGSKGGSTNRDDDYGGEGGGRIWLESTDVVDAQGLLLADGGDGGIKGGGGSGGSIYIKSKKMTGSGRISASGGNGFGGGGGGRISVNSFSRHDDPIFFVHGGISLGCPANSGAAGTFYDAVPRRLVINNHNMSTDTDTLLLDFPNQPLWTNVYIHNHARATVPLLWSRVQVRGQLSLSSGSVLTFGLVHYALSEFELMAEELLMSDSIIKIYGALRMSVKIHLMLNSKMLIDGEGDANVATSVLEASNLVVLKGSSIIHSNANLGVHGQGSLNLSGPGDLIEAQHLVLSLFYSINVGPGSILRGPLDNTSINHISAPQLCGELQDCPIELLHPHEDCNVNGSLSFTLQICRVEDVFIEGFLEGSVIHFHWVRAVLVKSSGTISASGLGCSGGLGSGELLPSGLSGGAGHGGRGGDAYYNGTYMGGGLTYGDADLPCELGSGSGNWSLPGATAGGGILVMGSLEHSLSSLTVYGSIQVNGESFGKFIREDGSKVASEPGPGGGGSGGTVLLFIHSLFLGDSSTISAVGGHGSTNGGGGGGGRIHFHWSDISVGDEYVAIANVNGTIVARGGVGRDLGKGGENGTISGKACPKGLYGIFCQECPLGTYKNVSGADRALCHKCESHYLPHRAVYVAVRGGVTDTPCPYKCISDRYHMPHCFTALEELIYTFGGPWLFGLILFSLLVLLALVLSVARMKFVSTDELPGPVAARRGSPIDRSFPFLESLNEVLETSRTEGSSTHVHRMYLLGANTFSDPWLVPHSPPKEVSQIVYEDAFNIFVDEINALASYEWWEGSIYSTLSIFGYPLAWSWLQWRRKKKIQRLRDFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLTYIDFFLGGDEKTEDMPPRLHQRFPMSIVFGGNGSYMTPFYLHSDNILTSLMSQSVPPTIWYRLVAGLNARLRLVRRGHLRDAFSQIVELLETHANPSLRAYGIRVDLTRIQPSASDYYQFGLVVCAVENEPVQPSNESPSKSLSIEKKSRPGILWRRAFDLVRVTNEHASTQKRILPGEILNSRNVKALKERFTIGYLSYYIVPNTKPVGHQDLVGLVISILLLGDFSLVLLTLLQLYSISLLDVVLVLSIIPMGILIPFPAGINALFSHGPRCSAGLARFYALWNIISVINVVIAFLCGFIHFEIQSSSSTNKHTDPNVQSWNLSKLVNYHVANLEIQDRTLYSNDPEVFWSS >itb04g26940.t1 pep chromosome:ASM357664v1:4:31113183:31115725:-1 gene:itb04g26940 transcript:itb04g26940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPCLPALTLAISVLVSVISPSQSQTCSSQTFANNKLFAHCNDLPTLSSYLHWTYNPTNATLSVAFVAAPPKSDGWISWALNPTGTGMIGAQSLIAFKGSGGGMAVKAYNVSSYASIKESEVWFRVEEATAESSGGVMRLFATVVLPEKNKTTINHVWQVGSSVTGGVPDRHALQPANLNSKGTIDLLKGVSNTGSSGDSRLTKKNIHGILNAVSWGVLFPFGVIIARYLRTFKSADPAWFYLHIVCQLSAYVIGVAGWATGLKLGSQSKGVMYSGHRNIGIALFSLATVQMTLKFELI >itb04g26940.t2 pep chromosome:ASM357664v1:4:31113183:31115725:-1 gene:itb04g26940 transcript:itb04g26940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPCLPALTLAISVLVSVISPSQSQTCSSQTFANNKLFAHCNDLPTLSSYLHWTYNPTNATLSVAFVAAPPKSDGWISWALNPTGTGMIGAQSLIAFKGSGGGMAVKAYNVSSYASIKESEVWFRVEEATAESSGGVMRLFATVVLPEKNKTTINHVWQVGSSVTGGVPDRHALQPANLNSKGTIDLLKGVSNTGSSGDSRLTKKNIHGILNAVSWGVLFPFGVIIARYLRTFKSADPAWFYLHIVCQLSAYVIGVAGWATGLKLGSQSKGVMYSGHRNIGIALFSLATVQMFALLLRPKKDHKYRFYWNIYHYGVGYSILVLGIINVFKGLDILSPAKKWKSAYIVVLVVLGVVALLLEVVTWTVVLRRRSSKSTKPYDGFDNGQGSQPPLPS >itb07g01450.t1 pep chromosome:ASM357664v1:7:882383:884869:-1 gene:itb07g01450 transcript:itb07g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTVRNIGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGDEDHAFRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVKKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRVNQQKRTCYAQSSQIRQIRRKMREIMVNHAQSCDLKDLVQKFIPESIGRDIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTEDVGTKLDRPADEPVAEATEPVGA >itb10g16200.t1 pep chromosome:ASM357664v1:10:22427262:22429578:-1 gene:itb10g16200 transcript:itb10g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRALTPRTRRSYKYDEDSCRSMSIHEYEEFMVGFKEDLPLKFCDQYRGKGKGKVLYEVHAPPQQITLKEALRASVGVLGESPMGMTEKVVLLGGKTCAVKRFRMVMVKRVEFGRRVEKLAQISSQCEFLVPFFAYLYAKRIKLVVCDYYPMGSLADLLSGARERGQTALEWGQRLQIVVSIAQAIAFIHSQPHHSDHKDKDMYLNVHGNIKSSNVMINVDFTACLADYGFAQLAARTDHCDTPQHVKSSSFRSNFRFSETLSQKSDVYNFGVILLDVLGIKKSDVNKRGLKMGSCFEFDVQGKEKRQAFGVWDIALACTKKLPEERPDMEKVVTLVYDVKKVTM >itb11g13420.t1 pep chromosome:ASM357664v1:11:10396676:10404961:1 gene:itb11g13420 transcript:itb11g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVVMYLFSFLIIAFAHWVYRWRNPKCKGLLPPGSMGFPIIGESIQFFTSHSLQGIPPFIQHRMTRYGLVFRTSIVGQKVIVSTDPEINHFIFQQEGELVHCWYTESATQITGPQGFTVHHGESHKYLRNLALNLVGPENLKETLLTEMDQTARQHLDQWTALSELDIKQATEIMLFKLAASKVLSYDQKKAMELRNYYKAFVEGFISFPLYVPGTSFYASMQGRKNAVKLIKETLNERRSSCNKKKNDFLDYVLSEVDKEETFLTEKIAVDVICLLIFAAYETTSSAITLALKFLNQHPHILKQLQEEHEKIIASREDKESSITWKEYRSMKFTHMDIQFRKDGCS >itb12g24660.t1 pep chromosome:ASM357664v1:12:26105266:26105928:1 gene:itb12g24660 transcript:itb12g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSGSTNRVWDDYMKYNSSSSPSPKVSSSIRALSLETNNEFPSYEPLSADVNKREKARTKLAENAVHLIPLVLFLCAFILWFLSNPDIEVPMSGDAIAARIEGLTIDGDVDSDGTQTGNLPLELGDLDLTRKDDASKTTRVV >itb06g13860.t1 pep chromosome:ASM357664v1:6:18516562:18519613:-1 gene:itb06g13860 transcript:itb06g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELELQTAIVILILKKEKMELEERWLMHYSSLHQILVVGDGDFSFSLCLANSFGSASNILASSLDSYDTVTKNYKNAKSNIEKLLQLGATILHKVDAIHMKRQTDVGMRKFDRIVFNFPHAGFCGKEDSDRVIKMHRNLISGFFSNASGMLRAFGEIHVSHKTTGPFCRWNLDELAKESSLVLIDCVDFNKADYPGYNQKRGDGARCDEPFPLGECRTFKFIFPPSANKIPKSLNRNGLAQRHPRVSVAMPQLSTKFDAPYRFNAHTAMNGSSHCAGLPQTSERFQIFGQYFNHHARESFGKVEYFNHARNLMAPCYHA >itb13g17790.t2 pep chromosome:ASM357664v1:13:24721433:24723946:1 gene:itb13g17790 transcript:itb13g17790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVPFLQFGPSNSAAANGVPMIEPKLEPLDEFTQADLQTSPVFSNPSPTGSSDKAGSSSGFSSRIAQEANASESLQNSNEANVYSEFYRISEMFRAAFAKRMQQYGDVAVVEDPDNSRAIVPVNSDDQSSSLVISRKKYQQRSSELVRVTDLKPEDQRYFRDVVRRTRMLYDSIRVQAIPEDERKHHQFDQRKSIGEMEASQLMREKDDTNQQCERHKKPRGDLKASQLMREKGLWLNRDKRIVGDIPGVLIGDLFFFRMELCVVGLHGQPQAGIDYVPASQSSNREPIATSIIVSGGYEDDEDAGDVLIYTGHGGQDRFSKQCTNQKLEGGNLALERSMHYGIEVRVIRGFKYAGSASGKVYVYDGLYRIIDFWLAVGKSGFLVFKYKLARIENQPEMGSSVLRFAQTLRTQPLVARPTGYISLDISRKKESTPVFLYNDIDTDQEPVYYDYLPKSVFPQHVYQHVGSGTGCECVAGCNDNCFCAMRNGGELAYDHNGILLRGKPVVFECGPHCHCPPSCRNRVSQKGVRKRFEVFRSRETGWGVRSLDLIQAGSFVCEYAGVVLTREQAQIFRMNGDSLVYPSRFVERWAEWGDLSQIHDDYVRPEHPSIPPLDFAMDVSRMRNIACYMSHSSSPNVLVQLVLYDHNNVCFPRLMLFAMENIPPLRELSIDYGVADEWTGKLAICN >itb13g17790.t1 pep chromosome:ASM357664v1:13:24721417:24728797:1 gene:itb13g17790 transcript:itb13g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVPFLQFGPSNSAAANGVPMIEPKLEPLDEFTQADLQTSPVFSNPSPTGSSDKAGSSSGFSSRIAQEANASESLQNSNEANVYSEFYRISEMFRAAFAKRMQQYGDVAVVEDPDNSRAIVPVNSDDQSSSLVISRKKYQQRSSELVRVTDLKPEDQRYFRDVVRRTRMLYDSIRVQAIPEDERKHHQFDQRKSIGEMEASQLMREKDDTNQQCERHKKPRGDLKASQLMREKGLWLNRDKRIVGDIPGVLIGDLFFFRMELCVVGLHGQPQAGIDYVPASQSSNREPIATSIIVSGGYEDDEDAGDVLIYTGHGGQDRFSKQCTNQKLEGGNLALERSMHYGIEVRVIRGFKYAGSASGKVYVYDGLYRIIDFWLAVGKSGFLVFKYKLARIENQPEMGSSVLRFAQTLRTQPLVARPTGYISLDISRKKESTPVFLYNDIDTDQEPVYYDYLPKSVFPQHVYQHVGSGTGCECVAGCNDNCFCAMRNGGELAYDHNGILLRGKPVVFECGPHCHCPPSCRNRVSQKGVRKRFEVFRSRETGWGVRSLDLIQAGSFVCEYAGVVLTREQAQIFRMNGDSLVYPSRFVERWAEWGDLSQIHDDYVRPEHPSIPPLDFAMDVSRMRNIACYMSHSSSPNVLVQLVLYDHNNVCFPRLMLFAMENIPPLRELSIDYGVADEWTGKLAICN >itb08g06800.t1 pep chromosome:ASM357664v1:8:5817027:5821230:1 gene:itb08g06800 transcript:itb08g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRLLIFALYFVYALGQLPSQDILALLEFKKGIKHDPTGFVLRSWNEESIDFNGCPSSWNGIMCNGGNVAAVVLDNLGLSADVDLSVFSNLTMLAKLSLANNSISGELPHNIAEFKSLEYLDVSDNLFSSHLPPQLGQLGSLKNMSIAGNNFSGSIPDTLSGLTSIQSLDLSRNSLSGPVPSSLTKLPSLVYLNLSMNGFSRKIPKGFELMESLEVLDLRGNALEGDLDATFLLFTKAAHVDLSGNLLVSSGSLPRISESIEYLNLSHNQLTGSLVSGGEAQTFGNLKVLDLSYNQFSGELPGFNFVYDLQVLKLSNNRFSGFIPNGLLKGDSMALTQLDLSGNNLTGTIRMITTTSLLVLNLSSNALSGELPIVTGSCVILDLSNNRFEGNLTRMQKWGDIEFLDLSQNRLTGTIPEVTAQFLRLNFLNLSQNTLSGSIPKVITQFPKLTVLDLSFNQLDGPFLTALLTLPTLEELHLQNNMFSGGIDFSPLSNTSNLHVLVLSHNQLSGSFPDGFGTLSGLQVVDIAGNNFSGSLPTTLGDLSTLSSFDLSRNHFTGPLPENLPDSLQVFNATNNDFSGVVPENLRKFPLSSFYPGNSKLQYPNAPPGSSNSEVADCRRKPLKTIVNVIIIVSCVVAVVILILLSIFVYYMQRSRSQPHVTDKDIHRQAASNPSSFSRREGAGNLVVSAEDLIASRKGSFPETMSSPDKKTAAAIASFSPSKTSHLSWSSESGDSYTAENLARLDGRSPDRLPGELYFLDDTVSFTPQELSKAPAEVLGRSSHGTSYRATLESGLVLTVKWLREGVAKQRKDFAKEAKKFVNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPLTWPQRLKIVVDVARGLNYLHFDREVPHGNLKATNILLDGPDLNARVGDYSLHRLMTQAGTTEQILDAAALGYRAPELAASKKPTPSFKSDIYAFGVIMLELVTGKCAGDVVSGEGGGVDLTDWVRLKAGEGHGSDCYDAVVLPEMGNPVAEKQIKEVTRIALRCICSVSERPGIKTIYEDLSSI >itb14g12380.t1 pep chromosome:ASM357664v1:14:13972304:13973394:1 gene:itb14g12380 transcript:itb14g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKNLSGVGWEDSLKMITCDSATYMELISATPKYETILNRKIEYYDEMSIVVGRDCVVGRFAKSFADIELESTNEVDDITPENLHDQNDVDDITPENLHDQNDVDDITPENLHDQNDEETRGKKNLHDQNDEETRGKTSVSTENDEETRGKTSVSTVISSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb01g08290.t1 pep chromosome:ASM357664v1:1:6680206:6686102:-1 gene:itb01g08290 transcript:itb01g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYWVVALPVQQTSSATSLWNSLQDSISKHSFDTPLYRFNIPNLRIGTLDSLLALSDDLVKSNSFIEGVCSKIRRQIEELERASGVLSSSLTVDGVPVDSYLTRFVWDEAKYPTMSPLRETVDGVHTQVAKIEDDLKVRVAEYNNVRSQLNAINRKQAGSLAVRDLSNLVKPEDLIVSEHLTTLLAVVSKFSQRDWLASYETLTTYVVPRSSKKLYEDNEYSLYTVTLFSRDADNFRNKARERGFQIRDFEYNPETQESRKQELERLMQDQETFKSSLLQWCYTSYGEVFSSWMHFTAVRVFAESILRYGLPPSFLSVVLAPSVKSEKKVRSILESLCDSSNSTYWKTEDEGAVGVFGGDTDAHPYVSFSINLV >itb10g07820.t1 pep chromosome:ASM357664v1:10:9338514:9346208:-1 gene:itb10g07820 transcript:itb10g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKLDLRFNHSGSAQSEESALDLERNCCNHMNLPSTSPPSLQAFASSSQIPESNAAYFSWPSRLDAVERANYFGHLQKRVLPETHGRLPSGQQATTLLDLMTIRAFHSKILRRFSLGTAIGFRIRRGVLTEIPAILVFVARKVNRQCLSCVECLPAYLEGPGGIWCDVDVVEFSYYGAPAATPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGMFAGMNPETFVRADGAFIPFAEDFGMSSVTTCVKGIGEIGNVNKIDLQAPIGSLIGRQVVKVGRSSGLTTGTIMAYALEYNDVKGICFFTDFLVVGENQLTFDLEGDSGSLILLTGKNGEKARPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLVTSNEGLQAALEEQRNAASQVGIGSTVAESSPGERGVAAALKEKAEEENFETLDLNIQQVPIDGDFEARGLIPPHVHEFQIQIQSRSEAPPIPSSIEHQFIPSIADASPVHRQKGERVESKNGHSHRSGNEEFSVSLQLGEPEVKRRKLSESQLAWLHDLSR >itb10g07820.t2 pep chromosome:ASM357664v1:10:9338552:9346208:-1 gene:itb10g07820 transcript:itb10g07820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKLDLRFNHSGSAQSEESALDLERNCCNHMNLPSTSPPSLQAFASSSQIPESNAAYFSWPSRLDAVERANYFGHLQKRVLPETHGRLPSGQQATTLLDLMTIRAFHSKILRRFSLGTAIGFRIRRGVLTEIPAILVFVARKVNRQCLSCVECLPAYLEGPGGIWCDVDVVEFSYYGAPAATPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGMFAGMNPETFVRADGAFIPFAEDFGMSSVTTCVKGIGEIGNVNKIDLQAPIGSLIGRQVVKVGRSSGLTTGTIMAYALEYNDVKGICFFTDFLVVGENQLTFDLEGDSGSLILLTGKNGEKARPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLVTSNEGLQAALEEQRNAASQVGIGSTVAESSPGERGVAAALKEKAEEENFETLDLNIQQVPIDGDFEARGLIPPHVHEFQIQIQSRSEAPPIPSSIEHQFIPSIADASPVHRQKGERVESKNGHSHRSGNEEFSVSLQLGEPEVKRRKLSESQLAWLHDLSR >itb01g23220.t1 pep chromosome:ASM357664v1:1:29266130:29271210:-1 gene:itb01g23220 transcript:itb01g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGSDERRDERRERKSDFENSEDERKTRIGSLKKKALNASTKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAEELQAVDSFRQTLILDELLPQQHDDYYMMLRFLKARKFDIEKAKSMWADMIQWRKEFGADRIIQEFDFQELNEVLNYYPHGYHGLDKEGRPIYIERLGKVDPYKLMQVTTMDRYVKYHVREFEKCFAIKFPACTIAAKRHIDSSTTILDVQGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRMLWNTVKSFLDPKTTSKIHVLGNKYQNKLLELIDASELPEFLGGTCTCADQGGCLRSDKGPWKNPQILKMVGEARRCKQVVKVLNSEGKVVYAKPRYPMVKFSDTSTAESGSEAEDIASPKATRSYSHLRLTPVREEKQAKTIGGTTSYPNNFSAYDEYVPMVDKAVDSGWKKQEPFQKPCPPKGMLPPPNSPKSPEGLSARILGALLAFFTTVFMLLHSFAFRTTKKLRDASSVPRQADASNTIPNEEFRPPSPTPTFTETELLSTVLKRLGELEERVNTLQEKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQEEAKFRKKKFCML >itb01g23220.t3 pep chromosome:ASM357664v1:1:29266174:29271210:-1 gene:itb01g23220 transcript:itb01g23220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGSDERRDERRERKSDFENSEDERKTRIGSLKKKALNASTKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAEELQAVDSFRQTLILDELLPQQHDDYYMMLRFLKARKFDIEKAKSMWADMIQWRKEFGADRIIQEFDFQELNEVLNYYPHGYHGLDKEGRPIYIERLGKVDPYKLMQVTTMDRYVKYHVREFEKCFAIKFPACTIAAKRHIDSSTTILDVQGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRMLWNTVKSFLDPKTTSKIHVLGNKYQNKLLELIDASELPEFLGGTCTCADQGGCLRSDKGPWKNPQILKMVGEARRCKQVVKVLNSEGKVVYAKPRYPMVKFSDTSTAESGSEAEDIASPKATRSYSHLRLTPVREEKQAKTIGGTTSYPNNFSAYDEYVPMVDKAVDSGWKKQEPFQKPCPPKGMLPPPNSPKSPEGLSARILGALLAFFTTVFMLLHSFAFRTTKKLRDASSVPRQADASNTIPNEEFRPPSPTPTFTETELLSTVLKRLGELEERVNTLQEKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQEEAKFRKKKFCML >itb01g23220.t4 pep chromosome:ASM357664v1:1:29266186:29271193:-1 gene:itb01g23220 transcript:itb01g23220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGSDERRDERRERKSDFENSEDERKTRIGSLKKKALNASTKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAEELQAVDSFRQTLILDELLPQQHDDYYMMLRFLKARKFDIEKAKSMWADMIQWRKEFGADRIIQEFDFQELNEVLNYYPHGYHGLDKEGRPIYIERLGKVDPYKLMQVTTMDRYVKYHVREFEKCFAIKFPACTIAAKRHIDSSTTILDVQGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRMLWNTVKSFLDPKTTSKIHVLGNKYQNKLLELIDASELPEFLGGTCTCADQGGCLRSDKGPWKNPQILKMVGEARRCKQVVKVLNSEGKVVYAKPRYPMVKFSDTSTAESGSEAEDIASPKATRSYSHLRLTPVREEAKTIGGTTSYPNNFSAYDEYVPMVDKAVDSGWKKQEPFQKPCPPKGMLPPPNSPKSPEGLSARILGALLAFFTTVFMLLHSFAFRTTKKLRDASSVPRQADASNTIPNEEFRPPSPTPTFTETELLSTVLKRLGELEERVNTLQEKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQEEAKFRKKKFCML >itb01g23220.t2 pep chromosome:ASM357664v1:1:29266186:29271193:-1 gene:itb01g23220 transcript:itb01g23220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSGSDERRDERRERKSDFENSEDERKTRIGSLKKKALNASTKFKHSLKKKSSRRKSDGRVSSVSIEDIRDAEELQAVDSFRQTLILDELLPQQHDDYYMMLRFLKARKFDIEKAKSMWADMIQWRKEFGADRIIQEFDFQELNEVLNYYPHGYHGLDKEGRPIYIERLGKVDPYKLMQVTTMDRYVKYHVREFEKCFAIKFPACTIAAKRHIDSSTTILDVQGVGFKNFTKSARELIMRLQKIDGDNYPETLHQMFIINAGPGFRMLWNTVKSFLDPKTTSKIHVLGNKYQNKLLELIDASELPEFLGGTCTCADQGGCLRSDKGPWKNPQILKMVGEARRCKQVVKVLNSEGKVVYAKPRYPMVKFSDTSTAESGSEAEDIASPKATRSYSHLRLTPVREEAKTIGGTTSYPNNFSAYDEYVPMVDKAVDSGWKKQEPFQKPCPPKGMLPPPNSPKSPEGLSARILGALLAFFTTVFMLLHSFAFRTTKKLRDASSVPRQADASNTIPNEEFRPPSPTPTFTETELLSTVLKRLGELEERVNTLQEKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQEEAKFRKKKFCML >itb07g12240.t1 pep chromosome:ASM357664v1:7:13885588:13890863:1 gene:itb07g12240 transcript:itb07g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQNHGSSTNSGGSTVADQDVFPAWSKDVKECLDKFQVSLEKGLSGEEAIKRREIYGLNEFEKHEGPSIFRLILDQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMGITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEHATVIRDGNKVSSLPAKDLVPGDIVELKVGDKVPADMRVLNLISSTLRLQQGSLTGESLAVSKNTKVVAEEVDIQGKKCMVFSGTTVVNGHCICLVTQTGMSTEIGKVHSQIHEASQSEEDTPLKKKLNEFGESLTAIIGVICALVWLINVKYFLSWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAANNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAMGSKSNITRSFNVEGTTYDPFDGKIQDWSLGRMDSNLQMIAKIAAICNDSNIEKSGEHFVTTGMPTEAALKVLVEKMGLPDGLDTGSSKTYSDAPCCSSTWNKIEPRIATLEFDHDRKSMGVIVSSSSGQKSLLVKGAVENLLERSSYVQLLDGSVVELDDNSRNAILQSLGEMSSKALRVLGFAYSVDLPEFATYNGDEDHPAHQLLLNPANYSKIESKLIFVGLTGLRDPPRKEVRQAIQDCRDAGIRVIVITGDNKNTAETICREIGVFGTHENITSRSLTGKEFMGLADPKSYLGQSGGLLFSRAEPRHKQEIVRILKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVACIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLINAWILFRYLVIGMYVGVATVGIFIIWYTHNSFLGIDLSGDGHSLVTYSQLSNWDQCSSWKNFTASPFTAGSQVIRFDDNPCDYFQAGKIKAMTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMSISFGLHFMILYVPFLAQIFGIVPLSLNEWLLVLAVTLPVILIDEVLKFVGRCTSAGVRARERTTGKPKAE >itb12g26490.t1 pep chromosome:ASM357664v1:12:27376014:27377348:1 gene:itb12g26490 transcript:itb12g26490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRKSFNVAGPSHLTHVDWSNFSHRRSVAASLVKGVYIHEQDRQQCCHGGDGGGAVATSRWWESFGFQLKQELVDEKDKSIFGAVYELKSSSCQAQAQAQTQAYKPPKLVIAFRGTLIKKKRLVQDFRLDHRIIQRTLHKSDRVRDGLQAVHTAVSKVGAENVWLAGHSLGSSIALLVGRNMVKMGYCLETYLFNPPFVSVPTQIIKNPKLQEGIRLAHTAVKAGMAVAMSIVNKKIVEDNNEEFSLLSPWIPYLFINPSDPICAEYLEYFQHRERMVAAAGEIGRLAAQNSVRCMVANAMGKDCKPSHLIPSAYLTINLNGSPVSEWEAHKLSQWWHPDLKLDHKLYQL >itb11g19360.t1 pep chromosome:ASM357664v1:11:20112296:20113408:-1 gene:itb11g19360 transcript:itb11g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSLFLILSLSSLFFTALSHPNPFSDGVKPKPVLDADGGKLQAGVNYLVLPVVRGRGGGLLPANVNDNTSCPRDIIQEASEIQTGLPVVLSPVDDSTGAGSVPLSTDINVKFFTPTVCSNETVWTVGRYDALSKQYFVVTGGVEGKPGPETVANWFKIEKVESDYKFVFCPSVCTTCEVVCKDVGIYVGAKGTRFLALSDTPLLVMFKKTFPWGATTDSPPLPPAPVHPAPPPPEASSAVANSLFYASVLLPFSFLLSFF >itb12g25490.t1 pep chromosome:ASM357664v1:12:26746628:26751720:-1 gene:itb12g25490 transcript:itb12g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVSLALLVPFLSRSRAKSQIPPEIFRSGIRKLSRKICCNGTDSSSGSTKIEQQQLNLSVLRFTLGIPGLDESYLPRYIGYAFGSLLLLNHFAGSDSSTITAAQLRTEVLGLFLAAFSVVVPYLGRFLKGAVLVNERNVPDGADQTFVMSQNVPDTLKEDLAWGSYVLLRNTNTISVLISTQDALCVRGYWTTPQDVSKADVCNWFEKQIQQLGLLNLKDTLYFPQATDSQLKELLPKGTRSLLVQPLLRSPDPSYKENTPKNEGFVLVASGNSYAYDSKDRAWIRAVAGKFRVDSEGKQQQHAP >itb12g25490.t2 pep chromosome:ASM357664v1:12:26749037:26751720:-1 gene:itb12g25490 transcript:itb12g25490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVSLALLVPFLSRSRAKSQIPPEIFRSGIRKLSRKICCNGTDSSSGSTKIEQQQLNLSVLRFTLGIPGLDESYLPRYIGYAFGSLLLLNHFAGSDSSTITAAQLRTEVLGLFLAAFSVVVPYLGRFLKGAVLVNERNVPDGADQTFVMSQNVPDTLKEDLAWGSYVLLRNTNTISVLISTQDALCVRGYWTTPQDVSKADVCNWFEKQIQQLGLLNLKDTLYFPQATDSQLKELLPKGTRSLLVQPLLRSPDPSYKENTPKNEGFVLVASGNSYAYDSKDRAWIRAVAGKFRGNCIFSDL >itb12g25490.t3 pep chromosome:ASM357664v1:12:26749281:26751720:-1 gene:itb12g25490 transcript:itb12g25490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVSLALLVPFLSRSRAKSQIPPEIFRSGIRKLSRKICCNGTDSSSGSTKIEQQQLNLSVLRFTLGIPGLDESYLPRYIGYAFGSLLLLNHFAGSDSSTITAAQLRTEVLGLFLAAFSVVVPYLGRFLKLISTQDALCVRGYWTTPQDVSKADVCNWFEKQIQQLGLLNLKDTLYFPQATDSQLKELLPKGTRSLLVQPLLRSPDPSYKENTPKNEGFVLVASGNSYAYDSKDRAWIRAVAGKFRGNCIFSDL >itb01g33910.t1 pep chromosome:ASM357664v1:1:36829440:36830265:-1 gene:itb01g33910 transcript:itb01g33910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKFYFVHIIHASLAGPAKRNAVWRRVQKCLQRQGFDVVHLLPTDVPGLCQGLLGSRGLMSQKHFEQEAAINSFSTLMSIIPGETYTEFVKNFNDLPDRHAHDM >itb05g20270.t1 pep chromosome:ASM357664v1:5:26436878:26437900:1 gene:itb05g20270 transcript:itb05g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKNDWDLGAVVRGCKNLNGNSSSQDVHKHFNGGFPSQTYVSIPLLPQPSHYYSSVSPSIGTERRYFGLEEVIDRFTNGKVRELALNPQATINPPTSLDKFDGTRGMGKDTPALQSPDPYFGLEEVIDRFTSGKMRERVLDPKATITPPTSLDKAGGSGLGGIGKDTRAPQSPNPSPTLEPQQPLPSPQTSSPKKDGGLEDENLPHQVEEVTEVEMSVVKVKVPVEKVQVPAEKVDEWDGWVWRKYGTKMMNDSPHSKGYYRCNHEGEKCPAKKHVQLSHMDESTYIITYKGNHNHPPPVQTTTSKRKKRSWARARPPAPPASKEEGSFSTPSTNTAT >itb12g07000.t1 pep chromosome:ASM357664v1:12:5217816:5220366:-1 gene:itb12g07000 transcript:itb12g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKPKVCRHCKAPCSPVRRSYSMQGEAYHSVALTSSTLGSLKLDPLYQNQNQSSISIDKDGFGMGFIEAKTWSEMINEKIPKLVPKTPISTPPGEPETINAWELMEGLEDVSPLKPPHHVRSFSFHVSRNPTPFPDDQPTPRVKDDTDASIKPPWVESGDEESNSTSNDTSIASEFDPEMISAFRKALGSVSPANPPFLGEEEYKKKLGKNRVVVYFTSLRGVRKTYEDCCNVRAILKALGVKIDERDVSMHSGFKEELKELLGDGYGGACLPRVFIGKKFIGGADEIRRMHEDGQLEKALEGCERADGGGCGGGDRGNGGVCESCGDIRFVPCETCSGSCKIYCEADYDDEDEDDEEFDDNKDNDDYGFQRCPDCNENGLIRCPICCD >itb03g15040.t2 pep chromosome:ASM357664v1:3:14505052:14511128:1 gene:itb03g15040 transcript:itb03g15040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSIHQNEHPFDVYPFEAFRNGSWQPVERIRIQHGTFAMHVLDEGDLIEEEISISNVRIRSRNATSSDCACFLRPGLDISVFSTPHQSEDSDEENDGDEKMEPEPAWIDAKIRSIERKPHGFGCGCQFHISVYVTQGPPPIVKKTLSKEIKVVQLNQIAILQKIEQKPCEDKYYRWSLSDDCSTLQKFKLFTGKFCSDLSWLLVASAHKQVTFDVRSVHNRIVYEILKDENDIDATNSEYHSYAVNFKLENEVSTPIIVQFNPDIPERGPEGDVYEAGPLVVYDELRRSKRRFVQPERYLGCDDYLTEFDVEMTRLVGGKMYKSELEELPMALSIQADHAYQNGDIDKTLACYQQELRDNSLLSRNRNRSDAQTERKRASGDKASRQPHLAIVPLQLSAENNLFDQKENPLSFEDNEDLSAEIREIVSKYIYVNGSSAVEMKKSSLNLMQGRRWGQVKVSKLKFMGLDVRGGGIGSSKKARKKRNCHPSVRDSIYDIRSFRKGSISANVYRELIRRCMANIDETLNKEQPPIIDQWKEFQNTKSCQREPIEKASTNNEEELSELDMLWKEMELALASCYLLDDSEGTNLKSATELHMATKKGTRVCHHDFRLNEEIGIVCRLCGVVSTEIKDVPPPFMPATCSGSGKEHRPEEVVMESKQGDDADLDHFTIPVSSNKPSSEGEVEDNVWALIPDLRNKLRAHQRRAFEFLWKNIAGSIIPTQIDPESKKRGGCVISHTPGAGKTLLIIAFLVSYLKLFPGSRPLVLAPKTTLYTWYKEIIKWKIPIPVYQIHGGQTYKGEVLRQKMKLCPGLPRNQDVMHVLDCLEKMQKWLAHPSVLLMGYTSFLTLTREDSTYAHRKYMNQVLRQCPGILILDEGHNPRSTKSRLRKALMKVNTSLRILLSGTLFQNNFGEYFNTLCLARPRFVDEVLKELDPKYKKRVKGAKTRFSLENRARKMFIDKISKKIDSNKQRERKEGLNILKKLTTGFIDVYEGGSSDNLPGLQCYTLMMKSTTLQQEILVKLQDQRPIYKGFPLELELLITLGAIHPWLIRTTACSGQYFKEEELEALEKFKFDLKLGSKVKFVMSLIPRCLLRKEKVLIFCHNIAPINLFLEIFERFYGWRKGIEVLVLQGDIELFERGRVMDKFEELGGPSKVMLASITTCAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKYKRTTWKEWVSSMIFSEDLVEDPSHWQAPKIEDELLGEIVEEDRATLFHMIMKNEKASNMGRLQV >itb03g15040.t1 pep chromosome:ASM357664v1:3:14505052:14511128:1 gene:itb03g15040 transcript:itb03g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSIHQNEHPFDVYPFEAFRNGSWQPVERIRIQHGTFAMHVLDEGDLIEEEISISNVRIRSRNATSSDCACFLRPGLDISVFSTPHQSEDSDEENDGDEKMEPEPAWIDAKIRSIERKPHGFGCGCQFHISVYVTQGPPPIVKKTLSKEIKVVQLNQIAILQKIEQKPCEDKYYRWSLSDDCSTLQKFKLFTGKFCSDLSWLLVASAHKQVTFDVRSVHNRIVYEILKDENDIDATNSEYHSYAVNFKLENEVSTPIIVQFNPDIPERGPEGDVYEAGPLVVYDELRRSKRRFVQPERYLGCDDYLTEFDVEMTRLVGGKMYKSELEELPMALSIQADHAYQNGDIDKTLACYQQELRDNSLLSRNRNRSDAQTERKRASGDKASRQPHLAIVPLQLSAENNLFDQKENPLSFEDNEDLSAEIREIVSKYIYVNGSSAVEMKKSSLNLMQGRRWGQVKVSKLKFMGLDVRGGGIGSSKKARKKRNCHPSVRDSIYDIRSFRKGSISANVYRELIRRCMANIDETLNKEQPPIIDQWKEFQNTKSCQREPIEKASTNNEEELSELDMLWKEMELALASCYLLDDSEGTNLKSATELHMATKKGTRVCHHDFRLNEEIGIVCRLCGVVSTEIKDVPPPFMPATCSGSGKEHRPEEVVMESKQGDDADLDHFTIPVSSNKPSSEGEVEDNVWALIPDLRNKLRAHQRRAFEFLWKNIAGSIIPTQIDPESKKRGGCVISHTPGAGKTLLIIAFLVSYLKLFPGSRPLVLAPKTTLYTWYKEIIKWKIPIPVYQIHGGQTYKGEVLRQKMKLCPGLPRNQDVMHVLDCLEKMQKWLAHPSVLLMGYTSFLTLTREDSTYAHRKYMNQVLRQCPGILILDEGHNPRSTKSRLRKALMKVNTSLRILLSGTLFQNNFGEYFNTLCLARPRFVDEVLKELDPKYKKRVKGAKTRFSLENRARKMFIDKISKKIDSNKQRERKEGLNILKKLTTGFIDVYEGGSSDNLPGLQCYTLMMKSTTLQQEILVKLQDQRPIYKGFPLELELLITLGAIHPWLIRTTACSGQYFKEEELEALEKFKFDLKLGSKVKFVMSLIPRCLLRKEKVLIFCHNIAPINLFLEIFERFYGWRKGIEVLVLQGDIELFERGRVMDKFEELGGPSKVMLASITTCAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKYKRTTWKEWVSSMIFSEDLVEDPSHWQAPKIEDELLGEIVEEDRATLFHMIMKNEKASNMGRLQV >itb09g11560.t1 pep chromosome:ASM357664v1:9:7203309:7205005:-1 gene:itb09g11560 transcript:itb09g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTNQSRGQLLHFIVFPMMAQSHMLPVIDVARMLARCDGVMVTILTTPVNANRFRSMLERDRQCGFNIGALELRFPCKEAGLPEGCENADLVPKGKNLDMNFLAAVGMLRPQVEAAVKRCEPPASCIISDMLLTWTAEIAEGLNIPRIVFNVSSCFSYCCSNRITDSGILGRVKSDTEMFTVPNVPHSVQVCKAQVKGVTFDPTVMTSDIAKLVAEKLRQTVKASYGAIVNSFDELEPDYVKIYGGRVWCVGPVWLCNQEYEDQALRGATSAADKKNDVLVEQQERLMTWLDLQEAGSTIYVSLGSFARLTPRQMTELAVGLESSKRPFIWVMGKKDMHLDVFEDWNVSIGFEERNKGRGVLIREWAPQLLILQHPSVGGFLTHCGWNSIMEAVSLGMPILTWPLFAEQFLHERLVVEVLGIGVSLGVTVPVNWDGSEDKENILAVTNEEIKEGIDKLMDKEAGKERKRKVKELGEKAKKAVQKGGSSHRNLMALIHAVSTFGATKI >itb01g32920.t1 pep chromosome:ASM357664v1:1:36257065:36259166:1 gene:itb01g32920 transcript:itb01g32920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDCFDGGAKKVQRKEAERLASEEARAKAAEAAQKRQEQFEQSAAGRAARAQMESMAKQSANPNKGEPVLKWQMG >itb13g25030.t3 pep chromosome:ASM357664v1:13:30516928:30521047:1 gene:itb13g25030 transcript:itb13g25030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFSLPVTAAQVGSYFLGQYYQVLQQQPEFVYQFYSDASTMIRIDANARETASGMLQIHTVIMSLHFTGIEIKTAHSLESWNGGVLVMVSGSVHVKDFNGRRKFVQTFFLAPQEKGYFIFNDIFHFVEEERIIQHHVAYLSQANLDTKLNTPATFRDQVSNYLLGGEIQARDFVPPAKIEENGPVNDYHFVEEQLQQVSEAEKIPEDNFAVQSNGSLQSTMNHAQDHLSATIEEPIAEPQKHTYASILQVAKAPSVQAVPLQASNKPAPPSSEWQDVPDPPSQPACVPSSSVERSGAEVVEEYPAVEDEMEVKSVYVRNVPTTMDPSEIEEEFKKFGKLKPDGVAIRTRKDFDVCYAFVEFEDVSSVQNAIKASFVEIGGHQLYIEGRRPNRNNLYRGNLFIRNYSSIPDLPTQPPPSQHTEKGKIPNHHQGSMLQKALVGRLAPKWPSNY >itb13g25030.t1 pep chromosome:ASM357664v1:13:30516928:30521696:1 gene:itb13g25030 transcript:itb13g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFSLPVTAAQVGSYFLGQYYQVLQQQPEFVYQFYSDASTMIRIDANARETASGMLQIHTVIMSLHFTGIEIKTAHSLESWNGGVLVMVSGSVHVKDFNGRRKFVQTFFLAPQEKGYFIFNDIFHFVEEERIIQHHVAYLSQANLDTKLNTPATFRDQVSNYLLGGEIQARDFVPPAKIEENGPVNDYHFVEEQLQQVSEAEKIPEDNFAVQSNGSLQSTMNHAQDHLSATIEEPIAEPQKHTYASIVAKAPSVQAVPLQASNKPAPPSSEWQDVPDPPSQPACVPSSSVERSGAEVVEEYPAVEDEMEVKSVYVRNVPTTMDPSEIEEEFKKFGKLKPDGVAIRTRKDFDVCYAFVEFEDVSSVQNAIKASFVEIGGHQLYIEGRRPNRNNLYRGRGRGRGKVNYQMDGRGLRFGGRGFVRGNGQDGGDRDYRSSSRGNGFYRQAPRLSGSQYGSRNGHSVSE >itb13g25030.t2 pep chromosome:ASM357664v1:13:30516928:30521696:1 gene:itb13g25030 transcript:itb13g25030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFSLPVTAAQVGSYFLGQYYQVLQQQPEFVYQFYSDASTMIRIDANARETASGMLQIHTVIMSLHFTGIEIKTAHSLESWNGGVLVMVSGSVHVKDFNGRRKFVQTFFLAPQEKGYFIFNDIFHFVEEERIIQHHVAYLSQANLDTKLNTPATFRDQVSNYLLGGEIQARDFVPPAKIEENGPVNDYHFVEEQLQQVSEAEKIPEDNFAVQSNGSLQSTMNHAQDHLSATIEEPIAEPQKHTYASILQVAKAPSVQAVPLQASNKPAPPSSEWQDVPDPPSQPACVPSSSVERSGAEVVEEYPAVEDEMEVKSVYVRNVPTTMDPSEIEEEFKKFGKLKPDGVAIRTRKDFDVCYAFVEFEDVSSVQNAIKASFVEIGGHQLYIEGRRPNRNNLYRGRGRGRGKVNYQMDGRGLRFGGRGFVRGNGQDGGDRDYRSSSRGNGFYRQAPRLSGSQYGSRNGHSVSE >itb13g25030.t4 pep chromosome:ASM357664v1:13:30516928:30521696:1 gene:itb13g25030 transcript:itb13g25030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFSLPVTAAQVGSYFLGQYYQVLQQQPEFVYQFYSDASTMIRIDANARETASGMLQIHTVIMSLHFTGIEIKTAHSLESWNGGVLVMVSGSVHVKDFNGRRKFVQTFFLAPQEKGYFIFNDIFHFVEEERIIQHHVAYLSQANLDTKLNTPATFRDQVSNYLLGGEIQARDFVPPAKIEENGPVNDYHFVEEQLQQVSEAEKIPEDNFAVQSNGSLQSTMNHAQDHLSATIEEPIAEPQKHTYASILQVAKAPSVQAVPLQASNKPAPPSSEWQDVPDPPSQPACVPSSSVERSGAEVVEEYPAVEDEMEVKSVYVRNVPTTMDPSEIEEEFKKFGKLKPDGVAIRTRKDFDVCYAFVEFEDVSSVQNAIKASFVEIGGHQLYIEGRRPNRNNLYRGRIILPSPTYPLNLPPPNTLKRAKSPITTKVQCCRRR >itb15g23030.t1 pep chromosome:ASM357664v1:15:25806331:25810801:1 gene:itb15g23030 transcript:itb15g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVTSLIKIVEQDLMEPKLLVIFDAGGMKVWLLKTIELLSAKLCILQAFLEERREMKLPDWRIEDNEVARMFYEIKSKLELVYSEAKCQHLRGTLEIMKRKIVGVEERMLVTKKATALYLDEEKNITVWDTYRSALDPQNEVIVGLQGDIERIVNCLCYSHFMRSVFTILRNSNIHKFQKYVENPVLKLQVIPLVGEGGIGKTTLAKRVYGHPITIASFDIRACVVLSQVLNLKEILIGLLRCISPITSEIYDIDDAQIAKQLCTSLMGKKYLIFLDDVWTTVAWDAIKSYFPENFNGSRILVTTQCKKVAKYLSADPYHVKYQTFENLWKLFSRKVFGQSRCIPREYELIGDRIVLGCSGLPLAVVVIAGLLATANESIEIWRDVAKTLDGVNTYDSHNRISNILSLGYNYLPNHLKPCFHYFGVFPEDNVIHVKRLINLWVAEGLLIPHKYMSLEEVGESYLDDLINRSLIQINELSIDGKVKSCKVHDRVHEICVREAINENTLFIINDNHAPKASHWLSCQASHWPITQVNYGNCSPDKIHSVLCFGKDVYRSKCRLVYPCLKLLRVLDLSLVKWSQGMPSEITDLVHLRYLALNTIGSLYKLQFFKLKNLQTLIVTSWMEKCPLQLPCDILDLPKLRHLHVDKRCSQYLPCLVKTNLQTLYWLKVARSDKKPNFRMVPNLKELGIYIEGQLVPWYLGSLVNLHVLEKLKFEVGRVERFYLPTSFPPNLKELTLRCTYLPWKEIDRIGELPHLEVLKLKDFAFYGPTWEPSEQGFRELKALLISRSNLKHWNASSNNFPVLERLVLRYCWELKEVPLEFANIGTLKLIVLECCYSSLVTSANRISSAKKYLLGGTAYCPLHVRKVGTKDELPIIERSEDETVGGLCQLSCMCGVQ >itb02g10050.t1 pep chromosome:ASM357664v1:2:6465176:6468125:-1 gene:itb02g10050 transcript:itb02g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLNSPNPINPKETNSGENEPATPELSPDSGIKWPCNPSPKRPPTDEFGWPTVWFPPKSEPRVLTPEELARLADDQAQQAAWDAVTEFLRNTDIADEDDDDEYDDEYVDVIKYENGDLDEVLEEEERKRFEFFVNLFKEDSVLKEYYEKNCAGGEFRCLVCCAVREKAWKRFKGCATLVRHSLSIAKTRKRKAHRAYAESICQLFGWDINKLIQSSDKSAEAQGNIDKSGKDGLDLPCGTVDLVKVDTGNEKIKSGTGSEHNSLAEVDTSKHVEVLSGDVQDAETVNAEGSPKGPEPANVHCDVAHGKDHQEDNVPNDLKEDDVQK >itb02g10050.t2 pep chromosome:ASM357664v1:2:6465176:6468125:-1 gene:itb02g10050 transcript:itb02g10050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLNSPNPINPKETNSGENEPATPELSPDSGIKWPCNPSPKRPPTDEFGWPTVWFPPKSEPRVLTPEELARLADDQAQQAAWDAVTEFLRNTDIADEDDDDEYDDEYVDVIKYENGDLDEVLEEEERKRFEFFVNLFKEDSVLKEYYEKNCAGGEFRCLVCCAVREKAWKRFKGCATLVRHSLSIAKTRKRKAHRAYAESICQLFGWDINKLIQSSDKSAEAQGNIDKSGKDGLDLPCGTVDLVKVDTGNEKIKSGTGSEHNSLAEVDTSKHVEVLSGDVQDAETVNAEGSPKGPEPANVHCDVAHGKDHQEDNVPNDLKEDDVQK >itb02g10050.t3 pep chromosome:ASM357664v1:2:6465176:6468125:-1 gene:itb02g10050 transcript:itb02g10050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLNSPNPINPKETNSGENEPATPELSPDSGIKWPCNPSPKRPPTDEFGWPTVWFPPKSEPRVLTPEELARLADDQAQQAAWDAVTEFLRNTDIADEDDDDEYDDEYVDVIKYENGDLDEVLEEEERKRFEFFVNLFKEDSVLKEYYEKNCAGGEFRCLVCCAVREKAWKRFKGCATLVRHSLSIAKTRKRKAHRAYAESICQLFGWDINKLIQSSDKSAEAQGNIDKSGKDGLDLPCGTVDLVKVDTGNEKIKSGTGSEHNSLAEVDTSKHVEVLSGDVQDAETVNAEGSPKGPEPANVHCDVAHGKDHQEDNVPNDLKEDDVQK >itb04g09300.t1 pep chromosome:ASM357664v1:4:8599589:8601681:1 gene:itb04g09300 transcript:itb04g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MYAAYEGSEYRYYRSASRLTGLAQLFGFLALILMLVWLLHYREGLNLDDYENTSRIFNVHPFLMYFGFIFFAGQAMMAYKTVMAARDVQKFTHMLFHVIAICLGIVGIHAVFKFHDRENIGNMYSLHSWIGIATISLYILQWVIGLVVFLFPYASQEARLRLAPWHITGGRALLYMAICAAETGLMQKATFLNMKFTRESTLINVLGIVILLFGISVDISVALSRYV >itb10g14820.t1 pep chromosome:ASM357664v1:10:21107202:21109787:-1 gene:itb10g14820 transcript:itb10g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQALSSDSEPKITDIFIAITTLAALIILFAILYFLYYLWYSLVHRSRTSPFDSNAPLVKLQRFSYRELKSATQGFSESNSIGKGGSGSVFRGNLKNGKWVAVKLLDCVSFQSEREFQNELQILGGIKSPLVVSLLGYSVEKSKRLVVYEYMPNRSLQESLFSETNLGLNWSRRFDIILDVAKALAFLHLECSPPVVHGDVKPSNVLLDSEFRAKLSDFGLSRLKVEGEFGVDLFSQDLGKSQDLSGNLGVGTGGTQTPIDSHDEVDFALALQASSSSKNSAKLVQNVRALGLNPMNYNAVFESDEKSRNAKGKEVENSGEDWNKFGNYDDELISSSIDHSKELNLSPALDENVVSTTQWGRDWWWRQDGSGELCSKDYVMEWIGSQICPSANPDWDEDKNKSPNGKTSLENSTRFTKFEEAKETGISESGLGSLKKGFELELEGSKKWESQIKNKPRKMKEWWKEEHLDELCEKNSTSKGKRLIEIKCSRRLSVPHFDLGKRFVFRRNSRPKMQAQNAANLEREFSFRRGWKKKNSHSITSDMWSGDLFSRELSSTTSMRGTLCYVAPEFGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLNSPMKLEKANLISWCKQLAHSGNILELVDERLRDEYNKDQASLCINLALACLQRMPELRPDIGDIVKILRGEMELPPLPFEFSPSPPSKMFNRSRRRQKSNAESASK >itb02g25880.t1 pep chromosome:ASM357664v1:2:26683129:26684622:1 gene:itb02g25880 transcript:itb02g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPLTKKQPRKNSSLPGNRERKALKFRPSLADAGTLFENGVRRSKRIKSRPLEFWKGERLLFGRVNESMKLVGVKYVSPSVGNMKVKSYISDEYKEVVDLAARY >itb07g00120.t1 pep chromosome:ASM357664v1:7:102831:108960:-1 gene:itb07g00120 transcript:itb07g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHPSEDPNTSNASGRVSESSIKINIKTLDSQNHVFHVDKNIQVSALKEKVAAQTGVPVVQQRLIFRGKVLKDDHLLSEYHLENGDALHLVVREQSQQQSSSGSNTTEATAPNGQDPTTGASRNRIAQISHSVMLGTFNVGDQGDGLVPDLSRVIGAVLNSVGIGSQIGGQHPGVQVTAPTFASQGNEAAEGIQNNPGARNQAGNQLPGQSLPQAMHIPLGATIPVPSLNLPIPDSLHTLVEFIKRMELVLSQNDNQLNQSPIDSSRVELPTNAHGLPTPLALSTVLRLMEHLVGNHVVSALSRIGGRLEHESDSTDPTVRGQIRIESVQLGLAMQHLGALFLELGRTILTLRMGQSPADSSVNAGPAVYISPSGPNPIMVQPFPVQTNSLFSGFTSAPSNPGGFNPVGISSAPRNVNIHIHTVGARAANGDATPGERANRSDSGGSSQSGAVPEINSFATDVPPTDTVTSLPGAPQPGQSETATIEEPPVSSGCESQRTEETQIQVQSQQIPEGPSSSLAGSSQGIDGSSSSLPEPLGLGMGGLQLKKKIKQSSSQGKGGNGAATPCVNSLPSVRFSHSARETLESTNSRGNDQSGIANAMSQVLQSPALNGLLSGVSQQTGVGSPDVFRNMLQQFTQSPAMMNAVSQMAQQIDTQDLGSMFAGLGAGQGGGVDFSNMLQQMMPLVSQALGGVSTATQQAPPMEVGANEGGARRETIPTAENAQSGLQEVAQRIELNNSPEEIFRGVVESVVRGQHNGSLVNHLCSTEGLANEFMEVLRHDISQRLQDETRKDH >itb07g00120.t5 pep chromosome:ASM357664v1:7:102831:108960:-1 gene:itb07g00120 transcript:itb07g00120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHPSEDPNTSNASGRVSESSIKINIKTLDSQNHVFHVDKNIQVSALKEKVAAQTGVPVVQQRLIFRGKVLKDDHLLSEYHLENGDALHLVVREQSQQQSSSGSNTTEATAPNGQDPTTGASRNRIAQISHSVMLGTFNVGDQGDGLVPDLSRVIGAVLNSVGIGSQIGGQHPGVQVTAPTFASQGNEAAEGIQNNPGARNQAGNQLPGQSLPQAMHIPLGATIPVPSLNLPIPDSLHTLVEFIKRMELVLSQNDNQLNQSPIDSSRVELPTNAHGLPTPLALSTVLRLMEHLVGNHVVSALSRIGGRLEHESDSTDPTVRGQIRIESVQLGLAMQHLGALFLELGRTILTLRMGQSPNFHVFLQADSSVNAGPAVYISPSGPNPIMVQPFPVQTNSLFSGFTSAPSNPGGFNPVGISSAPRNVNIHIHTVGARAANGDATPGERANRSDSGGSSQSGAVPEINSFATDVPPTDTVTSLPGAPQPGQSETATIEEPPVSSGCESQRTEETQIQVQSQQIPEGPSSSLAGSSQGIDGSSSSLPEPLGLGMGGLQLKKKIKQSSSQGKGGNGAATPCVNSLPSVRFSHSARETLESTNSRGNDQSGIANAMSQVLQSPALNGLLSGVSQQTGVGSPDVFRNMLQQFTQSPAMMNAVSQMAQQIDTQDLGSMFAGLGAGQGGGVDFSNMLQQMMPLVSQALGGVSTATQQAPPMEVGANEGGARRETIPTAENAQSGLQEVAQRIELNNSPEEIFRGVVESVVRGQHNGSLVNHLCSTEGLANEFMEVLRHDISQRLQDETRKDH >itb07g00120.t3 pep chromosome:ASM357664v1:7:102903:108954:-1 gene:itb07g00120 transcript:itb07g00120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHPSEDPNTSNASGRVSESSIKINIKTLDSQNHVFHVDKNIQVSALKEKVAAQTGVPVVQQRLIFRGKVLKDDHLLSEYHLENGDALHLVVREQSQQQSSSGSNTTEATAPNGQDPTTGASRNRIAQISHSVMLGTFNVGDQGDGLVPDLSRVIGAVLNSVGIGSQIGGQHPGVQVTAPTFASQGNEAAEGIQNNPGARNQAGNQLPGQSLPQAMHIPLGATIPVPSLNLPIPDSLHTLVEFIKRMELVLSQNDNQLNQSPIDSSRVELPTNAHGLPTPLALSTVLRLMEHLVGNHVVSALSRIGGRLEHESDSTDPTVRGQIRIESVQLGLAMQHLGALFLELGRTILTLRMGQSPADSSVNAGPAVYISPSGPNPIMVQPFPVQTNSLFSGFTSAPSNPGGFNPVGISSAPRNVNIHIHTGTSLAPIISAVGARAANGDATPGERANRSDSGGSSQSGAVPEINSFATDVPPTDTVTSLPGAPQPGQSETATIEEPPVSSGCESQRTEETQIQVQSQQIPEGPSSSLAGSSQGIDGSSSSLPEPLGLGMGGLQLKKKIKQSSSQGKGGNGAATPCVNSLPSVRFSHSARETLESTNSRGNDQSGIANAMSQVLQSPALNGLLSGVSQQTGVGSPDVFRNMLQQFTQSPAMMNAVSQMAQQIDTQDLGSMFAGLGAGQGGGVDFSNMLQQMMPLVSQALGGVSTATQQAPPMEVGANEGGARRETIPTAENAQSGLQEVAQRIELNNSPEEIFRGVVESVVRGQHNGSLVNHLCSTEGLANEFMEVLRHDISQRLQDETRKDH >itb07g00120.t4 pep chromosome:ASM357664v1:7:102831:108920:-1 gene:itb07g00120 transcript:itb07g00120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHPSEDPNTSNASGRVSESSIKINIKTLDSQNHVFHVDKNIQVSALKEKVAAQTGVPVVQQRLIFRGKVLKDDHLLSEYHLENGDALHLVVREQSQQQSSSGSNTTEATAPNGQDPTTGASRNRIAQISHSVMLGTFNVGDQGDGLVPDLSRVIGAVLNSVGIGSQIGGQHPGVQVTAPTFASQGNEAAEGIQNNPGARNQAGNQLPGQSLPQAMHIPLGATIPVPSLNLPIPDSLHTLVEFIKRMELVLSQNDNQLNQSPIDSSRVELPTNAHGLPTPLALSTVLRLMEHLVGNHVVSALSRIGGRLEHESDSTDPTVRGQIRIESVQLGLAMQHLGALFLELGRTILTLRMGQSPADSSVNAGPAVYISPSGPNPIMVQPFPVQTNSLFSGFTSAPSNPGGFNPVGISSAPRNVNIHIHTVGARAANGDATPGERANRSDSGGSSQSGAVPEINSFATDVPPTDTVTSLPGAPQPGQSETATIEEPPVSSGCESQRTEETQIQVQSQQIPEGPSSSLAGSSQGIDGSSSSLPEPLGLGMGGLQLKKKIKQSSSQGKGGNGAATPCVNSLPSVRFSHSARETLESTNSRGNDQSGIANAMSQVLQSPALNGLLSGVSQQTGVGSPDVFRNMLQQFTQSPAMMNAVSQMAQQIDTQDLGSMFAGLGAGQGGGVDFSNMLQQMMPLVSQALGGVSTATQQAPPMEVGANEGGARRETIPTAENAQSGLQEVAQRIELNNSPEEIFRGVVESVVRGQHNGSLVNHLCSTEGLANEFMEVLRHDISQRLQDETRKDH >itb07g00120.t2 pep chromosome:ASM357664v1:7:102903:108954:-1 gene:itb07g00120 transcript:itb07g00120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHPSEDPNTSNASGRVSESSIKINIKTLDSQNHVFHVDKNIQVSALKEKVAAQTGVPVVQQRLIFRGKVLKDDHLLSEYHLENGDALHLVVREQSQQQSSSGSNTTEATAPNGQDPTTGASRNRIAQISHSVMLGTFNVGDQGDGLVPDLSRVIGAVLNSVGIGSQIGGQHPGVQVTAPTFASQGNEAAEGIQNNPGARNQAGNQLPGQSLPQAMHIPLGATIPVPSLNLPIPDSLHTLVEFIKRMELVLSQNDNQLNQSPIDSSRVELPTNAHGLPTPLALSTVLRLMEHLVGNHVVSALSRIGGRLEHESDSTDPTVRGQIRIESVQLGLAMQHLGALFLELGRTILTLRMGQSPADSSVNAGPAVYISPSGPNPIMVQPFPVQTNSLFSGFTSAPSNPGGFNPVGISSAPRNVNIHIHTGTSLAPIISAVGARAANGDATPGERANRSDSGGSSQSGAVPEINSFATDVPPTDTVTSLPGAPQPGQSETATIEEPPVSSGCESQRTEETQIQVQSQQIPEGPSSSLAGSSQGIDGSSSSLPEPLGLGMGGLQLKKKIKQSSSQGKGGNGAATPCVNSLPSVRFSHSARETLESTNSRGNDQSGIANAMSQVLQSPALNGLLSGVSQQTGVGSPDVFRNMLQQFTQSPAMMNAVSQMAQQIDTQDLGSMFAGLGAGQGGGVDFSNMLQQMMPLVSQALGGVSTATQQAPPMEVGANEGGARRETIPTAENAQSGLQEVAQRIELNNSPEEIFRGVVESVVRGQHNGSLVNHLCSTEGLANEFMEVLRHDISQRLQDETRKDH >itb01g00430.t1 pep chromosome:ASM357664v1:1:198379:201348:1 gene:itb01g00430 transcript:itb01g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSTPISIASSPIVSILQIDVEKVAEVRKMNAIVVRGLTVCISSAKLIRESRNHAFNSKFLSSRTALKCNCVKKEKTQNSFEEFSVLKSDIPCDSGSLWSGMALYVFSFHVPLSFGGLSAISNILHRPVLDPETEAISLLVIQTLELIGVLLLLRFPMKPQSSILEFFQAKQVSKERNWLLASAIGFGLLVFLVFLTSFISYRLVGPKDVNNPIVKEILSSSSISLTACILVYCVVTPFLEEIVYRRFFLTALSSTMKWQQAVIISSMVFSAVHFSAENFIPLFIIGSILGGSYCWSASPPLIRSAASVSATSAAMHHANVSSADEVNAPLFNARALLTLKNHCCLSDL >itb09g05090.t1 pep chromosome:ASM357664v1:9:2889531:2893396:1 gene:itb09g05090 transcript:itb09g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTGLHQLSNGLYVSGRPEQLKERQPTVALRAVPYTGGDVKNSGELGKMYGIDFSSGDHSSPQVPRLSSRASSSSQHTSGSVRSGPNSGPFPKKSPSSSFSGPIMTPIQPTGLLTSGPLGSSVARRSGPLEPTASFSKAVYGSAVTSLSEEAKLRFRVSRLAMWVVLLLLLMTLVVGAFLMVAVKKAVILLAVAGILAPLFVFLLWNLAYKQKGFMGFLKRFPDSELRGAIDGHYVKVTGVATCGSIPLETSFQKIERCIYVSTELYEYRGWGGKPANSRHCFFSWGQRHSEKYVADFYISDFQSGLRALVKTGNGAKVAPFVTPSTVVDITKDNKELSPNFLKWLADRKLSSDDRIMRLKEGYIQEGSTVSVMGVVRRHDNVLMIVPPEEPVSTGVQWTRCLLPINIEGLVLTSDDCQNADVIPV >itb05g23200.t2 pep chromosome:ASM357664v1:5:28379871:28381106:-1 gene:itb05g23200 transcript:itb05g23200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPWTYLYDKVRYLKIAFDELQDSMAAFLQTLSPDWVIHDFASFRLVPIMEKLSIPSVYFSIFSASGISIIIGPPSPIFDGVDYRVEGAKHVTSKPKWVPFETAIVPRYFEIERMFHDLYIDGGNVSDVYRGAVTVKGASAVFVRSCYELEPEWLQLAEDVFGKPTIPAGLLPTTAYDDEEDDDKKAAWLEIKEWLDKQEKGLVFGNLI >itb05g23200.t1 pep chromosome:ASM357664v1:5:28378449:28381106:-1 gene:itb05g23200 transcript:itb05g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPWTYLYDKVRYLKIAFDELQDSMAAFLQTLSPDWVIHDFASFRLVPIMEKLSIPSVYFSIFSASGISIIIGPPSPIFDGVDYRVEGAKHVTSKPKWVPFETAIVPRYFEIERMFHDLYIDGGNVSDVYRGAVTVKGASAVFVRSCYELEPEWLQLAEDVFGKPTIPAGLLPTTAYDDEEDDDKKAAWLEIKEWLDKQEKGSVVYVAFGSETKPNQKEVVEISLGLELSKLPFFWVYRKQRGLADEEVTKLPEGFEERTRGRGVICTSWAPQLKILSHDSVGGFLTHSGWSSVVEALKFEKKLILLPFLADQEIIARQLVEKELGHEIARDDYDGSFSRDLVAESLRIVMVEEHGKVFAEKLKKMKGKLFEKEKQDNYVNKLLDYLNHAPAPTTMHVHQPSGCGSYKN >itb05g03960.t1 pep chromosome:ASM357664v1:5:3410583:3411578:1 gene:itb05g03960 transcript:itb05g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMDNGNALPEEVAPADLGRSCVRSTTLLRPIAVLRPPTSHYSRPPTSYYSRPPTGASMGLPPTLRPATVLHQPGLSSNMWALMVRNLVRPLTSYHSPNRPISMELPPTIPAAAAATVHEVGPGNIPPPAAAEAGTSNMWVVKVEYMGDILRVRLPLPLLKELKAAVSSRRRHLKGRRTVLKFLYKDQEGDMIVIACDEDLQLCFKYFKTLNQTVRLSLVAIYH >itb06g14600.t1 pep chromosome:ASM357664v1:6:19121690:19124826:-1 gene:itb06g14600 transcript:itb06g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQDPSMTMRGAAGVEPYNGWKVQSAEELLIDICNPQLREKALRQLCEKHREELFQVLAPSIWKSFGTVAALIREIISIYHLLSPPKLTLEQSNTVCNALIVLQSLAVHPETRIPFLNACIPYYVYPFLKPTSYSTPLEKLRISSLCIICGIVKEGETKAIEFLLATEAATFILQKLLSHDMGLEYICTTVARLLAVVQTLKNMVVLLSEKPSSESLKQIIQCYIRLCDDSRARDKLRYYLPDRLRDAAFSNCFEEDPDTKISLQHLLCIVNHA >itb01g20950.t1 pep chromosome:ASM357664v1:1:27125183:27126861:1 gene:itb01g20950 transcript:itb01g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYYVVADDGSAGSSSFGLMMMFWTVVMSAIIIIPMLIFGCGDCDDVDDGNAAAQRDIPAEQARYQPRPDQLLLLEAEAAVVKYSGRGGRVRRDYPPRSGQSLRGHKIKGATNNPPSTHLWMGMKPLSQFNSKYFH >itb14g04350.t1 pep chromosome:ASM357664v1:14:3901926:3903408:1 gene:itb14g04350 transcript:itb14g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYIFLGFYTLLLSFSSILNPSSAHFFPNISSIPRTLVGNGSAGWDVFQKFLGSHTGKNIAGLAKLKNYFQHFGYINASFASNFTDDFDDILKSAVKTYQLNFNLKPTGELDAPTIGHLVIPRCGNADIINGNFKAGSSNGTAPGVAHYSFFQGQPRWPAGKTELTFAFLPENQLSDVVKGVFARAFDRWSEVTPLTFTETASFSAADIRVGFFRGDHGDGDPFDGALGTLAHAFSPPDGRLHMDGDENWVLDGDFLSSAGSVLSATDLESVAVHEIGHILGLGHSSVEGAIMYPTLPAMTRKVELTDDDIQGVQELYGSNPNPNGALPTLTPSNQRDTSGSAIVSDAYWVHKFLLVIVLLPISLF >itb14g04350.t2 pep chromosome:ASM357664v1:14:3901926:3903408:1 gene:itb14g04350 transcript:itb14g04350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYIFLGFYTLLLSFSSILNPSSAHFFPNISSIPRTLVGNGSAGWDVFQKFLGSHTGKNIAGLAKLKNYFQHFGYINASFASNFTDDFDDILKSAVKTYQLNFNLKPTGELDAPTIGHLVIPRCGNADIINVRTGNFKAGSSNGTAPGVAHYSFFQGQPRWPAGKTELTFAFLPENQLSDVVKGVFARAFDRWSEVTPLTFTETASFSAADIRVGFFRGDHGDGDPFDGALGTLAHAFSPPDGRLHMDGDENWVLDGDFLSSAGSVLSATDLESVAVHEIGHILGLGHSSVEGAIMYPTLPAMTRKVELTDDDIQGVQELYGSNPNPNGALPTLTPSNQRDTSGSAIVSDAYWVHKFLLVIVLLPISLF >itb09g00190.t1 pep chromosome:ASM357664v1:9:237211:238928:-1 gene:itb09g00190 transcript:itb09g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 [Source:Projected from Arabidopsis thaliana (AT2G17040) UniProtKB/TrEMBL;Acc:F4IME8] MEESRLEMELPGFRFHPTEEELLDFYLKNMVLGKKLRHNVIGCLNIYRYDPWDLPGHANNVGEREWYFFVARDTRHGSGGRPNRTTEKGFWKATGSDRKIFSLSHPPNRMIGLKKTLVFYQGRAPRGCKTDWVMNEYRLPDALLPPSSAKNIVLCKIYRKATSIKVLEQRAAMEDEINTHASPSFMTIDTTLSCSDHHHHHDLVAQSFLTVTMNNNNNNEEDDDGEYSTGTMLTVDGEKAAAAEGEFSKDKLTSNSNSNSITTSGSSLLLSLQSGEEVKPLLLPTKLELPAAAKSLSSDYWASHFQDPFWAQLRSPCLLNDLIALTPLPPNLHNF >itb09g11260.t1 pep chromosome:ASM357664v1:9:7038992:7040818:-1 gene:itb09g11260 transcript:itb09g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSLSPILRKELENLDKDADSRKSAIKALKSYARELDSNAIPLFLAKVSETEEAGTSSGECTISLYEVLARVHGPKILPQIDNIMATIIKTLKSSAGSFALHQACSKVVPAIARYGIDPATSEQKKRYIIDLLSKPLSDCLLATQESLSSGAAICLKALVETDNWRFASNEIVNEVCQTVAGALEKHAQASSHMALVMSLAKHNSLLVEAYARLLIQSGLCVLRCGNGEGNSQKRLLAILMITSLMRCLDPRSIFSELGMVIEHLGMCQSDKMQYVRGAAFEALQLAKRICCEKGSKFEKDVEPTNGSSFDSRGNFHRRNMCESDDQSPITTSPESETADSLISLDPILDSQNSTNLISQGLGNDQKSAKRRLWRRCGNGALKEEILSDVARQIAIQNSEHDELTSNHSDYSDVFAGYIPGSGRNGFIRNRTPSPQRSRSHITPENLAIFNDRSLDFLGKNTRHYTSRPSSCKLESPRRCREDNRDRKSSESTSSTEDALADTESQCFTKAVPESETKSEGAYTQHTSKCKMICGFSVAVLAILAFLLWDEDQDGFYNLVPT >itb05g04470.t2 pep chromosome:ASM357664v1:5:4019678:4038693:1 gene:itb05g04470 transcript:itb05g04470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQQLRQSFHPLASLLGHTRHANSFLVAMARFQAAGALRDAAIREWVFLEADDKRHLISFCLCFVLKHAGSAEGYVQAKVASVAAQLMKRGWMEFVAADREAFFLEVRQAIIGSHGLDAQFAGINFLESLVSEFSLSTSTAMGLPREYHEQCKKSLELEYMMTFYCWAQDAAIAVSGGIVESHSAVPGVKVCTAALRFIHQILNWDFQNNSMMPNGAKQVMDLPSAVKHDIDTPRMTECNLVQPGPSWRAVLISSGHVGWLLGLYGSLRQKFSCEGYWLDCPLAVSARKLIVQFCSLSGSIFPSDDGQTQRQHLLQLLCGIIQWVDPPGVVSKEIKEGKSESELLDGCRALLSIATVTSPIVFDQLLKSIRPYGTLSLLSALMCEAIKDLMENFTEEETWSWVARDILLDSWTTLLMPLHSTNCKELLPPEGISAAASLFTLIVESELQVASASAFSDDNESSYFQASIAAMDERLSTYALIARAAIDITVPFLTRLFSERFARLHQGRGIHDPTQTLEELYSLLLITGHVLADEGEGETPLVPNAIQTQFSHIMETEKHPVVILSGSIIKFAEQSLDPELRASFFSPRLMEAVIWFLRRWSSTYLMPPEEYKESKSSDSFTEGQFKEEHSRKALLNFCGEHSQGKVVLDIIVRLSITSLVSYPGEKDLQALTCFELLHGLVRRRSVCTHLMALDSWRELANAFANERTLFSLYASYQRSLAQTLVLSATGMRDSEMSYQYVKYLTSHMTAYLVELSGRNDLKKVAEQPDIILLVSCLLERLRGVAIATEPRTQKAIYEIGFSVMNPVLTLLEVYKHESAVVYLLLRFVVDWVDGQIIYLEAHETAVAVGFCMSLLQLYSSHNIGKISLSISKTLRSEEDTERYKDIRVLLQLLASLCSKDLIDFSSEPIEAHGTNISQVVYMGLHIVTPLISLDLLKYPKLCHDYFSLLSHMLEVYPEMVAQLNNEAFIHIIRTLDFGLRHQDPEVVDLCLRAVKALASYHYKESGAGKIGLGSRATASTDSAGNVQEGVLSKFLRSLLQFLLFEDYSNDIVSSAADALLPLILCEQALYQRLGGELIERQANPAFKSRLTNAFQSLTSSNNLSSTLDRSNYQKFRKNVRTFLIEVRGFLRTI >itb05g04470.t1 pep chromosome:ASM357664v1:5:4019678:4038693:1 gene:itb05g04470 transcript:itb05g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQLQSTMRTFELACTSIQKVHGDPAAEAIISSLSQSPRPYQACQFILENSQVAMARFQAAGALRDAAIREWVFLEADDKRHLISFCLCFVLKHAGSAEGYVQAKVASVAAQLMKRGWMEFVAADREAFFLEVRQAIIGSHGLDAQFAGINFLESLVSEFSLSTSTAMGLPREYHEQCKKSLELEYMMTFYCWAQDAAIAVSGGIVESHSAVPGVKVCTAALRFIHQILNWDFQNNSMMPNGAKQVMDLPSAVKHDIDTPRMTECNLVQPGPSWRAVLISSGHVGWLLGLYGSLRQKFSCEGYWLDCPLAVSARKLIVQFCSLSGSIFPSDDGQTQRQHLLQLLCGIIQWVDPPGVVSKEIKEGKSESELLDGCRALLSIATVTSPIVFDQLLKSIRPYGTLSLLSALMCEAIKDLMENFTEEETWSWVARDILLDSWTTLLMPLHSTNCKELLPPEGISAAASLFTLIVESELQVASASAFSDDNESSYFQASIAAMDERLSTYALIARAAIDITVPFLTRLFSERFARLHQGRGIHDPTQTLEELYSLLLITGHVLADEGEGETPLVPNAIQTQFSHIMETEKHPVVILSGSIIKFAEQSLDPELRASFFSPRLMEAVIWFLRRWSSTYLMPPEEYKESKSSDSFTEGQFKEEHSRKALLNFCGEHSQGKVVLDIIVRLSITSLVSYPGEKDLQALTCFELLHGLVRRRSVCTHLMALDSWRELANAFANERTLFSLYASYQRSLAQTLVLSATGMRDSEMSYQYVKYLTSHMTAYLVELSGRNDLKKVAEQPDIILLVSCLLERLRGVAIATEPRTQKAIYEIGFSVMNPVLTLLEVYKHESAVVYLLLRFVVDWVDGQIIYLEAHETAVAVGFCMSLLQLYSSHNIGKISLSISKTLRSEEDTERYKDIRVLLQLLASLCSKDLIDFSSEPIEAHGTNISQVVYMGLHIVTPLISLDLLKYPKLCHDYFSLLSHMLEVYPEMVAQLNNEAFIHIIRTLDFGLRHQDPEVVDLCLRAVKALASYHYKESGAGKIGLGSRATASTDSAGNVQEGVLSKFLRSLLQFLLFEDYSNDIVSSAADALLPLILCEQALYQRLGGELIERQANPAFKSRLTNAFQSLTSSNNLSSTLDRSNYQKFRKNVRTFLIEVRGFLRTI >itb10g03280.t1 pep chromosome:ASM357664v1:10:2977508:2978469:-1 gene:itb10g03280 transcript:itb10g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSVVMGGGVHASDNPAFMVFMIAASVSLLSMVVFACGSFFRKRKPSKSPDEGNKTSTTAASGEGGGGGRKVGKESSTPGVGPFYENLSIVNLGVLPMPDTCTGDATNNDGGSHKHAGHAPIGSDSNQGGHGTTDDHTSSGGHTSTTHSVGGSDTHTTAVSHNSGEYTGVTNIGGHGTTDNHTSSGGHMSTTHSVGGSDTHTTTVSHSSGGHTSSYTDHSSSGWSGNDGGGSSWSGGGDFGSSWGGGF >itb01g21140.t1 pep chromosome:ASM357664v1:1:27329128:27331279:1 gene:itb01g21140 transcript:itb01g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELLLQMEVPRKPPSQKRATVKPIKPEAVIVISPDTKEEVKEKNSLRRKAAAEDSSRKTYTATLTARSKAACGLNIKLPKEKIEDIDAGDVYNELAVVEYAEDIYKFYKEAEDPAEVDKPLKIQRELDETINILAGQELAEDMAVTQHSRNRRIKGGTLIVCPMALLGQWKDELEAHSKPDSISVFVHYGGYISKP >itb05g04960.t1 pep chromosome:ASM357664v1:5:4622812:4625884:1 gene:itb05g04960 transcript:itb05g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSYGDSKSVIRDEKDTNPKIKGKPNPYSKAAATDGSSSSTPPPMFEGIDIGGLSSEQKKGMVLPFQPLSLAFNHVNYYVDMPAEMQKQGIEEPRLQLLQDVSCGFRPGVLTALVGVSGAGKTTLMDVLAGRKTTSGYIEGSINICGYPKNQSTFARISGYCEQNDIHSPHVTLYESSCLVASFSRCRQPNKKGLDARAACCNRDANCEKHRDEPLSAQFTSQAYISLKHLMRGRGGQVIYAGALGHHSQRLIQYFESVPGIPKIREGYNPATWMLEISAPAVEVQLDVDFAHIYANSDLYRRNQEVIEQSMPRLPVENALVILEESTIQCNPVFHDNSDRNYMWNKGKQTSKQQDLSNLMGAIILLYFMIGFEWGADRFFCFYYYICMCSLYFTSYGMMLVALTPSYHISAIVITFFLNFWNLFSGFLIPRTWRWYYWGSPVAWTIYGLITSQIGDKTDLVAIPGAPHIKVKDYLKDNLGFDYDFLGVVAAVHVAWFLLFCFVFAYGIKFLHFQRR >itb13g13830.t2 pep chromosome:ASM357664v1:13:20418388:20421553:-1 gene:itb13g13830 transcript:itb13g13830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLASKPSSNLKKPSQTFAGNDPKASASAAKEYVTEFDASKAPAAADSVANYIIPPKANEWEPRKRMKNIDLPPIRSSDDQPLQFEVDTGSSVEPSSDSVSYGLNIRQSADDPNTDKSENPNPSPNVDPMLHRLKEDLMRLPDDNGMDEFTDMPVEGFGAALLKGYGWSEGRGIGRNAKEDVKVREYKRWSAKEGIGFTAELPNDTKVHKVDGGEKRDKKMNANGKEERGEKEGKGLFVGKNIRIVGGREIGMKGKVVEVKSGGNMVIRLSSDDREVIVQSSDVAELGSVEEEKCMRKLKELKIKDSNKDSSSVRHRRESRDEVTRDREIREERARDRRKDSKRSRDESNAKGVEQISWLTSHIRVRVISKALKGGKLYLKKGEVVDVVGPSTCDISMDESRELIQGVNQDQLETALPRRGGPVLVLCGRHKGVYGSLVERDTEKETGVVRHGDTHELLNVRLEQIAEYTGDPSYIGY >itb13g13830.t1 pep chromosome:ASM357664v1:13:20417926:20423237:-1 gene:itb13g13830 transcript:itb13g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLASKPSSNLKKPSQTFAGNDPKASASAAKEYVTEFDASKAPAAADSVANYIIPPKANEWEPRKRMKNIDLPPIRSSDDQPLQFEVDTGSSVEPSSDSVSYGLNIRQSADDPNTDKSENPNPSPNVDPMLHRLKEDLMRLPDDNGMDEFTDMPVEGFGAALLKGYGWSEGRGIGRNAKEDVKVREYKRWSAKEGIGFTAELPNDTKVHKVDGGEKRDKKMNANGKEERGEKEGKGLFVGKNIRIVGGREIGMKGKVVEVKSGGNMVIRLSSDDREVIVQSSDVAELGSVEEEKCMRKLKELKIKDSNKDSSSVRHRRESRDEVTRDREIREERARDRRKDSKRSRDESNAKGVEQISWLTSHIRVRVISKALKGGKLYLKKGEVVDVVGPSTCDISMDESRELIQGVNQDQLETALPRRGGPVLVLCGRHKGVYGSLVERDTEKETGVVRHGDTHELLNVRLEQIAEYTGDPSYIGY >itb14g02980.t1 pep chromosome:ASM357664v1:14:2690838:2696252:-1 gene:itb14g02980 transcript:itb14g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKRIVNLDDLAAGHNKRKIIQQAVFNELCKILDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQRKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVERFKKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSQELMPKGREKESQAKIKRYMTMMDSMTNEELDSTNPKLMTESRIMRIARGSGRLVHEVMEMMEEYKRLAKIWSKMKGLKIPKKGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMFGGADK >itb09g10650.t1 pep chromosome:ASM357664v1:9:6582929:6584219:1 gene:itb09g10650 transcript:itb09g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRKSSSQKRATVKPIKPEPKEKVKEKNNLRRRAAAEGSSRKTYTATLTARRRAACGLNIKLPKEKIEDIDAGDVYNELAVVEYAEDIYKEAKDPEEADKLLKIQRELDETKIFLVSNVL >itb02g14900.t4 pep chromosome:ASM357664v1:2:10828142:10832051:1 gene:itb02g14900 transcript:itb02g14900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGSEQGGTRIFGPSQKYSSRDIASHTTLKPRREGQDTQDELQKRNLREELEQRESRHFSSKNKGYSDDRDHRKSSQLLLEGSKREIEDRIIPRSADADDVDVDAKSDDESDDDDDDDNEDDMEALMAELDQIRKERAEEKIRKERQEQEEELKAKEAELLKGNPLLNQPTSFNVKRRWDDDVVFKNQARGEGKAPKRFINDTIRNDFHRKFLHKYMK >itb02g14900.t1 pep chromosome:ASM357664v1:2:10827754:10832168:1 gene:itb02g14900 transcript:itb02g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGSEQGGTRIFGPSQKYSSRDIASHTTLKPRREGQDTQDELQKRNLREELEQRESRHFSSKNKGYSDDRDHRKSSQLLLEGSKREIEDRIIPRSADADDVDVDAKSDDESDDDDDDDNEDDMEALMAELDQIRKERAEEKIRKERQEQEEELKAKEAELLKGNPLLNQPTSFNVKRRWDDDVVFKNQARGEGKAPKRFINDTIRNDFHRKFLHKYMK >itb02g14900.t3 pep chromosome:ASM357664v1:2:10827857:10832101:1 gene:itb02g14900 transcript:itb02g14900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGSEQGGTRIFGPSQKYSSRDIASHTTLKPRREGQDTQDELQKRNLREELEQRESRHFSSKNKGYSDDRDHRKSSQLLLEGSKREIEDRIIPRSADADDVDVDAKSDDESDDDDDDDNEDDMEALMAELDQIRKERAEEKIRKERQEQEEELKAKEAELLKGNPLLNQPTSFNVKRRWDDDVVFKNQARGEGKAPKRFINDTIRNDFHRKFLHKYMK >itb02g14900.t2 pep chromosome:ASM357664v1:2:10827857:10832101:1 gene:itb02g14900 transcript:itb02g14900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGSEQGGTRIFGPSQKYSSRDIASHTTLKPRREGQDTQDELQKRNLREELEQRESRHFSSKNKGYSDDRDHRKSSQLLLEGSKREIEDRIIPRSADADDVDVDAKSDDESDDDDDDDNEDDMEALMAELDQIRKERAEEKIRKERQEQEEELKAKEAELLKGNPLLNQPTSFNVKRRWDDDVVFKNQARGEGKAPKRFINDTIRNDFHRKFLHKYMK >itb08g04300.t1 pep chromosome:ASM357664v1:8:3443102:3445874:1 gene:itb08g04300 transcript:itb08g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSQIQAPTYGNLVTILSIDGGGIRGVIPSIILDYLESQFQELDGADARLADYFDVMSGTSTGGLVTAMLTAPGESERPLYAARDIKPFYLNHGPNIFPQKRRWFCDIWQLIRCVFRPKYDGKYLHSLVKEELKDIRLKDTLTNVVIPTFDIMCLQPVIFSTYESKRCPKMDVYLSDVCIGTSAAPTYLPAHYFKIEDPKQGTNHPPREYNIIDGGVAANNPTLVAISQVTKQIFDNNPDFFPIKPMDFSRFLVISIGTGAAGNEYKYNSKKAAKWGVLSWLLYKSSTPLIEVFIEASSDMDDELTGTQSSVDVATKENLEKLVEIGERLLKKPVSRVNLETGVSEPIPHSGTNEDALKR >itb15g12210.t1 pep chromosome:ASM357664v1:15:10160634:10161944:-1 gene:itb15g12210 transcript:itb15g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTALLFELQCFPDPNFLYNSRSKPTQSPCFSLKQSSFSKPSRNIQESLCHPHKFSSQIHVQRPIVSALRRKRRSGSARSTKILLESAYLVATKLKIFPEPIELLIREFCGGNGGGGLSLKGYGGGEGSGGWRKRRKVNWVVLGSLVILGIALSLAVWKEYDQEMVFGVVGLSLIGLSVNVWKRGVLDWALGFCCCAALGGFLLKGDLQRGVKFLGTMKISRRRKRRWF >itb01g24370.t1 pep chromosome:ASM357664v1:1:30029569:30030758:-1 gene:itb01g24370 transcript:itb01g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEEMSESQRRAKLEADWKDVTQEEYDEMYAYLKKIQRRVDELNAMVDKLCHDAKEDNKQWKKPAQGVLKVNVHAATIQK >itb07g03170.t2 pep chromosome:ASM357664v1:7:2151527:2154229:-1 gene:itb07g03170 transcript:itb07g03170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEAARYWCHQCSRVVNPVMEVEAVKCPVCQNGFVEEMGSAAAETTTNDHTSSSSPFDYGGSESDGAISLWAPILLGMMNNPRRSRRRFRHLEFEGNGDENRNESNGNGRGGEGDGEGEGEGEGESERDVESMIRRRRNAVILQLLQGVRAGMLAELQSSGAGGGGAGEDDRENRDGGGERVIFINPFSQTIILQGGYDPNNNNPGSHHHGGSSFGDYFMGSGFDMLLQHLAENDPNRYGTPPAQKEVVETLPTVKVEGELQCAVCLEEFEIGAEATEMPCKHRFHSGCILPWLEMHSSCPVCRFQLPADESKREANESRDSRRNQIAAESNRTDSGRGEGGGGGDARNENGGWFTAPLLWPFNVLFSSQSGNGGDSPQAALPSSNSATNSAPPGSNTLED >itb07g03170.t3 pep chromosome:ASM357664v1:7:2151527:2154144:-1 gene:itb07g03170 transcript:itb07g03170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEAARYWCHQCSRVVNPVMEVEAVKCPVCQNGFVEEMGSAAAETTTNDHTSSSSPFDYGGSESDGAISLWAPILLGMMNNPRRSRRRFRHLEFEGNGDENRNESNGNGRGGEGDGEGEGEGEGESERDVESMIRRRRNAVILQLLQGVRAGMLAELQSSGAGGGGAGEDDRENRDGGGERVIFINPFSQTIILQGGYDPNNNNPGSHHHGGSSFGDYFMGSGFDMLLQHLAENDPNRYGTPPAQKEVVETLPTVKVEGELQCAVCLEEFEIGAEATEMPCKHRFHSGCILPWLEMHSSCPVCRFQLPADESKREANESRDSRRNQIAAESNRTDSGRGEGGGGGDARNENGGWFTAPLLWPFNVLFSSQSGNGGDSPQAALPSSNSATNSAPPGSNTLED >itb07g03170.t1 pep chromosome:ASM357664v1:7:2151527:2154229:-1 gene:itb07g03170 transcript:itb07g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEAARYWCHQCSRVVNPVMEVEAVKCPVCQNGFVEEMGSAAAETTTNDHTSSSSPFDYGGSESDGAISLWAPILLGMMNNPRRSRRRFRHLEFEGNGDENRNESNGNGRGGEGDGEGEGEGEGESERDVESMIRRRRNAVILQLLQGVRAGMLAELQSSGAGGGGAGEDDRENRDGGGERVIFINPFSQTIILQGGYDPNNNNPGSHHHGGSSFGDYFMGSGFDMLLQHLAENDPNRYGTPPAQKEVVETLPTVKVEGELQCAVCLEEFEIGAEATEMPCKHRFHSGCILPWLEMHSSCPVCRFQLPADESKREANESRDSRRNQIAAESNRTDSGRGEGGGGGDARNENGGWFTAPLLWPFNVLFSSQSGNGGDSPQAALPSSNSATNSAPPGSNTLED >itb09g04560.t3 pep chromosome:ASM357664v1:9:2550979:2558483:-1 gene:itb09g04560 transcript:itb09g04560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCLDSVSHPPYELLLRAASLIPISHYLFAFLFILIFFLYYFIEIHFFRDFLAGFRGQHVSLTFSPCSQLYQEVVSKCRILHGRYSSTPWLCSPHLQTTFLHFFGMPPVCNYRRKIFHTPDGGTIALDWLTIEDVRSSYIKNCDGVYSNDKSPILIVVPGLTSDSDSAYVKHLVFKMARQGWRVVVYNHRGLGGVSITSERFYNAGWTEDIRKVIDHLHTQYPEAPLFSVGTSIGANVLVKYLGEDGVNTPIAGAAAICSPWDLLICDRFINRRLIQRFYDKALTIGLKGYAQLHESIMSRLVNWEGITKSNSVRDFDNHATRVLGKYETVDTYYRRCSSTGYVGNVMIPLLCISALDDPVCTREAIPWDECRANKNIVLATTEHGGHLAYFEGLTAKSVWWVRAVDEFFRVLSSSPLIKERGVEIHILLFHVLMDFITAGVKIYIYLLAFFLPM >itb09g04560.t1 pep chromosome:ASM357664v1:9:2550057:2558483:-1 gene:itb09g04560 transcript:itb09g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCLDSVSHPPYELLLRAASLIPISHYLFAFLFILIFFLYYFIEIHFFRDFLAGFRGQHVSLTFSPCSQLYQEVVSKCRILHGRYSSTPWLCSPHLQTTFLHFFGMPPVCNYRRKIFHTPDGGTIALDWLTIEDVRSSYIKNCDGVYSNDKSPILIVVPGLTSDSDSAYVKHLVFKMARQGWRVVVYNHRGLGGVSITSERFYNAGWTEDIRKVIDHLHTQYPEAPLFSVGTSIGANVLVKYLGEDGVNTPIAGAAAICSPWDLLICDRFINRRLIQRFYDKALTIGLKGYAQLHESIMSRLVNWEGITKSNSVRDFDNHATRVLGKYETVDTYYRRCSSTGYVGNVMIPLLCISALDDPVCTREAIPWDECRANKNIVLATTEHGGHLAYFEGLTAKSVWWVRAVDEFFRVLSSSPLIKERGMQDTAMTNPIESSSIDQAPYVSVMDDGMVRAVTNEPPPSDIGCCELSEQTVAEDKAGDGSSAAECNDVITPQEANANAAAEVAEDAAALPPVRKCLNQLSRHSKASIWLLAYVAIVLTLPILGSALPLLLKKRLRNIFSGLLQRK >itb09g04560.t2 pep chromosome:ASM357664v1:9:2550057:2558483:-1 gene:itb09g04560 transcript:itb09g04560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCLDSVSHPPYELLLRAASLIPISHYLFAFLFILIFFLYYFIEIHFFRDFLAGFRGQHVSLTFSPCSQLYQEVVSKCRILHGRYSSTPWLCSPHLQTTFLHFFGMPPVCNYRRKIFHTPDGGTIALDWLTIEDVRSSYIKNCDGVYSNDKSPILIVVPGLTSDSDSAYVKHLVFKMARQGWRVVVYNHRGLGGVSITSERFYNAGWTEDIRKVIDHLHTQYPEAPLFSVGTSIGANVLVKYLGEDGVNTPIAGAAAICSPWDLLICDRFINRRLIQRFYDKALTIGLKGYAQLHESIMSRLVNWEGITKSNSVRDFDNHATRVLGKYETVDTYYRRCSSTGYVGNVMIPLLCISALDDPVCTREAIPWDECRYLVLYIDSH >itb01g19680.t1 pep chromosome:ASM357664v1:1:25931902:25935555:1 gene:itb01g19680 transcript:itb01g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGWYRKITGLKTRKNGPSNRLKSVSTEANGGSSKNNELTEEIAATQIQRAFRAYKQGRKAIRRLRGTVKLQGAIEAYSVNDQVAATLKHIHSWSRVQSEIKARRLCMVTEGRLKQKKIQNQIKLEAKLHELEVEWSSGSETMEEILQKIQQREEAAVKRERAMAYAFSHQWRANSGHYFGQAYYDLGKESWGWSWKERWIAVRPWEIRVQADPKKVGKTASLGPVKLVVSVNNPPLSNGKISTPARKPSDNNPEIQTPQEPV >itb01g19680.t2 pep chromosome:ASM357664v1:1:25931907:25935460:1 gene:itb01g19680 transcript:itb01g19680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGWYRKITGLKTRKNGPSNRLKSVSTEANGGSSKNNELTEEIAATQIQRAFRAYKGRKAIRRLRGTVKLQGAIEAYSVNDQVAATLKHIHSWSRVQSEIKARRLCMVTEGRLKQKKIQNQIKLEAKLHELEVEWSSGSETMEEILQKIQQREEAAVKRERAMAYAFSHQWRANSGHYFGQAYYDLGKESWGWSWKERWIAVRPWEIRVQADPKKVGKTASLGPVKLVVSVNNPPLSNGKISTPARKPSDNNPEIQTPQEPV >itb01g19680.t3 pep chromosome:ASM357664v1:1:25931907:25934863:1 gene:itb01g19680 transcript:itb01g19680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGWYRKITGLKTRKNGPSNRLKSVSTEANGGSSKNNELTEEIAATQIQRAFRAYKQGRKAIRRLRGTVKLQGAIEAYSVNDQVAATLKHIHSWSRVQSEIKARRLCMVTEGRLKQKKIQNQIKLEAKLHELEVEWSSGSETMEEILQKIQQREEAAVKRERAMAYAFSHQASLLSLYYSPIKTTLLSPLISL >itb08g14890.t1 pep chromosome:ASM357664v1:8:16780548:16784001:1 gene:itb08g14890 transcript:itb08g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSNVLDLASEEILDVPQTPRSLPRLMTVPGIISDGDSDSMSSTCQERKIIVANMLPLHAQRDEGTSKWCFSLDEDSLLLQLKDGFSPESEVIYVGSLKVDIDASEQEEVAQRLLDEFKCVPTFIPPDIHKNFYYGFCKQQLWPLFHYMLPMCPDHADRFDRHLWQAYVSANKLFADKVMEVVNPEDDYIWVHDYHLMVLPTFLRKRYHRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESRRGHIGLDYFGRTVYIKILPVGVHMGRLVSMLNLSSTFDKVKEVQEQFKGKIVILGVDDMDIFKGISLKMLSFELLLKQRPGLQGKIVLVQIVNPARSSGKDVQEAKRETYLTVRRVNQCYGSPGYEPVILIDRPVAHYEKAAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGSPGMDDAMGIETDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIEAVAEALDVAITMTGSEKQLRHEKHYRYVSSHDVAYWARSFVQDLERATQDHYNKRCWGIGLGLGFKVISLSPSFRKLSIEHIVSSYRRTNRRVIFLDYDGTIVPESTIVKSPSVEVITFLNALSNDPKNTVFIVSGRGRSQLNEWLAPCERVGLAAEHGYFIRWSKASNWECMDADIEWKGIVEPVMKQYTDATDGSFIETKETALVWHHQDADPDFGSCQAKEMLDHLENVLANEPAVVKRGQHIVEVKPQGVTKGLVAEKVLSAMVKSGKPPDFVMCVGDDRSDEDMFESIINCVSSLSLKFAPEIFACTVGQKPSRARYYLDDTADVVRMLRGLANVSSPKPMQSVQFQVAFDSVV >itb11g19210.t1 pep chromosome:ASM357664v1:11:19852941:19866205:1 gene:itb11g19210 transcript:itb11g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENSLPSLDEVHVLKFKGTNITILNILKEIFLACFKQLYTSSYRVISVADDTLIEQAVGGTSVAEIFKLHGEGFFRDNETEVLRKLSLMREVVVSTGGGVVVRPINWRYMHKGISVWLDVPVDALARRISAVGTHSRPLFHNEYGDIYAKTLKRLSTLLDKREDAYANAKARILLQKAVALMFALSRLRRLPLRRKNPKPANQKTQTTNVKGNSFGALQDADDEGCDVPKQSNVKDKAEQAKKGKGKTSSNATARGGNVQVSQRGSKQSKPQQKERVGGGNISANATGNQPKVAQKSTKTSEIQSMDMERDPNTSNPCPLNTSGVVHQGKARGIRQVHYRGAYRGGGRGGRGPTRNYATDDIWKDNNGLAVGWRDSRYLESVRISTSQASNLIRRAYSDEVKKLFFGMKKFGSPRPDGIQVIFYQHFWEDVGPALTTFVNQALGSVLVVADDGTDAAAIAMPLLSSSPSCDTGLAKDGDGRWAATDGDDEAWWWR >itb09g10400.t2 pep chromosome:ASM357664v1:9:6461122:6463918:1 gene:itb09g10400 transcript:itb09g10400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAQQLLAPSSAAVVPGSPAGSTLFWDIRCSFHSLTAVLIVGLVIGVVFLTGESQNILSSELNQENTGGISHSSTKCDLFSGKWVYDNKSYPLYKEQECTFMSDQLACQKFGRKDLDYQHWRWQPDHCDLPRFNATAVLERLRNKRLVYVGDSLNRGQWVSMVCLLDSAIPPSLKSMHNNYNSSLTIFKAREYNASIEFYWAPLLVESNSDDPTHHRLPERIVRANAIEKHGSRWTDADFLVFNTYLWWKRPGIKVLWGSFERSDDGIYKVIEMQRSYEMALKTWSDWLEIHVNRSKTQLFFMTMSPVHERYNKKKN >itb09g10400.t1 pep chromosome:ASM357664v1:9:6461122:6463918:1 gene:itb09g10400 transcript:itb09g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAQQLLAPSSAAVVPGSPAGSTLFWDIRCSFHSLTAVLIVGLVIGVVFLTGESQNILSSELNQENTGGISHSSTKCDLFSGKWVYDNKSYPLYKEQECTFMSDQLACQKFGRKDLDYQHWRWQPDHCDLPRFNATAVLERLRNKRLVYVGDSLNRGQWVSMVCLLDSAIPPSLKSMHNNYNSSLTIFKAREYNASIEFYWAPLLVESNSDDPTHHRLPERIVRANAIEKHGSRWTDADFLVFNTYLWWKRPGIKVLWGSFERSDDGIYKVIEMQRSYEMALKTWSDWLEIHVNRSKTQLFFMTMSPVHERAELWGKEEGENCYGETELLEEEYLQRQVNVSDTKMMETVESTIDGLKGRGLQVHLINVTQLTQYRREGHPSIYRKQWEPLTEDQIANPSSYADCIHWCLPGVSDVWNELLYAHIFNNV >itb06g07990.t1 pep chromosome:ASM357664v1:6:11867341:11868271:1 gene:itb06g07990 transcript:itb06g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVQIPQGLTEEEYSQLETVIQSYHTFDPRPNTCTSLITQHIDAPASVVWPFVRRFDNPQKYKHFIKSCRMVSGDGGVGSVREVTVVSGIPASTSTERLEILDDDKRIISFRVVGGEHRLNNYQSVTSVNEFQKKNGSVYTVVLESYIVDIPEGNTVVDTKMFTDTVVKLNLQKLCMVAMAALHGHE >itb02g07040.t1 pep chromosome:ASM357664v1:2:4380625:4381510:-1 gene:itb02g07040 transcript:itb02g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSELGACWGGATITPPPQTRTADNLQQPETAAGSQNRAATRHESRRQVRSINATNVVANWKPELHDISEEKVLSDSDLEGRIHGVAGRTVKKSSAKIKPSSTSTKVSMLGEGFRKSYRIAMNGFVPSPYMI >itb06g18060.t5 pep chromosome:ASM357664v1:6:21774131:21777890:-1 gene:itb06g18060 transcript:itb06g18060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRQPTNSFSTFGNGQSHNQNYISEQPFTATGRFAALGGGSIVHPGPGDVLASSEDQHWNSRGRVHQYHYSSSSMEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLVRLSKLPNF >itb06g18060.t3 pep chromosome:ASM357664v1:6:21773750:21777990:-1 gene:itb06g18060 transcript:itb06g18060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRQPTNSFSTFGNGQSHNQNYISEQPFTATGRFAALGGGSIVHPGPGDVLASSEDQHWNSRGRVHQYHYSSSSMEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLEVYEKKDEIGSLMKCGHDYHVSCISKWLSMKNACPICKSAALIMDDNNN >itb06g18060.t2 pep chromosome:ASM357664v1:6:21773750:21777476:-1 gene:itb06g18060 transcript:itb06g18060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRQPTNSFSTFGNGQSHNQNYISEQPFTATGRFAALGGGSIVHPGPGDVLASSEDQHWNSRGRVHQYHYSSSSMEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLEVYEKKDEIGSLMKCGHDYHVSCISKWLSMKNACPICKSAALIMDDNNN >itb06g18060.t7 pep chromosome:ASM357664v1:6:21773728:21776390:-1 gene:itb06g18060 transcript:itb06g18060.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLEVYEKKDEIGSLMKCGHDYHVSCISKWLSMKNACPICKSAALIMDDNNN >itb06g18060.t6 pep chromosome:ASM357664v1:6:21774383:21777108:-1 gene:itb06g18060 transcript:itb06g18060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRQPTNSFSTFGNGQSHNQNYISEQPFTATGRFAALGGGSIVHPGPGDVLASSEDQHWNSRGRVHQYHYSSSSMEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLVRLSKLPNF >itb06g18060.t1 pep chromosome:ASM357664v1:6:21773728:21777990:-1 gene:itb06g18060 transcript:itb06g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRQPTNSFSTFGNGQSHNQNYISEQPFTATGRFAALGGGSIVHPGPGDVLASSEDQHWNSRGRVHQYHYSSSSMEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLEVYEKKDEIGSLMKCGHDYHVSCISKWLSMKNACPICKSAALIMDDNNN >itb06g18060.t4 pep chromosome:ASM357664v1:6:21773728:21777890:-1 gene:itb06g18060 transcript:itb06g18060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRQPTNSFSTFGNGQSHNQNYISEQPFTATGRFAALGGGSIVHPGPGDVLASSEDQHWNSRGRVHQYHYSSSSMEAAHFPPQISGPPYVPFPQSSVAGNLCIPGSNVLHSRHHDRLTDEFDGNLADHPQCIQSGRFKRKRSCISGHCERGSSSRFYDVGSSSRSPGMLRPIQENENIPSNHTGFPHRSSSISINADESWRNVRSRYRCDSGHDADRSHMPNYTSIQYQSTTHPANYSGISNTRSLTVGSSTGDLNSVAYGRNSHPDSGGSTHETNPSYFGGGAADISAHHVGGFSSGNFNSSSHYVPGFAQDARESHTSYSGRILPPNGNNLSHPQLGYAAASTENGMHSSAEMFSFRHMRALAVEGRRNRPQHGRFGVTDERFRSLSSLADAHDRMRPEPYMFEQQSFSDGPRSLFDEYVGMRLDVDSMSYEELLALGERIGSVNTGLSEDMISKCITAKAFGSSNGEDKRCAICLEVYEKKDEIGSLMKCGHDYHVSCISKWLSMKNACPICKSAALIMDDNNN >itb01g03930.t1 pep chromosome:ASM357664v1:1:2599769:2605388:-1 gene:itb01g03930 transcript:itb01g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSVSFALVFWFFVAQISCSLAEPIIHSESSFPSNFVFGTSSSSYQFEGAFQSEGKGLSNWDVFTREAGHIVDGSNGDIAVDQYHRYQEDIDLMTNLGVDSYRFSISWSRILPKGKLGDVNNAGIRHYNRLIDALIQKGIQPFVALSHYDIPQELEDRYGGWLSPKIQEDFSYYADICFRYFGDRVKHWVTFNEPNIVAIRGYRSGIYPPLRCSGSFGSCEAGDSETEPFVAAHNMILSHANATSIYKTKYQGTQGGSIGIIMYTAWFEPFSNSSEDKSAAERAQSFLTNWFLDPIIFGKYPAEMRQILGSGLPTFSKDDLRMMKNGVDFIGINHYTSFYAKDCIFSACEQGPGVTKTEGRYLRTPSKDGVLIGEPTAIDWLFVYPQGMEEIVTYIKERYNNTPMFITENGIADLDNNPNSSTIVASSLNDFKRVEYMKGYLTSLAEAIRKGADVRGYFAWSLLDNFEWISGYTQRFGLFYVDYPTLRRIPKLSASWYKRFNSKQGPEPKNSS >itb01g03930.t2 pep chromosome:ASM357664v1:1:2601010:2605383:-1 gene:itb01g03930 transcript:itb01g03930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSVSFALVFWFFVAQISCSLAEPIIHSESSFPSNFVFGTSSSSYQFEGAFQSEGKGLSNWDVFTREAGHIVDGSNGDIAVDQYHRYQEDIDLMTNLGVDSYRFSISWSRILPKGKLGDVNNAGIRHYNRLIDALIQKGIQPFVALSHYDIPQELEDRYGGWLSPKIQEDFSYYADICFRYFGDRVKHWVTFNEPNIVAIRGYRSGIYPPLRCSGSFGSCEAGDSETEPFVAAHNMILSHANATSIYKTKYQGTQGGSIGIIMYTAWFEPFSNSSEDKSAAERAQSFLTNWFLDPIIFGKYPAEMRQILGSGLPTFSKDDLRMMKNGVDFIGINHYTSFYAKDCIFSACEQGPGVTKTEGRYLRTPSKDGVLIGEPVCISF >itb05g02060.t1 pep chromosome:ASM357664v1:5:1595571:1596305:-1 gene:itb05g02060 transcript:itb05g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQVLDASDNNLSGLIPECQVKLASLSVLNLKGNRYDQMPSTFTFAYNNLPSLNINGNRLKGKLSRSLANCKMLEILDLGNNMISDTFQFLLEKLPSLKILILRNNMFYGQVEIPKTKFVLPSLRIIDLSSNNFTGKLSTYFLQSLSAMAMGEEHKLLSSLIGKYDSYYHDSVTIMNKGYEMVLVKILTIFVALDLSNNKFHGNVPKEIGELKSLIVLNLSRNAFICNTVISMVQSTLHLQH >itb11g22950.t1 pep chromosome:ASM357664v1:11:24826144:24826941:1 gene:itb11g22950 transcript:itb11g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFSLFILTLVSFTCFLPAAAAAASALAHMSPATISDEVPVNPRNVKTQDFPTEGYKSEENPASSAAPSASDVGIPAILPSSHPINRGGLIETNKAASISPEAPKTSAGVFISTHRKLMTSAVEPDSPQSQGYDMSDDLTPAPISPESPGNDMSAAAPGLARSSAKLILFMFSLQIVHYYYCNAMQWPGC >itb03g13610.t1 pep chromosome:ASM357664v1:3:13687394:13691029:-1 gene:itb03g13610 transcript:itb03g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKGETTKKTQHHAHLSASSDEPADQDPAEDPPQAVVSGASHYVSPTLYIPAESVNPKRPRYAVAASASQWKPIPSSSSQPKPSMLSSESTPSPSANPQPAHGHAAASSSNTASSPSHSPRPSHSGQEANKPSEMEHFPQQHHQFRKGKYVSPVWKPNEMLWLARAWRVQYQGGSSELQGEGGEVTTVTPPGRGKTRADKDREVAEFLNRHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGEREQMGKSYFRLSPYERKIHRLPASFDEQVFEELSQFMGPRMRTPQTTRGGVAQLISTGDALMPPKSLPPPHPTFRDDELSQLPGARAAKQLVLASGEGIIHGGTRGMLGFEASMEGGAVGVGASLSSSSSTKQVRRIGKIRMIWEESVSIWAEEGEHHRGRVKLPGSSFLNADELAFLDDSMVASTMETFEDGPLKGFSVDRFISGQHLKVFGRRKPSLPPPTASNERFQLHSMEPSIRSITPWEFQDPTEYYVGCLRVPPPSLPCLFELSWYLQEPPPEDLRFPLRKDVYRDLPQGKELFFTTSTELLDCRGISFDVLSSVMRSNPSLCASTSACRDSFIGLWDDCINRIVSKFCSQEMVFIRKSSSSLAETVEDQWPNVTAFIRNFCLWRGEETDQLREGQLDPSSTLVEKIMWTYADVPYVLGYYAVGFVVTFCALSRLQDRIIRTDLYTVDLSTPSERLKALVPCWRIAGVLPLLADRCSVGIGNGYNKVLPYGDFERSDLGNGNIIEMTPNSVVRYFSSRRKWAAIKEIYDFLDHRIPHAEFIVRSSEKDLALVLKPRGCRFRPGNCDQLVEALKHVTKALVALHDLSFMHRDLGWDKVMRRSDREDGEWFVTGFDEAVGAPQINAHGGAAASGRHAPEMGRGLHGVKVDVWGVGQLVKTSGLVGVPKLLRELQNRCLDHNPEQRPTAADCYHHLLQLQSSMSSAASGGY >itb13g21590.t1 pep chromosome:ASM357664v1:13:28167407:28169651:-1 gene:itb13g21590 transcript:itb13g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVICHRYILLSGISLVIIITICSFGLQWRETGKFLHKPQGDINNRTTNVKLDKAYKSHRKILVHGTCTIKDISISQSTYSTNGIPQFVVEIVNTCFSGCAPSNIHLHCDWFASARIVNPNTFKRLSYDDCLVNEGKPLKTSQMIRFTYSNTFKYPLAFKSAKFC >itb04g18190.t1 pep chromosome:ASM357664v1:4:21565115:21566511:-1 gene:itb04g18190 transcript:itb04g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQLQNDKILHIGEDDVQRVFGMPRGKTNVTDRDPNEVTRTLLEWRGSFLTTKNFITPTMVSEKLGEYPEGCEIFKRHFSVLVVTTLIRAMQNGYCHQLFVDDLDDVARIPNLNWCKYLLTNLVDTHDKWKRGKHKRFSGPLLFLTVLYMDRVTYNGNRVPRQVPRIKGWTCRIMRDRQFSKIRMGGFGTGELLEADLENQDEPAPCEEVRNFEEPASEKMTMLKAIVFDLKKLLEKTDDATVKEGMVREIQQTTDALVGMMDTEPPKDTTSPESNEGTDS >itb03g15790.t1 pep chromosome:ASM357664v1:3:15006636:15010314:-1 gene:itb03g15790 transcript:itb03g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEAYKQQRNGPKRVGRLVDPRASHNAHLTSLPLSHAEFDFLCKLGDWILIPREAMVEMELTKIEAEPPPAAVAEEGEEESMLLLESDGMLVYHGGGVGESLSGNIHSFNVDQMDVEKSGSSHQDGQDSWLQAGIVLTTGLNSAYALGFAGTVMVPLGWIGGVVGFVLANAISLYTSCLLAKLHEFGGKRHNRYRDLAGFIYGKTAYSVIWGLQYTNLFLVNVGYLILGGHALKAFYVLFSDDHQMKLPHFIAIAGVGCALFSIGIPNLSAMRAWLWVSTLLSVVYMCATFILSLKDGINSPPRDYAIPGTTASKAFTIICGVGNVLLVFNSGMIPEIQATVRPPAVKNMMKALYFQFTLGVLPMFAVTFVGYWAYGSSSSSYLLSNVHGPTWIKAVSNMFAFLQAIISMHIFASPTYEFFDSKFEIEGSVLVPRNLAFRTALRGGYIALTAFVSALLPFLGDFMSLASALSVLPLTFVVSNHMYLVAEEGKLSSLQKRWHWLNVGLFSCFCVVMLVAAIHLIALDSKTYSVFADL >itb10g00610.t1 pep chromosome:ASM357664v1:10:391484:395099:-1 gene:itb10g00610 transcript:itb10g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQAISNVFVYGSLMADDVVRVLLNRVPPSSPATLHNFHRFSIKGRVYPAIVPVENKKVDGRVLQGITLPEMDILDNFEDVEYERRTVDVALTDSSKILQADTYVWVDKNDPNLYGEWNLEEWKILHMKDFMKMTMGFMEELEQADSKTRVATYESFYNQEGKN >itb15g09310.t1 pep chromosome:ASM357664v1:15:6589344:6591440:-1 gene:itb15g09310 transcript:itb15g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLINMAKKWQKFVIIRRKRISFPKQNEEADSCSTSLAVNKGHFVIYTADQKRFVIPLSFLDNKIIRQLLEMSEEEFGLPSDGPITLPCDAVFMKYIVSLLSREGKPIGGRGFAEEEAGALGSDGNGDETVNAA >itb02g03330.t1 pep chromosome:ASM357664v1:2:1914215:1914586:1 gene:itb02g03330 transcript:itb02g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVKLVRTAPVTVTGRRRFQAVGISIGAPARNRSFWYFLFAIIEVVSYVVRRHVSVTVGGSYERQMGEAKFGVHAVSHGRDETSSICHVTAVEPISKWCVAQLAPLHHNPVMRLPFKTLNAF >itb12g09810.t2 pep chromosome:ASM357664v1:12:7804810:7808149:1 gene:itb12g09810 transcript:itb12g09810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKILMQHSKGQYPFLDAVCYADNNEDIDPSGPNSLYSLAKSVEKTKRGSKVVGGGLRQYSNMVCMKVKDKGRTTYSEVADEIIADFRATEVNSSVSMNESDEKNIRRRVYDVLNVLMALDIVTKDRKEIQWKGLPTSAGVDMDEIKGMRAKLKDEINKKAAYLKDLEDQIAGHQALICRNQQLYDSKNGPPKGFPLPFLVVKTAPHATVEIEISEDMQLVHFDFNSTPFSLHDDAYVLKLMRHYRLLEGAQASQTSAVLSSSPCSDVDSSVGGRR >itb12g09810.t3 pep chromosome:ASM357664v1:12:7804810:7808149:1 gene:itb12g09810 transcript:itb12g09810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKILMQHSKGQYPFLDAVCYADNNEDIDPSGPNSVEKTKRGSKVVGGGLRQYSNMVCMKVKDKGRTTYSEVADEIIADFRATEVNSSVSMNESDEKNIRRRVYDVLNVLMALDIVTKDRKEIQWKGLPTSAGVDMDEIKGMRAKLKDEINKKAAYLKDLEDQIAGHQALICRNQQLYDSKNGPPKGFPLPFLVVKTAPHATVEIEISEDMQLVHFDFNSTPFSLHDDAYVLKLMRHYRLLEGAQASQTSAVLSSSPCSDVDSSVGGRR >itb12g09810.t1 pep chromosome:ASM357664v1:12:7804810:7808149:1 gene:itb12g09810 transcript:itb12g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKILMQHSKGQYPFLDAVCYADNNEDIDPSGPNSVEKTKRGSKVVGGGLRQYSNMVCMKVKDKGRTTYSEVADEIIADFRATEVNSSVSMNESDEKNIRRRVYDVLNVLMALDIVTKDRKEIQWKGLPTSAGVDMDEIKGMRAKLKDEINKKAAYLKDLEDQIAGHQALICRNQQLYDSKNGPPKGFPLPFLVVKLRLRFLRICSWSTSTSTAHLSLCTMMLTF >itb12g09810.t4 pep chromosome:ASM357664v1:12:7804880:7808116:1 gene:itb12g09810 transcript:itb12g09810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKILMQHSKGQYPFLDAVCYADNNEDIDPSGPNSLYSLAKSVEKTKRGSKVVGGGLRQYSNMVCMKVKDKGRTTYSEVADEIIADFRATEVNSSVSMNESDEKNIRRRVYDVLNVLMALDIVTKDRKEIQWKGLPTSAGVDMDEIKGMRAKLKDEINKKAAYLKDLEDQIAGHQALICRNQQLYDSKNGPPKGFPLPFLVVKLRLRFLRICSWSTSTSTAHLSLCTMMLTF >itb11g16270.t1 pep chromosome:ASM357664v1:11:14246116:14246856:1 gene:itb11g16270 transcript:itb11g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIVASSRNLRPNGLKTGCVPQFLVGLVVCIWLVYQITQPQHGSVRTKISVDEGVHSLGRKQTAGQLNVGGENLDMSNGEGESSSSTEGEGENIQVDLQGGGKRGEIAYSDETNKNNQGSSSNKGNLQSAKRGEIAYSDETNKNDEDGKRVEIAYSDETNKNDQGSSTSKVSENLLETVTSYRGSDGKDVSQDKDEPAIDQEGEENSAATQNITIRSQYDVFSDENGIPKEMRDGSSLNDQSESS >itb09g24250.t1 pep chromosome:ASM357664v1:9:23880610:23886689:-1 gene:itb09g24250 transcript:itb09g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPKYPPVLGTVFHQLLYFNRLFDHQTEAARKHSTFRLIGPGQSEIYTTDLQNIEHVLKTNFDKYAKGKYNQDVIRDLFGTGIFAVDGEKWRQQRKVASFEFSTRVLRDFSCTVFRRSAVKLVRKVEEYSQANQVFDMQDLLMRSSMESIFKVGFGVDLNCIEGEGEGSSKEKSIMFMKAFDDSNELTYWRYVDPFWTLKRYFNIGSEASLRNNIKIIHDFVDKLITARRKQLDSDVKNEKEDILSRFIRESKKDPETMTDEYLRDIILNFVLAGKDSSANTLSWFFYMLCKNPLVGEKLDREIEEAFGNLKEKASSSVEDCIASITDDEVLQKMPYLHATLTETLRLYPAVPVDGRCAETDDVLPDGFKVKKGDGVYYMSYAMGRMPYIWGDDAEEFRPERWLKDGIFTPESPFKFTAFHAGPRICLGKDFAYRQMKIVSIALLHFFRFKLSDDSKKVTYRTMFTLHINGGLHLTAVSRRN >itb06g04980.t1 pep chromosome:ASM357664v1:6:7732185:7737852:1 gene:itb06g04980 transcript:itb06g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDWDTTATSFDVHPQRNYDISPMEASGSTSPLHSTTALTLSRVSSVASFWYFGNGKSMMLANCMFRSGGCFILLRNHDNLSSKSIMKLKCAVRTHLGANEEAFSCCSALLARESLRLSTLYRCLYRSLSVKTPTERNFRFNSMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >itb06g04980.t2 pep chromosome:ASM357664v1:6:7732185:7737852:1 gene:itb06g04980 transcript:itb06g04980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDWDTTATSFDVHPQRNYDISPMEASGSTSPLHSTTALTLSRVSSVASFWYFGNGKSMMLANCMFRSGGCFILLRNHDNLSSKSIMKLKCAVRTHLGANEEAFSCCSALLARESLRLSTLYRCLYRSLSVKTPTERNFRFNSMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLRY >itb01g12990.t1 pep chromosome:ASM357664v1:1:12662347:12668355:-1 gene:itb01g12990 transcript:itb01g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLTGCQTCTVLLLVSRCFMEYQVLAEFLRLLKISGDSSIEAPLLQYLSIMIQNIQTEHAIYYCLSNDYINNIITHCYEFSDGDLAYYYVSLLRVMSGKITRETLCLLVKAHQQNCRTSHLETVISFPLYTEALKFAHHEEKMIQTAIRTVTLNIYNGTVCDDMVYQFITTPPVSDYFSDLVLYLRKRCFHLDKIVHDKKEAWNFERSKLVLESDEITNDLYYFQDMLSVGEPRLSKIVTQNIFSLLVFPTLLPSLYLRDTNDMNKCISATTSLYLLSQIIQILKGTNIIHFICALFLYIPIFSSMKESMESVAADTTNQTHVFERYVSELDQTVQLQCDGANDIRRNLMLKQLLECMSSNSGFISFSPGNMLQKSEMLHLRILRSSRHEPESLTPGKRKDSNDEIIHNVCSNSHCLMLASLMLLVGLLESKDIDPELAAITAIPEIKSRIRKMLLEILASRTSVQLQLEAAWVLRRLLLVSVDKNLEDNELQLFKTSCELSTEHLKKELDECWFDYIQDTLKNEWTSCKKALEQPLQSKDPFFVLELSFGQNTTSICPTSALAWQHMVDAVKGFIIHHQLKSYIFSGYSFDNALANLKDSFSRETNGSDLSRGSFGSELSLGSAIPCKIAFSKTGTRDVYMMPLATGKSGKLILLEPHPLYSRKGVVIAIAPLAGLNLSIVENQPTWLLLRLRDTKPRFSSIQTRGHDSSPCIREPGNRWILRFPDAQVCNTAYAMVLKEINKQRSVVEGMLTTFLQNIPS >itb01g12990.t2 pep chromosome:ASM357664v1:1:12662347:12668355:-1 gene:itb01g12990 transcript:itb01g12990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLTGCQTCTVLLLVSRCFMEYQVLAEFLRLLKISGDSSIEAPLLQYLSIMIQNIQTEHAIYYCLSNDYINNIITHCYEFSDGDLAYYYVSLLRVMSGKITRETLCLLVKAHQQNCRTSHLETVISFPLYTEALKFAHHEEKMIQTAIRTVTLNIYNGTVCDDMVYQFITTPPVSDYFSDLVLYLRKRCFHLDKIVHDKKEAWNFERSKLVLESDEITNDLYYFQDMLSVGEPRLSKIVTQNIFSLLVFPTLLPSLYLRDTNDMNKCISATTSLYLLSQIIQILKGTNIIHFICALFLYIPIFSSMKESMESVAADTTNQTHVFERYVSELDQTVQLQCDGANDIRRNLMLKQLLECMSSNSGFISFSPGNMLQKSDEIIHNVCSNSHCLMLASLMLLVGLLESKDIDPELAAITAIPEIKSRIRKMLLEILASRTSVQLQLEAAWVLRRLLLVSVDKNLEDNELQLFKTSCELSTEHLKKELDECWFDYIQDTLKNEWTSCKKALEQPLQSKDPFFVLELSFGQNTTSICPTSALAWQHMVDAVKGFIIHHQLKSYIFSGYSFDNALANLKDSFSRETNGSDLSRGSFGSELSLGSAIPCKIAFSKTGTRDVYMMPLATGKSGKLILLEPHPLYSRKGVVIAIAPLAGLNLSIVENQPTWLLLRLRDTKPRFSSIQTRGHDSSPCIREPGNRWILRFPDAQVCNTAYAMVLKEINKQRSVVEGMLTTFLQNIPS >itb05g22690.t1 pep chromosome:ASM357664v1:5:28015477:28020761:-1 gene:itb05g22690 transcript:itb05g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYSNNKTPSDADENLLTCLADLFMQISSQKKKTGVIAPKRFVHRLKKQNEIFRSYMHQDAHEFLNYLLNELVDILEKEYTAAKNERETSSPPEKIANGPKSVHASGAQKEPLVTWVHKSFQGILTNETRCLCCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLTNTVEDADAEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYERVDIASISS >itb15g03220.t1 pep chromosome:ASM357664v1:15:2042816:2046157:1 gene:itb15g03220 transcript:itb15g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSELRYYEDDDTPMMKTIKGAATGLAAGSLWGTIVATWYDVPRVERSVALPGLVRALKMMGNYGMTFAAIGGVYIGVEQLMQNYRMKRDFINGAVGGFAAGASVLGYKGKSISTAISAGAALAVTSALIDAGGQTTRVDNGKEYYPYTTKKKAHAE >itb01g07510.t2 pep chromosome:ASM357664v1:1:5928357:5930136:-1 gene:itb01g07510 transcript:itb01g07510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDFVVGKLNEQAFQEVIFQWGIKAEVEKITDLLANMKGYIEDSDKGKQDTKVAESWATQLRDTTLQLEDLVEEFMLDSKLLELNTPPFNFCEFKSLFANVQSFAKRVKIQCRFHQQLKAMDKKLLALETDKSKYAIKLKTDNDGRNEVLMGSGSGYMEVIEAVGIDMEVNRIAELIEKRSGQVKLITIWGAGGGGKTTLAKQVHERVKNDGSIDYCWWVDVNHSSDIEYVLMTTINGVYKSVGTKPPAELEKADRNSLQQHILDYLEGKRYVVFFDDVWDHTLLAKIKLPGDRASSIIVTSRDKNIAKGSFLGAAPHYVEVKPLEFDLACSLFCELALGSHSWPNGLEEAGKALVEKCSGLPVAILAMARLMSTKGDDPSKWRDALKSLDYYSQEFESKDSQEFESKAGGSLTSLNRALLLSYYELPTHLKSCFLYCAMFPKTYDIDAQMLIRMCIAEGFINDDTQSGRTLEHIARDYFLQLKNRSLLQIVPNKYNNSKQLGTMIMHDLYRDVACEVIRREMFAEIIILEGSTKLE >itb01g07510.t1 pep chromosome:ASM357664v1:1:5928357:5934152:-1 gene:itb01g07510 transcript:itb01g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYIEDSDKGKQDTKVAESWATQLRDTTLQLEDLVEEFMLDSKLLELNTPPFNFCEFKSLFANVQSFAKRVKIQCRFHQQLKAMDKKLLALETDKSKYAIKLKTDNDGRNEVLMGSGSGYMEVIEAVGIDMEVNRIAELIEKRSGQVKLITIWGAGGGGKTTLAKQVHERVKNDGSIDYCWWVDVNHSSDIEYVLMTTINGVYKSVGTKPPAELEKADRNSLQQHILDYLEGKRYVVFFDDVWDHTLLAKIKLPGDRASSIIVTSRDKNIAKGSFLGAAPHYVEVKPLEFDLACSLFCELALGSHSWPNGLEEAGKALVEKCSGLPVAILAMARLMSTKGDDPSKWRDALKSLDYYSQEFESKDSQEFESKAGGSLTSLNRALLLSYYELPTHLKSCFLYCAMFPKTYDIDAQMLIRMCIAEGFINDDTQSGRTLEHIARDYFLQLKNRSLLQIVPNKYNNSKQLGTMIMHDLYRDVACEVIRREMFAEIIILEGSTKLE >itb10g18980.t1 pep chromosome:ASM357664v1:10:24779892:24780998:-1 gene:itb10g18980 transcript:itb10g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSSFNRRNPLVPVTPPARSPESSDEFENLTDDDGDDRMADDSAFVPDTTPEGLEADGTTVMKAISVEDYKSKSVIMGSLVAESSRYGVNRAVIDFSRGEGTLGVPRVVIDLTGDNSDDEIQIREVMPAPAPARIKSECSNSKAVCIDAMETSVSSFICEICCDAKPMSDIFRIKACKHSYCSDCVSKFVASKLQQNFSQINCPVSGCTGVLEPHNCRSILPPQVFDRWGDALCEGLLLASEKFYCPFKDCSALLIDEKMEVVESECPECHRLFCAKCKVPWHSGIACSEFQKLHESEREKDDILLVNVANEKQWMRCPNCRVYVERVSGCPFMMCRLDHFSTVCLFIIFIHWIDYNMFRPSLHFA >itb11g07960.t2 pep chromosome:ASM357664v1:11:5033915:5039209:1 gene:itb11g07960 transcript:itb11g07960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQQQLQQKPESTADDARVEFERGLEELMRGHLDDCISFTSCSSVRDTEDEDDESDQLVSRRRRSAAAGGELGESSAARRCHSRILSRWAARHAQEMITTMERRNRESELMALTRLHTVSMLDSSFLRESQSPTSRRQGDGERISSRASTIMRMWRELEDEHMLNRARERVRERLTHQRSVDSDANMSSMNMSESRESDNQGSFTDATECENDYGTWSHDPIGQQNENIEHDSSSREESPDVGEVERERVRQIVHGWMESGITDTSSNVAQRNGSPRGEWLGETERERVRIVREWVQMTSQQRASNGGRREEQTNGLGADTDREGSIADHEEGQPEHVRRDMLRLRGRQALLDLLVRIEGERQRELQGLSEHRAVSDFAHRNRIQSLLRGRFLRNEMPVEEERTPSVAASELVQLRQRHTVSGLREGFRSRLENIVRGQVSSNSEPPSDSTNNDSQNHQNRINPSLRVQNENLEHLHPREQESNPHRLHEHRESIDVSTTSIDQSPSQQTARDQDRVWVEQVTESDGGDQEDLTSDESTRWTHETVENTSTNLNANSVTTRPLETAGDASGDEQPLPEAQEVWHEDGSREAVENWSEGLSDPPRVRRSAPFRRFNRFHPPEDENVYSMELRELLSRRSVSNLLRSGFRESLDQLIHSYVERQSRGPIDWDLHRDLPIPASPERDQDHQSNEQNEDQLDDTGRPSLVLPSPPVPPPQPAWHQDMHHSGWARHSVHRSELEWETINDLRADMAKLQQGMNNMQRMLEACMDMQLELQRSVRQEVSAALNRSSGGQGATDASMDGSKWGHVRKGTCCICCDSHIDSLLYRCGHMCTCSKCASELVGSGGKCPLCRAPIVEVIRAYSIM >itb11g07960.t1 pep chromosome:ASM357664v1:11:5033889:5039209:1 gene:itb11g07960 transcript:itb11g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQQQLQQKPESTADDARVEFERGLEELMRGHLDDCISFTSCSSVRDTEDEDDESDQLVSRRRRSAAAGGELGESSAARRCHSRILSRWAARHAQEMITTMERRNRESELMALTRLHTVSMLDSSFLRESQSPTSRRQGDGERISSRASTIMRMWRELEDEHMLNRARERVRERLTHQRSVDSDANMSSMNMSESRESDNQGSFTDATECENDYGTWSHDPIGQQNENIEHDSSSREESPDVGEVERERVRQIVHGWMESGITDTSSNVAQRNGSPRGEWLGETERERVRIVREWVQMTSQQRASNGGRREEQTNGLGADTDREGSIADHEEGQPEHVRRDMLRLRGRQALLDLLVRIEGERQRELQGLSEHRAVSDFAHRNRIQSLLRGRFLRNEMPVEEERTPSVAASELVQLRQRHTVSGLREGFRSRLENIVRGQVSSNSEPPSDSTNNDSQNHQNRINPSLRVQNENLEHLHPREQESNPHRLHEHRESIDVSTTSIDQSPSQQTARDQDRVWVEQVTESDGGDQEDLTSDESTRWTHETVENTSTNLNANSVTTRPLETAGDASGDEQPLPEAQEVWHEDGSREAVENWSEGLSDPPRVRRSAPFRRFNRFHPPEDENVYSMELRELLSRRSVSNLLRSGFRESLDQLIHSYVERQSRGPIDWDLHRDLPIPASPERDQDHQSNEQNEDQLDDTGRPSLVLPSPPVPPPQPAWHQDMHHSGWARHSVHRSELEWETINDLRADMAKLQQGMNNMQRMLEACMDMQLELQRSVRQEVSAALNRSSGGQGATDASMDGSKWGHVRKGTCCICCDSHIDSLLYRCGHMCTCSKCASELVGSGGKCPLCRAPIVEVIRAYSIM >itb04g27260.t1 pep chromosome:ASM357664v1:4:31302321:31305877:1 gene:itb04g27260 transcript:itb04g27260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGMQTLFSHGNVLKAAVLRHVRLASPVMRPLAVRLESTSAARMEEHGFESTTISDIMKSKGKSADGSWLWCTTEDTVYDAVKSMTQHNVGALVVVKPEGDKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPQTKVLKAMQLMTDNRIRHIPVIDDSGMLGMVSIGDVVRAVVSEHREELNRLNAYIQGGY >itb03g14950.t1 pep chromosome:ASM357664v1:3:14457714:14462023:1 gene:itb03g14950 transcript:itb03g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQVARGEEFEKKAEKKLSGWALFSSKYEDAADFFEKAANCFKLAKSWDQAGATYVKLANCHLKLDSKHEAASAFADAGHNYKKTNTREAVSCLEQAVNLFLENGRLNMSARYYKEIAEIYEQEQNLEQAIAYYEKAADLFLSEDVSTTANQCKQKIAQFSAQLEKYPRAIEIFEDIARQSVNNNLLKYGVRGHLLNAGICQLCKGDVVAINNALEKYQDLDPSFSGTREFKLLSDLAAALDEEDIGKFTDAVKEYDSMTQLDAWRTTLLLRVKESIKAKELEEDDLT >itb09g04520.t1 pep chromosome:ASM357664v1:9:2528579:2531121:1 gene:itb09g04520 transcript:itb09g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNTQSNNKPNSTTASQPFNHQHGGARSAPFISSISIHAAAAGRVLGGGNNTSGTTGSGSGSSSSSSTSASTASAAAPVEPPRLVDASLAIATRSEALLDPSKKPQPPPSSAAQQLAKRSTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNISLRSSGSTLSAPPSKSAPHSFHNTLALAAAHHPPAHPFEEGFSHMLGFHQTPHFLTANQIADTISGGGDDGGGGGGRGQETTENYLGKRYREDLFKDEGSSNPQGESPGAGAGAGTGNSDSPSNKQFKPASMNLPKPIQETTAGPSSTMFRHTNMMPAAAMWAVASGGTGGAAAGTFSMLPVGPVASTSGSEPGQMWAFQTSTGNTLQAPLHFMSRFNLPGNLDFPASRATPLQLGSMIMQHQQQQQQQQPSQQLGLGMPDGNLGMLAAFNAYPRTGLNMNSDHHQTGRHPLEHHQQHHQTPDDSADDDPNTSQ >itb01g16610.t1 pep chromosome:ASM357664v1:1:21024498:21025791:-1 gene:itb01g16610 transcript:itb01g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCNTTTSSPRISFSADFLDGDEEEEEKDFISISPNWEKEKEKVRNVAADFEFLSSKKNSESMITADELFFEGKLLPFWQMQQQCEKLSKMSLKLEKHGGGGGEEAEMEEQISKSKEENSRAVSWFLDDDPSPRPPKCTVLWKELLRLRKQRSSSSLSPSSSTSSSSSSGSSLSGGDENKERQQGRDKNVKMRVKKGLERTRSATIRVRPVINVPICTQGKTTSALPPIFSSFKKASKLER >itb07g15930.t1 pep chromosome:ASM357664v1:7:19083028:19087381:-1 gene:itb07g15930 transcript:itb07g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKDIISELPDDVKDKILECLPIREAARTALLSTHWKHVWLRHGRLVFVIDFLECLRKREGDKPVELLNIVNHILLHRAAPVKKFTLCISCPELEAPKPQQHWCRYLSRNGIEELNITISEKQYKLSSCIIPCRTFKQLKPGEVRACAQLEEAKERVGGGGKRRARDCKEDRGDI >itb06g13580.t1 pep chromosome:ASM357664v1:6:18249402:18252337:-1 gene:itb06g13580 transcript:itb06g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVMSSLSLKPNTPLRVDTPAARGLPSLARRSSLTIKASGGKKIKTDKPYGVNGGMNLRNGLDASGRKPKGKGVYQYTDKYGANVDGYSPIYDEKDWSPTGDVYVGGTTGLAIWAVTLVGILAGGALLVYNTSALSQ >itb01g35070.t1 pep chromosome:ASM357664v1:1:37480207:37488739:1 gene:itb01g35070 transcript:itb01g35070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYSMVVVDVIEEEAVAIEGIKIPVDTSKPNPNNIEFDNLYLDMNGIIHPCFHPEDRPSPTTFEEVFRCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEKEGRKLPPKQESQTFDSNVITPGTPFMATLSIALQYYIHLRLNYDPGWRNIKVILSDANVPGEGEHKIMSYIRGQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFAILREVVFTPGQQDKCFLCGQMGHLAADCQGKAKRKAGEFDEKGDTEVVAKKPYQFLYIWTLREYLEYEFRIPNPPFEIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRFMGGYLTDGSKPNLACVEHFIQAVGSYEDKIFQKRARLHQRQTERIKREKAQKKREDSVPEVEPESLVPVARFHGSRLASGPSPSPYQQGFRSDQKLRSGNSHDSHTHNHATKVARLASAATVGAAIVEAENSLEIEVRDNKEDLKTKLKELLREKNDVFNSENPEEDKVKLGDPGWKERYYEDKFSAKTPEEMEEIRKDVVMKYTEGLCWVMHYYYEGVCSWQWYYPYHYAPFASDLKELAGLNISFELGTPFKPFNQLLGVFPAASSHALPEHYRRLMTDPNSPIIDFYPTDFEVDMNGKRFSWQGIAKLPFIDEARLLAEVAKVEHTLTDEEVRRNSMMLDMLFVSLSHPLSPYIFSLDHRCKQLTEKERVEAKEPIDPGASGGMNGYLSLCGGDPCPPVFRSPVQGMEDIMDNQVICAIYGLPNDHKHITRPPNGVIFPKKTVTFGDMKPEPVLWHEDSGRRPRENGRDNTGAMTGRQLGEAAHRLVANSLQIKADRGDYGDHRYGPPTSYPGAYGPPLQSYQTNRYNGHEQQRFVPNSSYSARDAGGYQRPSNSTVSQHHRYDDNYSQTNAYSGSHHAYRRPNPHHERIDQSVAQSRDYHRHGYYAPGLQQNGGHPYHSGATQHQHVHSTPHPPPTHIPNHRGYNHQPYESGSYNHWGSGQGPHSNPGGGRGYNYPHQSSNQYAALNRGSNRRPPSSDYRH >itb02g20880.t1 pep chromosome:ASM357664v1:2:19195915:19198032:-1 gene:itb02g20880 transcript:itb02g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECLVLRGTMKAHTDWVTAIATPIDNSDTIVSSSRDKSIIVWSLTKDGPQFGVARRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLQAGTTARRFVGHTKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIQDADGHNEWVSCVRFSPSTLQPTIVSGSWDRTIKVWNLTNCKLRSTLAGHTGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSIIHALCFSPNRYWLCAATEASIKIWDLESKSIVVDLRVDLKQESEMSAEGTTTAAKNKIIFCTSLSWSADGSTLFSGYTDGVIRVWGIGRY >itb12g16200.t3 pep chromosome:ASM357664v1:12:16724919:16727400:1 gene:itb12g16200 transcript:itb12g16200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDEVAAFPQKRTPRLNERILSSMSRRSVAAHPWHDLEIGAEAPKTFNVVIEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCYLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDISQLPPHRLAEIRRFFEDYKKNENKEVAVNEFLPSDTAFEAIQYSM >itb12g16200.t2 pep chromosome:ASM357664v1:12:16724919:16727400:1 gene:itb12g16200 transcript:itb12g16200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDEVAAFPQKRTPRLNERILSSMSRRSVAAHPWHDLEIGAEAPKTFNVVIEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCYLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDISQLPPHRLAEIRRFFEDYKKNENKEVAVNEFLPSDTAFEAIQYSMDLYAEYIMYNLRK >itb12g16200.t1 pep chromosome:ASM357664v1:12:16724919:16727400:1 gene:itb12g16200 transcript:itb12g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSDEVAAFPQKRTPRLNERILSSMSRRSVAAHPWHDLEIGAEAPKTFNVVIEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCYLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDISQLPPHRLAEIRRFFEDYKKNENKEVAVNEFLPSDTAFEAIQYSMDLYAEYIMYNLRK >itb02g05390.t1 pep chromosome:ASM357664v1:2:3244014:3248354:1 gene:itb02g05390 transcript:itb02g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MESRDKSNNNRQIQRAVCYSVAERRHDSSPSVIVIGAGFAGLAAARALYDASFQVVVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCDENPLASVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQELVSEIGVTFEEILKETDKLRQEFTEDMSIQRAVSMVFERRPDFRMDGLRHKVLQWYLCRMEGWFAADADTISLKSWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVKKVVRRYNGVKVTVEDGRTFVADAAIITVPLGVLKSNQISFEPRLPEWKETAINDLGIGIENKIVLHFGDVFWPNVEFLGIVADNTYKCSYFLNLHKATGHPVLVYMPAGQLARDIEKMTDEDAAMLAFGELKRILPNASEPVQYLVSHWGTDINSLGSYSYDLVGKSHDLFERLRVPVDNLFFAGEATSLNYTGSVHGAYSTGLMAAEDCRMRVLERYGELDLFHPVMGEDTPVSVPLLISRM >itb15g22280.t2 pep chromosome:ASM357664v1:15:25045198:25050705:1 gene:itb15g22280 transcript:itb15g22280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPGTITCKGVVAWQKGEALKVEEIQVDPPKSSEPLFPRVLGHEGVGRIESVGENVTDLKEGDLVITLFLGECKECFNCKSGKSNLCLKYPWLSSGLMPDGTPRISIRGQTLYHSFSCATWSEYAVSDANYVAKIDPRLPLPHASFLSCGFTTGFGAPSRAIHVEKGSSVVVLGLGTVGLGVIAGAKEQGATKIIGIDINDFKREKGEAFGMTDFINPKNSDKTISELVKDVTEGLGADYAFECTGVPALLNQAIDATKVGSGTTVVIGAGLDETWKISVASILFCGRTFKGSIFGGVRVKSDLPSIMDKCITKKIQLEKLLTHEVSLEETSKAFEMLKQPNCVKVLIKI >itb15g22280.t1 pep chromosome:ASM357664v1:15:25045081:25050727:1 gene:itb15g22280 transcript:itb15g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPGTITCKGVVAWQKGEALKVEEIQVDPPKSSEVRIKMLFSSLCHTDILFHNGFPIPLFPRVLGHEGVGRIESVGENVTDLKEGDLVITLFLGECKECFNCKSGKSNLCLKYPWLSSGLMPDGTPRISIRGQTLYHSFSCATWSEYAVSDANYVAKIDPRLPLPHASFLSCGFTTGFGAPSRAIHVEKGSSVVVLGLGTVGLGVIAGAKEQGATKIIGIDINDFKREKGEAFGMTDFINPKNSDKTISELVKDVTEGLGADYAFECTGVPALLNQAIDATKVGSGTTVVIGAGLDETWKISVASILFCGRTFKGSIFGGVRVKSDLPSIMDKCITKKIQLEKLLTHEVSLEETSKAFEMLKQPNCVKVLIKI >itb04g27140.t1 pep chromosome:ASM357664v1:4:31223455:31227676:-1 gene:itb04g27140 transcript:itb04g27140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFAAIFLLLFFLFGADHTVYSSSAAAVAVRNRRVLHQPFFPVDSTPPVPSPPAPTTPDIPFSTPAPANTPFFPSFSSPPPPPVPSAFATLPGNTASLSIPRASESKSVSSKLVATAVACVIAAVVVLSVAGFLHFRKKRRGLSPSESKTHRSDSSSRYNFGNNAGSGSNPVVPKLGRPSQPSSEFLYLGTMVNSHGGIESHVQHHVPSSTTTSATTSRKMDSPELLPLPRLHGGCFSQNYTNVERELVGDEEAEEFYSPRGSSGGRENSTGTGSASRRGFAAIDAENFGGSSSSSSSCSSSSSGSGSPRRSVSLSISPPQSLSPKSSIPKSPELFAVQTLPRAPAPPLPARFQMQSVNARDSQSPSPTSSFSPERDSVRSMDSSPRISGIWDQNIDSSLRINSRNLKSPGKTSSPSLDTPVRVSSGGMESPASASSPGVEFPVRTSTPLQPRLPVSAPQPPVPPPPPPVPPISILSRPPPPPPPTKRLQTPIAPISQSPQLKSQPPLMMAPLNPIGTAESFSSDNVDEKNEGTPKPKLKPLHWDKVRASSDRETVWDQLKSSSFKVNEEMIETLFIVNTPNSKPKETTPRSILPSPSLEHRVLDPKKSQNIAISLRALNVTIEEVCEALLEGNADTLGTELLESLMKMAPTKEEERKLKECTDDSPFKLGPAEKFLKSVLDIPFAFKRIDAMLYISNFDSEVDYLKKSFETLEAACEELRSSRMFLKLLEAVLKTGNRMNVGTNRGEAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRSEGARLSTANKDEKPTLNDDVKCRKLGLQVVSSITSELTNVKKAATIDSEILHSDVLKLSNGIGKIAEVVRLIESMSLNKINCQKFSESMNRFTETAEEDIIRLQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLMTLDRVCKEVGMINEQTVVCSAYKFPVPVNPMLQPLIGGFPWRGQSSSSGEESS >itb02g07820.t1 pep chromosome:ASM357664v1:2:4901102:4902056:1 gene:itb02g07820 transcript:itb02g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKTTKLRILYVSLRLLALGATVSAAFVMATSHQKIYLFSAPFEAKYSHTTAFKYFLIANVVGSVYGILMLFPPPKNFLWRLALVSDVVMTMLLMSSVSAALAIAHVGKEGNSYAGWLPICDKFHKYCDQVAGALIAAFLAVILYLLLLLYTIHTIIR >itb11g16430.t1 pep chromosome:ASM357664v1:11:14674278:14675645:-1 gene:itb11g16430 transcript:itb11g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPLLATAASAAAGHGRHQPFHLHFIAKKMPQVIFLKVDVEELKEAEDDCTEALNLDDRYIKAYSCCSTARKELGKLRESTEDAEFALRLEPQNNEVKKQYAEVKALYEKV >itb01g33560.t1 pep chromosome:ASM357664v1:1:36635860:36639467:-1 gene:itb01g33560 transcript:itb01g33560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSKVKYEELRQQRVEENKRRLEELHLPLLSLALKNASPKPSPMKKVKPRVIRTELVPVRRSPRVAKSAAPEYREVTYYERILPRSASCPRRRDLSNRVYASDKDRAVATLKAEKLEASLESNVPTFVRSMLPSHVSGGFWLGLPSYFCRRNLPARDETVTLIDESGAEWPTVYLARKAGLSGGWKKFAVDHDLVDGDALVFQLIKRAVFKIYITRLNNSADDDGNETSND >itb01g33560.t2 pep chromosome:ASM357664v1:1:36635860:36639467:-1 gene:itb01g33560 transcript:itb01g33560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSKVKYEELRQQRVEENKRRLEELHLPLLSLALKNASPKPSPMKKVKPRVIRTELVPVRRSPRVAKSAAPEYREVTYYERILPRSASCPRRRDLSNRVYASDKDRAVATLKAEKLEASLESNVPTFVRSMLPSHVSGGFWLGLPSYFCRRNLPARDETVTLIDESGAEWPTVYLARKAGLSGGWKKFAVDHDLVDGDALVFQLIKRAVFKIYITRLNNSADDDGNETSND >itb01g33560.t3 pep chromosome:ASM357664v1:1:36635633:36639148:-1 gene:itb01g33560 transcript:itb01g33560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSKVKYEELRQQRVEENKRRLEELHLPLLSLALKNASPKPSPMKKVKPRVIRTELVPVRRSPRVAKSAAPEYREVTYYERILPRSASCPRRRDLSNRVYASDKDRAVATLKAEKLEASLESNVPTFVRSMLPSHVSGGFWLGLPSYFCRRNLPARDETVTLIDESGAEWPTVYLARKAGLSGGWKKFAVDHDLVDGDALVFQLIKRAVFKIYITRLNNSADDDGNETSND >itb01g33560.t4 pep chromosome:ASM357664v1:1:36636885:36639144:-1 gene:itb01g33560 transcript:itb01g33560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSKVKYEELRQQRVEENKRRLEELHLPLLSLALKNASPKPSPMKKVKPRVIRTELVPVRRSPRVAKSAAPEYREVTYYERILPRSASCPRRRDLSNRVYASDKDRAVATLKAEKLEASLESNVPTFVRSMLPSHVSGGFWLGLPSYFCRRNLPARDETVTLIDESGAEWPTVYLARKAGLSGGWKKFAVDHDLVDGDALVFQLIKRAVFKIYITRLNNSADDDGNETSND >itb01g00800.t3 pep chromosome:ASM357664v1:1:389058:391732:-1 gene:itb01g00800 transcript:itb01g00800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTEYSAMDLKNISWFGNMYQKFEALCLEVEEDTVNYVESQVQIVGESVKKFYSEVMQDLRPRSYVDPVKVARNDLSLFAQNEIEKKAKENLVEQPGGVDMKLSEDSEVLKGKNNLGGKSLGIGENSKDDQSLLKMSGSVTPLSEERNRMPSVYENRRGYSMARDHITVALPEFGNSECTSSLARGCASKETTTGSCDHIPVVSMPVISSSIGAAASDTTSPVESSGLLVEDFALISSFGGPSLRSSERPQNCNTDVVETFDVTEQVMEMVELIDEQQLEETCVLIEGNKLHFPEQPVKHKSYKKKIREAFCSKKGSTREYEHLVAQHEGQPLNREAEENVMPALVAKLNMKLSASGFPDSEWEII >itb01g00800.t4 pep chromosome:ASM357664v1:1:389045:391623:-1 gene:itb01g00800 transcript:itb01g00800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTEYSAMDLKNISWFGNMYQKFEALCLEVEEDTVNYVESQVQIVGESVKKFYSEVMQDLRPRSYVDPVKVARNDLSLFAQNEIEKKAKENLVEQPGGVDMKLSEDSEVLKGKNNLGGKSLGIGENSKDDQSLLKMSGSVTPLSEERNRMPSVYENRRGYSMARDHITVALPEFGNSECTSSLARGCASKETTTGSCDHIPVVSMPVISSSIGAAASDTTSPVESSGLLVEDFALISSFGGPSLRSSERPQNCNTDVVETFDVTEQVMEMVELIDEQQLEETCVLIEGNKLHFPEQPVKHKSYKKKIREAFCSKKGSTREYEHLVAQHEGQPLNREAEENVMPALVAKLNMKLSASGFPDSEWEII >itb01g00800.t1 pep chromosome:ASM357664v1:1:389045:391623:-1 gene:itb01g00800 transcript:itb01g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTEYSAMDLKNISWFGNMYQKFEALCLEVEEDTVNYVESQVQIVGESVKKFYSEVMQDLRPRSYVDPVKVARNDLSLFAQNEIEKKAKENLVEQPGGVDMKLSEDSEVLKGKNNLGGKSLGIGENSKDDQSLLKMSGSVTPLSEERNRMPSVYENRRGYSMARDHITVALPEFGNSECTSSLARGCASKETTTGSCDHIPVVSMPVISSSIGAAASDTTSPVESSGLLVEDFALISSFGGPSLRSSERPQNCNTDVVETFDVTEQVMEMVELIDEQQLEETCVLIEGNKLHFPEQPVKHKSYKKKIREAFCSKKGSTREYEHLVAQHEGQPLNREAEENVMPALVAKLNMKLSASGFPDSEWEII >itb01g00800.t2 pep chromosome:ASM357664v1:1:389058:391718:-1 gene:itb01g00800 transcript:itb01g00800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLRPRSYVDPVKVARNDLSLFAQNEIEKKAKENLVEQPGGVDMKLSEDSEVLKGKNNLGGKSLGIGENSKDDQSLLKMSGSVTPLSEERNRMPSVYENRRGYSMARDHITVALPEFGNSECTSSLARGCASKETTTGSCDHIPVVSMPVISSSIGAAASDTTSPVESSGLLVEDFALISSFGGPSLRSSERPQNCNTDVVETFDVTEQVMEMVELIDEQQLEETCVLIEGNKLHFPEQPVKHKSYKKKIREAFCSKKGSTREYEHLVAQHEGQPLNREAEENVMPALVAKLNMKLSASGFPDSEWEII >itb06g18160.t1 pep chromosome:ASM357664v1:6:21880327:21883640:1 gene:itb06g18160 transcript:itb06g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSFTDSDHPLTTHVSLTLYFDFPSSTISAATLLTLAAPFSGELTLDTRSLSISAVLDPLSLSPLPFSLSPSPPDPVLGQSLTVTVSDKAEVLILSKTSADSSALQWLSPPQTFNKTHPFVYTQCQAIHARSIFPCQDTPAARTKYSAKLNVPRKLSAVMSAKHVDRRPPVAAEAGGACEDSIWCAEDRIVEEFVMDQPIPPYLFAFAVGELGFREVGPRTRVYSEATPAVLDAAAEEFAGTEEIIRVGEKLFGAYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKNNDHFWLNEGFTTYAERRIVEEVQGEERAAMNIGIGWRGLVEDVERFKDNLEFTKLKTNQAGVDPDDVYSSVPYEKGFQFLWRIERQIGRPAFDEFLKKYIGTFKFQSIDTDMFLDFLKANIPGIGDEVDLKLWTEGTGIPPDAMEPVSDLYSKIVSLAEEFKLGRMPREDEVADWHGQEWELYLENLPKSVEASQVRALDARYRLSESKNYEVRVGFLKLGISAGCREYYSEVEKTLKEVGRMLYLRPLYTALVQGDGKEEDKVFARRVFSEACDCYHPIAKGVVEAIFAKFG >itb06g18160.t2 pep chromosome:ASM357664v1:6:21880388:21883640:1 gene:itb06g18160 transcript:itb06g18160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSFTDSDHPLTTHVSLTLYFDFPSSTISAATLLTLAAPFSGELTLDTRSLSISAVLDPLSLSPLPFSLSPSPPDPVLGQSLTVTVSDKAEVLILSKTSADSSALQWLSPPQTFNKTHPFVYTQCQAIHARSIFPCQDTPAARTKYSAKLNVPRKLSAVMSAKHVDRRPPVAAEAGGACEDSIWCAEDRIVEEFVMDQPIPPYLFAFAVGELGFREVGPRTRVYSEATPAVLDAAAEEFAGTEEIIRVGEKLFGAYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKNNDHFWLNEGFTTYAERRIVEEVQGEERAAMNIGIGWRGLVEDVERFKDNLEFTKLKTNQAGVDPDDVYSSVPYEKGFQFLWRIERQIGRPAFDEFLKKYIGTFKFQSIDTDMFLDFLKANIPGIGDEVDLKLWTEGTGIPPDAMEPVSDLYSKIVSLAEEFKLGRMPREDEVADWHGQEWELYLENLPKSVEASQVAAFFK >itb01g30930.t1 pep chromosome:ASM357664v1:1:34752662:34756612:-1 gene:itb01g30930 transcript:itb01g30930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAGLIAGSHQRNELIVFPQNEYAANPLQQSSRQICQVCSDEIGLSVDGDPFIAWCPRVEGDEEEDDIDDVENEFNFDLSKTTMALTCYEGKSISLDPSKDLTAYGYGSVAWKERMESWKQKQGKLQMMGDGDGDDELDLSLSDEGRQPLSRKLPVPSSQINPYRMIIIIRLIVLGFFFHYRITHPVIDAYALWLTCVICEIWFALSWILDQFPKWLPVDRETYLDRLSLRYESEGQPSQLSSVDIFVSTVDPLKEPPLMTANTILSILAVDYPVDKVSCYVSDDGAAMLTFEALSEVSEFAKKWVPFCKKFDIEPRAPEPYFAQKFDYLRDKVLTAFIKERRSMKREYEEFKVRINALVAKAQKVPDEGWRMQDGTSWPGNNVREHPGMIQVFLGQSGEGNELPRLVYVSREKRPGFNHHRKAGAMNALVRVSGVLTNAPFVLNLDCNHYINNSKALREAMCFMMDPLMRKRVCYVQFPHRFDGIDRYADRNVLFFDINMKGLDGIQGPIYVGTGCVFRRQALYGIDAPKKKKPESRTCNCWLKWCCCGFWGRGEKKKNKKMPNVETSPMVSGMESYALVESGENYQGSKAENCSISENKLEKKFGQSHVFIASTLQENGGALRSASSASLLREAIHVGWIYGSVTEDILTGFKMHCHGWRSIYCMPCRPAFIGSAPINLSDGLHQVLQWAHGSTEIFLSRHCPIWYGYGGGLKWLERFSYINATIYPFTSLPLVAYCTLPAVCFLTGEFITPQLDNAASLWFLALFICIFATSVLEMNWSGAGLDEWWRNEQFWVIGGVSAHLFAVFQALLRVLAGINTDFSVGSKARGDEEVSKVYAFKWTTILIPPTTLLIINIIGVAVGISGAIKNGYVSWGPLFGKLFFGFWVIAHLYPFLKGVMGRQNRTPTVIVVWSILLASVFSLLWIRIDPFLGKSDGPVLEDCGIDCN >itb03g18560.t1 pep chromosome:ASM357664v1:3:16796146:16802187:1 gene:itb03g18560 transcript:itb03g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEDRVDECGNSGTLSDCKEVEKGGKTKLNKPPKSPSRAPSFFHRTTMARVLRNLSSFRRSLLTQTQDLRNDVVGAYTQLCYFSSKGKRKSKSDESDSGDENLSKKDLALKLALDQITKSFGKGSIMWLGRSVSSKQVPVLSTGSFSLDIALGVGGLPKGRVIEIYGPEASGKTTLALHIIAEAQKQGGYCCFVDAEHALDPALATAIGVNTENLLISQPDCGEQALSLVDTLVRSGSIDVVVVDSVAALVPKGELEGEMGDAHMAMQARLMSQALRKLSHSLSFSQTTLIFINQVRAKLSTFGGFGGPQEVTCGGNALKFYASVRLNVRRVAQVKKGEEIIGNQVVVKIVKNKLAPPFRTAEFLLEFGKGICRISELIELGLKHKFITKTGGAFYSMNNQSFRGKDAIKTYLADNIIVREDLQKKLREKLFVDQEKEAVDGDGPIEEVISSDATDDEIATALEA >itb05g20730.t1 pep chromosome:ASM357664v1:5:26764862:26766765:1 gene:itb05g20730 transcript:itb05g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKVKAKHIEVEGPRGTLTRNFKHLNLDFQLITDEATGKRKLKVDAWFGSRKTTAAIRTALSHVDNLITGVTKGFRYKMRFVYAHFPINASITNTNKSIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGKIDEEE >itb05g16920.t2 pep chromosome:ASM357664v1:5:24008017:24015812:-1 gene:itb05g16920 transcript:itb05g16920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGITLSNGSLRTAKCCSSGFSSSYPDRISHFGVKWHPVTRNRSSIQERKLSCPDGWNYKSRPVVSTRVGDGNSSGRNEALDIDENELLATRKALAEARARQEAVEKERDRLLEELARSEAKQRDYVDAIRHDKEMAISELEAAKSMFNQKLEQSVEERFNLESKLVLAKQDAIELAVQVEKLAEIAFQQATSHILEDVQLRVSAAETSAAEAAYQIEEQIRNATEGTIFSIIQQSKDALEKALAVAESAGDHTRKAMSAFTDDMSSVEHLTSIQSQNVKLQTVVNDLESQLLICKNEVERLKLDFETVSQRAVALENQANDAEKALHAFQESSRKTAIQQEQEINSLLEKMKKETAEKKRAASKAFKNELESIKAAIEAAKETSRSQEEAYMRRCDALQRSLKASEAASKMWRQRAELAEALLLNKTLSEGEDEDAIFVGSGGRINLLVDNDSQKWKLLSDGPRRDIPVWMARRINSICPKFPPRKTNISEVMASRFKSLQLPKPDEVWSIAQEKPKEGDILIEHVIEKEVIEKKRKSLERALQRKTIKWQRTPEETKLEPGTGTGREIVFQAFNWESWRRQWYLELAPKAADLSRSGVTAVWFPPPTESVAPQGYMPSDLYNLNSAYGSVDDLKHCIEEMHNQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDVFHAAPNIDHSQDFVRKDIKEWLNWLRNDIGFDGWRLDFVRGFSGGYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPSGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVVFYDHFYDFGIRDIITELIEARRRGGIHCRSPVKILHANNDGYVAQVGDSVVMKLGHFDWNPSKEVYLDGTWQKFVDKGSDYQVWLRQ >itb05g16920.t3 pep chromosome:ASM357664v1:5:24008017:24015812:-1 gene:itb05g16920 transcript:itb05g16920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGITLSNGSLRTAKCCSSGFSSSYPDRISHFGVKWHPVTRNRSSIQERKLSCPDGWNYKSRPVVSTRVGDGNSSGRNEALDIDENELLATRKALAEARARQEAVEKERDRLLEELARSEAKQRDYVDAIRHDKEMAISELEAAKSMFNQKLEQSVEERFNLESKLVLAKQDAIELAVQVEKLAEIAFQQATSHILEDVQLRVSAAETSAAEAAYQIEEQIRNATEGTIFSIIQQSKDALEKALAVAESAGDHTRKAMSAFTDDMSSVEHLTSIQSQNVKLQTVVNDLESQLLICKNEVERLKLDFETVSQRAVALENQANDAEKALHAFQESSRKTAIQQEQEINSLLEKMKKETAEKKRAASKAFKNELESIKAAIEAAKETSRSQEEAYMRRCDALQRSLKASEAASKMWRQRAELAEALLLNKTLSEGEDEDAIFVGSGGRINLLVDNDSQKWKLLSDGPRRDIPVWMARRINSICPKFPPRKTNISEVMASRFKSLQLPKPDEVWSIAQEKPKEGDILIEHVIEKEVIEKKRKSLERALQRKTIKWQRTPEETKLGALSETSIPLLLLSKRFLHKKMYNFVILTACDAEPGTGTGREIVFQAFNWESWRRQWYLELAPKAADLSRSGVTAVWFPPPTESVAPQGYMPSDLYNLNSAYGSVDDLKHCIEEMHNQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDVFHAAPNIDHSQDFVRKDIKEWLNWLRNDIGFDGWRLDFVRGFSGGYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPSGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVVFYDHFYDFGIRDIITELIEARRRGGIHCRSPVKILHANNDGYVAQVGDSVVMKLGHFDWNPSKEVYLDGTWQKFVDKGSDYQVWLRQ >itb05g16920.t1 pep chromosome:ASM357664v1:5:24007926:24015812:-1 gene:itb05g16920 transcript:itb05g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGITLSNGSLRTAKCCSSGFSSSYPDRISHFGVKWHPVTRNRSSIQERKLSCPDGWNYKSRPVVSTRVGDGNSSGRNEALDIDENELLATRKALAEARARQEAVEKERDRLLEELARSEAKQRDYVDAIRHDKEMAISELEAAKSMFNQKLEQSVEERFNLESKLVLAKQDAIELAVQVEKLAEIAFQQATSHILEDVQLRVSAAETSAAEAAYQIEEQIRNATEGTIFSIIQQSKDALEKALAVAESAGDHTRKAMSAFTDDMSSVEHLTSIQSQNVKLQTVVNDLESQLLICKNEVERLKLDFETVSQRAVALENQANDAEKALHAFQESSRKTAIQQEQEINSLLEKMKKETAEKKRAASKAFKNELESIKAAIEAAKETSRSQEEAYMRRCDALQRSLKASEAASKMWRQRAELAEALLLNKTLSEGEDEDAIFVGSGGRINLLVDNDSQKWKLLSDGPRRDIPVWMARRINSICPKFPPRKTNISEVMASRFKSLQLPKPDEVWSIAQEKPKEGDILIEHVIEKEVIEKKRKSLERALQRKTIKWQRTPEETKLEPGTGTGREIVFQAFNWESWRRQWYLELAPKAADLSRSGVTAVWFPPPTESVAPQGYMPSDLYNLNSAYGSVDDLKHCIEEMHNQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDVFHAAPNIDHSQDFVRKDIKEWLNWLRNDIGFDGWRLDFVRGFSGGYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPSGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVVFYDHFYDFGIRDIITELIEARRRGGIHCRSPVKILHANNDGYVAQVGDSVVMKLGHFDWNPSKEVYLDGTWQKFVDKGSDYQVWLRQ >itb05g16920.t4 pep chromosome:ASM357664v1:5:24008017:24015812:-1 gene:itb05g16920 transcript:itb05g16920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGITLSNGSLRTAKCCSSGFSSSYPDRISHFGVKWHPVTRNRSSIQERKLSCPDGWNYKSRPVVSTRVGDGNSSGRNEALDIDENELLATRKALAEARARQEAVEKERDRLLEELARSEAKQRDYVDAIRHDKEMAISELEAAKSMFNQKLEQSVEERFNLESKLVLAKQDAIELAVQVEKLAEIAFQQATSHILEDVQLRVSAAETSAAEAAYQIEEQIRNATEGTIFSIIQQSKDALEKALAVAESAGDHTRKAMSAFTDDMSSVEHLTSIQSQNVKLQTVVNDLESQLLICKNEVERLKLDFETVSQRAVALENQANDAEKALHAFQESSRKTAIQQEQEINSLLEKMKKETAEKKRAASKAFKNELESIKAAIEAAKETSRSQEEAYMRRCDALQRSLKASEAASKMWRQRAELAEALLLNKTLSEGEDEDAIFVGSGGRINLLVDNDSQKWKLLSDGPRRDIPVWMARRINSICPKFPPRKTNISEVMASRFKSLQLPKPDEVWSIAQEKPKEGDILIEHVIEKEVIEKKRKSLERALQRKTIKWQRTPEETKLGALSETSIPLLLLSKRFLHKKMYNFVILTACDAEPGTGTGREIVFQAFNWESWRRQWYLELAPKAADLSRSGVTAVWFPPPTESVAPQGYMPSDLYNLNSAYGSVDDLKHCIEEMHNQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDVFHAAPNIDHSQDFVRKDIKEWLNWLRNDIGFDGWRLDFVRGFSGGYVKEYIEASNPAFAIGEYWDSLAYEGGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPSGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVVFYDHFYDFGIRDIITELIEARRRGGIHCRSPVKILHANNDGYVAQVGDSVVMKLGHFDWNPSKEVYLDGTWQKFVDKGSDYQVWLRQ >itb02g03370.t2 pep chromosome:ASM357664v1:2:1938183:1941460:1 gene:itb02g03370 transcript:itb02g03370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKKDDLYAVLGLKKECTASELRTAYKKLALKWHPDRCSALGNPKSVEEAKKKFQAIQQAYSVLADTNKRFLYDVGVYDCDDDDDENGMGDFLNEMAAMMSENKTNENQGESFEELQELFEEMFQSDIEAAFSSPSRTATPSACSSSSSASCSETYFNISTKRSSDEMSNPSRFKSECNEFDRFCMGTGGAGTRQEGERSRRKNTRRNKNI >itb02g03370.t1 pep chromosome:ASM357664v1:2:1938127:1941379:1 gene:itb02g03370 transcript:itb02g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKKDDLYAVLGLKKECTASELRTAYKKLALKWHPDRCSALGNPKSVEEAKKKFQAIQQAYSVLADTNKRFLYDVGVYDCDDDDDENGMGDFLNEMAAMMSENKTNENQGESFEELQELFEEMFQSDIEAAFSSPSRTATPSACSSSSSASCSETYFNISTKRSSDEMSNPSRFKSECNEFDRFCMGVAQEHAKKGKGAGERTQGGTRISEFGNTNKGRKFHLPPDLANLDF >itb02g03370.t4 pep chromosome:ASM357664v1:2:1938183:1941460:1 gene:itb02g03370 transcript:itb02g03370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKKDDLYAVLGLKKECTASELRTAYKKLALKWHPDRCSALGNPKSVEEAKKKFQAIQQAYSVLADTNKRFLYDVGVYDCDDDDDENGMGDFLNEMAAMMSENKTNENQGESFEELQELFEEMFQSDIEAAFSSPSRTATPSACSSSSSASCSETYFNISTKRSSDEMSNPSRFKSECNEFDRFCMGTGGAGTRQEGERSRRKNTRRNKNI >itb02g03370.t5 pep chromosome:ASM357664v1:2:1938127:1941379:1 gene:itb02g03370 transcript:itb02g03370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKKDDLYAVLGLKKECTASELRTAYKKLALKWHPDRCSALGNPKSVEEAKKKFQAIQQAYSVLADTNKRFLYDVGVYDCDDDDDENGMGDFLNEMAAMMSENKTNENQGESFEELQELFEEMFQSDIEAAFSSPSRTATPSACSSSSSASCSETYFNISTKRSSDEMSNPSRFKSECNEFDRFCMGVGSHFLCLVHIKVVLFALLTDLDLVTRKI >itb02g03370.t3 pep chromosome:ASM357664v1:2:1938127:1941379:1 gene:itb02g03370 transcript:itb02g03370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKKDDLYAVLGLKKECTASELRTAYKKLALKWHPDRCSALGNPKSVEEAKKKFQAIQQAYSVLADTNKRFLYDVGVYDCDDDDDENGMGDFLNEMAAMMSENKTNENQGESFEELQELFEEMFQSDIEAAFSSPSRTATPSACSSSSSASCSETYFNISTKRSSDEMSNPSRFKSECNEFDRFCMGVAQEHAKKGKGAGERTQGGTRISEFGNTNKGRKFHLPPDLANLDF >itb02g09160.t1 pep chromosome:ASM357664v1:2:5828175:5829917:1 gene:itb02g09160 transcript:itb02g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKLQLCMMIAASLVAVALAGNFYQDAQMYFGNGRGQVMDGGKMIALKLDKESGSGFHSKNEYLFGRFDMQLKLVPANSAGTVTTFYLSSLGEGHDEIDFEFLGNVSGQPYTVHTNVYSQGKGNKEQQFHLWFDPTAAFHTYTILWNPQRIIFMVDNSPIRVFNNHESIGIPFPKSQPMRVYCSLWNADDWATQGGLVKTDWSHAPFTAYYRNFNANACVVSNGVSSCNSKAASNSNQEWQTQGLDGKGRNRVRWVQSKHMVYNYCADAKRFPQGFSAECKRSRF >itb02g09160.t2 pep chromosome:ASM357664v1:2:5828175:5829917:1 gene:itb02g09160 transcript:itb02g09160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLIKIVLNWFWNVQLSSLGEGHDEIDFEFLGNVSGQPYTVHTNVYSQGKGNKEQQFHLWFDPTAAFHTYTILWNPQRIIFMVDNSPIRVFNNHESIGIPFPKSQPMRVYCSLWNADDWATQGGLVKTDWSHAPFTAYYRNFNANACVVSNGVSSCNSKAASNSNQEWQTQGLDGKGRNRVRWVQSKHMVYNYCADAKRFPQGFSAECKRSRF >itb06g00360.t1 pep chromosome:ASM357664v1:6:889387:890999:1 gene:itb06g00360 transcript:itb06g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISSLASGESPLTSGSLQIQEISIEASNHSPDFINSRSSYKAKKSFRPPRNTPIDPNPEDGSPSSLLDCNAGANSCELGSSPESLPVPLNSSVLLEENHVNKLMDDSTTAKSSCFLETSIGIH >itb07g16660.t1 pep chromosome:ASM357664v1:7:20494429:20495451:1 gene:itb07g16660 transcript:itb07g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIASHSQPSAAAAADNVASFAIRTFLNRLYHSLRRGLSHHRPWLELVERSSFSRPSSLSDATSRVRKNLSYFRVNYLTLIAAVLCLSFASHPASLLALLVLLIAWLYLYSLRPSDQPLLILGRTFSDRETLWLLILLSVIVIFLTNVGSLLISATLIGFGIVCIHGAFRDPEDLFLDDQELNGFGLFAFISGTGSASSANVPGVPPIVSQV >itb10g16840.t1 pep chromosome:ASM357664v1:10:23084312:23087296:1 gene:itb10g16840 transcript:itb10g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSTALQVRGNERKLSSFRSSFNLSSNTGISLQFLRNRNNHVSPTSSPRPLTIFAMAPPKPAAGKAKKVTGVVKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKPGYIIPVEITVYDDRSFTFVLKTPPASVLLLKAAGAEKGSKDPQREKVGKVTVDQLRAIAQEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPILKPKVKVEL >itb01g11230.t1 pep chromosome:ASM357664v1:1:9906285:9906569:-1 gene:itb01g11230 transcript:itb01g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYCDGSSLTGDVDPTIGLHFRGKRVFDAIVDDLLFSKGMKDAKEVLFTSGFAGELAVIIYYDRFANHFPNTTNVKCLSDGGFFLLSNNPLQA >itb09g16020.t1 pep chromosome:ASM357664v1:9:11308911:11310042:1 gene:itb09g16020 transcript:itb09g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MEEDHPNPSSSSQTIIITALSSLSPSHLSHLTHHLSSVFHHHSRRVSALLSSPILFSLTLHHLHTLSLHHKSLLISRHLLSNLSLLANYIHGHGSPQPPTAPMMKLRDLDVLLLLLLLCELHQHDPHALDSPPSRWRVELCRHATKSALSLSNMGVSNCEILIKYVELVAKCRNFVNAMGCGGDGKDGREVSASVAAVVALPSVEVSASGWECVICKEEMREGRDVCELPCQHLFHWICILPWLRKRNTCPCCRYKLPTDDVSGEIERLWAIFAAKTGGA >itb04g04810.t1 pep chromosome:ASM357664v1:4:2969836:2971983:-1 gene:itb04g04810 transcript:itb04g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMKAQPWAVMAAMVILAALRVNGDETYSPPYEYKSPPPPYVYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYLYKSPPPPPYKYESPPPPPYKYESPPPPPYVYKSPPPPPYVYKSPPPPPYKYESPPPPPYVYKSPPPPPYKYESPPPPLYVYKSPPPPPYKYESPPPPTYYK >itb06g05650.t1 pep chromosome:ASM357664v1:6:8331759:8334190:-1 gene:itb06g05650 transcript:itb06g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDERSKKEKNVDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMAHLGWGAGVTVLIISWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGQKLGLWIVVPQQLVVEVGVDIVYMVTGGKSLKKVHDLVCKDNCKDFKLSYFIMIFASVHFGLSHLPNFNSISGVSLAAALMSLSYSIIAWVASVSKGVQADVDYGPTDASRSGMVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVAVCYFPVALVGYWMFGNKVEDNILLSLEKPTWLIIMANMFVVVHVIGSYQIFAMPVFDMIETVLVKKLMFKPTWYLRSIVRITYVALTMFIAICIPFFGGLLGFFGGFAFAPTTYFLPCIMWLAVYKPKKFSLSWCCNWVCIILGVALMILAPIGALRAIILQAKSYKFFS >itb06g24300.t1 pep chromosome:ASM357664v1:6:25934969:25935934:-1 gene:itb06g24300 transcript:itb06g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYVDCSNCKAGIPYVHKRFLFLFMADDHRRHVAYASRHTQFVKVRPSFAAAGNRPSDNSPYNFSESLPKGTPVPPSAPSMRHNGINA >itb03g03930.t1 pep chromosome:ASM357664v1:3:2377794:2379485:-1 gene:itb03g03930 transcript:itb03g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSYDDPVASSLLCAETNSPWVNDSDSPAKDEGSNGDKGLIFGGERSEPLMEVPSLSEESFSFMVERESHHLPREGYLTRLRGGEFELSLRTEAIDWIWKVHSHCGFGDTTLCLSISYLDRFLSMCEIAEAKSRNWAVQLLALACLSLAAKMEEINVPLAADIQVGDYRFMFDGKTVQRMELLLLTNLGWKMQPYTPCTFLDHFLRKINGGDQLPPPDLISKSIQIILGTMKGIDFLEFRPSEVAAAVAMSVSGDTKTAGEIIFKAIPYFAQVHKERVMKCVELIRDLRIVSGIAANVGIMGRTRRVPPSPNGVLEAACLSYKSDDRGVVGSCPNSTPDTKRRRLDSPDTTDNNNNNQN >itb12g06940.t1 pep chromosome:ASM357664v1:12:5165692:5167255:-1 gene:itb12g06940 transcript:itb12g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIMDDKIIDDVMLPGFRFHPTDEELVGFYLKRKIQQRPLPIELIKQVDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYRGRAAKGLKTDWMMHEFRLPTTPAPPPPPTNKFSGHNNFPPNDSWAICRIFKKTSSMANRANFAHPWVNNILSEAPPPEALFNQFGSENIMSSSSSITPLQLSTNNELQHFSAPPLNNIIPTYKPSSSSLFSNHHSPSSPSSTPSPELPSCSNFMAPSSSSSLSQAASVLFDVTPPTLFSPLNNTATSDHFEQSQHIEDDDEVVGLGNIRAMGFPFSLASEPWKQSSFSWDSPTY >itb05g25300.t1 pep chromosome:ASM357664v1:5:29769999:29770391:-1 gene:itb05g25300 transcript:itb05g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTLRCLARSNLCILVLKSRLDISQSLILLTAKIILGFSHITLYELPPFPLPINSAGDFSRSSNLSPFTSGLVSTTSACDGAAAISDENSDDVSGMFFDDVFMKSVDADMASVLKSLSSTAATFLLTS >itb04g06200.t1 pep chromosome:ASM357664v1:4:4022355:4025733:-1 gene:itb04g06200 transcript:itb04g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKRLIHAISERVCSANQSPFLPHTLFSCGVDKFSQPRRLTRRLKPPRFLDIIKASKPNVTGKRGAHLRLIEEFLQTDISQLDWHRSGEENSIELLSRLHRQGLRTDPSVLSNALSSCASERAVSVGIQIHCLVMVNGFLSNVYVGSSLITLYAKCGVAGDAYKVFEEMPVKNVVSWTAVVHGFSLEFQVDVCLQLYREMRTSALMPNEFTFTSLLSASTGSGCFGQARSVHCQTICMGLDSHLHVANALIAMYCKGGEIEDAHYVFQKINGKDLVSWNTMIAGYAHHGLAPKAIELFEQMKDQKVKPDSITFLGILSACRHAGLVKHGWFYFDSMACYDVKPELDHFSCIIDLLGRAGRVEEARNLIKEMPVKPNGIIWGSLLMSSRLHGKVEVGIEAAENRLMLEPWCTATHLQLANLYASIGLWDQAARVRKAMKNKGLKSDPGYSWIEIRNEVHYFRVEDRSLAQLNEIVPVMDILADHMRDLGEEEIDCSL >itb04g00210.t1 pep chromosome:ASM357664v1:4:141709:146893:-1 gene:itb04g00210 transcript:itb04g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKYVRFENWRSEKSSSSYGGSESQSSLTNNGRLFSVISWFRRGFEKGSQKICFSSSNFRNSLLRRIHPLLQPTDRDRDRDRDREEANINQSSSSSSSSTTTTCKRKMHDPQGPFLQRWNKIFVIACILAAIVDPLFFYIPVVDDQNKCLGLDRTLEITACILRSVIDFFYIFHIILQFRTGFVPPSSRVFGRGELIVDPSAIAKRYFFSYFFIDILAVLPLPQVVLFMIIPRADRPFILVAKDILKVAGAIWYITAIERQDKCWRKACESEELHGGSCDIDFLYCTKLGRRGGDFSTSFLNTSCPLLEPENLKPDGFDFGIFLDALKSNVVEKRYFWSKLFYCFWWGLRNLSSLGQNLKTSNFVGEILFAILISIIGLILFSLLIGNMQKYLQSLTVRVEEMRVKRMDAEQWMSHRMLPDHLRDRIRRYEQYRWQETRGVDEESLISNLPKDLRRDINRHLCWSLLKRVPMFETMDEQLLDAMCDRLKPVLYTEKSCIVREGDPVDEMIFVMRGKLLTMTTNGGRTGFFNSDVLKAGDFCGEELLTWALDPNSSSSLPISTRTVQALTDVEAFALTADFLKFFASQYRRLHSKQLQHKFRFYSHQWKTWAACFIQAAWRRHCRNKLEKSLREEEDRLQDALILRRREEGESTPSLGATIYASRFAANVLRTVRRNHPLSVAKLSPRLPPLLLQKPAEPDFSAPENAS >itb03g14640.t1 pep chromosome:ASM357664v1:3:14270504:14271099:1 gene:itb03g14640 transcript:itb03g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLFSIKPTPTPRIKISPVPALPRCVHGGSRRLQRSTAVKCGGGGSSVPELERKLEEELAGMGRERGKCYEVNGVAELLECLEREAIMGDDEGRDPNDYNRRAQIFDKSSRIFQALKENSSSSDAILDSSPD >itb02g08990.t1 pep chromosome:ASM357664v1:2:5679062:5681113:1 gene:itb02g08990 transcript:itb02g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSGVAVLALICMASQSPISAGDDDDETPEPRKCKIPDLSLGSWVYDQYFPYYDTPECPFIQKQFRCDTNGRPDKEYSKYKWQPFGCKMNSFYGAEFREILKGKKMMFVGDSLSNNQWQSLTCMLHVLHLESDAAANYTLNRVGEVSNFTLTTYNASIFYHRRMFLVDLDKENGERILKLDSISEGTHWKEMDFLIFDTWHWWLHTGRKQAWDWVREGNVTYKDGDRVVLYTKALKTWAKWVDSEVDTNKTKVFFQGVSPDHWQDGVNGSQPKTCIGEKLPNTKEGCTLPAEEVLEGVLKNMTKLVYLLDITKLSQIRPDGHPSVYGVGGHISPDCTHWCLPGVPDAWNQLLYSAITEILAAEKNGIIISSATFPALFFSILYTLFSLWW >itb02g08990.t2 pep chromosome:ASM357664v1:2:5679062:5681113:1 gene:itb02g08990 transcript:itb02g08990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVGDSLSNNQWQSLTCMLHVLHLESDAAANYTLNRVGEVSNFTLTTYNASIFYHRRMFLVDLDKENGERILKLDSISEGTHWKEMDFLIFDTWHWWLHTGRKQAWDWVREGNVTYKDGDRVVLYTKALKTWAKWVDSEVDTNKTKVFFQGVSPDHWQDGVNGSQPKTCIGEKLPNTKEGCTLPAEEVLEGVLKNMTKLVYLLDITKLSQIRPDGHPSVYGVGGHISPDCTHWCLPGVPDAWNQLLYSAITEILAAEKNGIIISSATFPALFFSILYTLFSLWW >itb03g02370.t2 pep chromosome:ASM357664v1:3:1322843:1326633:1 gene:itb03g02370 transcript:itb03g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTSSVAARFAFFPPNPPSYEVVVEGSAAKLKMTEVEERENVDVLKLATKRGTEIVAVYVRNPAAKLTLLYSHGNAADLGQMYELFCELSRHLRVNLMGYDYSGYGQSSGKPSEENTYADIEAAYRCLEETYGVKEEDIILYGQSVGSGPTIDLASRSPRLRAVVLHSPIMSGLRVMYHVKRTYWFDIYKNIDKIPLVECPVLIIHGTADDVVDCSHGKQLWELCKQKYEPLWVKGGNHCDLELYPEYIKHLKKFVSAMEKSSHLKDVLVPCIEIIDNPRNSTDCRPGQSSSQATNSRPSTEKREKPKRSIDCREKSRASVDRRDKTRKSVDHAEKTNNNTEPSEKARNSIDRFGGMMRSAVLCHIDCFKPVGAKVSG >itb03g02370.t1 pep chromosome:ASM357664v1:3:1322841:1326633:1 gene:itb03g02370 transcript:itb03g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTSSVAARFAFFPPNPPSYEVVVEGSAAKLKMTEVEERENVDVLKLATKRGTEIVAVYVRNPAAKLTLLYSHGNAADLGQMYELFCELSRHLRVNLMGYDYSGYGQSSGKPSEENTYADIEAAYRCLEETYGVKEEDIILYGQSVGSGPTIDLASRSPRLRAVVLHSPIMSGLRVMYHVKRTYWFDIYKNIDKIPLVECPVLIIHGTADDVVDCSHGKQLWELCKQKYEPLWVKGGNHCDLELYPEYIKHLKKFVSAMEKSSHLKDVLVPCIEIIDNPRNSTDCRPGQSSSQATNSRPSTEKREKPKRSIDCREKSRASVDRRDKTRKSVDHAEKTNNNTEPSEKARNSIDRFGGMMRSAVLCHIDCFKPVGAKVSG >itb03g02370.t3 pep chromosome:ASM357664v1:3:1322841:1325995:1 gene:itb03g02370 transcript:itb03g02370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMTSSVAARFAFFPPNPPSYEVVVEGSAAKLKMTEVEERENVDVLKLATKRGTEIVAVYVRNPAAKLTLLYSHGNAADLGQMYELFCELSRHLRVNLMGYDYSGYGQSSGKPSEENTYADIEAAYRCLEETYGVKEEDIILYGQSVGSGPTIDLASRSPRLRAVVLHSPIMSGLRVMYHVKRTYWFDIYKNIDKIPLVECPVLIIHGTADDVVDCSHGKQLWELCKQKYEPLWVKGGNHCDLELYPEYIKHLKKFVSAMEKSSHLKDVLVPCIEIIDNPRNSTDCRPGQSSSQATNSRPSTEKREKPKRSIDCREKSRASVDRRDKTRKSVDHAEKTNNNTEPSEKARNSIDRFGGMMRSAVLCHIDCFKPVGAKVSG >itb09g17410.t4 pep chromosome:ASM357664v1:9:12644975:12654628:-1 gene:itb09g17410 transcript:itb09g17410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSHRVMPVCDQLCICCPGMRPRSRQPVKRYKKMLSDIFPRPPGGEPNERMIGKLCEYSSKNPLRIPKITTSLEQRFYKELRNQNLLSVKIVMLVYRRLLVSCKQQMPLFAGSFLSVVQILLDQMGHDEMRIVGCESLFDFINNQLDATYMFNLEGLIPKICLLPQEMGDEESLLKLRCAGLEALSSMVWFMGEFGHMPAEFDNVVSVVLENYETHDEKLNDQENMGANVSDQMTRVTSWRNIVTEKGLNVATEDSINPKFWSKVCLHNMAKLAKEGTTVRRVLDSLFCYFDNSNVWSIQHGVALSVLLDMQFIMENSGHNTHFLLSTLIKHLDHKNVLKNPNMQVDIVEVATSLTQHTKVQPSATVMGAFSDMMRHLRKSIHCSLDDSDLGEEVIQWNKKYRTVVDECLVQMSLKVGDAGQILDVMAVMLESISSIPVMARNTITTVYRTAQIAASLPNLSYQNKAFPEALFHQILLSMVSPDHVTRIGAHRIFAVVLVPSSVCPCPPSTLPSAKTADFQRALSRNVSVFSSSAALFEKLVKEQRSLQESMERKENVLQDESANLSNQSMLNRLKSSYSRAYSVKRNSLPEINEEQGMGIVDSEPVGISLKLKTRQISILLSSIWVQAISPSSTPENYEAIAHTYSLVMLFSQNKV >itb09g17410.t3 pep chromosome:ASM357664v1:9:12641749:12652218:-1 gene:itb09g17410 transcript:itb09g17410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDEMRIVGCESLFDFINNQLDATYMFNLEGLIPKICLLPQEMGDEESLLKLRCAGLEALSSMVWFMGEFGHMPAEFDNVVSVVLENYETHDEKLNDQENMGANVSDQMTRVTSWRNIVTEKGLNVATEDSINPKFWSKVCLHNMAKLAKEGTTVRRVLDSLFCYFDNSNVWSIQHGVALSVLLDMQFIMENSGHNTHFLLSTLIKHLDHKNVLKNPNMQVDIVEVATSLTQHTKVQPSATVMGAFSDMMRHLRKSIHCSLDDSDLGEEVIQWNKKYRTVVDECLVQMSLKVGDAGQILDVMAVMLESISSIPVMARNTITTVYRTAQIAASLPNLSYQNKAFPEALFHQILLSMVSPDHVTRIGAHRIFAVVLVPSSVCPCPPSTLPSAKTADFQRALSRNVSVFSSSAALFEKLVKEQRSLQESMERKENVLQDESANLSNQSMLNRLKSSYSRAYSVKRNSLPEINEEQGMGIVDSEPVGISLKLKTRQISILLSSIWVQAISPSSTPENYEAIAHTYSLVMLFSQNKKSSDEVLIRSFQLGFSLRNISLQGGGQLPPSRRRSLFTLSMAMIIFLAKAYKFDTLVVSAKAALTDKTADPFLQLVDDSKLQAVTTSDPKRVYGSPEDDNDALKSLSAVEISKKLSTESFASVIVESLKNSLTDKTNLIKEQLLRDFLPDDVCPMGAQLLTEMPDQIYQFGSTESKALDEALDPIFAAGNSFKMDGFASQADSESSQLILQNPSLLDINQFMDSIPETTNAGRLSVSTPSGMTFKDMASRCEALQVGKLKKMHFMSSQKIRETAVFFNDRDYAVESHSLDQHPEVANSNPFSDVASLVQSIDAAPMTCAAEFQHHPGYFVLPASSPFDNFLKAAGS >itb09g17410.t1 pep chromosome:ASM357664v1:9:12641741:12654646:-1 gene:itb09g17410 transcript:itb09g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSHRVMPVCDQLCICCPGMRPRSRQPVKRYKKMLSDIFPRPPGGEPNERMIGKLCEYSSKNPLRIPKITTSLEQRFYKELRNQNLLSVKIVMLVYRRLLVSCKQQMPLFAGSFLSVVQILLDQMGHDEMRIVGCESLFDFINNQLDATYMFNLEGLIPKICLLPQEMGDEESLLKLRCAGLEALSSMVWFMGEFGHMPAEFDNVVSVVLENYETHDEKLNDQENMGANVSDQMTRVTSWRNIVTEKGLNVATEDSINPKFWSKVCLHNMAKLAKEGTTVRRVLDSLFCYFDNSNVWSIQHGVALSVLLDMQFIMENSGHNTHFLLSTLIKHLDHKNVLKNPNMQVDIVEVATSLTQHTKVQPSATVMGAFSDMMRHLRKSIHCSLDDSDLGEEVIQWNKKYRTVVDECLVQMSLKVGDAGQILDVMAVMLESISSIPVMARNTITTVYRTAQIAASLPNLSYQNKAFPEALFHQILLSMVSPDHVTRIGAHRIFAVVLVPSSVCPCPPSTLPSAKTADFQRALSRNVSVFSSSAALFEKLVKEQRSLQESMERKENVLQDESANLSNQSMLNRLKSSYSRAYSVKRNSLPEINEEQGMGIVDSEPVGISLKLKTRQISILLSSIWVQAISPSSTPENYEAIAHTYSLVMLFSQNKKSSDEVLIRSFQLGFSLRNISLQGGGQLPPSRRRSLFTLSMAMIIFLAKAYKFDTLVVSAKAALTDKTADPFLQLVDDSKLQAVTTSDPKRVYGSPEDDNDALKSLSAVEISKKLSTESFASVIVESLKNSLTDKTNLIKEQLLRDFLPDDVCPMGAQLLTEMPDQIYQFGSTESKALDEALDPIFAAGNSFKMDGFASQADSESSQLILQNPSLLDINQFMDSIPETTNAGRLSVSTPSGMTFKDMASRCEALQVGKLKKMHFMSSQKIRETAVFFNDRDYAVESHSLDQHPEVANSNPFSDVASLVQSIDAAPMTCAAEFQHHPGYFVLPASSPFDNFLKAAGS >itb09g17410.t2 pep chromosome:ASM357664v1:9:12641742:12652218:-1 gene:itb09g17410 transcript:itb09g17410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEESLLKLRCAGLEALSSMVWFMGEFGHMPAEFDNVVSVVLENYETHDEKLNDQENMGANVSDQMTRVTSWRNIVTEKGLNVATEDSINPKFWSKVCLHNMAKLAKEGTTVRRVLDSLFCYFDNSNVWSIQHGVALSVLLDMQFIMENSGHNTHFLLSTLIKHLDHKNVLKNPNMQVDIVEVATSLTQHTKVQPSATVMGAFSDMMRHLRKSIHCSLDDSDLGEEVIQWNKKYRTVVDECLVQMSLKVGDAGQILDVMAVMLESISSIPVMARNTITTVYRTAQIAASLPNLSYQNKAFPEALFHQILLSMVSPDHVTRIGAHRIFAVVLVPSSVCPCPPSTLPSAKTADFQRALSRNVSVFSSSAALFEKLVKEQRSLQESMERKENVLQDESANLSNQSMLNRLKSSYSRAYSVKRNSLPEINEEQGMGIVDSEPVGISLKLKTRQISILLSSIWVQAISPSSTPENYEAIAHTYSLVMLFSQNKKSSDEVLIRSFQLGFSLRNISLQGGGQLPPSRRRSLFTLSMAMIIFLAKAYKFDTLVVSAKAALTDKTADPFLQLVDDSKLQAVTTSDPKRVYGSPEDDNDALKSLSAVEISKKLSTESFASVIVESLKNSLTDKTNLIKEQLLRDFLPDDVCPMGAQLLTEMPDQIYQFGSTESKALDEALDPIFAAGNSFKMDGFASQADSESSQLILQNPSLLDINQFMDSIPETTNAGRLSVSTPSGMTFKDMASRCEALQVGKLKKMHFMSSQKIRETAVFFNDRDYAVESHSLDQHPEVANSNPFSDVASLVQSIDAAPMTCAAEFQHHPGYFVLPASSPFDNFLKAAGS >itb12g16040.t1 pep chromosome:ASM357664v1:12:16555028:16555318:1 gene:itb12g16040 transcript:itb12g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHQVYRPPYVVILSIMYVGRTLHSDWYIMRKKKAICTRRTALSLSQMFAFLSQVMVIHRGLEFRNMLTKTPELRVRSVEGTTFSPRKKNSPAL >itb12g18110.t1 pep chromosome:ASM357664v1:12:20355165:20362843:-1 gene:itb12g18110 transcript:itb12g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MFCSSAASPNTCNSSPIFIQRRPNIHLRSSFILRFSLQKRHQNLKFPRNIARRSPPCYCPRAIFGQAKYSEQSNSSGGRGNDDDFVTRVLKENPSQVETRYKVGDKLLTLKEKEDLQKNSFDTGALEILRRLNLKAFSRKSQEEGYQGKPEEVYLKDILREHKGKLYVPEQIFRSNLSEEEEFEKNVEELPKMEFEDFQKYLKTDKVKLLTFKEDNAANYGFGFRDFVVELKEMPGHKSLQRTKWTMRLDLNQAQSLLNEYTGPRYEVEKQMMTWVGKLPEQPHPVASKISTRLMVELGMLTALMTAAAAIAGSYLASAVFAVTSFAFAVAVYVVWPVAKPFLKFFSGLVFDVLERVWDKIYDAFAFGVFAKFYQVYTFGGISASIEVLKPILLVFLTMVLLVRFTLSRRPKNFRKWDIWQGIEFSQSKPQARVDGLTGVTFNDVAGIEQAVEELQELVTYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGAARIRDLFKRAKVNKPSVIFIDEIDALATKRQGIFNDSLDDQYNAATQERETTLNQLLTELDGFDTGKGVIFLGATNRMDLLDPALLRPGRFDRKIRIRPPNAKGRLGILKVHARKVKLSDTVDLTSYAQNLPGWSGAKLAQLLQEAALVAVRKGHNSILRSDMDDAVDRLTVGPKRVGIDLGHQGQCRRATTEVGTALTSHLLRHYENAKVECCDRISINPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVLLGGRAAEEVIYGRDTSKASVSYLADATWLARKMITVWNLENPMTIHGEPLPWRKSPKFVGPRLDFEGSLYDDYDLIEPPLNFDLDDDVSKRTEALIRDTYAKTLALLRQHHAALLKTVKVLLKQKEISGEEIDFILSNYPPHTPASLLLEEGDPGSLPLFHREQEKANEIEYSLLSS >itb01g26550.t2 pep chromosome:ASM357664v1:1:31689573:31692186:-1 gene:itb01g26550 transcript:itb01g26550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSECAEHIVMLPFMAHGHMIPFLGLAKKIKQTTGFKLTIVTTPLNVQYLTSYIDDPEIRLVALPFNGADHGLPPGAENTEGLPLHQMINIVRSTVALKEPFRNFISDISAKDGKPPLCIISDVFIGWVREVARSCGTVNVGFSTAGAYGTTCFMSIWRDLPHLAAGDDDGEFTMPAFPDSCRFNVSRLHAFMRAANGEDSWSKVFGSIVAGSLESTGWLCNTVKEIEPLGSDALGKFTKLPVWCIGPFLPPAMINKGSRIIGRRSGKDHGLSPEKCIEWLDMHSEGSVLYISFGSQNTISASQMMALALGLEDSGKPFIWVIRPPIGFDIKGEFKSEWLPEGFTERMAGKKQGLLIHSWAPQLEILCHKSTGAFLSHCGWNSTIESLSQGVPIIGWPMAGEQAFNSKMMMEEMGVCIELAKGVQSTILRSDVKRVIDVLDSNKGIEMKENAVRIGELIRAAAREDETSKGSSLQAMDDFISTLLSKRIEA >itb01g26550.t1 pep chromosome:ASM357664v1:1:31689573:31692186:-1 gene:itb01g26550 transcript:itb01g26550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSECAEHIVMLPFMAHGHMIPFLGLAKKIKQTTGFKLTIVTTPLNVQYLTSYIDDPEIRLVALPFNGADHGLPPGAENTEGLPLHQMINIVRSTVALKEPFRNFISDISAKDGKPPLCIISDVFIGWVREVARSCGTVNVGFSTAGAYGTTCFMSIWRDLPHLAAGDDDGEFTMPAFPDSCRFNVSRLHAFMRAANGEDSWSKVFGSIVAGSLESTGWLCNTVKEIEPLGSDALGKFTKLPVWCIGPFLPPAMINKGSRIIGRRSGKDHGLSPEKCIEWLDMHSEGSVLYISFGSQNTISASQMMALALGLEDSGKPFIWVIRPPIGFDIKGEFKSEWLPEGFTERMAGKKQGLLIHSWAPQLEILCHKSTGAFLSHCGWNSTIESLSQGVPIIGWPMAGEQAFNSKMMMEEMGVCIELAKGVQSTILRSDVKRVIDVLDSNKGIEMKENAVRIGELIRAAAREDETSKGSSLQAMDDFISTLLSKRIEA >itb03g21950.t1 pep chromosome:ASM357664v1:3:19872925:19876003:-1 gene:itb03g21950 transcript:itb03g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSWVLSIGFFVLILSLSFSFGYAEVVEGTVFINGKSAIGKTDNDFICATLDWWPFDKCDYGTCSWDHASLLNLDLSNAILLNAIKAFSPLKIRLGGTLEDDLIYETEDHSQPCLPFVKKETEVFQYTQGCLPLSRWDELNALFQKSGAVIIFGLNVLYGRRPSQPNNTPWNSTNAESLMRYTVIKGYTIHGWELGNELSGSGVGFSIAADQYALDTIHLHNIVQIIYKDIEAKPLILGPAGFFDADWYKEYVDKTHNSLDVITHHIYNLGPGKDEHLVEKILDPSFLDGEARTFSQLRSLLKNSGTSVNSWVGEAGGAYNSGRNHVSNAFVNSFWYLDQLGMSASYGTKTYCRQSLIGGNYGLLNITSFVPNPDYYSALLWHRLMGTNVLATSFSGTKKIRAYAHCAKQSKGIALLLINLDGNTSAKVRVDFNGSSMEHSRHRDDHSLRMRAFLVPQIYELEAMSREEYHLTAKNGDLQSQIMVLNGKELGVDSSGQIPSLQPQFVSSSEPITVAPFSIVFAHIPYVVQPACSA >itb01g09190.t1 pep chromosome:ASM357664v1:1:7396784:7398409:-1 gene:itb01g09190 transcript:itb01g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIVDEAPGYIQVFSDGTVKRFSPEIATASAESTDGFKSKDVVIDPSKPITGRIYVPDLWPETEQVVELLPVLLYFHGGGFCVGSTSWLGYHLFLGGLSVQSKSVVVSVDYRLAPENKLPIAYEDCYAALEWVQKNQRAEPYLESADLSRVFLAGDSAGGNIVAKKCVRDGNCAVKIKGIMPIHPYFGSERRTAVEVAAEGSSGSSVAMNDMFWRLSLPEGCNRDFYGCNLENDRELSAGEWLRFPAALVFVAGKDFLKERGVMYAEFLRQKGVKWVEVVEAEEEGHVFHVFHPDSQATRLLHKQMSEFIHGV >itb07g08360.t1 pep chromosome:ASM357664v1:7:6572769:6577780:-1 gene:itb07g08360 transcript:itb07g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIPQHRISLLSSPHLFSPFRLLLHLLYLVLSLPSPLNILSVAAAGQQKTSPICSSWCSYTDTLPTVTGHQTPFLVCKCLRAFPTGANPAYKEIGFYGHASVQKNKINKKKSGSKDGGFNGDENKRVHVVETLEKGFQAPNQIKIQINI >itb08g17410.t1 pep chromosome:ASM357664v1:8:19898181:19902872:1 gene:itb08g17410 transcript:itb08g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGAPHMPGRQPSTLHAILVCVWSLQPFHVSSVLLLMAQLLAHTPNSLMKLLLHHHRLINFFQPTMKFNSSVFTPHFYYNKALVQGLWLIWTFGFLLIGVSLYATQLLSSSLKYHSNLGDLGGPKITIFTAPRPFDGVVGERQNLAVRSWLGLSADINVVLFTQHPSAFSFAKAFGSRVTVEPNIDFSFIGTPFFHSMVANSQASSSDVSVLIHPETVLLSDFILTVRHAYKLDHDWLFFASSRKISYFPFHLDADGKQWLTDDDDGMLVDINKMQGFLSKEQQWYPCQGQILIAWNNAADIPLHKGVLPPFLYGKGFHNLWVINEAFRSDFRFVFDASWAISNLYLHHPDLKYKKSSEGFMMDTAPEERIWEVMGNSLLATQYGSLYFHETKFSNLFRLFEYGGHYLFANTAENIVYRLGYKKPFSLKKSGLMHKSIEEEKILNCIGSLGSVDSIKDCSLMDKLNLSTPISLPLPFESLLSMRAGQNKTVVLAVVGYSYKDMLMSWVCRMRHLQILNFLVCALDNEVYDFSILQGLPVFKYSSIETNISFDDCHFGTECFKRVTKIKSRMVLQILKMGYNVLMSDVDVYWFKNPLPLLSSFGPAVLVAQSDEYNATGAINLPRRMNSGFYYAHSDRVTVAALEKVVMHAATSNLSEQPSFYDVLCGEGGSNRIGDNRCSEPETNLTVHFLDRDLFPNGAYQGLWEERNVKEACTRRGCFVLHNNWISGRQKKLERQVLSGLWDYDISTRMCLKSWHGTTSVSYF >itb08g17410.t2 pep chromosome:ASM357664v1:8:19898181:19899910:1 gene:itb08g17410 transcript:itb08g17410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGAPHMPGRQPSTLHAILVCVWSLQPFHVSSVLLLMAQLLAHTPNSLMKLLLHHHRLINFFQPTMKFNSSVFTPHFYYNKALVQGLWLIWTFGFLLIGVSLYATQLLSSSLKYHSNLGDLGGPKITIFTAPRPFDGVVGERQNLAVRSWLGLSADINVVLFTQHPSAFSFAKAFGSRVTVEPNIDFSFIGTPFFHSMVANSQASSSDVSVLIHPETVLLSDFILTVRHAYKLDHDWLFFASSRKISYFPFHLDADGKQWLTDDDDGMLVDINKVHMKEPGK >itb01g29440.t1 pep chromosome:ASM357664v1:1:33682165:33692622:1 gene:itb01g29440 transcript:itb01g29440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15340, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G15340) UniProtKB/Swiss-Prot;Acc:Q9LXE8] MIYEDYDMIVCRNRHCFFTLARHYRTLLRSCARYLALDVGQRVHAAAITSGLLNTPNAFLRNAVLHMYAACGRALSARKLFDEIPLSQKDTVDWTVLMGSYIRAGLPLSALKLFIDMRREIVPVDELTMVSVFNGCAKLGHDGVGVQGHAFLIKIGLDFCVKACNAIMDMYVKCGLINETRKVFDELRERSVVSWTILLEGVVRMEGLEIARLVFDKMPERNIVAWTIMIAGYVENGFTMEAFRLLSIMLFDLRFELNFVSLCSWLSASAQSGNVMMGKWVHVYYLKKINSELDAMISTSLIDMYAKCGRIDDAFRVFAMTPQRNVITWNVMLSGLAMQGKGNTLLDMFGEMVKDVKPDDVTFTAVLSACSHSGLVDQGRHFFYNLEPMYGIKPSMEHFSCFVDLLGRAGYLEEAKSVIQKMPMPPNEVVLGSLLGACSVHKNIDMGECLMKELVQMYPHNSEYHILLSNMYVFAGKRDKANSLRGILKHRGIRKVPDQPLPPGVQPVSISSELLPATKPSTPNFQNSNNFEYPAQSSEGSLFPVLPSNGIRFTPAESFQPHSTSSSQIHCTNTQHVVDQMPNSFQSVQMLKEDTSGVSTEVTCHIMPSGLESSGSTPQLEITNDIENAARSAVLHEQEIATQKVIQNQREARSACEPHEDSKDLFSGRHDPNTLKEHLLKMTAEHRTQMALKRGRSVLPEEGNIEIGNGYGVPGGSAYYGALKPSATTARDAVPGHATNESNAELSGDSGHKSTAKELPEFLKKKLRARGILKDGPTMDRSIPANRSEMLPSQRMPLAELPPGWIEAHDPASGATYYYDESTGKSQWERPTVAASALDSTLPSQLPENWKEFIDETTGQKYYYNTLTNVSQWENPCTKQVSLQQHDANTTRNWGEQSSTLPKCMGCGGWGVALLQSWGYCRHCTRVLNLPQSQYILEDVESKHHTTNTFGSEEDSDKKFQKQRSNFKPPMGKGNRRDNRKRTYSEDDELDPMDPSAYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQKKKPKTHLMAISKRGDGSDGLGEAD >itb12g01600.t2 pep chromosome:ASM357664v1:12:1088893:1092236:1 gene:itb12g01600 transcript:itb12g01600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEFCFEEFPDEIKRFRSMVESADLTKPHTWFPFARAMKRRIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAVGVYCSLLTGQEKKSVPFSSHVACTVEMVSTDELYDVAVIDEIQMMADSCRGYAWTRALLGLKADEIHLCGDPSVLNIVRRICSDTGDELVEQHYERFKPLVVEAKTLLGDLKNVKSGDCVVAFSRREIFEVKLTIEKLTNHRCCVIYGALPPETRRHQASLFNDPDNEYDVLVASDAVGMGLNLNIRRVVFYNLSKYNGDKIVPVPASQVKQIAGRAGRRGSRFPEGLTTTLHLEDLDYLIECLKEPFDEVKKVGLFPFFEQVELFAGQLSNATFSELLEKFGANCRLDGSYFLCQHGHIKKIANMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLLRFASSYAQNLPVNIAMGMPKCSARNDSELLDLETRHQVLSTYMWLSNHFEEEKFPYFKKAEAMAAGVAELLGESLTKACWKPESRSGGKPRMQEKDNREKREKSLPGQQEENKVAAQCS >itb12g01600.t1 pep chromosome:ASM357664v1:12:1088885:1092236:1 gene:itb12g01600 transcript:itb12g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPARTLLYLCSSSKRNFFRATVFPCSNRFLHARTPEKFQDYPFHEPRLQPQPQFLHTHFAQFTKFGFFGFSGRHFSALVGNDGSCSSPGESVDGEAGNLELGKEENEDGKVGCEKRLDFGHIVGRDPVEIYRELRDASKGEKQTRGDWDSCTEIFSCFAKSGWASNQALAVYIGASFFPTAVLKFRKFFFKKCKTDIVKYLVSLGPSHEAEEFLFPMFVEFCFEEFPDEIKRFRSMVESADLTKPHTWFPFARAMKRRIIYHCGPTNSGKTYNALQRFMEAKKGIYCSPLRLLAMEVFDKVNAVGVYCSLLTGQEKKSVPFSSHVACTVEMVSTDELYDVAVIDEIQMMADSCRGYAWTRALLGLKADEIHLCGDPSVLNIVRRICSDTGDELVEQHYERFKPLVVEAKTLLGDLKNVKSGDCVVAFSRREIFEVKLTIEKLTNHRCCVIYGALPPETRRHQASLFNDPDNEYDVLVASDAVGMGLNLNIRRVVFYNLSKYNGDKIVPVPASQVKQIAGRAGRRGSRFPEGLTTTLHLEDLDYLIECLKEPFDEVKKVGLFPFFEQVELFAGQLSNATFSELLEKFGANCRLDGSYFLCQHGHIKKIANMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLLRFASSYAQNLPVNIAMGMPKCSARNDSELLDLETRHQVLSTYMWLSNHFEEEKFPYFKKAEAMAAGVAELLGESLTKACWKPESRSGGKPRMQEKDNREKREKSLPGQQEENKVAAQCS >itb02g16860.t1 pep chromosome:ASM357664v1:2:12850014:12850703:1 gene:itb02g16860 transcript:itb02g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLGRGGFLDDAMEMIRSMNLKPDPVIWRALLVACRFHGRAELGEFAFQKLVELEPRNADNYVSLSNVYVHDKKWAEVGELRKLMDRRGIRKVPGYSSIEIKNVMYVFKASDSIGPGYVDVHNMLAEMKEKLKFAGYAAETGMVLYDVEEEEKEHNLIYHSEKLALAFGLLNSSSTTLRIMKNLRICQDCHHFFKLVSAVYRRNIVVRDRYRFHHFTGGICSCKDYW >itb06g22580.t1 pep chromosome:ASM357664v1:6:24807618:24809991:-1 gene:itb06g22580 transcript:itb06g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLANISNSISPTAQALFDELCTAYIAPLDFPDLIISLVGVSAAIIAVHLLLEMVRDLKQMTKQKTKSKWLGVRRDFSTEVEKSRMVHFPDLDAALAEELQLEEALLVSLFTDTTVNEASSSVLAFLSTASTVSEASSSVQGEPFPKSSCEICLEDKGEWQMIEHEGCSNSFCSECMSKHIIARVEANMLEVNCPGINCRALLNASDYRHLVPKEILVRWDEAVCKSMYVDSQKLYCPYRDCSTMLVNDTGEALGKSTCPSCKRSFCAECQVPWHPEFTCQEFKMLNTEKKNEDAMVMTLAKKKQWQKCPKCKMLVEKSDGCLHMTCRCKHQFCYRCGKEWSSTHGACQFQPIRTTQ >itb11g06790.t1 pep chromosome:ASM357664v1:11:4120488:4122094:-1 gene:itb11g06790 transcript:itb11g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGKKVLLTSNGDEICNNIARHLAQRGCQLVLMGNESRLKSVAKAIKQSLDGSVVVVEVVGLNMEEEREAAFDEAVEKAWNILGYLDALVHCYTYEGKMQDPLQLAEDEFKKIVKINFMAAWFLLKSVCKRMRDRKSGGSIVYLSSIIGAERGLYQGAAAFGSCLAGVQQLVRLSAMEMGKHQIRVNGIARGLHLHDEYPLSVGKERAEKLVGEAAPLNRWLDVEKDIASTVIYLISDDSRYMTGTTTFVDGAQSLVRPRMRSYM >itb01g21640.t1 pep chromosome:ASM357664v1:1:27719299:27724745:1 gene:itb01g21640 transcript:itb01g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLAVLLLAIFLFFSAANSTGNFTGFSNIRLKSEVTGLCADLIEPSGFPCSEHSTETEDGFVLGLQRVGSSSGIVNGRMGPPVLLIHGLFMAGDAWFLNSANQSLGFILADRGFDVWVGNVRGTRWSYGHVSLSEKDKDFWDWSWQELALYDLAEMTRYIYSLTNSKVLIVGHSQGTIISLAAFTQPDIVEMVEGAAFLCPISYLDHITSTFVRRLVNMYLDEVILALGIHELNFKSDICTRVMDMMCNGHLDCADMLSAITGENCCFNSSRIDFYLEYEPHPSSSKNLNHLFQMIRKGTFAKYDYGRLKNLKLYGQMKPPEFDLSEIPSSLPLWMGYGGNDALADVTDVERTISELQSKPDLLYLDDYGHIDFLLSVSAKEDVYDSMIGFFKSLQQNVSSY >itb10g25340.t1 pep chromosome:ASM357664v1:10:28708026:28712522:1 gene:itb10g25340 transcript:itb10g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAMLKHPDDVYPLIKLKIAARQIEKQIPPQPHWGFCYTMLQKVSRSFALVIQQLPIELRDAVCIFYLVLRALDTVEDDTSIATEVKVPILIDFHRHIYDREWHFLCGTKDYKVLMDQFHHVSTAFLELGKNYQEAIEDITMRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHASGTEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKCRMFWPRQIWSKYVNKLEELKYEENSVKAVQCLNDMVTNALSHVEDCLTYMSALRDPSIFRFCAIPQIMAIGTLAMCYNNIEVFRGVVKMRRGLTAKVIDRTRTIEDVYGAFFDFSCMLISKVDHNDPSASKTLKRLEIILKTCRDSGTLNKRKSYILRAEPNYTPALIVVFFIILAILLGYLSGNKPHSL >itb10g25340.t3 pep chromosome:ASM357664v1:10:28708210:28712481:1 gene:itb10g25340 transcript:itb10g25340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAMLKHPDDVYPLIKLKIAARQIEKQIPPQPHWGFCYTMLQKVSRSFALVIQQLPIELRDAVCIFYLVLRALDTVEDDTSIATEVKVPILIDFHRHIYDREWHFLCGTKDYKVLMDQFHHVSTAFLELGKNYQEAIEDITMRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHASGTEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKCRMFWPRQIWSKYVNKLEELKYEENSVKAVQCLNDMVTNALSHVEDCLTYMSALRDPSIFRFCAIPQIMAIGTLAMCYNNIEVFRGVVKMRRGLTAKVIDRTRTIEDVYGAFFDFSCMLISKVDHNDPSASKTLKRLEIILKTCRDSGTLNKRKSYILRAEPNYTPALIVVFFIILAILLGYLSGNKPHSL >itb10g25340.t2 pep chromosome:ASM357664v1:10:28708026:28712522:1 gene:itb10g25340 transcript:itb10g25340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAMLKHPDDVYPLIKLKIAARQIEKQIPPQPHWGFCYTMLQKVSRSFALVIQQLPIELRDAVCIFYLVLRALDTVEDDTSIATEVKVPILIDFHRHIYDREWHFLCGTKDYKVLMDQFHHVSTAFLELGKNYQEAIEDITMRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHASGTEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKCRMFWPRQIWSKYVNKLEELKYEENSVKAVQCLNDMVTNALSHVEDCLTYMSALRDPSIFRFCAIPQIMAIGTLAMCYNNIEVFRGVVKMRRGLTAKVIDRTRTIEDVYGAFFDFSCMLISKVDHNDPSASKTLKRLEIILKTCRDSGTLNKRKSYILRAEPNYTPALIVVFFIILAILLGYLSGNKPHSL >itb15g04220.t1 pep chromosome:ASM357664v1:15:2674002:2684415:-1 gene:itb15g04220 transcript:itb15g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNNEKVEETSQVKEVTSTYEYAMEKLSSLITRKKRDGKSTISEIEKRERMLSYIEILGLEKQIAGLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRLDGLDISEEKFLQYFWEIWNQLKDNIVEGLPMPPLFQFLTLLAFKIFASEKVDVAIIEVGIGGTFDSTNVIKEPVVCGITSLGMDHMEILGDTVGKIASHKAGILKLGVPAFTVPQPSEAMEVLYDRAQKLMVPLKVVTPLDSKVLKDVKLILSGDHQLTNAALAVSLCKSWLKTTGPRQLENADWDETLPHKFLIGLSSAHLSGRAQIVQDDTIKNSSGVNGSYPGGLTFYLDGAHSPESMEACARWFSAVVGENKNLSHLSSCSKDLTPKKIWVNGCIQDSDKQEPYKMSKQILLFNCMDVRDPQILLSRLVNTCTSSGTHFSKAIFVPSMSAYNKVTSGTSTVPLNIPQKDLSWQFNLQRVWERICCGKDVHVLDQNLNTNTSGNVPPCKFLYKDASSCSQGDEYFGSSVFPSLPLTINWLRNCAKENPSSRIQVLVTGSLHLVGDVLRLLKR >itb15g04220.t2 pep chromosome:ASM357664v1:15:2674002:2684415:-1 gene:itb15g04220 transcript:itb15g04220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNNEKVEETSQVKEVTSTYEYAMEKLSSLITRKKRDGKSTISEIEKRERMLSYIEILGLEKQIAGLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRLDGLDISEEKFLQYFWEIWNQLKDNIVEGLPMPPLFQFLTLLAFKIFASEKVDVAIIEVGIGGTFDSTNVIKEPVVCGITSLGMDHMEILGDTVGKIASHKAGILKLGVPAFTVPQPSEAMEVLYDRAQKLMVPLKVVTPLDSKVLKDVKLILSGDHQLTNAALAVSLCKSWLKTTGPRQLENADWDETLPHKFLIGLSSAHLSGRAQIVQDDTIKNSSGVNGSYPGGLTFYLDGAHSPESMEACARWFSAVVGENKNLSHLSSCSKDLTPKKIWVNGCIQDSDKQEPYKMSKQILLFNCMDVRDPQILLSRLVNTCTSSVREMSILAVLCFLHYR >itb04g29550.t1 pep chromosome:ASM357664v1:4:32888647:32891033:1 gene:itb04g29550 transcript:itb04g29550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFFSSSSKPGSPLSFPSKSPSPPRSSFSETLMKETIATVEPMIRKWDLEESATYERISYLFRDNRVEAMEFLSCVNDLQHSMQLVALENSSSEHLVTAHNLMQIAMKRLQKEFYTILSGNRYFLDPSTRFSGTSSAKSSVSDLGDDNVSEEDEETVGRTGRVPDAAVADLKAVAECMIGAGYGKECMKIYTIIRKSIVDETLYYLGIENSSTSQIQKMDWETLDERAKNWLSAVKIAVTTLFLGERILCSEVFAASEDIKESCFTAISKDAAMSVLGFAESVGKYKKLSVEKMFRFLDLYEAVSELWPEIESIFDFTSTSAVRSQAVMSLLKLGEAVRAMLTEFETAVQKDSSKPPPGGAVHPLTRYVMNYLVFLADYSGEVSEIVADWPLSTNSPLPEAYFSTPHPDDDDSGASAVSERFAWLVLLLLCKLDGKAQMYKDVPLSYLFLANNLNYVVSKVRQSNLNLILGSGWISTHEGKVKQYAANYERMGWDKVLASFPEIPTADISPAEANDCFTKFNSGFEEAYRRQSSWVIPDPKLRDQVKISLANRIVPGYRDLYEEHLSRFRGAESVVRFTPDDLQNYLSDLFHGLSISGGSKASNGASSPSPSTSPLRGR >itb02g15800.t1 pep chromosome:ASM357664v1:2:11495694:11497917:-1 gene:itb02g15800 transcript:itb02g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGGSRRGASKNRPSNVIYEEIVPDFEWIEDPTNHWLGLEVPGFRREEVKLEVNTYGLIKIGGERKMSEIKYIWFEQTFQAPQNSKPEDCRVGLEEGIFYVQIPKKATHRVHASKEDADHQKGKKVNDTTEKIPPNSTPTKPPRDDAGSDLEKGKKVNDSGGGTTQNIPANSSPNKPPRDDAGSDVEKGKKVNDSGGGTTENIPASTPTKPPKDDEGSDLEKGKKVNDSGEFPNENEGTIENIPAAATTPLETPKEEEDSIQEKGKKGNGNGDIIPNDSKGTSKEKEYDDAKGEEKSNFLAKVGKRVKQNLGILITVILIFSAGVLVSQDKRKKANSNDQILLAKLDSQNHNHDDE >itb12g14760.t1 pep chromosome:ASM357664v1:12:14984059:14989405:-1 gene:itb12g14760 transcript:itb12g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHCCFMLLFACNRLQIWNQTNEQISPPLAFSSVDVATARLQLRQRRHRPPLQQSPSSSIAFRSGQLRSAAAAPPLSSTAARPPPPLALRCRRSLPPADADALRRINFSFQVFLEMTLYRIVLDALYKRIMRAYNENNCFRVIIIIPLLPGFQGGLDDSGAASVRAIMHWQYRTICREHSSIL >itb06g24650.t2 pep chromosome:ASM357664v1:6:26116598:26120092:-1 gene:itb06g24650 transcript:itb06g24650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVYIAPREGLAKERYSDWKKKFGDGLGVRVVELTGETTTDLKLLEEGQVVISTPEKWDALSRCWKQWKHVQQVSLFIVDELHRIGDQGGHVLEVIVARMRYIASQLEKKIRIVALSTSVVNARDLGEWIGATSNGLFSFPIGPKPVPLGTQIQDADFANSEALLDKENHIYTSIYTAIVQHVSSGKPAIVYVPSRKRVNLAVFRLKTYLRSQCANRVELPFVESIEEHELKEALKYGVCYLHEGLAKTDQDIVKTVFKNGLAQVCVISSSMCCGLPFSANLVMVMGTQHCDGRENGATGKDYCRNFLFEALFPVESQLQHYLHDHLNAEVVSEVIQNRLDAVGYLGRSFMYRRLTQNPNYYNLQGVVDDRSWLDHFSVIVRKTSSDLVASRCVTCFADYLLSPNNLGVIASNYYINYTTVDQFSSSLTPTTKLKGLLEILTAASEYQQLPIRPGEEELIRSLVNEHRYSFGNPKYSDPCVKANALLQAHFCRQMVGEENLAADQQQVILYASRLLGAMVDVISTNGWLSLALLAMEVSQMVTQAMWECDSMLLQLPHLTKELAKKCQENPGKSIETVSDLLEMEDGERRELLQMPDTQLMDIARCSHCFPNIDMSYEVVGSENVRASGEDISLKVTVGRTTEVAPVLAPRYPVKKYEGWWVVVGDPKSNKLLAIKRVMMSVQRKSTVILNFAAPAKAGKKTYTLHFKCDSYVGFDKEYVFTVDAKE >itb06g24650.t3 pep chromosome:ASM357664v1:6:26116598:26120033:-1 gene:itb06g24650 transcript:itb06g24650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGSFQHLVLPENIPLPTVLVDVQPLPVDALRNPAYEAFYQEFKHFNPVQTKVFNVLYNSDDNVLVAAPTGSGKTICSEFSILRDHQKGLSDSVMRVVYIAPREGLAKERYSDWKKKFGDGLGVRVVELTGETTTDLKLLEEGQVVISTPEKWDALSRCWKQWKHVQQVSLFIVDELHRIGDQGGHVLEVIVARMRYIASQLEKKIRIVALSTSVVNARDLGEWIGATSNGLFSFPIGPKPVPLGTQIQDADFANSEALLDKENHIYTSIYTAIVQHVSSGKPAIVYVPSRKRVNLAVFRLKTYLRSQCANRVELPFVESIEEHELKEALKYGVCYLHEGLAKTDQDIVKTVFKNGLAQVCVISSSMCCGLPFSANLVMVMGTQHCDGRENGATGKDYCRNFLFEALFPVESQLQHYLHDHLNAEVVSEVIQNRLDAVGYLGRSFMYRRLTQNPNYYNLQGVVDDRSWLDHFSVIVRKTSSDLVASRCVTCFADYLLSPNNLGVIASNYYINYTTVDQFSSSLTPTTKLKGLLEILTAASEYQQLPIRPGEEELIRSLVNEHRYSFGNPKYSDPCVKANALLQAHFCRQMVGEENLAADQQQVILYASRLLGAMVDVISTNGWLSLALLAMEVSQMVTQAMWECDSMLLQLPHLTKELAKKCQENPGKSIETVSDLLEMEDGERRELLQMPDTQLMDIARCSHCFPNIDMSYEVVGSENVRASGEDISLKVTVGRTTEVAPVLAPRYPVKKYEGWWVVVGDPKSNKLLAIKRVMMSVQRKSTVILNFAAPAKAGKKTYTLHFKCDSYVGFDKEYVFTVDAKE >itb06g24650.t1 pep chromosome:ASM357664v1:6:26116598:26120097:-1 gene:itb06g24650 transcript:itb06g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSLPLSHSGFQMSHSQDFKRFKYNGNSSSQEPPKSLWSLLDIRNFGRVMEMTMDIHSLFSSQPIPSGHGKKRCSRYTCLQPQFFIQVVCDVWLGFDFGIPGSFQHLVLPENIPLPTVLVDVQPLPVDALRNPAYEAFYQEFKHFNPVQTKVFNVLYNSDDNVLVAAPTGSGKTICSEFSILRDHQKGLSDSVMRVVYIAPREGLAKERYSDWKKKFGDGLGVRVVELTGETTTDLKLLEEGQVVISTPEKWDALSRCWKQWKHVQQVSLFIVDELHRIGDQGGHVLEVIVARMRYIASQLEKKIRIVALSTSVVNARDLGEWIGATSNGLFSFPIGPKPVPLGTQIQDADFANSEALLDKENHIYTSIYTAIVQHVSSGKPAIVYVPSRKRVNLAVFRLKTYLRSQCANRVELPFVESIEEHELKEALKYGVCYLHEGLAKTDQDIVKTVFKNGLAQVCVISSSMCCGLPFSANLVMVMGTQHCDGRENGATGKDYCRNFLFEALFPVESQLQHYLHDHLNAEVVSEVIQNRLDAVGYLGRSFMYRRLTQNPNYYNLQGVVDDRSWLDHFSVIVRKTSSDLVASRCVTCFADYLLSPNNLGVIASNYYINYTTVDQFSSSLTPTTKLKGLLEILTAASEYQQLPIRPGEEELIRSLVNEHRYSFGNPKYSDPCVKANALLQAHFCRQMVGEENLAADQQQVILYASRLLGAMVDVISTNGWLSLALLAMEVSQMVTQAMWECDSMLLQLPHLTKELAKKCQENPGKSIETVSDLLEMEDGERRELLQMPDTQLMDIARCSHCFPNIDMSYEVVGSENVRASGEDISLKVTVGRTTEVAPVLAPRYPVKKYEGWWVVVGDPKSNKLLAIKRVMMSVQRKSTVILNFAAPAKAGKKTYTLHFKCDSYVGFDKEYVFTVDAKE >itb05g03180.t1 pep chromosome:ASM357664v1:5:2624448:2625026:-1 gene:itb05g03180 transcript:itb05g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKNTSRGVTRNRQRVPLARIENEVQRLVTFSKRRTGLFKKASEMSTLCGTEIGMVVFSPSGKPFSFNNPDMNAVLTKYFGEIPRTEPNLAEHIVRAHQEAKMRAMTSQINVLEAKIDEEMLVDQALREAKKGRPSISDLQLPELQSMKHRMETLLDQVTEKLNMFSIMGAQSQAMETRFGANDDAGPSGV >itb11g19810.t1 pep chromosome:ASM357664v1:11:20638684:20641799:1 gene:itb11g19810 transcript:itb11g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVKTPLTVLDTDGKAVQSGVKYYVVPVQPKQGGGLDLASTDNQTCPKSVVQVAPKVAGNSVSFFPAVNPKGAVQNGTDLNVVFSGSNTGCPESTVWQIAHDAENVDVIQYVLSGGDKGNPSSSTARSWFMIMKTKNGYKFKFCPVSLCDCNPVCQDIGITVENGHRRLVVDSSLSPLEVNFKKA >itb10g01680.t1 pep chromosome:ASM357664v1:10:1365588:1369406:-1 gene:itb10g01680 transcript:itb10g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVRSAMGEVLIRPWQVLELIDEVQRLGLGHRFEEDILEALERIVSSIGLDNIIAASPQKCGLVFKLFRQHGFEVSQDIFSHLMDENGEFIPTIQSDTKGIMSLYEASFLTFDGENILQIAKPFIIKCLKHIMAKEDCSLSEEVNHVLELPQHYRPPRLEARWYIEACKKTRGDNDFLLELATLDFNMVQSLYQKELQEVSRWWKDIRLADKLNFVKDRLVECYFWAAGVTPQPQLSKARIALTKVSALISTIDDIYDVYGSPNELHLFTNVVKKWDLDGVKDLPDYMKICFLALYNTVNELGDDTVKEQGVNSIPILAKKWADMCEAFLVEATWSNKKVTPPLKVYLENAWVSASGSVILSHAYFLITQNITNEALDALQNNNDLLRWSSMIFRLCNDLATFKAELERGETANSILCHMQESGHLEDDSRDYIRYLRDEAWKNLNKNKTSDNISLFGKPFIEAAINLARISECTYRHGDGVGAPDIKSKNRVLSLIIQPLSLHGQE >itb10g01680.t2 pep chromosome:ASM357664v1:10:1365588:1369406:-1 gene:itb10g01680 transcript:itb10g01680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEVLQERAEKMEDEVRSAMGEVLIRPWQVLELIDEVQRLGLGHRFEEDILEALERIVSSIGLDNIIAASPQKCGLVFKLFRQHGFEVSQDIFSHLMDENGEFIPTIQSDTKGIMSLYEASFLTFDGENILQIAKPFIIKCLKHIMAKEDCSLSEEVNHVLELPQHYRPPRLEARWYIEACKKTRGDNDFLLELATLDFNMVQSLYQKELQEVSRWWKDIRLADKLNFVKDRLVECYFWAAGVTPQPQLSKARIALTKVSALISTIDDIYDVYGSPNELHLFTNVVKKWDLDGVKDLPDYMKICFLALYNTVNELGDDTVKEQGVNSIPILAKKWADMCEAFLVEATWSNKKVTPPLKVYLENAWVSASGSVILSHAYFLITQNITNEALDALQNNNDLLRWSSMIFRLCNDLATFKAELERGETANSILCHMQESGHLEDDSRDYIRYLRDEAWKNLNKNKTSDNISLFGKPFIEAAINLARISECTYRHGDGVGAPDIKSKNRVLSLIIQPLSLHGQE >itb03g30080.t1 pep chromosome:ASM357664v1:3:31424036:31429802:-1 gene:itb03g30080 transcript:itb03g30080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYSGKLQCSEEEITGKIECCSGGRGRIVLGCDDGTVSLLDRGLKFNYSFQAHSSSVLFLHQLKQRNFLLTVGEDEQISAQVSATCLKVFDLDKMQEEGSSASTPDCVQILRIFTNQFPEAKITSFLVIEEAPPILFIAIGLDNGCIYCIQGDIARERIKRFRLQVVNHSNKSQASITGFGFRVDGQALQLFAVTPESVSIFNLQTQPPSQQTLDQIGSSVPSVAMSDRSELIIGRSEAIYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRTGKNTFNVYDLKNRLIAHSIVVNEVSHMLCEWGNIILIMEDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYGKQDYDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDAVGEHKFDVETAIRVCRAANYFEHAMYVAKKTGRHEWYLKILLEDLGRYDEALEYINSLELSQAGVTVKEYGKILIEHKPTETIEILMRLCTEEGEAGKRAATSVTYVSMLPSPIDFLNIFVHYPQSLLEFLEQYTSKVKDSPAQVEIHNTLLELYLSHDLDFPSISQTSIGGNEDARVEPSVSKAGFIGRVNLNRKDVNEEKSRLERRKKGLSLLKSAWPSEQEQPLYDVDLAIILCEMNGFREGLLFLYEKMKLYKEVIACYMQAHDHEGLIACCKRLGDSGKGGDPSLWAELLKYFGELGENCSKEVKEVLTYIERDDILPPIVVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQEETSTMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPMCAPEYRAILETKRSLEQSSKSQDQFFQQVKTSKDGFSVIARYFGKGIISKTSINGTADKSSNAPSNEF >itb02g25170.t1 pep chromosome:ASM357664v1:2:25920784:25921737:-1 gene:itb02g25170 transcript:itb02g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNRVLCMAVLGALAMATMLQPGTAQTAHVVGDNIGWAVPSNGAAAYSNWASGKTFNVGDILVFNFATNQHDVLRVPKASFDGCTSANAIGNAIMNGPANVTLDSAGEHYYICTFGTHCQAGQKLAITVTGNGAPAPNRPPTAPTPSTPTTPSPTSSAPCPPTADAPSPTHSTSPGVARSTPSSPDSSSPAVVAGFLFTLASVALALFV >itb02g13070.t1 pep chromosome:ASM357664v1:2:9082254:9085313:-1 gene:itb02g13070 transcript:itb02g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHMMYTLGTGQALMSISNARFSPHHCLTYSINAFFGPDIGSFTEWLASTLGLGRVLGSSVESWIHDPFYYILILGFPFSLLYSWVSKLLLRKGFLDSISGVPLTKRQCFLLVAAGSLSHFFLDHLFEENGHSSMYTWILSTGWWKGRAPINPDAVVVISLLCTFLLGGFVYINRVKPLKALKKKSYQSARLILVVASLYCLWCASQIYLVSPRRPAVGEEADLGVLVFLAVYFFIPHWLCVLSMNSVDSHGTEVLLL >itb09g01610.t1 pep chromosome:ASM357664v1:9:991700:992706:1 gene:itb09g01610 transcript:itb09g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTVNKKVVAFIMGATGSGKSKLSVSLAARIGGEIINSDKIQVYKGLDVLGNKIPEAEKLGVPHYLLGHIGNPNQKYTARDFCFEATSVIGRIIDSGKVPVVAGGSNSFLEALVEDPEFKFKSNYLGCFIWLDVSPNVLNTFVSKRVDQMLNQGLVEEVRGIFGPDKDYTKGIRRAIGVPEMDKYLRAESNKDMSDAEKKALLQSAIAEIKTNTIGLIRHQVRKIRRLRDDLGWPIHRIDPTPVLQIQGDKQAQEAAWLNMVFNPSFNILQKYL >itb03g20190.t1 pep chromosome:ASM357664v1:3:18099152:18110091:1 gene:itb03g20190 transcript:itb03g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFLHQSKPGSTSTLLLPIIFSLLSLSSPAESSCSEGCDLALASYYVGPGTNLSFISKLFSIPADKIIAYNPTTNFPNGNSVLEGTRINVPFQCDCLSIIDGFGHMFPYTIRKGDILYTISRSYYSNLTTYQWLQKFNRLSDKDVFFLDIGMVLNVLVNCSCGNKDLPQGYDLFVTYPLRPGESLQTVAKTANVSEDLVRRYNPGMNFSAGSGLLYIPGRGRILCFDCNKLEEVVDMVGSCSCFTDVPNSMLHLLFSMEKDYKSGYMSPEYALRGIVSTKIDVFSFGILLLEIVSGKKNNSSYRLEHPLNLIGLAWELWREGRGVELMDPALSGSCPEAEVMRCIQVGLLCVQDEAKYRPSMSTVVSMFANESAELPLPKQPAFFIAEEGAQQSESQEKDERLSLSTNEITVSEMLAR >itb03g14800.t3 pep chromosome:ASM357664v1:3:14360075:14365087:1 gene:itb03g14800 transcript:itb03g14800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENGKCWDTAKKSSWKTTLILAYQSLGVVYGDLSISPIYVYKSTFAEDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQISDEALSTYKLEPPPEAKNSSKVKMVLEKHRSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDHHQYAVIPITCVILVCLFALQHYGTHRIGFAFAPVVLTWLLCISALGLYNIFHWNPHIYKALSPYYMVKFLKKTRQRGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAFLSRHHHTIHQIGFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDEIHGQVYIPEINWILMILCVAVTIGFRDTKHMGNASGLAVMAVMLVTTCLTSLVIILCWHKPPILALCFLLLFGSIELLYFAASLIKFLEGAWLPILLSLFLLTVMFVWHYATIKKYEFDLQNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHGILVFVCIKSVPVPFVPPAERYLVGRVGPASHRSYRCIVRYGYRDVHQDVDSFESELVSILADFIRYDWYKSHGVIDDVDGDAPAGASPAEYQLAVIGTVALSRSPAFDADDESVQPASVSAGFPTVESVTEMLPPPPPAAAASGKRVRFAVDEESASEVDSRSEMEIQMQSELEELYAAQQAGIAFILGHSHVRTKQGSSLFKRLAINFGYNFLRRNCRGTDVSLKVPPASLLEVGMVYVV >itb03g14800.t2 pep chromosome:ASM357664v1:3:14359287:14365087:1 gene:itb03g14800 transcript:itb03g14800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYYSCSTKSLDCSRVAGGTFALYSLICRHAKVSLLPNRQISDEALSTYKLEPPPEAKNSSKVKMVLEKHRSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDHHQYAVIPITCVILVCLFALQHYGTHRIGFAFAPVVLTWLLCISALGLYNIFHWNPHIYKALSPYYMVKFLKKTRQRGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAFLSRHHHTIHQIGFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDEIHGQVYIPEINWILMILCVAVTIGFRDTKHMGNASGLAVMAVMLVTTCLTSLVIILCWHKPPILALCFLLLFGSIELLYFAASLIKFLEGAWLPILLSLFLLTVMFVWHYATIKKYEFDLQNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHGILVFVCIKSVPVPFVPPAERYLVGRVGPASHRSYRCIVRYGYRDVHQDVDSFESELVSILADFIRYDWYKSHGVIDDVDGDAPAGASPAEYQLAVIGTVALSRSPAFDADDESVQPASVSAGFPTVESVTEMLPPPPPAAAASGKRVRFAVDEESASEVDSRSEMEIQMQSELEELYAAQQAGIAFILGHSHVRTKQGSSLFKRLAINFGYNFLRRNCRGTDVSLKVPPASLLEVGMVYVV >itb03g14800.t4 pep chromosome:ASM357664v1:3:14360075:14365087:1 gene:itb03g14800 transcript:itb03g14800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYYSCSTKSLDCSRVAGGTFALYSLICRHAKVSLLPNRQISDEALSTYKLEPPPEAKNSSKVKMVLEKHRSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDHHQYAVIPITCVILVCLFALQHYGTHRIGFAFAPVVLTWLLCISALGLYNIFHWNPHIYKALSPYYMVKFLKKTRQRGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAFLSRHHHTIHQIGFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDEIHGQVYIPEINWILMILCVAVTIGFRDTKHMGNASGLAVMAVMLVTTCLTSLVIILCWHKPPILALCFLLLFGSIELLYFAASLIKFLEGAWLPILLSLFLLTVMFVWHYATIKKYEFDLQNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHGILVFVCIKSVPVPFVPPAERYLVGRVGPASHRSYRCIVRYGYRDVHQDVDSFESELVSILADFIRYDWYKSHGVIDDVDGDAPAGASPAEYQLAVIGTVALSRSPAFDADDESVQPASVSAGFPTVESVTEMLPPPPPAAAASGKRVRFAVDEESASEVDSRSEMEIQMQSELEELYAAQQAGIAFILGHSHVRTKQGSSLFKRLAINFGYNFLRRNCRGTDVSLKVPPASLLEVGMVYVV >itb03g14800.t1 pep chromosome:ASM357664v1:3:14359287:14365087:1 gene:itb03g14800 transcript:itb03g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENGKCWDTAKKSSWKTTLILAYQSLGVVYGDLSISPIYVYKSTFAEDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQISDEALSTYKLEPPPEAKNSSKVKMVLEKHRSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKDHHQYAVIPITCVILVCLFALQHYGTHRIGFAFAPVVLTWLLCISALGLYNIFHWNPHIYKALSPYYMVKFLKKTRQRGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAFLSRHHHTIHQIGFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDEIHGQVYIPEINWILMILCVAVTIGFRDTKHMGNASGLAVMAVMLVTTCLTSLVIILCWHKPPILALCFLLLFGSIELLYFAASLIKFLEGAWLPILLSLFLLTVMFVWHYATIKKYEFDLQNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHGILVFVCIKSVPVPFVPPAERYLVGRVGPASHRSYRCIVRYGYRDVHQDVDSFESELVSILADFIRYDWYKSHGVIDDVDGDAPAGASPAEYQLAVIGTVALSRSPAFDADDESVQPASVSAGFPTVESVTEMLPPPPPAAAASGKRVRFAVDEESASEVDSRSEMEIQMQSELEELYAAQQAGIAFILGHSHVRTKQGSSLFKRLAINFGYNFLRRNCRGTDVSLKVPPASLLEVGMVYVV >itb01g29380.t8 pep chromosome:ASM357664v1:1:33629725:33636210:-1 gene:itb01g29380 transcript:itb01g29380.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb01g29380.t7 pep chromosome:ASM357664v1:1:33629729:33637283:-1 gene:itb01g29380 transcript:itb01g29380.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb01g29380.t6 pep chromosome:ASM357664v1:1:33629729:33637956:-1 gene:itb01g29380 transcript:itb01g29380.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb01g29380.t3 pep chromosome:ASM357664v1:1:33629725:33637970:-1 gene:itb01g29380 transcript:itb01g29380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQILGS >itb01g29380.t1 pep chromosome:ASM357664v1:1:33629725:33636210:-1 gene:itb01g29380 transcript:itb01g29380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQILGS >itb01g29380.t9 pep chromosome:ASM357664v1:1:33629725:33636014:-1 gene:itb01g29380 transcript:itb01g29380.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb01g29380.t4 pep chromosome:ASM357664v1:1:33629725:33637970:-1 gene:itb01g29380 transcript:itb01g29380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb01g29380.t2 pep chromosome:ASM357664v1:1:33629725:33637970:-1 gene:itb01g29380 transcript:itb01g29380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQILGS >itb01g29380.t10 pep chromosome:ASM357664v1:1:33629725:33633340:-1 gene:itb01g29380 transcript:itb01g29380.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb01g29380.t5 pep chromosome:ASM357664v1:1:33629725:33637970:-1 gene:itb01g29380 transcript:itb01g29380.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MARACWPYFDPEYETLSIRINPPRVSVDNISCKDCTIVKVDSVNKPGILLEVVQVLSDLDLIITKAYVSSDGGWFMDVFHVTDQHGNKVTDGNTIGYIEKALGPKGYTSDVLKTCPGKNVGVNSLGDYTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNQRIACVLYVNDKVTCNAVDDPSRLCVIEEQLKNILRACEDDNNVAHTSVSMGSTHIDRRLHQMFFADRDYEGSCLDMEADCPSLKPNVSIDHCVEKGYSVVSVKCKDRPKLMFDIVCTLTDMQYVVFHAAISSDGPSASQEYYIRHMDGCTLDTEGEKDRVIKCLEAAIQRRVSEGLRLELCAKDRVGLLSDVTRVLRENGLLVTRAGVSTVGEQGVNVFYVRDSSGNPVDTKIIEGLRKEIGQRMMLNVKKVAACACAKVPPETAGWSKTSFFFGSLLGKFRA >itb12g24630.t1 pep chromosome:ASM357664v1:12:26083146:26085789:-1 gene:itb12g24630 transcript:itb12g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGRILSLSSSTTPFHLSTSPFHSSRYHLHGRLKSRVRLRPMAADADSSSFSSSLDTESPDKNAAGFCIIEGPETVQDFAQMELKEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGILNEKQENELPNFPSFIPFLPPLTSANLKQYYATCFSLIAGVMLFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSDVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSLVLIEPVSTVNRGDQPLSPPKTERCTNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >itb15g22120.t1 pep chromosome:ASM357664v1:15:24789966:24795493:1 gene:itb15g22120 transcript:itb15g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDARLLIKGNSSIKYDSQEFARIGVMPRYGDADSVTWFEVEPCVVFHILNCYEEDNEVVVIACRAPGSIISGPDHDFWETKNEWVSKGFKHVNCAQESDGSSSDGLFFTRVHEWRLNIQTGQVKSRFLTGFQFSMDFPFINEHFTGLKNQFGYAQVVDSNESSAAGIAKYGGIAKLYLEEGEGEVLIKVDYHMLPQNTFCTGAVFVAKIGGNEEDDGWIVTFVHDENTNKSQVYMIDAKYFSNEPTTTITLPCRVPYGFHGAFIT >itb06g23180.t1 pep chromosome:ASM357664v1:6:25256936:25260535:-1 gene:itb06g23180 transcript:itb06g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MALQYCFTSSTFKSLKLAALPSLSLFRPIPKTAPLRLIPRHLSPSFPLPHLLRALSVSAVQSTVADAPTATEDSKAAKPPQWKAAIDFKWIRENKEAVAVNIKNRNSNANLELVLELYERLLNVQKEVERLRAERNAVANKMKGKLEPTERQKLIDEGKNLKDALVSLEEDLLKLTDELQQEAQTIPNMTHPDVPLGGEDCSTLRKMVGKPHEFSFPIKDHVQLGKDLDLLDFDAAAEVSGSKFYYLKNEAVMLEMALVNWSLTEVMKRGFTPLTTPEIVRSSLIEKCGFQPRGTTTQVYSIENTDQCLIGTAEIPVGGIHMDSILPESSLPLKYAAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEESNAYHEELIQIEEDLFTSLGFHFRTMDMASEDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPESSSSSANSKKGKNLPPPQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPEPLRPFMGGLQIIKPRLK >itb06g23180.t2 pep chromosome:ASM357664v1:6:25257094:25260531:-1 gene:itb06g23180 transcript:itb06g23180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MKGKLEPTERQKLIDEGKNLKDALVSLEEDLLKLTDELQQEAQTIPNMTHPDVPLGGEDCSTLRKMVGKPHEFSFPIKDHVQLGKDLDLLDFDAAAEVSGSKFYYLKNEAVMLEMALVNWSLTEVMKRGFTPLTTPEIVRSSLIEKCGFQPRGTTTQVYSIENTDQCLIGTAEIPVGGIHMDSILPESSLPLKYAAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEESNAYHEELIQIEEDLFTSLGFHFRTMDMASEDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPESSSSSANSKKGKNLPPPQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPEPLRPFMGGLQIIKPRLK >itb06g23180.t4 pep chromosome:ASM357664v1:6:25256951:25260027:-1 gene:itb06g23180 transcript:itb06g23180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MTHPDVPLGGEDCSTLRKMVGKPHEFSFPIKDHVQLGKDLDLLDFDAAAEVSGSKFYYLKNEAVMLEMALVNWSLTEVMKRGFTPLTTPEIVRSSLIEKCGFQPRGTTTQVYSIENTDQCLIGTAEIPVGGIHMDSILPESSLPLKYAAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEESNAYHEELIQIEEDLFTSLGFHFRTMDMASEDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPESSSSSANSKKGKNLPPPQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPEPLRPFMGGLQIIKPRLK >itb06g23180.t6 pep chromosome:ASM357664v1:6:25256951:25258890:-1 gene:itb06g23180 transcript:itb06g23180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MLEMALVNWSLTEVMKRGFTPLTTPEIVRSSLIEKCGFQPRGTTTQVYSIENTDQCLIGTAEIPVGGIHMDSILPESSLPLKYAAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEESNAYHEELIQIEEDLFTSLGFHFRTMDMASEDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPESSSSSANSKKGKNLPPPQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPEPLRPFMGGLQIIKPRLK >itb06g23180.t5 pep chromosome:ASM357664v1:6:25256936:25259492:-1 gene:itb06g23180 transcript:itb06g23180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MKGKLEPTERQKLIDEGKNLKDALVSLEEDLLKLTDELQQEAQTIPNMTHPDVPLGGEDCSTLRKMVGKPHEFSFPIKDHVQLGKDLDLLDFDAAAEVSGSKFYYLKNEAVMLEMALVNWSLTEVMKRGFTPLTTPEIVRSSLIEKCGFQPRGTTTQVYSIENTDQCLIGTAEIPVGGIHMDSILPESSLPLKYAAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEESNAYHEELIQIEEDLFTSLGFHFRTMDMASEDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPESSSSSANSKKGKNLPPPQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPEPLRPFMGGLQIIKPRLK >itb06g23180.t3 pep chromosome:ASM357664v1:6:25257094:25260531:-1 gene:itb06g23180 transcript:itb06g23180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MALQYCFTSSTFKSLKLAALPSLSLFRPIPKTAPLRLIPRHLSPSFPLPHLLRALSVSAVQSTVADAPTATEDSKAAKPPQWKAAIDFKWIRENKEAVAVNIKNRNSNANLELVLELYERLLNVQKEVERLRAERNAVANKMKGKLEPTERQKLIDEGKNLKDALVSLEEDLLKLTDELQQEAQTIPNMTHPDVPLGGEDCSTLRKMVGKPHEFSFPIKDHVQLGKDLDLLDFDAAAEVSGSKFYYLKNEAVMLEMALVNWSLTEVMKRGFTPLTTPEIVRSSLIEKCGFQPRGTTTQVYSIENTDQCLIGTAEIPVGGIHMDSILPESSLPLKYAAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEESNAYHEELIQIEEDLFTSLGFHFRCISEFRLCSLCAYAFPYYPVVASIHLPKRRGLGLSPVSSIPLPLPRDL >itb12g14310.t1 pep chromosome:ASM357664v1:12:13770724:13773575:-1 gene:itb12g14310 transcript:itb12g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIRSVGQMATSHLPGLIFRPTLHRKCHPPTTISLTHPQLRPRKGLRSHEKLEGVVRLSMADQEISPPPTPKQETAPNLEELVDFLYKDLPHLFDDKGIDPTAYDAAVKFRDPITRHDNIRSYLLNIATLKYLFRPKFTLHWVKQTGPSEITTRWTMVMRFLLLPWQPELVFTGTSVMKVNPETKKFIKHVDYWDSIMSNEFFSIEGLFDVIQQLWFYKTPDLETPSYQILKRTASCQIRKYDPFVVVEADGDVLSGSKGFNDVAGYIFGKNSASEKIPMTTPVFTRASDDQKSEVSIQIVLPSTKSLNSLPTPNEEGLKLRKVEGGIAAVTKFSGKPTENIVLEKEKELRSSLIRNGLKPKPGCLLARYNDPDKTWEHIMRNEVLIWLEEFSLD >itb12g14310.t2 pep chromosome:ASM357664v1:12:13770814:13773575:-1 gene:itb12g14310 transcript:itb12g14310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIRSVGQMATSHLPGLIFRPTLHRKCHPPTTISLTHPQLRPRKGLRSHEKLEGVVRLSMADQEISPPPTPKQETAPNLEELVDFLYKDLPHLFDDKGIDPTAYDAAVKFRDPITRHDNIRSYLLNIATLKYLFRPKFTLHWVKQTGPSEITTRWTMVMRFLLLPWQPELVFTGTSVMKVNPETKKFIKHVDYWDSIMSNEFFSIEGLFDVIQQLWFYKTPDLETPSYQILKRTASCQIRKYDPFVVVEADGDVLSGSKGFNDVAGYIFGKNSASEKIPMTTPVFTRASDDQKSEVSIQIVLPSTKSLNSLPTPNEEGLKLRKVEGGIAAVTKFSGKPTENIVLEKEKELRSSLIRNGLKPKPGCLLARYNDPDKTWEHIMVSTPILTCFRIEIPTYILSSFHY >itb12g14310.t3 pep chromosome:ASM357664v1:12:13770724:13773575:-1 gene:itb12g14310 transcript:itb12g14310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIRSVGQMATSHLPGLIFRPTLHRKCHPPTTISLTHPQLRPRKGLRSHEKLEGVVRLSMADQEISPPPTPKQETAPNLEELVDFLYKDLPHLFDDKGIDPTAYDAAVKFRDPITRHDNIRSYLLNIATLKYLFRPKFTLHWVKQTGPSEITTRWTMVMRFLLLPWQPELVFTGTSVMKVNPETKKFIKHVDYWDSIMSNEFFSIEGLFDVIQQLWFYKTPDLETPSYQILKRTASCQIRKYDPFVVVEADGDVLSGSKGFNDVAGYIFGKNSASEKIPMTTPVFTRASDDQKSEVSIQIVLPSTKSLNSLPTPNEEGLKLRKVEGGIAAVTKFSGKPTENIVLEKEKELRSSLIRNGLKPKPGCLLARYNDPDKTWEHIMLRRTGLE >itb04g32600.t1 pep chromosome:ASM357664v1:4:35078662:35092903:-1 gene:itb04g32600 transcript:itb04g32600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEGAGDDHQGLSGPPKSHWKAPPQATGEITSPVAASDSDSWPALSDAQQRLKTNSSGSGSSKSPPPPLPTQTKAADATPAPPPPVSGSGEQRKFQGHANAKPSHRPLPARQPKAGPRHRPNGVPPFPVPMAYHPPAMPPVYPAMIPVPQFHVPGYAYQPPRGPFAGTESHMVKSGSNAATQAFVPPGNGNLEPPQGDQIPYDSKSFIGRPNEHPRPIGSKDNIQLQPSMGQRPFIRPPFYGPAPAFVDGVNFHGPPAGAIYFLHPAPPIGVRVPYQPHFIPPPMAGAPMPPSPILTLRASIIKQIEYYFSDENLQSDHYLRSLMDDQGWVPISTIADFKRVKSMSTDIPFILDALQASSTIEVQGNKVRRRDEWAKWVRTTADQKSSPPVPTPQEKSGEKAVHDLKNKELNEETVDSVGVTIPPANGVSLEPQSLVAEPQKVLVGNGTGNIRKMPQVLDQASHLQSGDVNTQSGKIDKIGSVDGCAGPSFIEDTGNADTDSFGGHQSMVSSDMVGQNMDDLSNDFSSTFMLDEELEFEHKTTVKNHMGLTGRVDDEDDEMGMNDEDIEKLVIVTRNTQIHGESGTTGKKSNPITSELASAINDGLYYYEQELKARRSNRRFNNSSNEARDENSRSTATLAALSKPRTSDHSSEGNGYEWPENSNSRRKQIKGFSKQYSVHKQRLFIGNSRNHGTNRSSLGIISESPPCDSVGFFFGSTPPDSHGPRPSKLSASPRGYLSGSSPPVGSMPKPFPPFQHPSHKLLQENGFTQQLYKKYHKRCLGERKKLGIGCSEEMNTLYRFWSYFLRNMFIQSMYNEFRKFAQEDAAANYNYGMECLFRFYSYGLEKDFREDLYEDFEKLTLDFYNRGNLYGLEKYWAFHHYREARDQKAPLKKNPELDRLLKEEYRSLDDFKHAKEKTATT >itb07g11730.t1 pep chromosome:ASM357664v1:7:13236419:13237392:-1 gene:itb07g11730 transcript:itb07g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLMKRSRDYAGVEAEAMANCLMLLAHVGRNSSSSSSSPVASKDLCSFQCKTCDKKFSSFQALGGHRASHKKPKLAAPAAGEQESSPEKSKMHRCSICGLEFAMGQALGGHMRRHRAAMMKDGFEKPPATAMAMAMAVPVLKRTTSSKRIFGFGLNLDLNLTPAENDDDLKIESTRPATPVLRCFI >itb15g00790.t2 pep chromosome:ASM357664v1:15:452955:455940:-1 gene:itb15g00790 transcript:itb15g00790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTKKIVDMVKGENLFASQGGSIILAQINTCNGFYCDQFWPKNNNPKFWTENWSGWFKNWGDRDPHRPAEDLAFAVARFFQYGGSLQNYYMYHGGTNFGRTSGGPYIATTYDYNAPLDEYGNVNQPKWGHLKELHNLLYSLEDVLLYGNATNTDYGRMMSSTVYEYKGKRVCFLGNANDKDDISITFEGRNYTTPAWSVTILPDCKTEIYNTARVNAQTTVMVKKLSEGQLKWSYRPETVMHLKFGDKDQSSVLIDALDAKQLFDQKTVTNDTTDYLWYMTSFKVDENSPILGQELTLQVNTKSHVLHAFFNNKHIGSQWAQDDGKFEFSFQRNVKIREDINTISLLSETVGLPNYGEFFEKVGQGVVGPVKIVEPNGEGLDLSKNTWTYMVGLHGISKGLFELDDRNKLTWHTSDFQTDRMFIWYKTFFKTPTGEDSVVLDLKGMGKGVAWVNGHHIGRYWPSFLAKADGCPQCDYRGNYGGSKCGAGCGKPSQRWYHVPRSFLRKGNNQLVLFEEMGGRPQEVRVQTVTVGTICANVEEGNTLELSCQGGGRKISKINFASFGEPKGSCGSFETSHCDATNAMPVIQSACVGKEKCTLHVSDALFATTTCSKSKRRLAVEATC >itb15g00790.t1 pep chromosome:ASM357664v1:15:452955:453281:-1 gene:itb15g00790 transcript:itb15g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPQEVRVQTVTVGTICANVEEGNTLELSCQGGGRKISKINFASFGEPKGSCGSFETSHCDATNAMPVIQSACVGKEKCTLHVSDALFATTTCSKSKRRLAVEATC >itb09g11480.t2 pep chromosome:ASM357664v1:9:7146492:7158585:1 gene:itb09g11480 transcript:itb09g11480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] MLANPTFHGRPLHFTPTTARYRFLPLQLTPSSIARRRAPTRRRKSGKLCYQLAVRATSDAASSSSVSASPVSLPRPYSVRIPVGDRYITVETGHIGRQASGAVTVTDGETIIYTTVCLADDPSEPSDFFPLSVQYQEKFSAAGRTSGGFFKREGRAKDHEVHICRLIDRPLRPTMLKGFYHEVQVLSWVLSYDGLHPPDSLALTAAGIAMAISEVPNSKAIAGVRIGLIGDKFIVNPTTEEMEESKLDLLLAGTDDAILMIEDAVQAICKEVEALVKNCGKPKMLDAIKLPPPELYNLVEEIVGTELVEVLQIKNKIPRRKALALLESKVKSILSEKGYVSKMEEFVSNELIPDLLEDEDEDEEVVVDGEVDEGDVHIKPVGRKSIPLLYSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTSQELRPINSCCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKIDNLVDEDEYKRFYLQYSFPPSCVGEVGRVGAPSRREIGHGMLAERALEPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKYSIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNADGITAFQMDIKVAGITLPIMKQALLQAKDGRKKLLAEMSKCSPPPSKMLSKYAPIIHVMKIKPEKINLIIGSGGKRVKSIIEETGVEAIETQDDGVVKITAKDLTTLERAKAIISNLVIVPTVGDIYRNCEIKSIAPYGVFIEISPGREGLCHISELSESWLAKAEDVVKVGDRVDVKLIEINDKGQLRLSRRALLPNPDPEKPKQQAASLAKDTSVSQKSLEKSKTKSSASKDALEMANANPAKDKNSTLENKKPPKEFAKKSVSPVKDGQNTDKDKAMKGSDKSVSSKSEGALVNGEAKVG >itb09g11480.t3 pep chromosome:ASM357664v1:9:7146492:7158585:1 gene:itb09g11480 transcript:itb09g11480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] MLANPTFHGRPLHFTPTTARYRFLPLQLTPSSIARRRAPTRRRKSGKLCYQLAVRATSDAASSSSVSASPVSLPRPYSVRIPVGDRYITVETGHIGRQASGAVTVTDGETIIYTTVCLADDPSEPSDFFPLSVQYQEKFSAAGRTSGGFFKREGRAKDHEVHICRLIDRPLRPTMLKGFYHEVQVLSWVLSYDGLHPPDSLALTAAGIAMAISEVPNSKAIAGVRIGLIGDKFIVNPTTEEMEESKLDLLLAGTDDAILMIEGYCDFLPEEKLLEAVQVGQDAVQAICKEVEALVKNCGKPKMLDAIKLPPPELYNLVEEIVGTELVEVLQIKNKIPRRKALALLESKVKSILSEKGYVSKMEEFVSNELIPDLLEDEDEDEEVVVDGEVDEGDVHIKPVGRKSIPLLYSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTSQELRPINSCCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKIDNLVDEDEYKRFYLQYSFPPSCVGEVGRVGAPSRREIGHGMLAERALEPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKYSIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNADGITAFQMDIKVAGITLPIMKQALLQAKDGRKKLLAEMSKCSPPPSKMLSKYAPIIHVMKIKPEKINLIIGSGGKRVKSIIEETGVEAIETQDDGVVKITAKDLTTLERAKAIISNLVIVPTVGDIYRNCEIKSIAPYGVFIEISPGREGLCHISELSESWLAKAEDVVKVGDRVDVKLIEVAVVFMNA >itb09g11480.t1 pep chromosome:ASM357664v1:9:7146492:7158585:1 gene:itb09g11480 transcript:itb09g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] MLANPTFHGRPLHFTPTTARYRFLPLQLTPSSIARRRAPTRRRKSGKLCYQLAVRATSDAASSSSVSASPVSLPRPYSVRIPVGDRYITVETGHIGRQASGAVTVTDGETIIYTTVCLADDPSEPSDFFPLSVQYQEKFSAAGRTSGGFFKREGRAKDHEVHICRLIDRPLRPTMLKGFYHEVQVLSWVLSYDGLHPPDSLALTAAGIAMAISEVPNSKAIAGVRIGLIGDKFIVNPTTEEMEESKLDLLLAGTDDAILMIEGYCDFLPEEKLLEAVQVGQDAVQAICKEVEALVKNCGKPKMLDAIKLPPPELYNLVEEIVGTELVEVLQIKNKIPRRKALALLESKVKSILSEKGYVSKMEEFVSNELIPDLLEDEDEDEEVVVDGEVDEGDVHIKPVGRKSIPLLYSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTSQELRPINSCCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKIDNLVDEDEYKRFYLQYSFPPSCVGEVGRVGAPSRREIGHGMLAERALEPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKYSIAGIAMGLVLDTKEFGGDGTPLILSDITGSEDASGDMDFKVAGNADGITAFQMDIKVAGITLPIMKQALLQAKDGRKKLLAEMSKCSPPPSKMLSKYAPIIHVMKIKPEKINLIIGSGGKRVKSIIEETGVEAIETQDDGVVKITAKDLTTLERAKAIISNLVIVPTVGDIYRNCEIKSIAPYGVFIEISPGREGLCHISELSESWLAKAEDVVKVGDRVDVKLIEINDKGQLRLSRRALLPNPDPEKPKQQAASLAKDTSVSQKSLEKSKTKSSASKDALEMANANPAKDKNSTLENKKPPKEFAKKSVSPVKDGQNTDKDKAMKGSDKSVSSKSEGALVNGEAKVG >itb14g06930.t1 pep chromosome:ASM357664v1:14:6277688:6278908:1 gene:itb14g06930 transcript:itb14g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAATPLSSPLLNSPYHTSMYVQIDIIRLILLKLKVKALIRCQCVCKEWRSIIQDPDFKLSYRGQRRVLAASSSRSLLDVTSITNRMKTLFEVRGDAQLDGNPSLLNRNPPEYRWWTGVWCSCNGLVLFSVRKHIFLWNPSTHCCTKVLDLSLLKNKTPADVVSGLCYLPSTGDYKAVLLLSYSGYNNGSAMVVSLKNKDWRKVPFPYKADYGVNFHNTLHWGAPQTIIYFEAESDEFKELPTLESHKRSSFILGLGIIDACLCMVVREGNERGEKLQVWVMKEYGVKESWVSQFVIAASASKLFGIYKSVTTLYSSKCNTKVLICHCYYGSYSGSWKILVYDLKNNKLDNHFSEKSKYYNDAGICSYVQSIVSPHEFIWRDEQPTKNENEFALRFILKMFNI >itb11g06920.t1 pep chromosome:ASM357664v1:11:4181944:4183766:-1 gene:itb11g06920 transcript:itb11g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESVIGADIYNLPEDCIATALSLTTPKDACRLMLVASNFRSAAQFDAVWERFLPLDYRDIISRAANSGDLLSFASKKDLYFYLCDHHIIIDDGTKSFSLEKESGKKCYMLAAKSLQIVWGNDARYWKWISLAESRFSEAVELLDVCWFEIWGKINTKMLSPDTNYAAYLVFTARPGITGFESQPLEASIRIGEQEATTRTVYLDPKGMVSWPRHSLGFHHNEPQWARRMGLFRSRTLQNANAAAAPENNGDEHPKKRDDKWMEVELGEVFIRDGGKEEDCIDISLKEVKGGHWKSGIIVLGIEIRPKWSK >itb08g08880.t1 pep chromosome:ASM357664v1:8:7840194:7845363:1 gene:itb08g08880 transcript:itb08g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFHSFGFAANLSLNAYNNLGNPIQIGGAGVQGGYCADTTLRLDSLGSLVSSISSAKGIKRKWSLIDGPTNEQVGSSLCLRLGHSSSSSESKGGSSATATVCTSTSSTKESDDESSMDLELDFTLHLGNEKSLSPKKSASCEKEFNMPLKVDLELSLSSGPSESGITTAYQSSTSPHSSMKLPETIVGVQIDEGSMSSSLKSGCFINPLQTPNSARASYVNQAERQINSAPISHDPCSSAIANLKSSVTCSSGVTKQKQQHQQRSSSSSKKCQFQGCVKGARGASCLCIAHGGGRRCQKSGCHKGAEGRTAFCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSYEGCARAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQYPQCTKGAQGSTMFCKAHGGGKRCSYEGCTKGAEGSTPFCKGHGGGKRCLFQGGGVCPKSVHGGTLYCVAHGGGKRCAVTDCTKSARGRTDFCVRHGGGKRCKFEGCGKSAQGSTEFCKAHGGGKRCSWGQAGSEFGIGDGPCNSLARGKTGLCASHGALVQDKRVHGGATIGVIVQDTTHNKPEKLNNTVSHDDMNVDTIKMETSMLTSPNSSSGKCFGLKQASMPVRAPEGRVHGGILMALLTNNNSGLSLGNNTGMVNPSEPGKTYTVSQNWM >itb02g21190.t1 pep chromosome:ASM357664v1:2:19823310:19824156:-1 gene:itb02g21190 transcript:itb02g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASPSTRPTVYLQDAEEVQKVFERFDSNSDGKISAEELACVMKALGSDVSPEELGRIMEGIDTDKDGFINLQEFASFCKGEGEGEGDGGMKELREAFDLYDEDRNGLISAAELHKILTRLGEHCTMDGCVGMIESVDSDGDGSVSFEEFKKMMTKKQ >itb14g20920.t1 pep chromosome:ASM357664v1:14:23165352:23169705:-1 gene:itb14g20920 transcript:itb14g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMSLRLNSKIIVSSHVNGGEPPTAEKLGNLIINLQATGADIVKLVIDVSYITDVAPVFQTLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSIPGLPCLSSIKEVYQLENMNADTRVFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNINEFFRVYSSNDFAGFSVGIPHKEAAVGCCDEVDPLAKSIGAVNTIIRRPSDGKLIGYNTDCEACLTAIEDTLRERQTTNDIASEGSPIAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAIAVSGEAFPFECINEFSPETGMILANSSAIGMQPRIDQTPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLAPEDFMRKIVLEQF >itb14g20920.t2 pep chromosome:ASM357664v1:14:23165352:23169705:-1 gene:itb14g20920 transcript:itb14g20920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGFKSDMVVYTTLECETQEEMLGCMKLAKEEGADLVELCINSLSFSHISQVENLLRTRTLPSIVCCRPNAPPAVSGNGGDWKTTCLQVLKLALRLDVEFVEVALEVASDAVMAELMSLRLNSKIIVSSHVNGGEPPTAEKLGNLIINLQATGADIVKLVIDVSYITDVAPVFQTLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSIPGLPCLSSIKEVYQLENMNADTRVFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNINEFFRVYSSNDFAGFSVGIPHKEAAVGCCDEVDPLAKSIGAVNTIIRRPSDGKLIGYNTDCEACLTAIEDTLRERQTTNDIASEGSPIAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAIAVSGEAFPFECINEFSPETGMILANSSAIGMQPRIDQTPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLAPEDFMRKIVLEQF >itb14g20920.t5 pep chromosome:ASM357664v1:14:23165352:23167889:-1 gene:itb14g20920 transcript:itb14g20920.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMSLRLNSKIIVSSHVNGGEPPTAEKLGNLIINLQATGADIVKLVIDVSYITDVAPVFQTLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSIPGLPCLSSIKEVYQLENMNADTRVFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNINEFFRVYSSNDFAGFSVGIPHKEAAVGCCDEVDPLAKSIGAVNTIIRRPSDGKLIGYNTDCEACLTAIEDTLRERQTTNDIASEGSPIAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAIAVSGEAFPFECINEFSPETGMILANSSAIGMQPRIDQTPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLAPEDFMRKIVLEQF >itb14g20920.t3 pep chromosome:ASM357664v1:14:23165785:23169693:-1 gene:itb14g20920 transcript:itb14g20920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMSLRLNSKIIVSSHVNGGEPPTAEKLGNLIINLQATGADIVKLVIDVSYITDVAPVFQTLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSIPGLPCLSSIKEVYQLENMNADTRVFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNINEFFRVYSSNDFAGFSVGIPHKEAAVGCCDEVDPLAKSIGAVNTIIRRPSDGKLIGYNTDCEACLTAIEDTLRERQTTNDIASEGSPIAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAIAVSGEAFPFECINEFSPETGMILANSSAIGMQPRIDQTPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLGTFSSLMSISLLSSNLQIRLSIC >itb14g20920.t4 pep chromosome:ASM357664v1:14:23165785:23169705:-1 gene:itb14g20920 transcript:itb14g20920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGFKSDMVVYTTLECETQEEMLGCMKLAKEEGADLVELCINSLSFSHISQVENLLRTRTLPSIVCCRPNAPPAVSGNGGDWKTTCLQVLKLALRLDVEFVEVALEVASDAVMAELMSLRLNSKIIVSSHVNGGEPPTAEKLGNLIINLQATGADIVKLVIDVSYITDVAPVFQTLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSIPGLPCLSSIKEVYQLENMNADTRVFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNINEFFRVYSSNDFAGFSVGIPHKEAAVGCCDEVDPLAKSIGAVNTIIRRPSDGKLIGYNTDCEACLTAIEDTLRERQTTNDIASEGSPIAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAIAVSGEAFPFECINEFSPETGMILANSSAIGMQPRIDQTPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLGTFSSLMSISLLSSNLQIRLSIC >itb03g18760.t1 pep chromosome:ASM357664v1:3:16977567:16979316:-1 gene:itb03g18760 transcript:itb03g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIPVIDFSKLAGEERSKTMKLLHHACERWGFFMVENHGVDTELMENVKQMVTQHYEHSLKESFYGSDVVKCVENKKESIRDADWESTYFIWHHPTSNINQLKNFPNNFGKTMEQYIEQLMKLAENLSELMCENLGLEKNHIKESFSGSKGQSIGTKVAIYPECPNPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDEQWVKIPPSKNNRIFVNTGDQLEILSNGMYKSTLHRVLAQNDGNRLSIATFYNPASDAMISPAPKLLYPENVCFQDYLKHYGSTKFGEKGPRFETMKKLQANGH >itb10g21850.t1 pep chromosome:ASM357664v1:10:26902818:26904810:-1 gene:itb10g21850 transcript:itb10g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGYYSKCSVSRPVEWVKGKTVGSGSFGSVHLAMNKATGGLFVVKSAESEAGLQFLESEAEILESLDSPHVVKCIGKEMCGGGKKLNLFIEYMAGGSLADVTEQFGGKLDEMVIRLYTREILLGLKYLHENGIVHSDLKCRNVLLSSLGDVKLADFGCSKRVKQHSAAGIAGSPLWMAPEMIQGGGLDCASDIWSLGCTIIEMATGNLPWAGKISNPMAAVLRIACSDDRPNFPSHFSQQGLDFLEKCLERDPKKRWTAEQLLHHPFVLDKNLGNNSSFVAAEKYAASSPASVLDKSIFPETDYSDESSSDEEDEDEEDSPVPKPSGNRFFPFSMLCENKIGVSEQQLRFGEQWSGSEEEWITVRTS >itb08g07210.t1 pep chromosome:ASM357664v1:8:6167474:6171881:-1 gene:itb08g07210 transcript:itb08g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYSTDMEEREESRTSMGHDEGGRKSSTEVDDGFPHNYEAILRDADDFVDRSSKEKLFEQLYAGVFLNQKKKKYWVDRRWRKNCFILFARDLSITWGEDNRYWHWPLHQESSEMSVPVAELLNVCWLEIHGRFDVSKLSPETRYEVIFVVMLKDPAYGWHIPVNLRLILPDGSRQERKENLMEKARGKWIEIQAGEFDTWSMAKNGEIEFSIYEYEGSVWKRGLVIKNVVIRAKA >itb08g02250.t1 pep chromosome:ASM357664v1:8:1811788:1814606:1 gene:itb08g02250 transcript:itb08g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSGHWAFVFGVFGNIISFFVFLSPLPTFYQIYKKKTTEGFQSIPYVVALFSAMLWIYYAFLKSNTTLLITINSFGCFIETIYVCFYLFYATKKDRVQTLKLLLLMVVGGFGAIVLVTQFLFKGIIRAQVVGWICLVFSLCVFVAPLCIVRQVIRTKSVEHMPFLLSVFLTLSAVAWFFYGLLLKDMNIAVPNVLGFIFGILQIVLYAVYKNKKPSSSSSELSLQNPATTTIVEDNKKQKHNNLPVAELTEEQIIDIVKLGSLVCSEKINVVSCIKDWGPESSKLDNNKVLHPNNTKQQAVEV >itb10g05590.t1 pep chromosome:ASM357664v1:10:5808570:5810536:-1 gene:itb10g05590 transcript:itb10g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAPLDGCFGRPRFNLARCFFVVCLPRSCLGSYVFFPSRGGLRSIPRSPRSNGRWWGGRDRAKRWDPQSRGSALGNKSKVPWRDRRASQSCVSDDDFVSFPLAFLTRFEQDPVWVALEDALTSEEDAAFPRIKTRSPSSILVDALKDSLVGDRLLVFMGFYLVATHGLN >itb06g14090.t1 pep chromosome:ASM357664v1:6:18696954:18703527:1 gene:itb06g14090 transcript:itb06g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARVSIPANLRKTIQDIKEIAGNHSDEDILAVLKECKMDPNETAQKLLYLDTFHEVKRKRDRRKLNTNNRSAEEYKWTPGMQRRGARGGRGNYSSAYVSDDAGRRKQMSYRQENGVRYSTERSARGSLPVNTQSEKNAGPLVKSSSASAMPATSNGNSNHGSVTQVAAKRASDASITSVVDVNKFSKLPSVTLKQLRYLNPGPTPTSTPTFSPGRVKDENSESIPSDLIISLASASASGVYSSASDPVLMPSLNPRNPGAVGTIKREIGTQRIANEAQSESNLNVQGIMKNGHPASGVVKNGHAASGIVNSTNKIRSTESKEVRKSRLAESSKPLPLIQDEPQAIINNSESGHSEQVLASKGGTVASESPISSLPKLNDNVSTEQLDMKLEKLKIFAHQPVILPDHLQVPEALKTGLTFGSLEAPMEQSMNSSNDKPTPVVDQTSREASMSHQAPSLTAQEVCHPDNAESHQHVSENVPPVEDNTSSGAAKTFDQPKQEVPPPFGGSQISFIQTPDYNFGLVPQVLNPHLVQFEGSDKQGGNSQAQSTSGSTPPVSQPMGIGQSSVAVSPQLFPFLRQPYASNYFPYNPYLPPFYMPQSAHQFLGPGGFAQQPSTANIYMPPPSTGVKFPVPPMYKPAAITGNLAHYGVPAGYGSYSSSVGYGSSEAPAAGGSTSSEELAAAQLNEKNMYSTLKQNEEAHVRASATGRDLSMLPANYFYNLPQAQQVAFPPAHSAHGSFPGIYHPSQSVATPSNIQSLPQQTQAVGGSVDALPPSGAHQQLQPQINWNSTFLNRENI >itb06g14090.t2 pep chromosome:ASM357664v1:6:18696954:18703527:1 gene:itb06g14090 transcript:itb06g14090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARVSIPANLRKTIQDIKEIAGNHSDEDILAVLKECKMDPNETAQKLLYLVTLLNFADTFHEVKRKRDRRKLNTNNRSAEEYKWTPGMQRRGARGGRGNYSSAYVSDDAGRRKQMSYRQENGVRYSTERSARGSLPVNTQSEKNAGPLVKSSSASAMPATSNGNSNHGSVTQVAAKRASDASITSVVDVNKFSKLPSVTLKQLRYLNPGPTPTSTPTFSPGRVKDENSESIPSDLIISLASASASGVYSSASDPVLMPSLNPRNPGAVGTIKREIGTQRIANEAQSESNLNVQGIMKNGHPASGVVKNGHAASGIVNSTNKIRSTESKEVRKSRLAESSKPLPLIQDEPQAIINNSESGHSEQVLASKGGTVASESPISSLPKLNDNVSTEQLDMKLEKLKIFAHQPVILPDHLQVPEALKTGLTFGSLEAPMEQSMNSSNDKPTPVVDQTSREASMSHQAPSLTAQEVCHPDNAESHQHVSENVPPVEDNTSSGAAKTFDQPKQEVPPPFGGSQISFIQTPDYNFGLVPQVLNPHLVQFEGSDKQGGNSQAQSTSGSTPPVSQPMGIGQSSVAVSPQLFPFLRQPYASNYFPYNPYLPPFYMPQSAHQFLGPGGFAQQPSTANIYMPPPSTGVKFPVPPMYKPAAITGNLAHYGVPAGYGSYSSSVGYGSSEAPAAGGSTSSEELAAAQLNEKNMYSTLKQNEEAHVRASATGRDLSMLPANYFYNLPQAQQVAFPPAHSAHGSFPGIYHPSQSVATPSNIQSLPQQTQAVGGSVDALPPSGAHQQLQPQINWNSTFLNRENI >itb10g18580.t1 pep chromosome:ASM357664v1:10:24507176:24507595:-1 gene:itb10g18580 transcript:itb10g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVTILLLLLGLCCLVRSQKASSIDGLEVFECNGQEYPSNSNFETSLNDVLAKLQIFTPETQNFNKYENSPDGAVYGHAVCHYQSTATDCIACLHAAGDRLLELCPRRIGGRILFGLATTCYTRYEAYSFTDPFEVIS >itb11g08610.t1 pep chromosome:ASM357664v1:11:5663180:5668917:1 gene:itb11g08610 transcript:itb11g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVSMVGLPWAVALLIGILLNSPPSAVADTDPTDASVLRGLFSSLNSPQQLTKWTANGGDPCVESWKGVSCSGNRVTEIQISGLALTGSMGFQLDQLKSVTTFDISNNLLGNSLPYQLPPNVQKLNLAGNGFTGGLPYSISQMAPLEYLNVSHNQLQGEVTVMFVSLTNLSTLDFSFNSLAGNIPQTFSSLTNMKNMYLENNVFTGTIDVLATLPLDNLNVENNHFTGWIPSQLQGINSLQTGGNSWNTGPAPPPPPGTPPANRPNHRSGGGSSPSNGGGSDNGGGGGGGGGKSGIGGGAVAGIVISILIIGAIAAFFIIKRRSRRTSTDIEKVNNNQQYPPLVSHKVQEMKSVQTSSAMGTKTVEMPVEVNLRPPPIDRPKSFDEDDISPKPVVTPKKIDTSQINATQYTVADLQIATDSFSIENLLGEGSIGRVYRAQFEDGKVLAVKKINSTAIGNPEDFLDIVSEISRLHHPNVTMLVGYCSEHRQYLLAYEFYKNGSLHDLLHLSDEYTKPLTWNNRVKIALGAARALEYLHEVCSPSVVHKNFKSANILLDSEFNPHLSDSGLASLIPDEDQALNQNSASGYGAPEVAMSGQYTIKSDVYSFGVAMLELLTGRTPFDSSRPRPEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVEALVRLVQRANMSRRTFGADSRTRSGSADSQEYEL >itb12g08110.t1 pep chromosome:ASM357664v1:12:6279369:6283244:-1 gene:itb12g08110 transcript:itb12g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYLQAKCISSPFRSPTHHCPLLVLSRVSHSLLFNPKHINLRRWKNMCSASNSETLVAGSPSPNGHHKSHEVVTVKSEDHDLGDLKSWMHNNGLPPCKVVLDERPSHNAKHRPIHYVAASEDLQTGDIAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIKELDRQRGRGQLAVESPLLWSEAELKYLTGSPTKGEVLERAEGIKREYSELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLSYCSNCKAMLSAVDGAVQLVVDRPYKTGEPIVVWCGPQPNSKLLINYGFVDEDNPYDRVTLEAALNTEDPQYQDKRLAAQRNGKSSVQAFHVYVGREKETISEMLPYLRLGYVSDPSEMQFVLSSQGPVCPVSPCTERAVLDQLAEYFKTRLLRYPTTLSEDEALLADNDLDPKKRVATQLVRLEKRILNTCLQITLHLIDQLPDLSVSPCPAPYAPILK >itb12g08110.t3 pep chromosome:ASM357664v1:12:6280309:6283239:-1 gene:itb12g08110 transcript:itb12g08110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYLQAKCISSPFRSPTHHCPLLVLSRVSHSLLFNPKHINLRRWKNMCSASNSETLVAGSPSPNGHHKSHEVVTVKSEDHDLGDLKSWMHNNGLPPCKVVLDERPSHNAKHRPIHYVAASEDLQTGDIAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIKELDRQRGRGQLAVESPLLWSEAELKYLTGSPTKGEVLERAEGIKREYSELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLSYCSNCKAMLSAVDGAVQLVVDRPYKTGEPIVVWCGPQPNSKLLINYGFVDEDNPYDRVTLEAALNTEDPQYQDKRLAAQRNGKSSVQAFHVYVGREKETISEMLPYLRLGYVSDPSEMQFVLSSQGPVCPVSPCTERAVLDQLAEYFKTRLLRYPTTLSEDEALLADNDLDPKKRVATQLVRLEKRILNTCLQITLHLIDQLPDLSVSPCPAPYAPILK >itb12g08110.t4 pep chromosome:ASM357664v1:12:6280309:6283244:-1 gene:itb12g08110 transcript:itb12g08110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYLQAKCISSPFRSPTHHCPLLVLSRVSHSLLFNPKHINLRRWKNMCSASNSETLVAGSPSPNGHHKSHEVVTVKSEDHDLGDLKSWMHNNGLPPCKVVLDERPSHNAKHRPIHYVAASEDLQTGDIAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIKELDRQRGRGQLAVESPLLWSEAELKYLTGSPTKGEVLERAEGIKREYSELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLSYCSNCKAMLSAVDGAVQLVVDRPYKTGEPIVVWCGPQPNSKLLINYGFVDEDNPYDRVTLEAALNTEDPQYQDKRLAAQRNGKSSVQAFHVYVGREKETISEMLPYLRLGYVSDPSEMQFVLSSQGPVCPVSSSVW >itb12g08110.t2 pep chromosome:ASM357664v1:12:6279641:6283244:-1 gene:itb12g08110 transcript:itb12g08110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYLQAKCISSPFRSPTHHCPLLVLSRVSHSLLFNPKHINLRRWKNMCSASNSETLVAGSPSPNGHHKSHEVVTVKSEDHDLGDLKSWMHNNGLPPCKVVLDERPSHNAKHRPIHYVAASEDLQTGDIAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIKELDRQRGRGQLAVESPLLWSEAELKYLTGSPTKGEVLERAEGIKREYSELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLSYCSNCKAMLSAVDGAVQLVVDRPYKTGEPIVVWCGPQPNSKLLINYGFVDEDNPYDRVTLEAALNTEDPQYQDKRLAAQRNGKSSVQAFHVYVGREKETISEMLPYLRLGYVSDPSEMQFVLSSQGPVCPVSPCTERAVLDQLAEYFKTRLLRYPTTLSEDEALLADNDLDPKKRVATQLVRLEKRILNTCLQITLHLIDQLPDLSVSPCPAPYAPILK >itb09g02220.t1 pep chromosome:ASM357664v1:9:1317086:1318634:1 gene:itb09g02220 transcript:itb09g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNVFFFPFMAQGHMIPTLDMAKLFASRGVKASIITTPLNQPVFSKAVEKYAQLGFQIQIRLLQFPAVEVGLPEDCESADKIPSGGDARPKFQKACAMLQKPLEDLLQELRPNCLVADMFFPWATEAAAKFDIPRLVFHGSSYLAMCAMNSLKTHKPFRDVTSDLELFNIPNLPHELKLTRLQVSPVDRSELETPFKEMFRRVLKSEEISYGVIFNSFHELEPDYADHYMNVLGRRAWSVGPLSLYNRDVEDKAQRGKKSAIDEHECLEWLDSKNPHSVVYICFGSGANFAPSQLHEMAMGIEASGMDFVWVIRNKREEDSGSEKWMPEGFEERTKGKGLIIRGWAPQVLILDHPSIGAFVTHCGWNSTLEGVCAGVPMVTWPLFADQFLNEKLMTDILRTGTGVGSKEWKNVDCDGVKREAIAEAIKKVMIGEESEEMRSRAKAMKDKAKKAIEEGGSSYLGLSSLLDELRTYHAN >itb09g02220.t2 pep chromosome:ASM357664v1:9:1317149:1317645:1 gene:itb09g02220 transcript:itb09g02220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNVFFFPFMAQGHMIPTLDMAKLFASRGVKASIITTPLNQPVFSKAVEKYAQLGFQIQIRLLQFPAVEVGLPEDCESADKIPSGGDARPKFQKACAMLQKPLEDLLQELRPNCLVADMFFPWATEAAAKFDIPRLRCYI >itb09g08930.t1 pep chromosome:ASM357664v1:9:5333729:5334184:1 gene:itb09g08930 transcript:itb09g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLDLSRNQLSGEIPIGLARLNYLAVLDLSSNFLSGKIPTGTQLQSFDASSYAGNIGLCGDPLPKCSSDVPPQNKDNDFQEDDSFLNREFYISMVLGFSFCFWGIVITLVLKDSWRIAYYEFLNDVKDWLYVKMKIYLVKMQRKLRRRI >itb01g35770.t1 pep chromosome:ASM357664v1:1:37793692:37798223:1 gene:itb01g35770 transcript:itb01g35770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNPCAMEIDPQLHEEEEDPFLQFISYAKSVVSPDANEAGGESMGPGWSWIASRILKTCIAYSSGVTSAILLSDISQAWNEQNRSGAPKKQPECISQLKKKHKRGKLPNTVTIDSIYEKKFLPLNGVIEAVIIDVVILPGTNICTLHLGDFWSSNTIDLYLHRRFYNLADPSNGILKKGREVFLTGCRLRTTKGFSSRARLLPTEYLAILLDEDQDDDAMLLGAQFCSDSFSSICFDDVIQGVSYSLYARIESIGALEAQGIFGSLQRKQITLVDNGVRLKFLLWGEQVLLANLFSVGSMLALDRPFIASSAESGLESNEEFCLEYGSGTQLYVVPLIQHKEQVCVALTQSRCQGSKLLTAPDPGQGLPVSQVSLPCDSQGSIDFRNYPFRSFVIDLRDKMTGISLYGDVMDIQSTGDPTFSLKIEDATGSVWVKLHFIGPWSIGRVGLGHTVYISGLSCSMTKQKIRELSWFENDPGASFVNISCLPALLNSSCLHKFSNLCDLSTHANHTHVCRVWLDQIEYCHVGTRLSHAPCGHFVNEGCNGELKCNFCHCICNDEVVRSFHLRITIADGSAKVLTWCTGQTATELLQITPDEFCELPEEEQIMYPSSLENEKFTVALVKGHGSMDNQSVSWEITRAVKYE >itb08g12140.t1 pep chromosome:ASM357664v1:8:12227409:12233304:1 gene:itb08g12140 transcript:itb08g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGVSFLPCLPGELVAAVEMAGSSGGLLLPVRREQHEAVVRSAVIVLSGKALPAVNGGRHWTVDGGAMLVRAKCFWQRWINVSCLFCKVVRDLVCRQRIISGSTPTTFWRVRPTAGGFNQLVFSICRLQTTLILLYRSETEANKKLMTGWIEMEECIQAYGVDQNSLGISSDDLLESHFTRNLLRRRPDRMNFPFFKT >itb02g04200.t1 pep chromosome:ASM357664v1:2:2497511:2501297:1 gene:itb02g04200 transcript:itb02g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSNSNSKVGSPSARRSGGNGLVAVAIDKDKPSQYAIKWATENIVSKGQTVVLVHVATNQTPSLSKQQQEKQNKDLFLTFHCFCTRKEIHCLDIVIDGPDVAKALLDYVSKSAIENLVLGSSRHTFLKRLKVTDIPTLVSKGAPDFCTVYVISKSKISSVRNATRPAPFASPLCSQIQQIQEQSHSSPVMADIRTKTSPSLRGFDEKHVRSFKLEESESVRPSCPSFERVTRGIPRRMLGEMTETDIDLSFVSSGRPSSDRMSSIANLNDMVESGRTPRLSSSSDGSFGSGLNSFTDFSSSSLDNPVQDEGDAEMRRLKLELQKTMDLYSTACKEALTAKQKTAELQRWRVEEERRIKEESKSSGAENEHNNSRSLPVRYRRYTIDEIEVATEYFSEARKIGEGGYGPVFKCYLDHTVVAVKVLRPDAAQGREQFQQEVEILSCMRHPNMVLLLGACPEYGCLVYEYMGNGSVEDRLMRRGNKPALSWQVRFRIAAEIGTGLLFLHQNKPEPIVHRDLKPANVLLDQYYVSKISDVGLSRLVPPTVSEGVTQLRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQLLTAKAAMGISHHVGRAIDKGVFTEMLDPVVPDWPVEEALTMAKLALQCSELRRKDRPDLGKEVLPQLDRLRALADESISHFLVGGGAVSSPCHSQASATQEVLSNSQVHSEVNNPSSSSMSTGGLSGPAL >itb02g04200.t4 pep chromosome:ASM357664v1:2:2498120:2501229:1 gene:itb02g04200 transcript:itb02g04200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVGFCGCRRLKVTDIPTLVSKGAPDFCTVYVISKSKISSVRNATRPAPFASPLCSQIQQIQEQSHSSPVMADIRTKTSPSLRGFDEKHVRSFKLEESESVRPSCPSFERVTRGIPRRMLGEMTETDIDLSFVSSGRPSSDRMSSIANLNDMVESGRTPRLSSSSDGSFGSGLNSFTDFSSSSLDNPVQDEGDAEMRRLKLELQKTMDLYSTACKEALTAKQKTAELQRWRVEEERRIKEESKSSGAENEHNNSRSLPVRYRRYTIDEIEVATEYFSEARKIGEGGYGPVFKCYLDHTVVAVKVLRPDAAQGREQFQQEVEILSCMRHPNMVLLLGACPEYGCLVYEYMGNGSVEDRLMRRGNKPALSWQVRFRIAAEIGTGLLFLHQNKPEPIVHRDLKPANVLLDQYYVSKISDVGLSRLVPPTVSEGVTQLRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQLLTAKAAMGISHHVGRAIDKGVFTEMLDPVVPDWPVEEALTMAKLALQCSELRRKDRPDLGKEVLPQLDRLRALADESISHFLVGGGAVSSPCHSQASATQEVLSNSQVHSEVNNPSSSSMSTGGLSGPAL >itb02g04200.t5 pep chromosome:ASM357664v1:2:2498036:2501297:1 gene:itb02g04200 transcript:itb02g04200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRTKTSPSLRGFDEKHVRSFKLEESESVRPSCPSFERVTRGIPRRMLGEMTETDIDLSFVSSGRPSSDRMSSIANLNDMVESGRTPRLSSSSDGSFGSGLNSFTDFSSSSLDNPVQDEGDAEMRRLKLELQKTMDLYSTACKEALTAKQKTAELQRWRVEEERRIKEESKSSGAENEHNNSRSLPVRYRRYTIDEIEVATEYFSEARKIGEGGYGPVFKCYLDHTVVAVKVLRPDAAQGREQFQQEVEILSCMRHPNMVLLLGACPEYGCLVYEYMGNGSVEDRLMRRGNKPALSWQVRFRIAAEIGTGLLFLHQNKPEPIVHRDLKPANVLLDQYYVSKISDVGLSRLVPPTVSEGVTQLRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQLLTAKAAMGISHHVGRAIDKGVFTEMLDPVVPDWPVEEALTMAKLALQCSELRRKDRPDLGKEVLPQLDRLRALADESISHFLVGGGAVSSPCHSQASATQVSHFLCQSAINLENVFEKK >itb02g04200.t2 pep chromosome:ASM357664v1:2:2497511:2501297:1 gene:itb02g04200 transcript:itb02g04200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSNSNSKVGSPSARRSGGNGLVAVAIDKDKPSQYAIKWATENIVSKGQTVVLVHVATNQTPSLSKQQQEKQNKDLFLTFHCFCTRKEIHCLDIVIDGPDVAKALLDYVSKSAIENLVLGSSRHTFLKRLKVTDIPTLVSKGAPDFCTVYVISKSKISSVRNATRPAPFASPLCSQIQQIQEQSHSSPVMADIRTKTSPSLRGFDEKHVRSFKLEESESVRPSCPSFERVTRGIPRRMLGEMTETDIDLSFVSSGRPSSDRMSSIANLNDMVESGRTPRLSSSSDGSFGSGLNSFTDFSSSSLDNPVQDEGDAEMRRLKLELQKTMDLYSTACKEALTAKQKTAELQRWRVEEERRIKEESKSSGAENEHNNSRSLPVRYRRYTIDEIEVATEYFSEARKIGEGGYGPVFKCYLDHTVVAVKVLRPDAAQGREQFQQEVEILSCMRHPNMVLLLGACPEYGCLVYEYMGNGSVEDRLMRRGNKPALSWQVRFRIAAEIGTGLLFLHQNKPEPIVHRDLKPANVLLDQYYVSKISDVGLSRLVPPTVSEGVTQLRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQLLTAKAAMGISHHVGRAIDKGVFTEMLDPVVPDWPVEEALTMAKLALQCSELRRKDRPDLGKEVLPQLDRLRALADESISHFLVGGGAVSSPCHSQASATQVSHFLCQSAINLENVFEKK >itb02g04200.t3 pep chromosome:ASM357664v1:2:2498036:2501297:1 gene:itb02g04200 transcript:itb02g04200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRTKTSPSLRGFDEKHVRSFKLEESESVRPSCPSFERVTRGIPRRMLGEMTETDIDLSFVSSGRPSSDRMSSIANLNDMVESGRTPRLSSSSDGSFGSGLNSFTDFSSSSLDNPVQDEGDAEMRRLKLELQKTMDLYSTACKEALTAKQKTAELQRWRVEEERRIKEESKSSGAENEHNNSRSLPVRYRRYTIDEIEVATEYFSEARKIGEGGYGPVFKCYLDHTVVAVKVLRPDAAQGREQFQQEVEILSCMRHPNMVLLLGACPEYGCLVYEYMGNGSVEDRLMRRGNKPALSWQVRFRIAAEIGTGLLFLHQNKPEPIVHRDLKPANVLLDQYYVSKISDVGLSRLVPPTVSEGVTQLRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQLLTAKAAMGISHHVGRAIDKGVFTEMLDPVVPDWPVEEALTMAKLALQCSELRRKDRPDLGKEVLPQLDRLRALADESISHFLVGGGAVSSPCHSQASATQEVLSNSQVHSEVNNPSSSSMSTGGLSGPAL >itb15g18590.t1 pep chromosome:ASM357664v1:15:20318332:20320247:-1 gene:itb15g18590 transcript:itb15g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKQHHSSPFPSPSCFRPNGSSAALGGANRSSKVAPPLPAAPTLATSLYHTKLGLFALTWSRSILGRSFHLHFALDEDDEDAVSTASFASLSSTPSFHLHIKPFIFWKRQGSKRLQIGGKIKNAHIFWDLSRAKFGSGPEPRSGFYIAIVIDGEMVLLVGDSQKEAYSKTKTKFPATGAGSGAGQSMVLRREHVYGGKLYVTRATVGGRDRNISIDCRLAGDDPRLYFYVDNKRVLQVKHLKWKFRGNERIEIDGIPIHVSWDVHNWLFEDDEDGYALFMFKFEKQSFRDEEDDYLNHLTARKAVNSCGFGFETKMMKKGLLRTARSSSSSSLSSASSSCSSVMEWASTEENELKGPPGFSLLVYAWKS >itb05g13450.t1 pep chromosome:ASM357664v1:5:20433777:20435899:-1 gene:itb05g13450 transcript:itb05g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCKSLKGWRYLIVLDDIWSLKAWEELNRAFPKCHNGSRIVLTSRQDNIVPDAKHIYLRFFTVDESWELLQVKLFKGKGCPKELENIGQEISKKCGGLPLVVGLVAGLLGGVERSEQMWQEVLNTLSSQVAFRGGIKINDAIELSYNYLPDHLRNCLLYYAAFPEDEAIAAFKLINLWISEGFIDLKEKERERVEDVAEDFLNYLVGSNLVMVYQINYDGRILSCGVHDLVRDFCLTKAKEKNFLHITKMENVLDPTLKLTPHRISFHRCSVHHEIPNELVPWNSSIRTLLGFENFYTPEAYDQHIYKSSWVGKKFEHLTILDLEFIQVDISIMFEVNSLIHLRYLALKLCGSGSISPWLLENLECLVMLKLTSREDVHLPKVFWNMRSLRHMVIQHCCSKSCPIKEPSVMEPIPHGSEILQTLDLDTHLSIRDEHLLRKFLGLKFLRCAVPESYPFAEFEFLHHLEYLELCGIGLHLLNDLKVTKFPPNIKEIYFIKITLSSSAISIIARLSKLEALTLESCEFEEGSEWNVDEDTQFCKLKYLKLSYLDDLRIWNVSSAAESFPCLEQLILYECFRLEGLSYSFADISTLELISVVNGPGVDSSVNEIQEELQNMGNEQHTVHIDNRPWWSRYCTDDDTDTSPPLIDTWHDWFPCLE >itb03g09900.t1 pep chromosome:ASM357664v1:3:7682121:7683286:-1 gene:itb03g09900 transcript:itb03g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKELCISFQHHFKAGVTTPLQLRIGVAVGNKGQAISQIPPHHRKNRRETQQALFLVNPSAESSDGEVICSGLHALSELQRCVDSLLTLPHTIQALSRHEDYEKWADELPEKSVKILNIYGTTREIILQFKDLRSCLRTRTGDHVCKKKKKKTNTILSGRR >itb06g04740.t3 pep chromosome:ASM357664v1:6:7528465:7533315:1 gene:itb06g04740 transcript:itb06g04740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEEVAISRTLTPTEVFNPRIKRTLSQPEIPIALPNPRSSHGSFNSSTKGIEHPLHRSHSVPNLKEAESTIHMVGRLNCVIRSTTQINVGALATSAAAPSFGKDVSDNLGKDVTEDAVCRICLVELGEGSAIVKMECSCKGELALAHRECAVKWFTLKGNSTCDICRNKVQNIHIKYNYRNAVINVLAQTGLALNRYWITVPVDVICSVTAYFLFVLELLSTKGDRDPPLAAPCSIILGLIAHVISFRMVSSARKQAWVYGLVQFGFVVLFGHVLYLVLNLGEYTSVLVAVLFGFSLTMIGHMVLRPMARSNQQQTPSQVGMHPNPSSETIPASQIDLQQTDLESGRKSHPGVDNSSN >itb06g04740.t2 pep chromosome:ASM357664v1:6:7528465:7533315:1 gene:itb06g04740 transcript:itb06g04740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEEVAISRTLTPTEVFNPRIKRTLSQPEIPIALPNPRSSHGSFNSSTKGIEHPLHRSHSVPNLKEAESTIHMVGRLNCVIRSTTQINVGALATSAAAPSFGKEIEEVAISRTLTPTEVFNPRIKRTLSQPEIPIALPNPRSSHGSFNSSTKGIEHPLHRSHSVPNLKEAESTIHMVGRLNCVIRSTTQINVGALATSAAAPSFGKDVSDNLGKDVTEDAVCRICLVELGEGSAIVKMECSCKGELALAHRECAVKWFTLKGNSTCDICRNKVQNIHIKYNYRNAVINVLAQTGLALNRYWITVPVDVICSVTAYFLFVLELLSTKGDRDPPLAAPCSIILGLIAHVISFRMARKQAWVYGLVQFGFVVLFGHVLYLVLNLGEYTSVLVAVLFGFSLTMIGHMVLRPMARSNQQQTPSQVGMHPNPSSETIPASQIDLQQTDLESGRKSHPGVDNSSN >itb06g04740.t4 pep chromosome:ASM357664v1:6:7528465:7533315:1 gene:itb06g04740 transcript:itb06g04740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEEVAISRTLTPTEVFNPRIKRTLSQPEIPIALPNPRSSHGSFNSSTKGIEHPLHRSHSVPNLKEAESTIHMVGRLNCVIRSTTQINVGALATSAAAPSFGKEIEEVAISRTLTPTEVFNPRIKRTLSQPEIPIALPNPRSSHGSFNSSTKGIEHPLHRSHSVPNLKEAESTIHMVGRLNCVIRSTTQINVGALATSAAAPSFGKDVSDNLGKDVTEDAVCRICLVELGEGSAIVKMECSCKGELALAHRECAVKWFTLKGNSTCDICRNKVQNIHIKYNYRNAVINVLAQTGLALNRYWITVPVDVICSVTAYFLFVLELLSTKGDRDPPLAAPCSIILGLIAHVISFRMVSSARKQAWVYGLVQFGFVVLFGHVLYLVLNLGEYTSVLVAVLFGFSLTMIGHMVLRPMARSNQQQTPSQVGMHPNPSSETIPASQIDLQQTDLESGRKSHPGVDNSSN >itb06g04740.t1 pep chromosome:ASM357664v1:6:7528465:7533315:1 gene:itb06g04740 transcript:itb06g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEEVAISRTLTPTEVFNPRIKRTLSQPEIPIALPNPRSSHGSFNSSTKGIEHPLHRSHSVPNLKEAESTIHMVGRLNCVIRSTTQINVGALATSAAAPSFGKDVSDNLGKDVTEDAVCRICLVELGEGSAIVKMECSCKGELALAHRECAVKWFTLKGNSTCDICRNKVQNIHIKYNYRNAVINVLAQTGLALNRYWITVPVDVICSVTAYFLFVLELLSTKGDRDPPLAAPCSIILGLIAHVISFRMVSSARKQAWVYGLVQFGFVVLFGHVLYLVLNLGEYTSVLVAVLFGFSLTMIGHMVLRPMARSNQQQTPSQVGMHPNPSSETIPASQIDLQQTDLESGRKSHPGVDNSSN >itb11g01590.t1 pep chromosome:ASM357664v1:11:776242:777846:-1 gene:itb11g01590 transcript:itb11g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >itb07g09170.t1 pep chromosome:ASM357664v1:7:8180401:8183207:1 gene:itb07g09170 transcript:itb07g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDNNSIHLHPHRPWPHLPSPTTTSLPPLDDEDDDQRPDFSPVGSPDFHEDLPQQHSDQPHRKTKFWRESDPDRHTCGQTSDQAVDEENHHGDSHDEETGIFFCGSFGSICSTIGFLDDLVSLKNNNRGLSAWIRILLEVAVGIWLSFWLNTTDISSP >itb13g04020.t1 pep chromosome:ASM357664v1:13:4163399:4164625:1 gene:itb13g04020 transcript:itb13g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHIKILSHARDIYTIEIYYLFEEQFLKGASCYQECVQFEGGVYKYHVWRPEVYIIRHEVIFNVRELDIWCSCKLFTETRILCCHCLRILNVHSVSEVPNKYILKRWTKRVLEDKNAGIIPPSQCFNVPSSVWTLEITRKFQKLVVYCQENSEARKIFEQALLDAKRKVEHEYGLIFFEGEDCDVESSSGVIKDPSNRRLKGVRNRRVTSVIEKKYKKARGRKQLAHIAASKTKSVGQSQVEDAISNIAGNGYLVHPMSGGSTFCHFRSNSNQEDN >itb10g14380.t2 pep chromosome:ASM357664v1:10:20718583:20722803:-1 gene:itb10g14380 transcript:itb10g14380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRAIAFTLPLILNSATKTSVSISSPILHRSFLSSFSRLYSSKECNFQSLDIDLSNEETKRRLFNRLLYRSKQRGFLELDLILGKDLTYFVGGGRRRTEERMASLRRAIAFTLPLILNSATKTSVSISSPILHRSFLSSFSRLYSSKECNFQSLDIDLSNEETKRRLFNRLLYRSKQRGFLELDLILGKWVEDHINSLDENGIKALVHVLDVENPDLWKWLTCQEQPPDAITSNPVFISVREKVTNNLDKHAAPQTRAIPGQPWVRGWDDIKKGQDGPIAGNQ >itb10g14380.t1 pep chromosome:ASM357664v1:10:20718285:20722857:-1 gene:itb10g14380 transcript:itb10g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRAIAFTLPLILNSATKTSVSISSPILHRSFLSSFSRLYSSKECNFQSLDIDLSNEETKRRLFNRLLYRSKQRGFLELDLILGKWVEDHINSLDENGIKALVHVLDVENPDLWKWLTCQEQPPDAITSNPVFISVREKVTNNLDKHAAPQTRAIPGQPWVRGWDDIKKGQDGPIAGNQ >itb08g08450.t1 pep chromosome:ASM357664v1:8:7410688:7418836:-1 gene:itb08g08450 transcript:itb08g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNILVTGGAGYIGSHTVLQLLLGGYKAVVVDNLDNSSAVAIKRVKELAGEYARNLVFHRMDLRDRPALEEIFDSNKFDAVIHFAGLKAVGESVQKPLMYYNNNLIGTITLLEVMAARGCKNLVFSSSATVYGWPKVVPCTEESPINAANPYGRTKLFIEEICRDVHRSDSEWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFIQQVAVGRQHALTVYGSDYSTKDGTGVRDYIHIIDLADGHVAALKKLADPSIGCEVYNLGTGRGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEVVYAATEKAERELNWKAKYTIEEMCRDQWNWASKNPYGYEGSPLDVAGEVHCPPPPSSSLASCSAAPAPHSLTAGRLDKSSMLVAGCLSAVSLAHRRMIHLHAGTNAPLNVNFIRSQDNILSLIRAGATLIEAQQSHGLIVKTGFSGDTHVANKVLSLYAHHHSFDEANLLLDSLSEPDIFSFTPLIYASSKSSLFDQTLKTFSRLLAQHLVPDAHILPSAIRACAGLSVLNVGQQVHGYVLTSGLALDSFVQSSLVHMYVKCNNLKDAHKVFDTMPSPDVVSWSALAAGYAKNGDVVNAGKVFGEAGRLGIERNSVSWNGVIAGFNQSGCFLEAVSVFQEMHSRGFMADGTSISSVLPAIGDLGNLSIGIQVHGCVIKLGLKSDICIISSLIDMYGKCSCAVEMSKVFEEIDQKDVGACNAMVAGFSRNGLVDDAFKIFKQCRGEGMELNVVSWTSMIASCSQHGKDIEALELFREMQTVGMKPNCVTIPCLLPACGNIAALMHGKAAHCFSLRRGFADDVYVASALVDMYANCGRVDLSRLTFDRMPTRNLVSWNALIGGYAMHGKAKEAIRTLHLMQSSGQKPDAISFTSILSACSQVGLSDEGQHYFDIMSKDHRIEARLEHYACMVSLLGRAGKLEDAYSLVKKMPFEPDACVWGALLSSCKIHHNMSLGEVAADKLFQLEPTNPGNYILLSNIYASNRRWNEVDRIRDMMKHMGLRKNPGCSWIELKNKVHMLLAGDKSHPQMTKIMEKLAKLSLEMKKSGVLPNTDFVLQDVEEQEEKEHILCGHSEKLAVVLGILNTSKGSPLRVLKNLRICGDCHSFMKFTSSFEGREILVRDTNRFHHFKDGACSCMDYW >itb05g15860.t1 pep chromosome:ASM357664v1:5:23191995:23193321:1 gene:itb05g15860 transcript:itb05g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETLAALRSLMASHSPPLDALVVPSQDYHQSEYVSARDKRREFVSGFTGSAGLALITMNEALLWTDGRYFLQAAQQLSNQWKLMRMGEDPAVDIWMADVSFLLLLFT >itb01g01600.t1 pep chromosome:ASM357664v1:1:936839:952592:1 gene:itb01g01600 transcript:itb01g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYESGSASHDGRDEDDDDEYEEAGGGNHLLGFMFGNVDYSGDLDVDYLDEDAKEHLAALADKLGTSLTDIDLSVKSPQTHSDAAEQDYDDKAEDAVDYEDIDEQYEGPEVQTATEEDHLLPKKDYFSKEIAVTTLNNTASVFDDENYDEDDTEENNEQDVLGNSAEGQAFPETGKYNHDFKEACEGEKLAKDVIPSYSEELAKDVLPLDSPESEDFADFQESLALEESFDGKSSMPLPILCIEDGLPILRFSEIFGIHEPRKKKTEKRDHRYSVAKEKYNLDSSELLEDDEEDILRGSYKDFPWLKVACVTEDNISDDELGSFQGKGRIGATADDRKKDFCCSAEPMKSNMPQNLSADWSSPSCPKFYPLDQQDWEDGIIWGNSPASSAETAESFETSGPNFGASFDKEMDLEADSGTSELGIESNEKDKSSFIHLQSVSVEPFGSREISGQMDVPLLAGRFHPQLLRLESRLDANQQDNTEDGEYIAQEKISDALRRFSELTLLNKDVEEGSWLDNIIWEPEQAIAKPKLIYDLQDKQMLFEILDSKDSTSLQLHAGAMITTGLGKPNVGDSAELLHGGPTGRFNIANDKFYSNRKSSQQSKSHSKKRTAHVLKVLHSIPALKLETMKAKLSNKDLANFHRPKALWYPHDNEVVLKGQWKLPTQGPMKVILKSLGGKGSKLHVDFEETVSSLKAKASKKLDFKLTEPVKIFYLGRELEDHKSLAEQNVQPNSLLHLLRSKIYLLPRAQKLPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLGNVGMGARLCTYYQKSTPNDQTGVLMRNENNRLGSVLILDPADKSPFLGDIKPGCSQSSLETNMYRAPIFQHKVSSTDYLLVRTAKGKLSIRRIDRIDVVGQQEPHMEVMSPGSKGVQTYIMNRLSVYMYRKFHADEKRGVRPVIHADELSAQFPSLSEAFLRKRLRNCADMQRGSNGQLIWVMKNSFRIPSEEELRRMVTPENVCAYESMQAGLYRLKRLGITRLTQPTGLSSAMNQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQDRGNIERLEITGVGDPSGRGLGFSYVRTTPKAPVSNAVTKKKAALAKGTTVTGTDADLRRLSMEAAREVLLKFNVPEDQIAKQTRWHRIAMIRKLSSEQAASGVKVDATTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQNLSAVDGEEIESDAEVNSDLDSFAGDLENLLDAEECEEGDEGACDLKHDKIDGVKGLKMRRRPFQAQLEEEIEDEAAEAAELCRMLMDDEDADRKKKKKEKSVGERVGAPEAQPRFVMENTERGKKTTVTTKAVIKPDVLNAFKEDIAGDQSEGDGLAAKRSFSSKVKPKKKTDVAEMGLLKKKVKILADGIQNVKEKKSARESFVCGACGQLGHMRTNKHCPKYGEDVEPRPENADPEKASAKATLSEHADPPQQKPPMKKIVQRSATKIAVVETQEDEKSSSKAKVLKFKCGSTDKLPDKPTPATSLSSDKPVMSDGETGSKSVVKLNKLKFSNSMKSEETAVESRKPSILIKPLVEAGDKPRKKIVIKQSKDSSNAEDVSQEGSSGFEHRKMKKIVELSYADMYTDQGSYHFSEEASRRKSMQAKQRQWEEEERRRMVEREREERRMYEQQKAFEEQERLAEIRRYEDSLRTEREEEERQRAKKKKKQFQPKPEIRNDYLDDFPPRKTDKRILDRDRPSKRRPDPELGGRHGLEYTPSTKRRRLGEVGLSNILEGIVETLKGRKEVSFLFLKPVTKKEAPDYHKYVKRPMDLSTIREKARKLEYKNRDQFRHDMAQIAINAHLYNDNRNPGIPPLADQLLEICDFLLVENDALLSEAEASMDT >itb01g18250.t1 pep chromosome:ASM357664v1:1:23231904:23234471:1 gene:itb01g18250 transcript:itb01g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHHDLGGFCTKEKEAYPYVFLGETDRELKESLFINIFNGISRKKFSLNWRTPALMRFKRGSKVEVMNKAEVPVSWRRADILSRDGHTYTVRFDSTSPVKNESMVERVSRKFIRPCPPSAQGGESWFCGDIVEVFNDYSWKVAIVLKVFRGDYYLVRLVGCFQEFSIHRTEMRSRQFWQDKKWVLMCKGNGECGPVKSQKLSTSDFYQKMSFQLPRPDPWAKTQDDTRFQDSHVVSARSLKRMSPYCSSAVETHSGNFQKLRIVGANGQKQRVIPAPLLKKVDDVACQRKTLGEKNMHASFSVASNRYNEMDKAKLDGALCYSYMRSSESSDSDRDASSVGSCSVTSSSTIRFNCHSVPVPCQVSDAPCSDAESVYCSGDEEGSNPPPLEEDFAESIHRLELHAYHCTLEALHASGPLTWEQEALLTNLRIQLHISNDEHLMELKNLISPKINNYAS >itb14g18620.t2 pep chromosome:ASM357664v1:14:21579234:21583306:-1 gene:itb14g18620 transcript:itb14g18620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MASLWFPTLSSRTNLFSTSNNANSLHFSYRRLPKRRSERQNLLTQCTSSAVGGGGFSTERLGSQSRARETGNLNLDVSQYEDLLKGGEQVVSVLDEMAKLLEDMAMDDASEEIAVQLTAQGVIGKRVDEMESGFMMALDYMIQIAEKDQDEKRKSLLEVVKETVLSHLTKKCPPHVQVVGLLCRTPQKESRHELLRRVAAGGGVFKSENGTKVHLPGANLNDIANQADDLLETMESRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGLDTLPESEVNFLTKLVALKPGKTVREMIKNVMLGKDEGAESPDSNEKSAAGQKKSGGIFGREISLGLQHSILNGFIRTHFKFFKKLRSRPFHHSTGTSVFGSLLEHGLSNSQELIFT >itb14g18620.t1 pep chromosome:ASM357664v1:14:21579234:21583306:-1 gene:itb14g18620 transcript:itb14g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MASLWFPTLSSRTNLFSTSNNANSLHFSYRRLPKRRSERQNLLTQCTSSAVGGGGFSTERLGSQSRARETGNLNLDVSQYEDLLKGGEQVVSVLDEMAKLLEDMAMDDASEEIAVQLTAQGVIGKRVDEMESGFMMALDYMIQIAEKDQDEKRKSLLEVVKETVLSHLTKKCPPHVQVVGLLCRTPQKESRHELLRRVAAGGGVFKSENGTKVHLPGANLNDIANQADDLLETMESRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGLDTLPESEVNFLTKLVALKPGKTVREMIKNVMLGKDEGAESPDSNEKSAAGQKKSGGIFGRTSVSGVKPLPVRPGMFLETVTKVLGGIYTGNISGVTAQHLEWVHQNTLQILQEIAF >itb14g18620.t3 pep chromosome:ASM357664v1:14:21579234:21583306:-1 gene:itb14g18620 transcript:itb14g18620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MASLWFPTLSSRTNLFSTSNNANSLHFSYRRLPKRRSERQNLLTQCTSSAVGGGGFSTERLGSQSRARETGNLNLDVSQYEDLLKGGEQVVSVLDEMAKLLEDMAMDDASEEIAVQLTAQGVIGKRVDEMESGFMMALDYMIQIAEKDQDEKRKSLLEVVKETVLSHLTKKCPPHVQVVGLLCRTPQKESRHELLRRVAAGGGVFKSENGTKVHLPGANLNDIANQADDLLETMESRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGLDTLPESEVNFLTKLVALKPGKTVREMIKNVMLGKDEGAESPDSNEKSAAGQKKSGGIFGRVKSCYAMISQPSLSLSLILYSFCLHRLVSVVLNHCLYVLACFLRLLLRC >itb09g06810.t1 pep chromosome:ASM357664v1:9:3916936:3917507:-1 gene:itb09g06810 transcript:itb09g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAFHGQFSVKSDTFSFGVLVLEIISGQKSNCFRNGRSEQDLLSNAWAQWREGTALNLVDPILRGNSSSGSVQEMMKCIHMALLCVQENVGDRPTMSTVVLMLSGSSLSLPLPSAPPFFMHSSITPEVSPQLPEQNSTMFESLNETSIADAFFP >itb03g02980.t1 pep chromosome:ASM357664v1:3:1684866:1686112:1 gene:itb03g02980 transcript:itb03g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADNSDQRRAAKQHQVGAPPPEPEHLRCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGSRKNAKRSRTIPSSSSGAAAATNAAAFPAHDFRHAAAPSSFLFPLGADHAGSVPFPADMVKPGLNVCGSFTSLLNTQGPGLLALGGFGLGPTIDDMGYGFSRAVWPFPGVPEAAANGGTAAVLSGGPWQLSTGENAFVNGDCFGLPDLAISTQGTGMK >itb05g27270.t1 pep chromosome:ASM357664v1:5:30728096:30729149:1 gene:itb05g27270 transcript:itb05g27270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNLLSTTITPQLFSHSSHRPFLLRKPAGAPRRIQVTVQSKGSSTADGPDRLISAIAYFYPFFDGVQYGKYVITQFTPVQALIQPLVPAIRVFKSFPLNGILVFFTLYFVVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERSFSPKDGLGLDLMMSFDSTVFLFLLVCLIYGSSSCLLGQLPRLPLVAEAADRQVL >itb05g28240.t1 pep chromosome:ASM357664v1:5:31335393:31337694:1 gene:itb05g28240 transcript:itb05g28240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELFLITVLSLGGLILYMTVKLSRSSDKVLPRNWPVVGMMPALLKNAHRVHEFATDVLKHSGGTFEFKGPAAFANLSMLATSDPANIHHILSKNFSNYPKGPEFRKIFDILGDGIFNVDFQLWELHRKTTLSFFNHAKFYTLLESNVWHKVEKGLLPVLDWFAEEGAEFDLQDVFQRFTFDTISKLVLNHDPQSLCLGLPYVPCEKAFNHVVDALLYRHILPQSCWKLQNWVGIGKEKKLIQAYRAFDEFIYSAIKRLELKINNNDDSSDLSLYTAYVQAYKQQEQLLTGSSVNKFVRDTLLSLMFAGRDTTSTTLTWLFYLLAQNPLVQANVRDEIENKLNLKQEIFKVEECGKLVYLHAALCESLRLFPPVAIQHKVSAGMDVLPSGHLVNPNTRIILLFYSTGRMDSIWGEDCMEFKPERWISGKGRIKHEPSYKFPAFNAGPRTCLGKEMSFVQMKMIAAIILHHYEFQVVDSHSVSPSDSIIIQAKHGLKVKFTKRNM >itb04g16830.t1 pep chromosome:ASM357664v1:4:18817724:18821851:1 gene:itb04g16830 transcript:itb04g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTVPSASQSQDDVEENEEMGIAEIAPKVLFCSSISLTAEEMECLSNGGSGLNEDPTKVGGKVLMREAFDVKKGSEATTSKGDPFQISPTPADEKNHSQWHGFIRKLKKGQGMSLHTFHPSIPSLPSMKKAPKKRIRKSLPTLPPQIDGDLVSNCFETNWISFSLPELQKMTGNFSSENLIGEGGYSEVYKGNLEDGRLVAVKRLIRGSPEEITADYLSELGILVHVNHPNIAGVIGYGVEGGMHLVLPLSPNGSLATLLNDQSEKLLWQSRYNIALGTASGLSYLHEACQRRIIHRDIKAANILLSQEFEPQISDFGLAKWLPEQWSHLTVSQFEGTFGYLPPELFMHGIVDEKTDVYAYGVLLLEIITGRPALDQSHNSVVMWAKPFLLQKNFAELADPALHGVYDLEQMTCMALAASLCIQQCAADRPPMNMVVKMLTGEEDVLQITANFHKRYALANGDSMDAFNEQLLDTPSSSSDGS >itb04g16830.t3 pep chromosome:ASM357664v1:4:18817686:18820184:1 gene:itb04g16830 transcript:itb04g16830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTVPSASQSQDDVEENEEMGIAEIAPKVLFCSSISLTAEEMECLSNGGSGLNEDPTKVGGKVLMREAFDVKKGSEATTSKGDPFQISPTPADEKNHSQWHGFIRKLKKGQGMSLHTFHPSIPSLPSMKKAPKKRIRKSLPTLPPQIDGDLVSNCFETNWISFSLPELQKMTGNFSSENLIGEGGYSEVYKGNLEDGRLVAVKRLIRGSPEEITADYLSELGILVHVNHPNIAGVIGYGVEGGMHLVLPLSPNGSLATLLNDQSEKLLWQSRYNIALGTASGLSYLHEACQRRIIHRDIKAANILLSQEFEPQVSL >itb04g16830.t4 pep chromosome:ASM357664v1:4:18817686:18819977:1 gene:itb04g16830 transcript:itb04g16830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTVPSASQSQDDVEENEEMGIAEIAPKVLFCSSISLTAEEMECLSNGGSGLNEDPTKVGGKVLMREAFDVKKGSEATTSKGDPFQISPTPADEKNHSQWHGFIRKLKKGQGMSLHTFHPSIPSLPSMKKAPKKRIRKSLPTLPPQIDGDLVSNCFETNWISFSLPELQKMTGNFSSENLIGEGGYSEVYKGNLEDGRLVAVKRLIRGSPEEITADYLSELGILVHVNHPNIAGVIGYGVEGGMHLVLPLSPNGSLATLLNGL >itb04g16830.t2 pep chromosome:ASM357664v1:4:18817686:18821851:1 gene:itb04g16830 transcript:itb04g16830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTVPSASQSQDDVEENEEMGIAEIAPKVLFCSSISLTAEEMECLSNGGSGLNEDPTKVGGKVLMREAFDVKKGSEATTSKGDPFQISPTPADEKNHSQWHGFIRKLKKGQGMSLHTFHPSIPSLPSMKKAPKKRIRKSLPTLPPQIDGDLVSNCFETNWISFSLPELQKMTGNFSSENLIGEGGYSEVYKGNLEDGRLVAVKRLIRGSPEEITADYLSELGILVHVNHPNIAGVIGYGVEGGMHLVLPLSPNGSLATLLNDQSEKLLWQSRYNIALGTASGLSYLHEACQRRIIHRDIKAANILLSQEFEPQISDFGLAKWLPEQWSHLTVSQFEGTFGLVETKPLNMNFLFIYLFNPLKAAINSS >itb12g06560.t1 pep chromosome:ASM357664v1:12:4890010:4892843:1 gene:itb12g06560 transcript:itb12g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN1 [Source:Projected from Arabidopsis thaliana (AT5G39400) UniProtKB/Swiss-Prot;Acc:Q9FLZ5] MGLRLGKQGQKKPALVAQLNYIHAQQDLVNLIFRSCYIRNLVSKKRRRLLVGGYDLDMTYITDRLLAMSFPAEHMRAMYRNPMWQVKSVLDMRHFGHYKVYNLCIEQAYDPTHFYGRVERYPFDDNHVPPLRMVKEFCEDVHSWLSSDPKNTAVIHCMAGKGRTGLMVCAYLVYTGMSAEEALQVYAEKRTTNNHGVSIPSQRRYVGYWEKVLSFPDTVDRGIPDVNLPKPCSRELRRIRLYDTVNVDSIFFVVSQLQNIPGERYCPPVEVSKSCCRRNKNGFHRPNSPRYYYSFLEGNEEEDDEFEEEKEPRLVIQMDTESSMLYQKTCLDYYYENPLQVMGDVRIIFYEKLIGGRLFYACFNTSFIKSGLLQLSLREMDKVGKKGKSICGPAFCVELIFGPANPSTLITPQLSHVDHLVEEG >itb07g09770.t1 pep chromosome:ASM357664v1:7:9425169:9427563:-1 gene:itb07g09770 transcript:itb07g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAEDEVVARKSKKRKERNNDMLAANPVHIVNDVGVEGDKSFEEENLMKKKSDNEGVERMKKKKKSAGDKKGGALMEDTNGITVEKAKKRKRKREVESNNNELHDVSQDTANDVSVDNGGYVNSTATEIHESKKKHKKKAGKLSDGCTEGEVEKVKRKKKKNKKKKGEDDQDLAMNKKKKGEDDQDLAIGLACTDGIADFSVNETQASGVGNDNNSNETQASGVGNDNNSENQGKDSSKDPKLKSSKKKVRFSNELEVFPESNVRESGNDENEEVELIRGKRFTKIEDEKIKEAIYKYIEVHHLGEEGLNMVLNSRSHPEVKNCWKEIGAAIPNRPHIAVYYRAQIIFRRAENPKWTEEEKALVLQHVKLHGNEWKSLAEELGRHRFHVKDTWRRIKLPKMKTGHWSQDEYQNLFDLVNTDLQVRVTEEKKSKHGMLRDNICWTAISDKLSTRNGPNCCLKWYKQLTSPMVAEGLCVNETQASGVGNDNNSENQGKDSSKDPKLKSSKKKVRFSNELEVFPESNVRESGNDENEEVELIRGKRFTKIEDEKIKEAIYKYIEVHHLGEEGLNMVLNSRSHPEVKNCWKEIGAAIPNRPHIAVYYRAQIIFRRAENPKWTEEEKALVLQHVKLHGNEWKSLAEELGRHRFHVKDTWRRIKLPKMKTGHWSQDEYQNLFDLVNTDLQVRVTEEKKSKHGMLRDNICWTAISDKLSTRNGPNCCLKWYKQLTSPMVAEGLWSDSDDYRLIGALYNLDETCKENVDWG >itb03g25380.t1 pep chromosome:ASM357664v1:3:24400789:24404464:-1 gene:itb03g25380 transcript:itb03g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHTLTRRELQALCKVNKIPANMTNVAMANALKDLEFVEGIEEVMKPSESEIANSSVESPEKSDMMSSAPRTSRRAAIRPEISHTMTRTRRSTRRMPPEDQVKNDVIETPAAPTARRRATTTSVSSKMESLLKESVDTEQDGEQTLNEKKDLPKTPGTRLTSRRRQVKENTSVRPVYSTRRSARLASKYEEELSKEDAEMSQTAMFDSFTDETYEILDVNSKLCSLHLDDEQGKADSSAFSNGNENQNVASKELGADMHQNSEEHATEEIINGLIENYDFSAGKESNDVEVDLQQGADLVLEGQEAICDNTAGEVSEYVVEKAGDEMMVEDYSHFKEEEEEEEEDSIAVEDVDVQPPEGQVLGADDENKLHHGNEISEWEVVNVDALNKSHKLASADEMMILLSKQLDEDEEDEDKGNNTNGISDYEVEDVNLQPKFHEVVVIGEQLGATSCNEELDTNENSEVVGNGIILTKQLDEVINTKEISNTDVEEEDVNDEEELDTKENSECEVEDHGMVLDNEEESNADLHWNMALSNQPSVNEDDEISDDMQEEKLVDPASKDLNSTLMLDPPAHISVSDVAETDSDNTFQSNKTTPREPVVTDDKENIDNSGMKLVVTKEKLKKKGNAEVKNQKPLEDLSLRQLTKMMKEMKIQNAEKSAKAAVSRPALQHVPENCLVGESRNRSEDYDELINRRC >itb03g25380.t2 pep chromosome:ASM357664v1:3:24400789:24404464:-1 gene:itb03g25380 transcript:itb03g25380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHTLTRRELQALCKVNKIPANMTNVAMANALKDLEFVEGIEEVMKPSESEIANSSVESPEKSDMMSSAPRTSRRAAIRPEISHTMTRTRRSTRRMPPEDQVKNDVIETPAAPTARRRATTTSVSSKMESLLKESVDTEQDGEQTLNEKKDLPKTPGTRLTSRRRQVKENTSVRPVYSTRRSARLASKYEEELSKEDAEMSQTAMFDSFTDETYEILDVNSKLCSLHLDDEQGKADSSAFSNGNENQNVASKELGADMHQNSEEHATEEIINGLIENYATVDFSAGKESNDVEVDLQQGADLVLEGQEAICDNTAGEVSEYVVEKAGDEMMVEDYSHFKEEEEEEEEDSIAVEDVDVQPPEGQVLGADDENKLHHGNEISEWEVVNVDALNKSHKLASADEMMILLSKQLDEDEEDEDKGNNTNGISDYEVEDVNLQPKFHEVVVIGEQLGATSCNEELDTNENSEVVGNGIILTKQLDEVINTKEISNTDVEEEDVNDEEELDTKENSECEVEDHGMVLDNEEESNADLHWNMALSNQPSVNEDDEISDDMQEEKLVDPASKDLNSTLMLDPPAHISVSDVAETDSDNTFQSNKTTPREPVVTDDKENIDNSGMKLVVTKEKLKKKGNAEVKNQKPLEDLSLRQLTKMMKEMKIQNAEKSAKAAVSRPALQHVPENCLVGESRNRSEDYDELINRRC >itb13g19660.t1 pep chromosome:ASM357664v1:13:26615475:26615873:-1 gene:itb13g19660 transcript:itb13g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPALERSDEILICTLSTPILHSLQASPSTKRTIYICQIWRQQCFCHALKPCKTELPSTLMVNSVQAQVQQLQQQWAQEQQVSNSSNGLKHINSGYIWFQQGYLAPNFRVWKTGQYQSQPVHLLNSLNLL >itb13g23930.t1 pep chromosome:ASM357664v1:13:29724709:29729014:-1 gene:itb13g23930 transcript:itb13g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLKDKQPLSVNAVAAGATYLHQKREYLAIDTPDPEENQNQDKGKHISRNIHQAPLLFRIREPGSENSIRKAFQKHYFGNTEGLEENCRKNLTLFVVNCCNADNTNNTSNGEVVEKFLYYGSYILGVLYKYGSSKANTSNGRVAQTLIDILLLEKQIPFPVLFELHKLKQGNGDDVSLLEALIQLVKNCFEIQVGKLTPLNADPCKCKYKPENLPKYLLEVVHSLCIPPTRSDEYCGLVLKQIKTATELEKDGVSFKKNNGEHTLFDIHFKECMMKIRGFRIDEFTETFLKSMIAYEKHPDVASKPFTDFVLFMTKLINTPKDAELLCEIIGNFHGTNREQLFSELLGGSTFSGVIKKQEVPEENQSHNIGKYISRVPEALSKTNPSAYKPKLISIGPYYRIQEPGSENSIKRYFQEGFFGNTDGLEERCRVLLTSFVDKARSCYAGSTKNITNARLVETLMRDGCFILQFLKSVKDKKSSDNGRVAQTFMENERKVAQTLKDMLLLENQIPFFVLFELHKLKQDKGDDVAFLKELIELVKNCYESQVRKLTQPLLPNKYKPQSLPKHLLEVVHSLCIPTNTTRSDEYDEECGLVLQQINTATELEKDGVSFQKIEEVHRSLFDIDFNWGTMKIRCFRIDDFTETFLRNMIAYEQHSDLAFKYFTDFVLFMSHLIHTPEDAKLLCGKGIIANFQTTDDKEKFFSQLLVGVDTSSTSTLSTLSGVINKVSQHCEKTLEKWMGMLRQYLTNSPWTPIIGIVAVIAALVTIVHYIKS >itb12g09750.t1 pep chromosome:ASM357664v1:12:7699812:7704362:-1 gene:itb12g09750 transcript:itb12g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLITGIPGPSGFGSASTAEQVTQGIDASNLTAIITGGASGIGLEVARVLALRKAHVIIAARKLDAAKEAKQLILKDNSTAHVDILKLDLSSLKSVKAFADDFKALNLPLNILINNAGVMFCPFQLSEDGYEMQFATNHLGHFYLANLLLDKMKETANVTGVQGRIVNLSSVAHLYTYEEGIRFDKINDESSYHAKMAYGQSKLANILHAKELSRRLQEEGTNITVNSVHPGLIMTNLMRHSVLFTRIMKLFTWMLWKNVHQGAATTSYVALHPDLKDISGKYFLDCNEYEASAFARDEALGKKLWDFSEKLVKAAINK >itb12g09750.t2 pep chromosome:ASM357664v1:12:7699812:7704362:-1 gene:itb12g09750 transcript:itb12g09750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLITGIPGPSGFGSASTAEQVTQGIDASNLTAIITGGASGIGLEVARVLALRKAHVIIAARKLDAAKEAKQLILKDNSTAHVDILKLDLSSLKSVKAFADDFKALNLPLNILINNAGVMFCPFQLSEDGYEMQFATNHLGHFYLANLLLDKMKETANVTGVQGRIVNLSSVAHLYTYEEGIRFDKINDESSYHAKMAYGQSKLANILHAKELSRRLQEEGTNITVNSVHPGLIMTNLMRHSVLFTSMPLKSWIQIYRSTQLILNCFIFPFTLFPFLQEL >itb05g03330.t1 pep chromosome:ASM357664v1:5:2775281:2777762:1 gene:itb05g03330 transcript:itb05g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLLIFVCLLCWVARNGFSMGNDEFFFSGFGGEAARANMSLDGAAEIQKNGILKLTNTTARRFGHAFYSNPIDFKNRKTGKGFSFSTAFAFGIVPQFEKLGGHGFAFVISRSRELKGVLPSQYLGLMNATDFGNFSNHLLAVEFDTVQDFEFGDISDNHVGVDINSMVSNASANVSFFPGEEKNPTKEKNFLQCGKKIQAWIDYDSSKNQLNVTLSLSSTKPDFSVLSFQVDLSPILEENMFVGFSASTGVLASSHYIFGWSFKMNGQAQSLDLSSLPSLPGPPKSHMPMILATSLSSVTFLVVIACIVVYTVFKLKNMDVIEPWELEVGPHRFKYGELKKATKGFRDKELLGFGGFGKVYKGTLPKSRTEVAVKRINHDAKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMPNGSLDKYLFDEPKAVLTWEQRVKIIKGVGSGLLYLHEEWEQTVIHRDVKAGNVLLDSELNGKLGDFGLAKLYERGENPGTTRVVGTLGYLAPELTKTGKPSTSSDVFAFGALLLEIVCGRRPIEQKALPEELILVEWVWDKWQEGSILEVVDPRLKGNGNYDEVEAVILLKLGLVCSNSSPEKRPTMRQVMRYLEGDAALPETVESPNEYGKKDGNNAASAMEFGDFLSSYPPSSFYEEEEDQAISPVPPSPPI >itb13g19650.t1 pep chromosome:ASM357664v1:13:26613261:26614537:-1 gene:itb13g19650 transcript:itb13g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLTSNFVSSSLTPHHPRRLASPEDYAKPVQRQRGSKLTITCAAKSGGGGGGGNPFKSIINRCKSCGGQGAIECPGCKGTGKNKKNGNIFERWKCYDCQGFGLKSCPACGTGGLTPEQRGER >itb12g19530.t1 pep chromosome:ASM357664v1:12:21944255:21945547:-1 gene:itb12g19530 transcript:itb12g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDPKFQERWEFRRRDDEFNSSSDDSKSSSSCDARRMKHNLLPRIILSQCVESNDDLRPQERNLLDSNSDVNFRCMEATEKHIGSSNRCKATKNVKRRDPVKHSPKKQKSSAMESALWDDLKTFRESVVGELKVARETMFTQMKDDMTKLNPQKSAPTPRRKVQSTVKRQPKNKSEPCRRNRSHSCKPAVRSLEKKMDLDSTNCSTICIEQVSRDQALKAVPSYKNNENRSVISLKKPICLVNDSEHSVSASYPTLPTVFTKALVENLNNMVPLRDQIQPTVITTDKDSIIEKESLGINANNHIGYILGNQEEEPSGSFTHINSKSLCLYDQSRMNMAFQVPPLHMLYNTSNISSQAIPENTLQGNNRLVLGTNSGTLSFSGGNLALAECFISNSVGSHMAYRRNRGLVSFRCQNYKENHLLQNSLEKD >itb03g18680.t1 pep chromosome:ASM357664v1:3:16948264:16951470:-1 gene:itb03g18680 transcript:itb03g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAAFMSMGEAHRRITEYLNRFSDAVSSQDGKSLSRLFFISSNSSFLLSLSDALNTFQDASRVIRQSECSQYSDLLLPLFRAFQSYKLNNLVETYQAFEKSANSFIQEFRNWESAWALEALYTVAYEIRILAERADRELASMGKTPEKLKGAGSFLMKVFGALASKGPKRVGALYVTCQLFKIYFKLGTVHLCKSVTRSIETARIFDFEEFPLRDKVTYMYYTGRLEVYNENFPTADQKLSYALAHCDPQKEGNVRMILKYLIPVKLSIGILPKSLLLEKYNLNEYSNIVLALRRGDLRLLRSALQEHEDQFLRSGVYLVLEKLELQVYQRLMKKIYIIQKQKDPNKAHQIKLDLIVKALKWLEMDMDVDEVECIMSILIYKNLMKGYFAHKSKVVVLSKQDPFPKWTGKPGNL >itb03g19580.t1 pep chromosome:ASM357664v1:3:17623864:17626668:1 gene:itb03g19580 transcript:itb03g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSALRDVDPLLKDLNEKKQNFRRNVVSLAAELNDMRIQLQSKEQSFARETLTRQEAENKANKMEEELNRLHKIVEEKDLQLEASTSTVEKYLSDVDDLKSKISATQASADASAAAAQAVQLQCFELIKEHEDCLNRLGEQLDLLQKDPRVREGSQMQLKDEVSRIENHFRQELAQMRDELKFMSSLWSLKTQELVSQLEKCRRADQQMKLKTKMLESQLEKHRGQASS >itb04g12360.t1 pep chromosome:ASM357664v1:4:12086211:12086489:1 gene:itb04g12360 transcript:itb04g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQGITIPTDAEELLTKTEELAQTGILVSIDRELAGVLAISDLLKPEAPQVISILKSMNVQSMLVTGDNWGTANAIAKEVGINYCRSQTLR >itb12g24280.t1 pep chromosome:ASM357664v1:12:25858022:25862672:1 gene:itb12g24280 transcript:itb12g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNAGVFSIALLLCAISWTVAQTKLPLHPFQLIQQGRNPTINPNVYDTSKYGILQLDNGLAHTPQMGWSSWNLFACEINETIIRETADALISSGLASLGYTYVNIDDCWSTPLRNSKGELVNDPKSFPSGIKALADYVHAKGLKLGIYSDAGIFTCQVRAGSLYHEKDDAALWASWGVDYLKYDNCYNLGIEPKERYPPMRDALNASGRAVFYALCDWGVDDPALWAHGVGNSWRNTEDIEDTWESMTAIADINNKWAAYAGPGGWNDPDMLEVGNGGMTYEEYRAHFSIWALMKAPLIIGCDVRNMTTETFEILSNTEVIAVDQDPLGVQGRKVSVSGANGCQEVWAGPLSGNRLVVAFWNRCSKDETITAHWDVIGLESSASVSIRDLWKHEEISANAVESYSAQVKSHGSELYIFTPQTVTSSSSW >itb07g08050.t1 pep chromosome:ASM357664v1:7:6293197:6296618:-1 gene:itb07g08050 transcript:itb07g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSGPPPLPPLRFRFHLRQMAGCCLALPQIPKQHLAAIPKSYPFLKVLYAESLSFEILPKELYNLYHLRYLAISTDNNIIPKLFTHLWNLQTLVFNTTSQSTVDVKAEIWNMPKLRHILANASLQLPPSPSDNSSSSSCPKLQTLSTISPKSCSEEIFDKMPNLQKLGVRGNVSELLESKESGGICLFDNIRKLEKLENLKLMHEAFNDEAAATTLRSIPKAEKFPPNLRKLTLSKTSFDWKDICTLGSLDKLEVLKLEEFSAKGESWELNVNVVFRSLQFLRIGRTDLVYWTCEHSSFPALKRLHILQCEQLKEVPLSFKDVKSLKIIDLFFTNKQAANSARNIRDQKPKLDNFVLSILPPHH >itb13g01380.t1 pep chromosome:ASM357664v1:13:1318498:1320054:1 gene:itb13g01380 transcript:itb13g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDKNPISPTSSPSSARLWEERISNVFKKESEIHFDHLPPLCVFQVPKLLIHQNPQAYTPQLVGMGPYHHLMPELYHMERYKLAAIKQILDPSQILNFQHIVVDKLKQMDTMIRACYSRLMDLQEGTLAWIIAIDGLFLIHVLHTLSSSYDSRGDNILTRDIILLENQIPVVLLKEILKLLELSSPFHEEVLFSMLMRFCEAHSPLKISMESRNFNDSRQPLHLLDLMYHLIVKDKSPESCFEVPIQEIQKGSSETKLIVNEEEEEEDNQDQDMLENIEEIIDFVESVGPKPTGKIIKPVKVVTNVIPWTTISGLFRTATKIDGDDEDEITIPSVASLWRYSRVRCSPILQGGICNIKFDEHEATLYLPVITLNSCSEVILRNLVAYEASMLKSTLEFARYVNLMNGIVDTAEDVRILRQNGVIKATLSDQETADLFNGMKRFFTKPDKRSNIEVAIKKVNEYYDRRMVVRFYRRMKKNADISWKILALVSFILTLAMLAFQTFCESYNCSRFWGLK >itb06g17030.t1 pep chromosome:ASM357664v1:6:20983370:20985914:-1 gene:itb06g17030 transcript:itb06g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVPFWRAAGMTYITYSNLCANLVRNCLKEPYKSEAVSREKVHYSVSKWADGKPQKPTIRSDTSEE >itb14g03640.t1 pep chromosome:ASM357664v1:14:3309734:3310632:-1 gene:itb14g03640 transcript:itb14g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGDENQMDLRATEGDGESLRKEENSWVETGQTKEEEWNTLHTDASFTSHNMLTGCGGVLRNSKGDWISGFSCTSRTGHATEGELWAIYADLKWAWKAGLKKLLVLSDSKHAVEWLTIGPLPLGPCARIMELCKDWLRKDWEVKIDHIPRDSNVIADWLAKVATKRNCDWIEFHSPREIIRDILEIDKAKLVFMHDS >itb02g08290.t1 pep chromosome:ASM357664v1:2:5224295:5225671:-1 gene:itb02g08290 transcript:itb02g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQELNEIVGAQTHIWNQIFNFINSSSLKCAVQLGIPDVIHRHGGPITLEELVHALAINKAKADHLGRLMRVLTRSGFFLDAKIKDEDGYALGPPSCLLIKDHPFSLAPFVLGASSTIFTGPWHRVSEWFYNDDPTPYQTAHGRTFWDCASQDPEMNHYFNQAMACDTLLLMALVKKYCSHVFEGLDSLVDVGGGIGMVARAIADEFPDTKCSVLDLPHVVAGLEGTKNLAYVAGDMFKAIPPAQAIFLKWVLHDWNDEDCLKILKKCKEAISDREKGGKVIIVDMIVGSTQNGDDDDELIQSQIFADVQMMVYYGGRERALKEWVKMFNDAGFFYYKITQLGSRSLIQLFP >itb09g19170.t1 pep chromosome:ASM357664v1:9:15311822:15321530:1 gene:itb09g19170 transcript:itb09g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQSKTANNLSPEQDPSHPESKPDLADGKQVDEDQVPAFKEFDLAELRAATNGFSSELIVSESGEKAPNVVYRGKLRSNQLVAIKRFSKQSWPEPQQFVAEAARVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRVRVACHIAQALDHCSAENHKIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYADEDASALVELASKGLQYEARDRPDVKFILTAVEPLQKQKEVASHVLMGLTKTPVVVLPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDNDFKNAIDYYSKLVSMMPVPSGTVFVRRALSYLMIGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKLNSWRG >itb01g07780.t1 pep chromosome:ASM357664v1:1:6158044:6161103:-1 gene:itb01g07780 transcript:itb01g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPFHFFLIFPTTLIYAYSDLDTLLKLKASLVGPGSSELGDWVAGNSQAHCFFSGVACDQDSRVISLAISAVPLFGSLPPEIGLLDRLLNLTLTSVNLTGALPSEMAKVTSIKAINMSNNLFSGHFPGEILVGMTELQVLDVYNNNFSGRLPHEVVKLKKLKILNLGGNYFTGEIPEIYSNISSLQTLNVQGNSLTGTIPASLAQLQNLRELCLGFNTFESGIPPELGSVTTLEMLDLSECNLSGEIPPSLGNLKQLYFLYLYGNSLTGHIPAELSGLETLVHLDLSYNNMMGEIPQSLAELKSLVVINLFGNNFQGTIPAFIADLPKLEALQVWGNNFTSELPVHLGRNGRLRWLDVASNQISGRVPENLCMGGKLKALFLMENNFSGSLPQGLGECKSLNVVRVEKNYLNGTIPPGFFKLPLLNRLHLQHNYFSGQLPTKMLAKNLTDLDLHNNRISGQIPPAFGNLENLWKLSLHSNRFSGKIPNQISHLKKMVTMDLSSNSLTGEIPASIAQCTQLNSFDLSANNLTGKIPKEISSLERLNVLNLSRNLLTGSVPSELGLMNSLTVLDLSFNDFSGPIPTNGQLGFFDNRSFYGNPKLFYSPPSSSPVNHNNHSWTTKRILIITVLILGTAAAFLSAVIWLRCIIVARREKIMKSNNAWKLTTFKKLEYKVEDVVECLKEENIIGQGGAGTVYKGSMPDGVIIAIKRLDRRVTGRRDLGFSAEIKTLGRIRHRHIVRLLGYASNRDTNLLLYEYMPNGSLSGILHGTNGANLVWEMRFRIAVEAAKGLCYLHHDCSPPIIHRDVKSNNILLTSDYMACIADFGLAKSFNNVGVSEYMSSCVGTFGYLAPEYARTLKASVKSDVYSFGVVLLELITGHKAVIKLDDEVINLVTWVQMSISKLGQQSNEDCILALVDSRLNDDYSIASVITMFKIAILCVRDESDSRPTMREVVHFFTLHSHISH >itb07g05010.t2 pep chromosome:ASM357664v1:7:3400470:3401796:-1 gene:itb07g05010 transcript:itb07g05010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAGVTTIAGGFSNKAGKKDGSGKDATFSNDYELVFIPQRCALIVSDIGNSLVRQINLKPEDCSSDSQSALGSTTAWFLGVVISCVIGLIIGFVLRPYVIPHGGGRHRWYNRTWKRCQMSLGRPVLMLCFELRNAVVNSSSYSLLKQITYLTFSHLSLIFTSMFFPRIVEPQKPLEKQVSLLDLDDLDSSEPTNKYPRIVEVKDVPLLELDDTNTSKSAKPDAVANELKDLIHFDEGLLLSNKSESDFEDKNETGSNGKIDGMIHANLCGFVELATATSSAEFPKSSLGLVAKRRVK >itb07g05010.t1 pep chromosome:ASM357664v1:7:3400951:3401796:-1 gene:itb07g05010 transcript:itb07g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAGVTTIAGGFSNKAGKKDGSGKDATFSNDYELVFIPQRCALIVSDIGNSLVRQINLKPEDCSSDSQSALGSTTAWFLGVVISCVIGLIIGFVLRPYVIPHTGRRQTSLVQQDMEALPNESGETSSDALLRAQKRSC >itb13g02280.t1 pep chromosome:ASM357664v1:13:2114435:2118652:1 gene:itb13g02280 transcript:itb13g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQYNKSQMIRLLLRSISPAVENKFGKGRAPNKVEQVRTQLRAKKFLIVLDNIHDSRALHDIQICVYCGDPGSTILLTTGNFNLGFDPIFYHHKYTHYMTLLDQNQSWDLFCNNMISLKGRVALDFEKIKSHIVEICDGLPWSIVAVAKRLAKCQDILKEWEKVKKEMESLGILDRNALTFHYNRLSEHLKVCFLYFGVFPKRKEIQVKKLIWLWVAEGFLEPLEHEELENQGYVYLQEFIDRSLLLICNQGRDGNIKTCRMHSALHSFCVGEAQKADDPPSRVTMMNLQTLSLVSPTHCGREVHFNFPNIRKVKLFYKEDLAPSHIGSNPITLYNLDYLERLERLTISISISCIVTLPERCMFPLQLKKLNLSGTKLSQRDLTAIGMLPELRVLKLENALLGRVWKVAGGEFRKLRFLLIEDKKLKQLQSDETYTLLHLKRLVLRCCNCLEQIPSSFFDRNMKHIELDGCNPSIVASAKQLIINHLFGRVELIVNGAVYLLYRYRRNGLYHAGFKPLVNKGLQDIFQFRSAPRDLETKIRDFALEAEDNIETQLSNFLLLSKHTPHQEEASQKLYQTLREAAQNAAELLNITNIEKDGETQRKITCDALTSLIREIQVDFAVHPVIHIPPSLIESLIENISSLREFLEISNFHSAAIKDLATKIRGFAFKAKEDMEKQSWEVHYSRFTDKHKKLSKKLLKALQQVAENTGELLDRINNTSTEADEANETQPPIPWLKHNNSSQTVNVMGGDNGSSSRCSPRFEDRMVGHQNDVTTIKDQLFSGFGGLKVIPIIGMLGIGKTTLARTIFEDQLVQLHFDVKIWFTMPRKYNKIQILRDLLRSIALAEQHEI >itb09g18530.t1 pep chromosome:ASM357664v1:9:14442430:14442909:1 gene:itb09g18530 transcript:itb09g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENKLIKIIALLIIVFFSQSVAGGGEAEGMEAVRKRRHPIVETAMALFSLPTTFPDTSAYWTKLDSLIQQGRAFFFSSPPNLDFRHGTEDAPKAMEEGTGEKVKDAVVKSVDKSKATIEDSAKSAAKLAGETVGKATQKLKETLSTEYQDPLAKPDEL >itb09g30970.t2 pep chromosome:ASM357664v1:9:31506567:31509801:1 gene:itb09g30970 transcript:itb09g30970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQSSFRGVTMEKQQSFRNGVMEKQKSFKGLIERQKSFRIAMERQLSFGVERKRGKDSPGKRGDTPLHLAARAGNLAKVIEILNKFNGIAIKESLSKQNQEGETALYVAAENGHAMVVTEFLKHIDLETASIVSNNGYDTFHVAAKQGHLEVLKELLNVFPNLVMTTDSSNSTALHTAAGQGHVEVVNLLLDIDSNLAKIARNNGKTVLHTAARMGHLEVLKSLLSKDPGIGFRMDKKGQTALHMAVKGQNVDILLELIKPDPKVLSLEDNKGNTALHTATRKGRAQIVRCLVSVEGININAVNKAGETALDIAEKLESREISTILRDAGAVHSKDHGKPPSAAKQLKQTVSDIKHDVESQLQQSRQTGFRVRKIAKKVKKLHISGLNNAINSLTVVAVLIATVAFAAIFTVPGQYVEEETKGFSLGEAHIAKKAAFIVFFLFDSIALFISIAVVVVQTSLVVIEQKAKKQMVFVINKLMWAACLFISIAFIALTYVVVGSRERWLAIYATAIGGVIMLTTIGSMCYCVVRHRLEESRMRNIRRAETLSRSFSMSMVSDPELYNESYKRMYAV >itb09g30970.t1 pep chromosome:ASM357664v1:9:31506567:31509821:1 gene:itb09g30970 transcript:itb09g30970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQSSFRGVTMEKQQSFRNGVMEKQKSFKGLIERQKSFRIAMERQLSFGVERKRGKDSPGKRGDTPLHLAARAGNLAKVIEILNKFNGIAIKESLSKQNQEGETALYVAAENGHAMVVTEFLKHIDLETASIVSNNGYDTFHVAAKQGHLEVLKELLNVFPNLVMTTDSSNSTALHTAAGQGHVEVVNLLLDIDSNLAKIARNNGKTVLHTAARMGHLEVLKSLLSKDPGIGFRMDKKGQTALHMAVKGQNVDILLELIKPDPKVLSLEDNKGNTALHTATRKGRAQIVRCLVSVEGININAVNKAGETALDIAEKLESREISTILRDAGAVHSKDHGKPPSAAKQLKQTVSDIKHDVESQLQQSRQTGFRVRKIAKKVKKLHISGLNNAINSLTVVAVLIATVAFAAIFTVPGQYVEEETKGFSLGEAHIAKKAAFIVFFLFDSIALFISIAVVVVQTSLVVIEQKAKKQMVFVINKLMWAACLFISIAFIALTYVVVGSRERWLAIYATAIGGVIMLTTIGSMCYCVVRHRLEESRMRNIRRAETLSRSFSMSMVSDPELYNESYKRMYAV >itb12g18950.t1 pep chromosome:ASM357664v1:12:21261359:21262322:1 gene:itb12g18950 transcript:itb12g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTQFFIFSLLFLFLAHSINASPHRRHHHSLRAKTPCKTLSFYFHDIIFNGHNSHNATAAIVGSPAWGNRTILAGQNHFGNMVVFDDPITLDNNLHSTPVGRAQGFYLYDKKEIFTAWLGFSFVFNSTEHRGSINFAGADPLMNKTRDISVIGGTGDFFMTRGMATLSTDAFEGEVYFRLRVDIKLYECWEY >itb04g30620.t1 pep chromosome:ASM357664v1:4:33701738:33702869:1 gene:itb04g30620 transcript:itb04g30620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLSLLTLTTRMATPWLKRLARNTHVLFIHCDVQNAVDTTVSRYGKLDIMFSNAGIAGERDTTPQNLNQVFETNLFGAFFCAKHAARAMIPAKKGSVIFSASAAAEVFIGSSYAYTASKCAIVGLCKSLCVEMGKCGIKANCVSPYFILTKLGMSVMPTQDRELAEEIVAETSNFKGKTLTTEDVAEAALYLAGDESKYISGLNLLVEGGYTSTNIAFQVAVDKVLGGEGTN >itb13g03270.t1 pep chromosome:ASM357664v1:13:3197134:3201701:1 gene:itb13g03270 transcript:itb13g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSRMDRVIQPPLVDTTACLCRVDAGLKTVAGAKRYVPGTKLCLQPAIKPSIHPTRQKPPRDKNRTQSPFLPGLPDDLAIACLIRVPRIEHCKLRLVCKRWDRLLAGNFYYSLRKKLEIAEEWIYVIKRDRDGKVSWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPVKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGFCVINNCLYVAGGENEGVHGSLRSAEVYDPNKNRWSLISDMSTAMVPFIGVVYQGKWYLKGLGTHRQVMSEVYQPETDTWNTVYDGMVTGWRNPSTTLNGRLYALDCRDGCKLRVYDEATNSWSKHIDSKMHLGTSKALEAAALLPLNGKLCIIRNNMSISLVDVVKCDDAGGATSEHLWETIGGKGQFKTMVTNFLSSLAGRSRLKSHIVHCQVLQT >itb13g03270.t2 pep chromosome:ASM357664v1:13:3197134:3201678:1 gene:itb13g03270 transcript:itb13g03270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSRMDRVIQPPLVDTTACLCRVDAGLKTVAGAKRYVPGTKLCLQPAIKPSIHPTRQKPPRDKNRTQSPFLPGLPDDLAIACLIRVPRIEHCKLRLVCKRWDRLLAGNFYYSLRKKLEIAEEWIYVIKRDRDGKVSWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPVKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGFCVINNCLYVAGGENEGVHGSLRSAEVYDPNKNRWSLISDMSTAMVPFIGVVYQGKWYLKGLGTHRQVMSEVYQPETDTWNTVYDGMVTGWRNPSTTLNGRLYALDCRDGCKLRVYDEATNSWSKHIDSKMHLGTSKALEAAALLPLNGKLCIIRNNMSISLVDVVKCDDAGGATSEHLWETIGGKGQFKTMVTNFLSSLAGRSRLKSHIVHCQVLQT >itb03g04750.t1 pep chromosome:ASM357664v1:3:3096880:3097320:1 gene:itb03g04750 transcript:itb03g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTFTKTSNYIGVRKRPWGKYAAEIRDSTQGGKRVWLGTFNSAEDAALAYDQAALAMRGTWACLNFPPELVRKSLEDMDCKEGTSMVSALKEKHRKRTRRGKGNSPSCKAAAEEEDGNNGVLVLEDLGSDLLDELLSVTSSSCM >itb01g21580.t1 pep chromosome:ASM357664v1:1:27673251:27674595:1 gene:itb01g21580 transcript:itb01g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRRRSLLACSFLLLLLALLLITSSAFDSAVAQSGYCSGKCSGRCAKAGVKDRCIKYCELCCAKCKCVPSGTYGNKHQCPCYRDLKNSKGKPKCP >itb14g04860.t1 pep chromosome:ASM357664v1:14:4224523:4225914:1 gene:itb14g04860 transcript:itb14g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCNSVSDEVAVVMVPLPAQGHLNQLLQFCCLISSYGLPVHYIGSAIHNQQARLRANGLDPNKISKIHFHDLPTPHFDSPPPNPNAPIKFPAHMQPTWDASQLLRHATATILRELASKARKLVIIHDYLMSSVVQDGASLSNAEIYTFNCVSVLCMVSYIYQQLGAQFVEKEGELRELLPTYGGCATEEIMRLGAAQREYAKFKAGDIHNSSRLIEGPFIDLLEQGEMAQKWSQWFIGPILPGKPGLISNKANSCLDWLDQQPKNSVLYISFGTMTSMSDKEVRELALGLEQSKQRFIWVLRDADKGDIFSGTPREIEVPEGFEERVKGVGVVVRDWAPQPEILAHPSTGGFMSHCGWNSCMESITFGVPIAAWPMHSDQPINSFLVAKIIKTGLMVREWADRQEVVKSSTVENVVRRLMASEEGDEIRKRAQQLGENIRKSAQEGGGSQKELNSFIAHITR >itb03g17070.t1 pep chromosome:ASM357664v1:3:15803386:15808398:1 gene:itb03g17070 transcript:itb03g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICGEKMEPLNQRSLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFMAALTSFGAFEFGVISFSPGFLASNSTSRLIAGVSSGDCNFAQNETRVWIRSQETIIVSEEDERVSLLYSAWSDLLHQSSSEDELSPGKRLGSSNTPKAPHLENCKLSARTYKRLDSRAENESFPPWTIWKGMLDSLPLPTPDDQLWRYRHNSISQGAYPPWIEGSDEENYPLTRKVQRDIWIHQHPLNCRDQSVKFLVADWERIPGFGMGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRERAFELMKQKEAWDKGIITVKENYTSKEIWAGRVPRVWGSPWSYLQPTTEVNGTLISYHRKMDRRWWRAQAVRYLMRFQTEYTCSLLNIARHSAFGQEAAKMVLETPINDFPDAKHDIETFVWSSHKPWIPRPLLIMHVRMGDKACEMKVVGFEEYMQLAERIRKNFPELKSIWLSTEMQEVIDKTRMHPHWKFYYTNVTRQVGNITMATYEASLGREVSTNYPLVNFLMATEADFFTGALGSTWSYLIDGMRNTGGKVMSGYLSVNKDRFW >itb03g17070.t3 pep chromosome:ASM357664v1:3:15803687:15808376:1 gene:itb03g17070 transcript:itb03g17070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMICGEKMEPLNQRSLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFMAALTSFGAFEFGVISFSPGFLASNSTSRLIAGVSSGDCNFAQNETRVWIRSQETIIVSEEDERVSLLYSAWSDLLHQSSSEDELSPGKRLGSSNTPKAPHLENCKLSARTYKRLDSRAENESFPPWTIWKGMLDSLPLPTPDDQLWRYRHNSISQGAYPPWIEGSDEENYPLTRKVQRDIWIHQHPLNCRDQSVKFLVADWERIPGFGMGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRERAFELMKQKEAWDKGIITVKENYTSKEIWAGRVPRVWGSPWSYLQPTTEVNGTLISYHRKMDRRWWRAQAVRYLMRFQTEYTCSLLNIARHSAFGQEAAKMVLETPINDFPDAKHDIETFVWSSHKPWIPRPLLIMHVRMGDKACEMKVVGFEEYMQLAERIRKNFPELKSIWLSTEMQEVIDKTRMHPHWKFYYTNVTRQVGNITMATYEASLGREVSTNYPLVNFLMATEADFFTGALGSTWSYLIDGMRNTGGKVMSGYLSVNKDRFW >itb03g17070.t4 pep chromosome:ASM357664v1:3:15803687:15808376:1 gene:itb03g17070 transcript:itb03g17070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMICGEKMEPLNQRSLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFMAALTSFGAFEFGVISFSPGFLASNSTSRLIAGVSSGDCNFAQNETRVWIRSQETIIVSEEDERVSLLYSAWSDLLHQSSSEDELSPGKRLGSSNTPKAPHLENCKLSARTYKRLDSRAENESFPPWTIWKGMLDSLPLPTPDDQLWRYRHNSISQGAYPPWIEGSDEENYPLTRKVQRDIWIHQHPLNCRDQSVKFLVADWERIPGFGMGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRERAFELMKQKEAWDKGIITVKENYTSKEIWAGRVPRVWGSPWSYLQPTTEVNGTLISYHRKMDRRWWRAQAVRYLMRFQTEYTCSLLNIARHSAFGQEAAKMVLETPINDFPDAKHDIETFVWSSHKPWIPRPLLIMHVRMGDKACEMKVVGFEEYMQLAERIRKNFPELKSIWLSTEMQEVIDKTRMHPHWKFYYTNVTRQVGNITMATYEASLGREVSTNYPLVNFLMATEADFFTGALGSTWSYLIDGMRNTGGKVMSGYLSVNKDRFW >itb03g17070.t5 pep chromosome:ASM357664v1:3:15803687:15808376:1 gene:itb03g17070 transcript:itb03g17070.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMICGEKMEPLNQRSLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFMAALTSFGAFEFGVISFSPGFLASNSTSRLIAGVSSGDCNFAQNETRVWIRSQETIIVSEEDERVSLLYSAWSDLLHQSSSEDELSPGKRLGSSNTPKAPHLENCKLSARTYKRLDSRAENESFPPWTIWKGMLDSLPLPTPDDQLWRYRHNSISQGAYPPWIEGSDEENYPLTRKVQRDIWIHQHPLNCRDQSVKFLVADWERIPGFGMGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRERAFELMKQKEAWDKGIITVKENYTSKEIWAGRVPRVWGSPWSYLQPTTEVNGTLISYHRKMDRRWWRAQAVRYLMRFQTEYTCSLLNIARHSAFGQEAAKMVLETPINDFPDAKHDIETFVWSSHKPWIPRPLLIMHVRMGDKACEMKVVGFEEYMQLAERIRKNFPELKSIWLSTEMQEVIDKTRMHPHWKFYYTNVTRQVGNITMATYEASLGREVSTNYPLVNFLMATEADFFTGALGSTWSYLIDGMRNTGGKVMSGYLSVNKDRFW >itb03g17070.t2 pep chromosome:ASM357664v1:3:15803687:15808376:1 gene:itb03g17070 transcript:itb03g17070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMICGEKMEPLNQRSLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFMAALTSFGAFEFGVISFSPGFLASNSTSRLIAGVSSGDCNFAQNETRVWIRSQETIIVSEEDERVSLLYSAWSDLLHQSSSEDELSPGKRLGSSNTPKAPHLENCKLSARTYKRLDSRAENESFPPWTIWKGMLDSLPLPTPDDQLWRYRHNSISQGAYPPWIEGSDEENYPLTRKVQRDIWIHQHPLNCRDQSVKFLVADWERIPGFGMGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRERAFELMKQKEAWDKGIITVKENYTSKEIWAGRVPRVWGSPWSYLQPTTEVNGTLISYHRKMDRRWWRAQAVRYLMRFQTEYTCSLLNIARHSAFGQEAAKMVLETPINDFPDAKHDIETFVWSSHKPWIPRPLLIMHVRMGDKACEMKVVGFEEYMQLAERIRKNFPELKSIWLSTEMQEVIDKTRMHPHWKFYYTNVTRQVGNITMATYEASLGREVSTNYPLVNFLMATEADFFTGALGSTWSYLIDGMRNTGGKVMSGYLSVNKDRFW >itb11g23500.t3 pep chromosome:ASM357664v1:11:25500702:25503584:1 gene:itb11g23500 transcript:itb11g23500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILHLERWSRSPPSILEKEALIKGVPIGQALDIEIPPPRPKRKPVNPYPRKTVAGTPTPVVGGKDGKLYAPDSSLCESKQTLGQEKEPLLEKPGGDKKLDIAKENREEVISDVLTLFHEGPTSPSVRNRDSLPTQVAPQNSCTFREFVPIVKGANRDDANKSYVTVESKGNQEPDKLDTKQSFQDASSCNSLDMENSFPSKEKLTHGEKLDEPNQPDEVFTENDMQGVQSCPRHVPVHILDGSLGMNTNNTQDMTYHESIVHQIGGVQGHLNQFTHHASSNTSEHQSNPSRSSIHHMFPSFHPMMNSNCDSNDYRSCLHISSTFSSLIVSALLQNPAAHAAASFAASFWPYAANFEAPTDSCTGTPGGVPSRQMNSVPSMAAIAAATVAAATAWWAAHGLLPLCSPLHTCFTCPTSSGTAVPMDACQTKVANNERKDGTPDPSLHVQQLDPGCTETLREQLSASKPPVLCSSDSEESDGMKVNTTVTVTDTEQAAIVTELNDSNTTKNRKQVDRSSCGSNTPSSSEVETDALEKIEKDKEDPKESPHVNHTPTPTESGNRRGKNSSNPNDPWKEVSEEGRIAFRALFSREVLPQSFSPPHDLNSKVKKNSEKGKLKGEQNEEDQKGLQLEVNDKSSITCSIHYGNKDREPSSCFGGGNNTLTMKLGEGKLKACRTGFKPYKRCSVEAKESRIGGSSTSCQDEEKGPKRLRLGGGETST >itb11g23500.t1 pep chromosome:ASM357664v1:11:25500702:25503534:1 gene:itb11g23500 transcript:itb11g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILHLERWSRSPPSILEKEALIKGVPIGQALDIEIPPPRPKRKPVNPYPRKTVAGTPTPVVGGKDGKLYAPDSSLCESKQTLGQEKEPLLEKPGGDKKLDIAKENREEVISDVLTLFHEGPTSPSVRNRDSLPTQVAPQNSCTFREFVPIVKGANRDDANKSYVTVESKGNQEPDKLDTKQSFQDASSCNSLDMENSFPSKEKLTHGEKLDEPNQPDEVFTENDMQGVQSCPRHVPVHILDGSLGMNTNNTQDMTYHESIVHQIGGVQGHLNQFTHHASSNTSEHQTHAAASFAASFWPYAANFEAPTDSCTGTPGGVPSRQMNSVPSMAAIAAATVAAATAWWAAHGLLPLCSPLHTCFTCPTSSGTAVPMDACQTKVANNERKDGTPDPSLHVQQLDPGCTETLREQLSASKPPVLCSSDSEESDGMKVNTTVTVTDTEQAAIVTELNDSNTTKNRKQVDRSSCGSNTPSSSEVETDALEKIEKDKEDPKESPHVNHTPTPTESGNRRGKNSSNPNDPWKEVSEEGRIAFRALFSREVLPQSFSPPHDLNSKVKKNSEKGKLKGEQNEEDQKGLQLEVNDKSSITCSIHYGNKDREPSSCFGGGNNTLTMKLGEGKLKACRTGFKPYKRCSVEAKESRIGGSSTSCQDEEKGPKRLRLGGGETST >itb11g23500.t2 pep chromosome:ASM357664v1:11:25500702:25503534:1 gene:itb11g23500 transcript:itb11g23500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILHLERWSRSPPSILEKEALIKGVPIGQALDIEIPPPRPKRKPVNPYPRKTVAGTPTPVVGGKDGKLYAPDSSLCESKQTLGQEKEPLLEKPGGDKKLDIAKENREEVISDVLTLFHEGPTSPSVRNRDSLPTQVAPQNSCTFREFVPIVKGANRDDANKSYVTVESKGNQEPDKLDTKQSFQDASSCNSLDMENSFPSKEKLTHGEKLDEPNQPDEVFTENDMQGVQSCPRHVPVHILDGSLGMNTNNTQDMTYHESIVHQIGGVQGHLNQFTHHASSNTSEHQTAHAAASFAASFWPYAANFEAPTDSCTGTPGGVPSRQMNSVPSMAAIAAATVAAATAWWAAHGLLPLCSPLHTCFTCPTSSGTAVPMDACQTKVANNERKDGTPDPSLHVQQLDPGCTETLREQLSASKPPVLCSSDSEESDGMKVNTTVTVTDTEQAAIVTELNDSNTTKNRKQVDRSSCGSNTPSSSEVETDALEKIEKDKEDPKESPHVNHTPTPTESGNRRGKNSSNPNDPWKEVSEEGRIAFRALFSREVLPQSFSPPHDLNSKVKKNSEKGKLKGEQNEEDQKGLQLEVNDKSSITCSIHYGNKDREPSSCFGGGNNTLTMKLGEGKLKACRTGFKPYKRCSVEAKESRIGGSSTSCQDEEKGPKRLRLGGGETST >itb05g07270.t1 pep chromosome:ASM357664v1:5:8872775:8874387:-1 gene:itb05g07270 transcript:itb05g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSWLLFVLLLHAIAIEAAMSVSVSGGVGVGVGIGMGGGNGGGGGGGVWIGGGINSPGPTGSSGGSKLQKAYTALQALKSAISEDPLGILNSWVGSNVCDYKGVFCSDSQDFMGNPDEVVAGIDLNHANLKGVLVKELSLLTDLSLLHLNTNRFSGTIPETFRDLVSLTELDLSNNQFSGSFPITVLLIPNLLYLDIRFNSFSGPIPEQVFNKKLDAIFLNDNQFSGELPQSLGNSPASVINLANNNFSGSIPFSLGYMGPRIKEILFLNNQLTGCIPEGVGMWTDLEVLDVSSNSLMGHLPDSISCLSGIEVLNLANNKLSGELPDIVCSLKRLLNLTITYNFFSGLSQDCDRLPTRNVGFDFSLNCIPGRQMQRSQPECSAIPGSSLSCLRIPSPKPTVCGALIGGEGEKTSASPPPPH >itb04g11290.t1 pep chromosome:ASM357664v1:4:10868422:10868916:-1 gene:itb04g11290 transcript:itb04g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGVIPDVVTYNSLIAGATKHGLLSRCLDLFEEMLDLGIFPDVWSYNTLMHCFFKSGKPDEGYRVFRDILLQGIPPCPTTFNILINGLCKNGYTENAMMLFRYLKRHAFAPQLVTYNILIHGLCKSGRPAPARRLLKELVETGHIPDTVTYTTVMXCNSVGK >itb09g03400.t2 pep chromosome:ASM357664v1:9:1908903:1911958:-1 gene:itb09g03400 transcript:itb09g03400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDEVKAEIEKLRDECRAKTELCGSLKKAHVELAAKLQEARLEIERQTKELCVKSKEIFEVRQLYEEIKSILHEKESFLQSLSSANQKLRVDYCEKIGKLEGENKELVLALDEATTKIQELERKVCASDKEINALKETLSVRKEKGVEAQLNVQASRELKEANEVIQKLEEHNRIAQDQLKWKNEHFTHLEEAHRRLHDNFQTSKAEWGKEKSELLEEISSLQTSLDSQIRISQDLETQLRLCNQALAHEESRRKVLEVEVSDFKSRCDDILLECQETKSKLEHLTFKRDEEIGELRNLLRTKETLFKEMKFRASQLEQEKLDLCASLKELQDTKLNDAAASSSLKKLQTRFNSLEQLHSKYSLQYKEKEAKLSSEIEKLTEDVKQCMSELKVKSKRIEKLEKELEGCQYSMYVQNEETSILMLVLQSEFPVARKKLSTGIAELELHNKEKDNKIVLLKEQLESIDIAHNKVCADLKEKCEETMALEAEIKRYKEMVEELAEYKLRTNKEMLQMENALQESKGASEALEKANLDLAERTSELMDSKLEAEKWKSVAEHLKADLKKNQLAYEKENESLCGIVKEQESKITDMQLKISELELVAISRAEAVETLKKENILYFEVVEDKDGNIKNLQHEITQLKQELASSELKNEHAKSDALLVFEKEKQNLLMILKVRDERIHDLMEQAKVLEKNLETAETVVIEKENLLSETLQKAESSKILEVESKNKVIAELDMKVSDLLQKLEFHEKSLLNSNKKVEELDTMLEASKVELEELKTQFGMEQMRLEGRNEELESQKNDLLHETQKLSHDRDSLLLQLDGMCTRFDKSLDEDDELAKILGKMLKHSEGKSDLDINHIMRDDNYTCGTVKTTLLLARKGLDQQMDERVPLKELNY >itb09g03400.t1 pep chromosome:ASM357664v1:9:1908903:1912539:-1 gene:itb09g03400 transcript:itb09g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDEVKAEIEKLRDECRAKTELCGSLKKAHVELAAKLQEARLEIERQTKELCVKSKEIFEVRQLYEEIKSILHEKESFLQSLSSANQKLRVDYCEKIGKLEGENKELVLALDEATTKIQELERKVCASDKEINALKETLSVRKEKGVEAQLNVQASRELKEANEVIQKLEEHNRIAQDQLKWKNEHFTHLEEAHRRLHDNFQTSKAEWGKEKSELLEEISSLQTSLDSQIRISQDLETQLRLCNQALAHEESRRKVLEVEVSDFKSRCDDILLECQETKSKLEHLTFKRDEEIGELRNLLRTKETLFKEMKFRASQLEQEKLDLCASLKELQDTKLNDAAASSSLKKLQTRFNSLEQLHSKYSLQYKEKEAKLSSEIEKLTEDVKQCMSELKVKSKRIEKLEKELEGCQYSMYVQNEETSILMLVLQSEFPVARKKLSTGIAELELHNKEKDNKIVLLKEQLESIDIAHNKVCADLKEKCEETMALEAEIKRYKEMVEELAEYKLRTNKEMLQMENALQESKGASEALEKANLDLAERTSELMDSKLEAEKWKSVAEHLKADLKKNQLAYEKENESLCGIVKEQESKITDMQLKISELELVAISRAEAVETLKKENILYFEVVEDKDGNIKNLQHEITQLKQELASSELKNEHAKSDALLVFEKEKQNLLMILKVRDERIHDLMEQAKVLEKNLETAETVVIEKENLLSETLQKAESSKILEVESKNKVIAELDMKVSDLLQKLEFHEKSLLNSNKKVEELDTMLEASKVELEELKTQFGMEQMRLEGRNEELESQKNDLLHETQKLSHDRDSLLLQLDGMCTRFDKSLDEDDELAKILGKMLKHSEGKSDLDINHIMRDDNYTCGTVKTTLLLARKGLDQQMDERVPLKELNY >itb09g05050.t1 pep chromosome:ASM357664v1:9:2872425:2873382:1 gene:itb09g05050 transcript:itb09g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSQSQNTSLQRLQNVEKRIVRVLELAGGVMDELANPSGPRKELINNQCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYVPRIASEICCEKLEYVISQLDDMKQTIDKYHGST >itb14g21700.t1 pep chromosome:ASM357664v1:14:23566686:23568019:-1 gene:itb14g21700 transcript:itb14g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLIDPSGVMKSESWYQFVSLCVKGYLAARRYMDEIINTVLLMIDSGLPCFSRGDPIGNLRKRFHPEMSEREAAMFMIRTCTDAYNKWTTAGYDLIQYLQQGIEK >itb13g24690.t1 pep chromosome:ASM357664v1:13:30296600:30300649:-1 gene:itb13g24690 transcript:itb13g24690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MENIYGSVTNISVMEPNEIGRAGMSITIDQMENIYGSVMEPNETGRAGNMEHFKCRVDEMSVTVDQLEQRFTEVEQFYSSVNKKQSNTPRGNSAQKDSEKEKQIANFKKRQQDASQREAAAAKRMQELMRQFGTILRQITQHKWAGPFMQPVDVEGLGLHDYYQVIEKPMDFSTIKNKMEAKDGTGYKHVREICADVRLIFKNAMKYNKERDDVHVMAKTLLGKFEEKWLLLLPKVDEEEEKRKKEEEEAFSDMKLAQEAAHAKMAKDLSMELDEIDMHLEELRDAVLQNCRKITVDEKKKLGDALTKLSSEDLNKALLIVAQNDPNFSIADEEVELDISTQPDSTLWKLKFFVQDVFEAQGKSAATEANNNNSKGNANNQASNKRKREATDALAKTSQKKSKKP >itb15g09980.t1 pep chromosome:ASM357664v1:15:7227654:7228814:-1 gene:itb15g09980 transcript:itb15g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLVGMGKGKDDLELGPPWLKPMLKANYFGSCAVHGDANKSECNLYCLDCMGDALCSYCLVSHRDHRVVQIRRSSYHNVVRVNEIQRYIDISCIQTYVINSAKILFLNERPQPRPGKGVTNTCQICSRSLVDTFRFCSIGCKLNGMKRGDQELTFTLRTKQMNRDAFPGSELSDESLTPKKNRRDYNIFNQIRALPYKPGDEVFTNISPGTPPIFNHHNSRRRKGIPHRAPF >itb15g23130.t1 pep chromosome:ASM357664v1:15:25906924:25913061:1 gene:itb15g23130 transcript:itb15g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRDNRARRLYTDVQDEVARIYYKYEINSSRILLYSGEYGGMNAALKPCEDIHYLLKIIVRDIEEVKDRILEEKKRAALKAEVQNITIWDTYQSALVIENEVIVGFDSDIEKIVNRLCYSYMMRSVFTIMSNSNIDKFQRYVKNLVLKLQVIPLVGEGGIGKTTLAKRVYGHPITIASFHIRAWVVVSKVHNLKEMLIGLLRCISPITSEIYNIDEAQIAEKLNTSLMGQKYLIFLDDIWTTAAWDAIQGYFPENFNGSRILVTTRFKEVAEYLSTNPYQVKYQTFSDRWELFSRKVFGQSQYVPREYEPIGERIVLGCGGLPLVVVLISGLLATAKGSIEIWRDVARTLDGVGRYDNNKRISKIVSLSYKYLPNHLKACFKYFGVFPEDSDIPVKKLINLWVAEGFIKPHNNMSLEEVGESYLHDLINRNLVQINELSIDGKVKLCNIHDRVHEVCVREAINGNTLCIINDNHAPKASHWLSCQTSHWPITRASYGNCSPDEIHSVLCFGRDVYHSKCRLVYPCLKLLRVLDLSLVKWSQGMPREITDLVHLRYLALSTIGSLYKLRFFNLKNLRTLIVTSWIEKYPLQLPCDILDLPQLRYLHVDKKCSQYLPCLVKKDLQTLYWLKVASADKEPDFRMVPNLKELGIYIEGQLAPSYLGSLVYLHILEKLKFEVGRVERFYLPIGFPPNLKKLTFCYTYLPWKEMDTIGKLPHLQVLKLKDFAFCGSTWEPLKHGFRELKALLISRSNLKHWNASSNDFPVLERLVLRYCWELKQVPLKFAKIGTLKLIVLECCYSSLVTSANKLLFEGMDDCPLRVCSLICCFHEFIAEPVQSSRLKKDVHHLAFGSKS >itb15g23130.t2 pep chromosome:ASM357664v1:15:25906800:25910774:1 gene:itb15g23130 transcript:itb15g23130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLLETIELLSYNLHFLQAFLVECKAKMNDRDNRARRLYTDVQDEVARIYYKYEINSSRILLYSGEYGGMNAALKPCEDIHYLLKIIVRDIEEVKDRILEEKKRAALKAEVQNITIWDTYQSALVIENEVIVGFDSDIEKIVNRLCYSYMMRSVFTIMSNSNIDKFQRYVKNLVLKLQVIPLVGEGGIGKTTLAKRVYGHPITIASFHIRAWVVVSKVHNLKEMLIGLLRCISPITSEIYNIDEAQIAEKLNTSLMGQKYLIFLDDIWTTAAWDAIQGYFPENFNGSRILVTTRFKEVAEYLSTNPYQVKYQTFSDRWELFSRKVFGQSQYVPREYEPIGERIVLGCGGLPLVVVLISGLLATAKGSIEIWRDVARTLDGVGRYDNNKRISKIVSLSYKYLPNHLKACFKYFGVFPEDSDIPVKKLINLWVAEGFIKPHNNMSLEEVGESYLHDLINRNLVQINELSIDGKVKLCNIHDRVHEVCVREAINGNTLCIINDNHAPKASHWLSCQTSHWPITRASYGNCSPDEIHSVLCFGRDVYHSKCRLVYPCLKLLRVLDLSLVKWSQGMPREITDLVHLRYLALSTIGSLYKLRFFNLKNLRTLIVTSWIEKYPLQLPCDILDLPQLRYLHVDKKCSQYLPCLVKKDLQTLYWLKVASADKEPDFRMVPNLKELGIYIEGQLAPSYLGSLVYLHILEKLKFEVGRVERFYLPIGFPPNLKKLTFCYTYLPWKEMDTIGKLPHLQVLKLKDFAFCGSTWEPLKHGFRELKALLISRSNLKHWNASSNDFPVLERLVLRYCWELKQVPLKFAKIGTLKLIVLECCYSSLVTSANKLLFEGMDDCPLRVCSLICCFHEFIAEPVQSSRLKKDVHHLAFGSKS >itb02g00120.t1 pep chromosome:ASM357664v1:2:99182:106350:-1 gene:itb02g00120 transcript:itb02g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKDHVEEIRRRKFKIGVESKSLKEDDDYSVSEDIHQAVKLLSAELYSKDVHFLMELIQNAEDNEYEEGVDPSLEFVITSKDITDTGAKATLLIFNNEKGFSRKNINSICSVARSTKKGNRKTGYIGEKGIGFKSVFLITARPYIFSNGYQIRFSEEPCSHCGIGYIVPEWVVDDNPVLARIRQIYGSSTRLPTTTLVLPLKSDKVNPVKQQLSTVHPELLLFLSKIRKLSVREDNEDLKLNTVSTISISSERDFVKKKNIDADSYLLTLDADEKLGNAGQCSYHMWRQRFPVKQQHRVVRRMDVDEWVITLAFPIGERLNRGSTSSSGIYSFLPTEMVTNFPFIIQADFLLSSSRETILLDNPWNQGILNCVPLAFLNAFTSLVKANEDAPVSTLIQMFGFLPVATSSYATLNAIRNSIKQKLLEEDIIPCQSYTKQKFFRKPNQVGRLQPAFWNLLNKARMQGVTLHNISSHGRHILNSAFDQEEYNNILNFLDVKHVEDGWYSRCIQSSDLVLGMSEDLYLELLLFVAVNWTLSFSSTNMVKIPLLKYVDSNGHVALCSTNEVSKGNLTLFLCPCSDQVSWLTSWNREFHCIKGYFIAESTQEAFHSSSNKWQNVLNWLCNEVNVNSVDVHEYACLIHKSLSHDKKLVLAYAHFLYHSCQSQYLSRGQIDSLGSNMPLVDDYGQIVTKRKGVVVPANGSKWVQLIGSNPWKSEGYAELGEDYLRSGRYADVSTKKEELLEFLKSYVHALDIPNLPPPDATLSSMSSPLTRENAFLLLDWIGNMRMQQVSMPARFLACIQNGSWLKVRLCGSPGYRPPSKSFFHSSSWGHHLQNGSLRIDIPLLDQEFYGGQISNYQQELKTTGVMFEFKDACQFIGKHFMSLAASSTLSKNDVFSILNFIRYLRDKYFSPDEFINSIKDRSWLQTTQGKRSPGQAVFCDNEWAAASQISDIPFVDQSYYGQEGILAYKEELKLLGVDFGFNQNFQLVVSNLKASEKLSSLSAEATLMALDCIRHLNLQSSDRLCRALKENRCLKTVNKGYKLPAECFLPDPTWGCLLQVFDSFPLIDEMFYGSRIFCYKNELHKLGVILGFNEVTEKFAHVFRQRSPLSKSNALPFLACYRKLKATSFNLQSDHNVGKCIKEVRWLRTRLGVTCTPKECILFSEDWESISSVSLLPFLDDAYYGQDIKDYEAELNKMGVATTFKKGTKFVPASIIRLPQNPSCISPSVAFSLLQCIQDLQKDHEDNLISDLVKKLNQKWIKTQGGYRSPQECLLYSSDWNGMLMQEDGPFIDEKFYGPNILLYKKELEALGVVVEVKNGCSLVAGYLGVHSNRTTINRIYTYLYKHDWPPRDDNVSAEVWIPNGENSGKWVSPQDCVLHDKTNLFGSQLFDLGKYYSNELLTFLGKLGVNSSPCLEDYFQLWKAWECAERRLMASECCAFWEFVVKHWNSRTKKYVEENLSKLPVCSGPNGILLLDKHEVFIGNDLYLKEVFEKSCVQQLFVWYPQPSLPSLPRTKLLDIYSEIGVPTLSESAQSRELSSIDCAGLERANPEMMFVGKNLFRLILGFLAQPCVEMEAEKRHEALRRLVNTSFLELKRPMTVEYKVSFSSGKILSAKGSRMMRWERESSKFFVTKLEKSDGYKGVLEYATYYSEEVSKGILWEKEDEEVWELAELIKLGYILKFDQEAIRFLMKTKNLEIFMEDEQFLSSLFPADDE >itb08g16500.t1 pep chromosome:ASM357664v1:8:18593322:18594398:1 gene:itb08g16500 transcript:itb08g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNSDDTRNFILPPPAGSSASDATAATATATFQGRHVVGVGGDGVLKQKVRRKSSKVNHIGMGGASTSSSSKQPKYPKKPPLTPDPDAPKIARPCSECGKKFWSWKALFGHMRCHPERQWRGINPPPNFRRSSPSPSQHDPPRQHCYDASSSSSTWPHHDNDDECGLGLSSPPSGGYYYYYYSEKMGGGVGGGMTEEDHEVAACLLLLANNAVPSGDPQQAAVGLGFGISCCSRNSTNGGGGRGTIREEDNDEMINIHNHHHRHTCSICSRVFSSGRALGGHKRFHCRDNKFRGGGNNHNIHQPPILCSSSSTNNYLDFNLNLVPTPTPTPATHHQDHSPSSSAVPLGPTLDLSLGL >itb09g13560.t1 pep chromosome:ASM357664v1:9:8871784:8876054:1 gene:itb09g13560 transcript:itb09g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIMRLFLLCVGFVSSIQTVLSSSPSSICRFQSRPAFLNHLRSQCPLSVSLHPPLEVSGEFIERLLASTDQDVYTSVLFYASWCPFSKSFLSVFEDLKYMYPQIEHVAVEQSSATPRLLSRYGIHSFPAILMVNQTLRVRFHGSKDLTPLIMFYRKTTGHVPVHFIGMNRSGSSRNDGNPTMGPNIWPSLNDKLVQDPYLVFAMAFLCLRAILFVCLRVWHQVKALCVRFEPHLNLGIIGENSQILGRILHMLNVKMIWTRLRLCKNRNFHHSATNARLWASSFPSVSLGESSTSR >itb08g04500.t1 pep chromosome:ASM357664v1:8:3698691:3701759:1 gene:itb08g04500 transcript:itb08g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISSSRPLQLQFLNRIRPSRHSLSPPPSSHSFHGWRQPEFRRCVTALKIGSEGGRIGTDQDAYGSELLRKPVATPSLEPAGEEEPVEEDDYDENERSDEESWVDWEQKILEDTIPLVGFARMILHSGRYEPGDRLSFEHEKIILERFLPYHPEGEKKIGCGVNYITIGYHPDFGRSRCLFVVRNDGESVDFSYWKCIKGLIRKNYPLYADSFILRHFQRRTRE >itb12g14080.t1 pep chromosome:ASM357664v1:12:13411129:13415060:-1 gene:itb12g14080 transcript:itb12g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSRSLCIFVIFFFHSIYFPACEADVGTAAHYSPPYSPTTCFGSDPTQFPSSNLFAAAGDGIWDNGASCGRQYLVGCISSITPGACVKNQTIQIKIVDYAPGVMSTPSSSGTTIVLSDEAFGLIADALADSINIEFQQV >itb03g03720.t1 pep chromosome:ASM357664v1:3:2207459:2210123:-1 gene:itb03g03720 transcript:itb03g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLDSSDSLGALMSICPTTTDEPSHVYSREFQTMLEGLEEEESGGGGAMTEKKRRLSVEQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKNNYDSLKHKFEALQHDNEALLKEIGELKAKLEGENGESKVTVKEEAVESESDNNTNSLLLRQEDETELNLEDFNGGGGLVTASLFADFKDGSSDSDSSAILNEDNSPNAASTGAILMISNASSSSFSSPPSINCFQFSENPKAAAAAILGDVCGKAAAAAYQPQLVKIEEHNFFGGGDDDSCSSLFSDEQAPILHWYSPEDWNCTTSS >itb13g02970.t1 pep chromosome:ASM357664v1:13:2931889:2935078:1 gene:itb13g02970 transcript:itb13g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKAHTIAISLVAILIIVVVVARLILNLTPTFYFLCGADIAAILAVVAVAVIRLRFRSRRQQLEQQMDAEGRELRIEYSFLRKVAGVPTKFRYQELENATDGFRALVGKGSSGSVFMGVLSDGIPVAVKRIEGEERGEREFRSEVAAIASVQHVNLVRLLGYCSGLPSGPRFLVYDYISNGSLDNWIFTKRNPQLQGRKSGCLSWELRFRAAIDVARALSYLHHNCRSRILHLDIKPENILLDADHRAMVSDFGLAKIMGRDESRVVTTIRGTRGYLAPEWLLENGISEKCDVYSYGMVALEMIGGRRNVSVVKDPTSKKKFQFFPQMVSEKLREGKIMEIVDQRVVELGMIEERQVEKLASVALWCIQERAALRPSMTAVVEMLQGRDAVEPPPESSMFIVDLLSNDEDSVDPRRPRLGALHVAQVDSDNNSPSIAFSCGISVLSGR >itb01g02720.t1 pep chromosome:ASM357664v1:1:1769674:1771097:1 gene:itb01g02720 transcript:itb01g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPAGSTVSTSFPSRKPPAPASSPRAISGFRRAHLGLKSGGAAGRMTCTATYKVKLVTPEGHFEFDCPDDVNVLDRAEDEKTVTVDLPFSCRSGSCSACAGKVVSGSVDQPESNFLDDEQIAKGFILTCVAYPTSDVVIETHREEDIV >itb10g17620.t1 pep chromosome:ASM357664v1:10:23793039:23794679:1 gene:itb10g17620 transcript:itb10g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMAAMASSSSPVILSILFTCLTFSPPVVSVSVQVQFYKCISLYSDTSIPFSTAFFSPTTNASSFNSVLQSTAQNLRFLEPSAPKPLLIFTPLIESHVQAAVICAKEAGIQLRVRSGGHDYEGISYTSDMESPAPFVVIDLAKLRGIKVDVEGNSAWVQAGATNGEVYYRIAEKSKILGFPAGFCTSLGIGGHITGGAYGPLVRKYGLGVDNVVDARIVDATGRVLDRAAMGEDLFWAISGGGGGSFGILLAWKINLVPVPSTVTVFNVPRTLEQNGTKIFYKWQKIADKLDEDLFIEVTFTAVNSTSINYKRTVQTEYKALFLGQTDRLLKIMNHSFPELRLTKKDCSEMSWIESVIYLTGNPRTTPPEFLIQGKLQFQKTNFKAKSDFLRKPIPKSGLKGLWKIFLEDDSPLMILNPYGGMMGKIPESATPFPHRKGVICKIQYLTSWEIEGEEKKHLDWIRRLYNYMGTFVSKSPREAYVNYRDLDLGMNNNGGNTSFSEASVWGKKYFKKNFERLVVVKTRVDPDNFFWHEQSIPVVPSK >itb15g14620.t1 pep chromosome:ASM357664v1:15:12921774:12924563:1 gene:itb15g14620 transcript:itb15g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHNIMLVLIFLVLISKFGYCYSNDAASCIEGERIALLQFKESLIDTSNRLSSWNGLDCYEWEGISCNSTTGHVLKLDLHNPATLTPNDIDYYYDGLPSNYSNNCLGGEINHSLINLTHLNYLDLSFNNFSKIRIPVFFGSFKNLRYLNLTSSGFVGNIPTHLGNLSSLECLHFGQASVDDLTANDLATNNLDWLTSLSSLKSLDMSAVFIRPSENVFGTINKLVSLSFLNLYSCQLNITNPPSLVNSTSLISLNLGENAWDAMTLLCAIDLSKNDIRGELSMSVEGFMNEFKQGNHITGEIPLEVMSLQALNNLNLSRNNLSGTIPQTIGNLSKIESLDLSMNAFFGPIPQSLSSLNFLSYLNLSFNKLNGRIPTGHQLQTLDDPSIYLGNEGLCGVPLLKSCPGDDKPSFVNQPTETKLTNDDHEFLM >itb02g00680.t1 pep chromosome:ASM357664v1:2:400243:401343:-1 gene:itb02g00680 transcript:itb02g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTNFREGTEAAPRKKAAAASA >itb04g24960.t1 pep chromosome:ASM357664v1:4:29645927:29647314:1 gene:itb04g24960 transcript:itb04g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRPRDDSSGGFNVPESSTNSSSKRTASSPSAAPPPPNSFLRQSAAMAAKPTSLVPPAARPDGSSQVRLGEFLEECFFCRRSIARECNIFMYRSCAFCSEECRDRQISIDDRKEKMQDQEKPNQTAPLARPPGMNNSEGDHAGSF >itb04g05980.t1 pep chromosome:ASM357664v1:4:3884676:3890085:-1 gene:itb04g05980 transcript:itb04g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELEHKDTMQANCEAPGRCLHSAGYFHDLQYSMGAFKGFPELNEGNNVHPSGDTIEPDSSNGSEHIDSSKESPEKPVQNNAFGSWSAFYPDSNNMHLFATNAFEAQFHPYAVDNQFHYVPFNVFYQQCPREFQFQDFQYFVVIDFEATCNKEKNPHPQEIIEFPSVIVSSMSGQLEACFQTYVRPTCNQLLSDFCKDLTGIEQIQVDRGVTLTEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVILESECRYKKIRKPPYFNRWINLKVPFRDAFGGMRCNLKEAMQIAGLAWQGRAHCGLDDAKNTARLLALLMHRGFKLSITDALMYRTTNESFAWKLPLDHQLVSPYEPQKARQLHVPTAQIHPYCFCGMKSSKGVVQKPGPKQGSLFFGCGNWTAGQGASCHFFKWDSP >itb07g00870.t2 pep chromosome:ASM357664v1:7:563283:567114:-1 gene:itb07g00870 transcript:itb07g00870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVSDKHLSSAAAFVEGGIQDACDDSCSICLEAFCDSDPSTITVCKHEFHLQCILEWGQRSSQCPMCWQPLSLKDPNCQELFDAVEHERNIRMNPPRNTTIFHHPTLGDFELQHLPVSATDSELEERIIQHLAAAAAMGRARNLARREGQRGRTSSQGHPHFLVFSTNPNTAPASSPSAQRVGGEPASVFIGGHQTSPGITVGEGSAQLTASPSPAQNNQIPASGSGGGCVSQIGTSPNNRRSPHSSPNNQDRVGPSDFQSFSESIKSRI >itb07g00870.t4 pep chromosome:ASM357664v1:7:563306:565536:-1 gene:itb07g00870 transcript:itb07g00870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFLLQLPVSATDSELEERIIQHLAAAAAMGRARNLARREGQRGRTSSQGHPHFLVFSTNPNTAPASSPSAQRVGGEPASVFIGGHQTSPGITVGEGSAQLTASPSPAQNNQIPASGSGGGCVSQIGTSPNNRRSPHSSPNNQDRVGPSDFQSFSESIKSRVSAFSMRYKESITKSTRGWKEKFFPRNNSTVSHGSESRNEAAGDIATVSRMMDHLETRETSRTMPSPISNRMDENITADHAEQHISAIDGNHSLPDGSRQTPCTTGSSSD >itb07g00870.t3 pep chromosome:ASM357664v1:7:563283:567114:-1 gene:itb07g00870 transcript:itb07g00870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVSDKHLSSAAAFVEGGIQDACDDSCSICLEAFCDSDPSTITVCKHEFHLQCILEWGQRSSQCPMCWQPLSLKDPNCQELFDAVEHERNIRMNPPRNTTIFHHPTLGDFELQHLPVSATDSELEERIIQHLAAAAAMGRARNLARREGQRGRTSSQGHPHFLVFSTNPNTAPASSPSAQRVGGEPASVFIGGHQTSPGITVGEGSAQLTASPSPAQNNQIPASGSGGGCVSQIGTSPNNRRSPHSSPNNQDRVGPSDFQSFSESIKSRVSAFSMRYKESITKSTRGWKEKFFPRNNSTVSHGSESRNEAAGDIATVSRMMDHLETRETSRTMPSPISNRMDENITADHAEQHISAIDGNHSLPDGSRQTPCTTGSSSD >itb07g00870.t1 pep chromosome:ASM357664v1:7:563283:567116:-1 gene:itb07g00870 transcript:itb07g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVSDKHLSSAAAFVEGGIQDACDDSCSICLEAFCDSDPSTITVCKHEFHLQCILEWGQRSSQCPMCWQPLSLKDPNCQELFDAVEHERNIRMNPPRNTTIFHHPTLGDFELQHLPVSATDSELEERIIQHLAAAAAMGRARNLARREGQRGRTSSQGHPHFLVFSTNPNTAPASSPSAQRVGGEPASVFIGGHQTSPGITVGEGSAQLTASPSPAQNNQIPASGSGGGCVSQIGTSPNNRRSPHSSPNNQDRVGPSDFQSFSESIKSRVSAFSMRYKESITKSTRGWKEKFFPRNNSTVSHGSESRNEAAGDIATVSRMMDHLETRETSRTMPSPISNRMDENITADHAEQHISAIDGNHSLPDGSRQTPCTTGSSSD >itb02g03140.t1 pep chromosome:ASM357664v1:2:1812722:1816353:-1 gene:itb02g03140 transcript:itb02g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARLIVFPIRGRNWCFSRSVDPSACDAQSGNTPSTLNELWTRISSPAKDKPTGSNIELAIDFATTKMSNQWSQLEKAPAGSLKSKIHGLGLRLLSRVKPSEIFLKSISKDVNQVEITYPSSLNGRLVRRRLRHIAFRGSVIHKKYLYGSAVLLPLTSVFTVLPLPNIPFFWILFRTYSHWRALKGSEKLLELVTDCPNKQNFNEETANENRSMKANSKENCNNLRSPWVLVPSEELQKLVNLGDAADGLSESTISAICQRYNLNLMDVVKYRHSL >itb10g13810.t1 pep chromosome:ASM357664v1:10:20047008:20049100:-1 gene:itb10g13810 transcript:itb10g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTKMVRYVSLQPPLGVAVALVIDGAYNEPLFCDVHGGYSPGGTRSSTRSPYASSALWGSPASSSTFGTCCSILPKLSHLFERKGFCELVEDGFGLRSGHNVIPQLL >itb15g15890.t2 pep chromosome:ASM357664v1:15:15037198:15040473:-1 gene:itb15g15890 transcript:itb15g15890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSEETTISGDARRGMVVVELPLGDASSTFNLEKAVCSHGLFMMAPNHWDPLTKTLERPLRLSDDHHCADHEISVTVRVSHPSDSPQSLRLHVFDTDCLSAQQHQSLMAQVRRMLRLSEEEEKMVREFQEMYGQAKERGFGRVFRSPILFEDMVKCILLCNCQWSRTLSMAKALCELQLELSSSDKKFSLSVESDHFIPKTPAAKEKRKKAGVHKCSKNLSARLAEAQAATEEDNVLTTGSAEIVDCPPKFKGLSSKDISSDKKEDFLLGSDISQTPSNLCTDNPRSSSDLHSAEVELNTLNGVGNFPSPRELAELNESFLAKRCNLGYRAGRIINLARGVVEGRIPLKEIEECCSKPNVSNYDELSRQLKEIDGFGPFTCANVLMCLGYYHVIPTDSETIRHLKQVHAKSSSILTVQKDVEAIYGKYEPFQFLVYWSEIWHFYEEWFGKLSEMPQSGYNRITASNMRPKRNAKSKRIKTSA >itb15g15890.t4 pep chromosome:ASM357664v1:15:15037198:15040473:-1 gene:itb15g15890 transcript:itb15g15890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSEEEEKMVREFQEMYGQAKERGFGRVFRSPILFEDMVKCILLCNCQWSRTLSMAKALCELQLELSSSDKKFSLSVESDHFIPKTPAAKEKRKKAGVHKCSKNLSARLAEAQAATEEDNVLTTGSAEIVDCPPKFKGLSSKDISSDKKEDFLLGSDISQTPSNLCTDNPRSSSDLHSAEVELNTLNGVGNFPSPRELAELNESFLAKRCNLGYRAGRIINLARGVVEGRIPLKEIEECCSKPNVSNYDELSRQLKEIDGFGPFTCANVLMCLGYYHVIPTDSETIRHLKQVHAKSSSILTVQKDVEAIYGKYEPFQFLVYWSEIWHFYEEWFGKLSEMPQSGYNRITASNMRPKRNAKSKRIKTSA >itb15g15890.t1 pep chromosome:ASM357664v1:15:15037209:15040477:-1 gene:itb15g15890 transcript:itb15g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSEETTISGDARRGMVVVELPLGDASSTFNLEKAVCSHGLFMMAPNHWDPLTKTLERPLRLSDDHHCADHEISVTVRVSHPSDSPQSLRLHVFDTDCLSAQQHQSLMAQVRRMLRLSEEEEKMVREFQEMYGQAKERGFGRVFRSPILFEDMVKCILLCNCQWSRTLSMAKALCELQLELSSSDKKFSLSVESDHFIPKTPAAKEKRKKAGVHKCSKNLSARLAEAQAATEEDNVLTTGSAEIVDCPPKFKGLSSKDISSDKKEDFLLGSDISQTPSNLCTDNPRSSSDLHSAEVELNTLNGVGNFPSPRELAELNESFLAKRCNLGYRAGRIINLARGVVEGRIPLKEIEECCSKPNVSNYDELSRQLKEIDGFGPFTCANVLMCLGYYHVIPTDSETIRHLKQVHAKSSSILTVQKDVEAIYGKYEPFQFLVYWSEIWHFYEEWFGKLSEMPQSGYNRITASNMRPKRNAKSKRIKTSA >itb15g15890.t3 pep chromosome:ASM357664v1:15:15037707:15040503:-1 gene:itb15g15890 transcript:itb15g15890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSEETTISGDARRGMVVVELPLGDASSTFNLEKAVCSHGLFMMAPNHWDPLTKTLERPLRLSDDHHCADHEISVTVRVSHPSDSPQSLRLHVFDTDCLSAQQHQSLMAQVRRMLRLSEEEEKMVREFQEMYGQAKERGFGRVFRSPILFEDMVKCILLCNCQWSRTLSMAKALCELQLELSSSDKKFSLSVESDHFIPKTPAAKEKRKKAGVHKCSKNLSARLAEAQAATEEDNVLTTGSAEIVDCPPKFKGLSSKDISSDKKEDFLLGSDISQTPSNLCTDNPRSSSDLHSAEVELNTLNGVGNFPSPRELAELNESFLAKRCNLGYRAGRIINLARGVVEGRIPLKEIEECCSKPNVSNYDELSRQLKEIDGFGPFTCANVLMCLGYYHVIPTDSETIRHLKQVHAKSSSILTVQKDVEAIYGKYEPFQFLVYWSEIWHFYEEWFGKLSEMPQSGYNRITASNMRPKRNAKSKRIKTSA >itb03g00750.t1 pep chromosome:ASM357664v1:3:397286:403260:1 gene:itb03g00750 transcript:itb03g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCNDDFTFLPTYNFTPSRDLRYSKQIHDNVHGNIYIDPLLLKFVDTEQFQRLRDLKQLGLANMVYPGAVHSRFEHSLGVYWLAGEAIHKLKSHQGLELDIDDFDVKTVKLAGLLHDIGHGPFSHLFEREFLPMVTSGSEWCHEGMSTRMIDHIVDEHHIDIDSETIKRVKEFILASSKYALPKTSREKLFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLMETMRVLGDEICYRAKDYLTVHKLFATRADLYRTVYTHAKVKAIELMVVEALVKANGYLHISSYIQDPSEYWKLDDSIIKTIETAPDPELKESRELISRIRRRNLYQFCNEYAVPKDKMEHFKDVTAKDIICSQVGGGKKLDEDDVVVSNVRIDLTRGKHNPLESINFFKDYESEEKFSIPDDRISHLLPSSYQDMIVRVYSKKPELVGVVSEAFENFQLKTYGIKAQVHPTPEKKKCRLLDNVV >itb09g13530.t1 pep chromosome:ASM357664v1:9:8852249:8857256:-1 gene:itb09g13530 transcript:itb09g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATYPPPPPFYRLYKDYSNDPKSAPEPPPPIEGTYVLYGCNYTTDDALPSLEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILELADILVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILERQIQRRKQAVEDIKRRREEAQKLLKEALGTLEGQ >itb09g13530.t2 pep chromosome:ASM357664v1:9:8852249:8856796:-1 gene:itb09g13530 transcript:itb09g13530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKSFFSLKNNFCLNIASEICHLLRLMQTDDALPSLEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILELADILVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILERQIQRRKQAVEDIKRRREEAQKLLKEALGTLEGQ >itb03g04820.t1 pep chromosome:ASM357664v1:3:3175952:3178651:-1 gene:itb03g04820 transcript:itb03g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSTLAFLISEASYPETTKPLPPLPILSTHXNKTFATTAHPIYALAQCRNYLSKPDCVACFDAAVLVARNCYLATGGRVIFDGCFLRYESTLFYTEDTDAGSHPVCGARTVPKQDVFNSTAKELLNDLIFATPRINGFFVAAKRVSAAGGATVYAVAQCIETLTESSCQACLVIANSNMVRNCLPVSADGRAAEVGCFLRYSDTQFFADNQTTNLTPFLQQDSVTASPGSSGKKKAIGGVVGGVGIILVLAAIFLWYRQSKNPKVSRRGNILGATQFRGSENYRYKDLKAATKDFSEENKLGEGGFGDVYKGTLQNGNVVAVKKLTMISGRAMADFETEVKLITNIHHPNLIRLLGYSGNQEELLLVYEYMANASLDRYIYGENRGKLNWMQRVDIIFGTARGLSYLHEVCIIHRDIKSSNILLDDEFQPKIADFGLARLSPKNKSHRTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSSDIRVEPVTEYLLELAWNLYENEEHLELVDKSLDPNEYKVDEVKRMLEIALVCTQSPSNLRPSMSEVMGMLSSIDGSIIQRPQNRPTIITNFDKRVPAVTATPTNATISLSEFSGR >itb11g00120.t1 pep chromosome:ASM357664v1:11:56377:59005:-1 gene:itb11g00120 transcript:itb11g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYAGGLFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDANPDDPLVPEIAHMYKTDRAKYEATARSWTQKYATG >itb14g16910.t1 pep chromosome:ASM357664v1:14:20276795:20278554:-1 gene:itb14g16910 transcript:itb14g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDWTRLRKDDPRSRGSMWRRFKHALGYYGARKKFLKRRTKFVSNDDPDESLKLLDYKPGHMNGSEWSDLLISNANSRLIKSESYPPPGSKRRGGYKPSALKEKEIEVWVSVNSTGESCRHVRTELEKLRNTTSLNDSSADKYTRLFGPSFGKEKVLQTCKSLSVRKEVEIPFLPLRRIRSVSSAESINLHQVESLRSASFVHHYPLSVPEEGSGMNTASLVGENSVGCDGRREKIVSIDDVVGLNLDAIAENVFEELIVLDTSDSSGGEEDFINLFPSDIEEVSAAYKISEGSEDKCSNAKDDDFAINSSKSLNTGSKNPDISYIMHLLENSGLTTLNFFNDKLFLREQPLSPSRFKEIEAFWHQEGQECCHHKMLFDLVNEVLVHMCEKSFTYYPKALSSGCRVRSLPPDNRLSEVVCGSISSWLRLSAEVQKTTDGIVGHDLEKDDGWMNLQLDSEDVALELEDMTFDDVLDEMIFDDLLEELFDVKL >itb14g17450.t1 pep chromosome:ASM357664v1:14:20678193:20678967:-1 gene:itb14g17450 transcript:itb14g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNMFVPPVGAAAQRRVRAAATAAKSSGGSSQEKSLLDFILGGMQKEDQLLETDPILQKVEDKSSGTGTVSGRRNSVAVPPPKTKPNGGGGAFGGFGGLFAKKEE >itb06g10430.t1 pep chromosome:ASM357664v1:6:14867983:14868462:1 gene:itb06g10430 transcript:itb06g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSARTTMELYTSKGWKHAADTFPERTRVWKKPPNMDPKSERKVVVVYYLSWNDQLEHPHFMEVPLSSPEGLYLRLRGPTRMDLYGIIYRGMISFTRRMAKSMFSRD >itb04g02660.t1 pep chromosome:ASM357664v1:4:1599998:1604800:-1 gene:itb04g02660 transcript:itb04g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWDVEDNSTTTVKINGVAQSGSSSFGADVPSLDYEVAELTWENGQLAMHGLGPPRVPSKLLAAATAVKYTWDKPRAGGTLESIVSQATCMPTNRKGDGDGGRGGPGGELVPWFDHHGGGGGGDNPAAAASVTVTMDALVPCANNNNNNTNNREPPSTGRVAGFSGLVGSCSGAAAAATREASLARAAAHEWSNRGTDQMSVSGSATYGRDSRQVTIETTYDRDLGNEAYTSASMGSPENTSSDKQCTNKSNDDNDHSVCHSRSQREIGTDEEDKKKGNGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMSRMNMSPMMFPLAMQQQLQMSMMAPAAAAAMGMNMAGMGMGVMDMNSVAAAAGRSNIPGIPGLLHPAAASAAAAAFMPMAAWWENSLDRMPTAAAAAASSMMPDPLTAFLASQSQPMTMDAFSRMAALYQQFQQAPGGPKN >itb15g09930.t1 pep chromosome:ASM357664v1:15:7175784:7186949:1 gene:itb15g09930 transcript:itb15g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIICPLRGGEEAETPCIKQESNLPFVCKKRKKVLADVYHDLTSQTFKDHNDVQSTMELTIGCSNSAHALSSCCNSNELLGSPSEVVISCQCAGDSGNASPPCEIGGTSYNDKGCPTYPLPAQVTGWMYVNQQGQMCGPYIQEQLYEGLSTGFLPEELPVYPIFNRTLANPVPLKYFSQFPDHVATGFAYLNTSTSDLKGLVTTPTGYSRDSLLSGHGFQSKSVSSETHSLNQHMLTSDSVTLTVPHLHQEGGQPCWVFEDDEGRKHGPHSLLDLYTWFHYGYIRDSIMVYHSDNKFEPCTLQVLLSTRTEAVPRNVSVSTASMHQVETLQGFFTEVSEELCSQLHSGIMKAARRTVLEEIISQIISESVAINEADKNPRHGTLNQHVNACSEDSMMCMALSKDKTCILPENRADTSNTVHQKPHPDENTLMSLAGTKSVGSFQNFCSAYTVICRILFESCMQVMWNAVFYDLIADNSSAWRNRKRWSDLRAVVKSSNVLESSLVLYPEPHTEALHQDDSSGCDNDCPPGFERVMDIQDVHSNLPSQCLPSVDEVSCKGDVLIDNKDFDDIGLIHENVLNDLHRSVKLSLESYFMDLLDEEVGRNADDPSKDVQLNEVVEDSSFHADDCHASQNGSPDTIPNSKELLSNGLEVFSPLSGPLKKNIVTRNMFTFSDVFLSSFQKLDVHLDDEIVDELQPPESRILLPVQTSSHLSFRYRDCVPKILWHSVLTICRQKIHENVLREVRLFIDGTIKKHLTAWYSMKKSTKSGDCQVSKIKIDQKKHNDFLATSKNHVENSSEASLTTERYTYYRKKRLDKRKSGSLSQCMTTKGAGSQKQCGVDKSKKQDASGDVPEKAKHGMSVLKTKEVRLAKSCSESHKNYSSSHGSSNKKSEKVAAVSKVCEKNASGIKRKACENNSSGIKRKACENSSSGIKRKASVLAEDTGTADKLSNNLNRDFKKQEVQIISKVIPKSTKLAKLKRKQPTDDIQSRKVQIVPVASSDQATDKQVIVQNKSSGKSRKAKQCPRSDGCARTSINGWEWHKWSLSATPAERAHVRGSRYAHVHPVSLDGNSSQLSNVKGISARTNRVKMRNLLAAAEGADLLKATQIKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRELNYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVISLEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSKRCRGSLN >itb13g21310.t1 pep chromosome:ASM357664v1:13:27930166:27933289:-1 gene:itb13g21310 transcript:itb13g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLRWREVTGAEKEVYKIVISSSSSPGRKAIRLFSPFEHQAKGHAIAFVSSSSSPGRKAIRLFSPVEHQGTRHCLRFFIIFSRSQSHSSLLSVRTPRDTPLPSFLHLLLPVAKPRRVAPRRPPLLLYERRVAQGPLRGKQVAGTEADSMAANEPNIHSSSRGTKVLKSDMLCMIVLSHKNLGFGDKRLLANSHQIFEDLRYRSSNRGAGNYIRDFGICKALDYPYRGRQATKPRPTDKFSKYKCQEFWQLKTATDENEILAEIRRCPIAGLLWADDNIEYLRADEIYDPDPTQATQVKGHAVLITGYATIGGIPCYEVKNSWGIGWGAQGYGMIARRVMPKVVIFKSAYIEPAARTTNNGLARARRIIW >itb07g20030.t2 pep chromosome:ASM357664v1:7:24494316:24497021:1 gene:itb07g20030 transcript:itb07g20030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63130) UniProtKB/Swiss-Prot;Acc:Q9LE82] MKLWPPSQSTRLMLVERMTKNLSTPSILSRKYGLLNKDEAEEDARQIEVMAFAAANQHFEKEPDGDGSSAVQLYAKESSKLMLDVIKRGPGTVEDAEGKVKASEETVLDISGGQRAFIAADEAEKLLEPLKEPGNKYTKICFSNRSFGLDAAHVAGPILSSLKDQLIEADLSDFIAGRPESEALEVMQIFSSALEGCQLRYLNLSNNALGEKGVRAFGGLLKSQQSLEELYLMNDGISEEAARAVCELIPSTDKLRVLHFHNNMTGDDGAFAISEIVKRSPLLESFRCSSTRVGSEGGCALSKALEACTHLKKLDLRDNMFGADAGVVLSRVLPRFFDLTEIYLSYLNLEDEGSIAIANALKESAPSLEILEMAGNDITEKAAHAFAACIAAKQFLTTLNLAENELKDEGAIIIAKALEDGHSQVNEVDMSTNSIRRAGARCLAQAVVSKPGFKVLNINGNFISDEGVDEVKEIFKTCSNLLGPLDENDPEGEEYDEDDDGEAGDNENELESKLKDLEIKHEEE >itb07g20030.t3 pep chromosome:ASM357664v1:7:24495035:24496921:1 gene:itb07g20030 transcript:itb07g20030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63130) UniProtKB/Swiss-Prot;Acc:Q9LE82] MDATGFSMKLWPPSQSTRLMLVERMTKNLSTPSILSRKYGLLNKDEAEEDARQIEVMAFAAANQHFEKEPDGDGSSAVQLYAKESSKLMLDVIKRGPGTVEDAEGKVKASEETVLDISGGQRAFIAADEAEKLLEPLKEPGNKYTKICFSNRSFGLDAAHVAGPILSSLKDQLIEADLSDFIAGRPESEALEVMQIFSSALEGCQLRYLNLSNNALGEKGVRAFGGLLKSQQSLEELYLMNDGISEEAARAVCELIPSTDKLRVLHFHNNMTGDDGAFAISEIVKRSPLLESFRCSSTRVGSEGGCALSKALEACTHLKKLDLRDNMFGADAGVVLSRVLPRFFDLTEIYLSYLNLEDEGSIAIANALKESAPSLEILEMAGNDITEKAAHAFAACIAAKQFLTTLNLAENELKDEGAIIIAKALEDGHSQVNEVDMSTNSIRRAGARCLAQAVVSKPGFKVLNINGNFISDEGVDEVKEIFKTCSNLLGPLDENDPEGEEYDEDDDGEAGDNENELESKLKDLEIKHEEE >itb07g20030.t1 pep chromosome:ASM357664v1:7:24494309:24497309:1 gene:itb07g20030 transcript:itb07g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63130) UniProtKB/Swiss-Prot;Acc:Q9LE82] MDATGFSMKLWPPSQSTRLMLVERMTKNLSTPSILSRKYGLLNKDEAEEDARQIEVMAFAAANQHFEKEPDGDGSSAVQLYAKESSKLMLDVIKRGPGTVEDAEGKVKASEETVLDISGGQRAFIAADEAEKLLEPLKEPGNKYTKICFSNRSFGLDAAHVAGPILSSLKDQLIEADLSDFIAGRPESEALEVMQIFSSALEGCQLRYLNLSNNALGEKGVRAFGGLLKSQQSLEELYLMNDGISEEAARAVCELIPSTDKLRVLHFHNNMTGDDGAFAISEIVKRSPLLESFRCSSTRVGSEGGCALSKALEACTHLKKLDLRDNMFGADAGVVLSRVLPRFFDLTEIYLSYLNLEDEGSIAIANALKESAPSLEILEMAGNDITEKAAHAFAACIAAKQFLTTLNLAENELKDEGAIIIAKALEDGHSQVNEVDMSTNSIRRAGARCLAQAVVSKPGFKVLNINGNFISDEGVDEVKEIFKTCSNLLGPLDENDPEGEEYDEDDDGEAGDNENELESKLKDLEIKHEEE >itb14g20960.t1 pep chromosome:ASM357664v1:14:23192240:23193305:-1 gene:itb14g20960 transcript:itb14g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKSLASQHGVVIFSKTTCCLCYAVNILFQELGVKPHVYDIDTDHQDGKEMERALARMGCNSSVPAVFIGGNLVGSTNEVMSLHLSGSLIGMLKPYMSMN >itb03g07920.t3 pep chromosome:ASM357664v1:3:5900681:5905887:-1 gene:itb03g07920 transcript:itb03g07920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHLNPIHAVVQLRPSMEHHKPGGPKKTNIQTSAAENPVKIEEAKEQKPPVPSKKQNKPMGKLQDKDIEESWVCLKYHGAQSDVSSRCFRKMVEAEGSPVKFSMSPDDYVNVLCPGALTGGDKVKAPPIRSLILLPLEERFKTWLTEGPPIHRFDTLKHLAPEESAEEILGVLQKHAVLVQGLWVPKSSLVCKKDKPVELVARDYVLSLFSKAPSIKNSVFKDKAVALDRAMKDVLNVLAVERPILNDWKLKEKPDTKFLKLYPHIVKEQAEKWELLERSMLDVISKGSSKRQAKHSSENTVTKKSPASTIPDKQGPKTTNGSQSRTPMSEETREALPKALLKLFKVHKVCSFQQICQRLREMAVSESARPKGNAREATAAAMGVDAPPEELQAIINQVAVNIHGVYVLKSSPDFPQYDALRKVVIALLIAEGPNAKLKRASVIEAARLQLNKDITDTEFQKVVSELCVSQSSGWVLKRGDGSPK >itb03g07920.t2 pep chromosome:ASM357664v1:3:5902335:5908131:-1 gene:itb03g07920 transcript:itb03g07920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLEDLDAAPTKPQARPGRFAPKGSRAKPQPSKLKTEPVSTSDSVLPEPYPVPVAKKEELDSKPVIINNIPESKPEEDDRSANDVALMDVDAKPENGDDELLDNEPMDTEGGEDEVVREIDVYLTPSIDHDTKLYVMQYPLRPIWRPYEMEERCEEVRVKTSSSEVEVDLSIDFDSKNYDRNADYPMTKQSLLTKWKPTPLATGYAVGVLVGNKLHLNPIHAVVQLRPSMEHHKPGGPKKTNIQTSAAENPVKIEEAKEQKPPVPSKKQNKPMGKLQDKDIEESWVCLKYHGAQSDVSSRCFRKMVEAEGSPVKFSMSPDDYVNVLCPGALTGGDKVKAPPIRSLILLPLEERFKTWLTEGPPIHRFDTLKHLAPEESAEEILGVLQKHAVLVQGLWVPKSSLVCKKDKPVELVARDYVLSLFSKAPSIKNSVFKDKAVALDRAMKDVLNVLAVERPILNDWKLKEKPDTKFLKLYPHIVKEQAEKWELLERSMLDVISKGSSKRQAKHSSENTVTKKSPASTIPDKQGPKTTNGSQSRTPMSEETREALPKALLKLFKVHKVCR >itb03g07920.t1 pep chromosome:ASM357664v1:3:5900650:5908131:-1 gene:itb03g07920 transcript:itb03g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLEDLDAAPTKPQARPGRFAPKGSRAKPQPSKLKTEPVSTSDSVLPEPYPVPVAKKEELDSKPVIINNIPESKPEEDDRSANDVALMDVDAKPENGDDELLDNEPMDTEGGEDEVVREIDVYLTPSIDHDTKLYVMQYPLRPIWRPYEMEERCEEVRVKTSSSEVEVDLSIDFDSKNYDRNADYPMTKQSLLTKWKPTPLATGYAVGVLVGNKLHLNPIHAVVQLRPSMEHHKPGGPKKTNIQTSAAENPVKIEEAKEQKPPVPSKKQNKPMGKLQDKDIEESWVCLKYHGAQSDVSSRCFRKMVEAEGSPVKFSMSPDDYVNVLCPGALTGGDKVKAPPIRSLILLPLEERFKTWLTEGPPIHRFDTLKHLAPEESAEEILGVLQKHAVLVQGLWVPKSSLVCKKDKPVELVARDYVLSLFSKAPSIKNSVFKDKAVALDRAMKDVLNVLAVERPILNDWKLKEKPDTKFLKLYPHIVKEQAEKWELLERSMLDVISKGSSKRQAKHSSENTVTKKSPASTIPDKQGPKTTNGSQSRTPMSEETREALPKALLKLFKVHKVCSFQQICQRLREMAVSESARPKGNAREATAAAMGVDAPPEELQAIINQVAVNIHGVYVLKSSPDFPQYDALRKVVIALLIAEGPNAKLKRASVIEAARLQLNKDITDTEFQKVVSELCVSQSSGWVLKRGDGSPK >itb01g25470.t1 pep chromosome:ASM357664v1:1:30889598:30891756:1 gene:itb01g25470 transcript:itb01g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVKDPAIKLFGKTICLLRDDTLLPCSIAADQPAKRSRSSITTTSRDYKNTSGGEVSESKHQDDECRNVSGEDSVGAETSSRTSDDDKAAQNPDKETQCAAGKDVKKDDESETSDSQDQKNLKKPDKILPCPRCNSKDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGSMRNVPVGSGRRKNKASASNFRHIMVSDALHAAQFASLKPNGTVLTFGTDRAVSNESIIPNAWNSAPPPISPPVALYGVLAPWSGRWISAPASPDPSTPTSPRRLKMEDQAIWSTPGGGSLFKAFNSKDGERDRDRNHSLVLQANPAALSRSLNFQERT >itb09g07310.t1 pep chromosome:ASM357664v1:9:4221826:4227458:-1 gene:itb09g07310 transcript:itb09g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLGLRSSGSYGSLPQFQNISVAIQTTPPIPPRKPPKMFKEKEGLFVWICKVAPRKKVGMLLLCAVSAAVFVWVLYVGKGEDSQGPGIPNININNTIRNREFLKEPEQKNNLSPKRNSIGGIAASGQPPLPPVYFTGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPNAPSFSPIVKDLTYIHEENLVKSEFGGSEFGGYPSLSQREDSYDIRESMNVHCGFVKGVRLGHDTGFNIDDSDLDEMETCQGVVVASAIFGAFDLVRQPMNISEYAKKNVCFYMFVDNETEEFLRNSSDLDSSMRLGLWRIVVVHKLPYSDARRNGKIPKLLLHRLFPNARYSLWIDGKLELVVDPYQLLERFLWRKNASFAISRHYRRFDVFVEAEANKAAGKFDNASIDFQIEFYKKEGLTPFSAAKFPITSDVPEGCVILREHIPISNLFTCLWFNEVDRFTPRDQISFSTVRDRIRSRTNWTVEMFLDCERRNFVVQGYHRDILEQGVHPPPLGNSAVIHPPPLVYQSIMASNHSSPVRKSSARHRRDRRSRHHRSSLPEVVRISL >itb06g17980.t1 pep chromosome:ASM357664v1:6:21723523:21724640:-1 gene:itb06g17980 transcript:itb06g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEKNQLSLSDIFMIQPKKKLPEEEEEDEDDTLSLSDLPAYCNAAEWDQYSGKSESQSSSGTSFTSLSSSSSAAVEGEGDGGFEFFSEEWKKNAVSNPPDNIIFCGKLISSKKQQPSPKNAARISEKKIEHGGGGNRFLRIFRAKIFGASRKGASAEKKSDESSSSVKVKKDYYCCYSSSAKNDDVRSLSVMRSPPLSSSPSGKSRWLLVFFGFSRASMEAMELRDIRNRRSRKMTSSSSTRTENGDVESAIVSGGDGARRRLWRLIRALSCGGSHHSLIRSVGVDGLGFSPGM >itb05g18530.t1 pep chromosome:ASM357664v1:5:25296945:25298367:-1 gene:itb05g18530 transcript:itb05g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACQCGHNPDPNHARVTLYSSRIQQNKSNSLNQIQTVNLLSGTKYDVVSRATSSQIPPIPLPSFPPCSSTSPLRSAHFSSNDADLSRLVKCICNGSSEETRKVTVVKEISGKLDDDVRSGVYSVEWPGIVCTSSLSAGPGLSALGPGASLGGAGGPRSSGEGAGEDAVCFLLFFLPLPLLLLGAGAGVSDDVGGATGAAAGGGEAAGGVAASGAGDGGDWTGAAAGGDFTGAAAGGEVTGTGAGGADSGVLAGGAAAGAGTAAEGGAKQPEWRRP >itb01g34120.t1 pep chromosome:ASM357664v1:1:36968923:36977425:1 gene:itb01g34120 transcript:itb01g34120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPPRRGSYAAALSGDQNAERVAATPVNRSPARSSSTNIPQRSTNLQQQREKVERQNVFTNLSLNSLEINQANAVHQTQEVGGDVIAAVNSYNGRRTANTNRNAKCTFCGMNGHTVDKCFKKHGYPPGWISGYKSKGKQTDAVNTSLSSSEGSESSTGTLNTASDGPSLPIIPIDNRLHYEPVSTKVNIPPEVSDENEENTPNNSASREVMNLADINGSSSRQNHSGEMPDSHNESTQGIVQNGSSQTADESLCMNAISHTDGVALICMNNATSHNSKSRKGQKSPPEAGGAWPILGHLHLFRGSKLPHIALGELADKYGPAFTIRMGMQRVLVVSDWKLAKELSTNHDIHISSRPKFRATKHMGYNDTMLVLAPYGPFWRGIRKLISSELLSNRRLEQLKHIRVSEIETSIKDLYKVWTENKNSDPSGRVKVEMKKWFGDLTFNVLIRMVVGKRCFGTLAVGDEKEGRRCQKVIGDFVKFLGTFVPADALPFLGWLDIGGYEKAMKGVAKEMDSLAEEWLQEHRLKKEGMGGDEEDFMGAMLSRIEEIDLNGCSADTVIKSTCMVLISGGAETPMVILTWALSLIMNHPHVLKMAQEELDRVVGKERKVNESDIGHLMYLRAIVKETFRLYPGGPLGVSRIFTKDCTVSDFHVSKDTWLFFNVWKLQRDPQVWSSPLEFKPERFINCNKEIDVLGRDFELIPFGVGRRICPGITSALQILHLVLANVLHSFELSNISNEAIDMTEMAGLTNLKATPLEIFIAPRLSPNLY >itb03g12500.t1 pep chromosome:ASM357664v1:3:11953658:11954692:1 gene:itb03g12500 transcript:itb03g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLSVEDFLGSFHSRRLLPTHVSGLMAVAAPPASGDGRDPMTAEAVGGNAFDANVVMVLSVLLCALICSLGLNSIIRCALRCSNLVVATGDQQRSGGGDPSARLANTGIKKKALKTFPTISYTNEVKLPGLDSECVICLSEFTPGERVRVLPKCHHGFHVRCIDKWLNSHSSCPTCRHCLIETCQKIVGCAAATPSSSEGSIAIRIEPLPREGLISNHQN >itb08g09590.t1 pep chromosome:ASM357664v1:8:8802844:8812825:-1 gene:itb08g09590 transcript:itb08g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCGCANCEDYGCEEQFKRVSDERFSLSLSHTLNSSSILPDLRKPIKKIGLRPFIATNPRILFWVFDFLELKRCGKKMVRGKTQMKRIENAASRQVTFSKRRSGLLKKAFELSVLCDAEVTLIIFSQNGKLSEFSSSKKMHFLDFGSSFGLSSHCICTSVEQQKIRK >itb08g08650.t1 pep chromosome:ASM357664v1:8:7633537:7637637:-1 gene:itb08g08650 transcript:itb08g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSASTSASSKVRLVRCPKCGNLLPELPDFSVYQCGGCGAVLRAKKKGTLEDGMPEVSDVENNRGVSSKGGIDMGLGSVCETEVGSSGLETSRMNKDALSRNDDPISVLKRVDQCRGDNGCDRGDHEHNNSSNGDRVELGVAQCGDSGVGNEPKETRPSMESFRSGPVIDGRGGERERKKFAESYGDASRQAARQVRFMDFPFSDEGPSKYGMGSYYAANERRKYYDGLDGFARVANLENDRAELLRKIDELKDQLSRTCDVGEKPKERIATEQRTAPIPSDPYGRHNTYLQGSFGANKQPLGQGHRVGFPYIYDDQVSVPYNGRHGSMMQDSYPPPMHFRNGTLGYENAFIDEIIRKPPHRSYSHLTQMYHDQYPGPSDFTSDLFRSHPHESHFHHPTCSCLHCINLKREVPPAAFGGRRSRNDPSNPILYQHKNSLAQGYTSEGSIHPNTKWLTRSSSDLNRENCGLGLQRHPRKVFIAHGSGKVCRTIAGGAPFILCYNCFELLKLPQKVGKDQNKIRCGACSSIILLELSSRGIALSAPTQIKQVLVDESIDMPNKRFRSSSGCISPSSDDYGDPNFKYEFPDTKVEPFLPSCPKSTFCESGKRHGAHSLSSSFSEHEKSPGSGIARKEYSFSAELHIKDDESLLLPDSPLLHHNYLSSDDVADRCTKATKTKPTDQRIIDGWTSRHNSVKDACVATEIDIPMSEFVNGGVSQESTEVSKEEDRQNSKRGNESFIVGLFKRGFGELSKSGHNSENRRSSVFVNGQLIPGRVVRKAEKLAGPIQPGDYWYDPRAGFWGVMGHPCLGIILPNIEELNYPMPKNCAAGNTGVFVNGRELHEKDLDLLVSRGLPLTRNKSYQIEISGKVVDEQTGEELDGLGKLAPTVERVGHGFGMKIPKLLAEESH >itb07g19860.t1 pep chromosome:ASM357664v1:7:24302206:24303649:-1 gene:itb07g19860 transcript:itb07g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHMMVKKSTDFALKGGPAIRNRDSIIPLFKDALKETTSSVGHLINIDEKISDFANKMDSQLDEWFHGIQSEIQNSIQSIAFKAVMEEDHWKEKTWCTMLMIGKTTIPPAEEWVNANELIFFIGGNDINWVKIFASQVLPKIHFIPQGTINMVYVGSNNKVRSLIRRDMICETFASIVEQSTFWINLQGLFLSRIKFLDDAYLDEKSDEIVKGLKLLLAYEAKEIGVVGWALLCKGNKIVIYDLGDKMLAVMNEYATWKESAIVKGFDQAFKDHHTEMFAGSTCTSQHHPCALECPSNSDKVPENIKCPQCCHNMQKFVTFRCYHDNLCKEVSDGKED >itb12g22150.t1 pep chromosome:ASM357664v1:12:24310889:24311173:-1 gene:itb12g22150 transcript:itb12g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFQQLAILLLLIHGVTRQLHFAMAARENTFSAMTLDDILVKIQPDRKTPAPPTPRTNAPHHFKSPPPAPPRMPPPPPPLRTPPPPPRCSPPP >itb03g15910.t2 pep chromosome:ASM357664v1:3:15101148:15101602:1 gene:itb03g15910 transcript:itb03g15910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAVPKLATTIHITALDGIINVNSLFTFAVFVGLAWNPRDPNNRLTDDPNCLADPKVAENLVAFHVYSFAFFLFSSLVALCLKQAIRLAKTAHYPTIFALDLAHVNKSAVRVGYLVCAAGSP >itb03g15910.t1 pep chromosome:ASM357664v1:3:15101038:15101862:1 gene:itb03g15910 transcript:itb03g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAVPKLATTIHITALDGIINVNSLFTFAVFVGLAWNPRDPNNRLTDDPNCLADPKVAENLVAFHVYSFAFFLFSSLVALCLKQAIRLAKTAHYPTIFALDLAHVNKSAVRVGYLVCAAGSVCGCVFLMLALINVVQIKLGTLGCGSSHSYGAVIPLVTFVPLGLIIYVCTILYAFTR >itb04g02500.t1 pep chromosome:ASM357664v1:4:1486443:1487634:-1 gene:itb04g02500 transcript:itb04g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRGFSVKHRVTTIFRCMFRRHRCSGYYERLDAPPRFQGRIIHSVVRWTRRLGNKAKAICSKAQVSGYSPAGKEAVGERTAAVPKGHLAVYVGGEKGEDFTRVLVPVIYFNHPLFGELLREAEAEFGFNHPGGITLPCRISEFERVQTRIIRESSCGSGRMVSRRR >itb02g03400.t1 pep chromosome:ASM357664v1:2:1960255:1965619:1 gene:itb02g03400 transcript:itb02g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPFGIDYYSSPSFSRPHSPLHTTPAISQPHSPSSASTSHRFSSPRHRHPTPASPFAADDDRSWQGEISWQCQSKGWDESRSLGTALSPWIASQGPRTMFKKSANDVHLSPTSGVFQSFLNPHFQHSFSGYGAVSSGRLELQSFGNGENGNSFSRASISAGEEHNTKPQQAFRLDTIREWPTGSLADKDREEINPFHHHTGMFNDHIPSNNHHHRNFDDWKHGVKGGDDDYEVKCVDHVHHFNHYSRQSNIHHYGLEDMYTEDDGGLSYDDDEEADEEEADPPKSVGLLSLFKYSTRLDLILIMLGCLGALINGGSLPWYSYLFGDFVNKIAQDTDKEQMMKDIGQACLFMTGLAAVVVVGAYLEITCWRIVGERSVYRIRTKYLRAVLRQDIGYFDTEMNTADIMHGLSSDVAQIQEVMGEKMAHFVHHIFTFICGYIVGFLRSWKISLVVLAVIPLNMLCGIVYKAVYVGLTAKEEESYRKAGTIAEQAISSIRTVSSFVAEDYLAAKYSDSLENSVRFGARLGFAKGAGLGIIYFITYATWALAFWYGSILVAKKEISGGAAIACFFGVNVGSRGLALSLSYFAQFAQGTVAASRVFEVIDRVPEIDPYSTEGLSLSSIRGKIEFKYVSFAYPSRPMVQILQSLELVFPASKTSALVGSSGSGKSTIFALVERFYDPAQGFISLDGHDIRTLQVKWLRSQIGMVGQEPVLFGTTILENVMMGKENAKKKEAIAACIAANAHSFISNLPDGYDTQVGNKGAQLSGGQKQRIALARAMIKDPKILLLDEPTSALDPESEAILQQAIDKICMGRTTIVIAHRLATVRNAHTIHVLDHGSVLESGNHNQLMEKVGAYSDLVKLASVAVSKPLSNENDVRNDLEFSAYEKSVNYISKVKNMYETPREEYLESVQEGHKEEEEKGEEAKLKNYRLSEIWNLQRPEFTILLVGMIMGMHAGAILSIYPLVLGQALKVYFDKDTSELKTKVGYLSLILVGLGFGCIVTMICQQGFCGLAGTKLTSRIRNLLFKSILKQEPGWFDFDENSVGVLVSRLSIDSVSFRSVLGDRFSVLLMGLSSAAVGLGISFSLEWRLTLLAAALTPFTLGASYFSLIMNVGPKLDNSSYARASNIAAGAVSNIRTVATFSTQEHIVRSFENALSEPQKTSVRRSQILGLALGVSQGAMYGAYTLTLWFGAYLVKQGYTNFGDVYKIFLILVISSFSVGQLAGLAPDTSMASAAIPAVLAIINRAPLIGSDSQKGRKLKMAKPVDVEFKMVKFAYPSRPDVTVLSNFSLEIKGGTMVALVGTSGSGKSTVIWMIQRFYDPIQGSILMGGVDLRELNLKWLRNQTALVGQEPVLFAGTIRENIAFGCPNASWAEIEEAAKEAYIHKFISGLPQGYETKVGESGVQLSGGQKQRIAIARAILKKSKVLLLDEASSALDLESEKHVQDAIRRISNHATTVVVAHRLSTIREANMIAVVKEGEVAEYGSHDRLMASQLDGVYASLIRAETEALAFS >itb14g06680.t1 pep chromosome:ASM357664v1:14:5916327:5920280:-1 gene:itb14g06680 transcript:itb14g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCCCLWVHFFFLLWCTAKAWSQQRDPSQVPCFFIFGDSLVDNGNNNGILTLARANYMPYGVDFPSGTTGRFTNGRTFVDILAQLLGFDSYIPPFGRVRGRELLRGVNYASGAAGIRDETGNNLGDHMAMNQQVENFARTVEVLRRFFRGDNYTLNAYLSKCIFYVGMGSNDYLNNYFMPDFYSTHSDFTPQAYAAALLKDYLQQLTNLHGLGARKIVVTSVGQIGCIPYELARYNGNDSKCNEEINNAITIFNTGLKRLVDQFNKGQLPGSKFVYLDSFASSKDLVANARRYGFEVVDKGCCGVGKNNGQITCLPLQQPCDDRSKYIFWDAFHPTEVANILLAKKAFSSTSKVFAYPINIQQLAMM >itb15g22080.t3 pep chromosome:ASM357664v1:15:24759384:24762898:-1 gene:itb15g22080 transcript:itb15g22080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFTNVLESRRAIWFAALVFASVVLIQIFGHPYGYILPSLFSATVGGEPPRGSFQMRSSTRNSSVSGNLTHTEVWNTTGSNVTDNVTSVTDPSRGKDGDVEESSESDDIDPENELPSKDFDLSNNSPVVLSGRNDSFEPETISNTPGSNMRSGNTSSPDRNTGSYIDPALGKNGTMDTSPAIPSVLSPPLVLPPPLTPRSDVHANSKAPTTSPDQNKDSTLENNEKPGLPQSGTPSPISSIPAEKDRPDRNADRVVSIAEMNVLLQQSRTSFRSVKPRWSSPTDEDILHAKARIEDAPLVGDAPGLYAPLYRNLSMFKRSYELMEQTLKVYVYAEGKRPIFHTPILKGIYASEGWFMKLLKSSKQFVTKNPKKAHLFYLPFSSRMLEETLYVTDSHDRKPLIKCLSDYLNVLIQKHNFWNRTIGADHFLVACHDWAPSETKRLMANCIRALCNSDVAKEGFQFGKDASLPETFVKSPKRPLREIGGKPLSERHNLAFFAGNMHGYLRPILLQHWENKDPDMKIFGHIGTAAYVSYMKSSKYCICAKGYEVNSPRVVEALFYECVPVIISDNFVPPFFETLNWESFAVFVPEKDIPDLKNILKSIPDRRFREMHQRVKLVQQHFLWHRKPVKYDAFHMILHSVWHNRVFGIRTR >itb15g22080.t2 pep chromosome:ASM357664v1:15:24759319:24762882:-1 gene:itb15g22080 transcript:itb15g22080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFTNVLESRRAIWFAALVFASVVLIQIFGHPYGYILPSLFSATVGGEPPRGSFQMRSSTRNSSVSGNLTHTEVWNTTGSNVTDNVTSVTDPSRGKDGDVEESSESDDIDPENELPSKDFDLSNNSPVVLSGRNDSFEPETISNTPGSNMRSGNTSSPDRNTGSYIDPALGKNGTMDTSPAIPSVLSPPLVLPPPLTPRSDVHANSKAPTTSPDQNKDSTLENNEKPGLPQSGTPSPISSIPAEKDRPDRNADRVVSIAEMNVLLQQSRTSFRSVKPRWSSPTDEDILHAKARIEDAPLVGDAPGLYAPLYRNLSMFKRSYELMEQTLKVYVYAEGKRPIFHTPILKGIYASEGWFMKLLKSSKQFVTKNPKKAHLFYLPFSSRMLEETLYVTDSHDRKPLIKCLSDYLNVLIQKHNFWNRTIGADHFLVACHDWAPSETKRLMANCIRALCNSDVAKEGFQFGKDASLPETFVKSPKRPLREIGGKPLSERHNLAFFAGNMHGYLRPILLQHWENKDPDMKIFGHIGTAAYVSYMKSSKYCICAKGYEVNSPRVVEALFYECVPVIISDNFVPPFFETLNWESFAVFVPEKDIPDLKNILKSIPDRRFREMHQRVKLVQQHFLWHRKPVKYDAFHMILHSVWHNRVFGIRTR >itb15g22080.t4 pep chromosome:ASM357664v1:15:24759343:24762145:-1 gene:itb15g22080 transcript:itb15g22080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFTNVLESRRAIWFAALVFASVVLIQIFGHPYGYILPSLFSATVGGEPPRGSFQMRSSTRNSSVSGNLTHTEVWNTTGSNVTDNVTSVTDPSRGKDGDVEESSESDDIDPENELPSKDFDLSNNSPVVLSGRNDSFEPETISNTPGSNMRSGNTSSPDRNTGSYIDPALGKNGTMDTSPAIPSVLSPPLVLPPPLTPRSDVHANSKAPTTSPDQNKDSTLENNEKPGLPQSGTPSPISSIPAEKDRPDRNADRVVSIAEMNVLLQQSRTSFRSVKPRWSSPTDEDILHAKARIEDAPLVGDAPGLYAPLYRNLSMFKRSYELMEQTLKVYVYAEGKRPIFHTPILKGIYASEGWFMKLLKSSKQFVTKNPKKAHLFYLPFSSRMLEETLYVTDSHDRKPLIKCLSDYLNVLIQKHNFWNRTIGADHFLVACHDWAPSETKRLMANCIRALCNSDVAKEGFQFGKDASLPETFVKSPKRPLREIGGKPLSERHNLAFFAGNMHGYLRPILLQHWENKDPDMKIFGHIGTAAYVSYMKSSKYCICAKGYEVNSPRVVEALFYECVPVIISDNFVPPFFETLNWESFAVFVPEKDIPDLKNILKSIPDRRFREMHQRVKLVQQHFLWHRKPVKYDAFHMILHSVWHNRVFGIRTR >itb15g22080.t1 pep chromosome:ASM357664v1:15:24759313:24762898:-1 gene:itb15g22080 transcript:itb15g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFTNVLESRRAIWFAALVFASVVLIQIFGHPYGYILPSLFSATVGGEPPRGSFQMRSSTRNSSVSGNLTHTEVWNTTGSNVTDNVTSVTDPSRGKDGDVEESSESDDIDPENELPSKDFDLSNNSPVVLSGRNDSFEPETISNTPGSNMRSGNTSSPDRNTGSYIDPALGKNGTMDTSPAIPSVLSPPLVLPPPLTPRSDVHANSKAPTTSPDQNKDSTLENNEKPGLPQSGTPSPISSIPAEKDRPDRNADRVVSIAEMNVLLQQSRTSFRSVKPRWSSPTDEDILHAKARIEDAPLVGDAPGLYAPLYRNLSMFKRSYELMEQTLKVYVYAEGKRPIFHTPILKGIYASEGWFMKLLKSSKQFVTKNPKKAHLFYLPFSSRMLEETLYVTDSHDRKPLIKCLSDYLNVLIQKHNFWNRTIGADHFLVACHDWAPSETKRLMANCIRALCNSDVAKEGFQFGKDASLPETFVKSPKRPLREIGGKPLSERHNLAFFAGNMHGYLRPILLQHWENKDPDMKIFGHIGTAAYVSYMKSSKYCICAKGYEVNSPRVVEALFYECVPVIISDNFVPPFFETLNWESFAVFVPEKDIPDLKNILKSIPDRRFREMHQRVKLVQQHFLWHRKPVKYDAFHMILHSVWHNRVFGIRTR >itb14g02650.t1 pep chromosome:ASM357664v1:14:2268952:2274154:-1 gene:itb14g02650 transcript:itb14g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKLFQYIVMGIYTPLIISVFGLYIWCAATDPADPGVFKSKKYLKIVDDKSHSHAKGSKLGGGESTSSVRGANASSVGEKTFDKNKGAQAAADPHGAQTDEKIEAASHQTLCTSLLLPLLPCASVCCSNRHDESSEQQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFTLMVSALLLLILQWLTGILVLICCFIEKKRFSADISSKLGSSFSMVPFVIVVAVCTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQQGIGGQQSPQMSTVSSITGLSSASSFNTFHRAAWCTPPRLFLEDQFDVVPPDNGSVSSLGKKTSVEEPVKKKNPAAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRQQAPYDLEGNSSFGSSGRRMIPRPDNNRRRPSKRVRLPTELPFEGLAKLSSEVAHNNSRGPMFTETSTSLAPLHLEARSTFRTNQAIISSSGGGIAASSPESSLDSPDIHPFRASSSGADEGRLLVGLSSTLGMNVQKEIPLSRSTSDGYDASGGEDSDQVPNRFVQRSTKWSSLLFGSDQNERASRLMAPSSSSTQANTRML >itb02g17940.t1 pep chromosome:ASM357664v1:2:14252324:14258256:1 gene:itb02g17940 transcript:itb02g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYTNESVLEEMKKEYALQQDGKEPMRL >itb02g17940.t3 pep chromosome:ASM357664v1:2:14252311:14258357:1 gene:itb02g17940 transcript:itb02g17940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYTNESVLEEMKKEYALQQDGKEPMRL >itb02g17940.t2 pep chromosome:ASM357664v1:2:14252311:14258357:1 gene:itb02g17940 transcript:itb02g17940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYTNESVLEEMKKEYALQQDGKEPMRL >itb02g17940.t4 pep chromosome:ASM357664v1:2:14255409:14258357:1 gene:itb02g17940 transcript:itb02g17940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYTNESVLEEMKKEYALQQDGKEPMRL >itb02g17940.t5 pep chromosome:ASM357664v1:2:14252324:14258256:1 gene:itb02g17940 transcript:itb02g17940.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENHVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYHNLS >itb04g21780.t1 pep chromosome:ASM357664v1:4:26884967:26889366:-1 gene:itb04g21780 transcript:itb04g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFRAVFRFSPLLCIIFFAVGISSGRPCAWLLKKSGKDSVKPYLLWEVEKLYLRTAGQESQPFRRSPPLTASRPRASSSPGRRSPLIALTALTHLGLLVLHFFKVPTPRIGSMNLMKYETTKNSDSINPSLQTPKFQ >itb10g17090.t1 pep chromosome:ASM357664v1:10:23329962:23332712:-1 gene:itb10g17090 transcript:itb10g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWTSTLSLPPISINSHQPSVTQSSQLPNPPQNRHSQPPPAATLAPTGNQRPISQPRSPASWIGALRSEVQSNCFRDAIETYIQMNVAGVRPENFAFPAALKAAAGLQDLNLGKQIHGCAVKLGYDSRSVTVANTLINLYGQCGGDIREVYKVFDKIPKRDQVSWNSVINALCKFEEWELALEAFRVMGSEGFVPNSYTLVSAALACSNLTRPHGLKLGKQVHGYCLRMDERKTFTNNSLMAMYAKLGKVNYAKIIFELFANCDIVSWNTLISTFSQNGQFNEALEYLSLMILEGVRPDGVTFSSVLPACSHLELLDTGKEIHGYILRNGDWIENSFVASALVDMYCNCHQVEYGQNVFDRTKQRRLGLWNAMLAGYTQNGFYEKALVLFMEMVELSGLIPNTTTMASILPACVHCEKFIHKEVMHGYIIKLGFQDDRYVQNALMDLYSRIGKIDISKRIFDSMESKDIVSWNTIITGYVVCGFHEDALVLLHEMQADKHKDVLEHDVKVHFRPNSITLMTVLPGCAALAALKKGKEIHAYAMRSAMVSDVTVGSALADMYAKCGCLDLARRVFDSMPTKNAITWNVLIMAYGMHGKGVQALELFRRMVAEGTRDGEVKLNEVTFIAIFAACSHSGMVEEGRKLFYEMKDGYGVEPSADHYACLVDLLGRAGKLEEAYQLINKMPPGHNKIGAWSSLLGACRVHQNVELGEISAKNLFELEPNVASHYVLLSNIYSSAGLWEKANDVRRNMKEMGVRKEPGCSWIEFGEEVHKFTAGDGSHPQTEHLYDFLDDLSEKMKEEGYVPDTSCVLHNVNDDEKENLLCGHSERLAIAFGILNTAPGTTIRVAKNLRVCNDCHAATKFISKVVNREIIVRDVRRFHHFKDGTCSCGDYW >itb13g18950.t1 pep chromosome:ASM357664v1:13:25968637:25970696:1 gene:itb13g18950 transcript:itb13g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSPSSSTATNIFDVGKYTVELVSVEPHKCTSHPPPKPLLIATPSEGGVFPIFLFLHGYLFYNSFYSQLIQHIASHGFIVVAPQLYSVAGADATEEIKCTAQITNWLPEGLHNFLPSHIHPNTEKIALGGHSRGGKVAFGLALGKIATTNDLKFSALIGVDPVDGMDKGKQTPPPVLTYIPQSFDLGMAVMVLGSGLGEVKRNPLFPPCAPKGVNHRDFYHECRGPAYYFVAKDYGHVDMLDDETGGVRGKATYCLCKNGEGRAPMRAFVGGAVVAFLRAYLDGDFASLEAIRDRHDEIAPVQLQTVAFLEN >itb09g28670.t1 pep chromosome:ASM357664v1:9:29270207:29273338:-1 gene:itb09g28670 transcript:itb09g28670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASARASSSRATASEAPPCSTPPPQQPVDSPVPENNNFPKNVIIFMAALEKFPLETLEWVLKNVVMKPCCTLSIIGIYPYLTFLESAKTWSEIWSVNCEDLQQGERRSNPKHLKVQFLMDLCQSYGVSCEIKTEMCHPVRSRVTEIIASLQPTLVVFDKHHDRKNIEFYAEKLPYNMIIMNEDGEVDMIKGRSQIDLISTAESSPYSLPPTPRVMVSRKWKNVLRPIRRLKLGILQG >itb12g24980.t1 pep chromosome:ASM357664v1:12:26316706:26319220:-1 gene:itb12g24980 transcript:itb12g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHFIPIIPLFFILSSLLSPATVYSAVDNRQPVRGDINSWCATTPHPATCKFFMARAGYNFKPKCRDDFRTMTVEVAMERALHAQRHAKELEKHCHSRRKKMVWLDCDKLVDDTIFQLNNTVRGLKTNCSAFDAQTWLSAALTNIHTCQLNVSHFFRPVVSFNVSELISNSLAVNGVLLDEQNSTTASAAADGGDGGREFPSWLTAGDRRLLQSASVRSKANYVVSKDGKGQFRSIQAAINYATSRRKGNQRIVIYIKRGVYNENVQIGSNMNKIMLVGDGLRYTIITGSRSVASGVDGLNFIARGITFRNTAGPQKAQAVALRSASDLSVFYACSFEGYQDTLFVLAQRQFYKSCYIYGTIDFIFGNAAVVFQNCVIYVRKPLWGQSNVVTAQGRADPFQNTGISIHNCRVMAAPDLKPVIRSYKTYLGRPWQQYSRTVFLKTYLDSLVNPQGWMPWENSKFGLTTLYYGEYKNFGPGGSTRSRVKWPGYHIITSSTEASKFTVASLIAGRSWLPSAGVPFTAGL >itb13g22200.t1 pep chromosome:ASM357664v1:13:28532908:28534838:1 gene:itb13g22200 transcript:itb13g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKELENGVLWEVEGKWVVQGAIDVDIGANPSAEGCEDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVAFIKKYIKLLTPKLDAEKQEVFKKNIEGATKFLLSKLSDLQFFVGESMADEGTLVFAYYKDGATDPTFLYFAHGLKEVKC >itb01g23170.t1 pep chromosome:ASM357664v1:1:29226681:29229314:-1 gene:itb01g23170 transcript:itb01g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDGDVRSAAAALNQQSHEISKLFQHYLDKTTPHALYRWIGTSIFASLFALRVYSIQGFYMVTYFLGIYILNLLIGFLTPLVDPSQETSDGPVLPTKGSDEFKPFIRRLPEFKFWYAVTRAFCMAFVTTFFSAFDVPVFWPVLLLYWIVLFVLTMKRQIAHMVKYKYIPFNIGKQKYSGKKTAAGGGSPRGA >itb05g00970.t1 pep chromosome:ASM357664v1:5:805333:812276:-1 gene:itb05g00970 transcript:itb05g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLVLLLLVLSAVVGFGPTTATSKSNYPIAKPNCDDRCGDVSIPFPFGLTQDCALNRAFIINCTTATSNDGSPIALLVGGNVVVKRISVEGQLNIKSYVARQCYDEGVYPPRLNLFSFKSSGESLKLYVNQTGNKFVAVGCDTFGYVYGYGDDGSYRTEGCVATCNNTREVEDGSCNGFGCCETGIPNVARNVYVSVDSLNNYSYTSGVIRCNYVFVVQKEEFNFSTTFLTTRDWDLKRETPPLTTLLDWTIPKQECLTGCLGNTTCVLVNGTVKSSEGYRCACKEGYEGNPYLTGCRDIDECEVGQKNNCSKNSICTNTEGGYECACEKGYEGNPYLHPGCLDIDECENGQNNCSENFTCKNKPGGFSCHCKDGYNNDGKGGCQLPSIHANNVNMIALGAALGAVILLMVICFSQYLAYRQRKSAHMREKFFRDNGGMILQQKIAQGSASSGTTRIFTAQELKKATNNYDQTRIIGQGGFGIVYRGHLLDGRIVAVKKAKMMDPTQVEQFINEVIVLSQINHRNIVKLFGCCLETEIPLLVYEFISNGTLFEHLNNKHKASTLSWSTRLRIATETAEVLSYLHSAVSPPIIHRDVKSVNILLDDDYTARVSDFGASGLVPQDQTQLTTMVQGTFGYLDPEYLQTNQLTEKSNVYSFGVVLAELLTSRRAVSFDGHEEERLLSQYFLSLLKENQLFKILDGNIICEGNTEELQEAALLAKRCLNVKGEDRPTMKEVAMELSGLRRAAIQPWTNNPEASMGQ >itb07g17200.t1 pep chromosome:ASM357664v1:7:21410828:21411211:1 gene:itb07g17200 transcript:itb07g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSRALKKQSKRSIGGGSRFSGGRKQYESEKEEGEEGGGEECDQEAWETLSKSFRDVQTVLDQNRNLIQQVNENHQSKLPDNISRNVDLIREINGNISKVVGMYSDLSVNFSGIVHQRRSGGREE >itb10g18660.t2 pep chromosome:ASM357664v1:10:24539886:24548787:1 gene:itb10g18660 transcript:itb10g18660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLSNLGYFFYFVLSPVAACHDWVCWSQSCGFIVMAFPKILFLSAFLLLLSFWVDLCHQSNDEEDDDEGYSPREALLDKTKPCSDVDNHRKCCSFRAYHVGSRQKVVILVTFLVFVLMLAATVLMWIGRGGNPIDSSVVARVYVDLFSISVLLLGAALACYGLVLFLRMRKVRSERASSEMWKVAGLASVSIVCFTSSALIAIFTDIPLLYHWDGQQINSFSTSLLLVLYYFIGSSVPSAFVLWVMRELPPLLVTQSQQESRTIAFISDSSVTVHPQRWTTVASMQNQASRASPI >itb10g18660.t1 pep chromosome:ASM357664v1:10:24537581:24548867:1 gene:itb10g18660 transcript:itb10g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRKEGGCYPEALLGVNVGLAFVDAAIAVFALAQLLRIHSRNAHVGWTRQKVFHLMIGLSNLGYFFYFVLSPVAACHDWVCWSQSCGFIVMAFPKILFLSAFLLLLSFWVDLCHQSNDEEDDDEGYSPREALLDKTKPCSDVDNHRKCCSFRAYHVGSRQKVVILVTFLVFVLMLAATVLMWIGRGGNPIDSSVVARVYVDLFSISVLLLGAALACYGLVLFLRMRKVRSERASSEMWKVAGLASVSIVCFTSSALIAIFTDIPLLYHWDGQQINSFSTSLLLVLYYFIGSSVPSAFVLWVMRELPPLLVTQSQQESRTIAFISDSSVTVHPQRWTTVASMQNQASRASPI >itb12g07050.t1 pep chromosome:ASM357664v1:12:5274717:5277202:1 gene:itb12g07050 transcript:itb12g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVVFLLLLRLLATATVTISAPILGLDSFLAQQSRVDPQATNDSFLSLPSYLKKTLSQASTQQPATIAALLSLQVSVPITVKLVGTTFTSSSPATLSSFISSAVSFDHYQVISPFTTQPSHHLSISHSLHPEVSLAPSSLAAHLSETLKSQIASSSSSSFRSQLASVPYSITDQIIKQDFEKEKPISGIYIYILNLGAQSKPYAYSYTHGDSSPAFTKCLGSIWTGKDRYIWIDLGAGPVEYGPALSGDGLMPRGEFHPLASLHGRPKSQRAMLSDLASLVWSAYQVLLVPSLRIPIPFENSLIVEFIHINGSPDSKDSFGLDWKSIERTFMDEASDSGLLLGDQSLSFKKYEVKLAECSICSFAISRATTSYTSRYLFDNYTLIVSEYLDSKRLHQTLSESAEEFRRVAKLPQEDFGRVLPVYVFDLDISMILLLDRYHQTVAFKDMVIAVRTKSSQAVSDYSCNGRHVFTQTRELERPLVGSILQSMWGVSPTHLLWSPKHNTTLVDYTWSVGQTPFGPFSEISSLSFVQKDAARRNVLLTSLNYSLMSAIDVIESIASHGGERKVLKHNQLPEFIQRWNLFKYKLDKTVSALSHFDFEMALYYLRSSDHDIYAIHSLLYHASQDLEASLVCFKDPPFPWMSVSMSLGVLIGFLYIIAKRDKLFRNKRKQF >itb04g22890.t1 pep chromosome:ASM357664v1:4:28133857:28134335:1 gene:itb04g22890 transcript:itb04g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKNPPEDHKTREMRRVPCEFARCHLIRSSGPSIYLRNKAVNIDQFKITPMHSAFECTSGEAGENMKSGENLHKRKIDDIDRTAIGSCERRNTRVSETGILVWVLPAAPHPSVAQCFASSV >itb14g03410.t1 pep chromosome:ASM357664v1:14:3036431:3036997:-1 gene:itb14g03410 transcript:itb14g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAEGRFYCMNSSPFSVLSYHVSSNTWSKIQAPMRRFLRSPGLVESGGRLLMVGAVEKSKLNVPRSLRLWALQDCGSLWVEIERMPQELYVQFSEVEKGEGFSCVGHGEFVVILVKNSDKAMVFDFYSKRWVWVPPCPFVHQDSSSCSSSSPHGGGELQGFAYEPRLATPVAALLDQLALPFQSFSG >itb08g02400.t1 pep chromosome:ASM357664v1:8:1896178:1903194:-1 gene:itb08g02400 transcript:itb08g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb08g02400.t4 pep chromosome:ASM357664v1:8:1897254:1903555:-1 gene:itb08g02400 transcript:itb08g02400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb08g02400.t6 pep chromosome:ASM357664v1:8:1897254:1903495:-1 gene:itb08g02400 transcript:itb08g02400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb08g02400.t5 pep chromosome:ASM357664v1:8:1897355:1903493:-1 gene:itb08g02400 transcript:itb08g02400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb08g02400.t3 pep chromosome:ASM357664v1:8:1896342:1903495:-1 gene:itb08g02400 transcript:itb08g02400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb08g02400.t7 pep chromosome:ASM357664v1:8:1897254:1903555:-1 gene:itb08g02400 transcript:itb08g02400.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb08g02400.t2 pep chromosome:ASM357664v1:8:1896178:1903326:-1 gene:itb08g02400 transcript:itb08g02400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNIIKEVGNGTFGSVWRALNKQTGDVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIREHDVLFFVFEYMECNLYQLMKDRVKLFSEAEIKNWCFQVFQGLAHMHQRGYFHRDLKPENLLVSKDIIKIADFGLARQINSQPPYTGYVSTRWYRAPEVLLQSTTYGFAVDMWAMGAIMAELFTKHPLFPGLNEADEIYKICSVLGSPTMTEWSEGLQLANSIGYQFPQAVGLHLSVLIPSASENAINLITSLCSWDPCKRPTAVEVLQHPFFQSCIYVPPSLRSGVALSRTTQSVGRNNIGFISTRTTDASEQKGNRLSIGTISNPKSMSKTSSLKSHASLNAGIQRKSEINSQDAITNKPLKGSLKQQSKYQPPARNLPMPGPTVKPSQVSNAAKKFTNLNIGSGRPPVKQLSPQPPKAGGWHSQTRVPHGRSRAIPPGRASTRKVTG >itb10g17510.t2 pep chromosome:ASM357664v1:10:23716298:23720051:-1 gene:itb10g17510 transcript:itb10g17510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKNMNAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAVIVYDITNQESFERAKKWVQELQAQGNQNMVMALAGNKADLLDARKVEPEEAQKYAEENGLFFMETSAKTATNVNDVFYEIAKKLPRLQPAPNPSGMVLMDRSAQRATATTTTSCCG >itb10g17510.t1 pep chromosome:ASM357664v1:10:23716298:23720051:-1 gene:itb10g17510 transcript:itb10g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKNMNAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAVIVYDITNQESFERAKKWVQELQAQGNQNMVMALAGNKADLLDARKVEPEEAQKYAEENGLFFMETSAKTATNVNDVFYEIAKKLPRLQPAPNPSGMVLMDRSAQRATATTTTSCCG >itb09g16760.t1 pep chromosome:ASM357664v1:9:11900540:11901315:1 gene:itb09g16760 transcript:itb09g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLMSMGLVIALVAAAVASMLHGSSAQTTHVVGDTSGWTIPTNGGASTYSAWASRNTFTVGDILVFRFSTGAHDVTQVSRAGFDGCNATNPISQNTNGPANITLTTAGQHYYICSVPGHCGIGQKLAINVSAAASPPRAAPAAVPSQAPASTPAAVPSSTDTPPSVPSADSPPPPSTAAPSIAIATLPLTFLSLALAFLY >itb02g04270.t1 pep chromosome:ASM357664v1:2:2535859:2538734:-1 gene:itb02g04270 transcript:itb02g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYNNHGTNKQKVRTAPQRREVKPAGLSFPVFPSILRKMAGGALKLHAVVTCIYAQKPYTWARTISSAAKSETLRCPAAAASTPRWRRRIPFPAPVRGVPNYQIPLGFHSSPRLPLRSISTTQMGSDPDKKEQQLPDTSSTSQSDKLLTLPTILTIGRVAAVPLLVSTFYVDSWWGPAATTGIFIAAAITDWLDGYIARKKKLGTAFGAFLDPVADKLMVAATLVLLCTRPLEASAFGQMPWLLTVPSIAIIGREITMSAVREWAASQGSKLSEAVAVNNLGKWKTATQMAALTILLATRDSSFVDAGALVGSGVALLYISAWLAVWSLFVYMRKIWKVLLV >itb02g04270.t2 pep chromosome:ASM357664v1:2:2536503:2538734:-1 gene:itb02g04270 transcript:itb02g04270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYNNHGTNKQKVRTAPQRREVKPAGLSFPVFPSILRKMAGGALKLHAVVTCIYAQKPYTWARTISSAAKSETLRCPAAAASTPRWRRRIPFPAPVRGVPNYQIPLGFHSSPRLPLRSISTTQMGSDPDKKEQQLPDTSSTSQSDKLLTLPTILTIGRVAAVPLLVSTFYVDSWWGPAATTGIFIAAAITDWLDGYIARKKKLGTAFGAFLDPVADKLMVAATLVLLCTRPLEASAFGQMPWLLTVPSIAIIGREITMSAVREWAASQGSKLSEAVAVNNLGKWKTATQMAALTILLATRDSR >itb13g24010.t1 pep chromosome:ASM357664v1:13:29772623:29773156:-1 gene:itb13g24010 transcript:itb13g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCMASKCPTHKHVSPPPLSTCQTRQLTTPLYPPLYALSNPLWFSTTTMKKAVPVLYPSRNTATRLPLLSHAFNRTMTAGASSDKPPPEFPAAPPPDKDPESSEAPQAPSVPDSDRTPSEIPPSDDTQPPRHDPYVAGPELPNPRQQHSRSRVVQPHGAKVQTPVPPEPEHVTSA >itb05g20310.t1 pep chromosome:ASM357664v1:5:26451776:26453646:-1 gene:itb05g20310 transcript:itb05g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLVFLIIVSCLILRFVSNSSILHIVTKWGRVLEEKCYLYQFYRVPQFNQNMQENQLYRVVSTYLNSLPGVEDSDFTALFSGGKPNDISIVLDSNQIVVDKFLSSRVYWINDENEKTGLKSLVLKIRRNDKRRILRPYLQHIHSVFYEIEQTRKGVRLYVNVGREPERNGRWMSVPFTHPATIDTVVMDSDLKNRVKSDLEGFLKSKQYYHRLGRVWKRSYLLYGPSGTGKSTFVAAMAKFLGYDVYDIDLAKVSDDSDLKMLLLQTTTKSLIVIEDLDRCLLEKSTAVTFSGILNFMDGIFSCCGEERVMVFTMTGKDQIDPTVIRPGRVDFHIHFPLCDFTAFKTLASSHLGLKDHKLFPHVEEVFQTGASLSPGEISEIMISNRTSPSRALKTVISALQTHAEATRNARRLSDSEPVRTVEEGGESGIFCKENLKEIRKLYGLLRIKSSKKESFDSSEKDNSNSLHES >itb09g01100.t1 pep chromosome:ASM357664v1:9:675379:676196:1 gene:itb09g01100 transcript:itb09g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLVAQLKKENGTNEESKTNDRQSDAVDSIYDPKNTDIDSKDPVSTEFQALDHLSPPPDIPKEEPLVARLKARMQEMKEKEHRYVGNGDANSHVCKVCFESPTAAMLLPCRHFCLCKSCSLACSECPICRTKIADRIFAFT >itb06g11790.t1 pep chromosome:ASM357664v1:6:16338920:16342531:1 gene:itb06g11790 transcript:itb06g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLALGKSDTSYLSSIAIRTRSERWGAGLVMGIYITLFKPLPDPTLTEPRMTCVNIIPKPNRQSPPPVTSPATASGSPIRHSPPSLLACFASPIRHPQSPLRQTTRPSIHPVRPPQRSPSLPASICSPTSVHSLHTRHSTTSRQQVTACTGSSARPAT >itb03g20700.t1 pep chromosome:ASM357664v1:3:18557779:18561806:-1 gene:itb03g20700 transcript:itb03g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MLFLLSSSSTKAVSQLHSLSKTLKTNNKSWIFIPAMSVSLNSHVFAGNPIKLRTPKPTDPFSSASAIRTLKNLILGQTDEPLSPNFKILPFRKGRPLAGSSGSPDPNWHLGWLDFGDCRGLLENYAVKLSEESLVYLGSKNGEEDNQSVVYWAVDVSEGGNELVKALGARQLCFVELRTLMVASDWADSRAMGELAIAGHARALLEWHGVSRFCGFCGSKTVPADAGRRKLCSNELCKKRVYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFMEPGESLEEAVRRETREETGIEVGEVVYHSSQPWPVGPSSMPCQLMVGFFAYAKSFEIEVDKEELEDAQWHSREDVKKALTLAEYHKAQKTTAAKVDQMCKGVEKEQNLATTYNVDSGELAPMFVPGPYAIAHHLISSWANQVGINNGNGTQSKLPAASLPKL >itb03g22360.t1 pep chromosome:ASM357664v1:3:20428644:20433111:1 gene:itb03g22360 transcript:itb03g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFAVSSLMRTVELEFLSPNPHVVLDDTNAAEALHGRLRELVDFLEKSENQFNAEDVAMQDWKARLKDVALRIEDEIESKVIDSYSGGQSTSTIAESFHSTLEYAIEELTKCVKEDLQVNDSLSSRERIESSSSSSLPTASKLQSTMVGRTAELERIKRLLLKDKSEERLVVTVVGMAGIGKTTFAQSLYQDPLVKSHFDVLAWATVSTSATYDRCRMFRELLLCIKPKEKEFIHQVNHDDLPDLVRRWLLGHRYLVVLDDIWDNNQWDEIIRSFPEGCNRSRILLTTRHDEVAMYARSYTYCSLNVPFLNSEESWDLFLQKIHHARLSSEVEAIWRHIVNYFKGLPFTIVIAAGLARAINESLWISKEIDRIFYERMCFDLVQDISKILILSYNNLPNFLKICFLYLGIFPESINIPVKKLIKLCIAEGFVKVEGQRSLEEVAEGFLKDLVSRNLVLIDKISLDGKIKTCKVHGIVHDFCKRKAMEEDLLHVVDGHFGHLHTYHWVSIETMNVSPDDISNRSRSILSVCHNRVDWFTNFKMLRVLDLSSIFCRGLDLICDGLVLLRYLALTIDIVYGGVVEMRILEKSFNLQILVLLTLEERQKSFPIKLSQIWMPMLRHLQFSTVLMLDPPSAVQESLQTIYWLRPSQCTKNVFSRIPNVKVMGIFVPWRWEEIVVVVSPLLDDLINLRKLEKLKINSHLDDPIILPDASAFPEKLKMLTLKGTLVPWDAMEVVCMLPNLEVLKLKSGACIGQHWKLSGDWFPKLKSLLIQEIMELKQWTATDGAFPILERLIIKHCLRLKNIPSTFDELYSLQLIELHGCHSLLVHSANQIQQQQEELLGNDGLVVHNFNTQLKYKANYHDSSYFARQVQEEEDSKLTNDDFFNCFEDDFDNNDIN >itb08g12230.t4 pep chromosome:ASM357664v1:8:12346015:12358813:1 gene:itb08g12230 transcript:itb08g12230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSLLLKSLWWFQLVVALQFSSSVIAIRKDVSLQDNYICRTTVQGRYMIADDKGQVCDALSVDPQSRCCRGKGEQFSCHGCNLLSQCCNSYEFCVACCLNPSRTPKELALKVKIAKPITAGTYSSIFEFCAGRCRHNSESVVHENAYHSEFHHCFSIPSNSSGSSEKPMESRLTGINIIIGRQGESCDLVCKSSGESCVPNKLLLLNQCEMIQKYLSCKGGCLASIGADQPAEVVDDAPEDMWLTSAYKEALPMRIVHVRKYTEHLIKLDS >itb08g12230.t6 pep chromosome:ASM357664v1:8:12346015:12358813:1 gene:itb08g12230 transcript:itb08g12230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSLLLKSLWWFQLVVALQFSSSVIAIRKDVSLQDNYICRTTVQGRYMIADDKGQVCDALSVDPQSRCCRGKGEQFSCHGCNLLSQCCNSYEFCVACCLNPSRTPKELALKVKIAKPITAGTYSSIFEFCAGRCRHNSESVVHENAYHSEFHHCFSIPSNSSGSSEKPMESRLTGINIIIGRQGESCDLVCKSSGESCVPNKLLLLNQCEMIQKYLSCKGGCLASIGADQPAEVVDDAPEDMNSGACLYTTSQSVLSCSGSHQHTRRLCPCA >itb08g12230.t5 pep chromosome:ASM357664v1:8:12346031:12358154:1 gene:itb08g12230 transcript:itb08g12230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSLLLKSLWWFQLVVALQFSSSVIAIRKDVSLQDNYICRTTVQGRYMIADDKGQVCDALSVDPQSRCCRGKGEQFSCHGCNLLSQCCNSYEFCVACCLNPSRTPKELALKVKIAKPITAGTYSSIFEFCAGRCRHNSESVVHENAYHSEFHHCFSIPSNSSGSSEKPMESRLTGINIIIGRQGESCDLVCKSSGESCVPNKLLLLNQCEMIQKYLSCKGGCLASIGADQPAEVVDDAPEDMNSGACLYTTSQSVLSCSGSHQHTRRLCPCA >itb08g12230.t2 pep chromosome:ASM357664v1:8:12346019:12358800:1 gene:itb08g12230 transcript:itb08g12230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSLLLKSLWWFQLVVALQFSSSVIAIRKDVSLQDNYICRTTVQGRYMIADDKGQVCDALSVDPQSRCCRGKGEQFSCHGCNLLSQCCNSYEFCVACCLNPSRTPKELALKVKIAKPITAGTYSSIFEFCAGRCRHNSESVVHENAYHSEFHHCFSIPSNSSGSSEKPMESRLTGINIIIGRQGESCDLVCKSSGESCVPNKLLLLNQCEMIQKYLSCKGGCLASIGADQPAEVVDDAPEDMNSGACLYTTSQSVLSCSGSHQHTRRLCPCA >itb08g12230.t3 pep chromosome:ASM357664v1:8:12346019:12358314:1 gene:itb08g12230 transcript:itb08g12230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSLLLKSLWWFQLVVALQFSSSVIAIRKDVSLQDNYICRTTVQGRYMIADDKGQVCDALSVDPQSRCCRGKGEQFSCHGCNLLSQCCNSYEFCVACCLNPSRTPKELALKVKIAKPITAGTYSSIFEFCAGRCRHNSESVVHENAYHSEFHHCFSIPSNSSGSSEKPMESRLTGINIIIGRQGESCDLVCKSSGESCVPNKLLLLNQCEMIQKYLSCKGGCLASIGADQPAEVVDDAPEDMNSGACLYTTSQSVLSCSGSHQHTRRLCPCA >itb08g12230.t1 pep chromosome:ASM357664v1:8:12346015:12358813:1 gene:itb08g12230 transcript:itb08g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSLLLKSLWWFQLVVALQFSSSVIAIRKDVSLQDNYICRTTVQGRYMIADDKGQVCDALSVDPQSRCCRGKGEQFSCHGCNLLSQCCNSYEFCVACCLNPSRTPKELALKVKIAKPITAGTYSSIFEFCAGRCRHNSESVVHENAYHSEFHHCFSIPSNSSGSSEKPMESRLTGINIIIGRQGESCDLVCKSSGESCVPNKLLLLNQCEMIQKYLSCKGGCLASIGADQPAEVVDDAPEDMNSGACLYTTSQSVLSCSGSHQHTRRLCPCA >itb10g03090.t1 pep chromosome:ASM357664v1:10:2751589:2753214:-1 gene:itb10g03090 transcript:itb10g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLSLTLLLLLPPPTLSATIAPAKPPSAAPKPPHSSAPTAPSPKSSPSPAAISSSTLDPKQLRALQSLSIPTSKDPCSPLHNSTTVCDGATPFRHLVSLKIANCSDDVALSLTALKSLSTLKDLEFLDCPISPIRFPAELAANLRSFTAVNSLKKLTGVWLSRLQNLTDLTVSHVSITASGPSIILNSIKNLRTVTVSHANLTGFLPKHWHPNLSYVDLSGNKLKGKIPPMLTELENLVFLNLSSNSLNGSIPTTFGDLTSLKNVSLSSNSLSGPIPDSLAAIPELIHLDLGSNQLNGTIPKFISDMKALKYLNLEKNNFKGVLPFNASFIKKLAVLKIGENSNLCYNHSTLSSKLKLGIAPCDKHGLPMSPPASSGAIASDSSDEDYEDDDDEPRHHSHGPSKVVLGIAITLSLIVFLIIFLVLLSKCCK >itb15g01180.t1 pep chromosome:ASM357664v1:15:693675:705369:-1 gene:itb15g01180 transcript:itb15g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTLSGLRLPAVAPVYKHLGSTSHADRRNANPSLSLKRNSFSSWKIFAGNTSYESEPSSFRVAASEKVLVPGGEGEGSSFPTDQLEVAEALSEDTQVSADVDNVKMEENSNSESNVDFVKVASDSKESVQEQDHTSSLQFEEDGNVEVSQKPETLDDISAESEMVKKRAIPPPGLGQRIYEIDPLLKNFRDHLDYRFSHYRKIREAINQYEGGLEVFSRGYEKLGFTRSATGITYREWAPGATWATLIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGIKDSIPAWINFSVQAPGAIPYDGIYYDPPEEERYKFQHPRPKRPKSLRIYECHIGMSSPEPKINTYAEFRDDVLPRIKKLGYNALQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLVVLMDIVHSHASNNTLDGLNMFDGTDSCYFHSGTRGYHWMWDSRLFNYGNWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLSVGFTGNYSEYFGYATDVDAVVYLMLVNDLIHGLFPEAITIGEDVSGMPTFCIPVRDGGVGFDYRLHMAIPDKWIEILKRRDEDWQMGEIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPVIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQRLPDGSVLPGNNFSYDKCRRRFDLGDADYLRYRGMQEFDQAMHHLEEKYGFMTAEHQYISRQDEGDRVIIFERGDLVFVFNFHWTNSYSDYRVGCLKPGKYKVALDSDSPLFGGFGRVQPDAEFFTFEGYHDDRPRSFMVYAPSRTAVVYALVKEEDKAKPVEE >itb15g01180.t2 pep chromosome:ASM357664v1:15:693752:705352:-1 gene:itb15g01180 transcript:itb15g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTLSGLRLPAVAPVYKHLGSTSHADRRNANPSLSLKRNSFSSWKIFAGNTSYESEPSSFRVAASEKVLVPGGEGEGSSFPTDQLEVAEALSEDTQVCVSADVDNVKMEENSNSESNVDFVKVASDSKESVQEQDHTSSLQFEEDGNVEVSQKPETLDDISAESEMVKKRAIPPPGLGQRIYEIDPLLKNFRDHLDYRFSHYRKIREAINQYEGGLEVFSRGYEKLGFTRSATGITYREWAPGATWATLIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGIKDSIPAWINFSVQAPGAIPYDGIYYDPPEEERYKFQHPRPKRPKSLRIYECHIGMSSPEPKINTYAEFRDDVLPRIKKLGYNALQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLVVLMDIVHSHASNNTLDGLNMFDGTDSCYFHSGTRGYHWMWDSRLFNYGNWEVLRYLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLSVGFTGNYSEYFGYATDVDAVVYLMLVNDLIHGLFPEAITIGEDVSGMPTFCIPVRDGGVGFDYRLHMAIPDKWIEILKRRDEDWQMGEIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPVIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQRLPDGSVLPGNNFSYDKCRRRFDLGDADYLRYRGMQEFDQAMHHLEEKYGFMTAEHQYISRQDEGDRVIIFERGDLVFVFNFHWTNSYSDYRVGCLKPGKYKVALDSDSPLFGGFGRVQPDAEFFTFEGYHDDRPRSFMVYAPSRTAVVYALVKEEDKAKPVEE >itb10g13090.t1 pep chromosome:ASM357664v1:10:19185566:19188739:-1 gene:itb10g13090 transcript:itb10g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELQYHMGLTGITTGKGKVNLADTNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >itb03g03240.t1 pep chromosome:ASM357664v1:3:1855070:1856902:-1 gene:itb03g03240 transcript:itb03g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPSIIALAPQGGPTGHGVGGGLDAFSLFTGNKYSPHDFSHSLPSNQNDRALRRAPFGPGQSVFAMSDAQDVLSLSLPEGAAAEAPPVPPPRPPPSLYKQNTWSPDTFRDEAWRRRKGNHGIRVRERSKSVTDEDLDELKGCIELGFGFDSPTMDKRLSDAFPAYDLFYAVNNSYNNSVSNSQSVSSNLSDYPAPSPSGSSHAIFGPGENPQAVKTRLRQWAQVVAYSVRQSSV >itb15g01500.t2 pep chromosome:ASM357664v1:15:891387:903425:-1 gene:itb15g01500 transcript:itb15g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVQAALEEICSRGAAGLPLRELWSELQPAFSSHGFTLSPKFKQLLWTNLLDIPDLQFKSNRGAYGPRDDCIRSVVDSERLNLTIVAPEHLLDSFVGIYDVEASDAKVSAQQRRVLELLAIARGNGITQSELTRALGVRGNDIFYILKKLESRGMITRQSTVVRTKEASNEEESKNASVVTTNMVHLYRYAKHLGSHQRLEITKGNKSFMCIDNTNGNAASVDCFSEESTKEDVHIRDFLPALKAICYKLEKAEGKVLVVSDIKRDLGYKGSAGHKSWRNVCHRLKEARVVEEFMAKVDGKEVSCLRLLKEFSPKHFEPKSRARECDDFDTEQPTKLARRGQITDQLVDLPIEHQAYDMVDAEGSRGLTITEVSRRLGINNKRYYTRLLNGFSRLGMHMQAERPNRGVVYRVWTSRFCLGEASNKTLMDPEEVLKGNAESNSHVMGVEAEDLNPSVSNGCFKDAGKIDITEVEHEGPNNVILDGEGSTTLLSLSKPQNSDPDTSYTVPDAELQIVSTKPLDVVPYETLPLAGLTPSGRRPCRKYPRLTLVAGIAQREQRILKMLQEEKFLIKPDLHRRLESLQSGMMDRKTLERCLNKLQQEGHCKCIHVSVPVVTNCGRSRTTEVVLHPSVSISPEVLGQIHEKMRSFEIQIRSQSRLKKGQSIPKLNDIQRIPKDVKLDGPAEQLEVMRANGYVIAKMVRAKLLHIHLWGYISSSPGCDDILSSCKHGYDLKNPHSTCKLIDIKAAIKAMPLELFLQIVGSTEKFEDMIEKCRNGLCLFDLPPPEYRCLMDMRATGRFSWLIDILRRLKLIRLVSGEKTDVTDTSQTTLIYSLELKPYIEEPVSISTSSSGFFSYDLRPQIRHDFVLSSRRAVDEYWKTLEYCYAATNPKAALHAFPGSAAHEVFLSRSWASVRVMTADQRAKLLKRVFSGCSNRKLSYKDCAKIAKDLNLTMEQVLRVYYDNKRKRHLDRSQGFSEQGESRSLKSTQTSSSRKRRRSPGAKSSECGIDGADFGENQRLLPEMLDVDRDQLNEAQDSSLASMNNSCDLGMDQSGDRFGATEELESNEQNDDDDCFIHKCALSKLKATIKKKFSWTESADRQLVIEYVKCRAALGANFHRVGWASLPNLPAPPDACKRRMALLNSNPEFRKAVMRLSNVLSERYAKYLQKFQDQSSNHAGGKMKFREYALAQRCSRDTLDRSKHTTELVFDEQWDNFDDDSVKAALDDALQLKKKAKLEASKEVEPLDDKCTDVDIDSEELFPHGQDTENHSRRSKVAGRKSCSNRIAQKFVKPLNGPIRVSKRAHESVAIANALELFKLIFLNSSKSPMMPSLLAETLRRYSEHDLFSAFNYLREKKIMIGGSANSPFVLSHNFLHCISSSPFPINTGKRAAKFVSLLCESKKDLMAGGFTLPADLQCGDVFHICALIASGELSITPCLPDEGVGEAEDSRASKRKSNSSELFDEERSKKLRAALACDSEIISRRAKGFPGISLCLRQAEISKLEALESFKEVNSAFTLFSEEHQIGMASGVKVDAISSKSDECNHKEGILDCETPHHSLVLSTESPWEAMKSYAEHVWTSGYGLVENNVFHSELFRAVYSAIQKAGDQGLSMKEISMTANLQGEKMTETLVGVLEAFGRVLKVNAYDSIHVVDSLYRSKYFLTTPTANMPTSGNSKEAIDDKLFTDNMDNHENDVASQKETRVNYSDVHRVTILNRHEEVAEPLNETETVNNAEGVLHLEVITPTKNHSDTILEGHSIGFQTHRTIFPWINGDGSINEPVYKGLVRRVLGVLMQNPGMLEDNIISRMHVLNPQSCRSLLKTMILDNHIMVRKMAQKTPAPPAILGGLLGSQFKKSKLILREHFFANPTSTTLL >itb15g01500.t1 pep chromosome:ASM357664v1:15:891387:903425:-1 gene:itb15g01500 transcript:itb15g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVQAALEEICSRGAAGLPLRELWSELQPAFSSHGFTLSPKFKQLLWTNLLDIPDLQFKSNRGAYGPRDDCIRSVVDSERLNLTIVAPEHLLDSFVGIYDVEASDAKVSAQQRRVLELLAIARGNGITQSELTRALGVRGNDIFYILKKLESRGMITRQSTVVRTKEASNEEESKNASVVTTNMVHLYRYAKHLGSHQRLEITKGNKSFMCIDNTNGNAASVDCFSEESTKEDVHIRDFLPALKAICYKLEKAEGKVLVVSDIKRDLGYKGSAGHKSWRNVCHRLKEARVVEEFMAKVDGKVSEKEVSCLRLLKEFSPKHFEPKSRARECDDFDTEQPTKLARRGQITDQLVDLPIEHQAYDMVDAEGSRGLTITEVSRRLGINNKRYYTRLLNGFSRLGMHMQAERPNRGVVYRVWTSRFCLGEASNKTLMDPEEVLKGNAESNSHVMGVEAEDLNPSVSNGCFKDAGKIDITEVEHEGPNNVILDGEGSTTLLSLSKPQNSDPDTSYTVPDAELQIVSTKPLDVVPYETLPLAGLTPSGRRPCRKYPRLTLVAGIAQREQRILKMLQEEKFLIKPDLHRRLESLQSGMMDRKTLERCLNKLQQEGHCKCIHVSVPVVTNCGRSRTTEVVLHPSVSISPEVLGQIHEKMRSFEIQIRSQSRLKKGQSIPKLNDIQRIPKDVKLDGPAEQLEVMRANGYVIAKMVRAKLLHIHLWGYISSSPGCDDILSSCKHGYDLKNPHSTCKLIDIKAAIKAMPLELFLQIVGSTEKFEDMIEKCRNGLCLFDLPPPEYRCLMDMRATGRFSWLIDILRRLKLIRLVSGEKTDVTDTSQTTLIYSLELKPYIEEPVSISTSSSGFFSYDLRPQIRHDFVLSSRRAVDEYWKTLEYCYAATNPKAALHAFPGSAAHEVFLSRSWASVRVMTADQRAKLLKRVFSGCSNRKLSYKDCAKIAKDLNLTMEQVLRVYYDNKRKRHLDRSQGFSEQGESRSLKSTQTSSSRKRRRSPGAKSSECGIDGADFGENQRLLPEMLDVDRDQLNEAQDSSLASMNNSCDLGMDQSGDRFGATEELESNEQNDDDDCFIHKCALSKLKATIKKKFSWTESADRQLVIEYVKCRAALGANFHRVGWASLPNLPAPPDACKRRMALLNSNPEFRKAVMRLSNVLSERYAKYLQKFQDQSSNHAGGKMKFREYALAQRCSRDTLDRSKHTTELVFDEQWDNFDDDSVKAALDDALQLKKKAKLEASKEVEPLDDKCTDVDIDSEELFPHGQDTENHSRRSKVAGRKSCSNRIAQKFVKPLNGPIRVSKRAHESVAIANALELFKLIFLNSSKSPMMPSLLAETLRRYSEHDLFSAFNYLREKKIMIGGSANSPFVLSHNFLHCISSSPFPINTGKRAAKFVSLLCESKKDLMAGGFTLPADLQCGDVFHICALIASGELSITPCLPDEGVGEAEDSRASKRKSNSSELFDEERSKKLRAALACDSEIISRRAKGFPGISLCLRQAEISKLEALESFKEVNSAFTLFSEEHQIGMASGVKVDAISSKSDECNHKEGILDCETPHHSLVLSTESPWEAMKSYAEHVWTSGYGLVENNVFHSELFRAVYSAIQKAGDQGLSMKEISMTANLQGEKMTETLVGVLEAFGRVLKVNAYDSIHVVDSLYRSKYFLTTPTANMPTSGNSKEAIDDKLFTDNMDNHENDVASQKETRVNYSDVHRVTILNRHEEVAEPLNETETVNNAEGVLHLEVITPTKNHSDTILEGHSIGFQTHRTIFPWINGDGSINEPVYKGLVRRVLGVLMQNPGMLEDNIISRMHVLNPQSCRSLLKTMILDNHIMVRKMAQKTPAPPAILGGLLGSQFKKSKLILREHFFANPTSTTLL >itb10g09660.t1 pep chromosome:ASM357664v1:10:13040997:13048145:1 gene:itb10g09660 transcript:itb10g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MMGLESVGGLAIHIILSKLMPEDVAATACISRRFRDWVSDDDSLWSKFCADELGLSSPQDPWGNPTPSFRVSYQTWREAFNMYPWTLVIRAKRCWSRIRSWMEINFPEVLPTLRKGASEDQINYLEESLKVKLPLPTRVLYRFCDGQELSNDEFTGSSTENEPMNLLGLIGGYSFYNHLVNVSLFSLSQVIVETKNAMRYLGLGTRPKYIVVAASITAYGKIFYLNCSTGQLHVGTWDLSIGREVLPCVPESLLCSVHDTKGSQQQDAMLLWLEEYGRRLENGIVKVRTDECTRSISHFPEESPLCSTAVTNGVKVRASAVLVPECCNLQHDSEKYMFAYSIRMSLSPEGCIINGMKFSSCQLYRRRWIICGNDAIVDDISGEAVIGKFPLLRPGEEEFVYESCTPLSSSSGSIEGSFTFVPGRLAEPKGSPFEVEVARFPLQLPDYIF >itb13g22810.t1 pep chromosome:ASM357664v1:13:29022843:29026051:-1 gene:itb13g22810 transcript:itb13g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKKIESYVVVHNIAKRHNVGTLARSATAFGVSELILVGRRDFNAFGSHGSTSHVRFRHFHSLSDAKNFLKERDCDICGVEITENAMAVNQHPFKRSTAFLLGNEGTGLSAKECEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGAWAEFPERSREGNKYVVAERPTKQGKRNYCMESAESIAEERKLKKENASNGFFDEIGKEESPSNLLDTLFAG >itb01g33810.t1 pep chromosome:ASM357664v1:1:36780907:36784493:1 gene:itb01g33810 transcript:itb01g33810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDLFAGEIATELLKHLYAICKKCALSRTSALQLIEDISAVDPIIREIKATGVELPQERQRQLDEFSRTLSAGVELADKVLKCGRWNMYKNLQFARKMEKLEKRVSRFVQGIMPAHVMADVHHVRVDTEQRFDRLDNSFKRIEQQLGAMKIGVDEGGGGGGWLGEAVKRVEEEQRWCEDSFVNLGAGFELGKRKVKDMLMKDEENSGVFELCGIGGSGKTTLAREICKDDEIQSFFKGRVHFLTVSQSPNVENLRLQVWSMISGCTLQSSANMFPGWNPQFDWNRMPAQPRLLILDDVWKLADLKPLIDLKVPGCKILVVSRFKFPLSVIDSAHELELLREDEAMSLFCHFAFGRNCIPLGFDEKLVKEVIDECEGLPLALKVVGSSLKGQPEMFWKSAQNRLSRSLPVGESHEVQLLERMKWSIDYLPEKVRECFLDLGAFPEDKKIPLDVLINMWVELHDIPEEEAFHIVVELSNKNLLNLTKDARVGDLYSSYYDISVSQHDVLRDLAIHMSGLEDINQRRRLLMPKRERELPKEWERKADQPFNARVISVHTDEMKEMDWFQMDCPKAEVLILNFSSSEYFLPPFICSMPKLRALILINYSTSNAVLYNLSVFNDLTYLRSLWFEKISVPHLSNSTRPLIYLRKISLILCSINNSLDQSVVDLPRLFPRLSELTMDHCINFNELPTGICKMRSLKTLSVTNCDSLHELTGELGELSFLQILRIYACPNVKSLPPSIGDLVSLKYLDISQCVSLKTLPETIDGCRRLEKIDMRECPVIKSLPASVKYLESLRRVICDEEVSFHWKEVEEAVSGLCVQVAEECFTLDWLSE >itb02g06380.t1 pep chromosome:ASM357664v1:2:3973798:3977724:1 gene:itb02g06380 transcript:itb02g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPFMASQPSLAFTVTRQKPELVAPAEPTPREIKYLSDIDDQQGLRFQIPVIQFYRNEPSMSGKDPVQVIRQALAKALVFFYPFAGRLREGAGRKLMVDCTGEGVMFIEAEADATLQHFAIGGEVKPPFPCFDQLLYNVPGSAEILNCPLVLIQVTRLRCGGFIFALRLNHTIADAPGLVHFLNTIAEIARGAEAPTLQPVWQREFFSARNSPRITCTHYEYEEEPADSMVTNIPLDNLVHRSFFFGPTEISALRRTLPPHLRKCSTVEILTALMWRCRTIALGFDPDEEVRMLLLISARFKFKDTPVPSGYTGNAFAYPGAKTTAGKLRKNPLGYAVELVNSIKRCFSEEYMQSAVDLMVLKGRPLFHVAGSFIMSDLTRSKIPDVDYGWGKAVFGGPPHGGVGDAPGVITFHVPYENNKGENGTLVPICLPAFAMDKFVNELANTFMKAAL >itb13g04310.t1 pep chromosome:ASM357664v1:13:4837895:4839286:-1 gene:itb13g04310 transcript:itb13g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGACGYGEYGRTTNDGQVCAVSTRLFKNGAGCGACYQVRCKSSGLCSEVGEKVMATDYVEGQETDFILSYRAYTGLAKQPRMAEFLREKGAVDVEFRRVSCNSAAKLRVKIHDNSQYPHYLSILLTNQGGATDIFAVEIYEEETYEWISMRRAFGAVWDLANPPSGELKARFLVSSSAAAKWVESEKAIIPAEWKAGLTIETNIKLN >itb14g02120.t1 pep chromosome:ASM357664v1:14:1723978:1728279:1 gene:itb14g02120 transcript:itb14g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVADAVVGELVSRLAQVVEENASLIIGIKDQIDDLVSDLETFNAYLRQASKNQSAGDNDVLNDVVEKIRNVVIEAEDAIGKFTVQRKKYKDKGVLRYLETVAYYAKVNVSAREIQNIRDKVQKIRQDNSVALQALIDDPNRGQPIMQRMAPVVEEEDVVGFDNEAKIIKGRLLESSTDLTFISIKGMAGLGKTTLTKMVFKDRDLQFEFFTRLWVYVSRTFNRKQIFLDILSNFTKKTKEYHDMCDENLAERIREFLGGGKYFIVMDDVWSVRDWDCLKIAFPSNAKGSRVLVTTRHEKVALHVDSASNPHQLKFLNYEESWELLEKKVFRKEKCPHLLEAHGRQIALRCHGLPLAVVVIAGVLNKDSTHGHWKQVAENPIPLINQENQSYNELVKLSYNCLPYYSKDCFLYLAAFPIGHEIASWKLIRLWIAEGFIPLMEGGYTSDLERTAEKYLEDLVDRNLLMVLQRRADGQIKKCRIHDTLHEFCKKEAAIKNLFHEMEDRAKLDVNKIPRRLCVHSTILDFLKSDNKPSSEHVRSFLSYCSKEVEIPNECLAAIPKSFPLLRVMDVEYLKFKILPKELYSLYHLRFLAVSTDLKLLPKLFNNLWNMQTLVFNSSQNSLEVKADIWSMSKLRHVHSNSSMVLPPPPKSSKNSASVSTDIKTLSTISPSSCTGDIFDKTPDLQKLGIRGNLAELMDVKQGGVSLFDNLQKLDRLENLKLINDALQSNKLRSFPRAEKFPRRLRKMTLSNTAFEWKDLSALGSLDELEVLKLEDNAFRGEFCDVRSVVFKQLQYFRIERTDLVSWTASKDSFPVLKCLFLRNCTKLDSVPVEFGEIESLKLLELYCTNKGAVNSAQKIQKLKRGVNGDTKKGGFQLSVYPPEQ >itb01g08950.t4 pep chromosome:ASM357664v1:1:7197702:7205640:-1 gene:itb01g08950 transcript:itb01g08950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSKHRQYNQADSEENAQTAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQTGFDDAELKSYIPVIHANIYQTIKILHDGSKELAQSEDDSSKYVISDENKEIGEKLSELGGRLDYPLLTKDMAQEIEALWKDPAVQETYSRGNELQVPDCAHYFMENLHRFSEASYVPTKDDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMMESKELFEWILKQPCFEKTSFMLFLNKFDLFEKKVLKVPLNVCEWFKDYQPVSSGKQEIEHAYEFVKKKFEESYFQNTAPDCVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >itb01g08950.t3 pep chromosome:ASM357664v1:1:7197764:7205639:-1 gene:itb01g08950 transcript:itb01g08950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSKHRQYNQADSEENAQTAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQTGFDDAELKSYIPVIHANIYQTIKILHDGSKELAQSEDDSSKYVISDENKEIGEKLSELGGRLDYPLLTKDMAQEIEALWKDPAVQETYSRGNELQVPDCAHYFMENLHRFSEASYVPTKDDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMMESKELFEWILKQPCFEKTSFMLFLNKFDLFEKKVLKVPLNVCEWFKDYQPVSSGKQEIEHAYEFVKKKFEESYFQNTAPDCVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >itb01g08950.t2 pep chromosome:ASM357664v1:1:7197686:7205694:-1 gene:itb01g08950 transcript:itb01g08950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSKHRQYNQADSEENAQTAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQTGFDDAELKSYIPVIHANIYQTIKILHDGSKELAQSEDDSSKYVISDENKEIGEKLSELGGRLDYPLLTKDMAQEIEALWKDPAVQETYSRGNELQVPDCAHYFMENLHRFSEASYVPTKDDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMMESKELFEWILKQPCFEKTSFMLFLNKFDLFEKKVLKVPLNVCEWFKDYQPVSSGKQEIEHAYEFVKKKFEESYFQNTAPDCVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >itb01g08950.t1 pep chromosome:ASM357664v1:1:7197686:7205694:-1 gene:itb01g08950 transcript:itb01g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCSKHRQYNQADSEENAQTAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQTGFDDAELKSYIPVIHANIYQTIKILHDGSKELAQSEDDSSKYVISDENKEIGEKLSELGGRLDYPLLTKDMAQEIEALWKDPAVQETYSRGNELQVPDCAHYFMENLHRFSEASYVPTKDDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMMESKELFEWILKQPCFEKTSFMLFLNKFDLFEKKVLKVPLNVCEWFKDYQPVSSGKQEIEHAYEFVKKKFEESYFQNTAPDCVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >itb03g17570.t1 pep chromosome:ASM357664v1:3:16136385:16139780:-1 gene:itb03g17570 transcript:itb03g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVSKNASQEDLKKAYRKSAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCPKCKGKGSKSGASMKCSGCQGSGMKVSIRQLGPSMIQQMQHPCGECKGTGETINEKDRCGQCKGEKVVQEKKVLEVLVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQREHAKFKRKGDDLFVEHTLTLTEALCGFQFILTHLDNRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPESLSPDQCKALETVLPPRPTTGITEMELDECEETTLHDVNIEEEMRRKQQQQAQEAYEEDDDMHGGAQRVQCAQQSLIRFKCVSKHWLSLITNPRFSLLRNPNPNPAIGLFFHCRDFHINQEYDYIHFDTQSHTNPQFKKLRFTEENSICILQSCNGLLLCSDFVTSHWSKRSYYVYNPTTKHFSTLPNRAEASRVVRGMSLAFDPARSPHYKVVCIRKSESARNHYQIEVYSSDTGPWRAVGDPFVSNVSFGNGVYWNGMIFWISPGDNFFNFTILCFDVDLETRGEMPVPPARQGGYVGFFGESCGHLHLIATYEPEGKIDVYEMKGDCSEWFVKYKVDVGQVSVAFPGMIRENHSPGDWGYYAFAILSLVGKREDDDCFLVLEIPGKAIRYNLVNGSVDMLCEFEGAEEQSLRYLNLGFEYIESLCCV >itb15g18440.t1 pep chromosome:ASM357664v1:15:20096706:20118343:1 gene:itb15g18440 transcript:itb15g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPFPSSWRCPECVSPLNDIEKILDCETRPTIADDSDASKLGSKQIFVKQYLVKWKGFSYLHSSWVPENEFVKAYKTLPRLKTKVNNFHRQMSSMTDTEDYVAIRSEWTTVDRILACRGDGEDKEYYVKWKELQYDECSWELESDICSFQQEIERFNKIQSRRKQKSSPQDTTESKKKQKEFQQYECSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENVSPHLVVAPLSTLRNWEREFALWAPQMNVVMYVGSSNARTVIRDYEFYFPKNHKKSKKKKSGQVLGESKQDRIKFDVLLTSYEMINLDTASLKPIKWESMVVDEGHRLKNKDSKLFSSLQQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHRMLAPHLLRRVKKDVMKELPPKKELILRVELSTMQKEYYKAILTRNYQILTRKGGAQISLINVVMELRKLCCHPFMLEGVEPEDNKEFHKQLLESSGKLQLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCNFRKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLILRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADDNDETGKSRQIHYDDAAIDRLLNREQAGDEETVLDEEEEDTFLKAFKVANFKYIDEEAAKAEEEVPTTPLEDKTATNNSEKANFWEELLRDRYEVHKVEEFNTMGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNYEADSTDGETASTGAAAIKKPIRKRARVDPSEPLPLMEGEGRSFRVLGFNQNQRTAFVQILMRFGVGEFDWAEFTPRLKQKTYEEIKNYGRLFLSHIAEELTDSPTFSDGVPKEGLRIPDVLVRIAELLLVRDKVKALSEKPGCPLFTEDILSRFPGLKGGRLWKEEHDLLLLRAVLKHGYGKWQSIVDDKELKIQEVICKELNIPMITLPVPGASQQQVITPGTSQPQVPGSGVSQAQVPLSGVSQAQVPLSGVSQAQVPLSGVSQTQVPVSGVSEAQVPLLGVSQAPNGENTSNAGSTINQVQGRSGGNDLGAEVGATVTGSSDPSSRTQLFQDSTFLYHFREIQRRLVEFVKKRVLLLEKALNAEYTKEAYGDERSSELPSDETEFEPKVLDAPSRNVDSDNEVFDHLPKIIGISPQEASEAACDTKPDRLGVAQLYNEMCKVLSENVEDSIAEYAANQTASAGMKKNLHLFQNISHEMNQILSSSQQTSPNLERFICPEDTKPEAGESNLSSIPQGDNLVAAGGEENDDKVVEDSDPQSESSDSEANLGVEGSNADDKVAVAETPPTETIVVCDTDNQDDNTGANSDTSTQGRPEKGSSMDLD >itb07g05440.t1 pep chromosome:ASM357664v1:7:3742043:3742504:1 gene:itb07g05440 transcript:itb07g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVIRPPFLLAFIFILCSAAVAQTHWKAVRPVLGSRCASQITLVNHACSFLMLSSTPPPLPPRGPLSPPAPAEMPLGRHRRGNLRRHRGHHHSPEEEICCQWMKEVDNRCVCDMFVNLPPFLARPLHQYTVMVGESCFQTFQCGSVIRSHND >itb03g29160.t1 pep chromosome:ASM357664v1:3:29931532:29933988:-1 gene:itb03g29160 transcript:itb03g29160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNSFNLLRHGASAAAPRCRAAAPETRSKWLRLRLNGDGYEGMKLIGARYCSSAEDGKGKGISAEEAKRLLRLVNVEALKNELDMENKEVIVYSELLKACETMGVAKSRDEAVAFSRVLDEAGVILLFRDKVYLHPDKVVDLVRKAVPIALLPEDDPSTDELKTLQERKEEIDRLAHRQVRRILWAGLGAAIMQVGLFFRLTFWEFSWDVMEPIAFFTTTSGIVIGYAYFLFTSRDPTYQDILKRLFLSRRRKLIKKKNFDTERFVELQKKCKLPLHGSTSINRKIGVDLEPEEILHGH >itb12g19480.t1 pep chromosome:ASM357664v1:12:21874704:21878332:1 gene:itb12g19480 transcript:itb12g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLLGTEGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANNADFANFLSWYSQAGTPLVEATSSYNAEARTYTLKFSQDVPPTPGQPVKEPMFIPIAVGLLDSSGKEIPLSSVCHGGKLESIGGGQPVYTTVLRLTKKEEEFVFNDIFERPTPSILRGFSAPIRLETDLTDEDLFFLLAHDSDEFNRWEAGQVLARELMLNLVADFQQNKPLVLNPQFLQGIKSILNDSSLDKEFIAKAITLPGEGEIMDMMAVADPDAVHAVRTFLRKQLASELKADLLRTVYENKSSEPYEFNHHNMARRSLKNIALGYLGSLGDPEITELILHEYKTATNMTEQFAALVAIDQQPGETRDDVLADFYVKWQHDFLVVNKWFSLQGVSAIPGNVENINKLLNHPAFDLRNPNKVYALIGGFCGCPINFHAKDGSGYKFLGELVVQLDKLNPQVASRMVSAFSRWKRYDETRQALAKAQLEMILSTEGLSENVFEIASKSLAA >itb12g19480.t2 pep chromosome:ASM357664v1:12:21874958:21878332:1 gene:itb12g19480 transcript:itb12g19480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLLGTEGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANNADFANFLSWYSQAGTPLVEATSSYNAEARTYTLKFSQDVPPTPGQPVKEPMFIPIAVGLLDSSGKEIPLSSVCHGGKLESIGGGQPVYTTVLRLTKKEEEFVFNDIFERPTPSILRGFSAPIRLETDLTDEDLFFLLAHDSDEFNRWEAGQVLARELMLNLVADFQQNKPLVLNPQFLQGIKSILNDSSLDKEFIAKAITLPGEGEIMDMMAVADPDAVHAVRTFLRKQLASELKADLLRTVYENKSSEPYEFNHHNMARRSLKNIALGYLGSLGDPEITELILHEYKTATNMTEQFAALVAIDQQPGETRDDVLADFYVKWQHDFLVVNKWFSLQGVSAIPGNVENINKLLNHPAFDLRNPNKVYALIGGFCGCPINFHAKDGSGYKFLGELVVQLDKLNPQVASRMVSAFSRWKRYDETRQALAKAQLEMILSTEGLSENVFEIASKSLAA >itb12g27440.t1 pep chromosome:ASM357664v1:12:27820736:27824150:-1 gene:itb12g27440 transcript:itb12g27440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVELICGFVAFRLLRRFFCDDDDLDGEAGHANALFSVAGQLEKLYGGKVFVGLQIPDADSGSRRSIDLVLVTQREVAVISVKNVSGSVHVDKDGSWVCTGRKMNKTECLPDPVAETKQLVPILESYLEQRGVLLPEGYLSSKVICPNPNFQTTHTDFFPSEVITYDQWIQLKPEHRSRLSGWIKGAFQSGKKDMQESMQEKLNFILSTAPMWDRLELKNNKYVLGEFLEFKGKKDDILALRNIKRSKVSQLAIQKTSICCFAHSKLQVLYSPRDYRGEGASASDWKEVCVLSSMEVLFQPQGSSKVRKYKLSSVTCMSLSA >itb04g25030.t4 pep chromosome:ASM357664v1:4:29690381:29695485:1 gene:itb04g25030 transcript:itb04g25030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESDVISPVEAVSKSLLDKPLNQLTDDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKALLEMPPDSDSGSYKKLYAPRSPSTSNNHESRVSKETDIDAEISESAEVMPFRGKNLEKTDLFGDLPAHPAGAVKDSAPSRSTESTCIPARQMAIFYCGKVNVYDDVPADKAHTLLHFAGSTLPVPPDSPYDGAVTAQHSVCHLQAANVKVCQEYAVPLSPAVQTGGLIIYEYKNTGMMSFCFETIFCGMHFLLFLLPNGYFLDFTT >itb04g25030.t3 pep chromosome:ASM357664v1:4:29690381:29695485:1 gene:itb04g25030 transcript:itb04g25030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESDVISPVEAVSKSLLDKPLNQLTDDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKALLEMPPDSDSGSYKKLYAPRSPSTSNNHESRVSKETDIDAEISESAEVMPFRGKNLEKTDLFGDLPAHPAGAVKDSAPSRSTESTCIPARQMAIFYCGKVNVYDDVPADKAHTLLHFAGSTLPVPPDSPYDGAVTAQHSVCHLQAANVKVCQEYAVPLSPAVQTGGLIIYEYKNTGMMSFCFETIFCGMHFLLFLLPNGYFLDFTT >itb04g25030.t2 pep chromosome:ASM357664v1:4:29690399:29695485:1 gene:itb04g25030 transcript:itb04g25030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESDVISPVEAVSKSLLDKPLNQLTDDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKALLEMPPDSDSGSYKKLYAPRSPSTSNNHESRVSKETDIDAEISESAEVMPFRGKNLEKTDLFGDLPAHPAGAVKDSAPSRSTESTCIPARQMAIFYCGKVNVYDDVPADKAHTLLHFAGSTLPVPPDSPYDGAVTAQHSVCHLQAANVKVCQEYAVPLSPAVQTVEGPTSRKASVQRYLEKRKDRFKSKSKVETTSTSSLDIYLNHQMGSHTSN >itb04g25030.t1 pep chromosome:ASM357664v1:4:29690381:29695397:1 gene:itb04g25030 transcript:itb04g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESDVISPVEAVSKSLLDKPLNQLTDDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKALLEMPPDSDSGSYKKLYAPRSPSTSNNHESRVSKETDIDAEISESAEVMPFRGKNLEKTDLFGDLPAHPAGAVKDSAPSRSTESTCIPARQMAIFYCGKVNVYDDVPADKAHTLLHFAGSTLPVPPDSPYDGAVTAQHSVCHLQAANVKVCQEYAVPLSPAVQTVEGPTSRKASVQRYLEKRKDR >itb11g00350.t1 pep chromosome:ASM357664v1:11:154757:157632:-1 gene:itb11g00350 transcript:itb11g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSLPSRGGRYPSSSSGSMGFRTSSSYSTQSSSTTSSKKSTASGKSSVKCAAQAVAGALIACFSPPDSKDSNFDDSNSFKAPSVSPGVGHERRRSSSSSLSRSNYENSNISTEVKEDGSGRYTMEEIYKATKNFSPSLKIGQGGFGMVYKGCLEDGTLVAVKRVKTNIYDKHLGAEFRNEVQTLAKIEHLNLVRFYGFLEQEDEKIIVVEYVSNGTLREHLDCLRGNVLDLATRLDIAIDVAHAITYLHMYTDHPIIHRDIKSSNILLTENCRAKVADFGFARLAADSETGATHVSTQVKGTAGYLDPEYLRTYQLSEKSDVYSFGILLVELVTGRQPIEPSRNINERITARWAMKKFSEGDATLTLDIRLERSAANIWATEQILGLALQCLAAHRHDRPAMKKCAETLWSIRKDYKES >itb14g17140.t2 pep chromosome:ASM357664v1:14:20473934:20475414:1 gene:itb14g17140 transcript:itb14g17140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKIGDYGGAGEEDRVMEWEEGLPSIDDLTPLSQALIPPELATAFRISPEPTRTMLDVNRASHSTFSSLRGGQSQTLSSSNNFNFKPFNEERNREQMIVEDDVDPTREGSDSRKTRRIESGGGAEEADSAVRNENCGDDPSAKTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLHESGGSGYGHGNGHSHGNGHSHNSNGHMAMPYPPQMMPMPMIGMAAHGHGHNGHVGLAVGNPNGGPSNGFHHQYGLMQQRDWSGNRYGAYHHVAPTDK >itb14g17140.t1 pep chromosome:ASM357664v1:14:20473934:20477672:1 gene:itb14g17140 transcript:itb14g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKIGDYGGAGEEDRVMEWEEGLPSIDDLTPLSQALIPPELATAFRISPEPTRTMLDVNRASHSTFSSLRGGQSQTLSSSNNFNFKPFNEERNREQMIVEDDVDPTREGSDSRKTRRIESGGGAEEADSAVRNENCGDDPSAKTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLHESGGSGYGHGNGHSHGNGHSHNSNGHMAMPYPPQMMPMPMIGMAAHGHGHNGHVGLAVGNPNGGPSNGFHHQYGLMQQRDWSGNRYGAYHHVAPTDK >itb11g12040.t1 pep chromosome:ASM357664v1:11:8931775:8933169:1 gene:itb11g12040 transcript:itb11g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNPKPAPLVCSQDMNEVQKVFDRFDSNHDGKISPEELSGVLEKLGSGVSPKEVCEIMEDIDTNKDGFINIQEFAGFCKSRDGDSADKELREAFELYDQDRNGLISAAELHLILTRLGEKCSVDDCAGMIKSFDTDGDGNVSFEEFRTMMTAMP >itb11g01350.t1 pep chromosome:ASM357664v1:11:628148:632115:-1 gene:itb11g01350 transcript:itb11g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFTFIFIITLTTLRQRCTAALPFVVFHGISDKCSNGGVSEFTQVLSNWSGSPGYCIEIGNGMWDSWLMPFTKQTELACEQVKSMSELSDGYNIIGLSQGNMVARGLIEFCDGGPPVKNLISLAGPHAGIASVPLCGSGVFCIMVDLLLDLAIYSDFVQDHLAPAGYIKIPTDIEAYREGCKFLPKLNNEVEMNSTYKKRFTSLENLVLIMFDDDKVLVPKETSWFGYFSDGSWSPLLSARETELYTEDWIGLRALDEAGKVKFINVTGSHLEISYGDMKKYILPYLVENASSWNVKDLDVLAKETLLLHSPK >itb02g18900.t1 pep chromosome:ASM357664v1:2:15579628:15582229:-1 gene:itb02g18900 transcript:itb02g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKSDFQPRPNFLTRRSSVMNLAAASTATSLLRLGRRLFSPTPSAPWAKILFCSSYLTGLNCSPTGIITHQFWHSMSTEKPASMDPKQEKPKPKPQPWLIVGLGNPGKRFNCTRHNVGFEMVDTIAEAEGISMSSVSFKALFGKGFIGEVPVILAKPQTFMNLSGESVGAIVSYYKIPLKQVLVIFDDMDLPFAKLRLLPKGGHGGHNGMRSVIDRLKGSRDFPRLRIGIGRPPGRMDPASYVLRPFNREERAELDFTLQNGLEAVRILVLEDFNKSATFVNSAKPLEQFS >itb02g18900.t2 pep chromosome:ASM357664v1:2:15579628:15582229:-1 gene:itb02g18900 transcript:itb02g18900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKSDFQPRPNFLTRRSSVMNLAAASTATSLLRLGRRLFSPTPSAPWAKILFCSSYLTGLNCSPTGIITHQFWHSMSTEKPASMDPKQEKPKPKPQPWLIVGLGNPGKRFNCTRHNVGFEMVDTIAEAEGISMSSVSFKALFGKGFIGEVPVILAKPQTFMNLSGESVGAIVSYYKIPLKQVLVIFDDMDLPFAKLRLLPKGGHGGHNGMRSVIDRLKGSRDFPRLRIGIGRPPGRMDPASYVLRPFNREERAEVRDQHLCH >itb11g08850.t1 pep chromosome:ASM357664v1:11:5794188:5799832:-1 gene:itb11g08850 transcript:itb11g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGNLLPPSNILSFFIFSFLSSSRAFFFSLPFSLQTLPCSPRKFISDHRLNRSAVEKMQHQRLKQQQQQALMQQALLQQQPLYHPGLIAAPPIEPIPSGNLPPGFDPSTCRSVYVGNIHPQVTEPLLQEVFSSTGLVEGCKLIRKEKSSYGFVHYYDRRFATLAILSLNGRHLFGQPIKVNWAYASNQREDTSSHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDVTGKWLGSRQIRCNWATKGATSNDDKQSSDARSVVELTNGSSDDGKEATNSEAPENNPQYTTVYVGNLAPEVTQLDLHRHFHTLGAGAIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNSPSLLCGKQIKCSWGSKPTPPGTASNPLPPPAPAPLPGLSATDLLAYERQLAMSKMGGVHALMHPQGQHPMKQVSMGMGAAGASQAAAIYDGGFQSVAAAQQLMYYQ >itb10g00090.t1 pep chromosome:ASM357664v1:10:60449:62924:1 gene:itb10g00090 transcript:itb10g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLSFFLWFEPPFPTGHSFGFPPFSSSGEEVFFLSSYYSSLSLSSKNISSVLFFNPFSSPLNLLIAMAIAPFLLESNLKWDHHLLQPPSPIRFLYGASSLSLSSSTVTVRRLHRPSSKFNLKCLFANSKSSTQEDHNSAKFKLLNNNNYNGHPFEFVARGIVNALKALRKPAVAALLVGEAWGTWI >itb01g14820.t1 pep chromosome:ASM357664v1:1:16963353:16965298:1 gene:itb01g14820 transcript:itb01g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLQNITTHIARPSCFFLSRRGVASKLFVGGLSFYTTEKGLSETFSQFGQVIEAKVVTDRVSDKSKGFGFVTYASQDEAETALKEMNGKPLNGRVIFVDYARPKTFGGGMPIARGPPELPPKQSVVEEGTEMPR >itb11g11890.t5 pep chromosome:ASM357664v1:11:8766994:8776778:-1 gene:itb11g11890 transcript:itb11g11890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRLLSVLTVWSMVAITFLPLKCYVCDWEICKKDQVEIITKSCMKNGSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAIEQRVRDILKRIGRDPGSISKASIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYSIAVGFYILLRAADRFAANYNKFPGQLDGEMDEDICRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb11g11890.t6 pep chromosome:ASM357664v1:11:8766994:8776778:-1 gene:itb11g11890 transcript:itb11g11890.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRLLSVLTVWSMVAITFLPLKCYVCDWEICKKDQVEIITKSCMKNGSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAIEQRVRDILKRIGRDPGSISKASIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYSEMDEDICRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb11g11890.t2 pep chromosome:ASM357664v1:11:8766962:8779315:-1 gene:itb11g11890 transcript:itb11g11890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDESNVGQSKARCVCSFLQELNDAVKARFIEEYPKELIETNPSFFSQFTLVVATQLSEDSMVKLDRICREANVILVFARSYGLTGLVRISVKEHTVIESKPDHFLGDLRLNNPWLELQRFAETIDLNTFDPVIHKHTPYVIILVKLAEEWVKSHDGKLPSTREEKKAFKDFIKSRMIAIDEDNYKEAMEASFKVFASRGISSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAIEQRVRDILKRIGRDPGSISKASIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYSIAVGFYILLRAADRFAANYNKFPGQLDGEMDEDICRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb11g11890.t1 pep chromosome:ASM357664v1:11:8766994:8779313:-1 gene:itb11g11890 transcript:itb11g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDESNVGQSKARCVCSFLQELNDAVKARFIEEYPKELIETNPSFFSQFTLVVATQLSEDSMVKLDRICREANVILVFARSYGLTGLVRISVKEHTVIESKPDHFLGDLRLNNPWLELQRFAETIDLNTFDPVIHKHTPYVIILVKLAEEWVKSHDGKLPSTREEKKAFKDFIKSRMIAIDEDNYKEAMEASFKVFASRGISSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAIEQRVRDILKRIGRDPGSISKASIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYRNLQEANPKVLPENIAVGFYILLRAADRFAANYNKFPGQLDGEMDEDICRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb11g11890.t4 pep chromosome:ASM357664v1:11:8766994:8776778:-1 gene:itb11g11890 transcript:itb11g11890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRLLSVLTVWSMVAITFLPLKCYVCDWEICKKDQVEIITKSCMKNGSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAIEQRVRDILKRIGRDPGSISKASIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYRNLQEANPKVLPENIAVGFYILLRAADRFAANYNKFPGQLDGEMDEDICRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb11g11890.t3 pep chromosome:ASM357664v1:11:8766994:8779313:-1 gene:itb11g11890 transcript:itb11g11890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDESNVGQSKARCVCSFLQELNDAVKARFIEEYPKELIETNPSFFSQFTLVVATQLSEDSMVKLDRICREANVILVFARSYGLTGLVRISVKEHTVIESKPDHFLGDLRLNNPWLELQRFAETIDLNTFDPVIHKHTPYVIILVKLAEEWVKSHDGKLPSTREEKKAFKDFIKSRMIAIDEDNYKEAMEASFKVFASRGISSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAIEQRVRDILKRIGRDPGSISKASIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYSEMDEDICRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb11g09430.t1 pep chromosome:ASM357664v1:11:6288801:6298941:1 gene:itb11g09430 transcript:itb11g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDECCSTQLIDGDGGFNVTGIENFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFHTNFREMDAFKGRSQTTKGIWMARCVGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDTVPKPEAHKETPLSEFFNVEVVALNSYEEKEELFLEQVANLRQRFFHSIAPGGLAGDRRAVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYASFTANEEWCQLDEAVQSHAVPGFGKKLSSMLGTCLTEYEAEVTFFDEGVRLAKRKQLEEKLLQLTLPAYQYMLGHIRSETLETFKKEFDIALNEGKGFAMAARGCTESSMAQFDERCADAVIDQANWDSSKTRDKLTRDIDGHIAVVRASKLAELTTLYETKLNDALFGPVESLLDGASDDTWPAIRKLLWRETEAAVSSLSAALSGFEMEEETKDNMLSKLKDYARGVVETKAKEEAGRVLIRMKDRFSTLFSRDSDSMPRLWTGKEDIRAITKTARSSSLKLLSVMAAIRLEEETDNIGNTLALALIESKSGGAANKSITSSDPLASSSWDEVPASKTLITPVQCKSLWRQFTTETEYTVTQAIAAQEASKRNNNWLPPPWAIAAMVILGFNEFMTLLRNPLYLGVIFVAYLLLKALWVQLDISGEFRNGALPGLLSLSTKFLPTVMNLLKKLAEEGQGQTNGEPQRNPILAAKSSRSDMSSTGSSEVTSENGDESTSPSFDRKVK >itb05g09320.t1 pep chromosome:ASM357664v1:5:13583432:13584259:-1 gene:itb05g09320 transcript:itb05g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPKQICSNAWMGIVAAIPIIQMGVRFHVRDGRTATFWKDSWAHENPIADNFPNHANTHTNDSLVNQYWDNTKGWKWEILPPLQAETKQRMELMTLQEGGGDDKLYWSKEATGKFSTSSAYSITIDCPSNKQERSWSNIWKLKIPSKYKTFLWTAMHNQIMGNEERKRRGFTMDDNCELCPGEKESTHHILRRCTRAKEVWKAAASIDQQQSWRYLDFNDWIAYNINRTPVDPDGGEWPERFAIAAWWIWRCGRIFNGNEETVQYKLRLLKEAE >itb13g16310.t1 pep chromosome:ASM357664v1:13:23275290:23284177:1 gene:itb13g16310 transcript:itb13g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLEEIKNETVDLEKIPIEEVFQQLKCSKEGLSSDEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQEAAILVPGDIVSIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVEKDHVLLFAARASRVENQDAIDAAMVGMLADPKEARAGITEVHFLPFNPVDKRTALTYIDAQGNWHRVSKGAPEQILSLCNAREDLKRKVHSVIDKYAERGLRSLAISRQEVPERSKESEGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIETADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLISLIWKYDFSAFMVLIIAILNDGTIMTISKDRVVPSPLPDSWKLKEIFATGVVLGGYLALMTVIFFWIMHDTDFFSDKFGVRSIRHSDEEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGMLLITAFFIAQLVATLIAVYANWGFARIQGCGWGWAGVIWLYSIVFYVPLDLMKFAIRYILSGKAWVSMLENKTAFTTKKDYGREEREAQWAHAQRTLHGLQPPEASNAFTHEKNSYRELSEIAEQAKRRAEMARLRELNTLKGHVESVVKLKGLDIETIQQHYTV >itb09g04270.t1 pep chromosome:ASM357664v1:9:2375660:2378670:1 gene:itb09g04270 transcript:itb09g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVFMDSPSISMEARKLSILLLLLLHLTHFSSVAVSSNIIRCPETEKDALLNFKQGFTNPSHLLSSWITEQDCCKWKGVECDNTTGHVTTLDLHSTSQADSLRGELRNSLLDLPYLRHLDLSHNDFHYIQIPDFIGSFKNLEYLNLSSANFRGTVPDHLGNLSHLQYLNLSGSGGNLRVNNHDWLSQLSSLKVIDLSWVNFGSWNSWLHAINMQPSLIKLNLSACRLYSLHIPPTLLFLNFTSLQILDLSHNYFGSLIPSWLFNLSSLATLSLKNSDIQGSIPKTFQTMTSLTVLDLSENSLRGELPSALPSLLKEVRFSGNSLNGSLAKNIMHLKHLVVLDVAGNYLDDTLTQGSLNFSDLKELDLSYNSIVLKMSQSWIPSFQLDVIALRSCQLGHHFPSWLRTQKTISSIDISYAGISDKVPDWFWDTSPAMEHMDLSFNQLRGEVPDLSRLSLSVVDLSGNNFQGPVPHFSSMMKGFILASNSFSGTVSPVCESLVYSNSLRFLDLSSNNLSGPLPDCWVNGTELIILDLGSNFLFGKIPQSLGNLQNLKILRLQNNNFFGELPSSLQKLRRLTVFDVGSNNLTGSILIWIGEFYTELIILFLRGNQFSGAIPPQLCILEYLTILDLSNNALTGTIPRCVNKFLIMAGVEGVPSFIFDQYTTHEKDAVKTIIVTISSEYNLFSSRIRPFTAIDLSGNFLSGEIPGELASLVQLRELNLSVNNLTGPIPPGINNLSSLEALDLSRNNLSCSIPPNMVQLSFLEVLNLSYNHLSGEIPKGQQFLTFDNSSYIGNRYLCGPPLTTECSTPLPEDPHCMNHNDPEIQHHASDWLDGAASFFISMGAGFIPGFWAFWGSLLLSKSWRYAYFRFLDNTANNIYVFIAIKLRNWKERKQTNAE >itb13g04110.t1 pep chromosome:ASM357664v1:13:4541437:4544816:1 gene:itb13g04110 transcript:itb13g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVLPQAVFMVPRNPTQWAPKKLASSAFLRAGQFNSPAIRLSRNSSCSFRTANLKRFVVRAEAEEGAAEEPAPEPEAGVETESEAEAEVATEEKPPRKPRIKLGEIMGILNKRAIEASDEQRPTPDLRPGDIVEIKLEVPENRRRLSVYKGIIISKQNAGIHTTIRIRRIIAGIGVEIVFPVYSPNVKEIRVVSHRKVRRAKLYYLRDKLPRLSTFK >itb01g35570.t1 pep chromosome:ASM357664v1:1:37707468:37713481:1 gene:itb01g35570 transcript:itb01g35570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIESSIAETPSVAYSLLFKAVVSIPTQHYLLGLAFLCAVFLYNFLEFHFLEDLLSGFRGSPVSLTYNPCSEFYQGVVSKCKIIHGRYLATPWLSSPHFQTSFINFFGRPPVFRYRRQMFNASDGGTFALDWVLNSDVSGNATDKNIGISKDDTTPIVVVIPGLTSDSASPYLKHLAFNTAKCGWNVVVSNHRGLGGVSVTSDAFYNAGWTEDIRTVLKYLHKEYPKAPIFVVGTSIGANILVKYLGEDGDNVPVAGAVAVCSPWDLLIGSRFISRKLVQRLYDRALTIGLQGYAQMHEQLYSRLANWEGIIKSRTLRDFDAHATCHVGKFETVDSYYRHCSSAPLVGLVSVPLLCISTLDDPVCTAEAIPWDECRANKNIVLAVTKHGGHLAFFEGITASGLWWVRAVNEFIGVLHSSPLMHKSKQVESSEGLHSPSSIDQGPYISMADGMVAAMNSEQPTFENITEETQQTNIKAPDAETSDDISSKTASKDSSFLKRCLHYLGQQSKSRKSIWLLVYVALVSGSALSFLFKRRTKKILAAKPPQK >itb13g21820.t1 pep chromosome:ASM357664v1:13:28338620:28339968:1 gene:itb13g21820 transcript:itb13g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSDRGSESEDMSSQTPTPERETNTQIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKRRRALLGLNKDDKKSKKSAAGAGAAAVTGGGSNKNSSGSSSDSGSSSGGRSGGISPAAGGRRFLPFGREVALQKPRSQQARRKMGEVEKAAFLLMALSCGSVFA >itb13g21820.t2 pep chromosome:ASM357664v1:13:28338620:28339968:1 gene:itb13g21820 transcript:itb13g21820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTPTPERETNTQIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKRRRALLGLNKDDKKSKKSAAGAGAAAVTGGGSNKNSSGSSSDSGSSSGGRSGGISPAAGGRRFLPFGREVALQKPRSQQARRKMGEVEKAAFLLMALSCGSVFA >itb13g21050.t1 pep chromosome:ASM357664v1:13:27717424:27718593:1 gene:itb13g21050 transcript:itb13g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQEVEELVELCDFALSLYETQHPGEYWKFERGYGGKRWSTLTDQYFLEFFGKKADCNSLRNFVVLAICSDFVGCEKFKVDQCVLVKDDEVEPMDENIKERVSMENGRIFLFSHKELQEHYKKFVEFCKSALTYYQERHVGEAYEFVEIQTARHSIIRGIFIFHAKKKADATLATFKTYTHPLVLRMDIDVVGSFEQYFSGY >itb03g29560.t1 pep chromosome:ASM357664v1:3:30498046:30503011:1 gene:itb03g29560 transcript:itb03g29560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLITVGSSDAMESVEGNDSLYTLISQATGKEPIILGDKPIQWIQLLHALEQPDLPYWPMVTPVKVQMHKCEKCSQEFFSSINYRRHIRLHRRALNFDKESRKYRDFLGAFWNKLSLEEVKEVASLDDISLKEIPGTLLVKTLTESLQTSSAWMWSLPTVYMKAGATLMDIIEAKPSRLPISSQELFSVLDDASEGTFLCAGTADSMQKYLFDDEVIKVCLELRNLAACICFAFEQKLVKAWIADKEAEALRCQKLLVEEEEAAQRRQTELLEKKRQKKLRQKELRAKDQMSEEKASLGGTADSSENALVAEISSPPTLFDSDSNTLDVAEDVSGLQNDCEVMMIGSISVPVKNCPIHTRRTNLAKAHDQSGCDLSLGSKTSVPSDDWVHVTRRGKGPKGRQDNEERASVEVGDQNLSCAMSVQPYAPDGKTHSHQLPFSSGTVKTFLIKRWNEVVSADHVKLVISAVPKSQGSPSTQSSLKKSAPPPSAPKNMAH >itb03g29560.t2 pep chromosome:ASM357664v1:3:30498046:30503011:1 gene:itb03g29560 transcript:itb03g29560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLITVGSSDAMESVEGNDSLYTLISQATGKEPIILGDKPIQWIQLLHALEQPDLPYWPMVTPVKVQMHKYLPYWPMVTPVKVQMHKCEKCSQEFFSSINYRRHIRLHRRALNFDKESRKYRDFLGAFWNKLSLEEVKEVASLDDISLKEIPGTLLVKTLTESLQTSSAWMWSLPTVYMKAGATLMDIIEAKPSRLPISSQELFSVLDDASEGTFLCAGTADSMQKYLFDDEVIKVCLELRNLAACICFAFEQKLVKAWIADKEAEALRCQKLLVEEEEAAQRRQTELLEKKRQKKLRQKELRAKDQMSEEKASLGGTADSSENALVAEISSPPTLFDSDSNTLDVAEDVSGLQNDCEVMMIGSISVPVKNCPIHTRRTNLAKAHDQSGCDLSLGSKTSVPSDDWVHVTRRGKGPKGRQDNEERASVEVGDQNLSCAMSVQPYAPDGKTHSHQLPFSSGTVKTFLIKRWNEVVSADHVKLVISAVPKSQGSPSTQSSLKKSAPPPSAPKNMAH >itb05g20260.t1 pep chromosome:ASM357664v1:5:26428422:26432327:-1 gene:itb05g20260 transcript:itb05g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MYLKTPFWRDGVNTGTQNDSESPVAELVNSLDRQRLYREITLALRTGLNDARAEFSFLRVRALNAILNFLRSVAESDATINLFCHSQSIPELQVVPVLFKHSLREHEDQNVASLDHIFTVEPMEITSPSTDAEVALALRVLEGCCLLHQESTILAHQYKAIPVLMNILSNRGVLEQGACLDALISILLDSSTNQMDFEACNGIEEVALLIRDKQVDENLRLKCGEFLLLLIGHVNGRDKLPMATIHEDVRRFLGEKSASLIWAASQFGSTLDPEQRLTALHIQARRVLESIDLY >itb11g11770.t1 pep chromosome:ASM357664v1:11:8654825:8659383:1 gene:itb11g11770 transcript:itb11g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSQLPVDGDGVCMLCKGKPAKEETLTCTTCVTPWHVACLAVLPESLASCDSFECPDCAGTGITGAPAPVSAESGDLVAKIRSIEADESLTEKEKARRRQELLSGKADQKEKEKEEEGGFDVLSVLGESIKCSFCMQLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRRKFPPEMVNQPRINSTLVAAIRMAKVSRTIATGVPQSVYHYICNQDRPDNPFTTDRAKKNGMANASSGRTFVTVPKDHFGPIPAENDPERNQGVLVGESWKFRVECCQWGIHRPPVAGIAGQSKYGAQSVVLSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKNQSFDQTFDNNNQALLVSCEKGYPVRVVRSEKEKRSSYAPEKYLRYDGVYRIEKCWRKIGKQGFKMCRYLFVRCDNEPAPWTSDEHGDRTRPLPDIPELKKALDVFERTESPSWDYDEAEGRWRWKKPPPPSKQKQVVEGNPEDRKRARKAIKRAQHLSLKERLLKGFSCLLCGNVLNVPLTTPCAHNFCKACLDGAFEGQKFTRERGCQNGRKLRSQKNIMKCPVCSVDISEFLQNPQVNRELMDAIEKLQSTANQEDNDTECSSDEEANATEMGPTKTSPESSGIEMPTAGAEDMHANASEENSKNATEEKPEKTNKRRKTEAGESSNSVDNDVADKVNSNESCNGNNLKVAEDNGHGNGDNLQAASEGSGKPCNGNNLQVEEENGHGNGANLQVASEGNEKPKAGRRGRPKGSTNKKSH >itb03g28170.t1 pep chromosome:ASM357664v1:3:28840122:28842363:1 gene:itb03g28170 transcript:itb03g28170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLECQQWFQFILQPLAWARRSSEQPSTITNSRISPLLPILPFVAVDREPEAVAADREPEAIAAIAVHREPSEVLPVPGRICRAARVGSADCYSCSNSDFSLAD >itb03g29230.t1 pep chromosome:ASM357664v1:3:30065811:30067526:1 gene:itb03g29230 transcript:itb03g29230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKAKPHKAKGEKKKKEEKVLPIVIEISVETPESQVTLKKRGKGSGWHVCLPTLYVTKNFQVMQELDHCTNGHTVEWHLYRIYPFLFTSSYMAIVVFLCAMNLSALPSLLPYYPQILAEVPKIEHLLMHDALGPMSFTTLLM >itb08g00760.t1 pep chromosome:ASM357664v1:8:569142:570087:-1 gene:itb08g00760 transcript:itb08g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEKASKAYIDTVKSCELFHESSVAELISAMAAGWNAQLIVEAWSKGGVTATSVGLAVATRHAGGRHVCILPDQESREEYAEAMQKAGMSPEIIVGEPEEAMEGLSGIDFLVVDCRRNDFSRLLRVAKLGHGGAVLICKNASSRVAADFRWRSVLDGKSRIVRSVFLPVGKGLDIAHVGATGNGGRGGGRKGESRWIRHFDKESGEEFLFRK >itb03g17550.t1 pep chromosome:ASM357664v1:3:16131698:16133285:-1 gene:itb03g17550 transcript:itb03g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVVSPLINLVVRVFTIILIFASLIVLISIDNISYRRLGFCYYDYIHQIKFTDIFAYRYMLSVMVIGLVWVLIQFVFTIYHVSSGNPGGNAFARIEFYGDKVISYLLGTGTAAGFGLTVDAKRYTTLDSLGIDFYNRSELILLLSFSLLPSCCLPFPLYFLPIISPRRLPPLNASLPSGK >itb10g21910.t1 pep chromosome:ASM357664v1:10:26935627:26943776:1 gene:itb10g21910 transcript:itb10g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDRTKIVLRHLPPALPRSTLSEQIDSRFSGRYNWLYFRPGKTSQKHQTYSRAYINFKTPEDVVEFAEFFNGHVFVNEKGSQFKTIVEYAPLQRAPRQWSKKDGREGSILKDPEFLEFLEFVGKPVEYLPSAEIQLERKEAERSGAAKDAPIVTPLMHFVRQKRAAKSGARRVSNGKSTRRVGGASTGSPSSSASKRGSEKRRNSTKMYVQRDSSKVEGSKDRAYILVRKHDDKQLVDKSGTSASAVSEESGVSVSADSGKKKILLLKGKEKEIPDVSLGASLQQNVASHFKNSLTSSAPKTNQRREASGRIIRSILLKDARQNQSSSTAQSEQQVPEREKRPPRPPSVQLSQRDCNGLPEDKFAGNDSLNVHVDKMEKRTRSRDRPDRGVWTPLRRPDNSHASDEYLSSSTSQSTQAQDSAEGSLVEVKNDGLSARGGEFKPTGSGRSSHSSVDNGTYRYSGRRGTAHNTKDADGPSFGEGRPSRRGGPGYGTHEKQVWVQKSSSGS >itb10g21910.t2 pep chromosome:ASM357664v1:10:26935627:26943776:1 gene:itb10g21910 transcript:itb10g21910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDRTKIVLRHLPPALPRSTLSEQIDSRFSGRYNWLYFRPGKTSQKHQTYSRAYINFKTPEDVVEFAEFFNGHVFVNEKGSQFKTIVEYAPLQRAPRQWSKKDGREGSILKDPEFLEFLEFVGKPVEYLPSAEIQLERKEAERSGAAKDAPIVTPLMHFVRQKRAAKSGARRVSNGKSTRRVGGASTGSPSSSASKRGSEKRRNSTKMYVQRDSSKVEGSKDRAYILVRKHDDKQLVDKSGTSASAVSEESGVSVSADSGKKKILLLKGKEKEIPDVSLGASLQQNVASHFKNSLTSSAPKTNQRREASGRIIRSILLKDARQNQSSSTAQSEQQVPEREKRPPRPPSVQLSQRDCNGLPEDKFAGNDSLNVHVDKMEKRTRSRDRPDRGVWTPLRRPDNSHASDEYLSSSTSQSTQAQDSAEGSLVEVKNDGLSARGGEFKPTGSGRSSHSSVDNGTYRYSGRRGTAHNTKDADGPSFGEGRPSRRGGPGYGTHEKQVWVQKSSSGS >itb10g21910.t3 pep chromosome:ASM357664v1:10:26935698:26942150:1 gene:itb10g21910 transcript:itb10g21910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDRTKIVLRHLPPALPRSTLSEQIDSRFSGRYNWLYFRPGKTSQKHQTYSRAYINFKTPEDVVEFAEFFNGHVFVNEKGSQFKTIVEYAPLQRAPRQWSKKDGREGSILKDPEFLEFLEFVGKPVEYLPSAEIQLERKEAERSGAAKDAPIVTPLMHFVRQKRAAKSGARRVSNGKSTRRVGGASTGSPSSSASKRGSEKRRNSTKMYVQRDSSKVEGSKDRAYILVRKHDDKQLVDKSGTSASAVSEESGVSVSADSGKKKILLLKGKEKEIPDVSLGASLQQNVASHFKNSLTSSAPKTNQRREASGRIIRSILLKDARQNQSSSTAQSEQQVPEREKRPPRPPSVQLSQRDCNGLPEDKFAGNDSLNVHVDKMEKRTRSRDRPDRGVWTPLRRPDNSHASDEYLSSSTSQSTQAQDSAEGSLVEVKNDGLSARGGEFKPTGSGRSSHSSVDNG >itb10g24700.t1 pep chromosome:ASM357664v1:10:28370765:28372511:-1 gene:itb10g24700 transcript:itb10g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKNKGLTLLLSERSMHSGGHVRSRSCALPHFHHFDFPAGNKDDSSSPSSGNNSLTSSPSRSFNNSNGNFSGGSPNSDEFSPGGNFSVGSPYVRSPWVHHRRVRSPRDETNENLTNSRKTRNGLIGSLVREEGHVYSLAAAGELLYTGSDSKNIRVWKNSKEYAGFKSSSGLVKAIVVFGDKIFTGHQDGKIRIWKFLGDEKKAYKRHGSLPTTKDYFKTAINPKAYVEVRPKKNVPWLKHYDAVSCMSLDEERGLLYSGSWDKTLKVWRLSDSKCVESIMAHDDAINAVAVGFDGLVFTGSADGTVKAWRREMTGPSGPTTKHVLVDVLLKQDNAVTSLAVNARALTVYGGSSDGLVNFWELEKGVMVFGGVLRGHKLAVLCLAAAGNLVLSGSADKSICVWRRDDGGVHTCVSVLTGHSGPVKCLAVEEDHGGDGDYSYDDDQPLKKGDRRWRVYSGSLDKSVKIWRVPEHAPDY >itb13g21020.t1 pep chromosome:ASM357664v1:13:27697945:27701660:1 gene:itb13g21020 transcript:itb13g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGGGTTQVAYGDKWYWEKRYAQEPGSYDWYQTYHSLAPLLRLYVHPHHRVLVVGCGNSAFSEGMVDDGYAAVVNVDISSVVIEAMQKKYSNRPQLKYMNMDVRDMSAFEAGSFDAIIDKGTLDAILCGNDSMINAAQMLAEVCRVLKDEGKYILITYGAPVQRLHLLRDSCSLTVKLHVIDKVQSTGSSHQQKWELTSPIASDKDVSSVESVLGPNPGVHYIYVCVKDNTLESRHKAQINY >itb10g03810.t2 pep chromosome:ASM357664v1:10:3560838:3563563:1 gene:itb10g03810 transcript:itb10g03810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAKAVSLPVPSVQQLAKENPAVVPSRYIRQDIKSPAPEKPPCSPTDVPVIDMQKLVSEEFSGEESPELQKLHCACKDWGFFQLINHGVRSSVVEKAKREVQEFFNLPLEEKENKYGIAEGEKEGFGQHFIVSQEQKLDWADMFYIKTLPTHIRSPKLFPKLPQPFRDTIEEYSVEVHKVAMKVLNLLAKKLGIKAEEMRMLFEEGMQSMRMNYYPPCPHPELVMGLSPHSDPGGLTILLQANETKGLEIKKYGVWIPIVPIPNAFIVNVGDSVEIFTNGIYRSIEHRGVVSPDKERVSIATFHSPKMNAELGPATSLITPQTPANFKRINVADFYRLFFKCKLDGKSRIERLRIANDYGGKS >itb10g03810.t1 pep chromosome:ASM357664v1:10:3560838:3564328:1 gene:itb10g03810 transcript:itb10g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAKAVSLPVPSVQQLAKENPAVVPSRYIRQDIKSPAPEKPPCSPTDVPVIDMQKLVSEEFSGEESPELQKLHCACKDWGFFQLINHGVRSSVVEKAKREVQEFFNLPLEEKENKYGIAEGEKEGFGQHFIVSQEQKLDWADMFYIKTLPTHIRSPKLFPKLPQPFRDTIEEYSVEVHKVAMKVLNLLAKKLGIKAEEMRMLFEEGMQSMRMNYYPPCPHPELVMGLSPHSDPGGLTILLQANETKGLEIKKYGVWIPIVPIPNAFIVNVGDSVEIFTNGIYRSIEHRGVVSPDKERVSIATFHSPKMNAELGPATSLITPQTPANFKRINVADFYRLFFKCKLDGKSRIERLRIANDYGGFVLKNSVYIARS >itb12g20550.t1 pep chromosome:ASM357664v1:12:23026022:23029087:1 gene:itb12g20550 transcript:itb12g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLIECHKFDCFLGEKYQTALNRLIQEVLDCPCDPNSEKFSSLSSNFRELLLSKPNPPLETIWFYSGLDFRSSSSTENVRPSNWFASIKDLFQLIVARSASFNSWKNIVLIAPVIYELFRFMVDFKGLEMKPKKKKKLVEEVRDLVGSILGYVNVCCEGLGSNFDDMEGLIKPVGDLVDVWIGDKGKGKGDGNASLREFFPLLSHQAVGRISGGELELSELAGFVIAEAFLLKLCFKFNEGGSKKELQSEMRSWIVCSISRLQNPFFYGTLLMMLLEPSLPTASLLTSEGEMLLRELLFDALILVDYSFLEPKRMTELPDMFVRGISMARLIVTTEAIQLYRKRGNHKKAVSYSSAFSSSSLPSQIIKLVRNEIDKEGNTNEPNSSSPAALLRWILNIESHGARIFDENMSKICAKLVIDDANEDTKQPVRKSSSKNSDEDLLFYIDNKGEEDKSDEEDNKNDDDDEEMKESMSAAFVAAARTMQSAEPKQKKRKGREAERKKVKFLKHNLYNDSQLSGGKSTIVQKDDSESGSEVENPSSDDD >itb11g11500.t2 pep chromosome:ASM357664v1:11:8422720:8426875:-1 gene:itb11g11500 transcript:itb11g11500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSGQVVCVTGAGGFIASWLVKLLLQKGYTVRGTVRNPDDEKNGHLKQLEGASERLTLWKADLLDYQSLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIAAAAEAGVRRVVFTSSIGAVYMDPNRGPDEVVDETCWSDLEFCKNTKNWYCYGKMVAEKKAWEEAKERGVDLVVINPVLVLGPLLQTTVNASSLHILKYLTGSAKTYANSVQAYVHVKDVALAHILLFETPSASGRYICAENVLHRGEVVEILAKFFPEYPIPTK >itb11g11500.t1 pep chromosome:ASM357664v1:11:8421755:8426875:-1 gene:itb11g11500 transcript:itb11g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSGQVVCVTGAGGFIASWLVKLLLQKGYTVRGTVRNPDDEKNGHLKQLEGASERLTLWKADLLDYQSLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIAAAAEAGVRRVVFTSSIGAVYMDPNRGPDEVVDETCWSDLEFCKNTKNWYCYGKMVAEKKAWEEAKERGVDLVVINPVLVLGPLLQTTVNASSLHILKYLTGSAKTYANSVQAYVHVKDVALAHILLFETPSASGRYICAENVLHRGEVVEILAKFFPEYPIPTKCPDETKPRAKPYKFSNQKLKDLGLEFTPTKQALYDTVKSLQEKGHIPLPTQNDGSIRLQS >itb10g20520.t1 pep chromosome:ASM357664v1:10:26069735:26073187:1 gene:itb10g20520 transcript:itb10g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWDDDAPIPDLKKGQPPSKWDDEDVDDNDVKDSWEDEDDEPAPAPKPEPPAEKAPKKPAAKATETKGKVVKVAQEEPLDPVAEKLRQQRLVEEADYKSTTELFAKKGDEKTLENFIPKSESDFFEYAELISHKLRPYEKSYFYMGLLKEVMRLSMTTLKGQDAKEVASSITAIANEKIKAEKDANAGKKKGGKKKQLHVDRADDDAVVNAYDGYDDDYDFM >itb01g02460.t2 pep chromosome:ASM357664v1:1:1599855:1602563:-1 gene:itb01g02460 transcript:itb01g02460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYHVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDKSNEKEPKRKPGVVYLEV >itb01g02460.t1 pep chromosome:ASM357664v1:1:1599806:1602563:-1 gene:itb01g02460 transcript:itb01g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYHVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDKSNEKEPKRKPGVVYLEV >itb01g02460.t3 pep chromosome:ASM357664v1:1:1599806:1602478:-1 gene:itb01g02460 transcript:itb01g02460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYHVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDKSNEKEPKRKPGVVYLEV >itb01g02460.t4 pep chromosome:ASM357664v1:1:1599806:1602552:-1 gene:itb01g02460 transcript:itb01g02460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYHVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDKSNEKEPKRKPGVVYLEV >itb09g29250.t1 pep chromosome:ASM357664v1:9:29958380:29962412:-1 gene:itb09g29250 transcript:itb09g29250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDSQNLSIRDYGDQDDEEFSVSRGSSLERSEEAFVVQARLASGSSTTMGILSWFKGGNKQASSSNSNSKPAPNPKPQISSQGQEAPGMNGAVEVSRPLDITVFEFGSVAASVDKVTLAGYCPVSEELEPCRWEILPASGSDAPQFRVVF >itb13g04210.t1 pep chromosome:ASM357664v1:13:4713756:4714895:1 gene:itb13g04210 transcript:itb13g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEENDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLRIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHTAKYDNSWKLHGVKSEVIDEPP >itb03g21400.t1 pep chromosome:ASM357664v1:3:19258702:19258971:1 gene:itb03g21400 transcript:itb03g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSMPPSPPFQPTTTSRFTSAFGRFVVPRGPPMYSLVIVDILFTFTSTAYILFHLGDYGACCVVDLSAAALSTNLLIHYGHNCLVPTD >itb09g09940.t1 pep chromosome:ASM357664v1:9:6101618:6104796:1 gene:itb09g09940 transcript:itb09g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGIIPCLRMFLLLAFLFPAFVHCRVRHYTFNVLMKNTTRLCSSKPIVSVNGKFPGPTIYAREDDTVLIRVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIRPGHSYMYNFTITGQRGTLFWHAHVLWLRSTVHGALVILPKLGVPYPFPKPDHEAVVVLAEWWKSDTEAVINEAIKSGLAPNVSDAHTINGHPGPVTNCPSQGGYKLSVDPGKSYMLRVINAALNEELFFKIAGHQMTVVEVDATYVKPFKTNTIMIAPGQTTNVIVTANQGSGKYMVAASPFMDAPIAVDNQTATATLHYSGALSGLRTVLTSTPPKNATPVANNFVDALRSLNSNQYPARVPQTVDHSLFFTVGLGLNPCPSCKPANGSRVVASVNNVTFVMPTVALLQAHFFNLKGVFTTDFPGNPPFAFNYTGAGPANLQTSTGTKVYRLPYNATVQLVLQDTGIIAPENHPIHLHGFNFFAVGRGIGNFNPKTDPANFNLVDPVERNTIGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPKDLPKC >itb06g19740.t1 pep chromosome:ASM357664v1:6:22947001:22950643:1 gene:itb06g19740 transcript:itb06g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSVFFCVVVFLFSNPVESKAAPLKQQNDGVYIVYMGAAAPSNNGAMRKDQAQLVTSLIKRKRNALVYSYTNGFSGFSARLTAEEARSIAQKPGVVSVFPDPILQLHTTQSWDFLDSFSNKKISTAIRPGSAPDQPSSSGEADIIIGIMDTGIWPESASFNDNGMGPIPNRWKGKCEKGDDFNSSNCNRKIIGARYYPDSDDQGGQLSDSGSARDHNGHGTHVASTAAGSLVDDASYYGLATGTAKGGSPSSRIAMYQVCRSFGCPGSAILKGFDDAIKDGVDVLSLSLGGSGGFKPDFTTDVIALGAFHAVEKGIVVVCSAGNSGPTPSSVVNEAPWIFTVAASTIDRDFQSQVVLGDKTVIKGGGIHFSNLTKTPVYPLATGESVKLDNGTRSVDARDCVPQSLDPAKAKGKIILCETHDTDYGNDDRKEEVKSAGGIGIILIDTEGRFIAPKFDTFPGSIIIENEGNQVYNYINSTRNPVATILPTVTITGNKPAPVVISFSARGPSLASTNILKPDICAPGVDILAAWPTEANLNRPIPGKNPPGYYIISGTSMSCPHTSGIVATVKAQNPKFSASAIRSAIMTTGTIRNTDKQSECTNNYIS >itb06g19740.t2 pep chromosome:ASM357664v1:6:22947001:22950643:1 gene:itb06g19740 transcript:itb06g19740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSVFFCVVVFLFSNPVESKAAPLKQQNDGVYIVYMGAAAPSNNGAMRKDQAQLVTSLIKRKRNALVYSYTNGFSGFSARLTAEEARSIAQKPGVVSVFPDPILQLHTTQSWDFLDSFSNKKISTAIRPGSAPDQPSSSGEADIIIGIMDTGIWPESASFNDNGMGPIPNRWKGKCEKGDDFNSSNCNRKIIGARYYPDSDDQGGQLSDSGSARDHNGHGTHVASTAAGSLVDDASYYGLATGTAKGGSPSSRIAMYQVCRSFGCPGSAILKGFDDAIKDGVDVLSLSLGGSGGFKPDFTTDVIALGAFHAVEKGIVVVCSAGNSGPTPSSVVNEAPWIFTVAASTIDRDFQSQVVLGDKTVIKGGGIHFSNLTKTPVYPLATGESVKLDNGTRSVDARDCVPQSLDPAKAKGKIILCETHDTDYGNDDRKEEVKSAGGIGIILIDTEGRFIAPKFDTFPGSIIIENEGNQVYNYINSTRNPVATILPTVTITGNKPAPVVISFSARGPSLASTNILKPDICAPGVDILAAWPTEANLNRPIPGKNPPGYYIISGTSMSCPHTSGIVATVKAQNPKFSASAIRSAIMTTAIQTNNQNAPITTSAESIATPYDIGAGEANPTASIDPGLVYETETADYALFLCATGYNTSQIKLISKTIPKDFQCPDNLTEDAISSINYPSIAIFRPRDGEPPKTVTRTATNVGPEESVYTATIEAMTGIKATVTPNKLVFTKEKKKLTYKMTFTATPSIPQIDIFGSIIWTSGKYRVRSPIVIGTA >itb03g19500.t1 pep chromosome:ASM357664v1:3:17595234:17597962:-1 gene:itb03g19500 transcript:itb03g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCAESPHWLYKQGRLAEAETQFERVLGSSHVRTAILELSKLDRGDENETVQLSELLYGRHSRVVFIGSTLFALQQLSGINAVFYFSSTVFRRAGVSSNLANVFIGVANLTGSIVALILMDKLGRRVLLLWSFLGMAVSMALQVFASTIASNSAAFFLSVGGMLFFVLTFAIGAGPVPGLLLAEIFPSRIRAKAMAFCMSVHWVFNFLVGLLFLRLLERLGPQLLYAMFGGFCVMAVGFVKKNVMETKGKSLQEIEIALLPPE >itb14g17480.t1 pep chromosome:ASM357664v1:14:20716628:20718508:-1 gene:itb14g17480 transcript:itb14g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGGGGGGSGGYRPFAVNFQNPILPPFPPVIVPQRNDHQEISPFPKSFGSSSSSFHGGASSSNGLLPPDAAAASWLSHLPNLNNFGSRGGFPHGLVPAPAPASWVHHNPENAYPQETRREKEHERRPSNGVVFIKGQWTEEEDGLLIRLVNQYGDRRWSVIATKIVGRAGKQCRERWHNHLRPDIKKESWSEEEERLLVEVHEQLGNRWSEIAKQIPGRSENSIKNHWNATKRRQFSKRRLKSSLQDAGSSSGAGGRRKSVVLQNYIKYKYFSGLTLGPPGTLVAEHPSIQQQVNNGGSPAPAEEQDNRDSPSILTETYDEEMNFMQKLFGNSSSSSSTAANNGSRSNKSSAEFAAVERRTNEDSVATEEDSWSTYLASDPNLYEMGGADQIINSTPLAPAAANNTNSGAAGSHRGAPPAGTMNRWCSSAATAAAMDMDFMDFVSASSSSQYCSCPHGYGSFCTKTPRQMF >itb05g23540.t1 pep chromosome:ASM357664v1:5:28618136:28623599:1 gene:itb05g23540 transcript:itb05g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSEQNPTLTQIRELLREDNRDGFFDFVDYLFSEDESLSDPADSLYEAAMDEYPNALSNKLALAINISPDTQTRMRCSTLFSRFLAVKSPFLHQMINQRLKDFFLQLLQDEEEWEVLKIHCTCVSSIAARLLPKEDWPELLVLMLEWLSSPNSTLTRLATVVLLYELIPECPNTFSPYADPISLGFQRLMDEGCEDNTARIATVGAAAKFILYLATPSNYEGCEDNQYYGLLPGMISVLGGAVYDQDLACKALDAMTILAKAKPRFFTLVHMTKLAEAMLKIASHTNSNSNENMRQLAVNFFIAVGEGGDEGKGMIQSLPGNVIEKLLTQLLQMLMCVEDDDASWNEADVYDRDVGKMTMCCYAEDALSRLAIVLGGDVVVRNSPDLLPGFFKDADWKKRYAAVVSLGLVASGCSEMLVQYLEESVEKKMALVRDKHPRVRWAAIYAISEFSKYLSPYFQEQCHEQVIPALLQGMDDFGNPRVQTYAAWTMSNFCKNCCSNILKPHLKEIISKLLMLLQAGKTLLKETALSGLSSLADSTKDDFQPFYPMVMPYLKVILRKETSNFMLVAKTLECITSVAMAVGKAVSSTDVEKIVNVLRSIHKRDDMDGQVICYLLQAWGRICKSFGEDFRPYLNASMPLLIKSAEREEHLKDNLGNFKEKSIILKEMLWACNIISCFAVHIKGGLHLWIEEVLYVVIELVNFKFDEKVRVAAISVMPLLLRSAANAVENELPIPRFLDSPIIDLAKMIIPALGEALLAPTIKVQVQALVALNETMQIASTWAPDQKEIIDTILKVLSACFTRKKERENMAKYRLDVRKPEVVKEEIQEEQKFFREVRICVQILLKRLYARNLIPTVLYVERMWEKDITPEERRMALNIFSDIAELCREHGLRLYPEYIPLLFEDCNNTNPDIQQIAASAIGIYAEFDDREAFKEHIQDGLSSLEAIFQHPSEGGLDHSMAKDAAVCAYGKLCFFFCEEIDSYQNIDLWLFHLPLKCNFDEAKAAHGLLCSMVDNPETRVTGPEDSYISRIITIMAEVRSKSHHSFHSLHHYFCCIY >itb05g23540.t2 pep chromosome:ASM357664v1:5:28618136:28623599:1 gene:itb05g23540 transcript:itb05g23540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSEQNPTLTQIRELLREDNRDGFFDFVDYLFSEDESLSDPADSLYEAAMDEYPNALSNKLALAINISPDTQTRMRCSTLFSRFLAVKSPFLHQMINQRLKDFFLQLLQDEEEWEVLKIHCTCVSSIAARLLPKEDWPELLVLMLEWLSSPNSTLTRLATVVLLYELIPECPNTFSPYADPISLGFQRLMDEGCEDNTARIATVGAAAKFILYLATPSNYEGCEDNQYYGLLPGMISVLGGAVYDQDLACKALDAMTILAKAKPRFFTLVHMTKLAEAMLKIASHTNSNSNENMRQLAVNFFIAVGEGGDEGKGMIQSLPGNVIEKLLTQLLQMLMCVEDDDASWNEADVYDRDVGKMTMCCYAEDALSRLAIVLGGDVVVRNSPDLLPGFFKDADWKKRYAAVVSLGLVASGCSEMLVQYLEESVEKKMALVRDKHPRVRWAAIYAISEFSKYLSPYFQEQCHEQVIPALLQGMDDFGNPRVQTYAAWTMSNFCKNCCSNILKPHLKEIISKLLMLLQAGKTLLKETALSGLSSLADSTKDDFQPFYPMVMPYLKVILRKETSNFMLVAKTLECITSVAMAVGKAVSSTDVEKIVNVLRSIHKRDDMDGQVICYLLQAWGRICKSFGEDFRPYLNASMPLLIKSAEREEHLKDNLGNFKEKSIILKEMLWACNIISCFAVHIKGGLHLWIEEVLYVVIELVNFKFDEKVRVAAISVMPLLLRSAANAVENELPIPRFLDSPIIDLAKMIIPALGEALLAPTIKVQVQALVALNETMQIASTWAPDQKEIIDTILKVLSACFTRKKERENMAKYRLDVRKPEVVKEEIQEEQKFFREVRICVQILLKRLYARNLIPTVLYVERMWEKDITPEERRMALNIFSDIAELCREHGLRLYPEYIPLLFEDCNNTNPDIQQIAASAIGIYAEFDDREAFKEHIQDGLSSLEAIFQHPSEGGLDHSMAKDAAVCAYGKLCFFFCEEIDSYQNIDLWLFHLPLKCNFDEAKAAHGLLCSMVDNPETRVTGPEDSYISRIITIMAEVLWFGGNIATEETKIKMTEQLRMFSQKLGDKFVAICKALPPGLQNTLRTYLEIQLTGEHACNA >itb11g15530.t1 pep chromosome:ASM357664v1:11:13211659:13225183:1 gene:itb11g15530 transcript:itb11g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRSLLPYLLGCYARSYTTVVAGEDDMMKSLWRSIVRLAAVREQMKMTMPSKGDLLLFGVIGGEGRTQLSGISSEVWAQQESDRVTGLPGSPPVNFRQYSGYVRVSQNHGRALFYWFFEAMENPEDKPLVLWLNGGPGCSSVGYGLLEELGPFLSQKGKPELRFNNHSWNTAANLLFLEQPVGVGFSYTNTSSDIGRLGDKFAGHYVPQLSELIYDKNKVVPKVDQINFKGLLIGNAVLDDEADQTGLIDYAWDHAVISDRLRDDIKAACNFSSATSSAECDAQLNNYFAVYDIIDMYSLYTPTCVRTNSTATKKSVPVVRGIAPHLFSKMAGWHKKPSGYDPCASDYTEAYLNRPDVQKALHANVTGISYPWTHCSDIISDWKDAPSTILPIIRKLAAAGLRIWVFSGDTDGRVPVTSTRYSLKKLGFNITEDWTPWYTDNQQVGGWTVVYEGLMYVTIRGAGHEVPTFKPREALQLVTHFLANKKLPSHHID >itb02g03720.t1 pep chromosome:ASM357664v1:2:2188624:2198106:-1 gene:itb02g03720 transcript:itb02g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MALSTSNGRPSSSHGGRSERLTPLPPPPAAASSFRAGNGVAGQQHQSYSRSRASTTTSTASSRRSVTPTSRTRSPAFSPSLDNDSEPGRVRVAVRLRPRNAEDVLTDSDYADCVEMQPELKKLKMKKNNWSSESYRFDEVFAESASQKRVYDAVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGQLGKDDVTKRGIMARALEDVIVNTSPETDSVEISYLQLYMESLQDLLAPEKINIPIVEDAKTGEVLVPGATSVKIQDLHHFLQLLQTGEANRYAANTKLNTESSRSHAILMVSVRRSVKNREESDFSFKEKYSKTDRHGNDIPIVRKSKLLIVDLAGSERLDKSGSEGHLVDEAKFINLSLTSLGKCINAVAENSLHIPTRDSKLTRLLRDSFGGSARTSLIITVGPSSRHYAETLSTIMFGQRAMKIVNTVKVKEEFDYERLCRKLETQVDHLIAQIDMQQKLRSNDQIEMERKLRDSQTLFAEAEKNLVARSEFLEKENNRLQSEVEDLKKELNSQKDLNSSIHNEIAHLESNVKKSKFLEKENTRLESELKNVLKDLNEHKDHNDVMRDKVAHLEMNLKHNKQHQLESSTYQKVLADTTQMYEKKIADLMKQLEDEQAHFRRVDKQQQLMKEQLDDLQNSLQMENTKYQKAISDTTKTYEEKIAGLVQQLEDEGIRFKDVEGQLTIAKRLLDDHKNSFQIQSEKEVERLRLALQDLHQLHETTAIELQTLKTKYQDLQFEKESVNSELHSLRQTLQVKEKPKNAEDELLSLKKAVPESEDAFDEKKSYTKQCAAKGSFNMHRSCQSRETMLAHRNTVAKIIEEVGLQKLVSLLTAGDLEVQIHAVKVVANLAAEDNNREKIVQEGGLDALLMLLQSSENAIILRVASGAIANLAMNEMNQDLIVSKGGVKLLANTASRTDDPQTLRMVAGAISNLCGNEKLHVMLRQEGAIRALLEMARSGNIDTVAQVSRGLANFAKCESRGTIQGHRRGCSLLLEDGVLAWLITNSNNASATTRRHIELALCHLAQNDDNAKDFISSGALQELVRISNESVREDIRNLAKKTLKLNPTFQSQRYMSNEQSSEVPVRKEH >itb02g03720.t2 pep chromosome:ASM357664v1:2:2190615:2198106:-1 gene:itb02g03720 transcript:itb02g03720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MALSTSNGRPSSSHGGRSERLTPLPPPPAAASSFRAGNGVAGQQHQSYSRSRASTTTSTASSRRSVTPTSRTRSPAFSPSLDNDSEPGRVRVAVRLRPRNAEDVLTDSDYADCVEMQPELKKLKMKKNNWSSESYRFDEVFAESASQKRVYDAVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGQLGKDDVTKRGIMARALEDVIVNTSPETDSVEISYLQLYMESLQDLLAPEKINIPIVEDAKTGEVLVPGATSVKIQDLHHFLQLLQTGEANRYAANTKLNTESSRSHAILMVSVRRSVKNREESDFSFKEKYSKTDRHGNDIPIVRKSKLLIVDLAGSERLDKSGSEGHLVDEAKFINLSLTSLGKCINAVAENSLHIPTRDSKLTRLLRDSFGGSARTSLIITVGPSSRHYAETLSTIMFGQRAMKIVNTVKVKEEFDYERLCRKLETQVDHLIAQIDMQQKLRSNDQIEMERKLRDSQTLFAEAEKNLVARSEFLEKENNRLQSEVEDLKKELNSQKDLNSSIHNEIAHLESNVKKSKFLEKENTRLESELKNVLKDLNEHKDHNDVMRDKVAHLEMNLKHNKQHQLESSTYQKVLADTTQMYEKKIADLMKQLEDEQAHFRRVDKQQQLMKEQLDDLQNSLQMENTKYQKAISDTTKTYEEKIAGLVQQLEDEGIRFKDVEGQLTIAKRLLDDHKNSFQIQSEKEVERLRLALQDLHQLHETTAIELQTLKTKYQDLQFEKESVNSELHSLRQTLQVKEKPKNAEDELLSLKKAVPESEDAFDEKKSYTKQCAAKGSFNMHRSCQSRETMLAHRNTVAKIIEEVGLQKLVSLLTAGDLEVQIHAVKVVANLAAEGTQML >itb11g15620.t1 pep chromosome:ASM357664v1:11:13451338:13452791:1 gene:itb11g15620 transcript:itb11g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRXXLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENSTPQQICDKYHEIHKQVYQWFDISFDKFGRTSTPQQTEVCQSIFKKLLENNWLTENTMQQPYCDTCKKFLADRLVEGTCPTPGCNYDSARGDQCEKCGKLLNPTELKEPRCKVHFCLVSFYLMHFYIYLFSFCGFASMRLVY >itb06g10860.t1 pep chromosome:ASM357664v1:6:15353750:15354591:1 gene:itb06g10860 transcript:itb06g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRWWFNLDNPSGPNGLKKLRPVILPLQRGGGVRCSVKPAWRSALRSNSRDASAFAETPQPDSPLGTGRPVDSTPSPAFKASPIIPPS >itb04g21820.t1 pep chromosome:ASM357664v1:4:26929704:26930635:1 gene:itb04g21820 transcript:itb04g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGIILGDLRELQCLKVLNLSNNKFDCIPTESFVGLTRLKQLHLVGCGRLETLPELPSSIIEVYADECPSLEGRSIDSLTKYPKLGQVSFTKCDQLLEDPRYGHIVDAIWQHLLKIRYTLVLECALCTRMMLKPVMYR >itb01g19230.t1 pep chromosome:ASM357664v1:1:25198804:25200345:-1 gene:itb01g19230 transcript:itb01g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLSKFASISKSTQPTAPGGLPESERTNEPLQSLPASAPLTVTNSELFEFSANPVSEAKGYSNMSGGLPHSSRQSIPIVSVPFSAPSRKGKNKRIESISVPAKRKSVEPDDVVILSETAPRKQCGPSLPARMTRSRTGALSKPFSPMLSYPRPKPNVSKSSPTVSRPKATTSKVSKAPPFPKAPKPAAFQPMLLNDNLWHSWGTHSNRELLLEKAIDETDLIKNCNVLSLLRTQNLLKSVQHIGHYSKWLTAEFYTNLLPESDIAVSHHFHQVFIRGQWYSFGPAQINSFFQRTDLDCRFDPDYDLLAGSLTHNQIGSWPNEGIPATEKTIYSVLLRLAATNWLPVVNPQTISRKMAVLLYKIRHMLDFDLGTLIYDHIMSFTKNKESKIHLPFPCLIYGVLQAQGFEPYKNEHILDNHGVYLFAERLTQGHHFDDRITLTASSPYPGSSNATGSSTVVPKYLEPPSLIAHWAHLRSLQAHLLYRMCVPVSNMTCLFIGVRLIVLRCVMPR >itb09g23860.t1 pep chromosome:ASM357664v1:9:23442399:23447076:1 gene:itb09g23860 transcript:itb09g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELREKHNEESGCETKKKSRLSWNHEMHQRFVQAINKLGYDKGRPSQPHARGPPEWPPHAARSAPSLPVHAALPGGNLIETSDNPAILFKTHPKVNKELFSNENILGLKDSNRPFPAGQSGDGVGLLKWRMQSTDESILPLTLNCWPSVSGNETYVNIEYETPANIDLQNVLVSVPLPALREAPNIQQIDGDWRYDSRNSVLEWSIVLIDASNRSGSLEFVVPTADPSSFFPISARLSSSKTFSDLKI >itb10g15730.t1 pep chromosome:ASM357664v1:10:21966392:21967966:1 gene:itb10g15730 transcript:itb10g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAQSVGGCRLEDGALQAVVHGSSTRDSAAAAAGFGAFDGRVSPDEDFGVFEDVVGSEMTRIWNSDELEELYKPFYNPVVCASSPVCFPKEVNEQQAVELQDDYQMQPPAAPPATAVYVPKYKRRKSEHKRVVLQVPLEELSDDKWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERSCSEPGMFIVTYTAEHCHSQPTRRNSLAGTIRNKFPTPAASKKPQDNYSSEDPPASFMSPAFSSSVVSPATVKEEEKNEYNINNVKYDVEDDFFAGLDDLDGLISHFSSACDQSFLYS >itb01g31610.t1 pep chromosome:ASM357664v1:1:35284499:35289218:1 gene:itb01g31610 transcript:itb01g31610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLHRGLSVGGGGRHSGNSQSFLDDSQMKDKTEKEDFDHTQSAGDQSFLSMKFLLRILSTDKSPTKNGASENGFLSDPFSHGAGRSRIKFTLLLLRLSLVAIVVLALTGSFWWTISITSSSRGKIYHGYRRLQEQVVLDLKDIGHLSLGSSKVKDLEYCPPEAENYVPCFNVSESLELGFSEGEEYDRHCGPRSQDSCLVLPPINYKIPLRWPTGKDVIWLANVKITAQEVLSSGSLTKRMMMLEEEQISFRSESAMFDSIEDYSHQIAEMIGLRNESNLIQAGVRVILDIGCGFGSFGAHLFSSNLLTMCIANYEASGSQVQLTLERGLPAMIGSFTSKQLPYPSLSFDMIHCAECHVDWDQRDGILLVEVDRILRPGGYFVWTSSVMNAQRSLRNKENQKKWNSVRGFAENLCWELLSQQDETVVWKKTSEKKCYSARKSGSGPSLCNKGHDIESPYYRPLEPCIGGTQSRRWVPIEKRTKWPSRARLSAAEIQVHGLQSEDLAEDSLNWNSAVNNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNAALLEAGKSVWVMNVIPTSAQNYLPLILDRGFVGVLHDWCEAFPTYPRTYDLVHADGLLTLEFSQNSHSRCGMLELFIEIDRLLRPEGWVIIRDTIPLIELARDHAVQLKWDARVIESSSEEKLLVCQKPFVRKLGTS >itb01g31610.t2 pep chromosome:ASM357664v1:1:35284499:35289197:1 gene:itb01g31610 transcript:itb01g31610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLHRGLSVGGGGRHSGNSQSFLDDSQMKDKTEKEDFDHTQSAGDQSFLSMKFLLRILSTDKSPTKNGASENGFLSDPFSHGAGRSRIKFTLLLLRLSLVAIVVLALTGSFWWTISITSSSRGKIYHGYRRLQEQVVLDLKDIGHLSLGSSKVKDLEYCPPEAENYVPCFNVSESLELGFSEGEEYDRHCGPRSQDSCLVLPPINYKIPLRWPTGKDVIWLANVKITAQEVLSSGSLTKRMMMLEEEQISFRSESAMFDSIEDYSHQIAEMIGLRNESNLIQAGVRVILDIGCGFGSFGAHLFSSNLLTMCIANYEASGSQVQLTLERGLPAMIGSFTSKQLPYPSLSFDMIHCAECHVDWDQRDGILLVEVDRILRPGGYFVWTSSVMNAQRSLRNKENQKKWNSVRGFAENLCWELLSQQDETVVWKKTSEKKCYSARKSGSGPSLCNKGHDIESPYYRPLEPCIGGTQSRRWVPIEKRTKWPSRARLSAAEIQVHGLQSEDLAEDSLNWNSAVNNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNAALLEAGKSVWVMNVIPTSAQNYLPLILDRGFVGVLHDWCEAFPTYPRTYDLVHADGLLTLEFSQNSHSRCGMLELFIEIDRLLRPEGWVIIRDTIPLIELARDHAVQLKWDARVIESSSEEKLLVCQKPFVRKLGTS >itb13g15400.t1 pep chromosome:ASM357664v1:13:22237707:22242582:1 gene:itb13g15400 transcript:itb13g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVLLFPYLLWGSEWCISPKAIASRQKKHSKSEKSISMRLVSQRVVIFLVQRVRNVVKTLQLLKLRSFCSSVKFDDHQHYNNTPNSPNPNTPQSNFSPPEPIPNRPLRDESRRPPFIPRGQRQARRPSASFNRFDGQDRNQSPKASSGEDFLKRFQLGFDHEKSDPNHTNPLKGESTESSAEESPPPLQDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGLMREKGTLPEVVIYTAVVEGFCKAYNHDDAVRIFRKMQSNGIIPNAFTYSILIQGLCQGKRLEDAFMFCLEMMEAGHSPNLATFIGLVDGYCKEKTLEDAQNMIQTLRQKGYYLDEKAVREYLNKKGPFLPLVWEATLGKKASPRQSLF >itb05g19790.t3 pep chromosome:ASM357664v1:5:26121771:26125200:1 gene:itb05g19790 transcript:itb05g19790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGISIAATSRTVYNCQFSLVRPATHCASPPSLLFSPLSRRFRGLSSRRKPSLTVCFVLEDEKLESGVEIRAEEIEKAIEKQISASRLAEKLARKRSERFTYLVAAVMSSLGITSMAVLAVYYRFAWQMEGGEVPYTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHKPREGPFELNDVFAITNAVPAIALLSYGFFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIADVPYFRRVAAAHQVICYAPQSQCTVVLVKMLHILFPTRISTTLSSNRF >itb05g19790.t1 pep chromosome:ASM357664v1:5:26121771:26125200:1 gene:itb05g19790 transcript:itb05g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGISIAATSRTVYNCQFSLVRPATHCASPPSLLFSPLSRRFRGLSSRRKPSLTVCFVLEDEKLESGVEIRAEEIEKAIEKQISASRLAEKLARKRSERFTYLVAAVMSSLGITSMAVLAVYYRFAWQMEGGEVPYTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHKPREGPFELNDVFAITNAVPAIALLSYGFFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIADVPYFRRVAAAHQLHHTDKFNGVPYGLFLGPKELEEVGGLNDLEVEVNRRIKSKGR >itb05g19790.t2 pep chromosome:ASM357664v1:5:26121771:26124756:1 gene:itb05g19790 transcript:itb05g19790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGISIAATSRTVYNCQFSLVRPATHCASPPSLLFSPLSRRFRGLSSRRKPSLTVCFVLEDEKLESGVEIRAEEIEKAIEKQISASRLAEKLARKRSERFTYLVAAVMSSLGITSMAVLAVYYRFAWQMEGGEVPYTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHKPREGPFELNDVFAITNAVPAIALLSYGFFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIADVPYFRRVAAAHQLHHTDKFNGVPYGLFLGPKVTKGVFG >itb03g06160.t1 pep chromosome:ASM357664v1:3:4533305:4536120:-1 gene:itb03g06160 transcript:itb03g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLWPFAFLVPVILGVVIYQLDSFDPAPYPAHELTQGSPVVAPKRNGRLLHGSEKIGVGRLSGPEDIAYDPKTGVIYTGCVDGWVKRVTVNESSPADSAVEDWVNTGGRPLGLAHGLHGEVIVADADIGLLNVTSDGKVQLLTDEADGVKFKLTDAVDVAEDGLLYFTDASWKYKLHDFIWDLFDGRPHGRLLSYDPHTKQTKVLVKDLFFANGVAVFPDQSFVIFCETALRRCKRFYLKGEKKGSVDVFIENLPGMPDNIRYDGEGLFWIALATEYTYSWELAQKYPLVRKILAVMEKYVGRPNMEKNGGVFVVDLEGNPVAHYYENDFTLLSTGIKIGDYMYCGFVVNGFILRLNLTQNPAIASG >itb15g06770.t1 pep chromosome:ASM357664v1:15:4540444:4542700:1 gene:itb15g06770 transcript:itb15g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCTCQRVKKPDGNRGKNAENREEREAEEEHDGIVGRGDCGARIRVHGSTRFVSMYSQQGKKGVNQDAMTVWEHFGGEKNSFFCGVFDGHGPNGHKVAQFIRDGLPSKLSVASKATGDKSHDVEKDIHHHPMFPKWKSNFLQSFKEMDERLEGEEMIDSFSSGTTSVTLIKQGEHLIIGNLGDSRAIMCTRDDTDQLVPEQLTVDLKPNLPSESERIKSCKGRVMATAEEPNVYRVWMPDQDCPGLAMARAFGDFCLKDYGIISVPEIYYRKISERDEFVVLASDGIWDVLSNYEVIKTVATARKRSMAARLVVERAVRTWKQKYPRSKTDDCAVVCLFFKRQKPMILKTVSQARLNMSFPETSGNEENSAIVETDDGLDTLLNYKGNEDGEDGGEQPDSAGRHRRRPRYHPIPS >itb12g22830.t1 pep chromosome:ASM357664v1:12:24772659:24774466:1 gene:itb12g22830 transcript:itb12g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVLRLLSLVGKVVSIIHFLSATSDNNNKNCDNNVAFDFNVKPQFTFNKFYAYRYTVAVNGIGILYSFAQIVSAVVQGKSGDHSNQGLVKFNLYGDKVVSILLGTGVAAGFGLTLDLKHLPCSTVITGRFLNRMAVACSYSLGGFAPMRKTGPTQAEAMGAREALAEAMGVREVMTEAMGVREVMIRMGAEAMGVREGMIRLGAEAMGVKEGMIRLGAESMGIREVVIRLGEEAMGVREVVIRLGAEAMGVREVMIRLGAEEMGVWWDVEMDTQEVLYAQKVRYAFQGPLGNPGFVRFAGTLDYAACIEDFV >itb04g29540.t1 pep chromosome:ASM357664v1:4:32879883:32880611:1 gene:itb04g29540 transcript:itb04g29540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKQRLLYTSLELEQLRIEACEETRKSSEYVKQLIHLVKIACRERDEARDQLQKLMFMNVNYSITANNNSCNNNITESNSFSETLNNHHSHGSSPPAPAPESFLKVGPSTELLNADYNVKIESLVKGKPLPEKGKFLQAVLEAPPLLQTLIVAAPLPRWRNPPQLKPFHIPPVSIRAANKAEISVQGISVTSHPHPYFEMSNTMLNNNNVGNFPSATLLGSQMMISAGNYGHPGKRQRFL >itb04g00250.t1 pep chromosome:ASM357664v1:4:173513:174932:1 gene:itb04g00250 transcript:itb04g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEYIERFHKHQPTEYQCSSIVTNHIKAPTDIVWSLVRRFDQPQKYKPFVSRCTVLGDLAIGSVREVDVKSGLPATTSTERLELLDDEEHILGIRIVGGDHRLKNYSSVITVHPEIIDGRPGTLVIESFLVDVPEGNTRDDTCYFVNALINCNLKALADVSERMAVQDGNGIASTTVSWASSAYIKP >itb15g22300.t1 pep chromosome:ASM357664v1:15:25056017:25061085:-1 gene:itb15g22300 transcript:itb15g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQAPSFAASPADRRLELLARHLHPLLTAANAHNGNQTVSASPTSGFSVSDSVFNHVVRAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDRSRVKEYLPITGLADFNKLSAKLILGTDSPAIRENRVTTVQCLSGTGSLRVGAEFLNRHYHQHIIYIPQPTWGNHPKVFTLAGLSVKTYRYYDPTTRGLNIQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTSNQWEQIRKLMRSRSLLPFFDSAYQGFASGSLDEDAQSIRMFVADGGECLVAQSYAKNMGLYGERVGALSIVCKNADVASRVESQLKLVIRPMYSNPPLHGASIVATILKDRNMFQEWTVELKAMADRIITMRHQLFDALRARGTPGDWSHILKQIGMFTFTGLNTKQVAFMTKEYHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTRGV >itb15g22300.t2 pep chromosome:ASM357664v1:15:25056017:25061085:-1 gene:itb15g22300 transcript:itb15g22300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQAPSFAASPADRRLELLARHLHPLLTAANAHNGNQTVSASPTSGFSVSDSVFNHVVRAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDRSRVKEYLPITGLADFNKLSAKLILGTDSPAIRENRVTTVQCLSGTGSLRVGAEFLNRHYHQHIIYIPQPTWGNHPKVFTLAGLSVKTYRYYDPTTRGLNIQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTSNQWEQIRKLMRSRSLLPFFDSAYQGFASGSLDEDAQSIRMFVADGGECLVAQSYAKNMGLYGERVGALSIVCKNADVASRVESQLKLVIRPMYSNPPLHGASIVATILKDRNMFQEWTVELKAMADRIITMRHQLFDALRARGK >itb08g06890.t1 pep chromosome:ASM357664v1:8:5895773:5896889:1 gene:itb08g06890 transcript:itb08g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEILGIHPALHCHQPLKIPHEISLSINLPFLVAMSPMVVNSDVDVSVIEESLPRITRNKRRHEAMEIAYLRAVLLVRRRPFFIPVHGVLDLEQDSGAVGERRLVRRDSAIFSAPAQVRTDWIQLISVQSEGVSPKVGNWAFRVEISVVGSPRNNPTKIAVTVAARRGFDMQHKPDEKIIIDFTGHVKVLGNDVVEIPGLTEIFFDPEIRHRFGDSNELDFVGQDDAETGAAAASNCLEKIFPHRFPVQNSTVHIHNPRVHHVVGGQAVPADQVAISAAGEVASHPHGRADCGWKRMLRALLRYPIVELAEGGATLHPRQ >itb12g16790.t1 pep chromosome:ASM357664v1:12:18245534:18246027:-1 gene:itb12g16790 transcript:itb12g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSSSSEFMAKVRCRCGDLAPMKMSWSYANPGKRYRACPRYGGNGSCRYFEWMDYDVSERVSNVLRGLLKKADKHEKEIEKLQFTIEKKDHELKMKILESNLKFLYGFGIGMIFAGFVISIWMRSGSVNVGLMQLH >itb09g08990.t1 pep chromosome:ASM357664v1:9:5384919:5387388:1 gene:itb09g08990 transcript:itb09g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTGKDAQALFHSLRSAYAATPTNLKIIDLYVMFALFTAVIQVVYMAIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLPPERAYADFVLCNLVLHLVIINFLG >itb10g12080.t1 pep chromosome:ASM357664v1:10:18025312:18035198:1 gene:itb10g12080 transcript:itb10g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDPNSSVRILCRRLQIIKNETGLQWLIGSPFFPSLTIASTFRCIHTVNSNPLSLDFSKESDDLRTLIPKGFEVIGAMVVGKDCDFNQFAGDAIDATSKLRKILYNSDNRDLIGAVVDLNRGGDAQFIVMRAAGKLENISMAVYEEEPEKYVWERGCLLRCELPIKLPLYYPLHNPKAVDDIYTSATDAVASALRDPQLTFIIEALNRTEAGAPPVILHDLELNLHGQLADSTNSELSKSFDTKSLLCSTFFGTSADIKAFSSIEESVDKIQVSILHNRSRNSLKPVSPVAEYCPASEEAKVLVLDLKLEVLCYAAKDFTLRCAVSKLVIPALVDQLHSVKNKISHDLLVGYPELHPYHFAPLGLLHPITVLYELNYGETEMNQVEVRRSLHLRLGLPFDRPLLRIANAINLVATKDAKRNTTRRGPSLLKDVHIGIPSSGVSGGSTSLIQGSYEYFHYLQDGFDDSGWGCAYRSLQTIISWFRLQNYTTVDVPSHREIQQVLVEIGDKDPSFIGSRDWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELALHFENQGTPIMIGGGVLAYTLLGVDYNDVSGDCAFLILDPHYTGSDDLKKIANGGWCGWKKAVDSKGKHFFLHDKFYNLLLPQRPNMV >itb10g12080.t2 pep chromosome:ASM357664v1:10:18025312:18034312:1 gene:itb10g12080 transcript:itb10g12080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGKDCDFNQFAGDAIDATSKLRKILYNSDNRDLIGAVVDLNRGGDAQFIVMRAAGKLENISMAVYEEEPEKYVWERGCLLRCELPIKLPLYYPLHNPKAVDDIYTSATDAVASALRDPQLTFIIEALNRTEAGAPPVILHDLELNLHGQLADSTNSELSKSFDTKSLLCSTFFGTSADIKAFSSIEESVDKIQVSILHNRSRNSLKPVSPVAEYCPASEEAKVLVLDLKLEVLCYAAKDFTLRCAVSKLVIPALVDQLHSVKNKISHDLLVGYPELHPYHFAPLGLLHPITVLYELNYGETEMNQVEVRRSLHLRLGLPFDRPLLRIANAINLVATKDAKRNTTRRGPSLLKDVHIGIPSSGVSGGSTSLIQGSYEYFHYLQDGFDDSGWGCAYRSLQTIISWFRLQNYTTVDVPSHREIQQVLVEIGDKDPSFIGSRDWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELALHFENQGTPIMIGGGVLAYTLLGVDYNDVSGDCAFLILDPHYTGSDDLKKIANGGWCGWKKAVDSKGKHFFLHDKFYNLLLPQRPNMV >itb10g12080.t3 pep chromosome:ASM357664v1:10:18025312:18034354:1 gene:itb10g12080 transcript:itb10g12080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDPNSSVRILCRRLQIIKNETGLQWLIGSPFFPSLTIASTFRCIHTVNSNPLSLDFSKESDDLRTLIPKGFEVIGAMVVGKDCDFNQFAGDAIDATSKLRKILYNSDNRDLIGAVVDLNRGGDAQFIVMRAAGKLENISMAVYEEEPEKYVWERGCLLRCELPIKLPLYYPLHNPKAVDDIYTSATDAVASALRDPQLTFIIEALNRTEAGAPPVILHDLELNLHGQLADSTNSELSKSFDTKSLLCSTFFGTSADIKAFSSIEESVDKIQVSILHNRSRNSLKPVSPVAEYCPASEEAKVLVLDLKLEVLCYAAKDFTLRCAVSKLVIPALVDQLHSVKNKISHDLLVGYPELHPYHFAPLGLLHPITVLYELNYGETEMNQVEVRRSLHLRLGLPFDRPLLRIANAINLVATKDAKRNTTRRGPSLLKDVHIGIPSSGVSGGSTSLIQGSYEYFHYLQDGFDDSGWGCAYRSLQTIISWFRLQNYTTVDVPSHREIQQVLVEIGDKDPSFIGSRDWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELALHFENQGTPIMIGGGVLAYTLLGVDYNDVSGDCAFLILDPHYTGSDDLKKIANGGWCGWKKAVDSKGKHFFLHDKFYNLLLPQRPNMV >itb03g06430.t1 pep chromosome:ASM357664v1:3:4690810:4695557:-1 gene:itb03g06430 transcript:itb03g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCGNLLRDIWRRLSDRCLRFMPCLSDPVRRTTVCLRIVLMMLHVVFAGVLFALDAELIEKARQVPWYFAIYYLLFIVTLVQYFITSASPPGYVHDVTRAVNDAAALHNSTSVASKQPASSKNGSAVITIDRSEVEGNLLGPSATPWAKLVMNLYPYGASTRTWTCTYCQSLQPPRTKHCHDCDKCVLEFDHHCVWLGTCIGQGNHCQFWWYICEETALSFWTGILYIQFLKSSISKAWWVYAIVILFLAMLSFFFIFLFLLLLFHSYLILTNQTTYELVRRRRIPYLRGVPERVFPFSKGACRNLYYTCCDRSNICRVERIPTHLEIDDMARPYTCSDVKNCRCCC >itb03g06430.t2 pep chromosome:ASM357664v1:3:4690631:4695557:-1 gene:itb03g06430 transcript:itb03g06430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCGNLLRDIWRRLSDRCLRFMPCLSDPVRRTTVCLRIVLMMLHVVFAGVLFALDAELIEKARQVPWYFAIYYLLFIVTLVQYFITSASPPGYVHDVTRAVNDAAALHNSTSVASKQPASSKNGSAVITIDRSEVEGNLLGPSATPWAKLVMNLYPYGASTRTWTCTYCQSLQPPRTKHCHDCDKCVLEFDHHCVWLGTCIGQGNHCQFWWYICEETALSFWTGILYIQFLKSSISKAWYVSSTPSCNFILILFCAFLVFPVLFGGGELERLKIQCLAL >itb11g19340.t1 pep chromosome:ASM357664v1:11:20079179:20081881:1 gene:itb11g19340 transcript:itb11g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDTGCEKEEFVYRISTAEEWEEFQKNGSMLGGDLDKTTGCIHLSKLDQVQSTLQNFFMNVNKDLYLLQVDANKLGDGLVYEAVDDSNVFPHFYGPSRSFSPLPLDAITKAEKLVLSDGKFKCSLLS >itb08g05280.t1 pep chromosome:ASM357664v1:8:4334683:4340573:-1 gene:itb08g05280 transcript:itb08g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTKHYSLFLNNFLILAIVAVVGVFPQHVISAGSKVDVLPGFEGSLPFHLETGYIGVGESEEIQLFYYFVKSEINPKDDPLILWISGEQGCSSLTGLIYEIGPLFFDAKVYNGTLPTLLFNEQSLTKNASMIFLDQLPNIGFSYSTNTTTNTDVQASNYVYEFLQKWFSENQDFISNPFFIASSSYGGLIVPTIVQLISDGNEAAKTPINLEGYILGNPKTFPHEENFKVVFAYGMGFLTTEFYESLKESCENEYMNVDPENELCAQGLQKFNKLVDGVSDQHVLEAYCGPEEPTPEASFGARRALDEQRIRSFNEKFLNLYGDDDDDRIWCRVDYHRLSNYWANDPSVQNALHVRKDSSKRWTSGDHDMIVPFQSTQAWIRDLYYTIDHDWAPYFVNHEVGGYTRNFTSKMTYATVKGAGHIATEQKPEMCVGLISRWIAGKDIAFLPFSTVTTAFKQFMQSGKDKLSTFSQLLQAETRDFPFILG >itb09g19770.t1 pep chromosome:ASM357664v1:9:16049814:16050676:-1 gene:itb09g19770 transcript:itb09g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGPSNPDPNPSLLNNKDTTQQVLPQRLADTVLTTLIRYRECLKNHAANTGGHVVDGCGEFMPSGEEGTPEALKCAASNCHRKGIRHGRSTFQTQNPPMLPQQQHYRGAVPHPVRVLAESSSEDLNAGDGQGLMQVPSSKKRFRTKFTQQQKQQMHEFAEKIGWRIQKQYDQEVYKFCSEVGVKRQVFKV >itb13g12170.t1 pep chromosome:ASM357664v1:13:18290793:18295125:-1 gene:itb13g12170 transcript:itb13g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAAATSSGAGGSGRDGSAKTMVADQITQSVQSTSNLLHLMLQSSPSQAQLQKLPKSLLAKTSTIKNTSLVLEQLPQIISSLDAHMDQGLQCVPQLKTVIQLLSNIENCQLKPLSNVQLSQEEVEPASHPLEASKHPLA >itb01g03260.t1 pep chromosome:ASM357664v1:1:2153977:2156958:1 gene:itb01g03260 transcript:itb01g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLSFIAIFLFLQLGKSQENVCRKWCGDIPIQYPLGIDDGCGSPYYRHIITCTAGELQLRTPSGRYPIKNVSYSDPHILVTDPFMWTCDDGEDFRPTRPFSLDTSTHFTLSPQNDYLFFNCSNDKVIVEPKPAFCERFPEQCDSTCDSASFLCRHLPECPSALRGGSSCCAYYPKAAESLRLMLRHCASYTGVYWRNLGATPAFNQVPEYGVRVDFDIPVTGKCLGCQDVAKGGGTCGFDTETQDFLCLCDKGNSTTNCFDTRHKMSKVVAATAVSVAGAIGIGAGVWYLRRVRAKAPVTHGVQTNDNRLF >itb07g22440.t1 pep chromosome:ASM357664v1:7:26894334:26894672:-1 gene:itb07g22440 transcript:itb07g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSSTANPNPSQPIAMSPSKSVQGEANPEPHAPIRESSDLPPEKSVQESQEEIPKEGEEEEVEEEGQCGFCLFMKGEGIEIPLASGRSAWKKARRTMKTLWKNALRSPLL >itb12g05140.t1 pep chromosome:ASM357664v1:12:3422772:3423281:-1 gene:itb12g05140 transcript:itb12g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDFYYRNKRVRTDSDYEGRGKKPKKHGEDLKVMEEDKSPVLGAGSSSSVDNFHFLSSTFGKKIKEGAVLRREKEKEKTMLAKRSCQAGRREPEAGDIIGSFEKHTKGIGMKLLEKMGYKGGGLGKNEQGITAPIESKLRPKNMGMGFNDYKNPNPLFLLPTKERRFT >itb14g18770.t1 pep chromosome:ASM357664v1:14:21670730:21673700:-1 gene:itb14g18770 transcript:itb14g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGGDPAPAPAAKELKEELQRAVKAIVSEDDVNAEVIDRAQQALCALRGLHHFPAEFRCPLSGELMKDPVVIASGQTYDRQFIQKWLNSGNRICPQTQQMLSHTILTPNHLIRGMISKWCKANGIWLPDPVHEDGVTDADRDHFLVLLEKMSSSLCVQRSAARELRLLTKRMPSFRALFGESMGAIPKLLSPLSRGENWSDVHPDLQEDIITTILNLSIHDSNKKLVAETPRVIPVLIDSLRSGVIETRSNAAAAIFTLSALDSNKALIGKSGALKPLIDLLEEGHSLAMKDVASAIFILCVLHENKARAIRDGAVRVLVEKIMNKVHVDELLAILAMLSSNHRAIEEMGELRAVPSLLSLIRETSCARNKENCIVILYAICSADRTRLKEIKDEESSYGTISQLAQNGTSRAKRKASGVLDRLNRGVGFIHTA >itb03g24990.t3 pep chromosome:ASM357664v1:3:23911267:23914649:-1 gene:itb03g24990 transcript:itb03g24990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFMIVSRNDIPIYEAEVGTAPKKEDAAHQHQFILHAALDIVQDLAWTTSAMFLKASDRFNDLAVSVYVTAGHILHIIQLTGWMQNIEL >itb03g24990.t1 pep chromosome:ASM357664v1:3:23911267:23914800:-1 gene:itb03g24990 transcript:itb03g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFMIVSRNDIPIYEAEVGTAPKKEDAAHQHQFILHAALDIVQDLAWTTSAMFLKASDRFNDLAVSVYVTAGHD >itb03g24990.t2 pep chromosome:ASM357664v1:3:23911267:23914801:-1 gene:itb03g24990 transcript:itb03g24990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFMIVSRNDIPIYEAEVGTAPKKEDAAHQHQFILHAALDIVQDLAWTTSAMFLKASDRFNDLAVSVYVTAGHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYIPGSRITSSHFDTKVRALARKYL >itb08g08070.t1 pep chromosome:ASM357664v1:8:6929218:6933587:1 gene:itb08g08070 transcript:itb08g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDNGSQGAVVNGAGAGGDQNHHQDQPKNDGNNNNNLVVDSDGLYMKVHSFQSHLSSNNHQFSSQVPQPLDDHQSMKNGEVEANDDEEDDDGGEEAFNSEMRELEEMFSKLNPMAEEFVPLSLAKNGGLFPNNTNPGRRKRSYNQGKRRMNARTSLAQREEVIRRTVYVSDIDHQITEEQLAALFLSCGQVVDCRVCGDPKSILRFAFIEFTDEEGAHAALSLSGTVLGYYPLRVLPSKTAIAPVNPTFLPRTEDEREMCARTVYCTNIDKKVSQADVKLFFETICGEVYRLRLLGDYHHSTRIAFVEFAMAESAIAALSCSGVVLGSLPIRVSPSKTPVRPRSPRPQMHGINIASAD >itb13g13960.t1 pep chromosome:ASM357664v1:13:20541613:20542131:-1 gene:itb13g13960 transcript:itb13g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEYKCNNNPQQQRYSSFLLPMLCRLSIIRDVKVVKQCKDPSSPKVSCMGQVKRRNSKIHVGFPTAGPISSSKNHTKLNSFFSGDGEYSCRELLRRNRNRNRNRNRNRSARKVKISELDPPLPVVQQQPPGGQVKSLWSRRRSCGASLKTLEIHYIYSLPNNNQIIHPTPL >itb07g06170.t1 pep chromosome:ASM357664v1:7:4334811:4336443:-1 gene:itb07g06170 transcript:itb07g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWVRKKIVYLLKLIVVARSAVPSQSSSSDLLFPQSSSLNSRPAEGLHSETEAKGWENREGEELTKIWEKETVKPPPLLKLVAVVGSAVPPARRRRRMGMSED >itb09g08860.t1 pep chromosome:ASM357664v1:9:5284074:5284832:-1 gene:itb09g08860 transcript:itb09g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQEIFTCPSYSNTVISIINFAVDDSQMDKDNQTPETKPIIIAMKGHPGTGKSTLSHSLAKALKFPLLDKDQFRDSTKKIQDSLTHSTPAAAAALLNDLSYDAMWRVAATQLALGLSVVIDSPLSQRAHLDRLIALAARFHARLAVVECRPKDEAEWRRRLEARGAADASSWHKPSTWQEMEKLLERYDGCWDYDFGDVPKLTVDTTANVEVQDLVSSIIEFVHSNNDKK >itb05g20390.t1 pep chromosome:ASM357664v1:5:26491542:26493611:1 gene:itb05g20390 transcript:itb05g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFSFFFSFRLLIIALLPFLVLGIDDCGESRCRKGGPRIRFPFKLKHEQDQHCGYPGFELSCDNNGNTVMEFPHAVQLHVDRIDYVSQQIFLSDPHACVPGKMLLNLNLSETPFQYSNPAPILLEYSLFNCSDDASKYPENFDDQIISCLGVPGHQVHAFYSFMSIDYFPSPSCIKFHETTLPFQKETLSSMSTLQLNWSRPSCSHCETQGKFCSLNNTLSAEVQCLNRPKKTGSKTLIIAGSISGFFLVAIVTFGAYQFYTRGKMHKENQKRVEKFLEDYRAVRPTRYSFADIKKITNQLSERLGEGGYGTVYKGKVSSEILVAVKVLKDSKGNGEEFINEVGIIGKIHHVNVVRLVGYCADGFRRALVYEYLPNESLEKYIFSTESKNVALSWKKIQEIALGIAKGMEYLHQGCDQQILHFDIKPNNILLDHNMNPKICDFGLAKLCSKEKSAVTMTAARGTMGYIAPEVVSRNFGKASHKSDVYSFGMLLLEMVGGRKNDTGQQEGSFPEWVYNHLNRGGELRIRIEEDDDEAIAKKLAIIALWCIQWQPVDRPSMKMVVQMMEREGDDLVLPSSPFAGSQM >itb10g01140.t1 pep chromosome:ASM357664v1:10:848726:850780:-1 gene:itb10g01140 transcript:itb10g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVLLALCMHALIYVSNLKEIERVNHKLACLNHHHCNLHALIDGSGEASTHLKVQARDVMNMRLLENPQSQSHNPQLLTDLTREFSWRHEFQDTFSTATLAGTVEMYTIPKPAQFVLWHWALNQLDPNISK >itb11g14790.t1 pep chromosome:ASM357664v1:11:12098738:12100436:-1 gene:itb11g14790 transcript:itb11g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENHHHHDRVILHGMWMSAYAKKVELALKIKGIPFEYVEEDLRNKSPQLLEQNPIHKKVPMLLHNGRPIVESIVIVEYIDETWENLPRLLPEDAYQRARVRFWAKYIHQLSDTMKKAFISARGQNKNAAFSEFFVNLEMLEKETRVLYPMERPKISAQSLGLLDIALVATLGMYKAVEEVLGMKIIDQEKHPFIFSWVESLLELPLVRETLPPHEKVVSRLELIKQHGFNAGIQPP >itb02g04130.t2 pep chromosome:ASM357664v1:2:2475757:2480298:-1 gene:itb02g04130 transcript:itb02g04130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSVETDKLSYEIFSILESKFLFGYDDQKLWIPKSITPAVEPCKNNEVVSTSPAPGAKNPRGKICVLSIDGGGMRGILCGKALSYLEQALKAKSGNPEARICDFFDVAAGSGVGGIFTAMLFGTKDEKNPIFNADGTWKFLAENGKRLFSKNSGGAGIFRRFFAGGGAVSAAAGIEKAMKEAFTDEKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALESDSFDFRLWEVCRATSAEPGVFEPVCMSSVDGSTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPLVRGVEDILVLSLGTGQQLLEGSFPYEQVKDWKAKNWARPMARISGDASADLVDHAVAMAFGQSRSTNYVRIQANGSSFGCGVSVDTDPSPSNMRMLVGVADEMLSQKNVESVLFGGKRIGEQSNSQKLDWFAGELVQEHQRRTKRIAPIVTIKKATPIAPK >itb02g04130.t3 pep chromosome:ASM357664v1:2:2475757:2480298:-1 gene:itb02g04130 transcript:itb02g04130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSVETDKLSYEIFSILESKFLFGYDDQKLWIPKSITPAVEPCKNNEVVSTSPAPGAKNPRGKICVLSIDGGGMRGILCGKALSYLEQALKAKSGNPEARICDFFDVAAGSGVGGIFTAMLFGTKDEKNPIFNADGTWKFLAENGKRLFSKNSGGAGIFRRFFAGGGAVSAAAGIEKAMKEAFTDEKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALESDSFDFRLWEVCRATSAEPGVFEPVCMSSVDGSTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPLVRGVEDILVLSLGTGQQLLEGSFPYEQVKDWKAKNWARPMARISGDASADLVDHAVAMAFGQSRSTNYVRIQANGSSFGCGVSVDTDPSPSNMRMLVGVADEMLSQKNVESVLFGGKRIGEQSNSQKLDWFAGELVQEHQRRTKRIAPIVTIKKATPIAPK >itb02g04130.t5 pep chromosome:ASM357664v1:2:2475760:2480294:-1 gene:itb02g04130 transcript:itb02g04130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSVETDKLSYEIFSILESKFLFGYDDQKLWIPKSITPAVEPCKNNEVVSTSPAPGAKNPRGKICVLSIDGGGMRGILCGKALSYLEQALKAKSGNPEARICDFFDVAAGSGVGGIFTAMLFGTKDEKNPIFNADGTWKFLAENGKRLFSKNSGGAGIFRRFFAGGGAVSAAAGIEKAMKEAFTDEKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALESDSFDFRLWEVCRATSAEPGVFEPVCMSSVDGSTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPLVRGVEDILVLSLGTGQQLLEGSFPYEQVKDWKAKNWARPMARISGDASADLVDHAVAMAFGQSRSTNYVRIQANGSSFGCGVSVDTDPSPSNMRMLVGVADEMLSQKNVESVLFGGKRIGEQSNSQKLDWFAGELVQEHQRRTKRIAPIVTIKKATPIAPK >itb02g04130.t1 pep chromosome:ASM357664v1:2:2475757:2480298:-1 gene:itb02g04130 transcript:itb02g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSVETDKLSYEIFSILESKFLFGYDDQKLWIPKSITPAVEPCKNNEVVSTSPAPGAKNPRGKICVLSIDGGGMRGILCGKALSYLEQALKAKSGNPEARICDFFDVAAGSGVGGIFTAMLFGTKDEKNPIFNADGTWKFLAENGKRLFSKNSGGAGIFRRFFAGGGAVSAAAGIEKAMKEAFTDEKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALESDSFDFRLWEVCRATSAEPGVFEPVCMSSVDGSTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPLVRGVEDILVLSLGTGQQLLEGSFPYEQVKDWKAKNWARPMARISGDASADLVDHAVAMAFGQSRSTNYVRIQANGSSFGCGVSVDTDPSPSNMRMLVGVADEMLSQKNVESVLFGGKRIGEQSNSQKLDWFAGELVQEHQRRTKRIAPIVTIKKATPIAPK >itb02g04130.t4 pep chromosome:ASM357664v1:2:2475757:2480298:-1 gene:itb02g04130 transcript:itb02g04130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPSVETDKLSYEIFSILESKFLFGYDDQKLWIPKSITPAVEPCKNNEVVSTSPAPGAKNPRGKICVLSIDGGGMRGILCGKALSYLEQALKAKSGNPEARICDFFDVAAGSGVGGIFTAMLFGTKDEKNPIFNADGTWKFLAENGKRLFSKNSGGAGIFRRFFAGGGAVSAAAGIEKAMKEAFTDEKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALESDSFDFRLWEVCRATSAEPGVFEPVCMSSVDGSTRCVAVDGGLAMSNPTAAAITHVLHNKQEFPLVRGVEDILVLSLGTGQQLLEGSFPYEQVKDWKAKNWARPMARISGDASADLVDHAVAMAFGQSRSTNYVRIQANGSSFGCGVSVDTDPSPSNMRMLVGVADEMLSQKNVESVLFGGKRIGEQSNSQKLDWFAGELVQEHQRRTKRIAPIVTIKKATPIAPK >itb01g35810.t1 pep chromosome:ASM357664v1:1:37812036:37819919:1 gene:itb01g35810 transcript:itb01g35810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTASAEPPSQIPPAEELLKKIHELEAGHARLKEEMSKLMVSSDYRSERQRSHSISPQRPRRLKLGGGGKHSGFEGGAMAVWKRGSASFRHSSPLQRESSSKDVHRGDDGGGGDESCGVGGPASVKFSDRHYLNILQSMGQSVHILDRSSRIIYWNRMAEHLYGYSAAEALGQSIMDLLVDPRDFNMAGDIISRVCVGESWTGQFPVKNKLGIRFSVMTTNTPFYDDDGTLIGLICVSVDVKPFQEAKAAFTVAKQMDSDSSSSRARSIASEKLGLDPQQPLQVAIASKLSNLASKVSNKVKSKIKTVESGVVREGGSGDSHQSNHVFSDSALSDHREDATSSGASTPRGDIHPSPFGVFSNASKEDSPEKYSRDSGDESEGKPRISKIITSKAEAWIQKRTLSWPWKGNEQEGSEARNTRFGWPWLHNDQDNDYSQPQNSSNLAIKPESQIGEINRTTVNEASGSWSSSFNVNSTSSASSAGSTSSSALNKVDIDTDCLDYEILWEDLTIGEHIGQGSCGNVYHALWYGSDVAVKVFSKQEYSDDIIFSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTSKLDWRRRVHMALDIARGMNYLHHLSPPLVHRDLKSSNLLVDKNWTVKVGDFGLSRVKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKADVYSFGVILWEIATEKIPWENLNTMQVIGAVGFMNQRLDIPKDVDPQWASIIGSCWHSEPQCRPSFQELVDKFKDLQRQCAIQIQAARNAAMESSQKE >itb01g35810.t2 pep chromosome:ASM357664v1:1:37812036:37819919:1 gene:itb01g35810 transcript:itb01g35810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTASAEPPSQIPPAEELLKKIHELEAGHARLKEEMSKLMVSSDYRSERQRSHSISPQRPRRLKLGGGGKHSGFEGGAMAVWKRGSASFRHSSPLQRESSSKDVHRGDDGGGGDESCGVGGPASVKFSDRHYLNILQSMGQSVHILDRSSRIIYWNRMAEHLYGYSAAEALGQSIMDLLVDPRDFNMAGDIISRVCVGESWTGQFPVKNKLGIRFSVMTTNTPFYDDDGTLIGLICVSVDVKPFQEAKAAFTVAKQMDSDSSSSRARSIASEKLGLDPQQPLQVAIASKLSNLASKVSNKVKSKIKTVESGVVREGGSGDSHQSNHVFSDSALSDHREDATSSGASTPRGDIHPSPFGVFSNASKEDSPEKYSRDSGDESEGKPRISKIITSKAEAWIQKRTLSWPWKGNEQEGSEARNTRFGWPWLHNDQDNDYSQPQNSSNLAIKPESQIGEINRTTVNEASGSWSSSFNVNSTSSASSAGSTSSSALNKVDIDTDCLDYEILWEDLTIGEHIGQGSCGNVYHALWYGSDVAVKVFSKQEYSDDIIFSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTSKLDWRRRVHMALDIARGMNYLHHLSPPLVHRDLKSSNLLVDKNWTVKVGDFGLSRVKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKADVYSFGVILWEIATEKIPWENLNTMQVIGAVGFMNQRLDIPKDVDPQWASIIGSCWHSEPQCRPSFQELVDKFKDLQRQCAIQIQAARNAAMESSQKE >itb11g09390.t1 pep chromosome:ASM357664v1:11:6253691:6259278:-1 gene:itb11g09390 transcript:itb11g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSSRVNMISIICIFFFLVSSAHSFYLPGVAPRDFPAGDLLSVKVNKLSSTKTQLPYDYYYLHYCKPSKILNSAENLGEVLRGDRIENSVFTFHMRQELPCQVACRVKLDNEAAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDVTQPNTYEHGFRVGFKGNYAGSKVEKYFINNHLSFRVMYHKDPETDTARIVGFEVTPNSINHEYKEWDEKNPQVTTCTQKTKDMIQGSTIPQEVDTDKEVIFTYDVSFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRPPINSGLLCVYVGTGVQIFAMTLVTMMFAVLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSSRLYKMFKGTEWKRITLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGYKKPATEDPVKTNKIPRQIPEQAWYMKPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILLITCAEITVVLCYFQLCSEDYYWWWRAYLTAGSSALYLFLYSIFYFFTKLEITKLVSGMLYFGYMLIASYAFFVLTGTIGFYACFWFVRYYEEDVAELYLMARVQGAVIISTRLEIREADIRNAFNLPESELDVENYGYDLQELWNRIIGEGTSEEKTLKPEFGRLVCIVYKCLDCRLGPQKIAVLIGAIMQKIKFNWARYLFKVVGSTSSRKRARTSSKPVAVGQNAQEDDPELLADLKSFKAWRCWRLAEYDAHLRIALEMEKEELFALNWLGTRDVAEATRLVRINEVYFNKLGKKRDQQVAADKGKGKIMSDVEREGEEQTDPVKGILPKFTEKRENENEAGINEVLRVLVDSQNQLKNELKRTSDMQPYFEFRKSIQTQNAELLSDLHQQQNEINDLKDSLSSLDAKLEVFLAHC >itb06g10580.t2 pep chromosome:ASM357664v1:6:15020623:15028929:-1 gene:itb06g10580 transcript:itb06g10580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPDVSIETSCMIRVAVLPIGSIPIPLFRDYTSMLVRHHTVSLSSISSFYTEHQKSPFSHQPWDSGSLRFKFMVGGSPASPWEDFQSNRKILAVLGICHCPSSPDLNSVADQFNVSCKGYSSSLVQRCFAFCPGDSQLEDETYKGSNIVLFPPADRLTQEFHLQTMMQDIAASLLMEFEKWVLQAESGGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTALELARLTGDFFWYAGAMEGSVCALLIDRMGQRDPVLEEEVKYRYNSVILHYRKSFIQDNAQRVSPLSFELEATLKLARYLCRRELAKEVVELLTAAADGAKSLIDASDRLVLYIEIARLFGTLGYHRKAAFFSRQVAQLYLQQENRLAATSAMQVLAMTTKAYRVQSRASSEHAICQATGSAHVDGGKMHHNWIVSLFESQWCTLQMVVLREILLSSVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSSERLPLGTRCADPALPFIRLHSFPLHSSQLDIVKRNPSREDWWAGSAPSGPFIYTPFSKGESTQSSKQELIWVVGEPVQVLVELANPCGFDLMVDSIYLSVNSENFDAFPVSVNLPPNSSKVITLSGIPTKVGSVTIPGCIVHCFGVITEHFFKEVDNLLLGAAQGLVLSDPFRCCGSPKLKNISVPSISVVPPLPLLVSRVAGTDGAIILYEGEIREVQITLANAGTVPVEQAHISLSGKNQDSVISIAYETLKSNLPLKPGAEVRIPVTLKAWQLGITDPDTAPNKSISSNTGRQVKDGSSPMLLIHYAGPLTMPGEPPVDGSVPPPGRRVAIPLNICVTPCLSFVKARLLSMEIPAYVGEDHPKLKTEDSIEEATCTERQADKFMKIDPYRGSWGLRFLELELSNPTDVVFEIGVSVQLETSSNEDSPEYKYPRTRVDRDYTARVLIPLEHFKLPVLDGSFLIRDSSMNGTTKSSNFSEKNSKAELNACIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFSLAKNSSLEIDVPKEFDMQNSSLACKSSVIAHEMTPMEVIVRNNTKETIKLSLSITCRDVAGENCVEGDKTTVLWAGVLNGIAMEVPPLQEIKHSFSLYFLVPGEYTLLAAAAIDDANEILRARARASSSDESIFCRGPPFHIRVNGTH >itb06g10580.t4 pep chromosome:ASM357664v1:6:15020626:15028925:-1 gene:itb06g10580 transcript:itb06g10580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPDVSIETSCMIRVAVLPIGSIPIPLFRDYTSMLVRHHTVSLSSISSFYTEHQKSPFSHQPWDSGSLRFKFMVGGSPASPWEDFQSNRKILAVLGICHCPSSPDLNSVADQFNVSCKGYSSSLVQRCFAFCPGDSQLEDETYKGSNIVLFPPADRLTQEFHLQTMMQDIAASLLMEFEKWVLQAESGGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTALELARLTGDFFWYAGAMEGSVCALLIDRMGQRDPVLEEEVKYRYNSVILHYRKSFIQDNAQRVSPLSFELEATLKLARYLCSRRELAKEVVELLTAAADGAKSLIDASDRLVLYIEIARLFGTLGYHRKAAFFSRQVAQLYLQQENRLAATSAMQVLAMTTKAYRVQSRASSEHAICQATGSAHVDGGKMHHNWIVSLFESQWCTLQMVVLREILLSSVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSSERLPLGTRCADPALPFIRLHSFPLHSSQLDIVKRNPSREDWWAGSAPSGPFIYTPFSKGESTQSSKQELIWVVGEPVQVLVELANPCGFDLMVDSIYLSVNSENFDAFPVSVNLPPNSSKVITLSGIPTKVGSVTIPGCIVHCFGVITEHFFKEVDNLLLGAAQGLVLSDPFRCCGSPKLKNISVPSISVVPPLPLLVSRVAGTDGAIILYEGEIREVQITLANAGTVPVEQAHISLSGKNQDSVISIAYETLKSNLPLKPGAEVRIPVTLKAWQLGITDPDTAPNKSISSNTGRQVKDGSSPMLLIHYAGPLTMPGEPPVDGSVPPPGRRVAIPLNICVTPCLSFVKARLLSMEIPAYVGEDHPKLKTEDSIEEATCTERQADKFMKIDPYRGSWGLRFLELELSNPTDVVFEIGVSVQLETSSNEDSPEYKYPRTRVDRDYTARVLIPLEHFKLPVLDGSFLIRDSSMNGTTKSSNFSEKNSKAELNACIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFSLAKNSSLEIDVPKEFDMQNSSLACKSSVIAHEMTPMEVIVRNNTKETIKLSLSITCRDVAGENCVEGDKTTVLWAGVLNGIAMEVPPLQEIKHSFSLYFLVPGEYTLLAAAAIDDANEILRARARASSSDESIFCRGPPFHIRVNGTH >itb06g10580.t3 pep chromosome:ASM357664v1:6:15020626:15028925:-1 gene:itb06g10580 transcript:itb06g10580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEGSVCALLIDRMGQRDPVLEEEVKYRYNSVILHYRKSFIQDNAQRVSPLSFELEATLKLARYLCSRRELAKEVVELLTAAADGAKSLIDASDRLVLYIEIARLFGTLGYHRKAAFFSRQVAQLYLQQENRLAATSAMQVLAMTTKAYRVQSRASSEHAICQATGSAHVDGGKMHHNWIVSLFESQWCTLQMVVLREILLSSVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSSERLPLGTRCADPALPFIRLHSFPLHSSQLDIVKRNPSREDWWAGSAPSGPFIYTPFSKGESTQSSKQELIWVVGEPVQVLVELANPCGFDLMVDSIYLSVNSENFDAFPVSVNLPPNSSKVITLSGIPTKVGSVTIPGCIVHCFGVITEHFFKEVDNLLLGAAQGLVLSDPFRCCGSPKLKNISVPSISVVPPLPLLVSRVAGTDGAIILYEGEIREVQITLANAGTVPVEQAHISLSGKNQDSVISIAYETLKSNLPLKPGAEVRIPVTLKAWQLGITDPDTAPNKSISSNTGRQVKDGSSPMLLIHYAGPLTMPGEPPVDGSVPPPGRRVAIPLNICVTPCLSFVKARLLSMEIPAYVGEDHPKLKTEDSIEEATCTERQADKFMKIDPYRGSWGLRFLELELSNPTDVVFEIGVSVQLETSSNEDSPEYKYPRTRVDRDYTARVLIPLEHFKLPVLDGSFLIRDSSMNGTTKSSNFSEKNSKAELNACIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFSLAKNSSLEIDVPKEFDMQNSSLACKSSVIAHEMTPMEVIVRNNTKETIKLSLSITCRDVAGENCVEGDKTTVLWAGVLNGIAMEVPPLQEIKHSFSLYFLVPGEYTLLAAAAIDDANEILRARARASSSDESIFCRGPPFHIRVNGTH >itb06g10580.t1 pep chromosome:ASM357664v1:6:15020623:15028929:-1 gene:itb06g10580 transcript:itb06g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEGSVCALLIDRMGQRDPVLEEEVKYRYNSVILHYRKSFIQDNAQRVSPLSFELEATLKLARYLCRRELAKEVVELLTAAADGAKSLIDASDRLVLYIEIARLFGTLGYHRKAAFFSRQVAQLYLQQENRLAATSAMQVLAMTTKAYRVQSRASSEHAICQATGSAHVDGGKMHHNWIVSLFESQWCTLQMVVLREILLSSVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSSERLPLGTRCADPALPFIRLHSFPLHSSQLDIVKRNPSREDWWAGSAPSGPFIYTPFSKGESTQSSKQELIWVVGEPVQVLVELANPCGFDLMVDSIYLSVNSENFDAFPVSVNLPPNSSKVITLSGIPTKVGSVTIPGCIVHCFGVITEHFFKEVDNLLLGAAQGLVLSDPFRCCGSPKLKNISVPSISVVPPLPLLVSRVAGTDGAIILYEGEIREVQITLANAGTVPVEQAHISLSGKNQDSVISIAYETLKSNLPLKPGAEVRIPVTLKAWQLGITDPDTAPNKSISSNTGRQVKDGSSPMLLIHYAGPLTMPGEPPVDGSVPPPGRRVAIPLNICVTPCLSFVKARLLSMEIPAYVGEDHPKLKTEDSIEEATCTERQADKFMKIDPYRGSWGLRFLELELSNPTDVVFEIGVSVQLETSSNEDSPEYKYPRTRVDRDYTARVLIPLEHFKLPVLDGSFLIRDSSMNGTTKSSNFSEKNSKAELNACIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFSLAKNSSLEIDVPKEFDMQNSSLACKSSVIAHEMTPMEVIVRNNTKETIKLSLSITCRDVAGENCVEGDKTTVLWAGVLNGIAMEVPPLQEIKHSFSLYFLVPGEYTLLAAAAIDDANEILRARARASSSDESIFCRGPPFHIRVNGTH >itb08g15430.t2 pep chromosome:ASM357664v1:8:17584801:17594799:-1 gene:itb08g15430 transcript:itb08g15430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDLKIGPLRRPISNYRYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLIKLRSSNLKVLITSATLDGEKVSRFFFDCPVLNVPGKLFPVEIRHSSEKPKNYVEACLKTAIDIHVQEPEGDVLIFMTGQDDIEKLVLKLEEKIQGLEEGSCMDAIVLPLHGSLPPEMQVSVFNRPPPSCRRFIVATNIAETSLTVDGVVYVVDSGYVKQRQYNPSSGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSMIYHDDFLDATVPEIQRSSLAGAVLYLKSLDLPDMDILNFDFLDPPSVESLEDALRQLYLIDAIDENGSITSLGRTMAELPLEPSLSRTLIEANDLGCLSQALTVVAMLSAETTLLPGARNNTEKKKRKHTPSNLPDGSGWGDHIQLLQIYELWDQTDYSIDWCKENNLQVRGMMFVQNVRGQLTQIMQKMAKESLDVQTSRRHKKSQQDYKILRKALCTGYANQLAERMIRHNGYRTLGFKSQLVQVHPSSVLKADEDGMLPNYVVYHELISTTRPFMRNVCAVEMQWVVPILGRLEKLNIKKLSGGSDQPEERIQEENSNLEKKVAAHSEPPEERDSRIQAARARFLARKAQK >itb08g15430.t1 pep chromosome:ASM357664v1:8:17584801:17594799:-1 gene:itb08g15430 transcript:itb08g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPILQFEEKIMETVEKNPVVVVIGETGSGKSTQLSQMLHKRGYTKTGMVAVTQPRRVAAVTVSRRVAEELGVQLGEEVGYAIRFEDRTSEKTNIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLIKLRSSNLKVLITSATLDGEKVSRFFFDCPVLNVPGKLFPVEIRHSSEKPKNYVEACLKTAIDIHVQEPEGDVLIFMTGQDDIEKLVLKLEEKIQGLEEGSCMDAIVLPLHGSLPPEMQVSVFNRPPPSCRRFIVATNIAETSLTVDGVVYVVDSGYVKQRQYNPSSGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSMIYHDDFLDATVPEIQRSSLAGAVLYLKSLDLPDMDILNFDFLDPPSVESLEDALRQLYLIDAIDENGSITSLGRTMAELPLEPSLSRTLIEANDLGCLSQALTVVAMLSAETTLLPGARNNTEKKKRKHTPSNLPDGSGWGDHIQLLQIYELWDQTDYSIDWCKENNLQVRGMMFVQNVRGQLTQIMQKMAKESLDVQTSRRHKKSQQDYKILRKALCTGYANQLAERMIRHNGYRTLGFKSQLVQVHPSSVLKADEDGMLPNYVVYHELISTTRPFMRNVCAVEMQWVVPILGRLEKLNIKKLSGGSDQPEERIQEENSNLEKKVAAHSEPPEERDSRIQAARARFLARKAQK >itb11g14740.t1 pep chromosome:ASM357664v1:11:11974517:11977703:-1 gene:itb11g14740 transcript:itb11g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLASMVVFVILVISMLSATILASKTRNHNGGSGYGPGSLNPTQCLPQCTRRCSQTQYHKPCMFFCQKCCKKCLCVPPGFYGNKGVCPCYNNWKTKEGGPKCP >itb04g22860.t1 pep chromosome:ASM357664v1:4:28116586:28117917:1 gene:itb04g22860 transcript:itb04g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPKREKLHNSLSHPPEQLCFEGESLDHLLKAIDRELECARNLDRALPDKVWFKQVFSIGVNEVTRLLERMPPVNDCCSLVAHNDLVKVPPVQLQAILVAADCNPQWLTKHLPNLAASRRVPLFFVKDKKRASLRLGEVVKLKTAIAVGVKARGNTINHFISSHLLQSSE >itb15g18810.t1 pep chromosome:ASM357664v1:15:20629115:20632792:1 gene:itb15g18810 transcript:itb15g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNLFFAAVVTFSAALVTYNILMSANTSLKLELPGSSDTSSSSSSSTARVFPNSDHSIIKLPLEKASGKKLFHTAVTASDSIYNAWQCRIMYYWFKKFKDGPNSGMGGFTRILHSGSPDRFMDEIPTFVAQPLPSGMDQGYIVLNRPWAFVQWLREADIEEDYILMAEPDHIIVKPIPNLSKDGHGAAFPFFYIEPEKFESVLRKFFPEEKGPITNINPIGNSPVILGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHNVSNILHKELMIQPPWDTEIGNAYIIHYTYGCDYDKKGTLTYGKIGAWRFDKRSYINVWPPRNLPLPPPGIPKSVVTLVKMVNEATANIPNWGS >itb13g23320.t1 pep chromosome:ASM357664v1:13:29352248:29353586:-1 gene:itb13g23320 transcript:itb13g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMRDFPSCFGENGVQVADASCSSVAGVSKAPQNLVTCVYQCRLLEKSCLVTIVWSKNLMGQCLSVEIEDLSHQCLCKVDVKPSLFSKRKGSKSLEVNSCRIDLYWDLSVAKLGSGPEPLEGYYLALVCNGHMALVVGDLRKEAFKKTNANPQLSSAMFISKREHIFGKRVFGTKVQFCDSGPIHDLVIECDTNGVDDPCLFVRIDSKTVMQVRHLCWKFRGNYTILVDGLPVEVFWDVHNWLFGTNFGNAVFMFQTCLSAEKLWKSQSLPDHSVLPWPYSESFSSSKSPGVGFSLILYAWKNE >itb11g23120.t1 pep chromosome:ASM357664v1:11:25054455:25057655:-1 gene:itb11g23120 transcript:itb11g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLRSSSGKWIAAVASIWIQCSCGASYAFGIYSPVLKSSQEYDQSTLDTVSVFKDIGANAGILSGLLYSAVCNSTSPRRGPWLVHLAGAIQCFLGYFFIWLAVVGTIPRPPVPVMSLFMFIAAHAQTFFNTANVVTVVQNFPEYSGTVVGIMKGFLGLSGAILIQVSQTLFNNNPSAFILMLSLLPTLTTLVLMCLVRVHQTPPGNDEKHLNKFLIISLVVATYLLSLRLLEDIFIFPQWVLILTFVVLLVLLFSPFGIAVKAQKESSWSTTNATTPLLNDPEKLAAGDFMDVKEVPTDTIKRKGSSMPQAVEEINPLQAMCTINFWLLFVAMICGMGSGLATINNISQIGESLGYTTLQRSTLVSLWSIWNFLGRLGAGYLSDIFLLRRGCPRPVFIALTLAAMTAGHITIAAGFPGNLYVGSLVVGICYGSQWSLMPTITKEIFGVLHMGTIFNTISIASPVGSYILSVRVIGYIYDKEASGTVNSCYGTKCFMLSYFILASVSLFGCLVALALLIRTRRFYSQALLR >itb10g23100.t1 pep chromosome:ASM357664v1:10:27630221:27634027:-1 gene:itb10g23100 transcript:itb10g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKEVVRAGNGPKPVRGQTVTVHCTGFGKNGDLSQKFWSTKDPGQQPFSFQIGHGKVIKGWDEGVMGMQIGEVARLRCSPDYAYGPSGFPAWGIQPNSVLVFEIEVLGAHVQITHHLPWFPSLSLRNFYQAASKIPKSSQTKFRALKHESGIPGRATIIVRVIACFQPLQNCQAEYFRQLLKPVT >itb10g19580.t1 pep chromosome:ASM357664v1:10:25398557:25401310:-1 gene:itb10g19580 transcript:itb10g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFSRNGFVLIYVVLSLFASCGYVVGQFRAMISFGDSLADTGNLVRISQSNKRVVSGELPYGETYFHHPTGRFSDGRLVVDFIAQSMGFPLLPPSAARRSANANAAGDFTKGVNFAVAGATAVDISYLEARGVSNPSTNVSLGTQLEWFKQMLPSVCNTPSSCKEYLQESLILMGEIGGNDYNHPFLQGHSKEEVLTYVPDVVNSISLAINELIELGAQTLIVPGNLPIGCSAAYLTVLASDNKNDYDATTGCLNWLNEFSQYHNQLLQEELDRLRQLYPDVTLIYADYYNAAMRIYRAPSKFGFASATSACCGGEGPYHYHSSVDCGGPGSTTCDDPSTYVSWDGVHLTEAAYKLIATGLLQGPFTIPHMSELSSFTGFTMGKSDH >itb12g00350.t1 pep chromosome:ASM357664v1:12:325172:328438:-1 gene:itb12g00350 transcript:itb12g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFAALFLWWILVVVGTCPQPLLSYNGGNADETPPRREITRAPHGVVATDDGRCSRIGRDVLVDGGHAVDAAVAAALCLGVVSPASSGIGGGAFMVLRSAHDGEVKAFDMRETAPKRASQNMYAKNPDAKARGALSIATPGELAGLYEAWRKYGNLPWQKLVKPAERLARNGFAISKYLRMQMEATEEMIMADEGLKHVFAPEGRLLQAGDICRNKKLATTLRIISKLGVAAFYNGSIGVSMVRDIQKGGGILTTDDLNHYQVKIRKPIVTDVMGLRVIGMPPPSSGAAAITLILNILSQYGFSPNISPALQIHREIEALKHAFAVRMSLGDPDFVDLKNVLADMLSPKFAAELKKTIYDNTTFNASHYGGRWNQVQDHGTSHVSIVDSNRNAVSMTNTINAYFGSAYMSPSTGIVLNNEMDDFSVPGNDTSRPPAPANFIRPLKRPLSSMSPTIVLNGEHLKAVVGASGGGKIIAGTTEVFLNHFAKGMDPLSSVMAPRYYHQLYPNVLQYENWTTVVGDHFELGADIRLALQNKGHVLSGLAGGTICQFIVQELEPPLSGQLVGVSDPRKGGFPAGY >itb06g16720.t2 pep chromosome:ASM357664v1:6:20763554:20765365:1 gene:itb06g16720 transcript:itb06g16720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPEKKSNFVRTCNLLGQYLHGKVSLRDLSLGIGKPDESRDTATMDFLGNLGKSTQEGEAPCKTAQLTIFYSGKLVVFDDFPADKARAVMLLASRGSPQSSCAVFPAATDDNINRTTAAAADPPPLPLQHAETNASDLPIARRSSLHRFLEKRKDRAIARAPYQVHNHHSAMPSSSKNHPSSSSNNGDEDHSSSNSGNELLDLNFKL >itb06g16720.t1 pep chromosome:ASM357664v1:6:20761371:20765365:1 gene:itb06g16720 transcript:itb06g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPEKKSNFVRTCNLLGQYLHGKVSLRDLSLGIGKPDESRDTATMDFLGNLGKSTQEGEAPCKTAQLTIFYSGKLVVFDDFPADKARAVMLLASRGSPQSSCAVFPAATDDNINRTTAAAADPPPLPLQHAETNASDLPIARRSSLHRFLEKRKDRAIARAPYQVHNHHSAMPSSSKNHPSSSSNNGDEDHSSSNSGNELLDLNFKL >itb06g16720.t3 pep chromosome:ASM357664v1:6:20763611:20765365:1 gene:itb06g16720 transcript:itb06g16720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPEKKSNFVRTCNLLGQYLHGKVSLRDLSLGIGKPDESRDTATMDFLGNLGKSTQEGEAPCKTAQLTIFYSGKLVVFDDFPADKARAVMLLASRGSPQSSCAVFPAATDDNINRTTAAAADPPPLPLQHAETNASDLPIARRSSLHRFLEKRKDR >itb05g14150.t1 pep chromosome:ASM357664v1:5:21199029:21224491:1 gene:itb05g14150 transcript:itb05g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFGNPKSNQAGTISKIRVENFMCHNNLEIDFGESVNFITGQNGSGKSAILTALCLAFGSRARGTQRANTMKDFIKTGCSYALVHVEIKNQGEDSFKPETYGRTIIVERRISESASSIILKNHQGKKVATRREDLHELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVEDLLKGIEGHLCNADSLIDELEKSISPIVKEIEELKIKIKSVEHIEEISHQLELLKKKLAWSWVYNVDTQLREKSELIVKMNGRIPMCQSRIDQWTKKIEDLSDCIAKKNAQVAVLMEETSKFMTEKDDLKQRISLATRDKLGLEEEHGRKANYIQTLEKRRKLLDRQIHETQEQHIRNTQAEEHEMEERLKGLQEDVDNACLILERLRAEEDALLVNIGETKDQMNAIIGEIQENEKELDHIRRHIRELQLNRTSKVTAFGGSRVTHLLKEIERNHRRFKRSPIGPIGSHVTLDGGEKWGAAVENAIGRLLNAFIVTDHKDSLVLRACAKDANYSSLQIIIYDFSRPRLDIPSAMLPQTGYPTTISVLRSEKDTVHNVLIDMGNAERQVLVDNYEVGKRVAFQQAIPNLKEVYTSDGYKMFSRGSVQTTLPPMKNARTGRLCGSFVNQIESLERDALNVKERNDESKVLKRRYDEDLRILDEKLQNTKRMRIGADRDLQSKEYCLKDFKKSRFAETCTPITSNDELLQEESKIINEIQEEEKLLEEIQSRLNKAEVKRNELKMSLEKLCESANVDKVALGNAEKELTLIEQDLQVAKLKKNHYEDLMNSKVLTELKDVEKEYQELEHSRKESYRKASIICSESEIDSLGGCNGSTPEQLSAQLTRLSNRLQQESRRHPESIDDLRVLYENKERKIYKKQQTYKAFRDKLAACRQALDLRWNKFQRNASLLKRQLTWQFNGHLGKKGISGKIIVEYEKKTLSVEVTMPQDASSSSVQDTRGLSGGERSFSTLCFALALHEMIEAPFRAMDEFDVFMDAVSRKISLDALVDFALAQGSQWIFITPHDISMVKQDERVRKQQMAAPRA >itb05g14150.t3 pep chromosome:ASM357664v1:5:21199029:21219463:1 gene:itb05g14150 transcript:itb05g14150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFGNPKSNQAGTISKIRVENFMCHNNLEIDFGESVNFITGQNGSGKSAILTALCLAFGSRARGTQRANTMKDFIKTGCSYALVHVEIKNQGEDSFKPETYGRTIIVERRISESASSIILKNHQGKKVATRREDLHELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVEDLLKGIEGHLCNADSLIDELEKSISPIVKEIEELKIKIKSVEHIEEISHQLELLKKKLAWSWVYNVDTQLREKSELIVKMNGRIPMCQSRIDQWTKKIEDLSDCIAKKNAQVAVLMEETSKFMTEKDDLKQRISLATRDKLGLEEEHGRKANYIQTLEKRRKLLDRQIHETQEQHIRNTQAEEHEMEERLKGLQEDVDNACLILERLRAEEDALLVNIGETKDQMNAIIGEIQENEKELDHIRRHIRELQLNRTSKVTAFGGSRVTHLLKEIERNHRRFKRSPIGPIGSHVTLDGGEKWGAAVENAIGRLLNAFIVTDHKDSLVLRACAKDANYSSLQIIIYDFSRPRLDIPSAMLPQTGYPTTISVLRSEKDTVHNVLIDMGNAERQVLVDNYEVGKRVAFQQAIPNLKEVYTSDGYKMFSRGSVQTTLPPMKNARTGRLCGSFVNQIESLERDALNVKERNDESKVLKRRYDEDLRILDEKLQNTKRMRIGADRDLQSKEYCLKDFKKSRFAETCTPITSNDELLQEESKIINEIQEEEKLLEEIQSRLNKAEVKRNELKMSLEKLCESANVDKVALGNAEKELTLIEQDLQVAKLKKNHYEDLMNSKVLTELKDVEKEYQELEHSRKVCF >itb05g14150.t4 pep chromosome:ASM357664v1:5:21199029:21224451:1 gene:itb05g14150 transcript:itb05g14150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFGNPKSNQAGTISKIRVENFMCHNNLEIDFGESVNFITGQNGSGKSAILTALCLAFGSRARGTQRANTMKDFIKTGCSYALVHVEIKNQGEDSFKPETYGRTIIVERRISESASSIILKNHQGKKVATRREDLHELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVEDLLKGIEGHLCNADSLIDELEKSISPIVKEIEELKIKIKSVEHIEEISHQLELLKKKLAWSWVYNVDTQLREKSELIVKMNGRIPMCQSRIDQWTKKIEDLSDCIAKKNAQVAVLMEETSKFMTEKDDLKQRISLATRDKLGLEEEHGRKANYIQTLEKRRKLLDRQIHETQEQHIRNTQAEEHEMEERLKGLQEDVDNACLILERLRAEEDALLVNIGETKDQMNAIIGEIQENEKELDHIRRHIRELQLNRTSKVTAFGGSRVTHLLKEIERNHRRFKRSPIGPIGSHVGNAERQVLVDNYEVGKRVAFQQAIPNLKEVYTSDGYKMFSRGSVQTTLPPMKNARTGRLCGSFVNQIESLERDALNVKERNDESKVLKRRYDEDLRILDEKLQNTKRMRIGADRDLQSKEYCLKDFKKSRFAETCTPITSNDELLQEESKIINEIQEEEKLLEEIQSRLNKAEVKRNELKMSLEKLCESANVDKVALGNAEKELTLIEQDLQVAKLKKNHYEDLMNSKVLTELKDVEKEYQELEHSRKESYRKASIICSESEIDSLGGCNGSTPEQLSAQLTRLSNRLQQESRRHPESIDDLRVLYENKERKIYKKQQTYKAFRDKLAACRQALDLRWNKFQRNASLLKRQLTWQFNGHLGKKGISGKIIVEYEKKTLSVEVTMPQDASSSSVQDTRGLSGGERSFSTLCFALALHEMIEAPFRAMDEFDVFMDAVSRKISLDALVDFALAQGSQWIFITPHDISMVKQDERVRKQQMAAPRA >itb05g14150.t5 pep chromosome:ASM357664v1:5:21199029:21224491:1 gene:itb05g14150 transcript:itb05g14150.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFGNPKSNQAGTISKIRVENFMCHNNLEIDFGESVNFITGQNGSGKSAILTALCLAFGSRARGTQRANTMKDFIKTGCSYALVHVEIKNQGEDSFKPETYGRTIIVERRISESASSIILKNHQGKKVATRREDLHELVEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVEDLLKGIEGHLCNADSLIDELEKSISPIVKEIEELKIKIKSVEHIEEISHQLELLKKKLAWSWVYNVDTQLREKSELIVKMNGRIPMCQSRIDQWTATRDKLGLEEEHGRKANYIQTLEKRRKLLDRQIHETQEQHIRNTQAEEHEMEERLKGLQEDVDNACLILERLRAEEDALLVNIGETKDQMNAIIGEIQENEKELDHIRRHIRELQLNRTSKVTAFGGSRVTHLLKEIERNHRRFKRSPIGPIGSHVTLDGGEKWGAAVENAIGRLLNAFIVTDHKDSLVLRACAKDANYSSLQIIIYDFSRPRLDIPSAMLPQTGYPTTISVLRSEKDTVHNVLIDMGNAERQVLVDNYEVGKRVAFQQAIPNLKEVYTSDGYKMFSRGSVQTTLPPMKNARTGRLCGSFVNQIESLERDALNVKERNDESKVLKRRYDEDLRILDEKLQNTKRMRIGADRDLQSKEYCLKDFKKSRFAETCTPITSNDELLQEESKIINEIQEEEKLLEEIQSRLNKAEVKRNELKMSLEKLCESANVDKVALGNAEKELTLIEQDLQVAKLKKNHYEDLMNSKVLTELKDVEKEYQELEHSRKESYRKASIICSESEIDSLGGCNGSTPEQLSAQLTRLSNRLQQESRRHPESIDDLRVLYENKERKIYKKQQTYKAFRDKLAACRQALDLRWNKFQRNASLLKRQLTWQFNGHLGKKGISGKIIVEYEKKTLSVEVTMPQDASSSSVQDTRGLSGGERSFSTLCFALALHEMIEAPFRAMDEFDVFMDAVSRKISLDALVDFALAQGSQWIFITPHDISMVKQDERVRKQQMAAPRA >itb05g14150.t2 pep chromosome:ASM357664v1:5:21205424:21224451:1 gene:itb05g14150 transcript:itb05g14150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLKGLQEDVDNACLILERLRAEEDALLVNIGETKDQMNAIIGEIQENEKELDHIRRHIRELQLNRTSKVTAFGGSRVTHLLKEIERNHRRFKRSPIGPIGSHVTLDGGEKWGAAVENAIGRLLNAFIVTDHKDSLVLRACAKDANYSSLQIIIYDFSRPRLDIPSAMLPQTGYPTTISVLRSEKDTVHNVLIDMGNAERQVLVDNYEVGKRVAFQQAIPNLKEVYTSDGYKMFSRGSVQTTLPPMKNARTGRLCGSFVNQIESLERDALNVKERNDESKVLKRRYDEDLRILDEKLQNTKRMRIGADRDLQSKEYCLKDFKKSRFAETCTPITSNDELLQEESKIINEIQEEEKLLEEIQSRLNKAEVKRNELKMSLEKLCESANVDKVALGNAEKELTLIEQDLQVAKLKKNHYEDLMNSKVLTELKDVEKEYQELEHSRKESYRKASIICSESEIDSLGGCNGSTPEQLSAQLTRLSNRLQQESRRHPESIDDLRVLYENKERKIYKKQQTYKAFRDKLAACRQALDLRWNKFQRNASLLKRQLTWQFNGHLGKKGISGKIIVEYEKKTLSVEVTMPQDASSSSVQDTRGLSGGERSFSTLCFALALHEMIEAPFRAMDEFDVFMDAVSRKISLDALVDFALAQGSQWIFITPHDISMVKQDERVRKQQMAAPRA >itb01g06770.t3 pep chromosome:ASM357664v1:1:4992698:4997964:1 gene:itb01g06770 transcript:itb01g06770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNMIGRELQASGFEGPTQNIFVPTSLIELRISDLNGGGVSGFPTFTNLTGMRFLMLRRCNISGKIPEYIANMTSLRQLDLSFNHLEGGILVLQGLNKLQYMYLTNNFLTGQVPQWILNRGSLYYIDLSYNNFKENSVSPICNQETLNLFKSYNGGENEETDKCLKNCTKDWYSFHINCGGGNVLVGDTTYDADDDSTGLAKFVHKRENWVTSNTGYFWDKKVIVSDYTTTNISVIKGEDLEIYKTARLSPLSLTYYGRCLANGNYTVKLHFAEIVIRDNRSFESLGRRIFDVYIQGERKLKDFDIRTEAQGVDKALVKQFQAVVIDKTLEVRFEYAGKGTTASPRRGTYGPLISAISVESDFKPPKNRKTLIIVVSIASSSFLIFTILCFAGWKVYNKSKTSHEELRGLDLKTSLFTFRQIKVATNNFNVANKIGEGGFGPVYKGTLLDGTVIAVKQLSTKSRQGYREFLNEIGMISCQQHPNLVKLYGCCVERKPLLLVYEYMENNSLAHALFGPEELGLKIDWPTRQNICVGIAKGLAFLHEESTIKVVHRDIKTTNVLLDKELNPKISDFGLAKLYDDEKSHISTRVAGTLGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKYRHDEECVCLLDWALVLQEKGTLMELIDPRLHSDYDKEEALRMIKVALLCTNTSPVLRPSMSAVVNMLEGHDDILKCNSNLHESNFQEIKDHYDEMPADLSDMILPTE >itb01g06770.t1 pep chromosome:ASM357664v1:1:4991740:4997964:1 gene:itb01g06770 transcript:itb01g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELLCVSVNRLSGPIPKYLGNITTLIYLSLENNMFNGSVPPELGKLMNLQNLILSANYITGKLPKEVLNGLTNLTEFRLSSNNFTGKLPRFQTFKNLQKLELQASGFEGPTQNIFVPTSLIELRISDLNGGGVSGFPTFTNLTGMRFLMLRRCNISGKIPEYIANMTSLRQLDLSFNHLEGGILVLQGLNKLQYMYLTNNFLTGQVPQWILNRGSLYYIDLSYNNFKENSVSPICNQETLNLFKSYNGGENEETDKCLKNCTKDWYSFHINCGGGNVLVGDTTYDADDDSTGLAKFVHKRENWVTSNTGYFWDKKVIVSDYTTTNISVIKGEDLEIYKTARLSPLSLTYYGRCLANGNYTVKLHFAEIVIRDNRSFESLGRRIFDVYIQGERKLKDFDIRTEAQGVDKALVKQFQAVVIDKTLEVRFEYAGKGTTASPRRGTYGPLISAISVESDFKPPKNRKTLIIVVSIASSSFLIFTILCFAGWKVYNKSKTSHEELRGLDLKTSLFTFRQIKVATNNFNVANKIGEGGFGPVYKGTLLDGTVIAVKQLSTKSRQGYREFLNEIGMISCQQHPNLVKLYGCCVERKPLLLVYEYMENNSLAHALFGPEELGLKIDWPTRQNICVGIAKGLAFLHEESTIKVVHRDIKTTNVLLDKELNPKISDFGLAKLYDDEKSHISTRVAGTL >itb01g06770.t2 pep chromosome:ASM357664v1:1:4991740:4997964:1 gene:itb01g06770 transcript:itb01g06770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELLCVSVNRLSGPIPKYLGNITTLIYLSLENNMFNGSVPPELGKLMNLQNLILSANYITGKLPKEVLNGLTNLTEFRLSSNNFTGKLPRFQTFKNLQKLELQASGFEGPTQNIFVPTSLIELRISDLNGGGVSGFPTFTNLTGMRFLMLRRCNISGKIPEYIANMTSLRQLDLSFNHLEGGILVLQGLNKLQYMYLTNNFLTGQVPQWILNRGSLYYIDLSYNNFKENSVSPICNQETLNLFKSYNGGENEETDKCLKNCTKDWYSFHINCGGGNVLVGDTTYDADDDSTGLAKFVHKRENWVTSNTGYFWDKKVIVSDYTTTNISVIKGEDLEIYKTARLSPLSLTYYGRCLANGNYTVKLHFAEIVIRDNRSFESLGRRIFDVYIQGERKLKDFDIRTEAQGVDKALVKQFQAVVIDKTLEVRFEYAGKGTTASPRRGTYGPLISAISVESDFKPPKNRKTLIIVVSIASSSFLIFTILCFAGWKVYNKSKTSHEELRGLDLKTSLFTFRQIKVATNNFNVANKIGEGGFGPVYKGTLLDGTVIAVKQLSTKSRQGYREFLNEIGMISCQQHPNLVKLYGCCVERKPLLLVYEYMENNSLAHALFGPEELGLKIDWPTRQNICVGIAKGLAFLHEESTIKVVHRDIKTTNVLLDKELNPKISDFGLAKLYDDEKSHISTRVAGTLGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKYRHDEECVCLLDWALVLQEKGTLMELIDPRLHSDYDKEEALRMIKVALLCTNTSPVLRPSMSAVVNMLEGHDDILKCNSNLHESNFQEIKDHYDEMPADLSDMILPTE >itb06g20420.t2 pep chromosome:ASM357664v1:6:23393658:23399866:-1 gene:itb06g20420 transcript:itb06g20420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSVSKLPFFFFFLVSIFLAMGAQLICCSVTYDKRAIIINGQRRILLSGSIHYPRSTPEMWEDLIRKAKNANLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKVGLYVHLRIGPYICGEWNFGGFPVWLRFVPGISFRTNNGPFKAEMHRFTKKIVEMLKSERLFQSQGGPIIMSQIENEYGSERMALGEAGEAYIKWAAKLAVGLKTGVPWIMCKEDDAPDPIINACNGFYCDAFSPNKPYKPKMWTEAWSAWFTEFGGTIRQRPVQDLAFAVARFIQKGGSYINYYMFHGGTNFGRTAGGPFVTTSYDYDAPIDEYGLIRQPKYGHLRELHRAIKLCQEAIVSSDPRVISLGSSQEASIFSDGKGKCAAFLANLDTKSAARVVFNNRHHKLPPWSVSILPDCNNVVFNTAKVGTQTSKVKMSQSNSRLHSWETYHEDLAALKDSSAFTAVGLLDQINVTKDNSDYLWYITSVDISSSEAFLRGGKKPTLIIHSRGHAVHVFINRKFSGSAYGSREGMRFTFNGPVELHAGKNEIALLSLAMGLPNVGLHFETWNTGILGPVLLRGLNQGHKDLSWQTWKYRVGLKGESMNVVSPNGVSSVNWIGGSLVTQGQQPLKWYKAYFDEPNGNEPLALDMRSMGKGQVWINGQNIGRYWMIYAKGNCGRCHYSGTYRAPKCQHGCGEPTQRWYHVPRSWLKPRQNLLVLFEELGGDVSKISLVKRSTASICADTHEHHPSVANWRAENTSEPTMLHQAKVHLHCAPGQSISAITFASYGTPLGTCGSFKRGTCHAENSHKILEKECLGRKSCKVAISNTFFGIDPCPNVLKKLSAEAMCSTTGTQTFQSNTTTEWIY >itb06g20420.t1 pep chromosome:ASM357664v1:6:23393692:23400138:-1 gene:itb06g20420 transcript:itb06g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSVSKLPFFFFFLVSIFLAMGAQLICCSVTYDKRAIIINGQRRILLSGSIHYPRSTPEMWEDLIRKAKNANLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKVGLYVHLRIGPYICGEWNFGGFPVWLRFVPGISFRTNNGPFKAEMHRFTKKIVEMLKSERLFQSQGGPIIMSQIENEYGSERMALGEAGEAYIKWAAKLAVGLKTGVPWIMCKEDDAPDPIINACNGFYCDAFSPNKPYKPKMWTEAWSAWFTEFGGTIRQRPVQDLAFAVARFIQKGGSYINYYMFHGGTNFGRTAGGPFVTTSYDYDAPIDEYGLIRQPKYGHLRELHRAIKLCQEAIVSSDPRVISLGSSQEASIFSDGKGKCAAFLANLDTKSAARVVFNNRHHKLPPWSVSILPDCNNVVFNTAKVGTQTSKVKMSQSNSRLHSWETYHEDLAALKDSSAFTAVGLLDQINVTKDNSDYLWYITSVDISSSEAFLRGGKKPTLIIHSRGHAVHVFINRKFSGSAYGSREGMRFTFNGPVELHAGKNEIALLSLAMGLPNVGLHFETWNTGILGPVLLRGLNQGHKDLSWQTWKYRVGLKGESMNVVSPNGVSSVNWIGGSLVTQGQQPLKWYKAYFDEPNGNEPLALDMRSMGKGQVWINGQNIGRYWMIYAKGNCGRCHYSGTYRAPKCQHGCGEPTQRWYHVPRSWLKPRQNLLVLFEELGGDVSKISLVKRSTASICADTHEHHPSVANWRAENTSEPTMLHQAKVHLHCAPGQSISAITFASYGTPLGTCGSFKRGTCHAENSHKILEKECLGRKSCKVAISNTFFGIDPCPNVLKKLSAEAMCSTTGTQTFQSNTTTEWIY >itb01g17050.t1 pep chromosome:ASM357664v1:1:21696960:21697364:-1 gene:itb01g17050 transcript:itb01g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPEAMQNPSSVLQRDFQWSDPKERHELVRRDVKQVICVVCRCNISFAGVCLNCGVKFGDYFCEICRLYDDDTTKDQYHCNECGIYRIGSRENFFHCQKCGSFYSVDLCDNHMCVENSMKSYCPICYEFLFE >itb02g07570.t2 pep chromosome:ASM357664v1:2:4713119:4717190:-1 gene:itb02g07570 transcript:itb02g07570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSQSTCSNRSNGERGSPECLGISMFGRKRTRTFSDPVTVLHHLSSICTRIFTNGKSRTSCIFTQQGRKGINQDAMLVWEDFMGEDVTFCGVFDGHGPYGHMVSRKVRDALPLKLLSYLQPCETKYDGSGANCCNGNPKLDVVDPHKAGAVEDKVDSLWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGNIGDSRAILASKASNDSMVAIQLTVDLKPDLPKEAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLTDRDKFIVLASDGVWDVLSNEEVVEIVSSSPSRSGAARILVESAAREWKTKYPTSKMDDCAVVCLFLDGKMDSESDYDEQCFSSATLQSNHSGNAAESDDGQTSEPSMQRNFTVRAPEETDSYKRVAAAAAAETGSNKERVVAEDENYWSGLEGVTRVNSLVQLPRFCEERP >itb02g07570.t4 pep chromosome:ASM357664v1:2:4714009:4717192:-1 gene:itb02g07570 transcript:itb02g07570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSQSTCSNRSNGERGSPECLGISMFGRKRTRTFSDPVTVLHHLSSICTRIFTNGKSRTSCIFTQQGRKGINQDAMLVWEDFMGEDVTFCGVFDGHGPYGHMVSRKVRDALPLKLLSYLQPCETKYDGSGANCCNGNPKLDVVDPHKAGAVEDKVDSLWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGNIGDSRAILASKASNDSMVAIQLTVDLKPDLPKEAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLTDRDKFIVLASDGVSSFLKILVVPILCLVAIT >itb02g07570.t3 pep chromosome:ASM357664v1:2:4713175:4715922:-1 gene:itb02g07570 transcript:itb02g07570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSQSTCSNRSNGERGSPECLGISMFGRKRTRTFSDPVTVLHHLSSICTRIFTNGKSRTSCIFTQQGRKGINQDAMLVWEDFMGEDVTFCGVFDGHGPYGHMVSRKVRDALPLKLLSYLQPCETKYDGSGANCCNGNPKLDVVDPHKAGAVEDKVDSLWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGNIGDSRAILASKASNDSMVAIQLTVDLKPDLPKEAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLTDRDKFIVLASDGVWDVLSNEEVVEIVSSSPSRSGAARILVESAAREWKTKYPTSKMDDCAVVCLFLDGKMDSESDYDEQCFSSATLQSNHSGNAAESDDGQTSEPSMQRNFTVRAPEETDSYKRVAAAAAAETGSNKERVVAEDENYWSGLEGVTRVNSLVQLPRFCEERP >itb02g07570.t1 pep chromosome:ASM357664v1:2:4713119:4717192:-1 gene:itb02g07570 transcript:itb02g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSQSTCSNRSNGERGSPECLGISMFGRKRTRTFSDPVTVLHHLSSICTRIFTNGKSRTSCIFTQQGRKGINQDAMLVWEDFMGEDVTFCGVFDGHGPYGHMVSRKVRDALPLKLLSYLQPCETKYDGSGANCCNGNPKLDVVDPHKAGAVEDKVDSLWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGNIGDSRAILASKASNDSMVAIQLTVDLKPDLPKEAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLTDRDKFIVLASDGVWDVLSNEEVVEIVSSSPSRSGAARILVESAAREWKTKYPTSKMDDCAVVCLFLDGKMDSESDYDEQCFSSATLQSNHSGNAAESDDGQTSEPSMQRNFTVRAPEETDSYKRVAAAAAAETGSNKERVVAEDENYWSGLEGVTRVNSLVQLPRFCEERP >itb02g07570.t5 pep chromosome:ASM357664v1:2:4714290:4717192:-1 gene:itb02g07570 transcript:itb02g07570.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSQSTCSNRSNGERGSPECLGISMFGRKRTRTFSDPVTVLHHLSSICTRIFTNGKSRTSCIFTQQGRKGINQDAMLVWEDFMGEDVTFCGVFDGHGPYGHMVSRKVRDALPLKLLSYLQPCETKYDGSGANCCNGNPKLDVVDPHKAGAVEDKVDSLWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGNIGDSRAILASKASNDSMVAIQLTVDLKPDLPSILLARIKLLILQARYVNSF >itb03g17490.t1 pep chromosome:ASM357664v1:3:16105409:16108935:1 gene:itb03g17490 transcript:itb03g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLFEDLPPPNASANTGTAGTSSSSAAAKATSVEASPAAPPPPKPALKSALKRPKPPQDTPPETSASGKRLRFKTTTDASETQVIEAMQKISSHIKNLSKFGKASKLAIQLIEAGSVKPSTSDHFFAILEAAMSSSTACNEPSVRADYHTLFSASQDVVECLSKKQQNSLAVWTIRAVVANDLFTDDSFVFSKATGKVKEGISNLPIATKDDDIEESAALEDETPPANANGQTNEGQPPNEINNKEEQDPFGLDALIPSTSKKEDKAKAVKEKKEPDEAKRFLKSKREAWISCLEIAAKRYKTPWCQTGIDILVKHAFDNVSRFTSKQREAVNKLWVSVREQQVRRKQGKSVSGKLDVNGFEYLQQKYANEKISIRHSVGGSGDRKCTQWLG >itb15g03630.t1 pep chromosome:ASM357664v1:15:2286951:2289068:-1 gene:itb15g03630 transcript:itb15g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREGQQVHKHVVQSGHGSSPFVQTSLLNFYAKCEEIELSQKVFDEIPERNVVAWSAMISGYSRLGMANQALDSFREMQKAGISPDRVTLVSAISACAISGALDLGKWLHAYIDKKGIENDLELNTALVNMYVKCGCVEKAKEVFEAMPVKDVKAWGSMIYGLAINGLAEDALNAFSTMGETKVEPNHVTLVGVLMACAHCGLVSEGKKYWSSMIESGIAPSLEHYGLMVDLFCRSNLIEDANSFVESMPIPQNPAILRSLLVACKKKKILDRGEVTAKRLIELEPQNAENYILLSSLYASVSDWERMREVRKQMKDKDIKTVPGCSSIEVDGFVHEFVMGDWSHPEAEELKGILSEISDRVRGSGHEPWISSVLHDTSDEEKEHALCEHSERLAIAYGLLRTEAPTVIRVVKNLRVCRDCHEVTKIISRLYNREIIVRDRVRFHKFIDGACSCRDFW >itb14g17910.t1 pep chromosome:ASM357664v1:14:21080969:21096254:-1 gene:itb14g17910 transcript:itb14g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKGPTPPEDDNQEEAFEHFDDFTLASTWERFISEIEAVCRQWLADGPRNLLKKGAVCLDAPEGLYKVKSDLKYASRGYLMEYYFETNNDGKMSDWTNELHDLQLSFGVKDFLVIAPQSASGVLLDRPEATKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQNMGTLFTRRFEADRIGSQVPVKLMHLEGLFELFVSKFAFNLVDLSMHLFKVNFKMKLTYKSLPIDDEDDIEEIDAEVGSDGSPKSNSHSKTQWDDYCPWSEWYTAEDPIKGFELHALWFETTVGSSLDMAELENASPLEAEKWLISPNLSEAFGGNGRTIGFASQLRLLVAALDKSFEAEFMEDFVSVENSGSESLKSTAVVPPPTVLDRVLKDLFSEVEGEQLGSPEGEHKSSRAIKGAPLQSLFAQFCLHALWFGDCNIRAIAVLWIEFVREVRWCWEESQPLPRMPADGVIDLSTSLVNQKLHMLSICINKIQQHNQRHQKDSQNKDILYAHVKEGNLVQSEIYPDGIMQGSCEEQDRLPTSDGSCDAPSALTSESPDTGKLSNQKPSEHIRRGSAGVAGSTMLLKSHQSMHTPYTQDLPLMTEDMHEERLQAVEAFSDSFSFSAQPEKEILSSDMSAFKAANPDAVFEDFIRWHSPRDWESDDNDAMEGSNKEWPPRGRLSERMSEKGNAWRKIWNEAPPLPASEQKPLLDPNREGEKALHYLETLQPHQLLEQMICTSFRAAADTLNQATFGALKPPMATKIDQLYLTLASSLRCFQKNDLFVDAETIDDLKRLSSIFEHVEKLVTLAVSLHRKFMHAPRLSEAIFNDYINFYIPKMGTASAGGGDTKEFTKKQLVRRREREIVASMFTPPTANQSWRKVLSMGNLLNGHEPILREIIFSKSEQISGSYYATNTPRNYDQDIETYRMYICGTSNDLSIALAVTSCD >itb14g17910.t2 pep chromosome:ASM357664v1:14:21080969:21094366:-1 gene:itb14g17910 transcript:itb14g17910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWTNELHDLQLSFGVKDFLVIAPQSASGVLLDRPEATKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQNMGTLFTRRFEADRIGSQVPVKLMHLEGLFELFVSKFAFNLVDLSMHLFKVNFKMKLTYKSLPIDDEDDIEEIDAEVGSDGSPKSNSHSKTQWDDYCPWSEWYTAEDPIKGFELHALWFETTVGSSLDMAELENASPLEAEKWLISPNLSEAFGGNGRTIGFASQLRLLVAALDKSFEAEFMEDFVSVENSGSESLKSTAVVPPPTVLDRVLKDLFSEVEGEQLGSPEGEHKSSRAIKGAPLQSLFAQFCLHALWFGDCNIRAIAVLWIEFVREVRWCWEESQPLPRMPADGVIDLSTSLVNQKLHMLSICINKIQQHNQRHQKDSQNKDILYAHVKEGNLVQSEIYPDGIMQGSCEEQDRLPTSDGSCDAPSALTSESPDTGKLSNQKPSEHIRRGSAGVAGSTMLLKSHQSMHTPYTQDLPLMTEDMHEERLQAVEAFSDSFSFSAQPEKEILSSDMSAFKAANPDAVFEDFIRWHSPRDWESDDNDAMEGSNKEWPPRGRLSERMSEKGNAWRKIWNEAPPLPASEQKPLLDPNREGEKALHYLETLQPHQLLEQMICTSFRAAADTLNQATFGALKPPMATKIDQLYLTLASSLRCFQKNDLFVDAETIDDLKRLSSIFEHVEKLVTLAVSLHRKFMHAPRLSEAIFNDYINFYIPKMGTASAGGGDTKEFTKKQLVRRREREIVASMFTPPTANQSWRKVLSMGNLLNGHEPILREIIFSKSEQISGSYYATNTPRNYDQDIETYRMYICGTSNDLSIALAVTSCD >itb15g04230.t1 pep chromosome:ASM357664v1:15:2686630:2690219:1 gene:itb15g04230 transcript:itb15g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSANLFTNHNPLSPAANKYRRSTNLVPQYRRLAIPPCNGGGRVAACLNVETRAPDSGAAKLGTRAKSSEIMEESERYFVGTYARAPLVLSSGKGCKLYDVEGREYLDLTSGIAVNALGHADPDWVRAVTQQANILTHVSNIYHSLPQVELAKRLVGCSFADRVFFSNSGTEANEAAIKFARKFQRFSHPDMEQPPVEFIAFSNCFHGRTMGAVALTSKVHYRSPFEPVMPGVTFLEYGNIQAATELIQSGKIAAVFVEPIQGEGGIYSATKEFLQALRTSCDNAGSLLVFDEVQCGLGRTGYLWAHEAYGVYPDIMTLAKPLAGGLPIGAVLVTEKVAAAINYGDHGSTFAGGPLVCNAAVTVLDKISNPEFLASVSDKGQYFKELLGKKLGGNNHVKEIRGFGLIIGIELDVAATPLVEACQNSGLLVLTAGKGNVVRLVPPLIISKQELDQAADVLAACLPVLDKST >itb10g03300.t1 pep chromosome:ASM357664v1:10:3011406:3015855:1 gene:itb10g03300 transcript:itb10g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNGNHVVALDLKDERSNLDDSGCDFIFTLPFIQKLIAEMFGTYFLIFAGCGAVVVNAEKGNITFPGISIVWGLVVMVMVYSVGHISGAHFNPAVTIAFASCKRFPFKQVPLYLCAQILGATLASGTLRLLFHGTHDHFAGTLPQGSDSQSLVIEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGPISGASMNPARSLGPAIVSKKYTGIWIYLLGPTAGAIAGAWVYNTIRFTDKPLREITKSGSFLRTKGSGSRNGSK >itb04g29440.t1 pep chromosome:ASM357664v1:4:32817091:32817432:-1 gene:itb04g29440 transcript:itb04g29440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLHSTVRFFTRAWPLLVYAAVWTLLLLITVGVASSLAQLAYVSVVSPCNAGFLRVPLDVPPEKFCLPVRLMKRSQWDFLVPIVFAALIVSTSAYMIRSVGFWEFENDYY >itb11g23160.t1 pep chromosome:ASM357664v1:11:25076934:25078489:-1 gene:itb11g23160 transcript:itb11g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSTFLEILRGIIHRLRGNAGIFSLAFTALRLCLATSLGFVFNRGCPALCPQLWKNSFKENQLLRIYLVKVVAMCQVQGLLI >itb04g33440.t1 pep chromosome:ASM357664v1:4:35687755:35692622:-1 gene:itb04g33440 transcript:itb04g33440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQPMAASQPYHQATTLEEVRTLWIGDLPYWAEEPYLQSWFSHTGEVLSIKVIRNKITGQPEGYGFVEFTSHAVAEHILQSYNGTQIPGTELTFRLNWASSGIGERRDAGPEHSIFVGDLAPDVTDYLLQETFRTHYPSVRGAKVVTDPNTGRSKGYGFVKFADETERNRAMTEMNGAYCSTRPMRISAATPKKTTGLQQPYAVAKALYPPPVYPPVMPTIPETDVNNTTIFVGNLDPNVTEEELRQIFLQFGEIIYVKIVAAKACAFVQFAARASAEEAIQRMNGTVIGQQIVRVYWGRSPTVKQDAGIWGQAADASQWSAYYGYGQGYDAYAYGATQDPSVYAYSAYPGYAQYPQQGEGAQDIATLSATMPAVDQREERQDPLATPDVDRLNASYLAVHASAILGRPLWQKTASLSEQA >itb04g33440.t2 pep chromosome:ASM357664v1:4:35689353:35692604:-1 gene:itb04g33440 transcript:itb04g33440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQPMAASQPYHQATTLEEVRTLWIGDLPYWAEEPYLQSWFSHTGEVLSIKVIRNKITGQPEGYGFVEFTSHAVAEHILQSYNGTQIPGTELTFRLNWASSGIGERRDAGPEHSIFVGDLAPDVTDYLLQETFRTHYPSVRGAKVVTDPNTGRSKGYGFVKFADETERNRAMTEMNGAYCSTRPMRISAATPKKTTGLQQPYAVAKALYPPPVYPPVMPTIPETDVNNTTIFVGNLDPNVTEEELRQIFLQFGEIIYVKIVAAKACAFVQFAARASAEEAIQRMNGTVIGQQIVRVYWGRSPTVKQDAGIWGQAADASQWSAYYGYGQGYDAYAYGATQDPSVYAYSAYPGYAQYPQQVIFDHFFGHIHTPAKLSS >itb14g08440.t1 pep chromosome:ASM357664v1:14:7888131:7888640:1 gene:itb14g08440 transcript:itb14g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLLSTAAKRLEGKVAIIIGGIGSRITQLFSEHGAKVLIADTRDYESQSICKDLGPGNAFFVHCDVTSESDVQNAVNKAFSTHGRLDIMVNNAGIMGDKVLDILDCEVTDFINVFHVNTLGAFLLMSFMLTPLVHFWN >itb07g17770.t1 pep chromosome:ASM357664v1:7:22058191:22060157:1 gene:itb07g17770 transcript:itb07g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDGDVGSEEVSTDKVNDIQSIRTASSDGDLQAASKNSPDSPSPRKSRLFGRQKSLHAALGGGKSADIMLWRNKQISAGALASSTVIWLLFEWIGYHLLTFICHCLILLLAILFLWSNLSSFVNKTPLDFPEFILPEDLCMRAALAVRDKCHWAIGIFREVASGKDLKKFLYAIMGLWILSAIGSWFDFLTLVYIIFIMLLTLPIFYEKHEDQVDAYAHKARKELKRQYSHLDEKLLQKLPKVNFGKDSKQH >itb07g07310.t1 pep chromosome:ASM357664v1:7:5556238:5562368:-1 gene:itb07g07310 transcript:itb07g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEELCGASRFHVMECKEGKGKPRNIAIFLKYVLPSLVSVVFVAILLVWLLTFWKRNKQRKPRAEDTLDVELKRISYYEILGATEDFDESNLIGRGSFSSIVDSNLLTGEEGGGQEIEECFLMVMGLAVECTADFPEERMSIEDVARNPNEVELGCQHTLKSHGTTVAKHHRHDWLILLLLVVLDVILNVISPFYRFVGKDMMTDLKYPFKDNTVPIWSVPLYAGVLPIIIFVLFYLRRRDVYDLHHSVLGILFALLITAVITDSIKDAVGRPRPDFFWRCFPDGKDEGNYDALGDVICHGKASDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRKGHVAKLCLIFLPLLVAALVGISRVDDYWHHWTDVFTGGIIGLVVSTFCYLQFFPAPYHTEGWGPYAYFRATEELNRAPQRISPVNGMYTEQSSEMQTGNRPSRLSSNRNEDVELGGIRM >itb07g07310.t2 pep chromosome:ASM357664v1:7:5556926:5562368:-1 gene:itb07g07310 transcript:itb07g07310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEELCGASRFHVMECKEGKGKPRNIAIFLKYVLPSLVSVVFVAILLVWLLTFWKRNKQRKPRAEDTLDVELKRISYYEILGATEDFDESNLIGRGSFSSIVDSNLLTGEEGGGQEIEECFLMVMGLAVECTADFPEERMSIEDVARNPNEVELGCQHTLKSHGTTVAKHHRHDWLILLLLVVLDVILNVISPFYRFVGKDMMTDLKYPFKDNTVPIWSVPLYAGVLPIIIFVLFYLRRRDVYDLHHSVLGILFALLITAVITDSIKDAVGRPRPDFFWRCFPDGKDEGNYDALGDVICHGKASDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRKGHVAKLCLIFLPLLVAALVGISRVDDYWHHWTDVFTGGIIGSFLNAQSHYQFNLTV >itb02g09030.t1 pep chromosome:ASM357664v1:2:5727867:5729492:-1 gene:itb02g09030 transcript:itb02g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLFSHSPLPLLLLLFSFSFPSPCVSTNEHYVREQLQKQNLPPPKSNMDPQELQLLFKIMDNMSSDYNWRISHPNPCSSSSWVGIECRTFGPDNRPRVTRLDFGTFPNPTCKPTATFPSDIFHLPHLESVFFIHCFTHTRTRIILSDPNPNNFSSPLLQVSLRSNPALIGSIPPHLSSLKSLQILTLSQNSLNGPIPPEIFTLTSLLHLDLSYNMLSAPVPSQLGNLKNLVGLDLSYNKLWGSIPETIGQLGMLQKLDLSSNALTGNIPDSIEKLHSLVFLALSNNRLTGKFPKGLSQLQSLQYFIMDDNPMFTSLPVEFGQLQKLQELRLANSGYSGTIPTTYSLLNNLSTLSLQNNRLTGQIPVELANLSHIYHLNLSRNLLGGVVPFNSSFLRRLGKNLDLSENPGLCLSPSEANGVYFSKVDICGRNKTDYTLNKSEASSHQLSISFSSIAAFCIVGMLHYFFSV >itb15g10490.t1 pep chromosome:ASM357664v1:15:8261937:8262464:-1 gene:itb15g10490 transcript:itb15g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRELSGYPLGFPSEGKLQIWKFTPGLEGLRFDFNFNPVLDLSSPASAFRFFFDRLGEVDSGVGEEIVGRIATFALDQVGTTNWICGLFLLVVLETVPMRIDDGKKSENLFYTEEVNGKGECCSICLEGFMKGTMVIPLLPCLHRFHKGCIIPWLHNNTTCPLCRYTCTLKFS >itb03g18310.t1 pep chromosome:ASM357664v1:3:16623364:16627662:1 gene:itb03g18310 transcript:itb03g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAQHNPRTVEEVFRDYKGRRAGMIKALTTDVEDFYQQCDPEKENLCLYGFPSELWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLALVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGAAKKQVKEKSSVTNNSSNKSKPNPKSVKYSKVQTKDEDDGFDEEEDEHGDTLCGACGENYASDEFWICCDICERWFHGKCVKITPARAEHIKQYKCPSCSNKRPRP >itb04g09410.t1 pep chromosome:ASM357664v1:4:8716486:8720681:-1 gene:itb04g09410 transcript:itb04g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MATETAAELTEAPAAPVAETASKQPHKLERKWAFWFDNQSKPKQGAAWGSSMRKVYTFDTVEEFWCLYDQIFRPSQLPANADFHLFKAGVEPKWEDPECANGGKWTVGISRKPALDTMWLETLMALIGEQFDEAEEICGVVASVRQRQDRLSLWTKNAANEAAQMSIGRKWKEVIDVTDKLSYSFHDDSKRERSAKSRYSV >itb12g26890.t1 pep chromosome:ASM357664v1:12:27583567:27585469:1 gene:itb12g26890 transcript:itb12g26890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >itb01g19520.t2 pep chromosome:ASM357664v1:1:25622938:25628023:1 gene:itb01g19520 transcript:itb01g19520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSKSLPYSMKDVHYDNGKFRHRSVSKAISQALLTSKVNPDHVKCSTGKFLGLLLIGGIVCLMMTHSSTLHSVSHDVAKDSESKEGRNFIADGRNRLKRLLRRPPRLPPRLSPDEMVVISNKSTHEHPNSSSDSKWQAKQQRVKDAFVHAWTGYKNYSMGYDELMPLSHKGVDGLGGLGATVIDALDTAMIMGLDEIVMEAGSWIENHLPERINGKDQVNLFETTIRVLGGLLSAYHLSGGEQGGNVLHKGPKPNIYLENARNLADHLLPAFTSSPSDIPFSDVVLHDRSAHPAPDGLSSTAEVASLQLEFNYLSSLTGDPKYSLEAMKVFQHIKTLPKHEGLVPIYINPQTGEFNGENIRLGSRGDSYYEYLIKVWLQQRGSNCSYLYDMYMEAMKGVRHLLVKKSVPNGLVFVGELPYGHQGEFSPKMDHLVCFLPGTLAIGATKGLTKEKAMRENLLNFEDLENLKLAEDLAKTCVEMYSVTSTGLAPEIAYFNMEVGWTNHFLLNLRFKDQATSQEICD >itb01g19520.t1 pep chromosome:ASM357664v1:1:25622938:25628023:1 gene:itb01g19520 transcript:itb01g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSKSLPYSMKDVHYDNGKFRHRSVSKAISQALLTSKVNPDHVKCSTGKFLGLLLIGGIVCLMMTHSSTLHSVSHDVAKDSESKEGRNFIADGRNRLKRLLRRPPRLPPRLSPDEMVVISNKSTHEHPNSSSDSKWQAKQQRVKDAFVHAWTGYKNYSMGYDELMPLSHKGVDGLGGLGATVIDALDTAMIMGLDEIVMEAGSWIENHLPERINGKDQVNLFETTIRVLGGLLSAYHLSGGEQGGNVLHKGPKPNIYLENARNLADHLLPAFTSSPSDIPFSDVVLHDRSAHPAPDGLSSTAEVASLQLEFNYLSSLTGDPKYSLEAMKVFQHIKTLPKHEGLVPIYINPQTGEFNGENIRLGSRGDSYYEYLIKVWLQQRGSNCSYLYDMYMEAMKGVRHLLVKKSVPNGLVFVGELPYGHQGEFSPKMDHLVCFLPGTLAIGATKGLTKEKAMRENLLNFEDLENLKLAEDLAKTCVEMYSVTSTGLAPEIAYFNMEGNSEGPDGGNKSSKYVNDIIIKPADRHNLLRPETVESLMILYRITEDPKYREWGWEIFEAFEKYTKVESGGYTSLGDVTVIPPRRRDKMETFFLGETLKYLYLLFGNSNVIPLDQFVFNTEAHPIPIKGTAKHR >itb01g29090.t2 pep chromosome:ASM357664v1:1:33387523:33392272:1 gene:itb01g29090 transcript:itb01g29090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSVEEEAEFQQQNNKSSNHGSAVRPGVAPSIAKANYASPRKTNAPEAHETDSATKIVVMPKNVRDLRQSPGNSDLDIFTYEEMKLGTKHFRPDQVLGEGGFGRVYKGVIDEHVRPGYITTRVAIKELDPEGLQGDREWLAEVKYLGQLRHTNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFPRVCATLTWSRRMKIALDAARGLAFLHGADRPVIYRDFKTSNILLDEDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRAMDKSRPSREHNLVEWARPLLTHSKKLFRILDPRIEGQYTNKTAMKVANLAYQCLSQNPKGRPVMSQVVEILESLQPREKGPEAILQSASGSVTLYEVPKALPETGNDKGSSLKVKCESERKPEPEWTKSNGRSKSEPPECDLYSPSDL >itb01g29090.t1 pep chromosome:ASM357664v1:1:33387523:33392272:1 gene:itb01g29090 transcript:itb01g29090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSVEEEAEFQQQNNKSSNHGSAVRPGVAPSIAKANYASPRKTNAPEAHETDSATKIVVMPKNVRDLRQSPGNSDLDIFTYEEMKLGTKHFRPDQVLGEGGFGRVYKGVIDEHVRPGYITTRVAIKELDPEGLQGDREWLAEVKYLGQLRHTNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFPRVCATLTWSRRMKIALDAARGLAFLHGADRPVIYRDFKTSNILLDEDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRAMDKSRPSREHNLVEWARPLLTHSKKLFRILDPRIEGQYTNKTAMKVANLAYQCLSQNPKGRPVMSQVVEILESLQPREKGPEAILQSASGSVTLYEVPKALPETGNDKGSSLKVKCESERKPEPEWTKSNGRSKSEPPECDLYSPSDL >itb10g18020.t1 pep chromosome:ASM357664v1:10:24067538:24070402:-1 gene:itb10g18020 transcript:itb10g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MADEEKPLRKMAEAFKNLSNSIITSQSPEEAQLEVGAFSRASSLVSPLFRCLGIAFKFAELDYVAKVDDLAEASKSISTLRAMMDLDIDRNCVRRAGSHTRNLLRVKRGIDMVKVLFEQILATGGNSLKDPASNAYEQVFAPYHGWVIRKAVAAGMYALPTKAQLLNKLNEDEASAKIHMECYVASAAPIVTYIDKLFISKELGIDW >itb10g18020.t2 pep chromosome:ASM357664v1:10:24067538:24070402:-1 gene:itb10g18020 transcript:itb10g18020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MADEEKPLRKMAEAFKNLSNSIITSQSPEEAQLEVGAFSRASSLVSPLFRCLGIAFKFAELDYVAKVDDLAEASKSISTLRAMMDLDIDRNCVRRAGSHTRNLLRVKRGIDMVKVLFEQILATGGNSLKDPASNAYEQVFAPYHGWVIRKAVAAGMYALPTKAQLLNKLNEDASAKIHMECYVASAAPIVTYIDKLFISKELGIDW >itb10g00860.t1 pep chromosome:ASM357664v1:10:635145:637597:1 gene:itb10g00860 transcript:itb10g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPNPPSPLPEEPAPKKQKMSTTTTSDDEDFDPAVAVAAAADDGSAPPERTPKYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALFHAGAVPEKDRGQPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVDRLNSNLAPQIRIFGYKRATASFNAKKFCDRRRYVYLIPVFALDPSAHRDRESVLASLGSGNELVKCLECSERGRKVIGIMGKRTFDSKNELSVVSSGSGISSNTGDSQNQQENMNNSIHSGSGISSNTGDSQNQQENMNNSIHSGSGISSNTGDSQNQQENMNNSIHSGSGILSNTGDSQNQQENMTNSIDDESKPNSEIEKKAGNETSQDEKNEVTNMEVEEGNEEAIVPKKIFSYGDEEKDRFNRILKYYEGTHNFHNFTTRTKAEDPAAKRYIISFTANTVVEVEGIDFVKCEVVGQSFMLHQIRKMIGLALAIMRNCAPESLIETAFSKDVNINVPMAPEVGLYLDECFFSSYNKRWTDHEELSMKAYADVAEDFKMKYIYSHIASTEHKEGVMGLWLHSLNYRNYPDLRFSNCDETTDAKSVEAANIPDSCTVNNGEALDAKTVEGAVVADSCAVKNNESEKTSAKTIESNDMSRSCASSEADACPGKNDEPANAKTTESNDMAPSSAMSEADAKTVEAADNADSCPGKNDESATAKTTFEADAPDAKTVEADSCPGKNVETATAKTTFEADAPDAKTVEAAENTDPCPGKNDESASAKATESNAMTDVKSIADEDMAE >itb12g05350.t1 pep chromosome:ASM357664v1:12:3957360:3961212:1 gene:itb12g05350 transcript:itb12g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKLVVLGIPWDVETEGLREYMCKFGELEDCIVMKERSTGRSRGFGYVTFATAEDAKNALSKEHFLGNRMLEVKIATPKEEMRAPAKKVSRIFVARIPPSVTEATFRSYFEKYGDITDLYMPKDPATKGHRGIGFITFTNAESVDDLMRDSHELGGSTVVVDRATPKEEDFRPVSRMPQAGGYGAYNAYINGTTRYAALGAPTLYDHPGSMYGRGLPTRGMGRKIFVGRLPQEATVDDLRQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFSEDGVADRVSRRTHEICGQQVAIDSATPLDDAGGPSNGFMIDNHPEPYGGYGGPMRTYGRMYGGLGFDDWGYGMNGGMGSSMGGGRPSRADMRYRPY >itb12g05350.t3 pep chromosome:ASM357664v1:12:3957572:3961212:1 gene:itb12g05350 transcript:itb12g05350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKLVVLGIPWDVETEGLREYMCKFGELEDCIVMKERSTGRSRGFGYVTFATAEDAKNALSKEHFLGNRMLEVKIATPKEEMRAPAKKVSRIFVARIPPSVTEATFRSYFEKYGDITDLYMPKDPATKGHRGIGFITFTNAESVDDLMRDSHELGGSTVVVDRATPKEEDFRPVSRMPQAGGYGAYNAYINGTTRYAALGAPTLYDHPGSMYGRGLPTRGMGRKIFVGRLPQEATVDDLRQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFSEDGVADRVSRRTHEICGQQVAIDSATPLDDAGGPSNGFMIDNHPEPYGGYGGPMRTYGRMYGGLGFDDWGYGMNGGMGSSMGGGRPSRADMRYRPY >itb12g05350.t2 pep chromosome:ASM357664v1:12:3957360:3961212:1 gene:itb12g05350 transcript:itb12g05350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKLVVLGIPWDVETEGLREYMCKFGELEDCIVMKERSTGRSRGFGYVTFATAEDAKNALSKEHFLGNRMLEVKIATPKEEMRAPAKKVSRIFVARIPPSVTEATFRSYFEKYGDITDLYMPKDPATKGHRGIGFITFTNAESVDDLMRDSHELGGSTVVVDRATPKEEDFRPVSRMPQAGGYGAYNAYINGTTRYAALGAPTLYDHPGSMYGRGLPTRGMGRKIFVGRLPQEATVDDLRQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFSEDGVADRVSRRTHEICGQQVAIDSATPLDDAGGPSNGFMIDNHPEPYGGYGGPMRTYGRMYGGLGFDDWGYGMNGGMGSSMGGGRPSRADMRYRPY >itb08g12920.t2 pep chromosome:ASM357664v1:8:13423756:13428614:-1 gene:itb08g12920 transcript:itb08g12920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKGLLSLQRTALVQRCSQKWGLNGRLYSAQAASTANTPQSPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGTDWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKTSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRAQAAYIYIRGEYVNERKNLEKARKEAYEAGFLGKNACGSGYDFDVHIHFGAGAYICGEETALIESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKHMCEDVLMDFDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTPWLWMLMERMKVGNAKLEEIDMLHEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERADRELLQAAAN >itb08g12920.t1 pep chromosome:ASM357664v1:8:13423689:13428645:-1 gene:itb08g12920 transcript:itb08g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKGLLSLQRTALVQRCSQKWGLNGRLYSAQAASTANTPQSPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGTDWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKTSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRAQAAYIYIRGEYVNERKNLEKARKEAYEAGFLGKNACGSGYDFDVHIHFGAGAYICGEETALIESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKHMCEDVLMDFDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTPWLWMLMERMKVGNAKLEEIDMLHEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERADRELLQAAAN >itb08g17860.t1 pep chromosome:ASM357664v1:8:20743072:20743791:-1 gene:itb08g17860 transcript:itb08g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLWYTLYVHAHGQFYCDCTITAETIDFILGNTAAIFQSSKLIIGKSLITNGSDQPCMVTARGRAQADKPSELQSSTPQLELHEACPSMWWSRDL >itb06g24900.t1 pep chromosome:ASM357664v1:6:26260513:26262756:1 gene:itb06g24900 transcript:itb06g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYKSRSDSHQPKPYSDHRHHQNKISPEDNNHRNCKRGVLLLLILVAILMNLTALVFVSMLLKDSQLFNGFSAVVSDAGSNPPIPPKEDNLRERPEEVPKLDKLLGGLLDPRFNERSCLSRYESVQYLKELKRRPSPSLISKLRSYEALHRRCGPYTKSYNKTVELLTSGERPFAGTDCKYVIWIPVNGLGNRILSLASAFLYAIVTNRVVLVAPMDHVPDLFCEPFPGTSWFLPEDFPVTGYFNGFEKNSGFCYGNLVKNNLIDKSKIPQFIFLNLKYDMDHYDQLFFKDEDQKFLQKIPWLIMKSDQYFIPYLFLMQSFKKDVGDMFPDKESVFHFLGRYLFHPTNPVWKLIIRYHQAYLAGADEKIGIQVRVFEDEPGPFKHVLDQIISCTMSENILPLINIDHDLAKLNIGKKNNKTKAVLITSLNSWYADVIRDMYLQYSTETGEVIRVHQPSHEERQKENDGLHYAKALAEMYLLGMSDRLVTSGESTFGYVAQGLGGLRSWVLYKPENRTAPDPPCRPFTSVEPCFHSPPLDSYTAAALVPHVRPCEDRIRGLKLYPDIK >itb01g06590.t1 pep chromosome:ASM357664v1:1:4795643:4797847:1 gene:itb01g06590 transcript:itb01g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLNLVLNFICIACLHFSASFAFESEIYIVHVELPDGLISRDSHYQSFMSSAVETSTDSSNIIYSYQHVISGFAAKLSPDAVKAMEKMDGFVYARPQRVLNLQTTHTPDFLGLHLNSGFWNSSNYGEGVIIGVLDTGVSPEHPSFNDDGMPPPPAKWKGKCDNFECNNKLIGAKSFRSGNQSTSFDYVGHGTHTSSTAAGNFVGGANVYGSDNGTAAGIAPRAHLAMYKVCGGGCPESDFLAGMDAAIEDGVDVVSISLGGPSGPFYDDVIALATYRAMEKGIFVSCAAGNSGPNLSTVLNEAPWILTVGAATVDRRVVATARLGNGEEVDGQSAYQPDDFSLELLPLVYPGMNASDFTAKYCDNSSLEIYDVKGKVVVCDMGDPVPTLVKGTAVKEAGGAAMILVNQDIQGYTTFADPHVLPATHLSFADGEKVKAYINLTSNPTATILFKGTVIGDPRAPAVSYFSSRGPGNASPGILKPDIVGPGVSIIAAWPVSVENRTDTKSTFNIISGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAIITTADTTNLGNNKIEDERRLPADIFTLGAGQVNPSRANDPGLVYDVAPKDYVPYLCGLGYTDKQVGLLLQRNVTCSATIPEAELNYPSFSIRLVNPSRSQTYTRTVTNVGEVSSSYTVEIVPPDGVSVTVEPPTLNFSELNQKASYRVAFTRSASPTNATVVQGYLKWCSSRYVVRSPIAAILNLVV >itb06g17820.t1 pep chromosome:ASM357664v1:6:21560969:21564939:-1 gene:itb06g17820 transcript:itb06g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAVAAAATSVFTFINLSTSLPLARITHCRLRSVIAASSLSNTEFNINFAPPKPKPSPPPIISTSKSDNDSEEQLYTPWIVRDGKGNPAPASLLHAMAIAKTSKKKKTKTKAKSENAPKSKPATFSTEPKYSKAARRFYNENFRNPAQRLSKILAAAGVASRRSSEELIFQGRVTVNGSVCKTPQTRVNPEKDIIYVNGNRLSKRLPPKLYFALNKPKGYICSCGEKETKSVMNLFDDFMKSWNKRHPGEPKPRLFTVGRLDVATTGLIIVTNDGEFAHKISHPSSNLSKEYIATIDGEVNKRHLIAISEGTFIEGVHCTPDLVELLPKQPDISRPRLRIVVHEGRNHEVRELVKNAGLQMYALKRVRIAGFRLPPDLMLGKHVELTAGNLKTLGWKK >itb13g13640.t3 pep chromosome:ASM357664v1:13:20124677:20132513:-1 gene:itb13g13640 transcript:itb13g13640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGENWREMVRTMLPPGVPVPAEGANLDYSIALEYKGPPILYDLPRVEPIDVNSDSIPTASEAEPLSESGRPMVHDAAPVIEPIPLPVSCIAGVTSPPSQSPRLSRSSESQVSVLRSPGSSSGSPSASPSSAHNPPDDAPKMVVNEGRRAPVVTFNTVDRSEQKMAELENRVFPEYVGVSKEKKKKKKTKLCCRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRSKLGKHSRLLSRLLNPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIVSSNLSFTGKLSPHASNGNTQVYMNGREITKLEFRVLTLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFLCSLLSLPVPNGQPHGQRDEPSNYTSVPNYLEQKRLQKLLLLGLEGSGTSTIFKQAKYLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKEGEVDSGDGECKQCVYSLNPRLRHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRKDELPFLPDVTDYFLSKAVEVSSNEYEPTERDILYTEGVTQGNGLAFMEFSLDDRSPMSETYADNMESLPPPLTR >itb13g13640.t5 pep chromosome:ASM357664v1:13:20124939:20132241:-1 gene:itb13g13640 transcript:itb13g13640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGENWREMVRTMLPPGVPVPAEGANLDYSIALEYKGPPILYDLPRVEPIDVNSDSIPTASEAEPLSESGRPMVHDAAPVIEPIPLPVSCIAGVTSPPSQSPRLSRSSESQVSVLRSPGSSSGSPSASPSSAHNPPDDAPKMVVNEGRRAPVVTFNTVDRSEQKMAELENRVFPEYVGVSKEKKKKKKTKLCCRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRSKLGKHSRLLSRLLNPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIVSSNLSFTGKLSPHASNGNTQVYMNGREITKLEFRVLTLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFLCSLLSLPVPNGQPHGQRDEPSNYTSVPNYLEQKRLQKLLLLGLEGSGTSTIFKQAKYLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKEGEVDSGDGECKQCVYSLNPRLRHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRKDELPFLPDVTDYFLSKAVEVSSNEYEPTERDILYTEGVTQGNGLAFMEFSLDDRSPMSETYADNMESLPPPLTR >itb13g13640.t2 pep chromosome:ASM357664v1:13:20123721:20132684:-1 gene:itb13g13640 transcript:itb13g13640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGENWREMVRTMLPPGVPVPAEGANLDYSIALEYKGPPILYDLPRVEPIDVNSDSIPTASEAEPLSESGRPMVHDAAPVIEPIPLPVSCIAGVTSPPSQSPRLSRSSESQVSVLRSPGSSSGSPSASPSSAHNPPDDAPKMVVNEGRRAPVVTFNTVDRSEQKMAELENRVFPEYVGVSKEKKKKKKTKLCCRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRSKLGKHSRLLSRLLNPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIVSSNLSFTGKLSPHASNGNTQVYMNGREITKLEFRVLTLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFLCSLLSLPVPNGQPHGQRDEPSNYTSVPNYLEQKRLQKLLLLGLEGSGTSTIFKQAKYLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKEGEVDSGDGECKQCVYSLNPRLRHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRKDELPFLPDVTDYFLSKAVEVSSNEYEPTERDILYTEGVTQGNGLAFMEFSLDDRSPMSETYADNMESLPPPLTRYQLIRVNAKGMNEGCKWVEMFEDVRVVIFCVALSDYDQMCIAPDNSGSEVLLQNKMMQSKELFEAMIRNPCFKDTPFVLILNKYDLFEEKMNRVPLGTCEWFSDFSPVRPHNNSQALAQQAYYYVAMKFKDAYASLTDRKLFVWQSRARDRVTIDEAFKYIREVVKWDDEKDETYYVGAEDSFYSTTDVSSSPFIRQE >itb13g13640.t1 pep chromosome:ASM357664v1:13:20123712:20132684:-1 gene:itb13g13640 transcript:itb13g13640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGENWREMVRTMLPPGVPVPAEGANLDYSIALEYKGPPILYDLPRVEPIDVNSDSIPTASEAEPLSESGRPMVHDAAPVIEPIPLPVSCIAGVTSPPSQSPRLSRSSESQVSVLRSPGSSSGSPSASPSSAHNPPDDAPKMVVNEGRRAPVVTFNTVDRSEQKMAELENRVFPEYVGVSKEKKKKKKTKLCCRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRSKLGKHSRLLSRLLNPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIVSSNLSFTGKLSPHASNGNTQVYMNGREITKLEFRVLTLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFLCSLLSLPVPNGQPHGQRDEPSNYTSVPNYLEQKRLQKLLLLGLEGSGTSTIFKQAKYLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKEGEVDSGDGECKQCVYSLNPRLRHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRKDELPFLPDVTDYFLSKAVEVSSNEYEPTERDILYTEGVTQGNGLAFMEFSLDDRSPMSETYADNMESLPPPLTRYQLIRVNAKGMNEGCKWVEMFEDVRVVIFCVALSDYDQMCIAPDNSGSEVLLQNKMMQSKELFEAMIRNPCFKDTPFVLILNKYDLFEEKMNRVPLGTCEWFSDFSPVRPHNNSQALAQQAYYYVAMKFKDAYASLTDRKLFVWQSRARDRVTIDEAFKYIREVVKWDDEKDETYYVGAEDSFYSTTDVSSSPFIRQE >itb13g13640.t4 pep chromosome:ASM357664v1:13:20123712:20132272:-1 gene:itb13g13640 transcript:itb13g13640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGENWREMVRTMLPPGVPVPAEGANLDYSIALEYKGPPILYDLPRVEPIDVNSDSIPTASEAEPLSESGRPMVHDAAPVIEPIPLPVSCIAGVTSPPSQSPRLSRSSESQVSVLRSPGSSSGSPSASPSSAHNPPDDAPKMVVNEGRRAPVVTFNTVDRSEQKMAELENRVFPEYVGVSKEKKKKKKTKLCCRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRSKLGKHSRLLSRLLNPLEVKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIVSSNLSFTGKLSPHASNGNTQVYMNGREITKLEFRVLTLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFLCSLLSLPVPNGQPHGQRDEPSNYTSVPNYLEQKRLQKLLLLGLEGSGTSTIFKQAKYLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKEGEVDSGDGECKQCVYSLNPRLRHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRKDELPFLPDVTDYFLSKAVEVSSNEYEPTERDILYTEGVTQGNGLAFMEFSLDDRSPMSETYADNMESLPPPLTRYQLIRVNAKGMNEGCKWVEMFEDVRVVIFCVALSDYDQMCIAPDNSGSEVLLQNKMMQSKELFEAMIRNPCFKDTPFVLILNKYDLFEEKMNRVPLGTCEWFSDFSPVRPHNNSQALAQQAYYYVAMKFKDAYASLTDRKLFVWQSRARDRVTIDEAFKYIREVVKWDDEKDETYYVGAEDSFYSTTDVSSSPFIRQE >itb11g14950.t1 pep chromosome:ASM357664v1:11:12287433:12289665:1 gene:itb11g14950 transcript:itb11g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHPGTYHDIGKKARDVLYKDYIQQPDCYNYRWLDWSFRLVCKVKILHGLSTIFRYGLPYQRSNRVEVQYLQNYFGVTTGISLARSPSLNFSGSLGNGNFCVGTDLSFDTAAAKLAKYDASLSFNTALLSASLALKDKFDTLKASCDRTVNPDTNTVVAAEVVHRFFSNQTTLTFGVQHSLLPSQLMKARVATDGGVAAMIQYQLFSALCLTIAGETNLKHINNSPKLGMSLTLRH >itb11g14950.t2 pep chromosome:ASM357664v1:11:12287433:12289665:1 gene:itb11g14950 transcript:itb11g14950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHPGTYHDIGKKARDVLYKDYIQQPDCYNYRWLDWSFRLVCKVKILHGLSTIFRYGLPYQRSNRVRVSNLFIDCSAGLKLIIYRYTNIQVEVQYLQNYFGVTTGISLARSPSLNFSGSLGNGNFCVGTDLSFDTAAAKLAKYDASLSFNTALLSASLALKDKFDTLKASCDRTVNPDTNTVVAAEVVHRFFSNQTTLTFGVQHSLLPSQLMKARVATDGGVAAMIQYQLFSALCLTIAGETNLKHINNSPKLGMSLTLRH >itb08g11510.t2 pep chromosome:ASM357664v1:8:11498582:11504742:-1 gene:itb08g11510 transcript:itb08g11510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASSTLICESQPWKDLKGHIDEINSTHLRNLMSDADRCKSMMVEFDGILLDYSRQRATLETMKKLLSLAEAAHLKEKINRMFNGEHINSTENRSVLHVALRASRDAVINSDGKNVVPDVWQVLDKIQNFSERIRSGAWVGATGKELKDVVAIGIGGSFLGPLFVHTALQTEPEAMECAKGRQLRFLANVDPIDIARNITGLNPETTLVVVVSKTFTTAETMLNARTMREWISSALGPQAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLALQYGFPIVEKFLKGASSIDRHFYSTPFEKNIPVLLGLLSIWNVTFLGYPARAILPYSQALEKLAPHIQQVSMESNGKGVSIDGVPLPYETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKDEVVSNHDELMSNFFAQPDALAYGKTQEELQKENVPQHLVSHKTFSGNRPSLSILLPSLNAYNIGQLLAIYEHRVAVQGFIWGINSFDQWGVELGKSLATQVRKQLNASRKKGESIEGFNFSTKTMLSKYLEVYECYLISTSFI >itb08g11510.t1 pep chromosome:ASM357664v1:8:11498216:11504823:-1 gene:itb08g11510 transcript:itb08g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASSTLICESQPWKDLKGHIDEINSTHLRNLMSDADRCKSMMVEFDGILLDYSRQRATLETMKKLLSLAEAAHLKEKINRMFNGEHINSTENRSVLHVALRASRDAVINSDGKNVVPDVWQVLDKIQNFSERIRSGAWVGATGKELKDVVAIGIGGSFLGPLFVHTALQTEPEAMECAKGRQLRFLANVDPIDIARNITGLNPETTLVVVVSKTFTTAETMLNARTMREWISSALGPQAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLALQYGFPIVEKFLKGASSIDRHFYSTPFEKNIPVLLGLLSIWNVTFLGYPARAILPYSQALEKLAPHIQQVSMESNGKGVSIDGVPLPYETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKDEVVSNHDELMSNFFAQPDALAYGKTQEELQKENVPQHLVSHKTFSGNRPSLSILLPSLNAYNIGQLLAIYEHRVAVQGFIWGINSFDQWGVELGKSLATQVRKQLNASRKKGESIEGFNFSTKTMLSKYLEASSDIPADPPTALPKV >itb15g09130.t4 pep chromosome:ASM357664v1:15:6504820:6506590:-1 gene:itb15g09130 transcript:itb15g09130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNSYKVFVFLSLWGSFLILGTHQLQHTQTQMLLQLRKQLEYPTPLEIWFNNNGTDLCFVSSPQANISCQDNLVTEIRVYGDKRDRPSSFNGYAVGPYQTLSQNFFVDSLVVTLARLTSLRSLTLVSLGIWGQIPDIIHRLSSLENLDLGWNFLYGSIPASVSRMVSLQGLNLDGNFINGSIPEGFDKLSNLSSLSIRNNRVTGELPSSMGGVTSLTYIGFSKNEISGKLFDLRRLTRLQVLDLSDNRFESELPALPKGLIMAFFRNNSFSNEIPQEYGLLLQLQQLDLSFNSLQGMPPAKLFSLPKIANLNLASNMLTGSLPSKLSCGNELGLVDISNNRFTGTLPSCLHSASDTRKVLYEGNCLSSGLKNQHPATYCTSEAKNIVAKTNGSKGKNIGILIGVIGGICVLLSFLAAGFLFMCRRYCPRGNSEQHLLHKPVQENSVAGYSSELLLNASMPSELGNF >itb15g09130.t1 pep chromosome:ASM357664v1:15:6502785:6506671:-1 gene:itb15g09130 transcript:itb15g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNSYKVFVFLSLWGSFLILGTHQLQHTQTQMLLQLRKQLEYPTPLEIWFNNNGTDLCFVSSPQANISCQDNLVTEIRVYGDKRDRPSSFNGYAVGPYQTLSQNFFVDSLVVTLARLTSLRSLTLVSLGIWGQIPDIIHRLSSLENLDLGWNFLYGSIPASVSRMVSLQGLNLDGNFINGSIPEGFDKLSNLSSLSIRNNRVTGELPSSMGGVTSLTYIGFSKNEISGKLFDLRRLTRLQVLDLSDNRFESELPALPKGLIMAFFRNNSFSNEIPQEYGLLLQLQQLDLSFNSLQGMPPAKLFSLPKIANLNLASNMLTGSLPSKLSCGNELGLVDISNNRFTGTLPSCLHSASDTRKVLYEGNCLSSGLKNQHPATYCTSEAKNIVAKTNGSKGKNIGILIGVIGGICVLLSFLAAGFLFMCRRYCPRGNSEQHLLHKPVQENSVAGYSSELLLNARFISEASKLGTQGTPTHRLFSFDEIKEATNDFDTTNLMGAGLIGKIYKGRVESGAQVAIRCLTISKKYTIRNLKLRVDLLAKLRHPHLVCLLGHCIANNDNNDDPDSLQVYLIYEYVANGNYRTHLSENSPQKVLNWSDRLGILINVAKAVHFLHTGVIPGFFSNRLKATNILLNEHRVAKLSDYGLSIVAEEVDKQQAKGEGFASWQMKSLEDDVYGFGLIMLESLVGPSYLVRQETFLLNELASLGSQDGQKHVVDPVVLNSCSQESLSVIVSITSKCISTDSSMRPSFEDVLWNLQYAAQIQAEADGRLGTSPRL >itb15g09130.t3 pep chromosome:ASM357664v1:15:6502785:6506671:-1 gene:itb15g09130 transcript:itb15g09130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNSYKVFVFLSLWGSFLILGTHQLQHTQTQMLLQLRKQLEYPTPLEIWFNNNGTDLCFVSSPQANISCQDNLVTEIRVYGDKRDRPSSFNGYAVGPYQTLSQNFFVDSLVVTLARLTSLRSLTLVSLGIWGQIPDIIHRLSSLENLDLGWNFLYGSIPASVSRMVSLQGLNLDGNFINGSIPEGFDKLSNLSSLSIRNNRVTGELPSSMGGVTSLTYIGFSKNEISGKLFDLRRLTRLQVLDLSDNRFESELPALPKGLIMAFFRNNSFSNEIPQEYGLLLQLQQLDLSFNSLQGMPPAKLFSLPKIANLNLASNMLTGSLPSKLSCGNELGLVDISNNRFTGTLPSCLHSASDTRKVLYEGNCLSSGLKNQHPATYCTSEAKNIVAKTNGSKGKNIGILIGVIGGICVLLSFLAAGFLFMCRRYCPRGNSEQHLLHKPVQENSVAGYSSELLLNARFISEASKLGTQGTPTHRLFSFDEIKEATNDFDTTNLMGAGLIGKIYKGRVESGAQVAIRCLTISKKYTIRNLKLRVDLLAKLRHPHLVCLLGHCIANNDNNDDPDSLQVYLIYEYVANGNYRTHLSENSPQKVLNWSDRLGILINVAKAVHFLHTGVIPGFFSNRLKATNILLNEHRVAKLSDYGLSIVAEEVDKQQV >itb15g09130.t2 pep chromosome:ASM357664v1:15:6502785:6506671:-1 gene:itb15g09130 transcript:itb15g09130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNSYKVFVFLSLWGSFLILGTHQLQHTQTQMLLQLRKQLEYPTPLEIWFNNNGTDLCFVSSPQANISCQDNLVTEIRVYGDKRDRPSSFNGYAVGPYQTLSQNFFVDSLVVTLARLTSLRSLTLVSLGIWGQIPDIIHRLSSLENLDLGWNFLYGSIPASVSRMVSLQGLNLDGNFINGSIPEGFDKLSNLSSLSIRNNRVTGELPSSMGGVTSLTYIGFSKNEISGKLFDLRRLTRLQVLDLSDNRFESELPALPKGLIMAFFRNNSFSNEIPQEYGLLLQLQQLDLSFNSLQGMPPAKLFSLPKIANLNLASNMLTGSLPSKLSCGNELGLVDISNNRFTGTLPSCLHSASDTRKVLYEGNCLSSGLKNQHPATYCTSEAKNIVAKTNGSKGKNIGILIGVIGGICVLLSFLAAGFLFMCRRYCPRGNSEQHLLHKPVQENSVAGYSSELLLNARFISEASKLGTQGTPTHRLFSFDEIKEATNDFDTTNLMGAGLIGKIYKGRVESGAQVAIRCLTISKKYTIRNLKLRVDLLAKLRHPHLVCLLGHCIANNDNNDDPDSLQVYLIYEYVANGNYRTHLSENSPQKVLNWSDRLGILINVAKAVHFLHTGVIPGFFSNRLKATNILLNEHRVAKLSDYGLSIVAEEVDKQQAKGEGFASWQMKSLEDDVYGFGLIMLESLVGPSYLVRQETFLLNELASLGSQDGQKHVVDPVVLNSCSQESLSVIVSITSKCISTDSSMRPSFEDVLWNLQYAAQIQAEADGRLGTSPRL >itb01g34240.t1 pep chromosome:ASM357664v1:1:37018785:37028880:-1 gene:itb01g34240 transcript:itb01g34240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDEELRRACEVAIEGTKQTVLMSIRVAKSRGIWGKPGSKLGRGQMAKPRVLAISAKEKGQQTRAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVVTNDPSGCTFTLGFDNLRSASVAPPQWTMRNVDDRNRLLLCILNLCKDVLGRLPKVVGIDVVEMALWAKENSQNTAAVISKQKGGNLQAVPVAAVEAEADMRVTVERELVSQAEEEDMEALLGTYVIGIGEAEAFSERMKRELQALEAANVHAILENEPLIDEVLQGLESASNCVEDMDEWLSIFNLKLRHMREDIESIEVRNNKLEMQSVNNKVLIEQLDKLLEKLRIPSEYAASLTSGSFDEARMLQNIEACEWLTNALRGLEAPNLDPSYAKMQAVKEKQAELDKLKTTFVRRASEFLRDYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCTSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSSQSVNNADTSTVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGLANGNKSVQDEEDDDDLGIMDIDDNDNKAGKSSSELEALNDSLHDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYISGQKADAAGFVRILLDDLESRISMQFSRFVDEACHQIERNERNVRQLGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSTMFATLDKIAQAEPKYADIMLLENYAAFQNSLYDLANVVPTLARFYHQASESYEQACTRHINVIIHNQFERLFQFAKRIEDLMYTITPEEIPFQLGLSKMDLRKVVKSSLSGVDKSITLMYKRLQKNLTSDELLPSLWDKCKKEFLDKYDSFHQLVAKIYGDEAIPSVTEMKAILASM >itb02g01720.t1 pep chromosome:ASM357664v1:2:952617:955082:-1 gene:itb02g01720 transcript:itb02g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEKKKKLDEENPIPYEEEAENEKQKGEEETLMGKKEKKKKMDVENPIPYEEPENEKQKGEEETLTVKMQTLSSEPDKISPIVGYFASGYDPLTTNSAQQSEATVRVYRNTKRSNRLQLTVSQNGSPVDFVGTNYSGEATAPQLCNYAIGVFDKESQTLKIVPIAGNKVIRLDPKVAGVDIPEDENMEKKQLTAEEKAQKTRALTEMYSNKKSIRKAKKLDTLREQADAGAQHVEGNELINQEALNIAITATDGGRNIPPHDLAATTPQAAYPLDKIIAKGEWDYLSDIFDVLEAGESIPLDVFPSFVCNRTYKLADIKDETQKRRMAGIFSYITHLVKFKDKHSLDGISSAKHHKIPGMLAQKFSSLFGVSVSKRLPAEKIDLLISYVLVLTLYADNFRSDPSDIAKDLRMSVGDLRPRFELLGCKFVHEKRVPLATLPVPLQFIIKRKRRR >itb10g25990.t1 pep chromosome:ASM357664v1:10:29242181:29246383:1 gene:itb10g25990 transcript:itb10g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATTDVPAKGGFSFDLCRRNEMLVKKGLQPSSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLKLHRYHTGRESRVVTALTLLKSHLFSYQGYVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESRYREGLTREDGIQLVTDAILAGIFNDLGSGSNVDVCVITKGHAEYIRNHKLPNPRTYPQKGYTFPKKTEVLLTKITPLREMVEVIEGGDAMEE >itb10g25990.t2 pep chromosome:ASM357664v1:10:29242210:29244247:1 gene:itb10g25990 transcript:itb10g25990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATTDVPAKGGFSFDLCRRNEMLVKKGLQPSSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTGMSSFFFFLFFFHFLTTWCISNDTPMF >itb04g10540.t1 pep chromosome:ASM357664v1:4:10071995:10072675:-1 gene:itb04g10540 transcript:itb04g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGASDHRAIQPDNDDIESRIEAETLARMHKQLEDRRSFLQRTTSRRSSVCIYRVPPSLTEVSANTIAPEIVSIGPYHRDRDTVLKFENYKWSFLDYVLSFTRRNGNDLDKIIRSMSDLERSADATLISSADFVEMMILDSCFILGYMYLYTSQEDEPTFSIPIVTRDLLKLENQTPFFILEMMYDLMKTINGDSLELLALKFFNLKLPRRDEEILKCLSVTAKP >itb03g28370.t1 pep chromosome:ASM357664v1:3:29157458:29159198:1 gene:itb03g28370 transcript:itb03g28370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MNPEDLLQPLLITQTRKCTLGCPLLDRLLAGGVPCNSVTELVAESGCGKTQISLQLLLTAQLPFSLGGLSASSLYLYSEPPFPLRRLRQLSLSFPALHNPLDKILTHPLHSAYHLLDLLPRIDSILSRPPAPLSPIKLVVIDSIAALFRYEFENKPRELKQRSDLFFKISGKLKEQARRFGLAVLVVNQVVDVVNASESIRIGNLPSLYTSGRNVCAVLGLSWANCVNTRLFLSKHEERVGEDGDGCALMQKRQFMRVVFSPYLPDSSCEFVIRKEGVFGVER >itb13g13580.t1 pep chromosome:ASM357664v1:13:20081998:20088521:-1 gene:itb13g13580 transcript:itb13g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNDPNPFDEEQQEVNPFSNGGNAPGSKSRIPQMVGLLANTLGFGQKHDATVDIPLDSMNDPKKKQKELAAWEADLKRREMDIKRREDAVAGAGVPVSDKNWPPFFPIIHHDIVNEIPAQSQKMQYLAFASWLGIVLCLVYNIVAVTVCWIKGEGVKIFLLSVIYALMGCPLSYVLWYRPLYNAMRTDSALKFGWFFLFYLLHIGFCIFAAIAPPIVFNGKSLTGILSAIDVFSDHVLVGIFYLVGFGLFCLEVLLSLWVLQKVYMYFRGHK >itb13g13580.t2 pep chromosome:ASM357664v1:13:20082024:20088471:-1 gene:itb13g13580 transcript:itb13g13580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNDPNPFDEEQQEVNPFSNGGNAPGSKSRIPQMVGLLANTLGFGQKHDATVDIPLDSMNDPKKKQKELAAWEADLKRREMDIKRREDAVAGAGVPVSDKNWPPFFPIIHHDIVNEIPAQSQKMQYLAFASWLGIVLCLVYNIVAVTVCWIKGEGVKIFLLSVIYALMGCPLSYVLWYRPLYNAMRTDSALKFGWFFLFYLLHIGFCIFAAIAPPIVFNGKSLT >itb03g03890.t1 pep chromosome:ASM357664v1:3:2337978:2338931:-1 gene:itb03g03890 transcript:itb03g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLFLLLALFTTSCLAQSPAPAPKVSPAAAPTPPPTKAPPTPSPAPSTTSPSPAPATAAPTPSVAPTPDASSPAPSISSPPAPVPSGATPPADQPSADTPPPPNAASRVAMGGAALAGVVLVAALM >itb14g12150.t1 pep chromosome:ASM357664v1:14:13763147:13763845:1 gene:itb14g12150 transcript:itb14g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNKLCDELVGEILVRLPTTAAVRFQAVCKRWHSLISSPYFIARFRHLRHQHTESSSLVFRFAYSCDVSCISDGCNQCQYSHFQIISNSNSSNSLLPKVYGESAFRISYLPCSPKDLQTLRFEGSFADLILFSCINLNNTNTYKVDYYISNLLTRQWIALPPAAYEDLYVSAGFLCVPPPVDTSHHHKFMVVRLCSGNYAYTPCSRFKAQVFSSENWQWRTLVVSSPLTL >itb12g01020.t1 pep chromosome:ASM357664v1:12:716804:723031:-1 gene:itb12g01020 transcript:itb12g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQWLLLCFALPVFFGILSSASSFLSPTGMDFNGVNVHRAAPARRSLLSDPMEPETAVVAAMDGTIYLFDLTTKKPLWSFTSGLPIYSAYQAPVDKDNLSNIGSGYFIDIGTGDDWELYAHSRLGKLKLRKSIEVYVSSTPQIAEDGGIILGSKRSTAFQVDAKTGRLISSYKMPDSPTPNGNGESNIHYKSAKGEHGLPGSVNLKPNELPLYITRTDYTLTSFVPNSNKVLWNVTVAEIGAAFLCQGSDDAFGGADLDSGSVEPGTRFSMPLPCNSRAQVLRFRGHNILERLSSRNWLPEALSPDMILPAQPNADKVVIHPENGHTILSGLSEIFSMFVLIIIFTVMLVGTICLYFIVGQLNSSQVGNVSANEEESRMLEISGDSGEKMDEDSSSDNKLLLNLNHPTLCSGDGRTIGKLFVFNKEIAKGSNGTVVLEGIYDGRPVAVKRLVKAHHDIALKEIQNLIASDQHPNIVRLYGVEQDQDFIYLALERCICSLNDLIQICSVSSENFPINQGMDAESMQYRMRLDSLKLDLQGVFLWKENGYPSATLLKLMRDVVSGLIHLHELGIIHRDLKPQNVLITKEKRVLCAKLSDMGISKRLTGDMSSLSHHATGCGSSGWQAPEQLLHRRQTRAVDLFSLGCVLFHCLTGGSHPFGNPLERDINITKNKFDLFFVNHNPEAVDLLSRLLHHNAEKRPKAAEVIAHPLFWTSEKRLSFLRDTSDMLEREDWDSEILEALEATSRVSMRGRWHLKMHPQLLETILWYRDYSFDSVQDLLRAIRNVLNHYRELRPKIQAILGPIPEGFDAYFTSRFPRLLIEVYKVMSRHCRRQEWFQKYFTDSAL >itb07g05750.t1 pep chromosome:ASM357664v1:7:3957909:3960725:1 gene:itb07g05750 transcript:itb07g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIEFEEVRVHHSPPLNLVLFPVLKPTAATIAAPSEALLPLIDHENGNFINMKMKKALPPPARKNMQITVAEPRSKGDEADLKSTLDKYLDTISQRVNYHIGYPVNIVYEHYATLAPLMRYHLNNCGDPFMENTVDFHSKDFEVGVLDWFAQLWEIEKDEYWGYITNGGTEGNLHGILLGSKLLLNKGKPAIINVSIGTTFKGGMDNIDVIIKTLEECGYSQDEFYIHCDAALSGLIVPFLKNAPKISFRKPIGSVTISGHKFLGCPMPCGIQMTRKSLIHNISRNVEYIASVDATISGSRNGLTPIFLWYSLSTKGRAGLQKDAERCVETATRLRARLRGAGIAAMLNENSITVVFERPHDRDFVRRWQLSCVREMAHVIVMPGVTAETLDAFFDDLIRASPPRQAATPCLADDVGPHNCCCHLHKLSFSYPFCC >itb15g24090.t1 pep chromosome:ASM357664v1:15:26956133:26956414:1 gene:itb15g24090 transcript:itb15g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAEAYAKEIEILNEQTRATLQTIASGSSGVAEKLKFIDLLERLGIAYHFEKEIDDQLRHIYTQPVHLNDLETVALQFRLMRQHGYNISTGN >itb09g27700.t1 pep chromosome:ASM357664v1:9:27960281:27963364:1 gene:itb09g27700 transcript:itb09g27700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDIFCASQAATAIRSTMEEITAVASSSSSAIQLGGSGGGRAIDRFNPIITDSRRRTAPVPKPPAQSPGGFGKTKKDKISKSSKSNNKKKNSRKAAAGEESGGGGGGGWRCTKPGGFITPQSSSRYLLNENEDDKDYFLDVLSDFNPILKLDDPNNDIKNLQQLSDQSGPSHTPLPLPPPPSSSSPPPPSRSTDQVVVVLRVSLHCRGCERKMRKHLSRMQGVSSFNIDFAAKKVTVVGDVTPLGVLASISKVKNAQLWSPAVAVSSIPPAVSLSNSSSKTAKPAVASVPTTNENMENISRNIQLISMN >itb02g08280.t1 pep chromosome:ASM357664v1:2:5218317:5219413:-1 gene:itb02g08280 transcript:itb02g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQELNEIVGAQTHIWNHIFNFINSSSLKCAVQLGIPDVIHKHGRPITLEDLIDALAINKAKAHHLARLMRVLTRSGFFLDAKIKDEDGYALGPPSCLLIKDHPFSLAPFVLGASSTIFTGPWHRVSEWFHNDDPTPYQTAHGRTFWDCASQDPEMNHYFNQAMACDTLLLMALVKKYCSHVFEGLDSLVDVGGGTGMVARAIADDFPDTMCSVLDLPHVVAGLEGTKNLAYVAGDMFKAIPPAQAIFLKKCKEAISDRENGGKVIIIDMIVGSTQNGDDDELIQSQIFADVQMMVYYGGRERALKEWVKIFNDAGFFLL >itb04g11470.t1 pep chromosome:ASM357664v1:4:11099046:11099321:-1 gene:itb04g11470 transcript:itb04g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDESISRNNGGQNPNPGSNRLARRNEIGDTGTTKEKTVTVAPSPSATPLATVRRSYPSPIPKKNRLHLLKFSPNCFHNCSHRFSLAICS >itb10g14890.t1 pep chromosome:ASM357664v1:10:21194632:21196494:1 gene:itb10g14890 transcript:itb10g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSEHHLLNGACRSVIFGKYEMGRLLGQGTFAKVYYGRNLETSESVAIKVIHKDHVVKKEAMMDQIQREISVMRLVKHPNIVELKEVMATKHKIFVVMEYVKGGELFDKVAKGRLKEETARKYFQQLMSAVDFCHSRGVSHRDLKPENLLVDENGDLKVSDFGLSALPEQQRNDGLLHTQCGTPAYVAPEVLRKKGYDGSKTDVWSCGVILYALLAGFLPFQDENMMTMYRKMFKSQFEFPPWFSPESKRLISKILVPDPDRRIKIPAIMRAPWFLKGFKSPIQDDPNQEEIKEPDSQITPKSSPPFFNAFELISSMASGFDLSGLFETRRNSGSLFTSKCSPPDILVKLESLAKKLNFRIACAKEFKVKMQGNSDGRKGKLSVTAEVFEVAPEVTVVEFSKSAGDTLEYRKFCDEDVRPALKDIVWTWQGESNCQS >itb01g01450.t1 pep chromosome:ASM357664v1:1:832065:835751:-1 gene:itb01g01450 transcript:itb01g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLRSLRRRDLANSSLSAYKTFTANSKSPWASSLSAPRWTGLARPFSTRSSGHHIIGIDLGTTNSCVAVMEGKTPKVIENSEGSRTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYDDPQTEKEMKMVPYKIVKAPNGDAWVEANQQKYSPSQIGAFVLTKMKETAEAYLGKPVSEAVITVPAYFNDAQRQATKDAGRIAGLNVSRIINEPTAAALSYGMNNKEGLVAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKRTDNIDLTKDRLALQRLREAAEKAKIELSSTTQTEINLPFITADASGAKHLNITLTRSKFEALVNNLIERTKNPCKNCLKDAGISTNEVDEVLLVGGMTRVPKVQEVVAQIFGKSPSKGVNPDEAVAMGAALQGGILGGEVTDLLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGERQMASDNKLLGEFDLVGIPPSPRGMPQIEVTFDIDANGIVTVSAKDKATNKEQQITIKSSGGLSESDIERMVQEAELHSQKDQEKKALIDIKNNADTTIYSIEKSVAEYKDKVPKEVTSEIESAVSDLRNAMAGDNIDDIKSKIDAANKAVSKIGQHMSGSGGGASEGSEQPPEAEYEEVKK >itb13g13490.t1 pep chromosome:ASM357664v1:13:19988005:19990432:-1 gene:itb13g13490 transcript:itb13g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAVGEEGEVRVGSIVWVRTKNGIWWPGTVMSDHHHFPFTASRAKIKLLGRDNASVDWYNLESSKRIKAFRCGEFDECIRKAESTKALASTKNLKYARLEDAILCALELERQVVQNKLKRPGSDMQQESCSRAKRSKRVYFSLESRDCMEQSSKGSPNPFHASAKSTPESVKSDSSESENEVQMTSSKAPSDIYVKRHKNVYRKSRGGIRYSSESSVDDKTAGSATLKKCKTKRKLSIQQVCRRPVARDDDRSCVLDYKGAVPAPRQIFGGSYLREKNECHFGSAFSRDAKETLIDVEIEVLASYREVHVPLVSMMSRLNGKAIVGHPVNIEVLEGTGEARVLKKNLGEELLHSHLSRTCQLVWKTSKRTPVSYSPLLPSTCEDKKITRACESFGGLTCSAKISDLSKTAVPVKSLKKQQISNRSRKVFHANRLRSVGNPDNLQSGMNDGGVYKGEAMLPSVACVPVKDIFGKLLAAVSKA >itb03g10110.t1 pep chromosome:ASM357664v1:3:7871053:7873215:-1 gene:itb03g10110 transcript:itb03g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVGGRGDGEEAEIDRLPIDLLAHILTLLTCFKDLAQASSVSRKWRQGVQESLARRQRLSFSGWKVDDDSATRLVLHAYSLKELDISRSCWGCQITDHGLYQLSMAKCISNLSSVSLWGSTGITDTGVVQLISGARSLQHLNIGGTFITDTSLFAIADSCPHLKTIGLWGCRHVTESGLIALVNKCRKLESINVRGMRVSVDCFIVLLTISPALQIEPKGLMPSIDRLSLVF >itb12g24960.t1 pep chromosome:ASM357664v1:12:26305267:26308232:-1 gene:itb12g24960 transcript:itb12g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHLFLILATFSSFISLSLSANPPAGAGEVNKWCSQTPHPNQCKYFLTHNRRHFTAPKRRSEFKKMATEIALDTAVQAETYTKRLGPRCRNERETAAWADCVKLYEDTILQLNQTLDKKCTQFDAQTWLSTALTNLETCRTGFVELGNSRNVLPLIANNNVSQLIGNTLALNNNGSAPKQTYKDGFPTWVSPGDRKLLQASAVKPDVVVAKDGSGNYKTIKDALDAAGKRSGSGRFVIHIKRGVYNENLDIGNKLKNIMLVGDGMRYTYISGSRSVGGGSTTFNSATVAVTGDGFIAQGITFRNTAGAANHQAVALRSGADLSVFYRCGFEGYQDTLYTHSQRQFYKECYIYGTVDFIFGNAAVVFQNCMIYARKPMDKQKITITAQGRTDPNQNTGISIHDSRVMAADDLKPVLGSFKTFLGRPWKEYSRTVFLQTYMESVVDPAGWLEWDGEFALKTLYYGEYKNSGPGSSTGRRVGWGGYRVISSASEAARFSVENFIAGRSWLPATNVPFNAGL >itb01g31440.t2 pep chromosome:ASM357664v1:1:35187807:35190055:-1 gene:itb01g31440 transcript:itb01g31440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREENVYMAKLAEQAERYEEMVEFMEKVSSSLGDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVNAIREYRSKIETELSKICDGILKLLETKLIPSAAAGDSKVFYLKMKGDYHRYLAEFKTSAERKEAAESTLTAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNYPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKENPKKEEDQH >itb01g31440.t1 pep chromosome:ASM357664v1:1:35187807:35190084:-1 gene:itb01g31440 transcript:itb01g31440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREENVYMAKLAEQAERYEEMVEFMEKVSSSLGDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVNAIREYRSKIETELSKICDGILKLLETKLIPSAAAGDSKVFYLKMKGDYHRYLAEFKTSAERKEAAESTLTAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNYPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKENPKKEEDQH >itb07g21860.t1 pep chromosome:ASM357664v1:7:26400520:26401552:1 gene:itb07g21860 transcript:itb07g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYYLQSLLLSLCHQYSNLDGLYLDDLQNLKSLPQLPPNLERLSAKNCVSLEKIADLSNLKRLEWLNIQNCKSLVELSGLESLESLKFLRIANCISLRIPPIEKWFKVHPKDDCAYIDVEVDGRGRISCSFQLTKPFIEILMNVIDPSEIDYADDGRNRIYLSVRSKSSGANWILVEPKHKQMKRCWFDFLMTMMGEELEVYVEVHAWQKIFCVCEIHRNSEGEVRFFPSTGGCIPSYNKEDGEKKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKVQIDRGGRSIRQRRL >itb07g19220.t1 pep chromosome:ASM357664v1:7:23657589:23660871:1 gene:itb07g19220 transcript:itb07g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLAKIATRSLSIAGKWQQQQLRRLNIHEYQGAELMGKYGINVPKGVAVGSIDHVKKAMQDVFPKENEIVVKSQVLAGGRGLGTFKNGFQGGVHIAEATRAEEITEKMLGQILVTKQTGPQGKIVSKVYLAEKLSLVNEMYFSIILDRASAGPLIIACRKGGTSIEDLAEKFPDMIIKVPIDVFKGITDENAAKVVDGLAPKVADRQDSIEQVKKLYSLFCKCDCTLLEINPLAETSDNKLIAADAKMNFDDNAAYRQKEIFALRDPTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDEMVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVEKGKRILKESGMALITAEDLDDAAEKAVKAIC >itb12g14390.t1 pep chromosome:ASM357664v1:12:13880901:13886738:1 gene:itb12g14390 transcript:itb12g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVVFACSCRPVPPLLEECYEILLLVSRAHEGSVMTLYTSGILEVLTLQMPSFPDGSHLMELAMLLIQLIVSKLPEERVYSEHATELSLLVSPKLECHLIFVSTAISYHICAGGCNSKFAMLQNALKFEALYLLSSIMSNKYSAPVHDALSLISNDAWPTNLRIGIVDILQNRVAPTSKFQALVLAECVMSIVGEGWLIGEMNLPNAKYSLPADRCVMLVLESARVEVYVILNELGYLKYEAPKDSLSTAENILIPLVLTFTVFEEPHMNSIISESTFSKIILGLNKTTDVVLDYLKDAKVHELRKGDDLLASVRIIGSYLAEAPDACREKVIELLDFMLSVEGETEHSPFYSICFLLPMLCQLTVKTPGCKVLTSSGAFRSIVGCLINLINKKGYENEDDGSILLACDTILNVLLKREQIQFPLDDPIFVKLLEALSYWTEDSDDQQRIMMASSICSLILGATSEACSSS >itb14g21220.t1 pep chromosome:ASM357664v1:14:23327366:23330688:-1 gene:itb14g21220 transcript:itb14g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKMRRKVVPAAAENGESADKLDQLLLSSAICNGEDLGPFVRKAFASGKPETLLHHLRHFARSKESEIEEVCRAHYEDFITAVDDLRSLLSDVDSLKSSLSNSNSQLQSVAVPLLTSLDSFVEARNKCKNISLAIESLRTCVQLVELCSRANSHLSKNNFYMALKCVDSIEREFLDKTPSSTLRRMLEKQIPSIRSHIERRVNKDFGDWLVEIRVVSRNLGQLAIGQASAARQREEELRIKQRQAEEQSRLSLRDCVYALEEEEDDGLDGLSDESRDGYNNGGTGMLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGALISKVEVENLWDTAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPIDALLDVLSKHRDKYHELLLSDCRKQISEALAADKLEQMYMKKEYEYSMNVLSFQLQTSNIVPAFPYVAPFSSMVPDCCRIVRSFIEDSVSFMSYGGQLDFYNMVKKYLDRLLTEALDGALLKLIDTSITGATQAMQMAANMAVFERACDFFFRHAAQLSGIPLRMVERGRRQFPLTKASDKAEEMLSGLLKQKVDGFLMLIENVNWMADDPPQGGNEYANEVIIFLETLVSTAQQILPVPVLKRVMQDVLAHISEMVVGSLLGESVKRFNINAIMGLDVDIRLLESFAENQAPLLSDADANQLKAALAESRQLVNLLLSNHPENFLNPVIRERSYNALDYRKVVAISEKMRDQSDRLFGSFGTRGAKQNTKKKSLDQLIKRLKDVN >itb15g08820.t1 pep chromosome:ASM357664v1:15:6224615:6228561:-1 gene:itb15g08820 transcript:itb15g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLSCRETHEHGFFTAVQNGELETVEAMVDEDPSVLRFTAVHGKLSALHVAAANGQIEILCMLLDKGLNPDILNRHKQTPLMLAAIHGKLSCVERLLQAGANILMFDSLHGRTCLHYAGYYGHSDCLQSILSAAHSAHVAQSWGFARYVNTRDGSGATSLHLAARHRRAECVRLLLSNEALVCASTGGYGYPGCTPLHLAARAGSLDCVRELLAWGADRLQRDASGRLPYMIALKHKHEVCAALLNPSSPEPLTWPSPLKLITELDAEAKGLLENALIDANKERERKILKETGYASPLHCDSDNEDFEVSDLDLCCICFEQACTIEIQKCGHQMCAHCTLALCCHNKPNPTKKCDKVPTCPFCRSSITQLVIAKNKTENKLEELSPSRSRMSRTSFNLAEDGCGGSESCGGSSSSSNNTFKVLSPLASFAKLGGRSSGKVTIDCIEGFNKP >itb05g22110.t1 pep chromosome:ASM357664v1:5:27636661:27637653:1 gene:itb05g22110 transcript:itb05g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICRRRTTLFLPILLFLLATVAAADDSAVDDADSGVPQELEAMCTVLRSSGYNLFCNAIATSDLQVQLTANATVGFSSSIIVAAGAAFTLFSPKDKFLFTLDMASDAADYVAALRYHIVPSRALALSDLKNLSSPFLDTLLPHYSILIYKSENASVTVDGVMLSDPDLYIGSNIAVHGLDGILLSGYSSPNDDCDGENGSALISSPDEVNAHHICLNFSAPTPASTPTSASTPAVLTPAATPTVLPAAPIARAPIPAVTSTVLPAAPIARAPIPAATPIVPPLPPTGKRGKVSRRSKRGKSHRRGSRSVPRRDRRRNNQTKAVEDLSVD >itb14g20830.t8 pep chromosome:ASM357664v1:14:23104671:23114286:-1 gene:itb14g20830 transcript:itb14g20830.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQVISFFR >itb14g20830.t2 pep chromosome:ASM357664v1:14:23104561:23114286:-1 gene:itb14g20830 transcript:itb14g20830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQEAQSEVGCSLSQLRNGDPPDLTNKSGDIREDILDISSHQEVDQLEISGSGSCRPANVESSSVKKARSSQSEAKVIVEIETEAQNLETNESDSSSKRGKNPNNQVDNVENLKGGWLGEVRDDEMVESAQHEERPQRKRKRTIMNDKQITIIEKALIVEPDMQRNKASLEFWADELSVHGSEVTRSQLKNWLNNRKAKLARAVKDGCMSSEGDNPDKHERGNTQNTIEDPTVRAAANGNPGTLIESSKCQPGQYVVLVNDKKEEIARGKVFQVSGKWFGKDVGELGKCVVDIIELKVDRSSWVPYPSEITGTSFEHAERKFGFMRVLWDSTKLFVLPR >itb14g20830.t7 pep chromosome:ASM357664v1:14:23104561:23114259:-1 gene:itb14g20830 transcript:itb14g20830.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQEAQSEVGCSLSQLRNGDPPDLTNKSGDIREDILDISSHQEVDQLEISGSGSCRPANVESSSVKKARSSQSEAKVIVEIETEAQNLETNESDSSSKRGKNPNNQVDNVENLKGGWLGEVRDDEMVESAQHEERPQRKRKRTIMNDKQITIIEKALIVEPDMQRNKASLEFWADELSVHVCHKSLLFIFPLFKSISYTPSLKSSYISFPGF >itb14g20830.t6 pep chromosome:ASM357664v1:14:23104671:23114286:-1 gene:itb14g20830 transcript:itb14g20830.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQAE >itb14g20830.t1 pep chromosome:ASM357664v1:14:23104561:23114286:-1 gene:itb14g20830 transcript:itb14g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQEAQSEVGCSLSQLRNGDPPDLTNKSGDIREDILDISSHQEVDQLEISGSGSCRPANVESSSVKKARSSQSEAKVIVEIETEAQNLETNESDSSSKRGKNPNNQVDNVENLKGGWLGEVRDDEMVESAQHEERPQRKRKRTIMNDKQITIIEKALIVEPDMQRNKASLEFWADELSVHGSEVTRSQLKNWLNNRKAKLARAVKDGCMSSEGDNPDKHGGTVVTHTFNSQGSAIEGVNVLCTERGNTQNTIEDPTVRAAANGNPGTLIESSKCQPGQYVVLVNDKKEEIARGKVFQVSGKWFGKDVGELGKCVVDIIELKVDRSSWVPYPSEITGTSFEHAERKFGFMRVLWDSTKLFVLPR >itb14g20830.t4 pep chromosome:ASM357664v1:14:23104561:23113810:-1 gene:itb14g20830 transcript:itb14g20830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQEAQSEVGCSLSQLRNGDPPDLTNKSGDIREDILDISSHQEVDQLEISGSGSCRPANVESSSVKKARSSQSEAKVIVEIETEAQNLETNESDSSSKRGKNPNNQVDNVENLKGGWLGEVRDDEMVESAQHEERPQRKRKRTIMNDKQITIIEKALIVEPDMQRNKASLEFWADELSVHGSEVTRSQLKNWLNNRKAKLARAVKDGCMSSEGDNPDKHERGNTQNTIEDPTVRAAANGNPGTLIESSKCQPGQYVVLVNDKKEEIARGKVFQVSGKWFGKDVGELGKCVVDIIELKVDRSSWVPYPSEITGTSFEHAERKFGFMRVLWDSTKLFVLPR >itb14g20830.t3 pep chromosome:ASM357664v1:14:23104561:23114286:-1 gene:itb14g20830 transcript:itb14g20830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQEAQSEVGCSLSQLRNGDPPDLTNKSGDIREDILDISSHQEVDQLEISGSGSCRPANVESSSVKKARSSQSEAKVIVEIETEAQNLETNESDSSSKRGKNPNNQVDNVENLKGGWLGEVRDDEMVESAQHEERPQRKRKRTIMNDKQITIIEKALIVEPDMQRNKASLEFWADELSVHGSEVTRSQLKNWLNNRKAKLARAVKDGCMSSEGDNPDKHERGNTQNTIEDPTVRAAANGNPGTLIESSKCQPGQYVVLVNDKKEEIARGKVFQVSGKWFGKDVGELGKCVVDIIELKVDRSSWVPYPSEITGTSFEHAERKFGFMRVLWDSTKLFVLPR >itb14g20830.t5 pep chromosome:ASM357664v1:14:23104561:23114259:-1 gene:itb14g20830 transcript:itb14g20830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin homeobox [Source:Projected from Arabidopsis thaliana (AT4G03090) UniProtKB/Swiss-Prot;Acc:F4JI44] MRLSKEEATCSAEPASTSVRRAEPPLDLVSAVKGLHTLGSQELSRLIRDAENNILRHSTQNGSSVQVDLEKLARHLSLHLIAVIMARETNEALLKYLLSGFQLLHSLGDIASRHPKLEQILLDDIKVSEQLLDLVFYSLIILCTYCQKFQVSNDMVLLHSTLVASSLYILTQCISSQWHELAQVFLAYYKVDIFMDAAFAALCADMKFLQSRLSVEHPDSFADSTSKAVEILNHLCQQCEASLQFIQSLCQQKVFRERLLKNKELCSNGGVLLLAQAVMHLNISPVFLESSSIVAAVSRLKSKVLSILLNLCEAESVSYLDEVASTPASLDLAKSIALKVLELLKNMFERSPKQSCVHSDKSYPRGQLQLNAMRLADIFSDDSNFRSYITTHFTEVLTAIFSPPHGEFLSSWCSPDLPVREEDATLEYDPFAAAGWLFNFYSSYQLIGASSESTFNPSNVPRVPYGHQRTSLLVKIIANLHCFVPDICKDDKDLFLKKFHECLQNEPTKSAVDVSSVCYAEKVAVIRRNLGSLLSHAESLIPNFLNEEDVQLLRVFITQLESLIAPAEIGENRVQEAQSEVGCSLSQLRNGDPPDLTNKSGDIREDILDISSHQEVDQLEISGSGSCRPANVESSSVKKARSSQSEAKVIVEIETEAQNLETNESDSSSKRGKNPNNQVDNVENLKGGWLGEVRDDEMVESAQHEERPQRKRKRTIMNDKQITIIEKALIVEPDMQRNKASLEFWADELSVHGSEVTRSQLKNWLNNRKAKLARAVKDGCMSSEGDNPDKHGGTVVTHTFNSQGSAIEGVNVLCTERGNTQNTIEDPTVRAAANGNPGTLIESSKCQPGQYVVLVNDKKEEIARGKVFQVSGKWFGKDVGELGKCVVDIIELKVDRSSWVPYPSEITGTSFEHAERKFGFMRVLWDSTKLFVLPR >itb04g09440.t1 pep chromosome:ASM357664v1:4:8728416:8732474:-1 gene:itb04g09440 transcript:itb04g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTMDEDDQARECSFRFMNENFQSAEDNRLSKRSKHLVVLSPGAFRSFDQRRFLNNSHGLDNKLAKHIIRFEERYFLSCFEHLNARASSVASCNLSSEMGILSDRLGSRQVSNSRMSKKTDLVVEFPLAAAGGENVAPKSTENWILGAITGSKSMMNILKSPLLNKSGTLDYNVVNSGKIRSLDFKESTYSDGMSSPGGFSTSSSHSVLKLPSDTTVGFPGFGSKAVHRKHYSVSTTNSTCSDQSFSVASIITQGMLKITWKNGLPRLVLSNDVKSEVYVADLLKVNSAGDKRLDYVYTFRSRSASKIERDICVTQPDFVGKMTVSTSFTLSLNESEIMETVFVLYGSGDDCTDDDTQISSHVQRKNKKLPMKMADVLRSGQSYKQRKPSKFDGTNAILEESSWPPSLDTWNNRALGGANHTGNELITNFELAAIVVKTHLHNNSHKKAELGGWGLKFLKKTMVGQKNSRLETSIRPGNPCCSSIECSTSTDVIVPAGFHGGPRTRNGGPTSLLDRWASGGHCDCGGWDIGCPMTILNTRQNSSENLSQADDVSGDCKTINLFIQGSKQNVPAMKIANIRDDLFYIRFEPNLSTLQSFAIAVAIIHSHDPIFRSKLYRR >itb12g12310.t1 pep chromosome:ASM357664v1:12:11016269:11018202:-1 gene:itb12g12310 transcript:itb12g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVKIEFNAIDPRIASCMEFLAQCNAPKAKESNPACQIQVKRRTDDHLPQITVTFVNGIEQTYDATSTPAQNIRTMILEKGQYLETEQMFREAGEKWPVVIPVEELNQPFLGIKPRKAEEKK >itb11g20500.t1 pep chromosome:ASM357664v1:11:21626136:21626886:1 gene:itb11g20500 transcript:itb11g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNVGKGLRALVIVDDPATEMVHKMLLKKYGLDAQVAKNGEEAVVLHRFGARFDLLLMNKEMPVKDGFNATRELRQMGVKSMIVGITSHGPGVVRDEFIAAGLDEKWFCA >itb15g18000.t4 pep chromosome:ASM357664v1:15:19343328:19347215:1 gene:itb15g18000 transcript:itb15g18000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTGLDRFKKAQTLEPFSVGANSASKTNIQPATKAAIQPSTTHPTSQISYHQTQYTNLHPSSQKSVETNVLPVVPTQQVTQVGGGQSTWQPPDWAIEPRPGVYYLEVIKDGEVLDRINLDKRRHIFGRQFHACDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEAGQSLKFAASTRTYILRKNNAALFPPPQPAEIDLPPPPNPTDEEAVLAYNTYLNRFGLMKPGTASKVSKSSMGTDDNSISERAAKRVRRTRVAFRDQVGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQITVIPKGREQSSAKDSTVSQTGVTEKLKQVLNKVKTPPKGGIYDDIYGESISGKVGSSWAFTTNDQAPSTNDPNAESPASLPISKSSNDDDDTDDLFG >itb15g18000.t3 pep chromosome:ASM357664v1:15:19342901:19347215:1 gene:itb15g18000 transcript:itb15g18000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTGLDRFKKAQTLEPFSVGANSASKTNIQPATKAAIQPSTTHPTSQISYHQTQYTNLHPSSQKSVETNVLPVVPTQQVTQVGGGQSTWQPPDWAIEPRPGVYYLEVIKDGEVLDRINLDKRRHIFGRQFHACDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEAGQSLKFAASTRTYILRKNNAALFPPPQPAEIDLPPPPNPTDEEAVLAYNTYLNRFGLMKPGTASKVSKSSMGTDDNSISERAAKRVRRTRVAFRDQVGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQITVIPKGREQSSAKDSTVSQTGVTEKLKQVLNKVKTPPKGGIYDDIYGESISGKVGSSWAFTTNDQAPSTNDPNAESPASLPISKSSNDDDDTDDLFG >itb15g18000.t1 pep chromosome:ASM357664v1:15:19342901:19348592:1 gene:itb15g18000 transcript:itb15g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTGLDRFKKAQTLEPFSVGANSASKTNIQPATKAAIQPSTTHPTSQISYHQTQYTNLHPSSQKSVETNVLPVVPTQQVTQVGGGQSTWQPPDWAIEPRPGVYYLEVIKDGEVLDRINLDKRRHIFGRQFHACDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEAGQSLKFAASTRTYILRKNNAALFPPPQPAEIDLPPPPNPTDEEAVLAYNTYLNRFGLMKPGTASKVSKSSMGTDDNSISERAAKRVRRTRVAFRDQVGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQITVIPKGREQSSAKDSTVSQTGVTEKLKQVLNKVKTPPKGGIYDDIYGESISGKVGSSWAFTTNDQAPSTNDPNAESPASLPISKSSNDDDDTDDLFG >itb15g18000.t2 pep chromosome:ASM357664v1:15:19342901:19347215:1 gene:itb15g18000 transcript:itb15g18000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTGLDRFKKAQTLEPFSVGANSASKTNIQPATKAAIQPSTTHPTSQISYHQTQYTNLHPSSQKSVETNVLPVVPTQQVTQVGGGQSTWQPPDWAIEPRPGVYYLEVIKDGEVLDRINLDKRRHIFGRQFHACDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELEAGQSLKFAASTRTYILRKNNAALFPPPQPAEIDLPPPPNPTDEEAVLAYNTYLNRFGLMKPGTASKVSKSSMGTDDNSISERAAKRVRRTRVAFRDQVGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQITVIPKGREQSSAKDSTVSQTGVTEKLKQVLNKVKTPPKGGIYDDIYGESISGKVGSSWAFTTNDQAPSTNDPNAESPASLPISKSSNDDDDTDDLFG >itb09g09040.t1 pep chromosome:ASM357664v1:9:5413006:5414149:-1 gene:itb09g09040 transcript:itb09g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVASNYTHSHERSCHGQLLVKLSGLSSTTLAPRIHEAVQHRSVPTRDGSTGQRASMSSAPALAPIAFYPIKRMETKLTCHLFAFLHQIFNFSV >itb13g12590.t1 pep chromosome:ASM357664v1:13:18821532:18822168:-1 gene:itb13g12590 transcript:itb13g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRRRLAGRLKEGMEQTSPEKSASLRRISSLQPPLFATPPAVRRPRLQVASSPPNDRRRHLKKTRKQDVALPEEEEWRNDIAGKPSFTAAASPLFATLLPANDSQSRRARFVSRK >itb15g11590.t1 pep chromosome:ASM357664v1:15:9413631:9416441:1 gene:itb15g11590 transcript:itb15g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDNGKLGHDDEYPYEDHMRSQPAFMVNGGQRKSSIMSYPNADGLNNYVVKTQRVVEVVRPVVTRRVLVNGKPVREERIVGGYEDGNGGLKENLDSLGKVKDFFNQVQMEASLPGDPILSRGGHNLGANINWSSSQNGAPGRGNWGSRGSWMSHDESPPRHNNGHFEVHNRPNWGSRASWMSRDDSPPRHNGRPNGGTRSTYTIYDDSPPRYHQRNELSRPSLDTKPNWPSRSQSPPMMQSRLVSYPNSGTRPKWPGSRDDSPPRVMAHPNSGARPNWPIRSDSPPRAMEYYELHDNSNTRPNLAKARDNSPPRAMEYYESHPNSNTRPNRAKPRDDSPPNPIVNHLMPRTNSGANKPNWSSKRDYSPPRSMMHSELNPPNLGTRPNWVIQDESPPRIYEYYESSHPKSNARPNWTKARDDSPPKSMVQQPMAHPNSDTSKPNWPSNHDYSPPKSTVHPKLSRPADSNTMPNWFLHGATGDKSSTLPTDSPPKYVEKGKDQRNTSPLHSKLEKVNIHDNRGSSPIPNKMNAGSNKETTQQVRESDQRPNWPNRKSQEGKEEHEKPKSNEGGHIKKGNEEEVHGLANPKSVAVEATTRISSTQEIPKKFEDSFKKPSNNLQHDNLDDGNGNSKKSSSITPSSYTKADFNGRWYKQPGSTLTSATSNVDDLMKVIEEAKRTGGYPAASEKPHWSEITPIPPQGVRVSGPQWNEITPNPPVIDSMEAKRRYTTGYPQPVVAAAPMGFYGALSSEDAVRRYGGTFLPN >itb03g29770.t1 pep chromosome:ASM357664v1:3:30792179:30799063:1 gene:itb03g29770 transcript:itb03g29770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVILHIYDVTNSASDKTNSTILQINRIFKDGIGLGGIFHSAVQVYGDEEWSFGFCEQGTGVFSCPAGQNPMYSYRESINLGQTNYSIFKVNQILRELSREWPGCSYDLLSKNCNHFCDEFCERLGVPKLPGWVNRFANAGDAAVEIAGNTAFRLRQAKTEIVTASKVAYRFLQGIASNNSGSNPDSPSNSNRGSPRLQATWFKNLISTGARPSSSSDVESRDEDIMRPGPPQQRQDAEAPPPLRQSPVHGP >itb03g29770.t2 pep chromosome:ASM357664v1:3:30792179:30799063:1 gene:itb03g29770 transcript:itb03g29770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVILHIYDVTNSASDKTNSTILQINRIFKDGIGLGGIFHSAVQVYGDEEWSFGFCEQGTGVFSCPAGQNPMYSYRESINLGQTNYSIFKVNQILRELSREWPGCSYDLLSKNCNHFCDEFCERLGVPKLPGWVNRFANAGDAAVEIAGNTAFRLRQAKTEIVTASKVAYRFLQGIASNNSGSNPDSPSNSNRGSPRLQATWFKNLISTGARPSSSSDVESRDEDIMRPGPPQQRQDAEAPPPLRQSPVHGP >itb14g15520.t1 pep chromosome:ASM357664v1:14:18836104:18839158:1 gene:itb14g15520 transcript:itb14g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSSRAKLFSIAFLLLSVTHVIKSQQVDGDWGFSYDENSERGPSHWGELRPEWWQCGAGKMQTPIDLESKPVVYSNLGPIRKYYITDIATLQNRGYDMMLSWPLGRQRYISIDGIRYSFRLVHWHSPSEHVINGTRYDLEAHLVHVSNDGRIAVLAVLYQIGETPDRILSLIEDDLKELAATLGVKKDVGYIDPNLLQARGRRYYRYMGSLTTPPCTEGVVWTVMGTIRSVTKEQVALIRNAIHDDTKTNARPLQPANK >itb01g11050.t1 pep chromosome:ASM357664v1:1:9663202:9666410:-1 gene:itb01g11050 transcript:itb01g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLTKPMSETSIFLKNVNNQSPYSLVFPNNLSIGHRPFSFGSFGKPLTRFPVAQHSNQTQTSPEAQTTQTSDVTLLSCSEAIERLRASRESYPSKQQYLAMYSSIFGGIVTDTAAMVIPMDDHIVHRGHGVFDTAAIVDGYLYELDQHVERFLRSAAMAKIKPPFDKESIRKILIQTVSASKCKTGSLRYWLSTGPGDFQLSPSHCHQSALYAIVIQDQSPPDHRGVKVITSSIPIKPPQFAVMKSVNYLPNALSKMEAEENGAYAAIWLDGDGFVAEGPNMNVAFVTKEKELLMPSIDKILSGCTAKRVLVLAQGLVKQGKLRSIRVEHVRVDEGKRAEEMMLIGSGVLVRPVLQWDDQVIGDGKEGHVSRALLNLILEDMKSGPATVRVPVPY >itb01g11050.t2 pep chromosome:ASM357664v1:1:9663564:9666387:-1 gene:itb01g11050 transcript:itb01g11050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLTKPMSETSIFLKNVNNQSPYSLVFPNNLSIGHRPFSFGSFGKPLTRFPVAQHSNQTQTSPEAQTTQTSDVTLLSCSEAIERLRASRESYPSKQQYLAMYSSIFGGIVTDTAAMVIPMDDHIVHRGHGVFDTAAIVDGYLYELDQHVERFLRSAAMAKIKPPFDKESIRKILIQTVSASKCKTGSLRYWLSTGPGDFQLSPSHCHQSALYAIVIQDQSPPDHRGVKVITSSIPIKPPQFAVMKSVNYLPNALSKMEAEENGAYAAIWLDGDGFVAEGPNMNVAFVTKEKELLMPSIDKILSGCTAKRVLVLAQGLVKQGKLRSIRVEHVRVDEGKRAEEMMLIGSGVLVRPVLQWDDQVIGDDTLFLDQDCRVDPSPWYNNRVK >itb15g06740.t1 pep chromosome:ASM357664v1:15:4521721:4522035:-1 gene:itb15g06740 transcript:itb15g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGTKKKKKIVEELTFEVEKKTGESNSTEGLKDGGILRKDEGDTGDGDMGKVAGGKDLNFGISWVSEIPQLKEEEEEPKPFSGFLDSSLLERRSVKEKEEKEE >itb14g01520.t1 pep chromosome:ASM357664v1:14:1159829:1160941:1 gene:itb14g01520 transcript:itb14g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFRRKYLCGHVWSLIRTKTNDEQALENFLAKYGSLAPKRYSYSELRKMTESFKNQLGQGGFGCVYKGNMHNGSAVAVKVLKDLKEDGEGFINEVASISRTSHVNIVSLNGFCFDSRKRALVYEFMSNGSLEKFIYENTSSTRRQLGWKVLYNIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCVNKESTVSIVGARGTIGYIAPEVVCRSIGGISYKSDVYSYGMMVLEMVGGRKNVDVGVSRASEIYFPHWIHSRLQLDEELELTGITNEEENEYARKMVIVSLWCIQMDPAIRPSMSKIVEMLEGNLSNLEIPPKPCFSSPSTSEVNLSSRFLNASIIYCRIIIQLNL >itb02g07130.t1 pep chromosome:ASM357664v1:2:4438536:4442631:-1 gene:itb02g07130 transcript:itb02g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVVFLGLCVFFNSPVSLGDETLTVNNNLSLTTPIVSSNGRFEMGFFTPGKSANYYAGIWYSKIEPQTVIWVANRDTPISFVDMQTTTLKILDGNLVLVSVTAGLIWSTNATSGGSKDSVRATLLNTGNLVLIDGNTTDQPLWQSFDNPTETIMAGAKFGYNKLTGEKQTLRSWKSPEDPSPGLYSLAMDPQIWQFAATWNGTDRYWTSGPANGSLFKFVPDMRPNPIYNFTFVNNANQTFFVYDFFNPDAVISRFVLDISGQLRHYTWLDSSKAWNILFLKPEKQCDVPAFCGPFGMCNDNSTSLCDCLPGFRRKSDKDWGLNVFTDGCVRIADLQCGNATGTANSQEDKFRMVTNTRLPRHPRNMTVGSTTECESACLRNCSCNAYAYDDRNGGCSHWDGELLNLSKDNSNGSTIYIRLAASEFFVQANTTNSSIHAVIIDMHAHSCTILFNESSLDQERSVLLDWEKRFDIILGIARGLMYLHHDSRLRIIHRDMKTSNILLDAEMNPKISDFGLARIVEGKKTEAVKTIKVAGTYGYMSPEYASDGLFSIKSDVFSFGVIMLEIITGRRSSGFYHPDGSANLLAYAWKLWKEGRTREFVQEWVIPLEPKHEREVFKCIHISLLCVQERPEDRPRMPTVLTMLNNETCESLSRPNQPAFVPRTSVPSTSSSPSGLPHSNNEVTLTVLEGR >itb01g21450.t1 pep chromosome:ASM357664v1:1:27565697:27568711:-1 gene:itb01g21450 transcript:itb01g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGACFRAACNHPFVMVMLFLLAVVYRSFPYVFSLLVSAFPIIFCTGVLLGALLSFSQKKAPQIRGGEEDDRGNFKTHISNDSVQTSKGCSDDSCDDDDTGFELLNKENGDGLVLNKDHCLPIPKLSSQEIDNKSVLIGCCDSKLPNIGLPAKHKDDDEEILDSGCDSSPDAASVAEIVAMLDDELHPLLDEGAPPRPPVNVTSEHFSRSNDSSEESDDSIEEKTALQVEDEEEDEEEEEIQGDNEEVTKSAIKWTEEDEKNMMEVGSSELERNQLLERVMERRRSRKWVSMMMESSIVTTRQNPFDTLPDSYQEGLPPIPGSAPSVLIPRRNPFDIPYYSNEEHKTGENNSGLDFLDFQPKETTTSTLFEGSEFLNVGPSIFGASKQDKRESYFAAEQMAPQSNGHANSKLSSGPETESMSDGEDLEDKKEEEEEDVGDREEKKVIDDEHMFEEAVLVSKIEHASEDVGHGSQSSEEEEEEECLESGMGMKNILVDEIVMKPEKLESVEQSYSNASSSLTSSEVSGRMFVEKEVEERRSSSSSSSSSSSSCGCGAENGISRQAAVESNMSGSSLVEEEERREQPVYDSSPPHHHAPTRQSLRRNFSSSSLDVHESWFPPKQVKRTVSFAQGVIMMGSSSSSSNRDTEMSIPIPIPIPIPIIKEMLGSSTTEVVPDHQHQVTSNSHTQGDIKHLQDHQLSEADTQFKPDR >itb14g16350.t1 pep chromosome:ASM357664v1:14:19700070:19700605:1 gene:itb14g16350 transcript:itb14g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRREKAKSKSAQALPPRRGQITLRILKSLVKSAAELVSAGDSKRKDGGSGVISPISDATPAQTPSGYDSGVDSDYR >itb13g22350.t1 pep chromosome:ASM357664v1:13:28631739:28637133:-1 gene:itb13g22350 transcript:itb13g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKKKARSGQKEKRVAAGSPNATPQQSTQNTEAPEDVTVVAKERKACPHFDKAVNLEKVSAKLGSSESITCEECLGGAGDKRPGKGKNKHGKKKAGMDKAIWVCLECGHFSCGGVGLPTTPQSHAVGHAKKHRHALAVQFENPQLRWCFTCSTLIPGDKEDDSGEHKKVIQDIVKLIKGTQPEGTNLDAEDAVSGSGSASVTSGVISKHSASVGLNGKGGYSVRGFINLGNTCFFNSVMQNLIAIDKLRDYFLRLDGSIGPLTASMKKLFTETNPEAGLRGVVNPKSLFGSICSKAPQFRGYQQQDSHELLRCLLDGLSTEELTVSRKIHASKEGDKSPSADPTFVDAIFGGQLSSTVSCLECGHSSVVYEPFLDVSLPVPTKKPPSKKSQTVTRGKKPKPPPKRSGRISAKASKSIMSPPAQSVPDNGRTSCGSSHLSTPVPEPAVVPLGDAPSDSLDASTVADNMGLISHVPFATQESENNRIDEAETLTSTDNFTWLDYLEPDYEDLTLQSDNISTSKCCGNENSVQQDVSLQKNLEPNLETSSSDNLASLSNQGQDKVLHNHDIASKFNEIALFGDSGARDATQTHNNTESCNQISFAESNMGINSSTPCLEDEAPLQVQDSEILLLPYTEETSISCEVPKGECEVSSVAGCKQDVVDFDGFGGLFDEPEETASCSVKPLSNSGASDTKELGQAGFVVGNSSDSDPDEVDNSDAPVSVESCLAYFTKPEKLTKTEHAWQCENCSKILQEQKMRERKGLLKHRCEILVNGYGDINSSDTPPELAVANGNMEGNVLDSIDHSSLSQNGESNGHVNTVHKVNQKAEADAVSSQSEDACPDPLESSGQDKSYSQLSYSYKNNDICSSSEDPRDGCHNTETMEGTDLSSGKSKPDEIEDEEMDSEIVKVERDATKRILINKAPPILTIHLKRFSQDARGRLSKLSGHVDFKYTIDLKPYIDPSRLEKEAYKYRLLGVVEHSGTMRGGHYVAYLRGKKSTSQTENGDFVWYYASDAYVREVSLEEVLRSEAYILFYEEV >itb13g22350.t2 pep chromosome:ASM357664v1:13:28631841:28636345:-1 gene:itb13g22350 transcript:itb13g22350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKKKARSGQKEKRVAAGSPNATPQQSTQNTEAPEDVTVVAKERKACPHFDKAVNLEKVSAKLGSSESITCEECLGGAGDKRPGKGKNKHGKKKAGMDKAIWVCLECGHFSCGGVGLPTTPQSHAVGHAKKHRHALAVQFENPQLRWCFTCSTLIPGDKEDDSGEHKKVIQDIVKLIKGTQPEGTNLDAEDAVSGSGSASVTSGVISKHSASVGLNGKGGYSVRGFINLGNTCFFNSVMQNLIAIDKLRDYFLRLDGSIGPLTASMKKLFTETNPEAGLRGVVNPKSLFGSICSKAPQFRGYQQQDSHELLRCLLDGLSTEELTVSRKIHASKEGDKSPSADPTFVDAIFGGQLSSTVSCLECGHSSVVYEPFLDVSLPVPTKKPPSKKSQTVTRGKKPKPPPKRSGRISAKASKSIMSPPAQSVPDNGRTSCGSSHLSTPVPEPAVVPLGDAPSDSLDASTVADNMGLISHVPFATQESENNRIDEAETLTSTDNFTWLDYLEPDYEDLTLQSDNISTSKCCGNENSVQQDVSLQKNLEPNLETSSSDNLASLSNQGQDKVLHNHDIASKFNEIALFGDSGARDATQTHNNTESCNQISFAESNMGINSSTPCLEDEAPLQVQDSEILLLPYTEETSISCEVPKGECEVSSVAGCKQDVVDFDGFGGLFDEPEETASCSVKPLSNSGASDTKELGQAGFVVGNSSDSDPDEVDNSDAPVSVESCLAYFTKPEKLTKTEHAWQCENCSKILQEQKMRERKGLLKHRCEILVNGYGDINSSDTPPELAVANGNMEGNVLDSIDHSSLSQNGESNGHVNTVHKVNQKAEADAVSSQSEDACPDPLESSGQDKSYSQLSYSYKNNDICSSSEDPRDGCHNTETMEGTDLSSGKSKPDEIEDEEMDSEIVKVERDATKRILINKAPPILTIHLKRFSQDARGRLSKLSGHVDFKYTIDLKPYIDPSRLEKEAYKYRLLGVVEHSGTMRGGHYVAYLRGKKSTSQTENGDFVWYYASDAYVREVSLEEVLRSEAYILFYEEV >itb15g08660.t1 pep chromosome:ASM357664v1:15:6089920:6094672:1 gene:itb15g08660 transcript:itb15g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDPRRSGNTIHPVDAESPPVPAGTPINYGDIKHFRKWVPWLIPCFVIANVVMFVITMYVNDCPKNSVSCIARFLGRFSFQPFSENPLLGPSSNSLQKMGALDVSKVVHGHQVWRLITCIWLHGGVFHLLANMLSLLVIGIRLEREFGFVRIGLLYIISGLGGSLLSALFIKSNISVGASGALFGLLGSMLSELFINWTIYANKFAVLITLVIIIAINLAVGILPHVDNFAHIGGFLSGFLLGFVFLIRPQFGWVNQRYASAGYSSTPKPKFKVYQLVLWVSSLILLIIGLTTAIVMLLRGVDLNEHCSWCHYMSCVPTSRWSCNTEPVSCLSEQMANQLTLTCSNNNRTRTYDLQSLSTSQIQGLCGQLCH >itb14g03190.t1 pep chromosome:ASM357664v1:14:2832005:2835051:-1 gene:itb14g03190 transcript:itb14g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNVGENQKQTRMSEVKDPAIKLFGMTISLPPAPAPPQDDGDVSNSVTTPSHDNKNTSGVELTGDKQEVESPVSIAEESVEAVTSSVISEDPPRTQSPDKGTGSSKDIKKDDPSESSDSQEKTLLKKPDKILPCPRCNSLDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGIMRNVPVGSGRRKNKSSAATNYHHIMVSENANGAVLAFGSDTPLCKNITTSMLDLVEKPQNCGPNGRYRGSEQAPACYGGRDTGNNEHSNGPSSMSIERGGNGAGVTESMWKNFHGFPPQVPCFPGPPPWPCSWNPALNPSSFPVSFYPAPAAPYWSCSPWNVAWISPSSSSDLSAQCSSPMSQTLGKRSREGHILKSSNSSTGEEPLGKTRENRGTTTVLIPKALRIDDSNEAAKSSIWSTLGIKNEKTDSPGASLLKAFSSKADEKNPHVADHSWLMKANPAALTRSLNFHEST >itb13g13100.t1 pep chromosome:ASM357664v1:13:19614929:19615258:-1 gene:itb13g13100 transcript:itb13g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDYIPRHKLSALEEAFDQNLLASFLLANVLTGLVNLSVDTLFVSSVSALAILQVYAFVLSLAVGFAKFYGIKFKFW >itb09g03670.t1 pep chromosome:ASM357664v1:9:2019634:2025767:-1 gene:itb09g03670 transcript:itb09g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYMISLPLTIGMVGLTLWYFAGPDAPRYVRCTVGYAWFCSLSIIILVPADIWTTLSNHDRRVIYFFWIWSYWSTFLLTWAVVPILQGYEDAGDFTVTERLRTSARVHLFYYLTVGSIGLFGLTLLITLRKNWSGGILGFAMACSNTFGLVIGAFLLGFGLSEIPKGLWRNADWTTRHKFLSHVVAKMAVKLDDAHREFSNSIVVAQATSKQMSKRDPLRPYMTIIDKMLVKMLSEDPSFKPQGGRLGENDMDYDTDPKTMAALRRQLRRAREKYYRYKSEYINFVTEALELEDTMKNYEHRYANGWRYVSSFRPERSGRLGSMFEVIELVWRCIMRKQLERIFAIILGCMSVAILLAEATILPAVDLSLFSLLINAVKKHELLVQVAAFVPLMYMCVCTYYSLFKIGMLTFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRIGNGAKTVFEKRMGNIDDAVPFFGRKFNNIYPLIMVVYTIAVASNFFGRIISYFGNWKITKVQSEEAEDIDGFDTSGLIILQRERTWLEQGHKVGELIVPLARNFNNSSLDIESVSSHALSHEKTVSSRLMEGGEVTPSKFLNEEAKHNSFHDGTSKKYKAIETGSSENSRDTPNSLYEADNSQDMQVEPSSRSNSTWESVKNGFLSFKSNIEARRFIPLRQGPEAMHSHASPESLDEIFERIKKPSADSTHIWD >itb13g12000.t2 pep chromosome:ASM357664v1:13:17809318:17814918:1 gene:itb13g12000 transcript:itb13g12000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLLDWKDFPKGLRVLLLDEDTNSAAEMRSKLEEMNYIVSTFQKENEALLAISNKSEAFHVAIIEVNTRNSNEAFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGEKDVSESLKPVKESIVSMLQLESRNSGADAQNSNETIRENSQEFSADSDKYPAPSTPQLKQGARSLDDCECLDQTNFLMERDSVDRDEESKSVETTCCNSGSSTNPAISPPVSLVEASIKGGCKSSPDHKSRTENSTSLQSTDAPLNVSNESAAPNKLSRVNSSSGTKVNKKKLKVDWTPELHKKFVQAVEQLGVDAAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRQILPKDDAKRWPNPRDSTQRSCFPRDPILAFPPYHSPYSIPSDQYYPAWVQPGSYPSGVQMWGSPYHYPGWQSTDNWHWKPHPGAHANAWGCPVMPSPQGSYPTYPQNASGYYRADGVQNRYHMLEKSFDFQPAEEVIDKVVKEAINKPWLPLPLGLKPPSTECVLNELLKQGISTIPHKINGSHTR >itb13g12000.t1 pep chromosome:ASM357664v1:13:17809043:17815053:1 gene:itb13g12000 transcript:itb13g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLLDWKDFPKGLRVLLLDEDTNSAAEMRSKLEEMNYIVSTFQKENEALLAISNKSEAFHVAIIEVNTRNSNEAFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFNAGEKDVSESLKPVKESIVSMLQLESRNSGADAQNSNETIRENSQEFSADSDKYPAPSTPQLKQGARSLDDCECLDQTNFLMERDSVDRDEESKSVETTCCNSGSSTNPAISPPVSLVEASIKGGCKSSPDHKSRTENSTSLQSTDAPLNVSNESAAPNKLSRVNSSSGTKVNKKKLKVDWTPELHKKFVQAVEQLGVDAAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRQILPKDDAKRWPNPRDSTQRSCFPRDPILAFPPYHSPYSIPSDQYYPAWVQPGSYPSGVQMWGSPYHYPGWQSTDNWHWKPHPGAHANAWGCPVMPSPQGSYPTYPQNASGYYRADGVQNRYHMLEKSFDFQPAEEVIDKVVKEAINKPWLPLPLGLKPPSTECVLNELLKQGISTIPHKINGSHTR >itb07g20910.t1 pep chromosome:ASM357664v1:7:25348260:25354003:1 gene:itb07g20910 transcript:itb07g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MVKMEVDSPSSSSSPVTITISTSGGACHGLTSPVRRLSLSNANPNSPLGGRRGSSSGGNRIAASGGRYVSMCKESTEEFVAYTVQIPPTPDNRNVDDSTNSSLDVGKSCGNPTDGYIKDTIFTGGFNSVTRAHVRRSLEVEPLEMKSKTVCELDGCDENAPEAPCECGFRICRDCYLDCVGNGGGSCPGCKELYKGISDDESDEPKSEAKDMANPLPSRRGGSRREKNFSLVQSFKNTNQDFDHNRWLFETKGTYGYGNALWPSDGYEFGKGIDRSTNPPDFTDRRNRPLTRKVGISAAIISPYRLLMVLRLAALGCFLTWRICHPNREAMWLWMMSVVCEIWFAFSWLLDQLPKLCPVKRITDLAVLKERFEGSGPNLRNPKGLSDLPGIDIFVSTADAEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGSLVTFEALAEAASFARVWVPFCKKHKIEPRNPEAYFAQKRDPLKNKVRIDFVRDRRRVKREYDEFKVRINGLPESIRRRSDAYNAQQELRAKKKQFELRENLSEPIKVPRATWMSDGTHWHGTWSSGEEGHSRGDHEGIIQIMLVPPNSEPLFGNEVDEKNLISTTDVDIRLPMLVYVSREKRPGFDHNKKAGAMNALVRASAIMSNGAFILNLDCDHYIYNSLALREGMCFMLDKGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRIALYGFSPPRATEHHGWCGRRKTRKVLRKPNMPNGQEDDEEMVLPTIGAHNDDEDLTRVLLPKQFGNSVPLVDSIAVAEFGGRLLHELRGKGCLGRPAGSLAVQREPLDASALAEAVSVISCYYEDKTEWGRRVGWIYGSITEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLIQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSIFLLVYCFLPALSLFSGKFIVESLNITFLVFLLSITLTLCLLAILEIKWSGITLEDWWRNEQFWLIGGTSAHPAAVIQGLLKVIAGVDINFTLTSKSAAPDDGEDEFAELYEFRWTVLMIPPITIILLNCVAIAVAVFRTVYSPFPQWSKLLGGVFFSFWVLSHLYPFSKGLMGRKGKVPTIVFLWAALICIIISLLALYIYPPNGHQDYNMTFQFP >itb02g07470.t2 pep chromosome:ASM357664v1:2:4624175:4627193:-1 gene:itb02g07470 transcript:itb02g07470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYKENPFADEEINPFADLKKREKELLAREAELKKREEELQRKEDAIKRAGIVIEENNWPPFFPVIHHDIANEIPIHLQRLQYVAFTTYLGLVLCLCWNFVAVTCAWLKGEGVTIWFLAIIYLISGVPGAYVFWYQPLYRAMRTDSAIRFGQFFVCYSIHIGFCVVAAIAPPIFFKGKSLTGMLPALEVLTDSIFVGIFYLIGFVLFCIESLISIWVIQQVFSYFRGSGKAEQMKREAARSTMMASL >itb02g07470.t1 pep chromosome:ASM357664v1:2:4624175:4627193:-1 gene:itb02g07470 transcript:itb02g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYKENPFADEEINPFANSRGAPQASNSQLSPLPHEPVDYDHSATVDIPLGNSQDLKKREKELLAREAELKKREEELQRKEDAIKRAGIVIEENNWPPFFPVIHHDIANEIPIHLQRLQYVAFTTYLGLVLCLCWNFVAVTCAWLKGEGVTIWFLAIIYLISGVPGAYVFWYQPLYRAMRTDSAIRFGQFFVCYSIHIGFCVVAAIAPPIFFKGKSLTGMLPALEVLTDSIFVGIFYLIGFVLFCIESLISIWVIQQVFSYFRGSGKAEQMKREAARSTMMASL >itb01g11930.t1 pep chromosome:ASM357664v1:1:11291608:11292800:1 gene:itb01g11930 transcript:itb01g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLSLVFILLFACTIPFQGVLADIICENLPTNACAFSVSSSGKRCVLENSIKDGTKVEYECKTSDVVVGSDMAEYIETDKCVSACGIDRKSVGMSSDTLLESTFIAKLCSPACYNDCPNIVDLYFNLAAGEGAYLPDMCQKAASHRRDMAELESNSDVIAPGNSDDVIAPGPDVEWTSPRRDMIQLESDDSADALLAADVDFLAMDVANAPTTADAPSADDVLAIDVANAPSTAADAPIADDVLAADFLAVDVVEAPVAETPNTQLRNARRAMAELLSNSIANADAPAVDDALTMDTPAPSPSYF >itb09g13350.t1 pep chromosome:ASM357664v1:9:8691300:8691950:-1 gene:itb09g13350 transcript:itb09g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTVFLSLVALTFCGLAMAFEPSPLQDFCVADPNSSAKVNGFACKDPKTVQATDFFFSGLHLPGNTSNPNGSKVTAVNVAQIPGLNTLGVSLVRIDYEPWGINPPHTHPRATEILVVLQGALEVGFVTSNPNNRLISKVLKPGDVFVFPVGLVHFQRNVGYTKAVAFAGLSSQNPGVITIANAVFGSDPAINGDVLAKAFQVDKNTVSRIQSKF >itb01g26670.t1 pep chromosome:ASM357664v1:1:31772658:31774089:-1 gene:itb01g26670 transcript:itb01g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPELSLSLAASSNFNGGAGDNAADSDHDIRLPMKRKVQSGGASGSVDLHQPWMEPLPVDWEQCLDLQSGRMYYVNRKTMKKTWEWPKEQKQAHHHNQLNLELNISTSGAAHSYEDHRHHKKHHHPSSPPPSNGGAGGGENMIALPCSNCHLLVIVSQTSPSCPNCKFVQYSSAAGNRSPAKTFHSGGLSLFN >itb12g22550.t1 pep chromosome:ASM357664v1:12:24612346:24613577:1 gene:itb12g22550 transcript:itb12g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSTVSLKLLIEKKTQKVIFAEAEKPFVDFLFHLMSLPLGTIIKLVSQSSMVGSLGNLYGSIKNISQTYLQPNLNKDVLLNPKVATHYSFNAPLLLAAGDPSEAKKFYFCTNCLNYGGGRRSISDDPKAICPHCKSKISGEASYVAPVKADSTSMDESGGGFVKGVVTYMVTDDLKVMPHSTISTITVLNDFNIKDFGSVEVKDVRLGVDEGLKVLKAALQTDSVLTTVFLGK >itb04g23300.t2 pep chromosome:ASM357664v1:4:28419515:28421040:1 gene:itb04g23300 transcript:itb04g23300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEDMRNKRVVVAVDEGEESMQALSWCLSNLLTQITCGIGSCSLVLLYVKPPPPVYSTLDPTGYFFAGDDAISDMKKYGSNLANSVMNKAESICRKFNTSVSQLYSSIYLSLPHMLIKRN >itb04g23300.t1 pep chromosome:ASM357664v1:4:28419515:28421040:1 gene:itb04g23300 transcript:itb04g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEDMRNKRVVVAVDEGEESMQALSWCLSNLLTQITCGIGSCSLVLLYVKPPPPVYSTLDPTGYFFAGDDAISDMKKYGSNLANSVMNKAESICRKFNTSIKVEKKVGCGEAKDVICAAVENLKADILVMGTHDYGFFKRALVGSVSEYCAKHVKCPVVVVKSQKTMI >itb09g00070.t1 pep chromosome:ASM357664v1:9:170227:175626:-1 gene:itb09g00070 transcript:itb09g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFGSKHFQGYAQPEDHSISNCNPSSGRSNSYSDNFSSPTRLSQDFPKDTQPNPNADQRIPILYPKKENMYRSTNNQAYHVLGHKTANIRDLYTLGRKLGQGQFGTTYLCTEISTGVDYACKSISKRKLISKEDVEDVQREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFESDPWPLISDSAKDLIRKMLCMQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKYGSTLKDTEIRELMDAADVDNSGTIDYGEFIAATIHLNKLEREEHLMAAFQYFDKDGSGYITVDELQQACIEHNITDVLYEDIIREVDQDNDGRIDYGEFVAMMTKGNAGIGRRTMRNSLNISMRDVPGAH >itb09g22830.t1 pep chromosome:ASM357664v1:9:21656744:21657147:-1 gene:itb09g22830 transcript:itb09g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAACLACRHGLLVHGFKPQQTTFFTAAHRSHTLFTRKLPQSSVTAEERQRPPDLLACRRKGGTTPLLAALSIHSARRKLRRKQRREERKLL >itb03g21480.t1 pep chromosome:ASM357664v1:3:19352989:19354692:1 gene:itb03g21480 transcript:itb03g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGKSCRLRWKNYLRPNIKRGMMSEDEKDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNFWNTHLNKRSRRGKRMKITPKDDDSISASIPTQSMENTNLVEGSIKQEDEMDSIMNSWMEHMGIENCNINSSISTNNLPWIFEDVPLIPILDDVLLDAFQRTGDETLLDGIHPFLL >itb03g21480.t2 pep chromosome:ASM357664v1:3:19352989:19354692:1 gene:itb03g21480 transcript:itb03g21480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKEVKTGLKRGFWTPEEDLTLKKCVETHGEGNWATISKKSGLMRSGKSCRLRWKNYLRPNIKRGMMSEDEKDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNFWNTHLNKRSRRGKRMKITPKDDDSISASIPTQSMENTNLVEGSIKQEDEMDSIMNSWMEHMGIENCNINSSISTNNLPWIFEDVPLIPILDDVLLDAFQRTGDETLLDGIHPFLL >itb05g23420.t1 pep chromosome:ASM357664v1:5:28524156:28526874:-1 gene:itb05g23420 transcript:itb05g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENMVALVSRTGRHLQRYDNGRRQVVGCIPYRYKDRIDTSPLNEDAFEVLVISPQRKGKGMLFPKGGWEADETIEAAALRETIEEAGVLGDIECKLGTWSFGSKRSGNACEGHMFPMLVKEQLDCWPEKKIRQRHWISISEAREVCEQGWMMEALESLASRLTRQSSRQSKAGIFSGVERSQGMLMRLRCGGQILPPPPPPSSAEEA >itb05g23420.t3 pep chromosome:ASM357664v1:5:28524395:28526086:-1 gene:itb05g23420 transcript:itb05g23420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKGGWEADETIEAAALRETIEEAGVLGDIECKLGTWSFGSKRSGNACEGHMFPMLVKEQLDCWPEKKIRQRHWISISEAREVCEQGWMMEALESLASRLTRQSSRQSKAGIFSGVERSQGMLMRLRCGGQILPPPPPPSSAEEA >itb05g23420.t2 pep chromosome:ASM357664v1:5:28524156:28526874:-1 gene:itb05g23420 transcript:itb05g23420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELENMVALVSRTGRHLQRYDNGRRQVVGCIPYRYKDRIDTSPLNEDAFEVLVISPQRKGKGMLFPKGGWEADETIEAAALRETIEEAGVLGDIECKLGTWSFGSKRSGNACEGHMFPMLVKEQLDCWPEKKIRQRHWISISEAREVCEQGWMMEALESLASRLTRQSSRQSKAGIFSGVERSQGMLMRLRCGGQILPPPPPPSSAEEA >itb14g17600.t1 pep chromosome:ASM357664v1:14:20833292:20835902:1 gene:itb14g17600 transcript:itb14g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETPPARRSCLVVGNCCHDVLIKDGGVIAESLGGAASFISAVLDGFDVDCRYVSKVGPDFAYSVSHRPIVCSSSQTTLFHAHFSSEIKRRDRVLKRVRACDPIAASDLPDSEFDFGLAVGVGGEILPETLEKMIGICETVFVDIQALIRIFDPADGTVNHVDLKQTGFFHLLPRIGFLKASSDEALYVDVEEARKRCRILVTNGNEGCTVFTENTELKIAPFPTIQVDPTGAGDSFLAGLVAGIAHGLTLPDSALLGNFFGSLTVRQMGHSKFDSQLLQRVKAEVERRRVHHFGSHEKRDDDDDSDEKRDDDDDDEEFFRVLDAVRTVMAPYCLHEWPNSPRPKCSDQQRLLLHSVCEEPPINSVQNKQ >itb08g07760.t1 pep chromosome:ASM357664v1:8:6660268:6666352:1 gene:itb08g07760 transcript:itb08g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFLTKDPSLEDIDDIDWTSEDEREIEDIPAVQAAAAKIEASSSSVDPCSKLIQHFVGMGFSRELVEKAIEQNGEDSESILETLLTLSVLETSPSEQPYSSCPQEEPCVNGYDSSSGYDENILDDFSDGGSWSDDDVENMVSLSPKERTLLSLTKMGYLAEEASMAMERCGIFLNFLHTLLPKIKNLSGENGKQKRKMCAYDMYRKKKQKGIFNEDVETIRLPKPMVGFGVPTEICPEFQGRTLPEQAIGPPYFYYENVALAPKGVWDTISRFLYDVEPEFVDSKYFCAAARKRGYIHNLPVENRFPLLPIPPRTIHEALPLTKKWWPSWDTRTKLNCLQTAIGSARLSDRIRKAVEAYDGEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLFPNGMNVLSLFSGIGGAEVALHRLGIRLKTVVSVEKSEVNRNIVRSWWEQTNQTGTLIDFDDVQQLNGDRIEQLIDSVGGFDLVIGGSPCNNLAGSNRVSRDGLEGKESALFYDYIRILDLVKCIMSRYQ >itb08g07760.t2 pep chromosome:ASM357664v1:8:6660268:6666352:1 gene:itb08g07760 transcript:itb08g07760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDPSLEDIDDIDWTSEDEREIEDIPAVQAAAAKIEASSSSVDPCSKLIQHFVGMGFSRELVEKAIEQNGEDSESILETLLTLSVLETSPSEQPYSSCPQEEPCVNGYDSSSGYDENILDDFSDGGSWSDDDVENMVSLSPKERTLLSLTKMGYLAEEASMAMERCGIFLNFLHTLLPKIKNLSGENGKQKRKMCAYDMYRKKKQKGIFNEDVETIRLPKPMVGFGVPTEICPEFQGRTLPEQAIGPPYFYYENVALAPKGVWDTISRFLYDVEPEFVDSKYFCAAARKRGYIHNLPVENRFPLLPIPPRTIHEALPLTKKWWPSWDTRTKLNCLQTAIGSARLSDRIRKAVEAYDGEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLFPNGMNVLSLFSGIGGAEVALHRLGIRLKTVVSVEKSEVNRNIVRSWWEQTNQTGTLIDFDDVQQLNGDRIEQLIDSVGGFDLVIGGSPCNNLAGSNRVSRDGLEGKESALFYDYIRILDLVKCIMSRYQ >itb13g16600.t1 pep chromosome:ASM357664v1:13:23564067:23570203:-1 gene:itb13g16600 transcript:itb13g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDFPMPTDDVADDMVADDVPDENPILKVGEEKPLGKTGIKKKLLREGEGWENPNIGDEVEVHYVGRLLDGTQFDSSLDRGTPFKFKLGEGHVIKGWDEGIKTMKKVEKALFTIPPELAYGESGSPPAIPPNATLQFEVELLSWISVKDICKDGGIFKKILTKGEGWQNPKDIDEVFVKYEALLEDGAFVSKSDGVEFTVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYAFGEMGRPATAEESGVPPNASLKINLELISWKIVSEVTKDKKVIKKILKEGELYEHPNDCAVVKVKLTGKLQDGTIFVKKGSDEEPFEFKVDEDQVIDGLDKAVKTMKNGEIALITIQPEYAFGQSDSPQELATVPGNSTVYYEIEMVSFVKDKDSWDMNNTEEKIEAARMKKEQGNSWFKVGKYERASKRYEKAASFIEYDSSFNDEEKQQTKVLKVACKLNNAACKLKLKDYENAVTLCTDVLEIDPRNVKALYRRAQAYMHLVELDLAELDIKKALEIDPDNRDVKLEYKVLKDKIKEYDKKDAQFYGNIIAKMSKLEEAESATANTDGSPKGTFKTRALSSVQGKARSCWDGLVSAIRAGLRRFSTQAAATGVSESRKNK >itb08g00460.t2 pep chromosome:ASM357664v1:8:368398:380345:1 gene:itb08g00460 transcript:itb08g00460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLHIEYPVPSGVLKGIKFNILSESDAAKISAKVIELVPEVTDPALGLPNPVSECRTCGAKDVKGCEGHFGLIKFPYTIINPYFISEAARILNKICPGCKSARCDKVKRVDSTSMHQQHGNCKYCDGTLKDYPQMRFRLSPKDMFGKPAIIAEASQKVSRKFPNTTSGGSLASDYWDIIPQDAGQDESSRQSKKRVLSYAQVYSLLKDVDSRLIEAFLKRRISIFLSCFLLTPNCHRVTELGPHMLFDESNRLYRKLIDFRGAANDLSMHVIDRIKLSKLRAERQATIDPATSASGLKFLKELLIAKRSNHAFRLVVVGDPQIKLSEIGIPCHVAESLHVAEHLNTWNSEKLTEHCDLMILQKGWVLVRRNDGLVRVSMLDKLQKGDTIYRPLVDGDIVLINRPPSIHQHSLIALSVRILPITSALSINPLICSPLRGDFDGDCLHGYVPQSMDCRVELRELVALDKQLLDGQSGRNLLSLSHDSLTAAHLILEDGVLLNQCQMQQLQMSCPRQFSLPAIFKALSNGSCYWTGKQLFSLLLPSDFDYFFPSNGVCIRKGEIMSSNGSSWLRDTDGNLFRSLVKHYGDQVLHFLFAAQEVLCEWLSMRGLSVSLSDLYLSSDSSTRKNMVTEISCGLKEAERLSYVTLLMVDYTRDFLVGRSEGNQNSKIFDVERLSIEKQKSAALSQASVCAFKDVFWDIQNLLYQYANKGNSFLAMLKAGSKGNLLKLVQQSMCLGLQHSLIPLSFQIPHHLSCGAWNDEKNRPHHITEYSGTYIPCAVVENSFLTGLNPLECFVHSLTTRDSSFSGHADVSGTLTRKLTFFMRDLYIGYDGTVRNPYGNQVVQFSYYSQQKSDPTIAPEDVGGHPVGSLAASAISEAAYSALDQPISALESSPLLNLKKVLESGVRKSSGEKTASMFLSKMLGRWAYGFEYGALEVKNHLERLLFSDLVSEVMICFSRETSRSSRTSPWVCHFHINKEIAKRRRLKLQSITDALNMSYTATKVKAKVELPNLQITYTDCCVADTSKQDPKSCIAVAIVGITKDSYAQLDILRDFVIPFLLRTVVKGFSAFKKVDILWREEAPILSKSSVRPSGELYLRVLMSESCDRTTFWSVLVDSCLQIMTMIDWERSHPDDIHDISVAYGIDVAWKCFLRDLSSAVSDTGKIILPEHLVLATDCLSSSGQFLALSAKGLSLQRKENGVSTPFTQACFTNPGESFLRAAKMGLEDDLRGTAEALSWGKIPSVGTGFQFDILYSGKGFEPSETTDVYNLLGKHAALEKEKMMLYPEESKEIVSKPLAQRLCKYGDFVSEGENSLLPKAISSSFTLNDIQRLSKALRNILYTYDINDLLKEADKSVVMRALYFHPRRSEKIGTGAHEIKVGQHKGHANSRCFLLVRSDGTVEDFSYHKCVHHALKLIAPKKAKTYHSRWLNGY >itb08g00460.t1 pep chromosome:ASM357664v1:8:368707:380345:1 gene:itb08g00460 transcript:itb08g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLHIEYPVPSGVLKGIKFNILSESDAAKISAKVIELVPEVTDPALGLPNPVSECRTCGAKDVKGCEGHFGLIKFPYTIINPYFISEAARILNKICPGCKSARCDKVKRVDSTSMHQQHGNCKYCDGTLKDYPQMRFRLSPKDMFGKPAIIAEASQKVSRKFPNTTSGGSLASDYWDIIPQDAGQDESSRQSKKRVLSYAQVYSLLKDVDSRLIEAFLKRRISIFLSCFLLTPNCHRVTELGPHMLFDESNRLYRKLIDFRGAANDLSMHVIDRIKLSKLRAERQATIDPATSASGLKFLKELLIAKRSNHAFRLVVVGDPQIKLSEIGIPCHVAESLHVAEHLNTWNSEKLTEHCDLMILQKGWVLVRRNDGLVRVSMLDKLQKGDTIYRPLVDGDIVLINRPPSIHQHSLIALSVRILPITSALSINPLICSPLRGDFDGDCLHGYVPQSMDCRVELRELVALDKQLLDGQSGRNLLSLSHDSLTAAHLILEDGVLLNQCQMQQLQMSCPRQFSLPAIFKALSNGSCYWTGKQLFSLLLPSDFDYFFPSNGVCIRKGEIMSSNGSSWLRDTDGNLFRSLVKHYGDQVLHFLFAAQEVLCEWLSMRGLSVSLSDLYLSSDSSTRKNMVTEISCGLKEAERLSYVTLLMVDYTRDFLVGRSEGNQNSKIFDVERLSIEKQKSAALSQASVCAFKDVFWDIQNLLYQYANKGNSFLAMLKAGSKGNLLKLVQQSMCLGLQHSLIPLSFQIPHHLSCGAWNDEKNRPHHITEYSGTYIPCAVVENSFLTGLNPLECFVHSLTTRDSSFSGHADVSGTLTRKLTFFMRDLYIGYDGTVRNPYGNQVVQFSYYSQQKSDPTIAPEDVGGHPVGSLAASAISEAAYSALDQPISALESSPLLNLKKVLESGVRKSSGEKTASMFLSKMLGRWAYGFEYGALEVKNHLERLLFSDLVSEVMICFSRETSRSSRTSPWVCHFHINKEIAKRRRLKLQSITDALNMSYTATKVKAKVELPNLQITYTDCCVADTSKQDPKSCIAVAIVGITKDSYAQLDILRDFVIPFLLRTVVKGFSAFKKVDILWREEAPILSKSSVRPSGELYLRVLMSESCDRTTFWSVLVDSCLQIMTMIDWERSHPDDIHDISVAYGIDVAWKCFLRDLSSAVSDTGKIILPEHLVLATDCLSSSGQFLALSAKGLSLQRKENGVSTPFTQACFTNPGESFLRAAKMGLEDDLRGTAEALSWGKIPSVGTGFQFDILYSGKGFEPSETTDVYNLLGKHAALEKEKMMLYPEESKEIVSKPLAQRLCKYGDFVSEGENSLLPKAISSSFTLNDIQRLSKALRNILYTYDINDLLKEADKSVVMRALYFHPRRSEKIGTGAHEIKVGQHKGHANSRCFLLVRSDGTVEDFSYHKCVHHALKLIAPKKAKTYHSRWLNGY >itb01g05370.t3 pep chromosome:ASM357664v1:1:3686399:3690266:-1 gene:itb01g05370 transcript:itb01g05370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGSLQPSMQCVWILLKMKEEGLNLTKVVFNDIMALYTRMGQHEKVPEVLENMKKHGIYPDNLSYRTCINSFGERSDIDGMEKVLNEMENQTHLVMDWNTYAAIANIYIKAGLIMKANFMLVKAEEKLDSKNHDGYNFLISLHAKLGNKAQILRLWDAAKNTCKRCVNQDYINMLKSLVKLDELEEAQKLLLEWETSGNYYDLRVPCAVITSYIDKGLHANAENMLKDLRSKGKIASPSIWVRVAEGYLSEGELERAFACMTVALSLQENEGWKPNAKVVMRIISSLGEKCSLKEAEPLISLLKRFMPLNRQMYHVLLKSYVARGEDVDGLLNSMRAENIDEDYETKMILEMSPVRS >itb01g05370.t2 pep chromosome:ASM357664v1:1:3686399:3690278:-1 gene:itb01g05370 transcript:itb01g05370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNQLLIRTLIRVDTTTLIPRIRSYCANAGKKASLYSKISPLGNPTTSITPELDEWVRQGNKLRFAELQRITLNLRKHRRFSQALEVLEWMKRNDAIRFTTTEHAMRLDLIGKVHGFLSAEEYFNSLSEEDKNEKTYGALLHCYVRQLQFDKALLHLQKMKEEGLNLTKVVFNDIMALYTRMGQHEKVPEVLENMKKHGIYPDNLSYRTCINSFGERSDIDGMEKVLNEMENQTHLVMDWNTYAAIANIYIKAGLIMKANFMLVKAEEKLDSKNHDGYNFLISLHAKLGNKAQILRLWDAAKNTCKRCVNQDYINMLKSLVKLDELEEAQKLLLEWETSGNYYDLRVPCAVITSYIDKGLHANAENMLKDLRSKGKIASPSIWVRVAEGYLSEGELERAFACMTVALSLQENEGWKPNAKVVMRIISSLGEKCSLKEAEPLISLLKRFMPLNRQMYHVLLKSYVARGEDVDGLLNSMRAENIDEDYETKMILEMSPVRS >itb01g05370.t1 pep chromosome:ASM357664v1:1:3686399:3690266:-1 gene:itb01g05370 transcript:itb01g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGSLQPSMQCVWILLKMKEEGLNLTKVVFNDIMALYTRMGQHEKVPEVLENMKKHGIYPDNLSYRTCINSFGERSDIDGMEKVLNEMENQTHLVMDWNTYAAIANIYIKAGLIMKANFMLVKAEEKLDSKNHDGYNFLISLHAKLGNKAQILRLWDAAKNTCKRCVNQDYINMLKSLVKLDELEEAQKLLLEWETSGNYYDLRVPCAVITSYIDKGLHANAENMLKDLRSKGKIASPSIWVRVAEGYLSEGELERAFACMTVALSLQENEGWKPNAKVVMRIISSLGEKCSLKEAEPLISLLKRFMPLNRQMYHVLLKSYVARGEDVDGLLNSMRAENIDEDYETKMILEMSPVRS >itb12g18180.t1 pep chromosome:ASM357664v1:12:20406638:20413689:-1 gene:itb12g18180 transcript:itb12g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNALRLQRHSGQADRQSLPHAVTPSGLLPPTSLVTPQSSPQPRPSANHPSAALLVCFTESPTHCNSEALNLHTVFDLSCFESERSTSMAIHRLSTSSVFVYVRSVVHSPMGIILRLRQECCTFTNGDYVKSGLAELELWCCQDKEEGFLDAQFSQLQQHQDESNATFVTEVVTLFFEDSERLLNDLNTALESVEPSDTQAACIGGQRNDGQQVQILTRLVHSGNHSCLHYNCYEVPFVSENTSNTDFYSKRKFLDLKYREFCSGVDSGSESENHDEGESECESGCVESKADPREVDRVCKVIDELFSLDRNMEAILDECGINLTHDLVIDVLERFKHARKPAFRFFCWAAQRPGYVHDSKTYNAMMAILGKTRQFETMVSVLEEMGEKGLLTIETFVIAMKAFAAAKERKKAVGIFELMKKYKFEYGVESINCLLDALGRAKLGKEAQVLFEKLEHRFTPNLQTYTVLINGWCKVKNLMEAGKLWNEMIDKGFKPDLVAHNIMLEGLLRSHKSSDAIKLFEVMKAKGPSPNARSYTILIRHLCKQRKMDEAIEYFEEMLGTGCEPDVAVYTCLITGFGNIKKMDRVYGLLKEMREKGCPPDAQLYNALIKLMSNRRMPDDVVRIYKKMIQNGVQPTIHTYNMMMKSFFTTRNYDLGCAVWEEMNSKGCCPDENCYTVFIGGLVQQGRSVEACKYLEEMIEKGMRAPQLDYNKLAADFSRAGNPDILEELSHRMKLCGKFEVSNLFARWAEMMKKRVKRNTQLTNI >itb04g01650.t1 pep chromosome:ASM357664v1:4:936461:940237:-1 gene:itb04g01650 transcript:itb04g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDVEIALIIFSPRGKLHEFASSSSQEIIEKYRKHVMNARAENPSPMEGQHIEQLQQEIEVMKHKIDCLEASKRRLLGLGLGSSTFEEVELIEQHVQRSVNIIRARKMKIFKDSIEQLEEKGKVLTAENAMLWEKYNGLEPHKVSKEEDDESHDSTLYVNGGDEKSDVETELFIGLPLEGKVRKGFDSKPK >itb09g19100.t1 pep chromosome:ASM357664v1:9:15236946:15239843:-1 gene:itb09g19100 transcript:itb09g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLKLGWGVFLVGVLGLSIIWWVLRRVNCWYYEIVKLGHEKRLRLPPGDLGLPFIGNMWPFLRAFKSSNPDSFTSSFASRYGATGMYKVFMFGSPSIIVTTPEASRRVLTDDEAFKPGWPASTTELIGRKSFVGISFEEHKRLRKLTMAPVNGYDALSFYIGYIEENVKIALEKWASMGEIELLTQLRKLTFTIIVYIFLGAESKQVREALEREYTTLNYGVRAMAVNLPGFVYYDALKARKRLVAIFQSVVDERREKKRNNLNGLNEKKKDMMDRLMEVKDENGKMLDDEEIIDIMVMYLNAGHESSGHITMWATLLLQSHPEAFKKAKAEQEEIVRNMPPGQKGLTLKEYRQMEYLSKVVDETLRYVTFSLMVFREAKKDVNVCGYLIPEGWKVLVWFRGPHYDEEIYEDPFAFNPSRWDNLIPKAGEFLPFGAGSRLCPGNDLAKLEISIFLHYFLLGYELERKNPDCTLMYLPHTRPKDNCLGRIRKTSSTV >itb05g18930.t1 pep chromosome:ASM357664v1:5:25594341:25597805:1 gene:itb05g18930 transcript:itb05g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPGLFSGIGKKAKDLLTKDYISDQKISVSTYSDCGVTITPTAVKKGALSTGDVAVQYKYKTTTVDVKVDTESHIFTTLTFTNIVPSSKTVASMKFPDYNSGKLEFEYLHHHATFTAAVAAPNQSPIIDLSLTLGTPTFAIGAEAGYETASGKLTKYTAGISVTRPESCAAIILGDKGDTIKASYTQHLDALKKTAAVGEIVRRFSTNENTFTVGGSCAIDHLTNVKLKLNNHGKLGALLQHEVIPKSVLSISSEFDTKALEKTPRFGVSLALKP >itb07g16550.t1 pep chromosome:ASM357664v1:7:20383913:20387260:1 gene:itb07g16550 transcript:itb07g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSSGQDMIVLVLYLQILVWFQVSKLGYGCMGLSGPYNKPVSEEEGIAIIKEAFSKGVTFFDTSDFYGADHANEYLVGKALKDLPRGKVGVATKFGICRIESTEVIVNGSPEYVRSCCEASLKRLQVDYIDLYYVHRIDTTVPIEETMRELKKLVEEGKIKYIGLSEAHPDTVRRAHAVHPITALQQEYSLWSRDIEEDIIPLCRELGIGVVSYGPVGQGLFAGKAVVESLPTSSFLETQPRFTGENIEKNKGIYYRVEELAKKHGCTPAQLAIAWVLNQGDEFVPIPGTTKMKNLHNNLDSVKVKLTKEELKEVSDAVPISEVAGHRIGGAFDKLSYKYAITPPLKQ >itb15g13180.t1 pep chromosome:ASM357664v1:15:11138588:11143730:1 gene:itb15g13180 transcript:itb15g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKEEMKNVEAPEMEKEETPPPQKTKRVASLDIFRGLTVALMVLVDDAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIALALKRIPEKLVAIRKVILRTLKLLFWGLLLQGGYSHAPDKLTYGVDMKRIRWCGILQRIALAYFVVAMVEISMQKSQAKVLSRERFSIFKLYYRQWVVGGCVLVVYLATLYGTYVPDWQFLVENTDSPDFGKILTVSCNVRGKLDPPCNAVGYVDRQVLGINHMYPHPAWKRSKACTKSSPYEGPFRNDAPSWCWAPFEPEGILSSISAILSTVIGVHFGHVLIHFKDHSSRLLHWIAMGLALLLLGIILHFTNAIPLNKQLYTLSYVCVTSGAAALVFSAFYILVDIGKLRYVFMPLEWIGMNAMLVYVMAAEGIFAGFINGWYYDDPHNTLVYWIQKHVFIGVWHSKRVGTLMYVIFAEILFWAVIAGLFHWLGIYWKL >itb05g09240.t1 pep chromosome:ASM357664v1:5:13484490:13489740:-1 gene:itb05g09240 transcript:itb05g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLIINDTIPPFICDLKNLTHLDLNNNNIPGSFPAFLYNCSKLEYLDLSFNNLSGIIPDNISLLFPRLEVLKLSSNWFVGGVPAGIEGLKGLKELQLAGLFTNGSFPPEIGNLLNLEVLVLSQNSFSPQEIPPSFAQLKKLRHLWMKEANLIGKIPENISSMEALEYLDLCQNELSGNIPSDLFLLKNLTTVFLYTNRLSGPIPRPVMALNLNGIDFSKNRLTGSIPEDLGKLTKLEGLQLFMNQLSGKIPVGIGRLPALSSIELFMNNLSGELPPDFGRFSKLTVFDVSSNHLIGSLPDGLCDNKVLISMIAFDNNLTGGLPKSLEDCNTLSGVRVERNNLSGTIPDGLWTAGPLTRLLINNNQFTGQLPQKVASNLSQVDISNNRFSGEIPPVISSWSKLDTFGASNNFLTGKIPQELTALSSLSALKLDGNKLSGNFPKNIISWKSLSTLTCSRNQLSGTIPPALGLLPNLYQLDLSENQFSGEIPPEIGRKPISLNLSSNHLSGKIPDQFEDSAFQRSFLNNPGLCATMPSLGLRDCGAKTEKSNKISVEVIAILAAFLVVVAGLYMVFRSFKKRKRPLLVQDWKLTPFHNLSFDQSNIIPNLREDNVVGSGGSGKVYVVTLSNGDKVAVKRIWNKNKLDEMLEKEFQAEVKILGTIRHSNIVKLWCCISCEESNLLVYEYMENRSLDLWLHAKKRSHGQFLDWPTRLKIAIGTAQGLSYMHHNCSPPIVHRDVKSSNVLLDSEFNAKIADFGLARMLMKHGDPNTMSTFAGTCGYIAPEYVKTRKVNEKIDVYSFGVILLELVTGREPNDGDMDWCLADWARYYVVEENPIEDALDEEIKEAENIDVMCGVFKLGIFCTGKTPAQRPTMGEALRILQHPSPLSPYGKEISVSERDVLPLIKCSSSEDILEDGDRGLQIEDRNSTILDFRQY >itb11g18510.t1 pep chromosome:ASM357664v1:11:18895650:18897694:1 gene:itb11g18510 transcript:itb11g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFMHYYSLFPLLIFIFVLYKFLFSSPRDTKNSPPSPPKLPILGHILQIGSYPHLYFHKLSKQYGPLILLQFGSVPVLVASSSETAREIMKDHDLIFSDRPKSSINDRLFYGSKDVAFAPYGEYWRQMRSICVLHLLSNKRVQSFRKVREEETELMVQKIKQSCDSNSVINLSNIFIELTNDIVCRVALGRKCSDELRSNERRGIKSLLEDVFEMLGMFDIGDYIPWLAWVNRINGFDRRVEKLGKELDEFMEEVVEEHSLVEKQEADGLDLVDILLQLQRENTIGFPIHRDSVKALILDMFAAGTHTTYTVIEWTMTELIKNPRVMEKLKNEVRTKFKTAEDLETMQYLKAVIKESFRLHSPAPLLVPRKASQDGKVMGFHVAAGTLVLVNSWTIARDPTIWENPEEFKPERFLNSNVDYKGMHFELIPFGAGRRGCPGVTFAISVIELAVATLIHDFDFALRDEELDMSETIGMTCHKKVPLTVIATLRVG >itb10g00800.t1 pep chromosome:ASM357664v1:10:593178:609506:1 gene:itb10g00800 transcript:itb10g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILSLPVQNPPTLEFSSSDIVWSKVEGWRDNIDRVALIPYARVDDFVRGESANKECPTKFHVEARRRRTAETSYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRTTYVPKKKSAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPQDKKAAGTRAMYAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVERQGGPCNRDDLLTHRYVRRQERSIRRSTYELDADDAISISLWVESHQNHIFFYKDFSDSDPFVIGIQTEWQLQQMIRFGNRGLLASDAKFGTNKLKYPIHSLVVFNSDNKAIPVAWIIAPRFASEDTVRWMRALYNRVQTKDPTWKLAGFIVDDPLTDIPAIREVFQCSVLISFWRVRHAWHKNLMKRCSEMEVRATIAKRLGEMIHSICKGSAPANMFEVFMEDFVDAVEFVDYFKATWYPRLGLWSSALRDLPLASQETCAALEFYHNQLKLRLLNERDLSVYERADWLVDKLGTTVHSYFWLDEYSGKDDFARYWKDEWISGLTAWRKSLQIPDGDVTIDGEFAKIVDQGDKNIVHVIWNPSSEFALCDCNSANMGNLCEHVFKSIKYLRDRGSGTSSVSMFQYKQALIKMLHCPPYDSLIRDHALSLAVWVQMQLNAQIGQESVQVEEQVVRPTTTYDGQCGDTVNGSKGNSTSSCNGSGANKLHHSGFTKNKLCHSVDHIVARNGICANSSHNIAVDIPCLEIGASPYSMCRTESQLFSIDEVTSTDMLAENGRVLIDTGPDITENLPCTDMSFSNLNDFDNVLNKNDSTAKMDAESQSLYIALSTAKSLNQNSGSQQNGVCGNRTDTWVGPCIVSVGGKTDKDSSATSEPVDSCLVDVSESSNFIEEGVREGPENRSAGKNNSFIFMDDMNVDPMPINASEPVGESVEPELVDIAKASTGAERNGNSDSTIEKANESHSTNEATVEIQNNAHQKSSVPGEVLIASAIDASPQFASKSSLEPINRLRISPISSSFATVDNICNLLNATASIGDDGEEGTDDWVGSGFYVEFGGKGFLRVFVKLMMKMAINGNGRKVVKRINGICWQVDMADQHTSEGPSTSNVSSEHTSEGPSTSNVSSEGSEEGPSTSNVSSEGSESSPSTVKLASEHTSEGPSISNVSSEGSESSPSTVKLASEHTSEGPSTSNVSSEGSESSPSTVKLASEHTSEGPSTSNVSSEGSESSPSTVKLASEHTSEGPSTSNVSSVGSKSSPSTVKLASEHTSECPSTSNVSSVGSESSPSTVNINIKTLDSRTYAFDVDKNMQVSALKEKVATETGVPVAQQRLIFRGKALMDDHILSEYHLENGDTLHLVIRQPSQPQLSSSVGTGETTPDNGLRGQEPATGAPRNRIGQVSHSVVLGTINVGEQGDGLVPDLNRVIGAVLNSIGVGSQTGANHPGLHVNARTAAPRGNETEGIQRNSGSQSQQPGQAFPRISLAEGMQIPLGAAIAVPSLNMPIPDSLHTLTVFMNRMESVLSRNGNQPNQSPADSSSVDLPTNSRGQSTLETLSTVMRHTERLVGNHVTSALSRTAGRLEQEGNSTDLTVRGQIQAESVQLGLVMQHLGAQFLELGRTMLTLRMGESPAESSVNAGPAVYISPSGPNPIMVQPFPLQTYSLFSGPSVQSNPGGFNPFGIGSAARNVNIHIHTVGSRATNGDGAQGDHASGTDGGDSSQSRAPPVIGTTGVAVSSIPRVSFSGASQHGQPESTTVHEQSTSSGAETEARKFGESQIRGECDQVSNETSVSSAGGSQGVDRPGASSSVPIGLGMGDLQPKRRVKQSKSQGRSDDGAATSSQGEQPIRDEQVLQSLAALSARRTEGSPPLGQFSHLGSGGNGQPDISNMMSQVLQNPALNGLLGGISQQTGLGSPDTLRDMLQQFTQNPGMMNTVNQIAQQIDPQDLGSMFAGFGGAGHGGGGMDLSSIFQQMMPLVSQALGGVPTSAQQAPGTEVRTNETRANREVTPTNENTQIGLQEVAQRIEHHSPPEEIFRSVVENAAHLHRNGGRDENLVNGVCSTEGLANEFMEVLHRDISKRVRDESKPPRKPS >itb10g00800.t2 pep chromosome:ASM357664v1:10:593178:609506:1 gene:itb10g00800 transcript:itb10g00800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILSLPVQNPPTLEFSSSDIVWSKVEGWRDNIDRVALIPYARVDDFVRGESANKECPTKFHVEARRRRTAETSYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRTTYVPKKKSAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPQDKKAAGTRAMYAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVERQGGPCNRDDLLTHRYVRRQERSIRRSTYELDADDAISISLWVESHQNHIFFYKDFSDSDPFVIGIQTEWQLQQMIRFGNRGLLASDAKFGTNKLKYPIHSLVVFNSDNKAIPVAWIIAPRFASEDTVRWMRALYNRVQTKDPTWKLAGFIVDDPLTDIPAIREVFQCSVLISFWRVRHAWHKNLMKRCSEMEVRATIAKRLGEMIHSICKGSAPANMFEVFMEDFVDAVEFVDYFKATWYPRLGLWSSALRDLPLASQETCAALEFYHNQLKLRLLNERDLSVYERADWLVDKLGTTVHSYFWLDEYSGKDDFARYWKDEWISGLTAWRKSLQIPDGDVTIDGEFAKIVDQGDKNIVHVIWNPSSEFALCDCNSANMGNLCEHVFKSIKYLRDRGSGTSSVSMFQYKQALIKMLHCPPYDSLIRDHALSLAVWVQMQLNAQIGQESVQVEEQVVRPTTTYDGQCGDTVNGSKGNSTSSCNGSGANKLHHSGFTKNKLCHSVDHIVARNGICANSSHNIAVDIPCLEIGASPYSMCRTESQLFSIDEVTSTDMLAENGRVLIDTGPDITENLPCTDMSFSNLNDFDNVLNKNDSTAKMDAESQSLYIALSTAKSLNQNSGSQQNGVCGNRTDTWVGPCIVSVGGKTDKDSSATSEPVDSCLVDVSESSNFIEEGVREGPENRSAGKNNSFIFMDDMNVDPMPINASEPVGESVEPELVDIAKASTGAERNGNSDSTIEKANESHSTNEATVEIQNNAHQKSSVPGEVLIASAIDASPQFASKSSLEPINRLRISPISSSFATVDNICNLLNATASIGDDGEEGTDDWVGSGFYVEFGGKGFLRVFVKLMMKMAINGNGRKVVKRINGICWQVDMADQHTSEGPSTSNVSSEHTSEGPSTSNVSSEGSEEGPSTSNVSSEGSESSPSTVKLASEHTSEGPSISNVSSEGSESSPSTVKLASEHTSEGPSTSNVSSEGSESSPSTVKLASEHTSEGPSTSNVSSEGSESSPSTVKLASEHTSEGPSTSNVSSVGSKSSPSTVKLASEHTSECPSTSNVSSVGSESSPSTVNINIKTLDSRTYAFDVDKNMQVSALKEKVATETGVPVAQQRLIFRGKALMDDHILSEYHLENGDTLHLVIRQPSQPQLSSSVGTGETTPDNGLRGQEPATGAPRNRIGQVSHSVVLGTINVGEQGDGLVPDLNRVIGAVLNSIGVGSQTGANHPGLHVNARTAAPRGNETEGIQRNSGSQSQQPGQAFPRISLAEGMQIPLGAAIAVPSLNMPIPDSLHTLTVFMNRMESVLSRNGNQPNQSPADSSSVDLPTNSRGQSTLETLSTVMRHTERLVGNHVTSALSRTAGRLEQEGNSTDLTVRGQIQAESVQLGLVMQHLGAQFLELGRTMLTLRMGESPAESSVNAGPAVYISPSGPNPIMVQPFPLQTYSLFSGPSVQSNPGGFNPFGIGSAARNVNIHIHTVGSRATNGDGAQGDHASGTDGGDSSQSRAPPVIGTTGVAVSSIPRVSFSGASQHGQPESTTVHEQSTSSGAETEARKFGESQVSNETSVSSAGGSQGVDRPGASSSVPIGLGMGDLQPKRRVKQSKSQGRSDDGAATSSQGEQPIRDEQVLQSLAALSARRTEGSPPLGQFSHLGSGGNGQPDISNMMSQVLQNPALNGLLGGISQQTGLGSPDTLRDMLQQFTQNPGMMNTVNQIAQQIDPQDLGSMFAGFGGAGHGGGGMDLSSIFQQMMPLVSQALGGVPTSAQQAPGTEVRTNETRANREVTPTNENTQIGLQEVAQRIEHHSPPEEIFRSVVENAAHLHRNGGRDENLVNGVCSTEGLANEFMEVLHRDISKRVRDESKPPRKPS >itb09g14760.t1 pep chromosome:ASM357664v1:9:10045963:10047448:1 gene:itb09g14760 transcript:itb09g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSEDHQNDDHQHVVTMNLQVNKDHIELMQRKISEPPRLLSKSAGRSGCCIFRVPQSFVDVNGRSYQPRIVSIGPYHRGKPHLEMIQEHKWRFLGTLLQRTEKIGLTLEDYFQAVQSLEMEARECYSEAINLKTDEFVEILVLDGCFIIEVFRKIGRVVPSEEDDPFVSMSWIFPFLLRDFIRLENQIPFFILQSLFDLTKIPGDESSLSRIALFFFNHTLQRPEETLDKYENLTGKHLLDFLRSSFITFIPPVNKDPPRETNSPSHVIHSISKLRRAGIKLRPGKEDSFLAIKFRRGVISMPPITFDDFMAAFLLNCVAYEQCHGECSKHMTTYATLLDYLVNTYKDVEYLLDCNIVENDFGSDSELAAFINKLGRDVMFDIDECYLAPLFDEVNQYYNNNWHVTWASFKYTYFNSPWSFISALAAFVLLVLSIVQTIYTILAYINRNR >itb09g18480.t1 pep chromosome:ASM357664v1:9:14228753:14229458:-1 gene:itb09g18480 transcript:itb09g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYNNSSHSSQPPLLPLHLCFFLLILFTFVSITWYINYESIFEGIMEQIKLLLMVSPLLLLLVVHLLSTLENSSVFFFPLPQRDSIHRAGGTPWGVALLLVLLLFMISYQSDFRERWFPLLAR >itb09g06980.t1 pep chromosome:ASM357664v1:9:4056225:4057548:-1 gene:itb09g06980 transcript:itb09g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPVINMEKLNGAERGNAMELIKDACENWGFFELVNHGIPHEVMDNIEKLTKEHYKKVMEQRFKDLVASKGLEGVQAEVTDMDWESTFFLRHLPVSTISQVPDLDDNYREAMRDFAKRLEKLAEELLDLLCENLGLEKGYLKKAFYGSTAPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTNGARMSLASFYNPASDAVIYPAPALVEKEADDEKVYPKFVFDDYMKLYAGLKFQPKEPRFQAMKAAQTA >itb06g19890.t1 pep chromosome:ASM357664v1:6:23063629:23065775:-1 gene:itb06g19890 transcript:itb06g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVFVLFVSFTVLFISGAYSSATFTLINNCEQTVWPGILSNAGVAPLSTTGFALQKGESRAINAPPSWGGRFWGRTHCSRDTTGKFTCVTGDCGSGRPECAGGSAAPPATLAEFTLDGHDGMDFYDVSLVDGYNLPMLVAPRGGSGGNCTTTGCMADLIGGCPVALKLTSSGGQSVGCKSACEAFGEPHYCCSGAYQTPDTCKPSAYSEVFKNACPRAYSYAYDDKSSTFTCTGADYDITFCPPPDTRQKLSSIIGGNPGDGIPSVMDSTMVYEGASGSSIRSQAIYVAISIFVAMWLFNPTPI >itb04g01630.t1 pep chromosome:ASM357664v1:4:927244:929879:-1 gene:itb04g01630 transcript:itb04g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSFNLKKDIYLAYPATMDVRRSDQGKEERPELLVIVPSSVFIVYEQQFCERFNVLKAYESLLPIDVFLKTKAQSAQAVICYGKSPITEAILRLLPSLGLVVTSGTGVNHIDLAECRRRGIAVCNTSDVFSEDTADYAVGLLIDVLRKVSYGDRFVRSGVWPTVVDNFPLGFQLGGKHVGIVGLGNIGSKVAKRLEAFGCIISYNSRQKKPAVPYTFYPNVCDLAANSNILVLCCSLTAKTRHMVNKHVLAALGKDGILINVARGAVVEEEELVRRLVRGEIGGAGLDVFENEPQVPPELFGLDNVVVNPHRGAFTVEAFREAFELVLGNLEAFFSNKPLVSPVDLDA >itb14g20660.t1 pep chromosome:ASM357664v1:14:22968851:22974954:1 gene:itb14g20660 transcript:itb14g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAGAVAQSNRVPLVPNVMASVVQPPPAMVSDSFAKDAVIAWFRGEFAAANAIIDALCNHLTQLEGGGGGSEYESVFAAIHHRRLNWIPILQMQKYYSIADVALELRKAAAKKADEREAAAAAAAAAAMADAKQSAAVMNVKISHASREEPVENSENGGGEVVYEDSIRDDSHGSPESEITDSGSQDVQPISEHFEFCSSHENCEARRSQFKMTKGFMGREPVKGHMVNVVRGLKLYEDIFTPIELSKLSDFVNELRVAGQNGELSGETFILYNQQIKGNKRELIQLGTPIFGNVKEDLACQKSSNEPIPAILEVVIDHLIQWHLISENRRPNGCIINFFDEGEYSQPFLKPPHLEQPVSTLLLSESTMAFGRTLACDSDGNYRGSLILSLKEGSLLVMRGNSADMARHAMCSSPNTRVSITFFKIRACVENTNSPAEVPPLTRAMTLWQPGIPSPYAPPPNGTPDYRTMDIIPRTVIRPPVVMLAPVHPVVLTPRKIPRGGTGVFLPWTVRSRKHVKHLPPRAQKRRFLALPSPDETQEADTTSETGVAKDA >itb09g01090.t1 pep chromosome:ASM357664v1:9:673811:675158:1 gene:itb09g01090 transcript:itb09g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYCKGQQGSHGPVPLCPHCFVGAWSLGANKVYPESRAQNRISKKALEFYERNYGFKRPLNVLCSSSFLKKWRSAGEIEKRLLSVLGKKAVVWATNCVLQELGGGGYEGKVYSCDHQSTTGKSCLHQIAKQESSKHLFATDNTYFGKTLLKLAGVPVILLQRRALMLKKPSGAQRAFAKERKAARMAEAEAQPKEG >itb09g01090.t2 pep chromosome:ASM357664v1:9:674302:675158:1 gene:itb09g01090 transcript:itb09g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPNESEETFEDWVYPESRAQNRISKKALEFYERNYGFKRPLNVLCSSSFLKKWRSAGEIEKRLLSVLGKKAVVWATNCVLQELGGGGYEGKVYSCDHQSTTGKSCLHQIAKQESSKHLFATDNTYFGKTLLKLAGVPVILLQRRALMLKKPSGAQRAFAKERKAARMAEAEAQPKEG >itb01g02330.t1 pep chromosome:ASM357664v1:1:1497512:1498264:1 gene:itb01g02330 transcript:itb01g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRLLTEPEIDAALQLIQLSGDSDSGAVVRCNNGGGGGGYAKRARRGDECGGEESVGDTAEICSSSASAVNINVVIGRREDENNLDGAEQGWLSRKRRFRSVVELYRVTSPVMIKATKRSKKANKK >itb02g00700.t2 pep chromosome:ASM357664v1:2:404089:410449:-1 gene:itb02g00700 transcript:itb02g00700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLGEISCRMKNVNLIDLLLRDSINCICSHLELFRVCKVKIEKQHSRSLTIEERDMELKSVLAAENKLHPALFSPEAEHKVLQHLMDGLISLTFKPEDLQCSLFRYIVRELLACVVMRPVLNLANPRFINERIEILVASSKKTVKVTAAAQVQPQSKTSGFSKVSSDNFSPFIDPSVNGVELVQLKKDQSDNSVVNNAMHDVNGTELSKDPLLSIDTRSTRSWSNLPTQPHSDDGRLVQRHHSGGEWGDMLDVFSHRKAEALAPEHFDNMWAKGRNYKRKEENKQVADPTQQSSIGTSKAVSNSKAITGQNGRVGENRVSEQSVTYNGFRHGDWNKLSSSNSSYQEDDEHDDALSDEDAPGSSSYSTEEEETSVGLGSPVVKVWDAKNKRNVTHIHHPLESRESKKSRRKGSKGQNYSKRLSKTSSGWKRSRLSSQKDHVWQEIERTSFLSGDGHDILNSSKDNLKHEQLSDDSEAEMSGRISSGATATSSVSTSNLFENHNLDTNTAKTSIIADAFLKLRCEVLSASIVKSGTTTFAVYSISVTDAYNNNWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFFSTGLDVPVIQERCKLLDMYLKKLLQHPTISNSIEVWDFLSVDSQTYSFSNSLSIIETLSVLVDPDESVHERDKEHMQNIGPRVDPLSSNRGYIKAGNKDLTVRMKPNHVVDASRLNYKYVPPIKKPDKENGKAFEDSSSDSDNMVQKNKVSSSKIEKAVKVGDATAHVSSELLVNTADDPTLPSEWIPPNLSVPILDMVDVVLQLQDGGWIRRQAFWIAKQVLQLGMGDAFDDWLIEKIQLLRQGSVVATGIKRIEQILWPDGIFITKHPKRQRPVPTASPSHNLSPGQPSTPLSSPKMEEIQKLDEMQQEEAERRAKLVYELMIDKAPAAIVGLVGRKEYEQCAKDLYFFIQSSVCLKQLAYDLVELLLSSAFPELDYVFREFREEKGNFGELRVD >itb02g00700.t1 pep chromosome:ASM357664v1:2:403990:410583:-1 gene:itb02g00700 transcript:itb02g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRQAVTVRDLAEEAKKRIVFLIVCVVGLSYLMSLTSSSVLVNLPAAVLLIILLRYLSLDFEARWKAANYKGKSFTANSDFQRRQVEGPKNLNEKSEWRKKVSSPIVEEAIDQFTRHIVSEWVTDLWYSRITPDRQGPEELVLIMNSVLGEISCRMKNVNLIDLLLRDSINCICSHLELFRVCKVKIEKQHSRSLTIEERDMELKSVLAAENKLHPALFSPEAEHKVLQHLMDGLISLTFKPEDLQCSLFRYIVRELLACVVMRPVLNLANPRFINERIEILVASSKKTVKVTAAAQVQPQSKTSGFSKVSSDNFSPFIDPSVNGVELVQLKKDQSDNSVVNNAMHDVNGTELSKDPLLSIDTRSTRSWSNLPTQPHSDDGRLVQRHHSGGEWGDMLDVFSHRKAEALAPEHFDNMWAKGRNYKRKEENKQVADPTQQSSIGTSKAVSNSKAITGQNGRVGENRVSEQSVTYNGFRHGDWNKLSSSNSSYQEDDEHDDALSDEDAPGSSSYSTEEEETSVGLGSPVVKVWDAKNKRNVTHIHHPLESRESKKSRRKGSKGQNYSKRLSKTSSGWKRSRLSSQKDHVWQEIERTSFLSGDGHDILNSSKDNLKHEQLSDDSEAEMSGRISSGATATSSVSTSNLFENHNLDTNTAKTSIIADAFLKLRCEVLSASIVKSGTTTFAVYSISVTDAYNNNWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFFSTGLDVPVIQERCKLLDMYLKKLLQHPTISNSIEVWDFLSVDSQTYSFSNSLSIIETLSVLVDPDESVHERDKEHMQNIGPRVDPLSSNRGYIKAGNKDLTVRMKPNHVVDASRLNYKYVPPIKKPDKENGKAFEDSSSDSDNMVQKNKVSSSKIEKAVKVGDATAHVSSELLVNTADDPTLPSEWIPPNLSVPILDMVDVVLQLQDGGWIRRQAFWIAKQVLQLGMGDAFDDWLIEKIQLLRQGSVVATGIKRIEQILWPDGIFITKHPKRQRPVPTASPSHNLSPGQPSTPLSSPKMEEIQKLDEMQQEEAERRAKLVYELMIDKAPAAIVGLVGRKEYEQCAKDLYFFIQSSVCLKQLAYDLVELLLSSAFPELDYVFREFREEKGNFGELRVD >itb02g00700.t5 pep chromosome:ASM357664v1:2:405231:410449:-1 gene:itb02g00700 transcript:itb02g00700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLGEISCRMKNVNLIDLLLRDSINCICSHLELFRVCKVKIEKQHSRSLTIEERDMELKSVLAAENKLHPALFSPEAEHKVLQHLMDGLISLTFKPEDLQCSLFRYIVRELLACVVMRPVLNLANPRFINERIEILVASSKKTVKVTAAAQVQPQSKTSGFSKVSSDNFSPFIDPSVNGVELVQLKKDQSDNSVVNNAMHDVNGTELSKDPLLSIDTRSTRSWSNLPTQPHSDDGRLVQRHHSGGEWGDMLDVFSHRKAEALAPEHFDNMWAKGRNYKRKEENKQVADPTQQSSIGTSKAVSNSKAITGQNGRVGENRVSEQSVTYNGFRHGDWNKLSSSNSSYQEDDEHDDALSDEDAPGSSSYSTEEEETSVGLGSPVVKVWDAKNKRNVTHIHHPLESRESKKSRRKGSKGQNYSKRLSKTSSGWKRSRLSSQKDHVWQEIERTSFLSGDGHDILNSSKDNLKHEQLSDDSEAEMSGRISSGATATSSVSTSNLFENHNLDTNTAKTSIIADAFLKLRCEVLSASIVKSGTTTFAVYSISVTDAYNNNWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFFSTGLDVPVIQERCKLLDMYLKKLLQHPTISNSIEVWDFLSVDSQTYSFSNSLSIIETLSVLVDPDESVHERDKEHMQNIGPRVDPLSSNRGYIKAGNKDLTVRMKPNHVVDASRLNYKYVPPIKKPDKENGKAFEDSSSDSDNMVQKNKVSSSKIEKAVKVGDATAHVSSELLVNTADDPTLPSEWIPPNLSVPILDMVDVVLQLQDGGWIRRQAFWIAKQVLQLGMGDAFDDWLIEKIQLLRQGSVVATGIKRIEQVSFHAIIHTHTQVPKYTDSPHCNFSFFQHSTHLTFS >itb02g00700.t6 pep chromosome:ASM357664v1:2:403990:410583:-1 gene:itb02g00700 transcript:itb02g00700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRQAVTVRDLAEEAKKRIVFLIVCVVGLSYLMSLTSSSVLVNLPAAVLLIILLRYLSLDFEARWKAANYKGKSFTANSDFQRRQVEGPKNLNEKSEWRKKVSSPIVEEAIDQFTRHIVSEWVTDLWYSRITPDRQGPEELVLIMNSVLGEISCRMKNVNLIDLLLRDSINCICSHLELFRVCKVKIEKQHSRSLTIEERDMELKSVLAAENKLHPALFSPEAEHKVLQHLMDGLISLTFKPEDLQCSLFRYIVRELLACVVMRPVLNLANPRFINERIEILVASSKKTVKVTAAAQVQPQSKTSGFSKVSSDNFSPFIDPSVNGVELVQLKKDQSDNSVVNNAMHDVNGTELSKDPLLSIDTRSTRSWSNLPTQPHSDDGRLVQRHHSGGEWGDMLDVFSHRKAEALAPEHFDNMWAKGRNYKRKEENKQVADPTQQSSIGTSKAVSNSKAITGQNGRVGENRVSEQSVTYNGFRHGDWNKLSSSNSSYQEDDEHDDALSDEDAPGSSSYSTEEEETSVGLGSPVVKVWDAKNKRNVTHIHHPLESRESKKSRRKGSKGQNYSKRLSKTSSGWKRSRLSSQKDHVWQEIERTSFLSGDGHDILNSSKDNLKHEQLSDDSEAEMSGRISSGATATSSVSTSNLFENHNLDTNTAKTSIIADAFLKLRCEVLSASIVKSGTTTFAVYSISVTDAYNNNWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFFSTGLDVPVIQERCKLLDMYLKKLLQHPTISNSIEVWDFLSVDSQTYSFSNSLSIIETLSVLVDPDESVHERDKEHMQNIGPRVDPLSSNRGYIKAGNKDLTVRMKPNHVVDASRLNYKYVPPIKKPDKENGKAFEDSSSDSDNMVQKNKVSSSKIEKAVKVGDATAHVSSELLVNTADDPTLPSEWIPPNLSVPILDMVDVVLQLQDGGWIRRQAFWIAKQVLQLGMGDAFDDWLIEKIQLLRQGSVVATGIKRIEQILWPDGIFITKHPKRQRPVPTASPSHNLSPGQPSTPLSSPKMEEIQKLDEMQQEEAERRAKLVYELMIGTPFRLIL >itb02g00700.t3 pep chromosome:ASM357664v1:2:404112:410583:-1 gene:itb02g00700 transcript:itb02g00700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNEKCESYRSFIKVYTFGSEDVIDSYPFIIEYLLSSHLLACLRRDSINCICSHLELFRVCKVKIEKQHSRSLTIEERDMELKSVLAAENKLHPALFSPEAEHKVLQHLMDGLISLTFKPEDLQCSLFRYIVRELLACVVMRPVLNLANPRFINERIEILVASSKKTVKVTAAAQVQPQSKTSGFSKVSSDNFSPFIDPSVNGVELVQLKKDQSDNSVVNNAMHDVNGTELSKDPLLSIDTRSTRSWSNLPTQPHSDDGRLVQRHHSGGEWGDMLDVFSHRKAEALAPEHFDNMWAKGRNYKRKEENKQVADPTQQSSIGTSKAVSNSKAITGQNGRVGENRVSEQSVTYNGFRHGDWNKLSSSNSSYQEDDEHDDALSDEDAPGSSSYSTEEEETSVGLGSPVVKVWDAKNKRNVTHIHHPLESRESKKSRRKGSKGQNYSKRLSKTSSGWKRSRLSSQKDHVWQEIERTSFLSGDGHDILNSSKDNLKHEQLSDDSEAEMSGRISSGATATSSVSTSNLFENHNLDTNTAKTSIIADAFLKLRCEVLSASIVKSGTTTFAVYSISVTDAYNNNWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFFSTGLDVPVIQERCKLLDMYLKKLLQHPTISNSIEVWDFLSVDSQTYSFSNSLSIIETLSVLVDPDESVHERDKEHMQNIGPRVDPLSSNRGYIKAGNKDLTVRMKPNHVVDASRLNYKYVPPIKKPDKENGKAFEDSSSDSDNMVQKNKVSSSKIEKAVKVGDATAHVSSELLVNTADDPTLPSEWIPPNLSVPILDMVDVVLQLQDGGWIRRQAFWIAKQVLQLGMGDAFDDWLIEKIQLLRQGSVVATGIKRIEQILWPDGIFITKHPKRQRPVPTASPSHNLSPGQPSTPLSSPKMEEIQKLDEMQQEEAERRAKLVYELMIDKAPAAIVGLVGRKEYEQCAKDLYFFIQSSVCLKQLAYDLVELLLSSAFPELDYVFREFREEKGNFGELRVD >itb02g00700.t4 pep chromosome:ASM357664v1:2:405231:410449:-1 gene:itb02g00700 transcript:itb02g00700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRQAVTVRDLAEEAKKRIVFLIVCVVGLSYLMSLTSSSVLVNLPAAVLLIILLRYLSLDFEARWKAANYKGKSFTANSDFQRRQVEGPKNLNEKSEWRKKVSSPIVEEAIDQFTRHIVSEWVTDLWYSRITPDRQGPEELVLIMNSVLGEISCRMKNVNLIDLLLRDSINCICSHLELFRVCKVKIEKQHSRSLTIEERDMELKSVLAAENKLHPALFSPEAEHKVLQHLMDGLISLTFKPEDLQCSLFRYIVRELLACVVMRPVLNLANPRFINERIEILVASSKKTVKVTAAAQVQPQSKTSGFSKVSSDNFSPFIDPSVNGVELVQLKKDQSDNSVVNNAMHDVNGTELSKDPLLSIDTRSTRSWSNLPTQPHSDDGRLVQRHHSGGEWGDMLDVFSHRKAEALAPEHFDNMWAKGRNYKRKEENKQVADPTQQSSIGTSKAVSNSKAITGQNGRVGENRVSEQSVTYNGFRHGDWNKLSSSNSSYQEDDEHDDALSDEDAPGSSSYSTEEEETSVGLGSPVVKVWDAKNKRNVTHIHHPLESRESKKSRRKGSKGQNYSKRLSKTSSGWKRSRLSSQKDHVWQEIERTSFLSGDGHDILNSSKDNLKHEQLSDDSEAEMSGRISSGATATSSVSTSNLFENHNLDTNTAKTSIIADAFLKLRCEVLSASIVKSGTTTFAVYSISVTDAYNNNWSIKRRFRHFEELHRRLKEFSEYNLHLPPKHFFSTGLDVPVIQERCKLLDMYLKKLLQHPTISNSIEVWDFLSVDSQTYSFSNSLSIIETLSVLVDPDESVHERDKEHMQNIGPRVDPLSSNRGYIKAGNKDLTVRMKPNHVVDASRLNYKYVPPIKKPDKENGKAFEDSSSDSDNMVQKNKVSSSKIEKAVKVGDATAHVSSELLVNTADDPTLPSEWIPPNLSVPILDMVDVVLQLQDGGWIRRQAFWIAKQVLQLGMGDAFDDWLIEKIQLLRQGSVVATGIKRIEQVSFHAIIHTHTQVPKYTDSPHCNFSFFQHSTHLTFS >itb08g15890.t4 pep chromosome:ASM357664v1:8:18080438:18083123:-1 gene:itb08g15890 transcript:itb08g15890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQDLSKAKFEEKFVIPTVSQRFMGMKAAIITDNSSLNGFCGHLGFTVLQLEDLVHSSLDVVKSSFLACELLKLLGFQDGKVLETRQFDLVILHVGSSKTSTLEDIEHVNGLVGELINEAQPGTGVGSQLHLSVLLSFGAVLENENSSFSVASNRHENNPELSALFPRQSYTLKEGKPRENVRKHCPMLFAQWQDAVTRKDMVKSYSFEDFKENGGNLVIPTDRCLHEIAFKLWKAPKYGA >itb08g15890.t3 pep chromosome:ASM357664v1:8:18080389:18083087:-1 gene:itb08g15890 transcript:itb08g15890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKAAIITDNSSLNGFCGHLGFTVLQLEDLVHSSLDVVKSSFLACELLKLLGFQDGKVLETRQFDLVILHVGSSKTSTLEDIEHVNGLVGELINEAQPGTGVGSQLHLSVLLSFGAVLENENSSFSVASNRHENNPELSALFPRQSYTLKEGKPRENVRKHCPMLFAQWQDAVTRKDMVKSYSFEDFKENGGNLVIPTDRCLHEIAFKLWKAPKYGA >itb08g15890.t2 pep chromosome:ASM357664v1:8:18080438:18083123:-1 gene:itb08g15890 transcript:itb08g15890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQDLSKAKFEEKFVIPTVSQRFMGMKAAIITDNSSLNGFCGHLGFTVLQLEDLVHSSLDVVKSSFLACELLKLLGFQDGKVLETRQFDLVILHVGSSKTSTLEDIEHVNGLVGELINEAQPGTGVGSQLHLSVLLSFGAVLENENSSFSVASNRHENNPELSALFPRQSYTLKEGKPRENVRKHCPMLFAQWQDAVTRKDMVKSYSFEDFKENGGNLVIPTDRCLHEIAFKLWKAPKYGA >itb08g15890.t1 pep chromosome:ASM357664v1:8:18080389:18083127:-1 gene:itb08g15890 transcript:itb08g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPSRALVLYGDSMARFLNPSHTHLHSLASRACCGFLSLPHSPPSENEDGRIVREFAELLDASEAYNEMNKQDLSKAKFEEKFVIPTVSQRFMGMKAAIITDNSSLNGFCGHLGFTVLQLEDLVHSSLDVVKSSFLACELLKLLGFQDGKVLETRQFDLVILHVGSSKTSTLEDIEHVNGLVGELINEAQPGTGVGSQLHLSVLLSFGAVLENENSSFSVASNRHENNPELSALFPRQSYTLKEGKPRENVRKHCPMLFAQWQDAVTRKDMVKSYSFEDFKENGGNLVIPTDRCLHEIAFKLWKAPKYGA >itb12g05050.t1 pep chromosome:ASM357664v1:12:3333249:3335917:1 gene:itb12g05050 transcript:itb12g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKHISALSLFRKPNSCSTFRQSHFISMAAQASADLSHNEDDLLCAENAESEGESFRSSDLGRPHGYLSGEARIERAWAHWKMLGQPKCIVAPMVDNSELPFRMLCRKFGAQAAYTPMLHSRIFTENDKYRSLEFTTCKEDRPLFVQFCANDPDILLEAARRVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLSLVRSLVEKLANNLSVPVSCKIRIFPDLQDTINYAKMLEEAGCALLAVHGRTRDEKDGKKFRANWDIIKAVKNSVRIPVLANGNIRHMDDVQSCLEETGVEGVLSAESLLENPALFAGYMTTEWTNGSIGIKEDGTVDQAELVVEYLKFCEKYPVPWRMIRSHVHKMLGEWFKLQPDVREDFNKQYKLTFEFLYGLVNQLRERGVRIPLYVKNPNNEGMSTNGIVA >itb02g21610.t1 pep chromosome:ASM357664v1:2:20599743:20601163:-1 gene:itb02g21610 transcript:itb02g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANGKGEESSGKKLVFLGNTSTVFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTIVAVKRLKDVSIAEAEFREKIELLVGGMNHQYLLPLRAYYYSREEKLLVYDYMPMGSLSALLHGKGAGRTPLNWDLRSGIALAAARGIEYLHSQGHAVCHGNIKSSNILLTKSYEARVSDFGIANVVGPSSSPTRVAGYRAPEVTEPRRVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRHQNVEEEMVELLQLAIDCAAQYPDNRPSMTKVANRIEELRHSSLRNQQPSELVPETE >itb11g11720.t2 pep chromosome:ASM357664v1:11:8599345:8601884:1 gene:itb11g11720 transcript:itb11g11720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRRSLVSSFARIRILLNPRNQTSYPISPIPSHSHYRPLLGSFSRLCSSNLGIDLSDEEAKRRLVNRLLYRSKQRGYLELDLILGKWVEDHIHSMDENGIKALVHVLDVENPDLWKWLTGQEPAPDAIRTNPVFTSVHRKIMNNLDKHAAPETRATTGQSWVRGWDDFKRGRDSPIAGNQ >itb11g11720.t1 pep chromosome:ASM357664v1:11:8599345:8601884:1 gene:itb11g11720 transcript:itb11g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRRSLVSSFARIRILLNPRNQTSYPISPIPSHSHYRPLLGSFSRLCSSNLGIDLSDEEAKRRLLYRSKQRGYLELDLILGKWVEDHIHSMDENGIKALVHVLDVENPDLWKWLTGQEPAPDAIRTNPVFTSVHRKIMNNLDKHAAPETRATTGQSWVRGWDDFKRGRDSPIAGNQ >itb14g03310.t1 pep chromosome:ASM357664v1:14:2972543:2974817:1 gene:itb14g03310 transcript:itb14g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSTAAACLANALSDTESKPSVRIIDEASFHLSRNQSYADMTAEKNLRGVLRSEVDRSLSKDSIIIVDSLNSIKGYRYELWCLARAAGTRYCVVYCDVEENMCRAWNEERKEKAEPAYDDKIFEDLIRRFERPDSKNRWDSPLFELWPARDAIDKSSQAILDAVSYLTKRVDSKTRDVKILQPTIATQTARTSEANSLYEMDKATQEVINAIVEAQSHALGGPLNGVSLGPELPSIDISRSVGLPELRRLRRTFIKLAGQTSLSGRPPPSDASSAKRMFVDYLNRELGNN >itb14g03310.t2 pep chromosome:ASM357664v1:14:2972544:2974817:1 gene:itb14g03310 transcript:itb14g03310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSTAAACLANALSDTESKPSVRIIDEASFHLSRNQSYADMTAEKNLRGVLRSEVDRSLSKDSIIIVDSLNSIKGYRYELWCLARAAGTRYCVVYCDVEENMCRAWNEERKEKAEPAYDDKIFEDLIRRFERPDSKNRWDSPLFELWPARDAIDKSSQAILDAVSYLTKRVDSKTRDVKILQPTIATQTARTSEANSLYEMDKATQEVINAIVEAQSHALGGPLNGVSLGPELPSIDISRSVGLPELRRLRRTFIKLAGQTSLSGRPPPSDASSAKRMFVDYLNRELGNN >itb14g03310.t3 pep chromosome:ASM357664v1:14:2973555:2974794:1 gene:itb14g03310 transcript:itb14g03310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSTAAACLANALSDTESKPSVRIIDEASFHLSRNQSYADMTAEKNLRGVLRSEVDRSLSKDSIIIVDSLNSIKGYRYELWCLARAAGTRYCVVYCDVEENMCRAWNEERKEKAEPAYDDKIFEDLIRRFERPDSKNRWDSPLFELWPARDAIDKSSQAILDAVSYLTKRVDSKTRDVKILQPTIATQTARTSEANSLYEMDKATQEVINAIVEAQSHALGGPLNGVSLGPELPSIDISRSVGLPELRRLRRTFIKLAGQTSLSGRPPPSDASSAKRMFVDYLNRELGNN >itb02g17910.t1 pep chromosome:ASM357664v1:2:14219508:14221689:-1 gene:itb02g17910 transcript:itb02g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVSKEMVRPSSPTPESLKTYKLSFMDQLAFNVRIPFVFFYDSSGDDHTNNTIDELKKSLSKTLSLMLTVECNDEGVEFIVADVAENMCCLLDNPEMEKIRQLSPSAKVYEPQPVGKVLVAIQVNRFSCGGIAIGFFVSHAILDGSTLAKFLETWASFNRGCAVNNGGSGFISSSNNHSTILFPPLTDTSALERSVKMAAEAVQQEEKHLVVKRFLIPANAIAQLREELICIAIFDPNTTTQPFPMFRWKRIYRTFGVGGYETGFHSTI >itb12g25590.t2 pep chromosome:ASM357664v1:12:26796932:26800722:1 gene:itb12g25590 transcript:itb12g25590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDYVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAMHGHVRCMRLVVADFVPSAPLESLTGETNTDNGRGSSQSKTKHEQGGLSRFVNKSADGGITALHMAALNGYFDCVQLLLDLNADISAVTFHYGSSMDLIGSGSTPLHYAACGGNLKCCQMLIAKGASRLTLNCNGLIPLDVARIWGRHWLEPFLDPNSDSIIPAFPPSNYLSLPLLSVLNIAREYGLQSSATTPSGDVDTCAVCLERACSVAAEGCGHALCVRCALYLCSTSNVQSELSGLSGSIPCPLCRHGIVSFVKLPGSAAKEIKLHVSLSLCTPCMLHSRDQEKSMPAASGQEIRKNRVASVSSEMLCPVTCSPFPSVAIPLCTCNEGPCPTFEPGENGSQEESPNRGESTSEEQEKMGVRLEKTTCSNMFWGRRSCSRENQCNAEINV >itb12g25590.t1 pep chromosome:ASM357664v1:12:26796932:26800722:1 gene:itb12g25590 transcript:itb12g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDYVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAMHGHVRCMRLVVADFVPSAPLESLTGETNTDNGRGSSQSKTKHEQGGLSRFVNKSADGGITALHMAALNGYFDCVQLLLDLNADISAVTFHYGSSMDLIGSGSTPLHYAACGGNLKCCQMLIAKGASRLTLNCNGLIPLDVARIWGRHWLEPFLDPNSDSIIPAFPPSNYLSLPLLSVLNIAREYGLQSSATTPSGDVDTCAVCLERACSVAAEGCGHALCVRCALYLCSTSNVQSELSGLSGSIPCPLCRHGIVSFVKLPGSAAKEIKLHVSLSLCTPCMLHSRDQEKSMPAASGQEIRKNRVASVSSEMLCPVTCSPFPSVAIPLCTCNEGPCPTFEPGENGSQEESPNRGESTSEEQEKMGVRLEKTTCSNMFWGRRSCSRENQCNAEINV >itb10g23930.t1 pep chromosome:ASM357664v1:10:28016176:28021202:-1 gene:itb10g23930 transcript:itb10g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRKGILASAQNPKTSSQEEPQINTRRPPLLPSEKDNNGVNNNPKRPKARVVSSRYMSPSPSTSSSNSSSVSSSSSKTSTSRRFPSPLLSRNSTPLSTAPPSSGPKRSVSVDRRRPAVSRPLTPDLDLKVSNGSEISAATKLLVTSTRSLSVSFQGEAFSLPISKTKAAPPSPNLSSIRKNTPERRRTTTPLRGKPDGGGDQVENSRPLDQHRWPARSRQANPLSRSLNCSDVERNKLIGSGIRVLQHSMMDERRVSLDSRLSIDLGNAEPLKAAQRGFDENSVNNESSVPSDRTASDTDSVSSGSTSGMQESGGTSRGPTAPRGIVVSARFWQETNSRLRRLQDPGSPLSTSPGSKLIVPPKLRKYPSDVPASLQRTISSPIRGGIRPASPSKLGTPVGSRSSPSRGMPSPSRVRNAVSTISNNFVETPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFVNARTEAALHVQMHTAEKTLWNAWIKISDLRDIVTKKRHRLQLLKQKLKLASILKGQISYLEDWASLDKEHSVALMGGIEALKASTLRLPVLAGAIVDIQSLKEAIGSTVDVMQALVTSVCSLLAKVEEANILVIELAKITAKERVLLEQCKDFLSMVATMQVKDCSLRTHVLQHRRVSTA >itb10g23930.t2 pep chromosome:ASM357664v1:10:28016176:28021202:-1 gene:itb10g23930 transcript:itb10g23930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVAAFSGAASAQNPKTSSQEEPQINTRRPPLLPSEKDNNGVNNNPKRPKARVVSSRYMSPSPSTSSSNSSSVSSSSSKTSTSRRFPSPLLSRNSTPLSTAPPSSGPKRSVSVDRRRPAVSRPLTPDLDLKVSNGSEISAATKLLVTSTRSLSVSFQGEAFSLPISKTKAAPPSPNLSSIRKNTPERRRTTTPLRGKPDGGGDQVENSRPLDQHRWPARSRQANPLSRSLNCSDVERNKLIGSGIRVLQHSMMDERRVSLDSRLSIDLGNAEPLKAAQRGFDENSVNNESSVPSDRTASDTDSVSSGSTSGMQESGGTSRGPTAPRGIVVSARFWQETNSRLRRLQDPGSPLSTSPGSKLIVPPKLRKYPSDVPASLQRTISSPIRGGIRPASPSKLGTPVGSRSSPSRGMPSPSRVRNAVSTISNNFVETPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFVNARTEAALHVQMHTAEKTLWNAWIKISDLRDIVTKKRHRLQLLKQKLKLASILKGQISYLEDWASLDKEHSVALMGGIEALKASTLRLPVLAGAIVDIQSLKEAIGSTVDVMQALVTSVCSLLAKVEEANILVIELAKITAKERVLLEQCKDFLSMVATMQVKDCSLRTHVLQHRRVSTA >itb12g05830.t1 pep chromosome:ASM357664v1:12:4347644:4348694:-1 gene:itb12g05830 transcript:itb12g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVKSLKSSSGRIISLNIPIPPIFSRSFSSLSSPRTPTKSPASSITPPRRSSGGRDGEFREVFRRFDTDNDGRISAYELRAYFGSVGEHMSHEEAEAAIGALDGDGDGLIDFQDFLKLMQSDGGGDGEEDGDLKAAFEMFEFGKGSGRITPKSLQKVLNWLGEPKSYEECVTMIKVYDIDGNGELDFHEFRQMMAA >itb08g15440.t1 pep chromosome:ASM357664v1:8:17595589:17598224:1 gene:itb08g15440 transcript:itb08g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIPEIHLSSGQGGRRMPVVGFGTAADPPVGPEVVKKAVLQAIVVGYRHFDTAALYNSERPLGEAIKEAIEKGVIQSREELFITSKLWCSDAHPQTVLPAINKTLQNLGVGYLDLYLIHWPVSSKGIAEYPIKKEDFEAMDFKGVWEAMEETQNQGFTKAIGVSNFSCKKLQTILSTAKITPAVNQVEVNPCWQQKKLRDFCKDHGILVVAYGPLGSVGTFYGTNRVMESGVLKEIAKAKGKSVAQVALRWGYEQGIGIIVKSYNQERMKQNLEIFDWELSEEDANKIGEISQARGCLGMDYTSEYGPYKSIAELWDGEL >itb14g02360.t1 pep chromosome:ASM357664v1:14:1974388:1982443:-1 gene:itb14g02360 transcript:itb14g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTNSLVIGSSPESSAQTYLTGSVGSITGNNTLEPENSGIVGFSKDIKKITYRLIRLPSVKRDVLTLVGLGGIGKTTLANMVYTYPSVSSYFRIRAWTVVSKEHNVKEMLVCLLGCIVPMTSEISNKDEAQLAGQLRKSLMGHRYLIVLDDVWTTAAWDAIRGCFPDNSNGSRILLTTRFTEVAVYTSGYIHAMKVQTQDDSWKLFSRKVFGLKQSVPQEYKSLGKRIIDGCYGLPLAIVAMAGLLATVKGSIEIWRDVAESLYAMDSADNRISRILSLSYNYLPTHLKACLLYFGVFREDSDIPVKKLISLWVAEGFLKSKKKYKSMEKLAESYLHDLINRSLVQANELSIDGKIKSCKVHDRLHEFCMREAKKENFLSVINENHAQEACRWISFQSRNWPITRASYENYTFHKIRSIIYSDRPSSCKQLSEQIFKYAYSESVEQNSKAMCKSATNRRVRYEFFIMAPN >itb12g03930.t2 pep chromosome:ASM357664v1:12:2590801:2593496:-1 gene:itb12g03930 transcript:itb12g03930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDERREAAIASAPSLRPNFRPTAGITSDQLSKFQELHRRRLQIKSRSKTKNYSRKGNVNGHGKSHGKDGNAKECIEHMNEEVDGPTEDLAVPSSSADISSSQQDNTVSHNESNKRQKLHWGLDVKERWERKSNM >itb12g03930.t1 pep chromosome:ASM357664v1:12:2591258:2593496:-1 gene:itb12g03930 transcript:itb12g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDERREAAIASAPSLRPNFRPTAGITSDQLSKFQELHRRRLQIKSRSKTKNYSRKGNVNGHGKSHGKDGNAKECIEHMNEEVDGPTEDLAVPSSSADISSSQQDNTVSHNESNKRQKLHWGLDVKERWERKSNM >itb06g19250.t6 pep chromosome:ASM357664v1:6:22625165:22629798:-1 gene:itb06g19250 transcript:itb06g19250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSLPGLIHRPIVMGSPENSSRYDLDGRVGCWIGNENVKLNKQAAKAPKKARLRVSPFAASGLTQTQNPSSAHFYQELLRDARDKFTREISCQLKDKDISLAKALLYVGAEDEAFMAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRLAIMASERLLILQPHNWVLRRDHGLMLYYSR >itb06g19250.t7 pep chromosome:ASM357664v1:6:22626186:22629036:-1 gene:itb06g19250 transcript:itb06g19250.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRFKMLSCNIGMRMENYEFRKHNIFLKDLKLKKDMHPTLLTYYN >itb06g19250.t5 pep chromosome:ASM357664v1:6:22625165:22629798:-1 gene:itb06g19250 transcript:itb06g19250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSLPGLIHRPIVMGSPENSSRYDLDGRVGCWIGNENVKLNKQAAKAPKKARLRVSPFAASGLTQTQNPSSAHFYQELLRDARDKFTREISCQLKDKDISLAKALLYVGAEDEAFMAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRLAIMASERLLILQPHNWVLRRDHGLMLYYSR >itb06g19250.t1 pep chromosome:ASM357664v1:6:22624467:22629798:-1 gene:itb06g19250 transcript:itb06g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSLPGLIHRPIVMGSPENSSRYDLDGRVGCWIGNENVKLNKQAAKAPKKARLRVSPFAASGLTQTQNPSSAHFYQELLRDARDKFTREISCQLKDKDISLAKALLYVGAEDEAFMAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRFKMLSCNIGMRMENYEFRKHNIFLKDLKLKKDMHPTLLTYYN >itb06g19250.t4 pep chromosome:ASM357664v1:6:22624659:22629798:-1 gene:itb06g19250 transcript:itb06g19250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSLPGLIHRPIVMGSPENSSRYDLDGRVGCWIGNENVKLNKQAAKAPKKARLRVSPFAASGLTQTQNPSSAHFYQELLRDARDKFTREISCQLKDKDISLAKALLYVGAEDEAFMAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRYPS >itb06g19250.t3 pep chromosome:ASM357664v1:6:22624467:22629798:-1 gene:itb06g19250 transcript:itb06g19250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSLPGLIHRPIVMGSPENSSRYDLDGRVGCWIGNENVKLNKQAAKAPKKARLRVSPFAASGLTQTQNPSSAHFYQELLRDARDKFTREISCQLKDKDISLAKALLYVGAEDEAFMAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRLAIMASERLLILQPHNWVLRRDHGLMLYYSREYAAAVQELSICMAFAPEEEAEVLEPFVEKLHLMQVESSWKSLGRKGGRLTVP >itb06g19250.t2 pep chromosome:ASM357664v1:6:22624467:22629798:-1 gene:itb06g19250 transcript:itb06g19250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSLPGLIHRPIVMGSPENSSRYDLDGRVGCWIGNENVKLNKQAAKAPKKARLRVSPFAASGLTQTQNPSSAHFYQELLRDARDKFTREISCQLKDKDISLAKALLYVGAEDEAFMAFNREKDSYSLQSERRTGPLPSDAPDWKHVESMPLAGKSMKNWLEELDAIAREVEAELVSREIGCDLVEVLDAVNVVLFNSRGFKRSHVLVDSKCSYLHSVLCFGSCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFRITSGHSLFGTVNGKCVEDPRSKASDINSHSLPGLEIATNRDIIGIALANLIRLHWKRASRANHGLMLTSPLRSVHISDEKSSKIDASNVPLLRPQDLRLAIMASERLLILQPHNWVLRRDHGLMLYYSREYAAAVQELSICMAFAPEEEAEVLEPFVEKLHLMQVESSWKSLGRKGGRLTVP >itb15g19870.t1 pep chromosome:ASM357664v1:15:22357839:22360702:-1 gene:itb15g19870 transcript:itb15g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTAKIADDSYKDKPIDFGEDDGNDNADDRSVTYEELWQRLRRKIEALRANREKSDENLVKSEGKKRKRNDEKTSNGANSGNILSEIEFGKVKIGDDDEQGKKKKKKMSKAKELERLKRLEEVKKENPKVAEKHSWKAAVDRAMGVKVHDIPRLLKESMKREKKRKEKSIKKWKERVESREKLIEERQQKRRENISGRIHEKTMSKIAKREKKLMRPGFEGRKEEFITKD >itb03g27700.t1 pep chromosome:ASM357664v1:3:27824108:27825608:1 gene:itb03g27700 transcript:itb03g27700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGGIQDSKQSQLELPPGFRFHPTDDELVVHYLCRKCAAQSISVPIIADIDLYKFDPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGKPKTLGIKKALVFYAGKAPRGIKTNWIMHEYRLANVDRSAGKRNNLRLDEWVLCRIYNKKGSVEKYYVDEKEVNFPELEDEKPKILQNEGGLPPVIPVKNQLDTSRRQTDSSCCSEQVQSSSDKEVQSAPKWDDLNFQFNNFSDIALQTTFPFNDQHFNPFQQDMLFMNMHHHKSPFNFC >itb06g05600.t1 pep chromosome:ASM357664v1:6:8284634:8287309:1 gene:itb06g05600 transcript:itb06g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTNALEKKWVYPLAISSVVCIFLLVNFFNIGMVSSGKIFSIFPSRLATNETTSNDASKPKDEQSPPPPPAPSIPRFAYLISASKGDLEKLWRVLRVLYHPRNYYLVHLDLEATVDERLELASRLEREPVFLEVGNVHMITKANMVTYRGPTMVSNTLHASAILLRTYKDWDWYINLSASDYPLVTQDDLLSVFSDVRRDYNFIEHTSNLGWKETGRGMPLMMDPGLYKNTKSDLFWVNPNRGLPTAFRLFTGSAWMMQSRAFVEYCIWGWENLPRTLLMYYTNFVSSPEGYFQTVICNVPQFIPTAINHDMHFISWDNPPRQHPRTLNINDTANIIASDAPFARKFRRDDPMLDRIDRELLGKINSSYTPGGWCEGDPPCSKVGDPTKLVPGPGAERLRRLVTKLILLTKFGNQQCN >itb02g09340.t1 pep chromosome:ASM357664v1:2:5949936:5950886:1 gene:itb02g09340 transcript:itb02g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTCSQSHKISKNHDKLKKQQLNSLIDFLKPKVYITDSSNFKSLVQELTGKGTHPDSSPLPAIPQPFEASVMPAVIHDVEGDQTYLENGIEEFSVESSDGSGQMSSFGSAKESSVVPCIQEPFTGLDNFAQFKDFESWLLETDLDRYDDAYAPMIQHDLQDVSVFDSYDMPCLFLDDNYQIQY >itb06g04170.t1 pep chromosome:ASM357664v1:6:6743032:6746477:1 gene:itb06g04170 transcript:itb06g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAAAPVHRAVASLDDSAVVKQIEKTHYPNGEEIDALSILNIAKGILDDCKSTPPTKTSEEGEGESATLTPELQFEVYRLSVQLSYKSLEAGEDVQEKTVTLFNMLSSYSWEVKVALILAAYVSSYGEYWLIAQTYRDKDQVAMGMVALLQMSDLLKDDNKKLETLFQPLQKLVKPILDLCYCIAEVKKFFLSVFASSDTRGGFPGLRATVIICSYWIIRSAVICTSYMHSLIVKDPRTTSMDGELDSLARKIQPLRNHLTDQLFKCYKTLDVIDKIEVVGRTLKTNDIDNMKVLKLLVGARDDKQTSIFDLSSEKQVSLETLKNKTVLLLILSTDDIFSEKELPFLQEWYAKCDEVQRRQHAIILFPITRGQAKKXP >itb04g04660.t1 pep chromosome:ASM357664v1:4:2880213:2881312:1 gene:itb04g04660 transcript:itb04g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPMHLFLTLLCISSLIGVDAAIFTLKNRCNVTIWPGIQSGAGHPVLMKGGLVLEAGQSERIDAPAGWSGRIWPRSGCAFDKSTGRGSCGTGDCGGTLQCDGAGGAPPASLAEFTLDSPLDFYDVSLVDGFNVPVSIFPSGGSGDCSEVKCLRDLNRSCPQELQVRSTGGEVIACKSACNAFNKPEYCCTGEFGNPQTCQPTNYSRIFKNACPRAYSYPYDDATSTFTCTKADYLIAFC >itb01g01910.t1 pep chromosome:ASM357664v1:1:1110816:1111685:-1 gene:itb01g01910 transcript:itb01g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALFFSLSLYLLPNPTHSTRNPIRLPTAASATPVLDIEGNEVLPGETYFIRSWKWTHGGVRLISLDGATTLCPSDVIIGTGVDNGNPVVFTPADPNAPVVLQSTFLNIKFDFPMVKLCVNNVSWEVEYDASSGQRFVRAGDVFSYQFKIGFGSSLNGGLNAYTITYCEFGTDNCYDVGTDYGHKNWPRLALSTDEPWNVWFQKAGDV >itb01g24760.t1 pep chromosome:ASM357664v1:1:30315394:30316965:-1 gene:itb01g24760 transcript:itb01g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >itb14g21630.t1 pep chromosome:ASM357664v1:14:23538942:23549286:1 gene:itb14g21630 transcript:itb14g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKEGFKEMMRRQGKGDFSCVFQSLAFLPPLNWGISRNQVKEEAETEIHTSARLGKRRRLAEQAMADSQSSDFSLQYSGSSGLAVATPSPLLLSSSPSLGRSPSRHRVTGNPRSGKISNGVTVSASLSVKILVVPEFQLFQNCSCSIFVFHSPKFQNCYKGAILPVYVIYIILLPPMAEEPEKANSEDPQQTVCSFFRKPSKAKNIRKRTAAEEGENEDGYSKDETSVVFNKKKPTVADNKLHFSTGPSKREVTSESDAHSKKLLFQFESSREIQVQTDNKATATLETETEFSKDARAIRERVLKRAEEALKGKNESTVDEKLYKGIHGYTDYKAGFRREHTISSEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKAKKRRMEMGMLDDDESAEQSDDDDDDALPFACFICRQPFVDPVMTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAFEIRKKMAAEGK >itb09g20220.t1 pep chromosome:ASM357664v1:9:16938359:16938643:1 gene:itb09g20220 transcript:itb09g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIWVANRNNPLTNSTGFLKIGEDGNIHLVDETGNSIWSSSNSNQSVPRNTVAQLLDFGNLVLRDNGGPGGRSRFGGYRWDGGGGTIARSNLE >itb09g17440.t1 pep chromosome:ASM357664v1:9:12706916:12711799:1 gene:itb09g17440 transcript:itb09g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLRIKDGKATYVSRFVKTSRLKQEEFFGRAKFMKIGDLKGMFGLLTVYLQMLRIKLKVLDNSYGNGTANTALIYHDGKLLALHEGDKPYAIKVLEDGDLQTLGMLDYDKRLEHAFTAHPKVDPVTGEMFTFGYAQKAPFVTYRVISKDGLMHDPVPITIPASIMMHDFAITENYAIFMDLPLYFQPKEMVTKKQLAYKFDATKKARFGVLPRYAKNELLIKWFELPNCFIFHTANAWEEGDEVVMISCRIQNPDLDMASGTVKDSLDFVNELYEMRFSMKTGLASQKKLSESAVDFPRVNENYTGRKQRYVYATMLSDLAKVKGIVKFDLHAEPETGKTKIEVGGNVEGIFDLGPGRFGSEAIFVPREASTTCDEDDGYLILFVHDENTGKSAVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVNEEQLQRQAQT >itb03g20560.t1 pep chromosome:ASM357664v1:3:18484351:18484797:1 gene:itb03g20560 transcript:itb03g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELEHLIPIDFKNMVQVPDSHTWLDSPCINNSCSIDESVPLIDLEDPQALEKIKKACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSLNGYGIIHVSPNFNTLMWMEGFTLSGSPLELARRVWPKDYTPFW >itb05g26580.t1 pep chromosome:ASM357664v1:5:30377482:30381341:-1 gene:itb05g26580 transcript:itb05g26580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGVVPPPMAPMPTNPAQQPPQYQQPPQQWMSVPPPQAQPPTVWHQQPPPMAQHAMPHPQQTQQYASLPSGTTAASSSSPNEVKSLWIGDLQYWMDENYINTCFAHTGELTSSKVIRNKLTGQSEGYGFVEFRSHAAAENILQTYNGTVMPNAEQNFRLNWATLGAGEKRDDTPDFTIFVGDLAADVNDYYLLETFKAVYSSVKGAKVVTDRSTGRSKGYGFVRFGDESDQLRAMTEMNGVLCSTRPMRIGPAANKNLVNTQQKATYPSSQAGQGDSDPNNTTIFVGGLDPNVSEELMRQVFSPYGELVHVKIVAAKRCGFVQFSNRPSAEHALSSLQGAQLGEQNVRLSWGRNPSNKQSDQTQWGGVGGGSYYGYAQGYDAYGYAPPPQDPNHMYYGSYPGYTNYQQPQQ >itb02g20900.t1 pep chromosome:ASM357664v1:2:19212192:19217034:1 gene:itb02g20900 transcript:itb02g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMLAKLKETYACVPSTERGRGILISGDPKSNSILYCNGRSVIIRYLDRPLEVAVYAEHAYQTTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKKEFRVLSGRIDDLQWSPDGMRIVASGDGKGKSLVRAFMWDSGSNVGEFDGHSKRVLSCAFKPTRPFRIATCGEDFLVNFYEGPPFKFKLSHRHHSNFVNCLRFSPDGSKLISVSSDKKGVLYDAKTGDKIGELSSENGHQGSIYAVSWNPDSKQVLTVSADKSAKIWDISDDGNGKVKKTLTCSGSGGVEDMLVGCLWQNDHLVTVSLGGTISIFSASNLDKDPVSFSGHIKNANSLAVLNSEPRVILSSSYDGLIVKWLQGIGYCGKLERKANSQIKYFAAVGGEIVSFAFDNKIWRASLSGDQCTSEDNVDIGNQPKDLSLALHSPELALVAIETGVVLLRGTHVVSTINLGFPVTACVISPDGTEVIVGAQNGKLYIYSVTGDSLNEEAVIEKHRGAITVIRYSPDLSMFASGDANREAVVWDRASREVKVKNMLYHTARINCLAWSPDNSKVATGSLDTCVIVYEVSKPASDRTTIKGAHLGGVYGLSFLDDYNIVSSGEDACVRIWTLTPQ >itb07g14070.t1 pep chromosome:ASM357664v1:7:16472837:16473232:1 gene:itb07g14070 transcript:itb07g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLRDELSVSTYCQKLSDDQEKDLMSKMIEEDEEGSEESDMEGSKGDEVSTPTSTQQLKERVDVVDCEDIDTAKGCLLDQLSSSIKLKKSKLALIKQVKL >itb12g00290.t1 pep chromosome:ASM357664v1:12:296758:297472:1 gene:itb12g00290 transcript:itb12g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCISRGFGVSDNVEKTEEMSNTMMGRGLELPDDYSTYGVTARGYRNRCREPCDYYKNNNNNKVEAAEVFPRYNNGGVWKVNLVISSEQLSQILSEEARTEALIESVRTAAKCAASDPALLPLPSPPIPITGVRFSRHHKLNGIP >itb04g03530.t1 pep chromosome:ASM357664v1:4:2160883:2162734:1 gene:itb04g03530 transcript:itb04g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEGYSNGRHPNPVMKRKQMNFWILLALNVIFLVVGQAAAIILGRIYYDNGGNSKWMATLVQTAGFPVLFIPYFLIPSPKEHTDSPNQPPPSPSIIKVTVIYVLLGVIIAGDNMLYSVALLYLSASTYSLICATQLVFNAIFSFFINSQKFTALIFNSVIALTLAASLLAINEDSDKPPGVTTRKYIFGIIAAIVASGLYSLILSLMQLSFQKVIKKETFSVVLEMQIFTSLVASGVSTIGLFASGEWRTLSGEMQGFATGKAAYVQVLVWTAVGWQVCAVGVVGLIFVVSSLFSNVISTLSLAVTPIASVIILHDKMNGVKMIALLMAIWGFGNYIYQNYLDDLKARRTHFASIHTPNVSSC >itb10g11760.t1 pep chromosome:ASM357664v1:10:17403839:17408668:1 gene:itb10g11760 transcript:itb10g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKLTRHRRLLRYKPFGFSPFARSIAQLASYNKVKRKIYNGLQEKNFPSSSGNGVLNHTQGGKNQHSDSSDDEFEGTLQADFEFFDPKPDDFHGVKVLLQTYLNNKQWDLSGFVDLILGQPTVGTVVKIANDEDEGIYSVISALNLGRYKNSKCIMELKKFLLSACQDNNVQANLSSLLEEQSNDVGLLVSQRVVNLPPQLLPPLYDGLFDEISWATEDEPTEELRKSFCFKFYLVVSKIYKHKNADKKTLPSKSGDEAIIYVKPEEEILHELSSWSFTFPMHSQPVRTDELKDYRMTGLVMAVEASKISKFRKQLNSLIDNS >itb07g19140.t3 pep chromosome:ASM357664v1:7:23597896:23606230:1 gene:itb07g19140 transcript:itb07g19140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEVAQNKESENSEQGPLEEETLCKESEKPLKRLQSKHQDDQPSLSCDNSSSTLAGASFKKPKVKCNDVSGESCPSVLQGMVASHQLSHGGREAQTPSASYQTYTRSKGKEPILPKPMVLPDKSLPTQPAGADRNQTNVLRKAKAGPKPSSHPMRLRDRQRKPQTLQPARDKTLAPGHSSHVLHLKEPKTEACLVLTPQQKMLTAHDFIKPKDEPFTDDAPHPEVPIAVIPSESLYKDDVPSVNGLLKDHSASENLAADSSGAMDGTYCIATSSSEMKTNQQLIQQLSPPCNGVDDRSLPEEDASGNNHCKVAENGQSVLEEMNSQSPEVVESLQVSHDNTSSLNEGIDITKGQEKIAISVVNEVNSEHPPSFQYIPQNTVFQNAYVNISLARIGDDHSCSTCSGDCLSLSVPCACAYETGGEFAYTEEGLVKEEFLKECISMNRDPGKHCQFYCTECPLERSKNDNVIEPCKGHLVRNFIKECWRKCGCNIQCGNRVVQRGISRKLQVFMTPGGKGWGLRSLEDLPRGAFVCEYVGEVLTNAELFERVSRGSSSEEHSYPVLLDADWAAEGVLKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEIETPDHHYYHLAFFTTRKVKAMEELTWDYGIDFDDVEHPIKAFKCQCGSRFCRNGRRSSRSRSKRRR >itb07g19140.t2 pep chromosome:ASM357664v1:7:23597894:23606240:1 gene:itb07g19140 transcript:itb07g19140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQRTRKAYKAMKDIGIDVSVVKPVLNDLLKLYDRKWAHIEAENYRVLADAIFEMKSEVAQNKESENSEQGPLEEETLCKESEKPLKRLQSKHQDDQPSLSCDNSSSTLAGASFKKPKVKCNDVSGESCPSVLQGMVASHQLSHGGREAQTPSASYQTYTRSKGKEPILPKPMVLPDKSLPTQPAGADRNQTNVLRKAKAGPKPSSHPMRLRDRQRKPQTLQPARDKTLAPGHSSHVLHLKEPKTEACLVLTPQQKMLTAHDFIKPKDEPFTDDAPHPEVPIAVIPSESLYKDDVPSVNGLLKDHSASENLAADSSGAMDGTYCIATSSSEMKTNQQLIQQLSPPCNGVDDRSLPEEDASGNNHCKVAENGQSVLEEMNSQSPEVVESLQVSHDNTSSLNEGIDITKGQEKIAISVVNEVNSEHPPSFQYIPQNTVFQNAYVNISLARIGDDHSCSTCSGDCLSLSVPCACAYETGGEFAYTEEGLVKEEFLKECISMNRDPGKHCQFYCTECPLERSKNDNVIEPCKGHLVRNFIKECWRKCGCNIQCGNRVVQRGISRKLQVFMTPGGKGWGLRSLEDLPRGAFVCEYVGEVLTNAELFERVSRGSSSEEHSYPVLLDADWAAEGVLKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEIETPDHHYYHLAFFTTRKVKAMEELTWDYGIDFDDVEHPIKAFKCQCGSRFCRNGRRSSRSRSKRRR >itb07g19140.t4 pep chromosome:ASM357664v1:7:23601962:23606240:1 gene:itb07g19140 transcript:itb07g19140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQRTRKAYKAMKDIGIDVSVVKPVLNDLLKLYDRKWAHIEAENYRVLADAIFEMKSEVAQNKESENSEQGPLEEETLCKESEKPLKRLQSKHQDDQPSLSCDNSSSTLAGASFKKPKVKCNDVSGESCPSVLQGMVASHQLSHGGREAQTPSASYQTYTRSKGKEPILPKPMVLPDKSLPTQPAGADRNQTNVLRKAKAGPKPSSHPMRLRDRQRKPQTLQPARDKTLAPGHSSHVLHLKEPKTEACLVLTPQQKMLTAHDFIKPKDEPFTDDAPHPEVPIAVIPSESLYKDDVPSVNGLLKDHSASENLAADSSGAMDGTYCIATSSSEMKTNQQLIQQLSPPCNGVDDRSLPEEDASGNNHCKVAENGQSVLEEMNSQSPEVVESLQVSHDNTSSLNEGIDITKGQEKIAISVVNEVNSEHPPSFQYIPQNTVFQNAYVNISLARIGDDHSCSTCSGDCLSLSVPCACAYETGGEFAYTEEGLVKEEFLKECISMNRDPGKHCQFYCTECPLERSKNDNVIEPCKGHLVRNFIKECWRKCGCNIQCGNRVVQRGISRKLQVFMTPGGKGWGLRSLEDLPRGAFVCEYVGEVLTNAELFERVSRGSSSEEHSYPVLLDADWAAEGVLKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEIETPDHHYYHLAFFTTRKVKAMEELTWDYGIDFDDVEHPIKAFKCQCGSRFCRNGRRSSRSRSKRRR >itb07g19140.t5 pep chromosome:ASM357664v1:7:23602452:23606230:1 gene:itb07g19140 transcript:itb07g19140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVASHQLSHGGREAQTPSASYQTYTRSKGKEPILPKPMVLPDKSLPTQPAGADRNQTNVLRKAKAGPKPSSHPMRLRDRQRKPQTLQPARDKTLAPGHSSHVLHLKEPKTEACLVLTPQQKMLTAHDFIKPKDEPFTDDAPHPEVPIAVIPSESLYKDDVPSVNGLLKDHSASENLAADSSGAMDGTYCIATSSSEMKTNQQLIQQLSPPCNGVDDRSLPEEDASGNNHCKVAENGQSVLEEMNSQSPEVVESLQVSHDNTSSLNEGIDITKGQEKIAISVVNEVNSEHPPSFQYIPQNTVFQNAYVNISLARIGDDHSCSTCSGDCLSLSVPCACAYETGGEFAYTEEGLVKEEFLKECISMNRDPGKHCQFYCTECPLERSKNDNVIEPCKGHLVRNFIKECWRKCGCNIQCGNRVVQRGISRKLQVFMTPGGKGWGLRSLEDLPRGAFVCEYVGEVLTNAELFERVSRGSSSEEHSYPVLLDADWAAEGVLKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEIETPDHHYYHLAFFTTRKVKAMEELTWDYGIDFDDVEHPIKAFKCQCGSRFCRNGRRSSRSRSKRRR >itb07g19140.t1 pep chromosome:ASM357664v1:7:23597894:23606240:1 gene:itb07g19140 transcript:itb07g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQRTRKAYKAMKDIGIDVSVVKPVLNDLLKLYDRKWAHIEAENYRVLADAIFEMKSEVAQNKESENSEQGPLEEETLCKESEKPLKRLQSKHQDDQPSLSCDNSSSTLAGASFKKPKVKCNDVSGESCPSVLQGMVASHQLSHGGREAQTPSASYQTYTRSKGKEPILPKPMVLPDKSLPTQPAGADRNQTNVLRKAKAGPKPSSHPMRLRDRQRKPQTLQPARDKTLAPGHSSHVLHLKEPKTEACLVLTPQQKMLTAHDFIKPKDEPFTDDAPHPEVPIAVIPSESLYKDDVPSVNGLLKDHSASENLAADSSGAMDGTYCIATSSSEMKTNQQLIQQLSPPCNGVDDRSLPEEDASGNNHCKVAENGQSVLEEMNSQSPEVVESLQVSHDNTSSLNEGIDITKGQEKIAISVVNEVNSEHPPSFQYIPQNTVFQNAYVNISLARIGDDHSCSTCSGDCLSLSVPCACAYETGGEFAYTEEGLVKEEFLKECISMNRDPGKHCQFYCTECPLERSKNDNVIEPCKGHLVRNFIKECWRKCGCNIQCGNRVVQRGISRKLQVFMTPGGKGWGLRSLEDLPRGAFVCEYVGEVLTNAELFERVSRGSSSEEHSYPVLLDADWAAEGVLKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEIETPDHHYYHLAFFTTRKVKAMEELTWDYGIDFDDVEHPIKAFKCQCGSRFCRNGRRSSRSRSKRRR >itb05g17000.t1 pep chromosome:ASM357664v1:5:24082674:24085674:1 gene:itb05g17000 transcript:itb05g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFALRLSFLLIIIFFHFLALRLAASPTAASPPPYNATDLLLLNCGAPSEITSDEGRDWDTDNHYLRFMPTNTAAISFQATAEQGPSVPRVPFTTARVFTSHLTYSFRVSPGKKFLRLYFYPAQYSAAAAAGGGGGGFNQADFFFSVTANHYVLLQNFSASLTASASPSSNPTVKKEFVVDVDDKQQLNLTFSPSPNAYAFVNGIEIVSIPSDLYFRGENYPIKLVGQASETYFSIDNSTTSLETLYRLNVGGIHLGPTDDTGMFREWSDDKDYVVYRENQTPNLAVPVNYTNETPAYTAPNVVYTSARTMDDHNNNSNGLFWDFPVDGGFSYLLRFYFCEFEPEVNDTNQRVFSIEVNNSTAEGQFDVIEQSGGPEVPIMRDYVILVPDPDTRRSKQIVSLSLRPNMEGKPRYRNAILNGLEIFKLNDSTGNLAVPNPPEIPAAKPGLLPEKKGRKGLVAIIGGVAALVLVIIIVTIFLIFRRRKRTANLAPSVTKSSWVTMARDSITTQKTGGSDGSRLPLELCRHFSLDELKLATGNFNENFVIGRGGFGKVYRGYIDADVTSVAIKRLSPESSQGFREFQTEIKMLSKLRHLHLVSLIGYCDTDREMILIYDYMAHGTLRDHLYNAGKPPLPWKQRLKICIGAAKGLHYLHAGARHPIIHRDVKSTNILLDEKWVAKLSDFGLSKVGPLGEAVSHVSTAVKGSFGYVDPEYYRRRQVTEKSDVYSFGVVLFEVLCARAAVIPNLPRNKVSLAEWGRRSYETGDVSEIVDPNLKGQIAPECLIQYVEIACNCLKDQGIDRPSMNDVVWGLEFALQLQDAADKRCGLPPPCTPERPSFPLPHPKTLAKGGEVENNSDEYDGDAFTASEDAAKIFRTKSSITATSTSDDSLRGQSHTIFSELSNQLGR >itb01g21110.t1 pep chromosome:ASM357664v1:1:27316179:27316598:1 gene:itb01g21110 transcript:itb01g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSSYIYTLFIIHSPPLSLSRRLHFYLSIPPPYLYSSNPFPYTPIVGVRSRSSPPPGVSSDLPNLHICVYIRVLFGGALAEVVEGSSASSSFPGVIVRKECMRDLESGDLFFWDNSRRIRWDCSFTIRSRGRWGKCG >itb04g09560.t1 pep chromosome:ASM357664v1:4:8841134:8844152:1 gene:itb04g09560 transcript:itb04g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNLGLLGGGGGGGGAGEAELELGLGLSLGSLAKPVKSSDCTRGEYGRILTAKDFPNGFPTGTPRTNGAVSGTKRAADSEAGSPPTGVSQVVGWPPIRSYRMNSLVNQGKTPNAEDDKGMGGDENKENSKKKMNHGNNSDDGCAVKEKGSFGFVKVNMDGLPIGRKVDLNAHTSYETLAQTLEEMFFKSTTKAMNSICGEKEQRMTPSKLLDGSSDFVLTYEDKEGDWMLVGDVPWGMFLCTVKRLRIMKTSEANGLAPRVQERQDRHTGKPI >itb04g14410.t1 pep chromosome:ASM357664v1:4:15006295:15009137:-1 gene:itb04g14410 transcript:itb04g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLRSGLRLAADDGWLVDSDMEDDDGWLADSDLKAMDGDCWRRWRKFADLVGYETWRRCCDGAMEEIRRSWILVGGADSYAWKLKAYSLNTMETTTKKNKKKN >itb13g18120.t1 pep chromosome:ASM357664v1:13:25135575:25136155:1 gene:itb13g18120 transcript:itb13g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVRYPKGWELIEPTLNELQAKMREAENDPHDGKRKYEDLWPIFKICHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIVKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCVSGD >itb01g22840.t1 pep chromosome:ASM357664v1:1:28918583:28922460:-1 gene:itb01g22840 transcript:itb01g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEVGLRLLLCPLGSNIVVRTASCSIGVVLPVYSTFKAIERRDQEEQKKWLMYWAAYGSFSMVELFTDKLLNWFPLYYHTKFAFLVWLQLPSIDGAKQVYMNHLRPFLLGHQAKLDQIVGFVYGEMSRFVSQHLEEVMFVKTILMKILASGEDNTRPEQRQASAAIEGPNTEDDSESEYDD >itb08g07810.t2 pep chromosome:ASM357664v1:8:6722498:6726133:-1 gene:itb08g07810 transcript:itb08g07810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHILQLPLPQIVTLSSSTSRYSINCSFRNLSLSFNPLSLSNHGSRRTRCSRRRTLAPVYASNEEEASTSSSTATATQEKGAYDEDVEVSDSEALEYVSQIKRVLELLKKNRDMVFGEVKLTIMIEDPRDVERKRLLGIDDENAPTREDLAAALEEINEGKIPEDRLALQMLAEELTAWPNLETEAPKKQTPSKSLYARTTDTGVDLKVAAKRLKVDWDSAADIGEDDEGDDTEVPPAVVSPAIPSDL >itb08g07810.t1 pep chromosome:ASM357664v1:8:6721594:6726133:-1 gene:itb08g07810 transcript:itb08g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHILQLPLPQIVTLSSSTSRYSINCSFRNLSLSFNPLSLSNHGSRRTRCSRRRTLAPVYASNEEEASTSSSTATATQEKGAYDEDVEVSDSEALEYVSQIKRVLELLKKNRDMVFGEVKLTIMIEDPRDVERKRLLGIDDENAPTREDLAAALEEINEGKIPEDRLALQMLAEELTAWPNLETEAPKKQTPSKSLYARTTDTGVDLKVAAKRLKVDWDSAADIGEDDEGDDTEVPPAVGYGALYLVTAFPVIIGISVVLILFYNSLQ >itb04g01460.t1 pep chromosome:ASM357664v1:4:820788:821743:1 gene:itb04g01460 transcript:itb04g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVVRIPNLNAEKIRAKAMKIVAKLEGVGSITVQGESMEEIMVIGEVDAVEMTNRLRKKLGSAELISVDKVVSEETSTADNNSSSSVVEEVPQPEWFSPYYSSYYPQYLPCYSLY >itb02g20620.t1 pep chromosome:ASM357664v1:2:18677448:18678131:-1 gene:itb02g20620 transcript:itb02g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKHSKSWVSSCFPSPPPPPHHRLQDAPPATSCSCHEVAETTCTIGLLFVCCPFSAVCCCVKITRWLVRHARRNGGCCASEKRVMLGYSLFSDMETDVRCKNRNDIKNFK >itb15g09940.t1 pep chromosome:ASM357664v1:15:7190647:7199301:1 gene:itb15g09940 transcript:itb15g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENADVFGSSTAPLTWHDFLERMRQPSAADFVKAIKSFIVSFSNNAPDPERDSKAVQEFLGNMEAAFRAHSLWAGCSEDELESAGEGLEKYVMTKLFSHVFASHPEDVKVDDQLYEKIALIQQFVRPENLDIKPVFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLINASISASENPPGADDFLPVLIYVTIKANPPQLHSNLLYIQRFRHETRLVSEAAYFFTNILSAESFIRNIDAKSLSMDETEFESNMQSAQAVLYGLSADSDDIISHNSQNAGEAVAPKQAVNTNNRQASALQPEFTTEPSETKPRNEDQYVKDQPSTDKTPSISDLEHKGAAMIMKEDNADDFFQNFPYFYSQAGVLTIGDVEELLNNYKQLVFKYVCLSKGLGIDVPSPPFSESVAEPEGDETQKDHPTADSDSSGIPITNEHDSESNLLVDEAVTSKKDGEEVDE >itb15g09940.t3 pep chromosome:ASM357664v1:15:7197414:7199142:1 gene:itb15g09940 transcript:itb15g09940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAPRDKLVCILNCCKVINNLLINASISASENPPGADDFLPVLIYVTIKANPPQLHSNLLYIQRFRHETRLVSEAAYFFTNILSAESFIRNIDAKSLSMDETEFESNMQSAQAVLYGLSADSDDIISHNSQNAGEAVAPKQAVNTNNRQASALQPEFTTEPSETKPRNEDQYVKDQPSTDKTPSISDLEHKGAAMIMKEDNADDFFQNFPYFYSQAGVLTIGDVEELLNNYKQLVFKYVCLSKGLGIDVPSPPFSESVAEPEGDETQKDHPTADSDSSGIPITNEHDSESNLLVDEAVTSKKDGEEVDE >itb15g09940.t2 pep chromosome:ASM357664v1:15:7197113:7199278:1 gene:itb15g09940 transcript:itb15g09940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTYGVQLAQKELQKINMYKAPRDKLVCILNCCKVINNLLINASISASENPPGADDFLPVLIYVTIKANPPQLHSNLLYIQRFRHETRLVSEAAYFFTNILSAESFIRNIDAKSLSMDETEFESNMQSAQAVLYGLSADSDDIISHNSQNAGEAVAPKQAVNTNNRQASALQPEFTTEPSETKPRNEDQYVKDQPSTDKTPSISDLEHKGAAMIMKEDNADDFFQNFPYFYSQAGVLTIGDVEELLNNYKQLVFKYVCLSKGLGIDVPSPPFSESVAEPEGDETQKDHPTADSDSSGIPITNEHDSESNLLVDEAVTSKKDGEEVDE >itb08g08150.t1 pep chromosome:ASM357664v1:8:7034616:7035919:-1 gene:itb08g08150 transcript:itb08g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGLMTTMIRAQEPISNISSHHPTWKLYENPFYINPHNNNNNNNHSSPVIKPQNNQIHRLHLPISARKIAASFWDLTFVKSSYMVSELEAARAQILELKAELELERKSRKKVESTNKKLAQELSEERKHKDTMQRMLNRLATEASSEKAQIKQVKKEMEEERKMLRVAEVLREERVQMKLSEAKFLFEEKMMELENLHLEKPPKSPDKTRDNNVSRTQNIPTSSVSCSSNQNRAVVINNNPRKASPEPENPHIKRGIKGFVEFPKVVKAIGSKSRQLSTKLECQKAQLRLLLKQKNPVRSNIDLLTT >itb03g17880.t2 pep chromosome:ASM357664v1:3:16294772:16304070:-1 gene:itb03g17880 transcript:itb03g17880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDELLDDKAKRMRDLLSSFYSLDPSSSGPPNASSRFATLDTINTSSFDADQYMNLLVQKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMNNNIVGMETNMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNFLRKVQFIYDLPSRLAKCIKAEAYADAVKYYTGALPIFKAYGDSSFLDCKRASEEAVAVIVKNLQGKVFSDSESIQARAEAVMLLKQLNYPVENLKVQLYEKLEQFLVDLNLESKELMHGSLNLDMPPDHVDLSDSTSVDQASIREFAEAIRAYRVIFPDSDQQLVRLAEDLVKKHFEATEHHIKKTLHSTDVLSLLRIIWTNVLLMDEVLPEAGLIDFSLEAARVSIKKYVVSRFSHLLLDITGALVKLQGKQQGVEEEYTLQAALDSSKKTLIEGSSDVLQEFQRLLNEDMELLVKLREMIINWVQEGFQEFFRKLENEFLLLSGKKYFSGQDQNFGERMQGDKILPGLVLVLSQLSISIEQSVIPRITEEIAAFSGGAARGYDYGPAYVPAEICRTFHSAGETFLHMYINMRTQKLSVVLKKRFTTPNWMKHKEPREVHMFVDLLLQELDAIGNEVKQILPEGLNRKHRRTDSNGSTTSSRSNPLRDDRLSRSNTQKARSQLLETHLAKLFKQKMEIFTKVEHTQESVMTTIVKLCLKSLQEFARLQTFNRSGFQQIQLDFHFLKTSLKNAAEDEAAVDFLLDEVIVAAAERCLDPVPLEPAILDKLVQVKLAKI >itb03g17880.t1 pep chromosome:ASM357664v1:3:16294772:16304070:-1 gene:itb03g17880 transcript:itb03g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKMGVDDELLDDKAKRMRDLLSSFYSLDPSSSGPPNASSRFATLDTINTSSFDADQYMNLLVQKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMNNNIVGMETNMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNFLRKVQFIYDLPSRLAKCIKAEAYADAVKYYTGALPIFKAYGDSSFLDCKRASEEAVAVIVKNLQGKVFSDSESIQARAEAVMLLKQLNYPVENLKVQLYEKLEQFLVDLNLESKELMHGSLNLDMPPDHVDLSDSTSVDQASIREFAEAIRAYRVIFPDSDQQLVRLAEDLVKKHFEATEHHIKKTLHSTDVLSLLRIIWTNVLLMDEVLPEAGLIDFSLEAARVSIKKYVVSRFSHLLLDITGALVKLQGKQQGVEEEYTLQAALDSSKKTLIEGSSDVLQEFQRLLNEDMELLVKLREMIINWVQEGFQEFFRKLENEFLLLSGKKYFSGQDQNFGERMQGDKILPGLVLVLSQLSISIEQSVIPRITEEIAAFSGGAARGYDYGPAYVPAEICRTFHSAGETFLHMYINMRTQKLSVVLKKRFTTPNWMKHKEPREVHMFVDLLLQELDAIGNEVKQILPEGLNRKHRRTDSNGSTTSSRSNPLRDDRLSRSNTQKARSQLLETHLAKLFKQKMEIFTKVEHTQESVMTTIVKLCLKSLQEFARLQTFNRSGFQQIQLDFHFLKTSLKNAAEDEAAVDFLLDEVIVAAAERCLDPVPLEPAILDKLVQVKLAKI >itb15g23010.t2 pep chromosome:ASM357664v1:15:25792908:25796783:1 gene:itb15g23010 transcript:itb15g23010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVQIGKQLYKSLMGKKYLIFLDDIWDIVPWIVIQEYFPENLNGSQILVTTRSKEVAEELSANPYNVKHQTLEDHWELFSRKVFGQSHCVPSEYEKIGKRIVRGCGGLPLVVVLISGLLMTTKGSLEIWRDVARTLDGVGIYDDRISKIVSLSYKYLPSHLKACFYYFCVFPEDSEISVKKLINLWVAEGFIKQHNNMSLEEVGESYLHDLINRSLVQINELSIDGKVKSCNIHDRVHEVCVRQAIDGNTLWIIKDYYAPKACHWLSCQTSHWPITRASYGNCGPNEIHSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSLVKCSQGMPHEITDLVHLRYLALNTIVSLYEFRFFKLKNLVTLIVTSWMEKCHLQLPCDILDLPQLRYLHVDKRCSQFLPCLVKNDLQTLYWLKVASSDKKPNFRIVPNLMELGIYIEGQLAPSHLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLCYTYLPWKEMDTIGKLPHLEVLKLKDFAFCGPTWELSEHDFQELKALLISRSNLKHWNASSINFPVLERLVLSYCWELKQVPINFAKITTLNLIVLECCYSSLVTSAMQISSAKSKALKGKAKANCPLRVRKVGTKVELPIIESYEEESVESSEENSVETSKEESVGSSKEESISGFHLILTIQLFVLCVFLLQ >itb15g23010.t1 pep chromosome:ASM357664v1:15:25792890:25796783:1 gene:itb15g23010 transcript:itb15g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVQIGKQLYKSLMGKKYLIFLDDIWDIVPWIVIQEYFPENLNGSQILVTTRSKEVAEELSANPYNVKHQTLEDHWELFSRKVFGQSHCVPSEYEKIGKRIVRGCGGLPLVVVLISGLLMTTKGSLEIWRDVARTLDGVGIYDDRISKIVSLSYKYLPSHLKACFYYFCVFPEDSEISVKKLINLWVAEGFIKQHNNMSLEEVGESYLHDLINRSLVQINELSIDGKVKSCNIHDRVHEVCVRQAIDGNTLWIIKDYYAPKACHWLSCQTSHWPITRASYGNCGPNEIHSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSLVKCSQGMPHEITDLVHLRYLALNTIVSLYEFRFFKLKNLVTLIVTSWMEKCHLQLPCDILDLPQLRYLHVDKRCSQFLPCLVKNDLQTLYWLKVASSDKKPNFRIVPNLMELGIYIEGQLAPSHLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLCYTYLPWKEMDTIGKLPHLEVLKLKDFAFCGPTWELSEHDFQELKALLISRSNLKHWNASSINFPVLERLVLSYCWELKQVPINFAKITTLNLIVLECCYSSLVTSAMQISSAKSKALKGKAKANCPLRVRKVGTKVELPIIESYEEESVESSEENSVETSKEESVGSSKEESISGFHLILTIQLFVLCVFLLQ >itb01g35330.t1 pep chromosome:ASM357664v1:1:37596855:37599327:1 gene:itb01g35330 transcript:itb01g35330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSGLAAIFQAAKGLGAVPARTAEYRMVQSALYTGNNSSVMSHVLSHTKHEDQSSRAAARVVSGTMLFSVAASTLAEEAHAKEPIPPKFRPNDVVLYQYEACPFCNKVKAFLDYYDIPYKIVEVNPISKNEIKWSDYKKVPILMVDGEQMVDSSDIIDKLFQKVCPQVAAKSTSDNDEENKWRKWVDNHLVHILSPNIYRSASEALESFDYITTQGNFSFYERTVAKYAGATAMYFVSKKLKKKYNITDERAALYEAAETWVDALNGRDFLGGSKPNLADLAVFGVLRPIRNLKSGKDMVENTRIGDWYSRMEGAVGQPSKVQA >itb01g29240.t3 pep chromosome:ASM357664v1:1:33529172:33534644:1 gene:itb01g29240 transcript:itb01g29240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWVSRSKRCIATSLASTTKIGAVLGRLLNASANYHSTASLNSSLPAGAGAGSREQKPELSGKSAAILSPRRFESVKAAQLAEAPCYSSEDDYDDRYDPNRTLDFPGGRVPFTAQMKFISAATDRRIPCYRVLDDDGYVLPGSSVFEQVRQEMAMKMYSAMVTVQTVDTIFYEAQRQGRLSFYLTSFGEEAVSIGSAAALSPDDVLMLQYRELGVLLWRDFSLQEMANQCFGNKGDYGKGRQMPIHYGSNKHNILTISSPLATQIPQAAGVAYSLKMDEKDACVVTYFGDGTTSEGDFHAGLNFAAVMEAPVVFICRNNGWAISTPVSEQFRSDGIVTKGQGYGITSIRVDGNDALAVYNAIRVAREMAIKQQKPILVEALTYRVSHHSTSDDSTKYRPTEEIEHWKTARSPVGRFRKWIQRHGWWSDEDEHQLRGNIRKQVLQAIQEAEKMEKPSLAELFSDVYDKVPSNLKEQERSLRDIVMRHPKDYPADVPV >itb01g29240.t2 pep chromosome:ASM357664v1:1:33531947:33534644:1 gene:itb01g29240 transcript:itb01g29240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFKALIQNHKRQLSIGLHASPAASTLFSLHRFKSTGAVAERSPVFNENDDVSFPQTLDFPGGRVPFTAQMKFISAATDRRIPCYRVLDDDGYVLPGSSVFEQVRQEMAMKMYSAMVTVQTVDTIFYEAQRQGRLSFYLTSFGEEAVSIGSAAALSPDDVLMLQYRELGVLLWRDFSLQEMANQCFGNKGDYGKGRQMPIHYGSNKHNILTISSPLATQIPQAAGVAYSLKMDEKDACVVTYFGDGTTSEGDFHAGLNFAAVMEAPVVFICRNNGWAISTPVSEQFRSDGIVTKGQGYGITSIRVDGNDALAVYNAIRVAREMAIKQQKPILVEALTYRVSHHSTSDDSTKYRPTEEIEHWKTARSPVGRFRKWIQRHGWWSDEDEHQLRGNIRKQVLQAIQEAEKMEKPSLAELFSDVYDKVPSNLKEQERSLRDIVMRHPKDYPADVPV >itb01g29240.t1 pep chromosome:ASM357664v1:1:33531947:33536617:1 gene:itb01g29240 transcript:itb01g29240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFKALIQNHKRQLSIGLHASPAASTLFSLHRFKSTGAVAERSPVFNENDDVSFPTLDFPGGRVPFTAQMKFISAATDRRIPCYRVLDDDGYVLPGSSVFEQVRQEMAMKMYSAMVTVQTVDTIFYEAQRQGRLSFYLTSFGEEAVSIGSAAALSPDDVLMLQYRELGVLLWRDFSLQEMANQCFGNKGDYGKGRQMPIHYGSNKHNILTISSPLATQIPQAAGVAYSLKMDEKDACVVTYFGDGTTSEGDFHAGLNFAAVMEAPVVFICRNNGWAISTPVSEQFRSDGIVTKGQGYGITSIRVDGNDALAVYNAIRVAREMAIKQQKPILVEALTYRVSHHSTSDDSTKYRPTEEIEHWKTARSPVGRFRKWIQRHGWWSDEDEHQLRGNIRKQVLQAIQEAEKMEKPSLAELFSDVYDKVPSNLKEQERSLRDIVMRHPKDYPADVPV >itb13g24560.t1 pep chromosome:ASM357664v1:13:30211970:30215242:-1 gene:itb13g24560 transcript:itb13g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSGNYRNPCLTMHQPWASLLVHGIKRIEGRSWPAPIRGRLWIHAASKVPEPETIRAMEEFYREIYAVNGITDLKFPEHYPVSRLLGCVEVVGCVRCEELVCWDEIPEGVRLEGQTDFCWLCEKPQKLIVPFEMRGYQGVYNLERKVYEAAVRGLTPVTSPLPVKFPLPDSRNPFSLKPGSLASSANNPSSSETNRSESLATAIAGARAAATQFSKSNTFQPVNEGYPISSRTRNASKEPQSQSKFAASHSRGRFDN >itb11g12610.t2 pep chromosome:ASM357664v1:11:9557493:9559381:-1 gene:itb11g12610 transcript:itb11g12610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGNLNLKNWGFFDPTATALKSHLGLQLMPTIAEKPLFGGGGGGRDRHHHYQPHHPHLSAVMASTSPGLYQHHRVGGISESAMPVEYMRDAWFNHSREKCLNVLSGNQHHHQPGYGVLPETSSAQSIHTLQHTNLLKTETPSSQMEVVCEEKVGSALVKKREGDKSQVQKSPKAKKAKRGPRMPIDECTSTPSISRARAPKRSAEVVINGMSMDISGIPVPVCSCTGNPQQCYRWGSGGWQSACCTTNLSSYPLPMSTKRRGARIAGRKMSLGAFKKVLEKLASEGYNFSNPIDLKPYWAKHGTNKFVTIR >itb11g12610.t3 pep chromosome:ASM357664v1:11:9557493:9559381:-1 gene:itb11g12610 transcript:itb11g12610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGNLNLKNWGFFDPTATALKSHLGLQLMPTIAEKPLFGGGGGGRDRHHHYQPHHPHLSAVMASTSPGLYQHHRVGGISESAMPVEYMRDAWFNHSREKCLNVLSGNQHHHQPGYGVLPETSSAQSIHTLQHTNLLKTETPSSQMEVVCEEKVGSALVKKREGDKSQVQKSPKAKKAKRGPRMPIDECTSTPSISRARAPKRSAEVVINGMSMDISGIPVPVCSCTGNPQQCYRWGSGGWQSACCTTNLSSYPLPMSTKRRGARIAGRKMSLGAFKKVLEKLASEGYNFSNPIDLKPYWAKHGTNKFVTIR >itb11g12610.t1 pep chromosome:ASM357664v1:11:9557493:9559381:-1 gene:itb11g12610 transcript:itb11g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGNLNLKNWGFFDPTATALKSHLGLQLMPTIAEKPLFGGGGGGRDRHHHYQPHHPHLSAVMASTSPGLYQHHRVGGISESAMPVEYMRDAWFNHSREKCLNVLSGNQHHHQPGYGVLPETSSAQSIHTLQHTNLLKTETPSSQMEVVCEEKVGSALVKKREGDKSQVQKSPKAKKAKRGPRMPIDECTSTPSISRARAPKRSAEVVINGMSMDISGIPVPVCSCTGNPQQCYRWGSGGWQSACCTTNLSSYPLPMSTKRRGARIAGRKMSLGAFKKVLEKLASEGYNFSNPIDLKPYWAKHGTNKFVTIR >itb02g04380.t1 pep chromosome:ASM357664v1:2:2602129:2605353:-1 gene:itb02g04380 transcript:itb02g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAVKKAWNSDNKKKDKEAQSRGAETEKKESFDNGPQNGETALLNPLPEMETTARADGDEQSRHAYSVAFASAIAAEAAVAAAKAAAEVIRLTSVARSSRKSMEEMAAIRIQSAFRGYLARRAHQGLRKLVRLKSMISERSVKQQAMTTLKCMQTIAKLQAEVRARRNRLSEENRTLQRQLQQKPEKDLEKSKTSSPGGKFDVSTHTKEQIKAKIETRQEAAKRRERALSYANTHQMTRRSSLKSTNQTMDPNDPQWGWSWLERWMAARPWENRIAVMKELSSNDTAPVKTTTPKVNARSSNKDLRNDLFPVKTTPKVNDSKSSATPTKQRCPPATRQLPVEVTPKGSHGNDDPRSKSSVNLSSKGSHENDYPRSKSSVNLSSKGSHENDDPRSKISVNPSSMGSLENHDPRNKTIVNLSSKEIHENDDPRSKTSANLSSKGIQVNDDPRSNPSENSEHSRRHSSAEAMERECDHISRPQVNPNPTFMAPMEIRKARSRKGAPLLVLEKRYGTMEKGGPGKKKLSSHGATRRHSAPPALI >itb05g27350.t1 pep chromosome:ASM357664v1:5:30765874:30770145:1 gene:itb05g27350 transcript:itb05g27350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNRDDALSFMINPLTSSSSPVAVSDPDSYLLDSSQIGSASGSFQNEGFLGGLDAAGGGDAEFGFSRPDFRQSPLVGTVEFYERHVFLCYKNPQVWPPHIEATEFDRLPRLLAAALAARKTDIKRQTRLTICEGRDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDSEWLPGTPEALRGWYIFVCCHGTRDRRCGYCGPPIVNRFKEEIELRGLKGKVSVSPCSHIGGHKYAGNCILFGPRCSKEVTGHWYGYVSPDDVPVLLEQHIGKGKIVDYLWRGQMGLSEDDQEKSQRLRLQVNGSSYVERGTQCCQSNGSFACCQKTPLSEQRINSDHDDEAANFMFESKNSLRKQNSRNKGRNGSHTRKTCSVPTWSETWEREDTYAVLAVIGAVASVAFAYNCYKQLK >itb13g24580.t1 pep chromosome:ASM357664v1:13:30224534:30227839:1 gene:itb13g24580 transcript:itb13g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLQVLNALDVAKTQLYHFTAIIIAGMGFFTDAYDLFSISLVTRLLGRIYYTKPGAPKPGTLPPAVASSVTAVALVGTLAGQLFFGWLGDKMGRKRVYGVTLVLMVVCSLASGFSFGNTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILVSGIVALIVSVSFDHAFHAPPYEVNAAASTVPQADYVWRIVLMFGAFPAALTYYWRMKMPETARYTALVAKNAKQAAQDMAGVLMVELEAEAEKVEKIVESSSNSYGLFSMEFARRHGLHLVGTTTTWFLLDIAFYSQNLFQKDVFSSIGWIPAAKEMNAIHEVFRVAKAQTLIALFSTVPGYWFTVALIDVMGRFWIQLIGFFFMTAFMFGLAFPYYYWRDHSKIGFVVMYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYAAQPKDKAKTDAGYPPGIGIKNSLIVLGCINALGLLCTFLVPEPKGKSLEELSGENREDAPAPGGGGSAHRTAPEV >itb13g24580.t2 pep chromosome:ASM357664v1:13:30225287:30227839:1 gene:itb13g24580 transcript:itb13g24580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLQVLNALDVAKTQLYHFTAIIIAGMGFFTDAYDLFSISLVTRLLGRIYYTKPGAPKPGTLPPAVASSVTAVALVGTLAGQLFFGWLGDKMGRKRVYGVTLVLMVVCSLASGFSFGNTPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILVSGIVALIVSVSFDHAFHAPPYEVNAAASTVPQADYVWRIVLMFGAFPAALTYYWRMKMPETARYTALVAKNAKQAAQDMAGVLMVELEAEAEKVEKIVESSSNSYGLFSMEFARRHGLHLVGTTTTWFLLDIAFYSQNLFQKDVFSSIGWIPAAKEMNAIHEVFRVAKAQTLIALFSTVPGYWFTVALIDVMGRFWIQLIGFFFMTAFMFGLAFPYYYWRDHSKIGFVVMYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYAAQPKDKAKTDAGYPPGIGIKNSLIVLGCINALGLLCTFLVPEPKGKSLEELSGENREDAPAPGGGGSAHRTAPEV >itb03g01820.t1 pep chromosome:ASM357664v1:3:1035484:1038524:-1 gene:itb03g01820 transcript:itb03g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCEPVVPVLDEEESLIAALQLIAKALETKTDLTDDARKKLADLGTQLASITRPSENSDEGVHSEEEQISEFEEQLNGLQTKIMSWEGQESMLWDCGAEEAYEYLRCVDEARKLVEKLEGLCVEKDSKENELLRRVHDVVQTAMSRLEEEFMHLLVHNRMPFEPEHMSFRSSEEDIVDESSIVSFGDDSVDDVVNRDSMSRSSEDYIIELVHPDVIPDLKCIANLMFDSNYGRECSQSYINVRKDALDDCLFILEVEKLSIEDVQKMDWNTLNSKIRRWVRAFKIFVRIYLASEKWLGDQIFSELGSVSSVCFAESSKTSMLQLLNFGEAVAIGPNQPEKLIRILDMYEVLTDLMPEIDALYFDEAGSCVRMECQDVIRSLGNCAKATFLDFENAVASNVSANAFPGGGIHHLTRYVMNYMKTLMDYSRTLNELLKDEEKEDSVTISPEMSPSSEDDSASRKNSCSSSMAQHFRSFTSVLECNLEAKSKLYKDEALRHLFLMNNLHYIAEKVKNSELRTVLGDDWIRKCNWKFQQHARSYERGTWSSILSLLRDEGLQNTGSNSISRTLLKDRLQSFYLAFEEVYKNQTGWTVPDPQLRDDLVIKTSLNVIQGYRTFVGRHTINISDRQIKYTADDLESFLLDLFEGSPKSLHGSHRR >itb03g01820.t2 pep chromosome:ASM357664v1:3:1035484:1038524:-1 gene:itb03g01820 transcript:itb03g01820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCEPVVPVLDEEESLIAALQLIAKALETKTDLTDDARKKLADLGTQLASITRPSENSDEGVHSEEEQISEFEEQLNGLQTKIMSWEGQESMLWDCGAEEAYEYLRCVDEARKLVEKLEGLCVEKDSKENELLRRVHDVVQTAMSRLEEEFMHLLVHNRMPFEPEHMSFRSSEEDIVDESSIVSFGDDSVDDVVNRDSMSRSSEDYIIELVHPDVIPDLKCIANLMFDSNYGRECSQSYINVRKDALDDCLFILEVEKLSIEDVQKMDWNTLNSKIRRWVRAFKIFVRIYLASEKWLGDQIFSELGSVSSVCFAESSKTSMLQLLNFGEAVAIGPNQPEKLIRILDMYEVLTDLMPEIDALYFDEAGSCVRMECQDVIRSLGNCAKATFLDFENAVASNVSANAFPGGGIHHLTRYVMNYMKTLMDYSRTLNELLKDEEKEDSVTISPEMSPSSEDDSASRKNSCSSSMAQHFRSFTSVLECNLEAKSKLYKDEALRHLFLMNNLHYIAEKVKNSELRTVLGDDWIRKCNWKFQQHARSYERGTWSSILSLLRDEGLQNTGSNSISRTLLKDRLQSFYLAFEEVYKNQTGWTVPDPQLRDDLVIKTSLNVIQGYRTFVGRHTINISDRQIKYTADDLESFLLDLFEGSPKSLHGSHRR >itb14g01310.t2 pep chromosome:ASM357664v1:14:1001207:1007716:1 gene:itb14g01310 transcript:itb14g01310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MGRDNPAEQAVVLLRTRICNPNFIFTLFSDSPDSNYSKLKYIVSSSVTEACNNSVLLLGPRGCGKNAVLELVLEALLKEFPDMISVIKLNGLLHSDDNCALKEITRQLCSEHQLVFSKMASFDDNSQFLIAMLRECGLAHKTVIFVLDEFDLFTQGKQRLLYSLLDAMQSVTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLIFLPPSREDLERILKHILLLPKDLGLPTKYVDAFNTKLLSILSDDKFKDIVESLCTYDSTFNHLLRFLFSAVCQMDMKSGFLSLDNFRTALSNTQRQPKLECLTGCSILELYILVCLRRLEANEQETCNFNSIMKEYKNVHDSFKTSDYYARDVCLRRELISFVENRGHNQSIEFRPVQLLISLHELQQGLKAWGCCPVILHKLI >itb14g01310.t1 pep chromosome:ASM357664v1:14:1001207:1007718:1 gene:itb14g01310 transcript:itb14g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MGRDNPAEQAVVLLRTRICNPNFIFTLFSDSPDSNYSKLKYIVSSSVTEACNNSVLLLGPRGCGKNAVLELVLEALLKEFPDMISVIKLNGLLHSDDNCALKEITRQLCSEHQLVFSKMASFDDNSQFLIAMLRECGLAHKTVIFVLDEFDLFTQGKQRLLYSLLDAMQSVTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLIFLPPSREDLERILKHILLLPKDLGLPTKYVDAFNTKLLSILSDDKFKDIVESLCTYDSTFNHLLRFLFSAVCQMDMKSGFLSLDNFRTALSNTQRQPKLECLTGCSILELYILVCLRRLEANEQETCNFNSIMKEYKNVHDSFKTSDYYARDVCLRAFEHLLQRELISFVENRGHNQSIEFRPVQLLISLHELQQGLKAWGCCPVILHKLI >itb14g01310.t3 pep chromosome:ASM357664v1:14:1002823:1007718:1 gene:itb14g01310 transcript:itb14g01310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MASFDDNSQFLIAMLRECGLAHKTVIFVLDEFDLFTQGKQRLLYSLLDAMQSVTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLIFLPPSREDLERILKHILLLPKDLGLPTKYVDAFNTKLLSILSDDKFKDIVESLCTYDSTFNHLLRFLFSAVCQMDMKSGFLSLDNFRTALSNTQRQPKLECLTGCSILELYILVCLRRLEANEQETCNFNSIMKEYKNVHDSFKTSDYYARDVCLRAFEHLLQRELISFVENRGHNQSIEFRPVQLLISLHELQQGLKAWGCCPVILHKLI >itb12g03480.t1 pep chromosome:ASM357664v1:12:2268694:2272462:-1 gene:itb12g03480 transcript:itb12g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVEAQKPLLHGLMKMAGIRPHAFEIEPGTVMNFWVPKETIKKPKKHKKATTTPAGGAGGVTNNNKYETVKHKANKPAVVLIHGFAGEGVVTWQFQVGALTKKFSVYVPDLLFFGGSITDSKDRSPTFQARCVAEGLRRLGVERCTVVGFSYGGMVAFKMAEMFPEMVEAMVISGSILAMTDSISAATLNSLGFSNSSELLLPTSVKGLKALLKVAAYKKYWFPNRLHQDFLQVMFSNRKERGELLEGLIVSNKDDTTTDFPQRIHLLWGENDQIFKVDLAKAMKEQLGSKTTFEGIRKAGHLVHLERPCVYNRCLKKFLVSLQVDKARK >itb04g22520.t1 pep chromosome:ASM357664v1:4:27724889:27727709:-1 gene:itb04g22520 transcript:itb04g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILIGACIILASFLILSATGLIQISKGKKRSRNLPPGSYGWPVIGESLEFLRRNREGKPEKFVTDRMSKYKSEVFKTCLLGEPVVVLSGPAGNKFLYSNGNKLVTTWWPTSVQKLLGTCLTTSVGDEAKQMKKLMSYFVSPDALEKLYIKKMDFVTQQHIKNHWQGKEELKVLPAVKLYTFELACRFFISVEDPEQIKKLCSLFNIFLKGIISLPLNIPGARFHRAMRATALIREELLRIVRHRREALMNNNNDDLLSHLLVTPDENGSFMSELHIVNNIIMLLFAGHDTSSVTITLLIKTLGDLPHVYEKVLQEHREIAATKKDGEFLHWDDIQKMKYSWNVVCEVMRQYSPVIGAFREALVDINYKGYDIPKGWKFYWNAPITHMNASFFPSPREFDPSRFEGEGAVPYTFVPFGGGPRMCLGKEFARLEILTFLHNVVKRFRWSLVVPDERLEYDPMPIPVEGLPVRLHPHKL >itb07g22200.t1 pep chromosome:ASM357664v1:7:26690514:26691704:1 gene:itb07g22200 transcript:itb07g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTTTDIIICDRSQYYYDICSINGPAVFDPAQSTFFVKGPKCNNGPNLTVEKVRPYARKWENFTMSNIKEVTLTKGPLGPTCDVHHVIPALVFSAGGYTGNFFHDFNDGFIPLFITVNSVFPNQDFVLVISQLQDWWVVKYKGLLRNFSKYAIVDFDKEIITHCFPRVTVGLMSHGFMTIDPKLIPTSKTILDFHTFLATTYGNTGTPPDDTITKPRLVLTSRSGSLGRVILNQYEVKILAEKIGFEVIMFEPHTNTSLAKSFGLINSSHAMLGVHGAALTHAMFLRPGSVFIQIVPIGADGVSELCFGKLAKNLELEYEEYKIEMHESSLADKYGKEDSEVLNDPLALQARGWSKEIMDIYLREQNVRLDLKRLRTYLQRAYHKAKVFMDKNG >itb08g04640.t1 pep chromosome:ASM357664v1:8:3816186:3816596:-1 gene:itb08g04640 transcript:itb08g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKRQPRQKNPYKGIFLRKWGRWVIEIRFANTRLWLGSYHTPMAAARAYDVALFYLRGPGAKLNFPEFAVGDGQHRELTPKEIQNRAMAVGCRIDAIQRGLHISPTQMSVSVTDHHREPDVYVTPDLNKYPHLD >itb05g09450.t1 pep chromosome:ASM357664v1:5:13785601:13785888:-1 gene:itb05g09450 transcript:itb05g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSVFLQYLTSTNSREPGLTLPTAPLNGAKLAHSTTTAVPKKQADLYSSQILRASDRIQSHLKSSTLPLYQNRIQFFDTNPFQLRSTQDQTKI >itb10g23940.t1 pep chromosome:ASM357664v1:10:28027922:28029806:1 gene:itb10g23940 transcript:itb10g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTNPKLTLLPLIALIFYEVSGGPFGVEDSIRAGGGPLLSLLGFLIFPLLWSIPEALITAELATTFPENGGYVVWISSAFGPFWGFQEGLWKWFSGVVDNALYPVLFLDYLKHSIPVFEHFSARVPAMLGITVSLTYLNYRGLHIVGIAAVLLASFSLLPFLVMGILSIPRIRPRRWLAVDFGKVDWRVYFNSMFWNLNYWDKASTLAGEIDDPSRTFPKALLGAVAVVVSSYLIPLLAGTGALKSDPSQWSDGYFAEVGMLIGGSWLKWWIQAAAAMSNMGLFEAEMSSDAFQLLGMSEMGMLPSIFASRSKHGTPTFSILCSATGVIFLSWMTFQEILELLNFLYSIGMLLELAAFITLRIKKPGLRRPYKVPLQTFGATMLCLPPMLLLILVMCLASPKTFFVSGIVIVVGFLLYPAVVQSKERFYFHFNTQDSSVISSSTGFEGQEIMPVNSQEVSDEASLYLLSDPSPLKDQAEVEIISGVSELQTLHHTSSKSQDN >itb09g30020.t1 pep chromosome:ASM357664v1:9:30714035:30714834:-1 gene:itb09g30020 transcript:itb09g30020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLVKFKCEHIKSEISVMNGVVQMEGYPKPEYLKDDDIEGKLKCVIQELDDVISFKLKNSGEEVGEDIINPHKWVRRHESSSSSYDSKLGLVQKEVTEVSKWLSGIAKRMEKIRMLVSQFSPSTSGFWIEKRLSNTIL >itb03g01580.t1 pep chromosome:ASM357664v1:3:878591:883012:1 gene:itb03g01580 transcript:itb03g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSCPTVFPSFECRSDPDFSGRVPRHECPGAAGLRPNSLSQGSRGYGLSSLIFRFPPNFVRQLSIKARRNCSNIGVAQIVAASWANNNAAASGTPPAAKALDAAAAAAISPPAVAEEEVAMGENGSCSGPNVQIQDLSHSNYASFLSSDGSVAVHAGERLGRGIVTDAITTPVVNTSAYFFNKTSELIDFKEKRRVSFEYGRYGNPTTIVAEEKISALEGAESTLLLASGMCASTVMLLALVPAGGHIVTTTDCYRKTRIFIETVLPKMGITATVIDPADMDGLASALNKNKVSLYFTESPTNPLFRCVDIELVSKLCHEKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGPEKLVSVVRNLHHVLGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEAHPKVRRVYYPGLPSHPEHHIAKKQMTGFGGVVSFEIDGDLMTTAKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLTQAERASYGIMDNLVRFSFGVEDFEDVKADVLQALETI >itb10g24020.t1 pep chromosome:ASM357664v1:10:28053067:28054413:1 gene:itb10g24020 transcript:itb10g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLKCAAVVAFVCLIVAGVGGQAPPAPPTSTPAPPTPTTQAPPTPATPNPPAPPTPTATPAPPTPTATPAPPTPTSSPPPNTTPPTPPAQAPPASSPPPVVTSPPASPPPTPPASPPPVTTPPASPPPSSPPPAPASPPPTPPSPPPPTPSPPPPAAPTPSPLASPAPAPDLKKPKKKSPAPSPSTFSPPLPPSGAPAPSLGSLSPAPSATDQSGAERIRTVKKMAASMVAFGAINLLLL >itb04g11850.t1 pep chromosome:ASM357664v1:4:11486753:11487378:-1 gene:itb04g11850 transcript:itb04g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCQTVTGRITAKVDVYAFGVVLMEIITGKKALDETVPNETCHLVTWFHKVISKGHNFRKAIDPSLDQDEQTFESISKVALLAAHCTAKTSYRRPNMEHVINVLGPLVQKWKPLKLEEIGERNGGHNLHLSLPLEFDDLSTQNLSSTDDEFYGHRLNQSARF >itb09g13380.t1 pep chromosome:ASM357664v1:9:8708237:8712896:-1 gene:itb09g13380 transcript:itb09g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MVAMEVDSKSNGILQRKQSLYKSLDESFQIHKLMYRGQQYSQIYFARLHLMRTLLYSLLPNWKPHLPVCTVLGLKEGKECIIVGTLYKHMKLKPSVLDEYSKGRSATPLAESHNFVHSDDYLVLEDESGRVKLSGSLLLPSTYVTGLVVALHGKETTAGDFLVEDVLEAGLAQQIEQPLQSDEDKYVVFISGLSVGSSTSNPLQFQLLIDHITGHLGDEKDQNIASQIVQVVIAGNSVEVPSGLLNGQNLGSKDQSKLSEPIKELDILLTQIAAGIPLDIMPGPGDPANFAMPQQALHRCLFPGSSTYNTFRSCTNPHCFELDNLRFLGTSGQNIDDLGKYSEAKDKLEFMERTLRWRHLAPTAPNTLGCYPFTDRDPFFIESCPHVYFVGNQDKYETRLIKGPEGQVVRLICIPKFAETGVAVVLNTRNLECYTLSIGAQINL >itb03g06030.t1 pep chromosome:ASM357664v1:3:4272368:4278333:1 gene:itb03g06030 transcript:itb03g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGWKAADANTGTTVPQSSSCWPFAPGALTSCSVGSTAFWAMSRIAGYIRLSAAESEDTNNASGEILPKPNLKVYSLADLKVATGNFKSDVVLGIGGFGTVFKGWVDENTLAPSKLGTGMVVAIKKLNPQSTQGFEEWQSEVSFLGMLSHPNLVKLIGYCWEDNELLLVYEYMQKGSLENHLFRRVGATEALSWDLRLNIAIGAARGLAFLHTSETKVIYRDFKASNILLDENYNAKISDFGLAKLGPPGENSHVTTRVLGTHGYAAPEYVETGHLYVKSDVYCFGVVLLEIMTGLRALDPKRPSGQQRLVDWVKPMLSQEMKVKSIMDVRMEGQYSSEAALKAAQLTLRCLRSLPQMRPSMEEVVESLKEIEAIKGKPRAKKHGKSAKKWKKYVRIN >itb03g06030.t2 pep chromosome:ASM357664v1:3:4272368:4277964:1 gene:itb03g06030 transcript:itb03g06030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGWKAADANTGTTVPQSSSCWPFAPGALTSCSVGSTAFWAMSRIAGYIRLSAAESEDTNNASGEILPKPNLKVYSLADLKVATGNFKSDVVLGIGGFGTVFKGWVDENTLAPSKLGTGMVVAIKKLNPQSTQGFEEWQSEVSFLGMLSHPNLVKLIGYCWEDNELLLVYEYMQKGSLENHLFRRVGATEALSWDLRLNIAIGAARGLAFLHTSETKVIYRDFKASNILLDEVIYM >itb03g06030.t3 pep chromosome:ASM357664v1:3:4272368:4276908:1 gene:itb03g06030 transcript:itb03g06030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGWKAADANTGTTVPQSSSCWPFAPGALTSCSVGSTAFWAMSRIAGYIRLSAAESEDTNNASGEILPKPNLKVYSLADLKVATGNFKSDVVLGIGGFGTVFKGWVDENTLAPSKLGTGMVVAIKKLNPQSTQGFEEWQSEVSFLGMLSHPNLVKLIGYCWEDNELLLVYEYMQKGSLENHLFRRVGATEALSWDLRLNIAIGAARGLAFLHTSETKVIYRDFKASNILLDENYNAKISDFGLAKLGPPGENSHVTTRVLGTHGYAAPEYVETGEYTH >itb06g12580.t1 pep chromosome:ASM357664v1:6:17231093:17234294:-1 gene:itb06g12580 transcript:itb06g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKALHTTFGVFGNITGLFLFLAPVITFKRVIKKRSTEEFSGIPYVMTLLNCLLSTWYGLPLVSPNNTLVSIINGTGAGLEAIYVLIFLIFAPKREKAKISGLLALVLSIFSAVALVSLLALHAHARKIFCGFAAAIFSIIMYGSPLSIMMMVIRTKSVEFMPFFLSLFVFLCGTSWFVYGLLGKDPFIAVPNGVGSMLGMVQLMLYAIYRGNKGEGKKANNSVEMGNGNKVNGQDGTA >itb03g07540.t1 pep chromosome:ASM357664v1:3:5571904:5574013:1 gene:itb03g07540 transcript:itb03g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEPAPHSSTLSPPDSYAAVVLGGTFDRLHNGHRLFLEEAAKLARERIVIGVCDGPMLTKKQYADLIEPIEQRMANVKDYIKSIKPQLVVHAEPIVDPYGPSIVDENLEAILVSKETLPGGISVNKKRAEKGLSQLKIEVVDLVSEESSGEKLSSSALRKLEAEKLRNAHPEVQI >itb06g24810.t1 pep chromosome:ASM357664v1:6:26213366:26214253:-1 gene:itb06g24810 transcript:itb06g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSHECCRHVKDVSLKDLRDRLAEFAKVRGWEQYHSPRNLLLALVGEVGELSEIFQWKGEVARGLPNWSPDDKEHLEEELSDVLLYLVQLADVCELDLGKAALTKIVKNAKKYPVLN >itb03g15010.t1 pep chromosome:ASM357664v1:3:14494372:14497261:1 gene:itb03g15010 transcript:itb03g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERCSLGGSCRCPLECVSQEGDGMKKWRKIEGVHGGFQLRRLKRCSLYYAQFLFLFLLQICGGYLVSSQGWDGVIITQADFQALQAFKQGLVDPKGFLKSWNDSGVGACSGDWVGIKCAQGQVIVIQLPWKGLGGKITDKIGQFQALRKLSLHDNVIGGSIPTTMGLLPNLRGVQLFNNRFTGSIPASLGLCRMLQTLDLSNNSFSGSIPDSLVNSTKLFRLNVSYNSLSGSIPQTLTQSPSIIFLDLKNNNLSGPIPDSWGGKSLFQLKFLSLDHNSLSGIIPASIGKLNELQELSISHNHLSGFIPNDIAKLSRLTRLDLSYNSINGSFLESLSNLSSLVVLKMESNQLGDQIPDSIDRLQKLSVLSLQNNKFGGDIPATIGNISTLTQLDFSQNNFSGEIPESLDDLPNLNLFNVSYNSLSGRVPTRLSQTFNSSAFAGNLQLCGYSPSTPCPITPAPATPEAPSPETPQKHGHKLGTKDIILIAAGALLVILLLLCCILICCLVKKRAASKAAEKDGPAGAAASARGEKGVPPTAGEVEAAGETGGKLVHFDGPMVFAADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKGQREFESEVNILGKIRHPNLLALRAYYLGPKGEKLLVFDYMAKGSLTTYLHARGPDTTVDWPTRMRIAKGIARGLLYLHTNANIIHGNLTSSNVLLDETTTAKIADYGLSRLMTAAANANVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASTIGDELLNTLKLALHCVDPSPSARPEVQLVLQQLEEIRPETATSSGDDGATAAAAAPTSD >itb03g15010.t2 pep chromosome:ASM357664v1:3:14494078:14497263:1 gene:itb03g15010 transcript:itb03g15010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERCSLGGSCRCPLECVSQEGDGMKKWRKIEGVHGGFQLRRLKRCSLYYAQFLFLFLLQICGGYLVSSQGWDGVIITQADFQALQAFKQGLVDPKGFLKSWNDSGVGACSGDWVGIKCAQGQVIVIQLPWKGLGGKITDKIGQFQALRKLSLHDNVIGGSIPTTMGLLPNLRGVQLFNNRFTGSIPASLGLCRMLQTLDLSNNSFSGSIPDSLVNSTKLFRLNVSYNSLSGSIPQTLTQSPSIIFLDLKNNNLSGPIPDSWGGKSLFQLKFLSLDHNSLSGIIPASIGKLNELQELSISHNHLSGFIPNDIAKLSRLTRLDLSYNSINGSFLESLSNLSSLVVLKMESNQLGDQIPDSIDRLQKLSVLSLQNNKFGGDIPATIGNISTLTQLDFSQNNFSGEIPESLDDLPNLNLFNVSYNSLSGRVPTRLSQTFNSSAFAGNLQLCGYSPSTPCPITPAPATPEAPSPETPQKHGHKLGTKDIILIAAGALLVILLLLCCILICCLVKKRAASKAAEKDGPAGAAASARGEKGVPPTAGEVEAAGETGGKLVHFDGPMVFAADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKGQREFESEVNILGKIRHPNLLALRAYYLGPKGEKLLVFDYMAKGSLTTYLHAAARGPDTTVDWPTRMRIAKGIARGLLYLHTNANIIHGNLTSSNVLLDETTTAKIADYGLSRLMTAAANANVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASTIGDELLNTLKLALHCVDPSPSARPEVQLVLQQLEEIRPETATSSGDDGATAAAAAPTSD >itb05g14790.t1 pep chromosome:ASM357664v1:5:22087668:22088459:-1 gene:itb05g14790 transcript:itb05g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITTDESSLLALKSSISMNSHPIIMTNWSNASSVCEWKGVTCGTHNQRVIALDISQMGLFGTLPPQIGNLSFLVSFNASGNNFTGSLPDDLTHLYRLRVFDVSINNFIGEVPSQIGFLSNLRILILDDNLFTGSIPVSFLNLSKLETMHLSFNKFNGSITSTVFNVSTLKSLRILNNHFSGTIPLDLCLRLINLIRLDATSNVLSGKVPKSLSMCSKVRYLALNYNHFVGTIPPELGNLTSLEILRLGGNNLGGTPLSLILS >itb06g21240.t2 pep chromosome:ASM357664v1:6:23899405:23901468:-1 gene:itb06g21240 transcript:itb06g21240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRDVKNQAGQPARRGGNRAAIFVYAMAAIDTMAFISNGVSLVTYFTGYMNFSITKSATTVTNFMGTAFLVTLFGAFLSDTYLSRFKTCVLFGCFEVLGYAVLAVQAHFHQLRPLPCKGMPPSQMDQCQPAKGGEIAVLFGGLYLVAFGTSGVKAACPSLGADQFDENDPKEAGKLSSYFNWFLFFLTIGGMLGVTFLVWISDNQGWDWSFTVCSIAVGMAILFLTMGKSLYRNNNVPKGSPILRILQVFVAAFRNRNLPLPENEADLHQIPDNEPVEILKRTDQLKFLDRAAILNQEFQGSWNLCTVTQIEETKIVVRMLPIIASTVFMNTCLAQLQTFTIQQSTIMDRNLGTFQVPGASIPVIPLLFMFVLIPIYERVFIPVARSFTGIPSGIRQLQRIGVGLVLSAVSMAAAGAVETHRKNAAAKHGEMVSVFWLGIQYGIFGMADMFTLVGLFDFFYTESSAGMKSLSTAISWCSLAFGYFMSSVVVEVVNKVSGGWLADNDLNRDKLNYFYWLLAGLSVLNFGFYLVCASWYKYKEVEEREEHEHPKGKIEMGLV >itb06g21240.t1 pep chromosome:ASM357664v1:6:23900231:23901468:-1 gene:itb06g21240 transcript:itb06g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRDVKNQAGQPARRGGNRAAIFVYAMAAIDTMAFISNGVSLVTYFTGYMNFSITKSATTVTNFMGTAFLVTLFGAFLSDTYLSRFKTCVLFGCFEVLGYAVLAVQAHFHQLRPLPCKGMPPSQMDQCQPAKGGEIAVLFGGLYLVAFGTSGVKAACPSLGADQFDENDPKEAGKLSSYFNWFLFFLTIGGMLGVTFLVWISDNQGWDWSFTVCSIAVGMAILFLTMGKSLYRNNNVPKGSPILRILQVFVAAFRNRNLPLPENEADLHQIPDNEPVEILKRTDQLKYVFAVLHFHTKQQNTLVIHDIWHGVGSDTKLTIHS >itb03g20230.t2 pep chromosome:ASM357664v1:3:18143558:18146192:-1 gene:itb03g20230 transcript:itb03g20230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTDPQIPNEQKVLRTRKQPPFMMLLPLVYAPVLPLIRISLRHNPVVRDRLFYGVLAGAFAHGLYLMYPSFLILHI >itb03g20230.t1 pep chromosome:ASM357664v1:3:18141725:18146192:-1 gene:itb03g20230 transcript:itb03g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTDPQIPNEQKVLRTRKQPPFMMLLPLVYAPVLPLIRISLRHNPVVRDRLFYGVLAGAFAHGLYLISELYDVESK >itb04g15500.t1 pep chromosome:ASM357664v1:4:16694966:16697610:-1 gene:itb04g15500 transcript:itb04g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRILNTNVDGKQKIMFALTSIKGIGRRFANICCKKADIDMNKRAGELSAAEIDNLMTVVANPRQFKVPDWFLNRQKDYKDGGYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >itb03g00370.t1 pep chromosome:ASM357664v1:3:182186:183119:-1 gene:itb03g00370 transcript:itb03g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGLVRTYPIIPSPWNPNPHHTNAFNSPPPTAGLFTKVSAKPTNHSKFTGKCSRVRCSDCHAHPAAKAKDKAKGTLKRRTTVDTRLITWRVVNAKPGLLNFSGFSATGILDHLAGDDHEYDDDYICKEKETVGHDDGEGMSFCDAGFVREQVEDGEGDEEDGWCLVVAEM >itb04g12240.t1 pep chromosome:ASM357664v1:4:11993579:11995873:1 gene:itb04g12240 transcript:itb04g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAEQGEGVKVVPRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPDADMITLIHHAVHSGVTFLDTSDIYGPHTNEILLAKALKGGVKERVELATKFGITFVDGKIEVRGEPAHVRASCEASLKRLHLDCIHLYYQHCVDTRLPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPISAVQLEWSLWSRDVEEHVIPTCRELGIGIVAYSPLGRGFLSSGPKLVENFSNDDYRKYLPRFQAENLEHNKKLYEKIVEIAEKKGCSPSQLALAWVHHQGNDVCPIPGTTKIENLNQNMGALSVKLTPKDMAELGEIGSGDSVKGDRYSPGTRTWKNSDTPPLSTWKDV >itb13g05770.t1 pep chromosome:ASM357664v1:13:6909823:6915917:1 gene:itb13g05770 transcript:itb13g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPPKLLRVLAFDTSISLQRVPVQLGGLVFLRYLSITQWFEDMDDVVSNNPNLETLVVSGNGAPTVHLPSSIWKAPNLRHLELGNSYMVDPPSADKKNLQTLSWVVRPIHCRQKMYSKFPNIKNLKIFLKDDIEPSHIGGCCSNPIILDRFDYLEGLEKLSISDSNGLNVALPEECAYPLGLKKLKLSGTNISERDLNVIAMLPKLMVLKLENAFHGTVWNSLSKGGFPVLIFLLLEAKELKQWVVGQEHFLMLRHLVLRSCNCLVQIPECFERIPLLESIGLEGCHSSLVASAKQLQQKRRFKDVKREFAPWFVLCPFNASSISAFPSRPCWTGDAISLPWAAGVSTKWRILTRLLLTISVTPTIRATLWFSGVQLSLYEVQKHRDWNTFGHYLKNQPPPVPLGCCNYNQCSGHRAHKVSSTEASVSLEEWQGWGSTSPVPAMVLEVIEDLKLLEKNIDAHMDFGGNHGKLVGDFKVYEDKKHREKF >itb13g05770.t2 pep chromosome:ASM357664v1:13:6909823:6910758:1 gene:itb13g05770 transcript:itb13g05770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPPKLLRVLAFDTSISLQRVPVQLGGLVFLRYLSITQWFEDMDDVVSNNPNLETLVVSGNGAPTVHLPSSIWKAPNLRHLELGNSYMVDPPSADKKNLQTLSWVVRPIHCRQKMYSKFPNIKNLKIFLKDDIEPSHIGGCCSNPIILDRFDYLEGLEKLSISDSNGLNVALPEECAYPLGLKKLKLSGTNISERDLNVIAMLPKLMVLKLENAFHGTVWNSLSKGGFPVLIFLLLEAKELKQWVVGQEHFLMLRHLVLRSCNCLVQIPECFERIPLLESIGLEGCHSSLVASAKQLQQKRRFKDVKVRS >itb12g27980.t1 pep chromosome:ASM357664v1:12:28117350:28118908:1 gene:itb12g27980 transcript:itb12g27980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGEEDMAAIPPLFRCPISLDLLRDPVTLCTGQTYDRSSIEKWLGAGNTTCPVTMQKLHDPSIVPNHTLRHLINDWLRHVAMFDDHDVLLKMMDLDDGISALKLNLESPSNSLEQKVEALRKVVVLSDDLPLHNSALIQLDFFRLVLDLIFRVPEEMISISFAEQALICALKLLPFSDLESINDILANQESSRLFVALFEQGSFVMKKSLCCLLEAIASSPETRELCAVLGKSGRLLRQLITLINITTDDDSHHQVSAAAIKAISALSSLEPNREAMVKQGLTDSLITCILANAQKCNKTSLAPMAMRGMELVLASESAKEAVVNHPSGVNAIVKMVFRVSDHQGSDEAVNSLMIICRDSLDGREAAICAGVLTQLLLLLQSQCCGRTKTRARMLLKLLRSMWADDPKHAV >itb12g27980.t2 pep chromosome:ASM357664v1:12:28117470:28118708:1 gene:itb12g27980 transcript:itb12g27980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGEEDMAAIPPLFRCPISLDLLRDPVTLCTGQTYDRSSIEKWLGAGNTTCPVTMQKLHDPSIVPNHTLRHLINDWLRHVAMFDDHDVLLKMMDLDDGISALKLNLESPSNSLEQKVEALRKVVVLSDDLPLHNSALIQLDFFRLVLDLIFRVPEEMISISFAEQALICALKLLPFSDLESINDILANQESSRLFVALFEQGSFVMKKSLCCLLEAIASSPETRELCAVLGKSGRLLRQLITLINITTDDDSHHQVSAAAIKAISALSSLEPNREAMVKQGLTDSLITCILANAQKCNKTSLAPMAMRGMELVLASESAKEAVVNHPSGVNAIVKMVFRVSDHQGSDEAVNSLMIICRDSLDGREAAICAGVLTQLLLLLQSQCCGRTKTRARMLLKLLRSMWADDPKHAV >itb03g09800.t1 pep chromosome:ASM357664v1:3:7613884:7615702:1 gene:itb03g09800 transcript:itb03g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADSIYIFACVILVFLALKRLSRKTYHNLPPTPFPKLPILGHLHLIKLPLQRSLHQISLKYGPIFTLQLGVRRVVVVSSPSAVEECFTKNDVVLADRPRSMSGKYLSYNYTTLISSPYGDHWRNLRRICALEIFSTTRLNSFKSVRQDEVKILLQKLVQTSPRGFGTVELKSKFSELSFNIILRMTSGKRYFGVDEDDKEAMEFRELIKEAFMSGGEVLDFLPFLRWISYRNVEKNMKKLSERLDSSLQGLVDEHRHDKSRNSMIDHLLSLQESQPEYYTDEIIKGLMMVMLLGGTDTSSVTMEWAMAILLNHPRVLDKARAEIDNLVGQERAIEEEDLPKLKYLQNIILETFRLFSSAPLLVPHCSSDDCKIGGYDIPGGTMLLVNAWAIHRDPKLWDDPTSFKPERFDGGDEVESTKLLTFGMGRRSCPGSGLAQRVVGLALGSLIQCFEWQRVGEEDVDLTEGNGFTAPKAEPLVAICKARDFAHKILSQNS >itb01g32540.t1 pep chromosome:ASM357664v1:1:36007577:36009046:1 gene:itb01g32540 transcript:itb01g32540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKETSSEETCSRSLGSTDTFSTAYLNENGGESRQGAGKKDLWSDLFKINKDTVGASAALKYFPPINGCAQLELNEILTIKEQWGFALLGCFVGRFPGIHAIQTLVKEWKVECKFFTRPNGHVLFRFQSEEDRSAILKDGPYFLFGKRLFLKSLPEKFRLENEDFSILPIWVRFPFLPIECWSPTALGKIASCIGIPICADEKTREQRMGRDEFARILIDVDTSKRVPDSVVVNMPNGNSFRQKVTFELNPCYCTKCKSNDHLMDECTGKKPWTKKRNKKGKAAKWVATKASSPNNSVYKGQDSLGAASEYHTNIQEPCPQTNDAPNPCTDLPCQSMHPEPCPEAMEAVEPCSNLPSNIDSIPEIEDQRPDNASSDEAEKQTEEDTQSVTGEGKERDGTSSLDGTESLDAEQGDQPDDRSKQPNAPDTSGMSTRSKAKAGPKTSFKNALLSPPKDKGTAGGSRAGNRFVSLPVGGKPLARGGGRRQPI >itb14g04320.t1 pep chromosome:ASM357664v1:14:3889733:3892084:-1 gene:itb14g04320 transcript:itb14g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGVFSRWRRAEGPAGLSLPSESHDVHVLAVDDSLVDRKVIEKLLKITSCKVTAVDSGSRALQFLGLDREESSVGFDGLKVDMIITDYCMPGMTGYELLKKIKGSSFREIPVVIMSSENVLARIDRCLEEGAEDFLLKPVKLSDLKRLKSHMFGEDDKNPREDSGINKRKLQEMSEDSSPPLPSPSPLLSPNPSTDLSSSSSSSSSPPSTSSSPSSPELLESPKTEE >itb12g06960.t1 pep chromosome:ASM357664v1:12:5182304:5190907:-1 gene:itb12g06960 transcript:itb12g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSAVVFPQDFTEALDQTPSSRFFSELKQLVSLTSTYAQLIHAKNLASAFSDLQSNTNSDEDSIALASRLYLEILFLENSLPLHRALISVLPKCKNFRTLIRNCFRELCQEYGGVNGNGKGKRFCVSRAALSMMSTPKLGYLVEIVEECAVSVCLDVLSGLNYVVSEISEWSRPSPLVMEQCQEALSCLYYLLQRFPAKLVDAGANQDLLVCKKSSLLETIMTTVMSILNSDAFSRDCFVAAGVSFCAALQVCLCPEELGSFIMEGIFNRTSISCSKFELKDVTGMIPWKEKLVSKIQKLSALSRLCLIRGILTAVSRTVLNISFVVSNDGYDGMGNPGNNDSSVKTILYDGILPELCNFCENPTDSHFNFHALTVMQICLQQIKTSMQGTDGYIEEGKGYDPISEEVGTRVLKIVWNNLEDPLSQTVKQVHLIFDLFLDIQVTLHWAEGSEKFYSFLRKIASDLLHLGPRCKGRYIPLASLTKRLGAKSILDMSPGLLFDTTKAYVDDDVCCAATTFLKCFLECLRDEYWSTDGVEGGYIKYRAHCLLPILSGLSSGFAKLRSNLNTYALPVLLELDVDSIFPMLGFIGIGCSSESTEIFYHELDSRDIVLGVEQRVAVLVSLLKVSRMLALIEGDIDWCEYSSVALEVTDLSTDAGVCDSVVHVKGIEVKIPVNYLVLALTHIDDSLRIDAAESLFINPKTASLPSSLELSLMRKAVPLNMRCCSTAFQMKWASLFRKFFSRVRTALERTVKQGTWHPSVIKCLSGSPLNGEADQSLKHRAEDLFNFMKWLSCFLFFSCYPSAPYERKIMAMELMLIMLNVWHMLPPQGTSDSYSSEISLYPYSKGLILPESTLLLVGSIIDSWDRLRESSFRILLHFPTPIPGISSPELVCEAIIWAKKLVCSPRVRESDAGALTFRLIFRKYVLELGWVVRASCNVVTQSPSGLSNGENLEFTPSSPVIEYISSLIDWLCAVVEEGEKDLSEACKNSFVHGVLLTLRYTFEEMDWDSIAAASNISSMKLLLEKILALVMRITSLALWVVSADAWYLPDEMEDMTADGACFLESPIEMDVSASTPDDEMKIAKAEQDNGPMDQIVMVGCWLAMKEVSLLLGTIIRKVPLPTSDVPKSCSPITDGTDRLSSDIVLDLRQLEIIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCKLTESWMEQLMERTVSKGQTVDDLLRRSAGIPAAFTAFFLSEPEGAPKRLLPKALRWLINVAKKSLTDKNKENSSCSESSSAIDSTMVPDVAAIEKISKTRDEGVVPTVHAFNILKAAFNDANLATDTSGFSAEALIVSIRSFSSSYWEVRNSACLAYTALVRRMIGFLNVQKRESARRALTGLEFFHRYPTLHTFLFNELKIATELFLEGSSENVTSNLAKVVHPSLCPMLILLSRLKPSPITSETGDPFDPFLFMPFIRKCSLQNNLRIRILASRALTGMVSNEKLPTVILNIASELPAIDNHSMTSDLSDLSNLNASFNSIHGMLLQLSSLLDTNCRNLADFSKKEDILSDLIHVLGKHSWIGSPQKCPCPILNSSFLKVLDNMLSIARTCEMSKCISVIWNLLWTLSSECLDLYTSKRPAYFDPTIAELRKQAASSYFNCAYQTCKDVNEEDLIYLRGPPPDSELFKESETQMSVTRFQERLIRSLSDALYEVRIATLKWLLLFLNSPESRTAESSNKSQSEIKLLWLSNIGLQAMLMQLLAVEKNHKCMNYILKIIYTYNMQQYNKNCGQHEKPIFVGNMDSDSVLQFWDKVVSLYKVTRHAKTREMLVCCMAVCIKRLADLFTSSICSLGNEKVVVVNPSDPSKLSVFSECIDYFIKVIQEHGDASEPINMRKAAAQSIVASGLLDQAQVLSPSVSNHQVPDGNKQKEALHLYAHKILDLWFTCIKLLEDEDDGLRKQLSLEVQKCITSGKSGSGFSSGVVPMQVEKVIEMSFEHLSSSFGHWLDYLDFLCRWVLSATNSACAVSIADPVRRVFDKEIDNHHEEKLLISQICCSHLEKLPVSKLTTEFRDNNICSVLQSWRTRFCQQLISFGNDYIGTQGGVDWIGGVGNHKDAFLPVYVNLLAFHALSNCILRGEAEDSKSMLPELLELGEAIQPFLRNPLISNLFVLVVKSHEKIAGGAVEHLVLEISGAYSAWDAFDPYFLFR >itb03g17870.t2 pep chromosome:ASM357664v1:3:16285841:16290276:-1 gene:itb03g17870 transcript:itb03g17870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEEDKPFKELGVCDQLVEACESLGWKTASKIQAEAIPHALEGKDIIGLAQTGSGKTGAFAIPILQALIDAPQAFFACVLSPTRELAIQISQQFEALGAGIGVKCAALVGGLDQVQQSIMLGKRPHILVATPGRLLDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKALDEILNNTPRERRTYLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYVLTEMSGSTSMVFTRTCDATRLLALMLRNLGLRAIPISGQMSQGKRLGALNQFKAGESNILICTDVASRGLDIPSVDVVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELQWYLQIEKLIGKKLPDYPAPEEEVLLFLDRVTEAKRISITKIKETGGKKKRRGDDEDGIDGYLDRKHGKSSKKPKRK >itb03g17870.t1 pep chromosome:ASM357664v1:3:16285841:16290276:-1 gene:itb03g17870 transcript:itb03g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEEDKPFKELGVCDQLVEACESLGWKTASKIQAEAIPHALEGKDIIGLAQTGSGKTGAFAIPILQALIDAPQAFFACVLSPTRELAIQISQQFEALGAGIGVKCAALVGGLDQVQQSIMLGKRPHILVATPGRLLDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKALDEILNNTPRERRTYLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYVLTEMSGSTSMVFTRTCDATRLLALMLRNLGLRAIPISGQMSQGKRLGALNQFKAGESNILICTDVASRGLDIPSVDVVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELQWYLQIEKLIGKKLPDYPAPEEEVLLFLDRVTEAKRISITKIKETGGKKKRRGDDEDGIDGYLDRKHGKSSKKPKRK >itb03g17870.t3 pep chromosome:ASM357664v1:3:16285841:16290276:-1 gene:itb03g17870 transcript:itb03g17870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEEDKPFKELGVCDQLVEACESLGWKTASKIQAEAIPHALEGKDIIGLAQTGSGKTGAFAIPILQALIDAPQAFFACVLSPTRELAIQISQQFEALGAGIGVKCAALVGGLDQVQQSIMLGKRPHILVATPGRLLDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKALDEILNNTPRERRTYLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYVLTEMSGSTSMVFTRTCDATRLLALMLRNLGLRAIPISGQMSQGKRLGALNQFKAGESNILICTDVASRGLDIPSVDVVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELQWYLQIEKLIGKKLPDYPAPEEEVLLFLDRVTEAKRISITKIKETGGKKKRRGDDEDGIDGYLDRKHGKSSKKPKRK >itb03g00920.t1 pep chromosome:ASM357664v1:3:492948:496305:1 gene:itb03g00920 transcript:itb03g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVVVKIESSKSISRIEVMDSNSQAVRDKEKAANPRTVSWVFLLRAVAWLPWLAKGVWSTFSTVKKRIANDVRDEDPKYRGKVYRFIRAFLGVSVVGLILEVIAFYNQWDLSLVAAPWEVQNIWQWTYMSWLSFRADYVAPSIVMLSKFCTVLFLVQSLDRLALCLGCFWIKFKKLKPQMEENAYDPEDCSSFPMVLVQIPMCNEKEVFAQSIMAACQLDWPKDRLLVQVLDDSDDEALQQMIRNEVLSWNGKGVNIVYRHRFIRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPSPDFLRQTIPHFKGKPDVGLVQARWIFVNKDENLLTRLQNINMCFHFEVEQQVNGYFLNFFGFNGTAGVWRISALEESGGWLERTTVEDMDIAVRAHLNGWKFVYLNDVRVLCELPESYETYKKQQHRWHSGPMQLFRLCLPAILTSKISVWKKGNLIFLFFLLRKLILPFYSFMLFCIILPLTMFIPEAELPPWVICYIPIIMSVLNILPAPKSFPFLMPYLLFENTMSVTKFNAMVSGLFQLGNAYEWVVTKKTGRSSEPDLLAFAERESRNQQGDKLQRQLSDSGLKMYSKMKEKEVQAIPIAAAAKKTKRNRIYRQELALALLLLTAAARSLLSAHGIHFYYLLFQGLTFLVVGLDLIGEQVS >itb07g16060.t1 pep chromosome:ASM357664v1:7:19310348:19311350:1 gene:itb07g16060 transcript:itb07g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNGPIHKIGFDFPGKVFAFHFFEQFYFEDVANLLSDNLNQWFLLLTQNSVEEIDISYGVDIKAKCRVPNCIFSCPTLKRLKLKNVDVELINAYCVLPNVTSLFLDGVHFKPRNCSDYVYLQDLSFGHCDGIFYFNIVAPNLVV >itb14g04680.t1 pep chromosome:ASM357664v1:14:4123198:4124948:1 gene:itb14g04680 transcript:itb14g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEQNKNVAVTGVASLLLVACVVAATVSSGGLGGSSDDDSGDISTATKSVKEICAPTDYKETCERSLADAKDTSDPKELIKVAFTVTVENITDAISKSELLKEADKDPRTADALDTCKELLNNSIDDLKRCFEKVENFEMEHVKEFASDLKVWLSGAITQQQTCIDAFENTTGDKGEKMKHLLKTANELTSNGLAMTTHFTELLQSFEIPGFNRRLLSAETKEEFPPFVDAATRRLLASDPASIKHDIVVAKDGSGKYNSIVEALRAIPYKNKETVVIFIKAGVYKERVQVPRKMNNIVFLGEGPTKTRITGNRNYIDGIGTYHTASVVIQGDGFIARNIGFENSAGAAKHQAVALRVSADMTIFYNCHMDGYQDTLYAHSYRQYYRDCTITGTIDFIFGDAAAVFQNCKMVVRKPLENQACMVTAQGRKEHRGVGTLVLQDCEILPDPALKSVNPPVKVYLGRPWKEYSRTVIMQSYIDGFIAPGGWAPWNGDFALDTLWYAEYQNRGSGASTASRVKWNGYKKNISPDIAQQFTAGMYLGVMTGSSLVGFPTNLE >itb03g21410.t2 pep chromosome:ASM357664v1:3:19262819:19270135:1 gene:itb03g21410 transcript:itb03g21410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base biosynthesis protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36480) UniProtKB/Swiss-Prot;Acc:Q94IB8] MDTTMSLFMDRLKHASEWVASTFEAPFSGAVLFGVPVGGHLFVEGLLLVVIFFLLSQKSYKPPKRPLTKKEIDELCDEWVPEPLIPTITDEMKHEPQVLESAAGPHTVINGKEVVNFASANYLGLVGHEKILETCTTALEKYGVGSCGPRGFYGTIDVHLDCEARIANFIGTPDSILYSYGLSTMFSAIPAFCKRGDVIVADEGVHWGIQNGLQLSRSTIIYFKHNNMESLRNSLEKVTQENKRAKKVRRYIVVEAVYQNSGQIAPLDEIIKLKEQYKFRVLLDESNSFGVLGSSGRGLTEHYRVPVEKIDIITAAMGHALATEGGFCTGSNRVIDHQRLSSSGYVFSASLPPYLASAAIKAIDIIEENPALITKLRENIKILLKGLCLQIILYCP >itb03g21410.t1 pep chromosome:ASM357664v1:3:19262819:19270135:1 gene:itb03g21410 transcript:itb03g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base biosynthesis protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36480) UniProtKB/Swiss-Prot;Acc:Q94IB8] MDTTMSLFMDRLKHASEWVASTFEAPFSGAVLFGVPVGGHLFVEGLLLVVIFFLLSQKSYKPPKRPLTKKEIDELCDEWVPEPLIPTITDEMKHEPQVLESAAGPHTVINGKEVVNFASANYLGLVGHEKILETCTTALEKYGVGSCGPRGFYGTIDVHLDCEARIANFIGTPDSILYSYGLSTMFSAIPAFCKRGDVIVADEGVHWGIQNGLQLSRSTIIYFKHNNMESLRNSLEKVTQENKRAKKVRRYIVVEAVYQNSGQIAPLDEIIKLKEQYKFRVLLDESNSFGVLGSSGRGLTEHYRVPVEKIDIITAAMGHALATEGGFCTGSNRVIDHQRLSSSGYVFSASLPPYLASAAIKAIDIIEENPALITKLRENIKILLKGLSGIKGLDLVSDPLSPIVFLKLKKSTGSLKSDLQVLEDIADHVLKEESVFVATSKRSMLDKCNLPVGIRLFVSAGHSESDVVKASESLKRVAAKLLTDQ >itb04g02480.t1 pep chromosome:ASM357664v1:4:1475081:1477387:1 gene:itb04g02480 transcript:itb04g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQLLFAFSFLTAFIFTAVSGYSLTEIKSWCSQTPYPQPCEYYLSLSRNNGGEIKDKSDFLNVAMGVALEHAMHEKDNTYSLGTKCLNEQEKAAWEDCLELYENMVVKINTAVDPNVKCSGADAQTWLSTALTNMETCRAGFVDVGVTGNVMPMMSRNVSYLISNTLAINKGYTGNNNEASGYTEGFPDWVKPGNRKLLQSSAASKADVVVAQDGSGDYKTVTEAVSAAAKRSGSGRYVIYVKAGVYKENVNIGSKLKNVMLVGDGIGKTIITGSQSVGGGTTTFKSATVAVSGDGFIGQGITFRNTAGAANHQAVALRSGSDLSVFYQCSFEGYQDTLYVYSDRQFYRECDIYGTVDFIFGNAAVVFQNCNIYARNPPNKINTITAQGRTDPNQNTGISIHNSKVTAASDLEGSVKTYLGRPWQKYSRTVFMKSSLDSLIDPAGWLPWDGSFGLNTLYYGEYLNTGAGASTTNRVKWGGYHVITSATEASKFTVGNFIAGGSWLPATNVPFTSGL >itb05g23220.t1 pep chromosome:ASM357664v1:5:28394464:28400447:-1 gene:itb05g23220 transcript:itb05g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQAFNVITIIVLYCRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRINRAAGPLLYQKRFYRTKFCICPGGSQVNSARIADSIHYGCIPVIMSDYYDLPFSDILDWHKFSVILREKDVFELKQILKNITQEEFVSLHNNLVKVQKHFQWNSPPIKLDAFHMVMYELWLRHHMIKILYNAVEMVKNLSPTEGLDHPSKSHQLLRSFEDQHLALAPFQQSQ >itb10g15220.t1 pep chromosome:ASM357664v1:10:21517577:21522252:1 gene:itb10g15220 transcript:itb10g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 8 [Source:Projected from Arabidopsis thaliana (AT1G80010) UniProtKB/Swiss-Prot;Acc:Q9S793] MFSAMMADGSSFSPAGDGLSPNHDLEITMEEGLENSEQVIGDEISDHEVESDGMFDIEGNDLENDGDHILMIDSNDLENTAEQVLEFESSGHDNSEHVLKFGSTDEGHNTNQILEIQSNEPENCTDGDTTIFHDQDGGTSQKKSYLPPVVGMEFESYEDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTMKEASTRRKETRRGCLAMIRLRLVDSNRWRVDEVKLEHNHPFDPERAQNCKSHKKMDSVAKRKLEPAVGVEVRTIKLYRSPTVDTLNYGSSNERDFSNCIDASKKHQKEALDDLESKEFNPVLRTRCNYESRLSEMYTKEIFLSFQVEVEMMSTCFSVSQIHTNAPVITYMIKEQDTAGDTRDARNYEVMYDRVGQDVRCMCSCFNFKGYLCRHALCVLDHNGVEEIPNQYILSRWRKDFKRLYSPDLGSNNIDISNPVQWFDHLYRRALQVVEEGMASQDHYMVAWQAFQESLNKEFEGGVFLAAFPSIFHVYLSDLMDHTMGKMMAQQQTMSMIKKTWFQVTQSLMKGPVSPITTEACPRRRSFGVRFG >itb05g27590.t1 pep chromosome:ASM357664v1:5:30889508:30889846:1 gene:itb05g27590 transcript:itb05g27590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQLLNQLRCSFNATAVATQPMPSSAIGVLATSRSTSNSFLYDMFCSGGICVKTIAAKCVTEDCIMHMVNPQSSPCLSFLHLLLLRFGVVLMLVLIDLWFMYGSEVQTCK >itb04g26460.t1 pep chromosome:ASM357664v1:4:30802397:30804028:1 gene:itb04g26460 transcript:itb04g26460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKVFTLAEVSEHNHNKDCWLIIGGKVYDVTKFLEDHPGGDDVLLSATGKDATDDFEDIGHSSSARAMLDEFLVGDIDSSTIPANTKYTPPKQPHYNQDKTPEFLVKLLQFLVPLIILGVAVAIRFYTKQSA >itb02g15030.t1 pep chromosome:ASM357664v1:2:10905314:10907293:-1 gene:itb02g15030 transcript:itb02g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase S.7 [Source:Projected from Arabidopsis thaliana (AT5G55830) UniProtKB/Swiss-Prot;Acc:Q9FHG4] MEMRSRNLFLYSLFLLLFNPTVSQNFTFDFPSFNPTNLTLLGDSHVKDGVLSLTRRSRAPSSSSGSALYKNPIRFFDKHAASFSTRFTFRIESVNAWSSGGGFSFFLSPENHTLGSPGGFLGLMDSTQITKNRFVAVEFDTEQDLQFDDPDENHVGLDIDTIVSVKTGSAMPGGINLKSGFSTTAWIDYYSPEKIEVFLSYSTLKPQTPVMEVEIDLSGYLNDSMFLGFSASAERSTEQHFIHNWSFQISGITAPAPTIHSHNLDSDSPVPGRQQFQVFLILVLGICGAAFVCAVLLVFWWSSMKKTKQEVANTVDDAAEAVAESCRQFSYKELRSATRGFSSKNIIGQGALGTVYKACFRGSDNIAAVKRLKHSRAEFVAELSTIARLSHRNLLRLQGWCAEKGEFLVVYDYMPNGSLDKALYRETGEETSLKWPHRYNIAVGLASVLTYLHQEQESNQQVIHSDLKPSNVMLDSSYTPRLGDFGLSWLMDHDKNPTGTMGYVAPEYVLYGQATEESDVYSYGVVALEVACGRRPGKGKKMEGLVDWVWRLHYEGRIIEAADRRLNGEFSEDEMRKVLLVGLSCANPDSTERPSMKRVLQTLMNEVETMVVPRIKPTLTFSNTLLLRTNEILSDDEKSGFLEINLRSDSIEEERDVAV >itb08g00110.t2 pep chromosome:ASM357664v1:8:132214:133363:1 gene:itb08g00110 transcript:itb08g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRVIDPGAIPKWVISGFVEYIKSGEKQGDMVLVVPQGSYAVRLGEDASITTTVRVTKGGYYSLSFTFVRTCAQEERLNVSVSPNSEPNDWGMLPLQTMYSSTGFDTYSWAFLAESNRIQIVLHNPALEKDPSCGPIIDSVALKLLNPPRRLRGNMLKNGDFEEGPYIFPKTTWGVLIPSNIEDDHSPLPAWIIESLKAVKYIDSNHFFVPSGTRAMELVAGRESALVQIVRTIPGKVYDLMFSVGDANNSCQGSMAVEAFAGRLTLQVPYQSKGKGGFIRAKHRFTAVSTRTRIRFLSSFYHMKSDNSGSLCGPVIDDVRLVGVRHPRIH >itb08g00110.t1 pep chromosome:ASM357664v1:8:132833:133363:1 gene:itb08g00110 transcript:itb08g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGDFEEGPYIFPKTTWGVLIPSNIEDDHSPLPAWIIESLKAVKYIDSNHFFVPSGTRAMELVAGRESALVQIVRTIPGKVYDLMFSVGDANNSCQGSMAVEAFAGRLTLQVPYQSKGKGGFIRAKHRFTAVSTRTRIRFLSSFYHMKSDNSGSLCGPVIDDVRLVGVRHPRIH >itb15g04710.t1 pep chromosome:ASM357664v1:15:3030029:3036346:-1 gene:itb15g04710 transcript:itb15g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNREDGEKNPSIGGNSGVTRSWGTTFSGQSVSTSGSVGSPSSRSEAAMATPASETTFARLDGLDIHGDDAGSQRSAAGKKKRAQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDPNNSFASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRASVNDVEELKIESLGLRNRIEKKAAYLEELEEQYTGLQNLIKRNEQLYSSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPKSNAVARNLSANGWEGSSNTNTSQSQIPHPPMPNIPGRSATSPPIPGILKPCVKHEPPPSST >itb07g19700.t2 pep chromosome:ASM357664v1:7:24163786:24166003:-1 gene:itb07g19700 transcript:itb07g19700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQAVFVLKHSLILNAPLAVASHIPSPSLNFQTLSLRLPFRSRMHIRLVCFCRGGGPISDAVVHEKPYPRPAEIEWKKELCNSVQLIGVVAKPVQIRHLASGKVIAWSRLSVKKSQHESGRIDLKFWDELAHIAFQHLKEGDQIYVSGRLVLDALEGEDGKQQTYYKVTVQQMNFVGRNSSSSAGMHDGRKQRNHVANNSPGSIEESYEKRLIAMEAENGRMAAKHDELEAKVAMFEQMVRQMNVNKMTQKPQGDDDNEFDEGGDRLDDDVNW >itb07g19700.t1 pep chromosome:ASM357664v1:7:24163786:24166003:-1 gene:itb07g19700 transcript:itb07g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQAVFVLKHSLILNAPLAVASHIPSPSLNFQTLSLRLPFRSRMHIRLVCFCRGGGPISDAVVHEKPYPRPAEIEWKKELCNSVQLIGVVAKPVQIRHLASGKVIAWSRLSVKKSQHESGRIDLKFWDELAHIAFQHLKEGDQIYVSGRLVLDALEGEDGHCSANEFCWKELFFISRDA >itb07g19700.t3 pep chromosome:ASM357664v1:7:24163786:24166003:-1 gene:itb07g19700 transcript:itb07g19700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQAVFVLKHSLILNAPLAVASHIPSPSLNFQTLSLRLPFRSRMHIRLVCFCRGGGPISDAVVHEKPYPRPAEIEWKKELCNSVQLIGVVAKPVQIRHLASGKVIAWSRLSVKKSQHESGRIDLKFWDELAHIAFQHLKEGDQIYVSGRLVLDALEGEDGKQQTYYKVTVQQMNFVGRNSSSSAGMHDGEFNSGTLGSNFFSQKVESGTPRDPHVSTRQSMQKAKESCC >itb12g12540.t1 pep chromosome:ASM357664v1:12:11257677:11261451:1 gene:itb12g12540 transcript:itb12g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MLRLVQHSRRCASQFKILGRQPNPVSSPHHFTTTTSGSQPTRLASPPPIQVGFTEFSGRGVFATRSIGAGELIHTAKPIVSHPSLSSLHSVCYFCLRKLRNQNQSPAQNVSFCSEQCREQSRVFFEVEKKSDWSAFHEYCSTQGLKYPLLVKRLACMIISGVTSADALDILQPTTLSSSMIALMEKELHLLRSTFADAQISDEQMAFLTSQWYTGVLARIRINAFRIELAGESYEDLLSAAAALIQAEAAVGNAIYLLPSLYNHDCDPNTHIVWVQNVDAKLKALRDIEAGEELRICYIDASMDHNARQTLLYEGFGFKCRCSRCMSDE >itb10g02140.t1 pep chromosome:ASM357664v1:10:1760186:1763512:1 gene:itb10g02140 transcript:itb10g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLCNFGILITLCIILGIIAGSVHAQLKMNFYAQTCPKAEKLVEEYVKKHIPNAPSLAAAMLRLHFHDCFVRGCDASVLLNFTSATKNQTEKTASPNLTLRGFGFIDGIKRIVEKECPGIVSCADILALAARDSVLVIGGPYWNVPTGRRDGRISNATESLYNLPPPFGNFTVLQTQFANNGLDLKDLVLLSGAHTIGISHCSSFSDRLYKFNGIYGAQDPSLDSEYATVLKERKCKWINDTEIIAEMDPGSFRTFDLSYYKLVLKRRGLFQSDAALLTNPTSKSFVNKLAQGSLQSFYAKFARSMEKLGRIQVKTGSDGEIRKHCAIVN >itb01g32420.t1 pep chromosome:ASM357664v1:1:35925823:35926287:-1 gene:itb01g32420 transcript:itb01g32420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTVTASVSDSALLCSVLFPLAFAGTYTHTQRRGKGPPLGDVLILCSEKWIQNSNGCKCSTVFPFSRLPVLHTAIYCYCHPPLTLVVPLQFCMMIMDDGGGDDDDELPWYHSPLSLTYHYKFG >itb11g11040.t1 pep chromosome:ASM357664v1:11:7845234:7847418:-1 gene:itb11g11040 transcript:itb11g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSEMAADVLLSKRVQEMVINGEEPSGPYICRVGEEDDRDAHTFKAKSTIPTIDLSCLLHNHQEESYVTELHKLRSALSSWGCFQAIGHGISTSFLDKLLQVSREFFEQPMEEKMEYAKGVRDFEGYGGDPVPQDGQPLDWSDRLFLNGYPEDRRNYNLWPKYPHSFREVLEEYTEKMKMVTEMVSKAMAKSLNLEENCFLKQFGERSQLDARFNYYPPCERPDLVLGLKPHSDGSGYTIIMQDEIGLQVLKDHKWFTVPKNPHALLILMADQMEIMSNGIFKSPVHRVLSSSKRDRISIAVFYTPEVGKEIGPEEGLLNEENQRVYKKVKDYADIHWENYQSGRGMRPLLHIAHV >itb12g20570.t1 pep chromosome:ASM357664v1:12:23048514:23051300:-1 gene:itb12g20570 transcript:itb12g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDAASSPAPAAARSAGDRLKREDCKRTKHDSVFSEWKILVGPSDWQDYMLGNDGAERYRTQNLPNCASCPGIYELGIAVSNPRSRLDTNKIDTAKIVPVYVGQADNVRTRLQQYGRDGSHLENGCSKSEFSWDKNGCCQKGLAFFTSAFSRGFSIVYRWAPMKSKKDAEKAEAQLLGKFDYAWNTDSNGARRHNDVFCKLERISRASLLPAIIRRFKLKLQKPRGVKIEVCEPLPLENGSYFYGNTKRTHSLPQIFKFGRSQPRVVSVNFGVNENPGTICGVALGHGSVCIRSPVMGNKRCFEHRGMKVNGLTSKMIAEGNSHLPAESLSGISSYLDDTLICGFILEDGSSCMSKPFGKNKRCIEHKGRRVPGSHLKLLTNHKEHNMCSPIAESQNVFSWSDTDLDQEGNMKEGWNESRTEQDDSQSVTHRDCIIICGVNLHDGNFCTRQPSAGRKRCEEHKGMRIKNPNNTQHLNLKGNKQCWQHRSIS >itb12g20570.t2 pep chromosome:ASM357664v1:12:23048514:23051300:-1 gene:itb12g20570 transcript:itb12g20570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDAASSPAPAAARSAGDRLKREDCKRTKHDSVFSEWKILVGPSDWQDYMLGNDGAERYRTQNLPNCASCPGIYELGIAVSNPRSRLDTNKIDTAKIVPVYVGQADNVRTRLQQYGRDGSHLENGCSKSEFSWDKNGCCQKGLAFFTSAFSRGFSIVYRWAPMKSKKDAEKAEAQLLGKFDYAWNTDSNGARRHNDVFCKLERISRASLLPAIIRRFKLKLQKPRGVKIEVCEPLPLENGSYFYGNTKRTHSLPQIFKFGRSQPRVVSVNFGVNENPGTICGVALGHGSVCIRSPVMGNKRCFEHRGMKVNGLTSKMIAEGNSHLPAESLSGISSYLDDTLICGFILEDGSSCMSKPFGKNKRCIEHKGRRVPGSHLKLLTNHKEHNMCSPIAESQNVFSWSDTDLDQEGNMKEGWNESRTEQDDSQSVTHRDCIIICGVNLHDGNFCTRQPSAGRKRCEEHKVVPMGNSGL >itb03g15310.t1 pep chromosome:ASM357664v1:3:14663139:14675014:-1 gene:itb03g15310 transcript:itb03g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMRETLTLSSIGVNPQFITFTNVTMESDKFICVRETAPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDHLQIFNIEAKQKIKSHQMPEQVVFWKWITPKMLGLVTLTSVYHWPIEGDSEPVKMFDRTANLSSNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALESHAAAFASFRVAGNDKDSILIAFATKTSNAGQITSKLHVIELGAQPGKPAFTKKQADLFFPPDFADDFPVAMQISQKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSVGGFYAINRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQAKYKEAAELAAESPQGILRTSDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLINLKGNLQIIVQVAKEYCEQLGLDACIKLFEQFRSYDGLYFFLGSYLSLSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTSKNSLFKLQARYVVERMDADLWDKVLNPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAIEAQLYEEAFAIFKKFNLNVQAVNVLLDNVRDINRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATHFLEVIRAAQDADVYHDLVKYLLMVRQKTKEPKVDSELIFAYAKIDRLGEIEEFILMPNVANLPNVGDRLFEEGLYEAAKIIFAFISNWGKLASTLVKLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEFYQSRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDLLNVLALKVDHTRVVDILRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKLEAQNEAKAKENEEKDVLKQQNMYAQLLPLALPAPPMPGMGGPSVGGGYGAPPPPMGGMGMPPMPPFGMPSMGSY >itb13g11460.t1 pep chromosome:ASM357664v1:13:16207211:16208638:-1 gene:itb13g11460 transcript:itb13g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYRIERVIGEKDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPVAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALYKAQAETGEIKGHYLNATAGTCEDMMKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDYTEKDRSRGIYFTQSWVSTPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREACKWSPELSAACEVWKEIRFEFPAMDTV >itb14g03080.t1 pep chromosome:ASM357664v1:14:2774708:2775190:-1 gene:itb14g03080 transcript:itb14g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASQAFIFIILIAICIYIFKKMLRMFPQSAILNYVVWAASHLKWAWDCLLIQSFCHVDGTGMWPELGARVFEGESEAEECAVCLCKIEEGEEVGDLRCNHIFHRDYLDRWLATGRNSCPLCRTQVRSAVRRLFDDRYREVIVFDFFSDRRDGCTWWLR >itb02g16320.t1 pep chromosome:ASM357664v1:2:12178433:12181066:1 gene:itb02g16320 transcript:itb02g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKMQGEDGAESSGGQDGNSSSSSHHSISKGQWERRLQTDIHLAKQALCEALSMDKSKPVLSAGGSSNPATVPVQTGPYASSAENIARLLESWVKNNGPTRSNSETTVTQTGFAGSTSSPSEATFDHSLFSFNSTCNNSEALSAVESKPVFARAAVAPPTFLTQPKPNNYNIAPPETQMPLTLLENWLFDDAANVQPQEGIMGMPVPLAGTAELF >itb02g23700.t1 pep chromosome:ASM357664v1:2:23885651:23890731:1 gene:itb02g23700 transcript:itb02g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPREKSVNHAESGVRLVLGPAGNKARSLELRKPAEKLKSNKVEKSTESDGRKKSSVIPPAAAAEGADQLSKPRFQVATSKKCGSVGSVLRRQQEQRSFLMRSNLSMNASCSSDASSESSQSQASTGNISLDSMTPRSSRRRQCGPKTAEKASSEVESLSMSSDDFPLVKKRCAWVTTNTDPLYAAFHDEEWGVPVHDDRNLFELLSLCTALSELSWPTILSRRQAFREVFQNFDPVAVSKLNEKKIMVPGNPASSLLSELKLRAIIENARHVCKIIDEIGSFDKYIWGFVNHRPIVGQFRYPRQVPIKTSKAEAISKDLVRRGFRGVGPTVIYSFMQVSGITNDHLISCFRFQECMACGNARNKGDSLSAAKLKVKQPEDDDSELGLVRAIDNSSLST >itb13g15560.t1 pep chromosome:ASM357664v1:13:22424725:22428379:1 gene:itb13g15560 transcript:itb13g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKIIKEVGNGTFGSVWRAINKQTGEVVAIKRMKKKYYSWEECINLREVRSLRRMKHPNIVKLREVIRENDILFFVFEYMEYNLYQLMKDRGKLFSETQVKNWCFQVFQGLADMHQRGYFHRDLKPENLLVTKEIIKIADFGLAREINSQPPYTEYVSTRWYRAPEVLLQSPTYGSAVDMWAMGAIMAELFTLRPLFPGSNEADEIYKICSVIGTPTMSEWPEGLELANAIGYQFPQIAGVHLPALIPSASEDAINLITSLCSWNPCKRPAAVDVLKHPFFQSCIYVPPSIRSKEVLARTPPSVGRHNNGFASAGTKAFEPKGNRWSSVALSHPKPTKNFSPAKSHVSLNTAGVQRKLEMNYQQDAMKNDKPVKASIKQQLKNRALARDNQRASEKPSVVVSGATEKLANMTIGSTRRVAAKPVPQPMKAGGWHAQPDTFLVKSQDILPGRSYTRKVAG >itb12g02880.t1 pep chromosome:ASM357664v1:12:1886594:1887191:1 gene:itb12g02880 transcript:itb12g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKSKEMRRSRGPRTRMISSPFCRMSSRINGGGGCTRKTRVSLLDRFRDAVFRLIMLSALSKAANNKQECGGRSSSVYAQSPPAPAAYYYGPYEPHQSEAVADCIEFIKKSATAHQERSGGGDDDVAAAAAEVVSPGRLMFCSGLSPADS >itb11g02880.t1 pep chromosome:ASM357664v1:11:1481340:1483331:1 gene:itb11g02880 transcript:itb11g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPGGFSGEIAASLLLLLLLFCFSRGTEFDFGTLNLSSLKLLGDAHLSNNTVRLTRDLGVPYSGAGKVLYAEPVRFRQPKGNVPPVPASFSTFFSFSVTNLNPSSAGGGLAFVITPEDKSVGDSGGYMGIMDAKGTQNGVVAVEFDTLMNVEFKDVNGNHVGVDLGSLVSDQAVDLGSAGVDLKSGDLVNSWIDYAGPSQQLSVYVSYSNLKPKAPLLTVPLNLNEHVSEFMFVGFSGSTQGSTEVHAVEWWSFTSSSFDDGNTAKSPPPTTAPPPPPEKKTGKCRSLCKEGPGAVVGVVTAGAFFLCLAGVLFVWLYCKKVKRVKKTETLGAEIIKMPKEFSYRELKSATKAFDPGRIIGHGAVGTVYKGVLTGTGDAVAIKKCSDGGEGKAEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLYKALFESRMVLSWPHRLKILLGVASAMSYLHQECENQVIHRDIKTGNIMLDEGFNARLGDFGLARQIEHDKAKLAMALENDSMSDLNGTITISASSSENAMDLV >itb04g06110.t1 pep chromosome:ASM357664v1:4:3970168:3971514:-1 gene:itb04g06110 transcript:itb04g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSSSDPPLKHHKVAEEEDDEDYIDMEVNSSSSPQIREFEFQMASISVEKGPSTSPADELFYRGKLLPLHLPPRLQMVQKLLQNTPTTIDEEEEESFCGMPFISTATSSTDTSTPMESCNISPSESCRVSCELNPDDCYFEWSNEFSGFINSHHPKKSWAKKLKLVKQSLISQKLKASKAYLKGLFTKSGCSDESCAKAASCCNHPESDQQQGLNGQDFSINNKYMKVGMRPSLPYIGKCPHPTIASVIKNGERDGVEDTLSNPRRSFSAAIKRHSPTKCLSSSSSFSSGTSSSSSSSSSIAFISNGCYELNFLKRSSSDTSEIEGSIQAAIAHCKKSQELSSSRKPLAENGACSLSAPKIVACDVQGRQGACSI >itb01g03910.t1 pep chromosome:ASM357664v1:1:2572654:2585546:-1 gene:itb01g03910 transcript:itb01g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSGKPLHLLLLPLLLLLLPLMASASRFRTALLNQNSEESSPSPFPLNFFFGTSSSSYQYEGAYLSDGKGLSNWDVYTHQPGKVLDGSNGDVAADQYHLYQEDADLLASLGVNSHKLSISWSRVLPKGRHGNINWKGINYYKNLIDSLLLKGIEPFVTINHYDLPQELQDRYQGWLSSEMQEEYAYFADVCFKHLGDKVKYWITFNEPNMWIICAYRWGMWPPNRCSVPHGICSEGDSAKEPYIVAHNMILAHAAAVNIYRKNYQQQQGGQIGLAVLIYWYEPFTNSTADKAAVERGQSFMSKWFLDPVIYGSYPKEMRDLLGSNLPEFSSNDLEKLKSAAGLDFIGINHYTTYFVQDCLSSTCDPFMLGNTREEGFVGQSLINKNGNLIGELTGLEYLAVYPSGMEKVVTYVKENYPSIPLYVTENGYCDTTTPNSTIEEVLYDTKRVKFLADYLDAIATAIRKGADVRGYFIWSLFDNFEWTYGYTKRLGLYQFDRVTLKRTPKLSAKWYKEFIAKNQKVGNKMRSKNSLLHQNQVTQLNLFNLGLIATIPKEISHLSFLSTLNIRANSFHGHIPDTIGFLTKLQILDLSYNSLTGNIPATLYNVSSLRLVDLGTNHLSGTLPGDTFCDNFRLLRALNLSVNGLSGEIPSSLNKCMELRILDLGDNEFHGSIPPQIGNFSKLQQLILLRNNLTATITSDPFGVLSNWSHQTPYCDWIGVTCNANKHQRVTQLNLFNLGLIATIPKEISHLSFLSTLNIRANSFHGHIPDTIGFLTKLQILDLSYNSLTGNIPATLYNVSSLRLVDLGTNHLSGTLPGDTFCDNFRLLRALNLSVNGLSGEIPSSLNKCMELRILDLGDNEFHGSIPPQIGNFSKLQQLILLRNNLTGDLPWTIFNISSLLVLNIADNEISGILPNDPCYLLPDVVNLNIATNRIHGEIPQALSTCRRLKALSLSGNQLSGRFPAQICNISSLQELYLVGMNLTGDLGYVPVVFYGKKQTLTF >itb03g25530.t1 pep chromosome:ASM357664v1:3:24664207:24666201:1 gene:itb03g25530 transcript:itb03g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEDQLEAKIKETLENQKYFIVLDDVRQEKDWNSLKAAFPENLNGSKVLVTTRYSNVVDSTRKSHILGGLSNDDGWLVIKNNVFGAKGGYSDPLLQDLGKRIAKHCNGLPLGLLLVSGILRKCRSIDDWQRVAEYPPLLNIWSDGPSIQELVWLSYEHLPHVMLKNCLLYFAFFPIGYEIAAWKLIPLWIAEEFIPTIDEWGYPLDVEVEAQKYLNDLIDRNLVRVTKRGADGQIQMCNIHDTLHESFKIKATWTDLFKVLDEGRRLNENISLSRRLCFIHSSTMDIFDAENNSARLYLSCYNKRRSPLPSGDEHVHSLLLSSSQKSEIHLTPKQLATIPNTFPLLKVLNIESLKFSSLPNELYGLFLLRYLAITGDIDSLPKSFKNLRELETLVIKTTKGTLHINGGIWNMRNLRHVHTNTSTQLPPPPKTAKNSFGGTNIHTLSTISPESCTNEIFEKIPNLQILGVRGDLSDLLEEKQDVCMFNNLQMLCLDNLKLHGNSEKVLKLPMLNKFASKLRKLILSGTLFQWNDMTILGSLEELEVLKLDDNAFCGQRWDLSSDVIFKQLQYLRIGRMNLRTWTAVEESFPVLESLVLRNCIFLENIPFGFANVHSLKVMDLFHVSESAADSARKIGEQRHGKTTVKINGFNLFSSLIFCKKDS >itb04g16320.t1 pep chromosome:ASM357664v1:4:17864576:17865649:1 gene:itb04g16320 transcript:itb04g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNLDDYLDYDDIFYTVRPYPTASFDCAGISSAASHIHNCIPNSFSHHTADNPEVESRTICLEDELLAEGSEVDCGNFIYSQLPLNWPIHADTLLEIFEEVIDKARLFKCNLGVDMGSVLIPDLEDDDQDFGAAVGGVNGSVVESLKRKRIEEGGNCCLICLEELKAGRDVAVMSCTHYSFHDDCLSSWLQRSPSCPLCRRNLWDSPS >itb04g30090.t1 pep chromosome:ASM357664v1:4:33295295:33302561:-1 gene:itb04g30090 transcript:itb04g30090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNQGVTDRTRSKKISGNRTENKKNPNTASKGAARFNDDEIETVVVPSSSTESENDDVSDAEEVGGVELGSEEKVSDTEGEEEEEDEEEEAENGGIQSVSDEIVDDTEEEEDEVEVKSNANGDRRRKGEKLEEKRGSKNKREEEKESERVVKKAKSNAGTSQRTKQAKEKNSKDVAKENGGVAAKQAKVTKNEEKKSKQKKNGEKEKEKKSEGKKEQVLKNAKTSGKTARSGNNGAKDNKQKGNQKSRVEESKGKTKNTTKKEKPNGNSTHGSNKKIDEEKTQKRKNRQEEDEEVRGKVAKKVKVSGDKHQSSKDKKEDSKIKKKGKLVEADEDAREKVVAKKLKSNGQSSQLSKDKMDDKMSKQAKKVETGKQDRSHEKKVENGAKRGGDANRKNNNTVSEKRKMIEEEVDDDDDKCESVLYTFPMSRISRMIKSENSKIKMSQEATFVINKATEKFLELFCKEAYACAFLDRKSHVGYNHLSSVVSKRQRFDFLSDYVPEKIKAEVALADAEKVG >itb14g12470.t1 pep chromosome:ASM357664v1:14:14100572:14105298:-1 gene:itb14g12470 transcript:itb14g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLMLGVVMFSFMCGFVLSKECTNIPTQLSSHTLRYELLSSKNESRRKEMFGHYHLTPTDDSAWSNLLPRKMLKEEDEFDWMMMYKKIKKSGGVKGVGGFLNEVSLNDVRLDPNSIHGRAQQTNLEYLLMLDVDRLVWSFRKTAGLETPGEPYGGWEGATVELRGHFVGHYLSASAQMWASTHNDTLKEKMSAVVSSLSACQEKMGSGYLSAFPSELFDRFEDIKPVWAPYYTIHKVLAGLLDQYTLAGNSQALKMTTWMVDYFYNRVQNVISKYTIERHWLSLNEETGGMNDVLYRLYSITADPKHLLLAHLFDKPCFLGLLAVKADDLSGFHTNTHIPIVIGSQMRYEITGDPIYKEIGTYFMDIVNTSHAYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRNLFRWTKEMAYADYYERALTNGVLSIQRGTDPGVMIYMLPLHPGASKAKSYHGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEQGEVPGIYIIQYISSSLDWKSGQIKLRQSVDPVGSQDNRLRVTITITSKQSSSVSSTLHLRVPIWTNASGSKASLNGEAVSLPPPGNFLSITRSWASGDKITLELPMSIRTEAIKDERSDYASVQAILYGPYLLAGLSNGDWGIKATSTDSPSDWIAPVATENSHLISLTQESGNDVFVLTNSNQSINMDKYPETGTDSAIRATFRLISKDDTSKTHSDFIGKLVTLEAFDYPGMVVMHQGKDNNLVVTSSSDDSGSSAFLLSTGLDGKNGTVSLESTSQKGCYVYSGVDYKPGLGVKLSCMDGSSDAGFKQAASFKLESGITEYHPISFVAKGPERNFVLAPLLSLRDESYTVYFDFQS >itb13g22430.t2 pep chromosome:ASM357664v1:13:28699946:28704244:-1 gene:itb13g22430 transcript:itb13g22430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHFEADSKTLLLHEQQEKKKEGDCIVDITEEKQKQEPGGKCISRVNSALTKTNEDAYKPKLISIGPYHMKDPGLENGVKQDFQKSVFKTIDGFKEDSTKRLTELKDKARSWYAEDTQHMNDAEFVDMLLLDGCFVLEFLEKLDKGEVTDDVFMKVSGKVYQTSTDMLLFENRLPFFVLFELYKLKKCGCTSSGSDHRPENDNENDDNAALLLQDLIVLVKKSFGTQVPKLTPRNVVAHEYKAPDVLPKHLIQVVHSLCIPRNAKCPSNVCDSGGMIEQINTATELQDNGIGFMKIGNVYEGYFDDKEGPNFNANDGTTMFDLDFNNGILKIPSFMIQDNTETFLRNMIAYEQHSRDAPMCFTDFACFMDELIESTTDVNLLRRRGIIINWLATDDMVTKMFYNLCQDVIAYNTYSELIKKVNRHGNKAWNIWYGKLRHDLFYSPWKLISTVVGGLVVTLGTAVTLKNLFGY >itb13g22430.t1 pep chromosome:ASM357664v1:13:28699946:28704244:-1 gene:itb13g22430 transcript:itb13g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHFEADSKTLLLHEQQEKKKEGDCIVDITEEKQKQEPGGKCISRVNSALTKTNEDAYKPKLISIGPYHMKDPGLENGVKQDFQKSVFKTIDGFKEDSTKRLTELKDKARSWYAEDTQHMNDAEFVDMLLLDGCFVLEFLEKLDKGEDDVFMKVSGKVYQTSTDMLLFENRLPFFVLFELYKLKKCGCTSSGSDHRPENDNENDDNAALLLQDLIVLVKKSFGTQVPKLTPRNVVAHEYKAPDVLPKHLIQVVHSLCIPRNAKCPSNVCDSGGMIEQINTATELQDNGIGFMKIGNVYEGYFDDKEGPNFNANDGTTMFDLDFNNGILKIPSFMIQDNTETFLRNMIAYEQHSRDAPMCFTDFACFMDELIESTTDVNLLRRRGIIINWLATDDMVTKMFYNLCQDVIAYNTYSELIKKVNRHGNKAWNIWYGKLRHDLFYSPWKLISTVVGGLVVTLGTAVTLKNLFGY >itb08g12690.t2 pep chromosome:ASM357664v1:8:13048500:13057134:1 gene:itb08g12690 transcript:itb08g12690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSSGDVAGFKVLFSLVILYGLISFLAYSVLHMKFITPLGMDASLDLFSEARAIEHVRVLAKDIGGRQEGTPGLRQAAEYIKTELETLKRRARSNVRVEIEETTVNGSFNMIFLGYSISFTYRNHTNIIMRISSVQSGDNDPSVLVNGHFDTTPGSPGAGDCGSCVASILELARFTIDSDWVPPRPVIFLFNGAEELFMLGSHGFITTHRWRDTIGAFINVEASGIGGSDLVCQSGPSTWPSQVYSKAALYPMANSAAQDVFGFVPGDTDYRMFAQDYGDIPGLDTIFLLGGYFYHTSSDTVERLLPGSLQARGDNLVSLIRAFTNSSELRNAHERKLHSASQHGLVDERAVFFDFFSWFLIFYTRKAAILLYSVPLAIFLFMPLLLRFRTWGLTCSFATFFDYIKGLLYHAVGLVLAIVVPIIFAVMRLLFSGNSMNWFANPFLAFGMFIPFSLIGLLIPRFAWGHFPLSQNLSLLGLSNQELVTEARFWGAFGFYSIVTLAYLAAGLNGGFLTFLILVFMIPAWISFCLCNKAFGHESLRSTASYVIPAIPCVMYAAYFGGFLAIFLIEKMSMSGSHPSSPYGYFIPDVIVAATIGVISGWCIGPLIPVVGNQLARKSIMQFLLHIGIITMAVTSLVFPYSTEAPKRVVFQHTIWNADSSQIISASYDFAAIDSNSMFFVFKHAPELANELGTNTELSFHSVDKSHPDDWMGIFPVSFLFSSSFKFPTKPDFILNKYKSFPHLFANKPQKLLGGGTRRIYLEFSLGSLKEVWVSVLNITGPLSSWSFANNKLPGEIFFLYLAVNGGCLVFSSCCFLILMELFSAPERDGNGPPSYICRLSGASSENWTFWLEASSSGNIRVEVGVIDQHLTEQQLKLKGLFPNWMDVTAYTSFRSTYVF >itb08g12690.t3 pep chromosome:ASM357664v1:8:13048500:13054595:1 gene:itb08g12690 transcript:itb08g12690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSSGDVAGFKVLFSLVILYGLISFLAYSVLHMKFITPLGMDASLDLFSEARAIEHVRVLAKDIGGRQEGTPGLRQAAEYIKTELETLKRRARSNVRVEIEETTVNGSFNMIFLGYSISFTYRNHTNIIMRISSVQSGDNDPSVLVNGHFDTTPGSPGAGDCGSCVASILELARFTIDSDWVPPRPVIFLFNGAEELFMLGSHGFITTHRWRDTIGAFINVEASGIGGSDLVCQSGPSTWPSQVYSKAALYPMANSAAQDVFGFVPGDTDYRMFAQDYGDIPGLDTIFLLGGYFYHTSSDTVERLLPGSLQARGDNLVSLIRAFTNSSELRNAHERKLHSASQHGLVDERAVFFDFFSWFLIFYTRKAAILLYSVPLAIFLFMPLLLRFRTWGLTCSFATFFDYIKGLLYHAVGLVLAIVVPIIFAVMRLLFSGNSMNWFANPFLAFGMFIPFSLIGLLIPRFAWGHFPLSQNLSLLGLSNQELVTEARFWGAFGFYSIVTLAYLAAGLNGGFLTFLILVFMIPAWISFCLCNKAFGHESLRSTASYVIPAIPCVMYAAYFGGFLAIFLIEKMSMSGSHPSSPYGYFIPDVIVAATIGVISGWCIGPLIPVVGNQLARKSIMQFLLHIGIITMAVTSLVFPYSTEAPKRVVFQHTIWNADSSQIISASYDFAAIDSNSMFFVFKHAPELANELGTNTELSFHSVDKSHPDDWMVIN >itb08g12690.t1 pep chromosome:ASM357664v1:8:13048500:13057134:1 gene:itb08g12690 transcript:itb08g12690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSSGDVAGFKVLFSLVILYGLISFLAYSVLHMKFITPLGMDASLDLFSEARAIEHVRVLAKDIGGRQEGTPGLRQAAEYIKTELETLKRRARSNVRVEIEETTVNGSFNMIFLGYSISFTYRNHTNIIMRISSVQSGDNDPSVLVNGHFDTTPGSPGAGDCGSCVASILELARFTIDSDWVPPRPVIFLFNGAEELFMLGSHGFITTHRWRDTIGAFINVEASGIGGSDLVCQSGPSTWPSQVYSKAALYPMANSAAQDVFGFVPGDTDYRMFAQDYGDIPGLDTIFLLGGYFYHTSSDTVERLLPGSLQARGDNLVSLIRAFTNSSELRNAHERKLHSASQHGLVDERAVFFDFFSWFLIFYTRKAAILLYSVPLAIFLFMPLLLRFRTWGLTCSFATFFDYIKGLLYHAVGLVLAIVVPIIFAVMRLLFSGNSMNWFANPFLAFGMFIPFSLIGLLIPRFAWGHFPLSQNLSLLGLSNQELVTEARFWGAFGFYSIVTLAYLAAGLNGGFLTFLILVFMIPAWISFCLCNKAFGHESLRSTASYVIPAIPCVMYAAYFGGFLAIFLIEKMSMSGSHPSSPYGYFIPDVIVAATIGVISGWCIGPLIPVVGNQLARKSIMQFLLHIGIITMAVTSLVFPYSTEAPKRVVFQHTIWNADSSQIISASYDFAAIDSNSMFFVFKHAPELANELGTNTELSFHSVDKSHPDDWMGIFPVSFLFSSSFKFPTKPDFILNKYKSFPHLFANKPQKLLGGGTRRIYLEFSLGSLKEVWVSVLNITGPLSSWSFANNKLPAPERDGNGPPSYICRLSGASSENWTFWLEASSSGNIRVEVGVIDQHLTEQQLKLKGLFPNWMDVTAYTSFRSTYVF >itb08g12690.t4 pep chromosome:ASM357664v1:8:13048593:13056847:1 gene:itb08g12690 transcript:itb08g12690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSSGDVAGFKVLFSLVILYGLISFLAYSVLHMKFITPLGMDASLDLFSEARAIEHVRVLAKDIGGRQEGTPGLRQAAEYIKTELETLKRRARSNVRVEIEETTVNGSFNMIFLGYSISFTYRNHTNIIMRISSVQSGDNDPSVLVNGHFDTTPGSPGAGDCGSCVASILELARFTIDSDWVPPRPVIFLFNGAEELFMLGSHGFITTHRWRDTIGAFINVEASGIGGSDLVCQSGPSTWPSQVYSKAALYPMANSAAQDVFGFVPGDTDYRMFAQDYGDIPGLDTIFLLGGYFYHTSSDTVERLLPGSLQARGDNLVSLIRAFTNSSELRNAHERKLHSASQHGLVDERAVFFDFFSWFLIFYTRKAAILLYSVPLAIFLFMPLLLRFRTWGLTCSFATFFDYIKGLLYHAVGLVLAIVVPIIFAVMRLLFSGNSMNWFANPFLAFGMFIPFSLIGLLIPRFAWGHFPLSQNLSLLGLSNQQELVTEARFWGAFGFYSIVTLAYLAAGLNGGFLTFLILVFMIPAWISFCLCNKAFGHESLRSTASYVIPAIPCVMYAAYFGGFLAIFLIEKMSMSGSHPSSPYGYFIPDVIVAATIGVISGWCIGPLIPVVGNQLARKSIMQFLLHIGIITMAVTSLVFPYSTEAPKRVVFQHTIWNADSSQIISASYDFAAIDSNSMFFVFKHAPELANELGTNTELSFHSVDKSHPDDWMGIFPVSFLFSSSFKFPTKPDFILNKYKSFPHLFANKPQKLLGGGTRRIYLEFSLGSLKEVWVSVLNITGPLSSWSFANNKLPAPERDGNGPPSYICRLSGASSENWTFWLEASSSGNIRVEVGVIDQHLTEQQLKLKGLFPNWMDVTAYTSFRSTYVF >itb05g27430.t1 pep chromosome:ASM357664v1:5:30803304:30804951:-1 gene:itb05g27430 transcript:itb05g27430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMANNKALVLFICFMILQAAAMASHPNLKSCKFDQIYQLGDSTSDTGNYILETSNLTAFPCAHPPYGETYFKNVTGRCSDGRLMIDYIAKAAGLPFLNPYKNKDTDFRHGANFAVAGSTALPARFFASKNITITRTNSSLDVQLDWMFTHFKSICHDSKDCAKKLKSALFIVGKIGPDDYSYPLLLQGRSLDEMTAMSLEVVEAIADGVRRVIESGGVKIVVPGSFPIGCLPVFLTEFHTNNDADYDGHHCIKKLNVLAKHHNNLVIKTIEKLKKENPNTVIVYGDYYNAFLNLVSNAKKLGFDKKEFNKACCGTGGEYNFDINRMCGTDGVPVCSNPKKFISWDEAHITEHGHQIMSDWVINDILPKLECH >itb05g14020.t1 pep chromosome:ASM357664v1:5:21067640:21070657:1 gene:itb05g14020 transcript:itb05g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSLFLFLLLLSVQIHFAQAQKSPADDCNGIFLQYVYSSGSILKPTVKKTHQPYRFESALSIVNNGVDELKSWRVFVGFQHDEFLVSASNSVLDDGTSLPAAVGNGTVFAGFPSSDLKTAIETAGDSTQTSARVELVGTQFGVGLGNAPMPSDISLVNDGFICPKPSMQGNSTMIVCCTKDQKFKANLTKDEEFLPRKDGDLTIMYDITRSYDSNYWAQVTIANHNPLGRLDNWELSWDWMRDEFIYNLQGAYPSVVDSSECLFGRQGEFYKDLDFSNVLNCERRPTVIDLPLEKANDTALGKVPFCCRNGTILPPAMDPSKSVSAFVMNVFKMLPDLNRSLFTPPQNWKISGRLNPDYKCGPPVRVSPSEFPDPSGLLPNKAVFASWQVVCSIITQPKRASPRCCVSFSAYYNDSVIPCSTCACGCPANTAKTCSAKAPGLLLPAQSLLVPFENRTKLALAWAGINHFPVPNPLPCADNCGVTINWHLLTDYRGGWSARITLFNWENSAFADWFAAVELDKAAPGFEKVYSFNGSTLSGVENTIFMQGLPGLNYLVGETDGANPQKDPRVPGKQQSVISFTKKNTPGINIAAGDGFPTKVYFNGEECLLPKILPKSNSFRVSSSILTSTILALLVLFMLLRL >itb05g14020.t2 pep chromosome:ASM357664v1:5:21068942:21070657:1 gene:itb05g14020 transcript:itb05g14020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCCTKDQKFKANLTKDEEFLPRKDGDLTIMYDITRSYDSNYWAQVTIANHNPLGRLDNWELSWDWMRDEFIYNLQGAYPSVVDSSECLFGRQGEFYKDLDFSNVLNCERRPTVIDLPLEKANDTALGKVPFCCRNGTILPPAMDPSKSVSAFVMNVFKMLPDLNRSLFTPPQNWKISGRLNPDYKCGPPVRVSPSEFPDPSGLLPNKAVFASWQVVCSIITQPKRASPRCCVSFSAYYNDSVIPCSTCACGCPANTAKTCSAKAPGLLLPAQSLLVPFENRTKLALAWAGINHFPVPNPLPCADNCGVTINWHLLTDYRGGWSARITLFNWENSAFADWFAAVELDKAAPGFEKVYSFNGSTLSGVENTIFMQGLPGLNYLVGETDGANPQKDPRVPGKQQSVISFTKKNTPGINIAAGDGFPTKVYFNGEECLLPKILPKSNSFRVSSSILTSTILALLVLFMLLRL >itb03g24120.t2 pep chromosome:ASM357664v1:3:22668510:22670486:-1 gene:itb03g24120 transcript:itb03g24120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSSTCLFYTFLLLLPPFYIKGSEAIIGVNYGTVANNLPPPPQVARFLTESTIINRVRLFDTNPDILKAFAHTGVAVTVTVPNDQIPRLAKYAFAQQWVKLNILPYIPATNIVRILVGNEVISTANKLFISNLVPAMQALHAALTAEPFHRHIQISTPHSLGILSNSSPPSSGKFRPGYDTHVIKPLLGFLRATNSPFMVNPYPFFGSSEDTLDFALFRLNSGAFDENTKLMYKNMLDGQLDAVYSAMKFLGFEDVDLVIAETGWPSKGDPGQAGVDADSAAEYNRKLMKHVTSGIGTPLMPNRTFETYIFALFNEDLKPGPTCERNFGLFQPDMTPVYDIGILRRTVASSAAASGASGTFPSHPRAGPPSPVGTPARKKWCLPKSGADEEALQRNIDYVCGLGLNCDPIRKGGACFLPNTVRAHAAYAMNAYYQGAGRHDFDCDFEQTGTLTSKNPSYAKCKY >itb03g24120.t3 pep chromosome:ASM357664v1:3:22668607:22670440:-1 gene:itb03g24120 transcript:itb03g24120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSSTCLFYTFLLLLPPFYIKGSEAIIGVNYGTVANNLPPPPQVARFLTESTIINRVRLFDTNPDILKAFAHTGVAVTVTVPNDQIPRLAKYAFAQQWVKLNILPYIPATNIVRILVGNEVISTANKLFISNLVPAMQALHAALTAEPFHRHIQISTPHSLGILSNSSPPSSGKFRPGYDTHVIKPLLGFLRATNSPFMVNPYPFFGSSEDTLDFALFRLNSGAFDENTKLMYKNMLDGQLDAVYSAMKFLGFEDVDLVIAETGWPSKGDPGQAGVDADSAAEYNRKLMKHVTSGIGTPLMPNRTFETYIFALFNEDLKPGPTCERNFGLFQPDMTPVYDIGILRRTVASSAAASGVRHRCRRRHHHHTTYFLAQLCCFIIGLIIGL >itb03g24120.t1 pep chromosome:ASM357664v1:3:22668607:22670440:-1 gene:itb03g24120 transcript:itb03g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFSSTCLFYTFLLLLPPFYIKGSEAIIGVNYGTVANNLPPPPQVARFLTESTIINRVRLFDTNPDILKAFAHTGVAVTVTVPNDQIPRLAKYAFAQQWVKLNILPYIPATNIVRILVGNEVISTANKLFISNLVPAMQALHAALTAEPFHRHIQISTPHSLGILSNSSPPSSGKFRPGYDTHVIKPLLGFLRATNSPFMVNPYPFFGSSEDTLDFALFRLNSGAFDENTKLMYKNMLDGQLDAVYSAMKFLGFEDVDLVIAETGWPSKGDPGQAGVDADSAAEYNRKLMKHVTSGIGTPLMPNRTFETYIFALFNEDLKPGPTCERNFGLFQPDMTPVYDIGILRRTASGTFPSHPRAGPPSPVGTPARKKWCLPKSGADEEALQRNIDYVCGLGLNCDPIRKGGACFLPNTVRAHAAYAMNAYYQGAGRHDFDCDFEQTGTLTSKNPSYAKCKY >itb02g13610.t2 pep chromosome:ASM357664v1:2:9797452:9799876:1 gene:itb02g13610 transcript:itb02g13610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKSKIHVMGFIQSPITLKCGKVVILISFILVLIFGFFVFSPNVILYVRKRASYFTVVLDCGSTGTRVNVYEWMFNGGSMNNGEFPILVNSYPGNLTRSDGCKYHCVQTEPGLAMFVGNASGLRASLKPLIRGAEKWVPSGKRGVTPIFVLATAGMRRLPIEDASRIMEGVADIVKEHGFFYRKDFIRVLSGREEAYYGWVALNYKMGTLGNTSESHTLGLLDLGGSSLQVVTEIDEFRADEHVFRSKIGLVEHKLLAYSLQAFGFNEAIDRALAILSHTQAPQESTGKIFEVRHPCLSSGFVQNYTCRGCLGQKPSSSEDSSSRMMTCELNSILVLGDPNWEQCKALATEVATNLSNLERSKVAEQNCVGLLSYGSDRMQNLTLNSHKVTRYHALSGFFVVYNMLNLSSRANLTKLWETGQRLCSRSWADQTNANGLCFRILYMASLIQEALCLSNLEIIFGPGDVSWTLGAALIEGTESQRKGVATEHKWMVSSSITLFLVLVCVLIIVYRSQIKLPMPGRKITSGRASLPSYLYPKLQPN >itb02g13610.t1 pep chromosome:ASM357664v1:2:9797452:9799876:1 gene:itb02g13610 transcript:itb02g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGGSMNNGEFPILVNSYPGNLTRSDGCKYHCVQTEPGLAMFVGNASGLRASLKPLIRGAEKWVPSGKRGVTPIFVLATAGMRRLPIEDASRIMEGVADIVKEHGFFYRKDFIRVLSGREEAYYGWVALNYKMGTLGNTSESHTLGLLDLGGSSLQVVTEIDEFRADEHVFRSKIGLVEHKLLAYSLQAFGFNEAIDRALAILSHTQAPQESTGKIFEVRHPCLSSGFVQNYTCRGCLGQKPSSSEDSSSRMMTCELNSILVLGDPNWEQCKALATEVATNLSNLERSKVAEQNCVGLLSYGSDRMQNLTLNSHKVTRYHALSGFFVVYNMLNLSSRANLTKLWETGQRLCSRSWADQTNANGLCFRILYMASLIQEALCLSNLEIIFGPGDVSWTLGAALIEGTESQRKGVATEHKWMVSSSITLFLVLVCVLIIVYRSQIKLPMPGRKITSGRASLPSYLYPKLQPN >itb02g13610.t3 pep chromosome:ASM357664v1:2:9797452:9798990:1 gene:itb02g13610 transcript:itb02g13610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKSKIHVMGFIQSPITLKCGKVVILISFILVLIFGFFVFSPNVILYVRKRASYFTVVLDCGSTGTRVNVYEWMFNGGSMNNGEFPILVNSYPGNLTRSDGCKYHCVQTEPGLAMFVGNASGLRASLKPLIRGAEKWVPSGKRGVTPIFVLATAGMRRLPIEDASRIMEGVADIVKEHGFFYRKDFIRVLSGREEAYYGWVALNYKMGTLGNTSESHTLGLLDLGGSSLQVVTEIDEFRADEHVFRSKIGLVEHKLLAYSLQAFGFNEAIDRALAILSHTQAPQESTGKIFEVRHPCLSSGFVQNYTCRGCLGQKPSSSEDSSSRMMTCELNSILVLGDPNWEQCKALATEVATNLSNLERSKVAEQNCVGLLSYGSKFP >itb13g16780.t1 pep chromosome:ASM357664v1:13:23707485:23711826:1 gene:itb13g16780 transcript:itb13g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFWRIFILAGTLLVGWDVNDALLAHFILDERLHIFGVVGCILCLVGSVSIVLHAPLERKMESVKEVWHLATQPGFIIYTCLVVCLVLLLIFRFVPRYGQSHLIVYIGICSLTGSLTVMGVKAVGIALKLSVEDSNQFKYFQTWFFTVCVIIFCLLQLNYLNKDWDHQTVTQIVTEFCGFITILSGTFLLHKTKDMGGGSKASTVTPDSETTREAPPKSRPEGPEDV >itb05g16560.t1 pep chromosome:ASM357664v1:5:23745076:23746732:-1 gene:itb05g16560 transcript:itb05g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPKVQAIRSFEFYICYYGWGNSRERLKKCQESDVYEIFYIIVAAIPFVVRLLQCLRRLFEEKDNSQGLNGLKYFTTVAALVLRTLFELKNGTTLTVLATTFSGITTIANTYWDIVIDWGLLQRNSKNPWLRDKLLVPNKAVYFVAIVVNIILRLVWMQMILGFKVPFLHRKAMTAVVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNHEVDRSM >itb01g34040.t1 pep chromosome:ASM357664v1:1:36925970:36929358:1 gene:itb01g34040 transcript:itb01g34040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRKPHFLHGFNPSISSEKLKIPSKFAKHMDGVTSGTASLVGPSGNTWYVGVSQLDGGLFFHDGWTIFVKDHCLEYGDSLVFRYDGDFHFHVQVFDEGSCEKEVAFCADCSQDVTNFENHAIKKRDRKSLGLLDCIAEGVPKRMRSTEIHSECQTSGQENQVTSSKEEYLCGELVCLREMEIDGNPLKDFVTIAVPSQGKCVYENSDATISSWTNDEDVWLSTQEAERAARSFTSSFPNFTKVMKRFNVSGSFTLNVPYQFATEHLPKCKVKIMLRNLKGQSWVVNSIPTTRVQTSHTFCGGWLAFVRDNNLDLGDICIFELVHKGELRVRILRVEKEGVNDHSSVTAHKTVSNEKGKHRSTLKSSFLHTQLNATGGQSVTRKGTSSQDKLGSSTKGCMSLKSAPEEKIAAQAFISSFPHFVRVMKKFNISGSYTLKVPYQFSMEHLPNCRTKIILRNLKGQSWTVNSIPTTRVQTLHTFCGGWMAFVRDNDIQMGDICIFELVGKCEMRVHICAIGKKGLDYQNGSI >itb13g13060.t1 pep chromosome:ASM357664v1:13:19525568:19526259:-1 gene:itb13g13060 transcript:itb13g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRKSPMKHASHSAESNPATVDEPLYWLKGRENIYLQEKNFCLTLQQNGSLPGLENKLRCYFIKPCSSLERYKPDFINSEAVEKNQQRALIVQRNINIDQFSKHCDLVELFEQIDLIKKYTTKSPNLFLSDSTVLLLFCFDLRADESALMCEAAVEESSPIPSLFHGVFLFDVLILTLQL >itb01g02810.t2 pep chromosome:ASM357664v1:1:1817613:1821219:1 gene:itb01g02810 transcript:itb01g02810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRFSLRRRKKAAPAPPGTPPRPTRAVEQASGNSAGSLVASSAGTSKPGKKKAVGARLWMRFDGTGQSELMECDKNSIIKRASIPARDLRILGPIFSHSSSILAREKAMVINLEFVRAIITAEELLLLDPLRQEVLPFIDQLRHQLPQKSALKTSGRNAVQDEDLQFPNDGKWLQVPEAVEGLQEELPFEFQVLEVALEVVCTYLDSSVAELERDAYPVLDELARSVSTRNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWVQNQQPEALLSGTTGSNSINPVVPNLRRLSSIRSGSFGSNYLNDDVEDLEMLLEAYFMQLEGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLILTIASFAIAVETLVAGWFGMNIPCVLYNMQGIFWPFVGGMTAACIILFFIMLGYARWKKLLGS >itb01g02810.t1 pep chromosome:ASM357664v1:1:1817613:1821219:1 gene:itb01g02810 transcript:itb01g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRFSLRRRKKAAPAPPGTPPRPTRAVEQASGNSAGSLVASSAGTSKPGKKKAVGARLWMRFDGTGQSELMECDKNSIIKRASIPARDLRILGPIFSHSSSILAREKAMVINLEFVRAIITAEELLLLDPLRQEVLPFIDQLRHQLPQKSALKTSGRNAVQDEDLQFPNDGKWLQVPEAVEGLQEELPFEFQVLEVALEVVCTYLDSSVAELERDAYPVLDELARSVSTRNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWVQNQQPEALLSGTTGSNSINPVVPNLRRLSSIRSGSFGSNYLNDDVEDLEMLLEAYFMQLEGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLILTIASFAIAVETLVAGYARWKKLLGS >itb09g15150.t2 pep chromosome:ASM357664v1:9:10387916:10391827:1 gene:itb09g15150 transcript:itb09g15150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MGCVLAAAASIYLCDVASAVSTSRRALRGAKIPESDYTTLPNGLKYYDLKIGSGAEAVKGSRVAVHYVAKWRGITFMTSRQGMGVGGGTPYGFDVGQSERGTVLKGLDLGVQGMRVGGQRLLIVPPNLAYGNKGVQEIPPNATIELDVELLSIKQSPFGTAVKVIEG >itb09g15150.t1 pep chromosome:ASM357664v1:9:10387878:10391827:1 gene:itb09g15150 transcript:itb09g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MCRTMDLLLYHPLKSAMFTQSFPSISPTRSKPFIKWKSRILLCRCSSSPEATIKTLSLQNEGRRALMGCVLAAAASIYLCDVASAVSTSRRALRGAKIPESDYTTLPNGLKYYDLKIGSGAEAVKGSRVAVHYVAKWRGITFMTSRQGMGVGGGTPYGFDVGQSERGTVLKGLDLGVQGMRVGGQRLLIVPPNLAYGNKGVQEIPPNATIELDVELLSIKQSPFGTAVKVIEG >itb07g24440.t1 pep chromosome:ASM357664v1:7:28718764:28721722:1 gene:itb07g24440 transcript:itb07g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISFFLIICFLLNFSFNTWTAKATWVQSGFWYAGSEFPVPQINSALYTHLVFAFAYINSSSYELRIAHSHEPDVSTFTQIVSQKNPSVKTILSIWAGREESPNFFSMLNQSSNRRSFIKTSIKAAREYGFHGLDLFGVIPNTDSNMATFMDEWRAAINSESESSGTPCLILTMGAYYSPVLDAMAYPVDSIVRNFDWVHLMSYDYYLPTKENFTGANAALYDPFSYLNTDYGIKEWIKKRFPANKLTIGLPFHGYAWTLVNPKDNTVGSPAKGLAITTDGGMSYRFIKFYNNSYNYKATSAYNPTYVMNYFTVESFWIGYDDVEAIKTKVSYAKEKGLLGYTVFQVPNDDNNWSLSRAAQGIEEDQHDRKKSKLAIVLPAGTLAAFLLCIAIYCVARKTIRSKGNCSTTLAGKLPNGQEIAVKRLSQSSKQGVEEFQNEVTLTVKLQHVNLLRIQGFCTEREEKMLIYDYMPNKSLDFYLYEPARQLQLDWEKRVQVIEGITQGLLYLQEYSAFTVIHRDLKASNILLDNEMKPKISDFGIAKIFQKEDNQANTGRIVGTYGCVPPEYVKGGVYSRKYDVYSFGVLLLQIISSKKNAYLYGTDKSLNLLEYAYEKWKTNSGMEFMDPSLDDNLSSCKLLRCLQVGLLCVQEKWEDRPSMLEVESMLKNETALPAPKMPAFSRKFDHSQQANFSHCEEDCCSINVGTTSQLMPR >itb14g03820.t1 pep chromosome:ASM357664v1:14:3433998:3438255:-1 gene:itb14g03820 transcript:itb14g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGDDSKVGKVKGLDVEKSKRSNKEVLGSGELRGSWVKLRFITCCISSSSRSKVDTSISGISTHCESKCTNDTSIDQPAAPVISSTATSIAESNSSTSKLEEELKISSRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSVRMKIALGAAKGLAFLHEEAQRPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHLCEKRRFYRLIDPRLEGHFSIKGAQKAAQLAARCLSRDPKARPMMSEVVEALKPLPNLKDMASSSYYFQTMQADRIASSPNTKNGVRSQGAFSKNGQQNPRSLSIPNGSHASPYRQFSQNSPKPNGKA >itb14g03820.t2 pep chromosome:ASM357664v1:14:3433998:3438255:-1 gene:itb14g03820 transcript:itb14g03820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGDDSKVGKVKGLDVEKSKRSNKEVLGSGELRGSWVKLRFITCCISSSSRSKVDTSISGISTHCESKCTNDTSIDQPAAPVISSTATSIAESNSSTSKLEEELKISSRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSVRMKIALGAAKGLAFLHEEAQRPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGKSSICGEKQNKCIYLFSLLILIYDN >itb05g12880.t2 pep chromosome:ASM357664v1:5:19612190:19614336:-1 gene:itb05g12880 transcript:itb05g12880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYHRRITGFLMYERFGIQKFPNRSYKVRSGTCMTISVRSGTKSGTCMTISVRSGTKNWTCTGAILLEDYHLLEKLANFDYERIPECVVHAKGASAKGFFEFSTVIYDCGSPETLRDPRSFAVKFYTTGAILLEDYHLLEKLANFDYERIPECVVHAKGASAKGFFEFSTVIYDCGSPETLRDPRSFAVKFYTTG >itb05g12880.t1 pep chromosome:ASM357664v1:5:19612190:19614296:-1 gene:itb05g12880 transcript:itb05g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRFGIQKFPNRSYKVRSGTCMTISVRSGTKSGTCMTISVRSGTKNWTCTGAILLEDYHLLEKLANFDYERIPECVVHAKGASAKGFFEFSTVIYDCGSPETLRDPRSFAVKFYTTGAILLEDYHLLEKLANFDYERIPECVVHAKGASAKGFFEFSTVIYDCGSPETLRDPRSFAVKFYTTG >itb11g05910.t1 pep chromosome:ASM357664v1:11:3532003:3533579:1 gene:itb11g05910 transcript:itb11g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDACLTLPVLVASFHTPLILIISQFPHFLINYFSTQHASLSLPLPPHHSPPPPRLPASSSHPADRVPGAGAANIARLSSSEERRRRGGRRFQRREETSPDRIKSAPQQEMSDLSFEILRIISRFRVPFFCTAAVVNVIAAVFIW >itb08g05520.t1 pep chromosome:ASM357664v1:8:4554851:4558980:-1 gene:itb08g05520 transcript:itb08g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQKGDNPPQHQQPEGGEDTMLWRVLVFGLIGASATTIAASKLRGTVDWVYSQFTRSQTGWKFWSNFREDAWKRYNRRIQEEYEDEMERLERIRRMQNVFNRRRNKYQKDYESWAENGRGAYHQHFQRNDWYWKADASFKDRGTNSRESPRVNSSYPLSHHYSILGLERSRAKPYTDDEIKTAFRAKAMEFHPDQNQDNKEFAEAKFKEVMISYEAIKLERNNGTKR >itb08g04490.t1 pep chromosome:ASM357664v1:8:3689307:3691253:-1 gene:itb08g04490 transcript:itb08g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated protein 27 [Source:Projected from Arabidopsis thaliana (AT5G42900) UniProtKB/TrEMBL;Acc:Q8L8T7] MESLVDSEGKPGGGRSEHGELRNIDEQEASSTFEDSHTTESKKTEWTNEKHSLYLSSMETSFVNQLYDSLDSFGWNSGESNPKSSRQKHSASGQFKVFRDGSWTRIDVKRDEPRLNRKEESGLFLASPWIKRYRPHRHHNGTSQNQSQLPLHQDSIDSIADQNFVDEDLEAKPSQKRQGMKRVKTSLVSESSNDQVVPFGDNAEVHGCTPQVIGNHQ >itb08g04490.t4 pep chromosome:ASM357664v1:8:3689491:3691253:-1 gene:itb08g04490 transcript:itb08g04490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated protein 27 [Source:Projected from Arabidopsis thaliana (AT5G42900) UniProtKB/TrEMBL;Acc:Q8L8T7] MESLVDSEGKPGGGRSEHGELRNIDEQEASSTFEDSHTTESKKTEWTNEKHSLYLSSMETSFVNQLYDSLDSFGWNSGESNPKSSRQKHSASGQFKVFRDGSWTRIDVKRDEPRLNRKEESGLFLASPWIKRYRPHRHHNGTSQNQSQLPLHQDSIDSIAEVTDQNFVDEDLEAKPSQKRQGMKRVKTSLVSESSNDQVNALGYILFAALNSSIEPTNIGIMVSTNIVNL >itb08g04490.t3 pep chromosome:ASM357664v1:8:3689491:3691253:-1 gene:itb08g04490 transcript:itb08g04490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated protein 27 [Source:Projected from Arabidopsis thaliana (AT5G42900) UniProtKB/TrEMBL;Acc:Q8L8T7] MESLVDSEGKPGGGRSEHGELRNIDEQEASSTFEDSHTTESKKTEWTNEKHSLYLSSMETSFVNQLYDSLDSFGWNSGESNPKSSRQKHSASGQFKVFRDGSWTRIDVKRDEPRLNRKEESGLFLASPWIKRYRPHRHHNGTSQNQSQLPLHQDSIDSIAEVTDQNFVDEDLEAKPSQKRQGMKRVKTSLVSESSNDQVVPFGDNAEVHGCTPQVIGNHQ >itb08g04490.t2 pep chromosome:ASM357664v1:8:3689491:3691253:-1 gene:itb08g04490 transcript:itb08g04490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated protein 27 [Source:Projected from Arabidopsis thaliana (AT5G42900) UniProtKB/TrEMBL;Acc:Q8L8T7] MESLVDSEGKPGGGRSEHGELRNIDEQEASSTFEDSHTTESKKTEWTNEKHSLYLSSMETSFVNQLYDSLDSFGWNSGESNPKSSRQKHSASGQFKVFRDGSWTRIDVKRDEPRLNRKEESGLFLASPWIKRYRPHRHHNGTSQNQSQLPLHQDSIDSIAEVTDQNFVDEDLEAKPSQKR >itb14g07660.t1 pep chromosome:ASM357664v1:14:7051099:7051936:-1 gene:itb14g07660 transcript:itb14g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLPSMLLIFFVAFTVATAHHSPSPSDKTQLVKACSEALGPSPGGRSLITFCARDFLAGKASLLAHSGKREAAAIVVNEARKKAKVIIDFKSKIDSDKSLSKGELKDLKSCWESMSVVIKTIGEVYVNVAVKKLSADVLGENIDNNITRAMGQCKFSAAERQGGLWAEFHAKADASFNAQVVALAFMNEYRSI >itb09g02500.t1 pep chromosome:ASM357664v1:9:1415518:1420137:1 gene:itb09g02500 transcript:itb09g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFDWILVKELTDADMWSPSSGSTTESSKPLEIRFNEPAKLWTDALPIGNGRLGAMVWGGVASETLNLNEDTLWTGIPGDYTHPDAPKALAEVRKLVDDGQYAQATEAGRKLVGKPNEVYQLVGDIKLEFDASHANYNEETYQRVLDLETATVKVKYSTNEIEFTREYFSSNPDQVIAIKISGNKPGSLNFTVSLDSQMHHHSYTNGKNQIIMEGSCRGTRIPPQKYATESPQGIQFSAVLDIQISNGSEAINVLDEQRLQVKGCDWAIILLTASSSFDGPFTKPSESKRDPISESQKTLISSKRFSYSEIYSRHVDDYQKLFQRVSLNLSKSSKSTASGEFNKTCIDLKGSEDGAIPTSERIKSFIIDEDPSLVELLFQYGRYLLIACSRPGTQAANLQGIWNKDMEPAWDAAPHLNINLQMNYWPALPCNLKECQEPLYDYISFLSTNGRKTAKVNYEARGWVVHHISDIWAKTSPYRGYDDRRDVEYSIWQMGGAWICTHLWEHYTYTMDRDFLEKKIYPLLEGCTLFLLDWLIEGREGYLETNPSSSPEHYFIAPDGETASLSYSTTMDMSIIREVFSAIVSAAKVLNKSEDELIVRVQKAQSRLYPTKIARDGSVMEWAKDFKDPDMHHRHLSHLFGLFPGHTITPEKTPDLCKAAENSLYKRGEDGPGWSTAWKIALWARLLDSEKAYHMVKHLFHLVDPEHEAQFEGGLYNNLFAAHPPFQIDANFGFTAAVSEMLVQSSMTDLYLLPALPQDKWPNGCVKGLKARSDVTVSICWKEGQLHEVGLWSKDHNTIMRLHYQMITMTANIMSGRVYTFNKELKCVKSNAMPNCISS >itb15g23740.t1 pep chromosome:ASM357664v1:15:26534292:26537015:-1 gene:itb15g23740 transcript:itb15g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLENHIVRPVANFSPSLWGDRFLSFSIDNQEQTRAKLHTIASGSSDVAQKLKFIDLLERLGIAYHFEKEIDHQLQHIYTHPVHLNDLETVALQFRLMRQHGYDISSDIFSNHVDNNGKFRDTSDVKGLLSLYEASYVRKRSDEVLEGVVGFATTRLRSAAPNLKPNRTLKKLVMHALDQPLHTGMPRLETRFFISVYQEEEESERNDELLRFAKLDFNLLQMLHKQELSEVSRWWKELDFVTKLPYARDRIVECYFWALGVYFEPQHSKARVFLAKSISITSVLDDTFDAYGTLKELEVYTDAIQRWDIREMNRLPDYMKISYKALLDLFDEFDKDLSKEGRTYAVEHGMQRMKELVGGFLIEAKWFNEGNKPVFAEYLRNGVVTSTNYLFAVISCCGLKSADEQTEKDRGQLSTGIECYMNEYELSLEKTIEKFQELAELALKDLNEGLLKPTPVSEEILLRIVNFARMFFVTYQFNQDGYTHPEKVLKPHIIALLVDPMTT >itb08g06690.t1 pep chromosome:ASM357664v1:8:5717808:5726475:1 gene:itb08g06690 transcript:itb08g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSFSRSSGVFVSSLGLVIVKPSSSALDDGSVSSWSGWHTDYASLTGLTCEMFTRDDVEIPCSDNAAGLYIMSRTGQVVKPEYGEDEIAYLVGETGEILSRHLLCATPHCVRAPKGVEASSVGRSTYVLFMQPNWDEKFNFSEMAHIHEELITDMAFTRMLRCLSRSFTTVSSISPRVCIVGSGPAGFYTADKILKAHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKTVTNQFSRIVQNGRCSFLGNVSLGSSISLSELRGLYDVVVLCYGAESDRALGIPGEALAGIYSAREFVWWYNGHPDCRNLAPDLKNTDTAVVVGQGNVALDVARVLLRSTSELAKTDIASHALAALEESSIRKVYVVGRRGPVQASFTAKELREILGIKNLYIHIEEADLHKTPADEEVLKNNRINRRIHELLSKAATSAAPFPTLGQRELHFVFFRKPERFSESDARPGHVAGVNFERTIIREDVGSGKQVAVGTGQFDDLECGLILKSIGYKSVCVEGLPFDPRNGIVPNIRGRVLSDSTGDLTEVEKGLYVCGWLKRGPTGIIATNLYCAEETVASISEDIKKGILPSTSDPSSKPGREGLLQLLDSKNSTVIPFEGWQKIDAEEKRRGCLKNKPREKLTSWQELFEVARK >itb07g15330.t1 pep chromosome:ASM357664v1:7:18418036:18420527:-1 gene:itb07g15330 transcript:itb07g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTSESNERRDIISQLPGDLKDKILECLPTRDAARIALLSTEWRDVWLRHGRLVFDNAFFFKCAQKYGGYKRMVNILDRVIIHRAAPVKKFTLSNSQSDLMLNQCDLDRLQEPQVPTGLDGLGFSPTYPSFGSLLGDVESKFLDESNTEQETIINYGDDSCGVKFAFLNPFDEVPIEC >itb06g22410.t1 pep chromosome:ASM357664v1:6:24697547:24700422:-1 gene:itb06g22410 transcript:itb06g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLMVLLLLLLASMSNISLGKDLITKTQFLKDGDTIISEGGTFVMGFFSPTNSLNRYIGIWYKQIPVQTVVWVANRDAPMANTSSAALKITPGGQLALVGDNSQAVWSANSSRSVQNPVAELLDSGNLVVRDADDENPENFLWQSFDYPTDNWLPGMKFGWNLQTGHEAFLTAWKREDDPASGQFTLHLDPAGYPQVIIRNGTSETYGTGPWNGLRFSGMRSEGNNTETPYGLVINQKEVYLSYGVTDGSSLLRLVLSSNGVLQSIVWDLNQWVSFPREPSDLCDSYGLCGGNGVCNIDNFPSCGCLDRFLPNDNAAQNWLQGCHRRKPLNCHNGFTTDGFVKYSGIKLPDAKSSWYNESMSLQECEEFCLRNCSCMAYSPLDISDGGSGCLVWSGDLIDIRSISSYGQDIYIKLASTEISGLKTEPIHSSSRGKKTQILVLCLSLLVVIVFAGLLISWYFSKKKTTEQKLKKELELRIFDWSTILRATNNFSEKNKLGQGGFGTVYKGALDGGEEIAVKRLSKNSTQGLVEFKNEVICIAKLQHRNLVKLLGCCISGEEKMLVYEYMPNKSLDIFIFGNGTEDNTKRVAGTHGYMSPEYAGHGIFSVKSDVFSFGILVLEIVSGKRNSEFFNEDEYETLPGHAWKLYRNDKPIVLVDEHMTDSYDVDEVLRSIHVGLLCVQQSPNDRPNMSSVVQMLINDVPLPQAKEPGFFVGKHYPLGTHAAKSSKNEVTITTLDPR >itb01g27940.t1 pep chromosome:ASM357664v1:1:32516326:32516655:-1 gene:itb01g27940 transcript:itb01g27940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVLLNMNVQGRIALCGMISQYSLEKHEGLHNLFCLVTKQVRMEGFTVGSYFHLYSKYVEMIVPCIKEGKVTYVEDVAEGIESAPAALIGLLSGRNVGKQLVAISPE >itb01g34520.t1 pep chromosome:ASM357664v1:1:37171525:37172591:-1 gene:itb01g34520 transcript:itb01g34520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMAFSGVELPPLLSFFAIASVIFVYLVICCLILEYIGENGEPHEEPLPADARFSGLSYEDLQEMGCFYHRGEEKPTCAICLDCLREAELCRSFPGCNHVFHAQCIDPWLARRHTCPTCRASFRPKLLY >itb02g04790.t1 pep chromosome:ASM357664v1:2:2827289:2827564:-1 gene:itb02g04790 transcript:itb02g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVILPPVEKRRNGGSWIAKLLRSLLPTTVVVASVVCDMERSGGGGCDGVRRSTTWICCCFSFLFLAGKNGGVGLVFAGKNGVHRKKWWK >itb09g13970.t2 pep chromosome:ASM357664v1:9:9183179:9187074:-1 gene:itb09g13970 transcript:itb09g13970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQGGGDGLQTLILMNPGYVNVGFSDTQPAAGTSFGFLNSIASGNAVTLSHAPPQSQSQQFVGIPLATAGSQEAAVHSQHDIAALHGFIRSQYSNMYGPPPPPAVELAAAREVTRAQGGLSLSLSSQHGNFRGEGDAGSQQMVTAAISPTSGDDQVRVSGGSSSSASGVSNGVNGAQSRILSSKYLKATQELLEEVVNVGKGIKTGAESAKGANSQAKSLAGDSSGEGQAGVESSSGNRTTELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHQQMHFVISWFEQAAGPGSARTYTAVALQTISKQFRCLKDAILGQVRAASKSLGEDGVGMKVEGSSRLKLVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEKSGGGAEDKTSKEEADEEGSASLQQHKSPPGSENQDRNEIDTPKQANNNNNNPSMSTASTSMNGIPMNTNHPAGFSLIGPSEMDSITQGSPKKPRGSDMLLHSAIVPSIAIDAAKPAPVKFGNDRQTREGFPLEGSTNFMAAFGSYPIEQMGRFSTEQFPSPYSTNAVSLTLGLQHSENLSAAMSAATHHNFLPNQDIQMGGRGVVIGEAANDFVGGMTTPTSAHPTSVFENFNIQNRKRFPAQLLPDFVT >itb09g13970.t1 pep chromosome:ASM357664v1:9:9183179:9187074:-1 gene:itb09g13970 transcript:itb09g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNSEIQGGGDGLQTLILMNPGYVNVGFSDTQPAAGTSFGFLNSIASGNAVTLSHAPPQSQSQQFVGIPLATAGSQEAAVHSQHDIAALHGFIRSQYSNMYGPPPPPAVELAAAREVTRAQGGLSLSLSSQHGNFRGEGDAGSQQMVTAAISPTSGDDQVRVSGGSSSSASGVSNGVNGAQSRILSSKYLKATQELLEEVVNVGKGIKTGAESAKGANSQAKSLAGDSSGEGQAGVESSSGNRTTELTTAERQEIQMKKAKLVNMLDEVEQRYRQYHQQMHFVISWFEQAAGPGSARTYTAVALQTISKQFRCLKDAILGQVRAASKSLGEDGVGMKVEGSSRLKLVDNQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQEKSGGGAEDKTSKEEADEEGSASLQQHKSPPGSENQDRNEIDTPKQANNNNNNPSMSTASTSMNGIPMNTNHPAGFSLIGPSEMDSITQGSPKKPRGSDMLLHSAIVPSIAIDAAKPAPVKFGNDRQTREGFPLEGSTNFMAAFGSYPIEQMGRFSTEQFPSPYSTNAVSLTLGLQHSENLSAAMSAATHHNFLPNQDIQMGGRGVVIGEAANDFVGGMTTPTSAHPTSVFENFNIQNRKRFPAQLLPDFVT >itb12g23350.t1 pep chromosome:ASM357664v1:12:25118930:25119896:-1 gene:itb12g23350 transcript:itb12g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPVGSVEGMEDFLRRCDLPTFCQVDDLSAPSFQFVRSETKHPRTIGLILNTFEDLEKPMLDHIRARVPNLYAIGPFHAHLRAKLEAQSIKQYINLQEEEDRSCMEWLDRQPDKSVIYVSLGSLITVSRETLMELWHGLVNSGQRFLWVIRRGSVTNGDGGDQFVAEIKEKITETAYIVGWAPQKEVLSHRAVGGFLTHSGWNSTLESIICGVPMICWPHFADQPVNARMAETVWKLGLNIKSSCDRVDIEKKVRDLMEVRRDEFIERAEKMAKLAKQSVGKGGSSWCYLDRLIKDISCMATKKSIVHETAVFI >itb05g19690.t1 pep chromosome:ASM357664v1:5:26076820:26077473:-1 gene:itb05g19690 transcript:itb05g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAYAVHSFPPPSNAEKPDTESAALMPSQELRKKKRIKCVLFGAAFAVFQTAIILVFVLLIMKYKAPNFRLRSATFDNFNVVAAPPSNASFDARLNAQLGVKNSNFGPYKYGESKVEFFYGDLVVGEAVVPGSKAGLKSTKKVEVAVRVSSENVTDVKQLGSELSSGMLRLNVRSKLEGKVTLIFVMKKKKTSQMDCILTIHLDKKTFQDITCK >itb04g30750.t1 pep chromosome:ASM357664v1:4:33797005:33798582:1 gene:itb04g30750 transcript:itb04g30750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQPTALLNWALLCQGKSMDELRQWLLVTTMELEKTRMKAQEELEMRENQIFQLKDMLSRAMKEKIDAEEKCKRLLLEIERLLLQPPPPPAAAPMSGVSSIEDEPRIRGVDHSNNNGFSSSDSDESIVSSPATQDLPIVIDKPLPEKGKFLQAVMKAGPLLQTLLLAGPLPQWRHPPPPMDSYQIPPPPVAIPSPAPAPATNCLPPQDSLLSITAYNSISNCGRINRKRGFFDDSDSSMEAKYQRVVL >itb11g04320.t1 pep chromosome:ASM357664v1:11:2274648:2277668:-1 gene:itb11g04320 transcript:itb11g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPPSHRSESRNRTKSSSRLSKLRQIDDQEGEIGQSSPSKVAIETANSVPNPPYNLPNQELLLLSPSPNRKSKIRLSEKLEMADDGAEPVVVRRRCRNKNASAVCASPRNNRRSRKRLDQEMVRDEKDLGAGEEAIKPRKKRQAVRSKKDKLSVVPSVPSPKSTESEGVNLDRIGQLINDLLMWRDPARSSLWFGFGSLCFLSSCFARGVNFSIFSFISQLGLLYLAVSFILNSLRPRENAETKTEIKLKEDDILRVGRFILPAVNLAISKTRDLFSGEPAMTLKVVPFLLVGAEYGHLLTLWRLCALGFFISFTAPKLYSSYSTQICRKGEFIRSWAMDAWGACSHRKMIAGLALSAFWNLTTVRTRVFAAFICLAAIRYYKQHSGGRVEEVMIEERVEEVMVEEEQEQQEEEEEKQENNDQKELIGLGSESHK >itb14g20270.t1 pep chromosome:ASM357664v1:14:22706496:22706866:-1 gene:itb14g20270 transcript:itb14g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKKFATLFVLCMVVLSALTFSKVEADEEYDNCKEKCEDDCKTKGSSETVCAMECDTKCGANVVKDKVSSMMP >itb13g02300.t1 pep chromosome:ASM357664v1:13:2121339:2125142:-1 gene:itb13g02300 transcript:itb13g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALTCLKTTIELHFLQPIPRVCFRDDDQTPPIKSFYENLSSLQAFLENKSSAGGAAIKYFETILRDFALKVEDEMEIQVSNFVVAKAKDDDAVHQKAAFQQLCQILQQALEKTRDVVEILNSLKRQNDDLTYLKTIIDHHMKPIQSDARRVVYDQIQTLFKSLFESLSSWGPKIGDLVEDEIKIHLSSFVLFKDTVHEEEASLELCLTLQQAEEKRVELVEITNKEKERQKTCECCDDLTNLLSRLMDDDDLRSSWRVSDDVRSLRKTLFDLRKFIQKSKFGGSGAEIQIRHFVLKAKEDIEKQFRNFCVAKKKTFVCPKRASKQLFQTLHQLTENAAQLLSTMHNTRSNEAAADDTQSVCYPKLEEGTIMVGRQNDVIKIKKRLFSRFNDDMKVIPIIGMPGIGKTTLARKIFEDQSVALNFQVRGWVTVTQNYDEAKMLRDFLQSISPNHEIKEEASHWDVYECLDGKRNLIVLDDLWSTQHWDALKLIFGSSSGKGSCILLTSRFYGVAEYACAMKGTLDTYHVMSFLDLNESWDLFCTIFPDLQRFEKFRNDLFHVVEICEGLPLSIVVVAKRLSECKNNIQHELKKIEKEIELLGILDYCALILMYNRLPEHLKCCFLYLGVFPKRTEIHVKVLIRLWIAEGFVKRPSENIELERIAGGFVKPSKNMELERIAYSYLKDLIATSLVLVTELTLDGKFKTCRVHSVMHNICFREAQKEGILCAVNTQQLPRWSLNAFANSCRWLSLCKHRFDYYVLFSSNNPRSIFFFQENTEIFVSLKLLRVLAFVQSSFLQRLPMQQLGDLIFLRYLSVTQWFEGLSDVVSSNVNLQTLVVSCSDSESQVGAPILHLPSTIWESRQLRHLELGTCYTVNPPSMAKENLQTLSWVGPTHCRKKVYSSFPNMKKLKIFCKEELEPSHIGGSSSKHIVLDKLDYLVGLKSLTISVCIGSFVTLPEICMFPSRLKKLRLSGTRVSGWDLKVIGRLKCLKVLKLENVFHQQVWRVGEGEFNELKFLLLEDKILKRLEAVKYSFPLLERISLRLCNCLEEIPSCLGEIYRLKSIDLDRCCLPSIITSARDIQEKLKKNFGKENFEIKIQGQGLEYIEESGEDVEEETECDESAQQG >itb04g22460.t1 pep chromosome:ASM357664v1:4:27658938:27660589:1 gene:itb04g22460 transcript:itb04g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPMVDCRGLIEFCRAFEQHKNRSRFQSSKNKQSQDKNPFCSDHSPMAALDLVLLILVIGSLGFLLIPYFTSVFAEAFPILCEIVVECVNDTPVEYFVGLVAALLGVLVVIVAWEILDLRSRKCGNPKCQGLRKAVEFDIQLESEECLKYLPPDATFNGNAFYNYGVKPLELGQDRKELEAELKRMAPPNGRTVLIFRAPCGCPAGRLEVWGNKKIRRVKK >itb05g04990.t1 pep chromosome:ASM357664v1:5:4679563:4686401:-1 gene:itb05g04990 transcript:itb05g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSCSQHPPFSASILTGKHHTTATSSAILSFNSSSGDLSRATAHKPLKTSSSENSKLLLKNSITPTPTPPAVVPPPQNVDAKLCLSSEQSPPVAPQDTSLGEYENGSLGNLENSDSDEKDTRGVEFREKGKIFVGNLPLWIKKNELAELFGQFGPIKNVILIRGHHETDRNMGFGFVIYGGSSPEKSAIKAVQFDGVEFHGRVLTVKLDDGRRIMKDKNKGRTRWMEGSSSRNFRKVVESQPENWHAVVRAFERINKPSRKEFGMMVNYYGRRGDKHRAREMFEKMRSRGIVPTSYEYTNLTYAYTVSRDMEEALTCVRKMKDEGIEISVVTYSIIVGGFAKVGNVEAAEHWFLEAKERHATLSASIYGNIIYANCQTCNMDRAEELLREMEEEGITAPISIFHTMMDGYTITRNEEKCLLMFDRLKECGFTPSVISYGCLINIYTKSGKISKALEVCEMMKLEGIKHNMKTYSMLINGFIHLRDWANAVAIFEDVIKDGLKPDLVLYNNIIEASCGMGNMDRAIRVVDEMKTRKFRPSSQTFLPIIHAFSKVGEIRKALDIFDVMRWSGCIPTVHTYNAIILGLVEKLQMEKAVEILDEMLLAGVRPNEHTYTTIMHGYASVGDTEKAFEYFSKLKNEGLEVDVYTYGALLKACCKSGRMHSALAVTREMSNKNVPRNAFIYNILMDGWARRGDVMEATDLMQQIRNDGIQPDVHTYTSLISACCKAGDMQRATRTIEEMKLVGVQPNLKSYTTLINGWARASLPEEALKCFGEMKRARLKPDKAVYHCLMTSLLSRATVNDSYILTAIKCLCGEMAEAGLTVDMGTAVHWYRCSRKIERTGGDITEALQKTFPPDWNPNKLPNTASYINGEGDGYDDDAVYD >itb01g21820.t1 pep chromosome:ASM357664v1:1:27844660:27846243:-1 gene:itb01g21820 transcript:itb01g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNVLPLILSSESSDKSGKAILTANMRSAFPHPQQSSDCLNGPVAILWDIENCPVPSDVRPEDVAGNIRMALHVHPVINGAVTMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNLPPSSIMLISGDVDFSPALHILGQRGYTVILVIPSGVGVSSALCNAGRFVWDWPSVARGDGFLPPKKGLYPPRGGTTDIAGALMACPINDNSDFQNEEEAIVYRGISQSYCSTRDYSMISQTLAEYNSTSTSVPYYSAGMRSQSLPSGLNDVSAGTVSNYDQNDFTWIQPGDLNGLKGQLVKLLELCGGCLPLTRLPAEYQKLYGRPLYVSEYGALKLVNLLKKIGDALAVEGKGQKKFVYLRNTRAGPSAPPQNQAKKDKKGKGVQEDNTKATIGAGSSDEFSDDEQIIVEEHRGRPEKSNLEMVTLSEITNKSLEKFKYELQEILVSYSCRIFLGCFEAIYQQRYKRPLDYKSLGVNELEELLDKVKDVVVVREEPASKRKFLTAVGG >itb03g09290.t1 pep chromosome:ASM357664v1:3:7123356:7127665:-1 gene:itb03g09290 transcript:itb03g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MYHVCSKREIILAPKTAVQKRNTTAPSFPPSMEVSAGASHLPKPVEKPDVEKIKQKLLQSGVVPTPKIIHTIRKKQLQKLNRRLAKKAAKEPPPLTDAQKQTLAEDSHFHAVKSEYKRFNRTITAKNEGKLMGRPWERLEKLQLQEFSSENKQYFGDKLKDEPLRELGDIIECERDKFRWLLDADVEIEEGWFDNQRSNWVPPKRRGGEAEAIRFLINKLSGTEIGLKNYKFSRMMKHSGLQFTERQMLRLVEGLGDRGQWRHALSVVEWVYNMKEYRHFKSRFVYTKLLAVLGKSRRPHEALQVFSLMRGDAQIYPDMAAYHSVSVTLGQAGLLKELIKIIDCMKEKPKKIKNLRRKNWDPVLLPDIVVYNAVLNACVPSRQWRGVFWVFQQLRKNNLKSTGATYGLAMEVMLQSGKYDLVHEFFEKMKRSGNAVKAITYKVLVKTFWEEGKVNEAIQAAREMEQRGVVGGTACVYYELACCLCYHGNLEGAFLEIEKLRSLRRIRPLAVTFTGMILSSMDGGHVDNCISIYEHSKNYCEPDIGIINAMLKVYGRNDMFLEAKELYELTKKVDVDSQISLSPDIYTFSSMLEASARALQWEYFESVYKEMSLVGYQLDQKKHAYLLVDASKAGKGHLLDHAFDTILEAGEVPHQSFFTEILCNATSQCDYERVFTIINMLAIAPFQISKQEWVELFDNNRDRIMSADLQELLETQCKQGSRTEPTILNLYRALQSLCRVYDSSHTSSRGGSDLKPSNDGSPVFITSAKTATLYSSEKAQLSISGTIGREDDEDSENEGEWESVQNSSYSNDHGDESVFPSTILEHFDEDDPMSDDCFDEFDELNVELPGSEDDEEDSHVTEVPSAHEILESWKEMKKKTDFFSLSNKPLVR >itb04g27750.t1 pep chromosome:ASM357664v1:4:31697720:31699992:1 gene:itb04g27750 transcript:itb04g27750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACTKSLFLFAIFGFVSSCAATFLSGETHYHEHGIRQIGSQWADGPEYITQCPIQPGAEYTYRFTIQKQEGTLWWHAHSRWLRATVYGAIVIYPRLGVPYPFPKPDKEIPILLGEWWERDIFSVMRQATFSGAAPNVSDAYTINGWPGDLYTCHRGITKVQVNSGETVLLRVINAALNQQLFFSVANHIFTVVEVDAAYTKPFPSNVIMLGPGQTTNVLITANQRPGRYYMAASAYATAQGAPFDNTTATAVLEYTTSSSSSASSPGSAGALLPRLPANNDTATVTAFTSQLRSLPTNMLTTPLPLIIEHSLFFTVGLGFVNCIPGPRCQGPNGTRFAASMNNVSFVLPRRASILQAYYNNIPGIFTTDFPPVPPVQFNYTGNVPRALWQPRFGTKVYKVKFGSTVQIVLQDTGIFSTEDHPIHLHGYHFYIVGQGFGNFNPGTDPASFNLIDPPLRNTIDVPVGGWAAIRFVADNPGVWLMHCHIDSHLTWGLAMSFLVENGITEWETVPPPPADLPRC >itb03g17200.t1 pep chromosome:ASM357664v1:3:15899432:15901617:1 gene:itb03g17200 transcript:itb03g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISFSNHLFTRTPLFFKNLEPTISVLKFKTHVFRDQNPPTVNPQSANLSTQNINTLMQIHDSQEPNFTRMNNHDESLNLLECMVKTGYKPDVVLCTKLIMQLFRAKKGEKAVRVMQILEQFGEPNEITYTALISGFCKMNRVDEANRVLSRMRTRGFAPDVVTYTIIIRTLCDRGKLELGLKVLDEMKEDENCEPDVVTYTILMEAALVKGCVSEAMELLNDMFGRGLEPNIYTYNVILKVLCRQGMMDQAYELIRSLPARGCKPVVISYNILLRALLERGNWDEREKVLKEMLSTGCDPNVVTYSIFMMSLCRDGKLNEAIALLKIMMEKGLTPNKFTYNPLISAVCKEGRLDLAIDLLDDLISNGCSLNIVNFINILCTMCKNGDADLAIDIFESLCGTGCSLNVCHYNSMIIALWKGGERTKALNLACDMIDKGIDPDRGTYSGLISGLSKCAMVDEAMALLEVMESCGFPPTVNIYNSVLLGLCKSHRLDEAIGVLEEMTGKGCQPNENTYSVLLNGIGFHGWRYEAIMLANTLCQMNVFSKRALLGFQKTFPIHVS >itb08g14310.t1 pep chromosome:ASM357664v1:8:16056595:16060713:1 gene:itb08g14310 transcript:itb08g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSSTTLPVRTLHPPPHSPPCLSAVFRVQRSRKAADSWAQRAQLSLRVLASSQAAVPASAEASTVTFFPFEMNAWTYGEYGGVDVLKMESNVAVPEIQDDQVLIKVAAAALNPVDSKRRQGKFKATDSPLPTVPGYDVAGVVVQVGSQVKGLKQGDEVYGNINEKALEGLKQFGSLGQYTAVEEKLLALKPKNLSFEEAAGLPLAIETAYEGLERTEFSSGKSILVLGGAGGVGSLVIQLAKHVYGASKVAATSSTGKLELLKSLGADLAIDYTKDNFEDLPDKFDVVYDTVGQGEKAVKAVKEGGKVVVLTGAVTPPGFRFVVTSKGEVLTKLNPFLESGKVKPVIDPKGPFPFDKVAEAFSYLETGRATGKVVIHPIP >itb08g09840.t1 pep chromosome:ASM357664v1:8:9203044:9203358:1 gene:itb08g09840 transcript:itb08g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYHITDKWSPIFHLIMLLIAPNTNQFGHCFFSKLPYGACFEMNIIDEVCFLCNDSKVSNKFYFTFSVCSDALENGPRIRSVVPATEEEARRVIERMDAEKCC >itb03g21740.t1 pep chromosome:ASM357664v1:3:19679179:19681454:-1 gene:itb03g21740 transcript:itb03g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKVTALLLISMLFISSATPILGCGTCGKPIHKPKKPTPKPPVTVPPITLPPVVKPPVTVPPITLPPVVKPPVTVPPITLPPVVKPPVTVPPITLPPVVKPPVTVPPITLPPVVKPPVTVPPITLPPVVKPPVTVPPITLPPVVKPPVTIPPITLPPVIPPITKPPSGPAKPCPPAAATCPIDTLKLGACVDLLGGLVHVGLGDPAVNECCPILKGLVELEAAACLCTTLKIKALNLSIYVPLALQLLVTCGKTPPPGYTCAV >itb03g26840.t1 pep chromosome:ASM357664v1:3:26476262:26477011:-1 gene:itb03g26840 transcript:itb03g26840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPELGPWKERQIKATGTSWDVNSIDISVAGFGWLSLGLKGEADLTLWTYDGIEITLREALVLDRAPFLERPGFWLPKAISDAIGNQSKLEAQARKTTQDTLLSEVEVPC >itb06g15660.t1 pep chromosome:ASM357664v1:6:19973534:19975214:1 gene:itb06g15660 transcript:itb06g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRAWIVAASMGAVEALKDQGFARWNHALRMIQQHAKSNLRPYSQATRLSSPSSALVSSKMREEKLKKSEESLRTVISVSTTALNFDQYCSRGRRRMSSGSRAWIVAGSMGAVEALKDQGFARWNYALRIIQQHAKSNLRPYSQATRLSSPSSALVSGKIREDIKLQQAEESLRTTMYLSCWGPS >itb04g26270.t1 pep chromosome:ASM357664v1:4:30620739:30624305:-1 gene:itb04g26270 transcript:itb04g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILRTLRTKQEIDSIIRDTIDKVLVLRFGRASDAVCLQLDDVLYKSAAEVSKFATVALADVDSEEVQVYVKYFDITLIPSTVFFFNAHHMKMDSGSADHTKWNGAFQTKQDFIDVVEAIYRGAMKGKLIVTCPLPPERIPRFQLLYKDV >itb14g16860.t1 pep chromosome:ASM357664v1:14:20234174:20236312:-1 gene:itb14g16860 transcript:itb14g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELSRSEKKKKKKSKSNDDPEALPGGNDPTEVDGDYLIKPQSFTPSMDTSQWPILLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYIRYGVLNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDVDRHLVVFWISCEAGTYVRTMCVHLGLLLGVGGHMQELRRVRSGINGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIAEGKLDKHGKANEKTPSEWLRNVVLPTGGDSMVAQLAATTEPAITEGGKRRLDEGDGIPAQHAAKKPKISEVEEIVQVKETEKEVEVGIEKSEKKKKKKKKDGIEEVHSDEEKEKKKDKVKTDSATPKEDKKKKKKKNKDEQNGDSSLPAAGGGTDDESNRSEKKKEKKKKKNKEAEEE >itb02g11680.t1 pep chromosome:ASM357664v1:2:7807620:7811042:-1 gene:itb02g11680 transcript:itb02g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVHEAATGKMTKLCRKIVHVNFRWRLLERVSYFREFFRFLWERIVVCSTGQHPVRYRRISRTGSAAAPAPESAIDAAESGTVIGDEDPTTAIRGGYESDSDLVTLKISILGDCQIGKTSFVIKYVGDEEEKRCLQMNGLNLMDKTLFVRGARIAFRIWDVGGDYSSLDQLPIACKDSVAILFMFDLTSRCTLNSVIGWFSEARKWNQTAIPILIGTKFDDFAQLPPDIQWTIVTQARAYAKVMKATLFFSSSTHNINVNKIFKFIMAKLFNLPWNVERNLTIGEPIIDF >itb02g11680.t2 pep chromosome:ASM357664v1:2:7807620:7811042:-1 gene:itb02g11680 transcript:itb02g11680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVHEAATGKMTKLCRKIVHVNFRWRLLERVSYFREFFRFLWERIVVCSTGQHPVRYRRISRTGSAAAPAPESAIDAAESGTVIGDEDPTTAIRGGYESDSDLVTLKISILGDCQIGKTSFVIKYVGDEEEKRCLQMNGLNLMDKTLFVRGARIAFRIWDVGGDYSSLDQLPIACKDSVAILFMFDLTSRCTLNSVIGWFSEARKWNQTAIPILIGTKFDDFAQLPPDIQWTIVTQVNSFYLRNYLQFSNVFFTVWFLIINDTYTKTLCNAVNKF >itb02g18010.t1 pep chromosome:ASM357664v1:2:14379700:14382300:-1 gene:itb02g18010 transcript:itb02g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAPPLSPHYPRYHQRPPFTRLSHSIVTLPTSPSATLSKSTPLSASSTSEASQFSLPREINRLCESQNPAEALSFLQENLNNGVFDSTQKAEAMGALLHACGNEKDLETGRKAYEMICASALLRNNCILNTQLITMYSMCESPLDSRAVFDSLQSRNLYQWNALVSGYTKNGLWCDAVLLFIELIVSAEHRPDNFTFPCVIKACGWLCDVGLGETVHGMAIKMGFAADAYACNALIAMYGKFGFVSEAAVMFDKMPQRNLVSWNSMISAFSVNGRFLQCFDLFRRMLMAKEVLIPDVATLVSILPVCTEEGEVEMGKQIHTFTVKLGLNEELTVNNSLLDMYSKFGCSGEARILFEKNECKNVVSWNSMIADYSREGDVKGTFCLVRDMQSLGDGVKVDEVTILNALPVCLEESELVRVKELHGFSIRHGLESHELLANAFIMAYAKCGSLTSAKHVFVGMENKTVSSWNALIGGYAQNGDPSESFRLYRKMIGLGFHPDCFTISSLLLACAGPKLLQYGKQIHGAVLRTGLETDPSIFVSLLSLYFNCGNPLYAQILFNRTEYTSSVSWNVMIAGYLQNGQADEALRTFHELVSRRVQPDEIAVTSALAACSKLSALQLGKEIHCFALKAKYTDDSLVRCSIMDMYAKCGLIGLSEIVFKHSVLKDVASWTVLISGCAVHGLGMKAIKLFQEMQEFGLKPNSSTITAILMACSHAGLMEEGLKYFGEMQTLYGVRPKLEHYSCVIDMLGRAGRFAEALSLVNHMPVQPDTGIWSSLLSSCIFHGELDLGKNVAEKLLDLEPNRAETYVLVSNLYAESGNWNDVRRLRRMIKERNLQKDIGCSYIEVGGKFHNFVVGNNILSES >itb04g29660.t1 pep chromosome:ASM357664v1:4:32971420:32975832:-1 gene:itb04g29660 transcript:itb04g29660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCCGDPSIDKKGKKRSASAKRGEYKRDDQAQPPSDTPKVSPFKGVKEESNDANQSTSGKEEDCSQRNSEDVDTENIKARTFNFSELVAATENFNLEYFLGEGGFGKVYKGRLVDTGQEVAIKQLDRNGCQGIREFVVEVLTLSMADHPNLVKLIGYCVEGQQRLLVYEYMPLGSLEDHLHDRRPSRKLLDWNTRMKIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEEFHPKLSDFGLAKVGPLGDNTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTKCAAEQNLVAWARPLFKDRKKFSVMADPALQGHYPVRGLYQALAIAAMCVQEQPNLRPLVADIVTALNYLAAQEYDPQNPQASRRTLSSYKSISDGEKFSNPCDMEERSERED >itb06g19800.t1 pep chromosome:ASM357664v1:6:23009004:23010123:-1 gene:itb06g19800 transcript:itb06g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVMYRSSSDQQISPNKNYFRSSRKIMNRGSLVPRFPEDFVPAAYLQGGLLQAPVPNHPQFLSQSFPVFPVQQPPLLPLPISMNFSQSRTLSCPPNYGRPNNNNSKSRSSRDPSLTPKKSKQISKSPKKSGKEVVVPLGPDPEHVPKHVVPPKVLSLKGCVEAREKDEEKDKFSGSVVFTLSPPPSSLPLPTFSLRPKLSCNAEAAGIDTGATDNLCRLLRLR >itb04g08220.t1 pep chromosome:ASM357664v1:4:5883779:5886101:-1 gene:itb04g08220 transcript:itb04g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESKTAPVSLERGMEVEGGRREVVAVANKQSIRWADFLLRLLAFVLTLVAAIVLGVDKQTTVVTTQIVPTLPPVSVPLTAKSHHLSAFVYFVVVNAIACAYAVVSMVFTLANRGKYNGMSLMIIILDLIAVALLFSGGGAAAAIGLMGYKGNTHVRWNKVCNVFERFCGQVAAAVGISLVASVLFLLLILFAALNLHKKHH >itb06g11160.t1 pep chromosome:ASM357664v1:6:15723670:15727646:1 gene:itb06g11160 transcript:itb06g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLSSTEQSLFSSFGVHWSESEKLPRLASQNGTAADPRSEFAGVYAKLKSELLNDSAFQFTPDSRHWVQRMLEYNVPGGLPKVGIIAINDGVLLRNHIPRILKNHFKDKPYYVDLLDLFNEVEFQTACGQMIDLITTIEGEKDLSKYLLPLCMNGQLVNSRSECLSSHNVKELLDSVNAFLFDCDGVIWKGDKLIDGIPEALDMLRRRDEIFSSSFAAAMYLKVCNFPTDKKVYVIGEEGILEELELAGFTGLGGPADGKKTLELKSGFIFEHDKSVGLDQYINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDQQEWPGAGCMVGAMCGSTQKEPIVVGKPSTFMMDFLLQNSYCFADSILLPRGCVWLVTDWTQISYLERILAAKLSLFFQVPDSYPCRSLRPCHLSG >itb06g11160.t2 pep chromosome:ASM357664v1:6:15723670:15727672:1 gene:itb06g11160 transcript:itb06g11160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLSSTEQSLFSSFGVHWSESEKLPRLASQNGTAADPRSEFAGVYAKLKSELLNDSAFQFTPDSRHWVQRMLEYNVPGGLPKVGIIAINDGVLLRNHIPRILKNHFKDKPYYVDLLDLFNEVEFQTACGQMIDLITTIEGEKDLSKYLLPLCMNGQLVNSRSECLSSHNVKELLDSVNAFLFDCDGVIWKGDKLIDGIPEALDMLRRRDEIFSSSFAAAMYLKVCNFPTDKKVYVIGEEGILEELELAGFTGLGGPADGKKTLELKSGFIFEHDKSVGLDQYINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDQQEWPGAGCMVGAMCGSTQKEPIVVGKPSTFMMDFLLQKFNIATSRMCMVGDRLDTDILFGKNSGCQTLLVLSGSRLLSLSIFKTMSFIGIELNYIILL >itb01g30990.t1 pep chromosome:ASM357664v1:1:34813436:34815377:1 gene:itb01g30990 transcript:itb01g30990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMWSSSTGVDEAFNGGGELMEALEPFMIKTASPLLPPPPPPSSLFPSETSSFYPSSFSFSSPSVSYPYGYGYDYSGYGSSAPAITTSDGCSTSDTHMFSSQGSSGFGFEQPGCIGLNQLTPTQINQIEVNMNLPAGHGMSSGPFEGQWAQQQSHQAQTVSFLGPRGVPMKQSASAPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYQLRGDLARLNFPHLRHNGSLIGGDFGEYKPLHSSVDAKLQAICKNLAQGKSIDSKKSKGSTSSSPKQPKVKEEEVEEKSKAAELGSDSSGSGGASGESSPVSDLTFPEFTGEDSTWDMCSDLHKFPSYEIDWESLSHLP >itb14g00330.t1 pep chromosome:ASM357664v1:14:215878:216496:-1 gene:itb14g00330 transcript:itb14g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSPSKTILSPWLIIGAFLLISQLFLLNSAMAARPTMEEDEGNNYAMLSSEMLLKNDPLKHATMLSPPVKPAKSEEEANYVGMVFQKLPKGVITPPPGPSKRHNH >itb02g26120.t1 pep chromosome:ASM357664v1:2:26914750:26915460:-1 gene:itb02g26120 transcript:itb02g26120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRFKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >itb10g07110.t1 pep chromosome:ASM357664v1:10:8389195:8390434:1 gene:itb10g07110 transcript:itb10g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINYIAGLQRCGKSCRLRWINYLRPDLRRGSFSEQEERTIIDVHRIIGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLISPSQAKTTKHNNSHTRHQKSSSSAFTIETCSSSHKDVVPIEIKATLAAAFPPFPPNTTPPNDKLLYHKNTITLSHERNNPVLDYASCSSMEITSNHNNLSSSSSSNLSGFGILNSNNCMWGGTTNLELLFGGSTAAGRQEEEEEEEEEEEEEDHIQEEKEVGTETQTGANQVYKLNIDDEFNNNNGQSIQINLFDNSNIGIDFVESSLMPCAMYCNANSMDQLPWDC >itb05g16240.t1 pep chromosome:ASM357664v1:5:23479921:23481998:1 gene:itb05g16240 transcript:itb05g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYMRSLKSVVIPKEIAGVIGCRTFAAGGGKKKGSKGGAAADAPKVSSLSKEVKASTVVGANILKDGADPKVLPDSEYPEWLWHLLDKKPALSELRRKDLETLPYEDLKRFVKLDNRARIKENNSVRAKN >itb03g17730.t1 pep chromosome:ASM357664v1:3:16210507:16212803:-1 gene:itb03g17730 transcript:itb03g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISVLIGIKAATLFIIFVYLRNLGFILLSFPVLYASLISLLVSIASNPLINLPILLGKGSDGTFPLWSLVMFSPYLYFVRAFSALRRLRSGEPPYSEICEGLYVGGWPSSPDKLPPGRPAIIDCTCELPRKLELSGNHAYLCVPTWDTRSPQPADIEAAVKWACRKRAQKIPVFVHCAYGHGRSVAVMCALLVALGIADDWKTAEKLIRERRPYIRMNALHRKALEEWSKDRISFPQKHEVGVSSVIMSSHTEHS >itb09g09290.t2 pep chromosome:ASM357664v1:9:5578174:5580906:-1 gene:itb09g09290 transcript:itb09g09290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGRLNLCFAGDAGEISRRRHDIAVNLSDPLDEGLGHSFCYIKPDPSSKTQPFSSDDSCSSATTTITSNSSMTTAFHTISGASISANTSTPLSTALVDLGPYHEKASAFESSQFFSSIPLQPIPRNSIASVRSGPIPGVSAFGSGPIAGGFFSGPIAGGFSSGPINSGPLENQYDHQVQRYKPKSKKWKFFHNLKRVLSNSLSKDNEIKNSYGVQSHSGNCLSSQASIAEEEDDGMESFRGQNVQWAQGKAGEDRVHVVISEEHDLVFVGIYDGFNGPDATDFLLQNLYSNVFKELKGLLWNEKPESSENLNINETILKEDTEFQNQEFDQLRRFREKLNSLKLDGIGANTNHSSVLNAISEGLRRTEANYLEITDMMLNENPELALMGSCLLVMLMKGQDVYLMNVGDSRAVLAQKPESDHSIGKTLSDDALYRAMSDRKHNLASHQLTIDHSTSTKEEVNRIKREHPDDSSAIKNGRVKGSLKVTRAFGAGYLKQVCNKY >itb09g09290.t1 pep chromosome:ASM357664v1:9:5578174:5580906:-1 gene:itb09g09290 transcript:itb09g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGRLNLCFAGDAGEISRRRHDIAVNLSDPLDEGLGHSFCYIKPDPSSKTQPFSSDDSCSSATTTITSNSSMTTAFHTISGASISANTSTPLSTALVDLGPYHEKASAFESSQFFSSIPLQPIPRNSIASVRSGPIPGVSAFGSGPIAGGFFSGPIAGGFSSGPINSGPLENQYDHQVQRYKPKSKKWKFFHNLKRVLSNSLSKDNEIKNSYGVQSHSGNCLSSQASIAEEEDDGMESFRGQNVQWAQGKAGEDRVHVVISEEHDLVFVGIYDGFNGPDATDFLLQNLYSNVFKELKGLLWNEKPESSENLNINETILKEDTEFQNQEFDQLRRFREKLNSLKLDGIGANTNHSSVLNAISEGLRRTEANYLEITDMMLNENPELALMGSCLLVMLMKGQDVYLMNVGDSRAVLAQKPESDHSIGKTLSDDALYRAMSDRKHNLASHQLTIDHSTSTKEEVNRIKREHPDDSSAIKNGRVKGSLKVTRAFGAGYLKQPKWNNALLEAFQIDYKGNSPYINCLPSLHHHKLGPKDKFLILSSDGLYQYFTNAQAVSEVETFMSIFPDGDPAQHLIEEVIFRAAKKAGMDFHELLDIPQGQRRRYHDDVSIIVISFEGKLWQSSM >itb01g23190.t1 pep chromosome:ASM357664v1:1:29243423:29245756:-1 gene:itb01g23190 transcript:itb01g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYEKLLSEAPKYKLITPSVLSDRLRISGSLARRAIRELMARGLIRMVSAHASQQIYTRATNT >itb08g00080.t1 pep chromosome:ASM357664v1:8:63447:68991:-1 gene:itb08g00080 transcript:itb08g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLQTRGLLSLPSKPKLKAFYPQPQGGLRHRLNGLNALKPKPLDAFSLSVNGFQKFHGFVTKPSSLVAQKNPTFPICRAEAAAAADGQPVFGGEQESLSPKFLGIELTTLKKIIPLGMMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKQALFYTVILPFIAFFGAFGFVFYPLSNYIHPTALADKLLNLLGPRFLGPIAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKKFYPLFGLGANVALVFSGRTVKYFSNLRKTLGPGVDGWAISLKAMMTIVVLMGLAICSLYWWVNTNVDLPARSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFKKYGWGVAAKITPTVLLLTGAGFFSLILFGDPLAPSLAKFGMTPLLAAVFVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLAAARSLDGQFSALRQEEELEKEMERSAVKIPVVSQDGGDGNGCLYPPTPTGGDSASASSEPSSQRQV >itb06g18540.t6 pep chromosome:ASM357664v1:6:22176467:22183366:-1 gene:itb06g18540 transcript:itb06g18540.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g18540.t4 pep chromosome:ASM357664v1:6:22176665:22183374:-1 gene:itb06g18540 transcript:itb06g18540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMVSVPGYAYSGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g18540.t7 pep chromosome:ASM357664v1:6:22176467:22183374:-1 gene:itb06g18540 transcript:itb06g18540.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMVSVPGYAYSGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g18540.t5 pep chromosome:ASM357664v1:6:22175192:22183374:-1 gene:itb06g18540 transcript:itb06g18540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMVSVPGYAYSGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g18540.t1 pep chromosome:ASM357664v1:6:22175174:22183374:-1 gene:itb06g18540 transcript:itb06g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMVSVPGYAYSGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g18540.t3 pep chromosome:ASM357664v1:6:22175192:22183374:-1 gene:itb06g18540 transcript:itb06g18540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMVSVPGYAYSGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g18540.t2 pep chromosome:ASM357664v1:6:22175183:22183366:-1 gene:itb06g18540 transcript:itb06g18540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHMMDFDAAGISVSRESVSEGPSSFSSSLDQDTLWQIDLTSRQPMESAQYPVRDGEPDCSYYMRTGLCRFGTTCRFNHPPDRRLAIATARMIGEYPERVGQPECQYYLKTGTCKFGITCKFNHPREKAGIAGRVALNVLGYPLRPNETECAYYMRTGQCKFGGTCKFHHPQPSSMMVSLHGSPVYPPVRSPTSPGQLSYPLSRPSFITSPRWQGPSNYSPLMVPPGMVSVPGYAYSGQLGSVSSAEGQKLTAGSSQVYGASRAIERENVFPERPGQPECQFYMKTGDCKFGSVCRFHHPRERLIPPPDCMLSPIGLPLRPGEQICIFYSRYGICKFGPSCKFDHPMGVFAYSLSPSPSTDAPAGQFLLGSSSGTGALTLSSEGLVDAGSTNPRRLPSGDNNIETEE >itb06g08560.t2 pep chromosome:ASM357664v1:6:12594327:12598044:-1 gene:itb06g08560 transcript:itb06g08560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGFKKPEKDDHHERVSGKSKKWRLWRGSSGDLGGGSSWKGFRGNRHLYGGSEGSDSSSVNNDAFTAAMATVVRAAPKDFKAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSLEGQAVQKMLDEHRGMVDCLKEAEEGWCNSKGTLEEVKAKIQMRQEGAFKRERALVYSLVHKERSSSDAQTTISVPSFKSCNLDKNSWGWSWLERWMAARPWENRLMEQRQTDATETTPKSKVCLDYTKDNHSKSSEQCSVKVRKNNVTKRVSAKPPLVGQATRSSSSPSSEFRYDESSASSSLCTSTTPISGNSVSASDKTVEKCSSRPNYMNLTQSTKAKQRNSRFLRQSMDEFQFLNLQKSGAFSNGDSKSTAASELSSVNLTRPLCLPTRMDKFSN >itb06g08560.t1 pep chromosome:ASM357664v1:6:12594327:12598044:-1 gene:itb06g08560 transcript:itb06g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGFKKPEKDDHHERVSGKSKKWRLWRGSSGDLGGGSSWKGFRGNRHLYGGSEGSDSSSVNNDAFTAAMATVVRAAPKDFKAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSLEGQAVQKMLDEHRGMVDCLKEAEEGWCNSKGTLEEVKAKIQMRQEGAFKRERALVYSLVHKERSSSDAQTTISVPSFKSCNLDKNSWGWSWLERWMAARPWENRLMEQRQTDATETTPKSKVCLDYTKDNHSKSSEQCSVKVRKNNVTKRVSAKPPLVGQATRSSSSPSSEFRYDESSASSSLCTSTTPISGNSVSASDKTVEKCSSRPNYMNLTQSTKAKQRNSRFLRQSMDEFQFLNLQKSGAFSNGDSKSTAASELSSVNLTRPLCLPTRMDKFSN >itb01g34530.t1 pep chromosome:ASM357664v1:1:37174034:37177307:-1 gene:itb01g34530 transcript:itb01g34530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLYSSYFLFLFLFQLSDAQSFIGVNYGQVADNLPAPEATAKLLQSTSIEKVRLYGADPAMIKALANTDIGIMIGVANGDIPTMAADPGFAKSWIGANVLAFYPASKITTINVGNEILYSGDRNLITQLLPAMQNLQNALNSAAVGGKIKVSTVHGMSMLVSSEPPSSGRFDPAITDSLKDLLSFIDSTGAPFLINPYPFFAFQSDPRPETLAFCLFQPNGGRYDAGSKITYMNMFDAQLDAVRSALNAMGFKGVEIAVAETGWPYKGDSNEVGPSVDNAKAYVGNLVSHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPTSERSFGLYNTDLTMTYDAGLSKSSTHQSPTTPKTSPAMAPPASKKNSSLWCVPKAGVSDAQLQANLDYACALQGIDCSPIQPGGACFEPNTIASHATYAMNLVYQSSEKNSWNCDFSQTATLTSNNPSYNGCSYPGGSG >itb01g34530.t3 pep chromosome:ASM357664v1:1:37174034:37177307:-1 gene:itb01g34530 transcript:itb01g34530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLYSSYFLFLFLFQLSDAQSFIGVNYGQVADNLPAPEATAKLLQSTSIEKVRLYGADPAMIKALANTDIGIMIGVANGDIPTMAADPGFAKSWIGANVLAFYPASKITTINVGNEILYSGDRNLITQLLPAMQNLQNALNSAAVGGKIKVSTVHGMSMLVSSEPPSSGRFDPAITDSLKDLLSFIDSTGAPFLINPYPFFAFQSDPRPETLAFCLFQPNGGRYDAGSKITYMNMFDAQLDAVRSALNAMGFKGVEIAVAETGWPYKGDSNEVGPSVDNAKAYVGNLVSHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPTSERSFGLYNTDLTMTYDAGLSKSSTHQSPTTPKTSPAMAPPASKKNSSLWCVPKAGVSDAQLQANLDYACALQGIDCSPIQPGGACFEPNTIASHATYAMNLVYQSSEKNSWNCDFSQTATLTSNNPSEPLISNFFLISHNYNPRVSNG >itb01g34530.t4 pep chromosome:ASM357664v1:1:37174034:37177530:-1 gene:itb01g34530 transcript:itb01g34530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLYSSYFLFLFLFQLSGNQDCFFLYVLLVLLVEISLVIQCVNGNADAQSFIGVNYGQVADNLPAPEATAKLLQSTSIEKVRLYGADPAMIKALANTDIGIMIGVANGDIPTMAADPGFAKSWIGANVLAFYPASKITTINVGNEILYSGDRNLITQLLPAMQNLQNALNSAAVGGKIKVSTVHGMSMLVSSEPPSSGRFDPAITDSLKDLLSFIDSTGAPFLINPYPFFAFQSDPRPETLAFCLFQPNGGRYDAGSKITYMNMFDAQLDAVRSALNAMGFKGVEIAVAETGWPYKGDSNEVGPSVDNAKAYVGNLVSHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPTSERSFGLYNTDLTMTYDAGLSKSSTHQSPTTPKTSPAMAPPASKKNSSLWCVPKAGVSDAQLQANLDYACALQGIDCSPIQPGGACFEPNTIASHATYAMNLVYQSSEKNSWNCDFSQTATLTSNNPSYNGCSYPGGSG >itb01g34530.t2 pep chromosome:ASM357664v1:1:37174034:37177307:-1 gene:itb01g34530 transcript:itb01g34530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLYSSYFLFLFLFQLSDAQSFIGVNYGQVADNLPAPEATAKLLQSTSIEKVRLYGADPAMIKALANTDIGIMIGVANGDIPTMAADPGFAKSWIGANVLAFYPASKITTINVGNEILYSGDRNLITQLLPAMQNLQNALNSAAVGGKIKVSTVHGMSMLVSSEPPSSGRFDPAITDSLKDLLSFIDSTGAPFLINPYPFFAFQSDPRPETLAFCLFQPNGGRYDAGSKITYMNMFDAQLDAVRSALNAMGFKGVEIAVAETGWPYKGDSNEVGPSVDNAKAYVGNLVSHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPTSERSFGLYNTDLTMTYDAGLSKSSTHQSPTTPKTSPAMAPPASKKNSSLWCVPKAGVSDAQLQANLDYACALQGIDCSPIQPGGACFEPNTIASHATYAMNLVYQSSEKNSWNCDFSQTATLTSNNPSEPLISNFFLISHNYNPRVSNG >itb03g29360.t1 pep chromosome:ASM357664v1:3:30232559:30235567:1 gene:itb03g29360 transcript:itb03g29360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRARKDGKEVRIYENFDELSTDLAEYIAELSEASVKERGVFAIALSGGSLISLMGKLSEAPYNKTVDWAKWHIFWADERVVAKTHADSNYKLTKDGLLSKVPIVPSHAHSINDSVSAEKASEDYEFVIRQLVRTRVISVSDISDCPKFDLILLGMGPDGHVASLFPNHTILEEKEEWVSFLTDSPKPPPERITFTLPVINSASNVAVVVTGSGKSEAVHLAIDDLEPDSPLLPARMVQPTKGNLVWFLDKAAASKLDNSIFSK >itb15g19480.t1 pep chromosome:ASM357664v1:15:21847922:21848221:-1 gene:itb15g19480 transcript:itb15g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFKFRSSASFDSVDLQGRSSISVRELRLKIIRDKNLNICQDFDLVFSDAVSGEGDPILPSFIFFVLPNSSHSPRIFILFPSLILIFIVIIQTGTSL >itb10g12680.t1 pep chromosome:ASM357664v1:10:18734721:18735592:-1 gene:itb10g12680 transcript:itb10g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMTICQVKKLSGVGWDDLLKMITCDSSTYMELISATPKYETILNRKIEYYDERSIVVGRDCAVGRFAKSFADIELEGTNEVDDITPENLHDQNDEETRGKTSISTATSSRKLGRKRKSLDLKVDEMAEQLGNIASAIKSLSTGGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb02g05840.t1 pep chromosome:ASM357664v1:2:3613905:3615194:-1 gene:itb02g05840 transcript:itb02g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNDSGGHNGGASRDSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLAKYRELEGEKTSLGRPGEKDGSGGSGGGASAGGGAGIGHGAGFNGGAPSSAGMYGGVGGSMMYNQGQMYHPPGSYHHMDIGGGGGGASAVTQR >itb06g11470.t1 pep chromosome:ASM357664v1:6:16030839:16031648:-1 gene:itb06g11470 transcript:itb06g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVSSGKMLCIAVPSKSRKNLRSESRMDIEEILKMKLATIEEEVVEDGGLTAAQRRSIVTAAKMLKKMHLKVKMERLFPQHRQFNFKESYVLFMSGLASKGLLNGLPRY >itb01g28150.t2 pep chromosome:ASM357664v1:1:32680798:32684530:1 gene:itb01g28150 transcript:itb01g28150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASPPLHLSLFIFITFISVAFSDDAAVMSKLLAALSPPPSGWSASAPFCTWTNVNCDKATGNVVSINLDSKSVSGTLPSDITRLSSLQTLSVQKNSLAGELPSFANMSNLQQLYLDNNQFSSVPQDFLLGLPKLQTFSISENGNLGPWQIPTYLTASTNLNSFYASNASIFGSIPDFFDSFPNLQNFRLSYNNFTGSLPRSLANSEIQNLWLNNQKQGLTGTIDVLSSMTQLSQVWLHANAFSGPIPDLSKCTNLFDLQLRDNQFTGVIPDSLMSLPNLQNITLQNNKLQGPKPQFRDGVSATLGPINSFCKDTPGPCDPQVTTLLAVAGGLGYPISLADSWEGNDACNQWTFVNCDPQQQNVITVNLGRQHFPGTISPAFANLTALRNLYLNDNNLTGSIPESLTTLPKLQVLDVTNNNLSGPIPVFPSSVKFSYGGNLLLGKNGTSGGGGGGSPGGSNSREPSGSPSHGSSGSSVSPGMIAGVVIAVIIFVLVVLFVSYKCYMKRRHKRFGRVESPEKSNQMVKTSVVGGVTGVSGYAGVPNELQSQSSGDHSEIPVFEGGNVTISIQVLRQVTNNFSEDNVLGRGGFGVVYKGELHDGTKIAVKRMEGGAMGTKGMKEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLSQHLFEWQEHGFQPLTWTQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETMPDERSHLVTWFRRVLINKDNLRKAIDPNLDPDDETYESISKVAELAGHCTAREPFQRPEMGHAVNVLGPLVEQWKPSRPEEDESYGIDLHMSLPQALQRWQADEGTSRMFDDFSYSQSQSSIPSKPSGFADSFNSTDCR >itb01g28150.t1 pep chromosome:ASM357664v1:1:32680583:32684403:1 gene:itb01g28150 transcript:itb01g28150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASPPLHLSLFIFITFISVAFSDDAAVMSKLLAALSPPPSGWSASAPFCTWTNVNCDKATGNVVSINLDSKSVSGTLPSDITRLSSLQTLSVQKNSLAGELPSFANMSNLQQLYLDNNQFSSVPQDFLLGLPKLQTFSISENGNLGPWQIPTYLTASTNLNSFYASNASIFGSIPDFFDSFPNLQNFRLSYNNFTGSLPRSLANSEIQNLWLNNQKQGLTGTIDVLSSMTQLSQVWLHANAFSGPIPDLSKCTNLFDLQLRDNQFTGVIPDSLMSLPNLQNITLQNNKLQGPKPQFRDGVSATLGPINSFCKDTPGPCDPQVTTLLAVAGGLGYPISLADSWEGNDACNQWTFVNCDPQQQNVITVNLGRQHFPGTISPAFANLTALRNLYLNDNNLTGSIPESLTTLPKLQVLDVTNNNLSGPIPVFPSSVKFSYGGNLLLGKNGTSGGGGGGSPGGSNSREPSGSPSHGSSGSSVSPGMIAGVVIAVIIFVLVVLFVSYKCYMKRRHKRFGRVESPEKSNQMVKTSVVGGVTGVSGYAGVPNELQSQSSGDHSEIPVFEGGNVTISIQVLRQVTNNFSEDNVLGRGGFGVVYKGELHDGTKIAVKRMEGGAMGTKGMKEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLSQHLFEWQEHGFQPLTWTQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETMPDERSHLVTWFRRVLINKDNLRKAIDPNLDPDDETYESISKVAELAGHCTAREPFQRPEMGHAVNVLGPLVEQWKPSRPEEDESYGIDLHMSLPQALQRWQADEGTSRMFDDFSYSQSQSSIPSKPSGFADSFNSTDCR >itb02g13820.t1 pep chromosome:ASM357664v1:2:10087691:10088856:-1 gene:itb02g13820 transcript:itb02g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIHHGPVFPFFPTRLASPSPIIPTFKPYPNSTPSFKSCKTQTPNPKSQEEDDGIPFDDVKILAKYKSRHNYIRVLEVSRTADHPLAGSRLLLLDAPGNIHSISYLLKSTTAAYYDVLATLPPVLPPGPVGLLGFGAGSSARLILESYPSAVLHGWELDPSVISVAKTYFNLDKLQNKHPDRLYVHVGNALNAQIRDGFSGLLVDLFSKGCVIPELQSAETWKNLKRKLRKGGAIMANVGGSCVEPEDIRRDGGEIMEETLKAMHGAFPESLFVMSLGNSRVEDSTLAFAGKLPDLEKWKRALPPPLKMYADMWRPYRGRVSR >itb14g00600.t1 pep chromosome:ASM357664v1:14:420393:421135:1 gene:itb14g00600 transcript:itb14g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISLFCKKKPRESPSENNGGPVISRTSSFNSRARLEDELEQVFNKFDVNGDGKISWSELGSIMGSLGHVATEEELKAMIREVDGDGDGFIDLREFVELNTQGIDSEEILRDLKDAFSVFDIDKNGSISADELQNVLHSLGDDCSIADCRNMISGVDRDGNGMIDFEEFRDMMTMGSRVDAMDTKI >itb03g15960.t1 pep chromosome:ASM357664v1:3:15127015:15132151:-1 gene:itb03g15960 transcript:itb03g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESRNNGGATGDGDREKWVHDSSVDHKGRVPLRASTGVWKASFFIITIEFAERLSFFGIASNLITYLTKVMHQNLKTAAKNANYWSGVTAIMPMVGGFLADSYTGRFFMIMFSSILYLMGLSLLTMSQFIPSLKACGVPKCQAPRRVHEVTFNLAIYLISLATGGFKPCLESFGADQFDDDHPEERKQKMSFFNWWNVSLCCGLLFGVTLIAYVEDYVAWGVAAVILTAIMGVSTLVLYFGRPFFRYRVSVGSPLTPLFQVLVAAIAKRNLDHPSKPELLYEVPKSKSRLLCHTNNLRFLDKAAIIEDHDVQAGKLEGPWRLATVTQVEEAKLVLNMVPIWLASLMLGVCMSFGSTFFVKQSATMYRRIGHFEIPPASVFALSAIGLLLSVIAYEKILVPVLRKQTGNERGISILQRIGIGLALNILGIAVAAMVERKRLSIAERENFHQPTSMSVFWLTPQYMILAVGDGFSLVGLQEFFYHQVPDSMRSLGLAFYLSVLGVGSFLSSFLITIVDRITTKNGGSWFGVDLNHSRTDKFYWLITAMAALDLCIYVLLAKRYTYKNVLQGAVEEGDHHDPEMTDSEA >itb03g15960.t2 pep chromosome:ASM357664v1:3:15127078:15129051:-1 gene:itb03g15960 transcript:itb03g15960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGLSLLTMSQFIPSLKACGVPKCQAPRRVHEVTFNLAIYLISLATGGFKPCLESFGADQFDDDHPEERKQKMSFFNWWNVSLCCGLLFGVTLIAYVEDYVAWGVAAVILTAIMGVSTLVLYFGRPFFRYRVSVGSPLTPLFQVLVAAIAKRNLDHPSKPELLYEVPKSKSRLLCHTNNLRFLDKAAIIEDHDVQAGKLEGPWRLATVTQVEEAKLVLNMVPIWLASLMLGVCMSFGSTFFVKQSATMYRRIGHFEIPPASVFALSAIGLLLSVIAYEKILVPVLRKQTGNERGISILQRIGIGLALNILGIAVAAMVERKRLSIAERENFHQPTSMSVFWLTPQYMILAVGDGFSLVGLQEFFYHQVPDSMRSLGLAFYLSVLGVGSFLSSFLITIVDRITTKNGGSWFGVDLNHSRTDKFYWLITAMAALDLCIYVLLAKRYTYKNVLQGAVEEGDHHDPEMTDSEA >itb12g10740.t1 pep chromosome:ASM357664v1:12:8852024:8854484:-1 gene:itb12g10740 transcript:itb12g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIQRTQQKTILISRPHHQRSVVIAKSNVNTFVYMSAVGGTDDVSGRVNPAGVSGGGTSGHHRRGVAEFMDDAKHALFCSAHGHHRYPAMPYLLVRKGAEVFRTEEWVAAALQSLKLSRKIVALLLLAMATVSMLLKFDLDFLIIGNHVVNNEELMRKTTKNEHPPLLLPNFSRIGSSSVTNGYILVHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHQSFWTDPSYYREEILPLLKKHKVIKFTHTDSRLANNGLASSTQMLRCRVNYEALQYAPEIKELGKKLVDRLRDNSDPYIALHLRLQPWPDSSRRQGASGFEVQCEALEGEGD >itb12g10740.t2 pep chromosome:ASM357664v1:12:8851361:8854484:-1 gene:itb12g10740 transcript:itb12g10740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIQRTQQKTILISRPHHQRSVVIAKSNVNTFVYMSAVGGTDDVSGRVNPAGVSGGGTSGHHRRGVAEFMDDAKHALFCSAHGHHRYPAMPYLLVRKGAEVFRTEEWVAAALQSLKLSRKIVALLLLAMATVSMLLKFDLDFLIIGNHVVNNEELMRKTTKNEHPPLLLPNFSRIGSSSVTNGYILVHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHQSFWTDPSYYREEILPLLKKHKVIKFTHTDSRLANNGLASSTQMLRCRVNYEALQYAPEIKELGKKLVDRLRDNSDPYIALHLRYEKDMLAFTGCSHGLTAAEDKELRVLRYSVKHWKEKEIERRKEGEIYGTNSMDKFRAEYPNVFSHSTLATQEELQVFNRYQKRLAALDYIVALDSNVFVYTYDGNMAKAVQGHRRFAGFRKTISPDRLNFVKLINLLDKGAITWDEFSMEVKAIHSNRVGAPYLRKAGGSPKVEENFYANPLPGCVCDRSQKHI >itb03g13830.t1 pep chromosome:ASM357664v1:3:13803746:13808829:1 gene:itb03g13830 transcript:itb03g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAFPRSLSLSFSPRTALLNAELRTRRWCSSMSVRMSVGRTTESSAAAADDGSAILWYKHDLRIGDHPGLLSASQHQTLVPLYVFDHRILSRFTDETFELLLSALGDLKSSLMEQGSNLMIRFGSSESVIEEVVKEVDASSVFAEEEVEYVLCEVVNGVKETLARISFAERRARVVVWNTPFYDIGNMNDLPASYDEFMKKKMPITSPHSSPKLPKVPMDLSWGALPTLDDLKNFVGSNADTSKVKWAFKFSAWNWRQKDRSLSSIIEGLRKTKSSEGNQMVSSDVSAPRNRVEKSAFATEQGNIVAGGTHTVLNALAAYLRYLEGTARDEWQEVHGKLRDAETREGASFGTLFGYALLLGIVSRRRVYYEAIKYEKERNGGFISPFGYSTITVAAAIDTVCSMEWYWLLALKSQATGQGKLSVRIWRWNGHLIHYTVAGDRGPAIMLVHGFGAFFGHYRDNINPIAKSGNRVWALTLLGFGKSEKPNVVYSEVMLAELIKDFIVDVVREPVHLVGNSLGGYLAAIVTGIWPALVKSVVLVNSAGNVVPDYTASRYSKVRQKSGAAWLGARFLSLFLRFSLRNIVRDYYPTRRDRVDEWLISEMLRASYDPGVMVVLESIFSFDLSVPLNYLLQGFEGRSLVIQGMKDPLVSDNSSKLAMLREHCKGILTRELDAGHCPQDEKPEEVNSILQEWVATVEGEALTVSPPEYKSIREVM >itb03g13830.t2 pep chromosome:ASM357664v1:3:13805039:13808829:1 gene:itb03g13830 transcript:itb03g13830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLPASYDEFMKKKMPITSPHSSPKLPKVPMDLSWGALPTLDDLKNFVGSNADTSKVKWAFKFSAWNWRQKDRSLSSIIEGLRKTKSSEGNQMVSSDVSAPRNRVEKSAFATEQGNIVAGGTHTVLNALAAYLRYLEGTARDEWQEVHGKLRDAETREGASFGTLFGYALLLGIVSRRRVYYEAIKYEKERNGGFISPFGYSTITVAAAIDTVCSMEWYWLLALKSQATGQGKLSVRIWRWNGHLIHYTVAGDRGPAIMLVHGFGAFFGHYRDNINPIAKSGNRVWALTLLGFGKSEKPNVVYSEVMLAELIKDFIVDVVREPVHLVGNSLGGYLAAIVTGIWPALVKSVVLVNSAGNVVPDYTASRYSKVRQKSGAAWLGARFLSLFLRFSLRNIVRDYYPTRRDRVDEWLISEMLRASYDPGVMVVLESIFSFDLSVPLNYLLQGFEGRSLVIQGMKDPLVSDNSSKLAMLREHCKGILTRELDAGHCPQDEKPEEVNSILQEWVATVEGEALTVSPPEYKSIREVM >itb03g13830.t3 pep chromosome:ASM357664v1:3:13803746:13808829:1 gene:itb03g13830 transcript:itb03g13830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAFPRSLSLSFSPRTALLNAELRTRRWCSSMSVRMSVGRTTESSAAAADDGSAILWYKHDLRIGDHPGLLSASQHQTLVPLYVFDHRILSRFTDETFELLLSALGDLKSSLMEQGSNLMIRFGSSESVIEEVVKEVDASSVFAEEEVEYVLCEVVNGVKETLARISFAERRARVVVWNTPFYDIGNMNDLPASYDEFMKKKMPITSPHSSPKLPKVPMDLSWGALPTLDDLKNFVGSNADTSKVKWAFKFSAWNWRQKDRSLSSIIEGLRKTKSSEGNQMVSSDVSAPRNRVEKSAFATEQGNIVAGGTHTVLNALAAYLRYLEGTARDEWQEVHGKLRDAETREGASFGTLFGYALLLGIVSRRRVYYEAIKYEKERNGGFISPFGYSTITVAAAIDTVCSMEWYWLLALKSQATGQGKLSVRIWRWNGHLIHYTVAGDRGPAIMLVHGFGAFFGHYRDNINPIAKSGNRVWALTLLGFGKSEKPNVVYSEVMLAELIKDFIVDVVREPVHLVGNSLGGYLAAIVTGIWPALVKSVVLVNSAGNVVPDYTASRYSKVRQKSGAAWLGARFLSLFLRFSLRNIVRDYYPTRRDRVDEWLISEMLRASYDPGVMVVLESIFSFDLSVPLNYLLQGFEGRSLVIQVICSVSTLLV >itb14g13070.t1 pep chromosome:ASM357664v1:14:14992664:14997433:-1 gene:itb14g13070 transcript:itb14g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGNGNGPANGHASAGSAYKIDLNTFSRRLQALYSHWKEHRADIWGSSDVLAIATPPPSEDLRYLKSSAMNIWLLGYEFPETIMVFGEKQIHFLCSQKKASLLEVVKKAAKEAVGADVVMHVKAKSEDGTTQMDAVLRSISTQLKSPVIGYIAKEAPEGKLLETWADKLKNSGLQLGDITLGLSDILAIKDQNEIINVKKAAYLTASTLKNFVVPKLEKVIDEEKKVTHSSLMDETEKAILDPAKVKVKLKPENVDICYPPIFQSGGNFDLRPSASSNDDNLYYDSASVIICAVGSRYNSYCSNVARTFLIDSTSTQSKAYEVLLKAQEAAIAALKPGNKMNAVYQAALAVVERDAPELVSNLTKTAGTGIGLEFRESGLMLNAKSDKVLKSGMVFNVSLGFQNLQADTSTPKSRNFSLLLADTVILTNEGHEVVTHLISKALKDVAYSFNEDEEEEQPKAKAESNGKEVLHSKATLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGGAANGDNRSTAKASSELVAYKNVNDLPAPPKDMMIQVDQKNEAVLLPVYGSMVPFHVSTVKSVSSQSDTNRNCYIRIIFNVPGMPFAPSDVNASKNQGAIYLKEVSYRSKDPRHISEVVQLIKTLRRNVVARESERAERATLVTQQKLVLAGNKFKPVRLSDLWIRPVFGGRARKLPGTLEAHVNGFRYSTSRQDERVDVMYDNVKHAFFQPAEKEMITLVHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDLWSQPQFKGLDLEFDQPLRELGFHGVPYKSSAFIVPTSTSLVELIETPFLVITLSEIEIVNLERVGFGQKNFDMAIVFKDFKRDVMRIDSIPISSLEGIKEWIDTTDIKYYESKLNLNWRPILKTIIDDPQKFIDEGGWEFLNLEGSDSESDNTEDSDGAFEPTDDEPESDSDDEESDSESLVESEDEEEEEEEEESEEEKGKTWEELEREATYADRENGDESDSEDERRRRKKTFGKSRAGPISAPPKRTKFR >itb03g18050.t1 pep chromosome:ASM357664v1:3:16442207:16446148:1 gene:itb03g18050 transcript:itb03g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLSRWIADKSQVYTQHAYMRPLGIVAMVLGIDDEKGPELFKCDPAGHFFGHKATSAGSKEQEAINFLEKKMKNDPAFSYDETVQTAISALQSVLQEDFKASEIEVGVVSQEDPVFRVLSTEEIDGHLTAISERD >itb05g13120.t1 pep chromosome:ASM357664v1:5:20007166:20007456:-1 gene:itb05g13120 transcript:itb05g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRTPEFTPPRSHATDARSHATPLQRHAKSHAHCRTLICSATPDVRPPPSHAAVQQRLHSPLLVKNLLGCSYSSHAVARLHHLSISNFFDVSQ >itb08g12090.t1 pep chromosome:ASM357664v1:8:12126693:12127287:1 gene:itb08g12090 transcript:itb08g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKASGRLSAVVTAGLVLILSGILRSNGQINTACTTTALSTFTPCFNYLTESSGKAGGSSPTEDCCNTLKSAMTDSIDCACLIVTGNVPVSIPFVRTLALALPQMCNAGVPVQCKATGAPLPAAGPAIPSPPAPHSRTPAPHPPAAPPGSHRGTTACTYNCTI >itb11g22870.t1 pep chromosome:ASM357664v1:11:24745192:24745587:1 gene:itb11g22870 transcript:itb11g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNLEVLKLINACKGLKWETYDGGFRRLKRLVIVKGSLKYWNAVGDHFPILECLEISYCHWLEGIPPDFGDIATLALIKISYCRDSLMASAEWIQDEQNNNYGNAALLDCSKGFGPLDMMDGAIALQDVN >itb05g14820.t1 pep chromosome:ASM357664v1:5:22106656:22107209:1 gene:itb05g14820 transcript:itb05g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKMLAAVLMLTATATATATAQSISCAAYLNSTKPPASCCDALKESVTKELSCLCKLYKNPEVLKSLGVNITQALQLPKHCGISNDVSACDKDSTPKCCGRFRVLVSQLLQLLMEVFQF >itb09g11090.t2 pep chromosome:ASM357664v1:9:6933364:6937760:-1 gene:itb09g11090 transcript:itb09g11090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTFPKDFQEDIAKEAKNETKGSPLPVGMPKEQPKANSIEVGNMDSADKIETEMSFNLCDLKFGSYCTWHREHTEQVNDFFVRKMKNLLFEARAYYPSVLKLPAFRNLSNDLKKHIQEFEHLLNLATTDNDLPPRIRKKLKGMKAVIASAKACPVNCNNVDMKFRQLVDLTEDEPKFLTRQGALLYQIAVQTMPKSLHCLSMRLTVEYFRSPLPSEKLSWIERHLDPDLKHFVIFSKNVLASSAVINSTVVHTKESENQVFHVLTDRENYFAMKLWFFRNNYKEATVQVLNIEDLHLDDKTKSAPLHLSLPEEYSVFFHKVDISSMTPMRTEHLSVFSQSHFLLPKIFNSLKKVVVLDDDIIVQRDLSDLWSINLDGKVIGAVQHCSVKLVHLKNFLTDKSFDEKSCAWMSGLNIVDLVRWREQDLSGKFERLVQELGTKEAVTLLACLLTFQGEVYALDENWVLSGLGHNFSLGREAVKNARVLHYNGDMKPWLDLAIRDYFDFWSNYLNKEDQFLSDCNVNY >itb09g11090.t1 pep chromosome:ASM357664v1:9:6933364:6938666:-1 gene:itb09g11090 transcript:itb09g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAVGGQSNAVPVVKKRWKGLVMAVLSLVLLSMLVPVVFLLGLNKSFQSSSGLASEHQSSSSNAPRVYGQNEALSTGKQLEGDQSRHLDDITRSMEPTFPKDFQEDIAKEAKNETKGSPLPVGMPKEQPKANSIEVGNMDSADKIETEMSFNLCDLKFGSYCTWHREHTEQVNDFFVRKMKNLLFEARAYYPSVLKLPAFRNLSNDLKKHIQEFEHLLNLATTDNDLPPRIRKKLKGMKAVIASAKACPVNCNNVDMKFRQLVDLTEDEPKFLTRQGALLYQIAVQTMPKSLHCLSMRLTVEYFRSPLPSEKLSWIERHLDPDLKHFVIFSKNVLASSAVINSTVVHTKESENQVFHVLTDRENYFAMKLWFFRNNYKEATVQVLNIEDLHLDDKTKSAPLHLSLPEEYSVFFHKVDISSMTPMRTEHLSVFSQSHFLLPKIFNSLKKVVVLDDDIIVQRDLSDLWSINLDGKVIGAVQHCSVKLVHLKNFLTDKSFDEKSCAWMSGLNIVDLVRWREQDLSGKFERLVQELGTKEAVTLLACLLTFQGEVYALDENWVLSGLGHNFSLGREAVKNARVLHYNGDMKPWLDLAIRDYFDFWSNYLNKEDQFLSDCNVNY >itb02g13140.t3 pep chromosome:ASM357664v1:2:9160705:9168667:1 gene:itb02g13140 transcript:itb02g13140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVITNSSFGHDLLSQTIESMIEVVCTSKNVIVGKSSLDELSLYLDRIVPILKELNKNNTSDSKSLENVVEILSKQTRMAKQLIAECNVKNKFYLLMNCRSIAKRIEGITKEMSRALSCIPLTSLHISSGIKEEIVQLIDNMRDVDFRAAVTEEEILLKIEAGIEERNVDRSYANNLLVSIAEAIGIPSEHSALKKEFEEFRNEIENARLRKNQAEALQMDQIIALLERADAASSWEDKEKKYFSKRNSLGSQPLEPLQSFYCPITREVMIDPVETPSGHTFERSAIETWLTEENSCPITLNSLDTSMLRPNKTLRQSIEEWKERNTMITITSLKQKLLSDDDEEVLNCLEQLKDICEQREIHREWVLMEEYISSLIKLLGAKSREIRNLVLQVLYILAKDNNDAKERIVEVDNGMEAIVRSLGRRIVEGKSAVALLLELSKNESIRDRIGKVQGCVLLLVTMSRGDDIQAAKDATDLLENLSSSDGNVIMMAKANYFKYLLQRLFAGPDDIKLIMATTLGEMELTDHNKSSLFEEGVLDSLLRLISHRETEMKVAAVKALLNLSSLPRNGLEMIRQGAVRQLLNMLYHHAHQSLGEVVAATIMNLAISTTNQGSSGTLVSLLDCDEDIEGLFSLVKLVEPTVQQSILCTFCAMCQSPSAGSVKAKLAQCPDVQLLVQLCEDGDTNVRAYAVKLLYHLVEDGNEAIIREHMKQKSIETLLRITTTSPDEEEIASALGIISNFPDSPQISEWLLAAGGLPAFFRFLPDGNNSSSHAYRLVENAVGALCRLTDPTNQQTQRKAAEAGIVPKLVQLLGSGTSLTRKRAANALAQLSESSSVLSRPITKQQGFWCFSPSKVEVCPVHRGICGVESSFCLVEANAVEPLVKVLRDSDTGACEASLDALLTLIKDEMLQNGSKVLDQEDAIPSIIRLLSNPSPRLQEKVLHSLERVFRMVEYKQKYGRLAQMPLVELTQRGSNNLKSLAARVLAQLNVLHEQSSYF >itb02g13140.t2 pep chromosome:ASM357664v1:2:9160731:9168561:1 gene:itb02g13140 transcript:itb02g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVITNSSFGHDLLSQTIESMIEVVCTSKNVIVGKSSLDELSLYLDRIVPILKELNKNNTSDSKSLENVVEILSKQTRMAKQLIAECNVKNKFYLLMNCRSIAKRIEGITKEMSRALSCIPLTSLHISSGIKEEIVQLIDNMRDVDFRAAVTEEEILLKIEAGIEERNVDRSYANNLLVSIAEAIGIPSEHSALKKEFEEFRNEIENARLRKNQAEALQMDQIIALLERADAASSWEDKEKKYFSKRNSLGSQPLEPLQSFYCPITREVMIDPVETPSGHTFERSAIETWLTEENSCPITLNSLDTSMLRPNKTLRQSIEEWKERNTMITITSLKQKLLSDDDEEVLNCLEQLKDICEQREIHREWVLMEEYISSLIKLLGAKSREIRNLVLQVLYILAKDNNDAKERIVEVDNGMEAIVRSLGRRIVEGKSAVALLLELSKNESIRDRIGKVQGCVLLLVTMSRGDDIQAAKDATDLLENLSSSDGNVIMMAKANYFKYLLQRLFAGPDDIKLIMATTLGEMELTDHNKSSLFEEGVLDSLLRLISHRETEMKVAAVKALLNLSSLPRNGLEMIRQGAVRQLLNMLYHHAHQSLGEVVAATIMNLAISTTNQGSSGTLVSLLDCDEDIEGLFSLVKLVEPTVQQSILCTFCAMCQSPSAGSVKAKLAQCPDVQLLVQLCEDGDTNVRAYAVKLLYHLVEDGNEAIIREHMKQKSIETLLRITTTSPDEEEIASALGIISNFPDSPQISEWLLAAGGLPAFFRFLPDGNNSSSHAYRLVENAVGALCRLTDPTNQQTQRKAAEAGIVPKLVQLLGSGTSLTRKRAANALAQLSESSSVLSRPITKQQGFWCFSPSKVEVCPVHRGICGVESSFCLVEANAVEPLVKVLRDSDTGACEASLDALLTLIKDEMLQNGSKVLDQEDAIPSIIRLLSNPSPRLQEKVLHSLERVFRMVEYKQKYGRLAQMPLVELTQRGSNNLKSLAARVLAQLNVLHEQSSYF >itb02g13140.t1 pep chromosome:ASM357664v1:2:9160680:9168697:1 gene:itb02g13140 transcript:itb02g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDVITNSSFGHDLLSQTIESMIEVVCTSKNVIVGKSSLDELSLYLDRIVPILKELNKNNTSDSKSLENVVEILSKQTRMAKQLIAECNVKNKFYLLMNCRSIAKRIEGITKEMSRALSCIPLTSLHISSGIKEEIVQLIDNMRDVDFRAAVTEEEILLKIEAGIEERNVDRSYANNLLVSIAEAIGIPSEHSALKKEFEEFRNEIENARLRKNQAEALQMDQIIALLERADAASSWEDKEKKYFSKRNSLGSQPLEPLQSFYCPITREVMIDPVETPSGHTFERSAIETWLTEENSCPITLNSLDTSMLRPNKTLRQSIEEWKERNTMITITSLKQKLLSDDDEEVLNCLEQLKDICEQREIHREWVLMEEYISSLIKLLGAKSREIRNLVLQVLYILAKDNNDAKERIVEVDNGMEAIVRSLGRRIVEGKSAVALLLELSKNESIRDRIGKVQGCVLLLVTMSRGDDIQAAKDATDLLENLSSSDGNVIMMAKANYFKYLLQRLFAGPDDIKLIMATTLGEMELTDHNKSSLFEEGVLDSLLRLISHRETEMKVAAVKALLNLSSLPRNGLEMIRQGAVRQLLNMLYHHAHQSLGEVVAATIMNLAISTTNQGSSGTLVSLLDCDEDIEGLFSLVKLVEPTVQQSILCTFCAMCQSPSAGSVKAKLAQCPDVQLLVQLCEDGDTNVRAYAVKLLYHLVEDGNEAIIREHMKQKSIETLLRITTTSPDEEEIASALGIISNFPDSPQISEWLLAAGGLPAFFRFLPDGNNSSSHAYRLVENAVGALCRLTDPTNQQTQRKAAEAGIVPKLVQLLGSGTSLTRKRAANALAQLSESSSVLSRPITKQQGFWCFSPSKVEVCPVHRGICGVESSFCLVEANAVEPLVKVLRDSDTGACEASLDALLTLIKDEMLQNGSKVLDQEDAIPSIIRLLSNPSPRLQEKVLHSLERVFRMVEYKQKYGRLAQMPLVELTQRGSNNLKSLAARVLAQLNVLHEQSSYF >itb04g34290.t1 pep chromosome:ASM357664v1:4:36295066:36304006:-1 gene:itb04g34290 transcript:itb04g34290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPNTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >itb04g34290.t4 pep chromosome:ASM357664v1:4:36294950:36304239:-1 gene:itb04g34290 transcript:itb04g34290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDSVPSSAAHGNLDEQIAQLMQCKPLSEQEVRVLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPNTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQVSLPHPLSERI >itb04g34290.t3 pep chromosome:ASM357664v1:4:36294950:36304244:-1 gene:itb04g34290 transcript:itb04g34290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDSVPSSAAHGNLDEQIAQLMQCKPLSEQEVRVLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >itb04g34290.t2 pep chromosome:ASM357664v1:4:36294950:36304244:-1 gene:itb04g34290 transcript:itb04g34290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDSVPSSAAHGNLDEQIAQLMQCKPLSEQEVRVLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPNTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >itb08g04710.t1 pep chromosome:ASM357664v1:8:3866811:3869593:-1 gene:itb08g04710 transcript:itb08g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAELVVLVVAILQLFGISVSISDHSPAASALFIHSDASALLAFKEKADLDGKLIGFSSDNSSGVAFCQWSGVRCGRGRVVRVVFQGVGLSGGFAPGTLSELDRLRVLSLRRNLLTGPIPDLSGLGRLKFLFLDHNLFSGAIPPSISDLRRLRTLDLSHNQLAGPVPDGINGLDQLENLRLESNLLNGPVPPLNLTNLETFNISLNRLSGPVPVTLTLSRFNNSSFFSNKGLCGKIVGKECHSVQPFFPRSISAAKPPPPTAALSQNAAAQNAAGLYSVRKKPSNKSFIIIAVSAMGSLALVCAILCFALATRKMAGGGNQISSSSARKPPPESSSSAADRVATRIEEEEEEKAKKVKEGMLKMGKSGNLVFCAGEAAAYTLEQLMTASAELLGRGTMATTYKAVLDNRAVVCVKRLDAGKVGGTNKDDFERHMESVGKLRHPNLVALRAYFHANEERILVYDYHRNGNLHSLIHGTAKPLHWTSCLKIAEDVAQALSYIHQAWRLVHGNLKPSNILLGSDFEACLSDYCLSVLAAPISDDEDQIPNSAAYKAPELRRSNRHRRPTTKSDVFSFGVLLLEILTGKPPPEHPFLPPEEMAEWVRAVREDGGGGENGDRTEMILEVGLACRISSPEQRPDMWQVLKMIQEIKDGVIMEDCQEMDLLITGT >itb11g09470.t1 pep chromosome:ASM357664v1:11:6325885:6327114:1 gene:itb11g09470 transcript:itb11g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRSQQYAHPNPSFPSFYFEFVSLCFNFGSGLKFQPFLLRVCALLYGVREFINDWQNDGAAHCDTTVARMLVGNKCDLESIRGVSVEEGKLLAEEEGLFFIETSALDSNNEGIG >itb05g22960.t1 pep chromosome:ASM357664v1:5:28223686:28224246:-1 gene:itb05g22960 transcript:itb05g22960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNNTFLLSLMITLLCLAISISTTSATRRLLQIPGAPPLPTMPTIPSLPQPTLPQMPSIPNMPTTTLPPLPAFNLPNMPLPTLPSAPKLTLPPMPANIPLPTSIPNFPAIPTLSPPPSN >itb06g06380.t1 pep chromosome:ASM357664v1:6:9032226:9040579:-1 gene:itb06g06380 transcript:itb06g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIAFSVSGCILSSPSPFPFRTTRLFSFPRSKDPAAFASVTIRNSAGVSTHPQEENYPHQPSLQTIVASDNTAAQTYTNTSPNSRRKYGWESEPLSDEGALSLKDYFQQSKQMLRSDGGPPRWFSPLDCASRLQHSPLLLFLPGIDGVGLGLLPHHKKLGEIFDVWCLHIPLTDRTSFTDLVKLVEETVRSEYCNAPKRPIYLIGESFGACIALAVAARNADIDLVLILANPATCFSRSWLQNLLTLTDVLPELHHPSMVYMLSLISGTPLRMVLATFGKGLPLQQTVEELSQNAVALSSYVSVLADVLPVETLIWRLKMLKSAAAFVNSRLHAVKAQTLILSSGRDEFLPSQEEGERLSRVLPNCDIRQLSDSGHAIFLEDGIDLVAIIKKAGIYKRGKHRDCVSDYLRPKPAEFQKTYEPYRWTEVAVNPVMLSTLGDGKIVQGLAGIPSEGPVIVVGYHMLLGIELIPLVSRFWLEKEIVLRGIGHPLMFSRSREGLLPELSSYDPFRFMGAVPVSASNFFKLLSSNSHVLLYPGGMREALHRKGEEYQLFWPEQSEFVRMAARFGAKIVPFGVVGEDDVSELLLDYDDLMKIPFFKDAIQKLTDETVKLRSEMEGEVGNQDVHSPIILPKLPGRFYYYFGKPIETQGRKEELKRSREKAQEVYMEVKSEVNKCIAYLKEKREQDPYRSLLARLLYQATHGFHSQVPNFQL >itb03g22160.t1 pep chromosome:ASM357664v1:3:20162791:20164949:1 gene:itb03g22160 transcript:itb03g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIAIIGAGISGLLACKYAVQKGFNPTVFEAQSSIGGVWTQTIESTKLQTAKEAFHFTDFPWPSSVKEHCPPGSDVLRYIELYAEHFGLLSYIKFNSKVISIDYVGDSDEEMLSWEVWSGNGNPFGSKGKWKLLVQQLGDNSLAKEYVVEFVVLCSGRFSGLPNIPDFPLGQGPEVFGGKVIHSMEYSAMDNASAAELIKGKRIAVIGSQKSAVDIAAECADANGAKNPCIMIQRTVDWMLPSAFFWGVSFALLYGNRFSELLVHKPGETIIHSILATLLSPLRWGISKFVESYLRWKLPLKKYRMIPKHSFLQQASSCKIFFLPQNFYVKVEEGSIVLKQSKGFCFCKEGLILNGESHPLKADIVILATGYKGQEKLRNIFTSQTFQNYIMGSSTSTLPLYRQIIPPRIPQLAIVGFAESFSNLTSLEIRCQWLAHLLCYTFKVPSIKEMEKDILMWEKYMKEYGGGEYKRSCIGAVHIWYCDQLCKDIGCNPRRKGGFFSELFLPYGPDDYAGLAPRK >itb01g00460.t2 pep chromosome:ASM357664v1:1:213702:216561:-1 gene:itb01g00460 transcript:itb01g00460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQFDSVDLPAGVEASVPWLNEPTPSSTVATSVSAPQDCSSGQPAGVGTSANFISQPVGTNIESSGSSAGQTSSGKCDDQNGDGNEVIRKYQHFKHFDLVDDSSDHHYYNMDVKGQRPKAWLKKIQDDWKILESDLPDSIYVRVYEKRMDLLRAVIVGPQGTPYHDGLFVFDAFFPDAYPDKPPMLYYYSGGLRLNPNLYDSGKVCLSLLNTWTGQATEIWQPKQSTMLQILVSIQALILNAKPFFNEPGYESSYVGVKGEQKSKEYNEDAVVLSLKTMMYTLRRPPRNFEDLVIGHFQTHAHDILSACKAYMEGAAVGSVVKGEIQGNDGSGGSAKLKEDVSKMMNGLISNFTKKGAKDCEKFRLAS >itb01g00460.t1 pep chromosome:ASM357664v1:1:213702:217241:-1 gene:itb01g00460 transcript:itb01g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVERERLALQHPDDSAVDKSMHNEDVEGSASVPASANESLDNNNKNGSNSSCIEDANDGVCEDLLRNDDVVCESEYSDEEGDYAFAYDNDDDNDDDDSDYLRMQAQFDSVDLPAGVEASVPWLNEPTPSSTVATSVSAPQDCSSGQPAGVGTSANFISQPVGTNIESSGSSAGQTSSGKCDDQNGDGNEVIRKYQHFKHFDLVDDSSDHHYYNMDVKGQRPKAWLKKIQDDWKILESDLPDSIYVRVYEKRMDLLRAVIVGPQGTPYHDGLFVFDAFFPDAYPDKPPMLYYYSGGLRLNPNLYDSGKVCLSLLNTWTGQATEIWQPKQSTMLQILVSIQALILNAKPFFNEPGYESSYVGVKGEQKSKEYNEDAVVLSLKTMMYTLRRPPRNFEDLVIGHFQTHAHDILSACKAYMEGAAVGSVVKGEIQGNDGSGGSAKLKEDVSKMMNGLISNFTKKGAKDCEKFRLAS >itb12g24110.t1 pep chromosome:ASM357664v1:12:25750469:25753987:-1 gene:itb12g24110 transcript:itb12g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYGVPPPPVLQNPGASEDYCLGRILLHSPGLWAETGPEDYKFLTYSLPRMLFQIAVIFFLTQGLYLLLKRFRLPRLVCELLAGIILGPSVLGRFEYLGYFMLREPSEAYIVLLSKFGYMFFMFLSGVKMDPGLMSRSGVKAWTIGALAVAIPNFAFTVFSDVMLSQYPQIHRYRRSAIATILRVSSQYSFPVIAVLLVDLKIMNSELGRLSMATALIADLVSNVYLSVYANLKLGAMAAMDVLTIHACIMSVLCISLIAFTSRPLSAMIIKRTPEGKPVDTAYIILFAYLVFLAAVLSDNAGMSFQYGPFILGLTVPDGPPLGSTLVDKLDTMVSGLLAPLMVTYTGMRVNLNLFYDLEFIGYVWITALSCYAFKYFSIFTPALACGVTIKDAASLAFILGTQGIVQVALYLNTHVNQTLDRETFSTLVLSVLVIATTSHLVVAYMYDYSRAYSGYQKRDIQHNSGNSELRMLTVAYRLDDVLATRKLLDLSCPYRESPLSIYSLFLVELVGRATPLLIDHQLGQKNASSNSRSQKMVDVLHSFELQYMGCSCVQFFTSVSLQKFMHHDICSLAYDKLTSMIILPFHRKWNQQGKLIQDNKSIRLMNNNVLETAPCSVGILIDRQKVKSQGQKPSSTKHVGMVFLGGADDREALSYARRMARSPSVYLTVVRFVPWDPELCDNQWDAVLDAELLKDTRFQAHQQDNIVYREERVKDGAESALLIRDMEEAFDLILVGRRHRNDMPQLIGLNEWNDIPELGPFGDLFAVGDIISPVSVVVVQQQNTKTK >itb12g24110.t2 pep chromosome:ASM357664v1:12:25750469:25753983:-1 gene:itb12g24110 transcript:itb12g24110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYGVPPPPVLQNPGASEDYCLGRILLHSPGLWAETGPEDYKFLTYSLPRMLFQIAVIFFLTQGLYLLLKRFRLPRLVCELLAGIILGPSVLGRFEYLGYFMLREPSEAYIVLLSKFGYMFFMFLSGVKMDPGLMSRSGVKAWTIGALAVAIPNFAFTVFSDVMLSQYPQIHRYRRSAIATILRVSSQYSFPVIAVLLVDLKIMNSELGRLSMATALIADLVSNVYLSVYANLKLGAMAAMDVLTIHACIMSVLCISLIAFTSRPLSAMIIKRTPEGKPVDTAYIILFAYLVFLAAVLSDNAGMSFQYGPFILGLTVPDGPPLGSTLVDKLDTMVSGLLAPLMVTYTGMRVNLNLFYDLEFIGYVWITALSCYAFKYFSIFTPALACGVTIKDAASLAFILGTQGIVQVALYLNTHVNQTLDRETFSTLVLSVLVIATTSHLVVAYMYDYSRAYSGYQKRDIQHNSGNSELRMLTVAYRLDDVLATRKLLDLSCPYRESPLSIYSLFLVELVGRATPLLIDHQLGQKNASSNSRSQKMVDVLHSFELQYMGCSCVQFFTSVSLQKFMHHDICSLAYDKLTSMIILPFHRKWNQQGKLIQDNKSIRLMNNNVLETAPCSVGILIDRQKVKSQGQKPSSTKHVGMVFLGGADDREALSYARRMARSPSVYLTVVRFVPWDPELCDNQWDAVLDAELLKDTRFQAHQQDNIVYREERVKDGAESALLIRDMEEAFDLILVGRRHRNDMPQLIGLNEWNDIPELGPFGDLFAVGDIISPVSVVVVQQQNTKTK >itb04g19780.t1 pep chromosome:ASM357664v1:4:24242657:24244434:1 gene:itb04g19780 transcript:itb04g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLDVRIPICSAVSSFGKSQRRLLACHGAQCHALALSNEPPKIRFSSRADSVAHRMNKFAQGIREHVKLGNKITETVKGKLSLGKKILQVGGVSKIFKWNFNAKEGEELLKTCQCYLSTTSGPIAGLLFISTHKIAFCSERSIKLSSSSSSSGKSLRVRYKVMIPLRKIKIAHESRNVKKPSQKYIQVVTEDKHEFWFMGFLNHQRTLKHLKEAIYQTQC >itb07g04530.t1 pep chromosome:ASM357664v1:7:3019295:3019639:-1 gene:itb07g04530 transcript:itb07g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKTSSSDMNNNPHHRYQYPNDFELTVTERKAIHKLIDVPPYPSIRFTPRGFEAFRSKDEEEEEHAAGTRMMMKKYPLPHPSRGEEDVDSEAEQFIRKEHSKFERAKSSFIKY >itb14g20770.t1 pep chromosome:ASM357664v1:14:23025699:23028658:1 gene:itb14g20770 transcript:itb14g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPQLQKQFVDLIASLYREGFLDDQFLQLQKLQDDSNPDFVFEVVSLFFEDSEKLINNLATALQQPVVDFNQVDAHVHQFKGSSSSIGAQRVKNACVSFRNFCEEKNLDGCVQCLQLVKNEYFVVKNKLETLLRLEQQILAAGGKIPVLP >itb07g01930.t2 pep chromosome:ASM357664v1:7:1149504:1156946:-1 gene:itb07g01930 transcript:itb07g01930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAPCMDLENGKVAADDKGCKGGDWLGWEDLTVVVPNKFGNGPTKRLLNGVTGFAEPGRIMAIMGPSGSGKSTLLDSLAGRLSRNLAMTGNILLNGRKRRLNYGAVAYVTQEDVLMGTLTIRETLTYSAQLRLPTNLKHHEVVDSVISDMGLQDCADNLVGNWHLRGISGGEKKRLSIALEILTPLQPRLLFLDEPTTGLDSAAAFFVVEVLKNLAGGGGRTVVCSIHQPSSEVFAQFDDLCLLSSGETVYFGEAKNAVEFFADCGFACPNRRNPSDHFLRCINSDFDHVTATLIGSQRITDIKQSSGSTIYLPTAEIRARLLQNYKYSEYASRVRIKLREMSKMEGVVSVGERGGRQARWWKQLTTLTRRSMTNMSRDFSYYWLRIIMYIILSVCVGTVFHDVGTNYRAIMARGACGGFISGFMTFMSIGGFPSFIEEMKIFYKERLNGHYGVGLFILSNFISSFPFLVLMSFSSAAITYTLVKFHPGFIHFTYAAVDLLCSLAVVESCMMVVASIVPNFTMGLVIGAGLIGIMMASAGFFQPFPYLPKFFWTYPISYVNYMAWGLQGAYKNDMLGLEFEPMNSSEVKLNGEVILTTVLGISVEHSKWWDLGAVIAILASYRLAFFVVLKLRETAMPYLYNLYTKTTMHRISKRPSFRKITPPPFPSKRHCTMHSLSSQEGLNSPFH >itb07g01930.t1 pep chromosome:ASM357664v1:7:1149758:1156946:-1 gene:itb07g01930 transcript:itb07g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAPCMDLENGKVAADDKGCKGGDWLGWEDLTVVVPNKFGNGPTKRLLNGVTGFAEPGRIMAIMGPSGSGKSTLLDSLAGRLSRNLAMTGNILLNGRKRRLNYGAVAYVTQEDVLMGTLTIRETLTYSAQLRLPTNLKHHEVVDSVISDMGLQDCADNLVGNWHLRGISGGEKKRLSIALEILTPLQPRLLFLDEPTTGLDSAAAFFVVEVLKNLAGGGGRTVVCSIHQPSSEVFAQFDDLCLLSSGETVYFGEAKNAVEFFADCGFACPNRRNPSDHFLRCINSDFDHVTATLIGSQRITSSGSTIYLPTAEIRARLLQNYKYSEYASRVRIKLREMSKMQEGVVSVGERGGRQARWWKQLTTLTRRSMTNMSRDFSYYWLRIIMYIILSVCVGTVFHDVGTNYRAIMARGACGGFISGFMTFMSIGGFPSFIEEMKIFYKERLNGHYGVGLFILSNFISSFPFLVLMSFSSAAITYTLVKFHPGFIHFTYAAVDLLCSLAVVESCMMVVASIVPNFTMGLVIGAGLIGIMMASAGFFQPFPYLPKFFWTYPISYVNYMAWGLQGAYKNDMLGLEFEPMNSSEVKLNGEVILTTVLGISVEHSKWWDLGAVIAILASYRLAFFVVLKLRETAMPYLYNLYTKTTMHRISKRPSFRKITPPPFPSKRHCTMHSLSSQEGLNSPFH >itb06g02770.t1 pep chromosome:ASM357664v1:6:4764567:4773251:1 gene:itb06g02770 transcript:itb06g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPLSETISRSPTPVISFDCDARHSADDLHLLPADALHSAVTCSESHRRRPRTPLPTPPLEKCLHYNIKMKYEECSKLVVNQYSPRTSNSTIPIKLGKTCRQQISKKLEHIPKQYHLSVGDLKAPSPSITSQISKLHRSGGGDFAQFAAVRPPSRVVVVVEPVVTSIRGGQVATHLSRPRGCSAFSGDLLRFSHRCFATCELRLRNLSFSLCLRLYLIADHHPPPSELPTSTILLAGRPYICLRAQGKLTLFPLVRCLSIVEFVDLWNFVDTWSLGEQRGKLGVLGCWQLAVR >itb02g08170.t1 pep chromosome:ASM357664v1:2:5117604:5118720:-1 gene:itb02g08170 transcript:itb02g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIPYKTWLTMPRDKPAVVGKQSSPLMNKAGGSSSSIYEGVKEEKDGAKNMVTENAVVVFGRRGCCMVHVVRRLLQVLGVNPVVTEIDEEAEKEVIEELESIAGDRTEKGLQFPAVFIGGRLFGGLERIMAAHISGELTPVLKHAGALWL >itb13g11420.t1 pep chromosome:ASM357664v1:13:16179295:16181281:1 gene:itb13g11420 transcript:itb13g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L14, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00780) UniProtKB/Swiss-Prot;Acc:P56792] MIQPQTHLNVADNSGARELMCIRIIGASNRRYARIGDVIVAVIKEAIPNTPLERSEVIRAVVVRTCKELKRDNGMIIRYDDNAAVIIDQEGNPKGTRIFGAIARELRQKFAKIVSLAPEIMKIRFWVMFQDGYDVVLYEFYREIELRLKCTRSSRFLGFCRYLWIQGYKKRNTICGSNRSGKCYSYGGGTRYATSRSHDKRSWSRKGCSITGYS >itb10g19460.t1 pep chromosome:ASM357664v1:10:25292997:25294484:1 gene:itb10g19460 transcript:itb10g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFILAILFLFGMCAFQATSRNLDETSLIQRHEKWMAHHGRFYKDDVEKAKRFRIFNQNLEFVESFNKAGNRSYKLGLNKFSDLSNEEFRSMFLNQDNIFFHPTRFPSGNSSIGNGNSVGDSDNLDWREKGAVTEVKDQSQCGACWAFTAVAAVEGIHQINTGNLVSLSEQQLLDCDERSYGCNGGMITEAFQSIQDIGGLVSESEYPYQGSQGMSCNNQGSPVASISGFNEVEQGESALLQAVINQPVSVGITIGSMEFQQYSTGVFNGDCGTGSHHAVTVVGYGTSEDGEKYWLIKNSWGTSWGEEGYMRMARDTSEGGLCGLATRAAYPTA >itb01g28300.t1 pep chromosome:ASM357664v1:1:32801876:32812410:-1 gene:itb01g28300 transcript:itb01g28300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDQKNNQEELLKTLGDFTSKDNWDSFFTIRGSDDAFEWYAEWAQLQEPLLPHLSGEAQILVPGCGNSKLSEHLYDAGYRNITNIDFSKVVISDMLRRNVRNRPEMKWRVMDITDMQCLLHLLFSGVLFVKFRYGWKMSVHAIAQKQSDKSSLQTFMVMVEKDDSSVLSQIFSSFDQSSIHSPGNQADGLRQALEVENRLREEYSNSLDIVYSLEELKLGAKGNLTERRPGQRLQLTLGEPGISSFSYKAALLDAREEFGPFSYHCGVFLVPKTRAHEWLFSTEEGQWIIVENSKAARLIMILLDSSHLNASMDDIQSDISPLVKQLAPSECQDASQIPFMAASDGIKKRKIVHEITSSLTGPITVDDVIYEQTDENISCLFQSDNVMFRRLTFQRTESLVQSEAVLTSEGSQKNITDKDQKKNLRSKSRKKGSKTSSDGSRNDLKVDHSYLASPYHTGIISGLMLISSYLSSAASKGGMVKTVVIGLGAGSLPMFLHRHTPFLEIEVVELDPVVLDLARDYFDFREDGNLKVLITDGLKFVKEAAHLVTCSREADLSKLKDSTVSDAESSSTPHIDILIVDVDSSDSSSGLSCPAVDFVKESFLTAVKDSLSDQGLFIINLVSRSPAIKDTVFSRMKSMFHHLFHLELEEDVNEVVFALKTESCIPENCFPEAVDQLSKLLNLEQSRFGKPIIDAAKKIKRIV >itb11g06220.t1 pep chromosome:ASM357664v1:11:3698782:3705213:1 gene:itb11g06220 transcript:itb11g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFDLKDFQEKFSTQLRPWQRSFQFWARTADIYTGYKAFQVRMCFEKDVQKQEAMWERQHEVAAEKIYNMCSELGGFFLKVAQIIGKPDLAPAAWVRRLVTLCDQAPATSYSVIKVVLEKELGQSVDDLFERFDVEPLGSASIAQVHRARLRGNKNDVVVKVQHPGVQELMMTDIRNLQAFALYMQKTDIKFDLFSVTKEMEKQISYEFDFKREADAMQRIQNFLYKNNKKSPVLVPRVMRDMVSRRVLVMEYIDGIPILKMGDEMAKRGINPSGKLAVAAKQNILKSLSLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPENLRLGYANLILAIADNDQVKALQSYRELGINTVCKCEDELKELLRLAQTMFDTKLPPGVTMLQPFSEGSSIKKIAVQAFPEELFSVLRTVHLLRGLSVGLGINYSVAEQWRPLAEEALYRAGRLTGKELKSVQRQGIFRRNFWR >itb11g06220.t2 pep chromosome:ASM357664v1:11:3698782:3704165:1 gene:itb11g06220 transcript:itb11g06220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHFDLKDFQEKFSTQLRPWQRSFQFWARTADIYTGYKAFQVRMCFEKDVQKQEAMWERQHEVAAEKIYNMCSELGGFFLKVAQIIGKPDLAPAAWVRRLVTLCDQAPATSYSVIKVVLEKELGQSVDDLFERFDVEPLGSASIAQVHRARLRGNKNDVVVKVQHPGVQELMMTDIRNLQAFALYMQKTDIKFDLFSVTKEMEKQISYEFDFKREADAMQRIQNFLYKNNKKSPVLVPRVMRDMVSRRVLVMEYIDGIPILKMGDEMAKRGINPSGKLAVAAKQNILKSLSLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPENLRLGYANLILAIADNDQVKALQSYRELGINTVCKCEDELKELLRLAQTMFDTKLPPGVTMLQPFSEGSSIKKIAVQGWLGRHVTETWICN >itb12g05840.t1 pep chromosome:ASM357664v1:12:4354261:4357805:1 gene:itb12g05840 transcript:itb12g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWLKMAENTAAAAYCVREEKGCVGWVYKHFNDCLCNVRDEVSFCLGLASFVCWGVAEIPQIFTNFRTKSSHGISLLFLLTWITGDIFNLVGCLLEPATLPTQLYTALLYTATTLVLVLQTLYYDYVYKSWKCKAEGSNHRIEDVKKPLWPPKSVVSGVPIPNDSARTGPQHRIDYYYTSARSLAGSATPPFRSSLWPIKSGPSALGIQDNDASSDDDEAAKNYSFTQIKGIPRSAGYGAFLATTVNTPRQAKALMSTYVAFGGRKLLQEDGAEHGASGQWLGWMMAAIYLSSRLPQIWLNIRRGSAEGLNPFMFIFALIANLTYVGSIVVRTTEWDKIEPNLPWLFDAAGCVMLDLLIILQYIYYRYFRKKASGEILKGMETTLK >itb12g05840.t2 pep chromosome:ASM357664v1:12:4354261:4357805:1 gene:itb12g05840 transcript:itb12g05840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTATTLVLVLQTLYYDYVYKSWKCKAEGSNHRIEDVKKPLWPPKSVVSGVPIPNDSARTGPQHRIDYYYTSARSLAGSATPPFRSSLWPIKSGPSALGIQDNDASSDDDEAAKNYSFTQIKGIPRSAGYGAFLATTVNTPRQAKALMSTYVAFGGRKLLQEDGAEHGASGQWLGWMMAAIYLSSRLPQIWLNIRRGSAEGLNPFMFIFALIANLTYVGSIVVRTTEWDKIEPNLPWLFDAAGCVMLDLLIILQYIYYRYFRKKASGEILKGMETTLK >itb12g05840.t3 pep chromosome:ASM357664v1:12:4354261:4357805:1 gene:itb12g05840 transcript:itb12g05840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWLKMAENTAAAAYCVREEKGCVGWVYKHFNDCLCNVRDEVSFCLGLASFVCWGVAEIPQIFTNFRTKSSHGISLLFLLTWITGDIFNLVGCLLEPATLPTQLYTALLYTATTLVLVLQTLYYDYVYKSWKCKAEGSNHRIEDVKKPLWPPKSVVSGVPIPNDSARTGPQHRIDYYYTSARSLAGSATPPFRSSLWPIKSGPSALGIQDNDASSDDDEAAKNYSFTQIKGIPRSAGYGAFLATTVNTPRQAKALMSTYVAFGGRKLLQEDGAEHGASGQWLGWMMAAIYLSSRLPQIWLNVSVIMPFAMLGYINRLPLRQKLSNWHPTIP >itb12g05840.t4 pep chromosome:ASM357664v1:12:4354261:4357805:1 gene:itb12g05840 transcript:itb12g05840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWWLKMAENTAAAAYCVREEKGCVGWVYKHFNDCLCNVRDEVSFCLGLASFVCWGVAEIPQIFTNFRTKSSHGISLLFLLTWITGDIFNLVGCLLEPATLPTQLYTALLYTATTLVLVLQTLYYDYVYKSWKCKAEGSNHRIEDVKKPLWPPKSVVSGVPIPNDSARTGPQHRIDYYYTSARSLAGSATPPFRSSLWPIKSGPSALGIQDNDASSDDDEAAKNYSFTQIKGIPRSAGYGAFLATTVNTPRQAKALMSTYVAFGGRKLLQEDGAEHGASGQWLGWMMAAIYLSSRLPQIWLNIRRGSAEGLNPFMFIFALIANLTYVGR >itb12g05940.t1 pep chromosome:ASM357664v1:12:4436450:4438065:-1 gene:itb12g05940 transcript:itb12g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGAESPRRRVLGAFRKAVLPISTTLVIFATFCFTSYNISDSLLQSFNLIPGGECNIFQGNWVPFPEGPYYTNVTKCDIEDRQNCMKFGRPDTGFLKWRWQPDRCELPLFDGRQFLEIVQGKTMAFVGDSVARNQMQSLKCLLASVADPVKVSDTAVERWVYKEYNFTLLSFWSPHIVKAKDADPRWVSPDSLMNLYTDEADRVWADEMADADVVIFSAGQWFFRPFFYYKNGQIVGCHRCNETNIEKRNHYFGYGLAFRTAFKTLLDHEKFKGLVILRTFSPAHFENGEWNTGGNCVRTRPFTKRQMKLEGYTLEMYLTQVREFMAAKREGSKKGVRFRLLDTTESMVLRPDGHPNHFGHWAYENKTTADCVHWCMPGPIDTWNEFLLHVLKMETPEYI >itb11g05340.t1 pep chromosome:ASM357664v1:11:3155925:3157068:-1 gene:itb11g05340 transcript:itb11g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPSVDKNGLKKGAWSEEEDDKLRAYVLRYGHWNWRQLPKFAGLSRCGKSCRLRWMNYLKPGIKRGSFSKDEDEMIIKLHKELGNKWSAIAGKLPGRSDNEIKNHWHAHLKKHLQTKQDPKIIRSEQKINETAEYETFQKVKIAEDESSIYDAISSYSQGASSSEESSCLSSNSKSSDLISCNTVWTVPEEGMMMTSSQSFEETFFWDDLLFADASFSSSESEGGFMSPTSMVEEEFTLPYSLFGEDDVNFLNNFM >itb12g06250.t1 pep chromosome:ASM357664v1:12:4645129:4645748:-1 gene:itb12g06250 transcript:itb12g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHRLLKCLLLLLLIYTRAAGAVMMGGCRTAAECATAGGGVIGEEFAMMDSETNKRLLERATNNLNIYDSLHPGPICHAKSYGDCIGKAQNRMIKCGNYTRDCSGGG >itb08g09140.t1 pep chromosome:ASM357664v1:8:8369046:8372678:1 gene:itb08g09140 transcript:itb08g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVLATAIAALAAVLMLTATATAQSTSCVNNLIPCAAFLNSTKPPASCCDPLKETVTKELSCLCNLYKNPKVLNSLGVNITQALELPKHCGISNDVSACNKASSPTAATTTPPSVPPPPTTPSGVSRFAWAEVSSLLLLGASLMLW >itb08g09140.t2 pep chromosome:ASM357664v1:8:8369046:8370131:1 gene:itb08g09140 transcript:itb08g09140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVLATAIAALAAVLMLTATATAQSTSCVNNLIPCAAFLNSTKPPASCCDPLKETVTKELSCLCNLYKNPKVLNSLGVNITQALELPKHCGISNDVSACNKASSPTAATTTPPSVPPPPSNFLFL >itb04g02860.t1 pep chromosome:ASM357664v1:4:1770319:1771567:-1 gene:itb04g02860 transcript:itb04g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGDGGNAEYWWWAAASTGQLGWGISALRKGYAGSSNLMPVKAFFVASLFVGATASAAVASLLASGIHSVEDMKTVGASIRTGVGIPPRAQDN >itb04g02860.t2 pep chromosome:ASM357664v1:4:1770319:1771567:-1 gene:itb04g02860 transcript:itb04g02860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGDGGNAEYWWWAAASTGQLGWGISALRKGYAGSSNLMPVKAFFVASLFVGATASAAVASLLASGIHSML >itb06g14530.t3 pep chromosome:ASM357664v1:6:19070998:19075479:-1 gene:itb06g14530 transcript:itb06g14530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAPNRIRVEDYFTSNFNTLSPNFDHVSKKPKLSPSSAHQPPDSAAPHISAKSTISRFFRYPEVTAQLKRQVHAPCRQSRFRFSANSRNFSGKLDFGDSRSGSLLTKRYERAKESAFRCLRYVRKDEDAIEVDNEGVEVVEKVRVEYPPKDSDEEVEILDVSVRNEGEEKERTLKPSSSSRVTELDNLDLPSYKKLLAAVNKKDDMFKRLKFDIELYEKRLLHLQPQKKAGEVKEVQCLIQEPFVSLTEEEDAEVACALSNSNRHNVLVTHENSNIDITGKSLQCLKPGAWLNDEVINVYLGLLKERERQEPQKFLRCHFFNTFFYKSLTRGGYNYQSVRRWTSQRKLGYCLLECDKIFVPIHKEVHWCLAVINKKDEKFQYLDSLRGRDPCVMPILMK >itb06g14530.t1 pep chromosome:ASM357664v1:6:19074141:19075479:-1 gene:itb06g14530 transcript:itb06g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAPNRIRVEDYFTSNFNTLSPNFDHVSKKPKLSPSSAHQPPDSAAPHISAKSTISRFFRYPEVTAQLKRQVHAPCRQSRFRFSANSRNFSGKLDFGDSRSGSLLTKRYERAKESAFRCLRYVRKDEDAIEVDNEGVEVVEKVRVEYPPKDSDEEVEILDVSVRNEGEEKERTLKPSSSSRVTELDNLDLPSYKKLLAAVNKKDDMFKRLKFDIELYEKRLLHLQPQKKAGEVKEVQCLIQEPFVSLTEEEDAEVACALSNSNRHNVLVTHENSNIDITGKSLQCLKPGAWLNDEVINVYLGLLKERERQEPQKFLRCHFFNTFFYKSVCYPFSSALFFIQKHC >itb06g14530.t2 pep chromosome:ASM357664v1:6:19070998:19075479:-1 gene:itb06g14530 transcript:itb06g14530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAPNRIRVEDYFTSNFNTLSPNFDHVSKKPKLSPSSAHQPPDSAAPHISAKSTISRFFRYPEVTAQLKRQVHAPCRQSRFRFSANSRNFSGKLDFGDSRSGSLLTKRYERAKESAFRCLRYVRKDEDAIEVDNEGVEVVEKVRVEYPPKDSDEEVEILDVSVRNEGEEKERTLKPSSSSRVTELDNLDLPSYKKLLAAVNKKDDMFKRLKFDIELYEKRLLHLQPQKKAGEVKEVQCLIQEPFVSLTEEEDAEVACALSNSNRHNVLVTHENSNIDITGKSLQCLKPGAWLNDEVINVYLGLLKERERQEPQKFLRCHFFNTFFYKSLTRGGYNYQSVRRWTSQRKLGYCLLECDKIFVPIHKEVHWCLAVINKKDEKFQYLDSLRGRDPCVMPILAKYFVDEVKDKSGKLIDVSSWEQEFVEDLPEQQNGFDCGMFMIKYVDFYSRDKGLCFSQEHMPYFRLRTAKEILRLKAE >itb01g04720.t1 pep chromosome:ASM357664v1:1:3212667:3213048:1 gene:itb01g04720 transcript:itb01g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTFLQAAEESYISLKELVEKSRSSDLPDFDKKCGILQYLVKTQQRMLRLNVIAKWFQQSKQK >itb12g26220.t2 pep chromosome:ASM357664v1:12:27210585:27211672:-1 gene:itb12g26220 transcript:itb12g26220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFAGDTNLVFADKVLKFTGSGKMKRRILLITDFAIYIVDPETDVLKRRIALAAVEKLCLSKLSDNFFAIIIPTEYDILMASTRKTEIVMSIVDTTRTVSNYELDVFHSNRFEYNATAELVKQVKFEEVEGGVKTRIVQNTRRKE >itb12g26220.t3 pep chromosome:ASM357664v1:12:27210892:27212635:-1 gene:itb12g26220 transcript:itb12g26220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRTNPAVHVEPDEAEDLRCDDEETAAENNEEEGGSRGVDCPGDHVGVPSRHYLKKILEKQGDTNLVFADKVLKFTGSGKMKRRILLITDFAIYIVDPETDVLKRRIALAAVEKLCLSKLSDNFFAIIIPTEYDILMASTRKTEIVMSIVDTTRTVSNYELDVFHSNR >itb12g26220.t1 pep chromosome:ASM357664v1:12:27210509:27212649:-1 gene:itb12g26220 transcript:itb12g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRTNPAVHVEPDEAEDLRCDDEETAAENNEEEGGSRGVDCPGDHVGVPSRHYLKKILEKQGDTNLVFADKVLKFTGSGKMKRRILLITDFAIYIVDPETDVLKRRIALAAVEKLCLSKLSDNFFAIIIPTEYDILMASTRKTEIVMSIVDTTRTVSNYELDVFHSNRFEYNATAELVKQVKFEEVEGGVKTRIVQNTRRKE >itb10g23580.t1 pep chromosome:ASM357664v1:10:27860700:27863236:-1 gene:itb10g23580 transcript:itb10g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSTAERIARLSAHISPSNLQMGESSMLERANCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNSPGVTADISHMDTGAVVRGFLGQKELEAALTGMDLVVIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNALVNLISNPVNSTVPIAAEVFKKAGIYDPKKLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTPEETEFLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADLCLRGLRGDAGIVACAYVDSKVTELPFFASKVRLGRGGAEEVYQLGPLNEYERIGLEKAKQELATSIQKGVSFIKK >itb02g03200.t1 pep chromosome:ASM357664v1:2:1840996:1843382:1 gene:itb02g03200 transcript:itb02g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINSFKGYGKVDPAEERAFRRKTRKRIIILAVSAVLLVGLVIGIVAGTVIHKKNKNDSSDEGGGDATRSSSKSVQAICSVTEYKDSCVSSLSQVAGEKTTDPKKLFLLSLQLAADSLKKLALQPTGWAKGTGDENIKKAFEVCGEVFDDAIDRLNDSISAVDGGGDGKLFSTAIIADLKTWLSSAVTDQETCLDALEEIKANSTVIDNVKSVMKNSSEFASNSLAIVAHIFSILGDFNIPIHRKLLAANQNSDGFPEWIRSGDRKLLQAANPKPDVTVDKNGSPASVKTIQEAVDKIPKKSKTRFVIHVMAGEYKENLVLDKSKWNVMMYGDGKGKTIITGSTNFIDGTPTFKTATFAVAGKGFIARDITFQNTAGAEKHQAVAMRSGSDQSVFYRCSFDAYQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCKIQPRQPLPNQFVTITAQGKKDPNQNTGISIQKCDISPLDKLTAPTYLGRPWKQYSTTVVMQSTIGSFLKPQGWIEWVPSVDPPATIFYGEYMNTGAGAGVEQRVKWAGYKSSITSDQASKFTVESFIQGKEWLPADVTNEPTL >itb05g25460.t2 pep chromosome:ASM357664v1:5:29850030:29856138:1 gene:itb05g25460 transcript:itb05g25460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSEKKFPVNAKDYELYEEVGEGVSAIVYRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMILINHPNLLRAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDTNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRRFSKSFKEMVAACLVKDPKKRPSSEKLLKHPFFNRGRSSEYLARNILNGLPPLGDRFRVLKTRESEVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQAALIPDDEISSNEGSTGSRTRKDRHNDVGSPTERLSSKMANHSNNMSPEDGLSNINDLENSLAGFPIKPLQALKGCFDVCKDEMDAGSPNWKDVIPSNSEQQNALQPLTNARDHESGGKDNENLGQNSSLACSISPGCKKFLSGSLSPKKGTIDGERESLQPRYQSERNYSGPLQYHHKKEIGEDPSEGAVIQRRGRFKVTSADLSPKGPTNCFFNPASGPMAAAVLPSLQYMLQQNMLQRDEIIKLIKYVEQTSVNSIDTGEPGSNGLSQALPTSAREKDLLSLVIQLQQSIGSLAEELQLLKMKNAQMEKKLNAILGKEDKE >itb05g25460.t1 pep chromosome:ASM357664v1:5:29848653:29856187:1 gene:itb05g25460 transcript:itb05g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSEKKFPVNAKDYELYEEVGEGVSAIVYRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMILINHPNLLRAHCSFTTGHNLWVVMPYMAGGSCLHIMKSAFPEGFEEPVIATLLREVLKALVYLHSHGHIHRDVKAGNILVDTNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRRFSKSFKEMVAACLVKDPKKRPSSEKLLKHPFFNRGRSSEYLARNILNGLPPLGDRFRVLKTRESEVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQAALIPDDEISSNEGSTGSRTRKDRHNDVGSPTERLSSKMANHSNNMSPEDGLSNINDLENSLAGFPIKPLQALKGCFDVCKDEMDAGSPNWKDVIPSNSEQQNALQPLTNARDHESGGKDNENLGQNSSLACSISPGCKKFLSGSLSPKKGTIDGERESLQPRYQSERNYSGPLQYHHKKEIGEDPSEGAVIQRRGRFKVTSADLSPKGPTNCFFNPASGPMAAAVLPSLQYMLQQNMLQRDEIIKLIKYVEQTSVNSIDTGEPGSNGLSQALPTSAREKDLLSLVIQLQQSIGSLAEELQLLKMKNAQMEKKLNAILGKEDKE >itb01g05480.t1 pep chromosome:ASM357664v1:1:3764241:3767091:1 gene:itb01g05480 transcript:itb01g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCGVVEETPFLLDDGAVSWIRRANSSRTVFHRLDAGILLASLPIPILPGRILCSELLPETAFANPTGERAIPQIECNEEGAILVSSHQSQLEMKFPCTYKEALQSEMEPRSRISSKSMRDGLDSKPPFNTRPLPHFPSVKFHRKKKFQKESVWTKYFDHGGGRVSSLDSTNDEFLIDLSKLFLGLRFAHGAHSQLYHGIYKDERVAVKIIRLPDDDETESLEPKLVNQFNREVALLTRLRHPNVIEFVGECRKPPVFCIATEYLSAGSLRAYLHKLEHKSVPLQKLVAMALDIAKGMEYVHSQGIIHKDLKPENILISQDFKLKIADFGIACEEGHCDLLCDDPGTYRWMAPEMIKRKRCGRKVDVYSFGLILWEMLTGFIPYEDMTPMQAAFAVVNKNMRPKIPGDCPPAMKALIEQCWSMQAKKRPEFWQIVKVLEQFESSLASYGTLNLVPNLRSQDEKKGLLRWIKKHDSTHSNASSKG >itb09g03730.t1 pep chromosome:ASM357664v1:9:2052920:2056175:1 gene:itb09g03730 transcript:itb09g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:Projected from Arabidopsis thaliana (AT4G33510) UniProtKB/TrEMBL;Acc:A0A178US70] MALAAASTASGSSALFGANSTFKPPSVIPLPATPNANPKFKPRIKPVSAVLSSPSPKSATPDPDARWGLNSWKSKPAFQIPEYPNEGELESVLKTLEAYPPIVFAGEARSLEEKLGQAAMGNAFLLQGGDCAESFKEFSANNIRDTFRVILQMSVVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDEKSRVPDPNRMIKAYTQSVATLNLLRAFATGGYAAMQRVSQWNLDFTDHSEQGDRYRELAHRVDEAMGFMAAAGLTLDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSQKMDPNELVRLIDILNPKNKPGRITVIVRMGADNMRVKLPHLIRAVRSAGQIVTWVSDPMHGNTTKAPCGLKTRSFDAIRAELRAFFDVHDQEGSFPGGVHLEMTGQNVTECVGGSRTVTYNDLSSRYHTHCDPRLNASQSLELAFNIAERLRRRRLGSLFKL >itb02g22460.t1 pep chromosome:ASM357664v1:2:21912524:21918937:1 gene:itb02g22460 transcript:itb02g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEAVGHVNFPAMTAKDRSGCCNPVKKPGPVSMDHVLAALQETKEERDIRIRSLFSFFDSDNAGYLDYAQIEKGLSSMQIQADYKFAKELLRVVDANKDGRVDYQEFRKYMDDKELELYRIFQAIDVEHSGGILPEELWDALVKAGIEIDDDELARFVEHVDKDNDGVITFEEWRDFLLLYPHEATIENIYRYLERVCLVDIGEQAVIPEGISKHVHASKYLIAGGVAGAASRTATAPLDRLKVVLQVQTTRASIMPAVKSIWKEGGILGFFRGNGINILKVAPESAIKFYTYEMLKNAIGHAKGRDQSDIGTSGRLIAGGLAGAVAQTAIYPMDLVKTRLQTYACEGGKVPHLGKLSIDIWLREGPRAFYRGLVPSILGIIPYAGIDLAAYEALKNFSKTYILHDSEPGPLVQLGSGTISGALGATCVYPLQVIRTRMQAQPMHTNTAYKGMFDVFLRTFRHEGLRGFYKGLFPNLLKVVPAASITYLVYESMKKSLDLE >itb02g22460.t2 pep chromosome:ASM357664v1:2:21912524:21917197:1 gene:itb02g22460 transcript:itb02g22460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEAVGHVNFPAMTAKDRSGCCNPVKKPGPVSMDHVLAALQETKEERDIRIRSLFSFFDSDNAGYLDYAQIEKGLSSMQIQADYKFAKELLRVVDANKDGRVDYQEFRKYMDDKELELYRIFQAIDVEHSGGILPEELWDALVKAGIEIDDDELARFVEHVDKDNDGVITFEEWRDFLLLYPHEATIENIYRYLERVCLVDIGEQAVIPEGISKHVHASKYLIAGGVAGAASRTATAPLDRLKVVLQVQTTRASIMPAVKSIWKEGGILGFFRGNGINILKVAPESAIKFYTYEMLKNAIGHAKGRDQSDIGTSGRLIAGGLAGAVAQTAIYPMDLVKTRLQTYACEGGKVPHLGKLSIDIWLREGPRAFYRGLVPSILGIIPYAGIDLAAYEALKNFSKTYILHDSEPGPLVQLGSGTISGALGATCVYPLQVIRTRMQAQPMHTNTAYKGMFDVFLRTFRHEGLRGFYKGLFPNLLKVVPAASITYLVYESMKKSLDLE >itb01g32040.t1 pep chromosome:ASM357664v1:1:35646304:35648162:1 gene:itb01g32040 transcript:itb01g32040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALTSLSWWLWSGKHQEPKISKGSTLNPLPESGLWELDTVKFPLDRRQNMASTSRRVKRKWHSREERKVDKEYDIVLVPSDGGCVSGSDSDDSDWSIGWMEPHGSGFQSDDESDDSFAVLVPCYGRGGGGMNLANNLQEQFLSTIRNIPDIYATDNTDSKTYMEQWLSSLQNR >itb05g25950.t1 pep chromosome:ASM357664v1:5:30077073:30079961:-1 gene:itb05g25950 transcript:itb05g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAAALLPPALSIPKEGKTSATLKDSSLFGVSLLDHSKPDFSSSALKIKGARKASNGVVRAETMVATPGVTGAQVSGKKTLRKGTVVVTGASSGLGLATAKALAESGKWHVIMACRDFLKAERAAKSVGMRKEDFTIMHLDLASLDSVRQFVDNFRRSGRPLDVLVCNAAVYLPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDMKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLTGLNTSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEDEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDVDKARKVWELSEKLVGLA >itb06g07500.t1 pep chromosome:ASM357664v1:6:11036085:11040781:-1 gene:itb06g07500 transcript:itb06g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTIRTPVVAFPQLGDQVTNAKYLVDVFQTGIRLCKAGEVKICSSYDATNLLFNQNTLEFVEFRNSLSMQQQTPLKSITSNSTFSHGTTSGVESSTKMQITTLSEIFSKREIGDFWVPCKIIGIESDPNDWYYNSCPKPNCNKKLELNSGMYDCGKCGGRFVKGTLRYKLKIRVVDVNGTAPLLLWDREVLELLRLKADELKAMQSSVMTKVPKDIRNLKGRGLFFKISVKSETFDNLDNAVPVLQVKHFPEMFETYCPGLIQHNDDEFSFKLQLTQDDSDSDEGFFSDDPTESPIAVTPGKQNEAGNETEAVKRSLLDEFSSTQPSKKKKEVVVKMENEKE >itb05g15480.t1 pep chromosome:ASM357664v1:5:22837111:22840280:1 gene:itb05g15480 transcript:itb05g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSLGWFSFLPLLPILQFLILMPHQLDSAILYPNPEETYYKSCGNMFNCGDISDVGYPFGSYNDLPYCGHPGFELSCNQRNTTIDIMNQTYRVLKINQASKTMKIVREDIMEGNCTQKFVNTTLDNSLFEYTTTYVNLTFLYGCDGGINNIPGIGTIPCGDSNDAYVLPLGAGVSENCSSSVMVPVPVVGAGYGGFVIDSTLLMKTLKEGQEIRWKMDSKACDDCTKSKGRCGFNKVTNQTTCYCPSPPYISDTCSVSTPESRKGLSTGVKVALVAMFGLLGVVLTTALIIYFLKRRCFWRKGNEKLEKIEALVRTNGFHSTKLYTYWDIKKMTNSFSDKIGQGGFGSVYRGKLPDGCPIAVKLLTNTKGNGEDFINEVASISRTSHVNIVTLVGFCYKKKRALVYEFMPNGSLDKYIGNKSSQNKSCQLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDKDFTPKISDFGLAKLCKKKESVVSLSECGVRGTVGYIAPEVIIGSIGNVSHKSDVYSYGMTVIDMVGIRENEHAAQTSDLYFPNWIYERLEQKLDFSLEGIIDEEDKEMAMKMMLVSLWCIQMNPADRPSIRKVVEMLEGSIDSLQIPPKPHFCPATDEFPQQSSTPSVTTEI >itb12g21190.t1 pep chromosome:ASM357664v1:12:23575892:23578108:-1 gene:itb12g21190 transcript:itb12g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGGGGGGRVPSLPPPRPKSPPQYPDLYGKRRELARVQMLEREIGFLEDELKFVDRLHPASRSWSPISFWPTQILLYQQLRRAADLLVSGNGSAVGCRVSACRGFVVARALVSRSPRTAATVIRAVDATDARPASAACHPARAATANRLALASPANRRAPASPAQNPAPVAARRRASAARHHASAAAHCRASNAPRCRASGARYPSLGGCVAAPAPNPSAARRRSARARAPAIAVAPRSAPRVLNVRATSVDAAVFRDIRR >itb05g16290.t1 pep chromosome:ASM357664v1:5:23504305:23508145:-1 gene:itb05g16290 transcript:itb05g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILMASLKSVLLAPFYNFIHKDFHEVVDRMTLLDKLLFLGIHFVDKLGLWHKLPVFLGLAYLAIRRHLHQEYNLFNVGGTPTGVRYNPADYPYRTADGEYNDPFNEGVGEEFSFFGRNILPVDQSNKLKKPEPMVVATKLLARRNLIDTGKQFNMIAASWIQFMIHDWIDHLEDTQQIELVAPKEVANQCPLKSFKFYKSKEEPTGFYDIKSGYRNRRTPWWDGSAIYGSNAGALQKVRTFKDGKLKIGKDGLLLRDKDGNIISGDVRNTWAGLLSLQALFVMEHNAVCDALKKEYPELKDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLLAAMRTNWYGLLGKKFKDTFGHLGWYLLSGLVGMKKPENHGVPYSLTEEFTSVYRMHQLLPEKLQLRNINAAPGPNKSPPLSREVDMVDLIDQKGEQKLSEIGFARQMVSMGHQACGALELWNYPSWMRNLVPQNPDGTDRPDPVDLIALEVYRDRERSVARYNDFRRAILLIPISKWEDLTDDEEAIKTLREVYGDDVEELDLLVGLMAEKKIKGFAISETAFIIFVLMASRRLEADRFFTSNYNEETYTKKGLKWVNTTESLKDVLDRHYPEMSEKWMTSTSAFSVWDSPPEPHNPVPLYLRLPH >itb04g23880.t1 pep chromosome:ASM357664v1:4:28801963:28804054:1 gene:itb04g23880 transcript:itb04g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQDKQVSNPPGSNYRECVMLGINAGIDMVMVPFKFEILWNDFPSLAESGEIPMARTDDAVERILRVKFITRVFDHTFTDRSLLDVVGLWRLDINLVGNQWQNHNWHNHFGCTKRSDGRQNRIIFEPTPSEETFSGQDFSYAIGETPYAETAGDDPELKIPLNGSDCSVSGWQSSHGIKCREKYRMGITSG >itb12g12680.t1 pep chromosome:ASM357664v1:12:11417032:11417481:1 gene:itb12g12680 transcript:itb12g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALGLHHRLQEAMREYAESEQARMALANDLSRSEERYGRVRTAHDVLHSDYTALREDYECLQAEHQTLQAQVKQREIDHVAAMQGAILDWRGTPDFVRAADELALTRMPILLHSWLATSDMSGQPMVDAMAGWFDVQHSTVPPSIRIF >itb05g25590.t1 pep chromosome:ASM357664v1:5:29921388:29925240:1 gene:itb05g25590 transcript:itb05g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLSSKFPFLKWATTAVILLISTASFCYAADPRIEEAAPMNCSVNRVSPTFIIPIFTRVMEVVSQSVTDHGWGNSSTVTTNASMYALAQCYEDLPRQDCLLCYASSRTKLPRCLPGIAGRLFLDGCFLRYDNYSFFSESTSAQDKANCSSSIGTPPPPPNFATNAGRLIDNITAAAAARGGFATGNANGVYVLAQCWKTVSREGCGQCLAKARTEAKNCLPSVEGRAMNAGCYLRYSTENFISDPNETDGGGSAVSKKGVKVAIALSVIAFVMISAFIAYTWRNKALKRKQERKNLGPLLGIYSKSNLNFKYETLEKATNYFDPSMKLGQGGAGSVYKGTLNDGRVVAVKRLFFNTRQWVDEFFNEVNLISGVEHRNLVKLLGCSIEGPESLLVYEFVPNKSLDQYIFDKNKVLTWRERVHIVVGTAEGIAFLHGGTSIRIIHRDIKSSNVLLDENLAPKIADFGLARCFAPDKTHLSTGIAGTLGYMAPEYLVRGQLTEKADVYSFGVLVLEIVSGRKSNAFADDSGSLLQTVWRLYRTDRSSEVVDPSLEGDFNANEASKVVQVGLLCCQAIPALRPSMPEVVEMLTINDREIPEPTQPPFINSSVLAGGSMSSIKTLVSSALNRQDGSSYTSTTDQSSSMQSTSDGIRSEEFRNH >itb09g23340.t2 pep chromosome:ASM357664v1:9:22640205:22642549:-1 gene:itb09g23340 transcript:itb09g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFLLLIVKIEPTVLHKIMHIATKLLCSLKHPPDLYKYIFTNSLQLTDFRDIF >itb09g23340.t3 pep chromosome:ASM357664v1:9:22640205:22642549:-1 gene:itb09g23340 transcript:itb09g23340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDFTYICSNVFLMQHIATKLLCSLKHPPDLYKYIFTNSLQLTDFRDIF >itb09g23340.t1 pep chromosome:ASM357664v1:9:22640205:22642549:-1 gene:itb09g23340 transcript:itb09g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDFTYICSNVFLMQHIATKLLCSLKHPPDLYKYIFTNSLQLTDFRDIF >itb10g00120.t1 pep chromosome:ASM357664v1:10:84730:86408:-1 gene:itb10g00120 transcript:itb10g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRHENGGGQTLKGSSSYNNNNGEEEERIGIGRKWVPKRIIVVRHGESFANADVKVFSSTPNHMIKLNPKGIGQARKCGQLIKQLVSEEEDEDWKVFFYVSPTARTVESLREIGRAFPKRRVVGVKEDHALREQHFGNYNDPPAIYRIKDERTTYGRFFYRVPGGEAGCEVYDRISSFVEGLKKDIDANKFVRGVHDDPASESLNLVIVSHGFTARVFLMKWFNWTVEQFEKLNRMKSSEFQVLQLGKGGGDYSLAVHHDDAKLMEWGLSAEMIEDQKRRAAGQREYCQWDTHRFLHLFRDSEDDDDDDHDIDIHIAAAPPKTPAPAQFNSIQAVDPKC >itb12g20720.t1 pep chromosome:ASM357664v1:12:23169509:23170966:1 gene:itb12g20720 transcript:itb12g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYVSSWFTPTVLFCVINLVIGTILLSSSLKPQKKQHQLGDDNSVPQLARVPSLIQRVRSFNYSFRQEHDPFSYAQNTPSLSRAPSPLERFSAVNFSFSRSDHPGDFPANTHHADLPENETQITRAPSLLERVMSFSRSRQPDPFPSNSSFSRSDNPGDFPANTHHADPPEDETHITRSPSLLQRVKSINLSFSRSRQPDPFPSTTHFTELQTQKVEVEEEERDSGAVMSHARRTKSATCAEIPAKKKKMGKSASEKMEAEETQEEVDRRRPATVREKKTAYEEDDEAVDEKADDFINRFKQQLKLQRLDSLLRYKETLHRRMGN >itb09g30540.t1 pep chromosome:ASM357664v1:9:31174256:31181442:1 gene:itb09g30540 transcript:itb09g30540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESEMPGAEKGEQANINAFRSFNVSEQIDCFNNMAKELSASNNHAGSKLPDVFQLKIGCEDIPAHKISELMKQKSLESASTRLLFSAVSDILNENIERKNGDIPQCVSSLLKLVLQEIEQRVSKQSENLRKQHNSYKSREEKYQLKDRAYEALRTGAAEEHEIEKAKLEEKEKHREQIICMLQKDKDHHEMQISSLNHELELCKKTYEEKCLQLETHAEKTTIELGNKIMELEYLLTDSKKKAEELEAFSESKLLKWKRKEHVYKHFIESQSGSLQALRMASESLKKDVLRTKEIYAEELYNFGFDLKGLIEAAQNYHTVLEENRKLYNEVQDLKGNIRVYCRIRPFLPDQNSKRTTMDYIGENGELVVVNPLKHGKDSHRLFKFNKVFGPTSTQEEVFRDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSMSSMEDWGVNYRALNDLFHISQSRKGSIVYEVGVQMVEIYNEQVRDLLCTESSQKRLGIWNTTQPNGLAVPDASMHPVRSTADVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLETNEVLRGCLHLVDLAGSERIDRSEATGERLREAQHINKSLSALGDVIFALAHKSNHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETVSTLKFAERVSGVELGAAKSNKEGRGVKELMDQVAFLKQTIAKKDEEIGRLRLRKTNTNGERHGMGSLKNGSASPRRYSLGGPRQSSKGERSSRLSEKAASDHSSEYSDRLSEAGSQQSMDEFRHHSEFFRDSRFAVVSGGQNFGEVDSRAAVADGGQNCNEDTELIGFGDADSEERLSDISDSVLSMGTETESTNSIVEHTLFPEVTKPPSDATEKPRIPTKLQRLPEKQTSKGSSSRMSLNKTATKVSSSKKSTASSSSAVKPPTRRWQ >itb09g30540.t2 pep chromosome:ASM357664v1:9:31174256:31181442:1 gene:itb09g30540 transcript:itb09g30540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEKGEQANINAFRSFNVSEQIDCFNNMAKELSASNNHAGSKLPDVFQLKIGCEDIPAHKISELMKQKSLESASTRLLFSAVSDILNENIERKNGDIPQCVSSLLKLVLQEIEQRVSKQSENLRKQHNSYKSREEKYQLKDRAYEALRTGAAEEHEIEKAKLEEKEKHREQIICMLQKDKDHHEMQISSLNHELELCKKTYEEKCLQLETHAEKTTIELGNKIMELEYLLTDSKKKAEELEAFSESKLLKWKRKEHVYKHFIESQSGSLQALRMASESLKKDVLRTKEIYAEELYNFGFDLKGLIEAAQNYHTVLEENRKLYNEVQDLKGNIRVYCRIRPFLPDQNSKRTTMDYIGENGELVVVNPLKHGKDSHRLFKFNKVFGPTSTQEEVFRDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSMSSMEDWGVNYRALNDLFHISQSRKGSIVYEVGVQMVEIYNEQVRDLLCTESSQKRLGIWNTTQPNGLAVPDASMHPVRSTADVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLETNEVLRGCLHLVDLAGSERIDRSEATGERLREAQHINKSLSALGDVIFALAHKSNHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETVSTLKFAERVSGVELGAAKSNKEGRGVKELMDQVAFLKQTIAKKDEEIGRLRLRKTNTNGERHGMGSLKNGSASPRRYSLGGPRQSSKGERSSRLSEKAASDHSSEYSDRLSEAGSQQSMDEFRHHSEFFRDSRFAVVSGGQNFGEVDSRAAVADGGQNCNEDTELIGFGDADSEERLSDISDSVLSMGTETESTNSIVEHTLFPEVTKPPSDATEKPRIPTKLQRLPEKQTSKGSSSRMSLNKTATKVSSSKKSTASSSSAVKPPTRRWQ >itb02g12890.t1 pep chromosome:ASM357664v1:2:8902241:8904541:-1 gene:itb02g12890 transcript:itb02g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFSKLSIHVLCSSFGQRVFQGFCCFSSFSIGDSLVNGLGSIEYHLNESWKSPNFDHSFEEKLNSDVSHGSMGVNGFPEDHSHKNFPTRTNFVGNFRSEAQMILGILHRGDPRFDAKAALDDLHVRLTGLLVREVLLGILKTANYVNKKSCAQMGYMFFEWSGKRENYMHTANSYHLMMKIFSEAGEFKAVWALVDEMIEKGYPTTARTFNILICTCGDAGLARKVVQRFIKTKTFNYRPFKHSFNAILHTLLAVNNYKLIEWVYQQMLVEGYSPDVLTYNVLLCTKYRLGKLYQFHRLLDEMERNGFSPDFHTFNLLLHILGKGDKPLAALNLLNHMKEVGCDPSALHFTTLIDGLSRAGNLDACKYFFDEMIKQGCFPDVVCYTVMITGYVVAGNFDKAEELFAEMISKGQLPNAFTYNSMIRGLCMTGKFEDACLMLKEMESKGCNPNFHVYSTLVSCLRNAGKLSEAHQVIRQMVDKGRYIHLVSKIKRCRRR >itb06g07840.t1 pep chromosome:ASM357664v1:6:11617627:11618231:-1 gene:itb06g07840 transcript:itb06g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFYQLSLHISDSLFCIDSTAVVGRRRRRRQQEVASYLLCHCRQSMMLPKSQLRAMESDLLLAAVSPELGECGKRGGRGRERRRCVGVFLDLRSFEQIEGEGRRRERPAGGSGGWLLYAVWIWWRR >itb01g30550.t1 pep chromosome:ASM357664v1:1:34529273:34530979:1 gene:itb01g30550 transcript:itb01g30550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYDFYFVKKLVSMAMDRHDKEKEMAALLLSSLYVDLIAPDQVYEGFTKLLESADDLVVDIPDTVDVLAVFIARAVVDDMLPPVFLVKVTASLPENSKGLEVINKAKKSYLSAPLHAEVIERRWGGSRNRTVEDVKGKINNLLKEYVVSGDKKEAFRCVKDLNVPFFHHEIIKRAVIMIMERKPAESRLLDLLKTAAEEGLINSSQISKGFSRIIDSIDDLSLDIPNAKFILQSLISKAASEGWLSASSLKPISSVQPGKQKAVEDDVKRFKTKAQSIIQEYFLNGDIVEVSSCLESENPSYSPELNANFIKKLISLAMDRKKREKEMASVLLSSLCFPTEDVVSGFTMLIESADDTALDIPAAVEDLAMFLARAAVDEVLAPQHLEEIGSQLSEVNSIGNKVVQMAKSLLNARLSGERILRCWGGGGSCSNGWSIDDVKDKIGKLLEEFECGGDSREACRCIKELGMPFFHHEVVKKALVIIIEKKTDERLWNLLRDCFNTGLVTMNQMIKGFMRVEESMDDLVLDVPDARTKYKHYVERAKMEGWLDSTFTVNRAGQQQPMENGF >itb01g30550.t2 pep chromosome:ASM357664v1:1:34528451:34530979:1 gene:itb01g30550 transcript:itb01g30550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTDGYMSNEHQEQLRSVSESSDPSSVSPLAVCTSPKSPSWSPKDHQGKQGRHLKNERNSHVQRDGRPKKGGCGGKGTWGGLLDTDNGCAIEPSDPNYSSNEDNEQSTRKTAQQFNEYKKKVTVILQEYFENDDISSTASELRELGMPCYDFYFVKKLVSMAMDRHDKEKEMAALLLSSLYVDLIAPDQVYEGFTKLLESADDLVVDIPDTVDVLAVFIARAVVDDMLPPVFLVKVTASLPENSKGLEVINKAKKSYLSAPLHAEVIERRWGGSRNRTVEDVKGKINNLLKEYVVSGDKKEAFRCVKDLNVPFFHHEIIKRAVIMIMERKPAESRLLDLLKTAAEEGLINSSQISKGFSRIIDSIDDLSLDIPNAKFILQSLISKAASEGWLSASSLKPISSVQPGKQKAVEDDVKRFKTKAQSIIQEYFLNGDIVEVSSCLESENPSYSPELNANFIKKLISLAMDRKKREKEMASVLLSSLCFPTEDVVSGFTMLIESADDTALDIPAAVEDLAMFLARAAVDEVLAPQHLEEIGSQLSEVNSIGNKVVQMAKSLLNARLSGERILRCWGGGGSCSNGWSIDDVKDKIGKLLEEFECGGDSREACRCIKELGMPFFHHEVVKKALVIIIEKKTDERLWNLLRDCFNTGLVTMNQMIKGFMRVEESMDDLVLDVPDARTKYKHYVERAKMEGWLDSTFTVNRAGQQQPMENGF >itb02g02760.t2 pep chromosome:ASM357664v1:2:1613529:1617056:1 gene:itb02g02760 transcript:itb02g02760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSIDSIEDNSETKPILLHSKVIEGSEELSSLSIEITESGDCSTSAGDCSSIEVDGSQLLLHSEQPLCRICLDTEGEDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFANCTECRAKFILRANVPPDRWWLRLKFQFLVARDHAFLYVIVQLIVATLAVIVYKFYGDALREMFGYEEHPYAFYTTAAIICGQRITERHYHVLAKQELTKEYVVEDREALNKDVCELDPSHVSELKMLGLY >itb02g02760.t1 pep chromosome:ASM357664v1:2:1613529:1617056:1 gene:itb02g02760 transcript:itb02g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSIDSIEDNSETKPILLHSKVIEGSEELSSLSIEITESGDCSTSAGDCSSIEVDGSQLLLHSEQPLCRICLDTEGEDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFANCTECRAKFILRANVPPDRWWLRLKFQFLVARDHAFLYVIVQLIVATLAVIVYKFYGDALREMFGYEEHPYAFYTTAVFAILFVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYVVEDREALNKDVCELDPSHVSELKMLGLY >itb07g06660.t1 pep chromosome:ASM357664v1:7:4781352:4785482:1 gene:itb07g06660 transcript:itb07g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPMAKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLADTDSKAEPTTIKINQPESGAASGQLAQKSACCGS >itb13g16430.t1 pep chromosome:ASM357664v1:13:23405112:23405690:-1 gene:itb13g16430 transcript:itb13g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNTSRGVTRGRQRVPLARIENEVQRLVTFSKWRTGLFKKASEMSTLCGTEIAMVVFSPSAKPFSFSNPDMNTVLTKYFGEIPITEANVAEHIIRAHQDVKMRAMTSQINVLEALIDEEMLVDQALREAEKGRPSISDLQLPELQSMKHHMETLLDQVTEKLNMISIMGAQSQAMETRFGANDGAGPSGV >itb13g19700.t1 pep chromosome:ASM357664v1:13:26650110:26654085:-1 gene:itb13g19700 transcript:itb13g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKAVLSSSLVPNKAIVSQQSLDFSASTMEPINGANNLNNNPSLASKQRLRWSHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDASSDGKKPDNKDSSDIISGLDDSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQQLGAVSEMPESGATSASAGENAPVSDNSTNLVTPVPASEPPHTENPANERNPVKGISLNASPSSHNEALSPDSSCHAASAVERPSKKQKVGAEVAAAFTQPDAMLTKLLG >itb13g19700.t3 pep chromosome:ASM357664v1:13:26650110:26652531:-1 gene:itb13g19700 transcript:itb13g19700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKAVLSSSLVPNKAIVSQQSLDFSASTMEPINGANNLNNNPSLASKQRLRWSHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDASSDGKKPDNKDSSDIISGLDDSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQQLGAVSEMPESGATSASAGENAPVSDNSTNLVTPVPASEPPHTENPANERNPVKGISLNASPSSHNEALSPDSSCHAASAVERPSKKQKVGAEVAAAFTQPDAMLTKLLG >itb13g19700.t2 pep chromosome:ASM357664v1:13:26650110:26652606:-1 gene:itb13g19700 transcript:itb13g19700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKAIFCCCQNEACLSLCCCTLNVMYQPKAVLSSSLVPNKAIVSQQSLDFSASTMEPINGANNLNNNPSLASKQRLRWSHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDASSDGKKPDNKDSSDIISGLDDSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQQLGAVSEMPESGATSASAGENAPVSDNSTNLVTPVPASEPPHTENPANERNPVKGISLNASPSSHNEALSPDSSCHAASAVERPSKKQKVGAEVAAAFTQPDAMLTKLLG >itb10g14990.t1 pep chromosome:ASM357664v1:10:21311198:21313726:-1 gene:itb10g14990 transcript:itb10g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMLAGKTILARISGKFRPVLTRSFCSSNKPPANNNSKEVLDPSSSSSSSSLTRYDAYKELENLNFMSAAKILFTEPPEKKKFGLDFHLVQLFFACLPSLAVYLVAQYARYEIRRMEAEVEVKKQAEEEAKAKEMEEMAEVEKEEASEPQLSELKTRLDKLEEAVNEIVVESRKESPDAIGKGPKIGSQDKQPISGESNTSKSPQAGNSDAKDYPNTKTSRERTVGPSQGKTDASS >itb09g03790.t1 pep chromosome:ASM357664v1:9:2079263:2080918:1 gene:itb09g03790 transcript:itb09g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRERCRWCGLLLEVPVQAQTIMCPGCHTLIQLQRNAPSYGSLPTAYGYTNPMPAGNGGLPTAYGYTNPMPAANNVYPVESYYAPAPPRVQNNRRRALLCGVTYRGHKKSLKGSINNVKWIRNLLVENLGFPSSSILILTEDERDPYRKPTKANIRESLNWLVRGCQPGDSLFFYYSGHASQVLDRDGDEMDGFDESLCPMDYETEGRILDDEINEKIVRPLPRGVTLHALMDTCFSGTFLDLPFMCRMNRDGSAKWEDHRNPYSAYKGTSGGTAICISACDDHQNSADTTAFTGTTMGALTFSFIETLKQEPKMTYGRLLMSLRKLIDEAQRGIFQDGRAAIQVPQLSSSERFNIHSKIMNL >itb10g13800.t1 pep chromosome:ASM357664v1:10:20012532:20013057:1 gene:itb10g13800 transcript:itb10g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKSSFSIMGMFKSKPKANLRSRKGDDYYRDDFAVKAYKVFPSDEDRRNWVAEPGIDSKATAFINGRTALWSNYDVTN >itb09g06710.t2 pep chromosome:ASM357664v1:9:3852741:3858763:-1 gene:itb09g06710 transcript:itb09g06710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSRELNAKHTKILEGLLKLPENRECADCKSKGPRWASVNLGIFICLQCSGVHRGLGVHISKVRSATLDTWLPEQVAFIKTMGNEKSNSYWEAELPPKYNRVGIENFIRAKYVEKRWISRDGNRKISTPDREEQSNKLDPGTRKRVGFARECNRFPEERENQKPPNSDTAAVLRKSTSSPGSSIFAKKVSMDTMPVVITSKPANLDVEKEKPRTEAFQVTSGEKSNSTSFDSERETLSPSVPNTSTPDSKPKVIENSKLASSEVEKPKPRDDGQPVSSVPKVDYATQLFNMLFTEEAKENDSKLTTPAVGSQCMSALSKHSEEPKEDYKKTCAPPVESNCARAKPVEQQNISSTVTKSKNKFDPGIEELMKDFNWNTQPAKITVSPSIHHQQLEMQAQPPRPLAAGNTPYAAPQIAYPHTPYGNHLSSQSWQSNGGPVHGTMFKQVGNVQPSPVAVSLVPYPYSR >itb09g06710.t1 pep chromosome:ASM357664v1:9:3852741:3858763:-1 gene:itb09g06710 transcript:itb09g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSRELNAKHTKILEGLLKLPENRECADCKSKGPRWASVNLGIFICLQCSGVHRGLGVHISKVRSATLDTWLPEQVAFIKTMGNEKSNSYWEAELPPKYNRVGIENFIRAKYVEKRWISRDGNRKISTPDREEQSNKLDPGTRKRVGFARECNRFPEERENQKPPNSDTAAVLRKSTSSPGSSIFAKKVSMDTMPVVITSKPANLDVEKEKPRTEAFQVTSGEKSNSTSFDSERETLSPSVPNTSTPDSKPKVIENSKLASSEVEKPKPRDDGQPVSSVPKVDYATQLFNMLFTEEAKENDSKLTTPAVGSQCMSALSKHSEEPKEDYKKTCAPPVESNCARAKPVEQQNISSTVTKSKNKFDPGIEELMKDFNWNTQPAKITVSPSIHHQQLEMQAQPPRPLAAGNTPYAAPQIAYPHTPYGNHLSSQSWQSNGGPVHGTMFKQVGNVQPSPVAVSLVPYPYSSMYTAMQVAPVHGMTAAGISRPPSSLPPISVAASQSAVNRDFSRMPGTLTRR >itb13g26760.t1 pep chromosome:ASM357664v1:13:31881022:31888584:-1 gene:itb13g26760 transcript:itb13g26760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTDLSRRKHRRSSPSDDEAKEASKRRKHRHHHHHHHRHHRRSKKHEESDSKSENEIAEAGAEIENEKKALGSGAMGVVVGSSNLGIDYDMEEGEIVDDILPSAAAAHDDDDVDGTARTKELDLDRETEDKLLQNHHIVNLSNPDMKLHGAQVDGPNPSLPSESNLEKSDRNSISSGGYNAKRKDREHEDDKIHKHVGRERMSSEGYHTNGNSVGEYHKGDHRQHDGKRSPDKEDRKQKSYRGDENDFNQKNSLTTEDAGKRHKAGDGASPHDGYYQKGRSRKESKSPNLSGPSELDDKYYKDWKYKNDSDNERMTRRSRDYWHGSRDFSREKGERSPSHGRYVSREERHHSRETIERYREASLEINRDRVRDKDNERARENYRVRERERERGRESKREKTQDREKEKDRDRHRDIDSDRERGRERRDKDRENRRGRSGDRERDRDRDSDRSSRRCGYDDFGSSYGDRDRYSASRHIRDDETRHSHRETSNNVEESNSDKKLVEMGNEKFRRDDDEQEEYQEKITMQLAEQEEDIESIKEESRRRRQAILEKYKNQQQQMQPKIHSEESDKGLVKQSAQPVQGVNLASEVDARTDDADTDVGDQEFSVGKSPHQDNLSVNEQTSGVGGLGQGSPKSERSNDMFCDDIFGESPAGVRKQGKGDGLAIERSGLNDNWDDAEGYYSYRLGEVLDGRYEIIAAHGKGVFSMVVRAKDLKAKPGDPQEVAIKMIRNNETMYKAGMEELVILKKLVGTDPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFVGKNEITPYLVSRFYRSPEIILGLTYDHPMDMWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTDRHFDQDLNFLATEEDPVTKKAVRKLITNIKPKDIGTIVLGSPGEDPKMFTNFKDLLERIFMLDPDKRLTVSQALSHPFITGK >itb13g26760.t3 pep chromosome:ASM357664v1:13:31882157:31888584:-1 gene:itb13g26760 transcript:itb13g26760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTDLSRRKHRRSSPSDDEAKEASKRRKHRHHHHHHHRHHRRSKKHEESDSKSENEIAEAGAEIENEKKALGSGAMGVVVGSSNLGIDYDMEEGEIVDDILPSAAAAHDDDDVDGTARTKELDLDRETEDKLLQNHHIVNLSNPDMKLHGAQVDGPNPSLPSESNLEKSDRNSISSGGYNAKRKDREHEDDKIHKHVGRERMSSEGYHTNGNSVGEYHKGDHRQHDGKRSPDKEDRKQKSYRGDENDFNQKNSLTTEDAGKRHKAGDGASPHDGYYQKGRSRKESKSPNLSGPSELDDKYYKDWKYKNDSDNERMTRRSRDYWHGSRDFSREKGERSPSHGRYVSREERHHSRETIERYREASLEINRDRVRDKDNERARENYRVRERERERGRESKREKTQDREKEKDRDRHRDIDSDRERGRERRDKDRENRRGRSGDRERDRDRDSDRSSRRCGYDDFGSSYGDRDRYSASRHIRDDETRHSHRETSNNVEESNSDKKLVEMGNEKFRRDDDEQEEYQEKITMQLAEQEEDIESIKEESRRRRQAILEKYKNQQQQMQPKIHSEESDKGLVKQSAQPVQGVNLASEVDARTDDADTDVGDQEFSVGKSPHQDNLSVNEQTSGVGGLGQGSPKSERSNDMFCDDIFGESPAGVRKQGKGDGLAIERSGLNDNWDDAEGYYSYRLGEVLDGRYEIIAAHGKGVFSMVVRAKDLKAKPGDPQEVAIKMIRNNETMYKAGMEELVILKKLVGTDPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFVGKNEITPYLVSRFYRSPEIILGLTYDHPMDMWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTDRHFDQDLNFLATEEDPVTKKAVRKLITNIKPKDIGTIVLGSPGEDPKMFTNFKDLLERIFMLDPDKRLTVSQALSHPFITGK >itb13g26760.t2 pep chromosome:ASM357664v1:13:31881483:31888584:-1 gene:itb13g26760 transcript:itb13g26760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTDLSRRKHRRSSPSDDEAKEASKRRKHRHHHHHHHRHHRRSKKHEESDSKSENEIAEAGAEIENEKKALGSGAMGVVVGSSNLGIDYDMEEGEIVDDILPSAAAAHDDDDVDGTARTKELDLDRETEDKLLQNHHIVNLSNPDMKLHGAQVDGPNPSLPSESNLEKSDRNSISSGGYNAKRKDREHEDDKIHKHVGRERMSSEGYHTNGNSVGEYHKGDHRQHDGKRSPDKEDRKQKSYRGDENDFNQKNSLTTEDAGKRHKAGDGASPHDGYYQKGRSRKESKSPNLSGPSELDDKYYKDWKYKNDSDNERMTRRSRDYWHGSRDFSREKGERSPSHGRYVSREERHHSRETIERYREASLEINRDRVRDKDNERARENYRVRERERERGRESKREKTQDREKEKDRDRHRDIDSDRERGRERRDKDRENRRGRSGDRERDRDRDSDRSSRRCGYDDFGSSYGDRDRYSASRHIRDDETRHSHRETSNNVEESNSDKKLVEMGNEKFRRDDDEQEEYQEKITMQLAEQEEDIESIKEESRRRRQAILEKYKNQQQQMQPKIHSEESDKGLVKQSAQPVQGVNLASEVDARTDDADTDVGDQEFSVGKSPHQDNLSVNEQTSGVGGLGQGSPKSERSNDMFCDDIFGESPAGVRKQGKGDGLAIERSGLNDNWDDAEGYYSYRLGEVLDGRYEIIAAHGKGVFSMVVRAKDLKAKPGDPQEVAIKMIRNNETMYKAGMEELVILKKLVGTDPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFVGKNEITPYLVSRFYRSPEIILGLTYDHPMDMWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTDRHFDQDLNFLATEEDPVTKKAVRKLITNIKPKDIGTIVLGSPGEDPKMFTNFKDLLERIFMLDPDKRLTVSQALSHPFITGK >itb03g09990.t1 pep chromosome:ASM357664v1:3:7754764:7755069:1 gene:itb03g09990 transcript:itb03g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPGTVSSSSSFCHSKITPSRLFPTAIDPDACKTIMKQTVPSYGGSATRTPTGLLWKFLDKFLPSIEAATMEFGLVRSEFNHPRKVQVVADCVELMQFF >itb13g01830.t1 pep chromosome:ASM357664v1:13:1663751:1669922:1 gene:itb13g01830 transcript:itb13g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MKDKEADTMLLHLLLLFSLVLSFIENGSAGMTSRFTRSEWPSVDIPLDNKAFAVPEGHNAPQQVHITQGDYDGKAVIISWVTPDEPGSSQVRYGLSEGKYDFTAEGTYTNYTFYNYKSGFIHQCLVGGLEHDRKYYYEIGNGDSSRKFWFQTPPKVGPDTSYKFGIIGDLGQTFNSLSTLDHYIQSAGQTVLFVGDLSYADRYKYHDVGVRWDSWGRFIEKSSAYQPWIWSAGNHEIEYMPYTGEVTPFKSYLFRYPTPYKASKSTNQLWYAIRRASAHIIVLSSYSPFVKYTPQWKWLREELTRVDREKTPWLIVVMHVPIYNSNEAHFMEGESMRTVYEEWFVKYKVDMVFAGHVHAYERSYRISNIHYNVSSGIAYPVPDKSAPVYITVGDGGNQEGLAGRFRDPQPEYSAFREASYGHSTLEIKNRTHAYYHWNRNDDGKRVATDAFVLHNQFWGNSRRRRKLNKSHLHSVIVSRYSTTPL >itb13g02250.t1 pep chromosome:ASM357664v1:13:2095917:2099548:1 gene:itb13g02250 transcript:itb13g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATARAETVGGLPQRRWLARTKSFNNARLEPESAAPLPLPKDANPFGGNSSSAAAHQSRTSQREKNAKNYSYVKEKCSWAFLDAKIAVKASENPNLNCNFVLASKNDDAVHQEAVSQQLCQIFQQAQEKTADVVEILNSGEEYKIQNDDLTYLNRIIDHHMKSSIQSNARRVVYDQIQTLFKSLIQTLSSLRQLFPHIRAPAAIKDLETKITDLAVDDIKIQLSNFLLAKDTVHEEEAFQ >itb03g26390.t1 pep chromosome:ASM357664v1:3:25935033:25941918:1 gene:itb03g26390 transcript:itb03g26390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAETSAGKEKISNGCEKMDIDFEDIEFDEEEDDEPFDAAALQEMNLNKKKLADFCKKVSTTFFDKYGLISHQINSYNDFIKHGIQRVFDSIGEIVVEPGYDPSKKGDNDWRYASIKFGKVTVSRPMILTGDKFSQDGGEEYLQLWPRHARLQFMTYSARITVQTHLQVYTKKQVRSDKFKTGVDQYVSNEFEMEDNRDLLIGRMPVMVNSDLCWMSDGNKKPDCEFDHGGYFIVKGAEKTFIAQEQICLKRLWVASNPSWMVSYRPISKRKRVYIKLTESPKVEPVVRGGEKVLTVYFSVTEMPIWIFFFALGVSSDREVVNLIDVDTKDTEIVNILVASIHDADSKCEPFRKQPVALNFVAQLMKNCRFPPQESIEDCINNFLFPSLNSFKQKARFLGYMVKCLLQAYAGKRKADNRDDFRNKRLELASELLERELRVHLKHAERVMIKAMQRDLYGDRQLQPIEHYVDASIVTNGLCRAFSTGAWSHPYKRMERVSGVVANLRRTNPLQMTADMRKTRQQVSYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLASLGLVSTNILESFLDKLFDCGMEKLVDDSSTILHQKHKIFLDGDWLGVCGDSGIFVLKLRRMRRRGEVPYQVEIKRDEQHQEVRIFSDAGRILRPLLVVENLKKIQFLKDGDSFQSFLDNGIVEFIGSEEEEDCRTAWGVNYLLEACKEDPPAKYTHCELDLSFLMGLSCGIIPFANHDHARRVLYQSEKHSQQAIGFSTLNPNIRIDTNTHQLYYPQRPLFRTILSDCLGKPKDPHYHKSMLPHPEYYNGQCAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHIRSYKAEVDNKESLGKKLKLEDAVIFGKTQSKIGRVDSLDDDGFPFIGANLQSGDIVIGKYAESGADHSVKLKHTERGMVQKVVLSGNDEGKSFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTIQGIVPDVVINPHAFPSRQTPGQLLEAALAKGIALGKRIGHRGELKYATPFSTLSVDEITKNLHSLGFTRWGNERVYNGQTGEMIHSLVFTGPTFYQRLTHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGAAANLHERLLFLPDAHM >itb14g03720.t1 pep chromosome:ASM357664v1:14:3350199:3356636:1 gene:itb14g03720 transcript:itb14g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGHSFFNISLNFNLLSSTMAIFLTHFSPSIFQRFLLIILLALYGASSLSFSQTISSSDAICDMTPHPEFCKSLFPRNNSTNINDLGRISLRLALSNARKSLNRLNSYFKSKNSSIRTTLYVLRDCRFLLGLNTRFLSDVLRSIESANRVPESAAGDAQSLLSAVMSNQQTCWDQLVTTPSASNISIQFSPSLSNGSLLYSVSLALFKLGWIAGDAVPREVIGGDRAVFGEEYVKVREAVVVNPDGTGNYRTVGAAVAAAPRNGEGGGGYYVIRVAAGIYEEYVEIGSSKKYVMMIGEGINKTIITGNRSVVDGWTTFGCATFGVSGQGFVAINMTFRNTAGPIKHQAVAVRNNADFSTFYKCSFEAYQDTLFTHSLRQFYRECDVYGTVDFIFGNAAAVLQNCNIYPRLPMPGQFNAVTAQGRTDINQNTGASFHNCTVASAADLAAAGNRSTRTFLGRPWKERSRTVFMQTFLGGLIEPAGWSLWIGDFALTTLFYAEYENTGPGSNTTERVTWPGYHRTINRTDAIEYTVSNFIAGDRWIPATGVPYAAGLL >itb02g24900.t1 pep chromosome:ASM357664v1:2:25625423:25627047:1 gene:itb02g24900 transcript:itb02g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQTSHLCLSPRLHAPSRHLLRRLSKATFTRSPAGSPPLTALSPLLRRSQLVSASSPVTTLSPSLRFSPSDPLHPSASPRPTAVEVLHHPFFQSCFYVPPSLQSSVALARTTQSGHNNIGFISIRTIDVALEIWSKRAIGGPLGPSPIQSP >itb01g34420.t1 pep chromosome:ASM357664v1:1:37132922:37135387:-1 gene:itb01g34420 transcript:itb01g34420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREKSGQSTRGSRIGAAIAFGVLMGCVFAFFYPNGFFSFNPPQAQSRKLSKLNLQGDSSPCESSERVNMLKSEIMKLSDRNAELQKQVRGLTEKLRLAEQQKGNVEQQIVVLDKPQKAGPFGTVKSLRTNPHVIPDESVNPRLAKILADVAIGKEIIVALANSNVKAMLEVWFTSIKKVGIPNYLVVALDDTIVNFCKENDVPFYKRDPDAAVDSVGKSGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVADRLAKQPNSWDQAVFNEELYYPSHPGYIGLHASRRTMDIYLFMNSKVLFKTVRKDANLKKLKPVIVHVNYHPDKLPRMQAVVEFYVDGKQNALDSFPVGSEW >itb06g19500.t1 pep chromosome:ASM357664v1:6:22818201:22819222:1 gene:itb06g19500 transcript:itb06g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAAAVEEVVVCSSTSSFRRKSGVLSTRMKSRLLDPARSKIIKRFFVLKKHITSHSLHEPEASISIQEFGTREEYGPLFISTKVKHIPFHPMCPKGSLSTLWFHY >itb04g00190.t1 pep chromosome:ASM357664v1:4:137086:138551:1 gene:itb04g00190 transcript:itb04g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKVLFVLPFFLSSLISARNDCTSSFCGISPFPIRFPFRIQGQQPDNCGFPGFNVRCSNQGKAVLSLPYMDDFIIRDINYANQEILLYDPSGCLASRLLSLNLSSSPFKPAYYQNYTFLSCSGDSMMPRLNAIGCLSNSSVSILATSLPTPAGEMNSCSILTTLQLSVPWTSQNEFGFYSDLGSHLLLAWSAPSCENCEAKGGICGFRNATSQEISCFNAPGTGFSAGGLHIFRIIALSIVIPAMTCSICISCIICLRNRSVVAGRAHRNGGTGTGTAAVTPQPATIHAGLDDSTIESYTKVVLGESRRVPGPNHTACPICLADYHPKETVRCIPECEHCFHAECIDEWLRINGSCPVCRNSPSPSPDVHVVVNY >itb04g00190.t2 pep chromosome:ASM357664v1:4:137086:137889:1 gene:itb04g00190 transcript:itb04g00190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKVLFVLPFFLSSLISARNDCTSSFCGISPFPIRFPFRIQGQQPDNCGFPGFNVRCSNQGKAVLSLPYMDDFIIRDINYANQEILLYDPSGCLASRLLSLNLSSSPFKPAYYQNYTFLSCSGDSMMPRLNAIGCLSNSSVSILATSLPTPAGEMNSCSILTTLQLSVPWTSQNEFGFYSDLGSHLLLAWSAPSCENCEAKGGICGFRNATSQEISCFNAPGTGKDLQNNQYVLLIILKFKQEFADALVPEGSSDFGLVRKFQVW >itb09g24230.t1 pep chromosome:ASM357664v1:9:23861031:23862336:-1 gene:itb09g24230 transcript:itb09g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFFTRTTLDAVFKVAFAVDLDTVHGSSEEGVKFTNAFVVASEMTLRRYVDISWKIKKALNIGSEAKLKKSVKVIDDFVLKLIHTKIEQMHKFQDDSTLGWKKNDILSRFLLLTETNPRYLRDVTLNFMVAGKDTTAISLSWFIYMLCKHPSIQEKVAREIKEVTKVDKITDIEEFAVNMPEEALEKMQYLHAALTETLRLCPVLPVDAKICFSDDTWPDGFNVKKGDMVCYLPYAMGRMKFLWGDDAEEFKPERWLDEKGCFRQENPFKFTAFQAGPRICLGKDFAYRQMKIFSAVLLQCFIFKLKDDKKAAHYKTMINLLIDGGLHVCVSHRSDL >itb05g24020.t1 pep chromosome:ASM357664v1:5:28996847:29001278:1 gene:itb05g24020 transcript:itb05g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEYELRGTEIPSEEEVKPQGAEGSSATANAKELDKLKRRLQEMEEEAAALREMQAKVENQMGAAQDPAKVASTVDGMEEVDSRSIYVGNGHLILNSTWNCCLNYVMMGGSQ >itb07g09810.t1 pep chromosome:ASM357664v1:7:9556295:9564086:-1 gene:itb07g09810 transcript:itb07g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVRRSASPAPVSFQYFTFSLPAVRRFTEARSQNAPACRNAPLPPLTTVRRPPLIGAPPLAGHRPTPTTCRSPESPPSGYHLRSIDHCCTNYQSTKRLSSVHCPASRQSRTQESNSSLHEKTVDGVGSRAVAAALCIQTLNFQSLHSSELEGRNPQIQSFELPLPVDRRLEIEDLKFRAKLIPQHCSPFSKKCIRDREAIVGFRVLFPQFMDIDPDISRWILEFLLRNPLDDGTSNALIRVLPANDNPGLKKTVLLRGMESEVSNGSVTEKMLGLLEKFEELEFQEGIQASEELKAAYCAVAVDCTMRFLKKNGGEESSRGEYFSAVRRIWRQRICRMEKADTSGLVSEELGNWRDAIEAAVWDDSFCDNVIKRSEEVDAVEAVQVFVKEAKDRMGSSFLEYTAEMLKNDNNLRELLLSENREDKGETDGKNDNNMRELLPLENGEEQGEIGGNDAALPSASQDTAHHNNGRELRHSARKHTKAPLVGISRGAKIADRDDLRAEAHKQYDLPASPEVNKAQEALETSFMELRAVVKDPLPDALLEAAAIMCQLSRRNMDDQPIQENASKRSLMERNSTAHVHEWNDSFDNLPEVSGNREIRPQLPTPERRNISPLKKYEINRLTKRRKAKKWSLVEEDTLRTGVERYGKGNWKLILHAYRGIFEERTEVDLKDKWRNMSS >itb12g22440.t2 pep chromosome:ASM357664v1:12:24532496:24541422:-1 gene:itb12g22440 transcript:itb12g22440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVNKAVEVGNKNNLTRTVKNYADSVVQQAGHAVVEGAKILQDRIANRNFKSFKQTVRRLEEASVSCRGPERIQLMKRWLAVLKEIEKLSENPIEDKEKNNEEHHPSEEPKSPRSPRKQSMVLYYDPEMGGAPMNFRDVFLYSQALEGISICMILEAPNEEEVSLLLELFGICLTGGKEVHNAIVSSIQNLSKAFTGYNDEVLAKREELLQFAEGAITGLKINADLGSQALEGISICMILEAPNEEEVSLLLELFGICLTGGKEVHNAIVSSIQNLSKAFTGYNDEVLAKREELLQFAEGAITGLKINADLGRIDAEVSMLKKRLDEMREKKESVGEVHETISKETAPTIEALKEALALIRVCSRLEALLLKKKTLKFGDSPEIHAQKVDKLKVLSESLVSSSSKAEKKISDHRVQKEEALRFRVSKSGEVSEIEKEITAEITALEKQRDELEAQLKQVNISLAAANGRLQNAREERDQFYDANDQIVAHLKTKEDELSKSVSSCRVEADILTAWTNFLEDTWALQCAYMETKDKLANDELERHEDYFVNLIIELLSAYERELKPSIDRIGKYVENLRSFDKRSVVASGADHDDSKVLTPRKNLEEEYLDYEAKIITTFSVVDNMREQYYAHQGKISRKNDSKVQELFDSIEKLRAEFESIERPILEMETPGQEAEASHGERPQENVITPAREVKEIPEAREKEQNEPSATNVQKKEPSAAKPEEALDPNTEFAKLESEFGTVNVDNSAEEVGDWEFDELEKELRIEDSATHK >itb12g22440.t1 pep chromosome:ASM357664v1:12:24532163:24541511:-1 gene:itb12g22440 transcript:itb12g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVNKAVEVGNKNNLTRTVKNYADSVVQQAGHAVVEGAKILQDRIANRNFKSFKQTVRRLEEASVSCRGPERIQLMKRWLAVLKEIEKLSENPIEDKEKNNEEHHPSEEPKSPRSPRKQSMVLYYDPEMGGAPMNFRDVFLYSQALEGISICMILEAPNEEEVSLLLELFGICLTGGKEVHNAIVSSIQNLSKAFTGYNDEVLAKREELLQFAEGAITGLKINADLGRIDAEVSMLKKRLDEMREKKESVGEVHETISKETAPTIEALKEALALIRVCSRLEALLLKKKTLKFGDSPEIHAQKVDKLKVLSESLVSSSSKAEKKISDHRVQKEEALRFRVSKSGEVSEIEKEITAEITALEKQRDELEAQLKQVNISLAAANGRLQNAREERDQFYDANDQIVAHLKTKEDELSKSVSSCRVEADILTAWTNFLEDTWALQCAYMETKDKLANDELERHEDYFVNLIIELLSAYERELKPSIDRIGKYVENLRSFDKRSVVASGADHDDSKVLTPRKNLEEEYLDYEAKIITTFSVVDNMREQYYAHQGKISRKNDSKVQELFDSIEKLRAEFESIERPILEMETPGQEAEASHGERPQENVITPAREVKEIPEAREKEQNEPSATNVQKKEPSAAKPEEALDPNTEFAKLESEFGTVNVDNSAEEVGDWEFDELEKELRIEDSATHK >itb06g23440.t1 pep chromosome:ASM357664v1:6:25420047:25420976:1 gene:itb06g23440 transcript:itb06g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGVTRLCAYTSRLVAILFYTWLPQALRITILAFFVALNRCGRAYARYQYRAMVKKQGRKFVYRRKSLFSRPEPAPECSICLSEFVEGEVGRELERCRHVFHAGCVEKWLLHGEGHGSCPLCRSPVVVPGPDVGESWKDERGERLCFEEDLARLLLSGLPKICATC >itb02g07890.t2 pep chromosome:ASM357664v1:2:4943612:4947632:1 gene:itb02g07890 transcript:itb02g07890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKASPAAKERVKGEKKRERVKGEKKREWIKGSPESRVTLAISSKRKESFRRKEKEKGEARKGSVDKKGTGSRKVRDDNFEQIKEKSEVIISGSPGYGKVPNAVEGELVAAGWPSWLSMVASEAIIGWLPRKADTFEKLDKIGQGTYSSVYKARDLVHNKVVALKRVRFDNMDPESVKFMAREIQILRRLDHPNVIKLEGMVTSRTSCSLYLVFEYMEHDLTGLTSLPGVKFTEPQVKCYMQQLLRGLDHCHTRGVLHRDIKGSNLLIDDEGTLKIADFGLATFFDHQQSATLTSRVVTLWYRPPELLLGATHYGVAVDMWSAGCILGELYTGKPIMAGRTEVEQLHKIFKICGSPSEDYWRKEKLPHSTVFKPLQPYRRRITEAFKDLPPTAVGLMETLLSIDPAQRGTAASALESDLFTTKPFACDASSLPKYPPSKEIDAKLREEEARRQAALEAKKGQMSDKRSRGGSKEIRGVLASNVNAMLTRPMKMRQHKSNSKSISEQFNPHKESASGFPIDAPRPPQAVRETCKDHPEPLSERYSHSGPLAPAMQWANSGKKYDDISIGSIRANLSKLTGLVASRSALPGDSQDRLGVSRMDSANEIEVPVALLEAAVRNQDQKHYVQNFAGSRHIESRKPSAKEPAPHECGVKGNKAHFSGPLLVSSNRVEQLLREHDRRIQEAARRSRIEKARLDRAHAQGMQTAANSIYISSLGRR >itb02g07890.t1 pep chromosome:ASM357664v1:2:4943013:4947632:1 gene:itb02g07890 transcript:itb02g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKASPAAKERVKGEKKRERVKGEKKREWIKGSPESRVTLAISSKRKESFRRKEKEKGEARKGSVDKKGTGSRKVRDDNFEQIKEKSEVIISGSPGYGKVPNAVEGELVAAGWPSWLSMVASEAIIGWLPRKADTFEKLDKIGQGTYSSVYKARDLVHNKVVALKRVRFDNMDPESVKFMAREIQILRRLDHPNVIKLEGMVTSRTSCSLYLVFEYMEHDLTGLTSLPGVKFTEPQVKCYMQQLLRGLDHCHTRGVLHRDIKGSNLLIDDEGTLKIADFGLATFFDHQQSATLTSRVVTLWYRPPELLLGATHYGVAVDMWSAGCILGELYTGKPIMAGRTEVEQLHKIFKICGSPSEDYWRKEKLPHSTVFKPLQPYRRRITEAFKDLPPTAVGLMETLLSIDPAQRGTAASALESDLFTTKPFACDASSLPKYPPSKEIDAKLREEEARRQAALEAKKGQMSDKRSRGGSKEIRGVLASNVNAMLTRPMKQMRQHKSNSKSISEQFNPHKESASGFPIDAPRPPQAVRETCKDHPEPLSERYSHSGPLAPAMQWANSGKKYDDISIGSIRANLSKLTGLVASRSALPGDSQDRLGVSRMDSANEIEVPVALLEAAVRNQDQKHYVQNFAGSRHIESRKPSAKEPAPHECGVKGNKAHFSGPLLVSSNRVEQLLREHDRRIQEAARRSRIEKARLDRAHAQGMQTAANSIYISSLGRR >itb09g25440.t1 pep chromosome:ASM357664v1:9:25492079:25493167:1 gene:itb09g25440 transcript:itb09g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPSCFSNPTSASSSPSSSSASQNLVTCIYQTRILGSPIHLTLTWSKILFSHSLTIHAADDDMFSITLPLQASTFSLFQSRSGSKTVQVGSQKKMKVYWDFTRAKYAENSAEPESGFYVAIISHSKLEFFLGDRVRELVQRAGPGLARCSPAERAGPGVAGGGVGEPTLLSRREHVFGRRMSYNTRAVLLGTKHEFGIECGGGVLKVKVDGEVRLVVKRLAWKFRGNERILVGGMEVEFYWDVFNWVSKCDNNNNNNNNNNNNHKFGKGHGVFVFQIGDVGVWPEMVGVEKKLVRKSLSLTTDTAVPPSSPLSLSPSSLSPSCSSVLQWAEESSDCGRSSCSSSRYSSGGFTLLLYAWRKA >itb03g01630.t1 pep chromosome:ASM357664v1:3:913984:918924:1 gene:itb03g01630 transcript:itb03g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDFDFFHQQCVYQVFWFLRCWGGFGALITMGACVSTQSQASQQMVGSRKKRLFKRRHGKVVPGSVPEDARKMDSDFVHTTTTCRRSAVSNSMYHLTQLQWHHSQRGSNVICQEESWFDTASILDSETDEDFSSVYGDFFPSRLSGQVIQYEVHERYIKLDGSKPDKVANKDFKEPKGLALLTAPGYDLPSMKNPQEVDLINKKKLDRGYGSFNIVSSNRHDAAGGDNVLKTVLPKLGSSVSFNDKIISASNSGICSQTRKSTVIXEVFWFLRCWGGFGALITMGACVSTQSQASQQMVGSRKKRLFKRRHGKVVPGSVPEDARKMDSDFVHTTTTCRRSAVSNSMYHLTQLQWHHSQRGSNVICQEESWFDTASILDSETDEDFSSVYGDFFPSRLSGQVIQYEVHERYIKLDGSKPDKVANKDFKEPKGLALLTAPGYDLPSMKNPQEVDLINKKKLDRGYGSFNIVSSNRHDAAGGDNVLKTVLPKLGSSVSFNDKIISASNSGICSQTRKSTVIRLSITQINESPEAADAVCTPKKYLYRPRAGLIIPCCTDEKQASGTWSAIEPSNFKLRADTYFTDKKKAPAPNFCPYTAIGVDLFKSPRKINHIAQHLELPPMKPDENLPSLLIVNIQLPNYTAAMFLGDGDGEGTSLVLYFKLSEGYEQDISPQFQESIKKLVEDDMEKVKGFAKESTVPYRERLKIMVGVVNPDDLVSTSTERKLLNAYNEKPVLSRPQHEFYQGPNYFEIDLDIHRFSYIARKGLDAFRERLKEGILDLGLTIQAQKPEELPETVLCCVRLNKIDFVDRGQIPTLMRVEDNEQCSQSGIRGLLTDA >itb10g25360.t1 pep chromosome:ASM357664v1:10:28722377:28726336:1 gene:itb10g25360 transcript:itb10g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWEMLKHPDEVYPLIKLKMEARKVMGKQIPPQPHWGFCFTILPKVETIDDFDEYCHYAAGLVGIGLSRLFHTAWKEDLASDSLSNSMGLFLQMMSMGTLAMCYNNIQVFRGIVQIRLGLTAKIIDQAKTMEDVYKVFYDFSCMIRSKVDINDPNASMTLERLEIIMKTCRESGALNNRKSYILSSQPNYTRSDLDWLPWISSLKEGGARTPRSKMCDHET >itb13g02330.t1 pep chromosome:ASM357664v1:13:2165518:2177705:-1 gene:itb13g02330 transcript:itb13g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALTSLMATLELEFLQPIPRPSLHYHHHQPLIISFFQNLSSLRQFLDIFRSRSAPRDLEIKIRDFALEAEDNIETQISNFLLLSKHNSTAHQQESSQQLCRTLLEAAQNAADLLNITNTQKDGETQRMRACDALTSLIWKIRYDYAASGKIRVNHIPPTLIESLMENLSSLRQFLEIANFHGAASIKIKDLATRITDFAFNAKQDITNQSNDLRHSRLTDKHEKLSNKLLQTLEEVAENTSELLNSINNTTNEADEASECETQPPIPWLKHNASQSVNVNVKGGDNGSSSLRCSEDTIMVGRQNDVTTIKDRLFSSFGGLDVIPIIGMPGIGKTTLARTIFEDQLVTQHFEVKIWFTMPQKYNEIQIQRDLLQSITQVVVQQHECKDGRTVSEMVQECLIQTRYLIVIDDIWSTQHWRYISDCFPSYVEGSRILLTTRLYEVAHYACPFKGNHHVMSLLDPNESWDLFCNNFPLEKYSAPRFESFRSHLSNAVEKCDGLPQAIVIVAERLLECKDNIQHELKKIEKEIELLGILDYSALTFIYNQLPEYLKVCFLYLGIFPKRSEIQVKTLLRLWIAEGFVKPSKNEELEKIAYCYLKDLIDRSLVLISNWTIDGKIKTCRVHSVIHNICFREAQKEGILCAVNTQQLPRWALNGFANSCRWFSLCKHSFDYYVLFSSNNPRSIFFFQENSDFFVPFKLLRVMAFIPSPFLQRVPMHLGDLVFLRYLSVTQWFEGLNDVVSTNLNLQTLVVFGNDSESQLGVPTLHLPSTIWESPQLKHLELGSLYKVDPPSVVKWNLQTLYWAGPTHCRKKLYSSFPNINKLKIFCKEDMEPIHIGGSSRKDIILDNLDYLARLESLTISVSVGSVVTFPKRCMFPSQLKKLRLKGTNLSRRDLNVIGTLKCLEVLKLENAFHEEVWRVAEGGFFGLKFLLLKDIKLKRLEAYRYSFPYLERLVLRCLHYLEEIPSSFGEIFYLKSIELDRCSRSSIVASAKDIQEKLEKNFGKANFKIKIQEPEYNGVDIFQFGRAPCRDLETKIRDFALEAEDNIETQISNFLLAKGRTAHPEEAYQQLRLTLREAAENAAELLNITNVEKDGETQRKMSCDALTSLIGKFRSDFSALGEDIENLPAVIESLIENLSSLHQFLEISNFHNFAVKYMAAKIRVFAFREEGDINQHLREIRFFNETSITVNPFQEFRQTLQKVAENTAELLNSINNITQEADEANQTQPPPIPWLKHNASQSVNVNVKGGDNGSSSLRCFEDTMVGRLNDVTTIKDQLLWHFDGLQVIPIIGMLGIGKTTLARRIFEDQLVTQHFEVKIWFTVPHKYNKIQILRDLLQSITQVEQYEIKDGRAVSEMVRECLKHRRYLIVLDDIWSTQHWDDIEFCFPRNGSILLITRSHEVADHACTKFIYHGVMSLHPDDRGWDLFPCSSKGPLVMSLLDPDYESWDLLPCSSKGHHVMSLLDPNESWDLFCNIFPLERFRAPRFKSFRSHLSNVVEKCDGLPQAIVILAERLSKCNSNIRHELKKIEKEIELLGILDYSALTLIYNQLPEHLKVCFLYLGVFPKRSEIRVKTLLRLWIAEGFVKPSKKEELEKIAYCYLKGLIDRSLVLISRRTFDGKIKTCRVHSVMHNICFREAQKEGILCAVNTQKLPRWSLNAFTNSCRWFSLCKHSFDYYVLFSLNNPRSVFFFQDNSEILVPFKLLRVLASVPSPFLQRVPMHLGDLVFLRYLSITQWFEGLNNVVSTNLNLQTLVVCGSDGESQLGVPTLHLPSTIWESPQLRHLELGTLYTVDPPSVVKKNLQTLSWVGQTHCRKEVYSNFPNIKKLKIFCKEDLEPSHIGGSSSKDIILDNLDYLVQLKSLTISVSVGCIVTFPERCPFPSQLKKLSLSGTNISGWDLTVIGSLQCLEVLKLENAFHEEVWRVAEGGFYGLKLLLLEAKKLRRLEACPNAFPFLKHLILRCCHYLEEIPNSFGKKCFLESIELDSCCCPSIVTFVKDIQEKQNKEYSKANFEIKIHGPKYDESGYIVECGEDVGVDNFEKANSEIKKEAEYDESGHIVVECVEDVGVGKFEKTNFEEGEYDESGCVEDAGVGKLKSYIPKIKKKRYC >itb03g02020.t1 pep chromosome:ASM357664v1:3:1130752:1135219:1 gene:itb03g02020 transcript:itb03g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLFFILISCTLFHGLIIFAISSTINSPIENIAISCGSSGTAPDGRVWVGDNTKPSSTLLHLNGVSWTSRAQQKASLMIDPVPYQTARTSRHEFSYRFSVKPGQKFIRLHFNPAPYKGFKKSIALFTVKAGPYTLLSNFSPSLTADALGVKFLNKEYCVNVQESEALTIIFTPSQETKSPEDVYAFVNGIEIVSMPTGLYFTPEGDLGAHVVGQKHRFYIDTATALQMIQRLNVGGQSIPSIEDVSMFRDWKDDFNYLLNGVGAASIDTTIPIEYADMLTHAAPPKVYQTARSVHPGNQQLIVHNLTWTIPVNLGFRYLVRLHFCEFEPEISARGERQFSILINNQIAEYNADVIKWSGGNGIAVYKDYIAMMEGDRMKGTGTLVISLQPNSEFSTKRTDGILNGLEIFKLSNPDNYLVGMNPVPQHKQSSVSEMPRRQRPVFFDRKSAIVTAFTVAVTLLNIAIYYLRCLSEANSNMRNTRSRCTDPACHQFSLEEIQLSTSNFSPEFLIGRGGYGNVYKGTIHGSATTVAIKRLKEGSKQGEGEFWTEIKMLSKVRNEHLVSLIGFCNEGIERVLVYEYMPRGTVADHLHKIDRMGNGNPPLSWKRRLKISIGAARGLHFLHTSQHKVIHRDVKSSNILLDESWVAKISDFGLSKMGPGNESFTHVSTDVKGTYGYLDPEYHLTRRLTTKSDVYAFGVVLLEVLTGRPALDKRLVEEGHNLATWAIDYMRKGKVNDIVDSTLAGQVSQICLKVFVEIAGRCLRKQPHERPDMADVLTNLELVLALLQKEGVEDEVMSIESVCSDGVISFDELCGDLLAENMENATTEATPYKGKGKGISIEKVKKDSTSKTKTSIRWWDFLWFHPKQSPRTKTSPTQPRIPKQSSKKKVFSTQLQGLHRFSLQEIKQATDNFNQSFIIGLGEADKVYIGFLNGGKNIVAIRRASTAYSIRCMAHELQSKLDNLPLPNHANVLSLIGYCNPDAEIILVYSYMANGSLQDHLHDPDKAPLPWKQRLKICIDAGHGLCYLQPILKQSILHREFNSTNILLDENWVAKVSDFGWSKNKGNSHVPRAVVGRLGFLDSDYVRDTTSTEKSYAYAFGLLLIEVLCANNELILWDQDRESIASLFKSCIEGSLSRCIDPYLIGKISPDCLRMFVDTASSCLQDQGSKRPSLSEVVTSLKAALELQEAADGERVTAANLRIINASSLVSDCMEVDGGEESDDLSYPHL >itb14g18720.t1 pep chromosome:ASM357664v1:14:21646408:21648834:1 gene:itb14g18720 transcript:itb14g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGEEYLFKIVVIGDSAVGKSNLLSRFARDEFDHNSKATIGVEFQTQVVEVDGKEVKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDITRRITFENIKRWLEELNTHCDTTVARMLVGNKCDLESIRDVSVEEGKLLAEEEGLFFIETSALDSTNVNTAFEIVIREIYKNVSRKLLNSDSYKAELSVNRVSLANGADMSKQNRSSYACCSSSS >itb09g01660.t1 pep chromosome:ASM357664v1:9:1010405:1014126:-1 gene:itb09g01660 transcript:itb09g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLQFSSLPTRTAISLPQPRIFQLRTPLSIRCSTGEADSSSSSSATVDSEFDAKTFRKNLTRSANYNRKGFGHKEATLELMNREYTSDIIKKLKDNGYEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPTENIWLTNEIIHNPTVNKRLEDMDVKNIPVNQGNKIFDVVNKGDVVVLPAFGAGVDEMLTLSEKNVQIVDTTCPWVSKVWNTVEKHKKGEYTSIIHGKYSHEETVATASFAGKYIIVKNMAEATYVCDYILGGQLDGSSSTKEAFLEKFKCAVSKGFDPDSDLTKVGIANQTTMLKGETEEIGKLVERTMMRKYGVENVNNHFISFNTICDATQERQDAMYKLVEEQLDLMLVIGGWNSSNTSHLQEIAEERGIPSYWIDSEQRVGPGNKISYKLMHGELVEKENFLPKGPIKIGVTSGASTPDKVVEDVLVKVFDIKREEALQIS >itb10g05000.t1 pep chromosome:ASM357664v1:10:4976121:4976523:-1 gene:itb10g05000 transcript:itb10g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGFRERLEQMEQTRNQRLSLLQAEKELQITKSQVLAARLSNIRSLERRCLNLDLKISSQHFAISSLKSEMDRLDSDYLSTLQKVRHEYLQFLCHSNLVSSFA >itb03g11500.t1 pep chromosome:ASM357664v1:3:9670453:9674614:1 gene:itb03g11500 transcript:itb03g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETVFRATFGIKKEQDIVGLIEAAVNVAALIVPHKLKKRRSRIVKLLFDEDPLNSTDLFSDHELFIDDDEDDKLIDRPKVPVSSPPPPKNQGIKKGFKKTVKLMSSSQGSTAAPPTPSMSKKMVARQDNSMELKILAHKYHEEDEAEKKGKGKRKIECMDFRESPKKKYCGGRNKVKPPAGGDVRRRRMLSRMKAQHVCHHLTIPFHISPVVGFYALPHYHPLPSRRQRHRDNTGPVAGFNPCPLARRPSPTCLVASCELHISRSPSSPSG >itb04g31610.t1 pep chromosome:ASM357664v1:4:34402667:34403281:-1 gene:itb04g31610 transcript:itb04g31610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVSFLVAVVVVAFSQIATASDPDILSDFIVPANMSAGEVDGNFFTYTGTRGIFARPPEKFTVTKASKAEFAALDGQSVSYAVLQYPGGSVNPPHTHPRAAELLVVVDGCLQVGLVDSTNKLYTQTLVIGDMFVFPKGLVHYQYNAYANQSATAISAFGSASAGTVSLPTTLFATGVDDEVLAKSFKTDVYTIQKIKAGFAH >itb03g30000.t2 pep chromosome:ASM357664v1:3:31232189:31238078:1 gene:itb03g30000 transcript:itb03g30000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLALRCFPAIFTGRLESSKFWKKSNRNTVLTVVCAAKGPRPRYPRVWKSRRKIGTISKSLKLVECIKGLSNVKEEVYGALDSFIAWELEFPLITVKKAIKTLEHEKEWKRIIQVTKWMLSKGQGRTMGSYYTLLNALAEDGRLDEAEELWRKLLLENLESIPRIFFEKMISLYYRREMHEKMFEIFADMEELGVRPTVRIVTMVGDVFQKLDMLDKYRKLKKKYPPPRWEYRYVKGKRIKIRIDASKRHNYEIDASKRHNYGDVVANESDTETYSESDENIEASADRLDTETYSESDENIEACADRLNENTEACPDKLDEVDLII >itb03g30000.t3 pep chromosome:ASM357664v1:3:31232000:31238073:1 gene:itb03g30000 transcript:itb03g30000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLALRCFPAIFTGRLESSKFWKKSNRNTVLTVVCAAKGPRPRYPRVWKSRRKIGTISKSLKLVECIKGLSNVKEEVYGALDSFIAWELEFPLITVKKAIKTLEHEKEWKRIIQVTKWMLSKGQGRTMGSYYTLLNALAEDGRLDEAEELWRKLLLENLESIPRIFFEKMISLYYRREMHEKMFEIFADMEELGVRPTVRIVTMVGDVFQKLDMLDKYRKLKKKYPPPRWEYRYVKGKRIKIRIDASKRHNYEIDASKRHNYGDVVANESDTETYSESDENIEASADRLDTETYSESDENIEACADRLNENTEACPDKLDEVDLII >itb03g30000.t1 pep chromosome:ASM357664v1:3:31232000:31238078:1 gene:itb03g30000 transcript:itb03g30000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLTARHLFPPWFTPAKAPSHGRNSNCGRMLALRCFPAIFTGRLESSKFWKKSNRNTVLTVVCAAKGPRPRYPRVWKSRRKIGTISKSLKLVECIKGLSNVKEEVYGALDSFIAWELEFPLITVKKAIKTLEHEKEWKRIIQVTKWMLSKGQGRTMGSYYTLLNALAEDGRLDEAEELWRKLLLENLESIPRIFFEKMISLYYRREMHEKMFEIFADMEELGVRPTVRIVTMVGDVFQKLDMLDKYRKLKKKYPPPRWEYRYVKGKRIKIRIDASKRHNYEIDASKRHNYGDVVANESDTETYSESDENIEASADRLDTETYSESDENIEACADRLNENTEACPDKLDEVDLII >itb11g14070.t1 pep chromosome:ASM357664v1:11:11114122:11119046:-1 gene:itb11g14070 transcript:itb11g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSGTHGHGLGSEKAPLLNRLAGRKVYDSVENGQLTGLEHGDGVLLLFSVPLTASYLQAKPEVGKLILATLALLLASTSTILIRKFGGTIIDIVSRDIQTDEQRSEALGAVKNTILEIFFIAIVGSICTALRVWLFSSASERVVAHLRKNLFGHLIHQEIAFFDVAQTGELLSRLSEDTQIIKSAATTDLSGALKNLSTAFIGVGFMFTTSWELTLLGLVVVPPISIGMRRFGQYMRELSHKTQAAAAVASSVAEEAFGAIRTIRSFAQEDYEISRYAEKVDNTLSLGLTRAKIVGLFYGSLSAAARLPAMIVVLYGATLRIHGSITAGALTSFILYSLTVQSSVSGLSGSYTVAMKAAGASRRVFQLLDHASSMPKSGNRCPLGDEDADVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIQRFYDPVRGKILLNGVPLVEISHQHLHQKVKLWYYDLSMKSTMSAFFEFSINASWKVQVSIVSQEPVLFNCSIEENIAFGLGGKASMSDIEIAAKMANAHEFISNFPDKYQTSVGGRGLRLSGGQKQRIAIARALLMNPRALLLDEATSALDAESEFLVQDAMDSLMEGRTVLVIAHRLSTVKTANTVAVVCEGQIVESGSHDELLSKDDGIYTALVRRQLQAPKDG >itb13g23280.t1 pep chromosome:ASM357664v1:13:29321784:29326954:-1 gene:itb13g23280 transcript:itb13g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSIVSPPEELVAAGSRTPSPKTTADALVNRFLKTNSSAVSVQIGDDAQLAYSHANESALQPRLFAVKDEIFCLFEGTLDNLGSLRQQYGLAKSANEVVLVIEAYKALRDRAPYPANHVVGHLEGNFAFIVFDKSTSTLFVATDQTGKVPLYWGITADGCVAFANDADLLKGSCGKSLASFPQGCFFSTAVGELKCYENPKSKITAIPAPEEEIWGAKFMVEGGPAVLAATK >itb03g00710.t1 pep chromosome:ASM357664v1:3:384771:386932:1 gene:itb03g00710 transcript:itb03g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRNKCAACFRQFNKMEHLVEHMRISYHSVHEPMCGICRKHCRSFESLREHLIGPLPKVDCERIFKDRGCDLCLTIFSSRNALWAHRSTCQFSRPNNAILYRMASLGIQDELRIDSSRGKAVALACKMVGGGSDGSLDLCARVCLVDEYERIIFHAYVKPTLPVTNYRYESTGIRPEFLRDAMPLKQVSRKIQDYLCNGEPIWQIRSRSGRARILVGHGLDHDLKCLELEYPPMLIRDTAKYPPLMKTSKLSNSLKYLTKAYLGYDTQTGIQDPYEDCVGTMRLYTRMRSLAHRTQDYPLATDPQNRNNYASWRQTELERMSPENLLELSRSDYYCWCLDSN >itb15g16620.t1 pep chromosome:ASM357664v1:15:16385923:16389472:-1 gene:itb15g16620 transcript:itb15g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKLSSLLLSFLFLALAFIPCAITTNITTDQSSLLSLKSYTSLSSSHTLANNWSISASICNWIGVVCGSKHHRVVALDISTMGIVGTLPPQLGNLSFLVSLNISHNSFYGNLPRELASLRQLQYIDFGYNNFSGKIPEEISNLENAKWLILEVNQLDGPIPFTIFNISTLQNLVLNNNGLSSSLPMKLCQHATRLKVLRLSYNKLSGDFPKNLSGCSELEQLRLSNNSFDGNIPSEIGSLNMLQVLALGNNDLEGIRGGTNFGAPIHVERNTVLRSCFPPEHSNYESVGRNSAGVAVSEGTFFLLGCFSYSIEFVLERYVVFVENSIALSIHNHHTMMANVVGQSSLSISLFPSLRFSANQLVRLRHLQRLRLSAVVKASHICFASLHSLRIWSVDSITP >itb04g04230.t1 pep chromosome:ASM357664v1:4:2614044:2614803:1 gene:itb04g04230 transcript:itb04g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYYYYNNPYSSQPRLSLHFCFFFAVLFMFVGITWYINYGHILEAIIDQIKLLLMVSPLLLLLVLHLLSWLDMSLFFIPLPRQDSYRRSGGTPWGVGLFLVILMFMLSYQSDLRERLFPLLSK >itb02g07640.t1 pep chromosome:ASM357664v1:2:4779956:4782093:1 gene:itb02g07640 transcript:itb02g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDRGKAKKAMEEEADEGREFERQQWRPVLEEASMSDRPLKKIRSPDHQSSSSSSSMVPPPLSSSYSNSGPVFPFAFDGTQQLGSTVPLLHPQYHHHTHQQQMISFSPQQPQQNFAYPPYFAGNLAPSQHNQQLMQYWNDSLNLSPRGRMIMSRFGHRPPVQPLNTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAYKLRGENARLNFPQLFLGKDRGGGGASTAPSSSSSSPTTPSKRLASKGEDDAKKLPATQEEESSEVTGSDEVQENVESASSELVWNEMAEAWLNAMPAGWGPTSPVWDDLDATNSLMLPSNLPFSDPNQQDHTSSPSSCPMRPFFPNDQD >itb11g14600.t2 pep chromosome:ASM357664v1:11:11786997:11789970:1 gene:itb11g14600 transcript:itb11g14600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQWSLSWFVQIRKMDLEARSLPPNAKAMLLAKLREYKSDLNNLKTEVKRITSDNANQASRDELLESGMADAKMVSADQRQRLMMSTERLNQSSDRIREGRKVMLETEELGVSILQDLHQQRQSLLHAHDTLHGVDDNISRSKKILTTMSRRMNRNKWIIGSIIAVLVVAIILIIYFKLSH >itb11g14600.t1 pep chromosome:ASM357664v1:11:11786996:11789970:1 gene:itb11g14600 transcript:itb11g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFEGYERQYCELSANLSKKCTSATLLDGEQKKQKISEVKNGMEDAEALIRKMDLEARSLPPNAKAMLLAKLREYKSDLNNLKTEVKRITSDNANQASRDELLESGMADAKMVSADQRQRLMMSTERLNQSSDRIREGRKVMLETEELGVSILQDLHQQRQSLLHAHDTLHGVDDNISRSKKILTTMSRRMNRNKWIIGSIIAVLVVAIILIIYFKLSH >itb04g05030.t1 pep chromosome:ASM357664v1:4:3133476:3137839:1 gene:itb04g05030 transcript:itb04g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSDDLDSYGEKSVSKDWGRREEDPSLHRSSSHRSFYYKSEGGRKVLSSSSSRYDRSDDDRDSLRSVRKRPDYDADNYDRRKSYERYNYGNERGILSSSPRGGYSADRIHRSESFSATRREIPKGFRSERDRSRREGSVSSWRRIGAGKDGEEVSKCGGELVRVTKAESDDVVKAKSPSGMRDAKSPAWSKDSGSEQSRSVEIKRAEELQVNSSGQSSEMEEGELQPDPHPLPATETADKNEMAAGLNSSTKEPDNEHQVQGKELEDGKNSLLAEKVGSNKVCHAEVESEDRHSENARCVLKEPTDLPDDQSASMGTAGIGDRTVKEIDRSDKGEKNHEESNCFQQEVKNMGSEKSPPSRKSGEMKGINLEAMVDDAKLSQVDRGLTKETGASEAVLSVAHEDAIQAVKDKGKSVTISPYTITNLTEDALRFESESKGIASSRDFDMELPSARGLDLFSSGPVKKPEKTDLGTCKPKDEKLGLEPLDLSLSLPNVLLPIGAKNAVEPPGSPSQARSFQSYDSTFRTNSDGFTMSMSFSGSQHFTHNPSCSLTHNSVDYEQSVKSRPLFQGVDWQNLASNEQKNTEVPISTILSNGVGFHWQSQSSQGNSTGQAVAKHLNVLEGKSRMPVVLDQQMSFNRQLPGTQSRYSNGARSPPRSVGSYETGSGYTKDKKHVTREKDDSLYIFNHTDGKEQASTVAADYIESIITTMVSEPLHVTSRRFTEMPGQHLVSLKEYVNDIISNPGKQWQLTALQKALQKRTDTTLEMLVKLHRTQLEILVSLKTGLQEFLQQNYDVSSSELAEIFLNLRCRNVTCRSLLPVDDCDCKICSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHADCGLRESYIRNGCSASGTQGTVEMQFHCVACDHPSEMFGFVKEVFLNFAKDWTAEALSKELEYVRRIFFASEDVRGKRLHEIAIQMLTKLSIKVDLQEVKNQVMHFLTETESARSGNVPIAPRKEIETPNREVNNKIAESSYGQVWSKCLGAEKLPQLEKSAGLPSNFECHRNDKPAMSLDLQTSIPKEPVFDELESIIRIKQAEAKMFQSRADDARRESDALKRIAVTKSERIEEEFSSRITKLRLAEAEEMRKQKWDELQTLERSYHDYFNMKMRMETDIKDLLLKMEATRRNLSM >itb03g01530.t1 pep chromosome:ASM357664v1:3:854655:860506:1 gene:itb03g01530 transcript:itb03g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSGHGKRSHSQSHSDYAENGANKRRNSGGDKDHLPIGPEDTVYRYLCPGKKIGSIIGRGGDIVKQLRAETKSKIRIGETVRDCDERVVTIYSSNEETNEFEGAEDLVCPAQDALFKVHDRIVSEDIAVDENSEEGPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAQIRILKDNHLPACALSSDELVQISGELPVVRKALFQIATCLHNNPSRSQHLLGSAAPTVYPSGGSLIGATPGAPIMGLAPLVGPYGSYKGDGGEWSRSLYSAPRDEASSKEFSLRLICPNANIGGVIGKGGVIINQIRQESRASIKVDSSSAEGDDCLIIISAKEFFEDSYSATIEAAMLLQPRCSEKVERDSGLVSFTTRLLVPTSRIGCLIGKGGSIISEMRKATKANIRILSRENLPKVAAEDDEMVQISGELDVSKDALVQVTSRLRANLFEREGAVSAFVPVPPYLPMPIDASDSLTKYESRDTRRHGRGHSYSSGYGGLNDIPPTDGYGSYGGLQSSSSSLGYGAYEGYSIGRSGLSGSNPASRRKSYGY >itb03g01530.t3 pep chromosome:ASM357664v1:3:854679:860506:1 gene:itb03g01530 transcript:itb03g01530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSGHGKRSHSQSHSDYAENGANKRRNSGGDKDHLPIGPEDTVYRYLCPGKKIGSIIGRGGDIVKQLRAETKSKIRIGETVRDCDERVVTIYSSNEETNEFEGAEDLVCPAQDALFKVHDRIVSEDIAVDENSEEGPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAQIRILKDNHLPACALSSDELVQISGELPVVRKALFQIATCLHNNPSRSQHLLGSAAPTVYPSGGSLIGATPGAPIMGLAPLVGPYGSYKGDGGEWSRSLYSAPRDEASSKEFSLRLICPNANIGGVIGKGGVIINQIRQESRASIKVDSSSAEGDDCLIIISAKEFFEDSYSATIEAAMLLQPRCSEKVERDSGLVSFTTRLLVPTSRIGCLIGKGGSIISEMRKATKANIRILSRENLPKVAAEDDEMVQISGELDVSKDALVQVTSRLRANLFEREGAVSAFVPVPPYLPMPIDASDSLTKYESRDTRRHGRGHSYSSGYGGLNDIPPTDGYGSYGGLQSSSSSLGYGAYEGYSIGRSGLSGSNPASRRKSYGY >itb03g01530.t2 pep chromosome:ASM357664v1:3:854677:860506:1 gene:itb03g01530 transcript:itb03g01530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRSGHGKRSHSQSHSDYAENGANKRRNSGGDKDHLPIGPEDTVYRYLCPGKKIGSIIGRGGDIVKQLRAETKSKIRIGETVRDCDERVVTIYSSNEETNEFEGAEDLVCPAQDALFKVHDRIVSEDIAVDENSEEGPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAQIRILKDNHLPACALSSDELVQISGELPVVRKALFQIATCLHNNPSRSQHLLGSAAPTVYPSGGSLIGATPGAPIMGLAPLVGPYGSYKGDGGEWSRSLYSAPRDEASSKEFSLRLICPNANIGGVIGKGGVIINQIRQESRASIKVDSSSAEGDDCLIIISAKEFFEDSYSATIEAAMLLQPRCSEKVERDSGLVSFTTRLLVPTSRIGCLIGKGGSIISEMRKATKANIRILSRENLPKVAAEDDEMVQISGELDVSKDALVQVTSRLRANLFEREGAVSAFVPVPPYLPMPIDASDSLTKYESRDTRRHGRGHSYSSGYGGLNDIPPTDGYGSYGGLQSSSSSLGYGAYEGYSIGRSGLSGSNPASRRKSYGY >itb02g05600.t1 pep chromosome:ASM357664v1:2:3429031:3433913:-1 gene:itb02g05600 transcript:itb02g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPIMKPNYVFILVCCILSVSQATVAAPAASNGFRVRGVNLGGWLVTEGWIKPSLFDAIPNSDLLDGTNVQFISVTVGKYLSAESGGGTILVANKPKASTSEIFRLWRINETTFNLRVHNNQFVGLNTTNGDTGVNLVAREMTPGISETFVILRNSDYPNLVRIKASNGFFLQVKTEELVTADSKGNEGWSDDDPSVFIMSSFRQFEGEFQITNGYGPLMAPKVMREHWETFIVEEDFKWISNNGLNAVRIPVGWWIASDPNPPKPFVGGSLKALDNAFLWAKKYGLKVIIDLHAAPRSQNGFFNGGCRDGSLGWGIDSLDQTVGVIDFLTARYAENPSLYAIQLMNEPVLWDSLEIVMEYYKAGYKAVRKHSSTAYVVMSTRMGIANVTELLPFAAEYEGSVIDVHLYDYGYTTVQDSLDFINTYYKDTLNAVTTSNGPLSFVGEWVAVWGVENATKEEYQRFVKAQLEAFEVATFGWAYWTLKNVKNHWSLEWMIKNGYINFTESNSISSHLSI >itb08g12980.t1 pep chromosome:ASM357664v1:8:13498767:13504674:1 gene:itb08g12980 transcript:itb08g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYFSRSETRSGYPRIFTPRFVEELQIYSDMWGSNPATETRIEKPNPVYVPRDEAFGEIKQDTFSAGKLKAALHNLIPLIASTLSSSDIPFNCFSDIDKLYIDGFLLKDGEEAKANIFSPNMMTQLFSAGKGLFKYEIPAVIKTDRFSWLRDDEFARQALAGVNPVNIELLKEVPILSKLDPAIYGPPESAITKDIIEKQLTGMTVEEAIAQKRLFIIDYHDMLLPFMEKMNSLAGKKAYATRTIFLYTPSATLMPIIIELSLPHRNKRVLTHDSDATSYWIWKQAKAHVCSNDAGVHQLVNHWLRTHASMEPYIIATQRQLSSMHPIYKLLRPHMRYTMEINALARQSLINGGGIIEACFSPGKYSMEISSAAYKTMWRFDMEALPADLVRRGMAEEDPSAPCGVKLVIEDYPYAADGLLIWAAIKELVESYIDHYYSSDHPGCITSDTELQDWWSEIKNVGHADKKHEPWWPSLYTKHDLSAILTTIIWVASGQHAAINFGQYPFGGYVPNRPTLMRRLIPQEQEQDDYHKFLLNPQQTFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLHQLYQLQSSSITDPHVLNLFATFSAKLEEIEDTINQRNKDKNLKNRSGAGIPPYELLMPSSPAGVTGRGVPNSISI >itb06g18460.t2 pep chromosome:ASM357664v1:6:22103597:22107670:-1 gene:itb06g18460 transcript:itb06g18460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGIRKRRSSEQSPGSQNSPFDYRKKERLHRIPGRLYLNGSSEVASLFTQQGKKGPNQDAMIVWENFGSRRDTVFCGVFDGHGSHGHMVAKRVRDDLPLKLSAHWEVRVKTEDVLLRGTSVNTSGINSEDAPFIYADEEPRASIGVEEIEKYPDIFQTVKESFLKAFKVMDRELKTYTNINSNYSGTTAVTLLKQGQNLVIANVGDSRAVLGTRDNEDNSLTAVQLTVDLKPNLPVEAERIRKCKGRVFALREEPEVARVWLPKTNFPGLAMSRALGDFCLKSFGVISVPEVSYRRLTEKDEFIVLATDGVLKLEIKDSCITCITCIIDPFSFLFFFSLYCYALHSLMGSRELQIWDVLCNEEVVKIVASAPTRSSAAKTLVEAAVRGWNTKYPTSRTDDCAVVCLFLKAFSVGENEVNNGKVDASTTPAEMNGSDALGNDENALGTESNKEQGKDWSSL >itb06g18460.t1 pep chromosome:ASM357664v1:6:22103597:22107670:-1 gene:itb06g18460 transcript:itb06g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGIRKRRSSEQSPGSQNSPFDYRKKERLHRIPGRLYLNGSSEVASLFTQQGKKGPNQDAMIVWENFGSRRDTVFCGVFDGHGSHGHMVAKRVRDDLPLKLSAHWEVRVKTEDVLLRGTSVNTSGINSEDAPFIYADEEPRASIGVEEIEKYPDIFQTVKESFLKAFKVMDRELKTYTNINSNYSGTTAVTLLKQGQNLVIANVGDSRAVLGTRDNEDNSLTAVQLTVDLKPNLPVEAERIRKCKGRVFALREEPEVARVWLPKTNFPGLAMSRALGDFCLKSFGVISVPEVSYRRLTEKDEFIVLATDGIWDVLCNEEVVKIVASAPTRSSAAKTLVEAAVRGWNTKYPTSRTDDCAVVCLFLKAFSVGENEVNNGKVDASTTPAEMNGSDALGNDENALGTESNKEQGKDWSSL >itb13g23330.t1 pep chromosome:ASM357664v1:13:29365578:29369186:-1 gene:itb13g23330 transcript:itb13g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDHQCQNPNEKDQRVQAVLDILRKQAPLTLKQEKFCNKGCVERFLKAKGDSVKKAAKHLRSCLVWRESLGIDRLMADEFSAELAEGVAYVAGHDESSRPVMIFRIKQDYQKFHSQKMFTVLLAFTVEVAIQTMAKNVEQFVILFDASFFRSASAFTNILLAAMKVVADYYPGRLHKAFVIDPPSLFSYLWKGVKAFVELAPLTMVVSSLDFEDSLEFNNFSTYPRAASLRFSPASVASSTAKIGPCSSSRFSFTVSHHFDSLKPWHLTLTDTSASKIGPTTVHSSTNLGSATISPLNARSTSFASPLARTPRGIAGATARKGFFPSTPLPQKTQEFDSAALHPRAARPSFFQSPAMFFKKDCHVTRPDKSRESFAPFLKFYRRPYDEMIYRSKMRPPLGGLIAIVPPHLKRRHMSVSQRF >itb05g19600.t1 pep chromosome:ASM357664v1:5:26020974:26023697:1 gene:itb05g19600 transcript:itb05g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDPPSDLLVEILARLPSSRAVIQLKLVCKSWCSLISSHYFITVFNHRRHDPIHPSSSGCFIFQSGFERRLLMVRWGYHDLPGDFHRPDFSFLPCPQSSIRLMGSCGDLILCSTRTSPSCLFQPIFYYVCNLLTKQWVALPPSPQFQLQSVSFSVGFLCVPAPCSLCSSSSQCVLGHNNNNFMVVRICVALPVTSIQPQSEFIVQLFSSEEGEWKSVVVSSPRAVSFTVRSSPTLVSYKGMLHWLISGFILVYDPYNCPRRFCRVIDTPIGIEDRFQTIGLFQDRLRLTHVWSFLLHIWELEDYNMGEWSLVHKINCTAIPRLPVLPVRDEPDHLPHLDPIVNLDPEIRETGFCYPDRIVFYWTNSGWSVANGIVHWISDQGWPTPVPPLTAGTIQNLNSSNLPGERFYQYVHFSSK >itb09g28530.t1 pep chromosome:ASM357664v1:9:29131867:29134047:1 gene:itb09g28530 transcript:itb09g28530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPVTFVLEQLSALIREEYSLLGGIRDDAEEVMNAFRRLKAVLKVADEREEIDTQVKEWVKIIRELVYDTEDVLDEFHFRFGGGRTNEGLRNRIKNKYTSVKNLRARRRLALELRRIKARVNKIYQEQPRLLTTTDHTIHNHNKWVYDSRRDALLLKDSDLVGIDNPKLSLVNRLLAVDKGLRVHSVVGMGGLGKTTLVKKVFDDALVVNHFQPRVWLTISETFNVDELLKDAIRQIVKQTNQQIPQDFVAMNTDKLKEFINNILSGQRYIIVLDDIWDIPAWMAFKYVFPGQSFGSRIVITTRNNEIGIYASNETQGHVYSLEPLSPKDSWILFSKKTFLDGSCPLHLVNIAKNILKRCDGLPLAIVVIAGVLATKSGSIEEWERFQHSLNIQMESNNWMKSMKNLLSLSYYDLPYYLKYCFLYLSIFPEDVIINKNIVIQLWIAEGFVRENNQQVKEEVAEAYLNELLHRNLIILAEKARDGRMKGFRVHDILREVILSKSAEQNFTIIISTGQNTKPSNKPRRLAIHRFDDHILEYTSSKMHLRSLQFFEPLSSSALSSLSKMFTAKYIPLKVLDLRGAELEEIPEEVFNLFQLKYLSLRRTKLRSVSKSIGRL >itb12g05260.t1 pep chromosome:ASM357664v1:12:3487405:3488607:1 gene:itb12g05260 transcript:itb12g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGEAGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYELGLPVIQKAGVAHKIEFKEGPALPVLDQMIQDGKYQGSYDFIFVDADKDNYLNYHKRLIDLVKVGGLIGYDNTLWNGSVVAPADAPLRKYVRYYRDFVLELNKELAADPRIEICQLPVGDGITLCRRVY >itb06g22860.t1 pep chromosome:ASM357664v1:6:25052271:25053403:-1 gene:itb06g22860 transcript:itb06g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDLLLQAALILLTLFMFLLMHNIPQKFFSKLRLRNRADIQAKRHFIQGAQLLAQAKAAKDRSTTTKLAKSAESEADAAIAMDPKEAAAHILKALALEVLGFKTSALDAIDAALSPTVAKSLSDPERADALFKRAELRLAASRRGRVDSAVEDLVESVRLKGDNAKAFCLLGDCYKRKGLKNEAQEAYEDALKAQPNYTVAREALNRLGS >itb06g24000.t1 pep chromosome:ASM357664v1:6:25728634:25733979:1 gene:itb06g24000 transcript:itb06g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYPVLDNRPINKWKVTELREELKKRNLTVKGLKDELVKRLDEAIRKEREALEMEESNGSDSESEPVLETSDAQVDHGGDKVVDDARKGGVNEVSEADDTVAKSETNDCPTDTEFAKATGEVPTGDSDQSIEGRKDSDTPMETITSVDVIEGAVEMASAEVVLENKVDVSIEGSSLLESSLDGGSIVKEDVEMKLISAEEPNFMGEEKGIEVLPNASGGITTSSKIDPENEGLKPLKMDAEPDLSDPSTQVHEVIPNLGSQVKSDSIPSDSLPIYVTKELNDDLNADNVQLEQEIVRPEMVPPSANKDPSGVGINHPMDDQMPSKSQGLVGGTDDDESSNVKFSMKNENADQSFVDVSIIEHNMDNKASSSTELEVLSKDGNMNQAEFLCKEKESSEEEKLDVAASTEETKFQDNESTDAGFSKITDMTDGENLEKINLDQSSADDSMEEDIVEIKIVDSEQISSEVGEKTEETHTKESGLGLEGSSTALPSNIPSDAVEVSHGDKNKILDAPEKRKFQDTAASGNKEPAKRQRKWNYESLKISEPKNPSISVLTPKQISRPSPGGSDSTHDVDTPKERIVPESSKTPTNSLRIDNFVRPFTLKAVQELLGQTGKICNFWMDHIKTHCYVKFSSVEEAIETRNAVYNLRWPTNGGRLLLADFVDPQEVISRLEGPSKPTTPTASASPAVPPVQTPSQPSPLARQQAPREQVERPHPLSRQPPTSDRPAMKERLARPPSPVADKMEAPIVTLDDLFRKTKATPRIYYLPLTDEQVSRKLNEQGRNK >itb10g12290.t1 pep chromosome:ASM357664v1:10:18276948:18277463:1 gene:itb10g12290 transcript:itb10g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEAGQEWVPIVVQPAQECDVYAYCGPFGICTQTSSPVCGCLYGFKYKSHKRWGLNQFSDGCVRNTSLNLKCGTNNYQEEGMADSFKMYPNVRLPRHPQNITTQNQAECESTCLHNCSSTAYAYHNTNAGCSLSHFGLDNSSTSNTLMVMEAPFTSDLLPLNFQTSKVM >itb09g08310.t2 pep chromosome:ASM357664v1:9:4981328:4986818:-1 gene:itb09g08310 transcript:itb09g08310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVMVSDPELSAQFTQVELRGLNTRFLSARNQSGKVKLGDLPRVMSQLKAFNGVLSEDEIKAILSESSSDLDEEIDFEYFLRAFLNLQSQAPVNSDTTKTKSSSPFLKATASNLRDTIIESEKASYVTHINTFLRDDKFLKQFLPIDQHSNALFDLAKDGVLLCKLINVAISGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTEDLVEGRPHLILGLISQIIKIQLLADLNLKRTPQLIELVEDSKDMEELMSLPPEKILLKWMNFHLKRSGYKKQVTNFSIDVKDGEAYAYLLNTLAPEYGSTSTLDTKDLMERANLILEHAEKIGCKRYITPKDIVEGSSNLNLAFVALIFQQRIGLSVDNKKLSFAEMIAYDAQTCTEERCFRLWLNSLGIDTYVNNLFEDVRTGWVLLEALDKVSPGIVNWKQATKPPIKMPFRKVENCNQIVEIGIKLNFSLVNVDGNDIMQGNKKLILAFLWQLMRFSMLKLLKQLRFHSHGREISDTDILNWANKKVRSAGRKSQIETFKDKSISKGTFFLELLSAVEPRLVNWSIVTRGETDDNRKLNATYIISVARKLGCSVFLLPEDIMEVNQKMMLTLTASIMYWCLQNKGVEPEDLSPASSTASETVGAAEMSTLSVEDGNDQPSEAGDEKSVLQDQTI >itb09g08310.t1 pep chromosome:ASM357664v1:9:4981328:4986818:-1 gene:itb09g08310 transcript:itb09g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVMVSDPELSAQFTQVELRGLNTRFLSARNQSGKVKLGDLPRVMSQLKAFNGVLSEDEIKAILSESSSDLDEEIDFEYFLRAFLNLQSQAPVNSDTTKTKSSSPFLKATASNLRDTIIESEKASYVTHINTFLRDDKFLKQFLPIDQHSNALFDLAKDGVLLCKLINVAISGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTEDLVEGRPHLILGLISQIIKIQLLADLNLKRTPQLIELVEDSKDMEELMSLPPEKILLKWMNFHLKRSGYKKQVTNFSIDVKDGEAYAYLLNTLAPEYGSTSTLDTKDLMERANLILEHAEKIGCKRYITPKDIVEGSSNLNLAFVALIFQQRIGLSVDNKKLSFAEMIAYDAQTCTEERCFRLWLNSLGIDTYVNNLFEDVRTGWVLLEALDKVSPGIVNWKQATKPPIKMPFRKVENCNQIVEIGIKLNFSLVNVDGNDIMQGNKKLILAFLWQLMRFSMLKLLKQLRFHSHGREISDTDILNWANKKVRSAGRKSQIETFKDKSISKGTFFLELLSAVEPRLVNWSIVTRGETDDNRKLNATYIISVARKLGCSVFLLPEDIMEVNQKMMLTLTASIMYWCLQNKGVEPEDLSPASSTASETVGAAEMSTLSVEDGNDQPSEAGDEKSVLQDQTI >itb09g08310.t4 pep chromosome:ASM357664v1:9:4981328:4986818:-1 gene:itb09g08310 transcript:itb09g08310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKAFNGVLSEDEIKAILSESSSDLDEEIDFEYFLRAFLNLQSQAPVNSDTTKTKSSSPFLKATASNLRDTIIESEKASYVTHINTFLRDDKFLKQFLPIDQHSNALFDLAKDGVLLCKLINVAISGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTEDLVEGRPHLILGLISQIIKIQLLADLNLKRTPQLIELVEDSKDMEELMSLPPEKILLKWMNFHLKRSGYKKQVTNFSIDVKDGEAYAYLLNTLAPEYGSTSTLDTKDLMERANLILEHAEKIGCKRYITPKDIVEGSSNLNLAFVALIFQQRIGLSVDNKKLSFAEMIAYDAQTCTEERCFRLWLNSLGIDTYVNNLFEDVRTGWVLLEALDKVSPGIVNWKQATKPPIKMPFRKVENCNQIVEIGIKLNFSLVNVDGNDIMQGNKKLILAFLWQLMRFSMLKLLKQLRFHSHGREISDTDILNWANKKVRSAGRKSQIETFKDKSISKGTFFLELLSAVEPRLVNWSIVTRGETDDNRKLNATYIISVARKLGCSVFLLPEDIMEVNQKMMLTLTASIMYWCLQNKGVEPEDLSPASSTASETVGAAEMSTLSVEDGNDQPSEAGDEKSVLQDQTI >itb09g08310.t3 pep chromosome:ASM357664v1:9:4981328:4986818:-1 gene:itb09g08310 transcript:itb09g08310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVMVSDPELSAQFTQVELRGLNTRFLSARNQSGKVKLGDLPRVMSQLKAFNGVLSEDEIKAILSESSSDLDEEIDFEYFLRAFLNLQSQAPVNSDTTKTKSSSPFLKATASNLRDTIIESEKASYVTHINTFLRDDKFLKQFLPIDQHSNALFDLAKDGVLLCKLINVAISGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTEDLVEGRPHLILGLISQIIKIQLLADLNLKRTPQLIELVEDSKDMEELMSLPPEKILLKWMNFHLKRSGYKKQVTNFSIDVKDGEAYAYLLNTLAPEYGSTSTLDTKDLMERANLILEHAEKIGCKRYITPKDIVEGSSNLNLAFVALIFQQRIGLSVDNKKLSFAEMIAYDAQTCTEERCFRLWLNSLGIDTYVNNLFEDVRTGWVLLEALDKVSPGIVNWKQATKPPIKMPFRKVENCNQIVEIGIKLNFSLVNVDGNDIMQGNKKLILAFLWQLMRFSMLKLLKQLRFHSHGREISDTDILNWANKKVRSAGRKSQIETFKDKSISKGTFFLELLSAVEPRLVNWSIVTRGETDDNRKLNATYIISVARKLGCSVFLLPEDIMEVNQKMMLTLTASIMYWCLQNKGVEPEDLSPASSTASETVGAAEMSTLSVEDGNDQPSEAGDEKSVLQDQTI >itb02g23780.t1 pep chromosome:ASM357664v1:2:24062469:24069943:1 gene:itb02g23780 transcript:itb02g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSAQPQFMTSSANRSLSNEPLIDNIEYNQIVVPDKKSWKNFFSYMGPGFLVCIAYIDPGNFQTDLQAGAQYKYGLLWIILLASFAALLIQSLAANLGVVTGKHLAEHCRKEYPRVPNFVLWVLAEVAIVACDIPEVIGTAFALNMLFKIPLWCGVLITGFSTLVLLLLQQYGVRKLEIFIAFLVFTIVGCFCVELGYAKPKSSEVLEGLFVPKLKGTGATKLAISLLGAMVMPHNLFLHSALVLSRKIPRSLNGIKEACTFYLIESGIALIVAFIINVCVISVSGAVCNSPNLSEEYQESCSDLDLNKASFLLKNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLRPWIRNMITRCLAIVPSLIVSIIGGSAGAGDLIIIASMILSFELPFALVPLLKFTSSKTKMGLHANSKIISAITWIIGLLIMGINIYYLAEKLVTSLKNSDLKMVGKVFCGILGFTGLLVYLGSIAYLVIRENKERTHLLALTAVDGSSNGNEPSLPREDIRSMQLPEKRSTSDVE >itb02g23780.t2 pep chromosome:ASM357664v1:2:24062493:24067495:1 gene:itb02g23780 transcript:itb02g23780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSAQPQFMTSSANRSLSNEPLIDNIEYNQIVVPDKKSWKNFFSYMGPGFLVCIAYIDPGNFQTDLQAGAQYKYGLLWIILLASFAALLIQSLAANLGVVTGKHLAEHCRKEYPRVPNFVLWVLAEVAIVACDIPEVIGTAFALNMLFKIPLWCGVLITGFSTLVLLLLQQYGVRKLEIFIAFLVFTIVGCFCVELGYAKPKSSEVLEGLFVPKLKGTGATKLAISLLGAMVMPHNLFLHSALVLSRKIPRSLNGIKEACTFYLIESGIALIVAFIINVCVISVSGAVCNSPNLSEEYQESCSDLDLNKASFLLKNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLRPWIRNMITRCLAIVPSLIVSIIGGSAGAGDLIIIASMILSFELPFALVPLLKFTSSKTKMGLHANSKIISAITWIIGLLIMGINIYYLAEKLVTSLKNSDLKMVGKVFCGILGFTGLLVYLGSIAYLVIRENKERTHLLALTAVDGSSNGNEPSLPREDIRSMQLPEKRSTSDVE >itb12g14560.t1 pep chromosome:ASM357664v1:12:14368799:14370532:1 gene:itb12g14560 transcript:itb12g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDPPPPILLNQEQSELNPQFDHAPPFKRRRNSENNQLSSASFPPMNPRVNLPNVPGKGSGHIFYKTRLCAKFLEGKCRNGEHCTFAHGADDLREPPPNWQDLVREKDRVAGSWNDDQKIIPRVKICKFYNGEECPYGENCNFLHERPPKFKSDMVKDHMESSAISIATTNSITARRSGSDQLESHKHASVDLDIHRPKLAFWKTRLCSKYEITGQCPFGERCHYAHGKSELQAFSGRSDTGEATNFPTVPIEPLSIAAGDSFCRANQVAWKDEPGGKKFHIWKLTKKISGIYADWIDEANPPHLLYDSEILR >itb14g00560.t1 pep chromosome:ASM357664v1:14:397438:400902:-1 gene:itb14g00560 transcript:itb14g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSLRFINHQSFFLAAQSGDLDSLKQLLAAQSNGSGSEPDPTALLALQNDAGKTAIYVAAENNYVEVFGFLVGLCDLATVKIRCKADLDAFSVAASRGHLGIVKELLSKWPELCKVCNSSNTSPLYLAAAQGHLDVVNAILDADVSSARIVRKNGKTALHNAARYGLVPIVKALIERDPEIVAIKDKKVQTALHMAVKGHDSSVIEEILDADHSILNERDKKGNTALHIATRKVRPQIVNVLLTYTSINVNAINYQNETAMDLADKLQYGDSALAIKEALVEAGAKHARYVGQVDEAMELKRTVSDIKHEVQSQLIQNEKTRRRVSGIAKELKKIHREAVQNTINSVTVVAVLFASIAFLAIFNMPGQYKTDGSDAGKANVADTAAFRVFCLLNATSLFISLAVVVVQITLVAWDTRAQKQVVSVVNKLMWAAGISTCGAFLAVGFVVVGKQGIWMAITITVLGAPILIGTLVSLCYFVFRQHFGIGSDSQRRIKRASGSKSFSWSYSTHISDLDDYNSDEKIYAL >itb12g07820.t1 pep chromosome:ASM357664v1:12:5969563:5974978:-1 gene:itb12g07820 transcript:itb12g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGFLASLWNFIRFLPYFIGLLILGTIKGVILCPFILVIVTVGNSAIVLGLWPVHLLYSFYCVLSAKQIGPVLKLVSCICLPIILFLWLLIAIASSIVGGAAFGFLSPMLATFRAIDLGKPNKLYHCIYDGTWDTVKGSCTIVRDVGDICYHSYFSVMDDLRIQKSSTGKYYEIRLLYLPVALFYGLLGSMVDMLVITAIAAYKSPYMLIKGWYRLFHDCIGREGPFLETICVPFAGLAILLWPLAVVGAFLGSIVSSIVLGAYAGVILYQESSFWYGICYIVASISIYDEYSNDILDMREGYCFPRPTYRAETTSRTNSRAGSFSKSDSLGNPPNRSNSMNTSTTELKASQIADAFFEACRCNGEILASEGVITRKDFEDAKSSRDNGKTISIGLPAYCFLQVLLRSAKANSAGLLLNDNTEITSTNRPKDSLYDWFFNPLLTMKEQIKAGNLSESEEKYLGRLILLGGDPESLKNSNIGSPPESELRRAELEALARRLRGITKSISRFLPTFKRRFDSSMKSVLDELAKKNGESRKCEAEGQSIRRSKSMFVKIFSQKSFKDKRSNTESDQEEAQMVGNEGDTEIK >itb15g11430.t1 pep chromosome:ASM357664v1:15:9244951:9248688:1 gene:itb15g11430 transcript:itb15g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDYLHMNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLSSDELASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALKPAFKAFDMQALINKINKSIVAPLPTKYSGPFRGLIKSMLRKNPELRPSAAELLRHPHLQPHVINIHLKPNSPRRNSLPNYWPDNDIKKSRFTGPESVPYYREKRLSCGNDRTLNPSVSENDHDYSFSGQRYCDKPSRITALSTGSTEGGTITKKVTTKASNAVKNTKATLTKASPTPKRLASALKHNELVPTSRTLVKRSVSTTRRASLPLTTKAAARDLPHRPSLGV >itb15g11430.t2 pep chromosome:ASM357664v1:15:9244951:9248688:1 gene:itb15g11430 transcript:itb15g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDYLHMNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLSSDELASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALKPAFKAFDMQALINKINKSIVAPLPTKYSGPFRGLIKSMLRKNPELRPSAAELLRHPHLQPHVINIHLKPNSPRRNSLPNYWPDNDIKKSRFTGPESVPYYREKRLSCGNDRTLNPSVSENDHDYSFSGQRYCDKPSRITALSTGSTEGGTITKKVTTKASNAVKNTKATLTKASPTPKRLASALKHNELVPTSRTLVKRSVSTTRRASLPLTTKAAARDLPHRPSLGV >itb11g02410.t1 pep chromosome:ASM357664v1:11:1213319:1214942:-1 gene:itb11g02410 transcript:itb11g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRSLYRSFSQTAVADQILAAIIQNRPLNAILRASTPAWSVETVSEVLRSIPRFLFQSPRSIGRQEGFRHRSPLKQRNLREESDKARRGKLVLGPAAYRDPEKVQLGLEKALEFFDWVETSCGFTHNELTCREMGVVLAKGGGRLKLLLDFLKKMSKRGLVTTPTITCLIKVLGEEGLVNEALFTFYRMKQFHCRPDVHAYNTVIYALCRVGNFKKAKFLLEQMELPGFSCPPDTFTYTVLISSFCRYAMETGCRKAIRRRMWEANHMFRLMLFKGFVPDVVTYNSLISGCCKTFRIGRALELLEDMEKRGCTPNRVTYNSFIRYYSAVNETDKAMEMMRRMQEGNHGVATNSSYTPVIHALCEAGRAVEAWDLLVELVQGGSIPREYTYALVCKALETAGEMQLLDGRLCSRVEEGIQCRIEQAKKVKPLFSRKDVL >itb09g07440.t1 pep chromosome:ASM357664v1:9:4333897:4337295:1 gene:itb09g07440 transcript:itb09g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHPNNRMDPQTAAEKAVSVMGFGYDMAADIRLSACKPGPSGSGLIELDRSSTEDLIMPGGVVVRDVSTSIKCDKGERTRFRSDALTFNQMSEQFNQDVDLSGKIPSGLFNTMFDHRGCWQKDAASTKLVAFDGWFITLYNVELERCHVTLCEQVRREVPSSWDPPALAEFIEKYGTHVVVGLKMGGKDVIHIKQLQKSTLEPIEVQKLLKQLADEKFSEDGSEGPKSNPDNSNERLKDEKSIFWDPSLPFASSMRPSITSHSKNNDIVSIHVRRGGSDCGQSHSKWLSTVSQSPNVISMSFVPIASLLNGVKGSGFLSHAINLYLRYKPPIEELLQFLEFQLPRRWAPAYSDLPLVHRRRKQASPSLQFTFMGPKLFVNTVKVDSGNRPVTGIRLYLEGKRSDHLAIHLQHLSALPESLQLTDDHTYEPIDVKFQQDYFEPVKWSIFSHVCTAPIEYQGARIDDFASIVTKAWFEVKVVGMKKVLFLRLGFSMVSSALSRRAEWDEPSNSARKSGLISMLITTPFSTKLTPPEKPAKADLNSAIYPAGPPTPAKPPKMSHFVDTKEMVRGPEDSPGYWMVTGARLFVEDSRIRIKVKYSLLTILSEDSLM >itb08g06070.t1 pep chromosome:ASM357664v1:8:5217251:5218615:-1 gene:itb08g06070 transcript:itb08g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCKEKRVDEVKELMEKMTKDNDLYVDQVTYNTLVHMLSKHGHADEALIFLREGEARGFCIDKVGYSTVINSFCKAGRMDRAKELVNEMIANGCIPDVVTYTAIVNGFCSIGEVDQGKKLLQQMYKQGCKPNTVSYTALLNGLCRTGRSSEAREMMNVSEDGWWRPNAVTYSVVMHGFRREGKLLEACNVVREMIGKGFFPSPVEINLLIQALCREERAVEAKKFLEECRRKGCAVNVVNFTTLIHGFCSKDDLGSALSVLDDMYLINKHPDVVTYTTLIGALGKNGRIEEAIEMTKKLLHKGLVPTQVTYRTVIHHFCQQGRVEDLLKLLEKMLLRQDCRTVYNQVIEKLCSFGNPNEAYKLLGKILRTASRTDANTCHILIESYLKNGNPLFSYKVACRMLKRNLVPDLKLCEIVKEKLMLDGKACEADKLMMLLVERGHILPKHKQRLT >itb03g15210.t1 pep chromosome:ASM357664v1:3:14606694:14612873:1 gene:itb03g15210 transcript:itb03g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASPLYYPPLLNASKKHSFCHSLSMAPALPMLQQTSILFSSTSKSSILNSPFVFTSFGHFNCAARRLSSVSSALFSSSRPCIGVSRVSTAPVEYVPPAPDFDFHKEIGRLKALRSRISDCASLDGRIRVVDSDSRVKSFFYSRHSGFSRFLGALNLDKYEVFLLKCLVAAGQEHVLGEFGGDFDSERSSLKSALYALAEMIEKWDVNEGGGGGGGLGLGEEDIRALRSLLKSLGEIEQFYDCIGGIIGYQIMVLELLVHSTSERQTNNWSAHTDKSLGREIIEIHPPCALDLSRDVEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYYKLYGHQCIIPVAIMTSAAKNNHKRITSLCEKHSWFGRGRSNFIFFEQPLVPAVSAKDGQWLASGPFLPVCKPGGHGVIWKLAYDKGVFQWFRDHGRIGATVRQVSNVAAATDLTLLALAGIGLHHGKKLGFASCKRSTGATEGINVLMERKSLNGNWKYGLSCIEYTEFDKFGIRDEPLPCNSLQAEFPANTNILYIDLPSAENVGSSKDEKCLPGMVLNVKKPITYRDQFGTNHSVPGGRLECTMQNIADNFSNMFPSRCYEGVKDGLDTFIVYNERKKVTSSAKKKRTHAAKSLRQTPDGALLDTMRNAYDILSHCGINIPQIEGDNKYVDSGPPFLILLHPALGPLWEVIRQKFHGGSISEGSELQIEVAEFYWRDVQLDGSLIILAENVMGSTMLDENGETVLQYGKRCSRCKLKNVKVLNDGIDWNSRDNLYWKHDVQRFESVKVILHGNAEFEAMDVILQGNHVFEVPDGYKMKITPGNSGFEVQLNAIENRSMDSGTWFWNYKIMGTHIQLELVES >itb03g15210.t2 pep chromosome:ASM357664v1:3:14606694:14612819:1 gene:itb03g15210 transcript:itb03g15210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASPLYYPPLLNASKKHSFCHSLSMAPALPMLQQTSILFSSTSKSSILNSPFVFTSFGHFNCAARRLSSVSSALFSSSRPCIGVSRVSTAPVEYVPPAPDFDFHKEIGRLKALRSRISDCASLDGRIRVVDSDSRVKSFFYSRHSGFSRFLGALNLDKYEVFLLKCLVAAGQEHVLGEFGGDFDSERSSLKSALYALAEMIEKWDVNEGGGGGGGLGLGEEDIRALRSLLKSLGEIEQFYDCIGGIIGYQIMVLELLVHSTSERQTNNWSAHTDKSLGREIIEIHPPCALDLSRDVEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYYKLYGHQCIIPVAIMTSAAKNNHKRITSLCEKHSWFGRGRSNFIFFEQPLVPAVSAKDGQWLASGPFLPVCKPGGHGVIWKLAYDKGVFQWFRDHGRIGATVRQVSNVAAATDLTLLALAGIGLHHGKKLGFASCKRSTGATEGINVLMERKSLNGNWKYGLSCIEYTEFDKFGIRDEPLPCNSLQAEFPANTNILYIDLPSAENVGSSKDEKCLPGMVLNVKKPITYRDQFGTNHSVPGGRLECTMQNIADNFSNMFPSRCYEGVKDGLDTFIVYNERKKVTSSAKKKRTHAAKSLRQTPDGALLDTMRNAYDILSHCGINIPQIEGDNKYVDSGPPFLILLHPALGPLWEVIRQKFHGGSISEGSELQIEVAEFYWRDVQLDGSLIILAENVMGSTMLDENGETVLQYGKRYSITHGLPFDL >itb05g13850.t1 pep chromosome:ASM357664v1:5:20949085:20952067:1 gene:itb05g13850 transcript:itb05g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLALIISLCILLIGGAAVEAADIRPGSTLLASDPNSRWQSPNNTFTLSFIPADPNSFFAAIAYDAKIPIWNAGGVSAAVDSSAALRFLSNGDLQLTSGAGPSPSVIWASNTAGRGVSFARLDDSGNLILGNDTVSNIWSTFDNPTDTIVPSQNFTLNKGLNSGNYSFKLARNGNLTLLWNNSITYYNSGLNSSANVNLSSPSLRMLPVGILSLFDPSLPSPLNVVYSSDYADEGDILRFVKLDGDGNLRIYSSTKGSGNQTVRWSALTDQCEVFGYCGNLGICSYNDSGPICGCPSENFEPVDPNDGRKGCKRKVDLAACSSNASMLQLDHAMFLTYHPELESQSFSAGISACRLNCLVNPACIASTSLADGTGFCYFKPPSFVSGYQSPPLPSTSFLKVCGTPLPNPPGGASLGAGKKSGGRVQGWVVAVVVVCTLLGLILLEGGLWWWCCRNSPKFGALSAQYMLLEYASGAPVQFSYKDLHRATKGFKEKLGAGGFGAVYRAVLANRTVAAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDYFLFASEHSGKLLNWEQRYNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLINPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDVYSFGMVLLEVASGKRNFEVSAETNQKKFSVWAYEEYEKGNVEAILDKRLSVQEMDMDQVMRVIQVSFWCIQEQPSHRPMMGKVVQMLEGVSQVDRPPAPKALTEVSISGSSLNASSVSALSTFPASAPAPSSASSSQTAGISSFPSARNVERASSSLLHSE >itb05g06280.t2 pep chromosome:ASM357664v1:5:6692878:6700089:1 gene:itb05g06280 transcript:itb05g06280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGHHHNNHHHTTATDGVSPRVNSPRFSAGSMTRRAHSFKRSNNSGAGANQTSHSTANTSASLNTHHEIDLQLNSPRSEGNASPVSGDGFEQMVEKRQAQTHLNSVIQRVYLRRKTGSLAVDLGLGGLELKGKKKWGHFMFLLFCGLCLFLGVLKFCAYGWFGSAIEKVGLNQDLPDSLVTHQTIRHQGLHEYDYGYTERHHDDRDGGSEVEQTLRMVASGVVGTQNNMADYSEIWSKPNSENFTQCIDRPKSHKRLDGKTNGYILINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADESGFKDLFDWQHFIETLKDDIHIVEELPPELAGIEPFNKTPISWSKASYYKSEVLPLLKQNKVMYFTHTDSRLANNEISNSIQKLRCRVNYQALKYSASIEELGKTFASRLRKNGSPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRTMRYEVSHWKEKEIDGAERRQLGGCPLTPRETALLLKGLGFPSTAKIYLVAGEAYGKGSMQYLLDSYPNIFTHSTLSREDELSPFVNHQNMLAGLDYVVALLSDVFLYTYDGNMAKAVQGHRRFENFKKTINPDRMNFVKLVDELDEGKISWKKFSSKVKKLHENRAGAPYMREPGEFPKLEESFYANPLPGCICEKAQKK >itb05g06280.t1 pep chromosome:ASM357664v1:5:6692878:6700089:1 gene:itb05g06280 transcript:itb05g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGHHHNNHHHTTATDGVSPRVNSPRFSAGSMTRRAHSFKRSNNSGAGANQTSHSTANTSASLNTHHEIDLQLNSPRSEGNASPVSGDGFEQMVEKRQAQTHLNSVIQRVYLRRKTGSLAVDLGLGGLELKGKKKWGHFMFLLFCGLCLFLGVLKFCAYGWFGSAIEKDLPDSLVTHQTIRHQGLHEYDYGYTERHHDDRDGGSEVEQTLRMVASGVVGTQNNMADYSEIWSKPNSENFTQCIDRPKSHKRLDGKTNGYILINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADESGFKDLFDWQHFIETLKDDIHIVEELPPELAGIEPFNKTPISWSKASYYKSEVLPLLKQNKVMYFTHTDSRLANNEISNSIQKLRCRVNYQALKYSASIEELGKTFASRLRKNGSPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRTMRYEVSHWKEKEIDGAERRQLGGCPLTPRETALLLKGLGFPSTAKIYLVAGEAYGKGSMQYLLDSYPNIFTHSTLSREDELSPFVNHQNMLAGLDYVVALLSDVFLYTYDGNMAKAVQGHRRFENFKKTINPDRMNFVKLVDELDEGKISWKKFSSKVKKLHENRAGAPYMREPGEFPKLEESFYANPLPGCICEKAQKK >itb04g33910.t1 pep chromosome:ASM357664v1:4:35998504:35999151:-1 gene:itb04g33910 transcript:itb04g33910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPLRIDHFLIIFFTFVVMELCICGGSEVCRKEEKQALLCFKNESKVPTNWVDTTDCCSEWDGVVCDNVTGHVVELRPVANNLGAIDVSEGGKLSSCLLELKQLRRLDLSSLSDYFKWSHIPSFIGSFANFQYLDLSNSGFQGTVPHQLGNLSSLHTLRLKCATYGGFIKVDTLDWLPSLSNLEYLDLSYVNLSLAHNWLQVVDMLPSCTSFI >itb04g31780.t1 pep chromosome:ASM357664v1:4:34505112:34506392:1 gene:itb04g31780 transcript:itb04g31780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDVLDANPIKIREVWADNLESEFQLISYLIDDYPYISMDTEFPGVVFKPESRRRGPLSAPDRSADSYRLLKSNVDALNLIQLGLTLSDASGNLPVLGSDGHRFIWQFNFADFDVQRDLYAPDSVELLRRQGIDFDKNRDCGIDSARFAELMMSSGLVCNESVSWVTFHSAYDFGYLIKILTRRSLPGDLEDFLEILKIFFGDRVYDVKHLMKFCHSLYGGLDRLASTLAVDRVVGKCHQAGSDSLLTWHTFQKMRDVYFLNEGPEKHAGVLYGLEVF >itb12g11190.t1 pep chromosome:ASM357664v1:12:9401419:9404127:-1 gene:itb12g11190 transcript:itb12g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRAQLLLVGLPLLLFCSDVFRLFSPPPPKPAAHHYSPPAPVIQQPQTLDFPTQSASGGIGGIGLGNTVNIDFCSSCSYRGTAVTMKNMLETQFPGIHVVLANYPAPFPKRVLSQVVPIVQFGVIGIIMAGDQIFPRLGFAVPPPWYYNLRANRFGSMASTWLLGNFLQSMLQSSGAFEVSCNGEPVFSKLKEKRFPGEIELKDLVGRRIANVRGTDGFRSAWS >itb05g02890.t2 pep chromosome:ASM357664v1:5:2330135:2333529:-1 gene:itb05g02890 transcript:itb05g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHIILSMQSSNMLVPLSTAIFLRSAPPSLSAVAPILQSKMVKGSQGERIRLYTRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVDWYLGKRMAYIYKAKTKKNKSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGNKVRVFMYPSNI >itb05g02890.t1 pep chromosome:ASM357664v1:5:2329669:2331644:-1 gene:itb05g02890 transcript:itb05g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSQGERIRLYTRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVDWYLGKRMAYIYKAKTKKNKSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGNKVRVFMYPSNI >itb04g24930.t1 pep chromosome:ASM357664v1:4:29631763:29636970:-1 gene:itb04g24930 transcript:itb04g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEIEDEVMVPNIIVVRIDGRDFGRFSEAHEFDKPYDAKALHLMNACSTAILEEFPDIAFAYGFSDEFSFVFKKETKFYQRRASKIYSLIVSFFTSVFVTKWKDFFPQLELRIPPSFKSRVICCASMEVLQAYLTWRQNECHIKNLYATCFWELVKCGKPEIEAKLILKGTQKQEKNELLFQQFGINYKKDVQEIFRQGSCALRKEIEDIVKYQEDGTPVRRRRKKVIIVHSENITARSFWNDQQSLSKDVGSFGECIENIKPEYIKSFQFESRLMPSTWIVIRIDGCHFHRFCDAHGFDKPNDVQALNLMNSCAVSVVEEFKDIVFAYGVSDEYSFVLKKDSLLYERHASEIVSAIVSLFSCIYMMKWKEFSPQKDLKYPPYFDGRSVCYPSSKILRDYLAWRQVDCHINNQYNTCFWMLVKSGKSKTESQNCLKGTQTREKNEMLSQFGIDYPNLPAIFRQGSSVFWDKVVPLLSNLALIATIYHPLVLKVYLLCDPRFILFYDVDQY >itb02g05620.t1 pep chromosome:ASM357664v1:2:3444332:3450256:-1 gene:itb02g05620 transcript:itb02g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNYVFLLVLCCILSSVSKAKIAAPAGSNGFRVRAVNLGGWLVTEGWIKPSLFDAIPNKDLLDGTGVQFKSVTVGKYLCAESGGGTILVVNRTDASGCGTFSLWRINENTFNLRVLNRQFVGLDTSNGRAGVNLVAVQNTPGISETFQILRNSDDPNRVRIKASNGLFLQKHWKTFIVEEDFKFISRNGINAVRIPVGWWIAYDPNPPKPFVGGSLKALDNAFKWATKYGLKVIIDLHAAPGSQNGWEHSASRDGSQEWGNSQDYIQQTVAVIDFLTARYAQNPSLYAVELLNEPLAPGVSLETVTEYYKAGYEAVRKHSSTAYVVMCNRLGPASNTELLAMAGALKGTVVDVHYYNLFIDLFSNMTAQQNIDYVYNERRAELSAVTTSNGPLSFVGEWVAEWTIRNQTKEDYQRYAKAQLEVFGGATFGWAYWGFKNNVTGYWSLEWMIKNGYIKL >itb01g35600.t1 pep chromosome:ASM357664v1:1:37720313:37727861:-1 gene:itb01g35600 transcript:itb01g35600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 3 [Source:Projected from Arabidopsis thaliana (AT4G16144) TAIR;Acc:AT4G16144] MSRPSINVSFMTRKVDVDNRIPLRNYYRIADNILRQANIYRGEKNIIDLYIMLLRYSSLLTETIPCHRDYQTLYPKERTSSRKTLLRVLDELEALKPTFLRQVNELEKRQVKAQPYQLESSEITPTVSSRNSLGRPALDNKASSGYDNKWVVSSTTSKWKQNNDHPQVSSLNSIDLQFQKLSLSLPLPKQETLSRHSLLGPNGLHGQWHGPSAEIKVNYPTNTYLDSDDMSSLKQVGHYDRIAFKDADSKVDNPTMESVLSLDDGRWSQPTEDASSFYDDVRNDNLSSNIIHQPSPPPVLAQVQQEYRPISPSRVADPRPGPVKPQDGMTGSNAYQHLHIPVRMMEDFLRLAKANTTKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCQTLNEEEIFEVQDKLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDESSLKQVGHYDRIAFKDADSKVDNPTMESVLSLDDGRWSQPTEDASSFYDDVRNDNLSSNIIHQPSPPPVLAQVQQEYRPISPSRVADPRPGPVKPQDGMTGSNAYQHLHIPVRMMEDFLRLAKANTTKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCQTLNEEEIFEVQDKLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDESSPHGIFHLSDPGGVSVIRNCQQRGFHPHEEAEDGSPIYEHCSHVYMNANLKFDVVDLR >itb05g16220.t2 pep chromosome:ASM357664v1:5:23473002:23474091:-1 gene:itb05g16220 transcript:itb05g16220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREENVYMAKLAEQAERYEEMVEFMEKVVAAADGAEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVASIKEYRSKIESELTSICNGILRLLDSKLIGSANSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAENTLSAYKSAQEIATTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQVIDNL >itb05g16220.t1 pep chromosome:ASM357664v1:5:23472022:23474091:-1 gene:itb05g16220 transcript:itb05g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREENVYMAKLAEQAERYEEMVEFMEKVVAAADGAEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVASIKEYRSKIESELTSICNGILRLLDSKLIGSANSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAENTLSAYKSAQEIATTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGDEIKEAAKQDKE >itb07g05680.t1 pep chromosome:ASM357664v1:7:3888463:3892155:-1 gene:itb07g05680 transcript:itb07g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVFSAPMTFGFINTAKLNPSSNLPPSMAFLSSKSSFSHIGLSLPSSNFSAPLLNSKLSAKIYSRAATDKSIHDFTVKDIDGKDVSLSKFKGKVLLIVNVASKCGLTTSNYSELSHIYEKYKTQGFEILAFPCNQFGGQEPGSNEQIKQFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSNAGGFLGDLIKWNFEKFLVDKNGKVVERYQPTTSPFQIEKDIQKLLAA >itb05g18330.t2 pep chromosome:ASM357664v1:5:25109831:25118984:1 gene:itb05g18330 transcript:itb05g18330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGGIGTKFVSVNLNKSYGQPHHHSHQSSSGSYGQAGAGRGRPGSGGGGGMVVLSRPRSSQKTGPKLSVPPPMNLPSLRKEHQRFDVSGSGSGTASGGRPGSGTRPSSSGMGWTKPAPGIGLQGKDGTGDAQVVEEMDQTGHGVEGLNQENGAYMPPSARSSGIGALVPSSTKAFPTLAEKASVLRGEDFPSLQAALPVSSAPAQKQKDSSSQKQKLGEEYSNQARDNFGSLDMRPHGHSSRQSIENGPVESGSEGHDLGRSSLADQPWKQEEYFAGPLPIVRLNPRSDWADDERDTGHGFVDRGRDSRMAKGENYWDSDFDMPRTSVLPHKPVNNQYERWAQRDTETGPVVSSEVRGDAYRRDMRTASREGNMWKSSPLPRDGNAVEAVNNRNTVNSRTSVLNKDIGKDSRYVPPQFGETARDVGVTGNRESTFGRKETVHASEGQQYMNNARESFNSRGSERMTRDRYGSEQSNRFGVQNSSGPKPSFASSGKSLTITDPVPNLGREKRAFLRNEKPYVEDLFTKDFVSAGFDERDLFSGGFVGVIKRKKDVVKQTDFHDPVRESFEAELERVQKMQELERQRVIEEQERALEQAQREEEERQRLIREEEERRRRLEEEAREAAWRAEQERLEAIRRADEQRNAREEERRRMFLEEERRKQAAKQKLLELEAKIAKRQATTEKTEVSVVLEEKLPANVKENETADLDNWDESERMVERLTTSTYSEAPVLSRSSEIGSRSYPPRESFPNFGDTGKSINSWRRDVFGNGSGSRVQPQEHDFGHYSPRRDATAGVRGAPRKEFNGGSGYMSSRSFMKEGMQETCMDEFGHPKEDRWNLSVDADSYNRGREIDSEFQDNFAEKYNDIGWRQGHSRGNTRFPYPGRSYQNSETDEHFSYSKSRYSMRQPRVLPPPTLSTMQRNSLRGANEHAGTSDYVGSENTQSTGSGSTQQTVYYGGHQESSGASLAVDLQQENTAREEKKLRKDMGPRCDSQSSLSVTSPPNSPPHLSNDELDESGDSPVVSTPAGGQKISLSGNKCHALNDDSVKDATRTASSSISAVEDEEWTTENNDDLPLQEEYDEEEDGYREEDELREGDDTIDLTQEFEDMQLGERVPSPNSHNLVLGFNEGVEVAMPSDDFERNLRNEESIFDRPDTYVRIPEEQGPISGVQVDESCYQPAEGSSQSSSRSSSGRIAETEKSMQEAVMKPANVPHTSATSNLLDVDAPSTSSVSAQQSLSPVHKPLSASQTVLPTASSTPSQADLPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGTSLAHIHPSQPSIFQFGQFRYSPPISQGILPGTALPMPFIQPSLHSNYNVNQGTVGSLLTPHAEDVCSGKMADGSSLSINKPTGFVTGSPVQSHRNVPELGSTLVRATENNAQTHKINSEASGATDSKLPEEVGFQCEDKEQGNADLQSSKEQNVQPPLRIFSGERSSGGFKAQGSFSGNRGRRFTYAVKNNTARSSFTASETSFSESSGFQRRPRRIVQRTEFRIRENTDRRQLSGSLSANDSGLDDKLNYNGRAGGGFQRSGSKRGSMSNKSIKHTVEAENSKSANNGSQNMDSENKARKDSGKDPVMKNQGLSSYNEGNLKRNISEEDVDAPLQSGVVRVFKQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVLKPPRKPRTSRQNIAVSTSLNKVSAPVGGEIPEKTQSDFVATEGHGSANKDESMGYTTSGLQPLAPIGTPAINTGAQADIRSNKSLHTPTATVVSGDGSDGVNMMFEGNKNGDAVMASSSSWGAPRVNQQVLTLTQSQLEEAMKPVRFDAHVSSVGSHSSSVSGPSLPSSKILANDKSFASTASPINSLLAGEKIQFGAVTSPTILPASSRVVSHGIGAPGSNRSDAQISHNLSATKNDCSLLFDKLPNDSSVNLQDSEAEAEAAASAVAVAAITNDELVENGLGSATASETKIYEGDRQLGSQSRVEEPLNVSLPADLSVENPPIPLWPRLPDPQNSSGQMLSHFPGGPPSHFPFYEMNPVLGGPIFSFGPHEEPVASQSQSQKSTSSGSGPLGTWQQCHSSMDSFYGPPTGFTGPFISPPGQIPGVQGPPHMVVYNHFAPVRQFGQVGLSFMGSTTYITSGKHNPTTSAMGINEGDMNNMNIASTQRNTSNVPAPVQHLAPGSPLIPMASPVAMFDVSPFQPSPDMSVQARWSSLPSSLHSAPLSQPLQQAETIPPAAFGHGQPVDQSLNVSRFTEALSSTASDGCPKFTMSTNTPAQFPDELGLVDSSRSATGPSSDSGVSQGFPGTATDAGKNDTLQNDISNNIRDQATSGFKAQLPRQKNVSAAHQSHPTGYTYQRGSGMSQRNAAGNEWSHRRTGFHGRNQSFGADKGFSSTKVKQIYVAKQSTSGTKTEG >itb05g18330.t1 pep chromosome:ASM357664v1:5:25109831:25118984:1 gene:itb05g18330 transcript:itb05g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGGIGTKFVSVNLNKSYGQPHHHSHQSSSGSYGQAGAGRGRPGSGGGGGMVVLSRPRSSQKTGPKLSVPPPMNLPSLRKEHQRFDVSGSGSGTASGGRPGSGTRPSSSGMGWTKPAPGIGLQGKDGTGDAQVVEEMDQTGHGVEGLNQENGAYMPPSARSSGIGALVPSSTKAFPTLAEKASVLRGEDFPSLQAALPVSSAPAQKQKDSSSQKQKLGEEYSNQARDNFGSLDMRPHGHSSRQSIENGPVESGSEGHDLGRSSLADQPWKQEEYFAGPLPIVRLNPRSDWADDERDTGHGFVDRGRDSRMAKGENYWDSDFDMPRTSVLPHKPVNNQYERWAQRDTETGPVVSSEVRGDAYRRDMRTASREGNMWKSSPLPRDGNAVEAVNNRNTVNSRTSVLNKDIGKDSRYVPPQFGETARDVGVTGNRESTFGRKETVHASEGQQYMNNARESFNSRGSERMTRDRYGSEQSNRFGVQNSSGPKPSFASSGKSLTITDPVPNLGREKRAFLRNEKPYVEDLFTKDFVSAGFDERDLFSGGFVGVIKRKKDVVKQTDFHDPVRESFEAELERVQKMQELERQRVIEEQERALEQAQREEEERQRLIREEEERRRRLEEEAREAAWRAEQERLEAIRRADEQRNAREEERRRMFLEEERRKQAAKQKLLELEAKIAKRQATTEKTEVSVVLEEKLPANVKENETADLDNWDESERMVERLTTSTYSEAPVLSRSSEIGSRSYPPRESFPNFGDTGKSINSWRRDVFGNGSGSRVQPQEHDFGHYSPRRDATAGVRGAPRKEFNGGSGYMSSRSFMKEGMQETCMDEFGHPKEDRWNLSVDADSYNRGREIDSEFQDNFAEKYNDIGWRQGHSRGNTRFPYPGRSYQNSETDEHFSYSKSRYSMRQPRVLPPPTLSTMQRNSLRGANEHAGTSDYVGSENTQSTGSGSTQQTVYYGGHQESSGASLAVDLQQENTAREEKKLRKDMGPRCDSQSSLSVTSPPNSPPHLSNDELDESGDSPVVSTPAGGQKISLSGNKCHALNDDSVKDATRTASSSISAVEDEEWTTENNDDLPLQEEYDEEEDGYREEDELREGDDTIDLTQEFEDMQLGERVPSPNSHNLVLGFNEGVEVAMPSDDFERNLRNEESIFDRPDTYVRIPEEQGPISGVQVDESCYQPAEGSSQSSSRSSSGRIAETEKSMQEAVMKPANVPHTSATSNLLDVDAPSTSSVSAQQSLSPVHKPLSASQTVLPTASSTPSQADLPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGTSLAHIHPSQPSIFQFGQFRYSPPISQGILPGTALPMPFIQPSLHSNYNVNQGTVGSLLTPHAEDVCSGKMADGSSLSINKPTGFVTGSPVQSHRNVPELGSTLVRATENNAQTHKINSEASGATDSKLPEEVGFQCEDKEQGNADLQSSKEQNVQPPLRIFSGERSSGGFKAQGSFSGNRGRRFTYAVKNNTARSSFTASETSFSESSGFQRRPRRIVQRTEFRIRENTDRRQLSGSLSANDSGLDDKLNYNGRAGGGFQRSGSKRGSMSNKSIKHTVEAENSKSANNGSQNMDSENKARKDSGKDPVMKNQGLSSYNEGNLKRNISEEDVDAPLQSGVVRVFKQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVLKPPRKPRTSRQNIAVSTSLNKVSAPVGGEIPEKTQSDFVATEGHGSANKDESMGYTTSGLQPLAPIGTPAINTGAQADIRSNKSLHTPTATVVSGDGSDGVNMMFEGNKNGDAVMASSSSWGAPRVNQQSQLEEAMKPVRFDAHVSSVGSHSSSVSGPSLPSSKILANDKSFASTASPINSLLAGEKIQFGAVTSPTILPASSRVVSHGIGAPGSNRSDAQISHNLSATKNDCSLLFDKLPNDSSVNLQDSEAEAEAAASAVAVAAITNDELVENGLGSATASETKIYEGDRQLGSQSRVEEPLNVSLPADLSVENPPIPLWPRLPDPQNSSGQMLSHFPGGPPSHFPFYEMNPVLGGPIFSFGPHEEPVASQSQSQKSTSSGSGPLGTWQQCHSSMDSFYGPPTGFTGPFISPPGQIPGVQGPPHMVVYNHFAPVRQFGQVGLSFMGSTTYITSGKHNPTTSAMGINEGDMNNMNIASTQRNTSNVPAPVQHLAPGSPLIPMASPVAMFDVSPFQPSPDMSVQARWSSLPSSLHSAPLSQPLQQAETIPPAAFGHGQPVDQSLNVSRFTEALSSTASDGCPKFTMSTNTPAQFPDELGLVDSSRSATGPSSDSGVSQGFPGTATDAGKNDTLQNDISNNIRDQATSGFKAQLPRQKNVSAAHQSHPTGYTYQRGSGMSQRNAAGNEWSHRRTGFHGRNQSFGADKGFSSTKVKQIYVAKQSTSGTKTEG >itb07g11480.t1 pep chromosome:ASM357664v1:7:12925879:12926608:1 gene:itb07g11480 transcript:itb07g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRREKQATTPLTEIQLATAISPRHASPSFATSPASLTAAPETKQQRRLERGAAATRCLQRHLKERIEQQRRLRRPTTFIEARRWSSCSQSPESPDEEERVETSPEVSSLASAVPPLRNANNRRCHSNPRRHRRHQRNQRRDIAAAARSQ >itb05g21670.t1 pep chromosome:ASM357664v1:5:27335576:27344117:-1 gene:itb05g21670 transcript:itb05g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMREGISPGGREYTMNRAFTIQKLFLKLAVRLLMLLVLSRSCYSFNKPKVEGEALIDLLKALNDTNGKVVDWNIDFVSPCDSWSHVTCKNGNVTSLSLASNGFSGTLSPSITKLKYLESLDLHDNNLSGVIPEYLAGMLNLQILNLGNNKFSGSIPAALGQLPNLKHLVLRENHLSGPIPNSLVNITGLKELDISSNDLAGGVPVQLFSVPIYNFSRTRLRCGNHFQQPCVSSSSVPVSHGKSKLEIAITGASCGAFVLLLIGAIFVHRSNRLHKLKSDVFVDVEGEDECKIAFGQIRKFSWREIQIATEDFNESNIIGQGGFGKVYKGFLSDNTKVAVKRLADYHSPGGEAAFLREVQLISVAVHRNLLRLIGFCTTTSERILVYPFMQNLSVAYRLRELKPGERGLDWPTRKRIAFGAARGLEYLHEHCNPKIIHRDLKAANILLDDDFEPVLGDFGLAKLVDTKLTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEEDVLLLDHVKKLLREKQLKDIMDPNLKTYDAKEVETVLQVAMLCTQTSPEDRPRMTEVINMLQGVGLAERWAEWEQLEEVRNREFSLVPREFLWAEDSTYDQEAIQLSQASSLALRIRRRYSPGETREIVERLIYIFQEEEWP >itb12g12710.t1 pep chromosome:ASM357664v1:12:11430964:11434667:1 gene:itb12g12710 transcript:itb12g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSASLASQLSGPASTPNFSGLRRSIPTSLTLSTTQSFFHTVDSRLCLSSSGKGCRAVVAMAGSGKFFVGGNWKCNGTKESIAKLVSDLNSSKLEPDVDVVVAPPFLYIDQVKNNLTDRVEISAQNCWIGKGGAFTGEISVEQLNDIGCKWVILGHSERRHIIGENDEFIGKKAAYALSQGVGVIACIGELLQEREAGKTFDVCFQQLKAFADALPSWDNVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLIKNVSLDVASKTRIIYGGSVNASNCAELAKKEDIDGFLVGGASLKGPEFASIVNSVTSKKVTV >itb01g10730.t1 pep chromosome:ASM357664v1:1:9342128:9342571:1 gene:itb01g10730 transcript:itb01g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVLDYVLVPLGLLVMVCYHLWLLWQIRNNPNRTVIGVNAINRGFWVRAMTEDVSKNGVLAVQTLRNNIMASNAFSVDGDNAELPHRGVDDGL >itb02g10270.t1 pep chromosome:ASM357664v1:2:6609296:6610885:1 gene:itb02g10270 transcript:itb02g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPVNSTVPIAAEVFKMAGTYDEKRLFGVTTLDVVRAKTFYAGKAKVNVAEVNVPVVGGHAGITILPLFSQATPKANLSDDEIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGAPDVVECSFVQSTVTELPFFASKVRIGKNGVEEVLGLGALSDFEKEGLEKLKPELKASIEKGIKFAHQN >itb04g02810.t3 pep chromosome:ASM357664v1:4:1734490:1734939:-1 gene:itb04g02810 transcript:itb04g02810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHDVAALAIKGHSAYLNFPHLADQLPRPASNSPKDIQAAAAKAAETTFDDHDEVSHGGASGSIEAEPSRAELPTSQSSSNLTSESMQESLNSPAAYGGDDDTFFDLPDLSLDGANQNDRYVSTWQLVGVDPGGFRLEEPFLWESY >itb04g02810.t1 pep chromosome:ASM357664v1:4:1734128:1735404:-1 gene:itb04g02810 transcript:itb04g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQANEALGHDYYSATTTTASSSSTASHSGDSGSSNSPSTTTTTTTSASSSSKSLKGNKGSKRGQEAKNGGGNKKRRKAEGGEKHPTYRGVRKRNWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPHLADQLPRPASNSPKDIQAAAAKAAETTFDDHDEVSHGGASGSIEAEPSRAELPTSQSSSNLTSESMQESLNSPAAYGGDDDTFFDLPDLSLDGANQNDREFRQRIKLQKYPSRNIMFIQFYHQKDSQGLLLFALE >itb04g02810.t2 pep chromosome:ASM357664v1:4:1734128:1735404:-1 gene:itb04g02810 transcript:itb04g02810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQANEALGHDYYSATTTTASSSSTASHSGDSGSSNSPSTTTTTTTSASSSSKSLKGNKGSKRGQEAKNGGGNKKRRKAEGGEKHPTYRGVRKRNWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGHSAYLNFPHLADQLPRPASNSPKDIQAAAAKAAETTFDDHDEVSHGGASGSIEAEPSRAELPTSQSSSNLTSESMQESLNSPAAYGGDDDTFFDLPDLSLDGANQNDRYVSTWQLVGVDPGGFRLEEPFLWESY >itb01g08470.t1 pep chromosome:ASM357664v1:1:6807629:6809824:-1 gene:itb01g08470 transcript:itb01g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKIEELKVQAQKWLREAEVLVNQIPPTQLYAAIGVVLFTAFLFFITRLFKRTISNTVVLTGLCGSGKTILFYQLKDGSSHQGTVTSMEPNEGTFILHSETTKKGKIKPVHVVDVPGHSRLRPKLEEFLPQAAAIVFVVDAVEFLPNCRAASEYLYDILTKVTVVKKKIPVVLLCNKVDKVTAHTKEFIKKQLEKEIDKLRASRTAVSDADISNEITLGTPGEAFSFSQCENQVVVGEASGLTGEISQLEQFIRENVKP >itb15g02730.t1 pep chromosome:ASM357664v1:15:1733065:1736762:1 gene:itb15g02730 transcript:itb15g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEWEVVPEDGFLQIHDDGAGKKIFSRKYGAAPTSHVFKMNYFVCPPPENPANQFFETTTQLLPLPLPSVMIWRRWRLSRIGCRRFSSRKLRELDFPKSNNSAGEVYKADQAMDGKNFLSSPRSAVEDDDGDSSDHENGGLNLLNWSMTGVGAILSFGVAAVVSTVCILIAGNRKNHRQNHKLRLQIYTDDKRIKQMVHHATRLNQANGAPSTRAHITIGGKMILEGSLSFKGSEMETKISLVSPLFHKENKEMVISDGISCEKLPTPDSTKVLPPNTSNQRNLAALKLQKTYKSFCTRRQLADCAVLVGQRWWKLLDSVELKHNSVSFFDIEKPETAASRWSRASAKAAKIDPRHSYGHNLQFYYAKWLQRESRQPFFYWLDIGEGKEVNLERCSRCKLQQQCIKYLGPVVRQTYEVIVVEGKFMYKQSGKLLDTDI >itb09g16240.t1 pep chromosome:ASM357664v1:9:11460346:11461914:-1 gene:itb09g16240 transcript:itb09g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEEFADQHVPPSFDPMKNVVTAKGLNPGLIVLLVIGGLVLAFLVGNYLLYMHAQKTLGPKKKKPVSKKKMKRERLKQGVSAPGE >itb02g04940.t1 pep chromosome:ASM357664v1:2:2948783:2950041:-1 gene:itb02g04940 transcript:itb02g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTATSRVLSSLLFLLLFITSHGIIDSQANTSAAPENEGWVPLLEPGKAEKMAVMLNDTRRKLGSFQICALCTCCGGAGKGYCLPTPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >itb07g07870.t1 pep chromosome:ASM357664v1:7:6127028:6130657:1 gene:itb07g07870 transcript:itb07g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRLPRQSDNHRGYRDGPQARLVMQRGPGPLLPHPAALEEELEIQHRDMQRILADNRHVLDENVMLERELTAVKDEILRLGQFIPKLRADNEAQAREYIDRGLKLEAELHSAEPLRAELGGLRADAQKLNGFRQELSAQVQSLTKDLNQLQTENKQVAAMKADIDGMRKELVEARRVYELEKKENKELMEQNQAMEKDLVSMAREMERLRAEQMAAERRARGLGVGPYGMLNGSPEMGYPSGLYGDPYNAGAWGGPYDRRGPPRR >itb15g17220.t1 pep chromosome:ASM357664v1:15:17571383:17572637:-1 gene:itb15g17220 transcript:itb15g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPEKAGADKTKFTECWETSRKTPYIMRLAMSAGIGGLLFGYDTGVISGALLYIKEDFKAVQDKTWLQETIVSMAVGGAIVGAALGGWMSDKYGRKRSILIADVVFFFGAIVMAAAQLPWMIILGRILVGLGIGTVSNTSPLYISEASPARIRGALVSINGLFITVGQVLSSLINLAFTRTPGTWRWMLGTVGVPSLVQFVLMLSLPESPRWLYRQVWSFIHQS >itb15g17220.t2 pep chromosome:ASM357664v1:15:17571383:17572637:-1 gene:itb15g17220 transcript:itb15g17220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVCVISGALLYIKEDFKAVQDKTWLQETIVSMAVGGAIVGAALGGWMSDKYGRKRSILIADVVFFFGAIVMAAAQLPWMIILGRILVGLGIGTVSNTSPLYISEASPARIRGALVSINGLFITVGQVLSSLINLAFTRTPGTWRWMLGTVGVPSLVQFVLMLSLPESPRWLYRQVWSFIHQS >itb01g33570.t1 pep chromosome:ASM357664v1:1:36639335:36643808:1 gene:itb01g33570 transcript:itb01g33570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEWAVGGNSSGARGKWCSYKRTTIIICSINVVVTLYVLQSLFNSLYMYPYNDSQSAFRYTPDQIRKMEESTRIRKESEPTELIKLVSQLKKEFLVEEKVVEVPQPLKRKITDELLATLRGLDGNANTTMQREAVESWRMAKLKEATDMILGNASTASIEPEEARMLARVLDVDWVEVLEEIGLWIPAEVINKEHDDKPEGAEVEPEIIAGKRLPPECNAELHTDYDGAAVRWGLTYHKESAYECCRACLEQAKRAKSGDKKCNIWVYCPAETGCYSPDIYKHKHQECWLKYSEKPKLNFQHRYSETYRNAHPNAPVIVPWMSGVVSV >itb03g23180.t1 pep chromosome:ASM357664v1:3:21339658:21341492:1 gene:itb03g23180 transcript:itb03g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIKLASFDFHLINMAKLLSKSIFGTILAIAIALLSSSNMLNLKSLSLLLPLLLLIVHLFRSSKPPSNLPPGPRTVPVFGNWLQVGNDLNHRMLADMSRTYGPLFFLKLGSKDLVVVSNPELATQVLHAQGVEFGSRPRNVVFDIFTGNGQDMVFTIYGEHWRKMRRIMTLPFFTNKVVHQYSGMWENEMELVVHDLRRDERVRVEGLVIRKRLQLMLYNIMYRMMFDAKFESQDDPLFIQATRFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCRDLQKRRLAFFNNYFVEKRRKIMGANGENHKISCAIDHIIDAEMKGEISEQNVLYIVENINVAAIETTLWSMEWAIAELVNHPTVQQKIRDEISTVLKGGAVTESNLHELPYLQATINETLRLHTPIPLLVPHMNLEEAKLGGFTIPKETKVVVNAWWLANNPEWWKNPTEFRPERFMEEEGGIEAAVAGGKVDFRYLPFGMGRRSCPGIILAMPILGLLVAKLVTNFEMKPPCGDEKIDTSEKGGQFSLHIAKHSTVVFKPIAKSS >itb02g07410.t1 pep chromosome:ASM357664v1:2:4591362:4594237:-1 gene:itb02g07410 transcript:itb02g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQSNQPSSSMEAEMVDRISDLPIPILHHILSFLPTREVVRTCVLSKHWHSTSSSFPILEFDIYDVRSYRRGSYNNPIKDEEFLRWVDARVQRLYETNTSIVSLTVAVDLLDPRIFRAIERAMERNVQQLDLDFDFLYGSFKHQNMLYYSARSVIVYKLGGIDLHLPDLLRGCPLLQELNLRSCLLQETTIVNDANNLKTLVFKNCRGMRDFAIRAPNLESFAYTGGPICAIHLAQTSSLKNLRLSHFISYQWLENLMRGCPNLEFLKIYCNGWERMTICHQRLKTLKLHDWTSGGFIKIDTPELLHFTYHGTTKPFYTFNYSASLKATLNLFRYEEDDAPWFASLRKMLRWFSQCETLQLAPHFHPEDLIFGMDIRDNSIPLVYGLKNLEIQFRTRRQVPFIELIDTYLWLCPHLETLKIACKDTEEAAFKFEREHRNMALCCAEHLGECWKFSVKKVTLTSYVGRNTDKKSLLSYFQQVSAAMETLEDSSDHSKLIAEESSFFF >itb04g34060.t1 pep chromosome:ASM357664v1:4:36115462:36148411:1 gene:itb04g34060 transcript:itb04g34060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEDLWERLVTAALGVQRSGADSYARPAGGIAANVPSSLANNRDIDDILRAADEIQDEDPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSRDIARLLEFYRLYRDRHNVDKLREEELKLRESSVFSGNLGELERKTVMRKRVLGTLKVLGTVLEQLSKELSPEEAERLIPRELKVMMESDAAMTEDLTYNIIPLDATTTSNFIVSFSEVRASASALKYFHGLPNLPGTFSIPTTRSADIFDFLHFTFGFQKDNVSNQREHVVHLLANEQSRFRIPDEPEPILDEAAVHKVFLKSLDNYIKWCNYLGILPVWSNLDVVSKEKKLLFISLYFLIWGEAANARFLPECLCYIFHHMGREMEEIMRQQVAMPANSCVAESGVSFLDQVIHPLYDVLAAEAGNNENGRAPHSAWRNYDDFNEYFWSLNCFELSWPWRKSSPFFLKPTPRSKNFLKSTGSSKRQGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIIAFNNGDFNSKTLREVLSLGPTYFVMKFLESVLDVIMMYGAYSTSRRLAVSRIFLRFLWFSAASVLICFLYVKGLVEKTKPNADSVLFKIYVIVLAIYAGVHFFIGFLLRIPACHQLTNKCDQWPLIRFIKWMHQEHYYVGRGMYERTSSFIKYLVFWLVVLGGKFSFAYFLLIKPLVDPTTYVVQMDIQEYSWHDFVSKNNHNALTVASFWVPVFIIYLVDTHIFYTVVSSIWGFLLGAKDRLGEIRSLDAIQKRFERFPAAFMDTLHVHLDKRASMLDDGSVHKFDAARFAPFWNEIIMNLREEDYINSLEMELLLMPKNSGNLSLVQWPLFLLASKIFLAKDTAVESRESRLSQEELWDRISRDDYMKYAVEECFFTIQLILISVLDDEGKKWVQRIYEEIQDSIKNRSIYDTIELNKLPLMIQKVTALLGILKEEHSQKQESGAVNALQDLYDVMRFDVLHVNMREHMEIWNDLSKARNEGRLFQKLKWPTDVELRAQVRRLYSLLTIKDSAANIPKNLEARRRLDFFTNSLFMEMPAAKPVREMLSFSVFTPYYSETVLYSMPELLKKNEDGISTLFYLQKIYPDEWRNFLTRIGRDENISESELSNSDILELRFWASYRGQTLARTVRGMMYYRKALMLQAYLERMAAEDSEAGRLGSAATDTEGFELSPEARAQADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVETLKDGKVNKEYFSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAVIFTRGNAVQTIDMNQDNYFEEALKVRNLLEEFFRDHGVRPATILGVREHVFTGSVSSLASFMSNQESSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFSGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFYFTTVGYYFCTMLTMLSAYAFLYGRAYLALSGVGETIQDRADIFQNTALSAALNAQFLFQIGVFSAVPMILGFILEQGFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYARSHFTKGMEIVLLLVVYLAYGYSQGAVSYILLTISSWFLAISLLFAPYLFNPSGFEWQKTVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIRTLGGRLVETILSLRFFIFQYGIVYKLHLQGDNTSLTVYGFSWIVFAVLLILFKVFTFSQKISVNFQLLLRFVQGLSFLLALAGLATAVAITKLSITDIFACILAFIPTGWGILSIAVAWKPLVKKMGLWKSVRSVARLYDAGIGMLIFIPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGV >itb03g26440.t1 pep chromosome:ASM357664v1:3:25979887:25980237:-1 gene:itb03g26440 transcript:itb03g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHQYFGSERRTAVEVAAEGSSRSSVAMNDMFWRLSLPEGCNRDFYGCNLENDRELSVGEWLRFPAALVFVAGKDFLKERGVMYAEFLRRKGVKWVEVVEAEEEGHVFHVFHPDS >itb06g01400.t3 pep chromosome:ASM357664v1:6:2643160:2647730:-1 gene:itb06g01400 transcript:itb06g01400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSMRITRSRARTLTSSQGLPPLHPSVTQDGKQVRRASSKRAASDENKSTTGASSCVQPKKRAVLRNVTNVVCESSYLNCMTGTKVIQDKKLADKKIAKVMPAVVVHKKPNHLEDRKEHITEELNKVKIEESECGSHANSKENIFAEQSKCATIKQSGVFGLLPLIKCNFKTVPDHNTSQRDEGKLCPKRDDLETLGVTDIDSKHRDPQMCTLYAPDIYTNLHAKELDQRLSADYIERLQRDITEGMRGILIDWLVEVCEEYRLAPDTLYLTVNLIDRFLSENYIEKRKLQLLGVTCMLIASKYEEICAPHVEEFCFITDNTYSKEEVVKTESQVLNVLGFRLSVPTTKKFLRRFIQAAQASYEVPSVELEFMANYLAELTLVEYSFLKLLPSVVAASAVFLARWMLDQSKHPWVVKTESQVLNVLGFRLSVPTTKKFLRRFIQAAQASYEVPSVELEFMANYLAELTLVEYSFLKLLPSVVAASAVFLARWMLDQSKHPWNPTLEHYTRYKASDLKTTVSALQDLQLNTKGCALNAIREKYKQPKFKCVATLTSPKAVESLFQK >itb06g01400.t1 pep chromosome:ASM357664v1:6:2643160:2647730:-1 gene:itb06g01400 transcript:itb06g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSMRITRSRARTLTSSQGLPPLHPSVTQDGKQVRRASSKRAASDENKSTTGASSCVQPKKRAVLRNVTNVVCESSYLNCMTGTKVIQDKKLADKKIAKVMPAVVVHKKPNHLEDRKEHITEELNKVKIEESECGSHANSKENIFAEQSKCATIKQSGVFGLLPLIKCNFKTVPDHNTSQRDEGKLCPKRDDLETLGVTDIDSKHRDPQMCTLYAPDIYTNLHAKELDQRLSADYIERLQRDITEGMRGILIDWLVEVCEEYRLAPDTLYLTVNLIDRFLSENYIEKRKLQLLGVTCMLIASKYEEICAPHVEEFCFITDNTYSKEEVVKTESQVLNVLGFRLSVPTTKKFLRRFIQAAQASYEVPSVELEFMANYLAELTLVEYSFLKLLPSVVAASAVFLARWMLDQSKHPWNPTLEHYTRYKASDLKTTVSALQDLQLNTKGCALNAIREKYKQPKFKCVATLTSPKAVESLFQK >itb06g01400.t2 pep chromosome:ASM357664v1:6:2643160:2647730:-1 gene:itb06g01400 transcript:itb06g01400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSMRITRSRARTLTSSQGLPPLHPSVTQDGKQVRRASSKRAASDENKSTTGASSCVQPKKRAVLRNVTNVVCESSYLNCMTGTKVIQDKKLADKKIAKVMPAVVVHKKPNHLEDRKEHITEELNKVKIEESECGSHANSKENIFAEQSKCATIKQSGVFGLLPLIKCNFKTVPDHNTSQRDEGKLCPKRDDLETLGVTDIDSKHRDPQMCTLYAPDIYTNLHAKELDQRLSADYIERLQRDITEGMRGILIDWLVEVCEEYRLAPDTLYLTVNLIDRFLSENYIEKRKLQLLGVTCMLIASKYEEICAPHVEEFCFITDNTYSKEEVVKTESQVLNVLGFRLSVPTTKKFLRRFIQAAQASYEVPSVELEFMANYLAELTLVEYSFLKLLPSVVAASAVFLARWMLDQSKHPWNPTLEHYTRYKASDLKTTVSALQDLQLNTKGCALNAIREKYKQPKFKCVATLTSPKAVESLFQK >itb08g03260.t1 pep chromosome:ASM357664v1:8:2700631:2703505:1 gene:itb08g03260 transcript:itb08g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVFPLVFRTLKSLVYKYSLLFIQFPSSPFQVSISFQFEKDIQRGLIMREILHIQGGQCGNQIGSKFWEVICDEHGIDSTGRYKGGDGSGAGDLQLERINVYFNEASGGRYVPRAVLMDLEPGTMDSIRSGPFGQIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREDYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKLASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVDDEDYDDGADDHDDL >itb13g07180.t1 pep chromosome:ASM357664v1:13:8904696:8906873:-1 gene:itb13g07180 transcript:itb13g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPISSYLYYCNSPNHHLLHSLFIILPIRTLQFFLHLSLFITMPFLVYLLLFLSLHACTSRPLGINHTQHYLLHLSHKAVKLPIKEEFHMAENFQAKNEQVLQEKLNFGESKSQARSILEYPETHKTEETVKSNEDEPVEDVVVMDYAQPHRKTPIHNKAP >itb03g07140.t2 pep chromosome:ASM357664v1:3:5225550:5230390:-1 gene:itb03g07140 transcript:itb03g07140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQRPRRRCEGTAMGAIVFDLRPGAGIGPFSLGMPICEAFAQIEQKPNIYDVVHVKHYDEDPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGKYDKDRGVYTLFYPGLSFAFPIPSQYTECCRDGEAELPLEFPDGTTPVTCRVSIYDSSTGSKVGVGSSMDKACAPPLPAGSLYMEEVHVKLGEELWFTVGGQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHSNYPGHADFNSYMKCNFVIHTSDLGDSFDQEVNSKNSITPSTKWEQVKEILGDSGQAAIQTQGSTSNPFGSTFVYGYPNVAFEVNHFNLKLFFFGYPNVAYLHLR >itb03g07140.t1 pep chromosome:ASM357664v1:3:5225541:5230449:-1 gene:itb03g07140 transcript:itb03g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQRPRRRCEGTAMGAIVFDLRPGAGIGPFSLGMPICEAFAQIEQKPNIYDVVHVKHYDEDPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGKYDKDRGVYTLFYPGLSFAFPIPSQYTECCRDGEAELPLEFPDGTTPVTCRVSIYDSSTGSKVGVGSSMDKACAPPLPAGSLYMEEVHVKLGEELWFTVGGQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHSNYPGHADFNSYMKCNFVIHTSDLGDSFDQEVNSKNSITPSTKWEQVKEILGDSGQAAIQTQGSTSNPFGSTFVYGYPNVAFEVMKNGHIATVTLFQS >itb03g07140.t3 pep chromosome:ASM357664v1:3:5226537:5230449:-1 gene:itb03g07140 transcript:itb03g07140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQRPRRRCEGTAMGAIVFDLRPGAGIGPFSLGMPICEAFAQIEQKPNIYDVVHVKHYDEDPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGKYDKDRGVYTLFYPGLSFAFPIPSQYTECCRDGEAELPLEFPDGTTPVTCRVSIYDSSTGSKVGVGSSMDKACAPPLPAGSLYMEEVHVKLGEELWFTVGGQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHSNYPGHADFNSYMKCNFVIHTSDLGDSFDQEVNSKNSITPSTKWEQVKVNHLFIYFLLNHSLDFSRRTLLLRCNSYNIVMARRPLFIDNCGLVLILQFFSAILNPLFS >itb10g00170.t1 pep chromosome:ASM357664v1:10:112007:115005:-1 gene:itb10g00170 transcript:itb10g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREGVEEGLLDGNSGESAAGITYVVVLCTIVAASGSLAYGFSVGYSSPAEFGIMDDLSLSIAQYSVFGSILTFGGMIGALISGRIAETIGRRLTMWLLEIFFIVGWVLIIFGKNIWWLNIGRLLMGIGAGLHCYVAPIYIAEITPKNIRGGFTAAITFSVSIGFSLMFFFGNFFTWRNLALVGTIPSFIQVLGTFFIPESPRWLAKTGRWKEVEPALERLRGKKADVSSEAAEIKGHMESLEQLPQSRFLDLFNRKYAHSLIVGVGLMVLVQFGGTDGISSYTSSIFEAAGFSSGIASTVMAALQVCDSAFLPSL >itb01g25300.t1 pep chromosome:ASM357664v1:1:30755089:30756496:-1 gene:itb01g25300 transcript:itb01g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTSPTTKTPDSENDTPPQPRLKPLSFTNGAAVNRKQAAPPAVVYKECLKNHAASMGGHAVDGCGEFMPAPDATPADPTSLKCAACGCHRNFHRREPPDDYSFSSPTHISSPHFIDFRHPFRRRSSPSPSPPPPPPQHMLLALATPPDNHHLTPVTPTAVKHENTAGRKRFRTKFTQEQKEKMHNFSEKLGWKLQKSDEVSVERFCNEIGVSRGVLKVWMHNNKNTLGKKDNVSNGGFNVINGGETVKNDAVENNSNSFNNDDHNASSSHCRNDQNICGGDDLNLHISAPTNI >itb09g10840.t3 pep chromosome:ASM357664v1:9:6702165:6710662:-1 gene:itb09g10840 transcript:itb09g10840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKPLLSSFEPSSQPRPRHWRRHSTLGVLSHSLSSVFDEAQESELDWLKEDFAPLGTTASHPKKKQFPSVEVHSHPPYMLECPPRERKRIVSWGGVMDHRSDIATFEISGDLSQAASSRAASSQVTLSRVEKLNRSQRPLQKSMQLEENMLHESRMIYINDPKKTNDEFEFTGNEIRTSKYTILNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQSGEFQLIRWKKICVGEVVKIHADETIPCDMVLLGTSDNSGIAYIQTMNLDGESNLKTRYARQETTSLVDEWADISGIIRCEQPNRNIYEFTANMELKGHRFSLSQSNIILRGCQLKNTEWVIGVVVYAGQETKAMLNSAASPSKRSRLETYMNRETIWLSIFLFILCLVVGVGMGLWLNRYKEQLDTLPYYRRTYFDNRKIGKKVYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSSSDSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASIWGKNYGKSPSSTGNLGGEPAAQPCTRRWKLTSEIATDFELMELLRNGLAGEERIAAHEFFLTLSACNTVIPILTQSPPSSITQDEFSGILGTIDYQGESPDEQALVAAASAYGYTLFERTSGHISVDVNGEKLRLDVLGLHEFDSVRKRMSVVIKLPNNTVKVLVKGADTSMLSILKEDDERDDHIRHVTHNHLNEYSSEGLRTLVVAAKDLTDAELEQWQCLYEDASTSLTDRSAKLRQTAMFIESNLTLLGATAIEDRLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHKIIINGHSEDECKMLISDAKAKYGVKNLSSNDPTLRWESDLDNGYSEVLADAKLPNLPEELAGQEGGLSGVPLALIIDGNSLVYILEKDLESELFELATSCRVVLCCRVAPLQKAGIVDLIKGRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSIPTIVIGILDKDLSDRTLLKYPKLYTAGHRQESYNMYLFWVTMIDTLWQSLVLFYVPLFIYKESTIDIWSLGSLWTIAVVILVNMHLAMDVQRWLIFTHIAIWGSIVITYGCMVVVDSIVIFPNYGTIYQLVKLPTYWLTIVLIIVLALLPRFIFKAIHRTFWPSDIQIASEAEILRPGSLWS >itb09g10840.t1 pep chromosome:ASM357664v1:9:6702054:6710669:-1 gene:itb09g10840 transcript:itb09g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKPLLSSFEPSSQPRPRHWRRHSTLGVLSHSLSSVFDEAQESELDWLKEDFAPLGTTASHPKKKQFPSVEVHSHPPYMLECPPRERKRIVSWGGVMDHRSDIATFEISGDLSQAASSRAASSQVTLSRVEKLNRSQRPLQKSMQLEENMLHESRMIYINDPKKTNDEFEFTGNEIRTSKYTILNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQSGEFQLIRWKKICVGEVVKIHADETIPCDMVLLGTSDNSGIAYIQTMNLDGESNLKTRYARQETTSLVDEWADISGIIRCEQPNRNIYEFTANMELKGHRFSLSQSNIILRGCQLKNTEWVIGVVVYAGQETKAMLNSAASPSKRSRLETYMNRETIWLSIFLFILCLVVGVGMGLWLNRYKEQLDTLPYYRRTYFDNRKIGKKVYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSSSDSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASIWGKNYGKSPSSTGNLGGEPAAQPCTRRWKLTSEIATDFELMELLRNGLAGEERIAAHEFFLTLSACNTVIPILTQSPPSSITQDEFSGILGTIDYQGESPDEQALVAAASAYGYTLFERTSGHISVDVNGEKLRLDVLGLHEFDSVRKRMSVVIKLPNNTVKVLVKGADTSMLSILKEDDERDDHIRHVTHNHLNEYSSEGLRTLVVAAKDLTDAELEQWQCLYEDASTSLTDRSAKLRQTAMFIESNLTLLGATAIEDRLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHKIIINGHSEDECKMLISDAKAKYGVKNLSSNDPTLRWESDLDNGYSEVLADAKLPNLPEELAGQEGGLSGVPLALIIDGNSLVYILEKDLESELFELATSCRVVLCCRVAPLQKAGIVDLIKGRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSIPTIVIGILDKDLSDRTLLKYPKLYTAGHRQESYNMYLFWVTMIDTLWQSLVLFYVPLFIYKESTIDIWSLGSLWTIAVVILVNMHLAMDVQRWLIFTHIAIWGSIVITYGCMVVVDSIVIFPNYGTIYQLVKLPTYWLTIVLIIVLALLPRFIFKAIHRTFWPSDIQIASEAEILRPGSLWS >itb09g10840.t6 pep chromosome:ASM357664v1:9:6702165:6710662:-1 gene:itb09g10840 transcript:itb09g10840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRRPMMSLNSLEMRFELAIFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQSGEFQLIRWKKICVGEVVKIHADETIPCDMVLLGTSDNSGIAYIQTMNLDGESNLKTRYARQETTSLVDEWADISGIIRCEQPNRNIYEFTANMELKGHRFSLSQSNIILRGCQLKNTEWVIGVVVYAGQETKAMLNSAASPSKRSRLETYMNRETIWLSIFLFILCLVVGVGMGLWLNRYKEQLDTLPYYRRTYFDNRKIGKKVYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSSSDSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASIWGKNYGKSPSSTGNLGGEPAAQPCTRRWKLTSEIATDFELMELLRNGLAGEERIAAHEFFLTLSACNTVIPILTQSPPSSITQDEFSGILGTIDYQGESPDEQALVAAASAYGYTLFERTSGHISVDVNGEKLRLDVLGLHEFDSVRKRMSVVIKLPNNTVKVLVKGADTSMLSILKEDDERDDHIRHVTHNHLNEYSSEGLRTLVVAAKDLTDAELEQWQCLYEDASTSLTDRSAKLRQTAMFIESNLTLLGATAIEDRLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHKIIINGHSEDECKMLISDAKAKYGVKNLSSNDPTLRWESDLDNGYSEVLADAKLPNLPEELAGQEGGLSGVPLALIIDGNSLVYILEKDLESELFELATSCRVVLCCRVAPLQKAGIVDLIKGRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSIPTIVIGILDKDLSDRTLLKYPKLYTAGHRQESYNMYLFWVTMIDTLWQSLVLFYVPLFIYKESTIDIWSLGSLWTIAVVILVNMHLAMDVQRWLIFTHIAIWGSIVITYGCMVVVDSIVIFPNYGTIYQLVKLPTYWLTIVLIIVLALLPRFIFKAIHRTFWPSDIQIASEAEILRPGSLWS >itb09g10840.t2 pep chromosome:ASM357664v1:9:6702054:6710669:-1 gene:itb09g10840 transcript:itb09g10840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKPLLSSFEPSSQPRPRHWRRHSTLGVLSHSLSSVFDEAQESELDWLKEDFAPLGTTASHPKKKQFPSVEVHSHPPYMLECPPRERKRIVSWGGVMDHRSDIATFEISGDLSQAASSRAASSQVTLSRVEKLNRSQRPLQKSMQLEENMLHESRMIYINDPKKTNDEFEFTGNEIRTSKYTILNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQSGEFQLIRWKKICVGEVVKIHADETIPCDMVLLGTSDNSGIAYIQTMNLDGESNLKTRYARQETTSLVDEWADISGIIRCEQPNRNIYEFTANMELKGHRFSLSQSNIILRGCQLKNTEWVIGVVVYAGQETKAMLNSAASPSKRSRLETYMNRETIWLSIFLFILCLVVGVGMGLWLNRYKEQLDTLPYYRRTYFDNRKIGKKVYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSSSDSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASIWGKNYGKSPSSTGNLGGEPAAQPCTRRWKLTSEIATDFELMELLRNGLAGEERIAAHEFFLTLSACNTVIPILTQSPPSSITQDEFSGILGTIDYQGESPDEQALVAAASAYGYTLFERTSGHISVDVNGEKLRLDVLGLHEFDSVRKRMSVVIKLPNNTVKVLVKGADTSMLSILKEDDERDDHIRHVTHNHLNEYSSEGLRTLVVAAKDLTDAELEQWQCLYEDASTSLTDRSAKLRQTAMFIESNLTLLGATAIEDRLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHKIIINGHSEDECKMLISDAKAKYGVKNLSSNDPTLRWESDLDNGYSEVLADAKLPNLPEELAGQEGGLSGVPLALIIDGNSLVYILEKDLESELFELATSCRVVLCCRVAPLQKAGIVDLIKGRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSIPTIVIGILDKDLSDRTLLKYPKLYTAGHRQESYNMYLFWVTMIDTLWQSLVLFYVPLFIYKESTIDIWSLGSLWTIAVVILVNMHLAMDVQRWLIFTHIAIWGSIVITYGCMVVVDSIVIFPNYGTIYQLVKLPTYWLTIVLIIVLALLPRFIFKAIHRTFWPSDIQIASEAEILRPGSLWS >itb09g10840.t4 pep chromosome:ASM357664v1:9:6702165:6710662:-1 gene:itb09g10840 transcript:itb09g10840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKPLLSSFEPSSQPRPRHWRRHSTLGVLSHSLSSVFDEAQESELDWLKEDFAPLGTTASHPKKKQFPSVEVHSHPPYMLECPPRERKRIVSWGGVMDHRSDIATFEISGDLSQAASSRAASSQVTLSRVEKLNRSQRPLQKSMQLEENMLHESRMIYINDPKKTNDEFEFTGNEIRTSKYTILNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQSGEFQLIRWKKICVGEVVKIHADETIPCDMVLLGTSDNSGIAYIQTMNLDGESNLKTRYARQETTSLVDEWADISGIIRCEQPNRNIYEFTANMELKGHRFSLSQSNIILRGCQLKNTEWVIGVVVYAGQETKAMLNSAASPSKRSRLETYMNRETIWLSIFLFILCLVVGVGMGLWLNRYKEQLDTLPYYRRTYFDNRKIGKKVYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSSSDSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASIWGKNYGKSPSSTGNLGGEPAAQPCTRRWKLTSEIATDFELMELLRNGLAGEERIAAHEFFLTLSACNTVIPILTQSPPSSITQDEFSGILGTIDYQGESPDEQALVAAASAYGYTLFERTSGHISVDVNGEKLRLDVLGLHEFDSVRKRMSVVIKLPNNTVKVLVKGADTSMLSILKEDDERDDHIRHVTHNHLNEYSSEGLRTLVVAAKDLTDAELEQWQCLYEDASTSLTDRSAKLRQTAMFIESNLTLLGATAIEDRLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHKIIINGHSEDECKMLISDAKAKYGVKNLSSNDPTLRWESDLDNGYSEVLADAKLPNLPEELAGQEGGLSGVPLALIIDGNSLVYILEKDLESELFELATSCRVVLCCRVAPLQKAGIVDLIKGRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSIPTIVIGILDKDLSDRTLLKYPKLYTAGHRQESYNMYLFWVTMIDTLWQSLVLFYVPLFIYKESTIDIWSLGSLWTIAVVILVNMHLAMDVQRWLIFTHIAIWGSIVITYGCMVVVDSIVIFPNYGTIYQLVKLPTYWLTIVLIIVLALLPRFIFKAIHRTFWPSDIQIASEAEILRPGSLWS >itb09g10840.t5 pep chromosome:ASM357664v1:9:6702165:6710662:-1 gene:itb09g10840 transcript:itb09g10840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKPLLSSFEPSSQPRPRHWRRHSTLGVLSHSLSSVFDEAQESELDWLKEDFAPLGTTASHPKKKQFPSVEVHSHPPYMLECPPRERKRIVSWGGVMDHRSDIATFEISGDLSQAASSRAASSQVTLSRVEKLNRSQRPLQKSMQLEENMLHESRMIYINDPKKTNDEFEFTGNEIRTSKYTILNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQSGEFQLIRWKKICVGEVVKIHADETIPCDMVLLGTSDNSGIAYIQTMNLDGESNLKTRYARQETTSLVDEWADISGIIRCEQPNRNIYEFTANMELKGHRFSLSQSNIILRGCQLKNTEWVIGVVVYAGQETKAMLNSAASPSKRSRLETYMNRETIWLSIFLFILCLVVGVGMGLWLNRYKEQLDTLPYYRRTYFDNRKIGKKVYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSSSDSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASIWGKNYGKSPSSTGNLGGEPAAQPCTRRWKLTSEIATDFELMELLRNGLAGEERIAAHEFFLTLSACNTVIPILTQSPPSSITQDEFSGILGTIDYQGESPDEQALVAAASAYGYTLFERTSGHISVDVNGEKLRLDVLGLHEFDSVRKRMSVVIKLPNNTVKVLVKGADTSMLSILKEDDERDDHIRHVTHNHLNEYSSEGLRTLVVAAKDLTDAELEQWQCLYEDASTSLTDRSAKLRQTAMFIESNLTLLGATAIEDRLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHKIIINGHSEDECKMLISDAKAKYGVKNLSSNDPTLRWESDLDNGYSEVLADAKLPNLPEELAGQEGGLSGVPLALIIDGNSLVYILEKDLESELFELATSCRVVLCCRVAPLQKAGIVDLIKGRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSLIYTSIPTIVIGILDKDLSDRTLLKYPKLYTAGHRQESYNMYLFWVTMIDTLWQSLVLFYVPLFIYKESTIDIWSLGSLWTIAVVILVNMHLAMDVQRWLIFTHIAIWGSIVITYGCMVVVDSIVIFPNYGTIYQLVKLPTYWLTIVLIIVLALLPRFIFKAIHRTFWPSDIQIASEAEILRPGSLWS >itb10g24740.t1 pep chromosome:ASM357664v1:10:28397375:28399619:1 gene:itb10g24740 transcript:itb10g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAIPFQISPDSNLPLREIPGSYSYGGSSFIGAIRDRYDYFYNEGENNFFLAKMKKYNSTVFRTNMPPGPFISKDSKVVVLLDAVSFPVLFDNSKVEKKNVLDGTFMPSTAFFGGYRPCAFLDTSEPRHETLKAFFFSVLARLNTRFIPLFRSCLGNLFDSLETQVSEKGKAGFNPASDAVSFEFVFRLFCNKNPSETELGDGGAKLMDSWIAFQLSPLISLGLKFLPNFLEDLLLHTFSWPFFAVKPSYEKLSRVFAASATSVLDEAEKNGVERDEALHNLVFLAGFNAYGGMKAFFPTLIKWVGAAGENLHRRLANEIRTIVKEEGCGASGVTLKALEKMKLTKSVVYEVLRIEPPVPYQYGKARDDIIVRSHESSFLINKGEMIFGYQPFATKDPKVFTDPEKFIPDRFLHDGGEKLIHYLYWSNGRETKNPAAGDKQCPGKELVVLMARLMLVELFLRYDSYEIQWEKLPLGSSVTITSFTKHNLHNNIGEF >itb08g02720.t1 pep chromosome:ASM357664v1:8:2325687:2329615:1 gene:itb08g02720 transcript:itb08g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGGGPRLSKRFSDKDGEVDYKTKAGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQTHSQKERRAEEISREYAQEQDFFRQTALVSKKEKEKLEMMKAVSFMYVRPPGYNPESAKAAEVEDERKKQEQVGTSQSPTAEGASNSKKPESMPPIEEKKKPRPKDVFGRLLPTEEQFEVLKNAPRLETGAPARVKPFAIEIRNVKCVRCGNFGHQSGDRECPLKDAIMPNEESRLKRDDPLTAILAQTESSEPLKWELKQKPGLSPPRGGFRPDDPNQQIVAEDIFDEYGGFLAGNNIPDLLLSNFSSKPKKKKKSSKSKHKRRASPTSSDSRDHEETESSSDDDDRGRRSKKRRHFRPDDPNQKIVAEDIINEYGGFLARDNIPDLLSNFSSKTKKKKSSKSKHKRRASPASSDSRDHEETESSSDDDRRRRSKKRHKKKSRKHSESSSSSEDSNSDRHRKRSTKPRHSRPSSSRYSDSAGKHKRRDHHHNHHHHRRRHDHQSDSLLE >itb06g03990.t1 pep chromosome:ASM357664v1:6:6480751:6483047:-1 gene:itb06g03990 transcript:itb06g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPDSKVACETCSKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFISPDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCAWLRPDGKTQVTVEYRNENGAMVPVRVHTVLISTQHDETVTNDQIAKDLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCLVQVSYAIGVAEPLSVFVDTYKTGKIPDKDILVLIKENFDFRPGMITINLDLKRGGKFRYQKTAAYGHFGREDADFTWETVKILKPKA >itb06g03990.t2 pep chromosome:ASM357664v1:6:6481369:6482166:-1 gene:itb06g03990 transcript:itb06g03990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCAWLRPDGKTQVTVEYRNENGAMVPVRVHTVLISTQHDETVTNDQIAKDLKEHVIKPVIPAKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCLVQVSYAIGVAEPLSVFVDTYKTGKIPDKDILVLIKENFDFRPGMITINLDLKRGGKFRYQKTAAYGHFGREDADFTWETVKILKPKA >itb14g16280.t1 pep chromosome:ASM357664v1:14:19596600:19607081:1 gene:itb14g16280 transcript:itb14g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDPTDQQLKPCKCGYEICVWCWHHIMDMAEKDAVEGRCPACRTPYNKEKIVGTMPNCEKIVAEMNMEKKLKSQKTKCKTSDSRKQLNNMRVIQRNLVYIVGLPLNLADEELLQSKEYFGKYGRVLKVSISRTAAGAIQQFANSTCSVYITYTQEEEAVCCIQSVHGFLLDGRPLRACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEVISAYTRSRVQQITGATNCVQRRSGTLLPPPADDYCHNGSAALAGKPISKSLNISATSARVSPPNSSSGRSAALPAGALWGTRPSNSQPPSASLPCSNGSLRAKPDKCSDAVKFSTAVGKSSFLNADPGKQLICGEESSNNQDKSKIKISLPANQYAGADQAYVTETLGTHVLPSCSSVNSQFHGHPASKEKDGHSIKPSNPTSSFDHSMKSNRPGSQKDSDDTAKIDTQILCPDMSSLSIDRLQKSRQSFTDQSREPLSSQIESKVAVYSDESHITSDTSDSRLDLQTSFIQDVTCGNGDNSLSAYDQRPREPESSTDRSHNPNSSESFHSSTQCLLLSDNVGSIKSDMQIVGKFDSILPSSGIQGISDGYRDDIATCKTALESTDRSCFSLSNVDQRKEMKSFEGGLTGASRNIIEDMEESSIISNILSLDFDPWSEPLTSPQNLVKLLGDTDKKPPLSSFKLHSSNQSRFSFAREEEPISQTIDLEPSLCYIEQGFNNCPSHNFSTSRGFQPDNLASRRGFSLVNKDSNSFSNSYLSLSNHKSSVSRSEMSAPPGFSATSRAPPPIFMSHNRMENNFDSLPVGNYSLQTPMLRNQYQAPPTGNMVCNEDDIEFIDPAILAVHKGNVPSGSNASGLNVTSGFPLQLSTSENEGRLHFLMQRSLSPLKNQRFPDTGDSFSAFGDAYRIPSGILEQTLSSNLSAFSSVSVPESRSSVMSNGNWDIWNRINGQNDLGVSELLQTERLGLNKLYTGYEDSKFGMTNSGNLYRTYGF >itb14g16280.t4 pep chromosome:ASM357664v1:14:19596531:19605579:1 gene:itb14g16280 transcript:itb14g16280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDPTDQQLKPCKCGYEICVWCWHHIMDMAEKDAVEGRCPACRTPYNKEKIVGTMPNCEKIVAEMNMEKKLKSQKTKCKTSDSRKQLNNMRVIQRNLVYIVGLPLNLADEELLQSKEYFGKYGRVLKVSISRTAAGAIQQFANSTCSVYITYTQEEEAVCCIQSVHGFLLDGRPLRACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEVISAYTRSRVQQITGATNCVQRRSGTLLPPPADDYCHNGSAALAGKPISKSLNISATSARVSPPNSSSGRSAALPAGALWGTRPSNSQPPSASLPCSNGSLRAKPDKCSDAVKFSTAVGKSSFLNADPGKQLICGEESSNNQDKSKIKISLPANQYAGADQAYVTETLGTHVLPSCSSVNSQFHGHPASKEKDGHSIKPSNPTSSFDHSMKSNRPGSQKDSDDTAKIDTQILCPDMSSLSIDRLQKSRQSFTDQSREPLSSQIESKVAVYSDESHITSDTSDSRLDLQTSFIQDVTCGNGDNSLSAYDQRPREPESSTDRSHNPNSSESFHSSTQCLLLSDNVGSIKSDMQIVGKFDSILPSSGIQGISDGYRDDIATCKTALESTDRSCFSLSNVDQRKEMKSFEGGLTGASRNIIEDMEESSIISNILSLDFDPWSEPLTSPQNLVKLLGDTDKKPPLSSFKLHSSNQSRFSFAREEEPISQTIDLEPSLCYIEQGFNNCPSHNFSTSRGFQPDNLASRRGFSLVNKDSNSFSNSYLSLSNHKSSVSRSEMSAPPGFSATSRAPPPIFMSHNRMENNFDSLPG >itb14g16280.t5 pep chromosome:ASM357664v1:14:19596520:19607081:1 gene:itb14g16280 transcript:itb14g16280.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDPTDQQLKPCKCGYEICVWCWHHIMDMAEKDAVEGRCPACRTPYNKEKIVGTMPNCEKIVAEMNMEKKLKSQKTKCKTSDSRKQLNNMRVIQRNLVYIVGLPLNLADEELLQSKEYFGKYGRVLKVSISRTAAGAIQQFANSTCSVYITYTQEEEAVCCIQSVHGFLLDGRPLRACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEVISAYTRSRVQQITGATNCVQRRSGTLLPPPADDYCHNGSAALAGKPISKSLNISATSARVSPPNSSSGRSAALPAGALWGTRPSNSQPPSASLPCSNGSLRAKPDKCSDAVKFSTAVGKSSFLNADPGKQLICGEESSNNQDKSKIKISLPANQYAGADQAYVTETLGTHVLPSCSSVNSQFHGHPASKEKDGHSIKPSNPTSSFDHSMKSNRPGSQKDSDDTAKIDTQILCPDMSSLSIDRLQKSRQSFTDQSREPLSSQIESKVAVYSDESHITSDTSDSRLDLQTSFIQDVTCGNGDNSLSAYDQRPREPESSTDRSHNPNSSESFHSSTQCLLLSDNVGSIKSDMQIVGKFDSILPSSGIQGISDGYRDDIATCKTALESTDRSCFSLSNVDQRKEMKSFEGGLTGASRNIIEDMEESSIISNILSLDFDPWSEPLTSPQNLVKLLGDTDKKPPLSSFKLHSSNQSRFSFAREEEPISQTIDLEPSLCYIEQGFNNCPSHNFSTSRGFQPDNLASRRGFSLVNKDSNSFSNSYLSLSNHKSSGKLHTLQFVVCYCFAWICWGGGLELHYLLV >itb14g16280.t2 pep chromosome:ASM357664v1:14:19596531:19607081:1 gene:itb14g16280 transcript:itb14g16280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDPTDQQLKPCKCGYEICVWCWHHIMDMAEKDAVEGRCPACRTPYNKEKIVGTMPNCEKIVAEMNMEKKLKSQKTKCKTSDSRKQLNNMRVIQRNLVYIVGLPLNLADEELLQSKEYFGKYGRVLKVSISRTAAGAIQQFANSTCSVYITYTQEEEAVCCIQSVHGFLLDGRPLRACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEVISAYTRSRVQQITGATNCVQRRSGTLLPPPADDYCHNGSAALAGKPISKSLNISATSARVSPPNSSSGRSAALPAGALWGTRPSNSQPPSASLPCSNGSLRAKPDKCSDAVKFSTAVGKSSFLNADPGKQLICGEESSNNQDKSKIKISLPANQYAGADQAYVTETLGTHVLPSCSSVNSQFHGHPASKEKDGHSIKPSNPTSSFDHSMKSNRPGSQKDSDDTAKIDTQILCPDMSSLSIDRLQKSRQSFTDQSREPLSSQIESKVAVYSDESHITSDTSDSRLDLQTSFIQDVTCGNGDNSLSAYDQRPREPESSTDRSHNPNSSESFHSSTQCLLLSDNVGSIKSDMQIVGKFDSILPSSGIQGISDGYRDDIATCKTALESTDRSCFSLSNVDQRKEMKSFEGGLTGASRNIIEDMEESSIISNILSLDFDPWSEPLTSPQNLVKLLGDTDKKPPLSSFKLHSSNQSRFSFAREEEPISQTIDLEPSLCYIEQGFNNCPSHNFSTSRGFQPDNLASRRGFSLVNKDSNSFSNSYLSLSNHKSSVSRSEMSAPPGFSATSRAPPPIFMSHNRMENNFDSLPVGNYSLQTPMLRNQYQAPPTGNMVCNEDDIEFIDPAILAVHKGNVPSGSNASGLNVTSGFPLQLSTSENEGRLHFLMQRSLSPLKNQRFPDTGDSFSAFGDAYRIPSGILEQTLSSNLSAFSSVSVPESRSSVMSNGNWDIWNRINGQNDLGVSELLQTERLGLNKLYTGYEDSKFGMTNSGNLYRTYGF >itb14g16280.t3 pep chromosome:ASM357664v1:14:19596520:19607156:1 gene:itb14g16280 transcript:itb14g16280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDPTDQQLKPCKCGYEICVWCWHHIMDMAEKDAVEGRCPACRTPYNKEKIVGTMPNCEKIVAEMNMEKKLKSQKTKCKTSDSRKQLNNMRVIQRNLVYIVGLPLNLADEELLQSKEYFGKYGRVLKVSISRTAAGAIQQFANSTCSVYITYTQEEEAVCCIQSVHGFLLDGRPLRACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEVISAYTRSRVQQITGATNCVQRRSGTLLPPPADDYCHNGSAALAGKPISKSLNISATSARVSPPNSSSGRSAALPAGALWGTRPSNSQPPSASLPCSNGSLRAKPDKCSDAVKFSTAVGKSSFLNADPGKQLICGEESSNNQDKSKIKISLPANQYAGADQAYVTETLGTHVLPSCSSVNSQFHGHPASKEKDGHSIKPSNPTSSFDHSMKSNRPGSQKDSDDTAKIDTQILCPDMSSLSIDRLQKSRQSFTDQSREPLSSQIESKVAVYSDESHITSDTSDSRLDLQTSFIQDVTCGNGDNSLSAYDQRPREPESSTDRSHNPNSSESFHSSTQCLLLSDNVGSIKSDMQIVGKFDSILPSSGIQGISDGYRDDIATCKTALESTDRSCFSLSNVDQRKEMKSFEGGLTGASRNIIEDMEESSIISNILSLDFDPWSEPLTSPQNLVKLLGDTDKKPPLSSFKLHSSNQSRFSFAREEEPISQTIDLEPSLCYIEQGFNNCPSHNFSTSRGFQPDNLASRRGFSLVNKDSNSFSNSYLSLSNHKSSVSRSEMSAPPGFSATSRAPPPIFMSHNRMENNFDSLPGNYSLQTPMLRNQYQAPPTGNMVCNEDDIEFIDPAILAVHKGNVPSGSNASGLNVTSGFPLQLSTSENEGRLHFLMQRSLSPLKNQRFPDTGDSFSAFGDAYRIPSGILEQTLSSNLSAFSSVSVPESRSSVMSNGNWDIWNRINGQNDLGVSELLQTERLGLNKLYTGYEDSKFGMTNSGNLYRTYGF >itb07g08500.t1 pep chromosome:ASM357664v1:7:6729631:6732835:1 gene:itb07g08500 transcript:itb07g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPQIRTNNSALIAMIGDEDTITGFLMAGVGNVDLRRKTNYLIVDSKTTVKQIEDSFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPIPAILEIPSKDHPYDPAQDSILSRVKYLFATESVASGRR >itb05g20590.t1 pep chromosome:ASM357664v1:5:26632710:26636462:1 gene:itb05g20590 transcript:itb05g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNQNELILFSFFFVCLLVFQNALVYTSALSEPKVYIVYMGARQHDDVDLITSTHHDMLATVLGSREAAANSMIYSYRHGFSGFAAMMTKSHAQTFEDLTGVVKVIPNSFYKLRTTRSWDYLGLSLNSATNLLHDTKMGDGTIVAVLDTGVTPENEAYNDRGLGPIPSKWKGYCQSGVQFDPKMHCNRKLIGARYFIDGFLAEKGQPGNVTGINDYISPRDAYGHGTHTSSTAVGSVVSNVSYKGLALGTFRGGAPRARLAFYKIGWEGGSISAADTLKAFDEAIHDGVDVISASFGADVPLSAEVDPNDLLHFGSFHAVAHGITVVAAGGNEGPAAQTVSGADPWILTVAATTPDRDFPTPITLGNGQTLMGQSLFTGKDTGVVNLFYPPDPNSVLFCDEITPENMSPNDTQLFAGKVVLCFTGKHGLELDVAGIVAQARAVMRKVGALGIIVSQKPFTLLDAYDDDFPSVQVDYEVGTKILLYIRSTRNPKVRLSPSKTRIGKPLSSVVAKYSSRGPYTIAPAILKPDIAAPGTNILAAYVPKNPAIKISTYKMESGTSMATPHVAGIVALLKAAHPDWSPAVIKSAIVTTAWTTDRSSGEPIFSEGETISKLADAFDYGGGIINPNRAKKPGLVYDMSTTDYVQCLCAMGYSSKAISIIAGQTISCHKGFSILDVNFPSITIPDLKRPITLTRTVTNVGSENSTYQVMVEPPNGITVVVKPSTLNFSPNVKKISFNVTISTRHRLNTGYYFGSLIWNDGVHNVRIPISVKTEY >itb03g04590.t1 pep chromosome:ASM357664v1:3:2922638:2930581:1 gene:itb03g04590 transcript:itb03g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLPDSTAIHSLRVLHFQGKEKDSETLIWDSIRILEDVGQGESIICTKRMRHLAEMYLKSNRIAEAVNLQRKILHKMELSKGWGSLDTVLAAERLALTLLTQGSLTDAQQLLERCLDARKILLPENHIEVAGNMLHIARLKMLSSNKLRKTDASQAITELDMAKDLLSQSIRVAQKVLAQTVKERGQPKSFGVSRQTGRYRQLALIILLKSLNTLALLEVTKVELQESKVDGLVGEAENALHQCISAFREFETEKPLADIPEVKAEYLSCLKYLFGLINIGGKILTADQSDLQQLKAEINRMEVELSAKGRPRS >itb11g05030.t1 pep chromosome:ASM357664v1:11:2938772:2941304:-1 gene:itb11g05030 transcript:itb11g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLAMMTARRAAAFYRIPTSGSAAQAASFVPRRGLAGAADHHGPPKVNFWSDPTSPSKWKEEHFVLISLSGWGLVFYGGYKFFTGGKKNKEEASH >itb04g11370.t1 pep chromosome:ASM357664v1:4:10975454:10976259:-1 gene:itb04g11370 transcript:itb04g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALAGKILGHKMLKTQQHFKPFITILLSLLFLNSQLSLCGGASAMGLNPVKGTDFDVMVKRGCVGKSNECTAMAVEEEEMDSESNRRVLLMRKRYISYETLRRDLVPCDMPGASYYNCKGPGKANTYNRGCEIITRCARAVNGINS >itb07g04440.t1 pep chromosome:ASM357664v1:7:2975277:2977101:1 gene:itb07g04440 transcript:itb07g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRVRSIFTSQFSFYHRNMILIVGIVLAVAATATLSFFQFSRSQKIRSPRVQSTRSSCHIPAIFNFGDSNSDTAEKLGLPYLSAFLDSIGTNFTHGANFAVGGATIQQSNAKMFGVGFSPLSLDKQLSQFEQLRMRSAEFSEQAKDKDVKCKLLPEEDEFSRGLYTMDMGQNDLHYLLTSMTEEEAKKSISSVIDQFAVAVEKLHELGARAFWIHNTGPIGCLPFLALVESPAKPKDQNGCVKAYNNMAQEFNRQLKERVYKLRHHLQNSTITYIDIYSAKYDLISNATDYGFRNPLGYCCGKINVLTCWADMTVNGTKVFADSCNNPSEYISWDGIHYTEAANKWVANHIADGLYSDPQISIIGACDLSYNSQNKT >itb02g10410.t2 pep chromosome:ASM357664v1:2:6720195:6721215:-1 gene:itb02g10410 transcript:itb02g10410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLVFSTFSILVILLLRVCSIHSQDNVFDVTSFGSISEGALDITKALSKGWSEACGTENGVVLIPKGSFLLNTVKLAGPCNGSTTFLLYGHLKAPANVSIMKNSKDWVLFSNILGLSIVGNGSFDGQVYKLEEYHQWIDSRDSLYK >itb02g10410.t1 pep chromosome:ASM357664v1:2:6717514:6721215:-1 gene:itb02g10410 transcript:itb02g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLVFSTFSILVILLLRVCSIHSQDNVFDVTSFGSISEGALDITKALSKGWSEACGTENGVVLIPKGSFLLNTVKLAGPCNGSTTFLLYGHLKAPANVSIMKNSKDWVLFSNILGLSIVGNGSFDGQGKSAWLLNECKRKLHCKSLPMSISLKNITNGLIQGIVSTNSKFFHLTIRNSDSILIKSVTIQAPHKSPNTDGIHISQSKRISIYDSNIGTGDDCISLSKGSRNIYIYNVTCGPGHGISIGSLGKHENEEDVSGIVVKNCTFTNTTNGARIKTWAPSFSSRVFNLIYEDIILNNVSNPILIDQHYCPNSHCMGEGESSVKISRIKFINFRGTSQDKVAVTLDCSSFLPCDDIVLTGLNISLNNGGPTIANCTNFHGIFYESKSPLPCISEVIPTPTPTPTGEIVPTPTPTPISEIVPTPTPTSTPTGEIVPTPTSEIVPTPTPTGEIIPTPTPTPTPTSEIVPTLTPTPTSEIVPTPTPTGEIVPTPTPTGEIVPTPTPTGEIVPTPTPTGEIVPTPTPTSEIVPTPTPTGEIVPTPTPTGEIVPTPTPTSEIVPTPTPTGEIVPTPTPTGEIVPTPTPTSEIVPTPTPTGEIVPTPTPTGEIVPTPTPTSEIVPTPTPTGEIVPTPTPTGEIVPTPTPTSEIVPTPTPTSEIVPTPTPTGEIVPTPTPTNEIVPTPTSEIVPTPTPTGEIVPTPTPTNEIVPTPTPTGIPTPTPTPTGEIVPTPTPTSEIFPTPTPTPTPTGEIIPTPTPTPTSEIVPTPTPTPTSEIIPTLIPTSEIVPTPTPTPTSEIIPTLTPTSEIVPTPTPTPNGDSEIVPTPTPTPNGDTVQNPTPTPTPNGDTVQNPTPTPTPTSEIIPTLTPTSEIVPTPTPTPNGDSEIVPTPTPTPNGDTVQNPTPTPTPNGDTVQNPTPTSEIVPTPTPTPNGDTIPNPTPTSEIVPTPTGETTPTPNGDTVPNPTPTSKIVPTPTPTNRIDIFFAENFYDFYSFL >itb11g02110.t1 pep chromosome:ASM357664v1:11:1056845:1058543:1 gene:itb11g02110 transcript:itb11g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSGSNKRKVQNKKRKSRRNKLEFLGWGSKPLIEFLDSIGKESRQYSQGEVNAIMNRYVASNNLIDPTYKRSIRCDERLEKLFKRKIVSRKNLSHFLEAHFKENHVVVDDDAQDNALPKSRFAAISSQNIRLIFLRLQLVMGLLKENPESFEDNVMGSIVRINSNSKKRSYNLHQVIGVSQSSGEPNLRLSNMEAEVPISELSDRYFTEEELEEFRKQMKAGKHKRPTVEEFESKAQSLHKFLTKNKPIVAVAKPETEEEEEGVIAQKPVEAELEEKPSGCSDKIDEEERVVCQEPIRAGDEADHVEAP >itb02g08070.t1 pep chromosome:ASM357664v1:2:5047441:5057996:-1 gene:itb02g08070 transcript:itb02g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGGGNGGESAAPAGVAGGSAGGSGGSDVEKKKPPEGGEPKVKRKMKTASQLEILEKTYAKEAYPSEAVRAELSVKLGLTDRQLQMWFCHRRLKDRKAPSAPSVKRTRKEDLPGAMVSSCGQDDIATGGELRSGHASASASGLNPHTPVDLQPHHPRVVHRPGTAVPRYAAEMPAMKRYYEPPQAISELRAIAFVEAQLGEPLREDGPILGMEFDPLPPGAFGAPIVTAVHHKPAERSFDTELYERQDSRQIKGPTRTLLEYQFIPEQPSIRSDAYERTVPHHYYGSPSEAQGTRPSLSSGRSYLHNSEHFPSGYSIQTQPPTLSLLHQQGRHAHLSPASEEVDVAPQGSSHVKISVEGNFGSHPITGLENQFVSPETRVIHEEERLERKRKSEEAKMAREVEAHEKRIRKELEKQDMLRRKREEQMRKEMERQDRERRKEEERLLREKQREEERYLREQRREMERREKFLQKESKRVEKMKLKEEMRREKEAAKLKAANERAAARRIAKESIELIEDERLELMELAASRKGLPSVLALDNEALQNLDSFADMLREFPPKFVCLKKPFGIQPWIGSDANVGNLLMVWRFLITFSDVLSLWPFTLDELVQAFHDYDPRLLGEIHIALLRSIIKDIEDVARTSSAVVGVNQNNVANPGGGHPQIVEGAYAWGFDIRSWQRHLNPLTWPEILRQFALSAGFGPKLKKRSIEPAGLRDENEGNDAADIISNLRNGVAAENALSKMQERGLSNPRRSRHRLTPGTVKFAAFHVLSFEGSKGLTILDVAERIQASGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRTPYRKDPADAEALLAAAREKVHLFKNRCLNGEEADDGEKDDVEREEDSESDAAEDPEVDNLEDETNINKSSGSCKPSRLEDHKISYDLTEMPPDSVQNSPTLMQASGLLGEKTSGDQLVDTGSQCESSIPDQENTIVDDGSAGEQWVQGLMDGEYSDLSVEERLDALVALVGFANEGNSIRIALEERLEAASALKKQMWAEAQLDKRRFKDDYVIKMQYSSVINKAEQNFSISAMESRQCLSLAINANNGAAPLNSAAQPGDSNNPNYSSNVIVERNLPLQEFSAGPDNIQVQHLGYVAEKSRMQLKTYIGYRAEQTYVYRSLPLGQDRRRNRYWQFITSSRNDPGYGRIFVELRDGQWRLIDSEKGFDALLASLDVRGIRESHLHLMLQRIEVTFKETARRNTLQMNSVPDVENDVKNEALEKTSTLDKDPNSAPCISNCDMSEPSASFVIGVGRNIVEKSDALRRYLDFEKWMWEECLDSKFLCAMKEGKIRRRNLLRVCDHCHVLYFEENHCPFCHTTYAKNSKFSEHLTRCKEKLKDEYLSPYRALYSSPPIRLRLLKAQLASLEVSVLPEAFEPVWSEEYRSHWGMKLQTASSAEDLLQLLTMLECAIKRDFLLSNYETSNELLGISDQPAGFERVGVLPWIPHTTAALALRLMELDTSIYYTQQQKEESQKGARNFSALPSKYTIPKNVAEEVPAEALHEAGMWPNVENGSGSGRGRGRGRGRRRISIEKSQRKIVDSKPESASHRKSIASNDRLGGLPGWKGQSKGQGGRRKGRRSARSRQRPVKNVNITINAENRPCSIEQQWKEDETAQIQIEAAENDSSPERSGFENDNGQASEDEYDDYAMTEEYPGLFSAKSGQQMEIGGNYDADQGIDDRIDDIDYANDDYIDGDDEVEGEEDAPGNEEDIEGYFNGDSEDEGNRFRAGDSEDEGNRFRAEEQIESESQDSPSSSSDYSE >itb02g08070.t2 pep chromosome:ASM357664v1:2:5047558:5056993:-1 gene:itb02g08070 transcript:itb02g08070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFCHRRLKDRKAPSAPSVKRTRKEDLPGAMVSSCGQDDIATGGELRSGHASASASGLNPHTPVDLQPHHPRVVHRPGTAVPRYAAEMPAMKRYYEPPQAISELRAIAFVEAQLGEPLREDGPILGMEFDPLPPGAFGAPIVTAVHHKPAERSFDTELYERQDSRQIKGPTRTLLEYQFIPEQPSIRSDAYERTVPHHYYGSPSEAQGTRPSLSSGRSYLHNSEHFPSGYSIQTQPPTLSLLHQQGRHAHLSPASEEVDVAPQGSSHVKISVEGNFGSHPITGLENQFVSPETRVIHEEERLERKRKSEEAKMAREVEAHEKRIRKELEKQDMLRRKREEQMRKEMERQDRERRKEEERLLREKQREEERYLREQRREMERREKFLQKESKRVEKMKLKEEMRREKEAAKLKAANERAAARRIAKESIELIEDERLELMELAASRKGLPSVLALDNEALQNLDSFADMLREFPPKFVCLKKPFGIQPWIGSDANVGNLLMVWRFLITFSDVLSLWPFTLDELVQAFHDYDPRLLGEIHIALLRSIIKDIEDVARTSSAVVGVNQNNVANPGGGHPQIVEGAYAWGFDIRSWQRHLNPLTWPEILRQFALSAGFGPKLKKRSIEPAGLRDENEGNDAADIISNLRNGVAAENALSKMQERGLSNPRRSRHRLTPGTVKFAAFHVLSFEGSKGLTILDVAERIQASGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRTPYRKDPADAEALLAAAREKVHLFKNRCLNGEEADDGEKDDVEREEDSESDAAEDPEVDNLEDETNINKSSGSCKPSRLEDHKISYDLTEMPPDSVQNSPTLMQASGLLGEKTSGDQLVDTGSQCESSIPDQENTIVDDGSAGEQWVQGLMDGEYSDLSVEERLDALVALVGFANEGNSIRIALEERLEAASALKKQMWAEAQLDKRRFKDDYVIKMQYSSVINKAEQNFSISAMESRQCLSLAINANNGAAPLNSAAQPGDSNNPNYSSNVIVERNLPLQEFSAGPDNIQVQHLGYVAEKSRMQLKTYIGYRAEQTYVYRSLPLGQDRRRNRYWQFITSSRNDPGYGRIFVELRDGQWRLIDSEKGFDALLASLDVRGIRESHLHLMLQRIEVTFKETARRNTLQMNSVPDVENDVKNEALEKTSTLDKDPNSAPCISNCDMSEPSASFVIGVGRNIVEKSDALRRYLDFEKWMWEECLDSKFLCAMKEGKIRRRNLLRVCDHCHVLYFEENHCPFCHTTYAKNSKFSEHLTRCKEKLKDEYLSPYRALYSSPPIRLRLLKAQLASLEVSVLPEAFEPVWSEEYRSHWGMKLQTASSAEDLLQLLTMLECAIKRDFLLSNYETSNELLGISDQPAGFERVGVLPWIPHTTAALALRLMELDTSIYYTQQQKEESQKGARNFSALPSKYTIPKNVAEEVPAEALHEAGMWPNVENGSGSGRGRGRGRGRRRISIEKSQRKIVDSKPESASHRKSIASNDRLGGLPGWKGQSKGQGGRRKGRRSARSRQRPVKNVNITINAENRPCSIEQQWKEDETAQIQIEAAENDSSPERSGFENDNGQASEDEYDDYAMTEEYPGLFSAKSGQQMEIGGNYDADQGIDDRIDDIDYANDDYIDGDDEVEGEEDAPGNEEDIEGYFNGDSEDEGNRFRAGDSEDEGNRFRAEEQIESESQDSPSSSSDYSE >itb04g26550.t1 pep chromosome:ASM357664v1:4:30851315:30856126:-1 gene:itb04g26550 transcript:itb04g26550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVSNSLAIAGLFIFAVLYTSWQRRIRKNGNSSKPLPPVVSGAWPVIGHFRQLTSGKEPLFRTFGAMADKYGPIFTVRIGMQPSVVINSWETARDCFSTNDKLLADRPPNCAGKYLGYDYAVLPFSLHGQYWRNMRKLVVVELLSNNTLEKLKPVWMSELETNIKELYTLVRDDVDFRARKVDMSEWFGHLTLNMIVKLIGGRRYKYRSNDSADEEGRCLTKVFKEIMCLMGEFVPGDAFFPIGLVRWLDFGGQIASMKRVSKVMDDILQNWIEDHVKRREMKGDNDDRDFIDVMLSVMDDKFLSGGHSYTRDTIIKAASLSMLEDGADTLGLNLEWILSILLNNPHVMNKIQEEIDTIVGKERWVEDYDIDNMAYLQAAVKEAMRLYPPAPMLFPHRAIEECIVGGYAIPKGTILYTNVWKIQRDPQVWPEPEKFLPERFLEGQAEMDTPSRHFGFIPFGIGRRSCPGISYALKVAHLSIGRLLQGYNVTAPLNMPVDMFEGQATTMVIRATPLEVHVTPRLQPSFYGEM >itb13g12670.t1 pep chromosome:ASM357664v1:13:18953288:18955769:1 gene:itb13g12670 transcript:itb13g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEVFHMNEGNGDTSYANNSLVQKNIILCTKPTTEEAITDLYNSLCPETISIADLGCSSGPNTFLVVFDIIRAVEKVRKMTGSHSPEYVVHLSDLPGNDFNYIFRSLPRCVEDFKKEMGDGFGRCFFAGVGGSFYGRLFPNNSLHFVHSSNSLHWLSQIPKGLEENKGNICIAASSPPSVIEAYYEQFERDFSTFIKCRSEELVTGGRMIFFGKEERKP >itb02g25010.t1 pep chromosome:ASM357664v1:2:25733956:25737314:-1 gene:itb02g25010 transcript:itb02g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSYFDEIPAPNSGSSSPPSAKKLRCSSSTSPVRFPVSPPPPSPSPSLIDQLRAQFPDFDTELLEKALEECGYNLDAANKCLNEMRLGLAQGKSCGNVEVNANMQSGAWPTNGVVMPTEDHTVQGNIPIDGVHWVDMFVREMMSATSIDDARARASRLLVALEKTICVQAGAEAAQNIQKENMMLKEQAEGLLRENGILKRAVAIQHERQKELDDKNQEVQQLKQLVTQYQEQLRTLQVNNYALKLHLQQAQQSNSMPGHFHPDIF >itb11g07740.t2 pep chromosome:ASM357664v1:11:4844064:4847334:-1 gene:itb11g07740 transcript:itb11g07740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDEPLSFKKMHEFSTTDGFVEIADNLADMVKFVANEPSVGLFYVQQHVRNAVPNLVNLKSKVVEKSHETTLHAEDLEDSIAMARSMKDCGFPIAEGMIKDIRHSLTVLSARDGKKGLINMRSSSFQLGRGTSWGRSSSPKPDVERGGYLSKLFGSVREKPSSIKWPQLEPRELKPAKAGEPSFSFRPQTPLDASSSSTVADADSDDLPVSSVIVDDGHEVQVDRSLSRDHRILSSSKSYEELSSEARLEHWLEGTSNPNAD >itb11g07740.t1 pep chromosome:ASM357664v1:11:4844064:4847334:-1 gene:itb11g07740 transcript:itb11g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDEPLSFKKMHEFSTTDGFVEIADNLADMVKFVANEPSVGLFYVQQHVRNAVPNLVNLKSKVVEKSHETTLHAEDLEDSIAMARSMKDCGFPIAEGMIKDIRHSLTVLSARDGKKGLINMRSSSFQLGRGTSWGRSSSPKPDVERGGYLSKLFGSVREKPSSIKWPQLEPRELKPAKAGEPSFSFRPQTPLDASSSSTVADADSDDLPVSSVIVDDGHEVQVDRSLSRDHRILSSSKSYEELSSEARLEHWLEGTSNPNAD >itb11g07740.t3 pep chromosome:ASM357664v1:11:4844064:4847350:-1 gene:itb11g07740 transcript:itb11g07740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDEPLSFKKMHEFSTTDGFVEIADNLADMVKFVANEPSVGLFYVQQHVRNAVPNLVNLKSKVVEKSHETTLHAEDLEDSIAMARSMKDCGFPIAEGMIKDIRHSLTVLSARDGKKGLINMRSSSFQLGRGTSWGRSSSPKPDVERGGYLSKLFGSVREKPSSIKWPQLEPRELKPAKAGEPSFSFRPQTPLDASSSSTVADADSDDLPVSSVIVDDGHEVQVDRSLSRDHRILSSSKSYEELSSEARLEHWLEGTSNPNAD >itb11g07740.t4 pep chromosome:ASM357664v1:11:4844064:4846434:-1 gene:itb11g07740 transcript:itb11g07740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDDEPLSFKKMHEFSTTDGFVEIADNLADMVKFVANEPSVGLFYVQQHVRNAVPNLVNLKSKVVEKSHETTLHAEDLEDSIAMARSMKDCGFPIAEGMIKDIRHSLTVLSARDGKKGLINMRSSSFQLGRGTSWGRSSSPKPDVERGGYLSKLFGSVREKPSSIKWPQLEPRELKPAKAGEPSFSFRPQTPLDASSSSTVADADSDDLPVSSVIVDDGHEVQVDRSLSRDHRILSSSKSYEELSSEARLEHWLEGTSNPNAD >itb12g03290.t1 pep chromosome:ASM357664v1:12:2154821:2155120:-1 gene:itb12g03290 transcript:itb12g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCSKTCNMDYSLSPKEGSTKWAGSPKDVERKPTRDTYSRGQGRGKNMETRRSNHRINKYEQKIVHRREPEKFWGGKATAHATVFVVVVFSRKLGPEA >itb04g10620.t1 pep chromosome:ASM357664v1:4:10171681:10174036:-1 gene:itb04g10620 transcript:itb04g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGESKHAVSTENSTISSKSKRSSSSRSSSKREKASETAPAEKTGASAPPLAGQQQEEAAKDDPKKPPEAVVMEGEINIVKEAKNQIALEGQKVSETAPAQKADVSAPPLVGQQEQQPPEAVVIEGEINTVKEAKSQIALEGQKASETAPAQKAGASAPALAGQQQQEQEAAKDDPKKPLEAVVIEGEINIVKEAKSQIALEEQKASETAPAQKAGASAPSLAGQQQEQEAAKDDPKKPLEVVVVEGEINIVKEGKSQIALEEQKGDDDHGKGEINVVKEGKGEKVLEGEKGGDDHGKQVEKALEVKENDDDQGKENKNGQPEAEEDKENKNNAEAVKVAVEDVKEEETVKEQEESEPVKDENEKEINKTQEVVKPAEKSSVEDNKTDAQKEDDTKTNEEGKKIEEKAVPAATEAKAEVEAKPEPEDKTPTPPQEDKKPETLVTEERANEKESL >itb14g19220.t1 pep chromosome:ASM357664v1:14:21945491:21948675:-1 gene:itb14g19220 transcript:itb14g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQLPGDRKNDDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTARMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >itb02g07510.t1 pep chromosome:ASM357664v1:2:4652858:4655445:-1 gene:itb02g07510 transcript:itb02g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIRAASGGVSWGLVVPPGVAPTAAGGGADKDEQWRSFDNSVNAVSFGFVATAILISMFLVMAIFERLLRPRSSTAGAGAGNPSNLEAHIRVQRKLDYPSPEMTVYARGVSVLMPGEEVPTFIAHPVPPPCNPAGTSQPLPTPSISASTQTQPEAIIQESGESSRAAAPR >itb10g15700.t2 pep chromosome:ASM357664v1:10:21917342:21925124:1 gene:itb10g15700 transcript:itb10g15700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMCNAMPLKHGLSLWCPQSSSLHRTPQFPSRTFRRSFVVAASSSFANENREYVIVGGGNSAGYAARTFVEHGQANGKLCIVSKEAYAPYERPALTKGYLFPADKKPARLPGFHTCVGSGGERQTPDWYKEQGIEMVYEDLVTGIDIEKQTLTTNSGKLLKFGTLIIATGCTASRFPEKIGGGLPGVHYIRDVADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTVIFPEEHLLPRLFTSSLAHKYEHLYTENGVKFVKGASIKHLESGPDGRVAGVKLGDGSVIEADMVVVGIGAKPAVSPFEIVGLNNTVGGIQVDGQFRTSIPGIFAIGDVAAFPLKIYNRTARVEHVDHARKSAQHCVKSLLTAHTHTYDYLPYFYSRVFEYEGSQRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARNQPFIDIAKLQSASSVEEALEIAQASLGAKATV >itb10g15700.t4 pep chromosome:ASM357664v1:10:21917342:21921804:1 gene:itb10g15700 transcript:itb10g15700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMCNAMPLKHGLSLWCPQSSSLHRTPQFPSRTFRRSFVVAASSSFANENREYVIVGGGNSAGYAARTFVEHGQANGKLCIVSKEAYAPYERPALTKGYLFPADKKPARLPGFHTCVGSGGERQTPDWYKEQGIEMVYEDLVTGIDIEKQTLTTNSGKLLKFGTLIIATGCTASRFPEKIGGGLPGVHYIRDVADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTVIFPEEHLLPRLFTSSLAHKYEHLYTENGVKFVKGASIKHLESGPDGRVAGVKLGDGSVIEADMVVVGIGAKPAVSPFEIVGLNNTVGGIQVDGQFRTSIPGIFAIGDVAAFPLKIYNRTARVEHVDHARKSAQHCVKSLLTAHTHTYDYLPYFYSRVFEYEGSQRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARNQPFIDIAKLQSASSVEEALEIAQASLGAKATV >itb10g15700.t1 pep chromosome:ASM357664v1:10:21917154:21925290:1 gene:itb10g15700 transcript:itb10g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRRLMAAMCNAMPLKHGLSLWCPQSSSLHRTPQFPSRTFRRSFVVAASSSFANENREYVIVGGGNSAGYAARTFVEHGQANGKLCIVSKEAYAPYERPALTKGYLFPADKKPARLPGFHTCVGSGGERQTPDWYKEQGIEMVYEDLVTGIDIEKQTLTTNSGKLLKFGTLIIATGCTASRFPEKIGGGLPGVHYIRDVADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTVIFPEEHLLPRLFTSSLAHKYEHLYTENGVKFVKGASIKHLESGPDGRVAGVKLGDGSVIEADMVVVGIGAKPAVSPFEIVGLNNTVGGIQVDGQFRTSIPGIFAIGDVAAFPLKIYNRTARVEHVDHARKSAQHCVKSLLTAHTHTYDYLPYFYSRVFEYEGSQRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARNQPFIDIAKLQSASSVEEALEIAQASLGAKATV >itb10g15700.t3 pep chromosome:ASM357664v1:10:21917153:21921967:1 gene:itb10g15700 transcript:itb10g15700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRRLMAAMCNAMPLKHGLSLWCPQSSSLHRTPQFPSRTFRRSFVVAASSSFANENREYVIVGGGNSAGYAARTFVEHGQANGKLCIVSKEAYAPYERPALTKGYLFPADKKPARLPGFHTCVGSGGERQTPDWYKEQGIEMVYEDLVTGIDIEKQTLTTNSGKLLKFGTLIIATGCTASRFPEKIGGGLPGVHYIRDVADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTVIFPEEHLLPRLFTSSLAHKYEHLYTENGVKFVKGASIKHLESGPDGRVAGVKLGDGSVIEADMVVVGIGAKPAVSPFEIVGLNNTVGGIQVDGQFRTSIPGIFAIGDVAAFPLKIYNRTARVEHVDHARKSAQHCVKSLLTAHTHTYDYLPYFYSRVFEYEGSQRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARNQPFIDIAKLQSASSVEEALEIAQASLGAKATV >itb12g24170.t2 pep chromosome:ASM357664v1:12:25786117:25789995:1 gene:itb12g24170 transcript:itb12g24170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMISQRRFIKHLISKRRNVPSLSGLQQARLCSSSSYAQPPIATEKSRLYVSFFCTLIHLFLRCRRFSKAKAALSTMRSCNLVPDLRSWNSLLLHFNVSGAVDQVMLLYFDMLSCGVVPNVYTRNIVIHSLCKIGKTEQAIELVRNNESDTVSYNTVIWGFCRKGLVQAGFGLVCEMVKKGVAVDSFTCNILVKGFCDMSLLPKARWVMEMLCHNGEAISTDIVGFNTIIHGYFKACEVSDGLEITESMSQVGVLPDIVTYNILINGFCEMGDFDTAKSLMDEHLESCKNVDVCYNLSLLHDRYGREYDNGQTTQNIGRMLVLKPNLITYTTLISKYCKQSEIEKALCTYEEMTKNGFLPDLVTYNSLLHGLCKCGRILEAKQLFEEMKSVGMDPNHVTYTILIDSLLKHGDACAASALQCQMVVCGISFDNVILTSLMDGLFKDGRTRDAENMYRTLLKFKMAANHITYTALVDGRSKSGDMKSVEFLLQEMEQKNVVPNVLTFSSVINGYVKMGSLDAAIGFMKKMVYSNVMPNVYTYGILIDGYFKAGKQDISLALYEEMKLSGLLENNFILDAFINNFKRQGKMEEAEALLGNMVSAGVKPDHVNYTSLMDGLFKSGKHSAALELAQEMKDNGLGFDTVAYNVILNGLLRIGQYEVHSIYSEMRSFGLVPDLLSFNTMINACCKEGKLDSAFSIWNEMKRYGLKPNSVTCYIMLKGLCEVGEVGKVTELLNDMHIMGLQPTQSIYKVLIGSASKNRKAELILEMHERLISMGLKLNQTVCNTLINILCGLGMTAKATAQLKKMRETGFLADTITYNSLIHGYCKSSFINKALETYSKMLVEGVSPNVTTYNNLFRGLTAAGRFQEVSELLNEMKLRGLVPNANTYDILVSGYGKLGNKKESIMLYCEMITKGFVPRVSTYNVLISDFAKVGKMKQAQELMNEMEGRGVKPNASTYDILISGWCKLSKQAQHDRSLRASCQTETKRLLNEMNGKDVPKGDEIHPTGGFLVSVIHERDDAILNYAKRRSFMEDTL >itb12g24170.t1 pep chromosome:ASM357664v1:12:25786117:25789995:1 gene:itb12g24170 transcript:itb12g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSQDCNKQGSALHPRMRNLRSQRKRAVSTFHSSAPSSTSSSAVVGSRRRRPHYPRCGVAIWSPTSVPGTASCFISTFQGLLIRKGLVQAGFGLVCEMVKKGVAVDSFTCNILVKGFCDMSLLPKARWVMEMLCHNGEAISTDIVGFNTIIHGYFKACEVSDGLEITESMSQVGVLPDIVTYNILINGFCEMGDFDTAKSLMDEHLESCKNVDVCYNLSLLHDRYGREYDNGQTTQNIGRMLVLKPNLITYTTLISKYCKQSEIEKALCTYEEMTKNGFLPDLVTYNSLLHGLCKCGRILEAKQLFEEMKSVGMDPNHVTYTILIDSLLKHGDACAASALQCQMVVCGISFDNVILTSLMDGLFKDGRTRDAENMYRTLLKFKMAANHITYTALVDGRSKSGDMKSVEFLLQEMEQKNVVPNVLTFSSVINGYVKMGSLDAAIGFMKKMVYSNVMPNVYTYGILIDGYFKAGKQDISLALYEEMKLSGLLENNFILDAFINNFKRQGKMEEAEALLGNMVSAGVKPDHVNYTSLMDGLFKSGKHSAALELAQEMKDNGLGFDTVAYNVILNGLLRIGQYEVHSIYSEMRSFGLVPDLLSFNTMINACCKEGKLDSAFSIWNEMKRYGLKPNSVTCYIMLKGLCEVGEVGKVTELLNDMHIMGLQPTQSIYKVLIGSASKNRKAELILEMHERLISMGLKLNQTVCNTLINILCGLGMTAKATAQLKKMRETGFLADTITYNSLIHGYCKSSFINKALETYSKMLVEGVSPNVTTYNNLFRGLTAAGRFQEVSELLNEMKLRGLVPNANTYDILVSGYGKLGNKKESIMLYCEMITKGFVPRVSTYNVLISDFAKVGKMKQAQELMNEMEGRGVKPNASTYDILISGWCKLSKQAQHDRSLRASCQTETKRLLNEMNGKDVPKGDEIHPTGGFLVSVIHERDDAILNYAKRRSFMEDTL >itb12g20040.t1 pep chromosome:ASM357664v1:12:22452961:22454169:-1 gene:itb12g20040 transcript:itb12g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLTFFLLLYAKFCHRASILNATLTIPEALLGSWRRSSGIDKTVVESLPFFRFSSLQGSRDGLECAVCLSRFEDIEILRLLPKCKHAFHIHCIDQWLEKHSTCPLCRHKVCAEDLSLMNFSSSLRFLWSSQSDLREESSLGLYIQREESFKFGSRKIKKDEKLLPIQIQHQNTSDNDDQSLQKPLHHLNHRIIVSDVVLKNRWSSVSSSDLMALTSELIRDAASHRFSSSSLANPEFSSSFGSKQPEDEIQTMSIKEEIERKRLFEIKVNKHNQNPSFPIPTTSAEAIMASESATKSLTQNEKRSMSEIVVHPRFRNGNLQDYCDVVSDERRRKLWLPIARRTVQWFAKRERRSADESQIPIKQHNP >itb01g09730.t1 pep chromosome:ASM357664v1:1:7948798:7952755:1 gene:itb01g09730 transcript:itb01g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIFFGTLMECNKDEALKAKELAEKKMQNNDFEGAQKIAAKAQRLYPDLENINQLLAVCNVHCSAKNMLGLDKDWYGILQVDRSADEVTIKKQYRKLALVLHPDKNKFPGAEAAFKIIGEANVVLSDQTKRSIYDSKCRASVRSTSISMRQPPHQVNPPVRSNFFSNGFTSQFSGQNHYQKVQSTSSVRETSFWTTCPFCSVRFQYMREIVNRAVCCQNCLKSFIAYDLGYQGVPTGVGLRQPSQPQQRVVPNQGTTKMAANTAGFPSSHKEFQHGSGVKWFGHKARTQRGNTSKVFEDLKAKEIHGNGVNSVAGGLRGAGTRKVNEWPQDCRNFKNKSRKRSRKQASESSESFDTSSGNESEDFNNEKGSNPAGGQGHGPNGNFVRRSSRRRQNVSYNEAEEEDDQINHPKIVPDSKPEEKGVPSEQNLHEGNINAPNVNVDGASLTVNGAKNIEVIDCESDSEINHNSAPTEFDYPDQEFHDFDKVKEEKCFAVGQLWACYDAIDGMPRYYAHIKQVVSPEFSLKFNWLEVDPDSDFDIYWTKAGLPVGCGKFKRGSSDSTDNLTSFSHQMLVAKPAKGRKGSYVIYPSKGEIWALFRGWDINWISEPNKHQSFKYEIVEVLDYIVDIGFKVGYLNKLDGFVSLFQRTSQGDADSSSFLIKRNELHRFSHKIPSFRLTGTEREGVPKGSFELDLTSIPQDPNDLWYPLKDVIRNVEPEVKSPGRNGKPRTPKKVGTPNKSTDCKEVSDGEMAKHRKSPRAARKR >itb15g02330.t1 pep chromosome:ASM357664v1:15:1407641:1408616:-1 gene:itb15g02330 transcript:itb15g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRGRPLLAEQDDYSCGRRRRRRERVAEVVGGTTAECAAVWCCIPCVVVEILVQAVYKVPSELCRKALRKRRRRLAKNGGFPVWDDSLMRMHRHTVDDAAVEPPDEDAVELEREMWNKFSGAGFWRSPSQRTDLSQL >itb06g15730.t1 pep chromosome:ASM357664v1:6:20010847:20020504:-1 gene:itb06g15730 transcript:itb06g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVTPSMKVRSSLEEMLDALRHRPGNEDPNHSLPELPTRPRAKARTRLPSVKRLLPKKFNDPDVEVESLLNSDSAKEEVKSFRGNSFGATKEMEPSESPYLISAQGKDSTRTSEQRDDEAKLAGSSQSLHPRFHESEWNDHIGYLIKKKLRIWCRLHNGQWESGQIQSTSGEKSAVLLSDESVVAVPTADLLPANPDILEGVDDLIQLSYLNEPSVLNNLQSRYSREAIYTKAGPVLIAVNPFKDVQVYGNEFVTAYRKKLMDSPHVYAIADTAYNEMMEGGKNQSIIISGESGSGKTETAKIAMEYLAALGGGSNGIEYEVLHTSCILEAFGNAKTSRNDNSSRFGKLTEIHFSATGKICGAKLQTYKLKLKDASEFNYLNQSDCLVISGVDDANEFPMLMEALNSVKICERDQMHAFELIAAVLWLGNISFEVIDSENHVEPVANEAVTNAASLIGCSPHDLMLALSTRRIQAGKDEVSKKLTIQQAIDTRDALAKFVYASLFDWVVDKINTSLAMGKQHTGRSINILDIYGFESFKNNGFEQFCINYANERLQQHFNRHLFKLEQEEYELDGIDWRKVEFEDNQACLDLIEQAVTCLTASLQWKKEIAIHLAKKPIGLIALLDEESNFPKATDLTFTNKLKEHLKTNQCLKGERGGAFSIRHYAGEVLYSASGFLEKNRDLLHSDIVRLLSSCSSQLLQLFISSVLNQSQKSSPTTKLAVSDVRKQSVAKNFKCQLFQLMEQLENTTPHFIRCIKSNTKKIPGVFEKDLVLQQLQCCGILEVVRISRAGYPTRITHQDFTGRYGFLLSENNVSRDPLSASVAILQKFNIHPEMYQVGYTKLYLRAGQLAALENMRKQVLQGTLEVQKCFRAHRVRRCFHELKGSVMALQSFVRGEIGRKRYDALIKSKEEVERKKLDEELMAAVHIQSAMRAFLSRRHFISLQNLKKSILKEQNASRKISGVKDTPSEVPPSILEELEKRVLKAETTIGEKEKENAASVLEELEKRVIKAETTIGEKEKENAALKQQVKEFEMRLSEYEAKMNSMEIWQKQMATLQAKLALAKCLSVGSTLGPSSTHSFNSTTTSTPMGTSSPAGSASMKSSNYDSDAESIRDCGLIAVSHIVNEFELKKQKFEDDAANIVQLKARQPHSSSPEAELKRLKAQFTAWKQDYKVRLRDARANLHKLDHSKDRRQKTWWGKDQLVTALSNPSRILGMKWYR >itb14g17670.t1 pep chromosome:ASM357664v1:14:20868640:20871284:-1 gene:itb14g17670 transcript:itb14g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPFFYLVVLISLPGPAIGYGAASTIAVSYAANSAVVCGISAGEVRQGIRCYKNGQIFRVEPYISYESISGGLGFFCGLNSGGSVLLCWETNEFLAKRLYYSREKRLRGLTVGNSHVCAIEEPTGLASCWRFKKSEVEQKFETITSGGGFSCGILKSNSSVLCWGTSEIAAELQRQFGNAKMERLAAGEFHACGMTKNGSLVCKGRNGGGGELDVPSHYPFAFSDLALGANHSCGILRNNGLVLCWGGGSERLQFLDSAVKNVSFESIVSGLDFACGLTTKNLSVICWGPGWPKGNVVPLPTIIPGPCIQTTCSCGLYPNSDSICAGSGNICKSCDVELPFPSLLPHSGPKPQGLHPVSSSSKAQIRRFWGFVIFGSLGAFAGLCALAYCTWRGVSSTMESSRQPGIVENASCLRSSSSIQVNESSSLKHAEIAEKMRFSVSELAAATSNFAMENKIGSGSFGSVYRGRLGNGCEVAIKRGESVSRKKKKKFQEKESAFRSEIMLLSRLHHRHLVELVGFCEEDEERLLVYEFMSNGSLYDHLHAKENPGKGSERLNSWKTRIKVALDAARGIEYLHNYAVPPIIHRDIKSSNILLDENMTAKVSDFGLSLISADSEDSMSGKAVGTVGYIDPEYYVLNILTAKSDVYGFGVVLLELLSGKKAVFRHEELGPTGIVEHIRPMISAGEIASILDERVGLPKENEVESVELLAYTAMHCVSLEGRDRPSISDIVANLEKAYLVMH >itb14g17670.t2 pep chromosome:ASM357664v1:14:20868831:20871068:-1 gene:itb14g17670 transcript:itb14g17670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPFFYLVVLISLPGPAIGYGAASTIAVSYAANSAVVCGISAGEVRQGIRCYKNGQIFRVEPYISYESISGGLGFFCGLNSGGSVLLCWETNEFLAKRLYYSREKRLRGLTVGNSHVCAIEEPTGLASCWRFKKSEVEQKFETITSGGGFSCGILKSNSSVLCWGTSEIAAELQRQFGNAKMERLAAGEFHACGMTKNGSLVCKGRNGGGGELDVPSHYPFAFSDLALGANHSCGILRNNGLVLCWGGGSERLQFLDSAVKNVSFESIVSGLDFACGLTTKNLSVICWGPGWPKGNVVPLPTIIPGPCIQTTCSCGLYPNSDSICAGSGNICKSCDVELPFPSLLPHSGPKPQGLHPVSSSSKAQIRRFWGFVIFGSLGAFAGLCALAYCTWRGVSSTMESSRQPGIVENASCLRSSSSIQVNESSSLKHAEIAEKMRFSVSELAAATSNFAMENKIGSGSFGSVYRGRLGNGCEVAIKRGESVSRKKKKKFQEKESAFRSEIMLLSRLHHRHLVELVGFCEEDEERLLVYEFMSNGSLYDHLHAKENPGKGSERLNSWKTRIKVALDAARGIEYLHNYAVPPIIHRDIKSSNILLDENMTAKVSDFGLSLISADSEDSMSGKAVGTVGYIDPEYYVLNILTAKSDVYGFGVVLLELLSGKKAVFRHEELGPTGIVEHIRPMISAGEIASILDERVGLPKENEVESVELLAYTAMHCVSLEGRDRPSISDIVANLEKAYLVMH >itb13g26490.t1 pep chromosome:ASM357664v1:13:31728279:31730933:-1 gene:itb13g26490 transcript:itb13g26490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFLSLLLPAKFVLDPIEFGRSSATSSESITKSAGRPIHRPSHLVIPSGLRYTTLVRLSSSLDHPTAPRAGTCLLPTSSSVPTDYTLPRSASRLAHLRSELSASYPIGYTLRAPYSFASSGSPHPADWHGRNVGAVVDGGRSEIYEREGLSSCGGEEDAMVEGRRSLFRCGRRMSHCGGGKRSSYLEERRGAVAEDGGEWRRGKLLCGEGGWESRKARENKYEKCRRRLHLTSGGGDHREENREAGCAEWLERSFSVQGVAF >itb11g06450.t1 pep chromosome:ASM357664v1:11:3859880:3863129:-1 gene:itb11g06450 transcript:itb11g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYGLFHAHGLDEIGQNTEAVRNSVKDLNRFGKVVKLVAFNPFESALDALNQCNAVSEGQMTDELRNFLELTLPKIKDSKKAKFSLGLAEPKLGSHIFEVTKIPCQSNEFVLELLRGVRLHFEKFIENLKAGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNTFSMRVREWYSWHFPELVKIINDNYLYAKLAKFIEDKSQLSEDSLPALTETVGDEDKAKEIIEAAKASMGHDLSPIDLINVKQFAQRVMDLSEYRKKLYDYLVAKMHDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRLDCFLDNNTTSFGEKLREQVEERLDFYDKGVAPRKNIDVMKAAIENFQSKDESMDVDTAPSQASTKKSKKKKSKAVEDGEPMEEDELVNTNGDASEEPKKKKRKERKAEEEQNNTGAENAHVLNGTGTEDGKTKKKKKKSKDEGEEVQPATEGKKKKKKSKSENED >itb08g12790.t1 pep chromosome:ASM357664v1:8:13251692:13252471:-1 gene:itb08g12790 transcript:itb08g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIAQINHLNPSIPRRIAQINHLNPSIPASLETLSHQFIQRCLVSGTAKGKGKLKAGQILKRSKISTKKGSETIQKEAPKRRSEFDEMLEECLTSTSPRRFLKPKEMEREAEREKMGLTSKAREDEKKKLKKMGKEFDSPWMIGTPGLDLISLGLVDADKIPKYEMTVEDGRRLAKEYSKVLMRKHRARQAAESSLLRLKKEAIEALPDNLKAAALVPDLSPFPVNRFMATLTPPIEGYIEKVQEAARRSSGKEKLR >itb12g04190.t1 pep chromosome:ASM357664v1:12:2724065:2740945:-1 gene:itb12g04190 transcript:itb12g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEDLPMEIDPPLRENLATAEDWRRALNKVVPAVVVIRTTACRAFDTESASAGYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPIYRDPVHDFGFFRYDPGAIQFLSYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQEGRDSANKWEAVTIPRGTLQMTFVHKGFDETRRLGLRSETEQLVRNSSPLGETGMLVVDSVVPGGPAYNHLEPGDVLVCINGKVITQFLKMETLLDESVGKIIELQIERGGVSLTIALMVQDLHSITPDFFLEVSGAVIHPLSYQQARNFRFHCGLVYVAEPGYMLFRAGVPRHSIIKKFAGEDISKLEDLISVLSKLSRGSRVPLEYISYADRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWIAKPALQPDSVLLSDISPVKQDPLSHSVCSAAVEVSPMDHVPQHVSQESMDGVTHMETSCEVIGEGPRSQDDLDSGTKKRKVEENSSADGTIIADCPLHEHREERLEESGTLGDAVVRDYQGAATEAANASVAERVIEPTLVMFEVHVPSSCMLDGVHSQHFFGTGVIVYHSQSMGLVAVDKNTVAVSVSDVMLSFAAFPIEIPGEVVFLDPVHNFALVAYDPSALGPVGYSAVHAAELLPEPSLRRGDSVSLVGLSRSLQATSRKSIVTNPCAALNIGSADCPRYRAINMEVIELDTDFGTTFSGVLTDERGRVQAIWGSFSTQLKYGCSSSEDHQFVRGLPIYAISNVLDKIISGGNGPPLLINGVKRPMPRVRILEVELYPTLLSKARNFGLSDAWIQALVKKDPIRRQVLRVKSCFAGSKAVNLLEQGDMVLAINKEPVTCFRDIEDACQALDRCENSDGKLCMTIFRQGHEIELVVGTDVRDGNGTTHAINWCGCIVQDPHPAVRALGFLPDEGHGVYVTRWCHGSPAHRYGLYALQWIVEINGKPTPNLEAFLEVTKGLEHEEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPETAMWRRKIIKALDPAVI >itb12g04190.t2 pep chromosome:ASM357664v1:12:2726355:2740945:-1 gene:itb12g04190 transcript:itb12g04190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEDLPMEIDPPLRENLATAEDWRRALNKVVPAVVVIRTTACRAFDTESASAGYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPIYRDPVHDFGFFRYDPGAIQFLSYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQEGRDSANKWEAVTIPRGTLQMTFVHKGFDETRRLGLRSETEQLVRNSSPLGETGMLVVDSVVPGGPAYNHLEPGDVLVCINGKVITQFLKMETLLDESVGKIIELQIERGGVSLTIALMVQDLHSITPDFFLEVSGAVIHPLSYQQARNFRFHCGLVYVAEPGYMLFRAGVPRHSIIKKFAGEDISKLEDLISVLSKLSRGSRVPLEYISYADRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWIAKPALQPDSVLLSDISPVKQDPLSHSVCSAAVEVSPMDHVPQHVSQESMDGVTHMETSCEVIGEGPRSQDDLDSGTKKRKVEENSSADGTIIADCPLHEHREERLEESGTLGDAVVRDYQGAATEAANASVAERVIEPTLVMFEVHVPSSCMLDGVHSQHFFGTGVIVYHSQSMGLVAVDKNTVAVSVSDVMLSFAAFPIEIPGEVVFLDPVHNFALVAYDPSALGPVGYSAVHAAELLPEPSLRRGDSVSLVGLSRSLQATSRKSIVTNPCAALNIGSADCPRYRAINMEVIELDTDFGTTFSGVLTDERGRVQAIWGSFSTQLKYGCSSSEDHQFVRGLPIYAISNVLDKIISGGNGPPLLINGVKRPMPRVRILEVELYPTLLSKARNFGLSDAWIQVSCFWQGLFLVSLLVVAFLLSCLSDVFFTLYNDVNRCVQADIFIHP >itb10g00270.t3 pep chromosome:ASM357664v1:10:179236:184308:1 gene:itb10g00270 transcript:itb10g00270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQWLLLCHGLVTLLVVVSFLCGQWPIFDGTFIQRIHIFLTFGAYDYLRRFVAAVCGRKGTDALHSVEYYCCDRPNPTLQLIYVAILGVTYYVIVQSSFRYIPGYYIGEVHRYTSLLAVAVGILLFLLTSFSDPGTVNAENVSQYLSAYPYDNIIFSEKECSTCKILKPARSKHCSVCDRCVARFDHHCGWMNNCIGEKNTRYFIAFLFWHFLLCVYGTVAIALVLAGQLKELQVIQILTGKVDYFQACYTCWLSTIGKE >itb10g00270.t2 pep chromosome:ASM357664v1:10:179236:184137:1 gene:itb10g00270 transcript:itb10g00270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQWLLLCHGLVTLLVVVSFLCGQWPIFDGTFIQRIHIFLTFGAYDYLRRFVAAVCGRKGTDALHSVEYYCCDRPNPTLQLIYVAILGVTYYVIVQSSFRYIPGYYIGEVHRYTSLLAVAVGILLFLLTSFSDPGTVNAENVSQYLSAYPYDNIIFSEKECSTCKILKPARSKHCSVCDRCVARFDHHCGWMNNCIGEKNTRYFIAFLFWHFLLCVYGTVAIALVLAGQLKELQVIQILTVYYGIENKFRSLAPYVIQYLC >itb10g00270.t1 pep chromosome:ASM357664v1:10:179148:184308:1 gene:itb10g00270 transcript:itb10g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQWLLLCHGLVTLLVVVSFLCGQWPIFDGTFIQRIHIFLTFGAYDYLRRFVAAVCGRKGTDALHSVEYYCCDRPNPTLQLIYVAILGVTYYVIVQSSFRYIPGYYIGEVHRYTSLLAVAVGILLFLLTSFSDPGTVNAENVSQYLSAYPYDNIIFSEKECSTCKILKPARSKHCSVCDRCVARFDHHCGWMNNCIGEKNTRYFIAFLFWHFLLCVYGTVAIALVLAGQLKELQVIQILTVYYGIENKFRSLAPYVIQWLLGSYNTQILIMVFLAIVSMLMAGFLAYHSKLCLTNTTTNETFKWHDYLSWQKKVNEAKASAVALKASLDEVSHERKHHVSKWKAFCCRSRLEEVKVVKTNIYDKGLFQNFLEIAIPLSTRRLFYKSKSKSG >itb05g22150.t1 pep chromosome:ASM357664v1:5:27651795:27657428:1 gene:itb05g22150 transcript:itb05g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEAVHFDKITARLKKLSYGLSSDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVKDMYYHISERSGLKAPLIADDIYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVSVGIHKNDIESAIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGEEFEKLYTEYERKGKAKKVVQAQNLWFEILKSQIETGTPYMLYKDSCNKKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESQPSKLVGSRGSTNRYFDFDKLAEVTAIVTTNLNKIIDVNFYPVETAKNSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQDLNKEIFETIYYHALKASSDLAAKEGPYETYEGSPISKGTLQPDMWGVTPSNQWDWVALRAMIEKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNQIIYEDGSVQKIPEIPDDLKLIYKTVWEIKQRTLVDMAADRGCYIDQSQSLNIHMDQPNFGKLTSMHFYAWSRGLKTGMYYLRSRAAADAIKFTVDTSLLKEKPKTAVDDETKMAQMVCSLTNREECMACGS >itb10g24080.t2 pep chromosome:ASM357664v1:10:28090550:28091632:-1 gene:itb10g24080 transcript:itb10g24080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDGDHGEWLSLGLGMNAPSRFKEGADLQAGPIPTKVFSCNFCMRKFYSSQALGGHQNAHKRERGAVRQYHSQRMMSTVAWPLDNNNMYRSLGVMPHSLVHKPVRDSCRTAARFGQSDTEFCMPWQTNNPMMEEAGAGLKWPGSFRLNPQQQPEEQPANPSKLDLNLKL >itb10g24080.t1 pep chromosome:ASM357664v1:10:28090653:28091673:-1 gene:itb10g24080 transcript:itb10g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDGDHGEWLSLGLGMNAPSRFKEGADLQAGPIPTKVFSCNFCMRKFYSSQALGGHQNAHKRERGAVRQYHSQRMMSTVAWPLDNNNMYRSLGVMPHSLVHKPVRDSCRTAARFGQSDTEFCMPWQTNNPMMEEAGAGLKWPGSFRLNPQQQPEEQPANPSKLDLNLKL >itb09g30950.t1 pep chromosome:ASM357664v1:9:31485001:31486756:1 gene:itb09g30950 transcript:itb09g30950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLCFSLVFSFIYVTVASAGSGSYNVQSFGAKPDGKTDSTKAFVGAWAAACASTAPATIYVPAGRFLVGSLSFGGQTCKSNAISFQIDGTLVAPSDYNNVVGKSGNWIRFEKVTGVSISGGTLDGQGAALWACKNSAKNCPQGATTLAFYNSNNVVISGLSSVNSQMFHILIDGCHNAKLQGVKISAPGNSPNTDGIHLQSSSAVTILNSHIGTGDDCISIGPGNSNLWIENIACGPGHGISIGSLGWEMEEAGVQNVTVKTATFTGTQNGLRIKTWARPSNGFVRNVVYQHAVMSGVQNPIIIDQNYCPDNKNCPQQSSGVKISGVTYEDVHGTSATEVAVKFECSKTEPCSKITLDHVNLTFKDEKAEASCANAGGTTSGLVLPLIGCF >itb09g02820.t1 pep chromosome:ASM357664v1:9:1587041:1587966:1 gene:itb09g02820 transcript:itb09g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKFSLVLLLALTLSFQAIVFADIICENLPENVCAFSVSSSAKRCVLENLIEDDGKVEYQCKTSGVVVGNNVAEYIETDLCVEACGVDRESIGMSSDSLLDPTFMTKLCSPACYHNCPNIVDLYFNLALGEGVFLPNLCQKQSGSLQLQSDGATTAATPRRGMAEIFGNAVAPVADTATAATPRRGMAEIFGNAVAPVADTATQQSYPRRAMIESSDRAEAPAPISSDDLLY >itb04g13030.t1 pep chromosome:ASM357664v1:4:13050396:13051593:1 gene:itb04g13030 transcript:itb04g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCISNNAESRSPSPPPRHGRTLFHEFEEEEEEGVCYSNGFGWFKNEEREDPWETMKAKKKKKKQVFLEGYVETSDEDELGRTKSLTDDDLEELKGCLDLGFGFNYEEIPELRNTLPALELCYSMTQKYLDDHQKSPESMSDTASTSSASNPIANWKISSPGDDPEDVKARLKYWAQAVACTVRLCN >itb04g01990.t2 pep chromosome:ASM357664v1:4:1231178:1232743:1 gene:itb04g01990 transcript:itb04g01990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCLLEGRAATPDEIRAANPSSVLSSWRSVWKDRNEDTAYLTAWKRIQDKLSAHVDTSAGNEYLYFKNNSNQCVSHVDQWHDIVTHFHCDAELKHLGLKETLERIKQMWTVGAKFYGIPESYIRVCVAACPVCLDESSGCAPRSKRRRFEYTESIDVPAKEVPTRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYTCHRAGEPASSKKSRILKREPYASKRCGCGFRIRAIVPISNYNEKDKTFVYQEEGTAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGFLMDQETIYGVGEEAENEDFGLLGKDEGDMQHSILQQVQEVRNEIELLEGEIRKIPHELLCSASRELFEVVNKLKNVREYGSKSGGLLSDKQNSGDVLMGENDLVDWGGDHHQRIYEDGKDAEFIEEDEDSFGRTLGEVASWDQIRTGSRSEKDLLGETCKSEKPLKCIEFDEKSILDCGDSKLTKPLRHDEGIDTDVGFVVENFYENPKWFDSPCGLDSGADCGDGEFRHGGIV >itb04g01990.t1 pep chromosome:ASM357664v1:4:1230360:1233078:1 gene:itb04g01990 transcript:itb04g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKVEDDMFHHHLSPHHHILDQSQPPLLDPRAEEEEPFAFHDLVLFRDDDEDDASHTSSEAELPIHQNQHQSPSQPPPLLQIHNQSNLDTSVFRSPNPSPNLGAENADANRAEKRQRCAPAYISPEPHISSQFYTFNKESHSLMIRCLLEGRAATPDEIRAANPSSVLSSWRSVWKDRNEDTAYLTAWKRIQDKLSAHVDTSAGNEYLYFKNNSNQCVSHVDQWHDIVTHFHCDAELKHLGLKETLERIKQMWTVGAKFYGIPESYIRVCVAACPVCLDESSGCAPRSKRRRFEYTESIDVPAKEVPTRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYTCHRAGEPASSKKSRILKREPYASKRCGCGFRIRAIVPISNYNEKDKTFVYQEEGTAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGFLMDQETIYGVGEEAENEDFGLLGKDEGDMQHSILQQVQEVRNEIELLEGEIRKIPHELLCSASRELFEVVNKLKNVREYGSKSGGLLSDKQNSGDVLMGENDLVDWGGDHHQRIYEDGKDAEFIEEDEDSFGRTLGEVASWDQIRTGSRSEKDLLGETCKSEKPLKCIEFDEKSILDCGDSKLTKPLRHDEGIDTDVGFVVENFYENPKWFDSPCGLDSGADCGDGEFRHGGIV >itb07g10780.t1 pep chromosome:ASM357664v1:7:11961308:11964620:1 gene:itb07g10780 transcript:itb07g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLILCRGDLVSSDIAEIISPEIIFDVLTFNPFTREVKVLPFIKVPEKPPSKEGLQIQFGFGLSNNMTWRIITFLSFEDSNLERGGSHHDIVMVCSQVGDVWSWRQIDAALNSLHLNLLFDTRDFYLKGRYYWQSFKGHLVWFDMDDEVFGKIELPSNVEVLDYTVMNESIALFTFPQPCTENERTAADHRRDAVADRRHAATDQRSLPSRYCTVGGSYQIRPKEISTSADAAPLPSFAVKDRRRRITPAAEPYRRRRAIPTPSLSKPVAPPSPADDAASSPRTVEPRHDRRHCAPPAAAVAVDLRAPSPPTSSLRPQITLV >itb12g05340.t1 pep chromosome:ASM357664v1:12:3952343:3955728:1 gene:itb12g05340 transcript:itb12g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDLSRLSSRRHLHLRADKAKLSDLFRVLSNRDIESQDLDFIDGIREQTTTFERRGIIFLSIFFQKYFHCVSKPLSWFGSKFEFWLNLVSHNKSFFTTLFRALQGTLEMPDEKSCSYVSFIGYLDKRVDLGKFKPGDKKYFGAVCAVASKVSYENKAFIGSVVEHRWEMDFLGAYDFWNEYQQTLSTQGFMFHDKTTDPDTIFVVFRGTEPFNAEDWRTDFDLSWYEFASMGRVHCGFMKALGLQKDETWPTEIQENPQRPVAYYFIRRKLREMLKKNSRTKFIVTGHSLGGALAVLFAAVLALHGEESVLERLEAVYTFGQPRVGDEEFKGFMEEQLRVYGVRYYRFVYGHDIVPRLPIDDAAMMFKHFGTCLYYNCLFQGQVVEEEPYKNYFSLGAFVTKRVDALWEIVRGFLLPRIYGAEYKEGWVLKGCRLFGLFIPGFPAHGPQEYINSIRLGEADLFY >itb13g16730.t1 pep chromosome:ASM357664v1:13:23665600:23668125:-1 gene:itb13g16730 transcript:itb13g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDMSQADFGRLEIDLAEVEMPGLMASRTEFGPSQPFKGARITGSLHMTIQTAVLIETFTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEAFAKNGALPDPSSTDNAEFQIVLTIIRDGLKGDPQKYTKMKQRLVGVSEETTTGVKRLYQMQDNGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLDDVVSDADIFVTTTGNKDIIMVSHMRKMKDNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRFVFPETKTGVIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYGKKVYILPKHLDEKVAALHLGKLGAKLTKLTPDQAAYISIPVEGPYKPAHYRY >itb09g25210.t1 pep chromosome:ASM357664v1:9:25135339:25135807:-1 gene:itb09g25210 transcript:itb09g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSTKQIEAEGEKKTIKSEGEDWRIERETPVKPTSPHATLTLDSTPPLLRPSAPPPSPAASSTDDHQDSVGSREREREKAQSKTETHPCDRLLASSPPTVR >itb13g22470.t1 pep chromosome:ASM357664v1:13:28724524:28725339:-1 gene:itb13g22470 transcript:itb13g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSWWRAAPSAAVPTASKALYLPPPPSKATNWASFRGKEYEKLVAKARRGFINSPRDSPRKMNGISVRCQAAAEQKMTHEGSITESLPNGMFRVRLDNEDVILGYISGKIRKNFIRLLPGDRVRVEVSRYDSSRGRIIFRLRGKDPNS >itb10g25540.t1 pep chromosome:ASM357664v1:10:28818979:28820462:-1 gene:itb10g25540 transcript:itb10g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTTRHILGDEESHPHNIGGSKRIAAVSSPFPMGDHEWTGDDQKTPPPPVTLAQKLGLMDFFSFNVWRASIGELIGTAVLVFMIDTIVISTFESDIKMPNLVMSVLITIVITILLLAVFPVSGGHINPIISFSAALVGIISMSRAVIYTVAQCVGATLGALALKAVVSSSIENTFSLGGCTLTVIAPGPNGPITVGLEMAQAFWLEIFCSFVFLFASIWMAYDHRQAERLGLVKVLSIVGMVLGLLVFISTTVTATKGYAGAGMNPARCFGAAVVRGGHLWDGHWIFWVGPFIACVAFYLYTKIIPSQHFHATGYPHDFFSTLKLACGSQL >itb09g29480.t2 pep chromosome:ASM357664v1:9:30211452:30214486:-1 gene:itb09g29480 transcript:itb09g29480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMAKALSSGTMDRISDRLSQLDNLYFPRAVQSSAATPSLRKSLLLDLLSRDVPLFLERYGSLLTTEELKEFDVLKDDYEINWHLNHLLSVISPTQEELKSRSVKIKNRRRAYLDKLISGGQYFSEDAMREREPYLHHEYVGKFQDPSGRSMARPGERWSETLMRRSEEAMLVEKIRNEQQKRGVAQSDWIGFGRDMEEEEEEEEEEEEEEEEEEEEEEEEEEEDDDDDKDEEKELELEEEEEREKDEKQNPKDTMANGPEIHVNKPEGSNNLPYATAIPAEMESLSVEDMQDRMDQFTYIMQQKFLLGEDNLDYSKIDEDEALDDHWIKEANYDAEEKYFDDI >itb09g29480.t1 pep chromosome:ASM357664v1:9:30211452:30214486:-1 gene:itb09g29480 transcript:itb09g29480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMAKALSSGTMDRISDRLSQLDNLYFPRAVQSSAATPSLRKSLLLDLLSRDVPLFLERYGSLLTTEELKEFDVLKDDYEINWHLNHLLSVISPTQEELKSRSVKIKNRRRAYLDKLISGGQYFSEDAMREREPYLHHEYVGKFQDPSGRSMARPGERWSETLMRRSEEAMLVEKIRNEQQKRGVAQSDWIGFGRDMEEEEEEEEEEEEEEEEEEEEEEEEEEEDDDDDKDEEKELELEEEEEREKDEKQNPKDTMANGPEIHVNKPEGSNNLPYATAIPAEMESLSVEDMQDRMDQFTYIMQQKFLLGEDNLDYSKIDEDEALDDHWIKEANYDAEEKYFDDI >itb04g06760.t2 pep chromosome:ASM357664v1:4:4468781:4472058:-1 gene:itb04g06760 transcript:itb04g06760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSGLNGRRRSNSHRRAHPPAPPPQQPQPEISPNMYVFAAATPYPPQYPNAPPYYQYPGPGGYYPPPPPSSLPMPLPAPYDHHHHNHHRVDPNWASGRYPCGPTVPPPAPYVDHQKAVTIRNDVNLKKETLRIEADEENPGKYIVAFTFDATVAGSMTVNFFAKEGEDCQLSPVKESLLPPVTVKFQQGLAQKFRQPSGTGIDLSMFEEAELSKEGEMDVYPLAIKAEAYPDDQSESVDGNTVLASTNSQITQAVFEKEKGEYHVRVVKQILWVNGMRYELQEIFGIGNSTEGECDDSDNGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVDRLLEIKVNNDTEE >itb04g06760.t1 pep chromosome:ASM357664v1:4:4468781:4472058:-1 gene:itb04g06760 transcript:itb04g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSGLNGRRRSNSHRRAHPPAPPPQQPQPEISPNMYVFAAATPYPPQYPNAPPYYQYPGPGGYYPPPPPSSLPMPLPAPYDHHHHNHHRVDPNWASGRYPCGPTVPPPAPYVDHQKAVTIRNDVNLKKETLRIEADEENPGKYIVAFTFDATVAGSMTVNFFAKEGEDCQLSPVKESLLPPVTVKFQQGLAQKFRQPSGTGIDLSMFEEAELSKEGEMDVYPLAIKAEAYPDDQSESVDGNTVLASTNSQITQAVFEKEKGEYHVRVVKQILWVNGMRYELQEIFGIGNSTEGECDDSDNGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVDRLLEIKVNNDTEE >itb14g00950.t2 pep chromosome:ASM357664v1:14:713621:717393:1 gene:itb14g00950 transcript:itb14g00950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MSRAISFRPFLHHPHPINAPRLLVKMSAVSTISNLGKAVESLAVKPPAHPTYDLKGVIHLALSEDAGDRGDVTCKATIPVDMEVEAHFLAKEDGIIAGIALAEMIFDEVDPTLEVEWSRKDGDKVHKGLQFGKVHGNAHSIIVAERVVLNFMQRMSGIATLTKAMADAANPAYILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISTAGGVSEALKSVDQYLEQNSLQMGVEVPFFFRLLDIETRTLEEVHEVLQFASKTKTSLTRIMLDNMIVPLPNGDIDVSMLKEAVDLIGGRFETEASGNVTLETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >itb14g00950.t1 pep chromosome:ASM357664v1:14:713557:717393:1 gene:itb14g00950 transcript:itb14g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MSRAISFRPFLHHPHPINAPRLLVKMSAVSTISNLGKAVESLAVKPPAHPTYDLKGVIHLALSEDAGDRGDVTCKATIPVDMEVEAHFLAKEDGIIAGIALAEMIFDEVDPTLEVEWSRKDGDKVHKGLQFGKVHGNAHSIIVAERVVLNFMQRMSGIATLTKAMADAANPAYILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISTAGGVSEALKSVDQYLEQNSLQMGVEIETRTLEEVHEVLQFASKTKTSLTRIMLDNMIVPLPNGDIDVSMLKEAVDLIGGRFETEASGNVTLETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >itb09g14420.t1 pep chromosome:ASM357664v1:9:9704216:9706322:1 gene:itb09g14420 transcript:itb09g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISWPSNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASTRVFGVSTDCMQLSFDSRGNSVPTILLMMQARLYAQGGLQAEGIFRINAENGQEEYVREQLNSGVVPDNIDVHCLAGLIKAWFRELPKGVLDSLSPEDVIRAQSEEECVRLVRSLPPTEAALLDWVINLMADVVQMEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFIRTLIERILRDREESSPAPEFVPFNENRQHRTPQPLPNDKELDQTIEAYGFLTLKNVVQQGEGKACDDHLCRISSPCEETKDGAEGRNVITVSRRVQSKLRRSKSAHSRSCKPTKASKMVNESVISSSYIQKSNKE >itb13g12730.t1 pep chromosome:ASM357664v1:13:19038975:19039637:1 gene:itb13g12730 transcript:itb13g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLGLASLSHSQLQNFAQSHHTPAPPPDSASTAMWNPRNTAAKEEEEEDSWEIKAFEEDTSNATWPPRCYPCTFCRREFRSAQALGGHMNVHRRERARLQLPTTTTNAAAGLCLLYSLPNPNAAAAANTNSSPRLLSVSPFAANSFPATPRITSPIHPSVSGDKSNNKNYSFGTRRREPAIVEELDLELRLGCSSSSP >itb05g19640.t1 pep chromosome:ASM357664v1:5:26047307:26051124:1 gene:itb05g19640 transcript:itb05g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLSTFGAPGAMKSDEGNDSLDTFIRQAIGKEPLLSFSRTGDSPVQWIQLLHALDQPDLPGWPLLTPLKVQMHKCEKCSLEFCSPVNHRRHTRLHRRALNFDKESRKYRDLLGAFWDKLLLEEVKEVASLDDVSLKEIPGASLVKSLTSFLHKPGVWALPSVYVKAGSTLSEIIQAKPSRLPISSKELFSILDEASERTFLCAGTAESVQKYIFDGEVAKVGLDLKNLIACTCFLFEQKLVKSWLADKDAEALRCQKLLVEEEEAAQRRQAELLEKKRQKKLRQKEQKARDQTDEGKVDVSITADSLDDPVVVEVSSPPAPSDSNSSTLDDVSSSVEMICFSNIDTEIEAQEISNEHFGPGTVQSVEPLPVSANNQRQISNGHWWQVQKSQRVGRNGFFSSRDHQALKPEPVHKYGTPKDRGPVVNNGKIWTRKVRVENVEGLRPLIQEEAINQTLCDSELIIGSIPVLVKKSIACQLENNLAETQDHCGNRHNTLSKKRDVAETRIRNDITQCSTLRATNKHWRPVSRHEIGRLDKEEGLSAKVDDCTVPSENGLQSCAADNVDNNHSCQLSDGNTHAEGLLISSSDVKAFLAQRWKEAIAADHVTLVLSPDPEPPGCLNAQSDTPEATPPTSNFQAHNTGSGAISSFYAGNPKGKSTTKPEKSIKKKYIPKQKN >itb04g23610.t1 pep chromosome:ASM357664v1:4:28614414:28615457:-1 gene:itb04g23610 transcript:itb04g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFLLLSLLCLSLNNVYLLHASAEVDPKLIASACDNCKGGQMCVTWLQDNENDQELVGIDKSDMRNLAFFSLKKVEKEAVTVSEAVEMKLSNGSEVLPPMTQQGLSDCHDHYIPAVDLIEDTVDSIAFKRYAEAIKFLKASTLDLIACQKSLAKLQTDAATGKPTKADEHATMAINHRDVLASHVTIAQCLLDLAAKDAGAGGAGATAPAAAPPS >itb01g26400.t1 pep chromosome:ASM357664v1:1:31576229:31584128:1 gene:itb01g26400 transcript:itb01g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASQLVFCGIDPMRCTSLPSRTVSVPFLKAASNRRSFPRIRRRNAVVRAIATEPKPSDTKPSPSNTSSSRPVNGFPKPVNGVSTRMQDVSQEIKRVRAQMEENEQVAILMRGLRGQNLKDSQFADNNIKLRLVEVDESSEFLPLVYEPASIAAYWGKRPNAVATRIVQLLSVAGGFLSRIASDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMVELQKLCDKVPSFPDDVAMALLEEELGQPWYNIYSELSPSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLFLRKFPQISVDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMKKDLPQVVIPATYQKYTSRKVLTTQWIDGEKLSQSTASDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYGAIVKDFVKLGFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGENLNGRMAELGIIQTQPNYMLPVLPLAASEGMQPVKTRAALGFLISEKGNFFREFLLDEIVKGIDAVTREQLVQIMALLGIQNASPVFSMVPTLGLIKPAALLPTITEEDKVILNNVQKIADFLTAGSAISSNQGVDVSRAIQELLPVLPGLSAKVLPEVLSRLSSRVFARLLRDTLL >itb14g01580.t2 pep chromosome:ASM357664v1:14:1193267:1194581:1 gene:itb14g01580 transcript:itb14g01580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKKEAAAGMESMAMGSGGGRSKPPLHNFTLPCGLKWGNQKFLRCAKLESDGEIGPVAQRRSSEQLIGKRRSLERRVGSEERVGNFGAGVEDGIAAVRKKLMNDLQAETDKMKNAILRTGLADEEEEEEVPPAPAPPRPAAEPAEQRSPPETTTDATDSARRWNLRARRSGTKHPNGFSAFPGESNPGLKIDVTISAAAGGGGGATVAGSSSGEKRDRAKFSLALSRREIEEDFAAIIHHRPPRRPKKRAKYVQKNLDTLFPGLWLTEITPDLYKVPEDQ >itb14g01580.t1 pep chromosome:ASM357664v1:14:1193267:1195062:1 gene:itb14g01580 transcript:itb14g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKKEAAAGMESMAMGSGGGRSKPPLHNFTLPCGLKWGNQKFLRCAKLESDGEIGPVAQRRSSEQLIGKRRSLERRVGSEERVGNFGAGVEDGIAAVRKKLMNDLQAETDKMKNAILRTGLADEEEEEEVPPAPAPPRPAAEPAEQRSPPETTTDATDSARRWNLRARRSGTKHPNGFSAFPGESNPGLKIDVTISAAAGGGGGATVAGSSSGEKRDRAKFSLALSRREIEEDFAAIIHHRPPRRPKKRAKYVQKNLDTLFPGLWLTEITPDLYKVPEDQ >itb03g09430.t2 pep chromosome:ASM357664v1:3:7269958:7273608:-1 gene:itb03g09430 transcript:itb03g09430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPFGFIIPRHHRNRHLPPISTSFSSTLIRSLSSRASLPLTLQQVKPPPQSKQLSPTSEKLAQKIGKAIRRPGAPSKSRVYSDVNVTRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGIHTKNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLSDFDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHERRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLTKYHLELDPHLTALVGRHSRKPWTKFINSENHHLAVPEAIDFVDKLLRYDHQERPTAKEAMVKFLFSWLVHTKVQNLSSVNILRLHIRNTCCNFDFFFRPIHTSIP >itb03g09430.t1 pep chromosome:ASM357664v1:3:7269958:7273608:-1 gene:itb03g09430 transcript:itb03g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPFGFIIPRHHRNRHLPPISTSFSSTLIRSLSSRASLPLTLQQVKPPPQSKQLSPTSEKLAQKIGKAIRRPGAPSKSRVYSDVNVTRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGIHTKNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLSDFDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHERRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLTKYHLELDPHLTALVGRHSRKPWTKFINSENHHLAVPEAIDFVDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRTQ >itb10g04120.t1 pep chromosome:ASM357664v1:10:3885921:3892628:1 gene:itb10g04120 transcript:itb10g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISKGNTTLRVFPGIPGSDSFDASTDASLFSSSLPVLSHEKLNMSEVGRDLKSIDDTPVSLKKIHPAEESDDLLQEIIRNTIKYCLPDDEDDLLSGVVDGYDLGGLRNRTDDLEDYDLFGSGGGLELESDPQESLTIGISRLSVSDIVGASHNGLPNGGGAISGEHPLGEHPSRTLFVRNINSNVEDSELKNLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLHQIFGSYGEIKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGSRRNFLLQLNHDLEQEESRSLPHQLSSSIGNSPTGNWQQFGSPADHSPIQSLGNSNSFRSANPASGNNLAGLASILSPQALNTVKVAPIGKFEGSAGQAEQLITIGNSKHGASIPQPHSFPEPKPSWYSGPISSYGASSSNGSGIETLSGTQFLWGSPKVYPEQSNPSPWHPQTKGHPFTSNVQSRGFPFSNQHGSFVNSSQQHRLDGHYVGSAPSGLPFERRMGFFPESPEASYLSPGYKGMGGTGPRERSYMNNMGGANGALSSNLSISGNMSMTDNNGSPGFGMLPSQRSSPAFMGSGIFPGPAAMAFEGLTERGRVKRVEVNGNQIDNKRFQLDLDKIRTGGDARTTLMIKNIPNKYTSKMLLATIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKGALVAHFQNSSLMNEDKRCRPIVFHSESSESGSQIIQEHLSSSMNIQSRQSIVPGLSQSSSSLTEKGEELIVA >itb10g04120.t3 pep chromosome:ASM357664v1:10:3885921:3892557:1 gene:itb10g04120 transcript:itb10g04120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISKGNTTLRVFPGIPGSDSFDASTDASLFSSSLPVLSHEKLNMSEVGRDLKSIDDTPVSLKKIHPAEESDDLLQEIIRNTIKYCLPDDEDDLLSGVVDGYDLGGLRNRTDDLEDYDLFGSGGGLELESDPQESLTIGISRLSVSDIVGASHNGLPNGGGAISGEHPLGEHPSRTLFVRNINSNVEDSELKNLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLHQIFGSYGEIKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGSRRNFLLQLNHDLEQEESRSLPHQLSSSIGNSPTGNWQQFGSPADHSPIQSLGNSNSFRSANPASGNNLAGLASILSPQALNTVKVAPIGKFEGSAGQAEQLITIGNSKHGASIPQPHSFPEPKPSWYSGPISSYGASSSNGSGIETLSGTQFLWGSPKVYPEQSNPSPWHPQTKGHPFTSNVQSRGFPFSNQHGSFVNSSQQHRLDGHYVGSAPSGLPFERRMGFFPESPEASYLSPGYKGMGGTGPRERSYMNNMGGANGALSSNLSISGNMSMTDNNGSPGFGMLPSQRSSPAFMGSGIFPGPAAMAFEGLTERGRVKRVEVNGNQIDNKRFQLDLDKIRTGGDARTTLMIKNIPNKYTSKMLLATIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKGALVAHFQNSSLMNEDKRCRPIVFHSESSESGSQIIQEHLSSSMNIQSRQSIVPGLSQSSSSLTEKGEELIVA >itb10g04120.t5 pep chromosome:ASM357664v1:10:3887684:3892628:1 gene:itb10g04120 transcript:itb10g04120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGRDLKSIDDTPVSLKKIHPAEESDDLLQEIIRNTIKYCLPDDEDDLLSGVVDGYDLGGLRNRTDDLEDYDLFGSGGGLELESDPQESLTIGISRLSVSDIVGASHNGLPNGGGAISGEHPLGEHPSRTLFVRNINSNVEDSELKNLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLHQIFGSYGEIKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGSRRNFLLQLNHDLEQEESRSLPHQLSSSIGNSPTGNWQQFGSPADHSPIQSLGNSNSFRSANPASGNNLAGLASILSPQALNTVKVAPIGKFEGSAGQAEQLITIGNSKHGASIPQPHSFPEPKPSWYSGPISSYGASSSNGSGIETLSGTQFLWGSPKVYPEQSNPSPWHPQTKGHPFTSNVQSRGFPFSNQHGSFVNSSQQHRLDGHYVGSAPSGLPFERRMGFFPESPEASYLSPGYKGMGGTGPRERSYMNNMGGANGALSSNLSISGNMSMTDNNGSPGFGMLPSQRSSPAFMGSGIFPGPAAMAFEGLTERGRVKRVEVNGNQIDNKRFQLDLDKIRTGGDARTTLMIKNIPNKYTSKMLLATIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKGALVAHFQNSSLMNEDKRCRPIVFHSESSESGSQIIQEHLSSSMNIQSRQSIVPGLSQSSSSLTEKGEELIVA >itb10g04120.t2 pep chromosome:ASM357664v1:10:3886217:3892557:1 gene:itb10g04120 transcript:itb10g04120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISKGNTTLRVFPGIPGSDSFDASTDASLFSSSLPVLSHEKLNMSEVGRDLKSIDDTPVSLKKIHPAEESDDLLQEIIRNTIKYCLPDDEDDLLSGVVDGYDLGGLRNRTDDLEDYDLFGSGGGLELESDPQESLTIGISRLSVSDIVGASHNGLPNGGGAISGEHPLGEHPSRTLFVRNINSNVEDSELKNLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLHQIFGSYGEIKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGSRRNFLLQLNHDLEQEESRSLPHQLSSSIGNSPTGNWQQFGSPADHSPIQSLGNSNSFRSANPASGNNLAGLASILSPQALNTVKVAPIGKFEGSAGQAEQLITIGNSKHGASIPQPHSFPEPKPSWYSGPISSYGASSSNGSGIETLSGTQFLWGSPKVYPEQSNPSPWHPQTKGHPFTSNVQSRGFPFSNQHGSFVNSSQQHRLDGHYVGSAPSGLPFERRMGFFPESPEASYLSPGYKGMGGTGPRERSYMNNMGGANGALSSNLSISGNMSMTDNNGSPGFGMLPSQRSSPAFMGSGIFPGPAAMAFEGLTERGRVKRVEVNGNQIDNKRFQLDLDKIRTGGDARTTLMIKNIPNKYTSKMLLATIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKGALVAHFQNSSLMNEDKRCRPIVFHSESSESGSQIIQEHLSSSMNIQSRQSIVPGLSQSSSSLTEKGEELIVA >itb10g04120.t4 pep chromosome:ASM357664v1:10:3886217:3892557:1 gene:itb10g04120 transcript:itb10g04120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISKGNTTLRVFPGIPGSDSFDASTDASLFSSSLPVLSHEKLNMSEVGRDLKSIDDTPVSLKKIHPAEESDDLLQEIIRNTIKYCLPDDEDDLLSGVVDGYDLGGLRNRTDDLEDYDLFGSGGGLELESDPQESLTIGISRLSVSDIVGASHNGLPNGGGAISGEHPLGEHPSRTLFVRNINSNVEDSELKNLFEQYGDIRTMYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLHQIFGSYGEIKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGSRRNFLLQLNHDLEQEESRSLPHQLSSSIGNSPTGNWQQFGSPADHSPIQSLGNSNSFRSANPASGNNLAGLASILSPQALNTVKVAPIGKFEGSAGQAEQLITIGNSKHGASIPQPHSFPEPKPSWYSGPISSYGASSSNGSGIETLSGTQFLWGSPKVYPEQSNPSPWHPQTKGHPFTSNVQSRGFPFSNQHGSFVNSSQQHRLDGHYVGSAPSGLPFERRMGFFPESPEASYLSPGYKGMGGTGPRERSYMNNMGGANGALSSNLSISGNMSMTDNNGSPGFGMLPSQRSSPAFMGSGIFPGPAAMAFEGLTERGRVKRVEVNGNQIDNKRFQLDLDKIRTGGDARTTLMIKNIPNKYTSKMLLATIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKGALVAHFQNSSLMNEDKRCRPIVFHSESSESGSQIIQEHLSSSMNIQSRQSIVPGLSQSSSSLTEKGEELIVA >itb11g01700.t1 pep chromosome:ASM357664v1:11:856286:857966:-1 gene:itb11g01700 transcript:itb11g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGWFKKTRRSDALSIVELLVRMDCDGCQKRVRRAISKLEGVDSMEIDMDRQKVTVKGYVEGRRVLKAVRRGGSRAELWPFPDDGEYFPYAAQYLDESNFAPTYNYYTHGYNESMRGYYPTLPYSTLVDDNVTFSFSDDNVHACILM >itb11g01700.t2 pep chromosome:ASM357664v1:11:856286:857715:-1 gene:itb11g01700 transcript:itb11g01700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCQKRVRRAISKLEGVDSMEIDMDRQKVTVKGYVEGRRVLKAVRRGGSRAELWPFPDDGEYFPYAAQYLDESNFAPTYNYYTHGYNESMRGYYPTLPYSTLVDDNVTFSFSDDNVHACILM >itb04g23650.t2 pep chromosome:ASM357664v1:4:28633443:28638426:-1 gene:itb04g23650 transcript:itb04g23650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDARCKSTTNRWLESLFMSCGFRVEIIGYNDIARNLDNELVTEEYTNILFVAEHLRFSDDQMELWRREFQRIEEEGDEEGFEEL >itb04g23650.t1 pep chromosome:ASM357664v1:4:28633201:28638433:-1 gene:itb04g23650 transcript:itb04g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDARCKSTTNRWLESLFMSCGFRVEIIGYNDIARNLDNELVTEEYTNILFVAEHLRFSDDQMELWRREFQRIEEEGDEEGFEEL >itb04g23650.t6 pep chromosome:ASM357664v1:4:28635562:28638433:-1 gene:itb04g23650 transcript:itb04g23650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDASQLQIDGWKAFS >itb04g23650.t4 pep chromosome:ASM357664v1:4:28634209:28638426:-1 gene:itb04g23650 transcript:itb04g23650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDARCKSTTNRWLESLFMSCGFRVEIIGYNDIARNLDNELVTEEYTNILFVAEHLRFSDDQMELWRREFQRIEEEGDEEGFEEL >itb04g23650.t7 pep chromosome:ASM357664v1:4:28634209:28638433:-1 gene:itb04g23650 transcript:itb04g23650.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDARCKVTEQDC >itb04g23650.t5 pep chromosome:ASM357664v1:4:28635169:28638433:-1 gene:itb04g23650 transcript:itb04g23650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDARCKSTTNRWLESLFMSCGFRVEIIGYNDIARNLDNELVTEEYTNILFVAEHLRFSDDQMELWRREFQRIEEEGDEEGFEEL >itb04g23650.t3 pep chromosome:ASM357664v1:4:28635662:28638433:-1 gene:itb04g23650 transcript:itb04g23650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACTCLGSARLWQTNMESVGDFRFGACTATLPPLSSLSCASRKRKTFIFTARANPYAEQSDPVFRAAVERAAIRFQESQRSDPLFIDPYAGCFFLSETEVYREEHLHPYCLATKYIDDKLLSTLEKVDGPKQVVLLTDGMDTRPFRLNWPASTIIYDVSPEEVFRRGAEKLQEVGAKIPRACVFHHVPLNSSNLQQMMGSKGFNGARPSIWAFQGLPVMNLASFKDILCLVSNLAMKGCFFLGELPIWLAETDARCKSTTNRWLESLFMSCGFRVEIIGYNDIARNLDNELVTEEYTNILFVAEHLRFSDDQMELWRREFQRIEEEGDEEGFEEL >itb04g09540.t2 pep chromosome:ASM357664v1:4:8812458:8817408:1 gene:itb04g09540 transcript:itb04g09540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFPFSFTAAQVGSYFVGQYYQVLQQQPEFVHQFYSDVSTMLRIDANTREAASGLLQIHALIMSLNYIGIEIKTAHSLKSWNGGVLVMVTGTVHVKDFIGRKKFVQTFFLAPQDKGFLVVNDIFHFVDEEQSLHHPVAYIGQANLDAKPNTITTTLDQVSNNYMLGGEIHARDFVTPAKTEENGAVDNYNFAEEQPEQVLEDNFAVLSNGSVPSVDAVEDQFSSVAIEEPVPEPQKHTYASIVAKAQPVQAVPPQSSLNKPAAPPLEYQHISEPPSQTPYEPSSTLERSGSEVVEEFFTAEDELEVKSVYVRNVPMTMEPFEIEEEFKRFGKIKQDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKV >itb04g09540.t1 pep chromosome:ASM357664v1:4:8812450:8820014:1 gene:itb04g09540 transcript:itb04g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFPFSFTAAQVGSYFVGQYYQVLQQQPEFVHQFYSDVSTMLRIDANTREAASGLLQIHALIMSLNYIGIEIKTAHSLKSWNGGVLVMVTGTVHVKDFIGRKKFVQTFFLAPQDKGFLVVNDIFHFVDEEQSLHHPVAYIGQANLDAKPNTITTTLDQVSNNYMLGGEIHARDFVTPAKTEENGAVDNYNFAEEQPEQVLEDNFAVLSNGSVPSVDAVEDQFSSVAIEEPVPEPQKHTYASIVAKAQPVQAVPPQSSLNKPAAPPLEYQHISEPPSQTPYEPSSTLERSGSEVVEEFFTAEDELEVKSVYVRNVPMTMEPFEIEEEFKRFGKIKQDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKAYSVEIGGNQLYIEGRRPNRNNFPRGRGRGRGRIGYQSDGRGGRYGGRGFGRVNGQDCPEHEYRSSRGNGFYRHVHRQDRAFLGNGQSFD >itb04g09540.t3 pep chromosome:ASM357664v1:4:8812458:8820014:1 gene:itb04g09540 transcript:itb04g09540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFPFSFTAAQVGSYFVGQYYQVLQQQPEFVHQFYSDVSTMLRIDANTREAASGLLQIHALIMSLNYIGIEIKTAHSLKSWNGGVLVMVTGTVHVKDFIGRKKFVQTFFLAPQDKGFLVVNDIFHFVDEEQSLHHPVAYIGQANLDAKPNTITTTLDQVSNNYMLGGEIHARDFVTPAKTEENGAVDNYNFAEEQPEQVLEDNFAVLSNGSVPSVDAVEDQFSSVAIEEPVPEPQKHTYASIVAKAQPVQAVPPQSSLNKPAAPPLEYQHISEPPSQTPYEPSSTLERSGSEVVEEFFTAEDELEVKSVYVRNVPMTMEPFEIEEEFKRFGKIKQDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKEAGVEAGLATNPMEEGDAMEAGVLAELMVKIVPSMSTDHQEEMVSIGTFIVKTEHFLAMDRALINPRLQAK >itb09g24510.t3 pep chromosome:ASM357664v1:9:24200970:24214161:-1 gene:itb09g24510 transcript:itb09g24510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALYTQMFSFGTKALDQFRERLIEWPQYCNHILQISHLRVNHLDIVLYIERALARISSAHSESDIGNNAVADPFPGIIQSSTSNVENSPFTLGGPMSSQSSGMQLTPPVQLPPRQQSTLDERKISTIPSHMKTLSSTSQTVGVPSSDASNIPKSQSALNSAAAHTSSPGFTRPNRTNSTRFGSALNIETLVAAAERRETPIEAPASEVQDKVSFIINNLAIQNVDTKAKEFAEILKEQYYPWFAQYMVMKRASIEQNFHDLYLKFLDKVNSKALYKEVVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKITIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYAMPNLKMNLKFDIEVLFKNLGVDLKDVAPTSLLKDRVREVEGNPDFSNKDAGSSQSQIVTDVKSGLVSTLNQVELPLEAATSSHPGGHSRILSQYASPLHLPSASLMEEEKLVALVDQHQIPSGQGIIPGQTPFSVSQLPTPTSNIEQQVIINPRLRALGMHVHFQSVLPIAMDRAIKEIVTSIVHRSVSIATQTTKELVLKDYAMESDETRIRNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSTLQGLSISSELLEQAVQLVTNDNLDLGCALIEQAATEKAIQTIDETIAQQLAIRKHREGPTFFDSGVYTPGHMGVLPEALRPKPGRLSHSQQRVYEDFVRLPLQNQSGQSSNTLPVGPSPSPSSSGLSRAYVAGSGQMNPAIYSAGLVNAGISSVPQPLDIVSEEIDASSSQLHSASSPSNGMGDGGFENDTIAQLSSASTAELQPVEQSNVVKEPGLSVQPPNSTPTSERHGNSISEPLLTTGDALDKYQIISEKLENLVSTDAKEAEIQGIIAEVPAIILRCISRDEAALAVAQKVFKGLYENASNTVRVNAHLAILAAIRDVSKLVVKELTSWVTYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDAGRNKPATEFAISLIQTLVMSDSRVISELQNLLDALAKLAARPGSPESLRQLVEIAKNPSVNASALSGFMSGKEDNMKQPKDKKASVLLQAGRDDTGSDSVEPDPAGFREQVSMLFAEWYRICELPGASESAYAHYVIQLHQSGLLKGDDASDRFFRLLMELSVSHCLSSEVISSGPSQSHLVPLSFLAIDIYAKLVYSVLKFYPVDQGVSKLSLLPKVLAVTVKFIQKDSEEKKISFNPRPYFRLFINWLLDFGSLEPVFDGANFQVLTALANAFHALQPLKVPGFSFAWLELVSHRSFMPKLLTAANAQKGWPYFQRLLVDLFQFMEPFLRNAELGEAVHFLYKGTLRVLLVLLHDYPEFLCDYHFSFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDAALKTKQIKSDIDEYLKTRQQGSLFLSELKQKVLLSPTESARAGTRYNVPLINSLVLYVGMLAIQQLQARTAAHSQSMASSGVSYPVYLVGAALDIFQSFIMDLDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFSESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRSFTRCAPEIEKLFESVSRSCGGPKPVDDSVVSGGIPDNMH >itb09g24510.t2 pep chromosome:ASM357664v1:9:24200970:24219984:-1 gene:itb09g24510 transcript:itb09g24510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFASTTSSQIRYLLQSLNDSNSDSVFQELCQFATYGIEGRILLLGTIFDYLSIYGKDLKNIQFDAVYSSIFKYILDKPNFSTVFCVSIRGVTINEEFLENLCNALRLSVSEKIEVGLALSDSENADVKMCGKSYCMGQIVELCSNQMSFDSVEHIQSILLLINQSEGLSKHVDLFMHMLSLVQSKGGSQFILSPLLSDELREVNFWRNFDDFNEDSEDDFDALLMEMEKEMSMADTMKELGYGCTANALQCKEILSLFLPLTEITVARILGMIVRTYSGLEDNQNIFSTFRAALGSTTVYDLPSPSSWNGDVLIDAIKELAPGLNWGTVMKNLDHEGFYVLNEAAFAFLMSMYKCACQDPFPLQAVCGSIWKNSEGQLSFLSHAVVAPPEVFTFAHSGRQMAYVDAVNDHKFQHGHSNHAWLCLDLLEVLCQLAERGHANSVRSILEHPLKHCPELLLLGLSHINTVYNLLQHEISSTVFPMILKNAPGMGMIHYLWHVNPSILVRGFVDAITIDTDNISRVLEACQELKILSPVLDMLPSNLSIRLAAVASMKGLIDLEKWLNTNLSTYKDKFYEECLKFLKEVQFGSQDVSTNCFHPPNTLLNIYLEASSMFIKLLQSHTGLISSHYLSEELEKLNMTYMNSNSRLKTVGASNSSTSDAHADDIEAEVNSYFQQMFHEERSVESIIQMLSHFKESSDKREQAVFEFMIANLFEEYKFFSKYPDKQLTIAAVLFGSLIKRQLVTHLTLGIALRAVLDALRKPADSKMFSFGTKALDQFRERLIEWPQYCNHILQISHLRVNHLDIVLYIERALARISSAHSESDIGNNAVADPFPGIIQSSTSNVENSPFTLGGPMSSQSSGMQLTPPVQLPPRQQSTLDERKISTIPSHMKTLSSTSQTVGVPSSDASNIPKSQSALNSAAAHTSSPGFTRPNRTNSTRFGSALNIETLVAAAERRETPIEAPASEVQDKVSFIINNLAIQNVDTKAKEFAEILKEQYYPWFAQYMVMKRASIEQNFHDLYLKFLDKVNSKALYKEVVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKITIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYAMPNLKMNLKFDIEVLFKNLGVDLKDVAPTSLLKDRVREVEGNPDFSNKDAGSSQSQIVTDVKSGLVSTLNQVELPLEAATSSHPGGHSRILSQYASPLHLPSASLMEEEKLVALVDQHQIPSGQGIIPGQTPFSVSQLPTPTSNIEQQVIINPRLRALGMHVHFQSVLPIAMDRAIKEIVTSIVHRSVSIATQTTKELVLKDYAMESDETRIRNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSTLQGLSISSELLEQAVQLVTNDNLDLGCALIEQAATEKAIQTIDETIAQQLAIRKHREGPTFFDSGVYTPGHMGVLPEALRPKPGRLSHSQQRVYEDFVRLPLQNQSGQSSNTLPVGPSPSPSSSGLSRAYVAGSGQMNPAIYSAGLVNAGISSVPQPLDIVSEEIDASSSQLHSASSPSNGMGDGGFENDTIAQLSSASTAELQPVEQSNVVKEPGLSVQPPNSTPTSERHGNSISEPLLTTGDALDKYQIISEKLENLVSTDAKEAEIQGIIAEVPAIILRCISRDEAALAVAQKVFKGLYENASNTVRVNAHLAILAAIRDVSKLVVKELTSWVTYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDAGRNKPATEFAISLIQTLVMSDSRVISELQNLLDALAKLAARPGSPESLRQLVEIAKNPSVNASALSGFMSGKEDNMKQPKDKKASVLLQAGRDDTGSDSVEPDPAGFREQVSMLFAEWYRICELPGASESAYAHYVIQLHQSGLLKGDDASDRFFRLLMELSVSHCLSSEVISSGPSQSHLVPLSFLAIDIYAKLVYSVLKFYPVDQGVSKLSLLPKVLAVTVKFIQKDSEEKKISFNPRPYFRLFINWLLDFGSLEPVFDGANFQVLTALANAFHALQPLKVPGFSFAWLELVSHRSFMPKLLTAANAQKGWPYFQRLLVDLFQFMEPFLRNAELGEAVHFLYKGTLRVLLVLLHDYPEFLCDYHFSFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDAALKTKQIKSDIDEYLKTRQQGSLFLSELKQKVLLSPTESARAGTRYNVPLINSLVLYVGMLAIQQLQARTAAHSQSMASSGVSYPVYLVGAALDIFQSFIMDLDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFSESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRSFTRCAPEIEKLFESVSRSCGGPKPVDDSVVSGGIPDNMH >itb09g24510.t1 pep chromosome:ASM357664v1:9:24200970:24219984:-1 gene:itb09g24510 transcript:itb09g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFASTTSSQIRYLLQSLNDSNSDSVFQELCQFATYGIEGRILLLGTIFDYLSIYGKDLKNIQFDAVYSSIFKYILDKPNFSTVFCVSIRGVTINEEFLENLCNALRLSVSEKIEVGLALSDSENADVKMCGKSYCMGQIVELCSNQMSFDSVEHIQSILLLINQSEGLSKHVDLFMHMLSLVQSKGGSQFILSPLLSDELREVNFWRNFDDFNEDSEDDFDALLMEMEKEMSMADTMKELGYGCTANALQCKEILSLFLPLTEITVARILGMIVRTYSGLEDNQNIFSTFRAALGSTTVYDLPSPSSWNGDVLIDAIKELAPGLNWGTVMKNLDHEGFYVLNEAAFAFLMSMYKCACQDPFPLQAVCGSIWKNSEGQLSFLSHAVVAPPEVFTFAHSGRQMAYVDAVNDHKFQHGHSNHAWLCLDLLEVLCQLAERGHANSVRSILEHPLKHCPELLLLGLSHINTVYNLLQHEISSTVFPMILKNAPGMGMIHYLWHVNPSILVRGFVDAITIDTDNISRVLEACQELKILSPVLDMLPSNLSIRLAAVASMKGLIDLEKWLNTNLSTYKDKFYEECLKFLKEVQFGSQDVSTNCFHPPNTLLNIYLEASSMFIKLLQSHTGLISSHYLSEELEKLNMTYMNSNSRLKTVGASNSSTSDAHADDIEAEVNSYFQQMFHEERSVESIIQMLSHFKESSDKREQAVFEFMIANLFEEYKFFSKYPDKQLTIAAVLFGSLIKRQLVTHLTLGIALRAVLDALRKPADSKMFSFGTKALDQFRERLIEWPQYCNHILQISHLRVNHLDIVLYIERALARISSAHSESDIGNNAVADPFPGIIQSSTSNVENSPFTLGGPMSSQSSGMQLTPPVQLPPRQQSTLDERKISTIPSHMKTLSSTSQTSQSALNSAAAHTSSPGFTRPNRTNSTRFGSALNIETLVAAAERRETPIEAPASEVQDKVSFIINNLAIQNVDTKAKEFAEILKEQYYPWFAQYMVMKRASIEQNFHDLYLKFLDKVNSKALYKEVVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKITIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYAMPNLKMNLKFDIEVLFKNLGVDLKDVAPTSLLKDRVREVEGNPDFSNKDAGSSQSQIVTDVKSGLVSTLNQVELPLEAATSSHPGGHSRILSQYASPLHLPSASLMEEEKLVALVDQHQIPSGQGIIPGQTPFSVSQLPTPTSNIEQQVIINPRLRALGMHVHFQSVLPIAMDRAIKEIVTSIVHRSVSIATQTTKELVLKDYAMESDETRIRNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSTLQGLSISSELLEQAVQLVTNDNLDLGCALIEQAATEKAIQTIDETIAQQLAIRKHREGPTFFDSGVYTPGHMGVLPEALRPKPGRLSHSQQRVYEDFVRLPLQNQSGQSSNTLPVGPSPSPSSSGLSRAYVAGSGQMNPAIYSAGLVNAGISSVPQPLDIVSEEIDASSSQLHSASSPSNGMGDGGFENDTIAQLSSASTAELQPVEQSNVVKEPGLSVQPPNSTPTSERHGNSISEPLLTTGDALDKYQIISEKLENLVSTDAKEAEIQGIIAEVPAIILRCISRDEAALAVAQKVFKGLYENASNTVRVNAHLAILAAIRDVSKLVVKELTSWVTYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDAGRNKPATEFAISLIQTLVMSDSRVISELQNLLDALAKLAARPGSPESLRQLVEIAKNPSVNASALSGFMSGKEDNMKQPKDKKASVLLQAGRDDTGSDSVEPDPAGFREQVSMLFAEWYRICELPGASESAYAHYVIQLHQSGLLKGDDASDRFFRLLMELSVSHCLSSEVISSGPSQSHLVPLSFLAIDIYAKLVYSVLKFYPVDQGVSKLSLLPKVLAVTVKFIQKDSEEKKISFNPRPYFRLFINWLLDFGSLEPVFDGANFQVLTALANAFHALQPLKVPGFSFAWLELVSHRSFMPKLLTAANAQKGWPYFQRLLVDLFQFMEPFLRNAELGEAVHFLYKGTLRVLLVLLHDYPEFLCDYHFSFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDAALKTKQIKSDIDEYLKTRQQGSLFLSELKQKVLLSPTESARAGTRYNVPLINSLVLYVGMLAIQQLQARTAAHSQSMASSGVSYPVYLVGAALDIFQSFIMDLDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFSESNQEVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRSFTRCAPEIEKLFESVSRSCGGPKPVDDSVVSGGIPDNMH >itb09g14670.t1 pep chromosome:ASM357664v1:9:9898143:9900894:1 gene:itb09g14670 transcript:itb09g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVYFCFFSFFLSLLISGHLGIVEASGHRRRDKKKAGLKPTALFVFGDSYADTGNLPKAVAPSWKQPYGITFPGKPSGRFTDGRVFTDFLAKLLGLKSPIPYKWIKYAMHRLQYGMNFAYGGTGVFDTLILAPNLTTQIDIFEKLIKDSVYTNKDLESSLFLVSVSGNDYSHYLAKGGTFPGLPAFTGRLMNQLVADLKRIRGLGAKRIAVTNLGPLGCIPQNTAKFSFKHCNDSESLACNYHNSLLHKAVVKLNKQTKASSYVVLDMFTSFSDILQKKQGSRKFSSPLKPCCVGVKSGFICGDVDEKGVAMYKVCRDPTSAFFWDIIHPTQAGWNAVYTSLRSTLEHIISH >itb10g06030.t1 pep chromosome:ASM357664v1:10:6597555:6599431:1 gene:itb10g06030 transcript:itb10g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNQLGWIPLVKDALAGYNTSLLAYGQTGSGKTYTLWGPPSAMVETPSTNRLQGIVPRIFQMLFDNIQRVYNGHIGDLLDPMQRNLKDDTKNGFYVENLTEEYVSTYEDVTQILIKGLSSRKVGSTGVNSKSSRSHGVFTCIIESWRKLLEWNGNRDCEQEIVKEIQGEVDHDDRGKCFDMSGAYAKGCSEKELEAERQRWTEMESEWISLTDELRIDLESIRQRAEKVEMELKLEKCTE >itb12g26240.t1 pep chromosome:ASM357664v1:12:27218447:27222802:-1 gene:itb12g26240 transcript:itb12g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKPFSPVSDKNDPENGRRFSGRRCFSDSQLVFPAATPTGDTMISFYQPYRSHHQLKAGISYSYPPMETLLLAYQSLGVVYGDLGTSPVNVFSATQLTNLSEDDLIGTLSLIFWALTILVLIKYTFIVIHADDHGEGGTFALYSQLCQHINIRSKLAIQSCMKGEPDDGPVYYGGSGGQLRAKAKKFIENSPGAQNFLTFIVLLGTCMVIGDGTLTPATCVISALQGIQSVSPKITQDHVVFMSAVLLIVLFAFQHCGTNKVSFAFSPIMLLWFATNTSIGIYNIIKYHPSILRAISPHYMVKFFIRNHKTAWDLLGAVFLGITGAEAMFADLGHFNKRAIQLGFCFVVYPSLVITYAGETAYLVRYPEKITNAYYSSIPKAVYWPMFGISTLAAIVASQSMISACFSIVKQSLSLECFPRVKIVHTSSKHEGQVYCPEINYTLMVLCVGLVIGFKGGVELANAYGVVVIWVMIITTFLTALVMLLIWNTNILLILGFFLPYILIEGFFMTSLLRKIPQGGWVPFAISVILFAVMISWTYGSSKKKEYEAERKMALTELDEMLSVSYMYRTPGTCFFFTDLVNGIPPIVRHYIQHTGSIQEIMVIVTVRTVPIKTVPPEERFSVQKLGVKGAYRCLVQFGYKDSQNVGGEECVSSMIARLQEAAESSGEKQKISSATQRGVIFVVGRTILKANGQNGRFSRFTINYLYRFLQKNCRGAISTAEFPLDKTLQVGMLYEI >itb12g26240.t2 pep chromosome:ASM357664v1:12:27218532:27222802:-1 gene:itb12g26240 transcript:itb12g26240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKPFSPVSDKNDPENGRRFSGRRCFSDSQLVFPAATPTGDTMISFYQPYRSHHQLKAGISYSYPPMETLLLAYQSLGVVYGDLGTSPVNVFSATQLTNLSEDDLIGTLSLIFWALTILVLIKYTFIVIHADDHGEGGTFALYSQLCQHINIRSKLAIQSCMKGEPDDGPVYYGGSGGQLRAKAKKFIENSPGAQNFLTFIVLLGTCMVIGDGTLTPATCVISALQGIQSVSPKITQDHVVFMSAVLLIVLFAFQHCGTNKVSFAFSPIMLLWFATNTSIGIYNIIKYHPSILRAISPHYMVKFFIRNHKTAWDLLGAVFLGITGAEAMFADLGHFNKRAIQLGFCFVVYPSLVITYAGETAYLVRYPEKITNAYYSSIPKAVYWPMFGISTLAAIVASQSMISACFSIVKQSLSLECFPRVKIVHTSSKHEGQVYCPEINYTLMVLCVGLVIGFKGGVELANAYALVMLLIWNTNILLILGFFLPYILIEGFFMTSLLRKIPQGGWVPFAISVILFAVMISWTYGSSKKKEYEAERKMALTELDEMLSVSYMYRTPGTCFFFTDLVNGIPPIVRHYIQHTGSIQEIMVIVTVRTVPIKTVPPEERFSVQKLGVKGAYRCLVQFGYKDSQNVGGEECVSSMIARLQEAAESSGEKQKISSATQRGVIFVVGRTILKANGQNGRFSRFTINYLYRFLQKNCRGAISTAEFPLDKTLQVGMLYEI >itb06g14730.t1 pep chromosome:ASM357664v1:6:19220129:19221265:-1 gene:itb06g14730 transcript:itb06g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSITLSKPSLRNSISLSPSPSPSPSSQITSFPNFKPTVNRNPQYSFSPCIRCNLRKIRDRIETVKNTQKVTEAMKLVAAAKIRKAQEAVINGRPFAEALVEVLYNINEQLQSDDVEVPLTAVRPVKKIALVVVTGDRGLCGGFNNAILKKSENRIKELVDLGLDYTIISVGKKGNTYFRRRDDKIVDSFVEGGSFPTAKEAQVIADDVFSLFVSEEVDKVELLYTKFVSLVKSQPIIHTLLPLSAKGEVRDVNGNSVDVEGDEFFRLSTKEGKLSVERDHSASKSVAISPYLQFEQDPAQILDALMPLYLNSQILKALQESFASELAARMNAMSNATENAIELKRSLSVAYNRQRQAKITGEILEIVAGADALS >itb03g10340.t1 pep chromosome:ASM357664v1:3:8175890:8177180:1 gene:itb03g10340 transcript:itb03g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIYDEELSPESEIMEVEEEEEEEEEVSYHELKKRMWKDRMRMQKLKAAKRRNDAAGEDEDSSSSSSAAADAAAKQEQSRRKKMSRSQDSILKYMVKIMEVCKAQGFVYGIVPEKGKPVTGSSDSLRGWWKETVKFEQNAPAAIAEFLPKLVSSAAAADLHDPSSSLKRVETKPSLMNRHLSGI >itb12g09930.t1 pep chromosome:ASM357664v1:12:7914503:7918333:1 gene:itb12g09930 transcript:itb12g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSNQIKAETAFYVSSGVDSRNVSGNGTESSNSNKLSSASVPHTPLSEGEILQSSNLKSFTFSELKAATRNFRPDSVLGEGGFGSVFKGWVDEHTLVASKPGSGMTIAVKKLNQDGWQGHREWLAEIIYLGQLQHPNLVKLIGYCLEDDHRLLVYEFMAKGSMENHLFRRGSFFQPLTWSLRMKVALGAAKGLAFLHNAETKVIYRDFKTSNILLDPGYNAKLSDFGLARDGPTGDKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPSGEHSLVEWAKPYLTNKRRIFRVLDPRLEGQYSLSKAMKAANLALQCLCMEPKLRPNMDDVVKTLEQLQEAKDSATVDKKERNFSRDSRPKGPSKSCRGTASETAGMTTYPRPSSSPLYA >itb10g18500.t2 pep chromosome:ASM357664v1:10:24483484:24487132:1 gene:itb10g18500 transcript:itb10g18500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGKSRGAAAASGTAPEPVTPPNYYYGTFQGVANYQAPPPPQSEPVIGFPQPIPPAGVSGAPPHYYPHGYQTVQGSLLVSFLVPSPGISELFCFCVSEWTTERSLDLLRAH >itb10g18500.t1 pep chromosome:ASM357664v1:10:24483484:24487132:1 gene:itb10g18500 transcript:itb10g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGKSRGAAAASGTAPEPVTPPNYYYGTFQGVANYQAPPPPQSEPVIGFPQPIPPAGVSGAPPHYYPHGYQTVQGYAVVEGRPLREHRLPCCGMGLGWCLFIVGFFLGAIPWYIGAFLLLCVRMDYREKPGLIACTLAAILAMVAVTLGVTKATHSW >itb10g18500.t3 pep chromosome:ASM357664v1:10:24483768:24488664:1 gene:itb10g18500 transcript:itb10g18500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGKSRGAAAASGTAPEPVTPPNYYYGTFQGVANYQAPPPPQSEPVIGFPQPIPPAGVSGAPPHYYPHGYQTVQGYAVVEGRPLREHRLPCCGMGLGWCLFIVGFFLGAIPWYIGAFLLLCVRMDYREKPGLIACTLAAILAMVAVTLGVTKATHSWFIVGFFLGAIPWYIGAFLLLCVRMDYREKPGLIACTLAAILAMVAVTLGVTKATHSW >itb06g16060.t1 pep chromosome:ASM357664v1:6:20272788:20274515:1 gene:itb06g16060 transcript:itb06g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP4 [Source:Projected from Arabidopsis thaliana (AT3G16360) UniProtKB/TrEMBL;Acc:A0A384KT90] MERNHLPRQLATMRKSLFDQGYLDDQFVQLEELQDDVNPNFAEEVVTLFYRDSARLVQNIEHALERSPLDFAKLDGLMHQFKDSCSSIGARKVKYECTQFREHCRVANAEGCKRSFLQLKKEYSTLQKKLKAYFQFARQAGPVEVACRPN >itb04g28160.t1 pep chromosome:ASM357664v1:4:31986785:31991089:-1 gene:itb04g28160 transcript:itb04g28160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPDYESYPPAFRPSSVHPNHGGVEKESAEMEAAEGDGVPVIDFECMKKDEEKVKEACREWGFFRLVNHGIPESLMSQMLERARELFSMAYKAKQAAFNSAAPAAGPSISYFWGTPAVTPSGAALASSNLHWMEGLNVPLNKMSQLHYEDPTLESFRSLLEEYGKHQARVGKAIHEALWRKLELGTLKLSKYEESAKYLSEDTGMLRVYRYPRCLDANRTWGIDVHTDSSLLSILHQDLVGGLQVYKDHQWIQVNPVPNTLIVNIGDMIQAISDDTYVSVKHRVKVNEEKERISVGYFVFPGDDVVIESSKYKPFTYAQFRAQVQHELKSVGFKIGLHSFKRP >itb01g34180.t1 pep chromosome:ASM357664v1:1:36996039:36998011:1 gene:itb01g34180 transcript:itb01g34180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIQTIPMELQHLYPSLIAVAIALCAFIHYVGRKNTKSGTRRLPPQAGGAWPILGHLHIFSGSKLPHVALGNMADKYGPAFTIRIGVHRALVVSDWKLAKHLSTVHDVHISTRPKFRAAKHLGYDYIMFAFSPYGPYWRHMRKLTSTELLSTRRLEQLKGIRVAEIETSVKELYKVLVEMKKWFGDITFNVFLQMVVGKRYFGTRAVGDEKQGRRCQKALRDFFNFLGVFVPADALPFLRRLDIGGYEKAMKEVAKEMDSLVEEWLQEHRLKKETKEEDFIDAMLSQIEGMDLNGFSADSVIKSTCMTLMAGGADTVTTMLTWVLSLMMNHPHVLKMAQEELDSVVGKERRVNESDIKNLAYLQAVVKETLRLYPAGPLGAPRIFTKDCTLSGFHIPNGTWLFINIWKIQRDPQVWSSPDEFKPERFTNSHKDYDVLGQDYELIPFGVGRRICPGTNFGLQMLHLVLANLLHSFELSNVSNREIDMTEKAGLTNVKATPLEILISPRLPSSLY >itb09g14290.t1 pep chromosome:ASM357664v1:9:9511302:9515992:-1 gene:itb09g14290 transcript:itb09g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSQTGKLMPHLDARSTKMLNLTVLQRIDPCIEEILITAAHVTFYEFNVDLNQWSRKDIEGSLFVVKRTTQPRFRFVVMNRRNTENLVEDLLGDFEFEIQVPYLLYRNAAQEVNGIWFYNSHECEEVANLFSRILCAYSEASPNPQLSSTKSEFEVLESAPTSAVIEEPLEPSFPIHAHTEVPEDSSSFMNFFNAATSIGSNSANITNTRQPGYSSAQTVYSTSHVPGPQSSPLSPSTLQFSALSPSAPLIPSMSVRDGSDPTNKANQVSSLVKPSTFFTPQSSPALLLAPISSSISTPAVHPPLNLHRPHDAPMLQHFPPPSPTSNPTPSNAPLDREKIRDALIMLVQDNQFIDLVHQALSKVHKS >itb10g18430.t1 pep chromosome:ASM357664v1:10:24436631:24437113:-1 gene:itb10g18430 transcript:itb10g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREAPPRLLKHKTWSPNMYREETWVRRQAGNNNRPRPRRDRCKSVTDDDLDELRGCIDLGFGFHPEPHDLDPKLAHTFPALELFCAVNKHYNQSLSSMFDLGNANRRFFFLVVLLHCLILPRFLFGNDEAEDPETVKTKLKQWAKVVACSVRQSSRIP >itb14g15190.t1 pep chromosome:ASM357664v1:14:18386810:18390828:-1 gene:itb14g15190 transcript:itb14g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGRDGIYRSLRPPIVLPRDPNLSMVSFLFRNISQYPDQPAIHDADTGRTLTFSQLKSQVSKVAHGLKHQLGVRKNDVVLIFSPNSIDFPLCFLGAVAAGAIATTVNPMYTVPELSKQIKDCSPKLIVTVPQLLDKVKGFNLPVLVLGQEKTSISGAFSGLTYFSDLVKNSGSLNFDAVAINQSDTAALLYSSGTTGLSKGVILTHRNFIATSQMVATDQDAAGEPLNTFLCFLPLFHVFGLSIILYSQLVRGNSVVLMGKFDLEMILKTVDKYRVTHMWVVPPIILALAKNSVVKKYNLTSLRQIGSGAAPLGKELMEECAKNFPQANVIQGYGMTETCGVVCIENTRIGPRHSGSAGLLVSSVEAQIVSVDKLQHLPPGQLGEIWVRGPNMMQGYYKNPQATKLTIDKQGWVHTGDLGYFDEDGMLYVVDRIKELIKYKGFQVAPAELEGLLVSHPEIEDAVVIPYPDAEAGEVPVAYVVRSMNSSLTEEDVKKFIADQVAPYKRLRKVTFINSVPKSASGKILRRELIEKVRAKL >itb06g20510.t3 pep chromosome:ASM357664v1:6:23468976:23472575:1 gene:itb06g20510 transcript:itb06g20510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGITKCSIGFLYQIKGSKMNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKTNWPAMH >itb06g20510.t6 pep chromosome:ASM357664v1:6:23468976:23473031:1 gene:itb06g20510 transcript:itb06g20510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MANGITKCSIGFLYQIKGSKMNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKTNWPAMH >itb06g20510.t2 pep chromosome:ASM357664v1:6:23468976:23473045:1 gene:itb06g20510 transcript:itb06g20510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGITKCSIGFLYQIKGSKMNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKVPIQVNKHLAFSDGMRITESAKPLMQGSSDGSLLTGLDRPTGQPCIEAEEFILLRNMLIAAAEERYKDAALWRDKLTQLRSKRNWT >itb06g20510.t5 pep chromosome:ASM357664v1:6:23468976:23473045:1 gene:itb06g20510 transcript:itb06g20510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MANGITKCSIGFLYQIKGSKMNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKVPIQVNKHLAFSDGMRITESAKPLMQGSSDGSLLTGLDRCS >itb06g20510.t4 pep chromosome:ASM357664v1:6:23469008:23473045:1 gene:itb06g20510 transcript:itb06g20510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKVPIQVNKHLAFSDGMRITESAKPLMQGSSDGSLLTGLDRPTGQPCIEAEEFILLRNMLIAAAEERYKDAALWRDKLTQLRSKRNWT >itb06g20510.t8 pep chromosome:ASM357664v1:6:23468976:23473045:1 gene:itb06g20510 transcript:itb06g20510.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKTNWPAMH >itb06g20510.t1 pep chromosome:ASM357664v1:6:23468976:23473045:1 gene:itb06g20510 transcript:itb06g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGITKCSIGFLYQIKGSKMNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKVPIQVNKHLAFSDGMRITESAKPLMQGSSDGSLLTGLDRPTGQPCIEAEEFILLRNMLIAAAEERYKDAALWRDKLTQLRSKRNWT >itb06g20510.t7 pep chromosome:ASM357664v1:6:23468994:23472575:1 gene:itb06g20510 transcript:itb06g20510.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MANGITKCSIGFLYQIKGSKMNSLQGPAVCPVVHAKRTGPYSVPVKPSALVKASKILRSEFWRLDGIHCRQAHFPLQPHSRISKLIACSFSSSSNGNGSRAENFNENDADYVNSSVVEAVEVRSSPDGFMIKMRDGRELRCVHNNPQSGHLPDYAPHAAIVLRMEDEIGLLLPIIVLEMSSMLLMEAICDVQIARPTVYQVMKDMIEKMGYEVKLVRITKRVHEAYFARLYLTKLDNGCESISFDLRPSDAINIAVRCKTNWPAMH >itb14g06610.t1 pep chromosome:ASM357664v1:14:5846968:5851951:-1 gene:itb14g06610 transcript:itb14g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPCLVALKITRMSSPNISSSSSLIIFQTSVVFFIIIICSPFANAIDLKYPAVFNFGDSNSDTGNLIAAGIGDPLLSPNGQSFFHQPSGRFCDGRLIIDFLMKTIGIPFLNPYLDSIGVPSFKKGCNFATAASTILPTPSSISPFSLGVQVAQFLEFKARALEILAKSRKMKKYVPAKEFFEKGLYTFDMGQNDLAIAFYSKTLDQILASIPAILRGFEDGIQELYEEGARNFWVHNTGPLGCLAQNIAKFGSDPSKLDGLGCVSAHNEAANLFNLQLHSVCKKLQAQYSDANITYVDIFTIKSNLIANYSTNGFEQPLMACCGVGGPPLNYDSRVACGQTKVLNGTTVTAAGCNNSSAYINWDGIHYTEAANQYVADQIKTGKYSDPPLADRMTFPLQLNF >itb07g06220.t3 pep chromosome:ASM357664v1:7:4380362:4383391:-1 gene:itb07g06220 transcript:itb07g06220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPILARTAGRLSGRAIGYVQMARGQFESVMQQTQARQVHKELQDTIAQLEAIKHEIRTISFMNPGPLTTRLVDNINNIPAAGADIVETGPEKADNEEGTTVAITPKDQDPKASTSSDMHSQATAYAKLAEITSANVGSVNSEALNELIDESGNLVLPVSAKSAGLLPDHKAEVKGSDIVLEAILEADVAQNAKKFFVQSQNQIKYE >itb07g06220.t2 pep chromosome:ASM357664v1:7:4380275:4383340:-1 gene:itb07g06220 transcript:itb07g06220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPILARTAGRLSGRAIGYVQMARGQFESVMQQTQARQVHKELQDTIAQLEAIKHEIRTISFMNPGPLTTRLVDNINNIPAAGAVETGPEKADNEEGTTVAITPKDQDPKASTSSDMHSQATAYAKLAEITSANVGSVNSEALNELIDESGNLVLPVSAKSAGLLPDHKAEVKGSDIVLEAILEADVAQNAKKFFVQSQNQIKYE >itb07g06220.t1 pep chromosome:ASM357664v1:7:4379852:4383391:-1 gene:itb07g06220 transcript:itb07g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPILARTAGRLSGRAIGYVQMARGQFESVMQQTQARQVHKELQDTIAQLEAIKHEIRTISFMNPGPLTTRLVDNINNIPAAGADIVETGPEKADNEEGTTVAITPKDQDPKASTSSDMHSQATAYAKLAEITSANVGSVNSEALNELIDESGNLVLPVSAKSAGLLPDHKAEVKGSDIVLEAILEADVAQNAKKFFVQSQNQIKYE >itb12g24840.t1 pep chromosome:ASM357664v1:12:26246298:26246640:-1 gene:itb12g24840 transcript:itb12g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCQRVTKVVLPVKNSDERQVAEIESRYRSASVTSSRLEFYHQSHMSGIYIAQNYNVPNIKKVIDSALFKAKDVEEKYRKPTKPGE >itb11g01110.t1 pep chromosome:ASM357664v1:11:502087:505878:1 gene:itb11g01110 transcript:itb11g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPSMDLLQESGWEELRKEARKVEGDLDVKLSSYAKLGARFTQGGYVDSGSPTLGSSRSWKSMEMEIQSSLEKLLDINDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNISSMREHAELLSSVRDDISEYKAGSMSPRNQLLRERAAIHGSISHIDDVINQAQTTRAALGSQRALFGDVQGKVKQLGDKFPVIRGLIGSIRRKKSRDTLILSAVIAACTLFLIIYWLSK >itb11g01110.t2 pep chromosome:ASM357664v1:11:502105:505878:1 gene:itb11g01110 transcript:itb11g01110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPSMDLLQESGWEELRKEARKVEGDLDVKLSSYAKLGARFTQGGYVDSGSPTLGSSRSWKSMEMEIQSSLEKLLDINDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNISSMREHAELLSSVRDDISEYKAGSMSPRNQLLRERAAIHGSISHIDDVINQAQTTRAALGSQRALFGDVQGKVKQLGDKFPVIRGLIGILLNPFLFGLAAV >itb10g09550.t1 pep chromosome:ASM357664v1:10:12843257:12843727:-1 gene:itb10g09550 transcript:itb10g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFCLKELRADGRSKGANYTSLAGILLDKIEPRVYSMKDMINSFLNQTGLPAKEQLQLSMCLDECNEAIVDVSWCRHALKSGSGDFKGLKSQAFLLFKHFRKCDKSFKKQKPEPREIEKASGRIQELCSAVLVISKFGMRKHPFDVCSGFNCVRP >itb15g19840.t1 pep chromosome:ASM357664v1:15:22322624:22324222:1 gene:itb15g19840 transcript:itb15g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSNKFRGVRQRQWGSWVSEIRHPLLKKRIWLGTFETAEEAARAYDQAAVLMNGQNAKTNFPVAKEKSNGGREAARTPPIPVDVLSAKLKKCCKEPAPSITCLRLDTDGGHIGVWQKKSGKDSASNWLTKIELQGKNSKKKATEEETPSSLSSSYCNSWDNIVSPSSSSSLCSSNNSLGISSSDHELVIAEMDDENRVAMQMVEELLNWNIPNSSILN >itb15g19840.t2 pep chromosome:ASM357664v1:15:22323130:22324222:1 gene:itb15g19840 transcript:itb15g19840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQNAKTNFPVAKEKSNGGREAARTPPIPVDVLSAKLKKCCKEPAPSITCLRLDTDGGHIGVWQKKSGKDSASNWLTKIELQGKNSKKKATEEETPSSLSSSYCNSWDNIVSPSSSSSLCSSNNSLGISSSDHELVIAEMDDENRVAMQMVEELLNWNIPNSSILN >itb09g18170.t1 pep chromosome:ASM357664v1:9:13751473:13755761:1 gene:itb09g18170 transcript:itb09g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLLLVVLICFPLANANGGSSSSSVNRNSFPAGFLLGASSAAYQYEGATSGDGRGPSIWDNYIHSYPEKISDGSNADIADNFYKSYKEDVKLMKFVGLDAFRLSISWSRILPRGKLSGGVNREGIAFYNNLIDELLANGIQPFVTIYHWDLPQALEDEYSGFLSPLVVDDFVDFAEICFKEFGDRVKHWITMNEPYVFTSAGYDAGVFAPGRCSPWVSGAGCPSGNSATEPYIVAHHLLLCHAATVKLYKQKYQESQKGEIGITIVSQWMVPYSSSELDIKAAKRALDFVYGWFLNPLVYGEYPSTMRSLVGKRLPEFTADQAAMLKGSFDFLGVNYYTAKYVAHATSVNNVNVSSSTDAQVIFSTEKDGKPIGEPTASSDMFVYPEGLRNLLVYTMQNYNNPTIYVTENGMSDSSSLSKLEGIADYKRVKFYRNHLLYLKEAIQVGVNVKGFFAWSFLDNFEWTDGYNVRFGMCYVDYEDGLKRYPKYSALWFRKHFNKDSTASMAASL >itb05g05880.t1 pep chromosome:ASM357664v1:5:5811339:5813110:-1 gene:itb05g05880 transcript:itb05g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGRYFTLPMPEGAFDDRQGKSDPMNTEKKSMRSKKDKLLIHPRNLGLNNGPADFTRRERNAILVNFKNEQMASQNAIKLENVALLSAENSLSDDACEGDVYENYQGCPSKFLLMCLNMIQNDLEHDEAMINERGTPFFALPWGFEFWKHYSNGKNILDTSHAHSTVEQIAWIASTASDTITRREEEGFSTTNHFLLYVVPTQHEAIKVCQVFRPLKACGIHTISLHSGTSIDHQIHGIRKCEPEFIISTPERLTELISLNAIDLSGVSLLVIDGPVYAGGSIDAIKTIRNLIPGSSQALIFGGCLNNLESVSEL >itb01g31950.t1 pep chromosome:ASM357664v1:1:35569922:35571488:1 gene:itb01g31950 transcript:itb01g31950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKNRASVCSSAVNVLMICSVLTTNLFAFYAFTHAPKPHQTHLLLHQTHKNISLISEQVSLILREIDSSQKKLAQVQKQLLGYESIDLSRPNVAAELRAFLLHHQLPLGKDSRTGITEMVAAVGHSCEKSVDLLSQFMNYKVSGACPDDWSLGQKLILRGCEPLPRRRCFSKTIPKVGLQPFPISLWKNTSEKIYSWSGLACKNFACLNSKKLNRDCAGCFDIISGYETQKYVKARGKNDFLLDDVLSMGNGGIRIGFDIGGGSGTFAARMAERNLTVVTAALNIEAPFNEFIASRGLFPLYISLDHRFPFYDNVFDLVHVGNGLNVGGRPEKLEFLMFDIDRVLRTGGLFWLDNFYCQSDDKKKVLTRLIEAFGYKKLKWVVGEKSNGSEKSEVYLSAVLQKPVRA >itb01g10080.t1 pep chromosome:ASM357664v1:1:8392048:8392686:-1 gene:itb01g10080 transcript:itb01g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLEMNMGLPVAAPSPQLKVFNHGGAGNNQVAADDGECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYREYDGGERGSLRGDPLLKRSMVDPASYPPFVPPFPVGHHHAYFGFPPPMNGGGYLQRDESNAGTSQSAPAANIDPYPHCKE >itb14g10190.t1 pep chromosome:ASM357664v1:14:11515902:11517350:-1 gene:itb14g10190 transcript:itb14g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCHCHYYESNLGWGKQDGVVKLRKKPYLFWWRWRCFATGVSGAGGGRLMASATGDDLPDRRAWWRRRSCAALSLPPVQRLAMATASGGWRWRWLAAAGDGDG >itb01g30090.t1 pep chromosome:ASM357664v1:1:34190035:34190919:-1 gene:itb01g30090 transcript:itb01g30090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKFRNVTKFFLLPTILNAFLHACSGGADDPTDGFTSVPLSDNNFELQRPYDVPLQQRYTFQNGTHRMWVYADDKPHTPTSHTQPRTEIRIRGLDYSSGVWQFEGSVFVPNGTSGATIVQIHGGAKGATTILLRIFNGDMRYYSGEVIARNLYDRWFRVNLVHDVNGGKVKVFINGSQIFETHDQGPANLYFKCGVYAAPANISYYMESRWKDIKIWRK >itb04g03230.t1 pep chromosome:ASM357664v1:4:1996948:2000000:1 gene:itb04g03230 transcript:itb04g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESSGVSSDFNPVLLSPSSSLHQKHSQICRLDHHALIFGSSNSGNYNNNNNSNNNNSSNDNATLQIIINDGNRPIASASTSPAAVAAGGKTTAHKRILPGNNSSNSSINSMTKSPTLSNSLHKYPFYSAPPSANGPYPFRVLGHSHFYHQLHHLRRVVRIHLRLIILLSLPFFYFLVSHPTNSIFLDFLSAFAFSAALLFSLHLALPRLPSIRLFLARSFPIKLPLSSHVSKRPLPVSWSIGSWPKYDKKVSSGCWVQAYSNGDVYEGEFHKGKCNGSGVYYYYMSGRFEGDWVDGKYDGHGVETWARGSQYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQGHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNSDTYAGEYFADKMHGFGVYHFANGHRFEGAWHEGRRQGLGTYTFRNGETQSGHWHNGILDIPSTQSVPYPGSPVAVYHSKVLNAVQEARQAAEKAYNVAKVDERVNRTVAAANKAANAARVAAVKAVQKEMHHRRNSDELPIPVM >itb01g24270.t1 pep chromosome:ASM357664v1:1:29946767:29947881:1 gene:itb01g24270 transcript:itb01g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKTISNPKKLFKSRFARFVSRSDDPSIHSSGSASDSSSSDSHNGSKKPDGSSTPTSILPARPGADVYAELVQAFKLIDRDGDGKIQKEELEALLSLVGAEPPSEEEIDTMMSEVDRDGDGCISFEEFAALSSAFAPPSCHSEVRDTFDFFDTDRDGKITAEELFNVFKTIGDGRCTLEDCRRMIRGVDTNRDGFVCFEDFSRMMEQQI >itb10g19260.t1 pep chromosome:ASM357664v1:10:25118447:25128413:-1 gene:itb10g19260 transcript:itb10g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPSALATNITTDQSSLLSLKSYTSLSHNHTLANNWSTSASVCNWIGVVCGSKHQRVVALDISNMGIVGTLPPQLGNLSFLVSLNISHNSFYGKLPRELASLRRLQYIDFGYNNFSAIIPEEIGNLENAKWLILEVNQLVGPIPFTIFNISTLQNLVLNNNSLSDSLPMKLCEHAKRLEVLHLHFNKLNGNIPRNLSSCLKLEVLRLSYNNFVGTIPREFGSLNMLQILKLGSNKLEGEIPREIGSLNMLQILNLGSNNLEGEIPREIGSLNMLQNLSLENNNMEGEIPREIGSLNMLQVLTLDRNNLKGEIPREIRSLNMLRILILNGNNLEGEIPRELDNLHKLKFLELDNNGLSGSIPREIFNMSTLEVLALGFNKFSGTLPTSLGYWLPNLKELYLDVNYLSGVIPPQISNASNLVVLELSTNQFTGFIPNSLGNLPQLQILNLLENNLTIDPQFSLMTSLANCRYIHAIDLSANPLNVMLPNAIGNLSHTLQFFPLEDCNIRGRIPQEIGNLSSLYELVLSFNEIIGFLPTTIQALQSLQLFSISNNRLIGSFPDVICELQNLFSINLGRNKFSGPISDCLGNISSLEKIFLYENKFTVFPPTLWSIKNLLILNLSSNNLSGSLLQEIGNAKTAIVIDFSNNKLSGEIPSSIGDLTRLINFSVAHNKIQGSIPDTFAKLLDLYSLDLSDNKISGMIPKSLGGLVSMKHFNVSYNRLTGEIPSGGPFANFTYESFLSNVGLCGTPRMHVPPCPANSLRTSKKNRVVMFVLISLAVLIVLVVSVTVYLIFKRRKKLLPREPDFLSADALPTEIGHVIDPNLLGLDEEKNDEKLQCVIAIFELGMKCSTDSPRERMVIKDALPALEKIKVKLLSLYART >itb01g03160.t1 pep chromosome:ASM357664v1:1:2078991:2082472:1 gene:itb01g03160 transcript:itb01g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPKNSRVSASGGTRSKAPRLRWTEELHRRFVHAVERLGGEERATPKLVLQLMDVKEMTIAHVKSHLQMYRRMKHKQKMKETRVEQNYVVSNSTPYREQHEAIPPSFLPAIWQEDEPTMMFMDVVSASNVQGRNDDYAHEESASMMSFSSDRSESSSDNLSIDLTLG >itb10g02120.t2 pep chromosome:ASM357664v1:10:1734422:1739232:1 gene:itb10g02120 transcript:itb10g02120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYEIGNDKVVGFENEATTIINELIRGSKEKEVILITGMGGLGKTTLVKRVYEEKSIVNHFDKYAWCTVSQEYDCKDLFNKIYNQVCGKETETDSIAEKLRKSLMGWRYLIVLDDIWSLKAWEELNRAFPSCDNGSRVVLTSRQESVVSNAKHICLPFFTVDESWELLQVKLFKGKECPKEIENIGKQISKECGGLPLIVGLVAGLLGGVEKSEQMWQKFLNTLSSQVAFRGGMQSNDVIELSYKHLSHDLKQCLLYFSAFKEDAEIEVSYLIELWISEGFIKITEEERVEDMAKHYLNHLVRSNLVMVSERNYDGGILWCVVHDLVHDFCLAKAKKENFLHIIRVGDKLDPTLKFTPYRISFRRSRFFEIPNELVPWNSSVSTILGYPEIYNEIHFDVYNGSWVAKKFEHLTILSFESIMMDKSVLFEMNSLIHLKYLALYLCGRGSVSPSSLKNLQCLLTLKLRSEKHLHLPKYFLNMKSLRHMTIRHYGCDSCPTEPTPACGIETISGLEVLQSLDLQTFLCIRRDEHLLRKLSNLKCLSCVVSSSYPFADEIEMLHHLEFLWIHKLRFWDVDPYSHENPHLLKDLKLSKFPSNIKEINLEGITLSSSAMSIIAQLSNLEALILVGCKFEEEEWKVEEETLFCKLKYLELMNPGGAL >itb10g02120.t3 pep chromosome:ASM357664v1:10:1734422:1739232:1 gene:itb10g02120 transcript:itb10g02120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYEIGNDKVVGFENEATTIINELIRGSKEKEVILITGMGGLGKTTLVKRVYEEKSIVNHFDKYAWCTVSQEYDCKDLFNKIYNQVCGKETETDSIAEKLRKSLMGWRYLIVLDDIWSLKAWEELNRAFPSCDNGSRVVLTSRQESVVSNAKHICLPFFTVDESWELLQVKLFKGKECPKEIENIGKQISKECGGLPLIVGLVAGLLGGVEKSEQMWQKFLNTLSSQVAFRGGMQSNDVIELSYKHLSHDLKQCLLYFSAFKEDAEIEVSYLIELWISEGFIKITEEERVEDMAKHYLNHLVRSNLVMVSERNYDGGILWCVVHDLVHDFCLAKAKKENFLHIIRVGDKLDPTLKFTPYRISFRRSRFFEIPNELVPWNSSVSTILGYPEIYNEIHFDVYNGSWVAKKFEHLTILSFESIMMDKSVLFEMNSLIHLKYLALYLCGRGSVSPSSLKNLQCLLTLKLRSEKHLHLPKYFLNMKSLRHMTIRHYGCDSCPTEPTPACGIETISGLEVLQSLDLQTFLCIRRDEHLLRKLSNLKCLSCVVSSSYPFADEIEMLHHLEFLWIHKLRFWDVDPYSHENPHLLKDLKLSKFPSNIKEINLEGITLSSSAMSIIAQLSNLEALILVGCKFEEEEWKVEEETLFCKLKYLELMNPGITIWNISSSESFPCLEQVILDCCVKLQEVPYSLADIATLKLIWVRDCTDTCERSVKKIEEDVQEFGNDGQLKIILRMTGDSNISSANMTGPGPIKRRHDRDMFRQREELRTKFMAFLAEKRSDKGRGIAGMFSKIKQIKNKGRLEHQQ >itb10g02120.t1 pep chromosome:ASM357664v1:10:1734422:1739199:1 gene:itb10g02120 transcript:itb10g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYEIGNDKVVGFENEATTIINELIRGSKEKEVILITGMGGLGKTTLVKRVYEEKSIVNHFDKYAWCTVSQEYDCKDLFNKIYNQVCGKETETDSIAEKLRKSLMGWRYLIVLDDIWSLKAWEELNRAFPSCDNGSRVVLTSRQESVVSNAKHICLPFFTVDESWELLQVKLFKGKECPKEIENIGKQISKECGGLPLIVGLVAGLLGGVEKSEQMWQKFLNTLSSQVAFRGGMQSNDVIELSYKHLSHDLKQCLLYFSAFKEDAEIEVSYLIELWISEGFIKITEEERVEDMAKHYLNHLVRSNLVMVSERNYDGGILWCVVHDLVHDFCLAKAKKENFLHIIRVGDKLDPTLKFTPYRISFRRSRFFEIPNELVPWNSSVSTILGYPEIYNEIHFDVYNGSWVAKKFEHLTILSFESIMMDKSVLFEMNSLIHLKYLALYLCGRGSVSPSSLKNLQCLLTLKLRSEKHLHLPKYFLNMKSLRHMTIRHYGCDSCPTEPTPACGIETISGLEVLQSLDLQTFLCIRRDEHLLRKLSNLKCLSCVVSSSYPFADEIEMLHHLEFLWIHKLRFWDVDPYSHENPHLLKDLKLSKFPSNIKEINLEGITLSSSAMSIIAQLSNLEALILVGCKFEEEEWKVEEETLFCKLKYLELMNPGITIWNISSSESFPCLEQVILDCCVKLQEVPYSLADIATLKLIWVRDCTDTCERSVKKIEEDVQEFGNDGQLKIILRMTGDSNISSANMTGPGPIKRRHDRDMFRQREELRTKFMAFLAEKRSDKGRGIAGMFSKIKQIKNKGRLEHQQ >itb03g22280.t1 pep chromosome:ASM357664v1:3:20335481:20337520:-1 gene:itb03g22280 transcript:itb03g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGGWSDSTASTTTSSSTRRSSFCLYTSNHDSHLSSSSSSSSMQQRGAIGKANQDEKLGAAAREAKERLDERLKSGHCKSDHKRNGRKEAEKRGMVMGDVQMEVYVLKSKAMKWARKLGWKASEGEEECAICLDQFKVGETLMHLPCAHRFHSRCLLPWLHTNAHCPCCRMGILSSPF >itb03g22280.t2 pep chromosome:ASM357664v1:3:20335645:20337355:-1 gene:itb03g22280 transcript:itb03g22280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQGGGWSDSTASTTTSSSTRRSSFCLYTSNHDSHLSSSSSSSSMQQRGAIGKANQDEKLGAAAREAKERLDERLKSGHCKSDHKRNGRKEAEKRGMVMGDVQMEVYVLKSKAMKWARKLGWKASEGEEECAICLDQFKLQALEANSREYSTVSRFFIPSA >itb10g14660.t1 pep chromosome:ASM357664v1:10:20995286:20996029:1 gene:itb10g14660 transcript:itb10g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRVKIPTIIVICMMLNIVGIINFRVLAKALRLSGGDHIHAGTVVGKLEGEREITLGFVDLLRDDFVEQDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREACKWSPELSAACEVWKEIRFEFKPVDTLDPGTA >itb11g06100.t2 pep chromosome:ASM357664v1:11:3641260:3642674:-1 gene:itb11g06100 transcript:itb11g06100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVEGEKVVLVPYMREHVPKYHEWMQEPSLLQATGSEPLTLDQEYDMQLSWTQDPLKQTFIVLDKELIVGDFFHGDAHVEAMVGDVNIYMNDLDDSQTAEIEIMIAEHKSRGKGLGNETVLLMMAFAVEKFGIHTFRAKIGESNKASLSLFQKLVSQSSL >itb11g06100.t1 pep chromosome:ASM357664v1:11:3640512:3642674:-1 gene:itb11g06100 transcript:itb11g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVEGEKVVLVPYMREHVPKYHEWMQEPSLLQATGSEPLTLDQEYDMQLSWTQDPLKQTFIVLDKELIVGDFFHGDAHVEAMVGDVNIYMNDLDDSQTAEIEIMIAEHKSRGKGLGNETVLLMMAFAVEKFGIHTFRAKIGESNKASLSLFQKLGFVQTSYSQIFSEVTLELPITRAKGEELHQLISNTVTHSS >itb12g11360.t1 pep chromosome:ASM357664v1:12:9598277:9599987:1 gene:itb12g11360 transcript:itb12g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPQLLHQPSWPSSYNVDYSTLPRTRLCGLHEDSYVRDNYTHSSLLTTTDSFGVSSVSDFSALFPDAFTELSSLQLQNDMQILPPLPDGEFEDVCKWLNISDSEDGISSEASFSIAQSSDANRLPAIFPGSGVEVDTQLSLHHLLGAYAEAMENGHEELAEVIVKRIRGKASPLGETLERVAHSLFEEDQEGYLRQESSNNFEQAFRAFYQILPFGRFAHFAANSAILEALPNDAETVVHIIDFDMGEGVQWPPVIEAMARKRRALNLRLTSIKPNHESTSNQYEGTKRRLYDHAKPFALNLQIEEMSVEELAIETKRMNNKGPGKQWLAFNCMFRLPHNMATNMPKTTQAMEFLKIAKQLLAHSETQTGIIIFAHGESEGCNTPTSNYTSFFNNKLVHYKSLLESIEWHFPAILSEARIALESIFLAPCINSESWYQDWEQNKIRGTCDFQLQRQTLSTENLVQAKELVGERESPYRVRIEEHKQNEMILEWRGTPLIRVSTWM >itb02g20240.t1 pep chromosome:ASM357664v1:2:18017569:18022239:1 gene:itb02g20240 transcript:itb02g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKTPGTPASKLERTPASTPGGHRAREEKIVVTVRLRPLNKREQSAKDNVAWECIDDHTIVYKPTPQERSPQPTSSFTFDKVFGPNSLTETVYEEGVKNVALSALMGINATIFAYGQTSSGKTFTMRGITEKAVNDIYSHIANTPEREFRIRISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTMVEKLVEETAANDQHLRQLISICEAQRQVGETALNDTSSRSHQIIRMTIESTLRESSGCVRSYVASLNFVDLAGSERASQTNADGARLREGCHINLSLMTLTTVIRKLSVGKRTGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASSHIEQSRNTLLFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDISNEKDLKIQQMEMEIEELRRQRDLAQSQVDELRRKLQEEQGFKPFEPTSPVSKKCLSFSATSPNLEGKGRVRCERTRNTMGRQSMRQSLAAPFTLMHEIKKLEHLQEQLGEEAYRALEVLQKEVTCYRQGNQDAAETIAKLQAEIRDMCAVRPVPKEVEVEVEVAVNKSVSANLKEEIARLHSQGSTIADLEEQLENVQKSIDKLVMSLPSGNDPDSNDVTAKSKNPSKKKKLLPLASNNSINMQNFIRSPCSPLSSTCQVVVDSEIENRAPEYGDAVSLETQQVLEKETPTKSEGGLSSKEGTPDRRSGSVNMRKMQKMFQEAAEENVRSIRAYVTELKERVAKLQYQKKLLVCQVLELEANEAAGYSLENDDIPEIVEESPASWHIIFREQQQQIIDLWDVCYVSIIHRSQFYLLFKGDPADQIYMEVELRRLTWLQQHLAELGNATPANVGNEPTISISSSIRALRREREFLAKRLTTRLTIEERDALYMKWDVPLEAKQRKLQFINKLWTKPHDMNHIQESAEIVARLVGFSESGNMSREMFELNFNLPSDKRPWFMGWNQISDLLHL >itb10g10210.t1 pep chromosome:ASM357664v1:10:14429009:14429977:1 gene:itb10g10210 transcript:itb10g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHASSSFHSQFIYCSDFDDTSIWDEMNLTPLYDFENEFHKLNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSSWNFKDEVPEVKEINEVATEEIIEEASNPIITIVDKKSTSKKSWRIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb02g11350.t1 pep chromosome:ASM357664v1:2:7582921:7589021:1 gene:itb02g11350 transcript:itb02g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGGDGTAMRGSKTYCVTGGSGFIGSWLIKSLLRRGYDVHATVRNPEKALHLLKLSERLKLFKADLQEEGSFDEAVRSCDGVFHVAASMEFGVEPNHNIDSYVQENVIDPAAKGTLNVLKSSLKANSVKRVIFTSSISTMTAKDSSGKWRPVVDESCNIPTEHVWHTKPSGWVYALSKVFTEKAAIRFANENGIDLVSIITPTVAGPFLTPTVPSSIRMLLSLITGDVKLLPILAAVNSRMGSIALVHIEDICSAHIFLMENARAEGRYICCTHNCSISELIAQLSQEYTVPTTHSLMMEKHDSQPPVISSKKLRDLGYSFKYSVQDIIRDTLQSCKQQGFLSHSQ >itb02g11350.t3 pep chromosome:ASM357664v1:2:7582994:7584931:1 gene:itb02g11350 transcript:itb02g11350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGGDGTAMRGSKTYCVTGGSGFIGSWLIKSLLRRGYDVHATVRNPEKALHLLKLSERLKLFKADLQEEGSFDEAVRSCDGVFHVAASMEFGVEPNHNIDSYVQENVIDPAAKGTLNVLKSSLKANSVKRVIFTSSISTMTAKDSSGKWRPVVDESCNIPTEHVWHTKPSGWVYALSKVFTEKAAIRFANENGIDLVSIITPTVAGPFLTPTVPSSIRMLLSLITGDVKLLPILAAVNSRMGSIALVHIEDICSAHIFLMENARAEGRYICCTHNCSISELIAQLSQEYTVPTTHSLMMEKHDSQPPVISSKKLRDLGYSFKYSVQDIIRDTLQSCKQQGFLSHSQ >itb02g11350.t2 pep chromosome:ASM357664v1:2:7582921:7585672:1 gene:itb02g11350 transcript:itb02g11350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGGDGTAMRGSKTYCVTGGSGFIGSWLIKSLLRRGYDVHATVRNPEKALHLLKLSERLKLFKADLQEEGSFDEAVRSCDGVFHVAASMEFGVEPNHNIDSYVQENVIDPAAKGTLNVLKSSLKANSVKRVIFTSSISTMTAKDSSGKWRPVVDESCNIPTEHVWHTKPSGWVYALSKVFTEKAAIRFANENGIDLVSIITPTVAGPFLTPTVPSSIRMLLSLITGDVKLLPILAAVNSRMGSIALVHIEDICSAHIFLMENARAEGRYICCTHNCSISELIAQLSQEYTVPTTHSLMMEKHDSQPPVISSKKLRDLGYSFKYSVQDIIRDTLQSCKQQGFLSHSQ >itb02g11350.t4 pep chromosome:ASM357664v1:2:7583343:7584931:1 gene:itb02g11350 transcript:itb02g11350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGVEPNHNIDSYVQENVIDPAAKGTLNVLKSSLKANSVKRVIFTSSISTMTAKDSSGKWRPVVDESCNIPTEHVWHTKPSGWVYALSKVFTEKAAIRFANENGIDLVSIITPTVAGPFLTPTVPSSIRMLLSLITGDVKLLPILAAVNSRMGSIALVHIEDICSAHIFLMENARAEGRYICCTHNCSISELIAQLSQEYTVPTTHSLMMEKHDSQPPVISSKKLRDLGYSFKYSVQDIIRDTLQSCKQQGFLSHSQ >itb08g10570.t1 pep chromosome:ASM357664v1:8:10158026:10172409:-1 gene:itb08g10570 transcript:itb08g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTGDYQSNSAYVIKVELLAAKNLVAANLNGTSDPYAIITCGTQKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSAVLGSVTVLVENEGPTGAIWHSLDSPSGQVCLHIETIKSNMNSFRGLNGFGGPNTRKRVSLDKQGPTVVHQKPGPLQTIFELPADEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQMKVIIPFGEIDEIRRSQHAFINPAITIILRVGAGGHGVPPLGNPDGRVRYKFASFWNRNNTLRVLQRAVKNYHAMLEAEKREREQSALRAHSSSIKGGNQLSQNPEESVPKADKFQSFIKEEVLSGIYNDIFPCTAEEFFGILLSDGSNFTQEYRAARKDSNLTMGQWHSAAEYDGQVREIKFRSLCTSPMCPPDTAMTEYQHAVLSPDKKWLVFETVQQALDVPFGSYFEIHCRWSLETNSESSCSMDIKVGAHFKKWCVMQSKIKAGAINEYKKEVELMLDVARSYINKSKTPPANENDTFSPLPPVTEER >itb08g10570.t2 pep chromosome:ASM357664v1:8:10158026:10172409:-1 gene:itb08g10570 transcript:itb08g10570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETALLECLVPSWWEVQVTVVAAAFFVFAYWLFTLGEYAGVDDRGSAGDSVDVLEDKDEMGRLTGDYQSNSAYVIKVELLAAKNLVAANLNGTSDPYAIITCGTQKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSAVLGSVTVLVENEGPTGAIWHSLDSPSGQVCLHIETIKSNMNSFRGLNGFGGPNTRKRVSLDKQGPTVVHQKPGPLQTIFELPADEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQMKVIIPFGEIDEIRRSQHAFINPAITIILRVGAGGHGVPPLGNPDGRVRYKFASFWNRNNTLRVLQRAVKNYHAMLEAEKREREQSALRAHSSSIKGGNQLSQNPEESVPKADKFQSFIKEEVLSGIYNDIFPCTAEEFFGILLSDGSNFTQEYRAARKDSNLTMGQWHSAAEYDGQVREIKFRSLCTSPMCPPDTAMTEYQHAVLSPDKKWLVFETVQQALDVPFGSYFEIHCRWSLETNSESSCSMDIKVGAHFKKWCVMQSKIKAGAINEYKKEVELMLDVARSYINKSKTPPANENDTFSPLPPVTEER >itb10g19720.t1 pep chromosome:ASM357664v1:10:25469718:25470140:1 gene:itb10g19720 transcript:itb10g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEREQQQVVSEAILENVWANFIAKGEEEKAKENWEQLPNLDDGGDVSSMEKVLQRLPSLGRWISMGAEAWEQLLDGTVVPHNYNNKVVSNMVSEHGSEHRKDLSSSSLSTCNGELEKTAEKKVVERHYRGVRRRPWGK >itb09g14480.t1 pep chromosome:ASM357664v1:9:9753739:9755163:1 gene:itb09g14480 transcript:itb09g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRKRPAQKRATVKPIKPEAVIVISPDTKEEVKEKNSLRRKAAAEDSSRKTYCNSHYSKQGHCCAAHGLNVKLPKEKIEDIDAGGVYNELAVVEYAEDIYKFYKEVEGCVYCIG >itb03g02430.t1 pep chromosome:ASM357664v1:3:1386390:1386941:1 gene:itb03g02430 transcript:itb03g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTESTELHYLLAPNQNQLPTRSNLSNNPNSPFQHFPLTLPNPSYHPLLQMNPQFQDLIISHPACNFSSNSTSDEAEEHHQQQSLINERKQRRMISNRESARRSRMRKQRQVDELWSQVVWLRNENHQLSDKLSHATERHDQAVQENVQLKEEATGLRQMITEIQLHSPFPAAGCTLKDIHI >itb13g01680.t1 pep chromosome:ASM357664v1:13:1535489:1536060:1 gene:itb13g01680 transcript:itb13g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSGQCLLDEKTVLLQIRSEITYSSASTKLLLWDERVDCCRWPNQDGNKLSGHINELQNVTSPLALLDLSNNNLEGTIPSFFFQFQNLSTLFLGMSQIPSFFFQLQNLTFLYLSSNKFSGQMIDLQNVTSPLEVVDLSMQQQLGRDNTFILLSITES >itb01g25600.t1 pep chromosome:ASM357664v1:1:30989592:30993500:-1 gene:itb01g25600 transcript:itb01g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYIPATTDSLAQASEAKSPSEALSILYSILNNPSSSSDALRIKEQAISNLSDLLRQENRAEDLKNLLTKLRPFFSLIPKAKTAKIVRVIVDALSKMPGTSDLQIALCKDIVQWTRAEKRTFLRQRIEARLAALLMENKEYAEALTLLSGLIKEVRRLDDKLLLVEIDLLESKLHFALRNLPKAKAALTAARTAANAIYVPPGQQGSIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALEDSQAIYSLKYMLLCKIMVSQADDVASIISSPKVGLQYQGPELDAMKAVADAHSKRSLKLFETALRDFKTQLDEDPIVHRHLSSLYDTLLEQNLCRLIEPFSKVEIDHIAELIELPADHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNMGKVVDSLFIRSAKIMA >itb07g24480.t1 pep chromosome:ASM357664v1:7:28765711:28769475:-1 gene:itb07g24480 transcript:itb07g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEKFKIWVLFMCLVWGLGHGFYLPGSYPHKYGVGDMLNVKVNSLTSIDTEMPFSYYSLPFCEPQEGIKDSAENLGELLMGDRIENSPYKFKMYRNETQIFLCQSKPLSGEEFKLFKKRIDEMYQVNLILDNLPAIRYTPKEGFFLRWTGYPIGIKVQDSYYVFNHLRFTVLVHKYEETNMASVMGTGDAAEVIPTVGKTGSGAPGYMVVGFEVVPCSFQHNAESLKNLKMYGKYPSPIKCDPTTVGMAIKENEPVAFSYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVADVFRAPSNPALLCVMVGDGVQILGMGVATILFAALGFMSPASRGTLITGMLFIYMILGVAAGYVAVRLWRTIFCGDHKGWVSVSWKAACFFPGISFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGYLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLLIVMILLVVVCAEVSLVLTYMHLCVEDWQWWWKSFFASGSVAMYIFLYSVNYLVFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTVGFISSFWFVHYLFSSVKLD >itb14g12240.t1 pep chromosome:ASM357664v1:14:13860831:13863625:1 gene:itb14g12240 transcript:itb14g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRANVIYQLGFSCGFLCRSGVFDVNSLRVTHVHCPPPAWLRDNIDCLGNICHLRKPSWLPNYSIYAIGSESDDGIHLLDFYPDRSSPCHVNFDEEIEGTNQVQHQHRQNKFIPLSEGVTACTVHPLTGTIVAGTKSSSLLVISQASVSCPGDDHCQRCNSNATKAPEHVDASQD >itb01g04890.t1 pep chromosome:ASM357664v1:1:3326094:3327981:1 gene:itb01g04890 transcript:itb01g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGFSRASMFQIIASLFLLIVVFAWAETNPFGGIIEAGASCFTVVGVKEGDTCFDIAKSFNVSTKDFNAINQTSTALLSSSANGFALKGIIEAGASCFTVVGVKEGDTCFDIAKSFNVSTKDFNAINPNLNCTALFIGQWLCIEGSVN >itb04g16530.t1 pep chromosome:ASM357664v1:4:18220766:18225084:-1 gene:itb04g16530 transcript:itb04g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELPTAKTQPIKVLLLSFCKDKVLMVLSGDDDEHLQKSQMEMESATLRRISGGMNLGKKKKEKIELYQQQLQIPELSRDDSDAYFTNNKQSSTVCGLLENNVMKSFAADKERANNDAKIKVVVRKRPLNKKELMKNEEDIIETLPTH >itb10g19910.t1 pep chromosome:ASM357664v1:10:25579467:25583024:1 gene:itb10g19910 transcript:itb10g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLTGATTARGLPLLRKPLAAAARCNAFSFPAAAAKPIGDAGNLVWGRQLRPAILLETSPASPITPATKREILRPCQAAADGSTGEAKVGFFDKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVVHLAVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVAFCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQQIPLTLWLSLAPVVLGVSMASLTELSFNWLGFTSAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALLFCIPAAVFFEGPQLMKQGFNDAIAKVGMTKFLTDLFWVGMFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTAIGTSIAIAGVALYSFIKAKMEEEKRQMKST >itb08g07360.t4 pep chromosome:ASM357664v1:8:6292736:6297730:1 gene:itb08g07360 transcript:itb08g07360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTLCGFHLAEPPFHHPPSSEHTDAESPPPLNEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVLNTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKEMEKMVPNSFRDRINKNDKTPKQLFAEEHISLRIEGENSIRDTASSCMLVATLIATVAFAAAFTVPGGNSDATGIPNFIKQTSFTVFTISDAVGMIYSMVSIVMFLSILILRYTEDNFHVALSRLLFGLGALCVSVGGMLVAFTAAFFAVYNKAWQSILIAVFAGVPVVLFLSLNSELWFDSISSLWRNVYLRYRNLKLGIKAQGT >itb08g07360.t5 pep chromosome:ASM357664v1:8:6292736:6297730:1 gene:itb08g07360 transcript:itb08g07360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVLNTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKEMEKMVPNSFRDRINKNDKTPKQLFAEEHISLRIEGENSIRDTASSCMLVATLIATVAFAAAFTVPGGNSDATGIPNFIKQTSFTVFTISDAVGMIYSMVSIVMFLSILILRYTEDNFHVALSRLLFGLGALCVSVGGMLVAFTAAFFAVYNKAWQSILIAVFAGVPVVLFLSLNSELWFDSISSLWRNVYLRYRNLKLGIKAQGT >itb08g07360.t6 pep chromosome:ASM357664v1:8:6292736:6297730:1 gene:itb08g07360 transcript:itb08g07360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTLCGFHLAEPPFHHPPSSEHTDAESPPPLNEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKVRNGSFKLQYSS >itb08g07360.t2 pep chromosome:ASM357664v1:8:6292736:6297730:1 gene:itb08g07360 transcript:itb08g07360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKEMEKMVPNSFRDRINKNDKTPKQLFAEEHISLRIEGENSIRDTASSCMLVATLIATVAFAAAFTVPGGNSDATGIPNFIKQTSFTVFTISDAVGMIYSMVSIVMFLSILILRYTEDNFHVALSRLLFGLGALCVSVGGMLVAFTAAFFAVYNKAWQSILIAVFAGVPVVLFLSLNSELWFDSISSLWRNVYLRYRNLKLGIKAQGT >itb08g07360.t1 pep chromosome:ASM357664v1:8:6292736:6297730:1 gene:itb08g07360 transcript:itb08g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTLCGFHLAEPPFHHPPSSEHTDAESPPPLNEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKEMEKMVPNSFRDRINKNDKTPKQLFAEEHISLRIEGENSIRDTASSCMLVATLIATVAFAAAFTVPGGNSDATGIPNFIKQTSFTVFTISDAVGMIYSMVSIVMFLSILILRYTEDNFHVALSRLLFGLGALCVSVGGMLVAFTAAFFAVYNKAWQSILIAVFAGVPVVLFLSLNSELWFDSISSLWRNVYLRYRNLKLGIKAQGT >itb08g07360.t7 pep chromosome:ASM357664v1:8:6292830:6297730:1 gene:itb08g07360 transcript:itb08g07360.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKVRNGSFKLQYSS >itb08g07360.t3 pep chromosome:ASM357664v1:8:6292736:6297730:1 gene:itb08g07360 transcript:itb08g07360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSEGKTLQDHRRLYQAAKTGDWKTAKEILGGNPDYVRQRITTGGETVLHIAAAEKHTLFVKKLVEMLGASDLLLKDDRGCTALYHAVTSGVVENAKVMIKKNSELLTIRTYDEISPIQYAALLGHKEMVSHLYKTYSPFAVLPYWEIEDFLKTIILNDMYDVALDILKKERSLAFAEFEGNQCLLNLLVRKLQALTDEKEEWNWGKFIGAHAFTKTVYKAIQEKSSARKKAYELLETIWVEYRSLPACTFKNTIRSQEILHYAAKEGNAKFLDMILQRNPDLLWELNEKGQSILHVAVLHRQEKVVHYIRTKQGYKDFITLLEDNDRNNILHLAAMTATTFLKDQDGSLSQKEKKKVMPQSLPRLSTAALQYEREISWFKEMEKMVPNSFRDRINKNDKTPKQLFAEEHISLRIEGENSIRDTASSCMLVATLIATVAFAAAFTVPGGNSDATGIPNFIKQTSFTVFTISDAVGMIYSMVSIVMFLSILILRYTEDNFHVALSRLLFGLGALCVSVGGMLVAFTAAFFAVYNKAWQSILIAVFAGVPVVLFLSLNSELWFDSISSLWRNVYLRYRNLKLGIKAQGT >itb13g20270.t1 pep chromosome:ASM357664v1:13:27071901:27075328:-1 gene:itb13g20270 transcript:itb13g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESTHPNSDGVRGKIVPCDFCNDQPAVLYCRADAAKLCLFCDQHVHAANALSRKHLRSQICDNCGAEPVAVRCSSENLVLCQECDWDAHASCAVSAAHDRSPVEAFSGCPLAAELAGIWGLEIEEKKSGRLSGQNPSWTGLLDPWMPKEISNSVLLQDLMVPSDNNPVVYSNPDGGCGPSKKQQSPGSCGKNKPVILKQLVELFKREAVDGGGGGGEDIVPRTPNGGSGWQGNVAEGGDGAVAALNQHFQSEQQQQQPQNVPFTSLLMMQAPMKEDDRMVEGNMLWNNASRDRSPQIWDFNLGQLRDHDESSPIEVGYGANDMAYMMKSYSELLKEASLANSKGSELSRMNFSVTHEDLTAFGNISNNPTASQGPATSESNNLPRLQPPLVSGYCKPKCHSKDIHFMDQTILVDSESATPSMTKADIELLAKNRGNAMLRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKAADAPDGY >itb02g16140.t1 pep chromosome:ASM357664v1:2:11905880:11915619:-1 gene:itb02g16140 transcript:itb02g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQMVTEWSISNSEKRLRLYDKMERDLEEHGASFLKHGETSQSLALSDLFTLKDGIVTPVLKAANPSVRANVLHLSTDYSVPIAVAVRSMFSPYFERAIWFQNSSIYHCSMFHASHHVAPVPASEAEIEAEADSVEAVAKASCPLDVVLDRVVLTSTGVLIGCWQVVSGTDPVTIREKLRSALPHAPEKQFYDAAILHTSFARLLGPPTNLPEEAKNLSVLEFFHELVTRLNSQIRGFKATITELWYVEEHDLLALALDGSMTIRKFKLGCSKA >itb02g16140.t4 pep chromosome:ASM357664v1:2:11905880:11915618:-1 gene:itb02g16140 transcript:itb02g16140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQMVTEWSISNSEKRLRLYDKMERDLEEHGASFLKHGETSQSLALSDLFTLKDGIVTPVLKAANPSVRANVLHLSTDYSVPIAVAVRSMFSPYFERAIWFQNSSIYHCSMFHASHHVAPVPASEAEIEAEADSVEAVAKASCPLDVVLDRVVLTSTGVLIGCWQVVSGTDPVTIREKLRSALPHAPEKQFYDAAILHTSFARLLGPPTNLPEEAKNLSVLEFFHELVTRLNSQIRGFKLFRRQSLSSGM >itb02g16140.t2 pep chromosome:ASM357664v1:2:11905880:11915619:-1 gene:itb02g16140 transcript:itb02g16140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRNWCSRSRHSIFIWFVCALTFCTLFNMTLRNSSEWSISNSEKRLRLYDKMERDLEEHGASFLKHGETSQSLALSDLFTLKDGIVTPVLKAANPSVRANVLHLSTDYSVPIAVAVRSMFSPYFERAIWFQNSSIYHCSMFHASHHVAPVPASEAEIEAEADSVEAVAKASCPLDVVLDRVVLTSTGVLIGCWQVVSGTDPVTIREKLRSALPHAPEKQFYDAAILHTSFARLLGPPTNLPEEAKNLSVLEFFHELVTRLNSQIRGFKATITELWYVEEHDLLALALDGSMTIRKFKLGCSKA >itb02g16140.t3 pep chromosome:ASM357664v1:2:11905880:11915618:-1 gene:itb02g16140 transcript:itb02g16140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQMVTEWSISNSEKRLRLYDKMERDLEEHGASFLKHGETSQSLALSDLFTLKDGIVTPVLKAANPSVRANVLHLSTDYSVPIAVAVRSMFSPYFERAIWFQNSSIYHCSMFHASHHVAPVPASEAEIEAEADSVEAVAKASCPLDVVLDRVVLTSTGVLIGCWQVVSGTDPVTIREKLRSALPHAPEKQFYDAAILHTSFARLLGPPTNLPEEAKNLSVLEFFHELVTRLNSQIRGFKATITELWYVEEHDLLALALDGSMTIRKFKLGCSKA >itb11g22350.t1 pep chromosome:ASM357664v1:11:24231228:24233388:-1 gene:itb11g22350 transcript:itb11g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MGLTSLQVCMDSSVDWLQGTIHDEGGMDSSSSPSGVDILTCSRPLIERRLRPPHDQALKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSSSNNSSSSSSSANKKSSNDHNQFPHPPPPLYHHHQNLGQSSSSTSSPGSAGINPTDLHLSFPAEMQFSHHFTNFHLAPHTGFLGIESPTPIDFMEGKYDSGFVMGGGTCTTPPPSRNQDFLGNNNGDFGIMAQTTFPTSISPQFGMPIDGNSVGPTLMLPYDHHHHGDHHQFNNAMHHNHQDVKPNPKLLSLEWHDQGCSDAGKDSFGYLNGVGSWTGLMNGYGSSTTNPLV >itb09g30850.t1 pep chromosome:ASM357664v1:9:31406172:31407791:-1 gene:itb09g30850 transcript:itb09g30850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSWSFLLALPYFIIVIFLFQHSSAAKITYDVQKFGAKPDGKTDSTKAFLNAWAAACASSTKHVTILVPQGRYLLGTASFWGQSCKAKAIRIQIYGTLLAPSDYRVIGFTGNWLKFERVSGLTIAGGTLDGQGAALWDCKTSGKTSCPSGATTIAFYNSNNIVVSEMSSLNSQMFHVIVDGCNNVRLQKMKVSASGNSPNTDGIHIQDSSGVTILNTRIGTGDDCVSIGPGTSNLWIENVSCGPGHGISIGSLGWNAQEAGVQNVTVKSTTFRGTQNGVRIKTWARPSNAFVKGVVFQHSVMVNVQFPIIIDQQYCPDKKNCPTQISEVTYEDIHGSSATAVGLKLDCSKAFPCSGIKLQDINLSYGNQPAQALCNNAAGSSSGVNRPASCL >itb01g09630.t1 pep chromosome:ASM357664v1:1:7888211:7888822:-1 gene:itb01g09630 transcript:itb01g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIAVLRPQDPLKDRLAYNRHNTFVNSSFPSPKSKKTCSSSSNPNHGSDKSNRRKRSPQKSSRNSNTSRGGCASLSSSPPAKNRHLVMGQVKILKRGEALTVSMKNGLEKKVMMMEGEKSEVNCCAPEEDDDLALSTTDRLGPEPDMVPKQIKIADFYAGSAFISSPPPSSLPVPAFFKKKSTESYDDASSGLRRLLRLDPS >itb07g24030.t1 pep chromosome:ASM357664v1:7:28311153:28316401:1 gene:itb07g24030 transcript:itb07g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDKKMDNHLAGTGRKFQVCRSDFPDDFLFGASSSAYQTEGAANDGGRKPCIWDTFTKQKGTVTDGTNASMAIDVYHRYKEDVQIMKKLGLDVYRFSISWSRILPGGRLSAGINREGINFYNNFINELLANGIQPFVTMFHWDVPQALEDEYGGFLSPRILKDYCEYAELCFWEFGDRVKKWITMNEPYMFTTNGYANGTFAPGRGSSSSLSLSAKKPDNNKGGCFPWRLSSSKAKISDNGDPSIEPYLVGHNLLLAHSAIVELYRQKFQKIQKGKIGITLISQWMEPLNESSDSDKQAAQRGLDFMLGWFLDPLTKGDYPGSMRKLVGNRLPQFSKEESKKLIESFDFLGLNYYTSCYATDSESTPTNGILSALTDSQVTTLTERNGIPIGPRGASEWLYVYPQGIYKLLHYVKKTYNVPLIYITENGYDEVNNSNLMLSEARLDYNRLNYHREHIFYISKAINEGVNVKGYFVWSLMDNFEWNEGYTVRFGIIFVDFKNNLTRYPKESALWFANFLAKEGE >itb06g15300.t1 pep chromosome:ASM357664v1:6:19656131:19659285:-1 gene:itb06g15300 transcript:itb06g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLKMKFLLELVTCCGASTFRQQAPAEETRSLVPPPERRRKKGRVVVTRRGRPVAEWRPSLSSISEDTAPLPEKSDGVAAVTNRKIRKVASATTSPKPPQYRDENGRSAGYSSSMVPTLSPTPFLF >itb05g26780.t1 pep chromosome:ASM357664v1:5:30477126:30478367:1 gene:itb05g26780 transcript:itb05g26780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTKVGVITKSVEGVDDVAPPEQAAPHLSPPVDEQKEKPKPKVKASPVEERPKEISTKPPKHSATEPQLPPKERERRVSLADFFLPPNIPILWMASSNDQV >itb10g14260.t1 pep chromosome:ASM357664v1:10:20615649:20616894:1 gene:itb10g14260 transcript:itb10g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHPFLKKPKVEPDVENGGGSIGGEANNDGKDVPEDTNDQSRSEQEEALVALFEHRTKEVHDLRRRISYYKSQGEDGILVMGRWYGVGSQLSAGWLNNQAENRRLVTKIEAKQNNIVNMPVPNHGLGIHSTLYYALLGSFEPVNYYASTLFLGSCSNLSKVITMSPSDATNGKMSHFGEVLESEKANKSVHFDTKVRGKW >itb04g24910.t1 pep chromosome:ASM357664v1:4:29624212:29627078:-1 gene:itb04g24910 transcript:itb04g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MAVLIEGLPDAVALRCLAWVPFYLHPKLELVSRSWRAAVRSGELYKARQEVNSSEEFLCVCAFEPDNQWQLYDPGCDLWIKLPVLPSNIRQLAHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATDEVWKYDPVTWQWTLCTPMIVPRAMFACCVLDGKIVVAGGFTSCRKSISKAEIYDPEKNVWVSIPDLHHTHNTACTGVVIDDKVHVLHKGLSTVQVLESVKQGWTVHDYGWLQGPMAVVRGKLYVMSHGLIYNQEKESTRVVGSASEFRRRIGFAMTGLGDDIYIIGGVIGPERWNWDIITTSDVDVLTLGSERPAWRQVSPMTLCRGTILGCAQLRI >itb05g01050.t1 pep chromosome:ASM357664v1:5:899624:902571:1 gene:itb05g01050 transcript:itb05g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAQTCEEDDQSTWSKITLSKFFVNQTANKFVAVGCNTIATISGQENRKSYQTGCISSCNRFEDVVDGACNGIGCCQTTDIPMLTSNVKFTLENMTTTNNQYTDGNITHCSYAFVVKKDEFHFSSDMLTQQWEVRRMPMVIDWVIFNDTCRNSSSTCQGNTICVPFEGPDGGYRCACGKGYEGNPYLHPGCLDIDECADDGQNDCSSKNAICLNTLGGYNCTCKEGYIDDGKGTGGCQLPIKDECKVNGKGCHSSNRVVVDFIVVGVSLGMIVLLIAGFSLYLAHRRRKSAQMKEKFFRENGGLILQQRISQGSASSGTTRIFTAKELEKATNNYDQTKIIGQGGFGIVYKGHLLDGRVVAVKRSKIMDPNQVEQFINEVIVLSQINHKNIVKLFGCCLETEVPLLVYEFISNGTLSEHIHNKDKASALPWSSRLRIATETAEVLSYLHSAASPPIIHRDVKSVNILLDNDYIAKVSDFGASRLVPQDQTQLTTMVQGTFGYLDPEYMQTHHLTEKSDVYSFGVVLVELLTSKGAISFDGPELERNLSQHFLSSLKENKLFKILDGNILCEGSTTEELQEVALLAKRCLNFKGEDRPTMKEVAMELSGLRRAIKHPWANNSANSMESQALVRESSIPLGYDPNFSVSTIGYDSIKDDMELPLSAGR >itb01g20000.t2 pep chromosome:ASM357664v1:1:26293152:26299753:-1 gene:itb01g20000 transcript:itb01g20000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLTISSCSPIGLNPSLLPLILSFSSSSMATPAKVVAEYAKSSKSSCKKCSKAIAASSLRLGLVSKAPQGFSMTKWHHMECFPLSSDLIPSANAISGFSSLKSSDQEDLAKFISEATVDEQEVSDKDESAKNESRKRMIIEGLKKSPDLHSPNFIPIEETDGKEGTELEEDRIKIAKTSASIKGPEVEIAFSIVDVKSHYKDAKLLPKWKAFQTTIYLEQDEGILDSEKIAAFDFDGCLAKTSVKRIGANAWSLMYPSIPEKLQSLYNDGYKLVIFTNESNIERWKNKRQTAVDSKIGRLEGFIKLVKVPIQVFIACGSSNEPADPFRKPKIGMWNLLKNHFNSGLPIDMDKSFYVGDAAGRKDDHSDADIKFAEAIGLKFYLPEDFFST >itb01g20000.t1 pep chromosome:ASM357664v1:1:26293151:26299764:-1 gene:itb01g20000 transcript:itb01g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLTISSCSPIGLNPSLLPLILSFSSSSMATPAKVVAEYAKSSKSSCKKCSKAIAASSLRLGLVSKAPQGFSMTKWHHMECFPLSSDLIPSANAISGFSSLKSSDQEDLAKFISEATVDEQEVSDKDESAKNESRKRMIIEVQETDGKEGTELEEDRIKIAKTSASIKGPEVEIAFSIVDVKSHYKDAKLLPKWKAFQTTIYLEQDEGILDSEKIAAFDFDGCLAKTSVKRIGANAWSLMYPSIPEKLQSLYNDGYKLVIFTNESNIERWKNKRQTAVDSKIGRLEGFIKLVKVPIQVFIACGSSNEPADPFRKPKIGMWNLLKNHFNSGLPIDMDKSFYVGDAAGRKDDHSDADIKFAEAIGLKFYLPEDFFST >itb01g18520.t1 pep chromosome:ASM357664v1:1:24070424:24070687:1 gene:itb01g18520 transcript:itb01g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAATDLQGQRGGGNGVPPPPSFSSKINNSPTSTTSFIWRPWRPRVQRRRSTANGGTPPSDDGRDQLIPTIGTMVAARKKRGKEK >itb06g21730.t2 pep chromosome:ASM357664v1:6:24306459:24308254:-1 gene:itb06g21730 transcript:itb06g21730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMSGFRSDLGGKMEENAVQEAATAGLQSVEKLIRLISQSQPQNSGFSAAPPLPTFSSADYQAEAETAVTKFKKFISLLDRSRTGHARFRRGPVVNQKREVDPPPGNQNSSIRIRVSEEQPEKPEKKIYHPKPIQCLPPLPHHHHQAAKTAAAANTVDRKEPSTTISFAAMAAPSPAGSFISSLTGDTDSVQPSLSSGFQITNLSQVSSAGKPPLSTSSFKRKSSSFDDAAVKCHSAGGSASGRCHCPKKRKTRVKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPR >itb06g21730.t1 pep chromosome:ASM357664v1:6:24306459:24308254:-1 gene:itb06g21730 transcript:itb06g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMSGFRSDLGGKMEENAVQEAATAGLQSVEKLIRLISQSQPQNSGFSAAPPLPTFSSADYQAEAETAVTKFKKFISLLDRSRTGHARFRRGPVVNQKREVDPPPGNQNSSIRIRVSEEQPEKPEKKIYHPKPIQCLPPLPHHHHQAAKTAAAANTVDRKEPSTTISFAAMAAPSPAGSFISSLTGDTDSVQPSLSSGFQITNLSQVSSAGKPPLSTSSFKRKSSSFDDAAVKCHSAGGSASGRCHCPKKRKTRVKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSIRGCPARKHVERALDDPTMLIVTYEGDHNHSRSITEAPSALILESS >itb01g32800.t1 pep chromosome:ASM357664v1:1:36187447:36190524:-1 gene:itb01g32800 transcript:itb01g32800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLARSIANTLRLDDDEQRGEECGNDVVNRASTCHGSSHSGQQHPGEGDCAYEEIDLDDRRENDDAEDYIDDVNHPGRGVKEDLSELGEALSRQLWGVASFLAPAPAATSLPTRNSVQLESDRVHSRIFDRESDEEEDGDFVECENFTEYEVEDNVGDAVGVTEEALGFAQNIAHHPETWLDFPLSEEDEEFDDFEISETQVNHIVAIECLVPRLLALRIELCPAHMSEAYFWMVYFVLLHSRLNKHDAELLSTPQLVQARAMWIKELQKKTNPESDWAGVNTFHSKETPDLLHEDFDSLSSEDTHSRNTSNTAFAYEHPSSFHDTTDVETEKHPIETTEIQFIDKAVIAEDLASKTVDKVVVTTTSYKQPILDYEDDDEDDWLKDNPELEGYSGTTLVGNEEDVSFSDLEDDIDCTVPSKTSAIPPEHLKLGQLPKKI >itb03g20680.t1 pep chromosome:ASM357664v1:3:18544199:18550245:-1 gene:itb03g20680 transcript:itb03g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTGEKMEEELLKRNTDCVYFLASPLTCKKGVECEYRHSDIARLNPRDCWYWLAGSCLNPTCGFRHPPLQSFSGMSYESTTTYHQPVVQVNKTNVPCFFYNNGFCNKGDKCVFLHGPDDGVPTLQSSKIASRVPDGLAVEKKKCLGSEKGSAQVETHPSSFETSLKISANAKVMTEVNLQPATNNLTDGNTSPGISGSQSEEAVAVKLISSLPAEGFTEGGSHLATAWSSDDEMEDNIERGECLESSPGFDVLVNDRSGELGYEVDHEYSLQNDMDGRTLDEQYMGDDSEDNSEYHPVHLDTGIVLDDECTSYLLRKINGHARQRVKDCILPWKRKNMPTKWAFSAKGNMDLRNHLKGRQTVDRYTLNHYHRRHDSSYFNTPSTNRPHCHSTRKRHQRLASKVESKASGSQSERGSFLNGINHESTLRHSHMSSRRQHFKRIHSKKLPFLSEVSRMGVSKKRESTDKSKLFSAPKTLSQIKEEKRRAKGDWNLGPQLSGTNVEDEFQGPKSLAEILQSKRR >itb03g20680.t2 pep chromosome:ASM357664v1:3:18544111:18545695:-1 gene:itb03g20680 transcript:itb03g20680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYESTTTYHQPVVQVNKTNVPCFFYNNGFCNKGDKCVFLHGPDDGVPTLQSSKIASRVPDGLAVEKKKCLGSEKGSAQVETHPSSFETSLKISANAKVMTEVNLQPATNNLTDGNTSPGISGSQSEEAVAVKLISSLPAEGFTEGGSHLATAWSSDDEMEDNIERGECLESSPGFDVLVNDRSGELGYEVDHEYSLQNDMDGRTLDEQYMGDDSEDNSEYHPVHLDTGIVLDDECTSYLLRKINGHARQRVKDCILPWKRKNMPTKWAFSAKGNMDLRNHLKGRQTVDRYTLNHYHRRHDSSYFNTPSTNRPHCHSTRKRHQRLASKVESKASGSQSERGSFLNGINHESTLRHSHMSSRRQHFKRIHSKKLPFLSEVSRMGVSKKRESTDKSKLFSAPKTLSQIKEEKRRAKGDWNLGPQLSGTNVEDEFQGPKSLAEILQSKRSGTQKLTIAVY >itb09g01600.t1 pep chromosome:ASM357664v1:9:981060:982096:1 gene:itb09g01600 transcript:itb09g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSVNKKVVPFIMGATGTGKSKLSVRLAARIGGEIVNSDKIQVYRDLDILGNKIPEEEKLGVPHHLYGHIADPDQEYTTRDFCFEATSVIERIIKSGKVPVVVGGSNSYLEPLVEDPEFKFKSNYLGCFIWLDASPNDNTKGVRRTIGVSELDKYLRAESNKDVSDAEKKALLDCAIAQMKTNTIGLIRRQVGKIQRLSDELGWPIHRIDSTAVLQIEGDKQAREAAWLKMVFNPTLNILEQYLKNI >itb04g08810.t2 pep chromosome:ASM357664v1:4:7533906:7539185:-1 gene:itb04g08810 transcript:itb04g08810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTFAFPGTSNSAYPQNHAAAFCSYWLHGSDLQFHLHFKPTQVKKRSSGIQAVVKEKGLYYSQRPPTPLLDTINYPIHMKNLPVKELEQLADELRSDTIFNVSKTGGHLGSNLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKVLTGRREKMSTLRQTNGLSGFTKRSESDHDCFGTGHSSTTISAGLGMAVGRDLKGRNNNVVAVIGDGAMTAGQAYEAMNNAGYLDSNMIIILNDNKQVSLPTATLDGPTAPVGALSSALSRLQSTRPLRELREVAKGVTKQIGGSMHKLAAKVDEYARGLISSSGSTLFEELGLYYIGPVDGHNIDDLTFILKEVKSSRINGPVLIHVVTEKGRGYPYAEEAADKYHGVAKFEPATGKQLKAASGKTQAYTTYFAKALVAEAEADKDIVAIHAAMGGGTGLNLFQHHFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDEAELLHMVATAAAIEDRPSCFRYPRGNGVGVDLPPGNKGTPLEVGKGRILIEGERVALLGYGTAVQSCLSAAASLEAQGLRVTVADARFCKPLDHALIRSLANSHQVLITVEEGSVGGFGSHVAHFMALDGLLDGNLKVHYYNNSMPTHLLNIISKAAML >itb04g08810.t1 pep chromosome:ASM357664v1:4:7533881:7539185:-1 gene:itb04g08810 transcript:itb04g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTFAFPGTSNSAYPQNHAAAFCSYWLHGSDLQFHLHFKPTQVKKRSSGIQAVVKEKGLYYSQRPPTPLLDTINYPIHMKNLPVKELEQLADELRSDTIFNVSKTGGHLGSNLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKVLTGRREKMSTLRQTNGLSGFTKRSESDHDCFGTGHSSTTISAGLGMAVGRDLKGRNNNVVAVIGDGAMTAGQAYEAMNNAGYLDSNMIIILNDNKQVSLPTATLDGPTAPVGALSSALSRLQSTRPLRELREVAKGVTKQIGGSMHKLAAKVDEYARGLISSSGSTLFEELGLYYIGPVDGHNIDDLTFILKEVKSSRINGPVLIHVVTEKGRGYPYAEEAADKYHGVAKFEPATGKQLKAASGKTQAYTTYFAKALVAEAEADKDIVAIHAAMGGGTGLNLFQHHFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDEAELLHMVATAAAIEDRPSCFRYPRGNGVGVDLPPGNKGTPLEVGKGRILIEGERVALLGYGTAVQSCLSAAASLEAQGLRVTVADARFCKPLDHALIRSLANSHQVLITVEEGSVGGFGSHVAHFMALDGLLDGNLKWRPIVLPDRYIEHGSQEYQLTQAGLTPSHIAATVFNILGQTRKALEAMA >itb01g17080.t1 pep chromosome:ASM357664v1:1:21750291:21751505:1 gene:itb01g17080 transcript:itb01g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYSHNNLPTVMVTNDDGVDAPGLRALVSVLVSTNRFNVLVCAPDSEKSAVSHSITWRHAIQAKRVHDIPGATAFAVAGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNGVPSVSLSYDWVHGKSNLHDFTLAAQAFIPIISAILGDIKNQTYPLNCFLNITVPTDVVNHKGYRLTKQGKSFIRTGWKQVTCEAEGGKMLSTMTMDMTPTQTAQQSVVSTQGDQFLFTREVRAKQVDKDGTDYSSLQEGYITVTPICALFNADIDGVTFFNKWLPSLDERSNFACNLQASLQNEGETHHLDSSIASELLC >itb06g01680.t1 pep chromosome:ASM357664v1:6:2985362:2988968:1 gene:itb06g01680 transcript:itb06g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHSHSIAAIRKKVCIPEEYVNNCYSVDSYLRSYEPTILQITSSELWHKTGLPPPLPPKYKAQPGRPKRKRRIGLQESVANQTKNKGKVGELKRCTVCGMNGQNKRRCKAKDKQTIEEEATTTTGVDEGAQAEATTGVEQEVELQDVFVETQVPTSVLDEMLAVYFHHHRTSLPTTTAPLPPTATAPPSHRRHRCTGLTTSTTAVSSLAIFHL >itb06g11070.t1 pep chromosome:ASM357664v1:6:15600217:15603355:1 gene:itb06g11070 transcript:itb06g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNECPLLIASDADDHNGNTTTRQNYLLRIILFLQSTEMFIAGARPPMSQSPSLSRVSSSLTSSYSVLSSTDAKDGLDLEIVVVDDDGGDGEGPGPAVFGHNNSSASQTDNIGIELQRQRRSFKRIFREKNLQSLNDRLGGVEGVADAFNTDLGTGISDNSEEISQRKMVQVSQNWALSQNYLHFLKKSCKSWSIALLSLAGVLSLGFGMKEGGLESGWIEGAIVFVTIFVLLLFSSTRNWFEARRAKKKKPQKDVKVGVVRGGKEAPVSVSDLVCGDLVCLNAGCLIPADGLFVCGEGFEIDDGTLCSVSNEQSPFLFYGSRVVKGSAKMLVISEGVDDTVLGEMMREAMNSSSSSTQKKTSIETSIDKLSECINIGGILISILICVVVFLRFMTGKIDDDSGANRPDSKGAPTELERILHSLKVIFAVSKGNARVLTTLLGVLLVGIMEGIPFVVAIAIARWNSTTLSDRASAKDVLCCVKMAKVSTICTDQNVGWLEQETRDRPIASLVESGIKIILFSQNDDVAELKMVSRECGICETDAEVLTGDDLQKCTTDEEMFERLESVKVVGKCDSPLKQRIVRCLRSKGEVVAVVGERSYDAPMMKEADIGLAIKTEFSEITIDSANLVIVKGSFSLLIDMMICGRIINENLQKFIQVEVIMTIASSLINFISAVSSGDTPLSSIQLFWIYFLISIPGGLALLSGKPNKNLQDTIRPTGPLITKAMWINILLQSSYQIAIFVTLQLKGTDILGTSQAANDSMIFNAFVLCQLFNIFSARELKELNIFKGIHQNSWFWVGTGGFVVAHVSFVAVDQSIANDAGLNWKQWFECCLIGAGTLLLDLVAKLHITEMARNWTPELIGTWRSTPESMSNLQNPLMTTGSTPETVQGNTPG >itb06g24930.t1 pep chromosome:ASM357664v1:6:26270644:26272293:1 gene:itb06g24930 transcript:itb06g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENILPQINPKAPRRPALNSSCVWASTRKNKTKVVLITSLSSWYGDKIRDMYLQNPTLTGEVVRVHQPSHEEKQKYEETLHYSKALAEMYLLGMSDRLVTSGCSTFGYVAQGLGGLHSWLMFAPKNRKVPDPPCQRVASMDPCYLLRPADTSKTPLLNYTRSCEDREWGLKLFPAG >itb06g24930.t2 pep chromosome:ASM357664v1:6:26270644:26272460:1 gene:itb06g24930 transcript:itb06g24930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDRESVFHLLARYLFHPTNPVWDLITRFHEAYLTGADEKIGLQVRVFDRPGPFQHVLDQILSCTMKENILPQINPKAPRRPALNSSCVWASTRKNKTKVVLITSLSSWYGDKIRDMYLQNPTLTGEVVRVHQPSHEEKQKYEETLHYSKALAEMYLLGMSDRLVTSGCSTFGYVAQGLGGLHSWLMFAPKNRKVPDPPCQRVASMDPCYLLRPADTSKTPLLNYTRSCEDREWGLKLFPAG >itb07g13840.t1 pep chromosome:ASM357664v1:7:16175110:16177613:1 gene:itb07g13840 transcript:itb07g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSSIGSIVRKRLSDIKTSLPQLKPSIDIEMGNRSTKNCVDDLIKENIALVKLIQDRNKIIEMTGMELKKLRVGVQRVQLQNWNLAQSNTHMLAELHLNKEKVKALQHELVCKDAVLKAKSLDLKEAVLKAGKKRDVKDKETGVGDSVPNADINPSKINRKLQASKSRSMGSSAASQPAAEKGSTENKRHCLRRQSASPRMQQQEPAKRVSEFEDIARQYDSLARAQEDLAPPLEHKSCCSKRTSLGRPLRRAAGRVQSYKQVPLNVKMRRSD >itb04g29410.t1 pep chromosome:ASM357664v1:4:32806932:32807576:-1 gene:itb04g29410 transcript:itb04g29410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRTNVFDPLSLDLWDPFEGLFSPSSPASEASAFAAAAKVDWKETPEAHIFKADLPGVKKEEVKVEVEDGRVLQISGERSKEKEEKNDKWHRIERTSGKFLRRFRLPENAKIDEVKAGMENGVLTVTVPKIQAKKPEVKAIDISG >itb04g02880.t1 pep chromosome:ASM357664v1:4:1788616:1791274:1 gene:itb04g02880 transcript:itb04g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHITGNALVNIKKLHSFCNYKRAHPIFLLSVPYTKPFPNSKATTQQHSKKGFLGSFQSVGAWEKIKLKMGESNNDDFGLSLSLGLPPATTNGNNGNGRSFPLNLLHPRLPLMQQNTPARNLQSSSENGGGIDINQPVTAAEYDDNAMASSPQSTASTVTGKRSEREYETETAAAAAEAEMAATSSMEEDDAGDDAAAARKKLRLSKEQAAVLEDTFRGHNTLNPKQKVALAKQLNLKPRQVEVWFQNRRARTKLKQTEIDCEYLRRCCERLADENRRLHKEVNDLRALKLSPQLYMNMSPPTTLIMCPQCQRAPLSSPPPTSSASSCAPTLPATAAVHRHQPAPPPGLIQWTAILQPQPHRP >itb01g26070.t1 pep chromosome:ASM357664v1:1:31293631:31313528:1 gene:itb01g26070 transcript:itb01g26070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFPRIGVLKQNRSRTSLAAIVVGSQVWVEDPDIAWNEGHVLEVKGEEIKVKCTSGKTVVAKASGVYPKDTEAPEAGVDDMTKLAYLHEPGVLANLKSRYTMNEIYMVNDAISQSILVSGESGAGKTESTKSLMQYLAYMGGRAASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSRSFHYLNQSNFYELEGLDESKEYLATRRAMEVVGINSNEQDAIFSVVAAILHLGNVEFAKGSEPDSSEPKDNQSRFHLKTVAELLMCDEKALESSLVKRIMVTRDEKITKSLDAQAAAINRDALAKTVYSRLFDWLVDKINNSIGQDPDSNLLIGILDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDILDLIEKVTYQTELFLDKNKDYVVPEHQAVLSASRCSFISNLFPPPAEETSKSAKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPEIFENPNVLQQLRCGGVMEAIRISCAGFPTRKPFVEFVSRFRVLVPELMKGSYKEVAICKDIVEKVGLKGYQIGKTKVFLRAGQMAELDSHRTEVLSRSACTVQKNVRRYFSRKKYIMLRGSAIGIQTACRGQLARNLFECKRREAASLMIQKYARMFIARRDYRILWASAVSIQTPMRRMAARNEFKYRKETKAAILIQRHCRGHLSRLSYKRVQKAALAVQCVCRVTFAQNELRRHKLAAKEAGNLQKNVEELTEQLKMEKRLKLEMEEAKNQEISKLRSALEEMEEAKTQEISKLQSALKEMEEAKTQEISKLQSALKEMEEAKTQEISKRQEIEEAKTQEISKLQEMEEAKTQEISKLRSSLEEMRLRFEEVKQFPTDIGKTATVEEARTVENANLQSAEKIDEQMIANLQSAEKIDEQMIANLQSAERIVINPDDPEAINKLTDENAKLKALLTSLGKKLDEANKLSEERLKQGMEAESKVIELKTEMQRVNERNSDLETESQILRQQALLNSGGSRRMSGHLSSTPELSENGDQEDENVSPKELGTDPDRLLSRSQNERQRESVDILIRCVSGHIGFSEGKPIAAFTIYKCLLNWKSFEAEKTNVFDHLIQMIGSSIEDETNNSHIAYWMSNTSMLMFLLQQTLKATASSPLQASQPTSFLGRMFRSSFTASSLSIRGLDGIRLVEAKYPALLFKQQLTAYVEKIYGILRDNMKRNLLPILYSCVQVFPFFIQNMFVQIFSYMDVLLFNSLLSKDKCTVKNGEYVKTGLGEIERWCGQATEEYVGSSWDDLKHVRQAVGFLVLQDKAKIKYDDLTTDLCPALSSQQLYRICTLCVDENNTQVVSQDVISRIKLLLEDSEEADSSNSYMLEDSLSSIPFSTDGLYTSLKDIDFTGVKTAEELNEYALFEFLKE >itb06g10150.t2 pep chromosome:ASM357664v1:6:14457102:14461061:1 gene:itb06g10150 transcript:itb06g10150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILSLRSIFAGYMGFDENLETLKAEVDQLLREEKDMEAKLKDLERGGQKKRKQGVEGWLERVNKLKTNFEAFKESNQGGGFIINNARKLIKAQELEGMTERVKKLREEGRNNTVEPTLDVSTAYELNVDQLSGTTSETNLDKIYKLVEDENVRSIGVYGMGGVGKTTLAKHIHNRILRNDPRANVYWVTVSHDFNLRKLQDNIAKTARINLSDDQDEVQRAATLLNHFVENNNVVLILDDVWDNISLENLGVPPRAKGCKLILTTRLRDVCCRIGCEKLFQVNVFNEEEGWNLFKEILVQDGCIVLTDVIEKYAKQLAKKCGGLPLALSTVAASMRGVNDDHIWRNAIKNFQNASLQMEDLENDVFEILKFSYNRLTNQNLKECFLYCCLYPEDERIVKDEIIMKLIAEGLCEDIDEGHSILKKLVDVFLLEGDKWFVKMHDLMREMALKMSKFMVKSELVEIPEEKHWTAELEKVSLNPNTLKEIPNDFSPRCHKLSTLNLSNSNFLETGIEEIPESFLLYTSQLRVLDLSYNTELKCLPNSISNLENLCGLFLQHCGHISCLPAMKKMKKLRVLNIQGCNGIRELPQDMECLVSLQYLYMMGTSTDLEIPKGVISKLRNLKCIQSDNCRRLQSEDLNCLPHLQEFWSWFDELHNFNRLVKNLEQLKCYNICVSSSHLNRQRHHLPLGRNVNNVDFKGINFTLVLLPANITCLHIKDCEGLNGCIADYLQSIMSNLKELDVWQCTEVEWILNSEQIIEMQATLVPPHLRILRCWLSITCQN >itb06g10150.t1 pep chromosome:ASM357664v1:6:14455663:14461205:1 gene:itb06g10150 transcript:itb06g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRAGMDVIWTLKDTMEKILSLRSIFAGYMGFDENLETLKAEVDQLLREEKDMEAKLKDLERGGQKKRKQGVEGWLERVNKLKTNFEAFKESNQGGGFIINNARKLIKAQELEGMTERVKKLREEGRNNTVEPTLDVSTAYELNVDQLSGTTSETNLDKIYKLVEDENVRSIGVYGMGGVGKTTLAKHIHNRILRNDPRANVYWVTVSHDFNLRKLQDNIAKTARINLSDDQDEVQRAATLLNHFVENNNVVLILDDVWDNISLENLGVPPRAKGCKLILTTRLRDVCCRIGCEKLFQVNVFNEEEGWNLFKEILVQDGCIVLTDVIEKYAKQLAKKCGGLPLALSTVAASMRGVNDDHIWRNAIKNFQNASLQMEDLENDVFEILKFSYNRLTNQNLKECFLYCCLYPEDERIVKDEIIMKLIAEGLCEDIDEGHSILKKLVDVFLLEGDKWFVKMHDLMREMALKMSKFMVKSELVEIPEEKHWTAELEKVSLNPNTLKEIPNDFSPRCHKLSTLNLSNSNFLETGIEEIPESFLLYTSQLRVLDLSYNTELKCLPNSISNLENLCGLFLQHCGHISCLPAMKKMKKLRVLNIQGCNGIRELPQDMECLVSLQYLYMMGTSTDLEIPKGVISKLRNLKCIQSDNCRRLQSEDLNCLPHLQEFWSWFDELHNFNRLVKNLEQLKCYNICVSSSHLNRQRHHLPLGRNVNNVDFKGINFTLVLLPANITCLHIKDCEGLNGCIADYLQSIMSNLKELDVWQCTEVEWILNSEQIIEMQATLVPPHLRILRCWLSITCQN >itb09g01960.t1 pep chromosome:ASM357664v1:9:1193411:1194503:1 gene:itb09g01960 transcript:itb09g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLRCRRCERVGETTTAAATEAGVGNGAAVRMKAREVTSFSSNKRRKVYSQFDDRKMNWSSENSVFPATSVTSRCSSCESSDVAKNILDLKGEVFETDDTAHCNGRLSRETTPTTELRRDSVTEMESSSTTKNVSMAATSPQKPSTMEMPSAEEIEEFFSAAEKYEQERFKQKYNYDVVKDVPLEGRYEWVPLKPCSHVIKACEEEHDMIAAFNN >itb12g17940.t2 pep chromosome:ASM357664v1:12:20191295:20195574:-1 gene:itb12g17940 transcript:itb12g17940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGVAASKVLVLVGAGLTGSVVLRSGRLSDLISQLQELIKGVNEAETSPGKYDAALLAAQIRQLAQEIREMSITSPVTIFNSGSSDRSYASYLLPAAALGAVGYCYMKWKGWSFSDVMFVTKSNMANAVASVSKQLENVSDALASTKRHLSKRLENLDWKLDEQKEMSKLIANDVTEVKSNLNQIGYDIDLIHQMVSGLEGKIELLENNQDITNSGLWYLCQVAGEIESGQNAKLIEDVGAKLIQHSKLIPKENSVKVYAA >itb12g17940.t1 pep chromosome:ASM357664v1:12:20191294:20195574:-1 gene:itb12g17940 transcript:itb12g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGVAASKVLVLVGAGLTGSVVLRSGRLSDLISQLQELIKGVNEAETSPGKYDAALLAAQIRQLAQEIREMSITSPVTIFNSGSSDRSYASYLLPAAALGAVGYCYMKWKGWSFSDVMFVTKSNMANAVASVSKQLENVSDALASTKRHLSKRLENLDWKLDEQKEMSKLIANDVTEVKSNLNQIGYDIDLIHQMVSGLEGKIELLENNQDITNSGLWYLCQVAGEIESGQNAKLIEDVGAKLIQHSKLIPKENSVKGLQFIVESDESSAKQDADVNASKIDDSPQVSVDPPPPKIVTANKMKIHRSYRVGLGCL >itb03g01980.t1 pep chromosome:ASM357664v1:3:1124282:1124593:1 gene:itb03g01980 transcript:itb03g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQITGVFGCGKTQMLTSYRNSDLSLALAKRPSLSKWSVLWVFFLSRRSLKTTFKPHIPSFIIPPLIPPLTQQLPGGRKLTRFKVAGAISKPSRISRVFFTF >itb04g07080.t1 pep chromosome:ASM357664v1:4:4714336:4736029:1 gene:itb04g07080 transcript:itb04g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MSFTVTTLLTSSAPCIPPSFISPNYTNNRLRTRKFIVKSSAKRNESRNFFRAGIKFPHFQNPEASSVDIKGRDSPSTSSYNNNDESLLNSITKPIACALFCIALGFFPITGFRVPAAIAAPPVKTWLFRSRQKVEKEVKGHKYSRYTERLLDAVPMLLRGVQAVKSGRMSVGVLENRLDYVIDLRERLQQEIMDKLYANTRDFKEERGVLLQQTEEILKSVLKAKMEEESLLRNAQGNDVAVKRELEEVQEKINRNVEEYNRAWERIDQIDDEITQREAVALNIGVRELLSIQQECVTLVGNFLERIKMPRNESMPVSPLTKLSRSEIKETLQNSQIQLLKETVLPNVLENEDIGFDEETVAFAQRIKQALQVSHEMQRNLESDIRKKLKRYGDEKKLVVNTPIDEVVKGFPEIELKWMFGKKEVVVPKAVSTHLYHGWKKWREEVKGDLKRNLLENADFGQKYVAERQERILLDRDRVVSKTWYNEEKDRWEMDPIAVPYAVSRKLIESARIRHDWAIMFINLKGDDKEYYVDIKEFDLIFEEFGGFDGLYLRMLSSKIPTVVQLMWIPFLELKIHQQFLLIANLCNQCLAGLWNSPIVSYRRDWLWTKVGNITEDIMMMIVFPVLDNVIPYRLRMQLGMAWPERAEDSASSSWYLKCQAIAERRFTERKTDGFWWYIWFLTRAAIFGYVLYYVAWFMTRKIPRILGFGPLRRDPNFKKLRRVKAYFKYRTGKIKRKKRAGIDPISTAFDQMKRIKNPPIRLKDFASIESMKEEIYEVVAFLQNPRAFQEMGARAPRGVLIVGERGTGKTSLAMAIAAESKVPVVEIKAQQLEGDLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNLSQIDEALQRPGRMDRVFHLQRPTQVERENILKIAARETMDEEFIDSVDWRKVAEKTALLRPIELKLVPVALEGSAFRSKFLDLEELMSYCSWFATFSGLVPNWVRKTRIMKKFSKILINHLGLTLTKEDLDNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRSLIALLLPNFDVVDNLWLEPFSWEGIGCTKITKARNEGSINGNVESRSYLEKKLVFCFGSYVSAQLLLPFGEDNVLSSSELKQAQEISTRMVIQYGWGPDDSPTIYHHGNAETALSMGNNHEYEIAAKVEKMYYLAYEKAKTMLQNNRRVLETIVEELLEYEILTGKDLERIFAQNGGIREKEPFFLSRTHNDEPLLVNFLEENGNASATAFLTVAN >itb09g06330.t1 pep chromosome:ASM357664v1:9:3631846:3632707:-1 gene:itb09g06330 transcript:itb09g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRKFGFLTFCHHLLLTISFFCLQFGVSRDTITAHHSMEGSETILSNLQNVKMEFFRPENSTKYYVGIMLNVPAWGSTAVIWVANRNKPMNDSRGSMGISEDGNLVVWDGEKRVVWSTSKYNISTDSSPANITAQLLDSGNLVLKDSSSGRYLWTSFFDMSCASRNRGGYIQ >itb11g22240.t1 pep chromosome:ASM357664v1:11:24090721:24091257:1 gene:itb11g22240 transcript:itb11g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILDQLTQQSAKKRQVVSIVGMGGIGKTTLARKVYEDPIITSHFNRRAWVSVSQEYNVEQMLQCLMGFVIAASRDELHEQSNALDEQRNSKDKLAENLHKRLMGQRYLIVMDDIWSTDVWDSVHRCFPDDNNGSRILLTSRLKEVAEYASSSNSPIDMPFLDANESWNLYCNVFGKT >itb07g03130.t2 pep chromosome:ASM357664v1:7:2124838:2128628:-1 gene:itb07g03130 transcript:itb07g03130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATSFLSSPAGASHLPFSLVLISSSSSSTLKPHVGFIFNSLPKCPPRLLFSSPHAATGGRTSLLLRPSALAVDDALGQSADTFSVQPKIDKSGRFCSPRAARELALMTLYASCLEGTDPVRLFEKRLSIRREAEVLSAPPKLVYSKLILRFTRKLLVAVAEKWDSHVLVIDKVAPHNWKSEPAGRILELSILHLAMSEIAVLGTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFVKDLGGTSADRITNSSIKQSVFT >itb07g03130.t1 pep chromosome:ASM357664v1:7:2124838:2128628:-1 gene:itb07g03130 transcript:itb07g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATSFLSSPAGASHLPFSLVLISSSSSSTLKPHVGFIFNSLPKCPPRLLFSSPHAATGGRTSLLLRPSALAVDDALGQSADTFSVQPKIDKSGRFCSPRAARELALMTLYASCLEGTDPVRLFEKRLSIRREPGYEFDKECLTKYNHMSFGGPPVTTETLEEADQLELSDEKESEVEAEVLSAPPKLVYSKLILRFTRKLLVAVAEKWDSHVLVIDKVAPHNWKSEPAGRILELSILHLAMSEIAVLGTRHQIVINEAVDLAKRFCDGAAPRIINGCLRTFVKDLGGTSADRITNSSIKQSVFT >itb09g04390.t3 pep chromosome:ASM357664v1:9:2459677:2466917:-1 gene:itb09g04390 transcript:itb09g04390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFFNLPSSHRHHHLHQNPNRDNSSVAASTSTSEATNPSYSSELRESASGRTEKEVSIYESANGGEVEEEGWEEISPFRGESAEWITASASGQRNADGEDSASLSMSAVSRENKEAGTAMSARMDSPPSGDCCPICFSNFVVPCRGPCGHWYCGGCILQYWNCSAALQPCNCLMCSKQITKLTPEASLYHSQEVEVTKVLGSIRIYNRLFVGDTYGFMLKVLYLPLYAKRLFREMMNPDIPGVHLHKLRICAMFLGLLYTFCPFDFLRIGRQNVIDVFDYSAIALSFVLYLAGLYLRRRRFQNVREMAAIDP >itb09g04390.t4 pep chromosome:ASM357664v1:9:2459677:2466917:-1 gene:itb09g04390 transcript:itb09g04390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFFNLPSSHRHHHLHQNPNRDNSSVAASTSTSEATNPSYSSELRESASGRTEKEVSIYESANGGEVEEEGWEEISPFRGESAEWITASASGQRNADGEDSASLSMSAVSRENKEAGTAMSARMDSPPSGDCCPICFSNFVVPCRGPCGHWYCGGCILQYWNCSAALQPCNCLMCSKQITKLTPEASLYHSQEVEVTKVLGSIRIYNRLFVGDTYGFMLKVLYLPLYAKRLFREMMNPDIPGVHLHKLRICAMFLGLLYTFCPFDFLRIGTQV >itb09g04390.t2 pep chromosome:ASM357664v1:9:2459677:2462063:-1 gene:itb09g04390 transcript:itb09g04390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVARENKEAGTARMDRPPSDDCCPICFSNFVVPCRGPCGHWYCGGCILQYWNYSAALQPCNCPMCSKKITKLTPEASLYHSREVEVTEVLGSIRKYNRLFVGGTYGFMLKVFCLPLYAKRLFCEMMNPDRPGAHLNKLRICAMFLGLLYTFCPFDFLRIGTQV >itb09g04390.t1 pep chromosome:ASM357664v1:9:2459677:2462063:-1 gene:itb09g04390 transcript:itb09g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVARENKEAGTARMDRPPSDDCCPICFSNFVVPCRGPCGHWYCGGCILQYWNYSAALQPCNCPMCSKKITKLTPEASLYHSREVEVTEVLGSIRKYNRLFVGGTYGFMLKVFCLPLYAKRLFCEMMNPDRPGAHLNKLRICAMFLGLLYTFCPFDFLRIGRQNVIDVFDYSAIALSFVLYLAGLYLRRRRFQNVREMAAIDP >itb14g21620.t1 pep chromosome:ASM357664v1:14:23535677:23538984:-1 gene:itb14g21620 transcript:itb14g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGRSGGVLLLLFYSAVVLFDSTECAAAAAAAGANSKQHLLLACGSAEGGVDEDAGRKWEPDAKYLGSGDKGTAATAEIQDPSLPSVIPYMSARIFKSQASYKFPTKFSASTNRTLLRLYFYPSTYPGVDISKSFFDASVGSVTLLKNFSAYLTAEALTQAYIVKEYFLTSSPNMELTFKPSSDSDSDSFAFVNAIELIPSPDIFGIGDIFMAGFLDQTLSVADKQLETMYRINVGGQYILPTNDSGGLMRQWYEDSPFLYGGIGGVTGGGFRKVDYGDIPTYVAPVDIYKSYRSMGPNENLNDKYNLTWTFRVDLNYSYLMRFHWCDTMMNITRPNEVVFTMFLNNRTADENIDPVAIAGNRLGVPVVRNYLVNIKDRGGDDDAKYLLWVALHPATEASFYADAYLSGIEIFKISGSAKNLAAPNPTMSDMMRKYRLEEDQQVRYAPSSAFNTTAVIGGATGGAAAVGLAAALCLVAYNRKKRVPGGGDSTSWLPVYGNSRGASSRSKSGSHSGTTISSDAASNCRYFSLAEIKQATKNFDESNVIGVGGFGKVYKGVIDGDVKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEEDNEMALVYDYMGLGTLREHLYNKGGSDNKSLCWKQRLELCIGAARGLHYLHTGAKYTIIHRDVKTTNILLDDKWVAKVSDFGLSKTGPDMNKGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCSRPALNPSLPKEQVSLADWALSCYRKGTLEEIVDPQIKPEIKPESLKKFSETAVKCLADHGTDRPSMGDVLWNLEFALQQQQDSPPAKHGDKRSKSKASTPNEGEEEEASEEVIDQHSLMAMHRNTLSLGSDDGFDGNAFSQTSGR >itb06g06640.t1 pep chromosome:ASM357664v1:6:9244300:9245882:-1 gene:itb06g06640 transcript:itb06g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQDGSDEQQRRSEIYTYEAPWHIYAMNWSVRKDKRYRLAIASLLEQYPNRVEIVQLDDSNGEIRSDSNLSFEHPYPPTKVIFIPDKECQKPDLLATSSDYLRVWRVADDNSRVEIKTVLNNNRNSEFSGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSSKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPIEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSNKLQILRV >itb03g16190.t1 pep chromosome:ASM357664v1:3:15239040:15240606:1 gene:itb03g16190 transcript:itb03g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKPMTTGWGKSLAVPSVQEIVRKDVKNVPERYIQNEEDRPKASEISLLSSEIPIINLSLLENGDEDELRKLDFASKEWGFFQVIDHGVRDDVLRNMKAAVASFFDLPVEDKKKYAMAENDLQGYGQGYVVSDQQKLDWNDLMFLVTLPPKYRNMKYWPPTLAGFKEAVEEYAIDIEMVTTKILANLSLLMGMEKHSLREMHGEMKQGIRMNYYPPCAKSDLVLGVSPHSDSSSITLLLQEDEFAGLQIRHKQSWVPVNPIPNAIVVNLGDVMEAWSNGVYKSIEHRAVTNQTKARISVATFVIPEDQVDIEPVETMVDDRLLPRKYKSVKYVDFLRYSLARKMDGKSHTDYLKL >itb01g23470.t1 pep chromosome:ASM357664v1:1:29462460:29466510:1 gene:itb01g23470 transcript:itb01g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNFVPPFFFFFFVGSVVPLCSSLSSDGLSLLALKAAINSDPAQVLASWSESDSTPCRWAGIVCDDTHRVTSVSLSGNKLAGYVPSEIGALSSLVSLNLSDNNFSNLIPAHLFNATSLVSLDLSRNSFSGPLPVQIATLTTLTHLYLSSNLLNGSLPEDLFSLSHLVGALNLSYNSFSGEIPASYGRFPATLSLDLRHNNLTGKIPTEGSLLNQGPTAFTGNPYLCGFPLETQCPEPEAQNPRIFPNQEAPKDPIFSPNGLGERGKPRTGSGSGAVSLISGVSVVIGVVFVSVWAFRKKWNSVAEKPEKQNLETSAEAPKTEEGQKDKYSVLDEGFCLEIEDLLRASAYVVGKSRSGIVYKVVVGGSAVNSGAATVVAVRRLSEGDSPWSFREFEAAVEAIGRVQHPNIVRLRAYYYASDEKLLITDFIHNGSLYNALHGGPGNLLVAPLSWAMRLRIAQGIARGLVHIHECSPKRYVHGNIKSSKILLDEDLKPYISGFGLTRLVPSLSGPASSGQKRMNSNQFIISPRSSNSYEAPEARAAAGSKLTQKCDVYSFGVVLLEILTGRLPDGGEGADDGGKGIECVVRKAFREERPLSEIIDPALMHEVHAKKQVVATFHIALNCTELDPELRPRMRAVADSLDRVKSQ >itb04g06720.t1 pep chromosome:ASM357664v1:4:4398945:4401554:-1 gene:itb04g06720 transcript:itb04g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIFKSIFLLSLLPFLFHIRCAKGDLQMGYYSESCPRAEDIIKEQVIKLYEEHGNTAVSWLRNLFHDCMVKSCDASILLDSVAGVESERQSPRNFGMRNFKYIQTIKAALEEECPNTVSCADIVALSARDGAVMLKGPRAEMKTGRRDSKENYLAEVESFIPNHNDSMELVLSRFQSIGVDTEGTVALLGAHSVGRVHCINIVHRLYPTVDPTLDPTYADYLKLRCPSAEPDPKAVEPTYARNDRKTPMILDNMYYKNILEHKGLLVVDELLATHPATAPFVEKMAADNQYFHDQFARALVVLSENNPLTGDQGEIRKNCRYVNSN >itb04g06720.t3 pep chromosome:ASM357664v1:4:4398945:4400974:-1 gene:itb04g06720 transcript:itb04g06720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQAKAASGSSTSAIGIPNPKTMAIPIFKSIFLLSLLPFLFHIRCAKGDLQMGYYSESCPRAEDIIKEQVIKLYEEHGNTAVSWLRNLFHDCMVKSCDASILLDSVAGVESERQSPRNFGMRNFKYIQTIKAALEEECPNTVSCADIVALSARDGAVMLKGPRAEMKTGRRDSKENYLAEVESFIPNHNDSMELVLSRFQSIGVDTEGTVALLGAHSVGRVHCINIVHRLYPTVDPTLDPTYADYLKLRCPSAEPDPKAVEPTYARNDRKTPMILDNMYYKNILEHKGLLVVDELLATHPATAPFVEKMAADNQYFHDQFARALVVLSENNPLTGDQGEIRKNCRYVNSN >itb04g06720.t4 pep chromosome:ASM357664v1:4:4398921:4404140:-1 gene:itb04g06720 transcript:itb04g06720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIFKSIFLLSLLPFLFHIRCAKGDLQMGYYSESCPRAEDIIKEQVIKLYEEHGNTAVSWLRNLFHDCMVKSCDASILLDSVAGVESERQSPRNFGMRNFKYIQTIKAALEEECPNTVSCADIVALSARDGAVMLKGPRAEMKTGRRDSKENYLAEVESFIPNHNDSMELVLSRFQSIGVDTEGTVALLGAHSVGRVHCINIVHRLYPTVDPTLDPTYADYLKLRCPSAEPDPKAVEPTYARNDRKTPMILDNMYYKNILEHKGLLVVDELLATHPATAPFVEKMAADNQYFHDQFARALVVLSENNPLTGDQGEIRKNCRYVNSN >itb04g06720.t5 pep chromosome:ASM357664v1:4:4398901:4401554:-1 gene:itb04g06720 transcript:itb04g06720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIFKSIFLLSLLPFLFHIRCAKGDLQMGYYSESCPRAEDIIKEQVIKLYEEHGNTAVSWLRNLFHDCMVKSCDASILLDSVAGVESERQSPRNFGMRNFKYIQTIKAALEEECPNTVSCADIVALSARDGAVMLKGPRAEMKTGRRDSKENYLAEVESFIPNHNDSMELVLSRFQSIGVDTEGTVALLGAHSVGRVHCINIVHRLYPTVDPTLDPTYADYLKLRCPSAEPDPKAVEPTYARNDRKTPMILDNMYYKNILEHKGLLVVDELLATHPATAPFVEKMAADNQYFHDQFARALVVLSENNPLTGDQGEIRKNCRYVNSN >itb04g06720.t2 pep chromosome:ASM357664v1:4:4398945:4402908:-1 gene:itb04g06720 transcript:itb04g06720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIFKSIFLLSLLPFLFHIRCAKGDLQMGYYSESCPRAEDIIKEQVIKLYEEHGNTAVSWLRNLFHDCMVKSCDASILLDSVAGVESERQSPRNFGMRNFKYIQTIKAALEEECPNTVSCADIVALSARDGAVMLKGPRAEMKTGRRDSKENYLAEVESFIPNHNDSMELVLSRFQSIGVDTEGTVALLGAHSVGRVHCINIVHRLYPTVDPTLDPTYADYLKLRCPSAEPDPKAVEPTYARNDRKTPMILDNMYYKNILEHKGLLVVDELLATHPATAPFVEKMAADNQYFHDQFARALVVLSENNPLTGDQGEIRKNCRYVNSN >itb05g01700.t1 pep chromosome:ASM357664v1:5:1351945:1352597:-1 gene:itb05g01700 transcript:itb05g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPDLQDQQSKLYPSSCITTPQRQPRLSQNSTRMWVKVKYKEDLLRFPLDLLTLDSLKTEVLKRCTDLEADGFKFMYKDEDGEMITIACEDDLHFCFQYFKSSFHNTKVRLSLVTNDQ >itb13g12630.t1 pep chromosome:ASM357664v1:13:18829886:18830194:1 gene:itb13g12630 transcript:itb13g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKLQVLEEICDHMLLEEKEKELSADLQSAEMKQVFWLICNLCRKDAILSIDDIVQWCYGVTNMHKCVLISLCCHCMLSSGGLCRGRGEWVHDCVLEYSA >itb11g08970.t1 pep chromosome:ASM357664v1:11:5916298:5921081:1 gene:itb11g08970 transcript:itb11g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNELLGVSRVEICRPGSFLSSSKCVTDLDGRLRVNRVVDPLGRRGLQVKRVRPVAAVSSEQKVVKIVPEKGVKFKVRAVVTVRNKDNEDFKETFVKHLDNLSENFGRNVVMELISSEIDPKSKGPHKSKPAVLKDWSKKSNLKTERVNYIAEFVVDSNFGVPGAITVTNKHQQEFFLESITIEGFACGAVHFPCNSWVQSKKNHPDSRIFFSNQPYLPNETPAGLKVLREKELRDLRGDGTGARKLSDRIYDFDVYNDLGNPDKGVDFARPRLGGEAIPYPRRCRTGRVPTDTDINAESRVEKPLPMYVPRDEQFEESKRDAFSTGRLKAVLHNLLPGLQAKISEKNKDLKGYQDIDKLYSEGVLLKLGIKDDVLKKFPLPKIVTKFKDGDLLKFDIPKIISKDKLAWLRDDEFARQVLAGINPVSIERLQLFPPVSKLDPDVYGPLDSDLKEEHILGHIDGMSVQEAIDSNKLYIVDYHDTYLPFVDRINALDGRAAYATRTLFFLTDIGTLKPIAIELSLPSTGPSLRSKRVVTPPKDATTYWMWQLAKAHVCSNDAGVHQLVHHWLRTHASMEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQGLISNDGVIESCFTPGRYAMEISAAAYKNWRFDLENLPAELIRRGVAVADSTQPHGVKLLIEDYPYAADGLLIWGAIENWVKTYVSHYYTDADRVCNDRELQAWYAESINVGHADLRHADWWPTLATPDDLILILTTIIWLSSAQHAALNFGQYPYGGYIPNRPTLMRRLIPEENDPEYAIFTADPQKYFFSALPSLLQATKLMAVVDTLSTHSPDEEYLGERPQPSTWTGDTEMVEAFYEFSAEIQKAEKEIEARNSDTRLRNRCGAGVMPYELLAPSSSPGVTCRGVPNSVSI >itb15g22270.t1 pep chromosome:ASM357664v1:15:25038069:25042742:1 gene:itb15g22270 transcript:itb15g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSSGTITCKGVVAWQKGEALKVEEIQVDPPKCSEVRIKMLFSSLCHSDILFHNGLPIPLFPRVLGHEGVGRIESVGENVTDLKEGDLVITLYLGECKECFNCKSGKSNLCLKYPWLTSGLMPDGTSRISIRGQTLYHTFNCGTWSEYAVSDANYVAKIDPRLSLPHASFLSCGFTTGFGAPSRSIHVEKRSSVVVFGLGTVGFGVIAGAKEQGATKIIGIDINDFKREKGEAFGMTDFINPKNSDKTISELVKDVTEGLGADYAFECTGVPALLNQAIDATKVGSGAVVVIGAGIDETWKISVASILYSGRTFKGSIFGGVRVKSDLPSIVDKCITKKFQLEQLLTHEVSLEETSKAFELLKQPNCVKVLIKI >itb09g18290.t1 pep chromosome:ASM357664v1:9:14023153:14023836:1 gene:itb09g18290 transcript:itb09g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTETSDEEGRSKAKAEIQNATEDHGFLNKAAIEKPEFPSLYCTVKVEKPEFPSLYCTVKEVEEVKIVLYCTVKEVEEVKIVFRILPIFMSTIMLNCCLAQLSTFSVQQAATMNTKIGSLRIPPASLPVFPVLFVMVLALVYNHIIIPFARKITKSEMGITHLQRIGTGLVLSIVAMAIAALVESTRVETKSLSTVLLAAPPTLTMLPIRESSNGFALKQSFFTSL >itb02g00800.t1 pep chromosome:ASM357664v1:2:448567:455727:1 gene:itb02g00800 transcript:itb02g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin Putative 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) UniProtKB/TrEMBL;Acc:F4HQB9] MAWFSGRVSLGNLDLAGAVNKLSESVKNIEKNFDSALGLEEKPDGSSSSEASGLWSSSTDRKALFDPVMSFMGQKGGEDTESIESTESSKVLPATVEKVVDDSANSEIEKSEAPKENNEAAQKTDEITTSANEDNEVARNADVNLENSAEEARDTYLKPEPVTSAEEARNENAKLEPAISAEEANKDITKQDSAEESKSESEEQKSISAVDEKETEPDLPTEVSETKPEHDEETDSSKSLKKETSTEESFGNLEELETKSTSPASEAEVSTPMLHQSHFNSQENTDEAELQKEENKEEISPVEVQDEPTSHFESRRHSVSDSATSNETGTAEEHSETNLPVVQHDEETSKIVSESSSHATNAITQAVEVNQRAIDNVVDITEQLSSGINSSDVSSMVTELEKVKKEMKMMETALQGAARQAQAKADEIANLMNENEQLKIVIEDLRRKTSEVDIESLREEYHQRVAALERKVYSLTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEQLSKKQAAQEAQIRKLRAQIRELEEEKKGLQTKLEVEENKVESIRKDKAATEKLLQESIEKHQAELTTQKEYYTHALSAAKEAEALAEARANNEARTELEGRLREAEERESMLVQALEELRQTLSRKEQQAAFREDMLHKDIEDIQKRYQASERRCEELITQVPESTRPLLRQIEAMQETNARKAEAWAAVEKSLNLRLQEAEAKAAGAEEKERSINERLSQTLSRINVLEAQISCLRAEQTQLTRSLEKERQRAAEHRQEYLALKEEADTNEGRAKQLEDEIRELRRKHKQELQEALTHQELLQQELEREKAARLDQERAARVQPSVGPDQSPIARQRSGFENGNLARKISSASSLSSMEESYFLQASLDFSETSSERRHTGEVTMNPYYVKSMTPNVYEASLRQKEGELASYMSRLASMEAIRDSLAEELVKMTAECEKLRAEAATLPGIRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQVLSSSLGST >itb01g02890.t2 pep chromosome:ASM357664v1:1:1869377:1874312:-1 gene:itb01g02890 transcript:itb01g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEATAAAAVSHSWKDAYRGMSSDNVKGLVLALSSSVFIGASFIVKKKGLKKAGASGVRAGAGGYSYLYEPLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYASLVITAVLILIFHYVPQYGQTHIMCYIGICSLIGSLSVMSVKALGIAIKLTLSGMNQLLYPQTWAFTLVVLICVLTQMNYLNMALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHSTKDMVDGPMAHSRLPKHVDEDGYGQEGVPLKRQDSSRLP >itb01g02890.t3 pep chromosome:ASM357664v1:1:1869535:1874288:-1 gene:itb01g02890 transcript:itb01g02890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALEATAAAAVSHSWKDAYRGMSSDNVKGLVLALSSSVFIGASFIVKKKGLKKAGASGVRAGAGGYSYLYEPLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYASLVITAVLILIFHYVPQYGQTHIMCYIGICSLIGSLSVMSVKALGIAIKLTLSGMNQLLYPQTWAFTLVVLICVLTQMNYLNMALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHSTKDMVDGPMAHSRLPKHVDEDGYGQEGVPLKRQDSSRLP >itb01g02890.t1 pep chromosome:ASM357664v1:1:1869377:1874312:-1 gene:itb01g02890 transcript:itb01g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEATAAAAVSHSWKDAYRGMSSDNVKGLVLALSSSVFIGASFIVKKKGLKKAGASGVRAGAGGYSYLYEPLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHTFGILGCALCVVGSTTIVLHAPQERQIESVTEVWDLATEPGFLFYASLVITAVLILIFHYVPQYGQTHIMCYIGICSLIGSLSVMSVKALGIAIKLTLSGMNQLLYPQTWAFTLVVLICVLTQMNYLNMALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHSTKDMVDGPMAHSRLPKHVDEDGYGQEGVPLKRQDSSRLP >itb11g03870.t1 pep chromosome:ASM357664v1:11:2084274:2088891:-1 gene:itb11g03870 transcript:itb11g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNRRLLIGLLQSMTTMMIAAASLNNLTDKAALLTFKSRLLLDPGSVLAKNWSETSSHCSWVGVSCGRRHDRVIALVLPSMGLRGTIAGDIGNLSFLVFFAISGNSFYGHVPEELGRLRRLRGLFMNANQLSGNIPATFGLLTNLRFLNMAENHLTGNIWSEFENLSSLQILSLHSNNFTGTIPASIANLSNLDMFDIGHNSINGNIPSELGQLPNLKEIYLSFNSFEGEFPRPPLNISGLQTIALVGNSFSGNFPPNLAFKLPNIEGIFLASNNFSGNIPPSISNASKLVYLDLGRNFLSGYIPTTLGNLDHLLFLNLQFNQLGNDPSSPELGFLASLIKLKHLQTLQIGGNPLNGTFPRFFPDGNLSMSLTEFLAGGSGIRGQIPIEIGNLTKLIWLGIDNN >itb11g16770.t1 pep chromosome:ASM357664v1:11:15300357:15309174:-1 gene:itb11g16770 transcript:itb11g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKARNFRRRGGDDDDGDETPAFIAPNGNSTQSQAKPVASSGKPKKSSTLAPKSLLSFADDEESEDSPFTRPSRPSSSSSRLARPSSSHKLCSVIKGRSALAPSLPSNVQPQAGTYTKEALLELQKNTKTLAGSRPTQPKPKPKPESMEPVIVLKGLVKPAASVDPEMEKGRDSEDDEARVDRQGGSVGIDREDTVNRLNSMGLGKVLRATDDIGPEIPDQAMIDAIRAKRERLRQARAAAPDYIALDGGSNHGETDGLSDEEPEFQGRIGFFGEKIDSGKRGVFEDYEDKSGQKDVGDDSVYSEDEEDKIWEEEQVRKGLGKRMDDGSSRGVSSSGNGVNSIPVVQSVQQTKFGYPIAGASVYSSAQTVVAPVVSPNITGAAGGWPGSNAISISQQAELAKKALHENVCRLKESHARTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMQNLRDFVSVICSFLQEKAPYIEELEDQMQKLHKARAEAILERRVANNEDEMRELEDAISAAKQVFSERGSSAATIVAAKEAALRAAAEARRLRDLPVKLDEFGRDLNQQKRMDMARREKAREHRRAKSDTKRMSTIENDSSYQRVEGESSTDESDSESTAYRSNRDQLLQVAEEIFSDTNDEYSQLAVVVDKFERWKKGYSSSYRDAYMSLSIPAILSPYVRLELLKWDPLHKDADFMDMKWHSLLFNYGLPEHDSEFSSDDADANLIPQLVEKLAIPILHNHLAHCWDMLSTRETEYAVSAINLVFRYVPLSSSALGDLVAVLRNRLADAVAKLVVPKWDSILMKAVPNAARIAAYRFGMSVRLMRNICLWNNVLAMPVLEKLALDELLSGKILPHLRNIQSDIDDAITRTERIVASLYGVWAGPSVIGDHSPKLQPLVDYLLALSRMLEKKHLSTGAELETGKLARRLKKMLVDLNEYDHAREISRTFNIKEAL >itb11g16770.t2 pep chromosome:ASM357664v1:11:15303599:15309174:-1 gene:itb11g16770 transcript:itb11g16770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKARNFRRRGGDDDDGDETPAFIAPNGNSTQSQAKPVASSGKPKKSSTLAPKSLLSFADDEESEDSPFTRPSRPSSSSSRLARPSSSHKLCSVIKGRSALAPSLPSNVQPQAGTYTKEALLELQKNTKTLAGSRPTQPKPKPKPESMEPVIVLKGLVKPAASVDPEMEKGRDSEDDEARVDRQGGSVGIDREDTVNRLNSMGLGKVLRATDDIGPEIPDQAMIDAIRAKRERLRQARAAAPDYIALDGGSNHGETDGLSDEEPEFQGRIGFFGEKIDSGKRGVFEDYEDKSGQKDVGDDSVYSEDEEDKIWEEEQVRKGLGKRMDDGSSRGVSSSGNGVNSIPVVQSVQQTKFGYPIAGASVYSSAQTVVAPVVSPNITGAAGGWPGSNAISISQQAELAKKALHENVCRLKESHARTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMQNLRDFVSVICSFLQEKAPYIEELEDQMQKLHKARAEAILERRVANNEDEMRELEDAISAAKQVFSERGSSAATIVAAKEAALRAAAEARRLRDLPVKLDEFGRDLNQQKRMDMARREKAREHRRAKSDTKRMSTIENDSSYQRVEGESSTDESDSESTAYRSNRDQLLQVAEEIFSDTNDEYSQLAVVVDKFERWKKGYSSSYRDAYMSLSIPAILSPYVRLELLKWDPLHKDADFMDMKWHSLLFNYGLPEHDSEFSSDDADANLIPQLVEKLAIPILHNHLAHCWDMLSTRETEYAVSAINLVFRYVPLSSSALGDLVAVLRNRLADAVAKLVVPKWDSILMKAVPNAARIAAYRFGMSVRLMRNICLWNNVLAMPVLEKLALDELLSGKILPHLRNIQSDIDDAITRTERIVASLYGVWAGPSVIGDHSPKLQPLVDYLLALSRMLEKKHLSTGAELETGKLARRLKKMLVDLNEYDHAREISRTFNIKEAL >itb11g16770.t4 pep chromosome:ASM357664v1:11:15300357:15309174:-1 gene:itb11g16770 transcript:itb11g16770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKARNFRRRGGDDDDGDETPAFIAPNGNSTQSQAKPVASSGKPKKSSTLAPKSLLSFADDEESEDSPFTRPSRPSSSSSRLARPSSSHKLCSVIKGRSALAPSLPSNVQPQAGTYTKEALLELQKNTKTLAGSRPTQPKPKPKPESMEPVIVLKGLVKPAASVDPEMEKGRDSEDDEARVDRQGGSVGIDREDTVNRLNSMGLGKVLRATDDIGPEIPDQAMIDAIRAKRERLRQARAAAPDYIALDGGSNHGETDGLSDEEPEFQGRIGFFGEKIDSGKRGVFEDYEDKSGQKDVGDDSVYSEDEEDKIWEEEQVRKGLGKRMDDGSSRGVSSSGNGVNSIPVVQSVQQTKFGYPIAGASVYSSAQTVVAPVVSPNITGAAGGWPGSNAISISQQAELAKKALHENVCRLKESHARTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMQNLRDFVSVICSFLQEKAPYIEELEDQMQKLHKARAEAILERRVANNEDEMRELEDAISAAKQVFSERGSSAATIVAAKEAALRAAAEARRLRDLPVKLDEFGRDLNQQKRMDMARREKAREHRRAKSDTKRMSTIENDSSYQRVEGESSTDESDSESTAYRSNRDQLLQVAEEIFSDTNDEYSQLAVVVDKFERWKKGYSSSYRDAYMSLSIPAILSPYVRLELLKWDPLHKDADFMDMKWHSLLFNYGLPEHDSEFSSDDADANLIPQLVEKLAIPILHNHLAHCWDMLSTRETEYAVSAINLVFRYVPLSSSALGDLVAVLRNRLADAVAKLVVPKWDSILMKAVPNAARIAAYRFGMSVRLMRNICLWNNVLAMPVLEKLALDELLSGKILPHLRNIQSDIDDAITRTERIVASLYGVWAGPSVIGDHSPKLQPLVDYLLALSRMLEKKHLSTGAELETGKLARRLKKMLVDLNEYDHAREISRTFNIKEAL >itb11g16770.t5 pep chromosome:ASM357664v1:11:15300357:15309174:-1 gene:itb11g16770 transcript:itb11g16770.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKARNFRRRGGDDDDGDETPAFIAPNGNSTQSQAKPVASSGKPKKSSTLAPKSLLSFADDEESEDSPFTRPSRPSSSSSRLARPSSSHKLCSVIKGRSALAPSLPSNVQPQAGTYTKEALLELQKNTKTLAGSRPTQPKPKPKPESMEPVIVLKGLVKPAASVDPEMEKGRDSEDDEARVDRQGGSVGIDREDTVNRLNSMGLGKVLRATDDIGPEIPDQAMIDAIRAKRERLRQARAAAPDYIALDGGSNHGETDGLSDEEPEFQGRIGFFGEKIDSGKRGVFEDYEDKSGQKDVGDDSVYSEDEEDKIWEEEQVRKGLGKRMDDGSSRGVSSSGNGVNSIPVVQSVQQTKFGYPIAGASVYSSAQTVVAPVVSPNITGAAGGWPGSNAISISQQAELAKKALHENVCRLKESHARTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMQNLRDFVSVICSFLQEKAPYIEELEDQMQKLHKARAEAILERRVANNEDEMRELEDAISAAKQVFSERGSSAATIVAAKEAALRAAAEARRLRDLPVKLDEFGRDLNQQKRMDMARREKAREHRRAKSDTKRMSTIENDSSYQRVEGESSTDESDSESTAYRSNRDQLLQVAEEIFSDTNDEYSQLAVVVDKFERWKKGYSSSYRDAYMSLSIPAILSPYVRLELLKWDPLHKDADFMDMKWHSLLFNYGLPEHDSEFSSDDADANLIPQLVEKLAIPILHNHLAHCWDMLSTRETEYAVSAINLVFRYVPLSSSALGDLVAVLRNRLADAVAKLVVPKWDSILMKAVPNAARIAAYRFGMSVRLMRNICLWNNVLAMPVLEKLALDELLSGKILPHLRNIQSDIDDAITRTERIVASLYGVWAGPSVIGDHSPKLQPLVDYLLALSRMLEKKHLSTGAELETGKLARRLKKMLVDLNEYDHAREISRTFNIKEAL >itb11g16770.t3 pep chromosome:ASM357664v1:11:15303599:15309174:-1 gene:itb11g16770 transcript:itb11g16770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKARNFRRRGGDDDDGDETPAFIAPNGNSTQSQAKPVASSGKPKKSSTLAPKSLLSFADDEESEDSPFTRPSRPSSSSSRLARPSSSHKLCSVIKGRSALAPSLPSNVQPQAGTYTKEALLELQKNTKTLAGSRPTQPKPKPKPESMEPVIVLKGLVKPAASVDPEMEKGRDSEDDEARVDRQGGSVGIDREDTVNRLNSMGLGKVLRATDDIGPEIPDQAMIDAIRAKRERLRQARAAAPDYIALDGGSNHGETDGLSDEEPEFQGRIGFFGEKIDSGKRGVFEDYEDKSGQKDVGDDSVYSEDEEDKIWEEEQVRKGLGKRMDDGSSRGVSSSGNGVNSIPVVQSVQQTKFGYPIAGASVYSSAQTVVAPVVSPNITGAAGGWPGSNAISISQQAELAKKALHENVCRLKESHARTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMQNLRDFVSVICSFLQEKAPYIEELEDQMQKLHKARAEAILERRVANNEDEMRELEDAISAAKQVFSERGSSAATIVAAKEAALRAAAEARRLRDLPVKLDEFGRDLNQQKRMDMARREKAREHRRAKSDTKRMSTIENDSSYQRVEGESSTDESDSESTAYRSNRDQLLQVAEEIFSDTNDEYSQLAVVVDKFERWKKGYSSSYRDAYMSLSIPAILSPYVRLELLKWDPLHKDADFMDMKWHSLLFNYGLPEHDSEFSSDDADANLIPQLVEKLAIPILHNHLAHCWDMLSTRETEYAVSAINLVFRYVPLSSSALGDLVAVLRNRLADAVAKLVVPKWDSILMKAVPNAARIAAYRFGMSVRLMRNICLWNNVLAMPVLEKLALDELLSGKILPHLRNIQSDIDDAITRTERIVASLYGVWAGPSVIGDHSPKLQPLVDYLLALSRMLEKKHLSTGAELETGKLARRLKKMLVDLNEYDHAREISRTFNIKEAL >itb07g23370.t1 pep chromosome:ASM357664v1:7:27776746:27782669:1 gene:itb07g23370 transcript:itb07g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESEKKDGKLKSSSRLSCCIKSPPESLSGDAKLAGDDKMSTIKTKPGGWKSMPFILGNETFERLGSFGLTANFTVFLLTVFHFSQVSASNMLNIWYSISNFTPLIGAFISDSYIGKFWTIAISSFSQFLGMVTLTTIAARPTMRPPPCTVGSQQCEGPTRSQLGYLIMGLGFLSIGTGGIRPCSIPFGVDQFDSSTPEGRKGISSFFNWYYMSFTTVLIICMTVVVYIQDSVSWVIGFGIPTGLMVCGVVLFFLGMRRYVYVKPEGSVFSGVARVVAAAYKKRKIRLPGDGAGVGVYYDPPLPPGSTVAKLPLTKDYGFLNKAAVVMDGDVTGDGKCRNRWRLCSIQETEEVKCIVRVIPIWPAGIACSLAIIQQGTFTVNQAMQMDRHLGRGGFQIPAGSLFVVSLITIAVWLPFYDRVLVPWARRRTRVVGGITVLQRMGIGLAISVLAMVVAGFVEIKRRAAARASLAAAHGGASPISVAWLFPQLITMGFAEAFNILGQIEFYNKEFPENMISIGNSLSSVTWGVAGYVSTMLVNTVHRTTGGHGRPDWLTADIDAGRLENYYFVIAGIGAVNLVYFVLVARRYRYKSKVWVDDDDDKGDNGDLKV >itb09g06050.t1 pep chromosome:ASM357664v1:9:3464210:3470868:1 gene:itb09g06050 transcript:itb09g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQQNILCTHHMRPKAFITKNDEKLHRKTANYKPNIWKYDVIQNHTSEYVDVKYQRRAEKLKEEVSCLFDKTVDVLAKLELIDSIGKMGLSHHFEKEIMESLEHILLSANNKKCFSSEMDLYATALSFRILRQYGNHVSQDVFLGFMDEMGEFTIKGGPKAILELFEASHLALEGEDILYKARIYCTEILKNLTSEDSDNGLAGSLLLPSHLTANWYNVRREILQRENESMSNSQLLHLAKLNFNIVQVEHQKNLVEILRWWRNFGLIENMSFTRVRVVESFLWSVGVAFEPQYGNFRKWLTKAIQLVLIVDDVYDIFGSLKDLEIFTTAVEMWDPSGIVDLPECMKICFWALYDITNDTARQIHEHKGWDCALPYLQKGWADFCKALLVEAKWDSIGYTPTLWEYLDNAWISSSGNVLSLHILLGVPQDYSDIIHFLKNNKDLIYYSSLIIRLCNDLGTSAAELERGDAPSSILCYMKETGVTEEVAREHIRSVVHETWTKINKFCFNDNSSPVFSSLGTLVKCVTNTARVSHFIYQNGDGFGVPDRETRDQVISYLIEPIPLK >itb02g25940.t2 pep chromosome:ASM357664v1:2:26728459:26740976:-1 gene:itb02g25940 transcript:itb02g25940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRLEIKRKVAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQAMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGSDYEATDGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSAHLENGRSADEQGVEEAFELLYEISERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANLSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMVEEALEVATDPDYKFELAIQLGRLEIAKEIAVVAQSESKWKQLGELAMSTGMLEMAEQCLKHANDLSGLLLLYSSLGDADGITGLASIAKEHGKNNVSFLCLFLLGKVDECIQLLIDSGRIPEAAFMARSYLPSKVSEIVSIWRKDLNKVNQKAAEALADPQEYPNLFEDWQVALAVESSVAETRGIYPPAAEYLNHADRSAVNLVEAFRNMRMDEEEPLENGELDHGAAEQNEGEEQQLEQDDIQPEGHEDGQEEAVVVDAESTDGVLVNGNDADEEWGMNNEGKQSA >itb02g25940.t4 pep chromosome:ASM357664v1:2:26728459:26740976:-1 gene:itb02g25940 transcript:itb02g25940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRLEIKRKVAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQAMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGSDYEATDGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSAHLENGRSADEQGVEEAFELLYEISERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANLSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMVEEALEVATDPDYKFELAIQLGRLEIAKEIAVVAQSESKWKQLGELAMSTGMLEMAEQCLKHANDLSGLLLLYSSLGDADGITGLASIAKEHGKNNVSFLCLFLLGKVDECIQLLIDSGRIPEAAFMARSYLPSKVSEIVSIWRKDLNKVNQKAAEALADPQEYPNLFEDWQVALAVESSVAETRGIYPPAAEYLNHADRSAVNLVEAFRNMRMDEEEPLENGELDHGAAEQNEGEEQQLEQDDIQPEGHEDGQEEAVVVDAESTDGVLVNGNDADEEWGMNNEGKQSA >itb02g25940.t3 pep chromosome:ASM357664v1:2:26728459:26740976:-1 gene:itb02g25940 transcript:itb02g25940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRLEIKRKVAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQAMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGSDYEATDGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSAHLENGRSADEQGVEEAFELLYEISERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANLSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMVEEALEVATDPDYKFELAIQLGRLEIAKEIAVVAQSESKWKQLGELAMSTGMLEMAEQCLKHANDLSGLLLLYSSLGDADGITGLASIAKEHGKNNVSFLCLFLLGKVDECIQLLIDSGRIPEAAFMARSYLPSKVSEIVSIWRKDLNKVNQKAAEALADPQEYPNLFEDWQVALAVESSVAETRGIYPPAAEYLNHADRSAVNLVEAFRNMRMDEEEPLENGELDHGAAEQNEGEEQQLEQDDIQPEGHEDGQEEAVVVDAESTDGVLVNGNDADEEWVLTHH >itb02g25940.t1 pep chromosome:ASM357664v1:2:26728459:26740976:-1 gene:itb02g25940 transcript:itb02g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRLEIKRKVAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQAMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGSDYEATDGERLPLAVKELGTCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSAHLENGRSADEQGVEEAFELLYEISERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANLSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMVEEALEVATDPDYKFELAIQLGRLEIAKEIAVVAQSESKWKQLGELAMSTGMLEMAEQCLKHANDLSGLLLLYSSLGDADGITGLASIAKEHGKNNVSFLCLFLLGKVDECIQLLIDSGRIPEAAFMARSYLPSKVSEIVSIWRKDLNKVNQKAAEALADPQEYPNLFEDWQVALAVESSVAETRGIYPPAAEYLNHADRSAVNLVEAFRNMRMDEEEPLENGELDHGAAEQNEGEEQQLEQDDIQPEGHEDGQEEAVVVDAESTDGVLVNGNDADEEWVLTHH >itb04g14990.t2 pep chromosome:ASM357664v1:4:16109879:16114893:1 gene:itb04g14990 transcript:itb04g14990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKSDDSQNTEVVSQSSLCKGESEIASVVINESEEEGEEARKFLEEVRVTFPQVLRVVKTRQATYAVLNQLSHYVHNLEEIGLLEEKEMIHLHDAVQTDLKKLLRNPPVVKIPKVRDLISTNPFLGALPSTERETLVGSTKEIMKLRGTTLYREGDKPTCVWLISNGVVKWTSQLTSNKQLLHPTFTHGSTLGLYEVLVGKPYICDIITDSVVLCFSVETEKLLSVLRSDPAIEDFFWQESVIVLAKVLLPQVFENMGMPDLRALVAERSTMSTYIRGESFELPHHSIGFLIEGFVRGQDAGELVTSPAALLPSRMDQSSRKSEMSGSRAGSFSHQARSYQVETRARVIMFDIGAFEADRSLRRRSSSFLLYPTEHHSRSLSREHGSLMSWPEHSSMFRGHHNLEATNQQESSLSARAMQLSIFGSKVKRRVPSFTRNNKTKPSLSRSYPNIRSNYARPLVSARSEGSCTMSKHAEAPRYKKERHSVTERPVREARGESSDESGCEDEHIVRIDSPSTLSFR >itb04g14990.t1 pep chromosome:ASM357664v1:4:16109879:16114893:1 gene:itb04g14990 transcript:itb04g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKSDDSQNTEVVSQSSLCKGESEIASVVINESEEEGEEARKFLEEVRVTFPQVLRVVKTRQATYAVLNQLSHYVHNLEEIGLLEEKEMIHLHDAVQTDLKKLLRNPPVVKIPKVRDLISTNPFLGALPSTERETLVGSTKEIMKLRGTTLYREGDKPTCVWLISNGVVKWTSQLTSNKQLLHPTFTHGSTLGLYEVLVGKPYICDIITDSVVLCFSVETEKLLSVLRSDPAIEDFFWQESVIVLAKVLLPQVFENMGMPDLRALVAERSTMSTYIRGESFELPHHSIGFLIEGFVRGQDAGELVTSPAALLPSRMDQSSRKSEMSGSRAGSFSHQARSYQVETRARVIMFDIGAFEADRSLRRRSSSFLLYPTEHHSRSLSREHGSLMSWPEHSSMFRGHHNLEATNQQESSLSARAMQLSIFGSKQVKRRVPSFTRNNKTKPSLSRSYPNIRSNYARPLVSARSEGSCTMSKHAEAPRYKKERHSVTERPVREARGESSDESGCEDEHIVRIDSPSTLSFR >itb01g05820.t1 pep chromosome:ASM357664v1:1:4042534:4047443:1 gene:itb01g05820 transcript:itb01g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAYTLSPGTPLFSSRTNSLSRKFPLPFHKLPLGTTRTPRVIFSCSARDPIIPTSEQAILESVAEFYGAEKQLPGVRTYENDLARLTAVGAVGFQQALTAAAADGGEAADEHIQSGMSNMVVHTVFPGSSDERSTISTRLFLPAIKVREKAKKMKSSTTKDLLSSTTSKNILAMTFRQVTLQQLWNFELILFRPGTERKMDDLENLREVPASFILKSSDEQLISVLAEVICLSALESTQSCFSAKSLRRQSNKLFPWWHSPKRIMSKDSSVILYNLLEHEVVANSATLLERFRLEIGKYKPKEAKWKSNWLASSAYLKLERIGGPEFAAWVSECVPSYGLQINTDNFSNVKLEGWQELDTNMHWVVLTHSQLVGLADILDMYYEDVYTLPSKQLSSGAVAKAVNFSSRKGSFSLLKWLSIVLATGIFLVAAKVLHLRFLPYLPNRKNPQCQEIHSLQSYDTNYIQNCFMESSKLEAHCVSIIKRIKVALGWPGEIRTTSGCCAWIGEIPKFLRSTMESGSNVLDVPSSSTHLENEEEINASAQEIANYQVVLSMDGRIVGFQPTSRVAVNNWASNPLTKELYGGKDMSPGFLERGLNIRHPGQVVVLELLMSVNSKSHLGDFALVRPLNIAQQSE >itb01g05820.t2 pep chromosome:ASM357664v1:1:4042534:4047051:1 gene:itb01g05820 transcript:itb01g05820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAYTLSPGTPLFSSRTNSLSRKFPLPFHKLPLGTTRTPRVIFSCSARDPIIPTSEQAILESVAEFYGAEKQLPGVRTYENDLARLTAVGAVGFQQALTAAAADGGEAADEHIQSGMSNMVVHTVFPGSSDERSTISTRLFLPAIKVREKAKKMKSSTTKDLLSSTTSKNILAMTFRQVTLQQLWNFELILFRPGTERKMDDLENLREVPASFILKSSDEQLISVLAEVICLSALESTQSCFSAKSLRRQSNKLFPWWHSPKRIMSKDSSVILYNLLEHEVVANSATLLERFRLEIGKYKPKEAKWKSNWLASSAYLKLERIGGPEFAAWVSECVPSYGLQINTDNFSNVKLEGWQELDTNMHWVVLTHSQLVGLADILDMYYEDVYTLPSKQLSSGAVAKAVNFSSRKGSFSLLKWLSIVLATGIFLVAAKVLHLRFLPYLPNRKNPQCQEIHSLQSYDTNYIQNCFMESSKLEAHCVSIIKRIKVALGWPGEIRTTSGCCAWIGEIPKFLRSTMESGSNVLDVPSSSTHLENEEEINASAQEIANYQVVLSMDGRIVGFQPTSRVAVNNWASNPLTKELYGGKDMSPGTY >itb09g03850.t3 pep chromosome:ASM357664v1:9:2120366:2124692:1 gene:itb09g03850 transcript:itb09g03850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVGIENRIGVKMKDDEELEMLLDEIPHATSFNLHHPHSNGYCDYDGRGDHGHGSNVRHKMDGGLMGGNWYEHTCVSPVSGFSLKSDGSSSSLFSGGSPTPSPLEEVKPHLLCGSSGSVNRLRTDPGTPDCYVDKRVSESNLHELNLSRNFSKMYINEEQESGLIGPNSFQSRDHSVGKNMKLISEKYWGFDNSRKGFSDFGGLASPVPVSRNPLGVSGQVASPVVRSSYDIGGVNLYAMKYSPGLSNGLVSELNSSSSAIDSQLHQTKVPTSPLYQIGIPLSDLPSNVTSNAAASFPYAPRNGRYATEDSNNVPHLTHIKPHINSENVFYSHQTVSNGRYQAHSNVRMPNGNHEAFDREDSFIIQGEHLKYGMSNGHSKRSVHETSLVRPQEKRSQIDGCPQIKGVQESGLGGRFYFPFSQPLKLTSLGEAQGYIYHIAKDQHGCRFLQRMFDEGTPRDVQIIFNEIIDHAAELMMNPFGNYLMQKLLEVCNEEQRMEILLRVTEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSSLEPGFLALIKDLNGNHVIQRCLQYLTNGDSKFIFVAAAKYCVDIATHQHGCCVLQRCIAHATGEYRENLVAEISANGLLLAQDAFGNYVVQFILELKIPSATSKLISHFEGNFVHLSTQKFSSHVVEKCLIVCDYKVQSKIIHELVSATHFEQLLQDPHANYVVQTALRISEVIIDHQSAFFIFIIYASYCY >itb09g03850.t2 pep chromosome:ASM357664v1:9:2120366:2124692:1 gene:itb09g03850 transcript:itb09g03850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVGIENRIGVKMKDDEELEMLLDEIPHATSFNLHHPHSNGYCDYDGRGDHGHGSNVRHKMDGGLMGGNWYEHTCVSPVSGFSLKSDGSSSSLFSGGSPTPSPLEEVKPHLLCGSSGSVNRLRTDPGTPDCYVDKRVSESNLHELNLSRNFSKMYINEEQESGLIGPNSFQSRDHSVGKNMKLISEKYWGFDNSRKGFSDFGGLASPVPVSRNPLGVSGQVASPVVRSSYDIGGVNLYAMKYSPGLSNGLVSELNSSSSAIDSQLHQTKVPTSPLYQIGIPLSDLPSNVTSNAAASFPYAPRNGRYATEDSNNVPHLTHIKPHINSENVFYSHQTVSNGRYQAHSNVRMPNGNHEAFDREDSFIIQGEHLKYGMSNGHSKRSVHETSLVRPQEKRSQIDGCPQIKGVQESGLGGRFYFPFSQPLKLTSLGEAQGYIYHIAKDQHGCRFLQRMFDEGTPRDVQIIFNEIIDHAAELMMNPFGNYLMQKLLEVCNEEQRMEILLRVTEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSSLEPGFLALIKDLNGNHVIQRCLQYLTNGDSKFIFVAAAKYCVDIATHQHGCCVLQRCIAHATGEYRENLVAEISANGLLLAQDAFGNYVVQFILELKIPSATSKLISHFEGNFVHLSTQKFSSHVVEKCLIVCDYKVQSKIIHELVSATHFEQLLQDPHANYVVQTALRISEGCLHSSLLDAIESHKAISRNSPYSKRIFSNKLWKK >itb09g03850.t1 pep chromosome:ASM357664v1:9:2120366:2124692:1 gene:itb09g03850 transcript:itb09g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERVGIENRIGVKMKDDEELEMLLDEIPHATSFNLHHPHSNGYCDYDGRGDHGHGSNVRHKMDGGLMGGNWYEHTCVSPVSGFSLKSDGSSSSLFSGGSPTPSPLEEVKPHLLCGSSGSVNRLRTDPGTPDCYVDKRVSESNLHELNLSRNFSKMYINEEQESGLIGPNSFQSRDHSVGKNMKLISEKYWGFDNSRKGFSDFGGLASPVPVSRNPLGVSGQVASPVVRSSYDIGGVNLYAMKYSPGLSNGLVSELNSSSSAIDSQLHQTKVPTSPLYQIGIPLSDLPSNVTSNAAASFPYAPRNGRYATEDSNNVPHLTHIKPHINSENVFYSHQTVSNGRYQAHSNVRMPNGNHEAFDREDSFIIQGEHLKYGMSNGHSKRSVHETSLVRPQEKRSQIDGCPQIKGVQESGLGGRFYFPFSQPLKLTSLGEAQGYIYHIAKDQHGCRFLQRMFDEGTPRDVQIIFNEIIDHAAELMMNPFGNYLMQKLLEVCNEEQRMEILLRVTEEPGQLVRISLNTHGTRVVQKLIETLKTRQQISLVVSSLEPGFLALIKDLNGNHVIQRCLQYLTNGDSKFIFVAAAKYCVDIATHQHGCCVLQRCIAHATGEYRENLVAEISANGLLLAQDAFGNYVVQFILELKIPSATSKLISHFEGNFVHLSTQKFSSHVVEKCLIVCDYKVQSKIIHELVSATHFEQLLQDPHANYVVQTALRISEVLKNHE >itb05g13860.t1 pep chromosome:ASM357664v1:5:20956635:20957452:-1 gene:itb05g13860 transcript:itb05g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGSFKKAGTIPFKWEIRPGVPKSHGSDLLQLKYREEEEQDEGQPPSNHSRPDLHTPRMLRPPPAGRLYYQPTPAEPRSRSFRSAPRTRSERYRFDLSGITQPVGGCFPSPLLKRRTGKALPEPEPDYCSDLETLPRWSASSRRSVSPFSPQSPFSSSFSSNESSSPRTVSDAEWAGFALF >itb01g25750.t2 pep chromosome:ASM357664v1:1:31096009:31098060:1 gene:itb01g25750 transcript:itb01g25750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSISNAVSSFGQKKDSATPNQVCHEISDDDETCSNDSSGEGLECPICWESFNIVENVPYVLWCGHTICKNCMLGLKWAALKFSMHQIQIPLFISCPWCNLLTLRLVYKGHVKFPSKNFFLLWMVESRNGDRAKSPSSICRDHQQLWPPRGVSVIGNTTTINRRPHRLGNLRSNSGAHSHISNTSTNQRPQFSLHKSLDFFIHLTSKFPLVILLLLVIFAIIPSSVAIIVVYLVLTILFALPSFVVLYFSYPALDWLAREIAS >itb01g25750.t1 pep chromosome:ASM357664v1:1:31096000:31098060:1 gene:itb01g25750 transcript:itb01g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSISNAVSSFGQKKDSATPNQVCHEISDDDETCSNDSSGEGLECPICWESFNIVENVPYVLWCGHTICKNCMLGLKWAALKFSMHQIQIPLFISCPWCNLLTLRLVYKGHVKFPSKNFFLLWMVESRNGDRAKSPSSICRDHQQLWPPRGVSVIGNTTTINRRPHRLGNLRSNSGAHSHISNTSTNQRPQFSLHKSLDFFIHLTSKFPLVILLLLVIFAIIPSSVAIIVVYLVLTILFALPSFVVLYFSYPALDWLAREIAS >itb02g00720.t1 pep chromosome:ASM357664v1:2:422084:425263:1 gene:itb02g00720 transcript:itb02g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNGKFSHQYKATIGADFLTKEVEFEDRLYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVSKSFENLNNWREEFLIQASPSDPENFPFVVLGNKMDVDGGNSRVVSEKKAKAWCASKGDNIPYFETSAKEGFNVEAAFQCIAKNSLKKEPEEDMYIPDTIDVARGHQQRSTAGCEC >itb02g00720.t2 pep chromosome:ASM357664v1:2:422084:425165:1 gene:itb02g00720 transcript:itb02g00720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNGKFSHQYKATIGADFLTKEVEFEDRLYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVSKSFENLNNWREEFLIQASPSDPENFPFVVLGNKMDVDGGNSRVVSEKKAKAWCASKGDNIPYFETSAKEGFNVEAAFQCIAKNSLKKEPEEDINVQQSCEWSIVYRDIGISRTP >itb07g01580.t1 pep chromosome:ASM357664v1:7:954118:955451:-1 gene:itb07g01580 transcript:itb07g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQFQSPYVTAPASPMAGYGGVSSAAAQFYSVPTSPTSSWAANNAFSAGDDGASSRSCSNFDSFEFETSRKFGGAAEDGDRGERRRERGGSLPSMAFADQLFDNGRVMPLKPPPRFRIDGGGGVKSCSSPKSPGSMFRVPFSRRNVWNDDYDPFMAALQKVKEENRGRKSISMYGDDHHHRRTRSFSPFRRINGEDEWGFNNKETVIGPIEPAEPKAEPKPKGSTYARWVLNQTQSMGRSPKQSTTTKKTRPNKPTSNGGNTNSEDTKMQKIKGIIVRYTSFGNGNSEGKEVKNPISSMLSKSNYFKKFSFKYRGNRRENRNQNQDKNENRNGNGEPRIVLVKLQPPMCLGYGVQSPRNMQRSELST >itb01g28240.t1 pep chromosome:ASM357664v1:1:32758338:32760227:1 gene:itb01g28240 transcript:itb01g28240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQYHPAMASPPDTSKTSKLERYNSYLRRVNSTKLIAASSKLLFRVTLLIALLLIFFFTINYPPVADSSGNRAAVHATNHLLSSAFYGGGASWEKHVRLSSTPGSRNGMSVLVTGAGGFVGSHCALALKKRGDGVLGLDNFNSYYDPSLKRARQKLLEKHQIFIVEGDLNDAELLKKLFDIVPFSHVLHLAAQAGVRYAMQNPLSYVSSNVAGFVNLLEVAKAADPQPAIVWASSSSVYGLNTDSPFSEADRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDMIQGKPINVYVTQEDKEVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVSVRKLVAILENLLNIKAKKNVIKMPRNGDVPYTHANVSLAYRDFGYKPTTDLSSGLRKFVKWYVSYYGIQSRVKKELDSTQG >itb09g13360.t1 pep chromosome:ASM357664v1:9:8695345:8695995:1 gene:itb09g13360 transcript:itb09g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTVFLSLVALIFCGLAMAFEPSPLQDFCVADPSSSAKVNGFACKDPKMVQATDFFFSGLHLPGNTSNPNGSKVTAVNVAQIPGLNTLGVSLVRIDYEPMGINPPHTHPRATEVIVVLQGALEVGFVTSNPDNRLISKVLKTGDVFVFPVGLVHFQRNVGSTKAVAFAGLSSQNPGVITIANAVFGSDPDINADILAKAFQVDKNTVSYIQSKF >itb04g07410.t1 pep chromosome:ASM357664v1:4:5003482:5007413:-1 gene:itb04g07410 transcript:itb04g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine receptor 1 [Source:Projected from Arabidopsis thaliana (AT2G02220) UniProtKB/Swiss-Prot;Acc:Q9ZVR7] MGLLILCVIFILLGVSVESQAQNPQKLTCNPVDLRALEGFLDLLESSVDGWERNSSSSSSSSLNCCNWVGITCQSSLSLGLNEASNSGRVVKLDLGRRRLNGKVSESIGNLEHLRTLNLSHNFFQGSLPESLFHLPHLEVLDLTSNEFSGPFPGTLNLPAIQVLNVSDNSLIGSIPVGICKNSTQISALKLGANNFSGTVPIGLGDCSSLENLCLGANILSGSLPDDLFKLPRLASLNLQQNRFSGQLNPLIGNLSNLEYLDICLNEFSEAIPDVFHRFRNLTYFSAHSNLFAGSIPLSIANSQTIRVLTLRNNSLSGTIDLNCSAMTSLESLDVATNQFRGLIPRNLPECPRLRTVNLGRNNFDGQVPETFKNFQSLSSLSLSNSSISNISAALRILQHCRNLTTLVLTLNFRDEQLPSDPNLQFGELKALVIANCRLMGSIPQWLRGSSKLQLLDLSWNRLEGTIPPWFGDFDSLFYLDLSNNSLTGDIPKELTGLQSLISNNISSENSSLDFPFFVKRNVSLGGLQYNQIWSLPPTLELGNNYLTGEIWPEFGNLKGLHIFDLKCNNLSGTIPSSLSGMKSLETLDLSHNNLVGTIPPSLVNCSFLSKFSVAFNKLSGEIPTGGQFSTFTNSSFEGNAGLCGEHSTPCDHNDNFPHGSARKARRPRGTIIGMGIGIALGTVFLLTLMFLIVLRASTRRVVDPENEVNGAEKELDELGSSLVIFFQHKDNDKEMTLDDLLKFTDNFDQSNIVGCGGFGLVYKAILNDGRKVAIKRLTGEYGQMEREFEAEVEALSRAQHPNLVLLQGYCRYKNDRLLIYSYMENGSLDYWLHEKLDGPSLLSWDKRLVIAQGAARGLAYLHQACDPHILHRDIKSSNILLDEHFEAHLADFGLARLILPHDTHVTTDVVGTLGYIPPEYCQASVATYKGDVYSFGVVLLELLTAKRPMDMCKPKGSRDLISWVIQMKKEKRQTEVFDPLVYDKQHADEMLWVLEIACLCLHESPKVRPSTQQLVSWLDNLSFTSSFNSG >itb04g09240.t1 pep chromosome:ASM357664v1:4:8548635:8549315:1 gene:itb04g09240 transcript:itb04g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVGVLAAALLCFNAIVGRVVVARNLGVEDSKFFWSGNNGGGIGGGFGGGVSGGGGGGFGVGGGVSGGVGGKGGGIGGGIGGGFGKGGGGGEGGGGIGSGENGGGDIGGGAGGGLGKGGGIGGEIGKGGGIGGGFGGGFGKGGMLSGGVGGSVGWGIHKGGGGIGGGFGGGIGGSISKGGGGEFGGGASGGIGGGVGGGFGGGMGGGGGGGWLGAEDSNTFGHH >itb02g01250.t1 pep chromosome:ASM357664v1:2:686198:688005:1 gene:itb02g01250 transcript:itb02g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLVWEIVKKNNSFLVKEFGDGTAGVTFSKEPNNLCNLHSYKHSGLANTKTVTIQGGKDQTVLLATTKTKKQNKPAKLLNKSVMKKEFCRMAKAVTSQVADNYYRPDLKKAALARLSVVNRSLKVAKSGVKKRNRQAV >itb09g24500.t1 pep chromosome:ASM357664v1:9:24187427:24198715:1 gene:itb09g24500 transcript:itb09g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEASSVSGARNPFCCAEASAADSSAQGFREPSPDSLENENTLEVYMACIMHGHRIGVSYYNSSIRQLYVMEIWEDGSKDFPLLDMIKYQVQPGVIYTSTKSEESFLAALQRCDNTSEAATVKLVKSSLFSYEQAWHRLMYLQVTGMDDSLNIKERISFLSSMMDISSDVQVRASGGLLAILEDERIIDVHEQNEFENASIAVDSSICSLLHINKIFEVGISEDLREQLKYLNLGIVKKAGDHLSSDLAFICELVIGVLDVNRSKEKGYETIVKDGFCNELDELRQIYEGLPDFLEEVSSLELARLPHMVGENGLPCIVYIHQIGYLLCIFNEKLDEEMQEKLPDFEFAFLDEDGDTKKFFYRTSKTRELDTLLGDIYHKILDMERAITRDLVSHILQFVVPIHNAVNFVAELDCLLSLTLVARQNNYARPTLTAENILDIHNGRHLLQEMTVDTFIPNDTKILDEGKVNIITGPNYSGKSIYVKQVALIVFLSHIGSFVPAEAATVGLTDRIFCAMGTKFMTAEQSTFMIDLHQVGMMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGVINHFVSCYDPPKVLVCTHLTEIFDSGCLLESERIKYYTMSVLSPEKNSADLEDVVFLYRLVPGQALLSFGLHCAQLAGIPEEVVKRAVLVLDALQNEWHVERLCSENILVQDQHYKDAVEKMLGFDVMNEGDVRLFFENIFPSQC >itb09g24500.t2 pep chromosome:ASM357664v1:9:24187427:24198715:1 gene:itb09g24500 transcript:itb09g24500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEASSVSGARNPFCCAEASAADSSAQGFREPSPDSLENENTLEVYMACIMHGHRIGVSYYNSSIRQLYVMEIWEDGSKDFPLLDMIKYQVQPGVIYTSTKSEESFLAALQRCDNTSEAATVKLVKSSLFSYEQAWHRLMYLQVTGMDDSLNIKERISFLSSMMDISSDVQVRASGGLLAILEDERIIDVHEQNEFENASIAVDSSICSLLHINKIFEVGISEDLREQLKYLNLGIVKKAGDHLSSDLAFICELVIGVLDVNRSKEKGYETIVKDGFCNELDELRQIYEGLPDFLEEVSSLELARLPHMVGENGLPCIVYIHQIGYLLCIFNEKLDEEMQEKLPDFEFAFLDEDGDTKKFFYRTSKTRELDTLLGDIYHKILDMERAITRDLVSHILQFVVPIHNAVNFVAELDCLLSLTLVARQNNYARPTLTAENILDIHNGRHLLQEMTVDTFIPNDTKILDEEFSGKVNIITGPNYSGKSIYVKQVALIVFLSHIGSFVPAEAATVGLTDRIFCAMGTKFMTAEQSTFMIDLHQVGMMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGVINHFVSCYDPPKVLVCTHLTEIFDSGCLLESERIKYYTMSVLSPEKNSADLEDVVFLYRLVPGQALLSFGLHCAQLAGIPEEVVKRAVLVLDALQNEWHVERLCSENILVQDQHYKDAVEKMLGFDVMNEGDVRLFFENIFPSQC >itb04g10100.t1 pep chromosome:ASM357664v1:4:9353431:9357822:1 gene:itb04g10100 transcript:itb04g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MAIDVLNSSRFCCAHFNCISVTDTAPGKSSLSLWVSISNGPLRGRKFESLKVLRRGSVVNWKKTRRKNVGVWHNVMNSSNGNLNSGISSEEVFGILKSFSDPNQALSLFKSVAELPKVVHTTETCNYMLELLRVHGRVSDMAMVFDVMQKQIIYRNLDTYLTIFKGLHIRGGIRLASFGLERMRKAGFVLNAYSYNGLIHLILQAGFCSEALEVYRRAVSDGIKLSLKTYSALMVACGKRRDTATVLSLLKEMDSLGLKPNIYTFTICIRALGRAGKVDDAYAILKRMDNEGCTPDVVTYTVLIDALCIAGKLDTAKEVFVKMKSNSQKPDRVTYITLLDRFSDSGDLDSVREFLDRMEADGYEADVVSFTILIDALCKVGRIDEALSSLDDMKEKGVSPNIYTYNSLISGLLRMNKVDDALLLFDNMESYGVRRTAFTYVPFIDYYGKLGEPDKALQTFEKMKAHGITPNLVACNASMYSLVESGRLREAKSIFDGIKRSGHVPDSITYNIMMKCYSNAGKIDEAIHLLSEMKENGCEPDVIVVNSLIDILYKDDRADEAWEMFHGMKDMKLAPTVVTYNTLLGGLRKEGKVQEAFKLFESMGAQGCSPNTITYNTLLDCLCKNGEVGTALTMLYEMTERNCIPDVFTYNTVIHGLAKERRVYEAFWFFNQMKKIVQPDCVTIYTLVPSLVKDGSVKYAVKIVENFVWQMENWVDDSFWRSICDGFFNEAKLEDSILFIEMLMYNGICKNDSVMAPLIRFLCKQKKALVAHDVFVKFTKSFGIRATLKSYYPLIEGLLDIHLSELAWSVFKEMKNTGCAPDVFTYNVLLNHLGKSGKVDELCELYEEMLHRGCKPIAITYNILISGLVKSDKLEKAVELYYDLMSDGFSPTPCTYGPLIGGFLKAGKLDDAKTFFEEMTSYGCKPNSAIYNILINGFGKAGDVETACHLFEKMIREGIRPDLKSYTILVDCLCLAGKVEDAVRYFEELKSSGIDPDLVSYNLMINGLGRAGKFEEALCLLDEMRSKGVTPNLYTYNSLIFNLGIIGRMEEADKMYEELQHLGLEPNVFTYNALIRGYSRSGDADRAYAVYEKMMVGGCSPNSGTFAQLPN >itb05g08260.t1 pep chromosome:ASM357664v1:5:11362454:11365985:1 gene:itb05g08260 transcript:itb05g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLSTSCFKIRDSKSQPTSCFKTCRRLPSSRRRREEKPPSPRSPPSPREELIPNLLSLPPTQSRAHPCETKTTAPPCETADLNQRLQRRPTGDDDGDGENKYCSALLCETVTVTSPAPPLRLLLACSTTGRLDWTATPVLHCVLGAHSEELGAAAQLEDDVNFYQTANPDVAKLFHLDPQAKRPVLVLIKKETEKINHFGGQFTKSAIAEFVYENKLPLVNYFTREGAPEIFENLIKKQLILFATSNDSDKFFPSFQEAAKSFKGKLICVFVEMNNKDYGKAVSV >itb01g24720.t1 pep chromosome:ASM357664v1:1:30302317:30303219:1 gene:itb01g24720 transcript:itb01g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVLGKGKPVNFKGTHLSLIPLALLLSLYFSLSLSLILTSLSIPQNTENQGDADFIYSHLLFCVYYRSDMIKVRLQSPLGSHLVF >itb04g10550.t2 pep chromosome:ASM357664v1:4:10077450:10082091:1 gene:itb04g10550 transcript:itb04g10550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFWKVGRLARVLRNPSSILLNSERLHSPTIHAIDASYSSSLWRCYPTNLLSSSYSGISGNPMEMESKLQREVLSSSICHMMQRVSYSSEATVVESGSTETAKEIYEKILKSIVDKKSAPPNAFLWSLIEKCSNHDDIKLLFNILQRLRIFRLSNLRIHENFNCAICQEVTKACIRIGAIEFGKKALFKHNVYGLSPNIGSAHHLLMYAKEHNDVSLMVDIMRLVQSNDLPLQPGTADLVLRICYQNNKWELMCKYGKRFTKGGVKLRQASFDLWMDFAAKMGDVDSLWKIEKIRSESMKHHTISSAFSCAKGLLIGNKPEEAAAIIQVFYESLPDSKRPSIVAELQKLATEWPLEVVKRQKEESRKELASTLQNGVPAMINGLSSIGANVKVNMDDLTREGVLS >itb04g10550.t1 pep chromosome:ASM357664v1:4:10077450:10082091:1 gene:itb04g10550 transcript:itb04g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFWKVGRLARVLRNPSSILLNSERLHSPTIHAIDASYSSSLWRCYPTNLLSSSYSGISGNPMEMESKLQREVLSSSICHMMQRVSYSSEATVVESGSTETAKEIYEKILKSIVDKKSAPPNAFLWSLIEKCSNHDDIKLLFNILQRLRIFEVTKACIRIGAIEFGKKALFKHNVYGLSPNIGSAHHLLMYAKEHNDVSLMVDIMRLVQSNDLPLQPGTADLVLRICYQNNKWELMCKYGKRFTKGGVKLRQASFDLWMDFAAKMGDVDSLWKIEKIRSESMKHHTISSAFSCAKGLLIGNKPEEAAAIIQVFYESLPDSKRPSIVAELQKLATEWPLEVVKRQKEESRKELASTLQNGVPAMINGLSSIGANVKVNMDDLTREGVLS >itb12g23760.t1 pep chromosome:ASM357664v1:12:25372603:25375350:-1 gene:itb12g23760 transcript:itb12g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLAAVVAAATVLTLTVAIVFLTSSANALGGSSTTLAVMYGASTAVCGIVAGQSTQNVQCWTSGRSTPVTVYPEVSFDFIAGGIDVLCGVRSGGFALLCWNPNFTPKRLYLNYTTPLSSLTIGDTQICGLTNGSTRNALCWRGGAISNQQNGPSDSSEEFQSISSGSGFTCGVVKSSNRVSCWGATPIASDLETQFQNESMADINAGGSFACGINTTGFVICKGSNENGELEVPFNSAYEYSGLTLGLNHSCAIRRVNQTVVCWGRNGAVSSSVVAGVPFESIVSGPDFTCGLRTSNFSVVCWGPGWTNNNNSYPQAAELPLPKILPAPCVNSNCDECGVYPLYQSLCSGDRKICKPCDLPSSFPPSSPPQNPPPPQNPERSKRLRRGLLVFSIVGSVGGFAGICTILYCLWTGVCFGKKKIHNSVQPTITAANPQQQSSSGPLSRSSTLRRQGSRLMRRQRSGTSSKHADRAEEFTFPDLVAATNNFSLENKIGAGSFGVVYKGKLPDGREVAIKRGETGPKTKIFQEKESAFQSELSFLSRLHHKHLVRLVGFCEEGEERLLVYEFMKNGALYDHLHDKNNVEKSSNIVNSWKIRIKVALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLMGPDSDRDYSRPMNKAGTVGYIDPEYYGLNVLTTKSDVYGLGIVLLELLTGKRAIFKANGGEGAPMSLVDYALPAIMAGELTKILDPRVGLPEPNEAEAVELVAYTAMHCVNLEGKDRPSMTDIVVNLERALNHCEDSHGSISSGQISIVSE >itb12g27580.t1 pep chromosome:ASM357664v1:12:27898552:27900562:-1 gene:itb12g27580 transcript:itb12g27580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYDIFTVMFLMNVVLSGWMHGAVDGLRMDYYILACPIADLIIKNTVNRHLQKDPTLAASLVRMHFHDCFIQGCDASVLIDSTKDNTAEKDSPANLSLRGYEVIDDAKDELERQCPGVVSCADIVAMAARDAVFFAGGPYYEIPKGRKDGTRSRIEDTINLPFPTLNTSELITTFGRRGFTAQEMVVLSGAHTLGVARCASFKHRLSNFDDAHDVDPTIDNQFAKTLSKTCGAGDTAEQPFDSTRNAFDNDYFSALQRRSGVLFSDQTLYSSAATRGMVNNYAMNQAMFFLHFQQAMVKMGRLDVKEGSQGEVRQNCRVVN >itb05g23410.t1 pep chromosome:ASM357664v1:5:28522666:28524040:-1 gene:itb05g23410 transcript:itb05g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAVMLLLLTIPGLDALRKGLITVTRNLLKPFMSIVPFCLFLLMDIYWKYENRPTCESDSCSPSEHLRHQKSIMKSQRNALLIASALIFYWLLYSVTSLVVRVEQLNNRIEKLRNQD >itb01g09060.t1 pep chromosome:ASM357664v1:1:7293883:7295346:-1 gene:itb01g09060 transcript:itb01g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFIPAPGMGHLVSAVGMAKLLLQARPQLSVTVLIMKLPFAPDSNINSYIDSLLADDDDKDNSRLKLIPLPDALKGHTDMASIFRVFLDSQKTKVRECVNEMLASVGVRRRLAGFVVDMILCSAMMDVADEFGVPTYVFYPSGAAMLGLHLHLQSLNHHALEFKDSDPHLNISTYSKPFPLNLLPYFLLDNTNGIWDCAKRISQAKGIIVNTFFDLEEYALESLSKNKSVPPVYPVGPILNLNGHYNKNRESEKQILMKWLDDQPASSVVFLCFGSGGTFPEPQVKEIAYALESSGQRFLWALRKPPCPGSLVPTEYTNPEEVLPEGFLERTQNIGKIIGWAPQSEVLAHPSIGGFVSHCGWNSILESIWFGIPIATWPMCVDQHANAFQLVREIGMAVEVTMDYKIDSKDPKTNFLIVPEIVNAKEIKFGITSLMDHSTSNSIRTKAKEVKEKSRKALEEGGSSFNFVESFFENVMNNLK >itb10g14540.t1 pep chromosome:ASM357664v1:10:20901224:20902079:-1 gene:itb10g14540 transcript:itb10g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIFDSSLNLEDTHYKQGYSDGYADGLASGVEEGRQVGLKTGFEVGFELGFYRGCIDAWISAIRLDPTCFSPRVQKNIVQMDELLRKYPISDPENESVTDIMDSLRMKFRVICATLNVKLEYEGYPRASGFEDTGF >itb05g24790.t1 pep chromosome:ASM357664v1:5:29469655:29471196:1 gene:itb05g24790 transcript:itb05g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLSGGGGGGRGGATANSQDHRESSSQPNEINPESWFLYRNDQEMAPAAYKGFELWQDQPAAAHQIRHHHQINPLQDLYSSAVGLGVGPSRSAAAAAIDEHSRSAAFMTMMRTGAGSAAAGGISCQDCGNQAKKDCSHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQSQENNNNQQSLQLRSSSSRELTPKRHREDPSTNNSSLVCARLPSSTPGLEVGNFPAKVSLTAAFQCVRMRSVDDGDDQYAYQAAVNIGGHVFKGILYDEGPESQYLTAGESSSGGGSGGTAAAEHNLLPSTAATSAATTAAATASDHQGSGYVDPASIYPAPLSTFMAGTFFPPPARS >itb02g17950.t1 pep chromosome:ASM357664v1:2:14268896:14272932:1 gene:itb02g17950 transcript:itb02g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSEYEEPETNTSTSSASAAAAKPFKASLDPANPLGFLEAALEFLARESDLFNSDSLINDVNAVVRMVKDKVDTEERKRKEKEKAANGNTEKKMKETPIAAVAAPAVPVKEVEAEGEKTTGTTEDEKKGPRAPNKGNGLDMDNYSWVQSLQEVTITIPVPPGTKARFIECDIKKNHLKVGLKGQPPVIDAELYKPVKVDDSFWSLEDQKSISLLLTKSDQMEWWKFLVKGEPEIDTQKVEPENSKLSDLDPETRSTVEKMMFDQRQKSMGLPSSDEMQKQDILKKFMAEHPEMDFSKAKFS >itb13g14320.t1 pep chromosome:ASM357664v1:13:20882856:20886688:1 gene:itb13g14320 transcript:itb13g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLSLSRTMISKLQITHVDEKIIIEQVKDTHNSNGGIDVDANSLLKLLEGIFTLNTDSKSAEDNSEKEDETSNENPESDEDNSENEDEFSNEDSESDEDNPENEDESSNDDSKRDEDDPEEEGSDEHGKPETVHREARQDRLQLQERIHKEISFVVLQLSFMVTFTCVSSDDCHSTAIYLLRLLSKYMWHAKGVMLLACFAIIRGKPKVTSQSCHRKGLSYNMASLRKSVNSLLSTENEIFLNDSIKSMFDLTELMVELRHSSSIFLANYWIARSVVAYAHLLILDLEPQNQMMTELSNVSTKIKEILASSLPLLDS >itb13g14320.t2 pep chromosome:ASM357664v1:13:20882856:20885785:1 gene:itb13g14320 transcript:itb13g14320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLSLSRTMISKLQITHVDEKIIIEQVKDTHNSNGGIDVDANSLLKLLEGIFTLNTDSKSAEDNSEKEDETSNENPESDEDNSENEDEFSNEDSESDEDNPENEDESSNDDSKRDEDDPEEEGSDEHGKPETVHREARQDRLQLQERIHKEISFVVLQLSFMVTFTCVSSDDCHSTAIYLLRLLSKYMWHAKGVMLLACFAIIRGKPKVTSQSCHRKGLSYNMASLRKSVNSLLSTENEIFLNDSIKSMFDLTELMVELRHSSSIFLANYWIARSVVAYAHLLILDLEPQNQMMTELSNVSTKIKEILASSLPLLETKRAEENYQALLHAFDYSSNILNVLKLIFNVKNNKEKPILY >itb06g04480.t1 pep chromosome:ASM357664v1:6:7092390:7096999:-1 gene:itb06g04480 transcript:itb06g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVQNGVHQKKEAMEFCVKVDPLNWGAAAEAVKGSHLEEVKRMVAEFRKPVVKLGGETLTVAQVAAIASRDNNAVTVELSEEARPGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGGDSCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAAGPNGESLTAEQAFRAAGVDGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVLAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKSAEKLHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLALASIGKLIFAQFSELVNDYYNNGLPSNLTASRNPSLDYGLKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVEVLKLMSSTYLVGLCQAIDLRHLEENVKHAVKNSVSQVAKRTLTTGINGELHPSRFCEKDLLRVVDREYVFAYADDPCSETYPLLQKLRQVLVDHALQNGEKEKDEGTSIFQKIAAFEDELRAVLPKEVEAARAAVESGNSAVPNKIKDCRSYPLYKFVREGLGTELLTGEKVRSPGEECDKVFTALCGGEIIDPLLECLESWNGAPLPILS >itb10g25480.t1 pep chromosome:ASM357664v1:10:28792267:28792981:-1 gene:itb10g25480 transcript:itb10g25480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKSSKLPLQAAVLKQILRRCSSLGKKHGYDEDGLPVDVPKGHFAVYVGENRTRYIVPISFLSHPEFQCLLQRAEEEFGFDHDMGITIPCEEVVFRSLTSMLR >itb02g06650.t1 pep chromosome:ASM357664v1:2:4154411:4157249:1 gene:itb02g06650 transcript:itb02g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB101 [Source:Projected from Arabidopsis thaliana (AT2G32460) UniProtKB/Swiss-Prot;Acc:O80883] MAPDGGGMKNGGGASGRTGGARQMLKKGPWTAAEDAILMEYVKKHGEGNWNAVQRNSGLMRCGKSCRLRWANHLRPNLRKGAFSPEEERLIVELHAKLGNKWARMAAQLPGRTDNEIKNYWNTRLKRRQRAGLPIYPQEIQPQNTYHHPNQILIQQTRSSRSSSPLSALLSAPPPPHHLPKPAAPYNPPPSIYDHMFDSPATLKSAPVATLQGHGSHFKFFSAPPGGLALTLAASSNKPGQFSSPVTPPLPKHFNAPLPIPSLPFSALHPFSAVFGGPSGANMQERPSIQTPVPAATPTTSSGITGSLYPAPSDDANDYEVLPGLPRSNSGLLEDLLHESHALARDVEKINKDSSDGKGKQKSTSDEYEALLGNPSPPAVHEQAVYSFGYEGESASAKESNSPVDDDILSLLDNFPLAVPVPDWYEDGKGGGDLVNGGAFNTMQGGGGATGVVGNHKEESKSSSTTTSESTLCWNNMPCIS >itb14g05200.t1 pep chromosome:ASM357664v1:14:4580920:4581957:-1 gene:itb14g05200 transcript:itb14g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLHGYIFKPTDKELMQYLEGFVLGKPLKHTSDFIALEDLYGEKEPAEIFGSGDPMTRYYFTQLRRKCQRGSRFLRRVGDRGTWKGQDAGHPIRVRDKVMGFRKSLKYETKKSKSKDGLGDHPREDWLMKEYSLSNDYLRDKNVVLKDVVLCRIRRVVRSTSGSSESSTLNINENDTPLEIYNWPENDVVSLPPSETSTLAPIAAEVSSGVDDANGGVIAMDNHTLQVDEFNELDELLRRLENDDVTVSLPPSETSTLAAAQVSSGVDDAYGGVIAMENDRLQLDEWDQLLRTPENESLPPSQTSTLAAAEFSSGVDELDELLKKIPPHDVLEDYNFWNFKA >itb15g17060.t1 pep chromosome:ASM357664v1:15:17242272:17244663:-1 gene:itb15g17060 transcript:itb15g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKNNVLFSFVVCCSLVLSSSSAQTCRNYNSFASNKVFTSCTDLPFLKSFLHWTYDPASMTARIAYRHTGVSPSRWVAWGINPTSHGMVGTQALIAYQESADGRMKVYTAPIDSYQTGLQAGDLSFPVSDLSATYSDKEITIFATLKLQNLSSSATLNQVWQEGPVSGGYPGMHDTSGSNVQSMGTLNLLSGQSGGATVGSGTGSSQLRKKNIHGLLNTASWGIMMPIGALFARYLKVFKAADPAWFYLHATCQILAYIVGVSGWATGLQLGSQSPGVQFTAHRTIGIVLFCLATIQVSAMLVRPKKEHKHRVYWNMYHHAVGYSIIILGIINIFKGVKILNPEKKWESGYVGILVGLGLVAATLEVFTWCVVIKRKKNVDDKIPNVYSNVYNNGYESRQHTRV >itb14g18430.t1 pep chromosome:ASM357664v1:14:21467234:21471315:1 gene:itb14g18430 transcript:itb14g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLTSILLPLFIFSCSLSCAAHNRRVLHQPFLPLDSKPPLPAPPSPPPTPTTPSTSDGNAPFFPSFPLPPPPPSPKAFASFPANISSLIVPRPQPEKPVSSKLVGTAISCVAAAVIFILIAVLIHRRKRRRNNSNRSNSSSDSKTHRSDYSTRLSYFNNGGSGGNRRIPKLPMPSRPSSEFLYLGTMVNSHGGIESSHNPQNPRSGSISTSTGTSLKLESPELRPLAPLHGESFERNYGNTELGSEADEEDDVFYSPKGSLGDRESSIGTGSASRRAFSAVEVENFEPSGPSSSSSSSSSGSGSPKKSVSLSISPPVSLSPNRLRPKSPESVTILTAPPRQLPPPPPPPPVYVAHWVYARESESPSPASSSSPERASRRSLDSSPGTSGIWDQSLKSPLLRLGNHYMESPARITSTHLESPLRITIAGTESPDRSSFSTESPVRIVNSVRQNIPIFAPPPPPPPPPPPPPSKQLETPKTPSIIKPQKLVSEPPALVTLRPIEMESPPLISPMQLPPNSDCNAQNVEGCTTESVEKNEEMLKPKLKPLHWDKVRASSDRETVWDQLKSSSFKVNEEMIETLFVANAPNSNSNPKETTQRRVLPSASQENRVLDPKKAQNIAILLRALNVTIEEVCEALLEGNVDALGTELLEGLMKMAPSKEDERKLKEYTDDSPFKLGPAEKFLKAVLDIPFAFKRIDAMLYISNFDTEINYLKKSFETLEAACEELRSCRMFLKLLEAVLKTGNRMNIGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRSEGARLATANQNEKTTSNDDAKHRRLGLQVVSSLSSELKNVKKAAAMDSEVLHSDVLKLSKGISNIAEVVQLNEAKVLNESSTHKFSESMNRFTKTAEEEIIRLQAQESVAISLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLVILDRVCNEVGMINERTIVSSAHKFPVPVNPMLHPVTGGGFPGRLQLSSSDDSS >itb14g18430.t2 pep chromosome:ASM357664v1:14:21467234:21471315:1 gene:itb14g18430 transcript:itb14g18430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLTSILLPLFIFSCSLSCAAHNRRVLHQPFLPLDSKPPLPAPPSPPPTPTTPSTSDGNAPFFPSFPLPPPPPSPKAFASFPANISSLIVPRPQPEKPVSSKLVGTAISCVAAAVIFILIAVLIHRRKRRRNNSNRSNSSSDSKTHRSDYSTRLSYFNNGGSGGNRRIPKLPMPSRPSSEFLYLGTMVNSHGGIESSHNPQNPRSGSISTSTGTSLKLESPELRPLAPLHGESFERNYGNTELGSEADEEDDVFYSPKGSLGDRESSIGTGSASRRAFSAVEVENFEPSGPSSSSSSSSSGSGSPKKSVSLSISPPVSLSPNRLRPKSPESVTILTAPPRQLPPPPPPPPVYVAHWVYARESESPSPASSSSPERASRRSLDSSPGTSGIWDQSLKSPLLRLGNHYMESPARITSTHLESPLRITIAGTESPDRSSFSTESPVRIVNSVRQNIPIFAPPPPPPPPPPPPPSKQLETPKTPSIIKPQKLVSEPPALVTLRPIEMESPPLISPMQLPPNSDCNAQNVEGCTTESVEKNEEMLKPKLKPLHWDKVRASSDRETVWDQLKSSSFKVNEEMIETLFVANAPNSNSNPKETTQRRVLPSASQENRVLDPKKAQNIAILLRALNVTIEEVCEALLEGNVDALGTELLEGLMKMAPSKEDERKLKEYTDDSPFKLGPAEKFLKAVLDIPFAFKRIDAMLYISNFDTEINYLKKSFETLEAACEELRSCRMFLKLLEAVLKTGNRMNIGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRSEGARLATANQNEKTTSNDDAKHRRLGLQVVSSLSSELKNVKKAAAMDSEVLHSDVLKLSKGISNIAEVVQLNEAKVLNESSTHKFSESMNRFTKTAEEEIIRLQAQESVAISLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLVILDRVCNEVGMINERTIVSSAHKFPVPVNPMLHPVTGGGFPGRLQLSSSDDSS >itb03g12660.t1 pep chromosome:ASM357664v1:3:12264146:12267755:-1 gene:itb03g12660 transcript:itb03g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLSAAFYLAAAAQQTSNNISLGSSLTPTGKSSWVSPNGHFAFGFYQQGSSGYAVGIFVAAIPERTPVWTANRDTTPIFPSNSTLVLKSDGRLVVEVGQGQGQDIDIIDNGLPITLASMLDSGNFVVYSSDGMMWQSFDNPTNTLLPGQSLSNGKELISSASQSDDTCGIFRIKMQSDGNLVQYPIQTTDTGENAYWASNTAGQGNQITLNLEEDAYLYLINSTSNISVDNLTTGGFPKEKYIYIAKLDVDGIFRLYSHPLGHGNWSVLWSTTADKCAPKGICGVNAFCTTMDTESECRCLPGFDFVIPGNWSSGCIRNFTAISCHSTGGGDIDYEMRALDNTAWQSNSYAVLKALSKEECEQACLEDCNCDAALFKDRECRKDRLPLIYGRRSMGDSNAALIKVGLPIRGGGPVDHPTESKKQLHIHILAISISLLAFALLALVISGVLVHRSRAWRYRNISAESRDDHFFEDVGPRAFTYAELQQVTNDFQEEIGRGAFGTVFKGVLAECQKLLAIKRLEKVLEEGETEFQNEMKAIGKTHHRNLVKLLGYCIDGPKRLLVYEYMCNGSLADILFSPEKQPCWEERIGIARDIARGLLYLHEECDTQIIHCDIKPQNILMDNRFVAKISDFGLAKLMKQDQSRTYTVVRGTKGYVAPEWHRKMAVTVKADVYSFGIVLLELISRRKSVDWSLCDEEAVLEEWVYNCFEAGEDNTGNPPGIIRDEEVDLLPK >itb13g07690.t1 pep chromosome:ASM357664v1:13:9658637:9659926:-1 gene:itb13g07690 transcript:itb13g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKCKLCLKQFVNGKALGGHMRSHLAVLPLPPKTPPQKQQDSMDGGESTLSLCSSIVRSVDPDPEFLDRESESESTRNPTRRRSKRARRVFFGEAEEEEEKGKAKVAESSSSSSFSDDEEIARCLMTLSQDVWSKTGEKFQCQICCRHFKSSQALGSHRTTHNRGEIQEQKPRNLPGVKIMHECPFCGKLFGSGQALGGHKRSHSPTTKTPASSSCSSKLIIDLNLPAPMEDDDEPEAEVSAVSDEFRRVDK >itb04g24810.t1 pep chromosome:ASM357664v1:4:29531687:29534113:-1 gene:itb04g24810 transcript:itb04g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVESSSPSRSLVKGSSSSSSPRPMGPSPAPSPSSDEHFWSTLRSRVDVLLENRKSLDQTGGAEERTKRMKEDTMLLLRGFDSVSSTLSQLSSNLETALQGARDLAKPPTLTEILHSNLENARSGENNPEADNRGLKRKYESKECSDDPIQDESRDENVQGKKGLGKLKIAKNIAVSMATKAAAFARELKSLKSDLTFVQERCSLLEEENRRLRDGFPKGVVPPEEDDLVRLQLEALLAEKSRLANENANLSRENQCLRQLVEYHQFASQDLSASYENLLKSVGTSLDFTPDEEHGELEASPGDILGLFKSLDQCYDDEDCEKQE >itb07g19430.t1 pep chromosome:ASM357664v1:7:23845071:23851193:1 gene:itb07g19430 transcript:itb07g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELICHYLYNKIANQNVSKATLVEVDLHTCEPWQLPEVAKLNSSEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVIDPTTRAIVGMRKTLVFYKNRAPNGIKTGWIMHEFRLENPHIPPKEDWVLCRVFHKSKTETNNENNITYDDIASGNLEVCLPSTAAYRPVAAAYSHSHSPPNQTQTSTTANFFTGAPADQRGFPQSSRHNTNVAPSSNDYEFLFDDMNFEDHPSMQDGGVHSSIEDMRFDDENGLAFI >itb11g23040.t1 pep chromosome:ASM357664v1:11:24910165:24911732:-1 gene:itb11g23040 transcript:itb11g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPDNRVMAVHYHAIQGGLQFPPHSLTLAFLASHNIAPCQLTPNGHRFITCFITRCREVKVAPSLSLLLHVFHVNQSGPFLHLQHISGYSFVTSLQSLVKNWKEKFVYVSYNPGAAGYGFSTAWVQFVVPFTTPSYEGLDTDRAKLCGDGPSDHRRYHDPLLVNQLSDGPPLRECSLPSFPLFFFFYSSRVPRSPCFQYTSMLRVYNTRGKPTPSHTQSDDEESSIGVMADPTLDGAESGAIFSPSRAAPPVIAINSPTRVDRPSGPPGSPSIEYDPHDPLFARGHSGTSRPKPLRHYHPDHIDFIRRGVREMVPAQTRFWMDSSPMDALSSHLVGDLMNASMQAIGLQQHLQEASQDYAASEEALRELGERHQQLQVTHEATLKELDALKTTLMEFDVLKTAHADLQLAHSTLQAEHEKQQVAHTRALEHAIEDWQGTEDFSRAADDYACSRMPGLLRYWLSSPERSGQAMVYAMSGWYDAQDYQAYLGPPLVQVL >itb04g03470.t2 pep chromosome:ASM357664v1:4:2133178:2135191:1 gene:itb04g03470 transcript:itb04g03470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLSLAKPFTSQPINIPSLSPAPRPVGLRRNSLRVNAISQKWEPTKVVPQADRVLIRLEELPEKSAGGVLLPKSAVKFERYLMGEILTVGTEVSEVEAGKKVLFSDVNAYEVNLGTEERHVFCKESDLLAVVE >itb04g03470.t1 pep chromosome:ASM357664v1:4:2133178:2135191:1 gene:itb04g03470 transcript:itb04g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLSLAKPFTSQPINIPSLSPAPRPVGIGLRRNSLRVNAISQKWEPTKVVPQADRVLIRLEELPEKSAGGVLLPKSAVKFERYLMGEILTVGTEVSEVEAGKKVLFSDVNAYEVNLGTEERHVFCKESDLLAVVE >itb03g21590.t1 pep chromosome:ASM357664v1:3:19506355:19510385:-1 gene:itb03g21590 transcript:itb03g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLLSPPIHSSKSILVAINSPIRTPFRTFDPLLKPILCRTKRPNTSPLFSAPTHCRIFAYKGSGVEFDKKESAMTITPAVRISDGKLVVKDRVILTGVPENVIATSGSDSGPVEGVFLGAELDEKSSRHVVSLGTLREVRFMACFRFKLWWMSQMMGDKGRDIPHETQFLILETKDGSQLEPDGGAGGDENKVIYTVFLPLIEGPFRACLQGNSRDELELCLESGDSAILGSNFTHAVYIHAGTDPFAAITAAVSTAKLHLKTFRQRHEKKLPGFVDWFGWCTWDAFYQEVTQEGVESGLNSLAAGGIPPKFIIIDDGWQSVGSDPETREEKPLMRLTAIKENSKFQDKEDPTIGIKNIVNFAKQKHGVKFVYVWHAITGYWGGVRPGEKGMEQYGSILKYPDISKGVMENEPSWKTDPLAVHGLGLMNPKSVYKFYNDFHGYLASAGVDGLKVDVQCILETLGAGHGGRVEITQQYHQALDASVANNFPDNGCIACMSHSTDALYCSKQTAVIRACDDFYPRDPKSHTIHISAVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGSVRALSGGPVYVSDAPGKHNFELLRKLVLPDGSILRARLPGRPTKDCLFSDPSRDGVSLLKIWNMNKYTGVLGVYNCQGAAWNAVERKNTFHQTGTEAITGYVRGRDVHSLSEVALDSNWSGDSAVYSHNKGNLVTLPYNAAMPISLKILEHDIFTITPIKVLAPGFSFAPLGLVDMFNAGGAIEGLKYEMKASCQGEASSVGVVFIEVRGCGRFGAYSSAKPRKCSLGGNVVDFTYDLDSGLVTLNLDDMPAEDQRVHIIEIEL >itb09g21560.t1 pep chromosome:ASM357664v1:9:19615898:19616919:1 gene:itb09g21560 transcript:itb09g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISNGRVEEDQTWWQVSRRLASAEVEAGGEAVGGDEPVGGSSRSGSVVPGQYAVVSEKRNTASQSEEMESWKLLLLEKKDSASEESLDGSVIGDLDSLDPVSTIERLKTALKSERKALHAVYTELEEERSASAVAANQTMAMINRLQEDKATM >itb01g19870.t1 pep chromosome:ASM357664v1:1:26143359:26143895:1 gene:itb01g19870 transcript:itb01g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGEGSSSSSRQAPQQQPVQLSRYESQKRRDWNTFGQYLRNQRPPVPLSHCDYTHVLDFLAYLDQFGKTKVHLQGCLFFGQPEPAGPCTCPLRQAWGSLDALIGRLRAAFEENGGSPETNPFASGAIRVYLREVRDAQAKARGIPYKKKKKKKTTITTTATTTNEEQTMLPTRFEM >itb09g07570.t1 pep chromosome:ASM357664v1:9:4448506:4449259:-1 gene:itb09g07570 transcript:itb09g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSGFPSKHYFSASSSGGSGSGGGSSNSSGDSDYSHLFSSRLGSMVAENAIIVFARRGCCMCHVVQRLLFGLGVNPTIYAVEEEDEPALIHELLRIVACAGDDPVEFPQFPAVFIGGKLFGGLEKLMAAHISGDLVPLLRKAGALWL >itb12g19880.t1 pep chromosome:ASM357664v1:12:22317590:22325111:-1 gene:itb12g19880 transcript:itb12g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNRSAVILRHTANFLSENLSQSDLRHKLSSIFLQRIHSSDQTTRKHLNLAAETIENAIISAPNNTSVKTSSLRLAEKVLLSFAEIPFSSYLLSLVYALCNRPVDASLRLLDVFCIDPSLARLEIAPHLFEELFLVHLLPVLQWKNEQKSVILSSLDSDYTSDDQSVIVSATRLSTMSGDQASDLKDLEGNYEEILDENCRAFAEYFMEVLQNEDGNKLVDPPPVVLGKNKMAEKFHHSEDEEMEIGGFRFKNGRYNPLWADSVEGGKLDEVKSNRRAKSLSKFPSFYPQRVSPRAIARQRSIKKSEYESAESCSSDNLSDSSSSDSEAEKEENNKEMSLFHSRQTKPKKQQRQPLLGESSRPPDSLMGDFDSPQGSGKYAPPKDFVCPITTQIMYDPVTLETGQTYERKAIQEWLDRGNSTCPITRQKLMNTRLPKTNYVLKRLIASWQEQHPSPGLGPENEPNFQGVMHSVFHGNVIGNAVIDATVNDLHLAITNLCTSEILKDSEMAVLQIQRFWQEDNAGKEIQTLLSKPPVINGFVEILFNSVDPYVLKGTVFLLAELGSRDNSVIQTLTRVDTDVECIIALFKKGLLEAVVLICLLKPIAMNCFETGMLDSLLAVLNCREEDMIKMCMKPKAASVLLLGQFLRTTEEEEKASETVKMVISAQLVESIIGSLEAESMEERKAAALILLRCMQTDGECRNVIAEKAELTPLLESFIEANDGERFEIVQFLSELLKLNRRTFIEQLLHIIKNEGKYSTMHSLLMYLQNALQDQCPIIAGLLLQLDLLAEPRKMSIYREEAIDTLISCLRNSDFPAAQLAAAETILSLQGRFSCSGKPLLHAFLLKLAGIDRSYKSLMQYHHGDSSDENPDNSEEEKAAEEWEKKMAFALVSHEFGLLFEALAAGLQSRYEDIFSACFVSAAWLIHMINVLPDTGVRGAARVCLLKPFVSIFQSSKGTEDKAISLLALNSFIRDPEGMHDLTAHIKDILRGLRELKKSSRLAVDMLKLFSEEQESSAELWNHKEIVQEDCSANGEVLSIVCSGLKVFSSHSDGTIKAWTLKGGLLHLIQETREHAKAVTSLAILHSTEKLYSGSLDRTVRVWSVTNGGIQCEQVHETKDHVNKLVVSNTISCFIPQGAGVKVHSWSGGSKLLNQQKHAKCLALVNEKVYCGCYDNSIQEIDLATGAIHSIQSSLRKLLGKSSPIYAIQVSEGLIYSAGSSMDGAAVKIWDASTYRMVGSLASTLEVRAIAVSSDLIYLGCKGGVVEVWCKEKHTRVEALQTGTNGKVLCMDLDSNEELLVIGTADGKILAWGLS >itb12g19880.t2 pep chromosome:ASM357664v1:12:22317590:22321764:-1 gene:itb12g19880 transcript:itb12g19880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDSPQGSGKYAPPKDFVCPITTQIMYDPVTLETGQTYERKAIQEWLDRGNSTCPITRQKLMNTRLPKTNYVLKRLIASWQEQHPSPGLGPENEPNFQGVMHSVFHGNVIGNAVIDATVNDLHLAITNLCTSEILKDSEMAVLQIQRFWQEDNAGKEIQTLLSKPPVINGFVEILFNSVDPYVLKGTVFLLAELGSRDNSVIQTLTRVDTDVECIIALFKKGLLEAVVLICLLKPIAMNCFETGMLDSLLAVLNCREEDMIKMCMKPKAASVLLLGQFLRTTEEEEKASETVKMVISAQLVESIIGSLEAESMEERKAAALILLRCMQTDGECRNVIAEKAELTPLLESFIEANDGERFEIVQFLSELLKLNRRTFIEQLLHIIKNEGKYSTMHSLLMYLQNALQDQCPIIAGLLLQLDLLAEPRKMSIYREEAIDTLISCLRNSDFPAAQLAAAETILSLQGRFSCSGKPLLHAFLLKLAGIDRSYKSLMQYHHGDSSDENPDNSEEEKAAEEWEKKMAFALVSHEFGLLFEALAAGLQSRYEDIFSACFVSAAWLIHMINVLPDTGVRGAARVCLLKPFVSIFQSSKGTEDKAISLLALNSFIRDPEGMHDLTAHIKDILRGLRELKKSSRLAVDMLKLFSEEQESSAELWNHKEIVQEDCSANGEVLSIVCSGLKVFSSHSDGTIKAWTLKGGLLHLIQETREHAKAVTSLAILHSTEKLYSGSLDRTVRVWSVTNGGIQCEQVHETKDHVNKLVVSNTISCFIPQGAGVKVHSWSGGSKLLNQQKHAKCLALVNEKVYCGCYDNSIQEIDLATGAIHSIQSSLRKLLGKSSPIYAIQVSEGLIYSAGSSMDGAAVKIWDASTYRMVGSLASTLEVRAIAVSSDLIYLGCKGGVVEVWCKEKHTRVEALQTGTNGKVLCMDLDSNEELLVIGTADGKILAWGLS >itb13g09700.t1 pep chromosome:ASM357664v1:13:14000886:14010635:-1 gene:itb13g09700 transcript:itb13g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKICLGGVFYCDFAGFEAAVLVPNAPSTTTAQHTTAIAVDCTAHLQHNRDLALQVPPRPRASSSLRPHIAHRRCRLPLQTPSRRSPSVVRRPSPSGLQSSSVFNLRLCPLAYQRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDNKTLYYDVELFLFYVLCECDDRGCHIVGYFSKVNVTNS >itb06g25280.t1 pep chromosome:ASM357664v1:6:26413181:26414154:1 gene:itb06g25280 transcript:itb06g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAYKELTPPFYWLEDSSSHYLILDLPGFRREEIKVEVDNEGHINISGERKMNESEYIFFKQSFNPPENSRPETATVLLEDDILYVSISKQATEKEGEKIPPGNPVQEQNQQNQSNTSSDEIDSEHENDKNRYGSCCSSDEEDEEEEFHDAKAMHNLKQETILPMGADKLRRNSMIVTVVILAISLGVLVIHNKPTAMNYD >itb03g03360.t1 pep chromosome:ASM357664v1:3:1950314:1955085:1 gene:itb03g03360 transcript:itb03g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERATPVRKPHTSTADLLTWSETPASESSLASGNASRSASRTSHQPSDGVGKVLFGGQITDEEAQSLNKRKPCSGYKLKEMNGSNIFSADGENDAAESGTNGNLSNRTSVRIVQQAANGISQISFSTEEKISPKKPTTIPEVAKQRELSGTLESESDLKIKKQLSDAKCKELSGNDIFGPPSEAPPRSLTVARTMESKESNFMGEPAPRNVRTSVKVSNPAGGQSNIMFGDEPVVKTTKKIHDQKFAELTGNDIFKGDVPGSAEKHLSRAKLKEMSGNDIFADGKVQSRDYFGGVRKPPGGESSISLV >itb11g08950.t1 pep chromosome:ASM357664v1:11:5887535:5895278:-1 gene:itb11g08950 transcript:itb11g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICVKNLPKYVAEDRLREFFSQKGEVTDAKLLRTPDGKSRQFAFVGFRTEQEANEAIKYFNRSFLDTFRITCELARKIGDPDTPRPWSRHSMKKQEKLGKEDDKVTSSKNTKIKESKVDKKHSVEENENDDPLLQEFLQVMQPRSKSKMWANDTIGAPSLEESKKETEKKFQREKESKTQMNSDNVESDEDDDKENESLESHKDEKPKSFIHDDVVTDMDYFKRRVKKDWSDSESSNEDGDDDSDADGGSDESDENSNEDDENSLRKSNDGLDALKHDDNKKVVGDRQSRESGDEMLESGDPSSNEDEKEVLESGRLFIRNLPYTTTEEELGEHFGKYGNVSQVHIVVDKETKRSKGIAYVLYSLPESAARALEELDSSIFQGRLLHVMPAKQKFSSEKQEVNGSGKLSSQTFKQKRVEERKASEASGNIQAWNTLFMRPDTVVENIARRLGVSKSDLLDKEASDLAVRIAWGETKVIAETKQCFAKAGINIASLEELAAGKTDSVKRSNHVILVKNLPYSSSETELANMFGKFGSLDKVILPPTKTLALVVFLEPAEARAAFRGLSYKRYKDAPLYLEWAPGNILDQTDDSKNSVIVGEQDVKKVLLEQQVEGTIDADVDPDRVESRSLYVKNLNFKTADESLKKHFSENMKDGRILSVRVKKHTKNGKTVSMGFGFIEFDSVDTAVNVCKDLQGTVLDGHALILQLCHAKKDEQVLKKVDKDKSSTKLLVRNVAFEATEKDLRQLFNPFGQIKSLRLPMRFGSHRGFAFVEFVTKQEAQNAFEALSSTHLYGRHLVLERAKEGESLEELRARTAAQFAGEENGFQTATKLSKKRKHMAVLDERTVKFERIAD >itb11g08950.t2 pep chromosome:ASM357664v1:11:5887535:5895278:-1 gene:itb11g08950 transcript:itb11g08950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICVKNLPKYVAEDRLREFFSQKGEVTDAKLLRTPDGKSRQFAFVGFRTEQEANEAIKYFNRSFLDTFRITCELARKIGDPDTPRPWSRHSMKKQEKLGKEDDKVTSSKNTKIKESKVDKKHSVEENENDDPLLQEFLQVMQPRSKSKMWANDTIGAPSLEESKKETEKKFQREKESKTQMNSDNVESDEDDDKENESLESHKDEKPKSFIHDDVVTDMDYFKRRVKKDWSDSESSNEDGDDDSDADGGSDESDENSNEDDENSLRKSNDGLDALKHDDNKKVVGDRQSRESGDEMLESGDPSSNEDEKEVLESGRLFIRNLPYTTTEEELGEHFGKYGNVSQVHIVVDKETKRSKGIAYVLYSLPESAARALEELDSSIFQGRLLHVMPAKQKFSSEKQEVNGSGKLSSQTFKQKRVEERKASEASGNIQAWNTLFMRPDTVVENIARRLGVSKSDLLDKEASDLAVRIAWGETKVIAETKQCFAKAGINIASLEELAAGKTDSVKRSNHVILVKNLPYSSSETELANMFGKFGSLDKVILPPTKTLALVVFLEPAEARAAFRGLSYKRYKDAPLYLEWAPGNILDQTDDSKNSVIVGEQDVKKVLLEQQVEGTIDADVDPDRVESRSLYVKNLNFKTADESLKKHFSENMKDGRILSVRVKKHTKNGKTVSMGFGFIEFDSVDTAVNVCKDLQGTVLDGHALILQLCHAKKDEQVLKKVDKDKSSTKLLVRNVAFEATEKDLRQLFNPFGQIKSLRLPMRFGSHRGFAFVEFVTKQEAQNAFEALSSTHLYGRHLVLERAKEGESLEELRARTAAQFAGEENGFQTATKLSKKRKHMAVLDERTVKFERIAD >itb11g08950.t3 pep chromosome:ASM357664v1:11:5887535:5895278:-1 gene:itb11g08950 transcript:itb11g08950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICVKNLPKYVAEDRLREFFSQKGEVTDAKLLRTPDGKSRQFAFVGFRTEQEANEAIKYFNRSFLDTFRITCELARKIGDPDTPRPWSRHSMKKQEKLGKEDDKVTSSKNTKIKESKVDKKHSVEENENDDPLLQEFLQVMQPRSKSKMWANDTIGAPSLEESKKETEKKFQREKESKTQMNSDNVESDEDDDKENESLESHKDEKPKSFIHDDVVTDMDYFKRRVKKDWSDSESSNEDGDDDSDADGGSDESDENSNEDDENSLRKSNDGLDALKHDDNKKVVGDRQSRESGDEMLESGDPSSNEDEKEVLESGRLFIRNLPYTTTEEELGEHFGKYGNVSQVHIVVDKETKRSKGIAYVLYSLPESAARALEELDSSIFQGRLLHVMPAKQKFSSEKQEVNGSGKLSSQTFKQKRVEERKASEASGNIQAWNTLFMRPDTVVENIARRLGVSKSDLLDKEASDLAVRIAWGETKVIAETKQCFAKAGINIASLEELAAGKTDSVKRSNHVILVKNLPYSSSETELANMFGKFGSLDKVILPPTKTLALVVFLEPAEARAAFRGLSYKRYKDAPLYLEWAPGNILDQTDDSKNSVIVGEQDVKKVLLEQQVEGTIDADVDPDRVESRSLYVKNLNFKTADESLKKHFSENMKDGRILSVRVKKHTKNGKTVSMGFGFIEFDSVDTAVNVCKDLQGTVLDGHALILQLCHAKKDEQVLKKVDKDKSSTKLLVRNVAFEATEKDLRQLFNPFGQIKSLRLPMRFGSHRGFAFVEFVTKQEAQNAFEALSSTHLYGRHLVLERAKEGESLEELRARTAAQFAGEENGFQTATKLSKKRKHMAVLDERTVKFERIAD >itb04g03390.t2 pep chromosome:ASM357664v1:4:2086053:2087623:1 gene:itb04g03390 transcript:itb04g03390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTGFSEGKDTAAIEASDAETVRRKSENPAEVPNVNEERTVPLKGVTFVSPATVLKDIPSSSKAGEAIGASLESNRATGKGSLSRPAPIRQNAIKKSVNPNFGAGTGQRNQRSNAPNPPSFASLFAGDMSKGPSNVFGGLPQPTISEKSPGIHRGIPAVRFGEDEMEQLNVIENYLLIGKFSWGRPTLESLRKFFAAKFLLKGTLSIGWIDPRHISLAFTQEAARV >itb04g03390.t1 pep chromosome:ASM357664v1:4:2085357:2087623:1 gene:itb04g03390 transcript:itb04g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTVSFSSEEFVGWYLSARSEGKDTAAIEASDAETVRRKSENPAEVPNVNEERTVPLKGVTFVSPATVLKDIPSSSKAGEAIGASLESNRATGKGSLSRPAPIRQNAIKKSVNPNFGAGTGQRNQRSNAPNPPSFASLFAGDMSKGPSNVFGGLPQPTISEKSPGIHRGIPAVRFGEDEMEQLNVIENYLLIGKFSWGRPTLESLRKFFAAKFLLKGTLSIGWIDPRHISLAFTQEAARV >itb13g03350.t1 pep chromosome:ASM357664v1:13:3245883:3247574:1 gene:itb13g03350 transcript:itb13g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLHSRDFDLKWMKKILLSDGIPKRSVASIFGPPGVGKTTLCKKLYTDERVVSYFSIRAWVTIPQRYNVQQLLCHLLQSMRPPVNEIEMGSTVSQLKEQLHKHLKCKRYLIVLDDVPNTLVWDDIQQCFPNDSNGSRIFLTTLFSDVAKLGGLFSLLLPFLTDNESWDLFCHRFSHNQYMAPKFKEIAERLVEECKGLPRSIVTVADRLSKCNYTLKEWKRIEKELHSLGILHKDVQHLNPPDMIKENLKTLVCAMQIHLRHLKQGSRFCCQLSWSDALLRLSLLPSGFNKRECAINFRYLTSLSTVCEVL >itb13g14150.t2 pep chromosome:ASM357664v1:13:20741524:20743273:-1 gene:itb13g14150 transcript:itb13g14150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNRLNFLLAVENLWIVRSLPPSLRQFQISESTRFLMLWRTPLQFLNLLLLLFLQIPQIPATANHRISATCIAPASAAAAAVSAASTPNPHSFATINL >itb13g14150.t4 pep chromosome:ASM357664v1:13:20741280:20743273:-1 gene:itb13g14150 transcript:itb13g14150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNRLNFLLAVENLWIVRSLPPSLRQFQISESTRFLMLWRTPLQFLNLLLLLFLQIPQIPATANHRISATCIAPASAAAAAVSAASTPNPHSFATINL >itb13g14150.t1 pep chromosome:ASM357664v1:13:20741280:20743273:-1 gene:itb13g14150 transcript:itb13g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNRLNFLLAVENLWIVRSLPPSLRQFQISESTRFLMLWRTPLQFLNLLLLLFLQIPQIPATANHRISATCIAPASAAAAAVSAASTPNPHSFATINL >itb13g14150.t5 pep chromosome:ASM357664v1:13:20740033:20743273:-1 gene:itb13g14150 transcript:itb13g14150.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNRLNFLLAVENLWIVRSLPPSLRQFQISESTRFLMLWRTPLQFLNLLLLLFLQIPQIPATANHRISATCIAPASAAAAAVSAASTPNPHSFATINL >itb13g14150.t3 pep chromosome:ASM357664v1:13:20741280:20743273:-1 gene:itb13g14150 transcript:itb13g14150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNRLNFLLAVENLWIVRSLPPSLRQFQISESTRFLMLWRTPLQFLNLLLLLFLQIPQIPATANHRISATCIAPASAAAAAVSAASTPNPHSFATINL >itb13g14150.t6 pep chromosome:ASM357664v1:13:20741280:20743273:-1 gene:itb13g14150 transcript:itb13g14150.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNRLNFLLAVENLWIVRSLPPSLRQFQISESTRFLMLWRTPLQFLNLLLLLFLQIPQIPATANHRISATCIAPASAAAAAVSAASTPNPHSFATINL >itb02g08880.t1 pep chromosome:ASM357664v1:2:5619944:5622462:-1 gene:itb02g08880 transcript:itb02g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSSFTVAIFCSVVFLAYWAWKILSWLWFEPKKLEKRLRQQGFNGNSYRPPFGDLKETVKLMEEASSKPISFTNEILPRILPALDKTIKNYGKNAFMWMGPQPEVLIMDPELIREIFSKYTVFQKPPSNPLIKLLAQGLATYEKDKWVKHRRLLNPAFHAEKLKNMVPAFYFSCSEMLSKWDKLVAAEGSELDVWPYLRTLTADGISRTAFGSNYDEGRKIFELQQEQAVLAVQVARSLYIPGLRFLPTKTNRRMKQIFNEVRSSILGMIDKRMRAIGAGESRNDDLLGIMLESNLTEIQQHGSKSYGMSIDEIIDECKLFYFAGQETTSTLLIWTMILLGKHLDWQERAREEILQVIGTTEEPDSDKLNQLKIVTMILNEVLRLYPPGVLLTRAIEQDAKLGNITLPAGIHIHIPLILLQQDEEMWGEDAKEFNPERFSEGISKATKGQFSFFPFGWGPRICIGQNFAMLEAKMAFAMILRRYAFELSPSYAHAPQAGTALVPQYGAQLILRKLENN >itb06g14850.t1 pep chromosome:ASM357664v1:6:19302042:19304795:1 gene:itb06g14850 transcript:itb06g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVRKESSTEWGGEDWGLSSPHKLYGDEELHFGEDKDRFGKPGREIKVKISKKQLAELVGKADVGGLSIHQLLAELMNGGADLYQPRHHHRSWRPALQSIPEEEEKPVYHLLLHLASSPHVRRREIETELHLAIAAAASPTLEEEPVLAACCSVDLTAKDEPDQPSLKLRRSRLLHLSSPARERDDCGGGYGCVRRRRIGRVQLLLSLSLRRTCGENARRRRRRWSTGFSSSAMAHGYFF >itb08g07890.t1 pep chromosome:ASM357664v1:8:6807445:6808462:-1 gene:itb08g07890 transcript:itb08g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSRIQYAFILFFVASLAVLDISMAGPRFDATLISKPPVDPTTCPVPANQCGSECNRRCSATSHQKRCLMFCNMCCDRCHCVPPGTYGNKECCSCYNDWKTQEGGPKCP >itb05g24770.t1 pep chromosome:ASM357664v1:5:29426233:29427300:1 gene:itb05g24770 transcript:itb05g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLILTQLILIGTFPPYTTTHGQVMKLQVSLWQVKNPRCIMVVVGVEEVAVAVEEVVVAVEGKVVVAGVVEEKEEVVVAEEVEGPEEAEVEAEGQEEGERKGIKGRMEEAVAEEEEGGVVAVAVGADKVVVGDGVGAEEEMVVVAEEVTTKVVAGVGVAVIIHPRGTKYK >itb01g06310.t1 pep chromosome:ASM357664v1:1:4498847:4500247:-1 gene:itb01g06310 transcript:itb01g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNTCDTGSDLKPEVIYRCKKCRRIVASADQVVAHQRGGGQKCFKWKKRSDGDDDAEPECSSIFVEPMKWMQTLEEGCVQDKLQCVGCKARLGYFNWAGMQCNCGAWVNPAFQLHKSRLDECRP >itb13g25090.t1 pep chromosome:ASM357664v1:13:30540012:30541326:-1 gene:itb13g25090 transcript:itb13g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKEGIKKLAYIAQAHYNAAPQKIRDSADKFFWSMVQRRKTTVTMEDFVESMKEKRYPEYAHPELFQMLVKDRNLGMDITESRTLYYIVLTGKPFCSWCKCFIPDIYFCCSKCSARSYALCLHCYSTKAYVNHRHYRDDDVFFLDYTVLHNTIKATPDTSEARGSGSSKAIVERNRGDKLVTGWNVCKAILNAGGTVLSIASTLDACTIM >itb03g21100.t1 pep chromosome:ASM357664v1:3:18877480:18879565:-1 gene:itb03g21100 transcript:itb03g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWCLVLVVILVTVFRASAQGELRTGFYSSSCPQAESIVRSTVEAHFNKDPTIAAALLRLHFHDCFVRGCDGSVLISGSSAERVALPNTGLRGFEVIEDAKSQLEALCPTTVSCADILALAARDAVDLSSGPSWGVPTGRRDGRISKSSEASNLPSPFDSIDVQRQKFAAKGLDDHDLVTLVGAHTIGQTDCLFFRYRLYNFTATGNADPSINQAFLTQLQTLCPKNGDPSKKVALDKDTQLGFDVSFFKNVRDGNGVLESDQRLWGDESTRKIVEKYAGTIRGLLGLRFDFEFQKAMIKMSSIEVKTGTQGEIRKVCSKFN >itb05g16200.t1 pep chromosome:ASM357664v1:5:23464730:23468512:1 gene:itb05g16200 transcript:itb05g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQASFFLLVAIVLCFACFPSQAAIKSYQFDVQVSNVSRLCHAKPIVTVNGRYPGPTIYAREGDRVLINVTNHAQYNISIHWHGLKQYRNGWADGPAYITQCPIKTGNSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPQQGTPFPFPQPDREEVIVLGEWWNADVEAVENQGNQLGLPPNMSDAHTINGKPGPLFPCSEKYTFAMEVEQGKTYLLRVVNAALNDELFFALAGHSMTVVEIDAVYTKPFSTEAILIAPGQTTNVLVRANQAPSRYFMAARPFMDVPIPVDNKTATAIFQYKGVSQAVVPILPVLPAPNDTGFAMDYNRKLRSLNSPKYPANVPQTVDRNLFFTIGLGANPCPTCLNGTRLTASLNNISFVMPQTALLQAHYFDMKGVFASDFPDKPPTPFNYTGAPLTANLKTAKGTRLSKIAFNSTVELVLQDTNLLSVESHPFHLHGYNFFVVGTGVGNFNPAKDPAGYNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFLHCHLELHTGWGLKTAFVVEDGAGPDQTILPPPKDLPPC >itb04g07870.t1 pep chromosome:ASM357664v1:4:5439970:5441951:-1 gene:itb04g07870 transcript:itb04g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITTSAVIRRKRKPQSTPNPESKKSIEIRRSMLNSRKKNKSPGGWWFTSMELLTCFVAVLVVARWENWRRSLLTLLNRRSSPAVKSWSWRVGGRRSLSGGT >itb04g09510.t1 pep chromosome:ASM357664v1:4:8789570:8791276:1 gene:itb04g09510 transcript:itb04g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDESGVGGDPRRAHARAITTGDAATNRGALNNLITLYSKSGRRCEALRVFQSIPSPNVVSWTCLISTFHDSTLSFRHFLSMLRHILPNNRTLAALFKTCASLSALSFGLQVHSLARKLGLSSDPFTASALVSFYCKVGFVDHARKVFDEMLDRDEVCFSSMVVGLAQNSRPIEALSYFAEMRRAGVASTMYSVSGALRAASEMAMFEQCRILHGHTVMTGLDLNVVVGSALIDGYGRCGLVREARAMFDELEMVLNVVGWSTMMSGYAQQGDFKNVIELLGSMQERGMKPDHYSFLAVLTAFYHAGLAEEAERWFGRMKEEYGLEPWLEHYTCLIGAMGKAGELESAETLALTMPFKPDAAVWRALLSTSAYHGKTDFAWKMRDKLLEINPNDDSAYVLLANAFASAGRWDEVKEEWKTMKDKRVRKEGGRSWIEVCGEVHVFLAEDRTHERRDEIYGKLAELMEGIERLGYVPVWNEMLHEVDEKQKREALWYHSEKLALAFGLLSGSTPPGKPLRIVKNLRICRDCHEAFKYISRVVDREIIVRDVNRYHTFLNGSCTCGDNW >itb14g08980.t2 pep chromosome:ASM357664v1:14:8931411:8938695:1 gene:itb14g08980 transcript:itb14g08980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGEVALCQLKSSEPPLYLSPSPELSAAARLACKYLYSSLKPFTPKSPFEQLLTDGFDAEQIWQQIDLQSEPLLSALRRRFSHFEKNPESISEQFNVEPNGLEKKSGSLEALDGEGYDIDDADLDDFDEDEDEEEDKEDLDEEDEEEEEDEEEEEEEEEDDGTGLVEDEFLKIKELEKFLADDEAREYGYEKNEKAKKKKKRKRVEQSESEEENAGEEDEALEDVDLEDDTSDADGDMENARYEDFFARKTDHKGKRKPLDRSDHMEMDEEVSDDDNQGNQNLSTHEQELLKLRSTIEEMEKANIEPKAWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTLSLEELIRKRVLEEQFDDVQKPPSLPSRAPREIKEVDDNKSKKGLAEVYEEEYVQKTGLVSTAMTFSDEQKKEATMLFKKLCLKLDALSHFHFTPKPVIEDMSIQASVPALAMEEIAPVATSDAAMLAPEEVFTGKGDIKEETELTQAERKRRRAKKKRKFKAEASKRKAPQNTLRADSETGKEQS >itb14g08980.t1 pep chromosome:ASM357664v1:14:8931358:8938695:1 gene:itb14g08980 transcript:itb14g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGEVALCQLKSSEPPLYLSPSPELSAAARLACKYLYSSLKPFTPKSPFEQLLTDGFDAEQIWQQIDLQSEPLLSALRRRFSHFEKNPESISEQFNVEPNGLEKKSGSLEALDGEGYDIDDADLDDFDEDEDEEEDKEDLDEEDEEEEEDEEEEEEEEEDDGTGLVEDEFLKIKELEKFLADDEAREYGYEKNEKAKKKKKRKRVEQSESEEENAGEEDEALEDVDLEDDTSDADGDMENARYEDFFARKTDHKGKRKPLDRSDHMEMDEEVSDDDNQGNQNLSTHEQELLKLRSTIEEMEKANIEPKAWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTLSLEELIRKRVLEEQFDDVQKPPSLPSRAPREIKEVDDNKSKKGLAEVYEEEYVQKTGLVSTAMTFSDEQKKEATMLFKKLCLKLDALSHFHFTPKPVIEDMSIQASVPALAMEEIAPVATSDAAMLAPEEVFTGKGDIKEETELTQAERKRRRAKKKRKFKAEASKRKAPQNTLRADSESKEQS >itb02g09840.t1 pep chromosome:ASM357664v1:2:6330570:6333632:-1 gene:itb02g09840 transcript:itb02g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MGFWSHHLRLLLLNVLLLFPSLYLVNAAGPKEQRSESSESCDFFEGSWVEDDSYPLYEDCPFIDAGLNCQKNGRPDKLYLKYRWQPTHCDLHRFNGEAFLQMFRGKKIMFVGDSLSNNQWQSLACMLYAANSNCTLVRKGNRSILSFPDYELDVTFLKNGFLVDLIHEESGRVLKLDSISRSKQWEDVDVLIFNSYHWWIHTGNLQTWDYFQVGENLYKDMDHMDAYKIALTTWSNWVDSNIDPNKTKVFFQGISAVHYHGNEWNEPAVQDCRHQTEPIEGSSFPGERYPGEAVVKDVLGNMTSSVYLLDIALLTQLRKDGHPSTYAGGALDCSHWCVAGVPDSWNQILYSILIEN >itb13g04840.t1 pep chromosome:ASM357664v1:13:5727226:5728121:-1 gene:itb13g04840 transcript:itb13g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTTLPFLLILLLGLFTPSSSAVNFEVHNNCPFTVWAAATPIGGGKPLDPNQTWNINVPAGTTTARIWGRTNCNFDGSGAGSCQTGDCGGALQCTAWGKAPNTLAEFALNQYNNSDILDISLIEGFNIPISFAPTTAGGGDKCRPISCQADIVAQCPGPLRDPGGCNNPCGSGACDPVYSDFFKTRCPNALTSSKDDTKSNFICLAGSTDYSVVFCP >itb11g22640.t1 pep chromosome:ASM357664v1:11:24577612:24579486:1 gene:itb11g22640 transcript:itb11g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGANGVSFEPTENMAAWLLGVGNLKIQPFNLPSLGPHDVRVSMKAIGICGSDVHYLKHGRCGDYIVKEPMVIGHECAGIIEEVGSEVKHLVAGDRVALEPGVSCWRCSHCKEGSYNLCDEMKFFATPPIHGSLANQIVHPADLCFKLPENVSLEEGAMCEPLSVGVYACRRANIGPETNVLVLGAGPIGLVSMLSARAFGAPRVAVVDVDDQRLAFAKKLGADAIIKVSHKMEDLESEVESIKAAMESELTSAWTAPALIRQCPPPFAQPAPAARFASSAWATVK >itb03g14600.t1 pep chromosome:ASM357664v1:3:14229706:14239543:-1 gene:itb03g14600 transcript:itb03g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESVGTNKTTEEKIREEEEVVAPPPHFVLVHGLGGGAWCWYKLRCLMENSGYKVSCIDLRGAGVDPADANDILRFDDYNKPLLDFLASLPPNQQVILVGHSAGGLSVTDATHKFPQKISLAIYLAATMLKTGFTSEQDIKDGIPDLSDFGEINEVYDIGFGMGQDGPPTSILVNKTLQRKILYQMSPLEDSTLAGMLLRPGPIKALGSAEFRESEGGEQVPRIYIRTRYDNVVKPEQQDSMINKWPPASVYTLDSDHSPFFSAPFALFVINGHATSPPSPGVSLKPNFSPHRSSSVSPTLHPLRVLLFLSMYNEPQPFCLIFMISRSTAAFRVSSEAGNENTSILCNLHEMVSAHLLPVGPPDAIVLGSADLGFSEVFGPVCEDVVVVVPEKKPVCEHVEGDGEGKVEKCCSEDVVASESIVQACIVSSKEVGLGEFEVLKVVGEGAFGRVFQVQKIDTSEIFAMKVMRKDKILKKNHAEYMIAERAILTKIDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLHHQGLFREDLALIYTAEIVSAVSYLHEKGIMHRDLKPENVLLDAEGHVVLADFGLAKQFDETTRSNSMCGTLEYMAPEIVLGKGHNKAADWWSVGVLLFEMLTGKSPFYGGNRHKVQQKIVKDKIKLPGYLSREAHSLLKGLLQKDPSKRLGSGAGGGGEIKGHKWFRFINWKKLEAREIQPSFRPEVAGKRCTANFDERWTSMALLVDSPVASPTKTGGETENPSRDFTYHDSDNIISPALI >itb03g01160.t1 pep chromosome:ASM357664v1:3:606147:609986:1 gene:itb03g01160 transcript:itb03g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASPQYIYRVGFASQTSSNLSRIFSYAFFARRRRRFNAVSLSVTPKSDEELLLVVGGGAAGMYGAINAKTVAPNLKVVVIEKAKPLSKVKISGGGRCNVTNGHYADHMLLADNYPRGNKELRGSFFTVHGPMDTMSWFSNNGVELKIEEDGRVFPVSNSSSSIIDCLMSEAKKRGVSLQTGKTVTSVSHITGGKFTVKLEKRSIDHVEYVEADYLLIASGSSQQGYDLATQLGHSIVKPVPSLFTFKIDDLQLIELSGVTFPKVKAKLKLEALQKNVPQLTQVGPMLVTHWGLSGPVILRLSAWGARYLASSDYKGTLLVDFAPDHHIDDLKSVLIKHKNQFARQKLLNSCPMALGLVKRFWKYILDREGLDGDILWSSISNNLLMSIVFTLKHCSFTVKGKGQFKDEFVTAGGVPLSEIYLNTMQSRIHPCLYFAGEVLNVDGITGGFNFQNAWSGGYIAGTSIGNQSIANIEGKYVCESMLGDKS >itb10g22410.t2 pep chromosome:ASM357664v1:10:27261389:27264806:-1 gene:itb10g22410 transcript:itb10g22410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEDEMRSEIEERLVNEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQIQLPLEDAESDARHYEDDRSDTGGGFGCANGKVKIIQQINHDGEVNRARYMPQNQFIIATKTVSAEVYVFDYSKHPSKPPFDGACNPDLRLKGHSTEGYGLSWSQFKQGHLLSGSDDAQICLWDISATPKNKALDAMQIFKIHEGVVEDVAWHLRHEYLFGSVGDDQYLHIWDLRTPSVTKPIHSVVAHNGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKITSPLHTLECHKEEVFQVGWNPKNETVLASCCLGRRVMVWDLSRYSLQYQMVHYHFH >itb10g22410.t1 pep chromosome:ASM357664v1:10:27260267:27264861:-1 gene:itb10g22410 transcript:itb10g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEDEMRSEIEERLVNEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQIQLPLEDAESDARHYEDDRSDTGGGFGCANGKVKIIQQINHDGEVNRARYMPQNQFIIATKTVSAEVYVFDYSKHPSKPPFDGACNPDLRLKGHSTEGYGLSWSQFKQGHLLSGSDDAQICLWDISATPKNKALDAMQIFKIHEGVVEDVAWHLRHEYLFGSVGDDQYLHIWDLRTPSVTKPIHSVVAHNGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKITSPLHTLECHKEEVFQVGWNPKNETVLASCCLGRRVMVWDLSRIDEQQTKEEAEDGPPELLFVHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHEDDDLPIDGAT >itb10g11650.t1 pep chromosome:ASM357664v1:10:17277780:17284608:1 gene:itb10g11650 transcript:itb10g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMTLEDGMNRLEEGVAKAMMMMDGYTASALFSSEEYMKYYDCVYLLCVQPPPNDYSWQLHERFKKVLQESIFLKVLPSLNDKNGAPLLSELLRLWANYKSMVKCLGGFYLYLDQRFSDRESAASLSEISVCCFHDMVCNAFLQKFIDAAILLITQDRNGQPTDQTFLQSLSNFFNEVGGKTRPFCYNKFEEAILVDTGNYYSQVAPEWLLNYSSAEYAFKAEQCLREERGRASKILHRPGVEKLLQIVHWKLVGEITNQLIEKQNAENLDASRYKEILEQCAKLNIGFQSFKMDGLDWSILSTGLAPMRVMYQVYIKELLEEIVNGWLESKNVVAYDLGCSDMQRKIYEAFRCRESTFSPSNWEFPGEIEDLEKDGAPALDRGSNTVEGGDMQKDSDGIMVFLCYPWGLTTQLVLPWNTFILAMMSLRWRTH >itb13g03030.t1 pep chromosome:ASM357664v1:13:2991144:2996088:-1 gene:itb13g03030 transcript:itb13g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSVVVRRKRSNKIKLLVSFNGAFRRQPPAGKLRYTGGETKIISVDRNVSFSRLKARVSDLVMQSNGVSVTSPFWMKCHLTDSREETPLISVSSDQDVRSMVEELERLEFLGKSSRLWVFVCFAFDCYASDNGFVQKGVSREGLGLGGENLMTPKKGKKLSDNSLRKLVLKQQLLGKRPDRIRCFKADIENGSSEPPVIDISSSIVRSFSPGSEFYRVNVLDYEYRNGHLVETGNFKKVSLSSGSKSQALNPKNGNLITGLNCMSNGFSGQAHLIFEKGDGDSRSLVSDMGVDAVLCNVNRENIMPFSVKSNVERNRFSSVSSSHQLNGSGNPPAQGSRRVLVGDHGCIRYHHFGSSETRNFRVHPYQTRTQDIFSETENFDNFGLDGRPYIGKYYTGMRSSKNISKQWSISHQQRIKDAEGKMNCEHDEYRSGQVLVKRNGQEHVYGNKKCHFAHDSMVNDSLLPVDDYSGATSSKLDFSHIPKTKTHELQLQSFLPDVLGIPMNCESISGMCNNADLMNQQEVINISSFLDNPCNTSIRELSCNCKFLDIGARCEPFNVFQNGTAQENATSAIDVSLNNLSLSSSINVELPSSSPARSDITKSSVKTQSKDMDPMDEEDLSSGPPLEGSNGASSNSLVNNASQKLNNDIEDKSPTALSTDEKDDNGETNKCAKTNGAVPANVVLYCTHVGNRGLQELGSGTYGTVYHGKWKGSDVAIKRLKPSCFTEGTVEDRLVADFWKEAHILGRLHHPNIVALYGVVTDGPTNNLATVTEYMVNGSLKQVLRKRDRTIDCRKRLIIAMDAAFGMEYLHQKNVVHFDLKSHNFLVNMRDPQRPVCKIGDLGLSKVKRRTLVSGGVRGTIPWMAPELLNGESMVSEKVDVYSFGIVMWELLTGEEPYSNMRAEEIICGIIKGSLRPEIPNWCNPAWRSLMERCWLSDPKARPAFSGIAKELRAMASSMNIK >itb13g03030.t2 pep chromosome:ASM357664v1:13:2991144:2996088:-1 gene:itb13g03030 transcript:itb13g03030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSVVVRRKRSNKIKLLVSFNGAFRRQPPAGKLRYTGGETKIISVDRNVSFSRLKARVSDLVMQSNGVSVTSPFWMKCHLTDSREETPLISVSSDQDVRSMVEELERLEFLGKSSRLWVFVCFAFDCYASDNGFVQKGVSREGLGLGGENLMTPKKGKKLSDNSLRKLVLKQQLLGKRPDRIRCFKADIENGSSEPPVIDISSSIVRSFSPGSEFYRVNVLDYEYRNGHLVETGNFKKVSLSSGSKSQALNPKNGNLITGLNCMSNGFSGQAHLIFEKGDGDSRSLVSDMGVDAVLCNVNRENIMPFSVKSNVERNRFSSVSSSHQLNGSGNPPAQGSRRVLVGDHGCIRYHHFGSSETRNFRVHPYQTRTQDIFSETENFDNFGLDGRPYIGKYYTGMRSSKNISKQWSISHQQRIKDAEGKMNCEHDEYRSGQVLVKRNGQEHVYGNKKCHFAHDSMVNDSLLPVDDYSGATSSKLDFSHIPKTKTHELQLQSFLPDVLGIPMNCESISGMCNNADLMNQQEVINISSFLDNPCNTSIRELSCNCKFLDIGARCEPFNVFQNGTAQENATSAIDVSLNNLSLSSSINVELPSSSPARSDITKSSVKTQSKDMDPMDEEDLSSGPPLEGSNGASSNSLVNNASQKLNNDIEDKSPTALSTDEKDDNGETNKCAKTNGAVPANVVLYCTHVGNRGLQRINKSELEYVKELGSGTYGTVYHGKWKGSDVAIKRLKPSCFTEGTVEDRLVADFWKEAHILGRLHHPNIVALYGVVTDGPTNNLATVTEYMVNGSLKQVLRKRDRTIDCRKRLIIAMDAAFGMEYLHQKNVVHFDLKSHNFLVNMRDPQRPVCKIGDLGLSKVKRRTLVSGGVRGTIPWMAPELLNGESMVSEKVDVYSFGIVMWELLTGEEPYSNMRAEEIICGIIKGSLRPEIPNWCNPAWRSLMERCWLSDPKARPAFSGIAKELRAMASSMNIK >itb09g12060.t1 pep chromosome:ASM357664v1:9:7600974:7605776:-1 gene:itb09g12060 transcript:itb09g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQDPVEQFMVLDQWPFMPFNGDGEDDVTGGSELDGSSSPSSSSSQVGGELLMMGFVIVNIVGLQYYSGTINGRELVSLVRDPLNTHDPNAIKVLNTRSAQVGYIERPAAQVFAPLIDSSLITAEGIVPKVSRHGNRYKIPCQVHIFARIAAFESVKSALLDGGLNLISEGSAAFALSEAAVVKDQVVAGDSNSVDAIFKLLDEKILKKEALGTLEPPRSVVTSELLSHQKEGLWWLVQQENAHELPPFWMEKEGVFVNALTNYSTEKRPDPIRGGIFADDMGLGKTLTLLSLISFDKCASVVPVENAKVEERDDIDERELMDGILAASIGRNSKRGRGSTRANNSRKKLKSEGARTKEMKGEPVCDRVSGSSNTRTTLVVCPPAIFSVWITQLEEHTRPGSLKVYMYYGRQRTTDANVLQKYDIVLTTYSILANEEQLLESPIKKMEWWRVILDEAHIIKNVNAQQSRAVNNLNTKRRWVVTGTPLQNNSMDLYSLMAFLRVEPLSIKGYWQSLIQRPLVQGDENGIMRLQVLMETMSLRRTKEKTLVDLPSKTLETVYVELSGEEREIYDHMELRAKAIVTEFIYAEGSLKSYLTVLSALVRLRQICTDVAMCPPNLGDILPVNKIGDVNSNPKLLDKMLMALQDDDGIDCPVCISPPKNTVITSCGHIFCKICILKTMQHMKSCCPMCRHPLTEADLFFAPSETSDSVTDGNRSSSAPSSKVTALLKHLSAVRDESPTTKSVVFSQFRTMLLLLEAPLKAAGFKTLRLDGTMSAGQRAQVIKEFGVPCPDGPTILLASLRASGAGINLTMASRVYLFEPWWNPAVEEQAMDRVHRIGQTEEVKIVRMIAHNTIEERILQLQEKKKLFASKIFGKKNSRDQRDVSVDDLRTLMHL >itb03g02580.t1 pep chromosome:ASM357664v1:3:1468938:1473016:1 gene:itb03g02580 transcript:itb03g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAGKSSSTAQKCPKIVTFAFLTLVAITLVPVFRSFNNKYPNLAALDASEEVSPPFHGEEAEEIEIKADGEGCDIFTGEWVPNPNGPYYTNDTCWAIHEHQNCMKYGRPDDGFMKWRWKPDGCDMPVFNPFQFLDIVRDKSLAFVGDSVGRNHMQSLICLLSRVEYPIDVSTSTDENFKRWKYTTFNFTMATYWSPFLVRTNQASSDGPTHTGLFNLYLDEPDEEWTTQIDEFDYVIINAGHWFHRPGVYFENGRRVGCRFCQIEDVTDLPMAYGYQKAFRTAFKAINGLKHYKGITFLRTFAPSHFEGGEWNDGGNCVRRKPFRSSEAVLEGGDMELYLAEMEEFRAAEREGKKKGLRFRLLDTTQAMLMRPDGHPSRYGHWPQENVTLYNDCVHWCLPGPIDSWSDFLLHMLKMEGRRSYQEKLQFLQQKNI >itb05g19540.t1 pep chromosome:ASM357664v1:5:25992251:25993483:1 gene:itb05g19540 transcript:itb05g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDPKSDLLVEILARLPSSKAAIRLKLVCKSWCSLISSHYFITVFNHRRHDPIHRSSSSPGCFIFQSAIDRCLSMVRWGYHDAVGDFHRPDFSFLPCPQSSIRLNASCGDLILCSTRNSTSHLFQPVFYYVCNMLTKQWAALPPAPQLQLERTTYVTFSTGFLFVPAPCSLCSPQCVVGHNNNNFMVVRICVDPVTSIRPTSKLTVQLFSSEEGEWRSVVVSSPRAVSFRVRSSATLVSYKGMLHWLVSGFVLVYDPYNCLEKFCRVIDTPTDIIDGVVEHRFQTIGLFRDRLHVTQVSSSLFCIWELEDYNMGKWSLVHKISCTASPRLRVFPVRDEPNHLPHLDPIVNLHPKIRETGFFYNDGSAFYWTNSGWSVANGIVHWITDQGWPTPVPSLTAGTIQSKFFS >itb04g21050.t3 pep chromosome:ASM357664v1:4:26083591:26086273:1 gene:itb04g21050 transcript:itb04g21050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb04g21050.t1 pep chromosome:ASM357664v1:4:26083591:26086273:1 gene:itb04g21050 transcript:itb04g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb04g21050.t2 pep chromosome:ASM357664v1:4:26083591:26086273:1 gene:itb04g21050 transcript:itb04g21050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb04g21050.t4 pep chromosome:ASM357664v1:4:26083591:26086273:1 gene:itb04g21050 transcript:itb04g21050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb13g22840.t4 pep chromosome:ASM357664v1:13:29033717:29037831:-1 gene:itb13g22840 transcript:itb13g22840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCCKHPKSSDGDNRENLGKKHISAVCSSCVAHVPSENLKLECSVLTQRGFYPESPEKENQDCYCVNTQLLDNPNVHFFGVFDGHGQFGTECSVFVKDRLVEIMSNDPTLLDDPVKAYNSAFLTTNEELHNSSIDDSMSGTTAITALLVGNMLYVANVGDSRAVLAVKEGNRVVARNLSSDQTPFRNDECNRVKLCGARVLSVDQVEGLKDPEIQSWGDEEKDGSDPPRLWFQNGMYPGTAFTRSIGDSIAEKIGVVATPEVSTVQLNANHPFFVVASDGVFEFLSSQTVVDMVSKYPDPKDACSAIAAKSYKRWLQHETRTDDITIIIVYIKDLFHSGVRTTDCCEGNGEGEMVSEVFYTPPGSEASPPVRSGISELQSYHPTVSLYQSSQTVIP >itb13g22840.t1 pep chromosome:ASM357664v1:13:29033585:29038155:-1 gene:itb13g22840 transcript:itb13g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCCKHPKSSDGDNRENLGKKHISAVCSSCVAHVPSENLKLECSVLTQRGFYPESPEKENQDCYCVNTQLLDNPNVHFFGVFDGHGQFGTECSVFVKDRLVEIMSNDPTLLDDPVKAYNSAFLTTNEELHNSSIDDSMSGTTAITALLVGNMLYVANVGDSRAVLAVKEGNRVVARNLSSDQTPFRNDECNRVKLCGARVLSVDQVEGLKDPEIQSWGDEEKDGSDPPRLWFQNGMYPGTAFTRSIGDSIAEKIGVVATPEVSTVQLNANHPFFVVASDGVFEFLSSQTVVDMVSKYPDPKDACSAIAAKSYKRWLQHETRTDDITIIIVYIKDLFHVLEPLTAVKEMEKGKWCLKYFTLLRDRKLLHR >itb13g22840.t6 pep chromosome:ASM357664v1:13:29034179:29038131:-1 gene:itb13g22840 transcript:itb13g22840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCCKHPKSSDGDNRENLGKKHISAVCSSCVAHVPSENLKLECSVLTQRGFYPESPEKENQDCYCVNTQLLDNPNVHFFGVFDGHGQFGTECSVFVKDRLVEIMSNDPTLLDDPVKAYNSAFLTTNEELHNSSIDDSMSGTTAITALLVGNMLYVANVGDSRAVLAVKEGNRVVARNLSSDQTPFRNDECNRVKLCGARVLSVDQVEGLKDPEIQSWGDEEKDGSDPPRLWFQNGMYPGTAFTRSIGDSIAEKIGVVATPEVSTVQLNANHPFFVVASDGVFEFLSSQTVVDMVSKYPDPKDACSAIAAKSYKRWLQHETRTDDITIIIVYIKDLFHVLEPLTAVKEMEKGKWCLKYFTLLRDRKLLHR >itb13g22840.t3 pep chromosome:ASM357664v1:13:29033717:29038133:-1 gene:itb13g22840 transcript:itb13g22840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCCKHPKSSDGDNRENLGKKHISAVCSSCVAHVPSENLKLECSVLTQRGFYPESPEKENQDCYCVNTQLLDNPNVHFFGVFDGHGQFGTECSVFVKDRLVEIMSNDPTLLDDPVKAYNSAFLTTNEELHNSSIDDSMSGTTAITALLVGNMLYVANVGDSRAVLAVKEGNRVVARNLSSDQTPFRNDECNRVKLCGARVLSVDQVEGLKDPEIQSWGDEEKDGSDPPRLWFQNGMYPGTAFTRSIGDSIAEKIGVVATPEVSTVQLNANHPFFVVASDGVFEFLSSQTVVDMVSKYPDPKDACSAIAAKSYKRWLQHETRTDDITIIIVYIKDLFHSGVRTTDCCEGNGEGEMVSEVFYTPPGSEASPPVRSGISELQSYHPTVSLYQSSQTVIP >itb13g22840.t5 pep chromosome:ASM357664v1:13:29033708:29038131:-1 gene:itb13g22840 transcript:itb13g22840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCCKHPKSSDGDNRENLGKKHISAVCSSCVAHVPSENLKLECSVLTQRGFYPESPEKENQDCYCVNTQLLDNPNVHFFGVFDGHGQFGTECSVFVKDRLVEIMSNDPTLLDDPVKAYNSAFLTTNEELHNSSIDDSMSGTTAITALLVGNMLYVANVGDSRAVLAVKEGNRVVARNLSSDQTPFRNDECNRVKLCGARVLSVDQVEGLKDPEIQSWGDEEKDGSDPPRLWFQNGMYPGTAFTRSIGDSIAEKIGVVATPEVSTVQLNANHPFFVVASDGVFEFLSSQTVVDMVSKYPDPKDACSAIAAKSYKRWLQHETRTDDITIIIVYIKDLFHVLEPLTAVKEMEKGKWCLKYFTLLRDRKLLHR >itb13g22840.t2 pep chromosome:ASM357664v1:13:29033585:29038155:-1 gene:itb13g22840 transcript:itb13g22840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCCKHPKSSDGDNRENLGKKHISAVCSSCVAHVPSENLKLECSVLTQRGFYPESPEKENQDCYCVNTQLLDNPNVHFFGVFDGHGQFGTECSVFVKDRLVEIMSNDPTLLDDPVKAYNSAFLTTNEELHNSSIDDSMSGTTAITALLVGNMLYVANVGDSRAVLAVKEGNRVVARNLSSDQTPFRNDECNRVKLCGARVLSVDQVEGLKDPEIQSWGDEEKDGSDPPRLWFQNGMYPGTAFTRSIGDSIAEKIGVVATPEVSTVQLNANHPFFVVASDGVFEFLSSQTVVDMVSKYPDPKDACSAIAAKSYKRWLQHETRTDDITIIIVYIKDLFHVLEPLTAVKEMEKGKWCLKYFTLLRDRKLLHR >itb03g28300.t1 pep chromosome:ASM357664v1:3:29049252:29060623:-1 gene:itb03g28300 transcript:itb03g28300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLKRLDLLSAEMPAPMTVGLVVFLLLGVLDFGKAEIYIVTIEGEPVISYRGGVNGFEATALEDDEKLDVASESVTSYARHLETKHDMLLGLLFDRGTYKKIYSYHHLINGFAVHISPEQAEILRQAPGVKSVVRDWKVKRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFANHITEPYGPLPKYRGKCEKDPETKRNYCNGKIIGAQHFAGAAKAAGAFNPAIDFDSPLDGDGHGSHTAAIAAGNNGIPVRMHGFEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIEQAVRDGVDILNLSVGPNSPPATTKTTFLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLLSYSPWIASVAAAVDDRRYKNHLTLGNGKLLSCIGLSPSTHPNRTFTMVAANDVLLDSSVAKYNPSDCQRPELLNKNLVQGNILLCGYSFNFVTGTSSIKRVAETARSLGASGFVLAVENAPSGTKFDPVPVRVPGVLITDVKDSMELINYYNISTSRDWTGRVKSFKAVGSIGDGLRPILQKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWAPNGTDEANYIGEGFAMISGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALMTTSSTVNRAERPLQAQQYSGSETLTLVQATPFDYGSGHVDPRAALDPGLVFDAGYEDYLGFLCTVPGIDPHEIKNFTNSACNYSLGNPSNLNMPSITISHLVGTRTISRSVTNVAEEETYVITARMAPEIAIETNPPAMTLRPGATRKFTVTLTPRTVTGQYSFGEVLLKGSRGHKVRIPVVALGCHR >itb10g20540.t1 pep chromosome:ASM357664v1:10:26079891:26082118:-1 gene:itb10g20540 transcript:itb10g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEQGTAEEAEQRVGSVRSRHIKKRALKNKSLAVSFDEKDLQEFVTGFHKRKKKRRKEAQKQLQEAERRKRIENRKKRKLEREFAIHGGAPSESGAEPDEHEEDEDDEDDTESNGPNAPVSGTTMYDNGDVQVTVTTSEISREQEFPALRSPPAATELSREIISNRGSIPVSKKKPFKKAVKRKSRAKPQNKRDKKKGKVKNKKH >itb05g17720.t4 pep chromosome:ASM357664v1:5:24542736:24546450:1 gene:itb05g17720 transcript:itb05g17720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSKTREQSSNHPQPPPDSAPSPSPSPPATTSNSDDSPDLTSYESACEEDPDLRAFDSALQARTTKAINSVAVTLDYRALSLDSLREVTLCFLEMNQEVVNLILESKRDIWKDPDLFDLVKEYLENSRHTMNFCTALEDALQRAHHSQSILRFALQKFEEESAKITEPGHDSVQLYSKTLAELKKFKDAGDPFTEKFFSLFHSVYKQQELMLNKMKEKKSRLDKKLRKVKSWRRISNVIFATVFVSVLICSVVAAVVTAPPVVTALAAAASVPLGTVGKWINNMWKKYENDLKREREILTTIGAGNYIVIQDLESIQRLVDRLQEQIQGLLYNADFAMQQNDAVSSAMEDIKKNVISFMETIQVLTDQADKCSRDIRMAHAVILKKINEPSPSSLGNGMFSI >itb05g17720.t5 pep chromosome:ASM357664v1:5:24542736:24544802:1 gene:itb05g17720 transcript:itb05g17720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSKTREQSSNHPQPPPDSAPSPSPSPPATTSNSDDSPDLTSYESACEEDPDLRAFDSALQARTTKAINSVAVTLDYRALSLDSLREVTLCFLEMNQEVVNLILESKRDIWKDPDLFDLVKEYLENSRHTMNFCTALEDALQRAHHSQSILRFALQKFEEESAKITEPGHDSVQLYSKTLAELKKFKDAGDPFTEKFFSLFHSVYKQQELMLNKMKEKKSRLDKKLRKVKSWRRISNVIFATVFVSVLICSVVAAVVTAPPVVTALAAAASVPLGTVGKWINNMWKKYENDLKREREILTTIGAGNYIVIQDLESIQRLVDRLQEQIQGLLYNADFAMQQNDAVSSAMEDIKKNVISFMETIQVLTDQADKCSRDIRMAHAVILKKINEPSPSSLGNGMFSI >itb05g17720.t1 pep chromosome:ASM357664v1:5:24542736:24546426:1 gene:itb05g17720 transcript:itb05g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSKTREQSSNHPQPPPDSAPSPSPSPPATTSNSDDSPDLTSYESACEEDPDLRAFDSALQARTTKAINSVAVTLDYRALSLDSLREVTLCFLEMNQEVVNLILESKRDIWKDPDLFDLVKEYLENSRHTMNFCTALEDALQRAHHSQSILRFALQKFEEESAKITEPGHDSVQLYSKTLAELKKFKDAGDPFTEKFFSLFHSVYKQQELMLNKMKEKKSRLDKKLRKVKSWRRISNVIFATVFVSVLICSVVAAVVTAPPVVTALAAAASVPLGTVGKWINNMWKKYENDLKREREILTTIGAGNYIVIQDLESIQRLVDRLQEQIQGLLYNADFAMQQNDAVSSAMEDIKKNVISFMETIQVLTDQADKCSRDIRMAHAVILKKINEPSPSSLGNGMFSI >itb05g17720.t2 pep chromosome:ASM357664v1:5:24542736:24546281:1 gene:itb05g17720 transcript:itb05g17720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSKTREQSSNHPQPPPDSAPSPSPSPPATTSNSDDSPDLTSYESACEEDPDLRAFDSALQARTTKAINSVAVTLDYRALSLDSLREVTLCFLEMNQEVVNLILESKRDIWKDPDLFDLVKEYLENSRHTMNFCTALEDALQRAHHSQSILRFALQKFEEESAKITEPGHDSVQLYSKTLAELKKFKDAGDPFTEKFFSLFHSVYKQQELMLNKMKEKKSRLDKKLRKVKSWRRISNVIFATVFVSVLICSVVAAVVTAPPVVTALAAAASVPLGTVGKWINNMWKKYENDLKREREILTTIGAGNYIVIQDLESIQRLVDRLQEQIQGLLYNADFAMQQNDAVSSAMEDIKKNVISFMETIQVLTDQADKCSRDIRMAHAVILKKINEPSPSSLGNGMFSI >itb05g17720.t3 pep chromosome:ASM357664v1:5:24541912:24544802:1 gene:itb05g17720 transcript:itb05g17720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSKTREQSSNHPQPPPDSAPSPSPSPPATTSNSDDSPDLTSYESACEEDPDLRAFDSALQARTTKAINSVAVTLDYRALSLDSLREVTLCFLEMNQEVVNLILESKRDIWKDPDLFDLVKEYLENSRHTMNFCTALEDALQRAHHSQSILRFALQKFEEESAKITEPGHDSVQLYSKTLAELKKFKDAGDPFTEKFFSLFHSVYKQQELMLNKMKEKKSRLDKKLRKVKSWRRISNVIFATVFVSVLICSVVAAVVTAPPVVTALAAAASVPLGTVGKWINNMWKKYENDLKREREILTTIGAGNYIVIQDLESIQRLVDRLQEQIQGLLYNADFAMQQNDAVSSAMEDIKKNVISFMETIQVLTDQADKCSRDIRMAHAVILKKINEPSPSSLGNGMFSI >itb05g23700.t1 pep chromosome:ASM357664v1:5:28773459:28778102:1 gene:itb05g23700 transcript:itb05g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQMRSVGMKKTLVYYRGRAPHGARTDWVMHEYRLDERECEIQNGLQDAYALCRVFKKSLTNTGPKMGDHYVSAASDRSSSMDIYSEGRCEEMESSNYAMAAPPSSAAASCSSMAAAAVHGSPFHHVAAAGPSTNDDKWMQYLSDEAFSFHTPPPSSLPNYATMPYPPSKVDIALECARLQHRFMLPQLEVQDFPHVVGHVDARMIPHHHQSSFVNHDNNPDIVQEILSVAQASQDLMNQDGWGGGGYAPTAADDDFSFLLPHNANQFQEMGNFRFMDQLREDQNGGRNIEITDFGDEFKPDRMVENLRWVGMSDKDLEKTLLEDYKAVPIENVSGFNREGHEDHGESSQHNNFSDINDFSLGFDNDHNANNDNNNFLEDFSDSPSFEVYEKVEVKHGMFIASRQAAKTLYHQVVPSTTVRIHRNLLVPMQAATFPVSKFEAPRAFNNNNNIVLDKFIAFSRKMLIGIIKLTRPWRRMVSTLVGVIAILHTCWVYFGELLLEAQEKNYYYCHFSGEKIKRELSGDYEWKNLKKNKDSSIADKKNPYRMTTRVDKTTTWPYLTLVLALSTIWLHQNVTFF >itb06g16500.t1 pep chromosome:ASM357664v1:6:20673095:20676199:1 gene:itb06g16500 transcript:itb06g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNWLNEPNADVILLFFRILSLCHTAIPELNETGSLNYEVESPDECAFLVAAREFGFEFYNRTQSTISVRERYPSFREPAERAVKVLNLLDFTSKRKRMSVIVQDESGRIFLLSKGADSIIFDRLATHGKRFLEATTKHLNDYGEAGLRTLVLAYKELQKEEYYAWNEEFTKAKTSIGANREAMLEQLSDMMERNLILVGATAVEDKLQSGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRHGMHQICVSANMDGTANNSREALKESISMQITNGSEMVKLEKDPHAAFALIIDGKTLSYALEDDMKQKFLNLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQVFLCLDCSVNGHYVAISLLQAVMASDFAIAKFQFLERLLIVHGHWCYKRIAQMICYFFYKNIAFGLTLLYYEAFTAFSGQSIYDDWYMILFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWFKIFSWIGNGFYNSFIIFFLNLIIFYDQAFRAGGQTADQTAVGTVMFTCVIWAVNCQIALAMSHFTLIQCFLIWGSIVSWYIFLFVYGLLSPVHSGNAFRILPEALAPAPIFWCTTLLVTIACNLPYLGHVAFQRCFSPMDHHIIQEMKYYRKDVRDRRMWGREKLKAKEKTKVGFSVAVDDKIRQIRALKGRLQKRYYKMDKHQEIP >itb07g18170.t1 pep chromosome:ASM357664v1:7:22586067:22590604:1 gene:itb07g18170 transcript:itb07g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHTSFSSLHSQCHSLCTIASQFPPHQFSLKQTSRNYQSWKLSRFLCRSSSDGNEDYFLDAPVSVGDGFSFSGGKYSDEPSPADEWFKQGRIVKAHPVNGSGEKAKDPIFGLTMGAGSQSSSDLFRWFCVESGTAYDSCIVLIHGFPSQAYSYRKVLPILSKSHHAIAFDWLGFGFSDKPQPKYGFDYTLDEYVSSLESVINALTTNKKVTLVVQGYFSPIVIKYASTHQEKVNSVILLNPPLTVKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALLSCGPYQIKEEVAMVYRRPYLTSGSSGFALNALSRAMKKQLKGYVEEARGILTGKNWEVPTTVCWGQRDRWLSFDGVEDFCKESKLRLVELPMAGHHVQEDSGEEVGQVIAGIVGKKSRF >itb02g08060.t1 pep chromosome:ASM357664v1:2:5027649:5031024:-1 gene:itb02g08060 transcript:itb02g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSPLRSSTTTTGKDERGGEMEGFPMGCHDDFPDFTGGNLLDSIDFDDLFVGIHDGDVLPDLEMDPEVLAEFSISAGEEYSDATTTSTNATATSVLSSENQACAEDKTSSLSSKQEAENNLGSSNSGSDLGSGLTSVNQGEEILSKRDENTNPSPKENYDNNKGKKSSAQSKNNPQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWSQRRQMYGGAAAGGGGGGGGKREMSPWLAPTMGFPPPMPHFRPLHVWGHPSVDQSLMHMWPKHHQLAASTSPPAAAWTPAAPPPPPPLTDPSFWHSHHQRVATPCFPAPIAPARFPAPPVPGIPPPAMYKLDPGIGVPTAPTAAAQPLPTPPFDFHPSKESIDAAIGDVLSKPWLPLPLGLKPPSTDTVMVELQRQGISKVPPSCA >itb05g21190.t1 pep chromosome:ASM357664v1:5:27081481:27086458:1 gene:itb05g21190 transcript:itb05g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFLLIALFLFPFSYATANPLTKCSFKSIYQFGDSLADTGNLFRIPGATMSFHADRHPYGQTYFGKPTGRFSDGRLIVDYVAAALKLPFVDAYLDVNGSFAHGANFAVAGGTALDNSFFAERNISMPSFNTPISAQLRWFHAHLNATCGGGGGKCAEKVQNALFIFGEFGGNDYYNALSKGKSLEETKTYVPYTVDAVINGIKRIVKHGAKRVVVPVCIGLLIFLSTTPFLDIFVHAFHFLNKVSYASPKSYDQLGCLKSLNAFSSYHNNYLQKALSKLSRELSGDVVLVYGDYYGALRSVLRRGSYLGFNNESLLKACCGTGGKYNFDGSKTCGSDDVSACSNPEQYVHWDGIHLTDKSYLRMTEILIRKVLRNIKCS >itb07g00610.t1 pep chromosome:ASM357664v1:7:396612:401629:1 gene:itb07g00610 transcript:itb07g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein ELM1 [Source:Projected from Arabidopsis thaliana (AT5G22350) UniProtKB/Swiss-Prot;Acc:Q93YN4] MKPIRLPEPPTSPRAIGGMPDIFEGGVYGVIRRAVVIGNGFPSSENQSIGLVRALGLYDKHTLYRVTRPRGGINEWLHWLPVSLHKRIYYILNLVCGYSHLLVGGRANKLYLPKENGAIGLSSILEADVKSIVNIARESFEKDGPLLVVASGRDTISIASSIKRLAHENVFVVQIQHPRSHLDRFDLVITPKHDYFPLTPQAKEQVPRLLHRWITPNQPPDKHVILTVGALHQVDSTALRTAAITWHDEFAPLPKPLLVVNIGGPSRYCRYGTDLAKQLTASLHNALEKCGSVRISFSRRTPEKISNVVIKELGSHPKVYIWNGEEPNPHMGHLAWADNFVITADSVSMLSEACSTGKPVYVVGAERCRWKFVEFHKALRERGLVRPFTGLEDMSETWSYPPLNETAEAANRVNEALAQRGWKIKA >itb10g14020.t1 pep chromosome:ASM357664v1:10:20289023:20292876:-1 gene:itb10g14020 transcript:itb10g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRKIKNLRYLLLLLALVFPVATLGRQFVLVISQDDIKDLGTDTTTAAGETDSTEPGFDDFIDSDAKPDHELDPGSWSPIFEPGTDPANQDEQEAAYYQAVRKMVTASSRGDARGMEEAASEMEAAAASGHAHAQSVMGFLYGMGMVRERNKAKSFLFHHFASEGGNMQSKMALAYAYTRQEMHDKAVTLYAELAEVAINSFLISKDSPVIEPVRIHTGTEENKDALRKSRGEEDEDFQILEYQAQKGNAGAMYKIGIFYYFGLRGVRRDHVKALSWFLKAVDKGEPRSMELLGEIYVRGAGVERNYTKALEWLSLASKQQLYSAYNGMGYLYVKGFGVEKNYTQAKEYFEKAADNDEPGGFYNLGVMYLKGIGVKRDLKLAGKYFILAANVGQPKAFYQLAKMFHTGLGLKKNVPMATALYKLVAERGPWSSLSRWALESYLKGDVGKAFLLYSRMAELGYEVAQSNAAWILDKYGPRSMCMGGSGICTDAERHQRSHALWWQASEQGNEHAALLIGDAYYYGRGTERDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALDNDPAAKLPVMLALASLWIRMNYADSFMVDMIDSLPEVYPKLETWVEDVLMEEGNATILTLIVCLLTVLYLRERQRRHAAAAAFVGEAPPPHQADEQDMPPIVN >itb15g18100.t3 pep chromosome:ASM357664v1:15:19453509:19456599:1 gene:itb15g18100 transcript:itb15g18100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQSTEQSYYYSYSSASECQPTVTSSSYHARNEFQQKYQTIGDNFTSLDQVVETLREAGLESSNLIVGIDFTKSNQWTGAKCFNRRSLHHLGNDLNPYEHAISIIGRTLTVFDEDNLIPCFGFGDVTTHDQDVFSFYPDNRPCNGFEEALTRYREIVPHIHLSGPTSFAPIIETAIGIVDDSGGQYHVLLIIADGQVPQNEGMDSYHPSPQERNTIDAIVKASGYPLSIVLVGVGDGPWDMMRKFDDSIPARSFDNFQFVNFTEIMSKNVPQTQKEAEFALAALMEIPQQYQATLSLGLLGNQRGNLGKKPLPPKLGRPMSYPDYFFKSRSLENNGDKQLPGSAGTRSNAIQCCCPVCSCRKKDLALGCGHQTCYDCGRDLTCCPTCGMHITTRIRLL >itb15g18100.t1 pep chromosome:ASM357664v1:15:19453511:19456599:1 gene:itb15g18100 transcript:itb15g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQQSTEQSYYYSYSSASECQPTVTSSSYHARNEFQQKYQTIGDNFTSLDQVVETLREAGLESSNLIVGIDFTKSNQWTGAKCFNRRSLHHLGNDLNPYEHAISIIGRTLTVFDEDNLIPCFGFGDVTTHDQDVFSFYPDNRPCNGFEEALTRYREIVPHIHLSGPTSFAPIIETAIGIVDDSGGQYHVLLIIADGQVPQNEGMDSYHPSPQERNTIDAIVKASGYPLSIVLVGVGDGPWDMMRKFDDSIPARSFDNFQFVNFTEIMSKNVPQTQKEAEFALAALMEIPQQYQATLSLGLLGNQRGNLGKKPLPPKLGRPMSYPDYFFKSRSLENNGDKQLPGSAGTRSNAIQCCCPVCSCRKKDLALGCGHQTCYDCGRDLTCCPTCGMHITTRIRLL >itb15g18100.t2 pep chromosome:ASM357664v1:15:19453660:19456480:1 gene:itb15g18100 transcript:itb15g18100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFCRKLGENSEDLQMGGQQSTEQSYYYSYSSASECQPTVTSSSYHARNEFQQKYQTIGDNFTSLDQVVETLREAGLESSNLIVGIDFTKSNQWTGAKCFNRRSLHHLGNDLNPYEHAISIIGRTLTVFDEDNLIPCFGFGDVTTHDQDVFSFYPDNRPCNGFEEALTRYREIVPHIHLSGPTSFAPIIETAIGIVDDSGGQYHVLLIIADGQVPQNEGMDSYHPSPQERNTIDAIVKASGYPLSIVLVGVGDGPWDMMRKFDDSIPARSFDNFQFVNFTEIMSKNVPQTQKEAEFALAALMEIPQQYQATLSLGLLGNQRGNLGKKPLPPKLGRPMSYPDYFFKSRSLENNGDKQLPGSAGTRSNAIQCCCPVCSCRKKDLALGCGHQTCYDCGRDLTCCPTCGMHITTRIRLL >itb10g23430.t1 pep chromosome:ASM357664v1:10:27797267:27799310:-1 gene:itb10g23430 transcript:itb10g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRFLLLFLLIIPLVHSLSFNFDRFTVSSAQNLTFERTSVVNSSIQLTSDRLASIGRATYGEPLHLWNKSTGNLSAFSTHFTFVIDSKGSGQYGDGFAFFLAPVGSRIPPDVTTGGSFGLTNDDEYLNSSRNTFVAVEFDIFMNDGFDIQSKQVEIDVDSMKSVATATWFPDIEEGRPSEAWIDYDPRSMNLSVVFRGVENNVSGLGHLSYIVDLRKYLPERVTFGFSAATGNRSALQVINSWNFTSTFNITKFQANPDSKSARYSKGGHPVGIVIGVVGRLMPFG >itb14g16040.t1 pep chromosome:ASM357664v1:14:19305489:19307740:1 gene:itb14g16040 transcript:itb14g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPDYSRRWLLFLEHARVTESIRGQAQFICYSGPIRRFSEDEVRFQDGFRVVRADGGEKGSEEGLAWVSNVNGITRGWKSHLLQVLYSLSVLFPLRLA >itb14g05830.t1 pep chromosome:ASM357664v1:14:5077850:5080493:-1 gene:itb14g05830 transcript:itb14g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVEDKGCLSYGQRQDSGAGGNLGFEFQKGISAAAAGGGGGGGGNRHSHPRAASGKPTPSKWDDAQKWLVNLSRGGGGGERGPPRASPRNSNADDRRLIAPVPKHEDYTSEEDEVPAGDVETKKVECDDSIWRINKAANGSGSVNSGGVRSICVRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRCQNGVAQALENGHIPGENRGVSAALPTRFGRPDSGETTINDSHTGANKKLTPLETRAAAWDEAERAKYTARYKREEVKIQAWENREKRKAEMEMRRKEVKAESMKARAQEKYTNKVAATRRIAEEKRANAEAKLNESAAKTSEKADYIRRTGHLPSSFSFSFKLPTPSFCW >itb05g26170.t1 pep chromosome:ASM357664v1:5:30195994:30202636:1 gene:itb05g26170 transcript:itb05g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHELEPSRSNDEYYETDEEEEDNEDEEAEEEDKFHGCNRSVFGGGGSRRRAGLFCGLFGKVLDPRATWVREWNRVFLLVCAAGLFVDPLFFYALSISDNCMCLFVDGWFATTATVLRCMTDALHLCNMWVQFKTDTRRTQVFGGDDATRRQHDNLVTRRAVASRYLKAKKGFLFELFVILPLPQIVLWVTTPALLEKEKTATVMTVFLIVFLFQYLPKIYHSVGHLRRMQNLSGGYIFGTVWWGIALNMIAYFVASHAVGACWYLLGIQKAVKCLKEQCRASKGCSLGLLACEDPIYFGATTAAGDRSARWLWAENHQARTTCLAADNDNYAYGAYEWTVQLFTNENRLEKILLPIFWGLMTLSTFGNLECTTDWLEVVFIIVVLTSGLLLVTMLIGNIKVFLNATTSKKQAMHLKMRNLEWWMRKRRLRPELRQRVRNYERQRWAAMRGVDECQMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDSLVLENICDRVKSLIFTKGETITREGDPVKRMLFIVRGHLQSSQHLRDGVKSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSFTLTTLETTEAFGLDAQDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLCSLPFILPRRPPTRCSSIEEDRLRLYTALLTSAKPNEDEFDF >itb05g26170.t2 pep chromosome:ASM357664v1:5:30196600:30202629:1 gene:itb05g26170 transcript:itb05g26170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFVDGWFATTATVLRCMTDALHLCNMWVQFKTDTRRTQVFGGDDATRRQHDNLVTRRAVASRYLKAKKGFLFELFVILPLPQIVLWVTTPALLEKEKTATVMTVFLIVFLFQYLPKIYHSVGHLRRMQNLSGGYIFGTVWWGIALNMIAYFVASHAVGACWYLLGIQKAVKCLKEQCRASKGCSLGLLACEDPIYFGATTAAGDRSARWLWAENHQARTTCLAADNDNYAYGAYEWTVQLFTNENRLEKILLPIFWGLMTLSTFGNLECTTDWLEVVFIIVVLTSGLLLVTMLIGNIKVFLNATTSKKQAMHLKMRNLEWWMRKRRLRPELRQRVRNYERQRWAAMRGVDECQMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDSLVLENICDRVKSLIFTKGETITREGDPVKRMLFIVRGHLQSSQHLRDGVKSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSFTLTTLETTEAFGLDAQDVKYVTQHFRYTFVKEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLCSLPFILPRRPPTRCSSIEEDRLRLYTALLTSAKPNEDEFDF >itb15g13950.t1 pep chromosome:ASM357664v1:15:12042888:12044344:1 gene:itb15g13950 transcript:itb15g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRDNPVVHKICELYDEISRLESLKPSEDVDTLFTQLVHACIPPNTIDVSKLCSKIQDMTSNLIRLCGEAEGLLESHYSNILGSLPDPLQNLSIFPYFNNYLKLSLLEFDLLSRHCGVAPPRRLAFVGSGPLPLSSIVLATCHLTATDFHNYDINPAANSLAARLVGSDPGLARRMFFHTADIMGVTCDLKEYDVVFLAALVGMDKEEKGRAIDHLAKYMAPGSLLVVRSAHGARAFLYPVVEPRDLRGFEVLTVYHPTDDVINSVIVARKMPLPAAVHPYDNNQQGSSMVLPSKCSCAEIHAFNPLNKLSMIEE >itb01g32310.t1 pep chromosome:ASM357664v1:1:35825415:35827304:-1 gene:itb01g32310 transcript:itb01g32310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYSSYPDSVNSSPRSREVDCENASWDEPPSSNYKVKFMCSYGGKIHPRPHDNQLSYVGGDTKILSVDRNIRFSSFMSKLSTLYETDVCFKYQLPGEDLDALISVTNDEDLELMMLEYDRLQRASNKPARLRLFLFPFSSPADPGFGSTDSKRQWFVDVLNSVQMQNLESNSQPVQPVESSVGAADFLFGLDKGQQQQQAVGKIKEPALAAQLPQPQIVPEVYGKEFRSGSEPVVTPADIHRQIQELQRLQISSQEQAMYNRKSTDEQSLNPPRVYPAGEYYQPKAPIPAVANQAASLWPERHITSGSYPTNVAPVAASEPPVYLVQTPAGVYQAQTVRPVTGQVSQPYYGMQRVVPEFYREQAVYGTVPPPSSFQQGGGPHKPEVPIAMVRTAPPPPPPDHVYAHVSYDNVGRQVYYTAPAGLNVLPQPLQHPAAAANAAATELDVRQSGGALFPEGKIVTNKP >itb05g21830.t2 pep chromosome:ASM357664v1:5:27467678:27471940:-1 gene:itb05g21830 transcript:itb05g21830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRQRSIEDVHKRRLQKIKVEDAVKPFQQPFQEKGTRLKLQPLKCVLVLLVTATFIILLSTPNGCRRNNSSRSVSRMWIWGGSDPRYASDFHVNWGEVSEVVKNLAENEKILGIGVLNFDKQEIRQWKQVIPPSANLTVLQLEHAAQNVSWEALYPEWIDEEQLDEVPSCPSLPRIDVPRQRIDLIAVKLPCRNEGNWSRDIGRLHLQISAAGLATSAKGNRLVHMLFVTRCFPIPNLFPCRDLVVRNGDSWLYKPDLNVLRDKLNLPVGSCELALPFGAKGRPGEPKREAYATVLHSTAHVYVCGAIAAAQSIRMAGSTRDLVILVDDTIGEYHRSGLEAAGWKIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFRLLMDHIDEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWTGDEAAVKQRKTELFAADPPVLYAVHYLGYKPWLCYRDYDCNWNVDILQEFATDAAHDKWWRVHDSMPPELRDFCLLRSKQKAQLEWDRRQAEAANFPDGHWKIKITDSRMKRCTDRLCNWKSMLRHWGEKNWTDDPFFHPSPPLLKLSSQNKLPPPPPSSSISDS >itb05g21830.t1 pep chromosome:ASM357664v1:5:27467678:27471940:-1 gene:itb05g21830 transcript:itb05g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRQRSIEDVHKRRLQKIKVEDAVKPFQQPFQEKGTRLKLQPLKCVLVLLVTATFIILLSTPNGCRRNNSSRSVSRMWIWGGSDPRYASDFHVNWGEVSEVVKNLAENEKILGIGVLNFDKQEIRQWKQVIPPSANLTVLQLEHAAQNVSWEALYPEWIDEEQLDEVPSCPSLPRIDVPRQRIDLIAVKLPCRNEGNWSRDIGRLHLQISAAGLATSAKGNRLVHMLFVTRCFPIPNLFPCRDLVVRNGDSWLYKPDLNVLRDKLNLPVGSCELALPFGAKGRPGEPKREAYATVLHSTAHVYVCGAIAAAQSIRMAGSTRDLVILVDDTIGEYHRSGLEAAGWKIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFRLLMDHIDEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWTGDEAAVKQRKTELFAADPPVLYAVHYLGYKPWLCYRDYDCNWNVDILQEFATDAAHDKWWRVHDSMPPELRDFCLLRSKQKAQLEWDRRQAEAANFPDGHWKIKITDSRMKRCTDRLCNWKSMLRHWGEKNWTDDPFFHPSPPLLKLSSQNKLPPPPPSSSISDS >itb12g25830.t2 pep chromosome:ASM357664v1:12:26958271:26968053:-1 gene:itb12g25830 transcript:itb12g25830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSIVSSGDDNGALRNFRLNESTFLASQMPKKEIAADRFIEAHPHYDGRGAIIAIFDSGVDPAAAGLQVTSDGKPKVLDVLDCTGSGDVDTSTVVKADADGCIQGASGASLIVNSAWNNPSGEWHVGCKLVFELFTDDLTSRVKKERKKKWDEKNQEAITEAVKQLDEFDKKHAKCEDANLKRVREDLQNKVDLLRKQTDSYDDKGPVIDAVVWHDGEVWRAALDTQSLQDEPECGKLADFLPLTNYRIERKHGVFSKLDACTFVLNVYNEGNILSIVTDSSPHATHVAGIAAAYHPKEPLLNGIAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVDEVVNKHRIIFVSSAGNNGPALTTVGAPGGTTSSIIGIGAYVSPAMAAATHLLVEPPSEGLEYTWSSRGPTADGDVGVSISAAGGAVAPVPTWTLQRRMLMNGTSMSSPSACGGVALLISAMKAEGIPVSPYTVRKALENTASPVGTLPEDKLTAGEGLMQVDKAYEYVQKSQHFPCVRYQVKINQAGKSSKPTPTSRGIYLREINSCNQSTEWTVEVQPKFHEDASNLEELVPFEECIQLHSTGHTVVRSPEYLLLTHNGRSFNVVVDPTSLGDGLHYYEVYGVDCKAPWRGPLFRIPVTITKPKAVKNRPPLISFQGMSFLPGHVERRFIEVPVGATWVEATMRASGFDTARRFFIDTVQLSPLQRPSKWESVATFSSPSAKSFAFPVEGGRTMELAVAQFWSSGIGSHETTIVDFEIGFHGIDTNKEEVVLDGSEAPTRIDAEAPLSTETLAPTAVLNKVRTPYRPIDAKVHALSADRDKLPSGKQILALILTYKFKLEDSAEVKPQIPLLNNRIYDNKFESQFYMISDSNKRVYAMGDVYPSSSKLPKGEYSLQLYLRHDNVQYLEKMKQLVLFIERTLEDKDSIRLSFYAQPDGPVTGGSNFSSSVLIPGVKEAFYLGPPSKDKLPKNCSEGSVLLGTVSYGKLNEGKDSQKNPASYQISYMVPPTKLNDDKEKSSLTSTQTISEKLDAEVRDAKIKVLAGLSQGTDEERSEWRKLSISLKSEYPKYTPLLAKILEGLLSQKNVEDKIQHYEEVTDAADEVVESIDRDELAKYFSLKCDAEDDAAEKMKKKMETTRDQLVEALYQKGLALAEIESLKADTQPVTVSDVESDLFEKNFKELKKWVDVNSSRYGKLSVLRERRLGRLGTALKVLIDMIQEDGDPPKRKLYELKFSLLEQMGWGHLVAYEKEWMLVRFPSSLPLF >itb12g25830.t3 pep chromosome:ASM357664v1:12:26958087:26968061:-1 gene:itb12g25830 transcript:itb12g25830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSIVSSGDDNGALRNFRLNESTFLASQMPKKEIAADRFIEAHPHYDGRGAIIAIFDSGVDPAAAGLQVTSDGKPKVLDVLDCTGSGDVDTSTVVKADADGCIQGASGASLIVNSAWNNPSGEWHVGCKLVFELFTDDLTSRVKKERKKKWDEKNQEAITEAVKQLDEFDKKHAKCEDANLKRVREDLQNKVDLLRKQTDSYDDKGPVIDAVVWHDGEVWRAALDTQSLQDEPECGKLADFLPLTNYRIERKHGVFSKLDACTFVLNVYNEGNILSIVTDSSPHATHVAGIAAAYHPKEPLLNGIAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVDEVVNKHRIIFVSSAGNNGPALTTVGAPGGTTSSIIGIGAYVSPAMAAATHLLVEPPSEGLEYTWSSRGPTADGDVGVSISAAGGAVAPVPTWTLQRRMLMNGTSMSSPSACGGVALLISAMKAEGIPVSPYTVRKALENTASPVGTLPEDKLTAGEGLMQVDKAYEYVQKSQHFPCVRYQVKINQAGKSTPTSRGIYLREINSCNQSTEWTVEVQPKFHEDASNLEELVPFEECIQLHSTGHTVVRSPEYLLLTHNGRSFNVVVDPTSLGDGLHYYEVYGVDCKAPWRGPLFRIPVTITKPKAVKNRPPLISFQGMSFLPGHVERRFIEVPVGATWVEATMRASGFDTARRFFIDTVQLSPLQRPSKWESVATFSSPSAKSFAFPVEGGRTMELAVAQFWSSGIGSHETTIVDFEIGFHGIDTNKEEVVLDGSEAPTRIDAEAPLSTETLAPTAVLNKVRTPYRPIDAKVHALSADRDKLPSGKQILALILTYKFKLEDSAEVKPQIPLLNNRIYDNKFESQFYMISDSNKRVYAMGDVYPSSSKLPKGEYSLQLYLRHDNVQYLEKMKQLVLFIERTLEDKDSIRLSFYAQPDGPVTGGSNFSSSVLIPGVKEAFYLGPPSKDKLPKNCSEGSVLLGTVSYGKLNEGKDSQKNPASYQISYMVPPTKLNDDKEKSSLTSTQTISEKLDAEVRDAKIKVLAGLSQGTDEERSEWRKLSISLKSEYPKYTPLLAKILEGLLSQKNVEDKIQHYEEVTDAADEVVESIDRDELAKYFSLKCDAEDDAAEKMKKKMETTRDQLVEALYQKGLALAEIESLKADTQPVTVSDVESDLFEKNFKELKKWVDVNSSRYGKLSVLRERRLGRLGTALKVLIDMIQEDGDPPKRKLYELKFSLLEQMGWGHLVAYEKEWMLVRFPSSLPLF >itb12g25830.t4 pep chromosome:ASM357664v1:12:26958271:26968053:-1 gene:itb12g25830 transcript:itb12g25830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLQYKAFVIPPQSQSPFFISPPGRRLIITPKKRSGSSTLQRGLSRRSRAMTCSSIVSSGDDNGALRNFRLNESTFLASQMPKKEIAADRFIEAHPHYDGRGAIIAIFDSGVDPAAAGLQVTSDGKPKVLDVLDCTGSGDVDTSTVVKADADGCIQGASGASLIVNSAWNNPSGEWHVGCKLVFELFTDDLTSRVKKERKKKWDEKNQEAITEAVKQLDEFDKKHAKCEDANLKRVREDLQNKVDLLRKQTDSYDDKGPVIDAVVWHDGEVWRAALDTQSLQDEPECGKLADFLPLTNYRIERKHGVFSKLDACTFVLNVYNEGNILSIVTDSSPHATHVAGIAAAYHPKEPLLNGIAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVDEVVNKHRIIFVSSAGNNGPALTTVGAPGGTTSSIIGIGAYVSPAMAAATHLLVEPPSEGLEYTWSSRGPTADGDVGVSISAAGGAVAPVPTWTLQRRMLMNGTSMSSPSACGGVALLISAMKAEGIPVSPYTVRKALENTASPVGTLPEDKLTAGEGLMQVDKAYEYVQKSQHFPCVRYQVKINQAGKSSKPTPTSRGIYLREINSCNQSTEWTVEVQPKFHEDASNLEELVPFEECIQLHSTGHTVVRSPEYLLLTHNGRSFNVVVDPTSLGDGLHYYEVYGVDCKAPWRGPLFRIPVTITKPKAVKNRPPLISFQGMSFLPGHVERRFIEVPVGATWVEATMRASGFDTARRFFIDTVQLSPLQRPSKWESVATFSSPSAKSFAFPVEGGRTMELAVAQFWSSGIGSHETTIVDFEIGFHGIDTNKEEVVLDGSEAPTRIDAEAPLSTETLAPTAVLNKVRTPYRPIDAKVHALSADRDKLPSGKQILALILTYKFKLEDSAEVKPQIPLLNNRIYDNKFESQFYMISDSNKRVYAMGDVYPSSSKLPKGEYSLQLYLRHDNVQYLEKMKQLVLFIERTLEDKDSIRLSFYAQPDGPVTGGSNFSSSVLIPGVKEAFYLGPPSKDKLPKNCSEGSVLLGTVSYGKLNEGKDSQKNPASYQISYMVPPTKLNDDKEKSSLTSTQTISEKLDAEVRDAKIKVLAGLSQGTDEERSEWRKLSISLKSEYPKYTPLLAKILEGLLSQKNVEDKIQHYEEVTDAADEVVESIDRDELAKYFSLKCDAEDDAAEKMKKKMETTRDQLVEALYQKGLALAEIESLKADTQPVTVSDVESDLFEKNFKELKKWVDVNSSRYGKLSVLRERRLGRLGTALKVLIDMIQEDGDPPKRKLYELKFSLLEQMGWGHLVAYEKEWMLVRFPSSLPLF >itb12g25830.t1 pep chromosome:ASM357664v1:12:26958087:26968061:-1 gene:itb12g25830 transcript:itb12g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLQYKAFVIPPQSQSPFFISPPGRRLIITPKKRSGSSTLQRGLSRRSRAMTCSSIVSSGDDNGALRNFRLNESTFLASQMPKKEIAADRFIEAHPHYDGRGAIIAIFDSGVDPAAAGLQVTSDGKPKVLDVLDCTGSGDVDTSTVVKADADGCIQGASGASLIVNSAWNNPSGEWHVGCKLVFELFTDDLTSRVKKERKKKWDEKNQEAITEAVKQLDEFDKKHAKCEDANLKRVREDLQNKVDLLRKQTDSYDDKGPVIDAVVWHDGEVWRAALDTQSLQDEPECGKLADFLPLTNYRIERKHGVFSKLDACTFVLNVYNEGNILSIVTDSSPHATHVAGIAAAYHPKEPLLNGIAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVDEVVNKHRIIFVSSAGNNGPALTTVGAPGGTTSSIIGIGAYVSPAMAAATHLLVEPPSEGLEYTWSSRGPTADGDVGVSISAAGGAVAPVPTWTLQRRMLMNGTSMSSPSACGGVALLISAMKAEGIPVSPYTVRKALENTASPVGTLPEDKLTAGEGLMQVDKAYEYVQKSQHFPCVRYQVKINQAGKSTPTSRGIYLREINSCNQSTEWTVEVQPKFHEDASNLEELVPFEECIQLHSTGHTVVRSPEYLLLTHNGRSFNVVVDPTSLGDGLHYYEVYGVDCKAPWRGPLFRIPVTITKPKAVKNRPPLISFQGMSFLPGHVERRFIEVPVGATWVEATMRASGFDTARRFFIDTVQLSPLQRPSKWESVATFSSPSAKSFAFPVEGGRTMELAVAQFWSSGIGSHETTIVDFEIGFHGIDTNKEEVVLDGSEAPTRIDAEAPLSTETLAPTAVLNKVRTPYRPIDAKVHALSADRDKLPSGKQILALILTYKFKLEDSAEVKPQIPLLNNRIYDNKFESQFYMISDSNKRVYAMGDVYPSSSKLPKGEYSLQLYLRHDNVQYLEKMKQLVLFIERTLEDKDSIRLSFYAQPDGPVTGGSNFSSSVLIPGVKEAFYLGPPSKDKLPKNCSEGSVLLGTVSYGKLNEGKDSQKNPASYQISYMVPPTKLNDDKEKSSLTSTQTISEKLDAEVRDAKIKVLAGLSQGTDEERSEWRKLSISLKSEYPKYTPLLAKILEGLLSQKNVEDKIQHYEEVTDAADEVVESIDRDELAKYFSLKCDAEDDAAEKMKKKMETTRDQLVEALYQKGLALAEIESLKADTQPVTVSDVESDLFEKNFKELKKWVDVNSSRYGKLSVLRERRLGRLGTALKVLIDMIQEDGDPPKRKLYELKFSLLEQMGWGHLVAYEKEWMLVRFPSSLPLF >itb05g19670.t1 pep chromosome:ASM357664v1:5:26067828:26071176:1 gene:itb05g19670 transcript:itb05g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MASAISTPTSSLWSSASSSTSPQSSSRLTLCTLSNYSISVSRSSRIQLHKWAPHLGITPFSSWEGLKQSGISSPLISIKIERRRKCKGKGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIKELQEVSREFKSTLEREIGLDDIKDLNQSTFRSDKATATASPSPSASSEDSLIKTDPNGSPSPSVESIDDKLKAVEEQSTETVSSVENQPESQTKTEDDSSSPRAYSSEEYLKITEEQLKAAGAQEKSETTYPDEIQSEPQSQSDSLQEAASVSPLPQKPESET >itb09g13330.t1 pep chromosome:ASM357664v1:9:8683943:8687216:1 gene:itb09g13330 transcript:itb09g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELEASKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSIIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb03g06680.t1 pep chromosome:ASM357664v1:3:4851422:4855815:1 gene:itb03g06680 transcript:itb03g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSNPTSPALNAAAAAAGGVSSPKSRRWTQIVGESELVVPVVIPPQCPPSPSTSVAQEHMESVSGWATGNSPLQDTATEAQPESFENSDSGNNAVKKPAWNKPNGSTEAGPVMGAVSWPALSESTKASPKSASYDPLKTPLDGSVPPPQGTGIAPSSSPKPVHTNNTTTPNSTPNHVPARQRSNKRGAGGNSSNTALANGSVSQPQQPQSLVVEMAPNSAGKPGVEPYARDNVHRDGGQRGGFGSQSIGGNENQYTRSSPRKGNGRPRPRGDGSYHHGHGGGRDQERGNQDWNANRSFGNRDAHLQPLRVPSRPFLRVPPPSPPFIPPLMPMRPLGSPIVYPEVPPMYYVPGPHPDSLVMPMLASPPPGPPVFYQFLDPQLLSKIVNQIEYYFSNENLIKDMYLRKEMDDQGWVHVRLIASFKKVAELTDDIQLIMHAIRTSTVVEIQGEKLRPRNDWKKWILPPSVQYSISSPQSVRSPGSDVLSQHFQGVTLDEKNANEHERGNAEAHLNRSSSEKNSQVQS >itb03g06680.t2 pep chromosome:ASM357664v1:3:4851422:4855807:1 gene:itb03g06680 transcript:itb03g06680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSNPTSPALNAAAAAAGGVSSPKSRRWTQIVGESELVVPVVIPPQCPPSPSTSVAQEHMESVSGWATGNSPLQDTATEAQPESFENSDSGNNAVKKPAWNKPNGSTEAGPVMGAVSWPALSESTKASPKSASYDPLKTPLDGSVPPPQGTGIAPSSSPKPVHTNNTTTPNSTPNHVPARQRSNKRGAGGNSSNTALANGSVSQPQQPQSLVVEMAPNSAGKPGVEPYARDNVHRDGGQRGGFGSQSIGGNENQYTRSSPRKGNGRPRPRGDGSYHHGHGGGRDQERGNQDWNANRSFGNRDAHLQPLRVPSRPFLRVPPPSPPFIPPLMPMRPLGSPIVYPEVPPMYYVPGPHPDSLVMPMLASPPPGPPVFYQFLDPQLLSKIVNQIEYYFSNENLIKDMYLRKEMDDQGWVHVRLIASFKKVAELTDDIQLIMHAIRTSTVVEIQGEKLRPRNDWKKWILPPSVQYSISSPQSVRSPGSDVLSQHFQGVTLDEKNANEHERGNAEAHLNRSSSE >itb11g09740.t1 pep chromosome:ASM357664v1:11:6621241:6623525:-1 gene:itb11g09740 transcript:itb11g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQHLARSLRKQENNMKSRGGTNGTLEEGNDTSLKPATQSRPLPLKLLQFLLTFLGLGCVFSVISMYTVEHFKTQQLVTPKGGTWLHTCSREIGGLEDWILPPSNLAHNLNDTELFWRATFVPRITSYPFSRKPKIAFMYLTMGSLPLAPLWERFFNGSEGLYSIYIHSLPSYTPDYKPSSVFYNRQIPSQKVEWGTMNMCDAERRLLANALLDQSNEWFVLLSESCIPLHNFTTVYNYISRSQHSFVGVFDDPGPVGRGRYNGNMAPEITIAQWRKGSQWFEVDRKLAVEIVRDNVYYPKFEQFCRPACYSDEHYIPTMLSIQFPSLLANRPLTWTDWSRGGSHPATFGEADVTKEFFKRFSNESCEYNNHTTNLCSLFARKFAPAALNNLLKTLDF >itb04g18180.t1 pep chromosome:ASM357664v1:4:21562790:21565024:-1 gene:itb04g18180 transcript:itb04g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLGFTQMENNERMENIENIAPITEEVEPQGRTPTAVNMETDQDCTPEVVKVATPSGDTISTPAEQMIGFHGNEQKNLCEPPFGAPTKMGRPQRNEADREDGELDMLCQYLSQIMGIEYEEIPQPYVINQWIAQSVNNDMYEVLFTYQGRVARLFDFTSLLMENEVSVGIITVWACILNHREHHNTNGSARRVFASPTTTLHTVVTPKKSRRQRLDWFCSRLATDFEPSPYKRWSDIDMSQHFYIITFNMLKMKIEIIDNSSKKDTNDNRYLKIPENLIDLFSTHLYDEGEVKKSGDIARVQREQRNIPTKKMVWI >itb09g21840.t1 pep chromosome:ASM357664v1:9:20042209:20047071:-1 gene:itb09g21840 transcript:itb09g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETAKKAFPSITTCDVSAVSHQSIAADLDGTLLIGRSSFPYFMLVAIEAGSLLRGLVLLLSFPLIAIAYIFFSEALAIQMLIYISFAGLKVRDIELASRAVLPRFYAADVRRESFEVFDKCKRKVVVTANPTIMVEPFVKEFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGKWKRLSILKEFGDEKPDIGIGDRESDHDFMSICKEGYMVHPSKSATPVPLDRLKSRLIFHDGRLVQRPDPLNALITYLWLPFGFALAVFRVYFNLPLPERIVRYTYLMLGINLVIKGPRPPPPSSGRQGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSKLSRFLSPIPAVALTRDRQKDADMIKSLLEKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAVNSKQNMFHGTTVRGVKFWDPYFFFMNPRPSYEVTFLEALPEEMTCKAGGKSSIEVANHVQKVLGGVLGFECTQLTRKDKYMMLGGNDGKVESMYGAKKN >itb07g09210.t1 pep chromosome:ASM357664v1:7:8241188:8245485:-1 gene:itb07g09210 transcript:itb07g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMMKMFTFQLNFLKCSTKHGQEVEKSCSNYSCSYKRLSGGRSGGRQNGGGNLNGNQQIATTPITMVLPLVLPKGSAPLASDAKTHSRRNLQNNLPNARMGLNDSLFFERLRSSPPRRTRDPTDGQASPSKQKRKPKVSPLLWPKRTRGSQISLLLLPGEPGSPELVKSPIVVEVGKAELLLLSSPTPAVGGGFAGQLLPSTRKREEERGSHHRRSPPSSPSTKGEGKKMMPMAAGHAPGEREERRRRLLFWRRRPGVRSGWLRVGSGWPGVGSCCRLFLSFDSGWKAFGCYTLSLVSQPVTISRMPPRRGPSDHSKVATGDLLSPFRSHSNQQGNRRSMVVGSSGEDLQRTAADQSSRWCSLRWTVAVNDGVFPPPAR >itb05g12980.t1 pep chromosome:ASM357664v1:5:19817478:19818578:-1 gene:itb05g12980 transcript:itb05g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRFQEAKTGFAMLKSFSTDKLTRRMGIGKEDHYFWKQWTKALLFCYTVGGLAWWYNETSPLGWFTLKIKPKEEREMAHLYERRKFPYPGDEEAMEEFIAKGGMIGTTIGPKGFIEYEKDAYNYQKVLQDEKTEQEALKLWMRMRNEVISELQEKGFDVE >itb13g19880.t1 pep chromosome:ASM357664v1:13:26786056:26789148:-1 gene:itb13g19880 transcript:itb13g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGKWGTIAAVVGIAVALRAVSKQYGWELDKDAAFDWFKQMSDRLGCWAIPAYVGLHTVTLALCLPYAVFFEAGASFLFGFFPAVLCVFAAKLLGASLSFWIGRLLFKSSSSATNWAHSNKYFNVLSRGVEQDGWKFVLLARFSPIPSYIINYALAATRVRFLWDFLLPTAVGCLPMILQNTSIGSLAGAAVASASGSQKSQVWSYIFPVLGIFSSILISLRVKKYSKDIAAADEGVSSEDKDDTKSVQPSKTTASRKTSEVTHRGKR >itb10g05870.t2 pep chromosome:ASM357664v1:10:6406342:6413832:-1 gene:itb10g05870 transcript:itb10g05870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MGSQDMLENGAVENGAGTASLHSGGLEQMIDGGKESMNLSEDILEDLDVYWDDINNRLVVSRMVSDSVIKGMVGAVEQEATEKIRAKEMELAKLKEYLKLPDMTADRVELLGSSTLSNEPESVQHFTFLYGFKEHEKMRDSLIGLRSSVEEQFKKLKKDIYGVRDRNSLRRMGSGSELVGLGGILQEKESGSWVHVDKTLNNLKTTIDSIFAQVGDILQLSKASLVEQEEKDLEGELEKMMMQNLLRSVLEDFENKLWDQNTQFYNSQIEKLTEISSLRADLDDILKSLLSSESGHLISHGSHDILRDHVVSSKSLCEGNEKVEDSRTDVPDNFEAAQLKHLTKDEMVGYFNNMITKMKRDHESIVQAKTEELFTLKAEFLRLRERGSSVPHRKDKELDAVRKKIPDILWKLDGILLGNEKLPAITSKDENLCSLKNRLESLLSENCRLRDSLACKKNEVKCLSSQVSDATEKILKHSLAEEKMLRLVDNLNLVAEDVDIEALIREEVYKCFLKDLSEGSHMQLHMVQEIYDTIFREATVNAESTCMLKIEDSDLESLITQELYAVVCVEAIKDSEKRFNELYGDYLKESEARISLEQQASQRESDFTLKAEENIRLKEELVALKKSLEEKVQIASDVVTALAKERNQFDLVSQELNSLREQVTKQQKLVSENSEELDLVKGQLLEATKQIEVFREESHLLNQKLEQKVEELNKVDDERRMILALSEERRSNLLLLEAKEKDLMKQMDAVNGSINELSTMLADFEFKVTGRISTNELRLEHSQAQMKSLVKKANLLRKRTLVCQQSLEKRCSDLQMAEAEVDLLGDEVDALLGLLEKIYIALDHYSPILKYYPGVIEILKLIRRELGGESTKAV >itb10g05870.t1 pep chromosome:ASM357664v1:10:6406342:6413893:-1 gene:itb10g05870 transcript:itb10g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MGSQDMLENGAVENGAGTASLHSGGLEQMIDGGKESMNLSEDILEDLDVYWDDINNRLVVSRMVSDSVIKGMVGAVEQEATEKIRAKEMELAKLKEYLKLPDMTADRVELLGSSTLSNEPESVQHFTFLYGFKEHEKMRDSLIGLRSSVEEQFKKLKKDIYGVRDRNSLRRMGSGSELVGLGGILQEKESGSWVHVDKTLNNLKTTIDSIFAQVGDILQLSKASLVEQEEKDLEGELEKMMMQNLLRSVLEDFENKLWDQNTQFYNSQIEKLTEISSLRADLDDILKSLLSSESGHLISHGSHDILRDHVVSSKSLCEGNEKVEDSRTDVPDNFEAAQLKHLTKDEMVGYFNNMITKMKRDHESIVQAKTEELFTLKAEFLRLRERGSSVPHRKDKELDAVRKKIPDILWKLDGILLGNEKLPAITSKDENLCSLKNRLESLLSENCRLRDSLACKKNEVKCLSSQVSDATEKILKHSLAEEKMLRLVDNLNLVAEDVDIEALIREEVYKCFLKDLSEGSHMQLHMVQEIYDTIFREATVNAESTCMLKIEDSDLESLITQELYAVVCVEAIKDSEKRFNELYGDYLKESEARISLEQQASQRESDFTLKAEENIRLKEELVALKKSLEEKVQIASDVVTALAKERNQFDLVSQELNSLREQVTKQQKLVSENSEELDLVKGQLLEATKQIEVFREESHLLNQKLEQKVEELNKVDDERRMILALSEERRSNLLLLEAKEKDLMKQMDAVNGSINELSTMLADFEFKVTGRISTNELRLEHSQAQMKSLVKKANLLRKRTLVCQQSLEKRCSDLQMAEAEVDLLGDEVDALLGLLEKIYIALDHYSPILKYYPGVIEILKLIRRELGGESTKAV >itb10g05870.t3 pep chromosome:ASM357664v1:10:6408429:6413893:-1 gene:itb10g05870 transcript:itb10g05870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MGSQDMLENGAVENGAGTASLHSGGLEQMIDGGKESMNLSEDILEDLDVYWDDINNRLVVSRMVSDSVIKGMVGAVEQEATEKIRAKEMELAKLKEYLKLPDMTADRVELLGSSTLSNEPESVQHFTFLYGFKEHEKMRDSLIGLRSSVEEQFKKLKKDIYGVRDRNSLRRMGSGSELVGLGGILQEKESGSWVHVDKTLNNLKTTIDSIFAQVGDILQLSKASLVEQEEKDLEGELEKMMMQNLLRSVLEDFENKLWDQNTQFYNSQIEKLTEISSLRADLDDILKSLLSSESGHLISHGSHDILRDHVVSSKSLCEGNEKVEDSRTDVPDNFEAAQLKHLTKDEMVGYFNNMITKMKRDHESIVQAKTEELFTLKAEFLRLRERGSSVPHRKDKELDAVRKKIPDILWKLDGILLGNEKLPAITSKDENLCSLKNRLESLLSENCRLRDSLACKKNEVKCLSSQVSDATEKILKHSLAEEKMLRLVDNLNLVAEDVDIEALIREEVYKCFLKDLSEGSHMQLHMVQEIYDTIFREATVNAESTCMLKIEDSDLESLITQELYAVVCVEAIKDSEKRFNELYGDYLKESEARISLEQQASQRESDFTLKAEENIRLKEELVALKKSLEEKVQIASDVVTALAKERNQFDLVSQELNSLREQVTKQQKLVSENSEELDLVKGQLLEATKQIEVFREESHLLNQKLEQKVEELNKVDDERRMILALSEERRSNLLLLEAKEKDLMKQMDAVNGSINELSTMLADFEFKVTGRISTNELRLEHSQAQMKSLVKKANLLRKRTLVCQQSLEKRCSDLQMAEAEVDLLGDEVDALLGLLEKIYIALDHYSPILKYYPGVIEILKLIRRELGGESTKAV >itb02g06590.t1 pep chromosome:ASM357664v1:2:4119905:4122919:-1 gene:itb02g06590 transcript:itb02g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFILKALSVLTLAEAIALVALFFDTPIQQWILVALGGFKRGRGPLVVKAVCLSLIAVFLYSFYTSFLIIHRHGVYSDPIPFASRLNLTCHIAQALLAGFSIFASVMINKTYNLIKEHRSLGETIKAEQKHLMDTESCMAMQRCSLLRNVTALTEQMKKLEFNSRVETKRVEVANARALALKNHFDELHLKYDELLEYNQTIQNQLQCINPELQNNKHSDEIRCNMLFDNEAEATNWCRQYLFPDSHSLPSPRHRRSVEGS >itb09g11240.t1 pep chromosome:ASM357664v1:9:7016435:7019755:1 gene:itb09g11240 transcript:itb09g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKNCSRQPEVLWAQRSDKVYLTVSLPDAKDISVTPEAAGLFAFSAVGAQGESFSFSLHLYGNIVPQACKTNIGLRNILCSIQKEQKSWWPRLLKSEEKPAPYIKVDWNKWCDEDDEESSDVALDDDFAYAGGEDDESSDDGGMLYLPDLEKARGN >itb12g13370.t1 pep chromosome:ASM357664v1:12:12185497:12188887:-1 gene:itb12g13370 transcript:itb12g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIGICCCVVVLLMISSGLSVEGLGVNWGTMASHKLPPKTVVQMLKDNGIQKVKLFDADQSSMSALAGTDIEVMVAIPNDQLLAMNDYDRAKDWVKRNVTRYNFKGGVNIKYVAVGNEPFLTSYNNSFINTTFPALQNIQNALNDAGVGDNVKATVPLNADVYNSPEDNAVPSAGRFRQDISEQMNQIVGFLHQNNAPFTVNIYPFLSLYANEHFPVDFAFFDGAANPIVDNGIQYTNVFDANYDTLVSALKAAGYGDMPVLVGEVGWPTEGDRNANVNLAYRFYKGLLPRLAANKGTPLRPGYMEVYLFGLIDEDIKSIAPGNFERHWGIFRYDGQPKFPMDLTGQGQDKLLAGAQDVKYLPNKWCRLRPDAKDLSKLADNINYACTFSDCTALGYGSSCNGLDANGNASYAFNMYFQVQNQDEFSCNFQGLAMVTDVNISQANCNFSIQIATSFSPELLPGILVLVAGFAVLLLLSSIQLSL >itb15g04830.t1 pep chromosome:ASM357664v1:15:3129802:3136334:1 gene:itb15g04830 transcript:itb15g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRVKVARHLEPWRDLTGKVVMVTGASSGLGREFSLDLAKAGCRVIAAARRVDRLKSLCDEINGVGSGDSSTVPPPRAVAVVLDISAGGSAVEAAVQKAWAAFGRIDALINNAGIRGSRQSPLDLTEEEWNETIRTNLTGTWLVTKYVCLLMRNARLGGSVINISSINGLNRVQFMGALAYSSSKSALNTFTKAMALELGAYGIRVNSISPGIFKSEITMNLLQKHWIKKHLPRLHSPVPYKYLCVSLSAQSKMESRLKVARHLEPWRDLTGKVAMVTGASSGIGREISLDLAKAGCRVIAAARRVDRLKSLCDEINGAGSGTPLRAVAIELDVSADGPAIEAAVQRAWDAFGRIDALINNAGVRGRVHTPLDLTEEEWNDITKTNLTGAWLVSKYVCLRMCNVKLGGCIVNISSLAGLSRGQALGTLAYVTSKTALNAVTRVMALELGANKIRVNSISPGLFKSEITQGLMQKDWLNYVALRIVPLRTFGTSNPALTYVT >itb01g14860.t1 pep chromosome:ASM357664v1:1:16975630:16976182:-1 gene:itb01g14860 transcript:itb01g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNYTQDSYGSSSNHATFQHGEVISNMHCHCGESLNLRTSWTNDNPGRRYWNCGPNKGRGGGRCGFVRWYDPPMCSRSKTIIPGLLRRINWNEEEIQNLKSRLRATEEGDRLCRESKWKCSFRLVMICIVGFAILVMVLCNSNVNSVGHGKLMVPLAL >itb07g07980.t2 pep chromosome:ASM357664v1:7:6250588:6253562:1 gene:itb07g07980 transcript:itb07g07980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKTTLTNMVFNDRELHYDSFTRLWIHVSRNMNRRRIFMDIISKFTKETSAYKDMSEEQLAQRIKEFLRDGMYFIVVDDVWKKTDWDYLKIAFPNNQKGSRILVTTRNLEVANHVDSKNAPHELKFLEHEESWVLLQKKVFRTETCPQHLISTGRSIAKKCNGLPLAVVVIAGVLDKNSTAAKWKEVAEDPFPLINRENQSYNELVSLSYNQLPNHTKECFLYLAAFPMGHEIPVCKLICLWIAEGFIPSSKNMEGTAETYLQDFVNRNLLMVVKKRADGEIKSCRLHDTLHEFCKSEATKNNLFHEIDGAKIDGNENYRRLCIRTNLQSFIDSESKPSGEHIRSLLTSTKYEVPKQHLATIPKSYPLLKVFDVEDLKFDILPKEFYQLYHLRYLAVTTDLKIFPKPFTNLWNTQTLVFNTSQSSVEVKAEIWKLTKLRHLLANASFEFPTPENCRDKCEDLQTLSSISPKSCTEAIFDKMPNLLKLGVRGNLEELLEKKGGICLFDNIGNQLHKLQNLKLVHETANGQGSILRNFPRADKLPSNLRKLTLSNTSFEWNDICILGSLDGLEVLKLEEFAVKGDSWELNNTVFKSLQFLRIGRTDLAYWTCKETSFPALKKLYLLHCTNLNAVPLALKDVKGLKFMELFCTNKNAATSARNIQNLKPPEHGLLELSVYPPNHSGQH >itb07g07980.t1 pep chromosome:ASM357664v1:7:6248148:6253576:1 gene:itb07g07980 transcript:itb07g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVDLLISKVAQTVEENASLILGIKDQVEDLLSELRFFKAYLTEASKNESCNANAVLRDVVQKIQKVVTDAEDAIDKYLVERRNHRARPVLKRWAEKVIYYTKVNDAAKEIESIKKRAERIRLDHAHSLKRLQDGSPNNPQPAVLQAPVVEEDEVIGFDEEAKTIKNRLTEKLKDTAVISIVGMAGLGKTTLTNMVFNDRELHYDSFTRLWIHVSRNMNRRRIFMDIISKFTKETSAYKDMSEEQLAQRIKEFLRDGMYFIVVDDVWKKTDWDYLKIAFPNNQKGSRILVTTRNLEVANHVDSKNAPHELKFLEHEESWVLLQKKVFRTETCPQHLISTGRSIAKKCNGLPLAVVVIAGVLDKNSTAAKWKEVAEDPFPLINRENQSYNELVSLSYNQLPNHTKECFLYLAAFPMGHEIPVCKLICLWIAEGFIPSSKNMEGTAETYLQDFVNRNLLMVVKKRADGEIKSCRLHDTLHEFCKSEATKNNLFHEIDGAKIDGNENYRRLCIRTNLQSFIDSESKPSGEHIRSLLTSTKYEVPKQHLATIPKSYPLLKVFDVEDLKFDILPKEFYQLYHLRYLAVTTDLKIFPKPFTNLWNTQTLVFNTSQSSVEVKAEIWKLTKLRHLLANASFEFPTPENCRDKCEDLQTLSSISPKSCTEAIFDKMPNLLKLGVRGNLEELLEKKGGICLFDNIGNQLHKLQNLKLVHETANGQGSILRNFPRADKLPSNLRKLTLSNTSFEWNDICILGSLDGLEVLKLEEFAVKGDSWELNNTVFKSLQFLRIGRTDLAYWTCKETSFPALKKLYLLHCTNLNAVPLALKDVKGLKFMELFCTNKNAATSARNIQNLKPPEHGLLELSVYPPNHSGQH >itb12g03270.t1 pep chromosome:ASM357664v1:12:2146467:2149406:-1 gene:itb12g03270 transcript:itb12g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLGLLALLAVLFVQQSCLSGSVKAAGNGFIKTRGIHFMINGYTFYANGFNAYWLMYVASDPSQRYKVSDAFRGASSHGLTVARTWAFSDGGYRALQYAPGSYNEDVFKGLDFVIAEARKYGLKLILSLANNYESFGGKKQYVDWARGQGQYLTSDDDFFRNSVVKGFYKNHVKTVLNRRNTFTGVVYKNDPTIMAWELMNEPRCTSDPSGKTIQSWIMEMAYYVKSLDSNHLLEAGLEGFYGATSPQRTHLNAFNIGTDFIANNQIPAIDYATTHSYPDQWMSSSDDQTQLAFLTNWLNAHIQDSQYILKKPLLIAEFGKSWKDPGFNPNQRDALYRTVYYTIYSSAKRGGAAAGGLFWQLLTDGMDNFRDGYEILLSQSTSTANLISQQCHKLSQIRRIFARMKNLGRLRRAKATGKNHWMGRNRGKQIGN >itb14g05120.t1 pep chromosome:ASM357664v1:14:4532692:4534820:1 gene:itb14g05120 transcript:itb14g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKQIYEDPSIFLHFDVRAWVVVSQLHNKRQMLVSLLNSISKQDNIENSTNDDLALKLYQSLRHQRYMIVVDDVWSGDAWDDVSNCFPDDGNGSRVLLTTRLAEVANYTSSDNDFSHHMQLLDQTDSWNLFCENASKSRDAKFEIIGRPIVEKCKGLPLAIFVVAGLFSKLNTLNEWENIAKALESSTTTTIAATCSKVLSLSYNHLPHHLKACFLYLGIFPEDYEINANELSRLWSAEGLAKASENENFDVVAERYIQELMDRNLILVSKWSCCGRKIKVFGVHDLLHAFCVKEAEKENLLHVVRENGSDFRQRCFRWINSHIVFLKRLKRLTFEGNCFEWNKINVLCKLPRLEVLKLSGAFVSELWELAEDDKFCQLIVLKIGPTDLKDWKATGDHFPKLEHLSLFSCKKLNKIPSGFAEIEGLKSIQFTCCRPSVVASAEEIKQDQLDYLNNIVDVVVAEQHDYSSVSEPESDEYESDEA >itb13g25410.t1 pep chromosome:ASM357664v1:13:30795489:30797040:-1 gene:itb13g25410 transcript:itb13g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSDSNTSNSSFLHQFLQESCYPEELRDSIISLPKEEGWLTPHMYNYRGFWFDSKFLYGALRSQQQFQAQHSDIILSTLPKCGTTWLKALVFALTTRKQFPVSQQTHPLLTTSPQDLILNLEFFYARENSPPNFPTMNNNGVMRLISTHLPLELLPKSVGESKCKLIYVCRNPKDTFVSFWHFMNKMRGELVGLGEIPFPEAFDKYCRGASHYGPFWDHMLGYWMESLENPSKVLFLKYEEMKKEPDAHLRRMAAFLECPFSEEEEEGGVVGGISRLCSFESLSNLEVNKTGKGLLFGNSNNAYFRKGKVGDWRNHLTDEMATKLDQIVEEKFKGTGLKFNSDY >itb08g01340.t1 pep chromosome:ASM357664v1:8:991947:993750:1 gene:itb08g01340 transcript:itb08g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGISSTLHIFQARRANFKCTGGSPLNPSARTANNAESLKRFSSDGDSTRNHLSNLEKLLQSKEPEAKPPEVGETGDGVNGNEGRGLLEGLNLARIWPEMKAAEEMSPRHLNRLQRLLSKSQMEYSPRNVLGRRWREYHGGDDWAGMLDPLDDNLRRELVRYGEFIQAAYHCFHSNPATSPEEGPAHPRSVALPDRSYKVTKSLYATSSVGLPKWVDDVAPDLGWMTQRSSWIGYVAVCDNQREIQRMGRRDIVIALRGTATCLEWAENVRDFLVQMPGQHDPTQGQPKVECGFSNLYHTSGAHVPSLAKSVADEVKRLMEQYKGEELSITVTGHSLGAALALLVADDLSTIAPNAPPVAVFSFGSPRVGNRAFANRLNSKNVKVLRIVNTQDVITRVPGMFVSESLDKKLRESGATSGLLNMLDNNMPWAYSHVGTELRVDTRMSPFLKPDADVACCHDLEAYLHLVDGFLASNCPFRSNAKRSLVRLVNEQRSNIKKLYTSKSNKQSSLSLETAHLSHFNTPALPSPS >itb02g06040.t1 pep chromosome:ASM357664v1:2:3746774:3747631:1 gene:itb02g06040 transcript:itb02g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSTDHTVMGGSSIVLLQERFRQLQRAREQREMRELKLFFDPPPHQLMAPDLQQKMGFSSEAVDQEALSLGLTLCNNKPESRAFNEQHQPPLWSANPNRTTLNTLHKIENYDVDTSLHL >itb07g05400.t1 pep chromosome:ASM357664v1:7:3711395:3715558:1 gene:itb07g05400 transcript:itb07g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGPSNPFPSVFTCQPRTHLVTFSTGPVPASVPGRSPLVHQANPLDTEVSQRRMNITKMKDEEARERKEEINRKIASQKAISIILRREATKAVIEKKKKGNSKKLLPRTVLEALHERITALRWESALKVFELLREQLWYRPNAGLYIKLIVMLGKCKQPEKARSLFQMMIDEGCVANQESYTALLSAYSRSGLFDEAFSLLEQMKNTPNCQPDVFTYTILIKSCLQVYDFDKVRDLLSEMERQRIKPNTVTYNTLIDSYGKAKRFTDMESILVEMLRRRDCSPDVWTMNSALRAFGGSGQIEMMEKCYEKFQSAGIEPSIKTFNILLDSYAKTGNYEKMSAVMQYMQKYHFSWTIVTYNIVIDAFGRAGDLKQTEFLFRLMQSERIKPDRVTLCSLVRAYGQAGKAEKLGGILRFADNSDITLDTVFFNCLVDAYGMMGCFAEMKGVLEMMERKGCKPDKVTYRTMIKAYSIGGMSSQAKELENLLASLEKSQQGRKRFKV >itb07g05400.t2 pep chromosome:ASM357664v1:7:3711395:3715512:1 gene:itb07g05400 transcript:itb07g05400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGPSNPFPSVFTCQPRTHLVTFSTGPVPASVPGRSPLVHQANPLDTEVSQRRMNITKMKDEEARERKEEINRKIASQKAISIILRREATKAVIEKKKKGNSKKLLPRTVLEALHERITALRWESALKVFELLREQLWYRPNAGLYIKLIVMLGKCKQPEKARSLFQMMIDEGCVANQESYTALLSAYSRSGLFDEAFSLLEQMKNTPNCQPDVFTYTILIKSCLQVYDFDKVRDLLSEMERQRIKPNTVTYNTLIDSYGKAKRFTDMESILVEMLRRRDCSPDVWTMNSALRAFGGSGQIEMMEKCYEKFQSAGIEPSIKTFNILLDSYAKTGNYEKMSAVMQYMQKYHFSWTIVTYNIVIDAFGRAGDLKQTEFLFRLMQSERIKPDRVTLCSLVRAYGQAGKAEKLGGILRFADNSDITLDTVFFNCLVDAYGMMGCFAEMKGVLEMMERKGCKPDKVTYRTMIKAYSIGGMSSQAKELENLLASLEKSQQGRKRFKV >itb13g03780.t1 pep chromosome:ASM357664v1:13:3756002:3756997:1 gene:itb13g03780 transcript:itb13g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKQAIKKMKDNNPKPFFIPRGRCFLFSLLISIPFLLLFSYPTSFSVRSEEGLKIRPGYESYESYIQRQLNKTLNPKLRKIWTTRDWARKIQVFSAFFGDLKGAALLSNSSKALCIGARMGQEVEALRRVGVSDSIGMDLVPSPPLVVRGDFHRQPFAGDTFDFEFSNVFDHALYPDKFVGEIERTLKPGGVCVLHVSVTKQTDKFSANDLYSIEPLKKLFKQSDLVRTRTIDGFGLDTEVVFRKKSQR >itb02g13200.t5 pep chromosome:ASM357664v1:2:9237296:9241349:-1 gene:itb02g13200 transcript:itb02g13200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKCKENPDTSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDVVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLKGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFSHINRIR >itb02g13200.t3 pep chromosome:ASM357664v1:2:9237296:9242508:-1 gene:itb02g13200 transcript:itb02g13200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKCKENPDTSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDVVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLKGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFSHINRIR >itb02g13200.t4 pep chromosome:ASM357664v1:2:9237296:9242508:-1 gene:itb02g13200 transcript:itb02g13200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKCKENPDTSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDVVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLKGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFSHINRIR >itb02g13200.t2 pep chromosome:ASM357664v1:2:9237296:9242508:-1 gene:itb02g13200 transcript:itb02g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKCKENPDTSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDVVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLKGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFSHINRIR >itb02g13200.t6 pep chromosome:ASM357664v1:2:9237296:9241349:-1 gene:itb02g13200 transcript:itb02g13200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKCKENPDTSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDVVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLKGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFSHINRIR >itb02g13200.t1 pep chromosome:ASM357664v1:2:9237255:9242255:-1 gene:itb02g13200 transcript:itb02g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKCKENPDTSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDVVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLKGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFSHINRIR >itb03g30380.t1 pep chromosome:ASM357664v1:3:32539056:32540827:1 gene:itb03g30380 transcript:itb03g30380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLRMVVSKGKLPEVESRKLFQQLIDGASYCHSKGVFHRDRKLENVLVDENGIIKVTDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDTWSIGVILYVILTGYLPFDDRNLAVLCQKPLNGERDPESPPTLINAF >itb08g01230.t5 pep chromosome:ASM357664v1:8:903796:916239:-1 gene:itb08g01230 transcript:itb08g01230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t9 pep chromosome:ASM357664v1:8:903827:916280:-1 gene:itb08g01230 transcript:itb08g01230.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEVGNKGAVQSLPPPQNTDGGGKVQSMCVNSAVDLSTKETHIDMQLFPCSTTDTKVVQENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t1 pep chromosome:ASM357664v1:8:903773:916280:-1 gene:itb08g01230 transcript:itb08g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEVGNKGAVQSLPPPQNTDGGGKVQSMCVNSAVDLSTKETHIDMQLFPCSTTDTKVVQENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t4 pep chromosome:ASM357664v1:8:903827:916280:-1 gene:itb08g01230 transcript:itb08g01230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t2 pep chromosome:ASM357664v1:8:903827:916280:-1 gene:itb08g01230 transcript:itb08g01230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t8 pep chromosome:ASM357664v1:8:903796:916239:-1 gene:itb08g01230 transcript:itb08g01230.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEVGNKGAVQSLPPPQNTDGGGKVQSMCVNSAVDLSTKETHIDMQLFPCSTTDTKVVQENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t7 pep chromosome:ASM357664v1:8:903796:916239:-1 gene:itb08g01230 transcript:itb08g01230.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEVGNKGAVQSLPPPQNTDGGGKVQSMCVNSAVDLSTKETHIDMQLFPCSTTDTKVVQENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t6 pep chromosome:ASM357664v1:8:903796:916239:-1 gene:itb08g01230 transcript:itb08g01230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb08g01230.t3 pep chromosome:ASM357664v1:8:903827:916280:-1 gene:itb08g01230 transcript:itb08g01230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMDYNDNDYQSKNLHLAGEDSSKVSSVLRPFALPRFDFDDSLQGHLRFDSLVENEVFLGIPCQEDNQWIEDFSRGSSGIEFSSSATESCSIPGRNNVWSEATSSESVEMLLKSVGQEHNLPEEVIVQESDGGNMLGNVTHETEPRLQEDDKMDGVEDPIIGVQADQFLGKFSRSSETTEGEIDRLKCTLQVTGTSSGNVANSASAETTTDKSLQTEMENSDLNQAEVNASHNETLVNQNGGDPCVSGIQVQIKDCSSYNDTIILGNPDVHDKINDIHQTTSGLPDDFGKGGEDNITVNKDISMDDKKLSGIGFESDNLVSKDSICNVTSEAEDVKELGVRNTFNNLEESSTLLGNEECNFLTAEGCKKNAPSTGSPHVSKSHVVVSSKVMESEHQPEGDSMQHEEQSVSFKSTCASEGHGKEVRETDDQGSNLELVEGFSTQTPYEQSSFTEQEHNTEKSNLDSDAINESCLDQGDDLSNPRDASASSEIHEETPVGEDLAGGNDELRECEVVLGDGNHVSSHVLDEPEKPCREDVSSKQPINTCNNSQNASSVERGETVSSVVASDVETNKSLDNHMRAGSSLVEQCREHAESVHGSEFAISMVNKQASDTEVEDANRSSHDTVNSVPLSERSDTQMESQLGPVSDVEKDTFVATPLTDHSITMMEASDQANVAGEIHEDCSLVREIGGQQHDSVAEEGTNDEKVTIGKQTDNEGKKSSKAGEEENSEEQGAPDLSSAVDGTATQCLQTESKKNEVGNKGAVQSLPPPQNTDGGGKVQSMCVNSAVDLSTKETHIDMQLFPCSTTDTKVVQENPQPIDKATPPCSTKGGSENKTRRRSGKSGRENSRKGKVKGTPVKVSDRVDNSCMHLGPSGAGQLVQFDVGNVQRSGTKMGNIVSNSTSNLLDLNSSTPPASFQQPFTDLQQVQLRAQIFVYGSLIQGAAPDEACMISAFGTSDGVRSIWEPAWRSCVERLHGQKSLIISAEVPGIQSGSGLKTPDQPSKPDLHQSKVISSSAARPSSKGTSIPVITPMMPLSSPLWNMATPSCDGLPPSSTAKGAVMGYQAVSTLHPYQTPPMRNFIGQTTSWLSQTPLTASWVNPQTSAFDISTRIPVFPITEPVNLTPVKEPTGVVSTGAKYAPPSPVAPRMLSGVLAETSLIDGEKGTAPTEFTSLTKTRKRKKTSSTEGPGQISLPTAPAEPVSAPTNNCHLSKKAPSSEDIGHVSMVARSQTETLSTPIVSSHLSTSVAVITPNIVSKCKSNTVALSIPVDHCKRVDDNLEKRKLTSLDMNKIEEAKIQAEEAAKHAAAALGHCQGVWSQLDKQKNSGLMSDIETKLASAAATIAAAASVAKAAAAAAKIASSAASQARQMADEALVSSTNAPLESRTDSLPEFVRNLGSATPASILKGGDEPNGSGSIIFAAREAARRRVEAASAAARHAENLVAIVKAAELASEAVSHAGKVVTMGDPLTLSELVEAGPDGYWKVSEAHCELGVKSNDVNVGRSDFNSVENASDVCLEKSEDPSKKALHALTMGPSPLPEGISVNTIEGSVREEEGISSSIACAEKDKRGSKGPSPNTSELTKTPDVSTNPEMDSRSTSFQEVNGNNASSTEENNIKEGCHVEVFRDSGDFNDAWFLAKVLSLKDGNAFVCYTTLQSDKGSAQLKEWIPLGIDGDGVPRIRAAHPMTLLISSDGARKRRREAVKEYSWSVGDQVDAWIHDCWREGVIIEKNKKDETTFTVNFPARGDNAVVRVWHLRPRLVWRDGEWIEWFPSKEQSSFQGDTPKEKRMKLGNPASEAREKAKVKTNINMPESGTNEETKLLPLAADEKIFNVGSKMDENKPNTLRTMRSGLQKEGPKVVFGVPKPGKKRKFMEVSKHYDSNRGIKTSSANESAKFAKYGMPTGSGVGGCKNTSRTDPKEKLMADSRSKVLKSRKPPTSSKILKDNFLKSTSTTSGDATTSTDHVAKDVITHEKSESGQSDSVKFGPNADGVAEDSIHFSSEALPAEPTKKASKLKNKSEQLNKINRAATSGKSIKDEVNDKSNSEISEPRRSNRRIQPTSRLLEGLQSSLIISKFPTVSHDRSHKSHNRGTSKGNSSHG >itb14g02320.t1 pep chromosome:ASM357664v1:14:1929895:1931906:-1 gene:itb14g02320 transcript:itb14g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSPFSLLLLARVSASIVALLVLTWAVHFQTSFIPHSPSQDDLIYAVLHPLLMVIGFILVSGEAILVHRWLPGSRNMKKSVHLCLQGVALGCGVFGIWTKFHSREGVVANFYSLHSWMGLVCISLFGAQWMVGFLSFWHRGEVRTTRIQVLPWHVFLGLYTYGLAVVTAETGLLEKLTFLQTNGVLLKRCTETMVVNGLGLGLALLSGIVIFAAISPKHQISHPKIVYSNKWSSS >itb14g02320.t2 pep chromosome:ASM357664v1:14:1929895:1931906:-1 gene:itb14g02320 transcript:itb14g02320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGFILVSGEAILVHRWLPGSRNMKKSVHLCLQGVALGCGVFGIWTKFHSREGVVANFYSLHSWMGLVCISLFGAQWMVGFLSFWHRGEVRTTRIQVLPWHVFLGLYTYGLAVVTAETGLLEKLTFLQTNGVLLKRCTETMVVNGLGLGLALLSGIVIFAAISPKHQISHPKIVYSNKWSSS >itb14g02320.t3 pep chromosome:ASM357664v1:14:1929895:1931283:-1 gene:itb14g02320 transcript:itb14g02320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVHLCLQGVALGCGVFGIWTKFHSREGVVANFYSLHSWMGLVCISLFGAQWMVGFLSFWHRGEVRTTRIQVLPWHVFLGLYTYGLAVVTAETGLLEKLTFLQTNGVLLKRCTETMVVNGLGLGLALLSGIVIFAAISPKHQISHPKIVYSNKWSSS >itb05g16580.t1 pep chromosome:ASM357664v1:5:23753536:23757492:-1 gene:itb05g16580 transcript:itb05g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELASQKVQEWGEAYMDYNSLKGVLKDISRFRRRNAAAQSSVPAAATATRKNSLKRRLSMYRAFSGLTNRLSSPRGGTPRSDHEDEVILVNAVEQEGIGGEQYQTMFLMSSEAGGEYELVFFRRLDDEFNKVVRFYREKVEEVRKEADELSKQMDALIALRIVVEKPPVDINADQTRDSAAIEANKSHMDAIQEIEMSGEEVGEDDGGTSAGTATMADQNTRIIEEKTNNFTDFRPAPLHVLYDVRVNIQPETPISTLKNILKASNSELKYSRAELRKAEERLRKAFMEFYRKLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEVSRLMERTEATFIKHFANGNRRKGMSVLRPHVKREKHRITFFLGLFSGCSIALIVAIIVSIRARNLLQHQGSNQFMENIFPLYSLFGFIVLHMLMYAGDIYFWRRYRINYPFIFGFKQGTELGYREVLLLASGIFVLALAAVLSHLDMEMDPVTRTYKVLTESVPLILISVLLIITFCPLNIVYRSSRFFLIRCAWHCICAPLFKVTLPDFFLADQLTSQVQAIRSFEFYICYYGWGNFRQRLNKCQDSDVYQIFYIIVAAIPFVVRLLQCLRRLFEEKDNSQGLNGLKYFTTVAALVLRTLYELKKGTTLKVLAAVFSGITTITNTYWDIVRDWGLLQRNSKNPWLRDKLLVPNKAVYFVAIRNSKNPWLRDKLLVPNKAVYFVAIVVNIILRLVWMQMILGFKVPFLHRKAMTAVVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNHEVDRSM >itb12g07210.t1 pep chromosome:ASM357664v1:12:5388095:5388901:1 gene:itb12g07210 transcript:itb12g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSSAQQLTHNPPKLNMEATKQQGGHGRDRDHDLHVQAVWFAAGTAALMACLERAVVVSFVEQWRVLVFLALNLLLLAILFTSTRPNSNSPPQTLNNTPISSTISEEANEAAIEKESEPEPEPEEDADPDPIDDSKSADMSKEELNERAEAFIAMFRQHLASDASAFNRTSKTLLSAHIKLSDR >itb07g04450.t1 pep chromosome:ASM357664v1:7:2982419:2985123:1 gene:itb07g04450 transcript:itb07g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVGVVLAAAMALSFLQICGSQKIGYSPLGLSTGNSSHIPAIFNFGDSNSDTGSWSAAFGPFLPPNGITFFGKPSGRASDGRLIIDFLAEKLGLPYLSAFLNSIGSNYRQGANFAVSGATIQQSNGKMYDADFNPLSLAIQLSQFKQLKARTAEFYEQAKDEDGKCNVLPEEDEFSRGLYTMDMGQNDLHYFLISMTEEQAKESISSVIDQFAMVLEKLHQLDAGAFWIHNTGPIGCLPFFAVDDPEKPETTDQNGCVEAYNEVAQEFNTQLKERVYKLRDQLQSSRITYVDIYSAKYDLISNAAAYGFSNPLGYCCGQSHDLPCWQDVTVNGTDVYASSCNNPSEYISWDGIHYSEAANRWVADRIADGLYSDPPQTRVTEAHPNRRYMSVTST >itb15g21490.t1 pep chromosome:ASM357664v1:15:24175906:24182256:1 gene:itb15g21490 transcript:itb15g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFRSLEAKVVELGVKMDSQVVELGGKLEAQVVELGDKIKSEMSAQFGAWKSEITELLRLNRSPPPVIDLPNQGQGSQVPGRRSGKEPDLGDEDLEQNNPAFDDLYWAPRRANIMSSSPRFVTPVSLSLPSKVDLQRNAELEKFLRDSGVSGKDEEAAIREMLSKLDQIVKCWVKQLALQRGYTDANSIIFTCGSYRLGVHVPGTPIDTLCIGPFYVNREEDFFITLRDVLARTKEVTEIRCLREAHAPVMKFKFQGISINLLYASISLAVVPESLDISDRCMLYNIDETTLQSLNGRRVADELMKLVPNVEYFQMTLRTLKYWAKVRGVYSNVTGFLGGTSWAILVARICRFYPNFIPSMLVSKFFRVYRLWRWPKPVMLCPIEEDSELSFLPWDPRKNPEERTHKMPIITPAYPCMNSSSHVSASTLAVLSSQFKFGRKICEDIELSAARWDALFEPYRFFEAFTHFFQVDIFAADDNDLLSWKEWVEPKFVEFTLKIESDSHGMVHSHPNPSAFVDSSKPQPHSVFFIGLTWSKGAPKGQKEFHMGGTVDWFKLEAITYTYRKPGMEISVTHIHRMQLPAFCHS >itb15g21490.t2 pep chromosome:ASM357664v1:15:24175906:24182256:1 gene:itb15g21490 transcript:itb15g21490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLDQCWVKQLALQRGYTDANSIIFTCGSYRLGVHVPGTPIDTLCIGPFYVNREEDFFITLRDVLARTKEVTEIRCLREAHAPVMKFKFQGISINLLYASISLAVVPESLDISDRCMLYNIDETTLQSLNGRRVADELMKLVPNVEYFQMTLRTLKYWAKVRGVYSNVTGFLGGTSWAILVARICRFYPNFIPSMLVSKFFRVYRLWRWPKPVMLCPIEEDSELSFLPWDPRKNPEERTHKMPIITPAYPCMNSSSHVSASTLAVLSSQFKFGRKICEDIELSAARWDALFEPYRFFEAFTHFFQVDIFAADDNDLLSWKEWVEPKFVEFTLKIESDSHGMVHSHPNPSAFVDSSKPQPHSVFFIGLTWSKGAPKGQKEFHMGGTVDWFKLEAITYTYRKPGMEISVTHIHRMQLPAFCHS >itb06g26190.t1 pep chromosome:ASM357664v1:6:27631314:27631814:1 gene:itb06g26190 transcript:itb06g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREVSYNNKDAEARSESPEVVLFQRRCCFCFPCFGSANRGGLRWWHKQNQHQLGGEVEERSLLSRGISALKKLREWSEIVAGPRWKTFIRRFNRSKSGSGSGSGGRQGKFQYDPLDYSLNFDQGPGNLEEETEYAYRNFSVRYASIPASAKASMDLGKDGPSFV >itb14g04180.t1 pep chromosome:ASM357664v1:14:3730230:3733064:1 gene:itb14g04180 transcript:itb14g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLRSRKVSFYGSLLLVGVVGLLVVGALNMEETMTKAHHHHHQRSREREGGSMRHMSMQKDDDDEKKLASVHNTDLNFMSKRKVPNGPDPIHNRAGNSRRSPGRVYRGELDKP >itb08g13910.t1 pep chromosome:ASM357664v1:8:15346426:15347714:-1 gene:itb08g13910 transcript:itb08g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTYGFPSTSDYADKALMSPDNNLMMMMDYPYAAYNYNYNYPAADTIPLCGASTEVAAADNEDEDVSSVMIKAKIASHPCYPKLLHAYIDCQKVGAPPEIATVLDEIRREDDDLRKRRGGGGGAVSSCLGADPELDEFMETYYDMLMKYKSDLSRPFHEATTFLNTIETQLSNLCKGLSLSPSLSLSTQSFLAS >itb03g17810.t2 pep chromosome:ASM357664v1:3:16250098:16253987:-1 gene:itb03g17810 transcript:itb03g17810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSAAEDLGAPPESWEVADLDASVRRLMLSSSNDSSAQCDLADSSSASAPNSESASSSSALASVVPEDVVNSVDQFLREALQNPRERLSVLRMEQDVEKFIKDPTQQQMEFQQLPTSYLRLAAHRVAQHYSLQSTVLVDSGVPDGSASRIIVRKTSECRLPSIRLADIPVTFPQDDAGAIKVAIKQRPQKNSQAAGSSGSHSQKNRTPKSVEERKEEYNRARARIFNSNNLGRDANEKPENETRRLDTFQHISLGMSGIEDKTNLTGGSDVNIGRGSLDLSLGSGRSVRGRSDKEPISRTKTGNRVAIFRDREIDLKDPDYDRSYDRYIQRFDPGFGFSGGPYGIQPMYAPAVNYNTEFPQLGSAHRPVISTEHPSHQLPQHLPGPWATPSTPGIGYVPTETMIPPFGPNHVVMHSNSALYLHSAQYPRQHPGMPFIHHHEQVQGHPSFSQSHQQLLERSFGLARPQ >itb03g17810.t1 pep chromosome:ASM357664v1:3:16250098:16254060:-1 gene:itb03g17810 transcript:itb03g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSAAEDLGAPPESWEVADLDASVRRLMLSSSNDSSAQCDLADSSSASAPNSESASSSSALASVVPEDVVNSVDQFLREALQNPRERLSVLRMEQDVEKFIKDPTQQQMEFQQLPTSYLRLAAHRVAQHYSLQSTVLVDSGVPDGSASRIIVRKTSECRLPSIRLADIPVTFPQDDAGAIKVAIKQRPQKNSQAAGSSGSHSQKNRTPKSVEERKEEYNRARARIFNSNNLGRDANEKPENETRRLDTFQHISLGMSGIEDKTNLTGGSDVNIGRGSLDLSLGSGRSVRGRSDKEPISRTKTGNRVAIFRDREIDLKDPDYDRSYDRYIQRFDPGFGFSGGPYGIQPMYAPAVNYNTEFPQLGSAHRPVISTEHPSHQLPQHLPGPWATPSTPGIGYVPTETMIPPFGPNHVVMHSNSALYLHSAQYPRQHPGMPFIHHHEQVQGHPSFSQSHQQLLERSFGLARPQ >itb02g09420.t2 pep chromosome:ASM357664v1:2:6016367:6019929:1 gene:itb02g09420 transcript:itb02g09420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MGFSRGVKRPIEILYKRLKGPLQFGHEYSTLTIRGNITNKIGGSTNLYTSRYASGSFEFGFPARRYSTDVSALDQMGLIKLLRERTSAPIKEVKAALVGSNWDIEVAQKELRKRGMVLASKKSSRTAAEGFLALAQNERKAALIELNCETDFVARNEIFQYLALSLAKSALCVEGSQLASGTLPFGPEYLEDVKLSLDHPKLNGEKTVQNAITELAAMMGENVKLRRGFAIAHPSQGVMSTYLHTSPQQGIGRIAGILSLEVEDANTPLDAVQRIGSELAMHVVAAKPLFLTKEDVSSDALDNEREILKSQAESTAKSAMAIEKMVEGRLRKYYEDVVFLEQKFVVNDKLNVKTLLSNLSKEVGSPVKIGSFLRVEVGEGLQG >itb02g09420.t1 pep chromosome:ASM357664v1:2:6016367:6021030:1 gene:itb02g09420 transcript:itb02g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MGFSRGVKRPIEILYKRLKGPLQFGHEYSTLTIRGNITNKIGGSTNLYTSRYASGSFEFGFPARRYSTDVSALDQMGLIKLLRERTSAPIKEVKAALVGSNWDIEVAQKELRKRGMVLASKKSSRTAAEGFLALAQNERKAALIELNCETDFVARNEIFQYLALSLAKSALCVEGSQLASGTLPFGPEYLEDVKLSLDHPKLNGEKTVQNAITELAAMMGENVKLRRGFAIAHPSQGVMSTYLHTSPQQGIGRIAGILSLEVEDANTPLDAVQRIGSELAMHVVAAKPLFLTKEDVSSDALDNEREILKSQAESTAKSAMAIEKMVEGRLRKYYEDVVFLEQKFVVNDKLNVKTLLSNLSKEVGSPVKIGSFLRVEVGEGLQGLEECSGTEPSAQAA >itb03g02560.t1 pep chromosome:ASM357664v1:3:1456983:1459541:1 gene:itb03g02560 transcript:itb03g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFCNFECLTWIMCLQVTAAVEEGKVQVAPKSNLEIPASLSFEEVNPVAVEEKVVKVAPRKKSRRSRRRSRRRQRRDAAFTAPRIVSKTEAPPKVPAARSDGGGGDDDDVKVLASEVAYNNEGCNIFSGEWVRDPEGPYYTNLTCDWAIQEHQNCMKFGRPDTEFLKWRWKPEGCELPSFDPEQFLEMVRGKSLAFVGDSVARNHMQSLLCVLSRVAHPVDASNTPDQNFKRWEYRDYDFNITKFWSPYLVRTEKLDPHDNKRPFKLFLDEFDESWTTQIETFDYVIISAGHWFFRPTYFYLNGKIVGCQYCPETDIKHLPSYFSYRRAFQTAFRAINGLESFNGVVFLRSFAPSHFENGPWDKGGDCKRTEPLKKSEKALDDYNLEFYRIQLQELKAAQIEGRRKGMKLRWFDATVPMLLRADGHPSRFGRLPNPNVTLTNDCVHWCLPGPIDSWNDFLVELMKREGGGGGDRKSEF >itb03g02560.t2 pep chromosome:ASM357664v1:3:1456449:1457660:1 gene:itb03g02560 transcript:itb03g02560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQANDHVAGGKPQTTRRRGVVPKVIPFVAVLVLFTVVSISYPSIRYSQTIVSRLSSDDDGDDPVQPSPAAVNWAGEPPQEAAGDSVVDGNSDREDRENISSNIVDINMVTAAVEEGKVQVAPKSNLEIPASLSFEEVNPVAVEEKVVKVAPRKKSRRSRRRSRRRQRRDAAFTAPRIVSKTEAPPKVPAARSDGGGGDDDDVKVLASEVAYNNEGCNIFSGEWVRDPEGPYYTNLTCDWAIQEHQNCMKFEENLWPSSEIPSPEIICSRYFASSPG >itb08g06460.t1 pep chromosome:ASM357664v1:8:5493023:5494677:1 gene:itb08g06460 transcript:itb08g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEGKGSMSRRRIFLFLNCIMMCIGNGGGPLLIRLYFIRGGDRIWFTTWLNTAGWPVALIPLAAAYYGRRRRGTTATAKAGFFTITRNITLAAAALGVLLGMINYLYTYGVSKLPVSTSSLVVASQLAFTAGAAFVLVKQRFTVFTVNAVVLLTIGAGELAVGSSGDRPAGESKKEYVAAFLMTLGAAALYGALLPSIELTYTKATQSLTYTLVLEFQMVMSLFATAVCTLGMLVNKDFQAICREARGFELGEAKYYVVIVFTALLWQLYFIGAAGTIGYGSSLLSGIILAASLSLTEVLAVVFYGEKFGPEKGISLALSLWGFVSYFYGEVKNGDNNKKEEVQVQVNIMEANETLPM >itb02g14890.t1 pep chromosome:ASM357664v1:2:10827001:10828258:1 gene:itb02g14890 transcript:itb02g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKKLCVSGSLTLCDLRTAKCEGRSRTANSDQRPALHRPSPSLSPFDIRHRHKASSPFSVHTGYGNNSSAPANSGRIQSKARNALCTRLIHRLG >itb09g00700.t1 pep chromosome:ASM357664v1:9:466728:470572:-1 gene:itb09g00700 transcript:itb09g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRVFFLLALILFSASSLRVTKCESEAEAEVVEGTEEGGDLGIVGEDAQDFGEESLSPAPGVDTVCVFPKNPSKSVPAGEESELIVGMKNDGESTLNVLFVKATLHLPFDHRYLVQNLTTQGFSNSTIPPSAQATLPYIFGVSKFLQPGTFDLVGSVIYEINRQPYQNIFYNSTIEVTEPGGLVSVESVFLVCLGVAFVALLGFWIRDQILNLSKKTKRAPKAKVEVGTGTTDASMDEWLEGTAYAQSRSKSKKK >itb14g14280.t1 pep chromosome:ASM357664v1:14:16717316:16718689:-1 gene:itb14g14280 transcript:itb14g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELSVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVRKEKVIELQKEKERMLKDPNIELRAETQSRHPIWAFFIRVPTIPFCSLPDVTVTRVRLNGDPAGFNDCDLRGGKAVKLVRTRGSSYSIGHPRNGEAVTLVMLVQSSYSLYCLYSYRL >itb13g13330.t1 pep chromosome:ASM357664v1:13:19827559:19838179:-1 gene:itb13g13330 transcript:itb13g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRAFPGGEKPPAGEEEEENERRKAVKKVSLMKLFSFADGVDYVLMFVGFVGACVHGASVPVFFIFFGKIIDVIGEAFLFPAEASHKVAKYSLDFVYLSIVILFSSWTEVACWMHTGERQAAKMRMAYLRSMLNQDISLFDTEASTGEVISAITSDIIVVQDAISEKVGNFMHYISRFIAGFTIGFIRLWQISLVTLSIVPLIALAGGVYAFVATGLIARVRKSYVRAGEIAQEVVGNVRTVQAFTGEEKAVKSYTEALVNTYKYGKKAGLAKGLGLGTLHCVLFLSWSLLVWFTSIVVHKNIANGGDSFTTMLNVVIAGLSLGQAAPDVSAFIRAKAAAYPIFEMIERNTSNKTSNSRSGGRKLNPLDGHIQFKDVCFSYPSRPDVLIFEKLCLDIPPGKIVALVGGSGSGKSTVVSLIERFYEPDSGQILLDGIDIRELEVKWLRQQIGLVNQEPALFATTIRENILYGKQDATPEEIAHATKLSGAIAFINNLPDRFETQVGERGVQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTIIVAHRLSTIRNADIIAVVQSGNIVETGCHEDLISKPNGAYASLVQLQEAASLGRLPSHGHNNMGQPLSGRYSREGSIRYSRELSRTTTRSRGASFRSDKSASKFGDNNSEEDDKPIQTPVVSPKRLYTMIGPDWYYGVIGTICVFIAGAQMPLFALGVTQALVSYYMDWDTTRREVKKICLLFCGGAFITINVHAIAHCCFGIMGERLTLRVRQKMFSAMLKNEIGWFDVMDNTSSMLASRLESDATLLRTVVVDRSSILLQNLGLVVTSFIIAFILNWRLTLVVLAMYPLIVSGHISEKLFMKGFGGDLSKAYLKANMFAGEAVSNIRTIAAFCSEEKVVDQYARELVEPSKTSFYRGQVAGILYGVAQFFIFSSYALALWYGSVLMGKEISSFQSVMKAFMVLIVTALAMGETLAMAPDLIKGNQMVASVFEVLDRKTEILADVGEELTKVEGTIELKDVEFSYPSRPDTPIFKDFNMRVRPGKSMALVGQSGSGKSSVISLILRFYDPISGKVMIDGKDIKNLKLKSLRKHIGLVQQEPALFATTIYENILYGKEGATEAEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMKSRTSVVVAHRLSTIKNADQICVLQDGKIIEQGTHSTLIENRDGAYYKLINLQQHQQEC >itb13g13330.t2 pep chromosome:ASM357664v1:13:19827559:19838086:-1 gene:itb13g13330 transcript:itb13g13330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRAFPGGEKPPAGEEEEENERRKAVKKVSLMKLFSFADGVDYVLMFVGFVGACVHGASVPVFFIFFGKIIDVIGEAFLFPAEASHKVAKYSLDFVYLSIVILFSSWTEVACWMHTGERQAAKMRMAYLRSMLNQDISLFDTEASTGEVISAITSDIIVVQDAISEKVGNFMHYISRFIAGFTIGFIRLWQISLVTLSIVPLIALAGGVYAFVATGLIARVRKSYVRAGEIAQEVVGNVRTVQAFTGEEKAVKSYTEALVNTYKYGKKAGLAKGLGLGTLHCVLFLSWSLLVWFTSIVVHKNIANGGDSFTTMLNVVIAGLSLGQAAPDVSAFIRAKAAAYPIFEMIERNTSNKTSNSRSGGRKLNPLDGHIQFKDVCFSYPSRPDVLIFEKLCLDIPPGKIVALVGGSGSGKSTVVSLIERFYEPDSGQILLDGIDIRELEVKWLRQQIGLVNQEPALFATTIRENILYGKQDATPEEIAHATKLSGAIAFINNLPDRFETQVGERGVQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTIIVAHRLSTIRNADIIAVVQSGNIVETGCHEDLISKPNGAYASLVQLQEAASLGRLPSHGHNNMGQPLSGRYSREGSIRYSRELSRTTTRSRGASFRSDKSASKFGDNNSEEDDKPIQTPVVSPKRLYTMIGPDWYYGVIGTICVFIAGAQMPLFALGVTQALVSYYMDWDTTRREVKKICLLFCGGAFITINVHAIAHCCFGIMGERLTLRVRQKMFSAMLKNEIGWFDVMDNTSSMLASRLESDATLLRTVVVDRSSILLQNLGLVVTSFIIAFILNWRLTLVVLAMYPLIVSGHISEKLFMKGFGGDLSKAYLKANMFAGEAVSNIRTIAAFCSEEKVVDQYARELVEPSKTSFYRGQVAGILYGVAQFFIFSSYALALWYGSVLMGKEISSFQSVMKAFMVLIVTALAMGETLAMAPDLIKGNQMVASVFEVLDRKTEILADVGEELTKVEGTIELKDVEFSYPSRPDTPIFKDFNMRVRPGKSMALVGQSGSGKSSVISLILRFYDPISGKVMIDG >itb05g11170.t1 pep chromosome:ASM357664v1:5:17130119:17141336:1 gene:itb05g11170 transcript:itb05g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIMSRIRSLDAYPKINEDFYSRTLSGGVITLASSVFMLLLFFSELRLYLHAVTETKLVVDTSRGETLRINFDITFPALPCSIVSVDAMDISGEQHLDVRHDIIKKRLDSHGNVIETRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEAADDDCCNSCEDVREAYRKKGWAISNPDLIDQCKREGFLQKIKEEDGEGCNMYGFLEVNKVAGNFHFAPGKSFQQSSVHVHDILTFQKDSFNISHKINRLTFGDYFPGVVNPLDGVQWTQHTPNGMYQYFIKVVPTVYTDVNGHTIQTNQFSVTEHFRGGEVGPGVQAIPGVFFFYDLSPIKVTFTEQHISFLHFLTNVCAIVGGIFTVSGIIDSFIYHGQKAIKKKIELGKFS >itb14g01760.t1 pep chromosome:ASM357664v1:14:1436522:1437631:-1 gene:itb14g01760 transcript:itb14g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNHPTGGRAMKPRAEPGKPMRRIRIVCEDPDATDSSDDERLDVKKPRMFVREILCPMTDSFKNGVKGLEIEGSSSQDSNNEAKRNNLKKKRVLAKTPGQSRPSNPSKLRGVRQRKWGKWAAEIRDPFQGKRVWLGTFGTAEEASRAYEMKRLEFEEAMAKNGINSDKGSDVYVVDNHDINRSGSVVASDPPCKGKAVVCASEDSVGSLVSHTSPSSVLELESFTSAVANVKCENEKADDDSVEKKMPELPGTIDDEISASQLFEMDDKVPDLLEMDGTLPLAQMADDMDLARQLDVAFASDEFAGFDDFGLGDFADFDLPFCGIDEQIPTTLPDFDFNFNFDDCNEQWIDEAPLVPGTTPLNIACP >itb12g12970.t1 pep chromosome:ASM357664v1:12:11675624:11677592:-1 gene:itb12g12970 transcript:itb12g12970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLHGPTLLINVILASSLESLLRELGWYDLRDCLPPLVGHGRAICSTCHGYELTWPGGGRPRPRPRPCSVKGTSPSTRVLRHRAIRLFCRGWYAKFDLVPPYGTSPSTLVLRHHAVRLFRRGWYARLDLVRLFRRGWYARLDLVPPYRRGWGWYARLDLVRLFRRGWYARLDLVPPYRRGWGSMVAELGLLAPVKTESPASPLVSEGSGGGSTIDHHPCTTPCSALVPS >itb15g08320.t1 pep chromosome:ASM357664v1:15:5840416:5846893:1 gene:itb15g08320 transcript:itb15g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G02040) UniProtKB/Swiss-Prot;Acc:Q9LZM7] MKNVGPLEYYIGCNYCNKTVNSIEGLKLHCLYCGQTDGLTVRRYKLKVEISDGSTIVQAILFNHDVHRLMLLVGIEMPTTVEASEIFQQKLDAIDFVVGLRINALNEDHPSTLTYSVACICKTLHQIQQSCCSAEQLDFDWGLVNDMLCGQNDVPLEAPPVVAELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNGFGLSIGGMDWGNVTTEDLIEALREVDWSSPPRPLSEFFSRFTVPRSYAKWNSRLKCNLYYYRTNYFLMIVFILGMGFLRRPLAIVAALLTALSIAFLNDSFAGAFNEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIYICGRPRWVFVLVFSTVSAILWFVSCGLLTVSWALSVGLLATLVHASFRTPNLKARLNTFREEFRAVWRNYSEL >itb13g00570.t1 pep chromosome:ASM357664v1:13:516124:517100:1 gene:itb13g00570 transcript:itb13g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPIDHSEIATIITTDERNYQYHQHRHHHYYHHDQDRQAKPTYQVYCRTDHKYALTIRDNNVILAPSDPSDPRQHWYKEHKFGTQVKDAIGLPSFALVNKATMEAIQHCSGGAQPVQLVKYEANDGVDHSILWSEACIKDDNVEYKAIRMVNNIGMNMDAYGATKERGGVQHGTTIGLWEWNGGDNQRWKIIPF >itb02g01540.t1 pep chromosome:ASM357664v1:2:868222:871698:1 gene:itb02g01540 transcript:itb02g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGVAEQNDVAYYGGDTGEGDLQYDEENRQELFSRSGGGGGGDLEPPIRNLDGSSAGHELKHSIDDSSPSAGKLFVGGIAWETSEECFNRYFSKYGDVIDSVIMMDKVSGRPRGFGFVTFADPEVANQVLQEEHVIDGRVVEVKRTVPREDTPFRRVSKTKKIFVGGIPPTLTEDEMKEYFSSYGSVVEHQIMLDHNTGRSRGFGFVTFESEDAVQKVLSDGRMHELNGKQVEIKRAEPKRAGGDRASESRMHRGNSNMHSYGNVNGDAEDFGGGYGGKMRKGYGGGYDGYGNYGPYGNYAGNYGMGSAGFYGGYGGYGYGYGFGGSMYGAAAAAGYSGNSYVIPGNYGGSSGYAAAGAGKGYANTGHGGAKGYGSVGGDGEYDGGEVHGSSGNDTAYGGAKGYGGNVYGNEGAAAGRFHPYRK >itb02g01540.t3 pep chromosome:ASM357664v1:2:868222:871698:1 gene:itb02g01540 transcript:itb02g01540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGVAEQNDVAYYGGDTGEGDLQYDEENRQELFSRSGGGGGGDLEPPIRNLDGSSAGHELKHSIDDSSPSAGKLFVGGIAWETSEECFNRYFSKYGDVIDSVIMMDKVSGRPRGFGFVTFADPEVANQVLQEEHVIDGRVVEVKRTVPREDTPFRRVSKTKKIFVGGIPPTLTEDEMKEYFSSYGSVVEHQIMLDHNTGRSRGFGFVTFESEDAVQKVLSDGRMHELNGKQVEIKRAEPKRAGGDRASESRMHRGNSNMHSYGNVNGDAEDFGGGYGGKMRKGYGGGYDGYGNYGPYGNYAGNYGMGSAGFYGGYGGYGYGYGFGGSMYGAAAAAGYSGNSYVIPGNYGGSSGYAAAGAGKGYANTGHGGAKGYGSVGGDGEYDGGEVHGSSGNDTAYGGAKGYGGNVYGNEGAAAGRFHPYRK >itb02g01540.t2 pep chromosome:ASM357664v1:2:868222:871698:1 gene:itb02g01540 transcript:itb02g01540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKVSGRPRGFGFVTFADPEVANQVLQEEHVIDGRVVEVKRTVPREDTPFRRVSKTKKIFVGGIPPTLTEDEMKEYFSSYGSVVEHQIMLDHNTGRSRGFGFVTFESEDAVQKVLSDGRMHELNGKQVEIKRAEPKRAGGDRASESRMHRGNSNMHSYGNVNGDAEDFGGGYGGKMRKGYGGGYDGYGNYGPYGNYAGNYGMGSAGFYGGYGGYGYGYGFGGSMYGAAAAAGYSGNSYVIPGNYGGSSGYAAAGAGKGYANTGHGGAKGYGSVGGDGEYDGGEVHGSSGNDTAYGGAKGYGGNVYGNEGAAAGRFHPYRK >itb02g01540.t4 pep chromosome:ASM357664v1:2:868222:871698:1 gene:itb02g01540 transcript:itb02g01540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKVSGRPRGFGFVTFADPEVANQVLQEEHVIDGRVVEVKRTVPREDTPFRRVSKTKKIFVGGIPPTLTEDEMKEYFSSYGSVVEHQIMLDHNTGRSRGFGFVTFESEDAVQKVLSDGRMHELNGKQVEIKRAEPKRAGGDRASESRMHRGNSNMHSYGNVNGDAEDFGGGYGGKMRKGYGGGYDGYGNYGPYGNYAGNYGMGSAGFYGGYGGYGYGYGFGGSMYGAAAAAGYSGNSYVIPGNYGGSSGYAAAGAGKGYANTGHGGAKGYGSVGGDGEYDGGEVHGSSGNDTAYGGAKGYGGNVYGNEGAAAGRFHPYRK >itb13g25670.t1 pep chromosome:ASM357664v1:13:30973960:30979935:1 gene:itb13g25670 transcript:itb13g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP90.5 [Source:Projected from Arabidopsis thaliana (AT2G04030) UniProtKB/TrEMBL;Acc:A0A178VPV7] MAPVLSRSLTSVAAVPFTPSFPQKSGGGNRVAALRSAFLPRNGLRNRLSSSGLKWKLERRDSSVVVRCEASAVAEKEAPESEGETHEYQAEVSRLLDLIVHSLYSHKEIFLRELVSNASDALDKLRFLSVTEPSLLGEAGELEIRIKADPDNGTITITDTGIGMTREDLIDCLGTIAQSGTKKFLNALKENKDLASDNGLIGQFGVGFYSAFLVAEKVVVSTKSPRSDKQYVWEALADSSSYKIREETDPEKFLPRGTQITLYLREDDKYEFAEPKKIQELVKTYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEENAEGEKKKTKKTKTEKYWDWELTNETKPIWMRNPKDVEKEQYQEFYKNTFSEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLNNEDVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDLSESENKEDYKKFWENFGKFLKLGCVEDTGNHKRITPLLRFFSSKSEEELISLDDYVENMPENQKAIYYLATDSLKSAKTAPFVEKLVQKGIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELDDEDEVKERETKQEYNLLCDWIKQQLGDKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTSSLEFMRGRRILEINPDHPIVKDLQAACKNAPDSTDAKRAVDLLYETALIASGFSPDSPSELGNKIYEMMAMALGGRWGRLEEDEEEETEALEGNATEDSGASSPDDSQPEVIEPSEVRTENDPWSD >itb13g15600.t1 pep chromosome:ASM357664v1:13:22482397:22484781:1 gene:itb13g15600 transcript:itb13g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILNRSRSGLILNRVLVNLQFIAFNYSSRSRSVESASTLLVEKLDQDDAEELKAVSKVHSRRRSRADQAIRDDLGENQADRSRVKPVRGGQQVQIVKIDAREWRCLISMEERRTVLLRFATEDECKKKSAALQDAVYEVIVGPSFSLEDAHALISRRLDSRIPVITCTSQGIIGKYASINPKLPINTGMAVIMYTSGSTGLPKSVMIIHGNIVIRNLRTNDVYVAYLPLAHVFEFDTEILVMAGGASIGYSSALSLIDTSNKVKSIVETSNKQSIVELDFELRLASFYLFFCLKFELVFDGNLYLSEDDKRGAVAVVAGKEIDIEEILGCKALVIVEDMNVVLAVLNDVMEDRYNVLRLFFRSAVGHMKESGLSEELSQLLKFMESRLQLSYGRISLMEELCRLMSQLD >itb01g01010.t1 pep chromosome:ASM357664v1:1:483311:488526:-1 gene:itb01g01010 transcript:itb01g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGHNVDDLEAGNYVAEEDFSKYKTLHRGTVNGKWKENQNFLQYNNVDDIEAGKNVGEEDFSKCLTLYQATVHGKWNEAQKFLEDNNNNRALILVAPINFHKNTVLHDAAKTGNKVFVQNLVAMMGDNNTKEVGVVKNRDGLTALHFAARFGNKEVGEILVGKNPNLLYERCNRGLLPIHYAACNTRRCVEVFRYFWGVTKEYNEDPKVNPYAGPTGATILVNLIKSKFYVVAMELANKYPALTCRLTLDKEISPLEALVKYDYPIFDKTTLRFWQTSIQNALLYKMSVEEKMVKHEEAKKLVECLCDKLKILNDTQVASLAKDAIIQAAYLDIEEVVEKIVEANPTTAYYKDKNGRNILHIAVENRSKNVYDLICGTNVLKHDLVDERDNKGNTIVHLAGKLAPSHKLNSNRSIVSGAALQMQRELRWFKEVQKIAPPYFSSLRNKDDKTPKMVFTDEHKDLKKEGEKWMKETATACSIIAALIVTVVFAAAITVPGGNSEGVLIQGFNGTINNNSSINGTIISPMNETTPDEGFPIFSKTDAFRSFYVFNSISLFTSVPSLLLFLSILTSRYAEEDFLHSLPLKLIAGIITLLASVISMMGSFSTTVYLVFGKTALSARVVLMGCAGLAVAIFVLLQFRLFLAFLCKVGDRVAQVRGGVDWTGRTRRVSYHYCGVGIAKGHLMLGSCGGPSVSPNHDVAGALSNMARHPHRKTLSLWGLKCPRPVGVRLAQGPANLLRPYMA >itb02g02540.t2 pep chromosome:ASM357664v1:2:1489175:1492492:-1 gene:itb02g02540 transcript:itb02g02540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSALFLVILCVCNLSGGGDAGVGFDPAEILKVSAPDFVESVKTTIDVVQQVTSVVAKVGNVFGDFRLSNAVSDCLDLLDLSADELSSTLLASQNPIGKRNNSTGNLSADLRAWLSGAMINQDTCIEGFDGTDGLVKNLVAGTLNQISSSIRDVLAMVRPIPPGPKFQGRKLIREFPSWLKSGDRRLLQSNVVTADAVVALDGTGNFTSIKHAISAAPELSSRRYVIYVKKGVYREYIEIGKKKWNIVLIGDGMDQTVISGNRSYVDGWTTYKSATFAVKGQGFIARDVTFENTAGPEKHQAVAFRSDSDLSVLFRCAIRGYQDTLYAHSMRQFYRECKITGTVDFIFGDSTAVFQSCEISARKGLPNQKNTITAHGRKDPAEPTGFSIQFCNITAEPELLAAGNSTASYLGRPWRLYSRTVFMQSYISSAIRPEGWLEWDGDYALDSLFYGEFSNYGPGAGLGNRVKWPGYHLMNESAQAHNFTVAEFILGNSWLPSTGVKYAAGLSV >itb02g02540.t1 pep chromosome:ASM357664v1:2:1488358:1492492:-1 gene:itb02g02540 transcript:itb02g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSALFLVILCVCNLSGGGDAGVGFDPAEILKVSAPDFVESVKTTIDVVQQVTSVVAKVGNVFGDFRLSNAVSDCLDLLDLSADELSSTLLASQNPIGKRNNSTGNLSADLRAWLSGAMINQDTCIEGFDGTDGLVKNLVAGTLNQISSSIRDVLAMVRPIPPGPKFQGRKLIREFPSWLKSGDRRLLQSNVVTADAVVALDGTGNFTSIKHAISAAPELSSRRYVIYVKKGVYREYIEIGKKKWNIVLIGDGMDQTVISGNRSYVDGWTTYKSATFAVKGQGFIARDVTFENTAGPEKHQAVAFRSDSDLSVLFRCAIRGYQDTLYAHSMRQFYRECKITGTVDFIFGDSTAVFQSCEISARKGLPNQKNTITAHGRKDPAEPTGFSIQFCNITAEPELLAAGNSTASYLGRPWRLYSRTVFMQSYISSAIRPEGWLEWDGDYALDSLFYGEFSNYGPGAGLGNRVKWPGYHLMNESAQAHNFTVAEFILGNSWLPSTGVKYAAGLSV >itb03g14750.t1 pep chromosome:ASM357664v1:3:14339536:14341572:1 gene:itb03g14750 transcript:itb03g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGANDREAVEFQLSPSSSTLVKIQRGKIAQWSVDGSSDAILREACYKVPEARPGIRCPTGEARITPGFKLAASHVILTAGPVYASHPHPKSALESSYRYPFDDAAKVALSTIKEFGTDFKEVHFVPAAAAGYDALVKAANELLKNN >itb04g21620.t2 pep chromosome:ASM357664v1:4:26720295:26730623:1 gene:itb04g21620 transcript:itb04g21620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMEVDNPDNPENNSGSAPDSKSKGKRKLYVGSQSLGFRRDHMEALSPIKDGIVADWEIVENIWDHAFRDCLLIDPKEHPMLLAEPCSNTQQQREKAAELMFEKYQVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTIAPVHDGYVLQKAVTTSPIGGDVLTEALMKSLEQKGIIIKPRYSFKRKEIRPGEFQTVDLDFPNTTESYKLYCQKVIASDIKECVSRAPDTPYDDSSYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLVQTIPGMESFAETATSLRGLPQMVIESISRCDVDIRRELYSSILLSGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCP >itb04g21620.t3 pep chromosome:ASM357664v1:4:26720295:26730623:1 gene:itb04g21620 transcript:itb04g21620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMEVDNPDNPENNSGSAPDSKSKGKRKLYVGSQSLGFRRDHMEALSPIKDGIVADWEIVENIWDHAFRDCLLIDPKEHPMLLAEPCSNTQQQREKAAELMFEKYQVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTIAPVHDGYVLQKAVTTSPIGGDVLTEALMKSLEQKGIIIKPRYSFKRKEIRPGEFQTVDLDFPNTTESYKLYCQKVIASDIKECVSRAPDTPYDDSSYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLVQTIPGMESFAETATSLRGLPQMVIESISRCDVDIRRELYSSILLSGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCPVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCP >itb04g21620.t1 pep chromosome:ASM357664v1:4:26720239:26729819:1 gene:itb04g21620 transcript:itb04g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMEVDNPDNPENNSGSAPDSKSKGKRKLYVGSQSLGFRRDHMEALSPIKDGIVADWEIVENIWDHAFRDCLLIDPKEHPMLLAEPCSNTQQQREKAAELMFEKYQVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTIAPVHDGYVLQKAVTTSPIGGDVLTEALMKSLEQKGIIIKPRYSFKRKEIRPGEFQTVDLDFPNTTESYKLYCQKVIASDIKECVSRAPDTPYDDSSYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLVQTIPGMESFAETATSLRGLPQMVIESISRCDVDIRRELYSSILLSGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCP >itb02g16110.t2 pep chromosome:ASM357664v1:2:11883636:11888557:1 gene:itb02g16110 transcript:itb02g16110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGIMDSAYFVGRNEILSWINSRLQINLTRIEEAASGAVQCQMMDMTYPGIVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRGKGGKERGTKISQRTTKSLQTNNSHGQNVGDGIGSTKILESKQAKPRAVPAAADSSAEIQSLSKEVTELKLSIDLLEKERDFYFAKLRDIEILCQGPEIENVPVIFEPIHFCMNFTVTLHSLFSCITYAKGFFAPVFDKLKIL >itb02g16110.t1 pep chromosome:ASM357664v1:2:11883636:11888557:1 gene:itb02g16110 transcript:itb02g16110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGIMDSAYFVGRNEILSWINSRLQINLTRIEEAASGAVQCQMMDMTYPGIVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRGKGGKERGTKISQRTTKSLQTNNSHGQNVGDGIGSTKILESKQAKPRAVPAAADSSAEIQSLSKEVTELKLSIDLLEKERDFYFAKLRDIEILCQGPEIENVPMAVAIKKILYAADEKESALEEAKEILYQSGEADEAELEEEDHEEE >itb02g24280.t1 pep chromosome:ASM357664v1:2:24625535:24628176:1 gene:itb02g24280 transcript:itb02g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFSSVASCNSPLFPTSLISSQLQALILYHTSPVKNQSFYRKSINPSVSPNEDAPSSKPKPDQLATSHRNSIDFDPTLVLETLSCYSNDWKKALEFFNWVESQCGFQHTTQTYNQVIDVLGKFFEFGIAWNLIYKMKNSLSSKPDHTTFRIMFVRYGRAHLVKEAIDAFDKLEEFNLKDSVSFSNLVDALCEYKHVIEAQELCFGNGIVNERFSCFSVDLKIYNMILRGFSKMSWWGKCREFWEEMDRRGVEKDLFSYSIYMDVQCKSGKPWKAVKLFKEMKKKGIKLDVVAYNTAIRAVGISDGVDVAVKLFQEMIELGCTPTVVTFNTILKFLCENMRYKEAYKVLDMMSKKGCEPNVCTYHCFFRCLEKPREILTLFDRMIERGVQPQMDTYVMLMSKFGRWGFLRPVLSFWKKMEEHGLSPDESAYHALIDALVQKGMVDMAHKYDEEMLAKGLSPKPRVELGTKMTGINRWLN >itb08g03100.t1 pep chromosome:ASM357664v1:8:2587272:2589957:-1 gene:itb08g03100 transcript:itb08g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLFKKFSEMKSAFIIKSPRRNSSENEDSLGRKSSSVSHEYMAAFRTNSYVEIYDKVQLQLAAAARSKEIVGGDNSSPITSSSSPSSPLISRHESLLSPGCKLLLSQENMEELPQQASNTHPLLNEYFVLSLEACGICESLLKIVLQTRADYKSVKKAVEKIDEFQRNDVWGEEQVNQAYGEIAGLSLFGNPLSGVRFNEVREGHFGLLDRLTSKKGRVERRRKHIRVFKVALGSTLLVGYTAVMITFTILTVHFVVCTMAVPGLIALSLGMVKRLKEAKRGIIPRKGLYMQLDVAAKGLYVLINDFSTVSRLVGRLSDEMEHNKTMADLWERKHCDDVLKEVVRNFALQEEWVLEVLKELEQHIYLCFLNIMRSRKMIVKEIVGCDEPVSQDQQLALLDRDTIEV >itb09g29410.t1 pep chromosome:ASM357664v1:9:30144513:30147376:-1 gene:itb09g29410 transcript:itb09g29410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAPVMFLLGQLSTLIRDEYSLLGGLREDAEDIRNALDRLTAALRVADEMEEIDPQVQAWVRIVRELAYDTEDVLDEFLFRFGGRQSCGGFYTKIDNTYTSLKNLRARRRLALALRRIKAKVNENSQYQPSLPTTFPGTVHHTHNKRMYDSRGDARLVEESDLVGIHYPKQFLVNLLLANDEELKVHSVVGTGGLGKTTLVKQAYDDAEVINHFQYRVWVTISETFEIKELLKDAIKQFVGQTKQGLPQDFETMSSTRLKEFVKEILSGRRYIIVFDDIWGINAWMEIKCVLSKEAFGSRVVITTRNSEIGAQACHDTRGVLYSLKHLSPEDSWTLFCKKTFLCDSCPQHLVNITTNILNRCCGLPLAIVVIAGVLATKNEDIKEWERFQQSLNIQLVEGNDMMKNMKNILSLSYYDLPYYLKYCFLYLCVFPEDIIIQKKQVIRLWIAEGFVRENNQQVKEEVAEAYLDELLHRNLIQIGRETKSGRVKGFQVHDILREVVLSKSIEQKFATIANGRNIESFNKFRHLAIHRLDDHIMKSTSPKKHLRSLLIMESLSSSTVSFLLSKLLTGSYNPLKVLDLRGTQLEEIPEQVFNLFQLKYLSLRKTKLKSVHKSIGRLQNLETLDLKHTCVVELPNELSKLCKLRHLLVYRYLYKGGRINPWINGGTVQSCNALFKIGELQFLQKLCYIQASDTKDSKVVFEIGKLTQLRRLGVTTLKQEDGKALCSSLKRLTNLCSLHLSSASEDEILDITIDVPLRLHQLKLMGRLESAPQWLSSLVGLTHLFLIWSRLPEDPLPFLQDLPMLARLDLVKSYEGEGLCFNAEKFSKLKCLYISDFEALKWIRMEEGALCHLEEFFLGKCKLLQKVPLGIEHLSNLKTIHIHKLAAKLMITLETNGENCGNYAKLSHIPQIYTSRFIGGKLTIFLNGQEI >itb09g29410.t2 pep chromosome:ASM357664v1:9:30144513:30146096:-1 gene:itb09g29410 transcript:itb09g29410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILSLSYYDLPYYLKYCFLYLCVFPEDIIIQKKQVIRLWIAEGFVRENNQQVKEEVAEAYLDELLHRNLIQIGRETKSGRVKGFQVHDILREVVLSKSIEQKFATIANGRNIESFNKFRHLAIHRLDDHIMKSTSPKKHLRSLLIMESLSSSTVSFLLSKLLTGSYNPLKVLDLRGTQLEEIPEQVFNLFQLKYLSLRKTKLKSVHKSIGRLQNLETLDLKHTCVVELPNELSKLCKLRHLLVYRYLYKGGRINPWINGGTVQSCNALFKIGELQFLQKLCYIQASDTKDSKVVFEIGKLTQLRRLGVTTLKQEDGKALCSSLKRLTNLCSLHLSSASEDEILDITIDVPLRLHQLKLMGRLESAPQWLSSLVGLTHLFLIWSRLPEDPLPFLQDLPMLARLDLVKSYEGEGLCFNAEKFSKLKCLYISDFEALKWIRMEEGALCHLEEFFLGKCKLLQKVPLGIEHLSNLKTIHIHKLAAKLMITLETNGENCGNYAKLSHIPQIYTSRFIGGKLTIFLNGQEI >itb11g08800.t2 pep chromosome:ASM357664v1:11:5759209:5760920:1 gene:itb11g08800 transcript:itb11g08800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDKLWDETVAGPAPEFGLGKLRKYKTFNGRPAGVTPLSVSFGDTPASGPATPAADDQIPVSRSISILRRNNSVSPGCHAADSPGSGPSSPATSTPSTSTPTSPFSRQSSLLSLFYVHE >itb11g08800.t1 pep chromosome:ASM357664v1:11:5759313:5760385:1 gene:itb11g08800 transcript:itb11g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDKLWDETVAGPAPEFGLGKLRKYKTFNGRPAGVTPLSVSFGDTPASGPATPAADDQIPVSRSISILRRNNSVSPGCHAADSPGSGPSSPATSTPSTSTPTSPFSPSTPSGINQKKFARRNTTPTGYDWIVLSALDR >itb01g04840.t1 pep chromosome:ASM357664v1:1:3299952:3300290:1 gene:itb01g04840 transcript:itb01g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLETAFSCREDYKVWNYMSGGGCRAAIRVVQGMNKEQLVPKYGGDHSAGFNSALKDTACGGDVGGSMHARRFSGVVDSCSLKASKADEKLKHQAEESLRTVMYLSCWGPT >itb05g15140.t1 pep chromosome:ASM357664v1:5:22535930:22539400:1 gene:itb05g15140 transcript:itb05g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVAERIDRLLSENASSSEDKGLDLSFSVCFLEDGRSGTFVIGNEHFPAALLDLPCIVESYKTYDDSVLIKTADIGQMIMVREEGDPTPDAVEYRHGLTPPMRDARRRRFRREPDLNPELVRRVERDLQNIMAGGTAENIDAEVPEQEEDGEENARSASKKAAPKPSTKPDVPEAGATGGEPERSDSEESDDSI >itb05g15140.t2 pep chromosome:ASM357664v1:5:22535930:22539400:1 gene:itb05g15140 transcript:itb05g15140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) UniProtKB/Swiss-Prot;Acc:B9DG24] MEEQFILRVPPSVAERIDRLLSENASSSEDKGLDLSFSEDGRSGTFVIGNEHFPAALLDLPCIVESYKTYDDSVLIKTADIGQMIMVREEGDPTPDAVEYRHGLTPPMRDARRRRFRREPDLNPELVRRVERDLQNIMAGGTAENIDAEVPEQEEDGEENARSASKKAAPKPSTKPDVPEAGATGGEPERSDSEESDDSI >itb15g13440.t2 pep chromosome:ASM357664v1:15:11412603:11416958:-1 gene:itb15g13440 transcript:itb15g13440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSSLILSLFTDDVLGRILSFIADDDAADRRSFRAVCRGFLRVDSLHRTHLRILRVEYFQVLLARFPRVDSLDLSVCPRIDDATVAALLGNGSGWAARVRRLVLSRCAGLRFPGLEMLARSCPLLEAVDVSYCCNFGDREAAALSCAARLRDLRLDKCLGVTDVGLAKVAVGCSGLEKLSLKWCFEITDLGIDLLAKKCPHLKLLDISYSKVTSESLQSISRMQKLEVLAMVGCGAVDDSGLHYLGKGCPLLQDLNISRCDKLSFSALASFISGRSGILQIHASYCFYELPTGFINQLVDVMNLKKLIIDGAPVSDTCFKIISANCKFLVEIGLGKCKGVTDGGIEHLVSGCVNLKVINLTCCGDISDTAISAITESCRDLVCLKLECCNFLTEKSLDLLGSRSFLLEEIDLTDCPGVNDIGLNYLSKCSKLLCLKLGLCTNISDKGLNYVALNCKEIRELDLYRCMGIGDDGLHALSTGCKKLKKLNLSYCSEITDRGMEYLGHLPEISDLELRGLLNVTATGLTALASGCQRLAELDLKHCTNISDSGFWALAYHSRNLQQVL >itb15g13440.t1 pep chromosome:ASM357664v1:15:11412603:11416958:-1 gene:itb15g13440 transcript:itb15g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSSLILSLFTDDVLGRILSFIADDDAADRRSFRAVCRGFLRVDSLHRTHLRILRVEYFQVLLARFPRVDSLDLSVCPRIDDATVAALLGNGSGWAARVRRLVLSRCAGLRFPGLEMLARSCPLLEAVDVSYCCNFGDREAAALSCAARLRDLRLDKCLGVTDVGLAKVAVGCSGLEKLSLKWCFEITDLGIDLLAKKCPHLKLLDISYSKVTSESLQSISRMQKLEVLAMVGCGAVDDSGLHYLGKGCPLLQDLNISRCDKLSFSALASFISGRSGILQIHASYCFYELPTGFINQLVDVMNLKKLIIDGAPVSDTCFKIISANCKFLVEIGLGKCKGVTDGGIEHLVSGCVNLKVINLTCCGDISDTAISAITESCRDLVCLKLECCNFLTEKSLDLLGSRSFLLEEIDLTDCPGVNDIGLNYLSKCSKLLCLKLGLCTNISDKGLNYVALNCKEIRELDLYRCMGIGDDGLHALSTGCKKLKKLNLSYCSEITDRGMEYLGHLPEISDLELRGLLNVTATGLTALASGCQRLAELDLKHCTNISDSGFWALAYHSRNLQQINLSECSISDVGLCMVMGNLRCLQDAKLVNVGRVSGNGVELALRACCATLKKVKLPNSFRFMLSADIVNTLLAKGCKIRWD >itb02g15360.t2 pep chromosome:ASM357664v1:2:11166493:11169092:-1 gene:itb02g15360 transcript:itb02g15360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPYKESTFLTQPEKQKIDFLKDTGDLSRGISARKGDNFIGVLDVYVHQARDIHNICIYHKQDVYAKLCLTSDPESALPTKIINGGGQNPVFNDNLQLNVRTTECSLKCEIWMMSRVRNYLEDQLLGFALVPLSKVLVENGKLEDEFPLSSTDLFHSPAGFVKLSLSYSGESPEVISIPVLPASTATNKTLQDTQVPEFLPCELEKIEFPDPKIVCENDLMVSEYYGIQSTNLETQSSDSLVSSDTENQPSSELDVHVEETLPAGPADSHHYKKHDSPPSSVSTNESPLASLPASSQSSATPEGSKFPNDEHVSPPKECPEEKKLDGLDTEINAFTKPLVTVNIEPEPKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSEPTSSGNSTSEKSQTPKSAGQRVFYGSRAFF >itb02g15360.t3 pep chromosome:ASM357664v1:2:11166493:11169022:-1 gene:itb02g15360 transcript:itb02g15360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPYKESTFLTQPEKQKIDFLKDTGDLSRGISARKGDNFIGVLDVYVHQARDIHNICIYHKQDVYAKLCLTSDPESALPTKIINGGGQNPVFNDNLQLNVRTTECSLKCEIWMMSRVRNYLEDQLLGFALVPLSKVLVENGKLEDEFPLSSTDLFHSPAGFVKLSLSYSGESPEVISIPVLPASTATNKTLQDTQVPEFLPCELEKIEFPDPKIVCENDLMVSEYYGIQSTNLETQSSDSLVSSDTENQPSSELDVHVEETLPAGPADSHHYKKHDSPPSSVSTNESPLASLPASSQSSATPEGSKFPNDEHVSPPKECPEEKKLDGLDTEINAFTKPLVTVNIEPEPKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSEPTSSGNSTSEKSQTPKSAGQRVFYGSRAFF >itb02g15360.t1 pep chromosome:ASM357664v1:2:11166493:11169092:-1 gene:itb02g15360 transcript:itb02g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPYKESTFLTQPEKQKIDFLKDTGDLSRGISARKGDNFIGVLDVYVHQARDIHNICIYHKQDVYAKLCLTSDPESALPTKIINGGGQNPVFNDNLQLNVRTTECSLKCEIWMMSRVRNYLEDQLLGFALVPLSKVLVENGKLEDEFPLSSTDLFHSPAGFVKLSLSYSGESPEVISIPVLPASTATNKTLQDTQVPEFLPCELEKIEFPDPKIVCENDLMVSEYYGIQSTNLETQSSDSLVSSDTENQPSSELDVHVEETLPAGPADSHHYKKHDSPPSSVSTNESPLASLPASSQSSATPEGSKFPNDEHVSPPKECPEEKKLDGLDTEINAFTKPLVTVNIEPEPKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSEPTSSGNSTSEKSQTPKSAGQRVFYGSRAFF >itb06g00600.t1 pep chromosome:ASM357664v1:6:1378097:1380436:-1 gene:itb06g00600 transcript:itb06g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRGKWRVVRAVMCIWWSFDLASLVLVIAEEGSLKKEALGSINATNDYSTFVKSLREPKASGHNHVWPELKFGWKIVVGSIIGFLGAAFGSVGGVGGGGIFVPMLTLILRFDPKSATAISKCMITGAAVSTVYCNLRLRHPTMEMPVIDYDLAVLMQPMLLLGINIGVAFNVILADWMVTVLLILLFTGLSTLAFLRGVASWKKETKLKKEAAQIMLANGGE >itb02g21870.t2 pep chromosome:ASM357664v1:2:20818637:20822858:-1 gene:itb02g21870 transcript:itb02g21870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGSPTTSLVIHLRRRKTLRYNGSSDQLDDYSDSQWEGKDLVVSHKLKDFFVSPPPSPDEKSSGLWLQKVGLKLIVFDLHQ >itb02g21870.t1 pep chromosome:ASM357664v1:2:20822200:20822858:-1 gene:itb02g21870 transcript:itb02g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGSPTTSLVIHLRRRKTLRYNGSSDQLDDYSDSQWEGKDLVVSHKLKDFFVSPPPSPDEKSSGLWLQKVGEDGCKKLCGLT >itb04g09770.t1 pep chromosome:ASM357664v1:4:9019553:9031889:1 gene:itb04g09770 transcript:itb04g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAVNLESLCDGNMHELLVELQGMGGGGKLQIEIKYKSFDKIEEEKKWWRIPIITELLEKNGFESALKTILGSETVQARQFVQFAFAQLKLINDANIQKDQTSNETEGIKPDHYDDESGLPSSLDSSSNDSGQLGMPPEPKCSSNDSNDEHNQNNIHIKDELNSLGTQVVEATQSDKQFWKNIANTVNLNVVQRLGLPAFEKIRWDGFELLNKIGLQSQQVAEAGYIESGLATPEKKETLNGDASPVPPVINTIQSSLPDIKKVTEDLLRQTDSILGALMVLNATVSKLNKGIGLIGSDDTKNDSSTEMKNDVHGYPMHKDALILDEKKAEEMRELFTTAETAMEAWAMLATSLGHSTFIKSEFDKICFLDNSSTDTQVALWRDLLRKRLVVAFRGTEQDRWKDLRTDLMLVPAGLNPERIGGDFKQEVQVHSGFLSAYDSVRTNLISLIKKAIGYIDDDDAEPTSKWHVYVTGHSLGGALATLLALELSSSQLAKRGAISVTMYNFGSPRVGNKKFADVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGNLKLAMANIEQLEDGYQGDIIGEATPDVIVSEFMKGEKELIEKILNTEINIFRAIRDGSALMQHMEDFYYITLLENVRSNYQTVTRSQPSEDKVSIG >itb08g12470.t1 pep chromosome:ASM357664v1:8:12599994:12607098:1 gene:itb08g12470 transcript:itb08g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVLRAVFIAEPWRPLPRKTAPFSAPFFFTQNLSPPHLRCSCKLIKARRYYTFPRRTQPLNCSNNETPSSSPEDFEQNPPQEAVLKAISEVSKTEGRVGQTTNMVIGGTTHDDSTNEWLALDKKVNSYPTERGFTAIGTGGDDFVQAMVVAVESVIQQPIPQGQVRQKVSSGGKYVSVNIGPIHVVSSEQVQAVYNAMRRDDRMKYFL >itb08g12470.t2 pep chromosome:ASM357664v1:8:12599994:12604681:1 gene:itb08g12470 transcript:itb08g12470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVLRAVFIAEPWRPLPRKTAPFSAPFFFTQNLSPPHLRCSCKLIKARRYYTFPRRTQPLNCSNNETPSSSPEDFEQNPPQEAVLKAISEVSKTEGRVGQTTNMVIGGTTHDDSTNEWLALDKKVNSYPTERGFTAIGTGGDDFVQAMVVAVESVIQQPIPQVVHMFMQIMSQKIIFA >itb02g23380.t1 pep chromosome:ASM357664v1:2:23328401:23334490:-1 gene:itb02g23380 transcript:itb02g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNPNDTQHPLASTPFQVHDELALSIRQSGDVQCNGMIHGGFSSKADLGSLSLTSFPITPSPLENVNAPFQSYPFMPEFNDIQMDSRVKFSGLHDQHIAPNSINVSTIAPSFFNPPTMLDPSRNTGGIHHPVNDCNMLSMDSLMNQDVFYDVFQNPGMDMNFLQTRGNVIMEETKSNSLKTLKTAVCPNMGNIIPRLEHAQNIIGTETRKAFLASNSQSCTPKTIDGSFLTLGIGGNTEQRSRNAFSTKEIASKLDGTVSPYFNNSPSPQMRRNSSSLPHSFAGGSDAYGVSSTACIMGHRNVSASDDAVLMSASSEPSPNSFPFPLTALSANQPLSYANNGRNSDFVGKTNSMYIPMDRAGGVMGDQVLSSLTVNNTNSSPLGSTKLSDIALESFNNEFVTPKIMSEQLQSYPNSVKNMPPEPSMNFCIPRARGGSVGPNHFGKLGPSSGISQTQTVEDGLFPFSAQPIGYQSSSGQITSPSIAGNGLFPQRIGVHIAENSVVQPANGNQFPKRLGLQLNGDAISQAGQEWVLHKEAEHAPQNVLSGKLHQSQNRRPAQLPTVSAKGPSSVSVPIQINRNPSGPDFVTGHGIPTAKVNAIPQASETHGQPSLKRKAYEPPPISQRKKIVQRPVARPPGQSLRPRLPTPSPALPLPHVKWQGCDGPPKPSGHKCLLCKRDVAFSPDGPVHQPSIPPAVAVLPCGHVFHDHCLHIITPENQSNDPPCIPCAIGET >itb02g23380.t2 pep chromosome:ASM357664v1:2:23328401:23334490:-1 gene:itb02g23380 transcript:itb02g23380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNPNDTQHPLASTPFQVHDELALSIRQSGDVQCNGMIHGGFSSKADLGSLSLTSFPITPSPLENVNAPFQSYPFMPEFNDIQMDSRVKFSGLHDQHIAPNSINVSTIAPSFFNPPTMLDPSRNTGGIHHPVNDCNMLSMDSLMNQDVFYDVFQNPGMDMNFLQTRGNVIMEETKSNSLKTLKTAVCPNMGNIIPRLEHAQNIIGTETRKAFLASNSQSCTPKTIDGSFLTLGIGGNTEQRSRNAFSTKEIASKLDGTVSPYFNNSPSPQMRRNSSSLPHSFAGGSDAYGVSSTACIMGHRNVSASDDAVLMSASSEPSPNSFPFPLTALSANQPLSYANNGRNSDFVGKTNSMYIPMDRAGGVMGDQVLSSLTVNNTNSSPLGSTKLSDIALESFNNEFVTPKIMSEQLQSYPNSVKNMPPEPSMNFCIPRARGGSVGPNHFGKLGPSSGISQTQTVEDGLFPFSAQPIGYQSSSGQITSPSIAGNGLFPQRIGVHIAENSVVQPANGNQFPKRLGLQLNGDAISQAGQEWVLHKEAEHAPQNVLSGKLHQSQNRRPAQLPTVSAKGPSSVSVPIQINRNPSGPDFVTGTILHMLCLIMHTSITSTTFF >itb09g24310.t1 pep chromosome:ASM357664v1:9:23945043:23950109:1 gene:itb09g24310 transcript:itb09g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLAFAGLSLLLLVIPIIAFLIKIYSGKSMNNPKYPPVLGTVFHQLLYFNRLYDHQTETARKHSTFRLLAPGQSQIYTTDLQNIEHVLKTNFDKYAKGKYNQDVFGDLFGTGIFAVDGDKWRQQRKVASFEFSTRVLRDFSCTVFRRSAIKLVRKVEEYSQANQVFDMQDLLMRSSLESIFKVGFGVDLNCIEGEGLGSSKEESMMFMKAFDDSNELTYWRYVDPFWTLKRYFNIGSEASLRKSIKIIHDFVHKLITAKRKQLDSDVKSEKEDILSRFIRESKKDPKTMTDEYLRDIILNFVLAGKDSSANTLSWFFYMLYKNPLVGEKLDREIEEAFGNLKEKASSSVEDCIASITDDEVLQKMHYLHATLTETLRLYPAVPVDGRCAEIDDVLPDGFKVKKGDGVYYMSYAMGRMPYIWGDDAEEFRPERWLKDGIFTPESPFKFTAFHAGPRICLGKDFAYRQMKIVSIALLHFFRFKLSDDSKKVTYRTMFSLHISGGLHLTAVSRRNLSECTNVNAKHIISRIWI >itb01g15500.t1 pep chromosome:ASM357664v1:1:18217029:18219503:1 gene:itb01g15500 transcript:itb01g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRADSQVFLFANSKCKRYFHNRLKPSKLTWTAVYRKQHKKDLAQEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKSQKAGKGNIPKGAAPAKGPKLGGGGGKR >itb09g15450.t1 pep chromosome:ASM357664v1:9:10720136:10722470:-1 gene:itb09g15450 transcript:itb09g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGWRGMGSFGLHVITGRWFMVFASLLIMAMAGSTYIFGLYSGEVKKSLGYDQTTLNLLSFFKDLGANVGIVSGLINEVTPPWVVLFMGAIMNFFGYFMIWLAVTGHIAKPKLWQMCLYICIGANSQTFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIVTQLFHAFYGKDGKSLILLIGWLPAVVSCVFLRTVRVMKVTRQTNEVKIFYKLLFISLGLAGFLMIIIIVQNRITFTRPLYAGSATVVVVLLFAPLFVVFKEEWTVWGAKQREVNENEPPQVKVESLELASNLEAVHPAKLSDVGTSCFSNVFNPPPRGEDYTILQALFSIDMIALFTATTFGVGGTLTAIDNLGQIGRALGYPAESITTFVSLVSIWNYLGRVASGFFSEIFLAKYKFPRPLMLTMVLLLSCVGHLLIAFGVPNSLYVASVLMGFCFGAQWPLIFAIISEIFGLKYYSTLYNFGGGASPVGAYLLNVRVAGHLYDKEATRQLITKGLKRSDGEDLTCIGVECYKLAFLIITGATFVSVIVSAILVIRTRTFYKGDIYKKFREQGGGAVETAAANRPTEPPARADQ >itb05g17580.t1 pep chromosome:ASM357664v1:5:24458989:24459416:1 gene:itb05g17580 transcript:itb05g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDPQKLKTIAAAAYDYENDPINGLPLRRRRSLLARKFYQRYKYLACIFAVRKLRSFNYKTICSADIIFTSK >itb04g07370.t1 pep chromosome:ASM357664v1:4:4979292:4980424:-1 gene:itb04g07370 transcript:itb04g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRPCMAFGVLLVYSLILLLSSSECQGQLSPTFYDKTCPNALNIIRTAVRQAVSRERRMAASLVRLHFHDCFVQGCDASILLDETPTMESEKTALPNLGSARGYDVIEAAKRELEKSCPGIVSCADVLSVAARDASTAVGGPSWTVKLGRRDSTTASHTIAETDLPGPFDNLDKLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRNRIYGNGTDIDAGFASTRRRQCPTDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYARSPRAFQADFASAMIKMSEIQPLTGQNGIIRKVCGALN >itb04g16200.t3 pep chromosome:ASM357664v1:4:17576701:17578874:-1 gene:itb04g16200 transcript:itb04g16200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCVLKVNIHCEGCKNKVKKILQRIEGVYTINIDSEWGKVTVSGNVDSGTLIKKLMKKGKHAELWGSQKANSNNQNHQLKNLQIDSGKGGNGNKGKGEKGTNIPNQMQMKGGNPGMVLPQQLQQMKGVQDMKILPPQFKDLKLPAMNLGGGNPKTVKLDLPEEDGFTDDDEFDDDDDEYDDDDEYDDDEDDFDDEMDSMPPNKMKPVMGNGGGGAQMPNIMHLMNGNGKKGGIMPQMNMGGGNQIQGGGSPKKSGKNGGGHPQDGKNFGSNGGQNKNNGGGGGGHGGGGGGGGPNFNGNGGNKGGGIMNNGLPSMPNMMAMKANVPNMGPMPTSQIGHHMGQMGNNLPMGQIPAVQGLPAPGMSSARSNGGYFQAPGPEILAGNPYQQHQMAAMMMNQQHANGNERFQPMMYAPQPPAVNYLPPPYPYYPYPPPSDNYGSMFSDENPSACKVM >itb04g16200.t2 pep chromosome:ASM357664v1:4:17576847:17579253:-1 gene:itb04g16200 transcript:itb04g16200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKHAELWGSQKANSNNQNHQLKNLQIDSGKGGNGNKGKGEKGTNIPNQMQMKGGNPGMVLPQQLQQMKGVQDMKILPPQFKDLKLPAMNLGGGNPKTVKLDLPEEDGFTDDDEFDDDDDEYDDDDEYDDDEDDFDDEMDSMPPNKMKPVMGNGGGGAQMPNIMHLMNGNGKKGGIMPQMNMGGGNQIQGGGSPKKSGKNGGGHPQDGKNFGSNGGQNKNNGGGGGGHGGGGGGGGPNFNGNGGNKGGGIMNNGLPSMPNMMAMKANVPNMGPMPTSQIGHHMGQMGNNLPMGQIPAVQGLPAPGMSSARSNGGYFQAPGPEILAGNPYQQHQMAAMMMNQQHANGNERFQPMMYAPQPPAVNYLPPPYPYYPYPPPSDNYGSMFSDENPSACKVM >itb04g16200.t1 pep chromosome:ASM357664v1:4:17576593:17579471:-1 gene:itb04g16200 transcript:itb04g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFVKIQTCVLKVNIHCEGCKNKVKKILQRIEGVYTINIDSEWGKVTVSGNVDSGTLIKKLMKKGKHAELWGSQKANSNNQNHQLKNLQIDSGKGGNGNKGKGEKGTNIPNQMQMKGGNPGMVLPQQLQQMKGVQDMKILPPQFKDLKLPAMNLGGGNPKTVKLDLPEEDGFTDDDEFDDDDDEYDDDDEYDDDEDDFDDEMDSMPPNKMKPVMGNGGGGAQMPNIMHLMNGNGKKGGIMPQMNMGGGNQIQGGGSPKKSGKNGGGHPQDGKNFGSNGGQNKNNGGGGGGHGGGGGGGGPNFNGNGGNKGGGIMNNGLPSMPNMMAMKANVPNMGPMPTSQIGHHMGQMGNNLPMGQIPAVQGLPAPGMSSARSNGGYFQAPGPEILAGNPYQQHQMAAMMMNQQHANGNERFQPMMYAPQPPAVNYLPPPYPYYPYPPPSDNYGSMFSDENPSACKVM >itb04g16200.t4 pep chromosome:ASM357664v1:4:17576593:17578514:-1 gene:itb04g16200 transcript:itb04g16200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKHAELWGSQKANSNNQNHQLKNLQIDSGKGGNGNKGKGEKGTNIPNQMQMKGGNPGMVLPQQLQQMKGVQDMKILPPQFKDLKLPAMNLGGGNPKTVKLDLPEEDGFTDDDEFDDDDDEYDDDDEYDDDEDDFDDEMDSMPPNKMKPVMGNGGGGAQMPNIMHLMNGNGKKGGIMPQMNMGGGNQIQGGGSPKKSGKNGGGHPQDGKNFGSNGGQNKNNGGGGGGHGGGGGGGGPNFNGNGGNKGGGIMNNGLPSMPNMMAMKANVPNMGPMPTSQIGHHMGQMGNNLPMGQIPAVQGLPAPGMSSARSNGGYFQAPGPEILAGNPYQQHQMAAMMMNQQHANGNERFQPMMYAPQPPAVNYLPPPYPYYPYPPPSDNYGSMFSDENPSACKVM >itb11g05510.t1 pep chromosome:ASM357664v1:11:3259146:3260123:-1 gene:itb11g05510 transcript:itb11g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAFCYAVILGMLVAEAMAAGSADLEAYPRKLMVNRSLLSAQQSRTEGSVADPPIPGGQDSSSEGKGEAGAPESVETTEHHHSDKSVAGGGVIIGGLVTAIFAAVYCYIRVTRKRVDGDQRSVY >itb03g28520.t1 pep chromosome:ASM357664v1:3:29261292:29262541:-1 gene:itb03g28520 transcript:itb03g28520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQRYVLFERLCLPTVLLIFYPVHFDKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb05g07530.t1 pep chromosome:ASM357664v1:5:9801379:9803033:-1 gene:itb05g07530 transcript:itb05g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNQSSRRLLPLPLSAGRPPIARRHHRATADTTAPVAFCRTSTDLSPDAQAIIEPADRIRHRHQPFYRRAVRSLTVAAGLAGTQRRRRAAAARGLHPPSPPDSQERIGDIGPFIAGVPISSSTRTFGGPMTIPGRRSKAEIGQNRSDLTT >itb05g19000.t1 pep chromosome:ASM357664v1:5:25636174:25637892:-1 gene:itb05g19000 transcript:itb05g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTPNMAKAKPSSSFPRDKSFFLAIFFGVFLFCVVWSFTDPFPNFSALLSAQNFSVDRAAVDRGYESSERSFYDDPELSYTIDKPVVGWDQKRREWLKLHPSFGAGAGRRVLLLSGSQPWPCKNSHGDHLLLRFFKNKVDYCRIHGYDIFYSNAFLKPDMRSFWAKIPVARAAMLAHPETEWVMWVDSDAIFTDMDFKIPLERYKDHNLVVHGWRDQIEKKSWVAVNAGIFLLRNCQWSMEFLDVWAAMGPQSPDYKKWGQIQRSTLKNKMFPDSDDQSALVYLLLKGEKKWTDRIYVENEYSLHGYWRGVVGRLNNITERYVEIERREAVLRRRHAEAVSESYAAAREPHVAAGGDWREGWRRPFITHFTGCQPCSGDHNPMYEGDSCQVGMERALNFADNQVLRNFGFSHSDLDTSSPLLPLPYAYPAENDFEAFV >itb05g25690.t1 pep chromosome:ASM357664v1:5:29956176:29957252:-1 gene:itb05g25690 transcript:itb05g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDWADDEWELVNDDGFVYKRKKRPRLDPPTTSSSSHPPLPDPTAEESNRRERKRTALVKLKQKYQREIRQWEHLSNTLKAMQEKASIQQQTHPELPTPSSDHAASTSAPPGNSSRQLIDELLSQAEIQEAIICDISNLCDVAEALCSAQEERLKKSFIDLPIWAKSPRELITSLCEE >itb09g00340.t1 pep chromosome:ASM357664v1:9:313030:317009:1 gene:itb09g00340 transcript:itb09g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDFVRPISSRANRRPDRANHQSRDGEAQFLLGGKLYQDYQMKILWKKGFIRLVLVAGIIWMFLICIVLLFHLWSCQSSFAFFSALCNKDSKFFGMLNTMGLVTPPHRCPIPVADNTDKIVIPEQKSTENFVQSLSYVVENVTVHSGSSSLPLFGGNQTWKQRDESFKVKPSMKVHCGFMPKGGAEMDKKDIEYAKKCRFVVASGIFDGYDTPHEPSNVSARSQKLFCFLMTVDEVSLDFIKKNVTVREDNDGGLWVGIWRLVLLKNPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLMLERYLWRGKHTFAIAQHKHHQSIYEEADANKRRKRYARPLIDLHMKIYRYEGMEPWNPDKGTVSDVPEGAVIVREHTAMNNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGKFKFLMFPNCEYYSIFILHPHTREHSSKVEWVKSLDEFKKDSSGLKESRGGFGLWSPYPGNLKSVVLPNVTRKSKAG >itb09g00340.t2 pep chromosome:ASM357664v1:9:313030:317009:1 gene:itb09g00340 transcript:itb09g00340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDFVRPISSRANRRPDRANHQSRDGEAQFLLGGKLYQDYQMKILWKKGFIRLVLVAGIIWMFLICIVLLFHLWSCQSSFAFFSALCNKDSKFFGMLNTMGLVTPPHRCPIPVADNTDKIVIPEQKSTENFVQSLSYVVENVTVHSGSSSLPLFGGNQTWKQRDESFKVKPSMKVHCGFMPKGGAEMDKKDIEYAKKCRFVVASGIFDGYDTPHEPSNVSARSQKLFCFLMTVDEVSLDFIKKNVTVREDNDGGLWVGIWRLVLLKNPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLMLERYLWRGKHTFAIAQHKHHQSIYEEADANKRRKRYARPLIDLHMKIYRYEGMEPWNPDKGTVSDVPEGAVIVREHTAMNNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGKFKFLMFPNCEYYSIFILHPHTREHSSKVEWVKSLDEFKKDSSGLKESRGGFGLWSPYPGNLKSVVLPNVTRKSKAG >itb09g00340.t3 pep chromosome:ASM357664v1:9:313030:317009:1 gene:itb09g00340 transcript:itb09g00340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDFVRPISSRANRRPDRANHQSRDGEAQFLLGGKLYQDYQMKILWKKGFIRLVLVAGIIWMFLICIVLLFHLWSCQSSFAFFSALCNKDSKFFGMLNTMGLVTPPHRCPIPVADNTDKIVIPEQKSTENFVQSLSYVVENVTVHSGSSSLPLFGGNQTWKQRDESFKVKPSMKVHCGFMPKGGAEMDKKDIEYAKKCRFVVASGIFDGYDTPHEPSNVSARSQKLFCFLMTVDEVSLDFIKKNVTVREDNDGGLWVGIWRLVLLKNPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLMLERYLWRGKHTFAIAQHKHHQSIYEEADANKRRKRYARPLIDLHMKIYRYEGMEPWNPDKGTVSDVPEGAVIVREHTAMNNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGKFKFLMFPNCEYYSIFILHPHTREHSSKVEWVKSLDEFKKDSSGLKESRGGFGLWSPYPGNLKSVVLPNVTRKSKAG >itb11g18160.t1 pep chromosome:ASM357664v1:11:18349157:18358519:-1 gene:itb11g18160 transcript:itb11g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSSGVEVLSWLKTLPVAPEYHPTLEEFQDPIAYIFKIEGEASKYGICKIVPPVGMPPKKTAIANLNKSLAARPHSTFTTRQQQIGFCPRRHRPVQKPVWQSGENYTLQQFEAKAKGFEKNYLKKGSKKGLLPLEVESLYWKATVDKPFSVEYANDIPGSAFAPKKADSVGAVGEGATVAETEWNMRGVSRAKGSLLKFMKEEIPGVTSPMVYIAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVIRLQGYGGEINPLVTFATLGEKTTVMSPEVLLNAGVPCCRLVQDVGEFVVTFPRAYHSGFSHGFNCGEASNIATPGWLRVAKDAAIRRASINCPPMVSHFQLLYDLALSFCSRMSKNIRTEPRSSRLKDKKKDEGEALVKDFFVQDLEQNNSLLHMLGRGSSVILLPRNSTENFIPANFQGSQFKVKPGLFSSIGCPDDAVETGKDLDLDYPLIGRKQGMKQTPGVCSNKGKSSPLRESSRLPISGRDSNVSSAIGHANGNMNTASERAYYNCDRSSEHGLFSCVTCGILCYTCVAIVQPTKAAARCLMSTDYASFSQWREAGGGTTAVDADTNVTMIGSSSGLMVRRPPDSLFDVSIKPTSQLSDESVGVVSTSRTHKVSSSLGLLASTYGDSSDSEADEAEADVSVKDSEGRSMDCSPEDEIPLQLVIDPYADHRQRRAQRDSETANRTSILSCSLQDRRAVPSCAPSAQKPPERAVKNALVAPFGDAPMPSDEDSSRMHVFCLQHAVEVEKELQLIGGAHIFLLCHPDYPKLEAQAKKIAKESGSDYPWNEILFQEATKDDEKLIRSALESEEAIHGNGDWAVKLGINLFYSANLSRSTLYRKQMPYNSVIYSAFGCSSPGGSPTSSENNMKVPGKHKRIVVAGKWCGKVWMSNQVHSLLAERVSDEQEIRRNASSRFVPDGKPPPMRSLECNLTTETATPACRTGKKRKSVTESRPPANSKSVKTDDDDPDEPLSIGFSQQKSKTNLRNKRIKREAAAEPQKDSDKKNKGGGVDFVSEDEVEGGPSTRLRRRAPKPVKQQLETKPAKQQLETKPVKAKPALKKPEPKKPKQQENKKAKKGGGGPAMKVPAGNSSNPKKTPAVKNPPISNSVNKKGVPNKAPSNSAKARDEDGEYPCDLEGCVMSFDSKQELVMHKKNICPVKGCGKKFFSHKYLVQHRRVHMDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSTKK >itb11g18160.t2 pep chromosome:ASM357664v1:11:18349157:18354123:-1 gene:itb11g18160 transcript:itb11g18160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNIRTEPRSSRLKDKKKDEGEALVKDFFVQDLEQNNSLLHMLGRGSSVILLPRNSTENFIPANFQGSQFKVKPGLFSSIGCPDDAVETGKDLDLDYPLIGRKQGMKQTPGVCSNKGKSSPLRESSRLPISGRDSNVSSAIGHANGNMNTASERAYYNCDRSSEHGLFSCVTCGILCYTCVAIVQPTKAAARCLMSTDYASFSQWREAGGGTTAVDADTNVTMIGSSSGLMVRRPPDSLFDVSIKPTSQLSDESVGVVSTSRTHKVSSSLGLLASTYGDSSDSEADEAEADVSVKDSEGRSMDCSPEDEIPLQLVIDPYADHRQRRAQRDSETANRTSILSCSLQDRRAVPSCAPSAQKPPERAVKNALVAPFGDAPMPSDEDSSRMHVFCLQHAVEVEKELQLIGGAHIFLLCHPDYPKLEAQAKKIAKESGSDYPWNEILFQEATKDDEKLIRSALESEEAIHGNGDWAVKLGINLFYSANLSRSTLYRKQMPYNSVIYSAFGCSSPGGSPTSSENNMKVPGKHKRIVVAGKWCGKVWMSNQVHSLLAERVSDEQEIRRNASSRFVPDGKPPPMRSLECNLTTETATPACRTGKKRKSVTESRPPANSKSVKTDDDDPDEPLSIGFSQQKSKTNLRNKRIKREAAAEPQKDSDKKNKGGGVDFVSEDEVEGGPSTRLRRRAPKPVKQQLETKPAKQQLETKPVKAKPALKKPEPKKPKQQENKKAKKGGGGPAMKVPAGNSSNPKKTPAVKNPPISNSVNKKGVPNKAPSNSAKARDEDGEYPCDLEGCVMSFDSKQELVMHKKNICPVKGCGKKFFSHKYLVQHRRVHMDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSTKK >itb02g10100.t1 pep chromosome:ASM357664v1:2:6494658:6496477:1 gene:itb02g10100 transcript:itb02g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDNTSNMKAIVATIASFTATAMLVRSVARDFVPKEFRHYFFDGVHSLYRRFSTQFTIVIEEFQGVSVNQLFEAVEVYLGKLEHPSTKRVRLGKTENDKGLVLSMDGNEEIVDYYENVELKWRFICIKFDSSKQNDDARDLNASLRSELRVYELSFHKKHKEKVVQSYLPHILDKSKAIKDEAKETKFHSNFRGWASSDIILQHPMTFDTLAMDGKAKDELIEDLNNFVAGKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMSNYLNYDIYDLDLTEVRTNSNLRSLLLGMSSRSILVIEDIDCSIKLENRESGEEKENRHNRVTLSGLLNFLDGIWSCCGEERIIVLTTNHIDRLDPALLRPGRMDMHIHLSYCKFSAFKQLAVNYLGIHDHEMFPEIEELLEEVEVTPAEVAGELIKSKNTSLSLQGLIEFLHNKKSERVKAEANRDIPLQNGHDEKELESLTQDKEKLANGIAQDNKKLAV >itb01g27500.t1 pep chromosome:ASM357664v1:1:32290625:32292138:-1 gene:itb01g27500 transcript:itb01g27500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMNEEAMDLVLIPAPFMGHLISDVEMAKRLLQTQHQLSITVLLMKLPLDPNLNSCVESLLAHNDCSRLKFISLPEHLPAAAAASNGFTSFNFFVESHKPNVRDCVNEMKSDVRRLGGFVVDGVCMAMMDVADEFGLPTYVYHTSGAAMLGLQLHLQSLRDDHGVDVTEFKDSDPHLNISTYSNPFPTKLLPLVALDKTGGSAYFLDIAKRMRKAKGILVNTFLELEQHALESLSKDVSVPPVYPVGPIVNVKGNKIQESSEKEILKWLDDQPDSSVVFLCFGSCGSFPESQVKEIAYALEQSGHRFLWSLRRPPSDGSIFLTDYNNLLILPEGFLERTKSIGKVIGWAPQTAVLAHPAVGGFVSHCGWNSTLESIWFGVPIATWPIYTEQQANAFQLVEEIGIGVEVKMDYRYYFMGEFETLPKKVHAKEIEIAITVLMDHPTINPLRMKAKELKGKGRRALEEDGSSFNSFKCFFEHVMNNVK >itb01g00120.t1 pep chromosome:ASM357664v1:1:84877:90883:-1 gene:itb01g00120 transcript:itb01g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSAGVVSPMLIHHQQLGGYLPHSMAKKRSLPFQPINMSQQRNPLDTWNPKAWEWDSTRFLAKRKEYDGFQPGTGAELQLRRDGAVPVQPKRREEQMDDPKKTIHVVEDDETLRLNLGGTGGCKLNAAEELALQPNKRVRSGSPNGGNYPMCQVDNCRENLTNAKDYHRRHKVCEIHSKVSKALVRDQMQRFCQQCSRFHLLLEFDEGKRSCRRRLAGHNKRRRKTQPDDTTSRPLLPGNNDNSGNRNLDIVNLLAVLASEKGNNDPRSANIPLVPDKDQIMEILSKIKSLPLPANLVDKLPASGSSSQTSANQLPPGFKANESISSPSTLDLLSPLSATPAAGSSDAVDIQSQRSSQGSDTERSTLTCVEKVKWLNLQERPPLELLSVQGDRTSASYQSTDDLDFQVHETRSHLPLQLFSSQTEDDSPPRLAGCGKYFSSESSNPSAERSPSSSPHVEHKLFPMQISSETVKPNCTFASREETQENKNLNATKKSGCITSLKLFGGSITGADICSIQNPAYRTGYTSSYGSDHSPSSTNSDARDRTGRIIFKLFDKDPSHLPGTLRTQIYNWLSKSPSDMESYIRPGCIVLSLYLSMPPSVWEQLEEDLFQYANALVKEVDPQFWASGRFLIRTDKQLALHKDGNIRVCKLWREWKSPELIYVSPLAIISGQEIYLTLKGRNLNFPGTKINCTHAGGYTIRSVPTSACQENACEEIILGNFVIDDKDPSLLGRCFIEVENGFGGSTFPVIIADNAVCEELRCLESEIIEIVKVPKSVLEHGNQDFWMPRSREEVVHFLNELGWLFQRKGNSSMFEGPDFMISRFKFLFMFSVEHDFCAVVKTLLDILLEITLVREASRESLEMLFELHLLSRAVKRKCRKMVDLLVHYSICASGGSSIKYIFTPNLTGPGGITPLHLAACTSNSDDIVDALTSDPQEIGLHCWESVLDENGLSPCAYASMRNNLSYNKLVSQKLSVRENCKEICLVIGNEVEEQEHKPPPPPPAASQVNKEPKSCSKCASAVIMRYNRPSGPRGLMYRPYIHSLLAIAAVCACVCIFFRGSPDIGSVAPLKWENLDFGAI >itb03g10840.t1 pep chromosome:ASM357664v1:3:8698495:8701645:1 gene:itb03g10840 transcript:itb03g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPRTRTLVRLSLLPSSMAKKRKLVKKSEASKSHKKKIKKINNNTNPPNLAPPEDPISDSESSPDNIQSLLEPYSKDQLIALAVDAALQHPSLLSLIRSSAHSDISHRKIFVYGLGFDVTRYTLLSAFQPYGEIDDCNVVTDRQTGNCKGYAFVVFKNRKGAVKALKEPRKKIGNRFASCQLASTGPTSPVAGSVQQDLSSRKIYVSNVSQDADAKRLRDFFGKFGEIECGPFGIDPHTGKWKGYALFVYKTAEEAKKCLEEPYKMFEGRQLHCEKAAEGKSGGKFGGGAAGITTAVVQQPFQPLQAGPEVLNAIAAAQNLAMLGSNPSAALLNPFYSGFLGNPNLGMLNPVLGMGQVGQVSGPEMTAPGYGTLIGGSGANNLMLGTYGSGGSSGGMPRGLMHAYPNLLAAQASASAKAPENNGYSSQL >itb05g03750.t7 pep chromosome:ASM357664v1:5:3192464:3201244:1 gene:itb05g03750 transcript:itb05g03750.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAAVANKSSEVELKDPTKLSNQFAPEHAGKSLTGRSGSLQVRSNEQPLQLATQDLNQNIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb05g03750.t4 pep chromosome:ASM357664v1:5:3190503:3201302:1 gene:itb05g03750 transcript:itb05g03750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAKMTRKMPHSHTFVSSLPKISGTTSKSGGSSLNVRNRNNFKKTTGPSLVRNTNAILDNSKLQDLRQLIAVRENELKLKSAQQSRNVSSTKCRDPSVTVTSNAMASARRATDGENLHPELKEPSNKLQRISEDCSSQMVENVQVIDTALASGKSMLENCGQPGQCDRNSCNEKFPSGEPCTGLGLNHSENENHGSIVLTKLASGTDVVTSRNQSGWKTNLRDRVMASEQAAVANKSSEVELKDPTKLSNQFAPEHAGKSLTGRSGSLQVRSNEQPLQLATQDLNQNIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb05g03750.t1 pep chromosome:ASM357664v1:5:3190503:3201302:1 gene:itb05g03750 transcript:itb05g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNKLEKQMAVAEAASNRNSMENPNTSGRPALPDNKVSSISREEGELSASDDDENQHLSSTSLFAGVNTAQVKPMEVELVKDSQGVEAGRHVSSKPLARLSSQASHESNCNKNSDKNRGSFVPFLISFSDDDSGSDSGDTRQRKILATESRSLSLDKSRKPPQAAVAVRRPQKLEKVMRNEAKMTRKMPHSHTFVSSLPKISGTTSKSGGSSLNVRNRNNFKKTTGPSLVRNTNAILDNSKLQDLRQLIAVRENELKLKSAQQSRNVSSTKCRDPSVTVTSNAMASARRATDGENLHPELKEPSNKLQRISEDCSSQMVENVQVIDTALASGKSMLENCGQPGQCDRNSCNEKFPSGEPCTGLGLNHSENENHGSIVLTKLASGTDVVTSRNQSGWKTNLRDRVMASEQAAVANKSSEVELKDPTKLSNQFAPEHAGKSLTGRSGSLQVRSNEQPLQLATQDLNQNIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb05g03750.t3 pep chromosome:ASM357664v1:5:3190503:3201302:1 gene:itb05g03750 transcript:itb05g03750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVKDSQGVEAGRHVSSKPLARLSSQASHESNCNKNSDKNRGSFVPFLISFSDDDSGSDSGDTRQRKILATESRSLSLDKSRKPPQAAVAVRRPQKLEKVMRNEAKMTRKMPHSHTFVSSLPKISGTTSKSGGSSLNVRNRNNFKKTTGPSLVRNTNAILDNSKLQDLRQLIAVRENELKLKSAQQSRNVSSTKCRDPSVTVTSNAMASARRATDGENLHPELKEPSNKLQRISEDCSSQMVENVQVIDTALASGKSMLENCGQPGQCDRNSCNEKFPSGEPCTGLGLNHSENENHGSIVLTKLASGTDVVTSRNQSGWKTNLRDRVMASEQAAVANKSSEVELKDPTKLSNQFAPEHAGKSLTGRSGSLQVRSNEQPLQLATQDLNQNIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb05g03750.t6 pep chromosome:ASM357664v1:5:3190546:3201244:1 gene:itb05g03750 transcript:itb05g03750.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAKMTRKMPHSHTFVSSLPKISGTTSKSGGSSLNVRNRNNFKKTTGPSLVRNTNAILDNSKLQDLRQLIAVRENELKLKSAQQSRNVSSTKCRDPSVTVTSNAMASARRATDGENLHPELKEPSNKLQRISEDCSSQMVENVQVIDTALASGKSMLENCGQPGQCDRNSCNEKFPSGEPCTGLGLNHSENENHGSIVLTKLASGTDVVTSRNQSGWKTNLRDRVMASEQAAVANKSSEVELKDPTKLSNQFAPEHAGKSLTGRSGSLQVRSNEQPLQLATQDLNQNIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb05g03750.t5 pep chromosome:ASM357664v1:5:3190503:3201302:1 gene:itb05g03750 transcript:itb05g03750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELRLFSWAIFTCLGYAVFSCTDSLLVIYYGHCSNFVFIFLNYFFLFENIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb05g03750.t2 pep chromosome:ASM357664v1:5:3190503:3201302:1 gene:itb05g03750 transcript:itb05g03750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAKMTRKMPHSHTFVSSLPKISGTTSKSGGSSLNVRNRNNFKKTTGPSLVRNTNAILDNSKLQDLRQLIAVRENELKLKSAQQSRNVSSTKCRDPSVTVTSNAMASARRATDGENLHPELKEPSNKLQRISEDCSSQMVENVQVIDTALASGKSMLENCGQPGQCDRNSCNEKFPSGEPCTGLGLNHSENENHGSIVLTKLASGTDVVTSRNQSGWKTNLRDRVMASEQAAVANKSSEVELKDPTKLSNQFAPEHAGKSLTGRSGSLQVRSNEQPLQLATQDLNQNIQLLPSNLRQANISGNSSMDLQSLLDMEELHDKDLEEAQEYRHKCEIEERNALKAYRKAQKALIEANARCSALFSKREKYSAQLRVLMIENPDVLFSTGSQLATGGALNPSTTSGANMPLIPSSCCPAQLAFNGHDVDFHSGFDAPQNVSDRHVDRDKLASDPFSEPDVSTSELNKENHEAHGVCLQSDDASMSMEEEDAYRKSPQNSSEYQGEGTFGVAQEKEVNNGSGRQLFTDSSQDSLLLEASLRSQLFERLKMKTLPKKLDQSHSVEPMTESMLEVDVGQRMGMSSGNISSSEVEKEKEKASDFQVFSDKADVESDVLIEINGHCNNEKFGSNFTPPLSTDHLSSCISIDHHQSQSSSSATFSLPALRSAFSHLKVLGPTNSDQLQTRSMNIQASHVHDENDDGSMGNIMDTDASASMDLRCNVNGSYFCKFAIDPLWPLCMYELRGKCNNNECTWQHFRDYSCENNMNTTCNSSDFKDGSAIHGGKFCATNSLTMSLDCLLLAPPTYLVGFNVLKTDLHSCKSIGAQSFSEHQLKCYSGFLVLSSLLPTDLTSKEPFLHGSEARVEVHGGWNRQLLYFHSRNGTLVEGDHLFSDNDRSVELALLSLCQEANKSKGRIEALKVLSRAIEADPTSALLWIVYLLIYYSNQKCIGKDDMFQYAVEHNEEAYELWVLYINSREKLDELLTAYDAAISALCRHASTSNRDTLFASECILDIVLQMMNFLCMSGNAKKAIEKIHELFPTTKGSDKADQLFLPDIVTCLAISDKCIFWVCCVYMVVYRRLPNAIVKQLECQKELSAIEWSSTQLTADEKQQAVSLMELAVDSLALYIDRESLEDESNLRAAHLFALNHVRCIAVLEGLECSRNLLERYIKLYPSCLELVLMSARLEHDFCNSSYEGFEEALDNWLDEVPGVQCIWNQYAECAFGDGRLDVVKEVMDRWFQSLDRIGSKGSNDSPQLASVSDVHSWLSGSSQNDIVFGLLNSAIYKLLRNDQTEARQALDRALGAANHENYSHCVRELILFLTADSLQCNDCTRVGSALQVLRGYLFDTRASLASEPLSRKFIQNIKKPGLKQLAGKLLSPVPSDPSLVNSILEVLFGPSLLPHTYNKLSDMVDLVESLMEILPSNYHLAISVCKWLSRVSNTTSLSANVSFWASSLLSNALLQAIPVAAEHVWVEAANLLHSMTNSVAISINFHRRALSIYPFSIKLWKSYVNLSMATGDAESVKEAAREKGIDLN >itb06g15260.t1 pep chromosome:ASM357664v1:6:19609480:19614649:1 gene:itb06g15260 transcript:itb06g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKRLLLLIVSVFLYVSTGATSLENRKSAEEDLLASLIKYGGINHDQAELIWLNCRIELIHAKEAVEDPEFSVRVDKYKGNRERVSNGRSSTKEETQKIVNILHPFVKQTLLGCLREKNLMFLVSGEESVSKTWYSKCLDFLFVRSCAPKQRELFQSCGEIPAPSPIVASHSPKPSMPKVAKSSPHADPPVQPFNPRKLSDTATVKNSKTNLSYSLKAQSEQSSSAPVAAAAVLVLVAVALLSICCYMICGGGSGKGQNDERPLLSLSSAASSQKSFSLGSSLSDSKSGIHSFHNSNDKMDCNLLMEPQTLGSSRMENPVGNGSTRVTPIEDSAPLKPPPGKAGLPPLKPPPGRTVLPPPPGKTAPPASAPEPTPAPEPEPEPESETAPAATTTPTTTTTSTSPPPPPPNAASSGPPAPPPPLRPSSGGPAAPGPPPLPIPSGGKAGPLPPPPPGGAGRGGPPPPGPGPPRPPGPGGGRLVGFRPPMAPFGPSYEDDSNKAKLKPFFWDKVLANPDNTMVWNQIRGGSFQFNEEMIETLFGAAPDKNKAGTKKEAAEEKPQYIQIIDQKKAQNLSILLKALNVTTTEVCDALKEVLKLLLTFYSESGNELPAELIHTLLRMAPTTEEELKLRLFSGELTQLGLAERFLKVLVEIPFAYKRLETLLFMCTLQEEAAMVKESFLTLEVGINFS >itb06g15260.t2 pep chromosome:ASM357664v1:6:19607424:19616613:1 gene:itb06g15260 transcript:itb06g15260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPPLSRPTTKGGRVASSSAQIYEEGTAIASPLNKVDEGGDRSSHLLYTNFFFRKINGAWRMKLLPPSIITVEAALPPPMMKEEAELIWLNCRIELIHAKEAVEDPEFSVRVDKYKGNRERVSNGRSSTKEETQKIVNILHPFVKQTLLGCLREKNLMFLVSGEESVSKTWYSKCLDFLFVRSCAPKQRELFQSCGEIPAPSPIVASHSPKPSMPKVAKSSPHADPPVQPFNPRKLSDTATVKNSKTNLSYSLKAQSEQSSSAPVAAAAVLVLVAVALLSICCYMICGGGSGKGQNDERPLLSLSSAASSQKSFSLGSSLSDSKSGIHSFHNSNDKMDCNLLMEPQTLGSSRMENPVGNGSTRVTPIEDSAPLKPPPGKAGLPPLKPPPGRTVLPPPPGKTAPPASAPEPTPAPEPEPEPESETAPAATTTPTTTTTSTSPPPPPPNAASSGPPAPPPPLRPSSGGPAAPGPPPLPIPSGGKAGPLPPPPPGGAGRGGPPPPGPGPPRPPGPGGGRLVGFRPPMAPFGPSYEDDSNKAKLKPFFWDKVLANPDNTMVWNQIRGGSFQFNEEMIETLFGAAPDKNKAGTKKEAAEEKPQYIQIIDQKKAQNLSILLKALNVTTTEVCDALKEVLKLLLTFYSESGNELPAELIHTLLRMAPTTEEELKLRLFSGELTQLGLAERFLKVLVEIPFAYKRLETLLFMCTLQEEAAMVKESFLTLEAACGKLRKSRLFLKLLEAVLKTGNRMNSGTYRGGAQAFKLDTLLKLSDVKGKDGKTTLLHFVVSEIIRLEGLRSARASRELRSLSSIKSDDLSLELPQESDEQILSLGLEVVSDLGTELEHVKRAALIDSDNLTGTVARLGHGLLNTKNFLNTDMKSVNEETGFRETLESFMQSAESQVMGLLEQEKQIMALVKSTGDYFHGNSGKDEGLRLFVIVRDFMLMLEKVCTEIRAQHKKNKAAKKENPSGAPSEQPAKSSTQPVSKPSEPAQSSTQPLSKPSEPAQSSTQPVSKPSEPAQSSTQPVSTPSEPAPSTRPVSTPSSEEPAQSTQPGSTPSSSEESIQSTQPVSTPSEEPVQSTQSVSTPSEEPAQSTQPVSTPSKPPQPPPKRIPIPIPRPKRVDSSSSSDEDE >itb03g30330.t1 pep chromosome:ASM357664v1:3:32078173:32080072:1 gene:itb03g30330 transcript:itb03g30330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNSSVLACSYAISGAASSEFISKHASMAATPISTTSSKLPAAIKCQKQEVRSAETANGINGRRAALLGLAAALFATAASSSSSANAGVIDDYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLELECEGKDKYKCGSNVFWKW >itb04g07240.t3 pep chromosome:ASM357664v1:4:4840735:4857142:1 gene:itb04g07240 transcript:itb04g07240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MNLSLTVDESSSGLFASSSSSTFRRIAPLRSELMGSPAWFPQEMQSSTPQTSVTSSSTAGLSSDPLPMTAIPPPIARTSSNNGMSPSIDSSRDIAQQKATGPPGYGVTLLPMSYAMKPNSQIPAGPLQPPVPGNSLFSYNISHPTTGMTGAPQFPTSMDMRTGITPETGVTGLSFATQSVPQQASPSFPTSNATTPTLSMIPAPSFQMPSGVPKAPATPGPPGIGSTIPLSSSTNAPFSSGDSSQSLRPIIPQAPFLSNPPIQQQAYTPYNSVSALQTPPQGPWLHAPASGLVRSPLPVYPASLTGPFPMLAGSMLHSSATFPDTQPPGVSTVAAPPGASASTTSAPQSIPSSGMQAEFPPGVDVSRHENDVVTRGVAVNSKNLDAWTAHRTETGTVYYYNALTGVSTYEKPAGFKGEPEKVIAQPTPVSWERLYGTDWALITTNDGKRYYYNTKTKLSSWQIPVEVAELKQRLDSDALKAQAMPMANTNVQPEKESAPLLTPAINTGGRDAATLRPSGMQGTSSALDLIKKKLQDSGSPATIPTTPALSGASDLDGIKAGDSTVRGPQKENSKDKSKDTNDDGNLSESTSDSETEDSGPTKEELIIQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSERKALFEHYVKTRADEERKEKRAAQKAAVEGFKQLLEEAKEDINHNTDYQTFKKKWGNDPRFEALDRKERDALFNERVLFLKRVAQEKAQAARATVISDFKSMLREKGDITSNTRWSKVKDNLRNDPRYKAVKHEDREVLFNEYLSELKAAEEETARVAKAKYDEEEKLKERERALRKRKEREEQELERVRLKTCRKEAVESYQALLVETIKDPQASWTESKPKLEKDPQGRAANPHLDQSDLEKLFREHIKTLYEVSSKSVTNCFIFL >itb04g07240.t1 pep chromosome:ASM357664v1:4:4840895:4857142:1 gene:itb04g07240 transcript:itb04g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MQSSTPQTSVTSSSTAGLSSDPLPMTAIPPPIARTSSNNGMSPSIDSSRDIAQQKATGPPGYGVTLLPMSYAMKPNSQIPAGPLQPPVPGNSLFSYNISHPTTGMTGAPQFPTSMDMRTGITPETGVTGLSFATQSVPQQASPSFPTSNATTPTLSMIPAPSFQMPSGVPKAPATPGPPGIGSTIPLSSSTNAPFSSGDSSQSLRPIIPQAPFLSNPPIQQQAYTPYNSVSALQTPPQGPWLHAPASGLVRSPLPVYPASLTGPFPMLAGSMLHSSATFPDTQPPGVSTVAAPPGASASTTSAPQSIPSSGMQAEFPPGVDVSRHENDVVTRGVAVNSKNLDAWTAHRTETGTVYYYNALTGVSTYEKPAGFKGEPEKVIAQPTPVSWERLYGTDWALITTNDGKRYYYNTKTKLSSWQIPVEVAELKQRLDSDALKAQAMPMANTNVQPEKESAPLLTPAINTGGRDAATLRPSGMQGTSSALDLIKKKLQDSGSPATIPTTPALSGASDLDGIKAGDSTVRGPQKENSKDKSKDTNDDGNLSESTSDSETEDSGPTKEELIIQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSERKALFEHYVKTRADEERKEKRAAQKAAVEGFKQLLEEAKEDINHNTDYQTFKKKWGNDPRFEALDRKERDALFNERVLFLKRVAQEKAQAARATVISDFKSMLREKGDITSNTRWSKVKDNLRNDPRYKAVKHEDREVLFNEYLSELKAAEEETARVAKAKYDEEEKLKERERALRKRKEREEQELERVRLKTCRKEAVESYQALLVETIKDPQASWTESKPKLEKDPQGRAANPHLDQSDLEKLFREHIKTLYERCAQEFRALLIATITTDTAAQETEDGKTVLNSWSTAKQLLKADPKYAKMPRKDRESLWRRHVEDIQRRQKLANEQEADKSRNRSSGDSSKFLAGSKRAERR >itb04g07240.t2 pep chromosome:ASM357664v1:4:4840879:4857142:1 gene:itb04g07240 transcript:itb04g07240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MGSPAWFPQEMQSSTPQTSVTSSSTAGLSSDPLPMTAIPPPIARTSSNNGMSPSIDSSRDIAQQKATGPPGYGVTLLPMSYAMKPNSQIPAGPLQPPVPGNSLFSYNISHPTTGMTGAPQFPTSMDMRTGITPETGVTGLSFATQSVPQQASPSFPTSNATTPTLSMIPAPSFQMPSGVPKAPATPGPPGIGSTIPLSSSTNAPFSSGDSSQSLRPIIPQAPFLSNPPIQQQAYTPYNSVSALQTPPQGPWLHAPASGLVRSPLPVYPASLTGPFPMLAGSMLHSSATFPDTQPPGVSTVAAPPGASASTTSAPQSIPSSGMQAEFPPGVDVSRHENDVVTRGVAVNSKNLDAWTAHRTETGTVYYYNALTGVSTYEKPAGFKGEPEKVIAQPTPVSWERLYGTDWALITTNDGKRYYYNTKTKLSSWQIPVEVAELKQRLDSDALKAQAMPMANTNVQPEKESAPLLTPAINTGGRDAATLRPSGMQGTSSALDLIKKKLQDSGSPATIPTTPALSGASDLDGIKAGDSTVRGPQKENSKDKSKDTNDDGNLSESTSDSETEDSGPTKEELIIQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSERKALFEHYVKTRADEERKEKRAAQKAAVEGFKQLLEEAKEDINHNTDYQTFKKKWGNDPRFEALDRKERDALFNERVLFLKRVAQEKAQAARATVISDFKSMLREKGDITSNTRWSKVKDNLRNDPRYKAVKHEDREVLFNEYLSELKAAEEETARVAKAKYDEEEKLKERERALRKRKEREEQELERVRLKTCRKEAVESYQALLVETIKDPQASWTESKPKLEKDPQGRAANPHLDQSDLEKLFREHIKTLYERCAQEFRALLIATITTDTAAQETEDGKTVLNSWSTAKQLLKADPKYAKMPRKDRESLWRRHVEDIQRRQKLANEQEADKSRNRSSGDSSKFLAGSKRAERR >itb12g24830.t2 pep chromosome:ASM357664v1:12:26239963:26243361:1 gene:itb12g24830 transcript:itb12g24830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEVVQERKNSKPPGIRVYEGITKSNLSYKTYQAIVLIVTFFAYAAYHAARKTTSVVKTALDPESTGGGSDSPWLRGYTQKPIQNLGSVRVLGNGGWSPFDGSDGTALLGELDVAFLFVYALGMYFSGHMGDRMDLRIFLSVGMVGTGLFTVLFGIGYWANIHFFYYYLTVQMLAGLFQSTGWPSVVALVGNWFGKKKRGLIMGIWNAHTSIGNITGCLVASVLLKFGWGWSLVVPGIIITSIALAVFLFLPVHPDTVRANKDEDETRFPEKEAEEVNEPLLKSDEEEESAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAIDGAYLSNEESGNLSTLFDVGGVVGGILAGYISDHLDARAITAASFMYCAIPALFFYRSYGHVSMALNIVLMLIAGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISAESWNGVFTMLMIAALIAGLLLTRLVVAEIAAKIQYSRSQAEPRSRSPALGSVNMA >itb12g24830.t1 pep chromosome:ASM357664v1:12:26240351:26243392:1 gene:itb12g24830 transcript:itb12g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEVVQERKNSKPPGIRVYEGITKSNLSYKTYQAIVLIVTFFAYAAYHAARKTTSVVKTALDPESTGGGSDSPWLRGYTQKPIQNLGSVRVLGNGGWSPFDGSDGTALLGELDVAFLFVYALGMYFSGHMGDRMDLRIFLSVGMVGTGLFTVLFGIGYWANIHFFYYYLTVQMLAGLFQSTGWPSVVALVGNWFGKKKRGLIMGIWNAHTSIGNITGCLVASVLLKFGWGWSLVVPGIIITSIALAVFLFLPVHPDTVRANKDEDETRFPEKEAEEVNEPLLKSDEEEESAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAIDGAYLSNEESGNLSTLFDVGGVVGGILAGYISDHLDARAITAASFMYCAIPALFFYRSYGHVSMALNIVLMLIAGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISAESWNGVFTMLMIAALIAGLLLTRLVVAEIAAKIQYSRSQAEPRSRSPALGSVNMA >itb12g24830.t3 pep chromosome:ASM357664v1:12:26240351:26243392:1 gene:itb12g24830 transcript:itb12g24830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEVVQERKNSKPPGIRVYEGITKSNLSYKTYQAIVLIVTFFAYAAYHAARKTTSVVKTALDPESTGGGSDSPWLRGYTQKPIQNLGSVRVLGNGGWSPFDGSDGTALLGELDVAFLFVYALGMYFSGHMGDRMDLRIFLSVGMVGTGLFTVLFGIGYWANIHFFYYYLTVQMLAGLFQSTGWPSVVALVGNWFGKKKRGLIMGIWNAHTSIGNITGCLVASVLLKFGWGWSLVVPGIIITSIALAVFLFLPVHPDTVRANKDEDETRFPEKEAEEVNEPLLKSDEEEESAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAIDGAYLSNEESGNLSTLFDVGGVVGGILAGYISDHLDARAITAASFMYCAIPALFFYRSYGHVSMALNIVLMLIAGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISAESWNGVFTMLMIAALIAGLLLTRLVVAEIAAKIQYSRSQAEPRSRSPALGSVNMA >itb01g26080.t1 pep chromosome:ASM357664v1:1:31328393:31330049:1 gene:itb01g26080 transcript:itb01g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAENDEFFAGRCTLVNGPVIVGAGPSGLAVAAGLKQQGVPFIILERTNCIASLWQNRTYDRLKLHLPRQFCELPYFPFPKDFPEYPTKCQFIEYLESYAKRFQISPVFNETVQSAKYDESCGLWRIKTVSGDDCCVSEYICRWLVAASGENAEKVAPEFEGMRDFGGPVMHACEYKSGEDYEGKRVLVIGCGNSGMEVSLDLCHHNAFPSMVVRSSVHVLPREILGKSTFELAVAMMKWLPVGVVDRILVAAARIILGNIEKYGLKRPEIGPLQLKNNEGKTPVLDIGTLQKIRSGEIRIVPGIKKFSPGKVELVNGEILEIDSVILATGYCSNVPSWLKENDFFSREGFPKSPFPNGWKGKSGLYAVGFTRRGLSGASLDAIRVAQDIGKIWKQDINQKNQSVSVAVACQCRSKAHF >itb06g22780.t1 pep chromosome:ASM357664v1:6:24971559:24973394:-1 gene:itb06g22780 transcript:itb06g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRGGAIPSRYDIWEFEFWCMNLGLVDAYSRGKFFTWSNGSEEAKLDRCLINDSNNLACKAEFKNMASISDHTPIVISIFTQKKSGNIPFKFLNMWSNHHNFKDLVKNVWAESVVGTQQYILSQKLKALKHPLKSLNRREFGHISERVKKANDEFTEAHEAMDVLNADPSERELVRKLREKALFLMEAERQYFNQKLNTKHLLESDRGSSYFHGLINKRNASRVISSILDSNGNPTTSLDQVGKLVVEYYSDLLGKSQHRENIKETYLRQGPRYSDRTTSGEIFGGSSCCPETQSGELLSLD >itb01g27990.t1 pep chromosome:ASM357664v1:1:32556674:32558285:1 gene:itb01g27990 transcript:itb01g27990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRAGSFITIFVWAVLCFVFFNEALATGVTTFNVVDLGAEPDGETDAAEIFQRAWCAACGSPDPAKIYVPEGMFLIRQAYFSGPCENNNIVFEIWGTLVAPSDYNVIGNDDNWLAFEHVDGVAIIGGKLYGQGAGLWACKDSGNECPKGATNLSITNSKNVVVTGLLSLNSQMFHVVVNRCGRVTMQDIEIKAPGDSPNTDGIHVQLSYDVTILNSKITTGDDCISIGPGAKNLWMENILCGPGHGVR >itb11g08430.t1 pep chromosome:ASM357664v1:11:5539426:5540043:-1 gene:itb11g08430 transcript:itb11g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSKKLLLSATPAGSSNKIRDIVRLRQLLKKWKKLAATNSAAANATADTATTKTGTGKSINKFLKKTLSFSDVSAAGGGGDAAVPKGCLAVQCVEKSGEVKRFVIPTEYLAHDLFGVLLREAEEEFGFQTEGILKIPCEFAVFEKILKAVQQQDGKRPPAPPQQQPIFQLHDLGELANTTCYSPDAHAHHPAAGIPPQTQMCR >itb11g07210.t1 pep chromosome:ASM357664v1:11:4409173:4412447:1 gene:itb11g07210 transcript:itb11g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLCTGKSKNKTKQQQSNKSDDQIPSTLDKVKLDSSLDERKEGSKNGGSGRIAAHTFRFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELSPDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLDESYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDTSRAAGEQNLVAWARPLFKDRRKFSQMADPTLQGQYPVRGLYQALAVAAMCVQEQPTMRPLIADVVTALNYLASQNYDPDTQPVQRVRSGNSTPRTRREAW >itb11g07210.t2 pep chromosome:ASM357664v1:11:4409173:4412447:1 gene:itb11g07210 transcript:itb11g07210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLCTGKSKNKTKQQQSNKSDDQIPSTLVSDKVKLDSSLDERKEGSKNGGSGRIAAHTFRFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHELSPDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLDESYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDTSRAAGEQNLVAWARPLFKDRRKFSQMADPTLQGQYPVRGLYQALAVAAMCVQEQPTMRPLIADVVTALNYLASQNYDPDTQPVQRVRSGNSTPRTRREAW >itb07g05840.t1 pep chromosome:ASM357664v1:7:4036953:4038752:1 gene:itb07g05840 transcript:itb07g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFDMYAYDEGRQHQQQEPRKKSKMALLLLVSRVVTSVALLVSIALTLTTKKTYQGYSIRYDDYHSYRYTFYVMIIGFVYNLLQIPLAIYFFFRGELLIRYSRFVKFQFYADKVMVVLLAAAVGATFGATSDLDKHARNESEWEHRLHDYWSLMYLPASILLVGFVTSLISAVISSETTLAKSQHS >itb02g04780.t1 pep chromosome:ASM357664v1:2:2823057:2825919:-1 gene:itb02g04780 transcript:itb02g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MPLHFFFSFFLLLSATLSGLGFPFNNAGNSTFSALLQLQEDDMASLLLFKSQLQDPFHSLSSWEDGGFNWTGVTRSNQTGRVTILDLTGLNLSGQVHSSLCNLTFLETLLLSRNSFSGSIPACFGELLNLRTLDLSYNAFSGEIPGALENLSQLIDLDVGNNMLSGEIPVWMGNFSTKLEKLSLGFNGFRGEIPESLLLLASLKLLDLAHNNLVGKVGDFRQGMEYLNLESNGFSGTLPCFSSLKDSLSVLNLANNSLVGGIPTCISSLRGLTQLNLSFNGLRYGISPRFVFSEKLIVLDLSFNELSGNLPSKVVEAPEKSGLLLLDLSHNRFSGVIPVAITELKSLQGLFLSYNCLTGEIPERIGNLTYLQVIDLSHNLLSGSIPLNIVGCFQLLALMLNSNNLSGEIQPELDALDSLKILDISNNGISGEIPLTLAGCKSLEVVDFSSNSLSGSLSDAITKWSNLRYLSLSQNKFSGALPSWLFAFPGINTLDFSGNKFSGYIPDVNSNTSLNFNNNDVGRRFLSTPLISFRELSIKTSVIVADKTELSFNYDLSTVIGIDLSGNLLHGEIPESLFDLRGLEYLNLSRNFLDGQVPGSLGRMQNLKALDLSHNSLSGQIPENISSLGNLTILNLSFNCFSGIVPRKQGYWRFPGAFAGNPDLCVESPSDGCRTKNFAVKHGRTFEDMDDGPISVWVFCISAFVSFYGCVIALFCSARTRNYILQTKI >itb03g16310.t1 pep chromosome:ASM357664v1:3:15320577:15325570:-1 gene:itb03g16310 transcript:itb03g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSGDDTDISDSEIEEYEEKSYKKLKSGNLSVKVSDEAYTCPYCPKKRKRDFMYNELLQHASGVGTCNSNKRTAKDKANHLALAKYLQDDTAAAGPSKAEVEADPLADCDHDEMFVWPWIGIVVNLPTQLKEGRYVGESGSKLRDQYIRRGFNPTRVRPLWNYQGHSGTALVEFNKDWAGFSNAMSFENAYKGEQHGKKDWKASNGKKPDLYAWVARADDYHANNIIGENLRKIGDLRTISDLMEEEARKASKLVSNLTNVIEEKNLHLIEMENKCEETSASLSILIAEKDKLLQSYNEEIKKIQSNARDHLQRIFNDHEKLKSQLENQKQQLEVRGQELEKREARNESERKKLSEELEENAVRNSSLSAAAEEQRKADEKMMKLAEDQKRHKEELHSKIIRLEKQLDAKQAAELEIEQLRGALNVMKHMAGDEDNQEILNKVDGLLKNLREKEGELEDLEALNQTLIVKERKSNDELQDARKELVNGLKELPRSGPIGVKRMGELDSKPFQEAMSKKYGEMDADDRASELCSLWEEYLRDPGWHPIKVVTVDGKPKYVIDAEDEKLNDLKKNYGEEVCKAVTDALFEVNEYNPSGRYITSELWNYAQGRKADLKEGVEVLLTLWKKKRATDGY >itb03g16310.t2 pep chromosome:ASM357664v1:3:15320673:15325428:-1 gene:itb03g16310 transcript:itb03g16310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSGDDTDISDSEIEEYEEKSYKKLKSGNLSVKVSDEAYTCPYCPKKRKRDFMYNELLQHASGVGTCNSNKRTAKDKANHLALAKYLQDDTAAAGPSKAEVEADPLADCDHDEMFVWPWIGIVVNLPTQLKEGRYVGESGSKLRDQYIRRGFNPTRVRPLWNYQGHSGTALVEFNKDWAGFSNAMSFENAYKGEQHGKKDWKASNGKKPDLYAWVARADDYHANNIIGENLRKIGDLRTISDLMEEEARKASKLVSNLTNVIEEKNLHLIEMENKCEETSASLSILIAEKDKLLQSYNEEIKKIQSNARDHLQRIFNDHEKLKSQLENQKQQLEVRGQELEKREARNESERKKLSEELEENAVRNSSLSAAAEEQRKADEKMMKLAEDQKRHKEELHSKIIRLEKQLDAKQAAELEIEQLRGALNVMKHMAGDEDNQEILNKVDGLLKNLREKEGELEDLEALNQTLIVKERKSNDELQDARKELVNGLKELPRSGPIGVKRMGELDSKPFQEAMSKKYGEMDADDRASELCSLWEEYLRDPGWHPIKVVTVDGKPKYVIDAEDEKLNDLKKNYGEEVCKAVTDALFEVNEYNPSGRYITSELWNYAQGRKADLKEGVEVLLTLWKKKRATDGY >itb05g15020.t1 pep chromosome:ASM357664v1:5:22360307:22363987:1 gene:itb05g15020 transcript:itb05g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSRFLFFFFLFDSLLLLHSGSATSYSKPQLPCQPPTHNSYPFCDTSLPIPARVHSLVSLLTVDEKIQRLSDNNSGIPRLGIPAYEWWSESLHGIASNGPGISFDGAVKSATGFPQVMLTAAAFNRSLWRSIAAATAVEARAMFNSGQAGLTFWAPNVNVFVDPRWGRGQETPGEDPMVVSDYAVEYVRGFQGQGLKGKNGRKYSISGNRMLEEEDDDGDDRLMLSACCKHLTAYGLEMWHEFSRYSFNAVVTKQDMEDTYQPPFKSCVEKGKASCLMCAYNAVNGIPACANKDLLEKARTEWGFQGYIASDCDAVATIFEYQNYAKSPEEAVGIALKAGTDINCGTYMLRNMKSALENKIVVESDIDRALLNLFSVQFRLGLFNGNAVNRKFESFGPKDVCSSEHRRLALEAARQGIVLLKNEQKFLPLDKTRVSSLAVIGPMANTSSLGGDYTGIPCTLKSVLDGLGEYIHKTSYAAGCLDVACNSTDGFAEAVSIAKKADYVIVVVGLDLSQETEDHDRDSLLLPGQQMALVNELAGASNKPLVLVLTGGGPLDISFAKKDPRIASVLWIGYPGEEGRKAVSEIIFGDYNPAGRLPITWYPESFTQVPMNDMNMRPDPSRGYPGRTYRFYTGDKVYEFGHGLSYSSFSLELLSAPSRLRLSQSIKTELRRSVLNRGGNEPYVHVDEVSNCDSLAFSVRVSLRNDGGMDGSRVVMLFSRAPRSYAGAPQKQLIGFDRVHVSANGATETSISIEPCQHLSIVNVEGSRVLPLGDHTLSLEEIEHVVSVEI >itb14g05580.t1 pep chromosome:ASM357664v1:14:4861950:4864808:-1 gene:itb14g05580 transcript:itb14g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIHSLPLFSPLASISNPTSPKSQNFQPLRVPKPTIAPSLKAACGKGNLREAFVLLGDILSQGNPSQTCLDEAYALLIEACAAHRALSCGQQIHARVVKLNWVNDVVFLNTKLVFMYGKCGSSLDAGKVFDGMPEKTIFTWNAMIGANVNDEEPLRAIELYGEMRGLGVPLDAHSFPSVLKACSEVGDLTRGSEIHGLAIKLGFLSNIFIANSLVDMYAKCNDLNSARLFFDRMNEREDVVSWNSIISAYSTNGMMEEALSLFIEMLNTGLKPTTYTLVAAFQACQEPFFGNFGTEVHALVIKYGHCLDAYVANALLVMYTRNNKMYEAEKLFTAVNQKDNICWNSMISGYAQNGLFSEGINLFRKMRSAGRKPDCVSLMSVLSASGRLGNLLHGKEIHAYTLKHGLDGELQIGNTLVDMYAKCGKMDYMDRVFHRILNKDCISWTTVIAAYSQNNYHQKALQLFLQVQLEGINVDALMIGSTLLACGELRCNLSAKQVHGHAIRRGLYDSITQKTLISVYGDCGNLDYARSIFASIDVKDVVSLTSMMYSFVHNGLANEAFDVALYMKEVGTEPDYVAVLSMLSAAANLSALRKGREIHGYSIRKGYNLQGSIASSLLDMYACCGTLENSFKIFSSVNDRDLVLWTSMINAFGMHGCGEEAVNLFLRMEEENIIPDHITFLVLLHACSHSALVDDGKRFFEIMKGKYNLEPWPEHYACLVDLLGRANHLEEAFRVLRTMKSEPTAAIWCAFLGACHVHSNKELGEIAAKKLLELEPENPGNYVLVSNVYAASDRWEDAEEVRLIMKGQGMKKEPACSWTETGKKVHTFVAHDKSHPRCDEIYQKLAYITKKLEMEGGYVAQTKYVLQNVKEDEKVKLLNGHSERLAMSYVLLVTNDRTPIRIMKNLRVCSDCHTFIKLASKLVEREIIVRDAKRFHHFRNGVCSCGDFW >itb12g18960.t1 pep chromosome:ASM357664v1:12:21264290:21264628:-1 gene:itb12g18960 transcript:itb12g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKDSPFCLDLELKNRTTLSETNSMYLIEGCFVRRGLVPAYKDITERLGLVPPKKFSRLALLPDEKRERERVIKADIEGRKGKLAKSTTGIMRKKKITDFRKKRLLRRTHP >itb12g20590.t5 pep chromosome:ASM357664v1:12:23062185:23067294:-1 gene:itb12g20590 transcript:itb12g20590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRALLVLLTLLCSLALVFSAADSEFRGGNDGFDGRAGLNTSLAARSKEDSFADMLDRALEKEFNETNDQTDVTDHGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFQFHDVFILEDTGAEDTPTLIDQKDNVFIMSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEIVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVALLGGLLQICLFMCLCGITALLCGGEASEGVFVGVFLSMSSTAVVLKFLMERKNIGTLYGQVTIGTLVLQDCTVGLLFALLPILGGTSGVLPGLVSMGKILVTLAAFFSVLSIFCRTFVPRFLKLMIGLSSQTHELYQLASVAFCLLVAW >itb12g20590.t1 pep chromosome:ASM357664v1:12:23061004:23067294:-1 gene:itb12g20590 transcript:itb12g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRALLVLLTLLCSLALVFSAADSEFRGGNDGFDGRAGLNTSLAARSKEDSFADMLDRALEKEFNETNDQTDVTDHGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFQFHDVFILEDTGAEDTPTLIDQKDNVFIMSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEIVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVALLGGLLQICLFMCLCGITALLCGGEASEGVFVGVFLSMSSTAVVLKFLMERKNIGTLYGQVTIGTLVLQDCTVGLLFALLPILGGTSGVLPGLVSMGKILVTLAAFFSVLSIFCRTFVPRFLKLMIGLSSQTHELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTELAQHTLDQVEPIRNFFAALFLSSIGMLIHVHFLWNHIDILLASVILVIVFKTIVVALVVKCFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNCHLVEGKLYMLLLGTTALSLVTTPLLFKLIPAIVHLGVQLRWFSADTPNEIGSKGDLLHADSAKRITVLVQGYHDS >itb12g20590.t3 pep chromosome:ASM357664v1:12:23061998:23067294:-1 gene:itb12g20590 transcript:itb12g20590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRALLVLLTLLCSLALVFSAADSEFRGGNDGFDGRAGLNTSLAARSKEDSFADMLDRALEKEFNETNDQTDVTDHGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFQFHDVFILEDTGAEDTPTLIDQKDNVFIMSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEIVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVALLGGLLQICLFMCLCGITALLCGGEASEGVFVGVFLSMSSTAVVLKFLMERKNIGTLYGQVTIGTLVLQDCTVGLLFALLPILGGTSGVLPGLVSMGKILVTLAAFFSVLSIFCRTFVPRFLKLMIGLSSQTHELYQLASVAFCLLVAW >itb12g20590.t4 pep chromosome:ASM357664v1:12:23061991:23067294:-1 gene:itb12g20590 transcript:itb12g20590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRALLVLLTLLCSLALVFSAADSEFRGGNDGFDGRAGLNTSLAARSKEDSFADMLDRALEKEFNETNDQTDVTDHGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFQFHDVFILEDTGAEDTPTLIDQKDNVFIMSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEIVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVALLGGLLQICLFMCLCGITALLCGGEASEGVFVGVFLSMSSTAVVLKFLMERKNIGTLYGQVTIGTLVLQDCTVGLLFALLPILGGTSGVLPGLVSMGKILVTLAAFFSVLSIFCRTFVPRFLKLMIGLSSQTHELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTELAQHTLDQVEPIRNFFAALFLSSIGMLIHVHFLWNHIDILLASVILVIVFKTIVVALVVKCFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNCHLVEVLLLADLLQSVCGLKLKF >itb12g20590.t2 pep chromosome:ASM357664v1:12:23061020:23067294:-1 gene:itb12g20590 transcript:itb12g20590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLESCIRSFQFHDVFILEDTGAEDTPTLIDQKDNVFIMSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEIVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVALLGGLLQICLFMCLCGITALLCGGEASEGVFVGVFLSMSSTAVVLKFLMERKNIGTLYGQVTIGTLVLQDCTVGLLFALLPILGGTSGVLPGLVSMGKILVTLAAFFSVLSIFCRTFVPRFLKLMIGLSSQTHELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTELAQHTLDQVEPIRNFFAALFLSSIGMLIHVHFLWNHIDILLASVILVIVFKTIVVALVVKCFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNCHLVEGKLYMLLLGTTALSLVTTPLLFKLIPAIVHLGVQLRWFSADTPNEIGSKGDLLHADSAKRITVLVQGYHDS >itb12g20590.t6 pep chromosome:ASM357664v1:12:23064254:23067294:-1 gene:itb12g20590 transcript:itb12g20590.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRALLVLLTLLCSLALVFSAADSEFRGGNDGFDGRAGLNTSLAARSKEDSFADMLDRALEKEFNETNDQTDVTDHGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFQFHDVFILEDTGAEDTPTLIDQKDNVFIMSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEIVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVALLGGLLQICLFMCLCGITALLCGGEASEGVFVGVFLSMSSTAVASSLTYYISFLLPL >itb14g10210.t1 pep chromosome:ASM357664v1:14:11539601:11540381:-1 gene:itb14g10210 transcript:itb14g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGHTLLDCRLPQMPNNLHSIYYHLPHAAAGERNLQIGGGVRRFAVASRAREGESGTVEGLSEEMAPAVVVHQRCISVCSSRDPSKPPSGALAAGEAVEQNGVGGC >itb07g14730.t1 pep chromosome:ASM357664v1:7:17387279:17394737:-1 gene:itb07g14730 transcript:itb07g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDKVPKVAVAVFILKGEKVLVGKRLAGARTSCFSVPSGHLEFGEGFEECAAREVKEEAGLELKNIQTLIVINIPENVEADRCEGWDWYEWNDMPKPLTPPLQLILNSGFNPFSANVQN >itb04g18040.t1 pep chromosome:ASM357664v1:4:21301874:21309847:-1 gene:itb04g18040 transcript:itb04g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal fatty acid beta-oxidation multifunctional protein MFP2 [Source:Projected from Arabidopsis thaliana (AT3G06860) UniProtKB/Swiss-Prot;Acc:Q9ZPI5] MAARGRTTLEVGADGVAIITIINPPVNSLSIDVLNSLKESYEEALRRDDVKAVVVTGAKGKFSGGFDIAAFGGLQGGKVAAPKPGFVSVEILTDIVEASKKPSVAAIDGLALGGGLEVAMGCHARISTPNAQLGLPELQLGIIPGFGGTQRLPRLVGLAKALEMMLTSKPVKGQEALNLGLVDAVVSPNELLDTARHWALDMLERRKPWVASLYKTDKIEALGEAREILKFARAQIRKQAPNLNHPLVCIDVVEEGIVSGPRAGLWKEAEEFQKLVYSDTCKALVHVFFSQRGTTKVPGVTDLGLAPRQIKKVGILGGGLMGSGIATALILSNYPVILKEVNDKFLQAGIGRVRANLQSRVKKGRMSQEKFEKTFSLLKGTLDYESFRDVDMVIEAVIEDINLKQKIFADLEKYCPPHCILASNTSTIDLNLIGEKTRSQDRIIGAHFFSPAHVMPLLEIVRTQKTSPQAIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAALLLVERGADPYKIDKAITKFGMPMGPFRLCDLVGFGVAIATGGQYVMNFPERTYKSMLIPLMQDDKRGGEATRRGFYIYDDRRKASPDPEIKKYIEKAREMSGVSIDPKLMKLSDKDIVEMTFLPVVNEACRVLAEGIAIKASDLDIAAVMGMGFPPYRGGVLFWADTLGSKYIYSKLNEWSKMYGGFFEPCSYLAERAAKGAPLSLKMDPGKSRL >itb04g15480.t1 pep chromosome:ASM357664v1:4:16682333:16683424:-1 gene:itb04g15480 transcript:itb04g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTACTIFANSHFPPTIRLFCSRTPGFGLSQSSCVSNSDKKDSPPTFNNGFFSLSPLFPTANARLEECRIELVDSEAWKVSSGLAEAWRGNVEKRSKVKPLLRDEEEMVKYAPPNKEDLDFDEIEEMRIRGNLFYKLDKDSKEYEEYKFDFHGRNRNKGNKKVNGMQMEKASSASPSGSEKSLKCMEKWQVSKEKLGNETSLAVERSLRFDKNNVSVSWLHEFEASEVVKTKRSQTFNQATAAYHEPFCLDIYISKGSARASVIHRATSKVVAVAHSISKDMKFELSSTKNRAACAAVGEVLAQRALADDIHNVVYTPRKGERLEGKLQIVLQAIIDGGIDVKVKLKQRKTKKASILPPKP >itb14g20790.t1 pep chromosome:ASM357664v1:14:23074458:23078691:-1 gene:itb14g20790 transcript:itb14g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVYPVPEALVEDMGFALSRLDVDSGLLDGSSRANSEVARMAQHDGHLHSLDHEIAQLTRLRSKPNENLSKVLGVKKDVPVSIVKMLVGREANYSGRGSFSSADRCHALSRYLPVNSPCVVDQMPTRAYVSQFSADGSLFIAAFQGSHIRIYNVEKDWKIQKDIQAQSLRWTVTDTSLSPDHRHLVYATMSPIVHVVNVGSGTTESVANVTEIHEGLDFSSDDDNGYSFGIFSVKHSTDGREVVAGSSDDAIYVYDLEANKLSLRISAHGSDVNTVCFAEESGNLIYSGSDDNLCKVWDRRCIRTQGKSEGVLMGHLEGITCVDSRRDGRYLISNGKDQAIKLWDIRKMSSNATCTGCFRNYEWDYRWMDYPPQARYLKHPSDQSVATYKGHAVLRTLIRCYFSPAYSTAQKYIYTGSHDKCVYVYDLVSGAQVAKLKHHRSTVRDCSWHPHYPMLVSSSWDGDIVKWEFGENGEVTTPAKRKQPRRR >itb10g17560.t1 pep chromosome:ASM357664v1:10:23742488:23746597:-1 gene:itb10g17560 transcript:itb10g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDGSIKLLLGSFSSSVFGLFFSTVHHTRRPTVFSQASQFPTMSDLEAPLRPKRKKGLVDFLVNFRWIVVIFVVLPFSFLYYFAIYVGDMISESKSFKKRQKEHDENVKKVMKRLKERNASKDGLVCTARKPWVAVGMRNVDYKRARHFEVDLSSFRNILDIDTERMVARVEPLVNMGQISRATVPLNVSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGELVRATKDNEYSDLFYAVPWSQGTLGLLVAAEIKLIPIKEYMKVTYKPVVGNLKEIAQAYIDSFAPRDGDQDNPDKVPDFVETMVYTSTEAVCMTGKYASKEEAKQKGNKINCVGWWYKTWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHRLYRLPIKTMVYPEPGFELHRRQGDTHYAQMYTDVGVYYAPASVLRGEVFDGSLAVQRMEEWLIENHGFQPQYAVSELTEKNFWRMFDAGLYEHCRRKYKAIGTFMSVYYKSKKGRKTEKEVQDAEKEKAEVETPDCD >itb05g17800.t5 pep chromosome:ASM357664v1:5:24708523:24714048:1 gene:itb05g17800 transcript:itb05g17800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDDCFESKKKQSSTAEVQSSLTQEIMQLEKRLQSQVAVRCALEKALGYRSTCQKTADEISIPKPATELIREIAVLEFEVGHLEQYLLSLYRKAFDQQTSTSSPFRIDDKLKSVVSTPRRRLDFSDSDVILKSEKSAAELDEQPVSGVHKENNGVAEDKLLGSAVHRSHSSLPQHSALPNRTSPLQETPGKAVRACHSQPISMMENTSSNIISLAEHLGTRISDHVPVSPNKLSEDMIKCMCTIYSKLADPPKTTQGLSSPTSSLSSISAFSPKDQCETWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSSMIEVQCIYRDNQKLSDIEPSLQNFKSLISRLEEMDPRKLTHEEKLAFWINVHNALVMHAFLAYGVPQNSVKRAFLLLKAAYNVGGHIVSADVIQNSILGCRMPRPAQWVRLLLSSKGKFKAGDERQAYVIERPEPLVHFALCSGNHSDPAVRVYTPKRILQEMEAAKEEYIRATFGVRKDHKILLPKIVESFAKHSGLCPGAVMEMLEQSLPDSLKKSLKKCQQGKARKSIEWVPHCSSFRYLILKELVE >itb05g17800.t2 pep chromosome:ASM357664v1:5:24708523:24714048:1 gene:itb05g17800 transcript:itb05g17800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGFSFGESPGAAMRNCTHKRSKSFPDSVKTGHDSFGCSLEASPELKLDMEHSDDCFESKKKQSSTAEVQSSLTQEIMQLEKRLQSQVAVRCALEKALGYRSTCQKTADEISIPKPATELIREIAVLEFEVGHLEQYLLSLYRKAFDQQTSTSSPFRIDDKLKSVVSTPRRRLDFSDSDVILKSEKSAAELDEQPVSGVHKENNGVAEDKLLGSAVHRSHSSLPQHSALPNRTSPLQETPGKAVRACHSQPISMMEYAQNTSSNIISLAEHLGTRISDHVPVSPNKLSEDMIKCMCTIYSKLADPPKTTQGLSSPTSSLSSISAFSPKDQCETWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSSMIEVQCIYRDNQKLSDIEPSLQNFKSLISRLEEMDPRKLTHEEKLAFWINVHNALVMHAFLAYGVPQNSVKRAFLLLKAAYNVGGHIVSADVIQNSILGCRMPRPAQWVRLLLSSKGKFKAGDERQAYVIERPEPLVHFALCSGNHSDPAVRVYTPKRILQEMEAAKEEYIRATFGVRKDHKILLPKIVESFAKHSGLCPGAVMEMLEQSLPDSLKKSLKKCQQGKARKSIEWVPHCSSFRYLILKELVE >itb05g17800.t1 pep chromosome:ASM357664v1:5:24708523:24714048:1 gene:itb05g17800 transcript:itb05g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGFSFGESPGAAMRNCTHKRSKSFPDSVKTGHDSFGCSLEASPELKLDMEHSDDCFESKKKQSSTAEVQSSLTQEIMQLEKRLQSQVAVRCALEKALGYRSTCQKTADEISIPKPATELIREIAVLEFEVGHLEQYLLSLYRKAFDQQTSTSSPFRIDDKLKSVVSTPRRRLDFSDSDVILKSEKSAAELDEQPVSGVHKENNGVAEDKLLGSAVHRSHSSLPQHSALPNRTSPLQETPGKAVRACHSQPISMMEYAQNTSSNIISLAEHLGTRISDHVPVSPNKLSEDMIKCMCTIYSKLADPPKTTQGLSSPTSSLSSISAFSPKDQCETWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSSMIEVQCIYRDNQKLSDIEPSLQNFKSLISRLEEMDPRKLTHEEKLAFWINVHNALVMHAFLAYGVPQNSVKRAFLLLKAAYNVGGHIVSADVIQNSILGCRMPRPAQWVRLLLSSKGKFKAGDERQAYVIERPEPLVHFALCSGNHSDPAVRVYTPKRILQEMEAAKEEYIRATFGVRKDHKILLPKIVESFAKHSGLCPGAVMEMLEQSLPDSLKKSLKKCQQGKARKSIEWVPHCSSFRYLILKELVE >itb05g17800.t3 pep chromosome:ASM357664v1:5:24710346:24714048:1 gene:itb05g17800 transcript:itb05g17800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDDCFESKKKQSSTAEVQSSLTQEIMQLEKRLQSQVAVRCALEKALGYRSTCQKTADEISIPKPATELIREIAVLEFEVGHLEQYLLSLYRKAFDQQTSTSSPFRIDDKLKSVVSTPRRRLDFSDSDVILKSEKSAAELDEQPVSGVHKENNGVAEDKLLGSAVHRSHSSLPQHSALPNRTSPLQETPGKAVRACHSQPISMMEYAQNTSSNIISLAEHLGTRISDHVPVSPNKLSEDMIKCMCTIYSKLADPPKTTQGLSSPTSSLSSISAFSPKDQCETWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSSMIEVQCIYRDNQKLSDIEPSLQNFKSLISRLEEMDPRKLTHEEKLAFWINVHNALVMHAFLAYGVPQNSVKRAFLLLKAAYNVGGHIVSADVIQNSILGCRMPRPAQWVRLLLSSKGKFKAGDERQAYVIERPEPLVHFALCSGNHSDPAVRVYTPKRILQEMEAAKEEYIRATFGVRKDHKILLPKIVESFAKHSGLCPGAVMEMLEQSLPDSLKKSLKKCQQGKARKSIEWVPHCSSFRYLILKELVE >itb05g17800.t4 pep chromosome:ASM357664v1:5:24708523:24714048:1 gene:itb05g17800 transcript:itb05g17800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGFSFGESPGAAMRNCTHKRSKSFPDSVKTGHDSFGCSLEASPELKLDMEHSDDCFESKKKQSSTAEVQSSLTQEIMQLEKRLQSQVAVRCALEKALGYRSTCQKTADEISIPKPATELIREIAVLEFEVGHLEQYLLSLYRKAFDQQTSTSSPFRIDDKLKSVVSTPRRRLDFSDSDVILKSEKSAAELDEQPVSGVHKENNGVAEDKLLGSAVHRSHSSLPQHSALPNRTSPLQETPGKAVRACHSQPISMMENTSSNIISLAEHLGTRISDHVPVSPNKLSEDMIKCMCTIYSKLADPPKTTQGLSSPTSSLSSISAFSPKDQCETWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSSMIEVQCIYRDNQKLSDIEPSLQNFKSLISRLEEMDPRKLTHEEKLAFWINVHNALVMHAFLAYGVPQNSVKRAFLLLKAAYNVGGHIVSADVIQNSILGCRMPRPAQWVRLLLSSKGKFKAGDERQAYVIERPEPLVHFALCSGNHSDPAVRVYTPKRILQEMEAAKEEYIRATFGVRKDHKILLPKIVESFAKHSGLCPGAVMEMLEQSLPDSLKKSLKKCQQGKARKSIEWVPHCSSFRYLILKELVE >itb10g25580.t2 pep chromosome:ASM357664v1:10:28829711:28832687:-1 gene:itb10g25580 transcript:itb10g25580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPYEEVTQNGSLKDSANGMAELEEFDFSTLPDKPRNLNIERQSSFDERSLTSPIPPFGSDVFHRFSDHFDAALSPKRSGFTTPRSPLGEPHPMVSEAWESLRQSLVYFRGQPVGTIAALDSSDEKLNYDQVFVRDFVPSALAFLMNGEPEIVRNFILKTLRLQSWEKKIDRFQLAEGVMPASFKVLHDPVRNTETLMADFGETAIGRVAPVDSGFWWIFLLRAYTKSTGDSSLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDAEGKEFMERIVKRLHALSYHMRSYFWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPIHGGYFIGNVSPSNMDFRWFCLGNCIAILSSLATPEQAAKIMDLIESRWGELVGEMPLKVCYPAIEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAEAKLSKDGWPEYYDGKVGRFIGKQARKNQTWSIAGYLVAKMMLEDPSHLGMVAIEEDKQMKPVLKRSLSF >itb10g25580.t3 pep chromosome:ASM357664v1:10:28830265:28832670:-1 gene:itb10g25580 transcript:itb10g25580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPYEEVTQNGSLKDSANGMAELEEFDFSTLPDKPRNLNIERQSSFDERSLTSPIPPFGSDVFHRFSDHFDAALSPKRSGFTTPRSPLGEPHPMVSEAWESLRQSLVYFRGQPVGTIAALDSSDEKLNYDQVFVRDFVPSALAFLMNGEPEIVRNFILKTLRLQSWEKKIDRFQLAEGVMPASFKVLHDPVRNTETLMADFGETAIGRVAPVDSGFWWIFLLRAYTKSTGDSSLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDAEGKEFMERIVKRLHALSYHMRSYFWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPIHGGYFIGNVSPSNMDFRWFCLGNCIAILSSLATPEQAAKIMDLIESRWGELVGEMPLKVCYPAIEGHEWRIVTGCDPKNTRWSYHNGGSWPGIYSLLLIDAKPRHRTNLF >itb10g25580.t1 pep chromosome:ASM357664v1:10:28829711:28832687:-1 gene:itb10g25580 transcript:itb10g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPYEEVTQNGSLKDSANGMAELEEFDFSTLPDKPRNLNIERQSSFDERSLTSPIPPFGSDVFHRFSDHFDAALSPKRSGFTTPRSPLGEPHPMVSEAWESLRQSLVYFRGQPVGTIAALDSSDEKLNYDQVFVRDFVPSALAFLMNGEPEIVRNFILKTLRLQSWEKKIDRFQLAEGVMPASFKVLHDPVRNTETLMADFGETAIGRVAPVDSGFWWIFLLRAYTKSTGDSSLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDAEGKEFMERIVKRLHALSYHMRSYFWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPIHGGYFIGNVSPSNMDFRWFCLGNCIAILSSLATPEQAAKIMDLIESRWGELVGEMPLKVCYPAIEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAEAKLSKDGWPEYYDGKVGRFIGKQARKNQTWSIAGYLVAKMMLEDPSHLGMVAIEEDKQMKPVLKRSLSF >itb02g24620.t1 pep chromosome:ASM357664v1:2:25243997:25247197:-1 gene:itb02g24620 transcript:itb02g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYIKAFFMNINNGTPAAGHTLPTWVDKGDDCCTWERVSCNPTTGRVTDLTLNHLVYPIVYGYDKEVVEYSFNVSLFSPFEELVNLELRENCFRDCFPNHGFDKLIHLKRLETLNLGSNSFFQDSNTLRSIGRLTTLRSLNLSDNFQVYFYNSLPLQDLSNLTNLRVLDLKANKFDDWLTDLDFTLFKNLKVLDLSENDFEGSIPPTIGNLSALVALSLARNQLNGTLSDQGFCKLKNIEELYLNTNNFEGVLPPCFGNLSSLRVLDLSENLFKGEISFIFPNLKSLESIILLNNNFEGKFSFSLLANHSKLKLVEIDNNGNLAVETEDSNWTIPKFQLEVLVLASCNLNQQSGKVPTFLKYQSMLRELDLSHNNMRGGFPTWVIKNNSDLHVLMLRNNSLTGQLHLPLHLNTSIVEMDVSDNQINGKLQENFGFILPQIVYLNLSKNHFIEFVPSSFCNMSQLVFLDLSNNYFTGEIAKEMVSRCLNYLAVLILSANGFHGEILSSHFNMTNLQFFLWHTTTYQADFPI >itb14g03950.t1 pep chromosome:ASM357664v1:14:3547793:3550621:-1 gene:itb14g03950 transcript:itb14g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVSKNATDEDLKRSYKRLAMKWHPDKNGENKKEAEAKFKQISEAYDVLSNAHKRQIYDLYGEEALKSGQFDQASPTCAGHPGAGGNSFRYKSRDADDIFAEFFGLSKGGFKDSPVVAGGDRGTPRKAAPVENLLPCSLEELYKGSKRKMQISRIVLDDTGKPVTVEEVLAIHIKPGWKKGTKITFPEKGDYEPGAAPGDLVFVVEEKPHPVFRRDGNDLVVHKKISLLDALTGSTISLTTLDGRELTIPVTDVIKPGHEQVIPNEGMPISKEPGKKGNLRIKFEIKFPSRLSSDQKSELRRVLGRPAE >itb12g10430.t1 pep chromosome:ASM357664v1:12:8502726:8505584:1 gene:itb12g10430 transcript:itb12g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFSGSRPRNSQAYPFVPYEARSDTNLYDVSILKPTIPTLQFLGMSKMESSFFFFTMFALILRIVPTPMAALPCGNCGETPVPFPLSTGPKCGDQAYKIRCASGTLWFDALHNASYAITSINPQAQTMTVAPAPTVRGTCVSSDLGSEGIQLDQNLPFNITASNTVLLLNCTENMLHLQVPINCSSKCVCHGYIDGTSGLAPCKKGRLCCIFRTGGSQNEYMIRVHSQGCMAYQSFVNLNPALPLGKWPRPGLELMWDTPVEPSCKTELDCRELPFSLCLPDPGNGGQKRCFCKAGRYWDATTGYCQKCGKGRNCKLKRNKGILFGVAGGCGFFLVLSAFLVHRRNQLKRRAQKTLVKQREQILNAASSSSGKSAKVFSGKEIKKATNNFSRENLLGSGGFGEVFKGTLDDGTFIAVKRAKPGNTKGTEQVLNEVRILCQVNHRSLVRLLGCCVELEEPQLVYEYVPNGTLFDHLHAFRLRHLTPLTWLRRLVVAHQTADGLAYLHSSAVPPIYHRDVKSSNILLDEKLDAKVSDFGLSRLVELSDSEGSHIYTSAQGTLGYLDPEYYLNLQLTDRSDVYSFGVVLLELLTSKKAIDFNREEENVNLVVFMKKVMDEGRLMDVVDPFIKEGASKVEIETLKAMGGLAAACLDERRQNRPSMKEVADEIEYIIGIVTGDDSTTGDLKI >itb13g13290.t1 pep chromosome:ASM357664v1:13:19805539:19806029:-1 gene:itb13g13290 transcript:itb13g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLCNNKEEMVAHIFLECPVVAGVWVAAGIPINFSRWLESVLAKANGETQKKIAVIIWFIWCERNALVWNNKSFNVKQCVAPSTNLALFENVDLAGFGVIAVNNEDFIVAACSAVVWTHTMQNSGLLKRHCLVLD >itb01g26920.t1 pep chromosome:ASM357664v1:1:31942455:31947702:-1 gene:itb01g26920 transcript:itb01g26920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPPAGENYANPNICFFHVLFKAAALAFYILSALFVDSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEISEEGESLWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIILGIFSLIRFQADYLLVIGVCLTLSIANIVGFTRCRKDAKKQLQAFATQTLASRVSTTIQSAFSVV >itb01g26920.t2 pep chromosome:ASM357664v1:1:31942455:31947702:-1 gene:itb01g26920 transcript:itb01g26920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPPAGENYANPNICFFHVLFKAAALAFYILSALFVDSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEISEEGESLWKFECLDQESLARMNKKDSWLFWWTLYLTVSKQCEIYNIFGHQRLGQGTIWLSMF >itb12g27060.t1 pep chromosome:ASM357664v1:12:27657690:27659057:-1 gene:itb12g27060 transcript:itb12g27060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQIVPAGTIANNVEAQFVEMMVPLYSHGCERKIKKALSHLKGIYSVNVDFNQQKVTVWGICNKSDVLSTVRSKRKDARFWNPKDNATAAVEGGGRTEEQSQTPPNQRRLSAPPLALLRVRSLSWKLTLKKAFTRTYSF >itb08g02280.t2 pep chromosome:ASM357664v1:8:1821049:1828401:-1 gene:itb08g02280 transcript:itb08g02280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVVYEGWMVRYGRRKIGRSYIHTRYFVLESRLLAYYKKKPESNNVHQDSLNTNDISFEYKPDMERNGSSSDHESQFSAAEDDEEDDSNQELLRRSTIGQGPPESILDWTKELGSDSANQNAKATKYWRLLQCQNGLRIFEELVDAPKSCKRAMKAVGVVEASCEEIFELVMSMDTTRFEWDCTFQYGSLVEEVDGHTAILYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGTYVVLFRSREHASCGRQPGYVRAHIESGGFTISPQRSRHGIPRTKVQYLMQIDLKGWVVGHLSSFKQHCLFQMLNSVAGLREYFSQTDERTAAPGIPAMVDMASVSSKRNQKLNEDSDPHHRSPSLDQMSAANKNAAMLDEDSDEDEDFQVADQEEQKPLLENEVKKTGMLTVYYKSFSYMYIYHQENTYLHSYCFEAAEEETTDQIDFSIFSGNLQHDDRDDARNSWKISEGDNFKAPGGKHMMDLVAVDWFKDTKRMDNVARRPGCAAQTAFFFLKIPGSTHYSMVFYFVTKELVPSSLFQRFVDGDDEFRNSRLKLIPAVPKGSWIVRQSVGSAACLLGKAVDCNYSRGPKYLEVDVDIGSSTVANGVLGLVIGVITTLVVDMAFLLQGNTPDELPERLLGAIRLSHIELSSAVVLNMESDTATSD >itb08g02280.t1 pep chromosome:ASM357664v1:8:1821049:1824469:-1 gene:itb08g02280 transcript:itb08g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCGPVTSVMCVTGVETMMVPTVNASISMTFRFFNPPTLQFSSLDGLCHCCCVVVLFRSREHASCGRQPGYVRAHIESGGFTISPQRSRHGIPRTKVQYLMQIDLKGWVVGHLSSFKQHCLFQMLNSVAGLREYFSQTDERTAAPGIPAMVDMASVSSKRNQKLNEDSDPHHRSPSLDQMSAANKNAAMLDEDSDEDEDFQVADQEEQKPLLENEVKKTGMLTVYYKSFSYMYIYHQENTYLHSYCFEAAEEETTDQIDFSIFSGNLQHDDRDDARNSWKISEGDNFKVRSKNFCQDKSKAPGGKHMMDLVAVDWFKDTKRMDNVARRPGCAAQVASEKGLFSFIVNLQIPGSTHYSMVFYFVTKELVPSSLFQRFVDGDDEFRNSRLKLIPAVPKGSWIVRQSVGSAACLLGKAVDCNYSRGPKYLEVDVDIGSSTVANGVLGLVIGVITTLVVDMAFLLQGNTPDELPERLLGAIRLSHIELSSAVVLNMESDTATSD >itb03g13680.t1 pep chromosome:ASM357664v1:3:13726926:13731324:-1 gene:itb03g13680 transcript:itb03g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIVMGHLHPIQRLVLEPTWSKLIRRIQNQTTEALDFEKKEKKSEEDKGKSEYDSERPSKKRKALEIDSIEEVRSATRPMNFKVDKKKKKTKFTEVVDNGVETEENPSSISNFRISDPLREALKVKGIEYLFPIQATTFDIILDGSDLVGRARTGQGKTLAFILPILESLRNGPATATRKTGYGRAPSVLVLLPTRELALQVFADFEFYGGALGLTSCCLYGSSPYAPQQTKLKRGVDIVVGAPGRIKDLIQKGNIDLASLKFRVLDEVDEMLRIGFVEDVEFILGKVEDAGQVQTLLFSATLPDWVKHISAKFLKPDKRTIDIVGNEKMKASTSVRHIIIPCSTSARSQLIPDIIRCYSSGGRTIIFTETKDYASELAGSLPGARPLHGDIQQAQREVTLSGFRSGKFMTLVATNVAARGLDIDVMLVIQCEPPRDVEDYIHRSGRTGRAGNSGVAVMFYDPKKSNISKIEKESGVKFEHIAAPQPADIAKIVGKEAAEEIAGISDSVIPAFKAAAENLLQTSHLSAAELLAKALAKASGYTEMKRRSLLTSMENYVTLHLVSGRPVYSPSFVYNALKRFLHEAMAESIKGLTLTADGRGAVFDVSAEDVETFIAGARNEFDISLEVVKSLPQLQERDKSRGGRFGGGRGGGGGGGGFSDRRGGGGGRFSGGGGGGGGRGGRGGFSDRQNDRIGRGKGRSKW >itb09g06180.t1 pep chromosome:ASM357664v1:9:3558528:3561014:1 gene:itb09g06180 transcript:itb09g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSLLNFKPLQHPLALQVLQSHESNMVKYPQQKQLEMRHLLLDHHVQEADSLKALVVIDTMQRFGIDHYFEHQITQCLKNQHSQPLLYNHNGDHHLYAVSLRFRLLRQQGFHVPSDVFNSFKGENMKFKNALSEDIRGLMSLHEASHLCIEDDEDILDEAFLFSTNFLTARLPHLDDSHASMVQNTLHYPHHKSLPRFMTNHYLKNHDLKTDWEKLLANLAIMDHNVMQRKYHEEILQVFEWCKGLGLSEELKLARNQPLKWYIWSMAMATDPCLSKQRIEITKPISLVYIVDDIFDIYGTIDELTLFTEAINRWEFPEIDKLPNYMRMCFKVIQETTHEISNVVYQEFGWNPIDYLKKAWTSLCNAFLTEAKWFASGHSPKADVYLKNGIISSGIPMVLTNLFFLLGYGESTGTTDIEGIISSVAAILRLLDDLGTAKDEEQEGKDGSYMEYYMKEQQGWSLSDGRQHVLDKVSQQWKLLNKHCLSSTTIPTSFKTACLNVARLVPMMYTYDDNHRLPVLEEHVKFMFSNIKEDLMW >itb09g06180.t2 pep chromosome:ASM357664v1:9:3558528:3560593:1 gene:itb09g06180 transcript:itb09g06180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSLLNFKPLQHPLALQVLQSHESNMVKYPQQKQLEMRHLLLDHHVQEADSLKALVVIDTMQRFGIDHYFEHQITQCLKNQHSQPLLYNHNGDHHLYAVSLRFRLLRQQGFHVPSDVFNSFKGENMKFKNALSEDIRGLMSLHEASHLCIEDDEDILDEAFLFSTNFLTARLPHLDDSHASMVQNTLHYPHHKSLPRFMTNHYLKNHDLKTDWEKLLANLAIMDHNVMQRKYHEEILQVFEWCKGLGLSEELKLARNQPLKWYIWSMAMATDPCLSKQRIEITKPISLVYIVDDIFDIYGTIDELTLFTEAINRWEFPEIDKLPNYMRMCFKVIQETTHEISNVVYQEFGWNPIDYLKKAWTSLCNAFLTEAKWFASGHSPKADVYLKNGIISSGIPMVLTNLFFLLGYGESTGTTDIEGIISSVAAILRLLDDLGTAKVNHNSLFY >itb12g02700.t2 pep chromosome:ASM357664v1:12:1756179:1758894:-1 gene:itb12g02700 transcript:itb12g02700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGGHINPAVTFGLFLGRKVSLIRALAYMVAQCLGAVVGVGLVKALTKAFFDTVGGGANTVQPGFSKGVGLVAEILATFFLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFVAHVATIPITGTGINPARSFGAAVIYNNKHAWDDHWIFWVGPMLGAIGAAIYHQLVLRAQAVKALGSFQSAPNV >itb12g02700.t1 pep chromosome:ASM357664v1:12:1756179:1758894:-1 gene:itb12g02700 transcript:itb12g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDYVEPPPAALLDMDELKKWSFYRAVIAEFVATMLFLYVSVATVIGTKATPDPCKGAGLLGISWVFGGMIFVLVYCTAGISGGHINPAVTFGLFLGRKVSLIRALAYMVAQCLGAVVGVGLVKALTKAFFDTVGGGANTVQPGFSKGVGLVAEILATFFLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFVAHVATIPITGTGINPARSFGAAVIYNNKHAWDDHWIFWVGPMLGAIGAAIYHQLVLRAQAVKALGSFQSAPNV >itb10g11830.t1 pep chromosome:ASM357664v1:10:17474583:17479810:-1 gene:itb10g11830 transcript:itb10g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEESIPKKNCSRMSAEEDYESRNSCTRVPWQISRALFELGFMHLGPLANFPMLYPLRRDSRIYRRKNRKAYKIVKYPCLIRRRLLLSLLLPRSISTSSPSSSRKGRDPKEAYSKKPRSKFYIAIVIDGEMVLLVGDSQKEAYSKTRAKFSATDSQDMVLRREHVYDGKLYITRATIDDRDRNIYIYCRLVGNDPRLYFYVDNKRVLQVKHLKWEET >itb06g05440.t1 pep chromosome:ASM357664v1:6:8147089:8149320:-1 gene:itb06g05440 transcript:itb06g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MTSTSGHRSVIPPGRLRTLTSMLESDNQSLLRDMRNAMNMMKEVAVDLETDNKSEMVKELENGLIQLLETSDECLHFSSAIQSIGNVYEPGPQPTDFRKLFDAEIAKSKATSPAQNQTFLRQFREAVWSVHHSGQPMPGEEQEDIIMTSLEHNLLNVTCPLIGKSVTELVDPVRSMDCKHIYEKKAIMQHIKKSGGRCPVAGCPKVLQAQRIVCDAFLLMEIEDLRQMGKQTAGSGVVEDFTMLPEAAEDDEE >itb06g05440.t2 pep chromosome:ASM357664v1:6:8147089:8149052:-1 gene:itb06g05440 transcript:itb06g05440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MRNAMNMMKEVAVDLETDNKSEMVKELENGLIQLLETSDECLHFSSAIQSIGNVYEPGPQPTDFRKLFDAEIAKSKATSPAQNQTFLRQFREAVWSVHHSGQPMPGEEQEDIIMTSLEHNLLNVTCPLIGKSVTELVDPVRSMDCKHIYEKKAIMQHIKKSGGRCPVAGCPKVLQAQRIVCDAFLLMEIEDLRQMGKQTAGSGVVEDFTMLPEAAEDDEE >itb07g10830.t1 pep chromosome:ASM357664v1:7:12109910:12110173:-1 gene:itb07g10830 transcript:itb07g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSDLATWAHAYKRMQTYLYSTLYSPFLFRPLSLLPLSSLLPLLLRLPPPSPPSLQPADHLNPRISGLQCSILLIAAFFPIFPRI >itb14g05340.t2 pep chromosome:ASM357664v1:14:4674641:4679489:1 gene:itb14g05340 transcript:itb14g05340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIRWGILSLQLAELFVTSVVHLAYGFYIFSTAVAGDLSLALSDWFFKPNLENGLKAEDSNKTTSAKDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSVYDRARELFYYLKGGQVDYGEEHSRACGHSQFGRIYEQGHYPEWDEDHPIHIVGHSAGAQVARVLQQMLADKAFKGHENTSENWVLSITSLSGAFNGTTRTYQDGMQLEDGISLKPISLLQLCRIGVIIYDWFDIPWLKAYYNFGFDHFNISWKKSGIWGLVDCLMGNAGPFASGDWILPDLTIQGAIRLNSHLHTFPNTYYFSYATKRTRKIMGVTVPSGILGIHPLLFIRVLQMSLWRHPTDVSPPYKGYRDEDWWENDGALNTISMTHPRLPVEHPSQHVVKDSDCQPLQPGIWYYKIVEGDHILFIINRERAGVQFDLIYDGIFERCRKHVFRKLPTLPDK >itb14g05340.t1 pep chromosome:ASM357664v1:14:4674641:4679489:1 gene:itb14g05340 transcript:itb14g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIRWGILSLQLAELFVTSVVHLAYGFYIFSTAVAGDLSLALSDWFFKPNLENGLKAEDSNKTTSAKDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSVYDRARELFYYLKGGQVDYGEEHSRACGHSQFGRIYEQGHYPEWDEDHPIHIVGHSAGAQVARVLQQMLADKAFKGHENTSENWVLSITSLSGAFNGTTRTYQDGMQLEDGISLKPISLLQLCRIGVIIYDWFDIPWLKAYYNFGFDHFNISWKKSGIWGLVDCLMGNAGPFASGDWILPDLTIQGAIRLNSHLHTFPNTYYFSYATKRTRKIMGVTVPSGILGIHPLLFIRVLQMSLWRHPTDVSPPYKGYRDEDWWENDGALNTISMTHPRLPVEHPSQHVVKDSDCQPLQPGIWYYKIVEGDHILFIINRERAGVQFDLIYDGIFERCRKHVFRKLPTLPDK >itb14g05340.t3 pep chromosome:ASM357664v1:14:4674670:4679064:1 gene:itb14g05340 transcript:itb14g05340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIRWGILSLQLAELFVTSVVHLAYGFYIFSTAVAGDLSLALSDWFFKPNLENGLKAEDSNKTTSAKDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSVYDRARELFYYLKGGQVDYGEEHSRACGHSQFGRIYEQGHYPEWDEDHPIHIVGHSAGAQVARVLQQMLADKAFKGHENTSENWVLSITSLSGAFNGTTRTYQDGMQLEDGISLKPISLLQLCRIGVIIYDWFDIPWLKAYYNFGFDHFNISWKKSGIWGLVDCLMGNAGPFASGDWILPDLTIQGAIRLNSHLHTFPNTYYFSYATKRTRKIMGVTVPSGILGIHPLLFIRVLQMSLWRHPTDVSPPYKGYRDEDWWENDGALNTISMTHPRLPVEHPSQHVVKDSDCQPLQPGIWYYKIVEGDHILFIINRERAGVQFDLIYDGIFERCRKHVFRKLPTLPDK >itb01g27160.t1 pep chromosome:ASM357664v1:1:32081767:32083249:1 gene:itb01g27160 transcript:itb01g27160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT3G59530) UniProtKB/Swiss-Prot;Acc:Q9M1B4] MEKIRREVFQQLLYLLFPVLIGIMIGDPFELSPVGGQKFRPVKNDIAPYKEVMESWHGDNKSRLGLGNLEFVDEVFGPESLEFDTLGRGPYGGLADGRIVRWMGDNAGWETFALVTPNWSEKICGLGVDSTTPKQWKFESQCGRPLGLRFDKKTGDLYIADAYYGLLVVGPDGGIATPLATHVEGKPILFANDLDIHKNGSIFFTDTSQKYNRVNHFLIMLEGEATGRLLRYDPPTGKTHVVLDSLAFPNGVQFSEDHSFLLFTETTNCRLMKYWLEGPKSGRTEVIANLPGFPDNVRANEKGQYWVAIDCCRTRIQEVLINHPWTRSVYFRLPIPMQYLAKFAGMKMYTVISLFNENGEVLDVLEDKHGAVMKLVSEVREVDGKLWIGTVAHNHIATLPYPSIV >itb03g04090.t1 pep chromosome:ASM357664v1:3:2523890:2527691:-1 gene:itb03g04090 transcript:itb03g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQKEERVYVGIGEDMHDGFQSLEWVLRNWSSQSITILILYADNSICRDYVYTPIGRLPSSSVNEEKLKDLEKFEEAKTDKILANYVAFCGKVKAEVVKIQRHDGSIEKMMVDLISDLQITKLVLSLTFMKPLLWKSRSAIRGLFHIHNQKPDLCELFVVFGGKLVFLIEENGESVIKDEEGGVIARAREKKKKKKKHGFKVLLERMLPDKEKRSCDSPSSSSSSSVSNGLVDQWEKNQNEIELYLTQLSSLNATGKTDCDAANDPLEDCVKPENMTTKERIEALKAKIREVQEVVQLNKKEAKAIVERQAKAEWAIRLCASRAEDLEACINEEMAKRAHLEEELDSAKEELYELQTEVEEKRTKLNSILELQRELASKVQLSSREKSHAEVQLERAVRTRTQMIQGIEKLRRQRDVLRRRIEFCKEKDAIGEATRLGEPSFCCRQFSAAEIRAATNEFSERQRLKCGGNWTNVYKGCLNSERVAIKLYHKSSSLSEETFQHQVKLLSSIRHPHIVSMIGFCSELKCIVFEYMRNGCLRDALFSTNRSSKRRNKPLNWHARIHIAAEVCAGLSFLHQAKPRPIFHGKLSPSNVLLDRNNVAKIHGLRPSPRYDVSSSRADVRGFGTLVAQLLTGRNWAGLVEEAVMNDKGALIGVLDQVAGEWPLDLAVELGNIARRCLTNQENLGKELTMAMLARDIKKVKKKADELVAGGECAQADQSDVKVEEDYEDVPSLFFCPIFQDIMKNPHVAADGFSYELEAIEEWLRIGCSTSPMTNLELKHKLLTPNHNLRSLIHEWENKRSALQS >itb03g04090.t2 pep chromosome:ASM357664v1:3:2523922:2527691:-1 gene:itb03g04090 transcript:itb03g04090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQKEERVYVGIGEDMHDGFQSLEWVLRNWSSQSITILILYADNSICRDYVYTPIGRLPSSSVNEEKLKDLEKFEEAKTDKILANYVAFCGKVKAEVVKIQRHDGSIEKMMVDLISDLQITKLVLSLTFMKPLLWKSRSAIRGLFHIHNQKPDLCELFVVFGGKLVFLIEENGESVIKDEEGGVIARAREKKKKKKKHGFKVLLERMLPDKEKRSCDSPSSSSSSSVSNGLVDQWEKNQNEIELYLTQLSSLNATGKTDCDAANDPLEDCVKPENMTTKERIEALKAKIREVQEVVQLNKKEAKAIVERQAKAEWAIRLCASRAEDLEACINEEMAKRAHLEEELDSAKEELYELQTEVEEKRTKLNSILELQRELASKVQLSSREKSHAEVQLERAVRTRTQMIQGIEKLRRQRDVLRRRIEFCKEKDAIGEATRLGEPSFCCRQFSAAEIRAATNEFSERQRLKCGGNWTNVYKGCLNSERVAIKLYHKSSSLSEETFQHQVKLLSSIRHPHIVSMIGFCSELKCIVFEYMRNGCLRDALFSTNRSSKRRNKPLNWHARIHIAAEVCAGLSFLHQAKPRPIFHGKLSPSNVLLDRNNVAKIHGLRPSPRYDVSSSRADVRGFGTLVAQLLTGRNWAGLVEEAVMNDKGALIGVLDQVAGEWPLDLAVELGNIARRCLTNQENLGKELTMAMLARDIKKVKKKADELVAGGECAQADQSDVKVEEDYEDVPSLFFCPIFQQDIMKNPHVAADGFSYELEAIEEWLRIGCSTSPMTNLELKHKLLTPNHNLRSLIHEWENKRSALQS >itb04g11210.t2 pep chromosome:ASM357664v1:4:10762384:10764478:-1 gene:itb04g11210 transcript:itb04g11210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPRRKKWTEVEEKTLIDKYGEMICDGTLAKMKTREKKYKPIALHVNSVHNLSDPIAFPWQWTWKDVSTKVQNMRHQYALVKQKIKKEGDGGVEEFDWIEGLTHWSNFLRYKEVFGDVVLVYNGGGESMAVVGDRNENSGGFDGSGHGIELVQFGHLSHSGDGDFGGGGIDAVENGAIGLGFDYDGEEGEENYNGSNNKSNNPMKEDGDDGFVYEDIEPTGFDTRKKRKVLKGLEKKAWGFLASQLAQLRDMETRFEQREVERERERQRREHLRMEMEQERERKWEEKERERQERERAIEKLRRQRLQEWEAMEKESEERERRRREEELIFEREREERTNRRRLDSKKRIDEMINHHRAEMTQIQTRILHEQQNLTSQLLGILSQWTGHPTTLSDHTGASSHYLSQMMQNLHHENTMVHGDARVEGDNQEDHFIVDG >itb04g11210.t1 pep chromosome:ASM357664v1:4:10762174:10764478:-1 gene:itb04g11210 transcript:itb04g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPRRKKWTEVEEKTLIDKYGEMICDGTLAKMKTREKKYKPIALHVNSVHNLSDPIAFPWQWTWKDVSTKVQNMRHQYALVKQKIKKEGDGGVEEFDWIEGLTHWSNFLRYKEVFGDVVLVYNGGGESMAVVGDRNENSGGFDGSGHGIELVQFGHLSHSGDGDFGGGGIDAVENGAIGLGFDYDGEEGEENYNGSNNKSNNPMKEDGDDGFVYEDIEPTGFDTRKKRKVLKGLEKKAWGFLASQLAQLRDMETRFEQREVERERERQRREHLRMEMEQERERKWEEKERERQERERAIEKLRRQRLQEWEAMEKESEERERRRREEELIFEREREERTNRRRLDSKKRIDEMINHHRAEMTQIQTRILHEQQNLTSQLLGILSQWTGHPTTLSDHTGASSHYLSQMMQNLHHENTMVHGDARVEGDNQEDHFIVDG >itb03g20290.t2 pep chromosome:ASM357664v1:3:18215899:18218632:-1 gene:itb03g20290 transcript:itb03g20290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILAKIPRKSLKSDPKDSSLSNSINNPTSSGNGVQFTNSCNVIANRLNVVKRMSSAIFPANIVAGGESIAPHVCFKDVSNGEKQGLFLSKLNLCCSVYDFSDPDKNSAEKDLKRQVLSELNDFVTSGSVKCTESAIAAVCKMCAINLFRDFPPKYPSQSARGEGDDEEPLFDPAWYHLQLVYDLFLQFISLSSLDPKVAKKYIHHSFILKLLNLFDSEDPRERECLKSVLHRLYGKFMMHRPFIRNAVSNIFYGFVFETQRHNGISELLEVFGSVISGFALPLKEEHKLFFSRALIPLHKPKSLGVYHQQLAYCVVQFVEKDQNLGSIVIEKLLKYWPVKNSQKELMFISEIEELLELINISEFQKIMVPLFRRLGYCLNSSHFQVAERAHLLWNNDNILNLVMHNKDVIMPIVVSALERNSQSHWNKAILNLTQNVRKVITEMDEELVCACQSKLEEEKSLSNVVAERRRLTWEHLDVIAASNISALTKPASCVVSC >itb03g20290.t1 pep chromosome:ASM357664v1:3:18215898:18219663:-1 gene:itb03g20290 transcript:itb03g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSFLIAHQTHTNPGPLLVATSSVATMLKQILAKIPRKSLKSDPKDSSLSNSINNPTSSGNGVQFTNSCNVIANRLNVVKRMSSAIFPANIVAGGESIAPHVCFKDVSNGEKQGLFLSKLNLCCSVYDFSDPDKNSAEKDLKRQVLSELNDFVTSGSVKCTESAIAAVCKMCAINLFRDFPPKYPSQSARGEGDDEEPLFDPAWYHLQLVYDLFLQFISLSSLDPKVAKKYIHHSFILKLLNLFDSEDPRERECLKSVLHRLYGKFMMHRPFIRNAVSNIFYGFVFETQRHNGISELLEVFGSVISGFALPLKEEHKLFFSRALIPLHKPKSLGVYHQQLAYCVVQFVEKDQNLGSIVIEKLLKYWPVKNSQKELMFISEIEELLELINISEFQKIMVPLFRRLGYCLNSSHFQVAERAHLLWNNDNILNLVMHNKDVIMPIVVSALERNSQSHWNKAILNLTQNVRKVITEMDEELVCACQSKLEEEKSLSNVVAERRRLTWEHLDVIAASNISALTKPASCVVSC >itb04g29770.t1 pep chromosome:ASM357664v1:4:33057024:33060303:1 gene:itb04g29770 transcript:itb04g29770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQVQQPSSNGRRRRGGWITFPFIIAMTVGMNLAGAGWSSNLIVYLIKEFNVKSIDAAQIANVVSGTFNLVPVFAAIIADSFLDCFSVVWISSVISLLGIILLAVTASIPSLKPQPCVNGVCKAPTRAQFGVLYAGICLATTGQGGRAVIATMGANQFPDKPKNQTIFFNWFFFTMYVAVLIASTVVVYVEDNVSWECGFYVCAGANVVGLVAFLVGTPFYRRPKPEGSPCTVLARVVVAAIRKRKLQISPAENNFYYGKDILAKFTTPPPSTSFGCLNRAAMRGNGDVAADGSNKKPWRLCSVREVEDFKTIIRIFPLWSTAIFLATPIAMNISLSVLQALTVDRHLGRHFQIPAGSIFVFVFLSTSLSLILFDRILSPAWKRLARKTPTPLQRVGIGHVFNIAAMVVSAMVESKRRNVAAKSDALIAPISVLWLLPQLALVGIGEAMHFPGQLELYYQEFPASLKNVSTSLAWVIIAIAFYLSTALIDLLRRVTGWLPDNINHGRLENVYWLVTVVGVLNFAYFLICSWFYKYQNVKEVGHGESNSLDIQSSL >itb10g02810.t1 pep chromosome:ASM357664v1:10:2445833:2446255:-1 gene:itb10g02810 transcript:itb10g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSGDVRMAVSGVAWQAEECVTVVELVASWRFVKSEFTTVVRCETTFDRVLTVASNSSSFCTVAGRCSSLCTVKRMSHISSWSFFISPILTFGSGTKMLCSQEKSSVNGQLGLLGIVCRLSMLGDKLKGVGNKEFSHL >itb03g08330.t1 pep chromosome:ASM357664v1:3:6225181:6226516:1 gene:itb03g08330 transcript:itb03g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLSIFVHNFLLPFMFGLSVGIIIISTYSYRFNTFSFPSIFSPLHSSLPTNSSDPPPQPPSLPLNASMKLDEKKSLEPHNMTDDELLRLAAAASSSSAAKTFIKDVAKVAFMFLTPGPLPLAPLWEKFFEGHQHLFSIYIHAHPSYNHSFPDTSVFFRRTIPSQAVFWGTLSMIDAERRLLANALLDLSNQRFVLLSDSCIPLFNFTTTYHYLMASTLSFLQSYDDPSKAARGRYSPRMAPTITVQQWRKGSQWFEIRRDLAEKIVSDQIYYPVFRDHCLPPCYSDEHYLPTLVNILWPDASSNRSVTWVDWSLGGPHPRRFGWIDVKLEVLDNIRFGGDECVYNGNTTRICFLFARKFLPNTADPLLRLSPSLLV >itb09g07660.t1 pep chromosome:ASM357664v1:9:4511098:4511808:-1 gene:itb09g07660 transcript:itb09g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEREQESASSDAILENVWANFIAKNESKGSQEEYESWDEILHRLPSLEEELQASINGGPKSSSEMVKVERRKHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFDTAEEAAMAYDKAALRIRGHKAYLNFPLQNTVADARGICCNPNHELSGNCRFIGSCFGNGGIACDSRKRVGREWDIFDDSPCMITQPAQKIKMQPQTCLEETLETSFSDVVEFQDLGSDYLEYLLLTSG >itb05g09610.t1 pep chromosome:ASM357664v1:5:14016670:14017483:1 gene:itb05g09610 transcript:itb05g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYADRNRTDRSFVAGDLVYLKLQPYRQVTMAVRRNVKLSSKFYGPYEVLERIGQVAYRLKLPAGAMIHPVFHVSQLKGRVSKGRQAQLEPPKVGPDGQTLTEPIAILGRRILKRNNAPWVEVLVQWANLSPEKATWEEYHHLMAEFPNFKP >itb15g09260.t1 pep chromosome:ASM357664v1:15:6576869:6577459:1 gene:itb15g09260 transcript:itb15g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFVAIRRKRISFPGNNNDAESCSASSAISKGHFAIYTADQKRFVVPLVFLDNEIIRQLLVMSEEEFGLPSDGPITLPCDAVFMEYIISLLRQGVGIEFQNAMLTSLTSNRCSLTSMHQGWRDQQFLVC >itb03g16390.t1 pep chromosome:ASM357664v1:3:15372894:15375927:1 gene:itb03g16390 transcript:itb03g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVYAAAVDGWLRVGRGGGASGGRVGGLRQMADGFSHESENDLAAMVRDFLENGSAGTDSGCSTDSDSSFCELAHLADKISNLKSAVDQYQSDLLSVVNSLMLSINEADLHIIKSGKCNGSCIRYSLVKLLRLSGYDAGVCVSKWQGHNKVPGGEHEYIDVINYYDSAGNSERVIIDIDFRSHFEIARAVESYNRILDSLPVVYVGTLTKLKQYLQVMVEAAKSSLKQNLMPLPPWRSFAYLQAKWESPYQRKFNPDNPNITRTFSSQHIQCIPHLKRLQSTLQPETEVERILRPVNSDRPRLNLGRRRRPSFRAL >itb01g27690.t1 pep chromosome:ASM357664v1:1:32376382:32377833:1 gene:itb01g27690 transcript:itb01g27690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFIPAAAMGHFVSAVGTAKLLLQRQPQLSITVLIMKMPLLPDANINSYIDSLIADEKDINPRLKLVLLPEGLTNMVSIIQTKARDCVNEIQASVGRRLGGFVVDLFCTNIIKDVADEFGVPTYVFYALGAAMLGLHLHFQSLKDDHGIDASDFKDSDPDLNIPSYFKPFPVKLLPSFVLDTTEGFMDYARRIREAKGVLVNTFFDLEPHALQSFSKDKTNPPVYPVGPVLNLNGLPKYRESEKQILKWLDDQPASSVVYLCFGSAGAGVFQEPQVKEIACALECSGQRFLWVLRKPPSPGSLVPTGYSNPEEVLPEGFLERTKSIGKIIGWAPQSVVLAHPAVGGFVSHCGWNSVLESIWFGVPMATWPMTVDQQGNAFQLVKEIGMAVDIKMDYRTDSRGLKTNIPIVPEIVSAKDIEIGITSLMQNSTTNYVRTKAKEVKEKSRKALDEGGSSFNFVESFFENVMNNLK >itb01g24050.t1 pep chromosome:ASM357664v1:1:29814250:29817914:1 gene:itb01g24050 transcript:itb01g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKWFCVIECIFMSVLLAFQASAYTDDIEAQTLREMYRAFNSPPQLIKWRLEGGDPCEELWTGVGCSGSSVVQIKLEGLNLTGILGFQISNLQHLKQLELSSNNIQGEIPHDLPHNLTHLILAWNNFSNSIPDSLTSLKHLQQLDLSHNNFTGDLPSSFKALKNLTSLFMQSNSFTGSVINLSGLSLIDLNIEDNHFSGVIPENFQKIRNLWIGGNRFDRGGNYSPWNFPLDVVPSEKNISSPPTMQSSAIKKNISTSSSPPTMQSSTIAKNYASHTKGGEYKGKRYGSEALVAVVIGATLLAICAVLFIVVRIHRSRNQMLIGSVCTENCIRCLTKVKEDSQFSSQISSPQLISPWQLQPVSRRSFANTCKVPICSRFYTISELQLATNNFSEENLLGEGSLGSVYKAEFPDGQIFAVKNINTVELSLQEEEHFLDVVRTASRLRHSNIVALSGYCVGYGQHLLVYEYVRSVSLQDALHDTGFIPLSWTLRLRIALGTARALNYLHSSCVPPIAHNNLKAANILLDEDNRPKISDCGLVNLRPLTTNAVKLKASEMAISDSGYVPPEHVQGGLGNTKADVYAFGVLLLELLTGKQPFDSSRPRYEQSLVEWASSRLDDKGCVAEMVDPAMSRTIPSKTLSRLAHFISLCIHPEKEMRPAMSEMVEWLTGVLNKQPGGGSRQAAEAGAVEGTERSFRTTSSCFFGSPRVN >itb12g24650.t1 pep chromosome:ASM357664v1:12:26101438:26103810:1 gene:itb12g24650 transcript:itb12g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQFRERRPSEGREKVSFPREVSQQLRERRPSEGREKVSFPREMSHQSRERRPSAGREKVSFPREMSQQSRERGPSAGREKVSFPRERQPSEGREKVSFPREMSQQLRERRPSKVRKKVSFHQEISLQDVVNQKSQVEAKPLIGTGHDCSLENEVQIGLKEPKNILLENALKIPANHKGHAFNPAISKIKSQNSDKGKAYGSSGIATGLINSAASKNHKRRKVDDSGQEMTGSKVLNNTSDEYSSKCQREPSPVLNGDLRHQDDKKMVRSLVENRKSKIADKGTTEQLSRQVTDCEAIPSNCGHHEQVGFHDLFSEKIIVVNTQGETRVSEQTFNNDEQSYFFDVADHGVADDRIQKLKQLKDDCIVLISDRYHVHDSRRRVIKALDLFKDVHAVRFKAYKAEQTHGSRIQKIDVRTAMALKQEGKWVNYERVFVGNVPGVYIGDQFRFRAELVIAGLHRKFYAGIHYVKIQGKNYAISVVNSGRYDNQSISPNSFIYVGHGGNLSIAGKEPVDQELKYGNLALKNSRDKGVPVRVTRACRVQDENSTTKNNKRYIYEGLFVVTRYWQERSAQHGKMVFMFELHRMSNQPSFTPEMSVRPRRIGTWFHRQAFKEGRRPCGIGRPILNGKRTRGVFKAVERVVVDDVSQGKENLAIRVVSDIYVERPLPFTYTASMIYPHWYHPSVPLGCDCTNGCSDSKHCFCAYRNGGEIPYNTRGAIIKPKPVIHECGPACKCPPSCRSRISQHGIRYHLEIFRKKPSGWGVRSRDYISSGSFICEFVGELLDKKEA >itb10g06480.t1 pep chromosome:ASM357664v1:10:7308133:7309690:-1 gene:itb10g06480 transcript:itb10g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVILHVYDLTMSGKGNDTVNYMVVQMNKLLKDGINLGGVFHVAVQIYGEVEWAYGHRDKGSGIFSCPATKNPNYTYRESIPAGRTHCSSSKVNLILKELRDEWPGDEYSLVSRNSKHFCQELLEKLGVPKLPAWANRLANVGDTAKDVGNKLMQAPKDAFKFLKTATGVGAADSNSQPKSSSSSFFKSNKSKSKSQIENLPLIGIGVKSITKTFRVNAPVIALDDKPANVEAETEKGAQDSDEPKYLEEDGEEEEKTKRLPAAPACLLIEDGSESQTGDCKGGKKQHATEPIVEEPISDEEEYSDSEDETPVMKNTQQKLKAMKPISAA >itb01g07240.t1 pep chromosome:ASM357664v1:1:5456956:5458105:1 gene:itb01g07240 transcript:itb01g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSTSVSIIILFLLLHFSTSGNMMRLANAQAPGQGSWCLPRPSASYRELMDNMNYACNVVNCTAIRRGGPCFHPNSLINHASFVMNLYYQKAGRNFWNCDFKNTAVIVVTDPSYGNCKYDCTQ >itb04g15780.t3 pep chromosome:ASM357664v1:4:16980113:16991042:-1 gene:itb04g15780 transcript:itb04g15780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNHLQNGLETAKTMWSRLPAEEEEELEGLVPSKKMEDGSVESLDYEVIENYAYREQQAKKGKLYVGYSVVVKWLFALLTGIGTGLAAVFINISVENFAGWKYSLTFQIIQKSYFAGFLVYTLINVALVLSSVYIVTHFAPAAAGSGIPEIKGYLNGIDMHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWLQVFNSERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLLWRVFFTSAIVAVVVRSAMGWCKSGKCGHFGSGGFIIWDISGGQDDYSVQELLPMAIIGVVGGLLGALFNQLTLFITHWRRNYLHKKGSRVKIIEVCLISVITSVISFGLPLFRRCTPCPDFDANSGIECPRAPGMYGNYVNFYCKNKKEYNDLATLFFNTQDDAIRNLFSANTVHEFSAQSLLTFLVMFYTLAVMTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYRKLDIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRYLTAKEASRNQKVIYFPRVVKVADTVSILQSNNHNGFPVIDHTRNGETLVIGLILRSHLLVLLQSKVDFQHSPLPCNVRDGSIPIRHNLSEFVKPVSSKGISIGDIHLTQDDMEMYIDLAPFINPSPYIVPEDMSLTKVYNLFRQLGLRHILVVPRASRVIGMITRKDLLLEDNNESDPVELHSTSVRSLLSTLQFAQMFALDDFENS >itb04g15780.t4 pep chromosome:ASM357664v1:4:16981308:16991042:-1 gene:itb04g15780 transcript:itb04g15780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNHLQNGLETAKTMWSRLPAEEEEELEGLVPSKKMEDGSVESLDYEVIENYAYREQQAKKGKLYVGYSVVVKWLFALLTGIGTGLAAVFINISVENFAGWKYSLTFQIIQKSYFAGFLVYTLINVALVLSSVYIVTHFAPAAAGSGIPEIKGYLNGIDMHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWLQVFNSERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLLWRVFFTSAIVAVVVRSAMGWCKSGKCGHFGSGGFIIWDISGGQDDYSVQELLPMAIIGVVGGLLGALFNQLTLFITHWRRNYLHKKGSRVKIIEVCLISVITSVISFGLPLFRRCTPCPDFDANSGIECPRAPGMYGNYVNFYCKNKKEYNDLATLFFNTQDDAIRNLFSANTVHEFSAQSLLTFLVMFYTLAVMTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYRKLDIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRYLTAKEASRNQKVIYFPRVVKVADTVSILQSNNHNGFPVIDHTRNGETLVIGLILRSHLLVLLQSKVDFQHSPLPCNVRDGSIPIRHNLSEFVKPVSSKGISIGDIHLTQDDMEMYIDLAPFINPSPYIVPEDMSLTKVRFMVVS >itb04g15780.t1 pep chromosome:ASM357664v1:4:16980113:16991042:-1 gene:itb04g15780 transcript:itb04g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNHLQNGLETAKTMWSRLPAEEEEELEGLVPSKKMEDGSVESLDYEVIENYAYREQQAKKGKLYVGYSVVVKWLFALLTGIGTGLAAVFINISVENFAGWKYSLTFQIIQKSYFAGFLVYTLINVALVLSSVYIVTHFAPAAAGSGIPEIKGYLNGIDMHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWLQVFNSERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLLWRVFFTSAIVAVVVRSAMGWCKSGKCGHFGSGGFIIWDISGGQDDYSVQELLPMAIIGVVGGLLGALFNQLTLFITHWRRNYLHKKGSRVKIIEVCLISVITSVISFGLPLFRRCTPCPDFDANSGIECPRAPGMYGNYVNFYCKNKKEYNDLATLFFNTQDDAIRNLFSANTVHEFSAQSLLTFLVMFYTLAVMTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYRKLDIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRYLTAKEASRNQKVIYFPRVVKVADTVSILQSNNHNGFPVIDHTRNGETLVIGLILRSHLLVLLQSKVDFQHSPLPCNVRDGSIPIRHNLSEFVKPVSSKGISIGDIHLTQDDMEMYIDLAPFINPSPYIVPEDMSLTKVYNLFRQLGLRHILVVPRASRVIGMITRKDLLLEDNNESDPVELHSTSVRGWAANKRVVTRIADVGQPLLDDGVL >itb04g15780.t2 pep chromosome:ASM357664v1:4:16980113:16991042:-1 gene:itb04g15780 transcript:itb04g15780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MLSNHLQNGLETAKTMWSRLPAEEEEELEGLVPSKKMEDGSVESLDYEVIENYAYREQQAKKGKLYVGYSVVVKWLFALLTGIGTGLAAVFINISVENFAGWKYSLTFQIIQKSYFAGFLVYTLINVALVLSSVYIVTHFAPAAAGSGIPEIKGYLNGIDMHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWLQVFNSERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLLWRVFFTSAIVAVVVRSAMGWCKSGKCGHFGSGGFIIWDISGGQDDYSVQELLPMAIIGVVGGLLGALFNQLTLFITHWRRNYLHKKGSRVKIIEVCLISVITSVISFGLPLFRRCTPCPDFDANSGIECPRAPGMYGNYVNFYCKNKKEYNDLATLFFNTQDDAIRNLFSANTVHEFSAQSLLTFLVMFYTLAVMTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYRKLDIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLKFLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRYLTAKEASRNQKVIYFPRVVKVADTVSILQSNNHNGFPVIDHTRNGETLVIGLILRSHLLVLLQSKVDFQHSPLPCNVRDGSIPIRHNLSEFVKPVSSKGISIGDIHLTQDDMEMYIDLAPFINPSPYIVPEDMSLTKVYNLFRQLGLRHILVVPRASRVIGMITRKDLLLEDNNESDPVELHSTSRLGS >itb04g01510.t4 pep chromosome:ASM357664v1:4:844535:847918:-1 gene:itb04g01510 transcript:itb04g01510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKVLIVGGTGYLGQHLLQGFTEVLHSLPYSLSLAFTYHTNPPSKPLLDGIPQALPFHVDLRTGHGFDAISKNLGQPDVVINCAALSIPRACEADPEAALAINVPYALVRWLSSFDENKTFLIHLSTDQVYEGTKSFYKEEDETIPVNAYGKSKVVAEQLILTNCPNFAILRSSIIFGPQTISPVPKSLPIQWIDSVLAKGEKMDFFHDEFRCPVYVRDLVTIIQTLTNGWISVTLFEVMAVPREQANAIACKCWWTR >itb04g01510.t3 pep chromosome:ASM357664v1:4:844535:847918:-1 gene:itb04g01510 transcript:itb04g01510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKVLIVGGTGYLGQHLLQGFTEVLHSLPYSLSLAFTYHTNPPSKPLLDGIPQALPFHVDLRTGHGFDAISKNLGQPDVVINCAALSIPRACEADPEAALAINVPYALVRWLSSFDENKTFLIHLSTDQVYEGTKSFYKEEDETIPVNAYGKSKVVAEQLILTNCPNFAILRSSIIFGPQTISPVPKSLPIQWIDSVLAKGEKMDFFHDEFRCPVYVRDLVTIIQTLTNGWISVVPHSLKKFSKYLCNSRN >itb04g01510.t1 pep chromosome:ASM357664v1:4:844535:847918:-1 gene:itb04g01510 transcript:itb04g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKVLIVGGTGYLGQHLLQGFTEVLHSLPYSLSLAFTYHTNPPSKPLLDGIPQALPFHVDLRTGHGFDAISKNLGQPDVVINCAALSIPRACEADPEAALAINVPYALVRWLSSFDENKTFLIHLSTDQVYEGTKSFYKEEDETIPVNAYGKSKVVAEQLILTNCPNFAILRSSIIFGPQTISPVPKSLPIQWIDSVLAKGEKMDFFHDEFRCPVYVRDLVTIIQTLTNGWISESKPMQLLVNVGGPDRVSRVQMAEAVAEIRGYNASINPVSSSSVDRGVKSPADISMDITKLIQTLGVSPTGFKEGVKLTLEAEDASKRQ >itb04g01510.t2 pep chromosome:ASM357664v1:4:844535:847918:-1 gene:itb04g01510 transcript:itb04g01510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKVLIVGGTGYLGQHLLQGFTEVLHSLPYSLSLAFTYHTNPPSKPLLDGIPQALPFHVDLRTGHGFDAISKNLGQPDVVINCAALSIPRACEADPEAALAINVPYALVRWLSSFDENKTFLIHLSTDQVYEGTKSFYKEEDETIPVNAYGKSKVVAEQLILTNCPNFAILRSSIIFGPQTISPVPKSLPIQWIDSVLAKGEKMDFFHDEFRCPVYVRDLVTIIQTLTNGWISGLIYSCTS >itb02g08840.t1 pep chromosome:ASM357664v1:2:5591587:5593940:-1 gene:itb02g08840 transcript:itb02g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVFGLAAVSFAVVFLVYLWRVLNWAWFRPRKLQKCLRQQGLKGNKYNFIFGDLKHLTKSIKEAESKPMNLSDDISPRILPFFIDAIHKNGENSFIWLGPIPLLFIKESELIRDVLTKHTVFQKPPSNPLTKLLAQGVVSYEEDKWSKHRRIINPAFHMEKLKHMIPAFYLSCIEMLGEWEKKMDNQGLAEVDVWPHLQQLSSDAISRTAFGSNYEEGRKIFELQKEQAEHVIEVTRSIYIPGWRFLPTKRNRRMKDIEKQVQASIRCIIDKRVKAMKAGEGSKDDLLGILLESNFKEIEKHGNRDFGMTTGEVIEECKLFYFAGQETTSVLLVWTMILLSRHQEWQTRAREEVFQLYGKDKPDIDGLNRLKIVTMILNESLRLYSPAVSLARMTKQETKLGDLTLPPGVIISMPLILIHHDKKIWGDDANEFKPERFSEGVSKATKGQLTFLPFGGGPRICVGLNFTMLEAKLVMAMILQHYSFELSPSYTHAPTTVITLQPQYGAPLVLRKL >itb09g22990.t1 pep chromosome:ASM357664v1:9:22021978:22023204:-1 gene:itb09g22990 transcript:itb09g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQDCSSNSSEGEFEEEDKVDKNGESSNEDDGEQGKGETEQSGLPDGFYLVEAVRKKRVRQGEPQYLIKWVGWPEESNTWEPMENLASITDMIDEFEDSLAKKKQQKKPLPSPFPVVTKKMNVCSANAGNTKECSKTMESPTNGQPQDQFGTKLIISEPKEELAVPKTKMASEDKSLQRSQEAQSVGAAKKKKSESGPSAKKFKFPKRGECVDLWNLGDDHFVDKDLD >itb07g16400.t1 pep chromosome:ASM357664v1:7:20134315:20136134:1 gene:itb07g16400 transcript:itb07g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKLKTETEASPSQMVTGRRTHVSSAPPNCTAALNTVTPCAACKLLRRRCVEECPFSPYFSPHEPHKFAAVHKVFGASNVSKLLLEVPVRQRADAANSLVYEANVRLRDPVYGCMGAISALQQQLQFLQSELNSVKAQLLRYKYRQVVTITSSAQTPPPALMNSMAVSVAEPPQAPPHSSPPLFTTSSNMASFSTVIQNNNNISFFQ >itb12g02130.t2 pep chromosome:ASM357664v1:12:1432897:1437613:1 gene:itb12g02130 transcript:itb12g02130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVICAASNEDQTLLLSSSSSIFCFSQPTADAATHRRPGRSHEPAISGNHSQRGSESVIEEMDQQAAAMPADNELLEKRPGVLIVGAPNVGKRTLLSRLLSIDLDDTSDTSSGLLAYGWTINTKYYTADVSLWMANLHEKFSVEALPISDQLAALVMVFDISDLLSFLELKDWVSHTDISKFDILLCIGNKVDLLPGHSAHVEYRRRLLKCAESSGSSYTEILDTGIDETEGSSLLGDDESSWEIKQACLQWCIELNIEYVEACASNVDFDKCLSVDGDSQGVERLQGALSAYMWPGMVLKSGDKINEPSLPQEQDVSDEESEYELEYEILSAGSAEPWDDVDGGWISADGPVTTTGTAESSKQKENGSEGEVGPSTLESQLPGVIEKEEIPGKDEADIVSEADKGTTYNFEDLEQLMAEIGNVRDSLRLMPDFQRREMAANLAMKMATMFGDSSGDEEGLD >itb12g02130.t1 pep chromosome:ASM357664v1:12:1432897:1438808:1 gene:itb12g02130 transcript:itb12g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVICAASNEDQTLLLSSSSSIFCFSQPTADAATHRRPGRSHEPAISGNHSQRGSESVIEEMDQQAAAMPADNELLEKRPGVLIVGAPNVGKRTLLSRLLSIDLDDTSDTSSGLLAYGWTINTKYYTADVSLWMANLHEKFSVEALPISDQLAALVMVFDISDLLSFLELKDWVSHTDISKFDILLCIGNKVDLLPGHSAHVEYRRRLLKCAESSGSSYTEILDTGIDETEGSSLLGDDESSWEIKQACLQWCIELNIEYVEACASNVDFDKCLSVDGDSQGVERLQGALSAYMWPGMVLKSGDKINEPSLPQEQDVSDEESEYELEYEILSAGSAEPWDDVDGGWISADGPVTTTGTAESSKQKENGSEGEVGPSTLESQLPGVIEKEEIPGKDEADIVSEADKGTTYNFEDLEQLMAEIGNVRDSLRLMPDFQRREMAANLAMKMATMFGDSSGDEEGLD >itb01g18490.t1 pep chromosome:ASM357664v1:1:24037066:24042735:-1 gene:itb01g18490 transcript:itb01g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MFSQLLHSSATVTLSSPHAQCFRSLTPSIFNRQFPPNCPKTKTLHLQPPRPTVIRAEKSSSAEGLQQNWKQLLEKWSPKNFIGAEKVLRLISGATSSPIAQYIPSPTTFLHSTDPRIKLAWLLTLVILPARSNIVLRLGLVVYLTVLSIWIQPEEVWKDQLGRVSLLSGILFIMLALSTDSAPSLVYSRAPPPSMMGLPNFPASLEGYSYVVFKMGPLQITRKGLLTGSTSACLTFTIFQSASLYLSTTTPEQIAFALQWFLRPLDKLGLPVAEVILTLLLSLRFINLVFDEVRNVALGIVSRRINWEQLTTLETIDVFVTYIRRIFKNIFAHAEQISQAMIVRGFRGDSKEHKIFLSANSSIALVNYISLSLLVGLIAAINLPKPLFI >itb04g05490.t1 pep chromosome:ASM357664v1:4:3568715:3571847:-1 gene:itb04g05490 transcript:itb04g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLPNRVHKPEDEDECEVTGGSQDESEIPPTPPSFPELEMKVKESIQSLGGSAFPKLNWSSPKDSAWISSTGNLKCTSFSEIALLFKSSDSLVHDFCHAYDSCSNKTISRPPKFVLALRKWYPSLHPEMELRCFVRNMVLVGVSQREVTGFYPSLIERKYELKTAIQSFFSAKVKGKFESKSYTFDIYVTLDHRIKLLDFNPWGAFTLPLLFTWEELEEGVNELDFRIVENQCGV >itb11g00830.t1 pep chromosome:ASM357664v1:11:365657:366690:1 gene:itb11g00830 transcript:itb11g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETPVITNRAGNKAEITKSVTRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTAEEAARAYDEAARALRGENARTNFGLPAPASSPISSVHSDESGSSSDGKVGLSFSSLKAKLSKNLQSIMARRNSEHKSCKSRVSDHFTFANIFHLRNSYKPCLFQAAVDMKKMERAVVQPSVVVPSAAAAYYCSWETSSSSMSDCSSNEWMMGFGRQNNNLDSDGSEASEAAAFLGEQEHQMMGGGVGWGSSPDTMSGASSGELGSRSKRFKVSSSVLVPPTFTQSPPSSHL >itb10g01080.t1 pep chromosome:ASM357664v1:10:800599:802324:1 gene:itb10g01080 transcript:itb10g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKADSRKADSKLAVKKKGKNVKDPNKPKRPPSAFFVFMEQFRKEYKEKHPKNKSVATVGKAAGDKWKSLSEKEKAPYIAKAEQRKEDYNRQMEAYDKKMEGGGDEEESDKSKSEVNDDEEDGSGEEEEDDE >itb03g16920.t1 pep chromosome:ASM357664v1:3:15682820:15687045:1 gene:itb03g16920 transcript:itb03g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 19 [Source:Projected from Arabidopsis thaliana (AT5G04530) UniProtKB/Swiss-Prot;Acc:Q9LZ72] METLAAILYSLLFLYTLIYFLRSYLRRRDQCCYMLNYECYKPTDAGRRLSTDKSALVVYRNRNLGIDEFRFLLQTMVNSGVGEQTYIPINVLEGREDCPTLEDSYTEVDDIMFQTLDNLFAKSRVSPSEIDVLVVNVSLLASAPSLTSRVVNRYKMREDVKTFNLSGMGCSASVVAIDIVHHLFKVYKNSYAVVVSTESLGANWYSGKERSMMLSNCLFRSGGCSMLFTNNPALKPRAILKLKHMVRTHIAAIDEAYECCKQVEDPQGYRGFHLSKRLPKVATKALVANFKVLVPKMIPLWETLRFLAASALERRKFKLPSLLQKCALLGAPTLNMKTGIEHFCLHPGGRAVIDGVGVSLGLSEYDLEPARMSLHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMLSLGAGFKCNNCVWEVMKDLGDPNVWEDCIDSYPPKTLVNPFTEKYDWIHHDPCLNFLRSMSSS >itb03g16920.t2 pep chromosome:ASM357664v1:3:15682820:15687045:1 gene:itb03g16920 transcript:itb03g16920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 19 [Source:Projected from Arabidopsis thaliana (AT5G04530) UniProtKB/Swiss-Prot;Acc:Q9LZ72] METLAAILYSLLFLYTLIYFLRSYLRRRDQCCYMLNYECYKPTDAGRRLSTDKSALVVYRNRNLGIDEFRFLLQTMVNSGVGEQTYIPINVLEGREDCPTLEDSYTEVDDIMFQTLDNLFAKSRVSPSEIDVLVVNVSLLASAPSLTSRVVNRYKMREDVKTFNLSGMGCSASVVAIDIVHHLFKVYKNSYAVVVSTESLGANWYSGKERSMMLSNCLFRSGGCSMLFTNNPALKPRAILKLKHMVRTHIAAIDEAYECCKQVEDPQGYRGFHLSKRLPKVATKALVANFKVLVPKMIPLWETLRFLAASALERRKFKLPSLLQKCSALLGAPTLNMKTGIEHFCLHPGGRAVIDGVGVSLGLSEYDLEPARMSLHRWGNTSAGGLWYVLGYMEAKKRLKKGDRILMLSLGAGFKCNNCVWEVMKDLGDPNVWEDCIDSYPPKTLVNPFTEKYDWIHHDPCLNFLRSMSSS >itb03g26750.t1 pep chromosome:ASM357664v1:3:26338463:26342697:1 gene:itb03g26750 transcript:itb03g26750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYAACGVCHSDLHVIKGELPFASPCVVGHEITGEVVEHGPLTDSKIVERFPVGAQVVGAFIMPCGSCGFCSKGQDDLCEAFFAYNRAKGTLYDGETRLFFRSSGKPAYMYSMGGLAEYCVMPAHGLAVLPNSLPYTESAILGCAVFTAYGAMAHAAQVRPGDAIAIIGIGGVGSSCLQIARAFGASEIIAVDVQDEKLQKAKALGATHTINSRNEDAVKKIKEITGGMGVDIAVEALGRTQTFGQCVQSVRDGGKAVMIGLTLSGAKGEVDINHLVRRQIKVIGSYGARARQDLPKLIKLAESGIFNLRAAVSRKCKFEEAAEAYKDLDKGNITGRAVVEIM >itb05g13990.t2 pep chromosome:ASM357664v1:5:21046899:21055107:1 gene:itb05g13990 transcript:itb05g13990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT5G61580) UniProtKB/TrEMBL;Acc:A0A178UCI3] MELTVSSSLCSNTYTPLHFSRKASLFPIGYSRCLGSFRSLRETSFAYKDIRISRRKPSVCRLSCRVRAQNTEACDASDGHHVENENDGFLLDDVPHLTDYLPDLPAYTNPLKRTPAYAIVNSISSLLSRKTFVSPEDVVARDIIVQRDSLRGVHFRRAGPREKVYFTPEEVRACIVTCGGLCPGINTVIREIVCGLKNMYGVDDVLGIQGGYRGFYSKNTMKLTPKIVNDIHKRGGTFLQTSRGGHDTKKIVDNIEDRGINQVYIIGGDGTQKGAAAIFKEVTERGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAECMDNGVGIVKLMGRHSGSIALYATLASRDVDCCLIPESPFYLEGPDGLFQYIDQRLKENGHVLIVLAEGAGQEYVAQSMQAFDGKDASGNRLLLDVGLWLTHKVKDHFTNAQKMTINMKYIDPTYMIRAIPSNASDNIYCTLLSQSAVHGAMAGYTGFTVGPVNSRHVYIPIERVTESQNRVNLTDRIWARLLASTNQPTFLHKCEAIRERVDKKTMDAINDQRITSI >itb05g13990.t1 pep chromosome:ASM357664v1:5:21046896:21055246:1 gene:itb05g13990 transcript:itb05g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT5G61580) UniProtKB/TrEMBL;Acc:A0A178UCI3] MELTVSSSLCSNTYTPLHFSRKASLFPIGYSRCLGSFRSLRETSFAYKDIRISRRKPSVCRLSCRVRAQNTEACDASDGHHVENENDGFLLDDVPHLTDYLPDLPAYTNPLKRTPAYAIVKKTFVSPEDVVARDIIVQRDSLRGVHFRRAGPREKVYFTPEEVRACIVTCGGLCPGINTVIREIVCGLKNMYGVDDVLGIQGGYRGFYSKNTMKLTPKIVNDIHKRGGTFLQTSRGGHDTKKIVDNIEDRGINQVYIIGGDGTQKGAAAIFKEVTERGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAECMDNGVGIVKLMGRHSGSIALYATLASRDVDCCLIPESPFYLEGPDGLFQYIDQRLKENGHVLIVLAEGAGQEYVAQSMQAFDGKDASGNRLLLDVGLWLTHKVKDHFTNAQKMTINMKYIDPTYMIRAIPSNASDNIYCTLLSQSAVHGAMAGYTGFTVGPVNSRHVYIPIERVTESQNRVNLTDRIWARLLASTNQPTFLHKCEAIRERVDKKTMDAINDQRITSI >itb05g13990.t3 pep chromosome:ASM357664v1:5:21046915:21055162:1 gene:itb05g13990 transcript:itb05g13990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT5G61580) UniProtKB/TrEMBL;Acc:A0A178UCI3] MWGCICLLIIVQRDSLRGVHFRRAGPREKVYFTPEEVRACIVTCGGLCPGINTVIREIVCGLKNMYGVDDVLGIQGGYRGFYSKNTMKLTPKIVNDIHKRGGTFLQTSRGGHDTKKIVDNIEDRGINQVYIIGGDGTQKGAAAIFKEVTERGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAECMDNGVGIVKLMGRHSGSIALYATLASRDVDCCLIPESPFYLEGPDGLFQYIDQRLKENGHVLIVLAEGAGQEYVAQSMQAFDGKDASGNRLLLDVGLWLTHKVKDHFTNAQKMTINMKYIDPTYMIRAIPSNASDNIYCTLLSQSAVHGAMAGYTGFTVGPVNSRHVYIPIERVTESQNRVNLTDRIWARLLASTNQPTFLHKCEAIRERVDKKTMDAINDQRITSI >itb13g01440.t2 pep chromosome:ASM357664v1:13:1383909:1390845:-1 gene:itb13g01440 transcript:itb13g01440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEVEAAAANGGEASAVAVAEEESLRNDVYTAAAYGNMEKLQRLVESEGCSISEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWTAVRGAIPVAEILLQAGARVNASDMYGYQATHVAAQYGHTDFLYCIVTKWNADPDVPDKDGRSPLHWAAYKAFADCIRLLLFLDAYPGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEGLMVKDHTGLTPAQLASDKNHRQVAFFLGNARQLYDKGCDGNSLLGKFSKLGLAPTLWCIIILLLVTYIHAVILAPNLPKLTAASAFFAWLGVFIATSALVLFYMCSSKDPGYISMSLRDLQNIKDDEPLLKNDVYHPALLAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLDVLAMLITGGVAFTRVLTDPMAPSSFVAWLNYTGTQHIGAITFIIADIFLFSGVSALTVVQASQISRNITTNEVANAPRYSYLKDQNDQFRNPYDHGLKKNFSDFLINGYSPDVVYTAASTQPEGIGMMHITMSSTP >itb13g01440.t1 pep chromosome:ASM357664v1:13:1383909:1390876:-1 gene:itb13g01440 transcript:itb13g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEVEAAAANGGEASAVAVAEEESLRNDVYTAAAYGNMEKLQRLVESEGCSISEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWTAVRGAIPVAEILLQAGARVNASDMYGYQATHVAAQYGHTDFLYCIVTKWNADPDVPDKDGRSPLHWAAYKAFADCIRLLLFLDAYPGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEGLMVKDHTGLTPAQLASDKNHRQVAFFLGNARQLYDKGCDGNSLLGKFSKLGLAPTLWCIIILLLVTYIHAVILAPNLPKLTAASAFFAWLGVFIATSALVLFYMCSSKDPGYISMSLRDLQNIKDDEPLLKNDVYHPALLAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLDVLAMLITGGVAFTRVLTDPMAPSSFVAWLNYTGTQHIGAITFIIADIFLFSGVSALTVVQASQISRNITTNEVANAPRYSYLKDQNDQFRNPYDHGLKKNFSDFLINGYSPDVVYTAASTQPEGIGMMHITMSSTP >itb13g01440.t3 pep chromosome:ASM357664v1:13:1383909:1390845:-1 gene:itb13g01440 transcript:itb13g01440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEVEAAAANGGEASAVAVAEEESLRNDVYTAAAYGNMEKLQRLVESEGCSISEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWTAVRGAIPVAEILLQAGARVNASDMYGYQATHVAAQYGHTDFLYCIVTKWNADPDVPDKDGRSPLHWAAYKAFADCIRLLLFLDAYPGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEGLMVKDHTGLTPAQLASDKNHRQVAFFLEPLLKNDVYHPALLAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLDVLAMLITGGVAFTRVLTDPMAPSSFVAWLNYTGTQHIGAITFIIADIFLFSGVSALTVVQASQISRNITTNEVANAPRYSYLKDQNDQFRNPYDHGLKKNFSDFLINGYSPDVVYTAASTQPEGIGMMHITMSSTP >itb13g01440.t5 pep chromosome:ASM357664v1:13:1386777:1390825:-1 gene:itb13g01440 transcript:itb13g01440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEVEAAAANGGEASAVAVAEEESLRNDVYTAAAYGNMEKLQRLVESEGCSISEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWTAVRGAIPVAEILLQAGARVNASDMYGYQATHVAAQYGHTDFLYCIVTKWNADPDVPDKDGRSPLHWAAYKAFADCIRLLLFLDAYPGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEGLMVKDHTGLTPAQLASDKNHRQVAFFLGNARQLYDKGCDGNSLLGKFSKLGLAPTLWCIIILLLVTYIHAVILAPNLPKLTAASAFFAWLGVFIATSALVLFYMCSRYSR >itb13g01440.t4 pep chromosome:ASM357664v1:13:1384444:1390825:-1 gene:itb13g01440 transcript:itb13g01440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEVEAAAANGGEASAVAVAEEESLRNDVYTAAAYGNMEKLQRLVESEGCSISEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWTAVRGAIPVAEILLQAGARVNASDMYGYQATHVAAQYGHTDFLYCIVTKWNADPDVPDKDGRSPLHWAAYKAFADCIRLLLFLDAYPGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEGLMVKDHTGLTPAQLASDKNHRQVAFFLEPLLKNDVYHPALLAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLDVLAMLITGGVAFTRVLTDPMAPSSFVAWLNYTGTQHIGAITFIIADIFLFSGVSALTVVQASQISRNITTNEVANAPRYSYLKDQNDQFRNPYDHGLKKNFSDFLINGYSPDVVYTAASTQPEGIGMMHITMSSTP >itb14g08200.t1 pep chromosome:ASM357664v1:14:7582742:7583693:-1 gene:itb14g08200 transcript:itb14g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGRGGGGQSRRWSWSSALIGAAAATATAALLSSKPRDPTFHLVSIDLTSFKPNFPVMDADVILTVHVSNPNVAPIQYSPTEMSIFYAGSLLGSAHVKAGSQPPRSCQLLRLPARLKGNQLAKHSKKFVEDVGRREMVLDAAVDIEGAAKVLWRDQRFRVHVDSHVKVDPVFLDVVDQENKSKLKICLF >itb03g15300.t2 pep chromosome:ASM357664v1:3:14660300:14662612:-1 gene:itb03g15300 transcript:itb03g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLNSVVSLNSSANYQRASKGISVQKALQLACPSQKAWLRRDVKETKWNFNLLVANTDRVETDISDKNFVTTESLNSSVENPSPSESSRTETEDQPSARADSAPEGSDGSNGLLTSKNLKEDVSSVTTKPALKRSPLTAREKLRAARVLSRYNNESKAASKPDMGSKLLEVLRESEKGKKGLPQAPNNLFDDSKRGLPKPGWTFELPVGFDVFLIAFSFVFISTVMFATTYIVWKVGAIHFNEY >itb03g15300.t1 pep chromosome:ASM357664v1:3:14660300:14662612:-1 gene:itb03g15300 transcript:itb03g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLNSVVSLNSSLQANYQRASKGISVQKALQLACPSQKAWLRRDVKETKWNFNLLVANTDRVETDISDKNFVTTESLNSSVENPSPSESSRTETEDQPSARADSAPEGSDGSNGLLTSKNLKEDVSSVTTKPALKRSPLTAREKLRAARVLSRYNNESKAASKPDMGSKLLEVLRESEKGKKGLPQAPNNLFDDSKRGLPKPGWTFELPVGFDVFLIAFSFVFISTVMFATTYIVWKVGAIHFNEY >itb08g07120.t1 pep chromosome:ASM357664v1:8:6105224:6105745:1 gene:itb08g07120 transcript:itb08g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAENAQKPPAFSGGVCSTFLSLIITALATTLDKLKNLVKLKEPENAIIQNLEKQELSKAIYQVGVTLTVGLVALRRDKLEAVEQLMVVANATGCVLIFNGLMLRQNTPTFATTAELVGVGIVFATIHLMFSIHLVWWLRIILAVCCAFCIRPLIMAEVGAKNKKGNGLATN >itb12g02500.t1 pep chromosome:ASM357664v1:12:1640745:1641912:1 gene:itb12g02500 transcript:itb12g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSSSKSKALGSARSISLPSRSHPTTAKTEEELNKLKSWETTSAESSDAEVICAGLHALSELQKSVDSLLTSPHTIQALSRHEKWADQLLENSVKILDICGTTREIVSQFKESLWDLQSCLRRRKGNHLSNKNKHNSLVKQGARRLITSLKKLDQEIWTPVLLDFDDHHVCAVIRALRESSSMANSVLQKVLVFLSMVASNSNSKAKASKWCLVSRLVLHKGAIASQEEEQYRTKKIDELERVGAQLEGIENGLENSFRALIRSRTSLLNVISCH >itb04g05880.t2 pep chromosome:ASM357664v1:4:3817687:3832826:1 gene:itb04g05880 transcript:itb04g05880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEEGTSFEILPGTIRGIKFGLATKQEIVKSSINEFPINHPSQLLNPFLGLPLEAGKCESCGTAEPGQCEGHFGYIELPIPIYHPDHVSELKRMLSLLCLKCLKLKNRKFQVKHIGVLERMLSSCCEEVSQISVNEVKNSDGACYLELKLPKNANLDDGFWSFLERYGYRYGDGYSRRLLPYEAMEILKRIPEDTRRKLSAKGYYPQAGYILEFLPVPPNCLSVPDISDGMNIMSSDHSITMLRKVLKQIENIKSSRSGTPNFESHEVEANDLQVAVAQYLEFRGTGKASRDVDKRYGVGKESNDTTTKAWLEKMKTLFIRKGSGFSSRSVITGDPFKGVDEIGLPFEVAQRITFEERVSLHNVAYLQKLVDEKLCLTYKDGSSTYSLREGSKGHTFLRPGQLVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHTGNFNLQMGCDSLLAFKLMFENYFFSKACAQQLAMFLPSTLPKPALVKSHISVPHWTTFQILETALPKCFDCLGDRYEVNKSEVLRIDYSKDTVSSILNDIVSSIYFSKGPKEVIEFFNALQPMLMEYLYKEGFSISLGDFFISKAAIEKIQGSIQGESKLLNNLRSSYNQYVELQLERLLRAEKIPVTAFALHSSAIGHLIDPKSESALNKVVQQIGFLGLQMSDRGKFYSKTLVKDMTLLFRNKYPSAGHYPSEEYGVVSSCLFNGLDPYQEMVHSISSREVIVRSTRGLTEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNNESKFQSEFGAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWEMMKEILLCGASFKNDLSDRRVILYLNDCGCGRKYCRERAAYQVNNHLRKVCLKDAAVEFLIEYGIQQTTYEVLGVGAYLVGHIHLNKKQLDDQGLDLNEILERCQDKVYSLRRKKKIALLFRRIDLSVSASCCFNQGKSTSREMPCLRFSWPIDSSDDHLEQTAHLLADTICPVLLETVIKGDHRVSSASIIWSSPETMTWIKSSSKSHPGELAVEVTLEKEAIKQSGDAWRIVMDSCLPLIHLIDTKRSIPYAIKQVQELLGISCAFEQAVQRLSTSVTMVTKGVLKDHLLLLANTMTCAGSLVGFNAGGIKALSRSLNVQIPFAEATLFTPRKCFERAAEKCHVDSLSSVVASCSWGKHVSVGTGSSFDILLDTRKVELNQQEGQNVYDFLLLMRSSHAQEEKGTDCLGAEIDDLLPEDEDMNLYLSPERDSDTMKPTFEDGVEDLNENVDEGKSSGGGWDKVSANTSNTGGGGWDLADKNPTSAKSSADQSDSWSSWGGKKVEEESPGWGKKVDSDGLSQPATGSWGKATQSNSAKVSTDTPNADGGGWDLFDKNPTTAKSCNDQSDSWSSWGGKKVEEGSHGGWGKKVDSDGFSQPATGSWGKATQSSSKISESGKVPDQSGSQSSWGKAFGESGKSLDQPSDNHKQSDQWSSWGKTVKDDVKVTSSSSGDWNQTTEGKLSTSSGWNKPESSKGLVQSAWGKNANESKGGAYLSSNVHKRADSSPAWGKSPKEDGGQKSGREWSAWKKGNEDARLVSPTANASIETAQGDRSSALDWGESGPRSPEGSWSKKGILGEQPKEAASGWASSKGVSGDWDNVVPRSPTAQKIESHNNTWNSRGVVNERSNDSAGGWGSPKVATSDSTQSQWGVQKSTVKVDSNQSPRGWGSAGSENEKLNEATGVAGGWGSSKGSGDWGTAVPRSPAALREESPNNTWSCTTAADERGNESAGGWGSPKVGSSEGHGWGSPKVGSSEGHGWGSPKVGSSEGHGQSPWGPRKRKGGDGNESSRAWGSAGSGSGDWKNKRNRPAKPADDSGTGVAFTSTRQRLDRFTAEEQDILLEVEPIMQNIRRIMHQSGLNDGDPLSADDQSYIVNNVVNHHPDKAAKIGAGIDYLMISKHSSFQDTRCFYVVSTDGAKQDFSYRKCLENFIRNKFPDKGDGFNQKYFAKKLPPLPRPGWKREQNAAPEEAAAAWRREQNAAPEEAAAATEEPKQPSAATEEPKQPSAATEETMTAET >itb04g05880.t3 pep chromosome:ASM357664v1:4:3819474:3832826:1 gene:itb04g05880 transcript:itb04g05880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEEGTSFEILPGTIRGIKFGLATKQEIVKSSINEFPINHPSQLLNPFLGLPLEAGKCESCGTAEPGQCEGHFGYIELPIPIYHPDHVSELKRMLSLLCLKCLKLKNRKFQVKHIGVLERMLSSCCEEVSQISVNEVKNSDGACYLELKLPKNANLDDGFWSFLERYGYRYGDGYSRRLLPYEAMEILKRIPEDTRRKLSAKGYYPQAGYILEFLPVPPNCLSVPDISDGMNIMSSDHSITMLRKVLKQIENIKSSRSGTPNFESHEVEANDLQVAVAQYLEFRGTGKASRDVDKRYGVGKESNDTTTKAWLEKMKTLFIRKGSGFSSRSVITGDPFKGVDEIGLPFEVAQRITFEERVSLHNVAYLQKLVDEKLCLTYKDGSSTYSLREGSKGHTFLRPGQLVHRRIMDGDIVFINRPPTTHKHSLQALSMGCDSLLAFKLMFENYFFSKACAQQLAMFLPSTLPKPALVKSHISVPHWTTFQILETALPKCFDCLGDRYEVNKSEVLRIDYSKDTVSSILNDIVSSIYFSKGPKEVIEFFNALQPMLMEYLYKEGFSISLGDFFISKAAIEKIQGSIQGESKLLNNLRSSYNQYVELQLERLLRAEKIPVTAFALHSSAIGHLIDPKSESALNKVVQQIGFLGLQMSDRGKFYSKTLVKDMTLLFRNKYPSAGHYPSEEYGVVSSCLFNGLDPYQEMVHSISSREVIVRSTRGLTEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNNESKFQSEFGAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWEMMKEILLCGASFKNDLSDRRVILYLNDCGCGRKYCRERAAYQVNNHLRKVCLKDAAVEFLIEYGIQQTTYEVLGVGAYLVGHIHLNKKQLDDQGLDLNEILERCQDKVYSLRRKKKIALLFRRIDLSVSASCCFNQGKSTSREMPCLRFSWPIDSSDDHLEQTAHLLADTICPVLLETVIKGDHRVSSASIIWSSPETMTWIKSSSKSHPGELAVEVTLEKEAIKQSGDAWRIVMDSCLPLIHLIDTKRSIPYAIKQVQELLGISCAFEQAVQRLSTSVTMVTKGVLKDHLLLLANTMTCAGSLVGFNAGGIKALSRSLNVQIPFAEATLFTPRKCFERAAEKCHVDSLSSVVASCSWGKHVSVGTGSSFDILLDTRKVELNQQEGQNVYDFLLLMRSSHAQEEKGTDCLGAEIDDLLPEDEDMNLYLSPERDSDTMKPTFEDGVEDLNENVDEGKSSGGGWDKVSANTSNTGGGGWDLADKNPTSAKSSADQSDSWSSWGGKKVEEESPGWGKKVDSDGLSQPATGSWGKATQSNSAKVSTDTPNADGGGWDLFDKNPTTAKSCNDQSDSWSSWGGKKVEEGSHGGWGKKVDSDGFSQPATGSWGKATQSSSKISESGKVPDQSGSQSSWGKAFGESGKSLDQPSDNHKQSDQWSSWGKTVKDDVKVTSSSSGDWNQTTEGKLSTSSGWNKPESSKGLVQSAWGKNANESKGGAYLSSNVHKRADSSPAWGKSPKEDGGQKSGREWSAWKKGNEDARLVSPTANASIETAQGDRSSALDWGESGPRSPEGSWSKKGILGEQPKEAASGWASSKGVSGDWDNVVPRSPTAQKIESHNNTWNSRGVVNERSNDSAGGWGSPKVATSDSTQSQWGVQKSTVKVDSNQSPRGWGSAGSENEKLNEATGVAGGWGSSKGSGDWGTAVPRSPAALREESPNNTWSCTTAADERGNESAGGWGSPKVGSSEGHGWGSPKVGSSEGHGWGSPKVGSSEGHGQSPWGPRKRKGGDGNESSRAWGSAGSGSGDWKNKRNRPAKPADDSGTGVAFTSTRQRLDRFTAEEQDILLEVEPIMQNIRRIMHQSGLNDGDPLSADDQSYIVNNVVNHHPDKAAKIGAGIDYLMSCNGMTPFFFVVSGCGCCCIELAFYSSIFKNKFPDKGDGFNQKYFAKKLPPLPRPGWKREQNAAPEEAAAAWRREQNAAPEEAAAATEEPKQPSAATEEPKQPSAATEETMTAET >itb04g05880.t1 pep chromosome:ASM357664v1:4:3817687:3832826:1 gene:itb04g05880 transcript:itb04g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEEGTSFEILPGTIRGIKFGLATKQEIVKSSINEFPINHPSQLLNPFLGLPLEAGKCESCGTAEPGQCEGHFGYIELPIPIYHPDHVSELKRMLSLLCLKCLKLKNRKFQVKHIGVLERMLSSCCEEVSQISVNEVKNSDGACYLELKLPKNANLDDGFWSFLERYGYRYGDGYSRRLLPYEAMEILKRIPEDTRRKLSAKGYYPQAGYILEFLPVPPNCLSVPDISDGMNIMSSDHSITMLRKVLKQIENIKSSRSGTPNFESHEVEANDLQVAVAQYLEFRGTGKASRDVDKRYGVGKESNDTTTKAWLEKMKTLFIRKGSGFSSRSVITGDPFKGVDEIGLPFEVAQRITFEERVSLHNVAYLQKLVDEKLCLTYKDGSSTYSLREGSKGHTFLRPGQLVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHTGNFNLQMGCDSLLAFKLMFENYFFSKACAQQLAMFLPSTLPKPALVKSHISVPHWTTFQILETALPKCFDCLGDRYEVNKSEVLRIDYSKDTVSSILNDIVSSIYFSKGPKEVIEFFNALQPMLMEYLYKEGFSISLGDFFISKAAIEKIQGSIQGESKLLNNLRSSYNQYVELQLERLLRAEKIPVTAFALHSSAIGHLIDPKSESALNKVVQQIGFLGLQMSDRGKFYSKTLVKDMTLLFRNKYPSAGHYPSEEYGVVSSCLFNGLDPYQEMVHSISSREVIVRSTRGLTEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNNESKFQSEFGAGEPVGVLAATAMSNPAYKAVLDSSPSSNSSWEMMKEILLCGASFKNDLSDRRVILYLNDCGCGRKYCRERAAYQVNNHLRKVCLKDAAVEFLIEYGIQQTTYEVLGVGAYLVGHIHLNKKQLDDQGLDLNEILERCQDKVYSLRRKKKIALLFRRIDLSVSASCCFNQGKSTSREMPCLRFSWPIDSSDDHLEQTAHLLADTICPVLLETVIKGDHRVSSASIIWSSPETMTWIKSSSKSHPGELAVEVTLEKEAIKQSGDAWRIVMDSCLPLIHLIDTKRSIPYAIKQVQELLGISCAFEQAVQRLSTSVTMVTKGVLKDHLLLLANTMTCAGSLVGFNAGGIKALSRSLNVQIPFAEATLFTPRKCFERAAEKCHVDSLSSVVASCSWGKHVSVGTGSSFDILLDTRKVELNQQEGQNVYDFLLLMRSSHAQEEKGTDCLGAEIDDLLPEDEDMNLYLSPERDSDTMKPTFEDGVEDLNENVDEGKSSGGGWDKVSANTSNTGGGGWDLADKNPTSAKSSADQSDSWSSWGGKKVEEESPGWGKKVDSDGLSQPATGSWGKATQSNSAKVSTDTPNADGGGWDLFDKNPTTAKSCNDQSDSWSSWGGKKVEEGSHGGWGKKVDSDGFSQPATGSWGKATQSSSKISESGKVPDQSGSQSSWGKAFGESGKSLDQPSDNHKQSDQWSSWGKTVKDDVKVTSSSSGDWNQTTEGKLSTSSGWNKPESSKGLVQSAWGKNANESKGGAYLSSNVHKRADSSPAWGKSPKEDGGQKSGREWSAWKKGNEDARLVSPTANASIETAQGDRSSALDWGESGPRSPEGSWSKKGILGEQPKEAASGWASSKGVSGDWDNVVPRSPTAQKIESHNNTWNSRGVVNERSNDSAGGWGSPKVATSDSTQSQWGVQKSTVKVDSNQSPRGWGSAGSENEKLNEATGVAGGWGSSKGSGDWGTAVPRSPAALREESPNNTWSCTTAADERGNESAGGWGSPKVGSSEGHGWGSPKVGSSEGHGWGSPKVGSSEGHGQSPWGPRKRKGGDGNESSRAWGSAGSGSGDWKNKRNRPAKPADDSGTGVAFTSTRQRLDRFTAEEQDILLEVEPIMQNIRRIMHQSGLNDGDPLSADDQSYIVNNVVNHHPDKAAKIGAGIDYLMISKHSSFQDTRCFYVVSTDGAKQDFSYRKCLENFIRNKFPDKGDGFNQKYFAKKLPPLPRPGWKREQNAAPEEAAAAWRREQNAAPEEAAAATEEPKQPSAATEEPKQPSAATEETMTAET >itb05g02750.t1 pep chromosome:ASM357664v1:5:2228511:2230762:1 gene:itb05g02750 transcript:itb05g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQTKAEKKIAYDQKLCQLLDEYTQILIAVADNVGSNQLQNIRKGLRGDSVVLMGKNTMIKRSIRVHAENTGNTAILNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLIVLSVYDNGSVFSPEVLDLTEDDLIEKFALGVSMVTSLSLAISYPTLAAAPHMLINGYKNVLSIAVETDYSFPLADKVKEYLKDPSKFAVAAAPVAAAASGSAPAAAAAVEEKKEEPAEESDDDLGFSLFD >itb04g33710.t1 pep chromosome:ASM357664v1:4:35884854:35888312:1 gene:itb04g33710 transcript:itb04g33710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFRFMDQLREDQNGGRNIEITNFADGFKPDRMVENLRWVGMFDKDLEKRTVGKSSSVFKVYRALTSPKLVQEGERMDEDQEMERFGMENDFDDGQWIGGEFYYRKRKEKRVQTKDDVLYGIFFSGDSDSEYEGMGLGSSKKRKKDLSKKQDLTKPVNFVSTASVMPNQEIDSDLKEDLKEMEEDDDDRRPVLGAGASSGLGFSSKTTPRDGDGDVDNFLPSALGKKIKEGASLRREKEKEKSMLAKKSSAGKREPEAGDVGSFEKHTKGIGMKLLEKMGYKGGGLGKNEQGITAPIEAKLGPKNMGMGFNDYEETKVPILQESEVKSAPLPVQPLESHKKEKPWLKQPSKKKLYITAEELLARKQEQSLDAVQKVFDMRGPQVRVLTNLENLNAEEKARENDIPMPELQHNVWLIVDLAELDIQKINRDLRNERETVVALQKEKEKLQAEAVHQKKAASKYGRHYE >itb12g25190.t1 pep chromosome:ASM357664v1:12:26462724:26465743:1 gene:itb12g25190 transcript:itb12g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHRRSTFALDAFRSLASKISSKNRVFESISGTKHYTSFESGFSRFSSPVFRSSKFQLGFQQNQHTSSPFLAQARRYYYVDRYQVRHFRPRGPHRWFQNPRIVFIVVVVGSGLAITIYAGNVETIPYTKRTHVVLLSRSLERELGENQFKQMKASFKGKILPAIHPESVRVRLIASDIIGALQRGLRKEQVWSDLQYTSEGVENNHGISGHETLSALTDSVHEGNWHREDEVLDDRWIEHSRKEGQQKGKESSTDHLEGLNWEVLVVNEPVVNAFCLPGGKIVVFTGLLDHFKSDIEIATILGHEVAHAVARHAAEGMTKHLWFAIIQLILYQLVMPDIVNTMSALLLRLPFSRRMEMEADYIGLLLMASAGYDPRLAPTVYEKLGRISGESALRDYLSTHPSGKKRAKLLAQAGVMDEALMIYREVQSGKGIEGFL >itb12g25190.t2 pep chromosome:ASM357664v1:12:26462724:26464187:1 gene:itb12g25190 transcript:itb12g25190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHRRSTFALDAFRSLASKISSKNRVFESISGTKHYTSFESGFSRFSSPVFRSSKFQLGFQQNQHTSSPFLAQARRYYYVDRYQVRHFRPRGPHRWFQNPRIVFIVVVVGSGLAITIYAGNVETIPYTKRTHVVLLSRSLERELGENQFKQMKASFKGKILPAIHPESVRVRLIASDIIGALQRGLRKEQVWSDLQYTSEGVENNHGISGHETLSALTDSVHEGNWHREDEVLDDRWIEHSRKEGQQKGKESSTDHLEGLNWEVLVVNEPVVNAFCLPGGKIVVFTGLLDHFKSDIEIATILGHEVYTSSAIFLSDKGNLHPLREGVRCVNSVLRTIRR >itb09g13870.t1 pep chromosome:ASM357664v1:9:9088973:9092424:1 gene:itb09g13870 transcript:itb09g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWPIYFLLLLSTLFLLAQSSSNTNHIYSPCADSKVQRSDGFTFGILFAPRNATFANVNNNNNAIQLSPCDRRLSLPSSSQLAVFRPKVDEISLLTINISNFFPDTVGGYMVAFAGRKYAARSVPAFVANGSYIVTSFTLVLEFKKGRLQNLHWKRDGCASCKHNTNFACLNGQDCAMKVNTCKNRGSGNADCSLGIQLTFSGTDKHDSVFNSWYEVKNLRQYSLYSVYSNLRDSLSSQYNKFF >itb09g12420.t4 pep chromosome:ASM357664v1:9:7866300:7871112:1 gene:itb09g12420 transcript:itb09g12420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGQTSSGDQFDAKGAFRRLSTDTTNRKYRRRSPVGGSASADGSPVRSRSSSPIQRRKNPEKTVDDHRKPDDERDSGRDWRQQARSGESRKHSDKQSSRSSHRHLEHDDYSRPDKNEDNDDRYYSKSSSHSLRDSRDKHYSDHSRRDNDHRSRDYARHVDRHYRDKYDNLAYRSRDKEREASYPESRKHKNRDTLSDRIGSGRMHTAQERGRYKEYRENQDEKTDHRMDFGDHKRYAYEESRGCHDESISTDTRELGVEKYIKEDKKGFDARNNLKEQYAKRSKFDTIDGETNLGKDVLKATTFADEKQSSTSKHDEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTADQKKKLLWGSKKNTTNSEESAHCWDTSTFGDCERQEKFNKLMGVKGNMKMENKPGTQDAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >itb09g12420.t3 pep chromosome:ASM357664v1:9:7866300:7871112:1 gene:itb09g12420 transcript:itb09g12420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGQTSSGDQFDAKGAFRRLSTDTTNRKYRRRSPVGGSASADGSPVRSRSSSPIQRRKNPEKTVDDHRKPDDERDSGRDWRQQARSGESRKHSDKQSSRSSHRHLEHDDYSRPDKNEDNDDRYYSKSSSHSLRDSRDKHYSDHSRRDNDHRSRDYARHVDRHYRDKYDNLAYRSRDKEREASYPESRKHKNRDTLSDRIGSGRMHTAQERGRYKEYRENQDEKTDHRMDFGDHKRYAYEESRGCHDESISTDTRELGVEKYIKEDKKGFDARNNLKEQYAKRSKFDTIDGETNLGKDVLKATTFADEKQSSTSKHDEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTADQKKKLLWGSKKNTTNSEESAHCWDTSTFGDCERQEKFNKLMSLRLHWYLWQIVGCEGQYEDGEQTWNPRCREAEGAADGLGEAVHCWTSSKRWSYCWIRPLRICFDHLSIHITVMNTLCTILTSMMFHAVLDRGMSDILRFQCQIDGTTVGYVSFPFVICGLISSTAMGSVCMVLCRHESSTHYSQLPSSF >itb09g12420.t2 pep chromosome:ASM357664v1:9:7866300:7871112:1 gene:itb09g12420 transcript:itb09g12420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGQTSSGDQFDAKGAFRRLSTDTTNRKYRRRSPVGGSASADGSPVRSRSSSPIQRRKNPEKTVDDHRKPDDERDSGRDWRQQARSGESRKHSDKQSSRSSHRHLEHDDYSRPDKNEDNDDRYYSKSSSHSLRDSRDKHYSDHSRRDNDHRSRDYARHVDRHYRDKYDNLAYRSRDKEREASYPESRKHKNRDTLSDRIGSGRMHTAQERGRYKEYRENQDEKTDHRMDFGDHKRYAYEESRGCHDESISTDTRELGVEKYIKEDKKGFDARNNLKEQYAKRSKFDTIDGETNLGKDVLKATTFADEKQSSTSKHDEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTADQKKKLLWGSKKNTTNSEESAHCWDTSTFGDCERQEKFNKLMGVKGNMKMENKPGTQDAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >itb09g12420.t5 pep chromosome:ASM357664v1:9:7866331:7871112:1 gene:itb09g12420 transcript:itb09g12420.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSCGILVDSVFGYNMDSNGQTSSGDQFDAKGAFRRLSTDTTNRKYRRRSPVGGSASADGSPVRSRSSSPIQRRKNPEKTVDDHRKPDDERDSGRDWRQQARSGESRKHSDKQSSRSSHRHLEHDDYSRPDKNEDNDDRYYSKSSSHSLRDSRDKHYSDHSRRDNDHRSRDYARHVDRHYRDKYDNLAYRSRDKEREASYPESRKHKNRDTLSDRIGSGRMHTAQERGRYKEYRENQDEKTDHRMDFGDHKRYAYEESRGCHDESISTDTRELGVEKYIKEDKKGFDARNNLKEQYAKRSKFDTIDGETNLGKDVLKATTFADEKQSSTSKHDEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTADQKKKLLWGSKKNTTNSEESAHCWDTSTFGDCERQEKFNKLMSLRLHWYLWQIVGCEGQYEDGEQTWNPRCREAEGAADGLGEAVHCWTSSKRWSYCWIRPLRICFDHLSIHITVMNTLCTILTSMMFHAVLDRGMSDILRFQCQIDGTTVGYVSFPFVICGLISSTAMGSVCMVLCRHESSTHYSQLPSSF >itb09g12420.t6 pep chromosome:ASM357664v1:9:7866331:7871112:1 gene:itb09g12420 transcript:itb09g12420.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSCGILVDSVFGYNMDSNGQTSSGDQFDAKGAFRRLSTDTTNRKYRRRSPVGGSASADGSPVRSRSSSPIQRRKNPEKTVDDHRKPDDERDSGRDWRQQARSGESRKHSDKQSSRSSHRHLEHDDYSRPDKNEDNDDRYYSKSSSHSLRDSRDKHYSDHSRRDNDHRSRDYARHVDRHYRDKYDNLAYRSRDKEREASYPESRKHKNRDTLSDRIGSGRMHTAQERGRYKEYRENQDEKTDHRMDFGDHKRYAYEESRGCHDESISTDTRELGVEKYIKEDKKGFDARNNLKEQYAKRSKFDTIDGETNLGKDVLKATTFADEKQSSTSKHDEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTADQKKKLLWGSKKNTTNSEESAHCWDTSTFGDCERQEKFNKLMGVKGNMKMENKPGTQDAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >itb09g12420.t1 pep chromosome:ASM357664v1:9:7866300:7871112:1 gene:itb09g12420 transcript:itb09g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGQTSSGDQFDAKGAFRRLSTDTTNRKYRRRSPVGGSASADGSPVRSRSSSPIQRRKNPEKTVDDHRKPDDERDSGRDWRQQARSGESRKHSDKQSSRSSHRHLEHDDYSRPDKNEDNDDRYYSKSSSHSLRDSRDKHYSDHSRRDNDHRSRDYARHVDRHYRDKYDNLAYRSRDKEREASYPESRKHKNRDTLSDRIGSGRMHTAQERGRYKEYRENQDEKTDHRMDFGDHKRYAYEESRGCHDESISTDTRELGVEKYIKEDKKGFDARNNLKEQYAKRSKFDTIDGETNLGKDVLKATTFADEKQSSTSKHDEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTADQKKKLLWGSKKNTTNSEESAHCWDTSTFGDCERQEKFNKLMSLRLHWYLWQIVGCEGQYEDGEQTWNPRCREAEGAADGLGEAVHCWTSSKRWSYCWIRPLRICFDHLSIHITVMNTLCTILTSMMFHAVLDRGMSDILRFQCQIDGTTVGYVSFPFVICGLISSTAMGSVCMVLCRHESSTHYSQLPSSF >itb11g22100.t1 pep chromosome:ASM357664v1:11:23914750:23918007:-1 gene:itb11g22100 transcript:itb11g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIILFGDSITEQSFRLGGWGAAIADNYSRKADILNRGYGGYNTRWALLLMHHLFPLQSITYPAVTTIFFGANDAALSGRTSERQHVPLEEYKENLRKMVQHLKKCSPTMVLVLITPPPVDEEGRLEYARSLHGDKAMELPERTNEAAGEYAKQCVELARELGLPSINLWSIMQETEGWQKKFLSDGLHLTPDGNAVVYDKLEKVLSEAWFSAAQLPSDVPHHSEIDGKNPEKAFIIQCPGVQQ >itb12g19230.t1 pep chromosome:ASM357664v1:12:21594376:21597819:-1 gene:itb12g19230 transcript:itb12g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRNPKPSKIIGRLILIAVLVVVSCSREVVGRRGHNGWRSFDYSAISCRRYTASLTDFGGVGDGVTLNTDAFRTAVDFLSGFGPEGGSMLYVPPGKWLTGSFNLTSHFTLFLDQDAVILASQDENDYPVIEPLPSYGRGRDTEGGRFISLIFGTNLTDVIITGNNGTLDGQGKLWWDKFHNGTLNYTRPYLIEIMYSENIQISNITLLNSPSWNVHPVYSSDIIIEGITIIAPTRSPNTDGINPDSCTNTRIQDCYIVSGDDCIAVKSGWDEYGIAFGMPTKQLVIRRVTCISPTSAMIALGSEMSGGIEDVRAEDILAIDTESAVRIKTGVGRGGYVKDIYVRRVTMKTMKYVFWMTGNYGSHPDDKYDPNAFPVIENINYRDMVAENVTMAGRLEGISGDPFTGICISNVTIEMGQHAKRLPWNCTDISGVSSGVVPQPCGLLPDQGPEKVTSCDFPTETLPIENVEVQTCACRHKLRMV >itb06g22090.t1 pep chromosome:ASM357664v1:6:24511329:24511851:-1 gene:itb06g22090 transcript:itb06g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDCFTGGATKRREEERLASEQARAMAAEAAQKRQEQFEQSAAGRAARAQMAATAKQSANTNKGEPVLKWQMG >itb15g02760.t1 pep chromosome:ASM357664v1:15:1752035:1753451:1 gene:itb15g02760 transcript:itb15g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMESLKILLCCMLFLMVIGHVSSDFAQDKQRCQNQVIGLAPCLGFASGEAKFPTLACCDEIRKDLKETRLCLCVLVKDRNEPGLGVKINGTLALSLPSLCRAPINVSQCLTLLHLSPNSSDAKIFEEFSSTSVSGNNTSIGDLNASSSTSLFRKRWIDLLQARVGFSLLLLIIALISIL >itb06g14980.t1 pep chromosome:ASM357664v1:6:19351211:19353751:1 gene:itb06g14980 transcript:itb06g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATLPPLLYPAIFLCLTCAFSQRVAPQNPIRCIERERQALLDFKHGLEDDYGLLSSWGSSIHQRECCNWWGVHCNNNTAHVTILDIHAPLDDHGFILQLKGSKVSQSLLQLKHLVYLDLSNNDFQGNPIPEFIGSFGGLRVLSLMDANFAGTVPCELGNLTNLRVLNITGGGLKMNNLEWLSRLSSLRSIDLSGNDFSGSPKTIVSLPPFLEKLRFSSCYGLDETLPFSLNSPSPFLSIVDFSENNLITFSSVFHLLRNVSKQLTSINLSGNILNGSIPDALGDMNFLESLILDWNFFTNGIPKSFGNLTHLQILSLGNNQLNESIADLFKKLSGKSLQILELSFNNLSGEIPVDIGIRFPSLRILHVIENQLNGSCFTLPSSLEELDLSRNKIRGLLQDMKCFGQECDLIHLNLADNQITGQFFDLSHLPSLTELDVSGNQITGHIPDLSHVLSLTMLDLSENHLQWGLPETMGKLSKLERLYVSSNSLEGVLTDAHFSNLTNLQYLGLSFNAALYFNLSDNWVPPFQIKYFSCANCKVGPQFPRWLQNQTTLDYLDISNGSISDTIPRWFWKSSMSSKSFYGMNLSYNNIGGRIPDSVTNFTADFTSRLIDLSYNNIWGPIPLLPDGISILHLSNNKLSGPISLLCSTFDYFSNSIDLSYNQLSGEIPDCWNNSTKLLILNLGNNRFSGKIPDSLGSMSIVQSLHLRNNHLTGELPSSLQNCTLLKVMDFGGNQFTGRIPAWIGGSLMDLVIVSLQDNKFHGEMPSNICYLKNIQILDFFENKFTGKIPQCFNNFTLLLQKNNSKELQYDFSDFLDPFSVVYIDNILIQWKNQEWNIGSNWNF >itb06g21640.t1 pep chromosome:ASM357664v1:6:24228347:24231245:-1 gene:itb06g21640 transcript:itb06g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNDELSKRTTVFGLRLWVVVGVCVGAAIVLVLFFISLWLSSKKIMAFLPHKKPKIPNVSKEIQEIRVDPSQSPKLLRAAMHDPVPEPEEESRSSGGDHRENGKGHRILHPERVGAGSGSSHGSEKPKSGDQAIALVPEVSHLGWGHWYTLRELEISTNGGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPESPLTWEIRMNIVLGMAKGLTYLHDGLEPKVVHRDIKTSNILLDRQWNPKVSDFGLAKLLGSDRSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIITGRNPVDYSRPPGEVNLVDWLKTMVSNRNAEGVVDPKLPEKPSSRALKRILLVALRCVDPNAQKRPKMGHVLHMLEADEFPFRDDRRAGRDHPRSHREDAEGAMEKPVTES >itb13g18390.t1 pep chromosome:ASM357664v1:13:25363686:25364240:-1 gene:itb13g18390 transcript:itb13g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSSDSCGEESEHGPEKFSDENAGVGRSYECTFCKRGFTNAQALGGHMNIHRKDKLKAKQPCNNQQKSAEFSKKPKSNHAQIDHEVYAQISSQQQQEQSFCYSSSRAYVKYHQFFFPSSNPSYQFQVLDHRGHYRNFALHGDADLSLRIGSPDDEEQERKEGMEVSEVDLELRLGPNSAFM >itb07g05020.t1 pep chromosome:ASM357664v1:7:3402792:3403410:-1 gene:itb07g05020 transcript:itb07g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVISALALSVVFLICNLTPTPAFADLVYEDGYSVTTVFDGNKLNIHPHSVLPQPGLSDLFLLDSTDSTVYALSIPESGEVSLRRLAGNGTAGYSDGDLSSAMFDKLKSFAVDARGNLYVADVKNYAVRKISKSGKSYERPL >itb04g15210.t1 pep chromosome:ASM357664v1:4:16413997:16416985:1 gene:itb04g15210 transcript:itb04g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTILSFIIIIICFAFPNAANTDPSSLCTQTPYPQLCESLFVAHNPQNSSTVRNLALGATLARAQSAHDHISAMGPTPFPSNQLANLAWADCVNLYEDTLDKVRRSAAASAATNDAQTWLSAAVTNHQTCRNGFTDFQLPFNFHPFQDIATDLLKHVSNSLAIINALALSSPPRSRAVGFPSWVSRSDRRLLRPNVAKSVADIVVAKDGSGNYDTVSQGLAAAVALSDGSSRFVIYVKRGVYEENVVVTNSMNNFMVVGDGIDATIITGNRSVGDGSTTFHSATFAVIGNGFMAREITFENTAGPENHQAVALRSGSDFSVFYRCSFKGYQDTLYVYSQRQFYGHCDIYGTVDFIFGDAIAVVQNCTIYVRKPMTSQKNFVTAQGRSDPNQNTGIVILNSHVTATSDLGPVQGSFPTYLGRPWEKYSRTVFLMCTLDSLIDRDGWFPMVGNYAQTLYYGEYMNTGDGAQISGRVKWPGYHVITSAIVAQKLSVGDFLACITD >itb06g14630.t1 pep chromosome:ASM357664v1:6:19149198:19150812:-1 gene:itb06g14630 transcript:itb06g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEEMRSRDSSASPASPRSDGRIAVTVAVSAPPQGGSKALAVALPVQQQSKAAGGGREDCWSESATAVLIDAWGERYLELSRGNLKQKHWKDIAEIVNGRGEDFTKIPKSDIQCKNRIDTVKKKFKLEKAKISAGHGPSKWAFFDKMDWLIGPAAAKIAGSAEPPANHKVPMGIPMGARSAPPLRQHQPSPPPQKPKHAFDRKPPAAYSDSSDSETEGSADSFPPAPNDRKRPRIDRREFMNSSFVKPEIQGSIGIGTGIGNEKHQNWGKSMGELSEAILKFGEAYERTESARIQQMVEMEKQRMKFAKEMELQRMQFFMKTQMDLSMLQTRSRPIKKSNKNTAKNTNISTGNIN >itb01g01260.t1 pep chromosome:ASM357664v1:1:665536:666420:-1 gene:itb01g01260 transcript:itb01g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHAWKGFYTVQTKQRFYRDEKSSSIAVRRFHCSNSQWKHQNNADRIDACKTTLHSAPGALLLGDAFNMRHPLTGGGMTVALSDIAIFSDDL >itb07g20240.t1 pep chromosome:ASM357664v1:7:24637978:24641176:-1 gene:itb07g20240 transcript:itb07g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKALRITCLPLLPTPRYPLPSFLKLSLPFLNSIPLRIKSLPPAALNRCKTTQEQDLYDGIAPEFFDDDDGDGLPPEFYDDEWQAKQREKTKELHRLRQLEDEEEEKKVDEYREIGLRLKDYPIKDLCKARKLVSSFIRSAEEVEEKIEEAAEKGELTELVLMVIWNRLDLAKRDDEKDAVRSLDLLYRRVEMEILKREATPAMRLLNDLLNMYDGFDDEGWLKKCKKVMVETFPREDPFSILVPAGFDMEKHEGPVRPNLEADDDVLLRVDFVREVDALLQEVRGEEIEAVNAQGLDAESVAVRLKKQEKQRAINQVEAILDVAINLKW >itb13g19340.t1 pep chromosome:ASM357664v1:13:26346796:26352884:1 gene:itb13g19340 transcript:itb13g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLVPEWLRSTGTVTGGGNSGHHFTTSFRSEISPSARNRSSRNINNKDSPHAPFLERSSSSNSRRSLSSNSSKHPYSSFTRSHRDRNHDKEKERSFVGDLWDPSSSDPFGNIITGRVEKISLRRSQSLVTRKPGDLLPRRTEDSKNGMNIIQSSGNGVLSGGSNLDGVHKASFERDFPSLGADDKPASRVSSPGLGSAVQSLPIVNSALLGSEKWTSALAEVPAIIGSNGMGNSSTQQTVPGTPTLGVSGASTCLNMAEALSQVPARARVTPQVPDKTQRLEELAVKQSRQLIPVTPSMPKALVFSSSDKMKQPKLAVRTSEMGVAAKTIQQQPFSSQLTSQPRVGRVRSDAPNTSHVGKFLVLKPVATSATKDAPSLANVAGGRVAHEPPPVSPLSPLTSSSTPKVSAPEIKAGAPALNLRSSTEKKLSLSQAQSRSDFFNLMRKKTSPKTTKLPDSSAAFSSFNSEESDTSKGDSRAPVSPVVEDGQITSNGTSHGTCDNAQRCSDGVDPCLDGMIYPDEEEAAFLRSLGWDENAGEDEGLTEEEINGFYQEYMKVKPSLNNYRGAQPKNLSSEFSGKSGAAATDSCSSEMEA >itb04g29960.t1 pep chromosome:ASM357664v1:4:33201009:33204020:1 gene:itb04g29960 transcript:itb04g29960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYMKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIHEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAQKKK >itb04g21770.t1 pep chromosome:ASM357664v1:4:26874467:26877364:-1 gene:itb04g21770 transcript:itb04g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIYRATLDTENLLEASLRESFNLHEPHLRPPFSLRTFTLDQYSSLNDAMLFGLLREPHFAKTHIKHLHAITTDGYCYFTSMITRIVDELYGKFIDSAKIQLIWLTNEMINVLAVGFDRLLVALLRQIVGGDFSEGNLWLCSEMVSVFLNKWDCILEEEPLILTYGLYVFLRVLADHSRLCSDPRLDVLKRLEIEFCIRVLREQFALCLKIGRDLIRLLQDLVHIAEFKSIWKDLLNPGQFKVDGFEGVSQIYCLRTPSLYFSLRIMPEMERKLRYLAMNVKFGNQRRYQVWFAKKYLFLSERETLLVDVVRYICSMRHPSGEVIEPEAIPRWAVIGWLLKCCRKSYIGANLKLALFYDWLFFDEKEDNVMSIEPAVLLMVNSIPKYADVTNTLLEFLLILVENYDVDRKDLIINGVVNSIHVLVSKGVIGSLDVLTHCDLLSPLLREMLGKLLLLTQTTHSEDLQ >itb02g19100.t1 pep chromosome:ASM357664v1:2:15984744:15985457:-1 gene:itb02g19100 transcript:itb02g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAAANQALKINRGSHFIKKSPSSSSSSSSSSSSSSSSSVINGVSAAIASTTAMPQPRHPVIIYTHSPKVIHTQPKDFMALVQKLTGFSRPAAMANEDGRASPPPPPPQGHPKPEPCDDYIITEITNRNNHEITDNDSTSVVTDEKEGSSSVGHAFDAQNNSNNNSFDNNEYLNNNNNNNNNNNNNGMQYFNPTSMDFLCSGPPFGNCVDSLLLMRSSISSSSSSLESVKDLPDF >itb06g05550.t2 pep chromosome:ASM357664v1:6:8252921:8257886:1 gene:itb06g05550 transcript:itb06g05550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWKCIAQDHGMVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSVLEIVSGRRNRGFSHQDHYENLPSYAWKLYRDGRSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLSNDADLPIAKKPGFFTGREIETHISTMEITDSVNEITISLLNPR >itb06g05550.t9 pep chromosome:ASM357664v1:6:8252921:8257863:1 gene:itb06g05550 transcript:itb06g05550.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDVPLLVALMLLVSIQKISSARDSITSTQFLKDGETIVSSGGIFELGFFSPTNSLNRYVGIWYKQIPVFTVVWVANRDTPITNTSSSVVFQIINSGRLALVEGNNSILWHTNTSILVQNPVAKLLDSGNLVITDGNENFLWQSFYHPTDTLLPGMIIGKNFHTGVEISLSSWKTQNNPGSGEYTFSLEATGYPQVVIKNGRMEVHRSGPWNGMDLNGTPGMGKLGTITQTFVIANTTAFLLYFKVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHTVATCRRSMQEMGCSLLNQMCSALVSRC >itb06g05550.t6 pep chromosome:ASM357664v1:6:8252921:8257886:1 gene:itb06g05550 transcript:itb06g05550.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDVPLLVALMLLVSIQKISSARDSITSTQFLKDGETIVSSGGIFELGFFSPTNSLNRYVGIWYKQIPVFTVVWVANRDTPITNTSSSVVFQIINSGRLALVEGNNSILWHTNTSILVQNPVAKLLDSGNLVITDGNENFLWQSFYHPTDTLLPGMIIGKNFHTGVEISLSSWKTQNNPGSGEYTFSLEATGYPQVVIKNGRMEVHRSGPWNGMDLNGTPGMGKLGTITQTFVIANTTAFLLYFKVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSVLEIVSGRRNRGFSHQDHYENLPSYAWKLYRDGRSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLSNDADLPIAKKPGFFTGREIETHISTMEITDSVNEITISLLNPR >itb06g05550.t10 pep chromosome:ASM357664v1:6:8252921:8257863:1 gene:itb06g05550 transcript:itb06g05550.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDVPLLVALMLLVSIQKISSARDSITSTQFLKDGETIVSSGGIFELGFFSPTNSLNRYVGIWYKQIPVFTVVWVANRDTPITNTSSSVVFQIINSGRLALVEGNNSILWHTNTSILVQNPVAKLLDSGNLVITDGNENFLWQSFYHPTDTLLPGMIIGKNFHTGVEISLSSWKTQNNPGSGEYTFSLEATGYPQVVIKNGRMEVHRSGPWNGMDLNGTPGMGKLGTITQTFVIANTTAFLLYFKVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHTVATCRRSMQEMGCSLLNQMCSALVSRC >itb06g05550.t5 pep chromosome:ASM357664v1:6:8252921:8257886:1 gene:itb06g05550 transcript:itb06g05550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGKNFHTGVEISLSSWKTQNNPGSGEYTFSLEATGYPQVVIKNGRMEVHRSGPWNGMDLNGTPGMGKLGTITQTFVIANTTAFLLYFKVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSVLEIVSGRRNRGFSHQDHYENLPSYAWKLYRDGRSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLSNDADLPIAKKPGFFTGREIETHISTMEITDSVNEITISLLNPR >itb06g05550.t11 pep chromosome:ASM357664v1:6:8252921:8257093:1 gene:itb06g05550 transcript:itb06g05550.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGKNFHTGVEISLSSWKTQNNPGSGEYTFSLEATGYPQVVIKNGRMEVHRSGPWNGMDLNGTPGMGKLGTITQTFVIANTTAFLLYFKVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTQ >itb06g05550.t8 pep chromosome:ASM357664v1:6:8252921:8257863:1 gene:itb06g05550 transcript:itb06g05550.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWKCIAQDHGMVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHTVATCRRSMQEMGCSLLNQMCSALVSRC >itb06g05550.t1 pep chromosome:ASM357664v1:6:8252921:8257886:1 gene:itb06g05550 transcript:itb06g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWKCIAQDHGMVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSVLEIVSGRRNRGFSHQDHYENLPSYAWKLYRDGRSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLSNDADLPIAKKPGFFTGREIETHISTMEITDSVNEITISLLNPR >itb06g05550.t3 pep chromosome:ASM357664v1:6:8252921:8257886:1 gene:itb06g05550 transcript:itb06g05550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWKCIAQDHGMVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSVLEIVSGRRNRGFSHQDHYENLPSYAWKLYRDGRSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLSNDADLPIAKKPGFFTGREIETHISTMEITDSVNEITISLLNPR >itb06g05550.t7 pep chromosome:ASM357664v1:6:8252921:8257863:1 gene:itb06g05550 transcript:itb06g05550.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWKCIAQDHGMVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHTVATCRRSMQEMGCSLLNQMCSALVSRC >itb06g05550.t4 pep chromosome:ASM357664v1:6:8252921:8257886:1 gene:itb06g05550 transcript:itb06g05550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSKHVDGEEHAAKRTKTEYPPLASRFIKKISSARDSITSTQFLKDGETIVSSGGIFELGFFSPTNSLNRYVGIWYKQIPVFTVVWVANRDTPITNTSSSVVFQIINSGRLALVEGNNSILWHTNTSILVQNPVAKLLDSGNLVITDGNENFLWQSFYHPTDTLLPGMIIGKNFHTGVEISLSSWKTQNNPGSGEYTFSLEATGYPQVVIKNGRMEVHRSGPWNGMDLNGTPGMGKLGTITQTFVIANTTAFLLYFKVFNSSSLVRAIISSSGTLQFYLWEDGSEEWNILYTAPTDICDRYGYCGANGICYYDNYPSCSCLDNFMPKNAVGCVRRTPLSCQNGSSDGFLKYSGLKFPDTKLSWFNSSMNLKECEKFCLKNCNCTAYSSLDISNGEHGCLIWFGDLIDLRMLPGQDLYIRMAASDLDYTSSSKGKKFNIVKLTSSLLIVPLALSLVTMIMKFYKRKWKEMKPVQPELLLVEDQTLFEASTITRATENFSIKNKIGEGGYGPVYKGVLDDGREIAVKRLSKTSTQGLEEFKNEVNFIAKLQHRNLVNLLGWCIKGEEMLLIYEYMPNKSLDSFIFDNSRRVLLDWTKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLARSIGGNETGANTARVAGTHGYMSPEYAGNGMFSTKSDVFSFGVSVLEIVSGRRNRGFSHQDHYENLPSYAWKLYRDGRSIELLDEHLVESCNLTQVLRSIHIGLLCVQQHPEDRPSMYSVVQMLSNDADLPIAKKPGFFTGREIETHISTMEITDSVNEITISLLNPR >itb10g00830.t1 pep chromosome:ASM357664v1:10:614004:614615:1 gene:itb10g00830 transcript:itb10g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASPPRPAFPGGVGSTKKPLGLFANAMKRKHSFIQFFAMTGILLLSVRSLGQKYRINDLREDMEALKEEQQGLTNRMNHIKQSLLAEAAVEPTGRFASRLRLLFAEDK >itb10g03220.t2 pep chromosome:ASM357664v1:10:2926564:2932970:1 gene:itb10g03220 transcript:itb10g03220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKDVNGNINDHLRNHIHLTNCIHLKNHMHKQSPILGDRSLMRDLAALQRSRSLRDPSASPPSWHSPSVVEAPPVAAERAAEMSTARQSVGLEIPRDGRGLSETLPPIADLSTSKVGSGEVNRRNIGGTAVASECSNKSGNREQRRVRREESSGRNLGTDLVAGGNGLRDEVKDLVQESIHGNSEQRDKSIKQSRRHGPDDRVKTLSEKLNEFSLDSDEVASSQVRVHGRHGHSKKISKRGEASISGHHSGRVKQRKLRGARRSRASVASRDAFGENEMSVASNSFGQCTSNQRYQVEQGDEEYGHQNVTRAPRNGCGIPWNWSRIHHRGKSFLDLAGRSLYCGLSESRLKKTGAAPQVRDSQNIPMMSEYSSSSCKSAEALPLLLDPSGSQESAENAAWFHDYSGELGIFADNLLKHEIDSDLASEARFGGQRNFHGHGNVRHRSLTQKYMPKTFRDLVGQSLVVQALSNAVAKRKVGLLYVFYGPNGTGKTSCARIFARALNCQSLEHPKPCGACNSCISHDMGKSRNIREIGPVSNFDFENIMDLLDTMIISHFPSQYRIFIFDDCDNLPPDCWSAILKVIDRAPRRVLFVLVCSSLDTLPHIIISRCQKFFFPKLKDADIIYTLQWIATKEGLEIDKDALKLISTRSDGSLRDAEMTLEQLSLLGQRISVSLVQELVGLISDEKLVDLLDLALSADTVNTVKSLREIMESGVEPLALMSQLATVITDILAGIYDFTKERPRRKFFRRQALSKDDMEKLRQALKTLSEAEKQLRVSNDRLTWLTAALLQLAPDQQYLLPSSSADTSFNQSPLGLNYGGGREIPGTSNVGHTKMSQRERGLKVQAESSKKRGIVDRNGHAGAGVIPQKSNSISSDRNRVSSGQGPGKFQNEIEDIWLNVLKRIQITSLKEFLYREGNLTSVRFGAAPTVHLVFSSHVTKSKAEKFRVHILQAFESVIGSPVTIEIRCESRKDSRAGSIIFPASHDGLSSGLGNDDVNRRPRKEREGASTEIDTTRIGKSEIVELETSPKEVKHERHIHETQPDRRSFGNDYIGEASSTAKNSNVPSVSDVRKLGNGNPNLSLMRRKVSLAHVLQRAEGCAQQSGWSQRKAVSIAEKLEQENLRLEPRSRSLLCWKTTRVSRRKLSRLKTRSRRPKALLKLVSCGKCLSGRSSR >itb10g03220.t1 pep chromosome:ASM357664v1:10:2926564:2933338:1 gene:itb10g03220 transcript:itb10g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKDVNGNINDHLRNHIHLTNCIHLKNHMHKQSPILGDRSLMRDLAALQRSRSLRDPSASPPSWHSPSVVEAPPVAAERAAEMSTARQSVGLEIPRDGRGLSETLPPIADLSTSKVGSGEVNRRNIGGTAVASECSNKSGNREQRRVRREESSGRNLGTDLVAGGNGLRDEVKDLVQESIHGNSEQRDKSIKQSRRHGPDDRVKTLSEKLNEFSLDSDEVASSQVRVHGRHGHSKKISKRGEASISGHHSGRVKQRKLRGARRSRASVASRDAFGENEMSVASNSFGQCTSNQRYQVEQGDEEYGHQNVTRAPRNGCGIPWNWSRIHHRGKSFLDLAGRSLYCGLSESRLKKTGAAPQVRDSQNIPMMSEYSSSSCKSAEALPLLLDPSGSQESAENAAWFHDYSGELGIFADNLLKHEIDSDLASEARFGGQRNFHGHGNVRHRSLTQKYMPKTFRDLVGQSLVVQALSNAVAKRKVGLLYVFYGPNGTGKTSCARIFARALNCQSLEHPKPCGACNSCISHDMGKSRNIREIGPVSNFDFENIMDLLDTMIISHFPSQYRIFIFDDCDNLPPDCWSAILKVIDRAPRRVLFVLVCSSLDTLPHIIISRCQKFFFPKLKDADIIYTLQWIATKEGLEIDKDALKLISTRSDGSLRDAEMTLEQLSLLGQRISVSLVQELVGLISDEKLVDLLDLALSADTVNTVKSLREIMESGVEPLALMSQLATVITDILAGIYDFTKERPRRKFFRRQALSKDDMEKLRQALKTLSEAEKQLRVSNDRLTWLTAALLQLAPDQQYLLPSSSADTSFNQSPLGLNYGGGREIPGTSNVGHTKMSQRERGLKVQAESSKKRGIVDRNGHAGAGVIPQKSNSISSDRNRVSSGQGPGKFQNEIEDIWLNVLKRIQITSLKEFLYREGNLTSVRFGAAPTVHLVFSSHVTKSKAEKFRVHILQAFESVIGSPVTIEIRCESRKDSRAGSIIFPASHDGLSSGLGNDDVNRRPRKEREGASTEIDTTRIGKSEIVELETSPKEVKHERHIHETQPDRRSFGNDYIGEASSTAKNSNVPSVSDVRKLGNGNPNLSLMRRKVSLAHVLQRAEGCAQQSGWSQRKAVSIAEKLEQENLRLEPRSRSLLCWKTTRVSRRKLSRLKTRSRRPKALLKLVSCGKCLSGRSSSAKQKNIVERKYSLFNPTFGGL >itb14g06910.t1 pep chromosome:ASM357664v1:14:6266424:6268467:-1 gene:itb14g06910 transcript:itb14g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVKLPVAASKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPQVVRIQRKRRILKQRLKVPPTLNQFSKTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTPEIKKPIVVKYGLKHVTYLVEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTSVKNEDKLEFSKILEAIKANFNDKYDENRKKWGGGIMGSKSQARTKAKERVLAKEAAQRMS >itb06g10560.t1 pep chromosome:ASM357664v1:6:15007463:15011979:-1 gene:itb06g10560 transcript:itb06g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCANLFFTVVIVFSTALITYNILISVNASLNHDFPSPSNPSSSSLGFRKNLPNSKDPVIKMPVERAAKKKRLFHTAVTASDSVYNTWQCRIMYYWFKKFKDGPNSEMGGFTRILHSGKPDRFMDEIPTFVAQPLPSGMDQGYIVLNRPWAFVQWLQQANIEEEYVLMAEPDHVIVKPIPNLSKNGLGAAFPFFYIEPKKFETVLRKFFPEEKGPITDIDPIGNSPVIVEKELLKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVSSALHNVGNILYKEFMIQPPFDTEIGKSYIIHYTYGCDYDMKGKSTYGKIGEWRFDKRSYGNIWPPRNLTMPPPGVPESVVTLVKMVNEATANIPNWGS >itb05g16540.t1 pep chromosome:ASM357664v1:5:23729481:23731235:-1 gene:itb05g16540 transcript:itb05g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGDIYFWRRYRINYPFIFGFKQGTELGYREVLLLASGIFVLALAAVLSHLDMEMDPVTRTYKVLTESVPLILISVLLIITFCPLNIVYRSSRFFLIRCAWHCICAPLFKVTLPDFFLADQLTSQVQAIRSFEFYICYYGWGNFRQRLNKCQDSDVYQIFYIIVAAIPFVVRLLQCLRRLFEEKDNSQGLNGLKYFTTVAALVLRTLYELKKGTTLKVLAAVFSGITTITNTYWDIVRDWGLLQRNSKNPWLRDKLLVPNKAVYFVAIVVNIILRLVWMQMILGFKVPFLHRKAMTAVVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNHEVDKSM >itb09g14960.t1 pep chromosome:ASM357664v1:9:10244260:10246081:-1 gene:itb09g14960 transcript:itb09g14960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGMYSNMGSGMLGLEMSLHHVPPQQNPHPMQHQSHPPMVSYVDHRQQSQPPLRPGAGGTYPSGNKPKTTPGLTLSDDDDPGGPTADQNSADDGKRKVCPWQRMKWTDNMVRLLIMVVYYIGDEVGSEGTSNDPAGNKKKAGAAAAGGVLQKKGKWKSVSRAMMERGFYVSPQQCEDKFNDLNKRYKRVNDIIGKGTACKVVENQTLLETLDLSPKMKEEAKKLLNSKHLFFREMCAYHNSCAHGGASGSAAADGGSDPTSQTNNHHQKCMHSSENVRIGPNLGPAEVEEPKDNDNEYEDSVDDEDEESEEDEEDEKSRKRARKTEPWSPLLEQMSGELRNVCEDSTRSPVEKRQWIKARTMQLEEQRVEFQSQAVELEKQRLKWEKFSSKKEREMEREKMMNQRKKLENERMVLLLHQKELELNDVHLQGYNRTSDPSSVTG >itb04g06370.t1 pep chromosome:ASM357664v1:4:4127537:4132039:-1 gene:itb04g06370 transcript:itb04g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERACKHLQAEGSRRNRSSSWWDLQPSTTTQSKVNIIIKGKENGKQILEEGYASMATIDKMEQYITKTIEELKENNKRIIDIVNENTKKIERLERTLEEYKMMKKLKTPNESMPINPFPKLTISPETSTSMADKVKHSKNLGEVYEIINKFYGYKTNNTILRSRKETKYPRFICNVNADPDIVLRLFTYGFIYSIIVDETLNNISRLPRIIIESIVTMMESFGKGIYGIQVFDASTDLVGKPIIICQMFIVGKNTEIPGDNTSLNMKIPCKSEQFHEWLCEKRARGIVALKSKIKDMIKTKKANIIAQDKGGGETEEIITLYYNTQYSTSDITTLQEMYERIINLKHTHDKKTVEKYNSLAKGSPIISTKVELNSKKRKY >itb15g06900.t1 pep chromosome:ASM357664v1:15:4661125:4663986:-1 gene:itb15g06900 transcript:itb15g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLMNSNNPAASSLRSCMAKAHQLLSPSPSPATPWDIRCSFHSLTALLVALLVLGAVFLTGETENFLFNGEGSTIQRRRESVMDDAPPPSSSSSKCDLFSGKWVYDNRSYPLYKEQECSFMSDQLACQKFGRKDLDYQHWRWQPNQCDLPRFNATALLQKLRNKRLVFVGDSLNRGQWVSLVCLVDSAITPSSKSMHYKYNASLIIFKAKEYNATIEFYWAPLLVESNSDDPVHHRLPERIVRANAMEKHGRRWTDADYLVFNTYLWWRRPHIKVLWGSFDDERSDGIYKEVEMVRSYEMALKTWSDWLEIHVNRSKTQLFFISMSPIHERAEEWGKEVGESCYGETEAIKKEGYSGKGSDPKMMKVVEGAIDELKGRGVKVGLINITQLSEYRKEGHPSIYKKQWEPLTPEQIAKPKAYSDCIHWCLPGVPDVWNELLYAHIFNYV >itb15g13100.t1 pep chromosome:ASM357664v1:15:11056204:11060736:1 gene:itb15g13100 transcript:itb15g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLSGNELKTFTRSITCLARIGNELVVQASDSLLTLLTLNSSRTAHLSITFKPDFFNVYTISAGQVKFSVLIKAVCSVLRTPFASIDHLSVSLPDHDASKVQWTLDCYNGIRKAYWINCNVNPDIQQLSIDRTMLPSNLVVRPRDLNRLLSNFQAYLQEITVIATEPSLLPPDSVNEIGGKSVELRSYIDPTKENDSSLHTQLWIDPTEEFLQYSHAGNPVDVTFGVKDLKAFVSFCEGCEVDVRFHFKEAGEPVLMEPKFGLDDGSSTNFDAALVLATMLTSQLNSTNSTDCPQPANTSRGQADQETGPQTHDQSRGSNPEPPSGHTRIWSDLSGNGGNAVDMQVQVDKTLTTNEQQEIQRIGSIRISKVDAAAGNMPCGSNDCRNVETDHMDEPRGSEATATRASKYHPSNWVDADEEDDEGDDDSEFVVEATPPHH >itb08g04610.t1 pep chromosome:ASM357664v1:8:3787118:3789151:-1 gene:itb08g04610 transcript:itb08g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHQFTRGFIFEQQDQVPSLSLGCKRFRPLAPKLSSAGGGAISVTPPAFDLKSFIKPESSPRSPDEKKDSPQAETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLGINHSPRTPPQAAAAPPITTAPSLTFDPWGEDSACKRKCRPWAFECLEEEEKRWPCSREEFEGDGTLELFPLHPELGR >itb01g05940.t1 pep chromosome:ASM357664v1:1:4148657:4151658:1 gene:itb01g05940 transcript:itb01g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKIPSLPVLDSDPNREMLSVLRRVSSSSFSLSVPLPKPLMDSSSSASKKKIRGTSHRLLSLARQLRLYKAPPPPGEDSDVEESSGKVVSLLGFQESVTPVSNQPERFKPKRAAVLICLFEGDSGEFRVILTKRASNLSSHSGEVALPGGKAEEGDASDAGTATREAKEEIGLDPSLVNVVTYLEPFLSKHLLRVIPVIGILTNKKEFNPTPNPAEVEAVFDAPLEMFLKDENRRSEEREWMGHNYLLHFFDYEIGDKKYLIWGLTAGILIRAASVVYQRPPAFTEQNPKFQVPKFADKDTTMT >itb07g15190.t4 pep chromosome:ASM357664v1:7:18148116:18150024:-1 gene:itb07g15190 transcript:itb07g15190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRLKIVEDASRDLISELPVEVKDRILEYLPTRDAARTALLSRQWNDVWLQHERLAFDSEFVQNFQQSQDDEGRTLVNIINNILFSRAVPVKKFTLHIDTAYDPSPSPQQSDIDRLCLFLSSNGVEELNLSLYSNQEPDYQLPFCLLSCKTIKKLIVEGPFIDLPVNACGIFSNVTSLEFLHVEFKRGVNGIASSISIPKLEKLALEHCRGINKFEISPPKLEILSVINCMGDVVESRWLAPHLKAIKTLWLCGYSLKCMNVSMFSTAINLRVLKLYELDFGCRRQLIVSMVLLQKCPNLCELRIMAHKVDYIFGNHV >itb07g15190.t1 pep chromosome:ASM357664v1:7:18148110:18150039:-1 gene:itb07g15190 transcript:itb07g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRLKIVEDASRDLISELPVEVKDRILEYLPTRDAARTALLSRQWNDVWLQHERLAFDSEFVQNFQQSQDDEGRTLVNIINNILFSRAVPVKKFTLHIDTAYDPSPSPQQSDIDRLCLFLSSNGVEELNLSLYSNQEPDYQLPFCLLSCKTIKKLIVEGPFIDLPVNACGIFSNVTSLEFLHVEFKRGVNGIASSISIPKLEKLALEHCRGINKFEISPPKLEILSVINCMGDVVESRWLAPHLKAIKTLWLCGYSLKCMNVSMFSTAINLRVLKLYELDFGCRRQLIVSMVLLQKCPNLCELRIMAHKLGWKDDMTMNDAALRLLEDRNSCLYSQELHMLNTIKIEAFSHQSALEMLFMKTLLLKSPALERVVIVKSWRMNASEVRKIQRKLECFPRASPNAQIVCTGNDYARMSKDWMDTHGIRLVE >itb07g15190.t2 pep chromosome:ASM357664v1:7:18148110:18150039:-1 gene:itb07g15190 transcript:itb07g15190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRLKIVEDASRDLISELPVEVKDRILEYLPTRDAARTALLSRQWNDVWLQHERLAFDSEFVQNFQQSQDDEGRTLVNIINNILFSRAVPVKKFTLHIDTAYDPSPSPQQSDIDRLCLFLSSNGVEELNLSLYSNQEPDYQLPFCLLSCKTIKKLIVEGPFIDLPVNACGIFSNVTSLEFLHVEFKRGVNGIASSISIPKLEKLALEHCRGINKFEISPPKLEILSVINCMGDVVESRWLAPHLKAIKTLWLCGYSLKVRI >itb07g15190.t3 pep chromosome:ASM357664v1:7:18148110:18150037:-1 gene:itb07g15190 transcript:itb07g15190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPELLCSQGNGMMFGCSMSGLRSIPNSCKISNSPKMMKCMNVSMFSTAINLRVLKLYELDFGCRRQLIVSMVLLQKCPNLCELRIMAHKLGWKDDMTMNDAALRLLEDRNSCLYSQELHMLNTIKIEAFSHQSALEMLFMKTLLLKSPALERVVIVKSWRMNASEVRKIQRKLECFPRASPNAQIVCTGNDYARMSKDWMDTHGIRLVE >itb15g20110.t1 pep chromosome:ASM357664v1:15:22618083:22619028:1 gene:itb15g20110 transcript:itb15g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPVSSRSFSAKNRGSLLQNLFAKFSRNSVRITAKFSPDHLLNSVNFGRAVRVWSSPRMAAKTMMMRKEATAQGNGAAVQPPPPTAAKMNEVMFAKCECCGLTEECTEAYVAKVRERNQGRWICGLCAEAVKDEMARSSSERRIGSEEALNRHMTFCKKFKAQRPPPSPTEDLILAVKQLLLRSLDSPRSSPVKKQGLLRSQSCFSSIDG >itb05g17680.t2 pep chromosome:ASM357664v1:5:24517937:24522091:-1 gene:itb05g17680 transcript:itb05g17680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRVSRAVSDPKVRQVGFFAPGAPPDRSQSGPPDPISSSPPVSDISPSGNSLSPVMIPPPRHLSSDLSRVAAHFPPVPNSPLHRDSIPVGSYNPSEFTSPTASDFTEDAISLSRTGKGDSGKFATSLPAGGFDMTGAKQNNSFRSAGLTTVSIVNEPPEVAEKDGRASAAANKERASSSKPLKEKTTKAERRALQEAQRAAKAAAKADGSKIAPAASGEVTTASANSGKASKAPIQRKDSSPVGTSEKRGSDRPADKDRKKDVPHPRMQFDDKSRVEKAKKRSVVKQTEARNRVELFRHLPQYEHGTRLPELESKFFQLDPVHPAVFKVGLRYLAGDISGGNARCIAMLQAFQESIKDYSTPPEKTLIRDLTTKINCYVSFLIGCRPLSITMGNAIRFLKTKIAKLPLTLSESEAKVTLITDIDHFINEKIIIADKVIVKHAVTKIRDGDVLLTYGSSSAVEMIFLHAHELGRDFRVIVVDSRPKLEGRLLLRRLVAKGINCTYTHINAVSYIMHEATRVFLGAASILSNGTVYSRVGTACVAMVAHQFRVPVLICCEAYKFHERVQLDSICSNELGMCFFFLLVHPLVLAIYILLYLKNSLYLPL >itb05g17680.t1 pep chromosome:ASM357664v1:5:24517937:24522091:-1 gene:itb05g17680 transcript:itb05g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRVSRAVSDPKVRQVGFFAPGAPPDRSQSGPPDPISSSPPVSDISPSGNSLSPVMIPPPRHLSSDLSRVAAHFPPVPNSPLHRDSIPVGSYNPSEFTSPTASDFTEDAISLSRTGKGDSGKFATSLPAGGFDMTGAKQNNSFRSAGLTTVSIVNEPPEVAEKDGRASAAANKERASSSKPLKEKTTKAERRALQEAQRAAKAAAKADGSKIAPAASGEVTTASANSGKASKAPIQRKDSSPVGTSEKRGSDRPADKDRKKDVPHPRMQFDDKSRVEKAKKRSVVKQTEARNRVELFRHLPQYEHGTRLPELESKFFQLDPVHPAVFKVGLRYLAGDISGGNARCIAMLQAFQESIKDYSTPPEKTLIRDLTTKINCYVSFLIGCRPLSITMGNAIRFLKTKIAKLPLTLSESEAKVTLITDIDHFINEKIIIADKVIVKHAVTKIRDGDVLLTYGSSSAVEMIFLHAHELGRDFRVIVVDSRPKLEGRLLLRRLVAKGINCTYTHINAVSYIMHEATRVFLGAASILSNGTVYSRVGTACVAMVAHQFRVPVLICCEAYKFHERVQLDSICSNELGDPEAIANVPGGKAVKHLDNWSSSENLQLLNLIYDATPADYVSMIITDYGMIPPTSVPVIVREYRREHLWT >itb06g25740.t3 pep chromosome:ASM357664v1:6:26649501:26660300:-1 gene:itb06g25740 transcript:itb06g25740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTGDLPNLGIDDKNEENISRSFEKDNIAVLESGGVEKEKEKEISPMHRSTSRPQLDLSGAAIQGNFEEKDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEGRQVEDKRKRKVKERFGVSNGNRRSYPILGGRLHFVKFETTKVNECLDFISSKQLHCGGLDTPRWPSEAPQNENTIIKATGGGAYKFADLFKERLGVSIEKEDEMNCLVAGANFLLKAIRHEAFTHIEGHKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKTISENKELEDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAEAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYLGGNIHGPPLGDLNEKISWMEKFVQKGTEIIAPVPMAPPGTTGLGGFEVPSSKGVLRSDASKLNVGVLHLVPSLEVFPLLADPKIYEPNTVDLSDLSELEYWLTVLSEHLPDLVDKAVASEGGTEDAKRRGDAFAHAFSAHLARLMEEPAAYGKLGLADILELREECLREFHFFDAYRSIKQRENEASLAVLPDLLMELDSMNEEMRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDRFKERMLGSGEKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDITAMELPDIVAEAAKHCGILRGAAEAGGLLLDAMNNAQDGSKEDPVSVPLMVVENGCGSPCIDLRQVSSELAAAARDADLIILEGMGRSLHTNFNAKFKCDALKLAMVKNPRLAEKLIGGKIYDCVCRYEPAC >itb06g25740.t1 pep chromosome:ASM357664v1:6:26649501:26660300:-1 gene:itb06g25740 transcript:itb06g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTGDLPNLGIDDKNEENISRSFEKDNIAVLESGGVEKEKEKEISPMHRSTSRPQLDLSGAAIQGNFEEKDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEGRQVEDKRKRKVKERFGVSNGNRRSYPILGGRLHFVKFETTKVNECLDFISSKQLHCGGLDTPRWPSEAPQNENTIIKATGGGAYKFADLFKERLGVSIEKEDEMNCLVAGANFLLKAIRHEAFTHIEGHKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKTISENKELEDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAEAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYLGGNIHGPPLGDLNEKISWMEKFVQKGTEIIAPVPMAPPGTTGLGGFEVPSSKGVLRSDASKLNVGVLHLVPSLEVFPLLADPKIYEPNTVDLSDLSELEYWLTVLSEHLPDLVDKAVASEGGTEDAKRRGDAFAHAFSAHLARLMEEPAAYGKLGLADILELREECLREFHFFDAYRSIKQRENEASLAVLPDLLMELDSMNEEMRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDRFKERMLGSGEKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDITAMELPDIVAEAAKHCGILRGAAEAGGLLLDAMNNAQDGSKEDPVSVPLMVVENGCGSPCIDLRQVSSELAAAARDADLIILEGMGRSLHTNFNAKFKCDALKLAMVKNPRLAEKLIGGKIYDCVCRYEPAC >itb06g25740.t2 pep chromosome:ASM357664v1:6:26649501:26660300:-1 gene:itb06g25740 transcript:itb06g25740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTTGDLPNLGIDDKNEENISRSFEKDNIAVLESGGVEKEKEKEISPMHRSTSRPQLDLSGAAIQGNFEEKDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEGRQVEDKRKRKVKERFGVSNGNRRSYPILGGRLHFVKFETTKVNECLDFISSKQLHCGGLDTPRWPSEAPQNENTIIKATGGGAYKFADLFKERLGVSIEKEDEMNCLVAGANFLLKAIRHEAFTHIEGHKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKTISENKELEDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAEAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYLGGNIHGPPLGDLNEKISWMEKFVQKGTEIIAPVPMAPPGTTGLGGFEVPSSKGVLRSDASKLNVGVLHLVPSLEVFPLLADPKIYEPNTVDLSDLSELEYWLTVLSEHLPDLVDKAVASEGGTEDAKRRGDAFAHAFSAHLARLMEEPAAYGKLGLADILELREECLREFHFFDAYRSIKQRENEASLAVLPDLLMELDSMNEEMRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDRFKERMLGSGEKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDITAMELPDIVAEAAKHCGILRGAAEAGGLLLDAMNNAQDGSKEDPVSVPLMVVENGCGSPCIDLRQVSSELAAAARDADLIILEGMGRSLHTNFNAKFKCDALKLAMVKNPRLAEKLIGGKIYDCVCRYEPAC >itb04g25920.t1 pep chromosome:ASM357664v1:4:30337232:30341079:-1 gene:itb04g25920 transcript:itb04g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVTVVFIVFSLYFCHLGWDNYIVVSAKVEANDERAVLLLIKAGLVDPLGQLGDWGLPGNGSDHHCRWRGVKCSLNGAVEELDLSHMNLSGRVTDHIDKLKSLTSLNLSYNGFSSSLPKSLFNLSALQRIDVSQNFFVDGFPAGLGRLAGLVSLNASSNNFSGYLPEELSNAALLESLDLRGNFFEGAIPKSYKNLRKLKFLGLSGNNLTGQIPGELGEILSLETIVLGYNEFEGGIPGEFGNLTNLRYLDLAVGNLAGSIPAEIGNLKLLETVFLYQNSFEGEIPEEIGNMTSLQQLDLSDNMLSGEIPQQIANLKNLELLNLMGNRLSGSVPDGIANLTQLQVLELWNNSFSGSLPSDLGLNSPLEWLDVSSNSFSGPIPAGLCSKGNLIKLILFNNAFSGPIPPGLSRCDSLVRVRMQNNLLSGAIPAGFGKLGELQRLELANNSLTGQIPSDIASSDSLSFIDLSQNHLQSSLPSSILTIPSLQNFLASNNNLVGEIPDQFQDCPTLSVLDLSSNHLTGNIPASIASCEKLVTLNLRNNQISGPIPEPIASMPTLAVLDLSNNTITGGIPYNFGNSPALEMLNVSYNNLDGPVPANGMLRTINPDDLQGNPGLCGGVLPPCSQNAAYVSRQKSLHTKHIVAGWLIGMTALLAIVSAAFGLRFLHKRWYQNGSCFEERFETGKGEWPWRLMAFQRLGFTSNDILACIKDSNVIGMGATGVVYKTEMQRLNTTVAVKKLWKSAAKDIEMGSAGSEDLVGEVNVLGRLRHRNVVRLLGFLYNDHEAMIIYEFMQNGSLWEALHGKQAGRLLVDWVSRYNIALGVAQGLAYLHHDCHPPIIHRDVKSNNILLDSNLEARIADFGLAKTMLTKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLMELLTGKRPLEAEFGESVDIVEWIRMKIRDNRSLEEALDPSVGNTKHVQEEMMLVLRIALVCTAKLPKERPSMRDVLTMLGEAKPRRKSSSVNGGGAGGAANRDMPPVFSTSPVNGLLM >itb04g25920.t2 pep chromosome:ASM357664v1:4:30338130:30341042:-1 gene:itb04g25920 transcript:itb04g25920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVTVVFIVFSLYFCHLGWDNYIVVSAKVEANDERAVLLLIKAGLVDPLGQLGDWGLPGNGSDHHCRWRGVKCSLNGAVEELDLSHMNLSGRVTDHIDKLKSLTSLNLSYNGFSSSLPKSLFNLSALQRIDVSQNFFVDGFPAGLGRLAGLVSLNASSNNFSGYLPEELSNAALLESLDLRGNFFEGAIPKSYKNLRKLKFLGLSGNNLTGQIPGELGEILSLETIVLGYNEFEGGIPGEFGNLTNLRYLDLAVGNLAGSIPAEIGNLKLLETVFLYQNSFEGEIPEEIGNMTSLQQLDLSDNMLSGEIPQQIANLKNLELLNLMGNRLSGSVPDGIANLTQLQVLELWNNSFSGSLPSDLGLNSPLEWLDVSSNSFSGPIPAGLCSKGNLIKLILFNNAFSGPIPPGLSRCDSLVRVRMQNNLLSGAIPAGFGKLGELQRLELANNSLTGQIPSDIASSDSLSFIDLSQNHLQSSLPSSILTIPSLQNFLASNNNLVGEIPDQFQDCPTLSVLDLSSNHLTGNIPASIASCEKLVTLNLRNNQISGPIPEPIASMPTLAVLDLSNNTITGGIPYNFGNSPALEMLNVSYNNLDGPVPANGMLRTINPDDLQGNPGLCGGVLPPCSQNAAYVSRQKSLHTKHIVAGWLIGMTALLAIVSAAFGLRFLHKRWYQNGSCFEERFETGKGEWPWRLMAFQRLGFTSNDILACIKDSNVIGMGATGVVYKTEMQRLNTTVAVKKLWKSAAKDIEMGSAGSEDLVGEVNVLGRLRHRNVVRLLGFLYNDHEAMIIYEFMQNGSLWEALHGKQAGRLLVDWVSRYNIALGVAQGLAYLHHDCHPPIIHRDVKSNNILLDSNLEARIADFGLAKTMLTKNETVSMVAGSYGYIAPGEFYI >itb11g13160.t1 pep chromosome:ASM357664v1:11:10155742:10156239:1 gene:itb11g13160 transcript:itb11g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSATLFVFVCIAIMAVTPPPSTAQELRLRRPFGQIILNVTGVLLCGNSTGPPPVANSTVKLICRPLHGSLGAFNTTPDGTINVSIPIKVPIFFANPLRSLAIRLKKFCYLRALSQICSGSFPVNPTTPFLGAPINATAISGNRNTINITTTPGIFLPLPAAI >itb02g14300.t1 pep chromosome:ASM357664v1:2:10450103:10452839:1 gene:itb02g14300 transcript:itb02g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTKLGASSAIKSTVSPKPVATATINLSILESLLLHCQNLKHFWQIFSQMISTGFIKDTYAASRVLKFCTDSPFIGINCTENIFTQIENPNVFSVNTMMRAYLQQSMPQNSIVLYKSTLRETLCVDNYTLPILFQAATLRCSLLEGGELHSHVVKMGFGSDVYVRNTLINMYAVCGNMGDARKVFDESPVRDLVSWNSILAGYVQAGNVEESMMIYDQMPRRNVIASNSMIVLLGRCSRVAEACRLFHEMDDKDLVSWTALISCYEQNGFYEEALDLFVQMSAKGIAMDEVVMVSVFSACANLLVLETGKLVHGLVIKIGFESYVNLQNALIHMYSSCGDVTAAQNMFDTSRNLDQISWNSIISAYVKCGSVEKARVLFDSMLEKDCVSWTTMISGYAQHDQFSETLALFQEMLHIGIKPDETTLVSVVSACTRLAALEQGKWIHAYIRKNGLKVNIILGTTLIDMYMKCGYTENAMEVFHGMEERGVSSWNALILGLAMNGQVQRSLETFEEMKKCGVTPNEVTFVAVLGACRHMGMVDEGRQYFDSMVKSYSIEPNLKHYGCMVDLLGRAGLLKEAENLIQTMPMAPDVPTWGALLGACKKHGDSEMGERVGKKLLELQPYHDGFHVLLSNIYASKGNWDNVEGVRGAMMQQGVVKTPGCSMIEADGIVHEFFAGGDKSHPKSKEIEVMLDEMVNRLKTMGYNPGTEEVLLDIQEEEKESSLFRHSEKLAIAFGLISSLPPAPIRIMKNLRICSDCHSAAKLISKAYNREIVIRDRHRFHHFKDGSCSCMEFW >itb02g14300.t2 pep chromosome:ASM357664v1:2:10450324:10451350:1 gene:itb02g14300 transcript:itb02g14300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTKLGASSAIKSTVSPKPVATATINLSILESLLLHCQNLKHFWQIFSQMISTGFIKDTYAASRVLKFCTDSPFIGINCTENIFTQIENPNVFSVNTMMRAYLQQSMPQNSIVLYKSTLRETLCVDNYTLPILFQAATLRCSLLEGGELHSHVVKMGFGSDVYVRNTLINMYAVCGNMGDARKVFDESPVRDLVSWNSILAGYVQAGNVEESMMIYDQMPRRNVIASNSMIVLLGRCSRVAEACRLFHEMDDKDLVSWTALISCYEQNGFYEEALDLFVQMSAKGIAMDEVVMVTVEM >itb05g03140.t1 pep chromosome:ASM357664v1:5:2554247:2559565:1 gene:itb05g03140 transcript:itb05g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFNLPTSHLLGSVPAVVTEEKNNTNREDPEANLQIFPPNNGGNAGQGTPAGPSEGDGQQSANNWKGVFSISSYTQYFNVDTDVVLNRLMCSLNPTSGDFFNKIDANPDLYGLVWISTTLVAAISSFGNLATYLMHKQGDTASTRAFDVGYMSVAAFSVYGYAFIVPLGFYFLLQYLGSNANLVRFWCLWAYSLFVFILSSFLLIIPVEFIKWIVILLTGAMSGAFVTLNLKSFVQTNDLAIVPIAAFVLQMGLAIFIKMWFFP >itb05g03140.t2 pep chromosome:ASM357664v1:5:2554251:2559565:1 gene:itb05g03140 transcript:itb05g03140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFNLPTSHLLGSVPAVVTEEKNNTNREDPEANLQIFPPNNGGNAGQGTPAGPSEGDGQQSANNWKGVFSISSYTQYFNVDTDVVLNRLMCSLNPTSGDFFNKIDANPDLYGLVWISTTLVAAISSFGNLATYLMHKQGDTASTRAFDVGYMSVAAFSVYGYAFIVPLGFYFLLQYLGSNANLVRFWCLWAYSLFVFILSSVSICIFIFLFMMNFNCVASCIVAFDTCSGAILINFCRLRNTVN >itb01g29750.t1 pep chromosome:ASM357664v1:1:33923789:33925736:-1 gene:itb01g29750 transcript:itb01g29750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVGDKYRDYLSEEDMKNTTWRFGPPNYDDVNKVFEEGRTHVWPEGSLEERVQRLLKTWEMELVHKANPDECNTLDPKKFRLHVNGNKGLSLEEIAARGGGYNVFLQTSLPEKYRIYNPDVETTNSSKLVFQTAFRRGFAIEVLQVYSGPPKIVYSFRHWGYVEGPFKGYAPTGEIAQFYGMGIFEIDEESNKIVKVELFFDGGELLGGLIKGGSSDELATSETSCPFMASK >itb05g00810.t1 pep chromosome:ASM357664v1:5:693960:697577:-1 gene:itb05g00810 transcript:itb05g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLMNLVLLYSSLCSLSLPFAAASGTTQFIYNGFSTSTDITFDGLAHLSHHSLLQLTNDTTFSTGHAFYTHPISFNNISSFSTTFVFAMVPLYATKGGHGMAFVISPTKNLSPVGAAGQFMGLMNESSKGNSSNRIFAVEFDAIETRKFHDLADDHVGIDINDMESKVQKRVAYVDEKGAIQNLTLLSEHPLQAWIDYDGFSNQINVTLAPFRVPKPSTPLMSFSFDISLVLAKESYVGFSAATGPELTSDFYVAGWSFKMNGRATDLDLSKLPKIPHLGRKQTPLFYKTGLPLICLVAVAILGFVAVYVVGRRKKFAEVLEDWEQEFGPHRFKFKDLYMATKGFRESELLGKGGFGSVYKGVLPAIGIEVAVKKVSHDSKQGIREFVAEVVSIGRLRHRNLVRFLGYCRRKGELFLVYEYMPNRSLDRYLYNPPNDNILSWSRRFAVVKGVASGLLYLHEGWEQVVIHRDIKASNILLDSEFNGKIGDFGLARLYDHGTDPHTTHIVGTLGYLAPEQTRTGKATTSSDVYAFGAFLLEVATGKRPIQPKKEAEDFVLVDWVFSCWAKGNVFEAMDRNLGMEYAKGEAELVLKLGLLCSHPDSGRRPTIRQAVQYLEGDGELPELSAMGISSSHDLMYSRAEGFDSFVLSHKSSMMNSGFSGSTSITESFLSGGR >itb04g31210.t1 pep chromosome:ASM357664v1:4:34142607:34147388:1 gene:itb04g31210 transcript:itb04g31210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLDDLADDLQSISFNSATTATEIHRSTSSGSEATWTAASSGSSHFLPPPPPSSAKPRSAIPSGDPCWDAIRRALSSSSSSSPSLSVSDLRFIHRLGSGDIGAVYLAEVKAPPPPPAEPPQSPSLLQQPALFAAKVMDKKELASRNKEGRARTEKEILEMLDHPFLPTLYASIDSPKWSCLLTEFCPGGDLHVLRQRQPAKRFPESSVRFYASEVIVALEYVHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDTSTSTPAQVISGQNTPAGSAQNQYSYAIDPPKFTASSCILPNCIVPAVSCFSPRRRRKKKPGPHSGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELLYGVTPFRGMDNELTLANIVARALEFPKEPPIPAAAKDLISQLLVKDPARRMGSTMGATAIKQHPFFQGVNWALLRCTPPPFVPPPYARDVLSDNESCPDTPVEYY >itb03g03450.t1 pep chromosome:ASM357664v1:3:2014734:2015133:1 gene:itb03g03450 transcript:itb03g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLSQGGASPDPEANATSSFNPCELNRWLSPPGRVGFSIPSSAIRRIEADFHSPQMTRPLQDSQTIRDNNQTGGGAQPVIFQCEEAANKETTDNVGILGYF >itb12g11570.t1 pep chromosome:ASM357664v1:12:9886067:9890859:-1 gene:itb12g11570 transcript:itb12g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIVSRGRKVQRCCWSEQRRWLSQPGVAQKQGNDVVLGVPRLPSFDYSPPPYNGPAADEILMKRKKFLSPSMFYFYQKPLNLVHGKMQYLYDDKGRRYLDAFGGIATVSCGHCHPEVVEAIVEQTKRLQHSTILYLNPAITDFAEALASRMPGDLKVVFFTNSGTEANELAIMMARLYTGCQDIISLRNAYHGNAGATMGATAQCNWKFNVVQTGVHHAMNPDPYRGVFGSDGEKYAKDVEDLIQFGTSGNVAAFISEAIQGVGGIVELAPDYLPAVYKSIKKAGGLCIADEVQAGFSRTGSHFWGFENHGVIPDIVTMAKGIGNGIPLGAVVTTPDIAEVLTRHNYFNTFGGNPVCTAAGHAVLRVVEREGLQANAHTVGSYLKHRLIALKDKHQIIGDVRGRGLMLGVELVTDREEKTPARVEIVHVMEQMKDLGVLIGKGGLYGNVFRITPPLCFSKDDADFLVDVMDYVMSKM >itb02g23930.t1 pep chromosome:ASM357664v1:2:24277190:24277879:1 gene:itb02g23930 transcript:itb02g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISLEGDDNIDVPAWKGEVSDTYDLITNSETNLQDPVWSTLWKIKVPNKMKFFMWTALHEKILGNAERKRRNLTTNGECDTCHGKEESMVHILSDCSHTEDVWTALVRRDHWRKWRQTNPRQWLVRNIMEKGQPATNCEWPRMFVITSWWLWRWQNGRVFNSESVKTHKKIAKSEKQKMRLAERAFLREARVRRSSQSEKFITVCWKPSSYHTSIYLECGWKCQSNH >itb11g18340.t1 pep chromosome:ASM357664v1:11:18575006:18581572:-1 gene:itb11g18340 transcript:itb11g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEITALFTLLLIIFSPSSSSAAKIFKKIYAFGDSFTDTGNTHSTSGPSSFTYVSNPPYGRTFFHRPTNRYSDGRLVIDFVAQALSLPFLPPYLNRKADTSYGVNFAVAGSTAIDHRFFVENNISLDITPQSLDTQLSWFNRYLEGVGCRDYKSTPMQCGAVFDDALFWVGEIGANDYAYTFGSSISPKTIQHLAVTSVSSFIQALLKKGAKYVVVEGLPTTGCLTLALYLAPPADRDAMGCVATVNNQSHTHNAILQSNLAAFRRNFPQATIVYADYWNAYKSILTAAKSYGITHPFRVCCGSGAGDLNFDIFATCGSPNASSCPDPSQYINWDGVHLTEAMYKAVADKFVNGTFCRPPFSYLIRKKMASALLA >itb14g11100.t1 pep chromosome:ASM357664v1:14:12638409:12639136:-1 gene:itb14g11100 transcript:itb14g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFDCIIRYDEGGFIATKATKWRGIFTRREAEAVAIREALGWIKEMNMNNVQVETDSLKVVQSFNQVTGASSFHLVVNDIKNLLSCFSHVFLCFAKRSANQAAHAIAKQSVSLSGCAEWFSNPPDFICNILSSE >itb07g08240.t1 pep chromosome:ASM357664v1:7:6438217:6443953:-1 gene:itb07g08240 transcript:itb07g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSPSWQSGLPTSNTEKDEPRRKAPDSHDNRRVRSHFLSGGSRLKDKHKYEKDKNISRKRPYHSSGMKDLPSSFRYSDSRLPNDSNAENYEPTPKKRTSDSDGYVNSSSGMHSWDAMLQKRSNVQEDKHMPEEKGSGASNCVDSWQKKQKVNTDDGKDMPEKKGSASGDCWNTHSNLPSWDPWLQKETNADKGNNKHEKNISTSGDIWNSHSSWPSWDSWLQKDTNADKGKDMNDKTCSASQDRWNSHSSWPSWPQKKSGAEDSKHMSQNRVSGSGDHVRSHCHRPPWKSRWDKSSDFRMDKALVHEGGHNSSNGASRQLSPRSRLPVKTIAKETNDSTWKSSNSSSDYLQLSSSRHGWKSELQIRSNVEGLKNILEERSSTVIKSLKEDGLKAEVSSTKAGLKAEVSSTKAGPQKRGQSSADGAKLHSEGPNWESRLQSRCYKGDSTDSPGNSSIWEGSNEDVANMKPNNLIPNTIDLSGSSDCAGWEVKKRSNVENTNNRSVERAETKVCPRESLQERSDSTGGDKDGLLKGAYNSIGVAESPCALPQNSNVQKSKDPKEVKNRFRKKAVDSCDHPLRNLEEQRVEDAHEARDVHNKGVSIGLDSQECTNEVGFDKIDGEGLPHGRVSWDSRTQIPKDGLLEVSTSTPMKGNDTSTLRAFNMIDAAELPSDRTSGELRIMQRGTEQVKDGSNEKLPNRIDSTELQSSWYSHEPDVQIRSNLEEPKGGSNKKESNRIYSTELQSILHSRESNMQRTNLEEPKAQPNESHVQRKGTLEEPKNIPDERTFKGTNGADFPSMLPCVESKLQRSISVEEIEVSPREQTSDPTHGVQFPFISVNYMQKGNMRASEITDGAEFPSTSPCKDLRLQRSFAAKEIKVSSRKEASNLVHDVEFPFISRGYKLQKGSDEDAKDIHGEKASNLIVGSTFPLNRPVLELEVESKRNTEGGDIVEGKVAVPISQSTTQIRDFNVLSCSKDFNEIAHCNRLHISHGIFTRSQNCPAEKDIWMGSFKVTDIASVVRFYEGLTAHPSSKVCRRAYEFAKKMPSTVDFLIHPRCNYWPLIFEGCPDTNDIALYFYPRNKEAKNCYSSLLRFIDNKDLMLRSQIGHVELLVFPSRLLDVRCRTIENQYFFWGVFHTKSKKKN >itb03g26620.t1 pep chromosome:ASM357664v1:3:26188566:26197824:1 gene:itb03g26620 transcript:itb03g26620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGQSSGSKPSGDGVVWAKLIPMDSSYSEIELKLNETVICSEVTSLEKQAWCKITRGMDLVSATIQNTSSNTILVDEKFVLDDQTAIVKCGSEISPSFQTEGSLKYKFEVMPVEESYKHLKVSVDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSQEKHSSVKCPECRAVVQFVGKNPFLHNIEENILEADLSLKRPSEDIKLIDSYASIKSPLVLNSGKRSKRKRAHSPSDEASRWELPCRQCGTEFGGFQCNQSTVHLQCHACGGMMPLRTNISIPQHCIGCDRAFCAAYWHAQGVNGSDLHPICSPETFKPITERTITRIPSLAHEKNRHEQEITERCITKMGRSLQDVVSDWILKLDNREIDRTRMPLNHAEMITARTRCCSECYDKIVSFLLYWFRVTMPKHCLPAEGSQREDCWYGYACRTQHHNEEHARKRNHVCRPTRGSQM >itb03g26620.t4 pep chromosome:ASM357664v1:3:26191299:26197701:1 gene:itb03g26620 transcript:itb03g26620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLLRLVFIIFEWLRRSQEKHSSVKCPECRAVVQFVGKNPFLHNIEENILEADLSLKRPSEDIKLIDSYASIKSPLVLNSGKRSKRKRAHSPSDEASRWELPCRQCGTEFGGFQCNQSTVHLQCHACGGMMPLRTNISIPQHCIGCDRAFCAAYWHAQGVNGSDLHPICSPETFKPITERTITRIPSLAHEKNRHEQEITERCITKMGRSLQDVVSDWILKLDNREIDRTRMPLNHAEMITARTRCCSECYDKIVSFLLYWFRVTMPKHCLPAEGSQREDCWYGYACRTQHHNEEHARKRNHVCRPTRGSQM >itb03g26620.t2 pep chromosome:ASM357664v1:3:26188566:26197824:1 gene:itb03g26620 transcript:itb03g26620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGQSSGSKPSGDGVVWAKLIPMDSSYSEIELKLNETVICSEVTSLEKQAWCKITRGMDLVSATIQNTSSNTILVDEKFVLDDQTAIVKCGSEISPSFQTEGSLKYKFEVMPVEESYKHLKVSVDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSQEKHSSVKCPECRAVVQFVGKNPFLHNIEENILEADLSLKRPSEDIKLIDSYASIKSPLVLNSGKRSKRKRAHSPSDEASRWELPCRQCGTEFGGFQCNQSTVHLQCHACGGMMPLRTNISIPQHCIGCDRAFCAAYWHAQGVNGSDLHPICSPETFKPITERTITRIPSLAHEKNRHEQEITERCITKMGRSLQDVVSDWILKLDNREIDRTRMPLNHAEMITARTRCCSECYDKIVSFLLYWFRVTMPKHVSFSLILDRLVYYLLI >itb03g26620.t3 pep chromosome:ASM357664v1:3:26191299:26197701:1 gene:itb03g26620 transcript:itb03g26620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEESYKHLKVSVDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSQEKHSSVKCPECRAVVQFVGKNPFLHNIEENILEADLSLKRPSEDIKLIDSYASIKSPLVLNSGKRSKRKRAHSPSDEASRWELPCRQCGTEFGGFQCNQSTVHLQCHACGGMMPLRTNISIPQHCIGCDRAFCAAYWHAQGVNGSDLHPICSPETFKPITERTITRIPSLAHEKNRHEQEITERCITKMGRSLQDVVSDWILKLDNREIDRTRMPLNHAEMITARTRCCSECYDKIVSFLLYWFRVTMPKHCLPAEGSQREDCWYGYACRTQHHNEEHARKRNHVCRPTRGSQM >itb04g32820.t1 pep chromosome:ASM357664v1:4:35228752:35233296:1 gene:itb04g32820 transcript:itb04g32820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGEASAEAAPENKAAEVNAEGSASHNGGGDENGSEHGKDGNMATKTTPPSPGHSSKPTPIGPVLGRPMSDVRTSYTIGKELGRGQFGVTHLCTDKQTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVQLKGAYEDKHSVHLVMELCAGGELFDRIIARGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFYKQGDVFKDIVGSAYYIAPEVLKRSYGPEVDIWSVGVMLYILLCGVPPFWAESENGIFNAILRGHVDFSSDPWPAISPGAKDLVKKMLNSDPKQRLTALEVLNHPWIKEDGEAPDTPLDNAVLDRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKDMFRTMDTDGSGTITLEELKQGLSKQGTKLSEYEVKQLMEAADADGNGTIDYEEFITATMQMNRMDREEHLYIAFQYFDKDNSGYITIEELEQALREFGMSDGKDIKEIISEVDSDHDGRINYDEFVAMMKKGNPDAAANPKKRRDVSFES >itb04g01380.t4 pep chromosome:ASM357664v1:4:781056:784552:-1 gene:itb04g01380 transcript:itb04g01380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKSPPPLIPSLENRWGDKISKAVLSVYSSLPKKGKPQGREVTVLAAFLISSPHHELEVISLGTGTKCIGRSRRSSKGDVVNDSHAEIIARRALMRYFYAEIKRLSESHTNRRTMLDDDESKGFLFNLNGDGFGRKLLRMKPGWQLHLYISQLPCGDASPSSELAPLKESHSRIDNQLCSSVELNNLPEVSESTARIDGEHSTSIGTVLRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSCFMEPVYISSITVGQSLVSSENSNIEDRMRRAVYDRLLPLSTELMAPYEVNKPLLMVAPTPPMEFQHSETALATLTCGYSICWNKSGLHEVILGTTGRKQGTSAKGALSPSTESSLCKKRLLELFCSLYRNWLDQHTFCETSYRELKDRAQEYTSASKSFKQSPHFKNWFLKPQNFEAFSYPGQ >itb04g01380.t3 pep chromosome:ASM357664v1:4:781086:784534:-1 gene:itb04g01380 transcript:itb04g01380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKSPPPLIPSLENRWGDKISKAVLSVYSSLPKKGKPQGREVTVLAAFLISSPHHELEVISLGTGTKCIGRSRRSSKGDVVNDSHAEIIARRALMRYFYAEIKRLSESHTNRRTMLDDDESKGFLFNLNGDGFGRKLLRMKPGWQLHLYISQLPCGDASPSSELAPLKESHSRIDNQLCSSVELNNLPEVSESTARIDGEHSTSIGTVLRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSCFMEPVYISSITVGQSLVSSENSNIEDRMRRAVYDRLLPLSTELMAPYEVNKPLLMVAPTPPMEFQHSETALATLTCGYSICWNKSGLHEVILGTTGRKQGTSAKGALSPSTESSLCKKRLLELFCSLYRNWLDQHTFCETSYRELKDRAQEYTSASKSFKQSPHFKNWFLKPQNFEAFSYPGQ >itb04g01380.t1 pep chromosome:ASM357664v1:4:781267:784534:-1 gene:itb04g01380 transcript:itb04g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDESKGFLFNLNGDGFGRKLLRMKPGWQLHLYISQLPCGDASPSSELAPLKESHSRIDNQLCSSVELNNLPEVSESTARIDGEHSTSIGTVLRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSCFMEPVYISSITVGQSLVSSENSNIEDRMRRAVYDRLLPLSTELMAPYEVNKPLLMVAPTPPMEFQHSETALATLTCGYSICWNKSGLHEVILGTTGRKQGTSAKGALSPSTESSLCKKRLLELFCSLYRNWLDQHTFCETSYRELKDRAQEYTSASKSFKQSPHFKNWFLKPQNFEAFSYPGQ >itb04g01380.t2 pep chromosome:ASM357664v1:4:781086:784534:-1 gene:itb04g01380 transcript:itb04g01380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDESKGFLFNLNGDGFGRKLLRMKPGWQLHLYISQLPCGDASPSSELAPLKESHSRIDNQLCSSVELNNLPEVSESTARIDGEHSTSIGTVLRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSCFMEPVYISSITVGQSLVSSENSNIEDRMRRAVYDRLLPLSTELMAPYEVNKPLLMVAPTPPMEFQHSETALATLTCGYSICWNKSGLHEVILGTTGRKQGTSAKGALSPSTESSLCKKRLLELFCSLYRNWLDQHTFCETSYRELKDRAQEYTSASKSFKQSPHFKNWFLKPQNFEAFSYPGQ >itb09g10560.t1 pep chromosome:ASM357664v1:9:6540455:6541729:1 gene:itb09g10560 transcript:itb09g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVINNSLVVISPEYCVPHHLQISVKMAGQGYEVKDAANDKILFKVENISTFFHTKLVVFDPAGNSILTLRRKAFTWRTRWEVYRGESEEEKDMIFSARTSSVFQIRTNLDVFLAGNTTSEQMCDYKMKTSFTQWTCDIYVGPSCSTLIAQMQEKVSMWSILFGGEDNLMVTLQPNVDQAFIVALIVILQEIVHPRRGNQSSHHIAAAHHHAAPDHYTAHHHAAHHHHTAHHHHAAHHH >itb03g03730.t1 pep chromosome:ASM357664v1:3:2222879:2226232:-1 gene:itb03g03730 transcript:itb03g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIPETSTATTRRRFSLLPWKGVRLIPLAISISIGLIFRFAIPKPHSVPTKGWQLLALFLTTISGLILTPLPVGGWAFTCLTLSVLTKTLTFSAAFSAFTNEVIWLIVSSFFFSRGFIKTGLGDRLAMLFVRFLGKSTLGLSYGLVLSEAIISPAMPSSTARAGGIFLPIIKSLASSADSHPKDPSSRKLGAYLVQSQLQASSNSSALFLTAAAQNLLCIKLAEGLGVKVSSRWVTWLKASCFPALVALLATPVVVYKIFPPEMKKTPDAPEMARRRMKEMGRMKFEEWIMVGTMLIMVALWIAGDSIGIASVVTAMLGLTLLMLCGVLDWDDCLSEKSAWDTLTWFGVLIGMATQLTALGVIKWMSDVVAGFLKSHSISSFWGFVILQAAYFFIHYLFAGQTAHVAALYSAFLGMHLASKVPGLFAALALAYNTNLNGALTHYSSGQAAVYYGGGFVELRDAFKLGIAMAVINITLWVLIGAGWWKVLGLY >itb03g03730.t2 pep chromosome:ASM357664v1:3:2222879:2226232:-1 gene:itb03g03730 transcript:itb03g03730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIPETSTATTRRRFSLLPWKGVRLIPLAISISIGLIFRFAIPKPHSVPTKGWQLLALFLTTISGLILTPLPVGGWAFTCLTLSVLTKTLTFSAAFSAFTNEVIWLIVSSFFFSRGFIKTGLGDRLAMLFVRFLGKSTLGLSYGLVLSEAIISPAMPSSTARAGGIFLPIIKSLASSADSHPKDPSSRKLGAYLVQSQLQASSNSSALFLTAAAQNLLCIKLAEGLGVKVSSRWVTWLKASCFPALVALLATPVVVYKIFPPEMKKTPDAPEMARRRMKEMGRMKFEEWIMVGTMLIMVALWIAGDSIGIASVVTAMLGLTLLMLCGVLDWDDCLSEKSAWDTLTWFGVLIGMATQLTALGVIKWMSDVVAGFLKSHSISSFWGFVILQAAYFFIHYLFAGQTAHVAALYSAFLGMHLASKVPGLFAALALAYNTNLNGALTHYSSGQAAVYYGGGFVELRDAFKLGIAMAVINITLWVLIGAGWWKVLGLY >itb05g07210.t1 pep chromosome:ASM357664v1:5:8756045:8760182:1 gene:itb05g07210 transcript:itb05g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEFYKSLPPISKAYGTACLAFTVAYALGICNPANIALFHELVFYRFQVWRLITNFFFLGKFSINFGIRLLMIARYGVQLESGPFQRRTADFLWMMIFGALSLLVLSLIPYFWSPFLGISLVFMLLYVWSREFPNANINIYGLVTLKAFYLPWAMLCLDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLATGKILLKTPNWIHKLVARWRIGEPTTTRTEPDRSSSGAFRGRSYRLGR >itb05g07210.t2 pep chromosome:ASM357664v1:5:8756045:8760182:1 gene:itb05g07210 transcript:itb05g07210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEFYKSLPPISKAYGTACLAFTVAYALGICNPANIALFHELVFYRFQVWRLITNFFFLGKFSINFGIRLLMIARYGVQLESGPFQRRTADFLWMMIFGALSLLVLSLIPYFWSPFLGISLVFMLLYVWSREFPNANINIYGLVTLKAFYLPWAMLCLDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLATGKILLKTPNWMYPFSWGLLGWIGHFKSSFAALVVGGIFNSSPLVLFWCLLREAK >itb08g08930.t1 pep chromosome:ASM357664v1:8:8163055:8165462:-1 gene:itb08g08930 transcript:itb08g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIAILLIASIASLSHSLPLSRSSRWIIDEESGERVKLACANWPGHLKPMLPEGLDRRPLSEIASHVAHMGFNCVRITWATYMFTRHADVTVAKSFGDLGLNESIAGLAQHNPDLLSLSLVDAHKAVIEEVGRHGIMMVLDNQVSEPMWCCANNDGNGFFGDKYFDPKEWLQGLATVASLYKDTPMVVGISLRNELRGPLQNESVWYKNVEKGAKTLNRANPNLLVIISGLDYDLDFRFLKQKPLGLNMMRKKMVYETHRYAFSEGQSKMWEAGPLNKVCYNITQEMEDKEGFLLIGKDAAPLFVSEFGIDQREVSEPDNLHLPCILAYLADKDLDWAVWALQGSYYFREGKRGMEETFGMFNGNWTALRNPTFHSKLEFLQTKLQDPGSSEATYYLLYHPLSGKCARVDSGRLHMSDCLGASRWAHGADGMPIHLLGTSTCLAAERDGHPATLSGDCMNEGSTWNLASMLQLANKGDDGVELCLDYDSSYSSSLILTRKCVGLQEGDMRNPQTQWFKLIAANVK >itb15g10690.t1 pep chromosome:ASM357664v1:15:8383045:8394145:1 gene:itb15g10690 transcript:itb15g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKFKLTVPENVDGIALDPEPDWSFDALLLELNSIERKITASPKFPAYTKMQPRDLSTSKSGARTGFVMQVDEIVSNSEDEVSEQSATVGKRFNFDELYTSDSDISKDQSAFEAEHHLMDKVGLVEGALSELTHDHHLSVTEEVRTQILALETDLMGEKQKFASTLAKVVKNAETQQEMDRRLGMQYQRKIAEALDDHLIAVQRDHEHRSQIEERRIRDDAAREEAKKREKALQEEKARLEKVRAEAEMQAKLEAERIEKAKVAELEAQRKAAEEAAEKKASENVKNNTADAPEVVNKVSGQAVELGSDVQNSLQSRGKIVKGAENALNLEEKRLKIYKELAVKNETLGLGSKREYETQKSDMRRRIRTISGVKENISVKADELIKLINYSPCPQSISIAMFAEQVVSWCVSRAGNSNSIPYAYGRVIVLVTSKVPLAMDILIAELNKVCIYTVPKHISYSQSVFKTKEAYYRAIGFQDDGKIETVDSYLNRLCAYMKLYGALVQTEVEGFQNLHGHREGWAWLARFLNAVPANLYTATALQAFLEMAGFVLYRKYKYHFEKLLNIIAKDFMKAIEDLQSSPVTTRLRSYIESKQYLNEPEGWRLKTSLDSSYFVPDG >itb07g11810.t1 pep chromosome:ASM357664v1:7:13300338:13301111:-1 gene:itb07g11810 transcript:itb07g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRWTLGFSTERESSLAPIWIRLPHLSANHFSEAALKQVCKPLGRLLALDEATQKFTRPSYARVKVEVDLLKPRIQEVFIGFSRDTGDEDEGYIQKFVYERVPLYCSKCYKQGHSVEYCRVGVFVGNAGYAGSSGPAEGSQAQRGRKVSRERQNNRTRSQSNGSRQPQGGDRRPQQAQTQKQSQQTFVGGQAKWNQHSSAGDQTRQNQQTYAGNQMRQNQQSFAGNQTKQNDQLNAGKQQGQKKQEKGVSETKKG >itb14g18730.t1 pep chromosome:ASM357664v1:14:21649743:21652772:1 gene:itb14g18730 transcript:itb14g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATPSVVSLTLLLSLIASLISPAASQTCSSQTFANNKLYAHCNDLPTLGAYLHWTYNPPESTLSVAFVAAPAKPEGWISWALNPTGSGMIGAQSLIAFRDSKGGMAVKTYNITSYAIVESEVWFRVKEATAESSGGAMRLFATVVLPEKGKTTFNHVWQVGSSVTGGVPDKHEFKPANLNSKGSIDLLKGESNADTSGDSRIKKRNIHGILNAVSWGILFPVGIIIARYLRTFQSADPAWFYLHVACQICAYAIGVAGWATGLKLGSQSKGVQYTDHRNLGISLFCLATLQMFSLLLRPKKDHKYRFYWNIYHYGIGYSVLVLGIINVFKGLHILDPAKKWKSAYIVVLVVMGGIALLLEAITWIVVLRRKSNKSTKPYDGYDNGEARQAP >itb10g04360.t1 pep chromosome:ASM357664v1:10:4145903:4146713:1 gene:itb10g04360 transcript:itb10g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFLSFAILCIFLLVPLSESGGLTGEKVEQVKCTDPGKAEPPAPTPSLKSWCIPKPSATYQQLVDNMSYACNLVNCSAVQSGGPCYYPNSLINHASFAMNLYYQKAGRNDWNCDFKNSGVIGVSDPSYGDCKFDCF >itb14g20990.t1 pep chromosome:ASM357664v1:14:23210314:23212011:1 gene:itb14g20990 transcript:itb14g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVDSLAAGKPVVIFSKGSCCMCHSIKTLISSFGANPMVYELDELPNGQQLERALTALGQRPSVPAVYIGEELVGGANEVMSLHLKGKLVPLLKKAKAIWKYPKKSITLMKLGTIREEKCTHLKRPEKGKAFLIFGNSLLCNSVNYEEIPIILSNHVPLRRFLILLNSEFRPTNRRRRLKQS >itb07g17180.t1 pep chromosome:ASM357664v1:7:21399123:21400713:1 gene:itb07g17180 transcript:itb07g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMTKIVKHFNTPVHNFLVVSKSLPVSRIFQKHFKFPIRKKLQQQQINPAFCAVMKSKAALRILKKYEKVSNYNGLVNRMFECNTDMQVMMKSSACALELMALQINSKHETKLFDQWLFLADDGPPSLSLTLQDSTVLNVDLTCSICLETVFDPVSLSCNHIYCYMCACSAASVTSIDGLRSANSNTKCPLCRKESVFGSAVRMKELSIFLSKHLPEYWKERRHKERKARIQEAKEYWESKHRAFVGIN >itb11g13720.t1 pep chromosome:ASM357664v1:11:10754783:10755797:-1 gene:itb11g13720 transcript:itb11g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKQSSSDEAKTNLETLIPELPRERGWIVDEDIHLLNGFWYPTSVIHRLLALQQHFKPHPNDVLLASYPKSGTTWLMALLFSIVNRATYNHDDMLHPLLNSHPHELVPSLRTYATNPRAPESLLFHTHFAYSSLPEQIRSSSCRIVYVFRDPKDVFVSFWHFFNKLRPKDSSSISHQEAFNQFSRGASPYGPYWDHVTGYYKASIQFPNKVFFVRYEDLKTETVFHVKRLAEFVGWPFSEEEENEGVVQKITDLCSFDKLSNLEINKNGSFIRKLPSTGRTVSLINNAFFRKGEIGDWKNHLSEEMRKTLDQITEDKFNEIGLTTFACARG >itb05g17520.t1 pep chromosome:ASM357664v1:5:24424399:24427322:1 gene:itb05g17520 transcript:itb05g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLKENIFVFRCMADFEKKHPLLPAFHKVMMHSPKREILLHPIQSLACYLESLPLSLNLSYVLNLTIVGRPITLRLQGLLISASPESSLILTLLVHRSPSRFRLLSVFSSEIYQLGLEYNPEWVAKVNHRLHS >itb13g17090.t1 pep chromosome:ASM357664v1:13:24030592:24032265:1 gene:itb13g17090 transcript:itb13g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSLLSNNIIVPTLACSIFVLLFIKLLLSPKNDAKRKNLPPEASGAWPIIGHLHLLAGGKTPIHQILASMADKHGPIFRIKLGSQPALVVSDSKVAKECFTAKDKELATRPKYLASEIMGYNYSMFAVAPYGEYWREIRKIVMLELLSNRRIEMLRKVRESHVRKAIKRTFDHWSHNKDPTSGAVVVEMRQWFSRLIINLSIAMLFGEEEVGEESQLLKSIRNLFELFGEMLVSDFIPWLRWMDLGGYEKAMRRTAEEIDCAADRWLKEHRTKRNLKSKEEEDFMDAMLSLFDAPSNQTHHPLGFDTDVIIKSTCLNMLVAATDTTSVTLTWALSLVLNDYDVLRRIQDELDTHIGKERCIEESDTSQLIYLQAVVKETLRLHPAVPISLPHEAIEDCTVSGYHIQKGTRVIPNFAKIHRDPQVWADPNEFRPERFLTSHKDVDVKATLIHSFDMKRPSVEPIDMTESTGLTTLKATPLHALLIPRLTSDLYG >itb12g07890.t1 pep chromosome:ASM357664v1:12:6044179:6046794:1 gene:itb12g07890 transcript:itb12g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMISMHSRKLAIAYSSLATFSLNHISLAPLTTKISPLNKPSFYSPRTLASTMAGESESFTEATAVSDDVKFGFERSEMYQSNLAGTVEPPFDRHVFLTYQSHQTWPSRVEDSDSDLLPKLLSAAIKARKNDIIIKTRLTVCEGGEDVNLSDGDVLIFPDMVKYSGVKESEVDGFVEDVLVSGKPWASGEQGSLDGAYVFVCAHNNRDRRCGVCGPPLIEKFKEEIEAKGLKEQVFVAACSHIGGHKYAGNVIIFSEVEGKVAGHWYGYVTPNDVPTLLDQHIGEGKVIERIWRGQMGLHTEKAADKTDEQKVPNGTNVDNKENKPQETRTEESKGSFMSCCQGANGVSCCRDASAEEVESKKAQGGLLKFGKWEQHEILTAVGVVAAVSVVAVAYGFYKRAR >itb04g03640.t1 pep chromosome:ASM357664v1:4:2237988:2242919:-1 gene:itb04g03640 transcript:itb04g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPGFLTDWPWKPLGNFKYVLLAPWVGHSVYSFVTSEERERDYTNFLIFPFLLSRMLHNQLWISYSRHRTAKGKNRIVDKPIEFEQVDRESNWDDQVVLNGLLFYVFNFVMEKGSHLPAWRTDGVLMTVAIHVGPVEFIYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFGEHIAYFLLFAIPVVTTLLTGTASNSSLLGYVTYIDLMNNMGHCNFEFIPKWLFSIFPPLKYLMYTPSYHSLHHTQFRTNYALFMPFYDYIYGTMDKSSDTLHEASLKREEESPDVVHLTHLTTPESVYSIRLGFSTWASVPQTRNWYVWLMWPVTFWTMIINCIYGQTFILDRNILEKIKLQSWVIPRYKVQYLLEWQSQTINSLIEEAIIEAEGKGVKVLSLGLLNQSEELNRNGEFYIQKYPKMKIKLVDGSSLAVAIVANTIPNGTTEVLLRAKLTKVSISIASALAQRGIKVVTSCEDDYEKLKLATNSKGNFVLSRSFTQKVWLVGEGLTKEEQKKATKGTMFIPMSQIPPKKLRRDCSYHHPPAMLAPPSLNNLHACENWLPRKAMSAARVGGIVHALEGWNVHECGEMMLDIDKVWEAALSHGFRPLPLPN >itb12g07160.t1 pep chromosome:ASM357664v1:12:5342728:5345159:1 gene:itb12g07160 transcript:itb12g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETINPCPPPCQFPAIEKCRSDGRENDTVVADMDGTLLVGRSSFPYFALVAFEVGGIFRLLFLLLASPLAGLLYYFISESAGIRVLVFATFAGMRVADIESVARAVLPKFYSGDLHPETWRVFSSCGKRCVLTANPRIMVEAFLKEYLGADMVIGTEISTFKGRATGLVNEPGILVAKNKADALQNAFALSPAPDVGIGDRMTDFPFMKLCKESYMVPPNPKVKPLSIDKLPKPIVFHDGRLVQKPSPLMALLIVLWIPLGFVLACMRIAAGALLPMPLVYYAFWALGVRVTVKGTPPPPPSKSTGQTGVLFVCSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEIISPIKTVRLSRDRVTDANMIKKLLEEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMSNRMSMFHGTTARGWKGMDPFYFFMNPSPAYQVNFLNKLPAHLTCGAGKSSHDVANYIQRMISATLSYECTSFTRKDKYRALAGNDGTVNHKPGLAPNKAMGC >itb15g13980.t1 pep chromosome:ASM357664v1:15:12084146:12084491:1 gene:itb15g13980 transcript:itb15g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDSQVRLKSNELPRLNEWTDDSSYEKWLSNFQAREIAKNVGVIGLGLAKNQGRGVKSVHPEIMLPYNLSPSFNWEA >itb01g06320.t1 pep chromosome:ASM357664v1:1:4511213:4513704:1 gene:itb01g06320 transcript:itb01g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLLCYLLFMLLGAWSSWPAASSRVIADDEQSMRARHELWMARHGKVYSDAGEKDQRFKIFNENLKRIETFNARGDKGYRLGVNKFADLTNDEFRMAHTGGYKRVQTKATSTSSRGKHFRYENVTAVGTVLDWRKKGAVTPVKDQRDCGCCWAFSAVAAVEGLTQIKTGKLVSLSEQELVDCDVAGEDMGCEGGLMENAFGFIIHNKGLTTETNYPYTAQDGVCSAKKAAVAAAAKISGYEKVPADDEKALLQAVASQPVSVAINGAAFDFQFYQEGVFDGDCQPYLNHAVTAIGYGTAGDGSKYWLIKNSWGNSWGESGYMRITRDYAQKEGLCGLARDASYPFL >itb03g08930.t1 pep chromosome:ASM357664v1:3:6786722:6788619:1 gene:itb03g08930 transcript:itb03g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMQSDTTKAESDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGTYRRLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSSHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDINEFQTNLVPYPRIHFMLSSYAPVISSAKAFHEQLSVAEITNTAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNNAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAELQEGEEADEGDDY >itb05g12690.t1 pep chromosome:ASM357664v1:5:19370607:19370912:-1 gene:itb05g12690 transcript:itb05g12690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTLENPSKYQIHSLYRLPIYERLNGHLPSRRRLQSAATSVPHLSLTSRLRSQRIKRRPPLASTVRASPSALVSWLRRCPLHLIALVLCQSQMKKQTDED >itb03g04890.t1 pep chromosome:ASM357664v1:3:3229378:3232569:1 gene:itb03g04890 transcript:itb03g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWWWWFAVVMLVASTSEPALSNPQINQLDMGCSTYNVTDVPLFFSQINASFADLENQLSSGDKRFATTQQPVYTMIQCRDYLSKADCVDCFNAAVSVSRKCKIATGARVIFDGCFLRYESNLFYNKITDEGNHPICGKRTAHNQDAFNTTAGQLLNDLVEATPKINGFFAAAKQEVSGGNATAYAVAQCIQTITPSGCQACLTLAFSNIQGCLGKSAVARAVDIGCFLRYSDKHFFASNQTTNLAPFLGGGGSSEKKKDIIIAGVAGGVGIILVLAAISLLYLQSRKSKAARRGDILVATQLRSPENYRYKDLKAATKSFSEENKLGEGGFGDVYKGTLKNGDVIAVKKLAIVSSTAKADFDTEVRLITNVHHRNLIRLLGCSGKGEELLLVYEYMANTSLDRYIYGDKQGVLNWKQRVDIIFGTARGLAYLHEQFHVCIIHRDIKSSNILLDDAFQPKIADFGLARLLPESKSHLTTKFAGTMGYTAPEYAIHGHLSEKVDIYSFGILILEIISGRRSSDIRVEPVTEYLLEQAWKLYENENYLGMMDKNLDPNECKAEEVKRMLEIALVCTQSPPNLRPNMSEVVIMLSTNLPIFQKPTKQANNDDDE >itb07g05790.t2 pep chromosome:ASM357664v1:7:3997239:4000362:1 gene:itb07g05790 transcript:itb07g05790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVFPFLYIQIALLLPFFSPLNKPGRMNELVAMLIFTIVFLNLGGLARSDAYSHRYKRGDEVPLYGNKVGPFRNPSETYAFFDMPFCPPDDLKKRKESLGEALNGDRLVSAAYKLPFLEDKESQVICKKKLTKEEVIRFKNALSQDYYLQMYYDDLPVWAFFGKVERKEDEGAAEFRYHLYTHYHFGIFYNQDRVIEVNVRTDPYSTVDVTNEKEIDVVYLYSVKWMETNVAFEKRMEKYWQSSRLPHHLAIHWFSITNSSVMILILIGCLATIYLRVLRRDILKYSQDDESNENQEESGWKGLHGDVFRYPQHKSLFAAALGSGTHLLLVAVSILILALVGVFQPYDRGVLLRTLIIIYAITFGISGFTAVSFYRQLEGTNWIRNLLLTGGIFCGPFFLMFCFLNTVAISYGSTAAIPSGAIVVILILWIFLASPSLLFGGILGKSMGFEFRAPCRTTKCPRDIPPLRWYRSVVIQMALAGLLPFLVIYIELYYVFASVWGHRVYTIYNILFIVFILVLTVTAFVSIALTYFQLVAEDHKWWWRSFLCGGSTGLYIFGYAIYYYVQRSDMKGFMQVSFFFGYMACISYGVFLMLGAVGYRASLLFVRHIYNSIKCE >itb07g05790.t1 pep chromosome:ASM357664v1:7:3996386:4000362:1 gene:itb07g05790 transcript:itb07g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELVAMLIFTIVFLNLGGLARSDAYSHRYKRGDEVPLYGNKVGPFRNPSETYAFFDMPFCPPDDLKKRKESLGEALNGDRLVSAAYKLPFLEDKESQVICKKKLTKEEVIRFKNALSQDYYLQMYYDDLPVWAFFGKVERKEDEGAAEFRYHLYTHYHFGIFYNQDRVIEVNVRTDPYSTVDVTNEKEIDVVYLYSVKWMETNVAFEKRMEKYWQSSRLPHHLAIHWFSITNSSVMILILIGCLATIYLRVLRRDILKYSQDDESNENQEESGWKGLHGDVFRYPQHKSLFAAALGSGTHLLLVAVSILILALVGVFQPYDRGVLLRTLIIIYAITFGISGFTAVSFYRQLEGTNWIRNLLLTGGIFCGPFFLMFCFLNTVAISYGSTAAIPSGAIVVILILWIFLASPSLLFGGILGKSMGFEFRAPCRTTKCPRDIPPLRWYRSVVIQMALAGLLPFLVIYIELYYVFASVWGHRVYTIYNILFIVFILVLTVTAFVSIALTYFQLVAEDHKWWWRSFLCGGSTGLYIFGYAIYYYVQRSDMKGFMQVSFFFGYMACISYGVFLMLGAVGYRASLLFVRHIYNSIKCE >itb07g05790.t3 pep chromosome:ASM357664v1:7:3997768:4000362:1 gene:itb07g05790 transcript:itb07g05790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDDLPVWAFFGKVERKEDEGAAEFRYHLYTHYHFGIFYNQDRVIEVNVRTDPYSTVDVTNEKEIDVVYLYSVKWMETNVAFEKRMEKYWQSSRLPHHLAIHWFSITNSSVMILILIGCLATIYLRVLRRDILKYSQDDESNENQEESGWKGLHGDVFRYPQHKSLFAAALGSGTHLLLVAVSILILALVGVFQPYDRGVLLRTLIIIYAITFGISGFTAVSFYRQLEGTNWIRNLLLTGGIFCGPFFLMFCFLNTVAISYGSTAAIPSGAIVVILILWIFLASPSLLFGGILGKSMGFEFRAPCRTTKCPRDIPPLRWYRSVVIQMALAGLLPFLVIYIELYYVFASVWGHRVYTIYNILFIVFILVLTVTAFVSIALTYFQLVAEDHKWWWRSFLCGGSTGLYIFGYAIYYYVQRSDMKGFMQVSFFFGYMACISYGVFLMLGAVGYRASLLFVRHIYNSIKCE >itb14g02390.t1 pep chromosome:ASM357664v1:14:2039109:2040538:-1 gene:itb14g02390 transcript:itb14g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVTTLAVISAVSGVTSALVALVQLADIVETKLQKRKERKSEAEVARVLAEVSSITGGRSIPQFRGSGYESWRKTMKAFLKSCDLWDFVEKGYKAMAFVKHRKKETWAMVIIVLALDDSVGHCMLLNANTSKQLWDGLQNKYQ >itb11g06110.t2 pep chromosome:ASM357664v1:11:3643330:3644346:1 gene:itb11g06110 transcript:itb11g06110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSKDTRYAVDAVVTHDGTKFPCWALPDLSSFKQALGIEAYEKVDVIGIDEAQFFGDLYDFCCGAADTDGKTVIVAGLDGDYLRRSFGSVLDIIPIADTVTKLTARCELCGRKAFFTYRKTEETETELIGGCDMYMPVCREHYVNGNSAMEATKKVLESCKMQGELIAEAASSVAQ >itb11g06110.t1 pep chromosome:ASM357664v1:11:3642938:3644346:1 gene:itb11g06110 transcript:itb11g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGLYNPKSNSPFPTQIHALTFIAITYITQQLHNMSGAFKHRVSVPDPAQRAGMNPQQLLSGGEVHVIIGPMFAGKTTALLRRVKYESNLGREVVMIKSSKDTRYAVDAVVTHDGTKFPCWALPDLSSFKQALGIEAYEKVDVIGIDEAQFFGDLYDFCCGAADTDGKTVIVAGLDGDYLRRSFGSVLDIIPIADTVTKLTARCELCGRKAFFTYRKTEETETELIGGCDMYMPVCREHYVNGNSAMEATKKVLESCKMQGELIAEAASSVAQ >itb10g18350.t1 pep chromosome:ASM357664v1:10:24355686:24361031:1 gene:itb10g18350 transcript:itb10g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWKEFSTADTARKVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRSHCDGETLAVADSKLGNAIKEKLQIDCVHNSTVMELMRGLRNQLTELISGLAVQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIVQAISLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNIQYAKTVKLMGDRTNAAKLDFSEILPEEVEAELKEAAMISMGTEVSDLDLMNIKDLCSQVLSFSEYRGQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDSQDSTMGLENRAKLEARLRNLEGRDLGRSAGSAKGKPKIEVYDKDRKKGPGALITPAKAYNPAADSVLGHTEPTANEDEPEQEKMDAEAVEETPAIEEKKKKKKKKEAAVEEPESEEVGKKEKKKKKKHAAESEEVQNNDENAEAGEKKKKKRKHSEAEEEEPESTKKDKKKKKKKTAE >itb02g18660.t1 pep chromosome:ASM357664v1:2:15113533:15115716:-1 gene:itb02g18660 transcript:itb02g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCSSSSSSTINICNFIYNNTPIPTSPMQYEDEHVLLQHIHTLLLQQEDSFAAAAAAAAESAIILNSNDQYFDNSSSTMNMMKNNNSNNNVVVVGKKKKCSKKDRHSKIHTAHGPRDRRMRLSLDVARKFFDLQDMLGFDKASKTVDWLLLKSRLAIKDLKQQNHCAAAGGGASAASSTSECEAASGVLDQEFEPPMGGDNVAAAGKLPKMGAKEKKGAATKAAGRVRAAFHPLSKESRNKARERARERTKMKMKKNNMLGETPPPQEMGGATHNVKTTNDQALPPTAAEQHNWSLSNIFHSHQNPPATTSHQNQFGEFPYSGKFWESYNDGNL >itb02g18660.t2 pep chromosome:ASM357664v1:2:15113533:15115706:-1 gene:itb02g18660 transcript:itb02g18660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCSSSSSSTINICNFIYNNTPIPTSPMQYEDEHVLLQHIHTLLLQQEDSFAAAAAAAAESAIILNSNDQYFDNSSSTMNMMKNNNSNNNVVVVGKKKKCSKKDRHSKIHTAHGPRDRRMRLSLDVARKFFDLQDMLGFDKASKTVDWLLLKSRLAIKDLKQQNHCAAAGGGASAASSTSECEAASGVLDQEFEPPMGGDNVAAAGKLPKMGAKEKKGAATKAAGRVRAAFHPLSKESRNKARERARERTKMKMKKNNMLGETPPPQEMGGATHNVKTTNDQALPPTAAEQHNWSLSNIFHSHQNPPATTSHQNQFGEFPYSGKFWESYNDGNL >itb04g02420.t1 pep chromosome:ASM357664v1:4:1431646:1435366:-1 gene:itb04g02420 transcript:itb04g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVRRMGMHYLHKLNCENIPKHVRDNAQKRIIDASLSLIRERSKLKGELLRSLGGVVASSCLLGIPLGHNSSFLQGPAFAPPYIREAMWCGSTNSTTEEGKDIKDPRVLTDVGDIPIQDLRDCGVDDDGLFKIIGESVKTVMNEGVMRPLVLGGDHSISYPVVKAVSEKLGGQVDILHLDAHPDLYDMFEGNKYSHASSFARIMEGGYARRLLQVGLRSINYEGREQGKKFGVEQFEMRTFSKDREFLENLKLGEGVKGVYISVDVDVLDPAFACGVSHLEPGGLSFRDVLNIIQNLQGNIVAADVVEYNSQRDTFHGMTAMVAAKLARELAAKMSK >itb04g02420.t2 pep chromosome:ASM357664v1:4:1431646:1435366:-1 gene:itb04g02420 transcript:itb04g02420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVRRMGMHYLHKLNCENIPKHVRDNAQKRIIDASLSLIRERSKLKGELLRSLGGVVASSCLLGIPLGHNSSFLQGPAFAPPYIREAMWCGSTNSTTEEGKDIKDPRVLTDVGDIPIQDLRDCGVDDDGLFKIIGESVKTVMNEGVMRPLVLGGDHSISYPVVKAVSEKLGGQVDILHLDAHPDLYDMFEGNKYSHASSFARIMEGGYARRLLQVISAKKTLRYMHTTSYYVYPKFIYILVAQVGLRSINYEGREQGKKFGVEQFEMRTFSKDREFLENLKLGEGVKGVYISVDVDVLDPAFACGVSHLEPGGLSFRDVLNIIQNLQGNIVAADVVEYNSQRDTFHGMTAMVAAKLARELAAKMSK >itb14g06430.t1 pep chromosome:ASM357664v1:14:5667993:5670584:-1 gene:itb14g06430 transcript:itb14g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGQLNITEFNDFLHPADTTNPKLLLWLCKEEIRERDSDKDGKVNFNEFFHGLFDLVRNYDDEGHNTSHHSDDSGNTPARKLFDELDKDGDGYLSDVELQPIIEKLHPSERYYAKQQADYIRQQDCSTVLKEKSLRICDIYCSGGGVCKQQDAAPDIDYEWW >itb05g24810.t1 pep chromosome:ASM357664v1:5:29488429:29497837:-1 gene:itb05g24810 transcript:itb05g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQNKVPIDVVEIDSSDDEGSRRTNAAGKSSGRDVTTTVKNPRNKPPGFHGAGPVAPPAPNHRQPDSRSFWKAGNYEVASTRAVAIDGELEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEISNGATFVKVDRIYNMRDNSPALLFHDDGGGMDPGRLRKCMSLGYSSKTSNTTIGQYGNGFKTSTMRLGADVIVFTRASQAGQATQSVGLLSYTFLRRTGQDDVIVPMIDFDISSHWAEPIINTSQDDWSTNLKTILEWSPFASKEDLMQQFEDIGSHGTKVIIFNLWLNDEGIYELSFDDDDEDIKLRDEANHGSVSKTFKRVAELQSHISYRMRYSLREYTSILYLRKFKNFSILLRGKPVEQYNIADDLKYSKTISYKPQLIGTSKEVTVETTIGFIKEAPALGVSGFNIYHKNRLIRPYWKVTADGSSKGNGVVGVLEANFIEPAHDKQDFERSTGFYRLEMRLKQMIMEYWKTCCHLVGHRPPGTPSVQREATAQPQVKVVNTQMPLPTAERQPVTSLVDERSIDQISEENIQLFMKCEEYIQKENELKKMIMEMETELEETKKKCAVISSRLETRKKLKAMSEVQNA >itb13g04370.t1 pep chromosome:ASM357664v1:13:4888562:4890153:1 gene:itb13g04370 transcript:itb13g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFVVGFDDSDGACGYKDYGRTVNDGAVCTVYNKLFNNGAGCGSCHNVICTNKALCNSAGTKVVTTDNGGRPPGSDFICSYLAFTKLARPGKESELIKKGVIDVIYEKVPCNYPKNLIIKITDQSSNPWYLGFAVLNQGDVQTVEVYDMGRKAWIAMRRVYDGVFDLSNPPEGALKVRFTIGKVYGGTTLVYSKKWIPENWTAGKTIDTRIHL >itb01g35340.t1 pep chromosome:ASM357664v1:1:37600494:37601357:1 gene:itb01g35340 transcript:itb01g35340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVPFCGSQDWALGSALGIGDGFSSQGLLQHIPEPSHTLKRSPHSFTSELEKQRLEMDRFLQLENQKLRKMVMEEQSRQQSILLQGYESRMSGLLRQRDADLAIARNKTRELQDLLIGAELEAKLWEKKAMENEAIVSELSNRLSQVRERDAALFSNSAPQDAESFCGSTSAGGLNSLACKMCQSRSLMSVVFLPCRHLCCCKSCDAFLELCPVCAALKEDSIEVILG >itb03g19860.t1 pep chromosome:ASM357664v1:3:17826418:17831290:1 gene:itb03g19860 transcript:itb03g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTQSNGNTESHVKIPKIKFTKLFINGEFVDSLSGKTFESIDPRNEEVIARVAEGDKEDVDLAVKAARHAFDNGPWPRFSGTERRRILLKLADLIEENAEEIAVLETLDAGKLFSEGKYINARSAAGTFRYYAGAADKIHGTTLKMSRELQGYTLREPIGVVGLIIPWNFPAQMFSFKVAPALAAGCTVIVKPAEQTPLSALLLAHLAKLAGVPDGVVNVITGFGPTAGAAISSHMDIDKVSFTGSTEVGRLIMQAAATSNLKPVSLELGGKSPFIVFDDVDVDKVSDLALAGVTYNKGEVCAAGSRVFVQEGIYDKFVEKVVAKAKAKVVGDPFDPNVQQGPQADINQYEKILSYIEHGKREGATLLTGGKPLDRKGYYIEPTIFTDVTDDMLIAKDEIFGPVMPILKFKTVEEVIKRANDTKYGLAAGVMTNDWNTANTVSRSIRAGAIWINCYLAFDLDCPFGGYKMSGFDRDMGMEGLYKYLQVKSVATPVYNSPWL >itb01g09530.t1 pep chromosome:ASM357664v1:1:7781456:7788796:1 gene:itb01g09530 transcript:itb01g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVLLFFLVLGWVSGGRAQYWTIHVDQSGSSDFKTIQSAIDSVPSNNSNWVCISIKPAIYMEQVTISYDKQYIYLKGEGNINEEKASIVWGSSGGLRSSPTFTCLADNIKVENLNFVNSYNYPPITKSSYPTIPAVAARVNGDKMAFYNCGFYGMQDTLFDAMGRHYFKHCIIEGAIDFIFGAGQSIFEECRIVVNVGKSLEEGDTGSITAQGRSGANDSNAFVFKNCNVTGNGKAILGRPWRDYSRVIFFNSSLSDIIVPPGWDSWFCGNHVDQLTFAEINCYGPGSNTSNRVAWEAQLSQEMTDYFVNITNFIDVDQWVSNQPL >itb01g09530.t2 pep chromosome:ASM357664v1:1:7781521:7788796:1 gene:itb01g09530 transcript:itb01g09530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVLLFFLVLGWVSGGRAQYWTIHVDQSGSSDFKTIQSAIDSVPSNNSNWVCISIKPAIYMEQVTISYDKQYIYLKGEGNINEEKASIVWGSSGGLRSSPTFTCLADNIKVENLNFVNSYNYPPITKSSYPTIPAVAARVNGDKMAFYNCGFYGMQDTLFDAMGRHYFKHCIIEGAIDFIFGAGQSIFEECRIVVNVGKSLEEGDTGSITAQGRSGANDSNAFVFKNCNVTGNGKAILGRPWRDYSRVIFFNSSLSDIIVPPGWDSWFCGNHVDQLTFAEINCYGPGSNTSNRVAWEAQLSQEMTDYFVNITNFIDVDQWVSNQPL >itb12g02920.t1 pep chromosome:ASM357664v1:12:1908264:1910154:-1 gene:itb12g02920 transcript:itb12g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYDIETSHVLDYSRYPGKDARVEKVKKLADMAEKYSLASHLFWDYGESSRSVLRLQCVSKQWLSIISSPNFRRLHSRRSAAAVTGLLFPPPIYIPSPDEPHFVALPNEKPQHNTIANRLIEVFVVSLTSCNGLLCIGLSDENVLFRECKYYVYNPTTNQRRRIPQLQIEWKSCHSF >itb06g02950.t1 pep chromosome:ASM357664v1:6:5055031:5057040:1 gene:itb06g02950 transcript:itb06g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQMLFGKYEKGRLLGKGTFAKVYYGKEVGTGDGVAIKVLNKDQVKKEGMMEQISREIAVMRLVRHPHVVELREVMATKTKIFFVMEHVKGGELFAKVAKGKLKEESARKYFQQLVSAVDFCHSRGVYHRDLKPENLLLDEHGDLKISDFGLSALQEQLRNDGLLHTQCGTPAYVAPEVLRRKGYDGATADIWSCGVILYVLLAGFLPFQDENVMHMYRKIFKAEYEFPAWFSPETRRMVSKLLMADPGRRATLPAVMRSPWFRKDLKVPKSFLISSEKVEEEEEPRREFEPPALRKSPSSPAFFNAFELISSMSSGFDLSGLFEMKGKASSMFTSRSSARDVVGKIEKMAKGMRFKILRVKPFKVKMQGPDGGRKGPLLVTAEVFKVAPEVTVVEFSKSSGDTLEYNRFCEEEARPALKDIVWAWQGGTSSTNNANGECTK >itb09g16180.t1 pep chromosome:ASM357664v1:9:11433209:11433607:-1 gene:itb09g16180 transcript:itb09g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAQNAVSPRLIEMDGRLAVLYPDLERQGFSIWTLEESMVWKKQYCIHFPTALLEEEIARQQFYNENLFFASNCVAGEIAVLVSWMRSFSILFYNLRSGSWRKFDINNISTSTIGKPVAGMQFILDNVF >itb05g03570.t1 pep chromosome:ASM357664v1:5:3065858:3066928:-1 gene:itb05g03570 transcript:itb05g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MSFATKIPNPLFHQPFLKTVGSHSSASTPERFHFSKPITFQKIPTKLISVVPPASSSQSSSVSLQPVEDLPPKLQEIVKLFQAVEQPKAKYEQLLFYGKNLKPLDAQYKTGENKVQGCVSQVWVRAYLDANKNVIFEADSDSVLTKGLAALLVQGLSGRPVDEIVRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALQLYVEAEKTGSLGHDEDASNTAESSNFGVTNDNGNENLKTGLSSEESGGNDSKAVSSGSVILGSRGMRIRERLERDLMPAELDVEDISYQHAGHAGVRGSDGETHFNVRVVSEKFEGKSLVKRHRLIYDLLQEELQSGLHALSIIAKTPSEVNSR >itb10g03860.t3 pep chromosome:ASM357664v1:10:3629003:3631583:-1 gene:itb10g03860 transcript:itb10g03860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERNISPKFGSSLPVPCVQELAKESPEIIIPTRYVREDLEPLPLAVKQIPVINMANLLKGKSEELKKMDIASKEWGFFQLINHGVSSSLVEKVKTETKDIFHLPMEEKKKFWQKPGDLQGFGSAFVHSEEQKLDWCDLFYIITLPTYLRKPDLFPSLPLPFRETVEMYAEELRNLAMTLIDYLAKALGIEQEHIRGLFNEGLQAMRMNHYPRCPQPDKVIGLYPHSDATGLTIVLQLNETEGLQILSNGTYHSAEHRAVVNSEEERLSIATFFNPRLDAEFGPAPTLITPQNPAKFRTVGVEDYFKGLFAGRLERKAYIEVMRIQMEDSPNS >itb10g03860.t1 pep chromosome:ASM357664v1:10:3629003:3631594:-1 gene:itb10g03860 transcript:itb10g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNISPKFGSSLPVPCVQELAKESPEIIIPTRYVREDLEPLPLAVKQIPVINMANLLKGKSEELKKMDIASKEWGFFQLINHGVSSSLVEKVKTETKDIFHLPMEEKKKFWQKPGDLQGFGSAFVHSEEQKLDWCDLFYIITLPTYLRKPDLFPSLPLPFRETVEMYAEELRNLAMTLIDYLAKALGIEQEHIRGLFNEGLQAMRMNHYPRCPQPDKVIGLYPHSDATGLTIVLQLNETEGLQVKKDGMWIHVKPLPDAFIVNIGDIIEAIQFN >itb10g03860.t2 pep chromosome:ASM357664v1:10:3629003:3631583:-1 gene:itb10g03860 transcript:itb10g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNISPKFGSSLPVPCVQELAKESPEIIIPTRYVREDLEPLPLAVKQIPVINMANLLKGKSEELKKMDIASKEWGFFQLINHGVSSSLVEKVKTETKDIFHLPMEEKKKFWQKPGDLQGFGSAFVHSEEQKLDWCDLFYIITLPTYLRKPDLFPSLPLPFRETVEMYAEELRNLAMTLIDYLAKALGIEQEHIRGLFNEGLQAMRMNHYPRCPQPDKVIGLYPHSDATGLTIVLQLNETEGLQVKKDGMWIHVKPLPDAFIVNIGDIIEILSNGTYHSAEHRAVVNSEEERLSIATFFNPRLDAEFGPAPTLITPQNPAKFRTVGVEDYFKGLFAGRLERKAYIEVMRIQMEDSPNS >itb01g02560.t2 pep chromosome:ASM357664v1:1:1674739:1681418:1 gene:itb01g02560 transcript:itb01g02560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPTAVFMAFGTKGDINPIANLRMHLEAKGVMYIPVSTPPVLSPLQHHGSAEEPFSLHKKELTRKNRQECISIIEDIFGDGSSMHNDLIAINFFALEGWSLAELFNVRCVVAAPYVVPYSAPASFERQFKRELPHLYKYLQEAPVDKVGWKDVIHWMWPLFTEEWGSWRSFDLKLSALPFTDPVTGLPTWHYRPPSPLLLYGFSKEVVECPAYWPSKVRVCGFWFLPLEWQFSCNNCAEVSASAPRELNEKNELCSVHTRLQYFLGTSGQEPPIFISLSSVGSMGFLKDPRAFLRVLGHVMEITSHRFILLSAGYKPLDDAIKMYAQEASSGSEQTPCNEDGFFLFSSRLFSLASSVPYNWLFPRCAAAIHHGGSGSTAAALQAGIPQVICPFMLDQFYWAERMFWLGVAAEPLKREHLVPDTDDDFHVTEAAKMLAKAINHALSPQVKARALQVATALSTEDGVSEAVQNLKEELSYSEY >itb01g02560.t1 pep chromosome:ASM357664v1:1:1674739:1681418:1 gene:itb01g02560 transcript:itb01g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPTAVFMAFGTKGDINPIAAIAVAFACDQQQYRVYFVTHSAHENLRMHLEAKGVMYIPVSTPPVLSPLQHHGSAEEPFSLHKKELTRKNRQECISIIEDIFGDGSSMHNDLIAINFFALEGWSLAELFNVRCVVAAPYVVPYSAPASFERQFKRELPHLYKYLQEAPVDKVGWKDVIHWMWPLFTEEWGSWRSFDLKLSALPFTDPVTGLPTWHYRPPSPLLLYGFSKEVVECPAYWPSKVRVCGFWFLPLEWQFSCNNCAEVSASAPRELNEKNELCSVHTRLQYFLGTSGQEPPIFISLSSVGSMGFLKDPRAFLRVLGHVMEITSHRFILLSAGYKPLDDAIKMYAQEASSGSEQTPCNEDGFFLFSSRLFSLASSVPYNWLFPRCAAAIHHGGSGSTAAALQAGIPQVICPFMLDQFYWAERMFWLGVAAEPLKREHLVPDTDDDFHVTEAAKMLAKAINHALSPQVKARALQVATALSTEDGVSEAVQNLKEELSYSEY >itb02g07230.t1 pep chromosome:ASM357664v1:2:4480740:4483695:1 gene:itb02g07230 transcript:itb02g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLISSLIFLSFSLTAHLSHGADTISANQSLSGDQTIVSSGGGNFELGFFKPGNASRYYIGIWYKKVTTQTVVWVANRETPISDKTSAELKIVDGNLVLLNESKISIWSTNISSSSSNSVSAVLQDTGNLILSDGSSSKSPLWQSVDNPAHTWLPGGKLSYNKITKQKQLLTSWKNSEDPAPGLFSLELDPVEKQYLIRWNRSEQYWTSGAWNGRIFSLVPEMRLNYIYNFTYIDNENQSYFTYSVYDTSIISRFIMDVSGQIKQLTWLESNKEWNLFWSQPRQQCEVYAYCGAFATCNQNSLPFCNCLSGFQHKSDVDWSRNDFSGGCARSTRLQCDSNDTKGGRQDKFLLYPQMRLPEHSQSVNVGSSSDCESFCLGNCSCSAYAYDSNQCLIWNGEIQNLVQLAQNDGSGRTIYIRLAASEFASGKSNKGAVIGAVAGAIAGVLVVVGVVFIVFWRRRQHLIGTAKAMEGSLAAFSYRDLQYATKNFSEKLGGGGFGSVFKGTLPDSTVIAVKKLESISQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYDFMENGSLDSHLFSEDGSKFLDWKLRYQIALGTARGLTYLHEKCRDCIIHCDIKPENILLDSELCPKVADFGLAKLMGREFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMVFELISGSRNAEHSEDGKVKFFPSWAAQTVLEGGDILGLLDPKLDRIADIDEVSKLCRVAFWCIQDDEHQRPSMGQVVQILEGVLEVNQPPIPRSLQVFADNEEHIVFFTESSSSQSSSQTHSKTSNASSQAKSASNSSSQVNSAMASSNN >itb04g25450.t1 pep chromosome:ASM357664v1:4:30062372:30062894:1 gene:itb04g25450 transcript:itb04g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEGARPLHAHRQQYISFITGKANERCQREQRKTITAEDVLWAMSKLGFDNYIEPLTLYLHRYREYDGGERGSLRDYPLLKRTMVDPASYPPFVLPFPVGHHHAYFGFPPPMNGGGYLQRDESNAGTSQSAPAANIDPYPHCKE >itb13g09290.t1 pep chromosome:ASM357664v1:13:12756351:12757094:1 gene:itb13g09290 transcript:itb13g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCENAEIMSDFALLEYIRRHLLDDFDAPVASVTDYNSPDSNCSGGSGWSSSVDETPTAVVKEEPEMGFYDFPAILDFTAVPPPAAVARPAAVEKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETAEDAAVAYDRAAYRMRGARALLNFPHRINSGEPEPIRITSKKRSASVDYSSSSSTSSENVSSKRRKTAAQSPEQSVVQTSTTFHLQ >itb15g20680.t1 pep chromosome:ASM357664v1:15:23359302:23362548:-1 gene:itb15g20680 transcript:itb15g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVQVPYEASVRVMLATLERNLLPDAVIRRLTRLLLASRLRSSYKSSADLQLSDLLHFVHSLKEMPIAIMTEKPKSQHYELPTSFFKLVLGKQFKYSCCFFPDQYSTLEDAEKAMLELYCERSQIKDGHTVLDVGCGWGSLSLYIAEKYSNCKVTGICNSVTQKAHIEEQCRELQLENVDIIVADISTFDMEASYDRIFSIEMFEHMKNYGDLLKKISKWMKPDSFLFVHYFCHKTFAYHFEDINDDDWITRYFFSGGTMPSANLLLYFQDDVSVIDHWLVNGKHYARTSEEWLKRMDENMKSVKPIMESTYGKDSALKWTVYWRTFFIAVAELFGYNNGEEWMVAHFLFKKK >itb07g22890.t1 pep chromosome:ASM357664v1:7:27389967:27391868:-1 gene:itb07g22890 transcript:itb07g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIIVIFLLAMASAGEGYGGGGWTDAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNNGLSCGSCYEMRCVNDKSCLPGGILVTATNFCPPNSALPNNAGGWCNPPLHHFDLSQPVFQHIAHYSAGIVPVVYRRVACKRSGGIRFTINGHSYFNLVLVTNVAGAGDVHALAIKGSKTRWQSMSRNWGQNWESSLYLNGQALSFKVTTGDGRNIVSYNAAPPNWSFGQTFVGGQFR >itb01g16810.t1 pep chromosome:ASM357664v1:1:21305888:21308955:-1 gene:itb01g16810 transcript:itb01g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKQPKHNVGAPKGRNNFISSVSPLKAFFLHLVCGLGLASALWAARNTYSADLVSNPAQTLRLILASESPVVILLYGLFRHDSDRCSYFKALGRGLLSLPVGAVMIAVGAIILGAPVGFQYLGMTLYWSLVMSVFTLATVFGSSWTDWHRIFAQSKPAKKIDYMVFFPAHGAIIGAWFGAWPMPLDWERPWQEWPICVTYGAMAGYLVGMAVSMGFILFHNRLHHIKGE >itb01g00350.t1 pep chromosome:ASM357664v1:1:170781:171308:1 gene:itb01g00350 transcript:itb01g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFFFMAISLFAIGIPYYSFWVEEKGRNNAGFMILYGLTFFFSNFGPNTTTFIVPAELFPARFRTTCHGIFGAVGKLGAMIGSIGFFWASHNKKENGYPKGMGMTASLIMLGGVCIAGMICTYLFTPETKGRSLEDNENFHENPQPAAVSLSRCFKGYAAVQPQSLPNNNAVVA >itb02g23980.t1 pep chromosome:ASM357664v1:2:24313432:24315665:1 gene:itb02g23980 transcript:itb02g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKALLFRTILGIIGNITSGALFISPAPTVYRIMKKKSVEGFHPWPYHAALMNCFMWVFYAMPFVHPHSILVMTINTLGIALELSYLAVFFYFSNAKTRGKMVLLLLIQLLALAGIVAATLMGAHTTQKRSTIVGSLSVVFGIILYASPLSIMRTVIKSKSAEFLPGWLIASGFTNGIIWAAYACIRFDIFVFVSNGVGALLSFIQIILKIIYRNGPKPEQPKPSEV >itb03g09400.t2 pep chromosome:ASM357664v1:3:7223518:7226254:-1 gene:itb03g09400 transcript:itb03g09400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGFRHLLILSFVVAATTFFTPGYSTGPENSRRILHQPLFPVGSEPPAGTDSAPPPPPPPPPPDPVLPSPEQPFFPEVPGGQSPDQNLQPPPLPAQQNESPVSNPIASQPSRPVKKVAIALSVGIVTLGMLSALGFYLYKHRVKRPDESQKLVGDGGGGSQRMNEESRMPPSTFLYIGTVEPSTRTSVSGTNEANGSPYRKLSSVKRSDRYRPSPDLQPLPPLSKPQPPPDITSPPAMSSSDEESRDTAFYTPQGSTVSNDDGYYTPVSRRSHAKAEARGHNNNNHNSSSTPHSKRTSPKSRLSASPSDIKPAIIPSIKQSPAPSQYDRPTMPYIPKRAKFSSPPPPPDMARLQLISGQTQQPSKLPVPPPPPPPPPPPPPPPPSAPRKLAISQKNSPPLAPSEPAKQESLPSPKTPQDSAKTSPSGETERGPSTLERCDSDDIDGSKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSANSVPKETIKKPKSVLPPAEQENRVLDPKKSQNIAILLRALNVTKEEVSEALLDGNPEGLGPELLETLVKMAPTKEEEIKLRDCRSDASKLGSAERFLKTVLDVPFAFKRVEAMLYRANFESEVNYLRKSFQTLEVILVIQSPRFPQSLLKGMHI >itb03g09400.t1 pep chromosome:ASM357664v1:3:7222243:7226254:-1 gene:itb03g09400 transcript:itb03g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGFRHLLILSFVVAATTFFTPGYSTGPENSRRILHQPLFPVGSEPPAGTDSAPPPPPPPPPPDPVLPSPEQPFFPEVPGGQSPDQNLQPPPLPAQQNESPVSNPIASQPSRPVKKVAIALSVGIVTLGMLSALGFYLYKHRVKRPDESQKLVGDGGGGSQRMNEESRMPPSTFLYIGTVEPSTRTSVSGTNEANGSPYRKLSSVKRSDRYRPSPDLQPLPPLSKPQPPPDITSPPAMSSSDEESRDTAFYTPQGSTVSNDDGYYTPVSRRSHAKAEARGHNNNNHNSSSTPHSKRTSPKSRLSASPSDIKPAIIPSIKQSPAPSQYDRPTMPYIPKRAKFSSPPPPPDMARLQLISGQTQQPSKLPVPPPPPPPPPPPPPPPPSAPRKLAISQKNSPPLAPSEPAKQESLPSPKTPQDSAKTSPSGETERGPSTLERCDSDDIDGSKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSANSVPKETIKKPKSVLPPAEQENRVLDPKKSQNIAILLRALNVTKEEVSEALLDGNPEGLGPELLETLVKMAPTKEEEIKLRDCRSDASKLGSAERFLKTVLDVPFAFKRVEAMLYRANFESEVNYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNTGTNRGDARAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGVDSNPANENLPNKPNMKPREEDLKRQELQVVAGLSRELGNVKKAAGMDSDVLHSYVSKLETGLGKVRMVLELEKPGVSGKFFESMKMFLKEAGDEIIRIKAEERKALSVVKEVTEYFHGDAAKEGAHPFRVFMIVRDFLSILDNVCKDVGKMHDRTVVGSARSFRIPANASLPVLSRYNVRHNRSSDDDSMSP >itb15g21580.t2 pep chromosome:ASM357664v1:15:24293021:24304144:-1 gene:itb15g21580 transcript:itb15g21580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLLSHLLILNNTAPCFASSVLRGPRLIPQIRAQSFRCRCSVAVSEPSVSDNPPTSVKKRIVSGVQPTGSIHLGNYLGAIKNWIQLQDKYDTFFFIVDLHAITLPYDAPQLLKATKDTAALYLACGVDPSKASVFVQSHVAAHVELMWLLSAATPIGWLNKMIQFKEKSRKAGGENVGVALLTYPVLMASDILLYQSDLVPVGEDQKQHLELTRELAERVNNLYGGRKWKKLGGRGGPIFKVPEPLIPPTGARIMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDAFSGLEFDNPERPECNNLLSIYQLVSGKTKQEVAEECQNMNWGTFKPLLTDALVAHLHPIQVRYEEIMSDTTYLDEVLAEGARKASDVANVTLNNVYQAMGFLRRQ >itb15g21580.t1 pep chromosome:ASM357664v1:15:24293021:24304144:-1 gene:itb15g21580 transcript:itb15g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLLSHLLILNNTAPCFASSVLRGPRLIPQIRAQSFRCRCSVAVSEPSVSDNPPTSVKKRIVSGVQPTGSIHLGNYLGAIKNWIQLQDKYDTFFFIVDLHAITLPYDAPQLLKATKDTAALYLACGVDPSKASVFVQSHVAAHVELMWLLSAATPIGWLNKMIQFKEKSRKAGGENVGVALLTYPVLMASDILLYQSDLVPVGEDQKQHLELTRELAERVNNLYGGRKWKKLGGRGGPIFKVPEPLIPPTGARIMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDAFSGLEFDNPERPECNNLLSIYQLVSGKTKQEVAEECQNMNWGTFKPLLTDALVAHLHPIQVRYEEIMSDTTYLDEVLAEGARKASDVANVTLNNVYQAMGFLRRQ >itb15g21410.t1 pep chromosome:ASM357664v1:15:24126014:24134116:-1 gene:itb15g21410 transcript:itb15g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGESNSQMKIVKGDFGYVLEDVPHLTDYIPDLPTYPNPLRSNPAYSVVKQYFVDMEDTVPQKVVVHIDSPRGVHFRRAGPRQKVYFNSDDVHACIVTCGGLCPGLNTVIREIVHSLDYMYGVNKVLGIDGGYRGFYAKNTINLTPKLVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQKGAAAIYEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGQGGLLEFVEKRLKESGHMVIVIAEGAGQELLAAETSSSKAESDASGNKLLQDVGMWLSQKIKDYSKQRKFPITLKYIDPTYMIRAIPSNASDNVYCTLLAQSTVHGAMAGFTGFTCGLVNGRHTYIPFNRITERQNKVVITDRMWARLLSSTNQPSFLCPKEVEKVKKEEKLKELLAADNGKTPASKTPALK >itb08g05010.t1 pep chromosome:ASM357664v1:8:4090026:4095126:1 gene:itb08g05010 transcript:itb08g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITSEDLNYLIFRYLHESGFTHTAFALGYEAGIHKSTIDGNLVPPGALVKFVQKGIQYLELEANLNNDDADLDEDFQFLQPLDLITKDVNELQKIIKEKKENLHKGKSRGKDKGNSENDHHEREPVREREKEKKQRDKDRERDREKMEKEKEKEKEKEKEKEKEKGKDKEKPHEDLMMEAKQNNDKISIRHEENETAGEPEPMEICTSLTSLSCEIPSSDIMVLEGHTSEVFACAWSPTGSLLASGSGDSTARIWTIGDGPCSSKMQTEPVNVVLLKHFKGRTNEKSKDVTTLDWNGDGTLLATGSYDGQARIWNRDGELISTLNKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDIKAGEWKQQFEFHSAPTLDVDWRNNTSFATCSTDNMIYVCKVGDNRPVKSFSGHQGEVNAIKWDPSGSLLASCSDDSTAKIWSLKQDTCVQDLREHAKEIYTIRWSPTGPGTSNPNQQLMLASASFDSTIKLWDVEVGRVIHSLNGHRDPVYSVAFSPDGEYLASGSLDKCLIIWSVKEAKIVKTYSGNGGIFEVCWDKEGKKVAACFSNNVVCVLDFRM >itb01g32850.t1 pep chromosome:ASM357664v1:1:36217751:36223186:1 gene:itb01g32850 transcript:itb01g32850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPELYRGHVEYEWRPSPVVALATSADNFQVAAAREDGSLEIWLVSPGSVGWHCQLRIHGNPNSRISSLVWCRPGSRGSPSGRLFSSSIDGSVSEWDLFDLRQKVVLDSIGVSIWQMASQPCTSQQDKPDSIQYENGNASESEDSEDDDNSVELYEEEDRVNEESQIAFACDDGCVRIYSASSLEELTHKKSLPRISGRTLSVTWSPEGNRIFSGSSDGLIRCWDAKLGHEIYRITVGLGGLGSGTELCIWSLLALRCGTLVSADSTGSVQFWDTQHGTLLQAHSYHKGDVNALAASPSHNRVFSAGSDGQVILYKLSTDGNETDEVNISPVVIKKWAYVGYVRAHTHDVRALTIAVPISREDTLPEEKVKRRRGKAKPLDFSYHKWAHIGVPMLISGSDDTKLFAYSAKEFTKFSPHDICPAPQRPSIQLVTNTIFNRTSLLLIQDSYRIDIFSVGVRNGVVSNSGSNPSGGAAKTDVVVRIKCQASRKITCSSISPSGEFLAYSDHVNPRLLQLNRTGTGTHTWKVNKRKLPLELPFAHSMVFSSDSSQLMIAGCDRRIYVVDVGNLEIVHVFTPCRKDYDEELPPTEPPITKMFTSSDGQWLAAINCFGDVYIFNLEIQRQHWCISRLDGSSVTAGGFTPRNSNVLILSTSSNQVYAFDVEAKQLGEWSMRHTFSLPKSYQEFPGEVIGLSFPPSANSSTVIVYSPRSMCAIDFGVPVEVDDADLANSQELLLRKIQGTPLNGKLKRKLKGTELEKSHQHYGRKNFIFGEFRDPVLFLGHLSKSSVLVIDKRWIDVVKTFEAPPVHRHIFGT >itb02g05480.t1 pep chromosome:ASM357664v1:2:3283248:3285851:-1 gene:itb02g05480 transcript:itb02g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGITPEGLLNNIMESISDGVSKHKSGSFFEEEKTSSVSAQFNRLFGRQKPVHHCLGGGKPADVLLWRNKKISASVLVGATLVWMLFEWLDYHLLTLICIALVLGLLVQFLWTHTSGMMSRSPSQVPRLVLPEEVFVNIATTIGAEVNRALISLQDLSCGGSVKQFLIVVGSLFAVSVIGSWCNFLTVLYIGFVAAHTLPVLYERYEDEVDNFAYNAFDQLQHQFRRLDSGVLSKIPKGGKLKGKKFE >itb15g07230.t2 pep chromosome:ASM357664v1:15:4894122:4897634:-1 gene:itb15g07230 transcript:itb15g07230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYWNHLVLLDRPIGNLSCSKAQKRSGYACQANSHCVDSNTGKGGYFCSCDDGYEGNPYLSPGCTDIDECKYPENNTCILQCNNFPGGFNCSCLEGYYGDGKKYGQGCHMNKKSIFSWFKFFLGIGLGIFALAIVATSLCYIIKKTKSANMRLKFFEQNGGFLLKQKITSSDDSEVVKVYSAKELKEATNNYAQDMILGRGGNGIVFKGNLPNMLQVAIKRSKTVDDTQIEQFINEVVILSRINHRHVVKFLGCCLEAEVPLLVYEYISNGTLHHHIHRQAGASDWLSWENRLRIAIEAAGALAYLHSAASMPIIHRDVKSTNILIDENYTAKISDFGASRLVPLDRTHVATLVQGTLGYLDPEYFQTSLLTEKSDVYSFGVVLAELLTERKPVSPNLSEEEDRNLSAFFIRSMNENRLFQILVPRLVKEGTLDQLQRTAELVKRCLQLNGEDRPKMKEVASELESIRMYSKHSWEGRSCFVHEEDEPSDLYAVLNSP >itb15g07230.t1 pep chromosome:ASM357664v1:15:4894122:4897634:-1 gene:itb15g07230 transcript:itb15g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYWNHLVLLDRPIGNLSCSKAQKRSGYACQANSHCVDSNTGKGGYFCSCDDGYEGNPYLSPGCTDIDECKYPENNTCILQCNNFPGGFNCSCLEGYYGDGKKYGQGCHMNKKSIFSWFKFFLGIGLGIFALAIVATSLCYIIKKTKSANMRLKFFEQNGGFLLKQKITSSDDSEVVKVYSAKELKEATNNYAQDMILGRGGNGIVFKGNLPNMLQVAIKRSKTVDDTQIEQFINEVVILSRINHRHVVKFLGCCLEAEVPLLVYEYISNGTLHHHIHRQAGASDWLSWENRLRIAIEAAGALAYLHSAASMPIIHRDVKSTNILIDENYTAKISDFGASRLVPLDRTHVATLVQGTLGYLDPEYFQTSLLTEKSDVYSFGVVLAELLTERKPVSPNLSEEEDRNLSAFFIRSMNENRLFQILVPRLVKEGTLDQLQRTAELVKRCLQLNGEDRPKMKEVASELESIRMYSKHSWEGRSCFVHEEDEPSDLYAVLNSP >itb04g20830.t1 pep chromosome:ASM357664v1:4:25812155:25812403:-1 gene:itb04g20830 transcript:itb04g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWKEELQVYTYPCPFRDLFQITMEELKQGEEIARCPSCSLYITVIYNMKDFVGDKSKKSLDAPKQQAVAVN >itb10g14490.t1 pep chromosome:ASM357664v1:10:20872115:20875762:1 gene:itb10g14490 transcript:itb10g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAMASCGRFSGLCSGVRVIQKQPFSSHGAFRCSFAMDPFRRICILPNRLGFNASTLVPKASSATVEDKSFSETAEIPTPKVILDLDSDPDATVVEVTFGDRLGALLDTMNALKNLGLNVAKANVYLDSSGKHNKFAITKASTGKKIEDPELLEAIRLTIINNMLEYHPESSSQLAMGEAFGVLRPHKKVDVDIATHVHVYNDSPERSLLCVETVDRPGLIVDLVKAIADINVDVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLENSLRYFLRRPTTEEASF >itb05g16460.t2 pep chromosome:ASM357664v1:5:23665869:23667725:-1 gene:itb05g16460 transcript:itb05g16460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLSSFVIGIIGAVITLSAYSQTLMSPTQCIGVGLVVLIFGLLVREGRLLYRFRRWAASKQTVSFGLFDHLTCESYHRQIPNRKGR >itb05g16460.t1 pep chromosome:ASM357664v1:5:23665869:23667725:-1 gene:itb05g16460 transcript:itb05g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLSSFVIGIIGAVITLSAYSQTLMSPTQCIGVGLVVLIFGLLVREVGRLLYRFRRWAASKQTVSFGLFDHLTCESYHRQIPNRKGR >itb14g17980.t1 pep chromosome:ASM357664v1:14:21134314:21136494:-1 gene:itb14g17980 transcript:itb14g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHDNLTVGGLIPEYGKREHRHACERRLFRRVPPTVRPKPAHRTVAQHLKLVAPPHNQTPAAIIIFPPKLRFFAPCHPQKPNATSVHTGDDFVLLRTRDRKKASL >itb04g02040.t1 pep chromosome:ASM357664v1:4:1256839:1260351:-1 gene:itb04g02040 transcript:itb04g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWGIEVKAGKPVSHCFERTRRLRISQATLGIGDSTTRSLVQCNVGNKTPVFLCALLPDKTESCHLDLEFEEEDGVVFSVLGPRSVHLTGYYLSKSSHTYAHSDTESYGEDIANTDTELSNQCSDDDEYDDSFIDDDEPDVFPSSPVSSDEDVVGNRKGNCKRLRKKRQVIVSEDDDTSQESGDEDKYILSASKNKVAPKETSSESGEGSGRLTDELTDRAENGDSGKEEKVDTMDMTNVSNICKDQLVSDKGDHKLEPEYDAESAKRAKPSKKRKNKSEDAKSIEVGISGQENMSVDDKTEQLTKSDMMHEVPIGIEPHADELSENGPKLKKRKKRSEGERLNECKDSSPSDDLKEVKQDAEGTDISKDLPATTGENKLRTNTQSININSGVADGFLSEKKPEKKKKKKTKVEDGGTNIMPEPDMPVNENFVIALDKGPNVEPSLVRTLSNGLTIEDLSMGEADGKVATPGRKVKVYYTGMLRQNGQVFDSNIGKTPYKFRLGDKHIIDGWNIGLEGMRAGGKRRLTVPPSMGYGSQGGGENIPPNSWLVYEIELVGVRR >itb11g03580.t1 pep chromosome:ASM357664v1:11:1912634:1912942:1 gene:itb11g03580 transcript:itb11g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRFRRGDLVEVASAQEGFRGSYFAAIVASVLPRVNKYIVEYETLVTENLSAPLCEVVAAAEVRPRPPRVRRRDGGDFEVGDLVDAYDNEGWWGRVLCVQ >itb09g09970.t1 pep chromosome:ASM357664v1:9:6154473:6155071:1 gene:itb09g09970 transcript:itb09g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMSKRVSFSPEGYHEKAATNLNKTNKVSQESSSSEFSPVRFLKHIGANMARLVSSSDRKRSSRKVSSSASLGRSRSYAERSIDSQRAEAIEDCIEFLNSSSSLHRSSSVSSSCCS >itb06g21300.t1 pep chromosome:ASM357664v1:6:23931404:23932166:1 gene:itb06g21300 transcript:itb06g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAWISWLLLLLLLPSSQNGVFHIQGSSQAEYLYNLIMSRSTQHSEEWPELEDAELAISAFSANIEAQEGSMEADKIDALPGQPAGVKFNQYSGYVTVDRKAGRSLFYYFAESPHNSSTNPLGQDAHLLDLEHWMNLDLSELTAMEKRYLKTITHGTKVCNSISVSIE >itb01g06090.t1 pep chromosome:ASM357664v1:1:4287283:4289763:1 gene:itb01g06090 transcript:itb01g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGIGNIWNHGWKELSGSDNWKDLLEPLDSDLRRYLIHYGAMIEAVGDGFIKDSDSKNVGMPRYARTNLFENTGLVRGNPFKYEVTKYFYATSELAFWKESDWIGYVAVATNEGKFALGRRDIVVVWRGTVRPLEWADDAIFLFVNAPLIFPKNPDPLVHEGWYFMYTTTTDKDDGKSPRDEIREEVERLVELYKAEEVSITVIGHSLGASMATLNAVDLAANPINNNNKDIIPVTAFLYASPKVGDLNFQKAFSNQQNLRALRISDVHDKVPTLPPFGWKDGENMDSKKPYEEVGVGLQIESEKSDYLKPKDLNYHDLMLYMHGIDLYQTSKGKFERIGDFDLAKVNKYQDALNDKYGIPIAWFDIKDRVMVQLPNGNYIVDDHENDKTF >itb04g33660.t1 pep chromosome:ASM357664v1:4:35839872:35841554:-1 gene:itb04g33660 transcript:itb04g33660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRIAKINLVSSHPEVYEPCDDSFALVDALLADRTNLVDHQPAFCMEVGCGSGYVITSLALMLGHEVPEAYYIATDINPHAVMVTRETAAAHGVDVELVNTDIASGMEARLAGLVDVMVVNPPYVPTPEEEVGCEGITSAWAGGENGRTVIDKILPVADELLSERGWLYLLTLAANNPSEICLQMRKKGYASRVILQRSTEEESLHVIKFWRDASSQLEAKEYSLMVRNGQEKVNKPVPLQFSELSSRETSSCNAL >itb15g20430.t1 pep chromosome:ASM357664v1:15:23060419:23064384:1 gene:itb15g20430 transcript:itb15g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISQWPKIKVRTFPNLIKSTLLFLFKSLALILPPCPASSLSISKNFVFSFPPKIAKIHSFLSALYSFSLLSCIRSKSWLLKLRRRRRRTEMDGGQQYNPRTVEEVFRDFKGRRAGLIKALTTDVEDFFQMCDPEKENLCLYGFPSEQWEVALPAEEVPPELPEPALGINFARDGMQEKDWISLVAVHSDAWLLSVAFYFGARFGFDRADRKRLFNMINDLPTIFEVVTGMAKKQLKEKSSVSNHSSNKAKSNSNSKSKYSRAQPKHEDDDGGLEGEEDEQGETLCGACGESYASDEFWICCDICETWFHGKCVKITPARAEHIKQYKCPSCTNTKKARIGP >itb11g01860.t1 pep chromosome:ASM357664v1:11:916247:917570:1 gene:itb11g01860 transcript:itb11g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIIDGSTVRNFVNDDEVFNKAVDERFTTLDLNDDGVLSRSELRKAFESFRLNETHFGVDAATPPEDLPKLYDSIFEKFDLDQSGSIDRDEFKSEMKKIMLAIADGLGSSPIQMVLEESDNNLLKQAADREAAQING >itb04g29430.t1 pep chromosome:ASM357664v1:4:32813137:32815385:-1 gene:itb04g29430 transcript:itb04g29430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSMSLDDLIKKNKRSGGGNSRGRGRGSAPGPARRIPNRSANRSAPYATAKAPDSAWNHDMFATDQPMAFDGHIVGGGRASSIETGTKLYVSNLEYGVSNEDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVYSRRQDALAAVKRYNNVQLDGKPMKIEIVGTNIVTAPTPSTVGSVGNFNGIPRSGQGRGGGFGRLRGGRGRGRGFQAGRGRGRDRGEKVSAEDLDADLEKYHAEAMETN >itb01g01440.t1 pep chromosome:ASM357664v1:1:828947:831683:-1 gene:itb01g01440 transcript:itb01g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAVLRSLRRRDLASSSLSACKTFTANTNSSLASSVTGTRLAGIARQFSSKASGNEAAGIDLSTVNSHSSDKENKVTPSLAAAYQKGLFVGMPAKHREFQRVFSTIASDNLTDDGKKVLRPLSPHLPVYTPQSTSTSSILHRISGAYLAGVAFGFHILYLKLGSVCLSYSDFYQFFYYTSKLSELSLEVAAVAMAYHVINGVRHLVADFSGYLFPKIGRKKLK >itb09g05060.t1 pep chromosome:ASM357664v1:9:2875178:2877055:1 gene:itb09g05060 transcript:itb09g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPLSIPPLPFFPKQHLIKSSNLHSQTLKEPSFHYLSSSPMKKLYRKGTVFPSPPLVSDQLAFLPAAIFTLTAALSQEDKQVLAYLLSCPSGNFSTTHKNSSPSGKGGGGADHPPSFNCFCFNCYRSYWARWDSSPNRQLIDEIIEAYEDGLQSKKEKSKRERRKSNKGSCSNKDELRKSEVVMGLNKDDSGEADEAAALPSHGGGEGEEEGSEKGSVRRLVSFLGEKIWSVWT >itb09g05060.t2 pep chromosome:ASM357664v1:9:2875178:2876568:1 gene:itb09g05060 transcript:itb09g05060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPLSIPPLPFFPKQHLIKSSNLHSQTLKEPSFHYLSSSPMKKLYRKGTVFPSPPLVSDQLAFLPAAIFTLTAALSQEDKQVLAYLLSCPSGNFSTTHKNSSPSGKGGGGADHPPSFNCFCFNCYRSYWARWDSSPNRQLIDEIIEAYEDGLQSKKEKSKRERRKSNKGSCSNKDELRKSEVVMGLNKDDSGEADEAAALPSHGGGEGEEEGSEKGSVRRLVSFLGEKIWSVWT >itb15g23270.t17 pep chromosome:ASM357664v1:15:26008785:26012244:1 gene:itb15g23270 transcript:itb15g23270.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQ >itb15g23270.t6 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t4 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t2 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t18 pep chromosome:ASM357664v1:15:26008785:26012669:1 gene:itb15g23270 transcript:itb15g23270.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQ >itb15g23270.t11 pep chromosome:ASM357664v1:15:26008785:26013632:1 gene:itb15g23270 transcript:itb15g23270.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t9 pep chromosome:ASM357664v1:15:26008785:26013578:1 gene:itb15g23270 transcript:itb15g23270.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t15 pep chromosome:ASM357664v1:15:26008785:26013632:1 gene:itb15g23270 transcript:itb15g23270.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t3 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t10 pep chromosome:ASM357664v1:15:26008785:26012669:1 gene:itb15g23270 transcript:itb15g23270.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQ >itb15g23270.t14 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t8 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t7 pep chromosome:ASM357664v1:15:26008785:26013654:1 gene:itb15g23270 transcript:itb15g23270.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t16 pep chromosome:ASM357664v1:15:26008785:26013632:1 gene:itb15g23270 transcript:itb15g23270.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t12 pep chromosome:ASM357664v1:15:26008785:26013632:1 gene:itb15g23270 transcript:itb15g23270.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKFRTFLLLCSLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t13 pep chromosome:ASM357664v1:15:26008785:26012669:1 gene:itb15g23270 transcript:itb15g23270.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQ >itb15g23270.t5 pep chromosome:ASM357664v1:15:26008785:26013632:1 gene:itb15g23270 transcript:itb15g23270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb15g23270.t1 pep chromosome:ASM357664v1:15:26008785:26013578:1 gene:itb15g23270 transcript:itb15g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILIASPSPASGDLGATSYYGPPYFHTACYGDDISQFPPNMLFAAAGEEIWINGMACGRIYWVKCISSNFPNTACAQGQMIEVVIVDRAQTSVSRPAWSGTTMVLSVPAFTAIAGTPVPWVEIEYKQK >itb08g13390.t1 pep chromosome:ASM357664v1:8:14304953:14306730:-1 gene:itb08g13390 transcript:itb08g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHMFLEQVKKKKKRREEEPAAATAGTSSPTPQQLPPPGTPSIRYNAGHRCLLVAIGRPPRLALAFDLLDEKEREREEEPANAASPPPATAVCSSRLAGHHASPSPSICWTREREIERRRTCNRCLASVGHRCLAFAAATATAVPRRRLVKVARLQFEDTERGLELGRLKCN >itb06g03100.t1 pep chromosome:ASM357664v1:6:5308886:5313896:1 gene:itb06g03100 transcript:itb06g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMHLHATTPYNYFPKACKVSLHFRPLCSQTIYGVCTSIKGCSRSRVLRVSCKSQTIDLVQLSGTKNLGLDGVASEFTCVMKFGGSSVASAQRMREIADLILSFPEEKPVIVLSAMGKTTNNLLLAGEKAINCGVSNVLDIKELVFVKELHLRTVKELGVESSVIARHLDELEQLLKGIAMMKEMTLRTKDYLVSFGECMSTRIFAAYLNKIDVKARQYDAFDIGIITTDDFTNADILEATYSAVAKKLNGDWTSDPSIPIVTGFLGKGWRTCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICPRAKPVSYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKTRDMSEAVLTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSGELIQQTSELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFHVLLINGVNVQMISQGASKVNISLIVNDSEAEQCVEALHSAFFESDLSELVSEKKSQNGSSSDFPN >itb15g04750.t1 pep chromosome:ASM357664v1:15:3054275:3061258:1 gene:itb15g04750 transcript:itb15g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGHNSAREKVGAAGAFRRPSNDAANRKYRRRSPVGESSSDGSPARERSLSPVPPTEACEKNDDSQRRKDDERDLGRDSGRTQHGRSGESHRHSDRQSSRSTHSHRRHDEYTRHSRNEDNDERENLKSYSHHHRNSRGRDYSDHSRQENDHRSRDYPRDVDKYSRDKYGSSGHRSRDKEKESSSLECQKYKEKDSSSDRIGSDNRYTNYGIEDGRSGERDKHKEYRDSRDEKTDHKRNLGEYKSDHSHAYKESRGHRNESTLKKDGSGHRLKEAALPDYMELGGGKYTKEDKKKYDDGERFKERQSREKEKYEDRNLSSSRCQESSAKRSKFYSIDDGSTEHVKDDEKQSSSKQGQVPPGEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTTDQKKKLLWGSKKSTNDTEKVLGLTMDSDGHNSAREKVGAAGAFRRPSNDAANRKYRRRSPVGESSSDGSPARERSLSPVPPTEACEKNDDSQRRKDDERDLGRDSGRTQHGRSGESHRHSDRQSSRSTHSHRRHDEYTRHSRNEDNDERENLKSYSHHHRNSRGRDYSDHSRQENDHRSRDYPRDVDKYSRDKYGSSGHRSRDKEKESSSLECQKYKEKDSSSDRIGSDNRYTNYGIEDGRSGERDKHKEYRDSRDEKTDHKRNLGEYKSDHSHAYKESRGHRNESTLKKDGSGHRLKEAALPDYMELGGGKYTKEDKKKYDDGERFKERQSREKEKYEDRNLSSSRCQESSAKRSKFYSIDDGSTEHVKDDEKQSSSKQGQVPPGEVTLEQGVKDSDIDAAKVAAMKAAELVNRNLIGTGYMTTDQKKKLLWGSKKSTNDTEKSSAHNWDTSTFGDRERQEKFNKLMVISLCFCSTLYIGQLNIILLGAGCSLFSYQSIILIFYIFIHTNTLLESEVALVHMANCRV >itb11g04510.t1 pep chromosome:ASM357664v1:11:2361340:2366386:1 gene:itb11g04510 transcript:itb11g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERLGVCIIAAINTDISTTWRPILKEDGGFIVCSHNYNIISSFRDSSMEFEEEEFFPVSPTGQYFNSSVLSIGVIGVLESEVPIDDSQTIPLINDLFLPINPRFSSIMVIDENGDKQWKKVEVKPKNHIKVAIFPEGKSPSFYDDCFNDYISKVAMEPFPPTRPLWEIHIFKYPTTNAAGNLIFKLHHALGDGFSLMGALLSCLQRADDPSLPLTFPAFKEPKIGYDGEEGFCKSVPRLFSGIFNTISDFGWSLMKSTFLEDDRTPIRSGDDGVEFRSIDVTTVSFSIDRIKQIKVKLEVTINDVICGVIFLGTRLYMEATNQEQKNGNATALVLLNTRNIGGYKSTKEMVQPNMESKWGNQFAFMHVSVPELAHNTNTSNALHFVSKAQEIIHRKRNSSAVFLTGKVLDALRRHQSPEATAKFVHSTLKNSSMTVSNMIGPIEKLALANHPVKGMYFMVVGVPQSLTITMVSYMRNLRVAVGTERGLINPQKYKDCIQCAFDMIFDAALGSGVQENAFSNL >itb11g04510.t2 pep chromosome:ASM357664v1:11:2361340:2366386:1 gene:itb11g04510 transcript:itb11g04510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHERLGVCIIAAINTDISTTWRPILKEDGGFIVCSHNYNIISSFRDSSMEFEEEEFFPVSPTGQYFNSSVLSIGVIGVLESEVPIDDSQTIPLINDLFLPINPRFSSIMVIDENGDKQWKKVEVKPKNHIKVAIFPEGKSPSFYDDCFNDYISKVAMEPFPPTRPLWEIHIFKYPTTNAAGNLIFKLHHALGDGFSLMGALLSCLQRADDPSLPLTFPAFKEPKIGYDGEEGFCKSVPRLFSGIFNTISDFGWSLMKSTFLEDDRTPIRSGDDGVEFRSIDVTTVSFSIDRIKQIKVKLEVTINDVICGVIFLGTRLYMEATNQEQKNGNATALVLLNTRNIGGYKSTKEMVQPNMESKWGNQFAFMHVSVPELAHNTNTSNALHFVSKAQEIIHRKRNSSAVFLTGKVLDALRRHQSPEATAKFVHSTLKNSSMTVSNMIGPIEKLALANHPVKGMYFMVVGVPQVRQHSYTINYN >itb05g00890.t1 pep chromosome:ASM357664v1:5:744301:746546:1 gene:itb05g00890 transcript:itb05g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMEPHSNKSSGSGNGNGAASSNNTSDHHNHHHRPTPPANQEPPPSSLQLVPHISQPDPAGPGSGKAPATQPRDPFMGSISTQNATNNTNSKVVAKKPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVPLRSSGTTISAPATKSGPLFIHGGATAMLGFHHQFSTAGYGHDPDENFMKKRLREDTSGATSPSAAKPDRTGVQGHEPGADSIPGSSQPSSFIPAPAMWAVAPAAGNVGNTFWMLPVSGGGGATTATVGVAAAAAQQEQHQFLHYKGSAGLQRIGGFEFPGSARFSPVQLGSMVLQQPQPVQQLGLGVSETNMGMLASINAYSGGGSRVDLGMNLEQQQQHHHHHQHHQHHQNQPQTSDSGDENPTASQ >itb12g03550.t1 pep chromosome:ASM357664v1:12:2342569:2344338:1 gene:itb12g03550 transcript:itb12g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPVVHAKKERVARSEDPHAEDAVDPLEIYDFVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMATVIGLCLREKLKNCFPPHYKVDIKVAPGSHADEESGEFEHTSECM >itb14g02030.t1 pep chromosome:ASM357664v1:14:1645043:1645572:1 gene:itb14g02030 transcript:itb14g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAVGRIAQGTKILTEGGYEKVFRQTFETVPEEKLLKAYACYLSTSAGPVVLPIHQLKAVNPSASKVNPAEKFIQIISVDNYEFWFMGFANYDNAVKSLQGVLRGDS >itb13g01570.t1 pep chromosome:ASM357664v1:13:1484403:1487389:1 gene:itb13g01570 transcript:itb13g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCVVSSDVVPEGSRLSADWGVHLGGTHGDSVAPVSPVPPHKTLLERLADKTGELARVINEGHDDHGVGGGIGRDANIDHISGSQHGGCSGVNSEQQVHDGGVDGGSDMVVPRVGSTFVCLAPRKANRPARTVLGSVRRSGVHSIASVPSLDLLDDVNFFKWVLKHEFLFPYTGQTVSRNDICSLAPWAEVSVGVIIAGSCILTCREHTNDPSTPTRVFASPFTTLNTTVLFKKIDKLKLTRFSEALVADFALGHYKIWGHVHLLFFPILQQNHLYLLCVDFKFERLEIIDNSASTQPTPVKYGDTLENVTKRMPMTWRDTKNKVDYGMYLMRHMESYVSEAVKVLQNGIVGLLVVTDRNFRGYVCVI >itb03g00050.t1 pep chromosome:ASM357664v1:3:29483:36099:-1 gene:itb03g00050 transcript:itb03g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLGKLKKFALHKNDPKDKKDLQILSHSDGLAQASQDMKDMRNCYDSLLSAAAAAANSAYEFSESLMEMGNCLLEKIALHGDLESRRAFSVLGRAQLELQKLVDGYRSHIILTITNPSESLLSELRKVEEMKLQCDEKREAYEYLITRGKSGKGENFTSQKLQAAREEYDEMARLCIFRVESLKQGQCRSLLTQAARHHAAQLNFFQKGFKFLEAVDPQVKMVADKQHIDYLVSGLDDADDNARKRYDANDEGELSFDYRKHKQDLNDACSLRNSAELDHAAPSTQTSGMEDIEINIGKNPDHIFSRQPRVTSHSAPIFPEKMDITDRIKEAQASAQKFHTYVLPTPTDTKSLTARTSGSFLHSSVTNLTASTNTLWHSSPLDTDDYGKFTNSNLSIRGVSSKAQSIFKDSNTNNLSMPLPPPSIEGASLPQCETQNAFDVKKTKRHSFSGPLASKSSSSKPLLSASGPIGSAEKVQPESGSIYGVPMVQPPSSIDVSHSASPPLVSSPKISELHELPRPPGSLASKPGSTALIGHSVPLVDRNQEVSPSNRSPLQVSNSGSALPPPPLTVPRSFSIPSSNQRAMALHVSKLLESPQMKDNAEIVSSPPLSPLSLSNVSTLSGVASSSGQIRVDAGGR >itb01g22970.t2 pep chromosome:ASM357664v1:1:29082160:29087121:1 gene:itb01g22970 transcript:itb01g22970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGDNQSSTGFFSSKTPILGLPLYVVIAATVAIMVTVFFLIFLFLRLNRPSRRRRVLVEQGSRLLPLVKKEISEIGTRDRGQIGRTDAFADKVKETKGLRVDAQKVSAIESEEIKGSSGSGSSTSRSESSSALSSSTDGSNIGWGRWYSLRELEMATNRFSTDNVIGEGGYGVVYRGVLPDGSVVAVKSLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLLGYCAEGTQRLLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGIARGLAYLHEGLEPKVVHRDVKSSNILLDRKWNAKVSDFGLAKLLGPEKSYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEIVTGRSPVDYSRPPGKMNLIDWFKGMVANRQAEELVDPLIEVHPPPRALKRVLLVCLRCIDMDANKRPKMGQIVTMLEADEFPFRSEHRSSRETAPLHPRAPGVIKETS >itb01g22970.t1 pep chromosome:ASM357664v1:1:29082160:29087121:1 gene:itb01g22970 transcript:itb01g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGDNQSSTGFFSSKTPILGLPLYVVIAATVAIMVTVFFLIFLFLRLNRPSRRRRVLVEQGSRLLPLVKKEISEIGTRDRGQIGRTDAFADKVKETKGLRVDAQKVSAIESEEIKGSSGSGSSTSRSESSSALSSSTDGSNIGWGRWYSLRELEMATNRFSTDNVIGEGGYGVVYRGVLPDGSVVAVKSLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLLGYCAEGTQRLLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGIARGLAYLHEGLEPKVVHRDVKSSNILLDRKWNAKVSDFGLAKLLGPEKSYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEIVTGRSPVDYSRPPGKMNLIDWFKGMVANRQAEELVDPLIEVHPPPRALKRVLLVCLRCIDMDANKRPKMGQIVTMLEADEFPFRSTVHGSHCRKALETAAVARERRREEATPVKLGKKGLPQKCEAVAIPSLIEDY >itb14g15770.t1 pep chromosome:ASM357664v1:14:19115557:19116770:1 gene:itb14g15770 transcript:itb14g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHNGNISLDDVIEIAKVMQPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGEVEVPLD >itb09g10910.t2 pep chromosome:ASM357664v1:9:6814768:6818639:-1 gene:itb09g10910 transcript:itb09g10910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRNSTIGGGKNFPLPSQHRRGFSLNGASNKESFDDPLDLFSKGRRSISAASSDESDVSVKLGRLSLGSAMVGKNGLEDLFSSSDEGKHDYDWLLTPPGTPLVPSSNGNESQPAQVAPTPRGRPLGRSVSAAKASRLSVSQSENSHPVRPTRSNSVTRQSISSSQYNTYSNKSSNILNTSSSSVSSYIRPSTPTNHSSSSARSSTPTNHSSSSARSSTRSSSSARPSTPTSHPTLSRPSTPSRTQPSISTASRPSQNSRPSTPSSRPQISAPLSSPAPRSISRPSTPTRRNSMPSISPASSVRSVSNGRTVASRPSSPGRAVASVSRPSSPGRTAGPVSRPSSPGPPVRRTPQPIVPPDFSLETPSNLRTSLPDRPLSAGRSRPGAPASVKGGMETPNGGNLQRRHSSPIVSRGRLTEPSGRGQVLANGHVTDASDSGRASRTSQLPLRKPVRTHTDSAGFGGTISKKSLDMAIRHMDIRNGANGVRPVSGSTLFPHSIRSNGAKSQQNHGYGSSSPASLSGSMNYSNSGCILEKGNYFSRSASEEDRYQRPSARVTDVDIYESSRYDMLLLKEDAKNTSWLHSIDDKSDAMFENGFEQLPEPFDPLI >itb09g10910.t1 pep chromosome:ASM357664v1:9:6814768:6818589:-1 gene:itb09g10910 transcript:itb09g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRNSTIGGGKNFPLPSQHRRGFSLNGASNKESFDDPLDLFSKGRRSISAASSDESDVSVKLGRLSLGSAMVGKNGLEDLFSSSDEGKHDYDWLLTPPGTPLVPSSNGNESQPAQVAPTPRGRPLGRSVSAAKASRLSVSQSENSHPVRPTRSNSVTRQSISSSQYNTYSNKSSNILNTSSSSVSSYIRPSTPTNHSSSSARSSTPTNHSSSSARSSTRSSSSARPSTPTSHPTLSRPSTPSRTQPSISTASRPSQNSRPSTPSSRPQISAPLSSPAPRSISRPSTPTRRNSMPSISPASSVRSVSNGRTVASRPSSPGRAVASVSRPSSPGRTAGPVSRPSSPGPPVRRTPQPIVPPDFSLETPSNLRTSLPDRPLSAGRSRPGAPASVKGGMETPNGGNLQRRHSSPIVSRGRLTEPSGRGQVLANGHVTDASDSGRASRTSQLPLRKPVRTHTDSAGFGGTISKKSLDMAIRHMDIRNGANGVRPVSGSTLFPHSIRSNGAKSQQNHGYGSSSPASLSGSMNYSNSGCILEKGNYFSRSASEEDRYQRPSARVTDVDIYESSRYDMLLLKEDAKNTSWLHSIDDKSDAMFENGFEQLPEPFDPLI >itb09g10910.t3 pep chromosome:ASM357664v1:9:6814768:6817677:-1 gene:itb09g10910 transcript:itb09g10910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDCFTRTVSVKLGRLSLGSAMVGKNGLEDLFSSSDEGKHDYDWLLTPPGTPLVPSSNGNESQPAQVAPTPRGRPLGRSVSAAKASRLSVSQSENSHPVRPTRSNSVTRQSISSSQYNTYSNKSSNILNTSSSSVSSYIRPSTPTNHSSSSARSSTPTNHSSSSARSSTRSSSSARPSTPTSHPTLSRPSTPSRTQPSISTASRPSQNSRPSTPSSRPQISAPLSSPAPRSISRPSTPTRRNSMPSISPASSVRSVSNGRTVASRPSSPGRAVASVSRPSSPGRTAGPVSRPSSPGPPVRRTPQPIVPPDFSLETPSNLRTSLPDRPLSAGRSRPGAPASVKGGMETPNGGNLQRRHSSPIVSRGRLTEPSGRGQVLANGHVTDASDSGRASRTSQLPLRKPVRTHTDSAGFGGTISKKSLDMAIRHMDIRNGANGVRPVSGSTLFPHSIRSNGAKSQQNHGYGSSSPASLSGSMNYSNSGCILEKGNYFSRSASEEDRYQRPSARVTDVDIYESSRYDMLLLKEDAKNTSWLHSIDDKSDAMFENGFEQLPEPFDPLI >itb12g08360.t1 pep chromosome:ASM357664v1:12:6562178:6568353:1 gene:itb12g08360 transcript:itb12g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVINADAEITEHLLEDNKVEAEVDAIPDWKEQITVRGLVVSAVLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTAFLSKLGFSVQPFTRQENTVIQTCVVACYGLAFSGGFGSYLLSMDEKTYQLIGPDYPGNRAEDVKNPGLLWMMGFIFVVSFLGLFSLVPLRKVMVMDYKLTYPSGTATAMLINSFHTTSGAELARKQVSCLGKYLSISFCWSCFKWFFSGIGDSCGFDNFPTLGLKLFENTFYFDFSPTYIGCGLICPHIVNCSVLLGAIISWGFLWPFISQRAGDWYPADLESNDFKGLYGYKVFIAISLILGDGLYNLIKIIAITVREVCRSSSNQKNLPITLELLDEDTSQLHIEQKKRDEVFMKDRIPLWFAASGYVGLAAISTATIPIIFPPLKWYLVLCAYIFAPALAFCNSYGTGLTDWSLASTYGKIGLFIMASLVGSNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTYSSAKSMFVSQLVGTAMGCVLAPLTFFMFWNAFDIGSPDSPYKAPYAVIYREMAILGIEGFSELPKHCLAICCGFFIAALAINLLRDLTPSKVSQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERINRKDSEDFAGAVASGLICGDGIWTIPSAILSIFKIDPPICMYFGPSVST >itb06g21990.t1 pep chromosome:ASM357664v1:6:24448428:24449662:-1 gene:itb06g21990 transcript:itb06g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEALNSPTAASAPALQYLDQSWVKGKRTKRPRGVAEYQPTEEEYLALCLIMLARGGAGGGARVEEGLPTAAPAPAGQVSEQKLLYKCSVCDKAFGSFQALGGHKASHRKLAAPGGAGAGAGGGDDRSTTSTTTGNSSAGGRVHECSICHKCFPTGQALGGHKRRHYEGGNVRIAAARSNSVGVASSDCVGSTNSSHRDFDLNLPALPEFSPVFGGRFAEDEVESPHPAKKARLSIPTTKLQLF >itb02g16740.t1 pep chromosome:ASM357664v1:2:12699842:12702265:1 gene:itb02g16740 transcript:itb02g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIPFILMFLPSVLAEVKEAQFTPADNYLIDCGSPYTTLLDDGRTFKSDPQSVSYLSTDETILASTNSIPKSPSLPLYLTARIFHRESMYRFLVFRPGWHWVRLYFYPVSHPSYNLTKAVFSLTADDIVLLHEFSVKGNRGGEMAVFKEYLVNISSTKFTLKFSPLKDSVAFINALEVVSAPDDLVPDSAAAVSPVGDFDGLPEHAFEVSYRVNVGGPILSPKNDTLGRTWLPDSQFMTFPQGAKSVSVSPKTIKYPEGGATTLVAPNWVYATADQMVDAGVLEPNFNLTWEMDVDPGFSYLIRLHFCDIVSKSLNELYFNVYFNGILGASNLDLSTLTSGLATPYYKDFVLNATAIWNSTIIVQVGPASNLQSSPPNAILNGLEVLKMSNSDGSLDGVFSSAVEYGGLIPRSNGMRIAASVGLAMGLTAIVLLVFGILRWQRRPKGWEKQKTFSSWLPLNASYCSFMSNYSSTVFSSGLNLGRVFTFNEIKHATKNFDEKAVIGVGGFGKVYLGELEDGTELAIKRGNPSSSQGINEFQTEIQMLSKLRHRHLVSLIGYCDEQAEMILVYEYMSNGPLRDHIYGSDTSPLSWRQRLEICIGAARGLHYLHTGSTQGIIHRDVKTTNILLDDNLVAKMADFGLSKAGPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCGRAALDPALPREQVNLAEWALQQHRKGLIEKIIDAHLAGTISAESLRIFVQVAEKCLAEYGVDRPSMGDVLWNLEHALQLQDSSSSQEKEEKMIGISEDSGVVVASPIFNGR >itb03g02970.t1 pep chromosome:ASM357664v1:3:1669125:1673459:-1 gene:itb03g02970 transcript:itb03g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISLCAALILDKKSRKRDGSNHSSEEVKRNPSILRKVIEHKLREALEEASEDGSLVKSQGMDSEAMENQDEGLGRSRSLARLHAQKEFLKATALAAERIFESEDSIPELIESFSKFLTMYPKYQSSERIDQLRSDEYSHLSGSGPKVCLDYCGFGLFSFLQTVHYWDSSTFSLSEITANLSNHALYGGADKGTVEHDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVNWMGQAAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMSSLQNQSGHAGSGIVKITPVYPLYLSDSIDGFPGLAEDGEAGGDSEVIKESRPGSQLPAFSGAFTSAQVRDVFETEMEHDNSSDRDGTSTIFEETESVSVGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDSAGHPNKQKMASPVPPFWFAGRRNNKRLSPKPSKILSSPMYDKEVNPGHREDHMLSFDAAVRSVSQELDHFQDIPEEEQFTEGNLGSREYRTPNNRLVREIEEVETRKPDQMNSAVKGYGLNKASTSEHFQNGSTSEICREIKDSAIRRETEGEFRLLGRREGNKFSGGRFFGIEEIDQPGSRGRRVSFSMEDNHKVRLGHTVEPGELSATSLDDDEYISDGEYDGQESDRREPEIICKHLDHINMLGLNKTTFRLRYLVNWLVTSLLQLRLPGSNGEDSVSLVHIYGPKIKYERGAAVAFNVRNSNWGLIRPEVVQKLAEMHGIYLGIGILSHIRILDSSKQQRGASNLEDTALCKPMDNGRHDSKSGFIRVEVLTASLGFLSNFEDVYQLWAFVAKFLNPDFIKQTLLPTVAEEADA >itb05g09890.t1 pep chromosome:ASM357664v1:5:14543923:14545220:-1 gene:itb05g09890 transcript:itb05g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKLMNIHQPAAPVAVPPPTAAPATVSSPAIWRLSRLIAEDEIDDLLGKSRPDVVLFPEIATQIRNTPEFLTRRCGDTDRTTVSNREEILAENYRLVKFSATDWSNLVAKEERLTLVGRFAKGRPHLENIRAKLRSILRIEGELQIGSLDRRSILLRFSSEEDRSQSWTRGQVIVEGCRLWLSRWQPEWSADNDLPHTLVWIELPCLPSHLFKFEIIAHICSPIGRAATSRRTRPNVARVKVEIDVSKPVIDGVWIEFGIENGRGRQEEGVRTRPVGLRVVREGEAVEVREEKGGDEKFVDCGDDRRPAEHGGEGEREAARRGQGRMEALDCEFGPGQSGGPAEGGPSEAHLAQIAKEIFKLLLWAILRG >itb08g10870.t1 pep chromosome:ASM357664v1:8:10546360:10546821:1 gene:itb08g10870 transcript:itb08g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHCDAPAVKGERRSCLKTLEDIIEFSRESLGRKHLVALASENTKGLGNQVVMVRNFTKPYKTEKIVACHELFFPFSTYYCHKLSLVEVYAVDVINPKKRGGIDKLFAICHMDTSPWTPTHVAFKLLKTSPGKGEVCHWIDEMDLLWVGYD >itb04g11620.t1 pep chromosome:ASM357664v1:4:11309724:11313180:-1 gene:itb04g11620 transcript:itb04g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAKAMQKPIFALFFFTLLASSNGRPSSSIGINYGRLGNNLPSAYETIEALKAMRATQLKIYDSDHEILKLLSGTYIRVTIMVRNEDIPSLASNNQTAARRWVHDNVLEFLPGTMIRGVLVGNEVLSDSSAQSLWLQVVPAMKNIKKALNLHNIHNIKVGTPVAMDIVETTFPPSSGRFRADIPRDEVLLPLLNFLNRTRSFFFLDVYPYFSWAENPSNVSLDFALFRGGNDTVVVDSETGLVYTNLLDQMLDSVVFAMQYLGFSDMRIAISETGWPNTGDLDQPGASVYNAAAYNRNLVTKMMQDPPLGTPARPGVPIPTFLFALYDENRKVGPGTERHWGILRPSGRPAYELNLTGAVPETEYPPLPEPDNNRPYEGNLWCVVATGIRVLDLGPALDFACAAGNGTCDDLAPGGDCYEPVSILAHANYAFSSFWAKYRSTGASCYFNGLATMTTVDPSHGACKVPSVYV >itb14g11590.t1 pep chromosome:ASM357664v1:14:13223630:13225597:-1 gene:itb14g11590 transcript:itb14g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKQQSSSREIVSIVGMGGIGKTTLARKIYGEDYEVYEDVIVNFWVSEGFLKVLRSERLEDLARKSLQDLVDRNLVLICEQRNIICGLSKAYQMHDVLRELALREAQKENLLYSKKGNGVALRWKDVDLIGTLSKLEELKLRAWSVHGRRWEPRDGGFHGLKFLAMTSCDLQCWEVTSGHFPVLEYLAFMYMGYNGVKEN >itb01g12330.t1 pep chromosome:ASM357664v1:1:11830839:11834408:-1 gene:itb01g12330 transcript:itb01g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTTDGAQPLRPPPSSYATTNLLDVAVVRTPPQLPSTQLRFYTADSTATTAAGVINISDIFLSFLPPLGHRCTDEEEEEVSTGFADKSKRREAPIVTPAIPITVQSSPAKDQIGNAKPSDQQRSPGGKLSSTFFILSLDCGKYLDTFISGGVVRLSLKLVTDEINEFNVETKEKVELLRIDTTVANLNSSYRLAISAVEVACDSESVSFVSGSSGQECGSMSHFRGHHSSVYFVTDNEKVVVEYENCKLLLVDKEIANARVFTIDKENAQHEIAFRLLCFNNSVDKFVSIEFVDVLYCGTPMCMDGEASYSKSISSGSALSGQECLEEKAARLPPIEEVRTVLGYSLRGVLSTFSQKHEGYPSGSMVDFACDAYGSPILATSSLAVHSKDPLANPKCSLLVANDPEDRTDLVIIVTGAVDPGEVLALRVKDTLNAVKEDIDCWRWMQQISESVAIVLGMQHAKPKSSFVLIGLITEKESSNSLFDSANNSLCESTTWSFVPKKACVHESQSNMIKYINYRAQLEVIMDFDHIPMSHGVSLTPSPEQNAEAAVHSPPHRHIDSNSKLSSKYQPRTPQQSPTNHHRELTASVLAKAGERERSSSFPTAPTSTASLLTAAVHRHRHLRRRSRSDEDESSSGVGSVLRRRKNGGELRRTVATIFKHRLHSPLQLIVGAIFSPSAKSRTRMDKWGR >itb08g07990.t1 pep chromosome:ASM357664v1:8:6876714:6878849:-1 gene:itb08g07990 transcript:itb08g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESEVPKLNQRILSSLSKRSIAAHPWHDLEIGSDAPSIVNVVIEITKGSKVKYELDKKTGLIMVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDISQLPPHRLAEIRRFFEDYKKNENKMVAVNEFLPPDTAVKAIQYSMDLYAEYILQTLNK >itb08g03380.t2 pep chromosome:ASM357664v1:8:2781677:2787201:-1 gene:itb08g03380 transcript:itb08g03380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKASVEKAAVAILDSAAATTDSHGSQGDQGKQDNYKKPKYVQISAESYSHLTGLEDQVKSYEEQVKTLDEEVKELNEKLSAAEAEMTNKENLVKQHAKVAEEAVSGWEKAESEAAALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHDVVLNKTKQFDKMKHELEAKIANLDQELLRSAAENSALSRSLQERSNMVIKLSEEKSKAEGEIELLKSNIESCQKEINSLKYELHIAAKELEIRNEEKNMSVRSAEVANKQHLEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVEHLGRDYGETRLRKSPGKPSSPHLSTMPDFPFDSMQKYQKENELLTERLLGMEEETKMLKEALATRNSELQASRSTCAQTISKLQSLEAQLQVNGDQKSPPKSNIHIPKVGSFNQIASSPSFTSVSEDGNDDNISCTGSWATAVISGPTHPKKEMNFDSPHKSENANHLDLMDDFLEMEKLACLPPDINGRLSNSDNLKNTGYEIERTDAPSEVKLGLTPNHQEVCDPSTLVKLQSKISAVFESNAEKADLQKVLDDIRDILQEMQDHIKSQSATCAVEALHPSDASSEHQPCLEDPDATTEKEISVSQDSNLSTVVVHTINQELMDAMSQIHDFVMFLGKEAKAVQSTSLDGDELYLKLEEFASTYAEVINCRISVETFVLDLSHVLNKASELQFNILGYKNSENEISSSDCIDKVALPENKDSQHLGEAYSNGCAQFSDSVSDPDIPHDGSLVPTSESTAASWKCSLEEFEQLKLEKENMVADLARCTENLESTKLQMSETEQLLAEVKSQLLSAQKSNSLAETQLKCMAESYKSLETRAEELQTEVNLLQARIETLDIELQEERKSHNEALVRCKDLHEQLQRTETLAAENDAKKSQVSKSCT >itb08g03380.t1 pep chromosome:ASM357664v1:8:2780605:2787226:-1 gene:itb08g03380 transcript:itb08g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKASVEKAAVAILDSAAATTDSHGSQGDQGKQDNYKKPKYVQISAESYSHLTGLEDQVKSYEEQVKTLDEEVKELNEKLSAAEAEMTNKENLVKQHAKVAEEAVSGWEKAESEAAALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHDVVLNKTKQFDKMKHELEAKIANLDQELLRSAAENSALSRSLQERSNMVIKLSEEKSKAEGEIELLKSNIESCQKEINSLKYELHIAAKELEIRNEEKNMSVRSAEVANKQHLEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVEHLGRDYGETRLRKSPGKPSSPHLSTMPDFPFDSMQKYQKENELLTERLLGMEEETKMLKEALATRNSELQASRSTCAQTISKLQSLEAQLQVNGDQKSPPKSNIHIPKVGSFNQIASSPSFTSVSEDGNDDNISCTGSWATAVISGPTHPKKEMNFDSPHKSENANHLDLMDDFLEMEKLACLPPDINGRLSNSDNLKNTGYEIERTDAPSEVKLGLTPNHQEVCDPSTLVKLQSKISAVFESNAEKADLQKVLDDIRDILQEMQDHIKSQSATCAVEALHPSDASSEHQPCLEDPDATTEKEISVSQDSNLSTVVVHTINQELMDAMSQIHDFVMFLGKEAKAVQSTSLDGDELYLKLEEFASTYAEVINCRISVETFVLDLSHVLNKASELQFNILGYKNSENEISSSDCIDKVALPENKDSQHLGEAYSNGCAQFSDSVSDPDIPHDGSLVPTSESTAASWKCSLEEFEQLKLEKENMVADLARCTENLESTKLQMSETEQLLAEVKSQLLSAQKSNSLAETQLKCMAESYKSLETRAEELQTEVNLLQARIETLDIELQEERKSHNEALVRCKDLHEQLQRTETLAAENDAKKSQEKELEAAAEKLAECQETIFLLGKQLMALRPQADSLASPQTERSQKGESLNEEPLTIAMTLNDANLTDVSSGSPANPHKENFESPVDLYNAASDSESDNPLRLPVASNHPNHCSTKSGSSSSSGPTPEKQSRGFTRFFSSKGKNTN >itb15g06680.t1 pep chromosome:ASM357664v1:15:4462326:4463052:-1 gene:itb15g06680 transcript:itb15g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTEIETVDPPFSSEITETPADDDGDKAAIVRALYAALAAGDSGKVGGIVAGDLEWWFHGPQKCHHMMNMLTGKSTAFRFEPRSVDVIEDRVIVEGWEGAQAYWVHVWTIQHGLITQFREYFNTWLTVTELRRVGRLSSSTVWWSHPRDLAKRSLPGLMLTI >itb14g13060.t2 pep chromosome:ASM357664v1:14:14981121:14986426:1 gene:itb14g13060 transcript:itb14g13060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPGGSPRAKNENRKKIKDKKNKPNPFAIDYGSYQGNDGPEKLVVLKDPTGDSIHSKYDLGRELGRGEFGVTYLCTDADSGEKFACKSISKKKLRTAVDIDDVRREVEIMKHLPKHPNIVSLKDTYEDDSAVHIVMELCEGGELFDRIVAKGHYTERAAAVVMKTIVEVVQMCHRHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGQEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKISDNAKDLVKKMLNPDADQRLTAQQVLEHPWLQNIKKAPNVSLGETVKARLKQFSVMNKFKKRALTVIAEFLSVEEAAGIKEAFDMIDTDKKGKINLGELRVGLQKLGHHIPDADLQILMDAADVDGDGSLNYGEFVAVSVHLRRMANDEHLHKAFTFFDQNQNGFIEVDELRSALSDEDDGNNEAVISAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLRLMRDGSLQATNEGR >itb14g13060.t4 pep chromosome:ASM357664v1:14:14981780:14986164:1 gene:itb14g13060 transcript:itb14g13060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPGGSPRAKNENRKKIKDKKNKPNPFAIDYGSYQGNDGPEKLVVLKDPTGDSIHSKYDLGRELGRGEFGVTYLCTDADSGEKFACKSISKKKLRTAVDIDDVRREVEIMKHLPKHPNIVSLKDTYEDDSAVHIVMELCEGGELFDRIVAKGHYTERAAAVVMKTIVEVVQMCHRHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGQEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKISDNAKDLVKKMLNPDADQRLTAQQVLEHPWLQNIKKAPNVSLGETVKARLKQFSVMNKFKKRALTVIAEFLSVEEAAGIKEAFDMIDTDKKGKINLGELRVGLQKLGHHIPDADLQILMDAADVDGDGSLNYGEFVAVSVHLRRMANDEHLHKAFTFFDQNQNGFIEVDELRSALSDEDDGNNEAVISAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLRLMRDGSLQATNEGR >itb14g13060.t3 pep chromosome:ASM357664v1:14:14981160:14986052:1 gene:itb14g13060 transcript:itb14g13060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPGGSPRAKNENRKKIKDKKNKPNPFAIDYGSYQGNDGPEKLVVLKDPTGDSIHSKYDLGRELGRGEFGVTYLCTDADSGEKFACKSISKKKLRTAVDIDDVRREVEIMKHLPKHPNIVSLKDTYEDDSAVHIVMELCEGGELFDRIVAKGHYTERAAAVVMKTIVEVVQMCHRHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGQEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKISDNAKDLVKKMLNPDADQRLTAQQVLEHPWLQNIKKAPNVSLGETVKARLKQFSVMNKFKKRALTVIAEFLSVEEAAGIKEAFDMIDTDKKGKINLGELRVGLQKLGHHIPDADLQILMDAADVDGDGSLNYGEFVAVSVHLRRMANDEHLHKAFTFFDQNQNGFIEVDELRSALSDEDDGNNEAVISAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLRLMRDGSLQATNEGR >itb14g13060.t1 pep chromosome:ASM357664v1:14:14981121:14986426:1 gene:itb14g13060 transcript:itb14g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPGGSPRAKNENRKKIKDKKNKPNPFAIDYGSYQGNDGPEKLVVLKDPTGDSIHSKYDLGRELGRGEFGVTYLCTDADSGEKFACKSISKKKLRTAVDIDDVRREVEIMKHLPKHPNIVSLKDTYEDDSAVHIVMELCEGGELFDRIVAKGHYTERAAAVVMKTIVEVVQMCHRHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGQEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKISDNAKDLVKKMLNPDADQRLTAQQVLEHPWLQNIKKAPNVSLGETVKARLKQFSVMNKFKKRALTVIAEFLSVEEAAGIKEAFDMIDTDKKGKINLGELRVGLQKLGHHIPDADLQILMDAADVDGDGSLNYGEFVAVSVHLRRMANDEHLHKAFTFFDQNQNGFIEVDELRSALSDEDDGNNEAVISAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLRLMRDGSLQATNEGR >itb15g03450.t2 pep chromosome:ASM357664v1:15:2190725:2196603:1 gene:itb15g03450 transcript:itb15g03450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKKKSTDNDNNNSKQSSKPAITVEQFVSIMTPLIDLEKEAEISASVSSAETRSLDAAQRKGSTILNLKCVDIQTGLMGKTILEFQSNKEDVLPPHKFGNHDVVILKPNKADLGSPSLGQGVVYRLKDSSITVAFDDIPEEGLNSPLRLEKLTNEVTYRRMKDTLIQLSKGVQKGPASDLVPVLFGERSPTMSKKDAKFTLFNRNLDHSQKDAIAKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHKVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALANDIRKEMKALNGKLLKAKDKNTKRDIRRELKTLSKEERKRQQLAVTDVIKNSDVVLTTLTGALTHKLDNTSFDLVIIDEAAQALEIACWIALLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERIADLYGDEVMSMLTVQYRMHELIMNWSSKELYDSKIEAHASVAQHMLYELEDVKTSSSTESTLVLIDIAGCDMEEKKDEEDSTLNEGEAEVAITHAKRLVQSGVRASDIGIITPYAAQC >itb15g03450.t1 pep chromosome:ASM357664v1:15:2190676:2197464:1 gene:itb15g03450 transcript:itb15g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKKKSTDNDNNNSKQSSKPAITVEQFVSIMTPLIDLEKEAEISASVSSAETRSLDAAQRKGSTILNLKCVDIQTGLMGKTILEFQSNKEDVLPPHKFGNHDVVILKPNKADLGSPSLGQGVVYRLKDSSITVAFDDIPEEGLNSPLRLEKLTNEVTYRRMKDTLIQLSKGVQKGPASDLVPVLFGERSPTMSKKDAKFTLFNRNLDHSQKDAIAKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHKVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALANDIRKEMKALNGKLLKAKDKNTKRDIRRELKTLSKEERKRQQLAVTDVIKNSDVVLTTLTGALTHKLDNTSFDLVIIDEAAQALEIACWIALLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERIADLYGDEVMSMLTVQYRMHELIMNWSSKELYDSKIEAHASVAQHMLYELEDVKTSSSTESTLVLIDIAGCDMEEKKDEEDSTLNEGEAEVAITHAKRLVQSGVRASDIGIITPYAAQVVLLKVLRSKEEKLKDMEISTVDGFQGREKEAIIISMVRSNSKQEVGFLSDRRRMNVAVTRARRQCCLVCDTETVSSDKFLKRLIEYFEEHGEYLSASEYAHD >itb15g03450.t3 pep chromosome:ASM357664v1:15:2192636:2197464:1 gene:itb15g03450 transcript:itb15g03450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTLIQLSKGVQKGPASDLVPVLFGERSPTMSKKDAKFTLFNRNLDHSQKDAIAKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHKVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALANDIRKEMKALNGKLLKAKDKNTKRDIRRELKTLSKEERKRQQLAVTDVIKNSDVVLTTLTGALTHKLDNTSFDLVIIDEAAQALEIACWIALLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERIADLYGDEVMSMLTVQYRMHELIMNWSSKELYDSKIEAHASVAQHMLYELEDVKTSSSTESTLVLIDIAGCDMEEKKDEEDSTLNEGEAEVAITHAKRLVQSGVRASDIGIITPYAAQVVLLKVLRSKEEKLKDMEISTVDGFQGREKEAIIISMVRSNSKQEVGFLSDRRRMNVAVTRARRQCCLVCDTETVSSDKFLKRLIEYFEEHGEYLSASEYAHD >itb04g25550.t1 pep chromosome:ASM357664v1:4:30118163:30119541:-1 gene:itb04g25550 transcript:itb04g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTARGPNASSGMGVADHSRSAFLELQRKKMHRYVIFKIDEKKKEVVVEKTGSPAESYDDFAASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRFKRELDGFHYEIQATDPTEMDLEVIRDRAS >itb04g10780.t2 pep chromosome:ASM357664v1:4:10384091:10388446:-1 gene:itb04g10780 transcript:itb04g10780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRMESSVAAVAGGVGGALVLLGVILLVCFCMFHYKRYSNRNSDTASSDPSAVVEQKREGGSSGSSQSTTPRLFRMKELEHATRHFDEANLIGYGSFGLVFKGLLYDGTIVAIKRRSGSPRPEFSDEVAHLQIVQHRNVVNVLGYCQENGYQMLVFEYLPNGSVCSHLYGRDSTTKLEFKQRLSIATGAAKGLCHLHAQRPPVIHGNFNTANVLVDENFIAKVADAGVARLLEKIDDDAGPSESSSSRATVFRDPEVDRNGEGSDVYSFGVFLWELITGREASHIDGFGSNESMFHWVEVHLNEDDLVDDRLKGSFTAEGMEDFIRLALRCTGFPRKDRPAMEAAVAELERILDKEIMRTTVMGGEGTATVTLGSQLFTK >itb04g10780.t3 pep chromosome:ASM357664v1:4:10384084:10388428:-1 gene:itb04g10780 transcript:itb04g10780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISRMESSVAAVAGGVGGALVLLGVILLVCFCMFHYKRYSNRNSDTASSDPSAVVEQKREGGSSGSSQSTTPRLFRMKELEHATRHFDEANLIGYGSFGLVFKGLLYDGTIVAIKRRSGSPRPEFSDEVAHLQIVQHRNVVNVLGYCQENGYQMLVFEYLPNGSVCSHLYGRDSTTKLEFKQRLSIATGAAKGLCHLHAQRPPVIHGNFNTANVLVDENFIAKVADAGVARLLEKIDDDAGPSESSSSRATVFRDPE >itb04g10780.t1 pep chromosome:ASM357664v1:4:10384084:10388405:-1 gene:itb04g10780 transcript:itb04g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEHATRHFDEANLIGYGSFGLVFKGLLYDGTIVAIKRRSGSPRPEFSDEVAHLQIVQHRNVVNVLGYCQENGYQMLVFEYLPNGSVCSHLYGRDSTTKLEFKQRLSIATGAAKGLCHLHAQRPPVIHGNFNTANVLVDENFIAKVADAGVARLLEKIDDDAGPSESSSSRATVFRDPEVDRNGEGSDVYSFGVFLWELITGREASHIDGFGSNESMFHWVEVHLNEDDLVDDRLKGSFTAEGMEDFIRLALRCTGFPRKDRPAMEAAVAELERILDKEIMRTTVMGGEGTATVTLGSQLFTK >itb07g01310.t1 pep chromosome:ASM357664v1:7:821841:823193:1 gene:itb07g01310 transcript:itb07g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGVTEAKGWENNLEIEELARFAVTENNKKANSELVFEKVLNVKTQVVAGTIYDITLVASDGGEKKVYEAKIWVKPWENFKELQEFKLVADVPAEAPVKADSKPAGGISSVSV >itb01g20470.t1 pep chromosome:ASM357664v1:1:26717005:26722212:-1 gene:itb01g20470 transcript:itb01g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRWRVMSENKRCVHKKVFIMESCNCIDPQWLADELLMKYQYISDFFIALAYFSIPLELIYFVKKLVVFPYRWVLVQFSAVIILCGATHFCSATVLVCMPKAYNLIKPIASVKKLSVTLSLSSDLPEYAIGDEKRLMQVLLNVVGNAVKFSKEGSVSVSAFVAKSEFLRDPQAPDFFPVITVKDTGVGINPLDIPKIFSKFAQNQSLATKNSGGSGLGLAICKRFVNLREGHIWIESEATTPAIAATAEAVRGLPRRRHWFATPTTRRRLKTRHSPTSPPLQPTLTTGKICSSYLEFRLSPQTSAPMSTTPPQSPPDSSHRHRQVHRPFHRLKNAPLKLYLWLLTPRQHKMLLFPLTPTSKKIPNNFEQRT >itb09g06900.t1 pep chromosome:ASM357664v1:9:3975342:3979151:-1 gene:itb09g06900 transcript:itb09g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIMGENNGDWPPPPTGSPMYGAAKDDHWTHFDNSVNAVSFGFVATAILVSMFLVMAIFERLLRPTSPALTPTGGRSLGQVESQMGFNPKVAHPTPKISASAQEYSVLMPGEEMPTFIAHPAPVPCPPERIPWPPHQQYSPPLTNIVPNPSSSTPHVR >itb14g10430.t1 pep chromosome:ASM357664v1:14:11746204:11747309:-1 gene:itb14g10430 transcript:itb14g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENDGNSSSSSSSQEIAGDRAAAPVLQKRRTGRKKFRETRHPIYRGVRRRNEDKWVCEVREPNKKSRIWLGTFATPEMAARAHDVAALALRGEAAALNFPGAAESIPRAASCSPADIRAAALLLVNSPPPHPQFPSVNAVDRDRAKEAASSSRTIDLPGLDFFRNASTFLDEEAVFNLPALLDNMAEGMLITPPAMKKGFSWGDEGDGIDLTLWKHY >itb01g23920.t1 pep chromosome:ASM357664v1:1:29756254:29757342:1 gene:itb01g23920 transcript:itb01g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGIVDYVDGSPDLFNVQFQGDIIRTLVTHEPREVVDWISEVEAVHRRRLNHLIVGLDAEWRPSFSKGHQNPVATLQLCVGRRCLVFQILHCSYIPDRLVGFLANPSYTFVGVAIKGDVEKLVEDYNLWVRKAVDLRALAVRRSNDLALRNVGLKDLVRIYMGAQMEKPKRVTMGRWDQEFLTTEQIQYACIDAFVCFEIGRILNASFA >itb13g23690.t1 pep chromosome:ASM357664v1:13:29616748:29618908:1 gene:itb13g23690 transcript:itb13g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAWFMDDNGEDQRLPHHREPPVYVPPEQLAEIGVLYWRLNPQIYENDDELKKIRETRGYSYMDLLDLCPEKVENYEQKLKNFYTEHIHADEEIRYCLEGSGYFDVRDKSDCWIRIWIKAGDLIVLPAGIYHRFTLDTDNYIKLMRLFVGEPVWTAHNRPQEEHPARKEYIKSLTERVGLPLQAH >itb14g20050.t2 pep chromosome:ASM357664v1:14:22527130:22530456:-1 gene:itb14g20050 transcript:itb14g20050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVKVRRETIGACMTCPLCNKLFRDATTISECLHTFCRRCIYKKLSDEETECCPICNIDLGCVPLEKLRADHNLQDVRAKIFPYKRRKVMAPEVVPSVMLPVKRKERSLSSLVVNTPRVSTQSGMTGRRSKSVARKSLRGSSFSIEKPMKKEDADIEDQPESSNSPETLNKFTQNNTSNAEPSSLASLKGTDNGIEQWDGKVDLWKPLNCLVEAANRSKSSRFTSQGSAAKPEPPHASDNDGHVRKSKVKEQGQKFKVQYGKSNDDPNPPESEKPKKLRRIRKKEPTFGNLSISPQAVLDAVSTKCERRISPIWFSLVASEDQ >itb14g20050.t1 pep chromosome:ASM357664v1:14:22525229:22530475:-1 gene:itb14g20050 transcript:itb14g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVKVRRETIGACMTCPLCNKLFRDATTISECLHTFCRRCIYKKLSDEETECCPICNIDLGCVPLEKLRADHNLQDVRAKIFPYKRRKVMAPEVVPSVMLPVKRKERSLSSLVVNTPRVSTQSGMTGRRSKSVARKSLRGSSFSIEKPMKKEDADIEDQPESSNSPETLNKFTQNVRQNTSNAEPSSLASLKGTDNGIEQWDGKVDLWKPLNCLVEAANRSKSSRFTSQGSAAKPEPPHASDNDGHVRKSKVKEQGQKFKVQYGKSNDDPNPPESEKPKKLRRIRKKEPTFGNLSISPQAVLDAVSTKCERRISPIWFSLVASEDQNGDEPLPQISASYLRIKDGNIPVSFIQKYLMRKLDLTSEDEVEIRCMGQAIVPTLQLNNLVDSWLQMTSSSERVPVAIGSSAKDFVMVLYYGRKAPAS >itb06g07110.t1 pep chromosome:ASM357664v1:6:10308443:10314283:-1 gene:itb06g07110 transcript:itb06g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVCLLQPPFSDLNCSSSPSCQRGTAFLPRAYTPSRRLALLHLSTAISQTQLFDAKALQSLNAEREVIETGTAADIARAMVEENVLDWTKKDKRRMLHVVYRVGNLDKTIKFYTECLGMKLLRRRDIPEDRYSNAFLGFGPEETNFSMELTYNYGIDKYDIGTGFGHFGIAVEDVARTVDLVKVKGGKVTQEPGPVKTGSPAIAYVEDPDGYPIELLERSPTPEPLCQVMLRVGDLDRAINFYKKAFGMDLLRKKDKPENKCTIAIMGYGPEDKNVVVELTYNYGVKEYDKGNGYAQIAIGTNDVYKTAEVIKLCGGEITLEPGPLPGINTKITACVDPDGWKAVFVDNSDFLKELE >itb09g21220.t1 pep chromosome:ASM357664v1:9:18950535:18963260:-1 gene:itb09g21220 transcript:itb09g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLEPGLCTSSQQIVYLKVTNRLLLVVSPTHLELWSSSQHRVRLGKYKRDSDSIHKEGENIRAVWSPDTKLIAVLTSSLHIQIFKVQLTEKKIQIGGKQPSGFFLANISLLLNEQVPFANKNLTVSNIVCDNKHMLVGLSDGSLYNISWKGEFYGAFNIDAQLCDGSEANKLSTSLENGLAPSRAVNDSIPSHCKSKASAVSHLEFSLPLRSLFVLYSDGQLVLCSVSKKGFRQAEPVKAEKRLGSGDAVCASVASEQQILAVGYKRGVVELYDLAESASLIRSVSLYDWGYSVEDTGAVNCIAWTADNSAFAVGWKLRGLTVWSVSGCRLMCTIRQIGLNSLSSPVIKPSQECKYEPMMGGTSLMHWDEYGYRLYAVEEGSSERIIAFSFGKCCLNRGVSGTTYVRQVIYGEDRLLVVQSEDTDELKILHLNLPVSYISQNWPILHVAASKDGMYLAIAGLHGLILYDIRLKKWRFFGDISQEQKIQCKGLLWMGKIVVVCNYDDSSNEYELLFYPRYHLDQSSLLCRKSLLAKPMVMDVYQDYLLVTYRPFDVHIYHVKLLGELTPLSTPDLQLSTVRELSIMTAKCHPAAMHFIPDQLPRKTLTENGISSSDIVVREPARCLILRTNGELSLLDLDEGRERELTDSVELFWVTCGQSEEKTTLIEEVSWLDYGHRGMQVWYPSPGVNTFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSASTEFPCFEPSPQAQTILHCLLRHLLQRGKEQEALRLAQLSAEKPHFSHYLEWLLFTVFEAEISGQNTRNNQTTMSNHATVTSLLEKTCDLIRNFPEYCDVVVSVARKTDGRHWADLFAAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREFEPAPVDSEKLSPRFFGYFLFPSSYQRQSFDSKGSFKEQNAHVASVKNILESHASFLMSGKELSKLVAFVKGTQFDLMDYLRRERYGSARLENFALGLELIGQKLQMGTLQSRLDAEFLLSQMCSVKFKEWIVVLATLLRRSEVLFDLFHHDLRLWEAYSITLQSHPAFTEYHDLLATLEEMLSSTSNSEDK >itb09g25380.t1 pep chromosome:ASM357664v1:9:25378017:25391639:-1 gene:itb09g25380 transcript:itb09g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRIFMNITPLSSSSHSATRIPSNKTPHITGYAPIVHEASVGSVDSQSQLKQQMDKRPPGTGKPGGAWDSALGNEGPGGVSGVQVPRQRHITASKSQLLDAILSAMFDSEDESKQFRDLCSCLDLILHAEHKSILEEMRDDYDLAHVKASRGNSFEGTSSLDGSIGPNGRESDAVMSNSQKIDKYDGATGSSELLISSSQDILGFPSISFLEYINKINVGGSRVDVPSSFQRSFIRLLSDAGFEELSPRDLMLSYALNTDYLLTLPISVDWKRASESSTIIFRRGYTTERQKGILAVEKLEYLQSKLLQGLFFIIVKPLGKTSIWLTEVLKRNSSPHDAQNWAEKFKLWLEELPYFQQTYSYDKHTSSEAEALDHLLESDLPIWKAAQRAATHYEEILSAAGPRGRLLRKFLTSIGLLPSTPQQEFELHVDNTDPSEPHLRPVFLPRISLGDIWAPASTRSCGNDIWKMFRTAVSILLSQSTLQEPAFEELILLYTKETCQGNTGDEVEFPSLQLKFYETIPIPDLPVVFPHKKLSFRILDSVRLDIASILGLLAYFINYKFEDILSSPSAILLDVIALSALVIYVTRVVLGYKQTWDRYQLLVNRTLNEKTIASGFGSIHFLLDASEQQQYKQAILAYAILLKTENDKEPSARTVGVECSRFMYNVFKEKVTLT >itb09g25380.t3 pep chromosome:ASM357664v1:9:25378018:25391584:-1 gene:itb09g25380 transcript:itb09g25380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRIFMNITPLSSSSHSATRIPSNKTPHITGYAPIVHEASVGSVDSQSQLKQQMDKRPPGTGKPGGAWDSALGNEGPGGVSGVQVPRQRHITASKSQLLDAILSAMFDSEDESKQFRDLCSCLDLILHAEHKSILEEMRDDYDLAHVKASRGNSFEGTSSLDGSIGPNGRESDAVMSNSQKIDKYDGATGSSELLISSSQDILGFPSISFLEYINKINVGGSRVDVPSSFQRSFIRLLSDAGFEELSPRDLMLSYALNTDYLLTLPISVDWKRASESSTIIFRRGYTTERQKGILAVEKLEYLQSKLLQGLFFIIVKPLGKTSIWLTEVLKRNSSPHDAQNWAEKFKLWLEELPYFQQTYSYDKHTSSEAEALDHLLESDLPIWKAAQRAATHYEEILSAAGPRGRLLRKFLTSIGLLPSTPQQEFELHVDNTDPSEPHLRPVFLPRISLGDIWAPASTRSCGNDIWKMFRTAVSILLSQSTLQEPAFEELILLYTKETCQGNTGDEVEFPSLQLKFYETIPIPDLPVVFPHKKLSFRILDSVRLDIASILGLLAYFINYKFEDILSSPSAILLDVIALSALVIYVTRVVLGYKQTWDRYQLLVNRTLNEKTIASGFGSIHFLLDASEQQQYKQAILAYAILLKTENDKEPSARTVGVECSRFMYNVFKEKVTLT >itb09g25380.t2 pep chromosome:ASM357664v1:9:25378017:25391639:-1 gene:itb09g25380 transcript:itb09g25380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRIFMNITPLSSSSHSATRIPSNKTPHITGYAPIVHEASVGSVDSQSQLKQQMDKRPPGTGKPGGAWDSALGNEGPGGVSGVQVPRQRHITASKSQLLDAILSAMFDSEDESKQFRDLCSCLDLILHAEHKSILEEMRDDYDLAHVKASRGNSFEGTSSLDGSIGPNGRESDAVMSNSQKIDKYDGATGSSELLISSSQDILGFPSISFLEYINKINVGGSRVDVPSSFQRSFIRLLSDAGFEELSPRDLMLSYALNTDYLLTLPISVDWKRASESSTIIFRRGYTTERQKGILAVEKLEYLQSKLLQGLFFIIVKPLGKTSIWLTEVLKRNSSPHDAQNWAEKFKLWLEELPYFQQTYSYDKHTSSEAEALDHLLESDLPIWKAAQRAATHYEEILSAAGPRGRLLRKFLTSIGLLPSTPQQEFELHVDNTDPSEPHLRPVFLPRISLGDIWAPASTRSCGNDIWKMFRTAVSILLSQSTLQEPAFEELILLYTKETCQGNTGDEVEFPSLQLKFYETIPIPDLPVVFPHKKLSFRILDSVRLDIASILGLLAYFINYKFEDILSSPSAILLDVIALSALVIYVTRVVLGYKQTWDRYQLLVNRTLNEKTIASGFGSIHFLLDASEQQQYKQAILAYAILLKTENDKEPSARTVGVECSRFMYNVFKEKVDLPIGKAVKTLVRLGIVVAENSVDGDEVLRAIPCLRAREILKQRWNSLVR >itb05g26870.t1 pep chromosome:ASM357664v1:5:30539257:30543507:1 gene:itb05g26870 transcript:itb05g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQGLSINAAAFGGGPASASSSAAVGPQPNKDRKMQSAEQLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDSDVINFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDMGLDYICTTAERFFAVGRVLGNMVTALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDLLRDATAFSSCLREDPTTRRWLQQLLHNVQIPRVPLQGGGFDHMMVN >itb02g02510.t1 pep chromosome:ASM357664v1:2:1464049:1467401:-1 gene:itb02g02510 transcript:itb02g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWVMVGRFIVCCWLVVVVVATIGDATSADHVRVQCGYTRYPSLCLRTLSRLGTDSGNRHVDVLSALLNSTVSLTTLPVSNFESLSSSFVSSEAQLARHSIGYCHELTTMSNQRLSQAMAALKESPEKNKADIQTWISAAITFQQTCKDSADNHAASNELMAQISRTMDYHSRLASNALALVNRITKTSPETRNAERHLSERFPSWVSAGDRKMLESPSGGTVQADAVVAKDGSGNYKTVSEAIKAAGGGRFVIYVKSGVYKEKIRTNKDGITLIGDGKYSTIITGDDSVGGGSSLQESATFAISGDGFIAKDIGFENTAGAGSHQAVALTVSSDHSVLFRCSIAGYQDSLYALSLRQFYRECDIYGTIDFIFGNAAAVFQSCQLVLRRPRNGGAYNVILANGRSDPGQNTGFSVQNCKITVGSDFSPVRNSFNSFLGRPWKQYSRSVVMQSNIDGEIASRGWVEWPGASGSVLKTLYFAEYANMGAGAGLGGRVGWPGFHAIGKDEAEKFTVANLISGTSWLPSTGVTFVSGL >itb12g16870.t1 pep chromosome:ASM357664v1:12:18374698:18378543:-1 gene:itb12g16870 transcript:itb12g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNPVFCVLVIAVVLAILSSSGYVRCQNTSSTLRVLLEVKRSFVEGGVLQGWSEKNPNYCSWQGVSCDGSMKVVSLNLSDSSLGGSVSPSLGLLTHLLHLDLSSNHLSGPIPPALSNLSSLESLLLFSNQLVGPIPFQLGLLTNLQVLRIGDNGLTGQIPTSFGNLGNLVTLGLASCYLSGLIPPQLGRLTQLQNLNLQQNLLEGPLPPEIANISSLTQFSAALNSLNGSIPKELGGLKDLHILNLANNTLTGEIPGQLGEMSSLVYLNLLGNQLGGSIPKSLAKLTNLQSLDLSGNRLTGELPGEFGSMGQLQFLALTSNNLSGTIPKSLCSNATSLQLLMLSETGLSGEIPPELSQCRALQQLDLSNNTLNGSIPEELYELTQLTDLVLNNNTLEGTISPSVANLTNLQTLSLFHNNLHGELPREIGMLGNLQIIFLYENHFSGEIPVEIGNCSSLQFIDFYGNQFTGKIPITIGRLKHLFFLHLRENDLSGEIPASLGNCHQLDTLDLADNRLSGPIPATFGYLPALTRLMLYNNSLEGDLPDDLRNLGNLTRINLSHNNFTGSIAPICTSHSFLSFDVTNNAFDQEIPSLLGNSPSLDRLRLGSNRFTGKIPWTLGLIRELSLLDLSGNKLSGFIPPQLSLCRKLTHLDLNNNLLSGPIPVWLGRLPLLGELKLSSNQFSGSLPPELFNCSKLLVLSLEKNSLNGTLPSEIGNLKSLNVLNLEDNHLSGSIPSTIGGLGKLYELRLSRNRFSGEIPSEVGQLKDLQSVLDFSYNNLSGEIPPSIGTLSKLEALDLSHNDLAGEIPPQVAEMSSLGRLNLSYNELEGELDKRYAHWPVDSFVGNSHLCGSPLGSCRDKVSRNKGLGLSNTMVVVISAISATVAIILLFMGAAFFFKHNREAYRRGGKEKYALSSSSSQAQRRPLFANAAKKRDIRWDDIMEATNNLSDEFIIGSGGSATVYKAELFNGETVAIKRIPRKDDLFLDKSFAREIKTLWRIRHRHLVRLMGYCKSNSWFAGSYGYIAPEYAYSLKATEKSDVYSMGIVLMELVCGRMPTDGSFGEHIDMVRWVESGIEMPGLAREELIDPALKPLLPNEEAAAFQVLEIALQCTKTAPAERPSSRQVCDLLMHTFKDKAVHFQKTSTDPYP >itb12g04540.t1 pep chromosome:ASM357664v1:12:2964669:2966069:-1 gene:itb12g04540 transcript:itb12g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQKNNCSTDLTLGLGLGFASSSSSSSYNNHLPYISKPKTPMSSSGLNLSLSCETSDHVMNKVSAAGLCRQDSSTSSYQSNATPAKREREIIGINNSKAASRMLIISDEEEYDDGCNIRKKHRLSKSQSALLEQSFRQHTTLNPVQKQQLARELNLRPRQVEVWFQNRRARTKLKQIEADYELLKKCCQTLTDENRRLKKEVQELKALKLMKPSLYMQAAALTNCPSCSGGGHGGAACKTKTKTSFTLAPIPHFYNPVTSPSAA >itb12g16840.t1 pep chromosome:ASM357664v1:12:18341898:18347245:-1 gene:itb12g16840 transcript:itb12g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVQSSPFGCVLRDRNRRDRCSPNSKGSNSFQKNLKDLVHSCIPRQPRNFNSDSDENQVNLTTDLWVHKPQKDENDEWNSNKASENWRKVKDVVFPAPESSVETPHLGGVSSLVRKWSDFETQINEESSIDGWESDRTPKSGIDSDATERERIRVADIIRKLSSSTADDREPSINGGNEAGLLLPRIRTSSDHQQEQLRVLNSPRIIRGRPAFYSFLMQVERDRATELEALTHRKAVSKFQQKGRIQAVLRLKLLRHGAKARDEPPSSSTSPESNRKPQSSISHLRERFNAGVQHSIADSKAMPRKVPDSNAEAGKVSVSNQSTQDHSTSTNGNVSTSVGIKEENHPKVVQQINSDPQRELSKNRQENGLEIRHREAVDNTSNIGNVSTSYQLQERECLDDFVGRISPHREVAAPDPLSSLEEEALLTQHSGCSFTSNQLEVYHNCEQVNSTLQYSDPQHQIEGASNEFSIDWEEAIATSQQPVESYYDWDDEQSGYPQQPEYDQDWVIDVSRPRSEWEDLRQVRYQEMLDPFFENNDIRELVQRRSVSNFLSGSLRDKIDEMIMCRSQRHPIPVNSSNMQEEVRERVPVADYKSEEVEDIEEDKYDESGDEYEVDSQIREQPEDEAAEVVDHTWGHNQDLNFSIDSDQIATTSLPQSQSAKLYATRPFASSTSHPSIEVELIYELRGHMEQLHQEMSEIRRSIKDCMNMQAKLQKSIKHEVAAALNQSGQKSRRGSSSKHPSNENCCICSEMKIDSLLYRCGHMCTCFRCAHELVWGNGRCPICEAPILDIVRAVYAP >itb12g16840.t2 pep chromosome:ASM357664v1:12:18341898:18347245:-1 gene:itb12g16840 transcript:itb12g16840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVQSSPFGCVLRDRNRRDRCSPNSKGSNSFQKNLKDLVHSCIPRQPRNFNSDSDENQVNLTTDLWVHKPQKDENDEWNSNKASENWRKVKDVVFPAPESSVETPHLGGVSSLVRKWSDFETQINEESSIDGWESDRTPKSGIDSDATERERIRVADIIRKLSSSTADDREPSINGGNEAGLLLPRIRTSSDHQQEQLRVLNSPRIIRGRPAFYSFLMQVERDRATELEALTHRKAVSKFQQKGRIQAVLRLKLLRHGAKARDEPPSSSTSPESNRKPQSSISHLRERFNAGVQHSIADSKAMPRKVPDSNAEAGKVSVSNQSTQDHSTSTNGNVSTSVGIKEENHPKVVQQINSDPQRELSKNRQENGLEIRHREAVDNTSNIGNVSTSYQLQERECLDDFVGRISPHREVAAPDPLSSLEEEALLTQHSGCSFTSNQLEVYHNCEQVNSTLQYSDPQHQIEGASNEFSIDWEEAIATSQQPVESYYDWDDEQSGYPQQPEYDQDWVIDVSRPRSEWEDLRQVRYQEMLDPFFENNDIRELVQRRSVSNFLSGSLRDKIDEMIMCRSQRHPIPVNSSNMQEEVRERVPVADYKSEEVEDIEEDKYDESGDEYEVDSQIREQPEDEAAEVVDHTWGHNQDLNFSIDSDQIATTSLPQSQSAKLYATRPFASSTSHPSIEVELIYELRGHMEQLHQEMSEIRRSIKDCMNMQAKLQKSIKHEVAAALNQSGQKSRRGSSSKHPSNENCCICSEMKIDSLLYR >itb04g28130.t1 pep chromosome:ASM357664v1:4:31963154:31966958:-1 gene:itb04g28130 transcript:itb04g28130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPPFTILCLLLLYCCVSASGRHRTPLLQANLSSCSLFVGSWVLDETYPIYQSSDCPAIIDPEFNCQMYGRPDTDYLKYRWKPANCDVPRFNGLDFLGRMRGKTVMFVGDSLGLNQWESLICMVSAFVPRSQTKMIRGDPLSAFKFLDYGVTLSFYRAPYLVDIDSVQGKRILKLDDISRNGIAWKNADVLSFNTGHWWVHKGNLQGWDYMESEGKLYQDMDRLGALEKGLRTWASWVDSNVDRSRTRLFFQGVSPTHYNPREWSAGTVTASKSCYGETAPTAVTGGAYPGVYPDQMKVIQEVIGNMSYPAFLLDITLLSAMRKDAHPSIYSGDLTPEQRANPDHSADCSHWCLPGLPDTWNQLFYTGLFF >itb10g25720.t2 pep chromosome:ASM357664v1:10:28922964:28923610:1 gene:itb10g25720 transcript:itb10g25720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANLKMAAEKARAGMWVTDECKNSFMEMKWKKVHRYIVFKFEEESGMLRLKVEKVGGAGESYEDLAASLPKDDCRYAVFDFDFVTLDNCRKSKIFFIAWFVCFINFISCSRHLDFGW >itb10g25720.t1 pep chromosome:ASM357664v1:10:28922964:28924042:1 gene:itb10g25720 transcript:itb10g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANLKMAAEKARAGMWVTDECKNSFMEMKWKKVHRYIVFKFEEESGMLRLKVEKVGGAGESYEDLAASLPKDDCRYAVFDFDFVTLDNCRKSKIFFIAWAPAESRVRAKMVYATSKDGLRRALEGIHYEVQATDPTEMGMDVINQRLIK >itb02g14280.t1 pep chromosome:ASM357664v1:2:10438233:10439051:-1 gene:itb02g14280 transcript:itb02g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLLLRKCKSLSRHLARTSSYSSLRSRSTRDEDMNNGDDEQCETIVVGSSRRRYHISSKHLSHPLMNALIQKSKQQKKTKKKKGGVSDEEDDDDHLSVKCEVVLFDHLLWMLENAYDEDDDGSLFSSAESLEELADLYVV >itb02g14280.t2 pep chromosome:ASM357664v1:2:10438299:10440033:-1 gene:itb02g14280 transcript:itb02g14280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLLLRKCKSLSRHLARTSSYSSLRSRSTRDEDMNNGDDEQCETIVVGSSRRRYHISSKHLSHPLMNALIQKSKQQKKTKKKKGGVSDEEDDDDHLSVKCEVVLFDHLLWMLENAYDEDDDGSLFSSAESLEELADLYVV >itb15g19490.t2 pep chromosome:ASM357664v1:15:21860828:21861987:-1 gene:itb15g19490 transcript:itb15g19490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFGKSSNDIALNLIARSLMEMGYGGIPNGVDEDGKDIKMCEFEEGELVEMICKTKLEENSAVVSKIESEDETQKLVNKEEFGRKKEKKKGNKGTPGPSIKDMSSFVTTMCKCLGERKAYLVWDAVICLGVSGLRDLVKEAEAIQACGGHKTAGRVFWNILKVRKPNAYKEIIKRALEFETGRYKEIGKKFEFKKVPKTPVMEHEATSHNIPAPTLTNQPLANALDDASTCLPSNMMCDS >itb04g08830.t1 pep chromosome:ASM357664v1:4:7630357:7630885:-1 gene:itb04g08830 transcript:itb04g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVETNREGEGNTPGSKPSYKEKVSGQTHESMGDEELVSDDEEEDIDEDPNCPVTKLHHRSYFRFKAIPTTTTAAASNSTASPSARPQNPSDLILATVVGGYKPKSLLDTELLHRALHPLVAISCFANLGRNSLVKVHKKQYKFSLLFC >itb09g09710.t1 pep chromosome:ASM357664v1:9:5969137:5970506:1 gene:itb09g09710 transcript:itb09g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKQSGSSLTSDLFGVKDSSGSSPSTLFGSIFAPPSKDAMRPEMVSWKQDPGTQVWNAKGSEVASQWTQSKDTSLFYQEQKSQPSSLCSSIYYGGQDIYPHPQNNKSECSTYKKDIGEDEQGSVSRGNWWKGSFYY >itb01g26420.t1 pep chromosome:ASM357664v1:1:31591450:31593845:1 gene:itb01g26420 transcript:itb01g26420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQETLIYSFVSRGTVVLAEYTEFSGNFTDVASQCLHKLPSSNNKFTYNCDGYTFNYLVEKGFTYCIVASESAGSQLPIGFLERVKQDFIKRYGGGKGDTAIAKSLTKEFGPKLKEHMKYCAEHPEEINKLTKVQGQVDQVKGVMMGNIEKVLERGEKIELLVDKTENLRSQAQDFRKQGTQIKKKLWFENMKIKLIVLTVLVALILIIVLSICHGFKC >itb05g26100.t2 pep chromosome:ASM357664v1:5:30162237:30166949:1 gene:itb05g26100 transcript:itb05g26100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHRKILEGLLKLPENRECADCKVKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEEKRWVPKDGKPASVSSVREERAPLQWPQSSDRSGHGYANNSGHLSGERKNVQTPSTRHESPAAKVSLPMPPKGPEHASPAQLTPQGNQKVEPVAPAESVKQVVEPAAAPKVDFATDLFDMLSMDEPSANGSEAVTADDNAWAGFQSAGAPKTSERTEITKADDIKPQSSSGIEDLFKDAPPIMPATSLEKPQKDVKNDIMSLFDKSNMVSPFAMHQQQLVMLAQQQSLLMAAAAAAGNPNATAGALKAPGNAQQMATGTNLPNQVWPNFGYQFPGMTMPTAVKNEPEKFMQMGTTAMPDLAGNSIPFSTSRYANISV >itb05g26100.t1 pep chromosome:ASM357664v1:5:30162217:30166949:1 gene:itb05g26100 transcript:itb05g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHRKILEGLLKLPENRECADCKVKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEEKRWVPKDGKPASVSSVREERAPLQWPQSSDRSGHGYANNSGHLSGERKNVQTPSTRHESPAAKVSLPMPPKGPEHASPAQLTPQGNQKVEPVAPAESVKQVVEPAAAPKVDFATDLFDMLSMDEPSANGSEAVTADDNAWAGFQSAGAPKTSERTEITKADDIKPQSSSGIEDLFKDAPPIMPATSLEKPQKDVKNDIMSLFDKSNMVSPFAMHQQQLVMLAQQQSLLMAAAAAAGNPNATAGALKAPGNAQQMATGTNLPNQVWPNFGYQFPGMTMPTAVKNEPEKFMQMGTTAMPDLAGNSIPFSTSSVYTMGQNNSSTNGIVQPGPSKPVASSVSSISTQSAKDYDFSSLTQGMFSKR >itb11g06430.t1 pep chromosome:ASM357664v1:11:3845984:3856904:-1 gene:itb11g06430 transcript:itb11g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPAVDLVDFLNASPTAFHAVEEAKKKLKSAGYQQVSEREDWDLKAGNKYYFTRNHSTIVAFAIGQKYVAGNGFYILGAHTDSPCLKLKPTTKVCKGGYLEVGVQTYGGGLWHTWFDRDLSVAGRIIVRERKDGSESYAQRLVRIQEPIMRIPTLAIHLDRGVNDGFKVNAQSHLLPMLATSIKSAGYQQVSEREDWDLKAGNKYYFTRNHSTIVAFAIGQKYVAGNGFYILGAHTDSPCLKLKPTTKVCKGGYLEVGVQTYGGGLWHTWFDRDLSVAGRIIVRERKDGSESYAQRLVRIQEPIMRIPTLAIHLDRGVNDGFKVNAQSHLLPMLATSIKIHQLPLPHPPLPPRLRRFLAICTLTFAHSMAQLNFLLRDFVPGGPRVRSFLRDFHERRRRHGAAAPQRIGVAGNVSGEEREFWQQPEDEGYRPCLHFSYQYRKAAAAIAREKRRFLVVVVSGGLNQQRNQIVDAVVIARILEASLVVPVLQVNRIWRDERQLRIRFRFMFRLFGFSQVLQTTEQRRAAILKGLESKPPRIFHLICRSFGAELSKPAADSVENGAPSVGKSSEKINTNANRHHSLLLQLLADQAGCEPGDICDFELQVCDTQPSLIGGAMKEFVFSGRLDNLCMSFCSLKALVDSTSSENSLQDETGVRMVALFDHEEVGSNSAQGAGSPVMLDALSRITSSFDSDSKLVTKAIQKSLLVSADMAHALHPNYMDKHEDNHQPKIHGGLVIKHNANQRYATNAVTSFIFREIAAKHNIPVQDFVVRNDMPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCGVDDVKHSYQHMKAFFEECSHLDAKINVDM >itb09g24420.t2 pep chromosome:ASM357664v1:9:24082823:24087189:1 gene:itb09g24420 transcript:itb09g24420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSDSESSSDSEEPAIETRGKGKISQYEKQRLKRIEENRARMEALGLGKMTASLMGSISKAHKKVADKKGKKKVGAHAEEDDEYKPSEGEEGFSSSAEEDENDNGGDGFSKSVSNKCKIKKSTPKKGAPNNQPVSESDFMNDDDALMQAIALSLQDSAGFLDVSKAPNKSAGAHITGENHREKKVDSSTPEGTGKRKRKQSVCFDIRNRVQMTEDEFILHFFQFDEAGKGSISVRDLRRVAAAHDFLWSDEELGDMIHCFDVDGDGKLSLEEFRKIVIRCNMMISVG >itb09g24420.t1 pep chromosome:ASM357664v1:9:24082797:24087189:1 gene:itb09g24420 transcript:itb09g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSDSESSSDSEEPAIETRGKGKISQYEKQRLKRIEENRARMEALGLGKMTASLMGSISKAHKKVADKKGKKKVGAHAEEDDEYKPSEGEEGFSSSAEEDENDNGGDGFSKSVSNKCKIKKSTPKKGAPNNQPVSESDFMNDDDALMQAIALSLQDSAGFLDVSKAPNKSAGAHITGENHREKKVDSSTPEGTGKRKRKQSIRNRVQMTEDEFILHFFQFDEAGKGSISVRDLRRVAAAHDFLWSDEELGDMIHCFDVDGDGKLSLEEFRKIVIRCNMMISVG >itb09g24420.t3 pep chromosome:ASM357664v1:9:24082797:24087189:1 gene:itb09g24420 transcript:itb09g24420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSDSESSSDSEEPAIETRGKGKISQYEKQRLKRIEENRARMEALGLGKMTASLMGSISKAHKKVADKKGKKKVGAHAEEDDEYKPSEGEEGFSSSAEEDENDNGGDGFSKSVSNKCKIKKSTPKKGAPNNQPVSESDFMNDDDALMQLVQAIALSLQDSAGFLDVSKAPNKSAGAHITGENHREKKVDSSTPEGTGKRKRKQSIRNRVQMTEDEFILHFFQFDEAGKGSISVRDLRRVAAAHDFLWSDEELGDMIHCFDVDGDGKLSLEEFRKIVIRCNMMISVG >itb09g24420.t4 pep chromosome:ASM357664v1:9:24082823:24084411:1 gene:itb09g24420 transcript:itb09g24420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSDSESSSDSEEPAIETRGKGKISQYEKQRLKRIEENRARMEALGLGKMTASLMGSISKAHKKVADKKGKKKVGAHAEEDDEYKPSEGEEGFSSSAEEDENDNGGDGFSKSVSNKCKIKKSTPKKGAPNNQPVSESDFMNDDDALMQAIALSLQDSAGFLDVSKAPNKSAGAHITGENHREKKVDSSTPEGTGKRKRKQSVCFDVDGWFY >itb09g05340.t1 pep chromosome:ASM357664v1:9:3038419:3039704:-1 gene:itb09g05340 transcript:itb09g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFSPSSSFSSPFPSVPPSIEGGQSSSAPENPTGTGFHPQPTPRFKWTPDLHRRFLEAIQVLGGLQYATPRAIVEVMDDKDLTISRVRSHLEIHRANCFDQEQLARETQAAVAQLTWAATVPYPYGYPSLYSAPAPALYSPLRPQLTSPPLHPPARLYISPFGPIMPPTIPPSMRMMEYSAPTPKTIIFKMMGTPVSIDAEEIPELMMSGDINPGSSSSAARNEDQDDGPLDLTLSIRPPSRR >itb11g05420.t1 pep chromosome:ASM357664v1:11:3206214:3209682:1 gene:itb11g05420 transcript:itb11g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRLLLCSFLVCFVLQCHPTTTRDIITSSGNDFLKDGETIVSAGKSFELGFFPPQGENSYGGRYVGIWYYERKPRTIVWVANRDNPCSDSPGTILAIQHGNLTVSNENGDSCFSVGHPSTPRSYNRTAQLLDSGNLVFRDESGKILWQSFDHPTDTFLPGMKMTPGLKLVSWNQNKPGTGNYTFQVDKGTDQYIISKEQSAEPFWKSGDPLASSVVEEVTFLLSNFSRTVLSKKSRSNHIRTLNYSSLSSFNDTTKYKDTRLLMNSSGEIQFYVWDNNEKTWNLPWKVPQDNCSLYNFCGNFGICSRNNAESPCKCLDGFDPKYPGDWKAGRYSGGCVRKSETSCSQNITFLNLTSIKVDYPYPSPNSMTDEEQCRKECLDDCDCQAYSFGGEQRGEDGCRIWTAELNDLQDYYDHGFKLSVRVADIELQAQGSSSERKEATAVLKSLAIYILGVVAFVLLCSLGCIVYKRKLAGNRREIILGMPNVGMQEQDLITEYDKRKIEVPFFSLRAILAATDNFSDANKLGQGGFGPVYKGNFPGGQTIAVKRLLSNCGQGVDEFKNEVMLIAKLQHRNLVKLLGYCITTNEKILLYEYMPNKSLDFIIFDRQLSVTLNWTRRFDIILGIARGLLYLHQDSRLRIIHRDLKVSNILLDEEMNPKISDFGLARIVEGKRIEANTNKVVGTFGYMSPEYALEGLFSIKSDVYSFGVVLLEIISGRKNMSLYQSQEALNLLRHTWKLWMEEMAVEIVDPVVLNSLSRGEILKCIHIGLLCVQEDPSDRPTMTDVVIMLVTESMALPRPNQPAFVARKRLSAISSSSSSSKPNELTVTKLEGR >itb05g10460.t1 pep chromosome:ASM357664v1:5:15967111:15967737:1 gene:itb05g10460 transcript:itb05g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKGRRCILVAVYIVVAAMLIGNLGVSEAVTCNAMELSPCIGVITSGQPPSPQCCSKMREQRPCLCGYVKDPNLKQYVNSPNARKVASSCGVPAPKC >itb13g02540.t1 pep chromosome:ASM357664v1:13:2421491:2424768:1 gene:itb13g02540 transcript:itb13g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVKRQKTECIDFISRLPDSIIHQILDRLPIKYVHRASILSKDWHRCVSDYPLPELRIPVSDEAQLSPNNIQGFYARAERRVRAFYQDIPSSLPRSTLQIDFPTRQSLLYCNRVLGIVADQERVKEQDVRLTGCPSAPINTYTGFQRILAADSLVVLELSRCKLTLQRLEVKLPNLRKMCFMDCVLSRRDRVLHKFLQGSPSVKHLRIRNCDRIERLVDGVYKIVIPAENLKYFDCVVRRCYPQTVTMDIQSRVLRAFRFEYSPSPSPTWHPPHFRMNIDLTRHPSMTQLRLKRVTFLRRSDRLPMDFIPGALAAVQDLHLKFCRFQPRNSVPGRTILTHSLTRLVLFENLRFNLGVNVVAPNLVSLQYHNSSSHPSNPLSHIIALNLRDIHIKIIAPTQLSLIASINDELKKLRIYPYVSIQCFSLPNKGLGAQEQQGIVMQQKLFEVNWKSLLQCESLAGNTVCISSRTC >itb10g12370.t1 pep chromosome:ASM357664v1:10:18334317:18338222:1 gene:itb10g12370 transcript:itb10g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGRDDGAAGRPAKGIGRGVDDGGAKGRGKGFSSGKASGKGGGRGRG >itb10g12370.t2 pep chromosome:ASM357664v1:10:18334317:18338222:1 gene:itb10g12370 transcript:itb10g12370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGRDDGAAGRPAKGIGRGVDDGGAKGRGKGFSSGKASGKGGGRGRG >itb09g05610.t1 pep chromosome:ASM357664v1:9:3179532:3186643:-1 gene:itb09g05610 transcript:itb09g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEVFHLALSYGSDEFPDEGSPKSSRSSSSQSGGSPLSDASPTKLFQEAQQQLGFRIEIDWNAGDDEDQVALKLQSQLMVGLPSPQDTVEIELTERCESGDVEGCGKGEVGVEMRVVKRREPLKGVLMWKAGTSGHQADGVGVFLKLMRSNFCNDVVGSTNGGEEIVGGCAEHWRSVTVVSLSGLGLTVLPVEIAHLSLLERLYLDNNKLSTLPPELGELKNLKVLAADCNILVSVPVKLRECVGLVELSLEHNKLVRPLLDFRAMIDLRVLRLFGNPLEFLPDILPLHKLRNLSLANIRIVADDLLRSVNVQIEMENSSYFTASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGHRTFVGKDENAVRQLISMITSENQHVVNQACSALTTLASDVTVAMMLMKSDIMQPIERVLTSSGPEEVISVLEVFTKLAFASDTVSQKMFRRDILKSLKILCAHKNSEVQRLALFAVGNLAFNLENRRVLVTSESLRELLLHLTSASESRVSKAAARALAILGENEVLRRAIRGRQVPKQGLRILAMDGGGMKGLATVKILKEIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLEKCEEIYKKLGKLVFAEPVPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESSVKRTPKVFVVSTLVNVSPAQPFIFRNYQYPPGTAEISPSITENMGTGGVGAASTGAEIGYKRNAFIGSCKHHIWQAIRASSAAPYYLDDFSDGVYRWQDGAIVANNPTVFAIREAQLLWPDARIDCLVSVGCGSVVTKVRKGGWRYLDTGQVLIESACSVDRVEEALSALLPMLPEMHYFRFNPVDERCDMELDETDPAVWSKLEAATDEYMQNTSTAFKNLCERLLACLQDEKQLDNVKSQQFPKTKSSKSDESGPALGWRRSILLVEASNSPDSGRVFHHARSVESFCTNNRIGISLFNGVPGTVNAAGSSLPASVPSPLFTGSFPSSPFLYNPDVGPHKVGRIDLVPPLSLDGFQSTKVAASPPESPAKDRQLSLLVQSLYEKLQNSPQVGVVHLALQNDLSGSILSWQNDVFVVAEPGELAERFLQSVKLSLLSMIRGQRNKSASVINSISTVADLVSCRPYFQIGGVVHRFIGRQTQVMEDDQEIGAYMFRRTVPSMHITDKDIRWMVGAWRDRIIFFTGLYGPTQSLIKAFLDSGAKAVICPAAEPDEMHLPTLDGSGEFNTTENGKFEIGGEELENEDTGPSSTASDWEDGEPDEDGGGCPTLFWDDNDGELSGFVSAFYDALFQGSSRIDDALKRALASHRSLRYSCHLPSVT >itb12g21230.t2 pep chromosome:ASM357664v1:12:23621383:23627336:-1 gene:itb12g21230 transcript:itb12g21230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLKNICGPEKKSIGKEALVKLLRWHFGYANFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIAYIGLRFVSALMENQVSALKEKGISAEFLSSTQTSQVKTKIYEDIESVKPKLRLLYVTPELIDTVGFMSRLVKIHSRGLLNLIAIDEAHCISSWGHDFRPSYRKLSSLRSRLPNIPVLALTATAAPKVQKDIIGSLSLQSPLVLKSSFNRPNIYYEVRYKDLLDDPYADVCNLLKSCGNVCAIVYCLERTTCDDLASHLTTYGISCAAYHAGLNNKLRTSVLDDWISSKTQGIDRKDVRVVCHFNTPKSMEAFYQESGRAGRDQLPSRSVLYYGVDDRRKMEFILSNSERKKQSSSLQDGSSKKALDNFRQMVEYCEESGCRRKKILESFGEMVSASLCEKSCDACKQPNIVAKNLEELKTAAAFCQRNGSSRIFITSLSNFKDGDYSEFWNHGDEASGSEEDISDSDEALDAAKNVASTGRSTKLRIQDKIEMLQRAEEKYYQNKNPEKQVNKLDKNAIPETLRETGRQRLLNTLKQNEKRFSDLRYAFHNSLA >itb12g21230.t1 pep chromosome:ASM357664v1:12:23621383:23627336:-1 gene:itb12g21230 transcript:itb12g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLKNICGPEKKSIGKEALVKLLRWHFGYANFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIAYIGLRFVSALMENQVSALKEKGISAEFLSSTQTSQVKTKIYEDIESVKPKLRLLYVTPELIDTVGFMSRLVKIHSRGLLNLIAIDEAHCISSWGHDFRPSYRKLSSLRSRLPNIPVLALTATAAPKVQKDIIGSLSLQSPLVLKSSFNRPNIYYEVRYKDLLDDPYADVCNLLKSCGNVCAIVYCLERTTCDDLASHLTTYGISCAAYHAGLNNKLRTSVLDDWISSKTQGIDRKDVRVVCHFNTPKSMEAFYQESGRAGRDQLPSRSVLYYGVDDRRKMEFILSNSERKKQSSSLQDGSSKKALDNFRQMVEYCEESGCRRKKILESFGEMVSASLCEKSCDACKQPNIVAKNLEELKTAAAFCQRNGSSRIFITSLSNFKDGDYSEFWNHGDEASGSEEDISDSDDCMNTEALDAAKNVASTGRSTKLRIQDKIEMLQRAEEKYYQNKNPEKQVNKLDKNAIPETLRETGRQRLLNTLKQNEKRFSDLRMSFEDSASILENECYKKYSKSGKSFYLSKMASTVRWLSTADPTELTNKLGCAPDPPKDLQQPSEANCSSESLTSSIALSAGLNNEKTNSAVLETEAAENVSPATTLPPIPSFSEYINSRKVKSHHESKSKKRLPDMSQKNIQKKMRS >itb12g21230.t3 pep chromosome:ASM357664v1:12:23621383:23627336:-1 gene:itb12g21230 transcript:itb12g21230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLKNICGPEKKSIGKEALVKLLRWHFGYANFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIAYIGLRFVSALMENQVSALKEKGISAEFLSSTQTSQVKTKIYEDIESVKPKLRLLYVTPELIDTVGFMSRLVKIHSRGLLNLIAIDEAHCISSWGHDFRPSYRKLSSLRSRLPNIPVLALTATAAPKVQKDIIGSLSLQSPLVLKSSFNRPNIYYEVRYKDLLDDPYADVCNLLKSCGNVCAIVYCLERTTCDDLASHLTTYGISCAAYHAGLNNKLRTSVLDDWISSKTQGIDRKDVRVVCHFNTPKSMEAFYQESGRAGRDQLPSRSVLYYGVDDRRKMEFILSNSERKKQSSSLQDGSSKKALDNFRQMVEYCEESGCRRKKILESFGEMVSASLCEKSCDACKQPNIVAKNLEELKTAAAFCQRNGSSRIFITSLSNFKDGDYSEFWNHGDEASGSEEDISDSDDCMNTEALDAAKNVASTGRSTKLRIQDKIEMLQRAEEKYYQNKNPEKQVNKLDKNAIPETLRETGRQRLLNTLKQNEKRFSDLRYAFHNSLA >itb05g14490.t1 pep chromosome:ASM357664v1:5:21611305:21614461:-1 gene:itb05g14490 transcript:itb05g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVEVMLERWKELEGKELDVFKDFRVLTTEVISRTAFGSSFLEGKHIFKMVSNLTAITVKNVYNVKFPGISMLMKSSDEIEAEKLERRIKGAILELVRKREKESNGVVENYGKDYLGELMKISGGSDISKNISLDQMIDEIKALYGAGHLTTTSLLGWCIFLLALHIEWQEKARTEILELFGHENPTSDGIARLRTMNMILNECMRLYPPVITVTRKVEREVKLGNLTLPADITIFMPILALHHDPQIWGEDVHLFNPHRFAQGVAKATNNNAAAFFPFGMGPRTCVGLNFTTNEAKIALSMILQRYKLSLSPNYVHYPADIFILTPKNGVQVILQNI >itb04g22020.t1 pep chromosome:ASM357664v1:4:27162839:27164270:1 gene:itb04g22020 transcript:itb04g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTALLLSLTVLSSLCLAAMAASCHPDDEAGLLGFKSGLTGTFPNFLFQLPRIQNIFIVDNKLSGRIPETIGKLTQVLSLSFEGNRFTGPIPSSVGELTQLTELNFGGNLLTGRIPDSIRQLKKVTYLSLEKNRLSGNLPDFFDSFPELIRLKLSYNKFSGKIPSSISSLSPQLQFLELGHNNLRGKIPDFLGTFQAIETLDLSWNRFSGVVPQSFLNLTTLDELNLSHNSLEDPFPEMNVAHAQTLDLSYNNFHLKDIPSFVTSSPNMFNLRLVKCGLKINLDDWKPQEYLFYNYIDLSENDITGSPVGLLNRTDYMRGFYASGNKLKFDLEKLRFNVAELKELDLSRNMVFGKVPKAIAHLQKVNLSHNRLCGKLPPTNFSASAFAGNACLCGAPLPPCKAA >itb04g22020.t2 pep chromosome:ASM357664v1:4:27162839:27163087:1 gene:itb04g22020 transcript:itb04g22020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPTALLLSLTVLSSLCLAAMAASCHPDDEAGLLGFKSGIISDPSGFLSSWVPATNCCQWSCTMWNEKQGYLFRLLWTRRV >itb05g20880.t1 pep chromosome:ASM357664v1:5:26847268:26858899:-1 gene:itb05g20880 transcript:itb05g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVALLDATVNAFYGTGSKEERAAADHILRDLQNNPDMWLQVVRILSSTQNLNTKFFSLQVLEGVIKYKWNALPTEERDGMKNYISDLIVKLSSDEATLRRERLYVNKLNIILVQILKHEWPARWKNFIPDLVAAARTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPLPAYRNLTLQCLTEVAALNFGDFYNAQYVKMYTIFMAQLQNILPPNTNIPEAYAHGNNDEQAFIQNLALFFTSFFKSHIRVLETSQETVNALLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELYEAHHNADNPAMTASLMGLQVPMLPGMVDGLAAQLMQRRQLFAGPMSKLRLLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLDGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHESHPGVQDMACDTFLKIVQKCKRKFVTVQLGENEPFVSELLTSLPTTIVDLEPHQIHSFYESVGHMIQAESDIQKRDEYLQRLMELPNQKWAEIIGQARQSVDFLKDQDVIRAVLNILQTNTSAASSLGTFFLPQITLIFLDMLNVYRMYSELISVSIAQGGPFASRTSVVKLLRSVKRETLKLIDTFLDKAEGQPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGAMLDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSTEQVKLVMDSIIWAFRHTERNIAETGLLLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGPLTEPLWDATVPYAYPNNAMFVREFTIKLLSSSFPNMTASEVTQFVSGLFESTNDPSVFKNHIRDFIAQSKEFSAQDNKDLYAEEAAAQRERDRQRMLSIPGLIAPNEIQDEMVDL >itb05g20880.t2 pep chromosome:ASM357664v1:5:26849528:26858899:-1 gene:itb05g20880 transcript:itb05g20880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVALLDATVNAFYGTGSKEERAAADHILRDLQNNPDMWLQVVRILSSTQNLNTKFFSLQVLEGVIKYKWNALPTEERDGMKNYISDLIVKLSSDEATLRRERLYVNKLNIILVQILKHEWPARWKNFIPDLVAAARTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLETLLKFFPLPAYRNLTLQCLTEVAALNFGDFYNAQYVKMYTIFMAQLQNILPPNTNIPEAYAHGNNDEQAFIQNLALFFTSFFKSHIRVLETSQETVNALLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELYEAHHNADNPAMTASLMGLQVPMLPGMVDGLAAQLMQRRQLFAGPMSKLRLLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLDGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHESHPGVQDMACDTFLKIVQKCKRKFVTVQLGENEPFVSELLTSLPTTIVDLEPHQIHSFYESVGHMIQAESDIQKRDEYLQRLMELPNQKWAEIIGQARQSVDFLKDQDVIRAVLNILQTNTSAASSLGTFFLPQITLIFLDMLNVYRMYSELISVSIAQGGPFASRTSVVKLLRSVKRETLKLIDTFLDKAEGQPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINK >itb09g01700.t1 pep chromosome:ASM357664v1:9:1026695:1028460:-1 gene:itb09g01700 transcript:itb09g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNEDVPGAVGTGASFALRLGQAAFSTASLLFMSLGVEFFSYTAFCFLVTIMGLVIPWSVTLALVDGYSLLIKCPIRQRGILLVIIVGDWALSFLTLAGASSTAGVVDLLLKTDGALCPSKLCNRYQISAAMAFLTWFLSMTSSLSNLWLLPSL >itb09g01700.t2 pep chromosome:ASM357664v1:9:1026696:1028348:-1 gene:itb09g01700 transcript:itb09g01700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNEDVPGAVGTGASFALRLGQAAFSTASLLFMSLGVEFFSYTAFCFLVTIMGLVIPWSVTLALVDGYSLLIKCPIRQRGILLVIIVGDWALSFLTLAGASSTAGVVDLLLKTDGALCPSKLCNRYQISAAMAFLTWFLSMTSSLSNLWLLPSL >itb11g06040.t4 pep chromosome:ASM357664v1:11:3605734:3611746:1 gene:itb11g06040 transcript:itb11g06040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t2 pep chromosome:ASM357664v1:11:3605610:3611758:1 gene:itb11g06040 transcript:itb11g06040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t3 pep chromosome:ASM357664v1:11:3605610:3611758:1 gene:itb11g06040 transcript:itb11g06040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGYFKVSVCIVFWEAGLMSSGLMSNIWWNGLHPYYQFCNLFPAFMIGFIYILCHLQVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t9 pep chromosome:ASM357664v1:11:3605610:3611758:1 gene:itb11g06040 transcript:itb11g06040.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGYFKVSVCIVFWEAGLMSSGLMSNIWWNGLHPYYQFCNLFPAFMIGFIYILCHLQVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t1 pep chromosome:ASM357664v1:11:3605610:3611758:1 gene:itb11g06040 transcript:itb11g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MGEREAECEGESSMVGAVVPAVKPRNADEPSISHRDEATPSGMEPELDKELLCPICMQIIKDAFLTSCGHSFCYMCIVTHLHNKSDCPCCSHYLTTAQLYPNFLLDKLLKKTSAHQISKTASPVEQFRHSIEQGCEVSIKELDVLLTILAEKKRKLEQEEAERNMQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t8 pep chromosome:ASM357664v1:11:3605610:3611758:1 gene:itb11g06040 transcript:itb11g06040.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MGEREAECEGESSMVGAVVPAVKPRNADEPSISHRDEATPSGMEPELDKELLCPICMQIIKDAFLTSCGHSFCYMCIVTHLHNKSDCPCCSHYLTTAQLYPNFLLDKLLKKTSAHQISKTASPVEQFRHSIEQGCEVSIKELDVLLTILAEKKRKLEQEEAERNMQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGYFKVSVCIVFWEAGLMSSGLMSNIWWNGLHPYYQFCNLFPAFMIGFIYILCHLQVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t6 pep chromosome:ASM357664v1:11:3605610:3611679:1 gene:itb11g06040 transcript:itb11g06040.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MGEREAECEGESSMVGAVVPAVKPRNADEPSISHRDEATPSGMEPELDKELLCPICMQIIKDAFLTSCGHSFCYMCIVTHLHNKSDCPCCSHYLTTAQLYPNFLLDKLLKKTSAHQISKTASPVEQFRHSIEQGCEVSIKELDVLLTILAEKKRKLEQEEAERNMQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKVSIISSNNPFFTRGAYKNQLNFVFFLMIILTSLR >itb11g06040.t5 pep chromosome:ASM357664v1:11:3605610:3611746:1 gene:itb11g06040 transcript:itb11g06040.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MQILLEFLHMLKKKKVDELNEIQNDLQYIKEDVNAVERHRIELYRTRDRYSMKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb11g06040.t7 pep chromosome:ASM357664v1:11:3605610:3611734:1 gene:itb11g06040 transcript:itb11g06040.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS1 [Source:Projected from Arabidopsis thaliana (AT2G32950) UniProtKB/TrEMBL;Acc:A0A178VXE2] MKLRMLADDPLGSKSRSSSVDRNAIGLFPSSRSAHGGLASGNLMYKKMDGSQRKDVSVTELNSQHMSQSGLAVVRKKRVHAQFNDLQECYLQKRRQLANQLQNKEERDQNVTRREGYTAGLSEFQSVLSTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTDPSMLVSGSDDCKVKVWCTKQEKSAFNIDMKANICCVKYNPGSSFHVAVGSADHHIHYYDLRNTSQPVHTFSGHKKAVSYVKFLSSHELASASTDSTLRLWDVKDNSPVRVFRGHTNEKNFVGLSVNNEFISCGSETNEVFVYHKAISKPVTWHRFGSPDVDDVDEDITSFFISAVCWKSDSPTMLAANSQGTIKVLVLAA >itb12g27750.t1 pep chromosome:ASM357664v1:12:27974506:27978495:-1 gene:itb12g27750 transcript:itb12g27750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMMPDGCGSSFILALPDDLFAVVTRSLSPRDVCNLALCCKSLHELVASEKIWLAQCEALGLISYWELIEWRKGICSFKALCRFLVDVKPLLGIWVHQNPELGNVVYVMPGFLSVIGCRIIPQELGPLGLEDGPILWAPVFETVCDFEGSSTFFLHGRERGNDYVYPGSLKAVDRTCNVLLLEVEPRQQGNGGKLFHSMSHAHLLDKESSRKLCRSDTSISKSQRMNGQIAPAVPFNRLAFGDRRKLLDTVTSQVRLEVPDAANLLLFPRVTSDEVQLRQDIAVLYERRVLLIQMHKIDGSLTDWRTGQEFPLVSSHFGLSEIRQNLDQGSGCHTFRAGVEIQTQCTKRKTFAGYVRESLKQILGKSNSMNRSHSFLKKNYSSSGNKHAQLHEFLRPGDSIALTLHASTMKLSSYRAWPNMHDSKFALYKLPVQTVAAGQEYAGLWGGTFGWPPGKPSEDKPGKALFLLLLSYEKSQGKKLIATKILEGTHYVLHPNGSAMFMVNVDEPSPDEFPWDSDGDSNPVDVKNAFIGEGIANGYGFRYPGSKPGSLYVIQDTLLAFVWKESRAVLTLQRLNLQELLKKGERVDALPPISNFAYLTRSYSNVFAGFSNAPNAPASPRLNP >itb10g22210.t1 pep chromosome:ASM357664v1:10:27136296:27140978:1 gene:itb10g22210 transcript:itb10g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVVEGVELHTGVFDAEKQMKIVECVYKYQQLGRKGQLRARTYYEPKKRMGRKGRTIIQFGGCYNYAVDKNGNPPGIIRDEEVDPLPAVFKQMIKRMVRWHILPPTAIPNSCIVNIYEEGDCIPPHIDHHDFIRPFCSVSFLSECNIVFGSSLKIVNHGIGEFFGPVSVPLPVGSVLVLQGNGADVAKHCVPRVPSKRISLTFRRMDESKLPYNYKPDPELMRIQPIILRSPQFKESSTPQRVGTNEKMKIVECIYQYQELGQKGQLRARTYSEPKKWMRGKGRATIQFGCCYNYAVDKNGNPPGIVRDEEVDPLPAVFKQMIKRMVRWHVLPPTCIPNSCIVNIYEEGDCIPPHIDHHDFIRPFCTVSFLSECNILFGSSLKIVSPGEFSGPVSVPLPKGSVLVLQGNGADVAKHCVPGVPSKRISVTFRKMDESKSPFNYKPDPELLRIQPLVLPRPLVREPSTQQSVAENEKKMKHDKPHSPPAQTTNQNALAANKEFSPLGSFGSSSKNRSIQRVKY >itb04g18090.t1 pep chromosome:ASM357664v1:4:21448864:21453513:-1 gene:itb04g18090 transcript:itb04g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQIYRKNFWCSSGRRWFYSHSTAATSPVASGGGRAWRTAPVDGCYTPAHSTRCAATSFSSFDRLATLNVTLGLRGHCFSTQAVVCDPVGGIVDVPLAQTGEGIAECELIRWFVQEGDQVEEFQPLCEVQSDKATIEITSRYKGKVSCILHVPGNIVKVGETLLKMAVDEISGPTQVADASENIKEMNSVASSLDDQCSAVGNTKIGGVLSTPAVRNLAKQYGVDISVVHGTGKDGRVLKEDILKYAGIIKETPAFSSPAEQSQSGDEKCPKISTSHGLDYKDKTIPLRGFQRAMVKSMTIAAKIPHFHYVEEINCNALVELKGSFQKENSDPEVKHTFLPFLIKSLSMALNKYPMLNSCFKEELYEVTVKGSHNIGIAMATPHGLVVPNIKKVQSLSILEITKELSRLQKLALDNKLDPDDVSGGTFTLSNIGAIGGKYGSPLINSPEVAIIAMGRIQKIPQFAEDGNVYPASVLTVNVGADHRVLDGATVAKFCNEWKQYIEKPELLMLHTR >itb04g18090.t4 pep chromosome:ASM357664v1:4:21448864:21453509:-1 gene:itb04g18090 transcript:itb04g18090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEISGPTQVADASENIKEMNSVASSLDDQCSAVGNTKIGGVLSTPAVRNLAKQYGVDISVVHGTGKDGRVLKEDILKYAGIIKETPAFSSPAEQSQSGDEKCPKISTSHGLDYKDKTIPLRGFQRAMVKSMTIAAKIPHFHYVEEINCNALVELKGSFQKENSDPEVKHTFLPFLIKSLSMALNKYPMLNSCFKEELYEVTVKGSHNIGIAMATPHGLVVPNIKKVQSLSILEITKELSRLQKLALDNKLDPDDVSGGTFTLSNIGAIGGKYGSPLINSPEVAIIAMGRIQKIPQFAEDGNVYPASVLTVNVGADHRVLDGATVAKFCNEWKQYIEKPELLMLHTR >itb04g18090.t3 pep chromosome:ASM357664v1:4:21448864:21453501:-1 gene:itb04g18090 transcript:itb04g18090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEISGPTQVADASENIKEMNSVASSLDDQCSAVGNTKIGGVLSTPAVRNLAKQYGVDISVVHGTGKDGRVLKEDILKYAGIIKETPAFSSPAEQSQSGDEKCPKISTSHGLDYKDKTIPLRGFQRAMVKSMTIAAKIPHFHYVEEINCNALVELKGSFQKENSDPEVKHTFLPFLIKSLSMALNKYPMLNSCFKEELYEVTVKGSHNIGIAMATPHGLVVPNIKKVQSLSILEITKELSRLQKLALDNKLDPDDVSGGTFTLSNIGAIGGKYGSPLINSPEVAIIAMGRIQKIPQFAEDGNVYPASVLTVNVGADHRVLDGATVAKFCNEWKQYIEKPELLMLHTR >itb04g18090.t5 pep chromosome:ASM357664v1:4:21448864:21453513:-1 gene:itb04g18090 transcript:itb04g18090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQIYRKNFWCSSGRRWFYSHSTAATSPVASGGGRAWRTAPVDGCYTPAHSTRCAATSFSSFDRLATLNVTLGLRGHCFSTQAVVCDPVGGIVDVPLAQTGEGIAECELIRWFVQEGDQVEEFQPLCEVQSDKATIEITSRYKGKVSCILHVPGNIVKVGETLLKMAVDEISGPTQVADASENIKEMNSVASSLDDQCSAVGNTKIGGVLSTPAVRNLAKQYGVDISVVHGTGKDGRVLKEDILKYAGIIKETPAFSSPAEQSQSGDEKCPKISTSHGLDYKDKTIPLRGFQRAMVKSMTIAAKIPHFHYVEEINCNALVELKGSFQKENSDPEVKHTFLPFLIKSLSMALNKYPMLNSCFKEELYEVTVKGSHNIGIAMATPHGLVVPNIKKVQSLSILEVSLSFPRVNFMLIST >itb04g18090.t2 pep chromosome:ASM357664v1:4:21449610:21453499:-1 gene:itb04g18090 transcript:itb04g18090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQIYRKNFWCSSGRRWFYSHSTAATSPVASGGGRAWRTAPVDGCYTPAHSTRCAATSFSSFDRLATLNVTLGLRGHCFSTQAVVCDPVGGIVDVPLAQTGEGIAECELIRWFVQEGDQVEEFQPLCEVQSDKATIEITSRYKGKVSCILHVPGNIVKVGETLLKMAVDEISGPTQVADASENIKEMNSVASSLDDQCSAVGNTKIGGVLSTPAVRNLAKQYGVDISVVHGTGKDGRVLKEDILKYAGIIKETPAFSSPAEQSQSGDEKCPKISTSHGLDYKDKTIPLRGFQRAMVKSMTIAAKIPHFHYVEEINCNALVELKGSFQKENSDPEVKHTFLPFLIKSLSMALNKYPMLNSCFKEELYEVTVKGSHNIGIAMATPHGLVVPNIKKVQSLSILEITKELSRLQKLALDNKLDPDDVSGGTFTLSNIGAIGGKYGSPLINSPEVAIIAMGRIQKIPQFAEDGNVYPASVLTVS >itb02g12320.t1 pep chromosome:ASM357664v1:2:8404985:8405524:1 gene:itb02g12320 transcript:itb02g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEPETEEDSLPPSLAALKLVDSPILFLAVFHKVLRSELAQLRRLAVEAVSGNGSLGLDLVDELRRRFQFLKLVCKYHCAAEDEVRLASYFSIN >itb03g12710.t1 pep chromosome:ASM357664v1:3:12342599:12350977:1 gene:itb03g12710 transcript:itb03g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESVSQDDSKKEQEEEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEKSLIDRVERLTGRQPHVFLRRGVFFAHRDFSEILDAYERGDKFYLYTGRGPSSEALHMGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLTVEESQRLARENAKDIIACGFDISRTFIFSDFDYVGGAFYKNMVKVAKCVTYNKVVGIFGFSGEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDNLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPALIESSFFPALQGETGKMSASDPNSAIYVTDSAKDIKNKINRYAFSGGQDSIELHRKYGANLEVDIPIKYLGFFLEDDAELEHIRKEYGAGRMLTGEVKKRLIEVITEVVERHRRARAAVTDEMVDAFMAVRPLPNMFC >itb01g27980.t1 pep chromosome:ASM357664v1:1:32534046:32535463:-1 gene:itb01g27980 transcript:itb01g27980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVSYFFPLALTLVFLAAAATVANAMYNVESYGAKADGRTDSSAAFLKAWDAVCALNRPATILVPRGEFLLRNVLFHGEKCGNRNGITFQISGTLLAPSDYNVIGHSDAWIKFNRVTALSIIGGTLDAQGSSLWKCKNGKGGCPEGSTTLAIYDSDNVAIMGLTSQNSQKFHILIKGTRNAKLQGVKISAPEDSPNTDGIHVQSSSGVTITNSNIGTGDDCISIGPGTTNLLVQSIACGPGHGISIGSLGWSANEAGVENVKVRNVQFTGTENGVRIKTWARPSNGYVRNVLFQRATMVDVHNPIIIDQNYCPHNENCPHQSSGIKISGITFEDIHGTSATEVGVELQCSKSRPCSGITVDDVKLTYNGRPAQASCTNAACPRIFSRY >itb07g23570.t1 pep chromosome:ASM357664v1:7:27944519:27947535:-1 gene:itb07g23570 transcript:itb07g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGVFSRRRTAAEMEDSDEVVLSEESHDVHVLAVDDSLVDRKVIEKLLKITACKVTTVDSGRRALQILGLDEEKTSVQFDGLKVDLIITDYCMPGMTGYELLKKIKGSSFREIPVVIMSSENVLARIDRCLEEGAEDFLLKPVKLSDVKRLKSYMFGDDRFHGEDGGTNKPSESPEISDDTSSSSAPSLSLSPSPTTSMDLSSCLSLSPSPTSIDLSATPSPPSTSSPSSPKTFSSSSSPSTNSSSPPSPVAPASPTRILKRRDGD >itb05g24010.t1 pep chromosome:ASM357664v1:5:28989210:28996265:1 gene:itb05g24010 transcript:itb05g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRSRTQRKHFRQSRDNVWKRSKFDAQQPSDNSAAADAGEKDNRNSKPTWEPFATQNPAFDEYYKEQGIVPPEEWDRFNEYLRSPLPAAFRINSRGYTLKKEEEFYLSQIYMDIRSKLENDFVKSLQAEITDGSEVEAIRPLPWYPENLAWQSNFSRNELRKNQTLERFHEFLKLQNEIGNITRQEAVSMVPPLFLDVNPDHFVLDMCAAPGSKTFQLLEMIYHSVESGSLPSGMVIANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQHFPSCHLNRHHAKGSEIRNVEDPDFTQLQYDRVLCDVPCSGDGTLRKAPDIWRKWNGGNGNGLHGLQVQIAMRGMSLLKVGGRMVYSTCSMNPIENEAVVAEVLRRCGESVELLDVSAEIPQLIRRPGLKRWKVRDRGAWLASYRDVPKSRRAAIVPGMFPSGKTHVDTSENGDDSTSILIDDGVNEDSKNGDPVVEELITSTDILEEEVSTLPLERCMRIVPHDQNTGAFFIAVFHKLSPLPALPKKPVNQPRKLHSNNDIQAEKLTTEVKEDTDLVQAKPTDISSVLPELTRGTDALDNEMMDDTAVDTESSIAPEENETEDIEPSNNISTNPDSSITPEENDTEDIEPSNNTSTNPEMVRGKRKLQIQGRWRGVDPVIFYKEETVVGKIKDFYGIKESFPFNGHLITRNSDINHVKRIYYTSTSVKKVLELNFLGGQQLKIASVGLKMFERQTSKDGTSAPCLFRISSEGLPLLLPHITKQILYASPVDIKHLLEQKSIKFANFVDANLGEKASNLLLGCCVVILNKDGLEDPQVDSSTVAIGCWRGRSNVSVMVTSLDCQELLERMSQYTEEDPTLSVTDTKPSAVDAQVSTLD >itb05g24010.t2 pep chromosome:ASM357664v1:5:28989203:28996344:1 gene:itb05g24010 transcript:itb05g24010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRSRTQRKHFRQSRDNVWKRSKFDAQQPSDNSAAADAGEKDNRNSKPTWEPFATQNPAFDEYYKEQGIVPPEEWDRFNEYLRSPLPAAFRINSSSQIYMDIRSKLENDFVKSLQAEITDGSEVEAIRPLPWYPENLAWQSNFSRNELRKNQTLERFHEFLKLQNEIGNITRQEAVSMVPPLFLDVNPDHFVLDMCAAPGSKTFQLLEMIYHSVESGSLPSGMVIANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQHFPSCHLNRHHAKGSEIRNVEDPDFTQLQYDRVLCDVPCSGDGTLRKAPDIWRKWNGGNGNGLHGLQVQIAMRGMSLLKVGGRMVYSTCSMNPIENEAVVAEVLRRCGESVELLDVSAEIPQLIRRPGLKRWKVRDRGAWLASYRDVPKSRRAAIVPGMFPSGKTHVDTSENGDDSTSILIDDGVNEDSKNGDPVVEELITSTDILEEEVSTLPLERCMRIVPHDQNTGAFFIAVFHKLSPLPALPKKPVNQPRKLHSNNDIQAEKLTTEVKEDTDLVQAKPTDISSVLPELTRGTDALDNEMMDDTAVDTESSIAPEENETEDIEPSNNISTNPDSSITPEENDTEDIEPSNNTSTNPEMVRGKRKLQIQGRWRGVDPVIFYKEETVVGKIKDFYGIKESFPFNGHLITRNSDINHVKRIYYTSTSVKKVLELNFLGGQQLKIASVGLKMFERQTSKDGTSAPCLFRISSEGLPLLLPHITKQILYASPVDIKHLLEQKSIKFANFVDANLGEKASNLLLGCCVVILNKDGLEDPQVDSSTVAIGCWRGRSNVSVMVTSLDCQELLERMSQYTEEDPTLSVTDTKPSAVDAQVSTLD >itb05g02450.t1 pep chromosome:ASM357664v1:5:1985288:1985572:1 gene:itb05g02450 transcript:itb05g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFVSFFLFSRSNAVVGGGHQTQWLGKATASPPSPAYSLRRRATVASLPFDVDKASPTVQQWIRASIPVSPLFSGKAVRVAATGRSTATTG >itb06g16220.t1 pep chromosome:ASM357664v1:6:20410439:20412051:-1 gene:itb06g16220 transcript:itb06g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFDWDDEPFHVGGSNSATTGITAMVVGQAKPQVEELAPAPAPFDPIHMVSSWNLPERQEAAARLAADSMAARQGWSDAAAIDGSGMCASGRDVLNRSGYVLNQGSGGLMGDFCNFKAKAAPAAGSQLESFDCLLSATNSNTDTSVEDDEISMIFSADCKSLWNFNTGNAVSSGESACLEETVAKTATISDTINCSNLLGKRENPDPSNFNLIPENIQPKSKKSRQENPRPSSSNINFQQPSSSASSVEEPDSEAIAQMKEMIYRAAAFRPVNFGAEAVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALEAFGQKIDSSSSSLNFNHFIPPSLPFNNYSFPMQPNNLPLYNPNPIHRPRS >itb15g02890.t1 pep chromosome:ASM357664v1:15:1843211:1845446:-1 gene:itb15g02890 transcript:itb15g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRFPQPSDPQLNLSVMAVQRRQLARESPIILPPPPSAAATACCSPPPPPHPPIPPPDHPAPTRIPNPTSVFSGSDSCERKMEQKGLEAVKEEEEEDDERITTGGNDSKKDGISIALQDSFISQPFSSFHQDGRWCGGEREVPLKKRRGNGFEKYVVGKETTNKPPKMKSKTNKKCVQETGNSGDHGAAAAADCGGGYRYDAVKKSKRGSEIVEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSVNAVKNRQMGVSTNAKTKPHNAEESAERSWDSSKEKPLLLENSDDDDDDDDDDNNEREKPCSGAKKRVKLGMVKARSISSLLGQTDNLVATKAAENEGEMRVN >itb14g00840.t2 pep chromosome:ASM357664v1:14:591953:595227:1 gene:itb14g00840 transcript:itb14g00840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIENVIREIYKCGYACCRVWFDMQMITTAIKQLNEEGGSSEEAISECIMNDNHDLPWAHSTLLKHHLVKLCEKREIVQTRDGLYVLNDRIASVPHVSIPSNPREFFDSTASPSCSLSISLASDSSSDSLYGGSSRRKGKRRRRRKSKKARNTRKGRQQKGSARRGNNIHLKRKRKVQRRPPKKGKWKEEISSDEEMEDELLDIMLEEGMAVIRAENQLNEQKVTVEEELHQSEDINEDWRPLEIQEITNISPREADRLDSTPMNAKIDVMEVQDPVVNEKMEVDGGQNHIREQDLMQKKSRSWSCEKDSGEIPIPMNEGDAQNPVFEARTDDIEAQNEQKEQEMMNGRSPLGLEHNANQLEMNAEMKAMELQEGTVVIEEQNQLKQLEVNEEEPRDNQQEVRGEKNTGEENSLLCVSNQLQEQKLLEELHPLEEAKQGGNQPEMLEVSPSIRREEDSRHEIIQTNEDIEVIEVKDRDVEEQITGNPAQKEQEVMEESHQSPKMEIEDKQEDMDEKKRDWACEKDVLSQEREAQDLLLQDVDEGEHQPMQVDAMLEEENPLQLIETIDQLENPNLVNDTEFQEMVDEGLKEHGCQESRGKEIIHSPKNSSFLDEEQQSIQTEAEIVQDQNTEEINVLEITNEPEVGRCLRSGRKMKSGQKHEAVETSTGSEAPAHEETIDIKLNDQQEQETVACEEQSIEKAKTGMVDDPYIVCSSDEVEEISSPLQQNNEEEQQELQYSEDTKQEQLSQRQLRPRVPKTEPGTQEHRIPQVVLSSEATRRVVTRGMAAATALDEQAPQQRKKRLKSQVGEISRMPRRSTRLSLGQSKKRVSRRRT >itb14g00840.t1 pep chromosome:ASM357664v1:14:590648:595227:1 gene:itb14g00840 transcript:itb14g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPILPLPPPEPPAPENPPEKAPPESHTYNDSAMSNFRALMMKMAIESSPSKSLTPTQTLILEDKLRQCFPYLRAPDHPPYAWMITTAIKQLNEEGGSSEEAISECIMNDNHDLPWAHSTLLKHHLVKLCEKREIVQTRDGLYVLNDRIASVPHVSIPSNPREFFDSTASPSCSLSISLASDSSSDSLYGGSSRRKGKRRRRRKSKKARNTRKGRQQKGSARRGNNIHLKRKRKVQRRPPKKGKWKEEISSDEEMEDELLDIMLEEGMAVIRAENQLNEQKVTVEEELHQSEDINEDWRPLEIQEITNISPREADRLDSTPMNAKIDVMEVQDPVVNEKMEVDGGQNHIREQDLMQKKSRSWSCEKDSGEIPIPMNEGDAQNPVFEARTDDIEAQNEQKEQEMMNGRSPLGLEHNANQLEMNAEMKAMELQEGTVVIEEQNQLKQLEVNEEEPRDNQQEVRGEKNTGEENSLLCVSNQLQEQKLLEELHPLEEAKQGGNQPEMLEVSPSIRREEDSRHEIIQTNEDIEVIEVKDRDVEEQITGNPAQKEQEVMEESHQSPKMEIEDKQEDMDEKKRDWACEKDVLSQEREAQDLLLQDVDEGEHQPMQVDAMLEEENPLQLIETIDQLENPNLVNDTEFQEMVDEGLKEHGCQESRGKEIIHSPKNSSFLDEEQQSIQTEAEIVQDQNTEEINVLEITNEPEVGRCLRSGRKMKSGQKHEAVETSTGSEAPAHEETIDIKLNDQQEQETVACEEQSIEKAKTGMVDDPYIVCSSDEVEEISSPLQQNNEEEQQELQYSEDTKQEQLSQRQLRPRVPKTEPGTQEHRIPQVVLSSEATRRVVTRGMAAATALDEQAPQQRKKRLKSQVGEISRMPRRSTRLSLGQSKKRVSRRRT >itb07g01970.t1 pep chromosome:ASM357664v1:7:1185660:1186391:1 gene:itb07g01970 transcript:itb07g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTLHCRSLPKPREGELTIRLVTGAPTPSDLGIDAAAHEKTLEFVFDPHYELQSLDRSFRFFSATLETFFGLDRQASRQIGQQMGSVAYDCLRGRRCGLSMWAELQPPPPAAEVILGNLVFNGGGGDGSGECCHVCLEDFERGDVITELSLCLHKFHNECIAKWVRRNRTCPVCRGRV >itb01g25250.t1 pep chromosome:ASM357664v1:1:30710608:30712429:-1 gene:itb01g25250 transcript:itb01g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVLTSEPNTKTTKAISFPSYLNCGDEESFELKIGEPSRGINPFISGTRKHVHHLEANKAEDGEIDVFSAEKYFREAVEEEEEEEHPRNAANSTPKYHHKKDDDPAEEVVVVVDPVKPKSRPRTPSTRSESSWNSRSLLVQKVPRTDHQQPKNSSRFGRSFLASIGCNYCSCRDKNSLDVEEKIGEISFNRRSPGRAEKPPLSRNMQIKDFPVFYPRNGNIGAKKKLQEEEEEMKRNSIEVFGSPVLDKPGRKSFNLERRLTMVAWDAIAPTRSENENPLSSNGIIDYDTASEASSDLFEIESFPGNSNPFLPCVTPTTSYAPSEASIEWSVVTASAADFSIASDTEEPKILSSSASFHRSIQNGRELMAKEKTKRLPSILLGCKSQKAVVVAGDAYRTATEKAGARRHHSSEAFMPLTRFHAESKIATGFGVRNAGSFSASISNREAHVLYI >itb06g25690.t2 pep chromosome:ASM357664v1:6:26625241:26626084:-1 gene:itb06g25690 transcript:itb06g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATETPPSSSGKLDRKTVERNRRIHMKNLCGKLVSLIPPQHLQPASSRELVSQQDQLDQAVTYIKQLKERVEKLKNVKKRKLVPARKEEEEEERCSGSAPASLKAPPILQVRDLGSSLEVNFISCCTKNFILHQVIKIVEEEGGQVVSAIFSTIGDKVFYTLHAQVRTVTP >itb06g25690.t1 pep chromosome:ASM357664v1:6:26624820:26626084:-1 gene:itb06g25690 transcript:itb06g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATETPPSSSGKLDRKTVERNRRIHMKNLCGKLVSLIPPQHLQPASSRELVSQQDQLDQAVTYIKQLKERVEKLKNVKKRKLVPARKEEEEEERCSGSAPASLKAPPILQVRDLGSSLEVNFISCCTKNFILHQVIKIVEEEGGQVVSAIFSTIGDKVFYTLHAQVKVTRLGMNTLEVYERLKKLIISQLPARQE >itb03g06020.t1 pep chromosome:ASM357664v1:3:4262123:4268294:1 gene:itb03g06020 transcript:itb03g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGWRTAYSSSGALPSNNKGSTAFYALSRIGGYRQLSAAESEGGNANGKCRPTPNLEIYSSAESEDSNANGTILPTPNLKVYSLAELKVVTSNFNHDMVLGTGGFGTVFKGWVDENTLAPSKPGSGMIVAIKKLNPGSLQGFEEWQNGSLENHLFRKNVDLEALSWDLRLNIAIGVARGLAFLHCSERQVICRDLKSSNILLDENYNAKISDFGLAKLVPSSENSHVTTRVLGTEGYIAPEYLETGYLYVKSDVYCFGVFLLEMLTGLRALDYKRPSGQRWLVDWVKPMLSEEGKLKSIMDVKMEGQYSSEAELQAAQLTLRCLESRPENRPSMEQVLENLKEIEAMKGGKKSKTKHGQPAKKERPKWIKSSRSQHSYLSRLESMGNICCLCGSKASDTNSTSSSSSSISSPSSSAPGISRSNNYGNTTFWAKSRTSGYSQLSGATKTPKTKSSSQLSRVVRIGDLDGTSVKRGSEHCLPSPNLKTYSFADLKAATNKFGSEMILGSGGFGTVFKGWVNGKTLAPSKSLCRMCVAIKKLNPDSFQGFQEWQSEVNFLGRLSHPNVIKLMGYCWEDEQLLLVYEFLAKGSLENHLFRRRGTILSWDLRLKIAIGAARGLAFLHTSEMEIIYRDFKASNILLDGNYNAKIADFGLAKLGPLEGNSHVTTPVMGTYGYAAPEYVKTGYLYVKSDVYGFGVVLLEMLTGLRAIDLKRPNEQQNLVDWKKPMLSQKKKLKSIMDARMEGQYSTKAALQAAQLALRCLENEPGKRPSMREVVEILEEIETMEGKKSKDSK >itb10g11960.t1 pep chromosome:ASM357664v1:10:17613555:17621388:1 gene:itb10g11960 transcript:itb10g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFHSTAYLHRTMVALHLRMMMKLSFSLFPLPLLILYSVTVCSALNITADASSLLALKSSIDIHTHRPIIMANWSNASSVCDWVGVTCGGSRYRRVIALDISQMGLSGTIPPQFGNLSFLISLNASGNNFGGVLPSELNHLRRLRALDFSANNLSGEVPSWFGFLSDLKVLNLGHNGFTGSIPLSFLNLSNLVALNFTSNLLEGHIPKDIGKLHSLMGLVLDFNKLSGAIPSTISNMSTLEYLSLEGNLHLSGEIVPKSLSRCSKLRYLNLEENNFEGTIPPEVGNITSLVILFIGGNNNLRGKIPKEIGNLHNLERFDINSDHIMGSIPPHICNISSMRALGLNENRISGTIPKEICNYPRLKRLYLQFNFLSGSIPWCIFNISTLWRINFNSNNLSGSLPPRMGFKLPNLDGIGISLNRITGAIPSYISNCSYLTAIYFDSNSLSGPIPNSLGELRLLKLLDLFDNKLTTESSSVGLNIVTSLANCRYLSELWLDNNALNTVLPSSFGNLSISLETFSIAGCNIMGGIPKEIGNLSSINSIDLSRNELSGVIPRAIRGLQMVQRLLISDNRLSGVLPSSICNLQHLGELDLNDNLIWGSIPECIGNVTSLRNLYLNSNRLNFNIPLSLWNLKDLLILGLSSNYLKGSLPQQIGNLKVAIHINLSHNYFSGNIPSTIGGLQQLQYIILANNLLQGSIPNTFAKYGSRGMVSTRCDVYSYGIMLMEVFTKKCPSNEMFSGDLTLKSWVYNSLSSTLDLIVDSTLLRAEELQLSQKLQCISTILELALNCTLESPEERVDIRVVLTKLNKIKCQLLTIL >itb01g20760.t1 pep chromosome:ASM357664v1:1:26954922:26962796:-1 gene:itb01g20760 transcript:itb01g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGRDRPRRDYPPRDYPPRSEDRSHHKRSNPPSRHLWIGNLSHSLTESTFTNHLLRFGELDSVAFQPGRSYAFVNFKHEEGAFAAMKQLQGFVIAGNSLRIEFAKAEKSSPLPRGEEYLLHRDERPSVRGSPLSQRESRTRYSSLDPPYLDKLDKPKASDRSAEPSPVLWIGFPSQLKVDEFILRKAFSPFGEIDRITAFPGRTYAFVRFKNVSAACRAKETLQGKLFGNPRVNICFARSESGTSNRDRSSMNSPPSPHFRTHEHQGFSENFRQDRNFGNVTGDHDLRSPRYYPDMESSDPHLANYGRKENLWAGEDGAFEQRRYSALGSELGPPGHAYELQSPPRKRLQDFHDFSPPQFPRRDTFYDDQWDLPEDALLFHEAKKLKPSSYHPDNELPEYPLSDSGRSKQRIYHDYPQIEILDKNFDSRPVDYRKIPDRPGSSNLPYGDENDRRNASLEGFQRSSRPLATNSERRRLTPEARPSSVNKEWKWEGTIAKGGTPVCRARCFPVGQPLDMNLPEYLDCTARTSLDMLANHYYQATGSWVVFFAPANDPDISFYNEFMNYLGEKQRAAVAKLDDCTTLFLVPPSEFSEKVLKVPGKLSMSGLILRLDPSGFGYDELHGGLEKQDTNLPPFQGMASYANPASPTGRFPPASSGPYPSGPSYPSMERAVTRNSPFVNAVGASPASFSGSSHPNGKFPDPSNANGHEYRFHQRNHEMQNLNSSTPNIASQPSYNSINSIITQEYDLAVPRTGQESSNVLKSSIPSATAMTLQPEQLAQLTSSLLGQQTQPMVAARGEDFRQPGAMPQSENAYRPMQNYVPPNNHIPEFPSSQFAQVQLQPQQQMTSMPPVQPGAQSNPPMQSSGQDNSDPETRLQATLQLAAALLKQIQQGKGN >itb01g20760.t2 pep chromosome:ASM357664v1:1:26954986:26962796:-1 gene:itb01g20760 transcript:itb01g20760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGRDRPRRDYPPRDYPPRSEDRSHHKRSNPPSRHLWIGNLSHSLTESTFTNHLLRFGELDSVAFQPGRSYAFVNFKHEEGAFAAMKQLQGFVIAGNSLRIEFAKAEKSSPLPRGEEYLLHRDERPSVRGSPLSQRESRTRYSSLDPPYLDKLDKPKASDRSAEPSPVLWIGFPSQLKVDEFILRKAFSPFGEIDRITAFPGRTYAFVRFKNVSAACRAKETLQGKLFGNPRVNICFARSESGTSNRDRSSMNSPPSPHFRTHEHQGFSENFRQDRNFGNVTGDHDLRSPRYYPDMESSDPHLANYGRKENLWAGEDGAFEQRRYSALGSELGPPGHAYELQSPPRKRLQDFHDFSPPQFPRRDTFYDDQWDLPEDALLFHEAKKLKPSSYHPDNELPEYPLSDSGRSKQRIYHDYPQIEILDKNFDSRPVDYRKIPDRPGSSNLPYGDENDRRNASLEGFQRSSRPLATNSERRRLTPEARPSSVNKEWKWEGTIAKGGTPVCRARCFPVGQPLDMNLPEYLDCTARTSLDMLANHYYQATGSWVVFFAPANDPDISFYNEFMNYLGEKQRAAVAKLDDCTTLFLVPPSEFSEKVLKVPGKLSMSGLILRGWHRMQIQHRQLGVFRQHHLGLIHQVHLTQAWRGL >itb11g04070.t1 pep chromosome:ASM357664v1:11:2165993:2169948:-1 gene:itb11g04070 transcript:itb11g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLLSLCFIILSFQFSHSFHDLPTGIHPRDKNYYASKVIKCKDGSSSFAISRLNDNFCDCPDGTDEPGTGACPMGRFYCRNIGSKPRFLFSSRVNDHICDCCDGSDENDETFSCPNTCVKGGDSSNQTTSQGSRIDQLHKFGRKATKHEIDKEDSEQNYTALQVLMVLQAILLLSVVGITLFCRRTRSRKRPLLLYRKH >itb11g04070.t5 pep chromosome:ASM357664v1:11:2165993:2168467:-1 gene:itb11g04070 transcript:itb11g04070.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLLSLCFIILSFQFSHSFHDLPTGIHPRDKNYYASKVIKCKDGSSSFAISRLNDNFCDCPDGTDEPGTGACPMGRFYCRNIGSKPRFLFSSRVNDHICDCCDGSDENDETFSCPNTCVKGGDSSNQTTSQGSRIDQLHKFGRKATKHEIDKEDSEQNYTALQVLMVLQAILLLSVVGITLFCRRTRSRKRPLLLYRKH >itb11g04070.t4 pep chromosome:ASM357664v1:11:2165993:2169948:-1 gene:itb11g04070 transcript:itb11g04070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLLSLCFIILSFQFSHSFHDLPTGIHPRDKNYYASKVIKCKDGSSSFAISRLNDNFCDCPDGTDEPGTGACPMGRFYCRNIGSKPRFLFSSRVNDHICDCCDGSDENDETFSCPNTCVKGGDSSNQTTSQGSRIDQLHKFGRKATKHEIDKEDSEQNYTGKIN >itb11g04070.t3 pep chromosome:ASM357664v1:11:2165993:2169948:-1 gene:itb11g04070 transcript:itb11g04070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLLSLCFIILSFQFSHSFHDLPTGIHPRDKNYYASKVIKCKDGSSSFAISRLNDNFCDCPDGTDEPGTGACPMGRFYCRNIGSKPRFLFSSRVNDHICDCCDGSDENDETFSCPNTCVKGGDSSNQTTSQGSRIDQLHKFGRKATKHEIDKEDSEQNYTGKIN >itb11g04070.t2 pep chromosome:ASM357664v1:11:2165993:2169948:-1 gene:itb11g04070 transcript:itb11g04070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLLSLCFIILSFQFSHSFHDLPTGIHPRDKNYYASKVIKCKDGSSSFAISRLNDNFCDCPDGTDEPGTGACPMGRFYCRNIGSKPRFLFSSRVNDHICDCCDGSDENDETFSCPNTCVKGGDSSNQTTSQGSRIDQLHKFGRKATKHEIDKEDSEQNYTALQVLMVLQAILLLSVVGITLFCRRTRSRKRPLLLYRKH >itb11g04070.t6 pep chromosome:ASM357664v1:11:2165993:2168467:-1 gene:itb11g04070 transcript:itb11g04070.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLLSLCFIILSFQFSHSFHDLPTGIHPRDKNYYASKVIKCKDGSSSFAISRLNDNFCDCPDGTDEPGTGACPMGRFYCRNIGSKPRFLFSSRVNDHICDCCDGSDENDETFSCPNTCVKGGDSSNQTTSQGSRIDQLHKFGRKATKHEIDKEDSEQNYTGKIN >itb02g01810.t1 pep chromosome:ASM357664v1:2:995822:999986:1 gene:itb02g01810 transcript:itb02g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCVACSKQLNTGSLPEAEEDDGIPTPSTRQAIKALTAQIKDMAVKASGAYKHCKPCSGGSSNNQNHSYADLDSGPVSESLHCAYRRAGSSNSTRMLRGKEIGLTIKGGFSSDGGTPASVSGRSDSVVFMEEDEPEWVAQVEPGVLITFVSLTQGGNDLKRIRFSREMFNKWEAQQWWAKNYDKVMELYNVQRFNHHAVPLPTPPKSEDENSKVESDDAPVTPPLSKERLPRHLHHPKGVGDYSPASFQSHPTPSHYCSNASGLSSTPELSSTSAAKTETSSKDASARSSSSREIAHSGELSVSNASDMETEWIEEDEPGVYITIQALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQQQYL >itb07g21430.t2 pep chromosome:ASM357664v1:7:25817165:25819703:1 gene:itb07g21430 transcript:itb07g21430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRELEMGDYRGEGGKAAADKADIDAWLPVGSERTGTWWYSTFHNVTAMVGAGVLSLPYAMSEMGWGPGVAMMVLSWAITLYTLYQMVEMHECVPGRRFDRYYELGQYAFGERLGLFIVVPQQALVEISLCIIYMVTGGSSIQKVHELLCHGCKPIKLSYFILMFSSVQFVVAYLPNLSSVGLVSLTAAVMSVSYSTIAWGSALHKWIESSVVDYGPRGTKKVDNVFMFMSALGDMAFSYAGHNVVLEIQATIPSTPENPSKKAMWKGVVIAYIVVAMCYWPVAFIGYLALGNKVDDNILLTLEKPVWLIAAANIFVVIHVVGSYQTVLLEVGGW >itb07g21430.t1 pep chromosome:ASM357664v1:7:25817165:25820782:1 gene:itb07g21430 transcript:itb07g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRELEMGDYRGEGGKAAADKADIDAWLPVGSERTGTWWYSTFHNVTAMVGAGVLSLPYAMSEMGWGPGVAMMVLSWAITLYTLYQMVEMHECVPGRRFDRYYELGQYAFGERLGLFIVVPQQALVEISLCIIYMVTGGSSIQKVHELLCHGCKPIKLSYFILMFSSVQFVVAYLPNLSSVGLVSLTAAVMSVSYSTIAWGSALHKWIESSVVDYGPRGTKKVDNVFMFMSALGDMAFSYAGHNVVLEIQATIPSTPENPSKKAMWKGVVIAYIVVAMCYWPVAFIGYLALGNKVDDNILLTLEKPVWLIAAANIFVVIHVVGSYQVYAMLMFDMIETYAVKTLKFKPTMTLRVTTRSLFVAFTLFVGISVPFFGGLLGFFGGFAFAPTTYFIPCIMWLIIKKPKRFGVSWFLNWLFIFIGVSLTIFAPIGGLYSIVKSAKTYHFYQ >itb13g02850.t1 pep chromosome:ASM357664v1:13:2770622:2773105:-1 gene:itb13g02850 transcript:itb13g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVKKEYKNAVGGTPIRRHLLNKIRDFALKAEDAIEIQLTSILQLQQKAHLSLQEVAKEAEELLKLINLPLIGWSEAAASSNSHPHNIEEDEDIIMVGRDTEFSWTMDDLLDTSSLKRSVFTIVGVPGIGKTAFCKKVYTDIAVVSHFDIRAWVTIGQRYNGNVQQLLCNLLQSMRPPPLPLNDMMLQGSTVSQLKDQLHNHLKKCKRYFIVLDDVPNTLLWDDIQQCFPVDSNGSRILLTTLFKNVAIKNGNTVQYLPYLDDIADRLSKCNFTLKEWKKIEKELLSLGILHRDTQHSISSNSNLQTLTVSGIDESTFGARTLHLTSKIWEPQHLRHLKLGDMYMIDPPNMVKEHLQTLVCAMPIHFRKEEVYYNRFPCIRKLKVVYKDALVPGCRNRIIILENFGNLLWLEALTVMVPVGCITLLERLGFPAKLKKLRLSGTNFPVKVLRVIGQLPNLKVLKLENAFYGRVWEVVEGEFPELEELMVEARSLERWVANISNPFPRLDYIVLKRCYSLEEIPLVSEKEHFMRPIKLEQCSPSAVISAKRFVTSAKRFKKETGHRFWVADHLVIDGKDVWVC >itb12g23830.t1 pep chromosome:ASM357664v1:12:25466025:25469179:-1 gene:itb12g23830 transcript:itb12g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLSECGVRPLPRVFPKPRAVSASTHSLSLPKLKSLGTDLGGCSFSLAGIKERNWALRVSAPVRAPLVSEEEREGMSSVSGNIGKDEEEVEGFDPGAPPPFKLSDIKAAIPKHCWVKDPWKSMSYVVRDVVAVFGLAAVAAYFNNWIVWPLYWFAQGTMFWALFVLGHDCGHGSFSSNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPITEKLFNTLDDVTKKLRFTLPFPLLAYPIYLWGRTPGKKGSHFHPNSDLFTPYEKKDVITSTLCWTAMVAALVGLSFVMGPLELLKLYGVPYMGFVMWLDLVTYLHHHGHEEKLPWYRGQEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLTEATEAAKPVLGKYYREPKKSSPLPFHLLGDLIRSMKSDHYVSDSGDVVYYQSDPELSGSVKKN >itb12g23830.t2 pep chromosome:ASM357664v1:12:25466025:25469179:-1 gene:itb12g23830 transcript:itb12g23830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLSECGVRPLPRVFPKPRAVSASTHSLSLPKLKSLGTDLGGCSFSLAGIKERNWALRVSAPVRAPLVSEEEREGMSSVSGNIGKDEEEVEGFDPGAPPPFKLSDIKAAIPKHCWVKDPWKSMSYVVRDVVAVFGLAAVAAYFNNWIVWPLYWFAQGTMFWALFVLGHDCGHGSFSSNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPITEKLFNTLDDVTKKLRFTLPFPLLAYPIYLWGRTPGKKGSHFHPNSDLFTPYEKKDVITSTLCWTAMVAALVGLSFVMGPLELLKLYGVPYMGFVMWLDLVTYLHHHGHEEKLPWYRGQVWRWRILVSIS >itb06g13760.t1 pep chromosome:ASM357664v1:6:18426956:18436126:-1 gene:itb06g13760 transcript:itb06g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQVIKRAKYKSSVKDTGVPGVLKMTMEKFMFKPNDPKSSSKLNVEFRLIKGHKFTKEGTSKQALLNLSQDQGKNYIFEFDSFSDRDACRDFVASAITSSGDSGKTAPAPAPAPNPTPESSAVPRDEQLSTAEMERRIKLLQEDSELQKLHKQFVIGGILSEGEFWAARKKLLDQSDAKRPKQQVALKNHIWHKKPTIDGQPNRITFNLTPEIILQIFAEKPTVRQAYLNFVPKKMTEKEFWTKYSRAEYLQGMKNAFAAAAAEAAEDEELAVFLKQDEILANEARKKIKRVDPTLDMEADEGDDYIHLPDHGLPRTDTKETEDPQTEPYKRSFGQELNQHAAVVLRGRVVDELGDPKSVAEALGTRKEVGEESDENFGKERSIRASRMAEIEDLQAPPEPPVAPLSIKDPRDYFDSQQANALKALGDSVAGNRQYKYSVSTEEAFSSLKEFITEINSQGLPEPIVSPEAAFKVFNALTQNISSTKYNLGKNPHDSVLDRLPNPIKDELLHHWTAIQELLKHFWSSYPITTKYFYTKVTKLKDAMSQIYQKLQEIKESVQSDFRHQVSLLVQPMLQALDAAFAHYEADLQKRSAKSGARPNGLL >itb04g23530.t1 pep chromosome:ASM357664v1:4:28556225:28560205:1 gene:itb04g23530 transcript:itb04g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MESCDCVEILLPTDELLVKYQYISDFFIAFAYFSIPLELIYFVHKSAFFPYRWVLMQFGAFIVLCGATHLINLWTFSSHSKTVAIVMTIAKISTAIVSCVTALMLVHIIPDLLSVKTRELFLKTRAEELDREMGLIIKQEETGRHVRMLTHEIRSTLDRHTILRTTLVELGRTLDLAECALWMPTQRGMVLQLSHTLNNLIPVGSTVPINLGIINDIFNSSGAILIPHSCELAKMRSTNTGRHVPPEVAAVRVPLIHLSNFQINDWPELSAKSYAVMVLILPMNGIRKWREHELELVQVVADQVAVALSHAAILEESMRAHDQLMQQNIALDLARQEAEMAIHARNDFLAVMNHEMRTPMHSVIALCSLLLETDLNPEQRVMMETILKSSNLLATLINDVLDLSRLEDGSLELENVTFNLHGVFREVVNMIKPIAAVKKLSTTLSLALDVPIHAVGDAKRLTQIMLNVAGNAVKFTKEGQISIEASVAKPDYIRGSRQGEFYPPSTEGHFYLRMQVKDSGSGISPQDIPLIFTKFTEARSASNRSNSGAGLGLAICRRFVQLMGGHIWIESEGLGKGTTVTFIVKLGSCNYPNAPAIVAPRGRANQGSDDLFKYRQYHRADGSMYAPVPRYQRSL >itb15g08970.t1 pep chromosome:ASM357664v1:15:6375127:6375793:-1 gene:itb15g08970 transcript:itb15g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALFINSMLHRLFSIPRLPLLFYAASWTTVLTVTVALASFTPELAFVSAITPASSFSAPCGAGGSSSVRIPLDVPSEVFCFPAELFRKSKMDLVVPPIFAAVVVAASAYVVKALSLWESADQGERH >itb04g25140.t1 pep chromosome:ASM357664v1:4:29808403:29814428:-1 gene:itb04g25140 transcript:itb04g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGFQTSPFDRIPVGAGEMPAEKLKDSDIVFSAGNFEFPGITRGEDRRRGCQYTVPASDLPELYWNLPLSHSSAPRSPLLFVFCFLSYTIRMAGKGGKGLLAGKTTAAAAAAANKDKDKKRPVSRSSRAGLQFPVGRIHRQLKTRTSAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTNPKE >itb13g06020.t3 pep chromosome:ASM357664v1:13:7114004:7115783:-1 gene:itb13g06020 transcript:itb13g06020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFAAGEGAAAAKSPGPGEDRNGAYYVKLRNDELEESSGDCIGGDGSPSSQAAEAVVAVGCEVGGRWWSFWWWAKLLLLLIFLGVLAAVFLIWVGPFFMDKEIIPILNWETKTFSPPVLAVFVFGSVALFPTFLLPSTPSMWVAGMSFGYGYGFLLIIGGAAIGVSLPYFIGYLFRRRVQVFIERYPKNASMIRLAGEGDCLNQFQAVALIRISPFPYIVYNYCAMATGVKYFPYLLGSLVGMVPEIFVAIYT >itb13g06020.t2 pep chromosome:ASM357664v1:13:7112098:7115783:-1 gene:itb13g06020 transcript:itb13g06020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFAAGEGAAAAKSPGPGEDRNGAYYVKLRNDELEESSGDCIGGDGSPSSQAAEAVVAVGCEVGGRWWSFWWWAKLLLLLIFLGVLAAVFLIWVGPFFMDKEIIPILNWETKTFSPPVLAVFVFGSVALFPTFLLPSTPSMWVAGMSFGYGYGFLLIIGGAAIGVSLPYFIGYLFRRRVQVFIERYPKNASMIRLAGEGDCLNQFQAVALIRISPFPYIVYNYCAMATGVKYFPYLLGSLVGMVPEIFVAIYTGILIKTLANASHDQHSLSAPQIICTVFGFCLTVATTVLITVYAKRRLKQLQADDELLLQ >itb13g06020.t1 pep chromosome:ASM357664v1:13:7110386:7115783:-1 gene:itb13g06020 transcript:itb13g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFAAGEGAAAAKSPGPGEDRNGAYYVKLRNDELEESSGDCIGGDGSPSSQAAEAVVAVGCEVGGRWWSFWWWAKLLLLLIFLGVLAAVFLIWVGPFFMDKEIIPILNWETKTFSPPVLAVFVFGSVALFPTFLLPSTPSMWVAGMSFGYGYGFLLIIGGAAIGVSLPYFIGYLFRRRVQVFIERYPKNASMIRLAGEGDCLNQFQAVALIRISPFPYIVYNYCAMATGVKYFPYLLGSLVGMVPEIFVAIYTGILIKTLANASHDQHSLSAPQIICTVFGFCLTVATTVLITVYAKRRLKQLQADDELLLQ >itb02g03470.t1 pep chromosome:ASM357664v1:2:2007031:2009042:-1 gene:itb02g03470 transcript:itb02g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFNWMQNRFNGGQAGSRKGYPVPTPTTNHGKQEPRNEEFSDWPGSFLAIGTLGNNDPPESARKAEENEIIVEEEEEEEQCSSPDLAEFTPEEVGKLQKALTKLLSRKPALKGEAQSNADLPLDRFLNCPSSLEVDRRLSNTSAANSVLYDCEEDEIDRTIRAIIGRCKDVCEKNKQHKSIGKKSVSFLVKKMFVCRSGFAPSPSLRDTFQESRMEKLLRTILSKKIYPQNPSRAASLKRFLENKRTSSSAATAEEEEEEKKRGRTCDSGRWDKTDSEYIVLEI >itb13g14350.t2 pep chromosome:ASM357664v1:13:20924643:20928726:-1 gene:itb13g14350 transcript:itb13g14350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFWPVEEGRDNCLPLVSVFRFLSAAVLSAVMGCFFSCFRLGGSGSGSGDPPTTPHRSRYQLVSQPEAAVPSNWCNLSSLFISDEKEDHYGLEAKGVGKQDIGTPELELDVTELKNQAKFLKACGTLQEAPAEIQKLSKECEDPSALKPDQPLTPSKICKEVMEGAHSSVLTPSSYMDNGEKSIGSSTINGCVSRNTPSIEINANKAQGYTVSSVSPAIPPSSTHINKSVRFELESYPTMSAKTFSSRLASLKSQHSPYPTPLKLTDEMQTPGTVFPSYVNNVANGKNPRVRYQYVVSTSNLELKELMDEDSTSILLPRDMGESLEHPNETNLNSEMVLRETVANKELELEASLSSLLNPSLNQVDSNLHFVESVHYGRTPGDRPILGTVAADWYDHDTSHISPKWWNGNGIPNTTTKYKEDQKVSWHATPFEERLEKALSDETLVSQRTQISCPAPISFNENEGSFAQ >itb13g14350.t4 pep chromosome:ASM357664v1:13:20924643:20928726:-1 gene:itb13g14350 transcript:itb13g14350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFWPVEEGRDNCLPLVSVFRFLSAAVLSAVMGCFFSCFRLGGSGSGSGDPPTTPHRSRYQLVSQPEAAVPSNWCNLSSLFISDEKEDHYGLEAKGVGKQDIGTPELELDVTELKNQAKFLKACGTLQEAPAEIQKLSKECEDPSALKVVSPNSGSWLPNSSIDNQNLVEQPDQPLTPSKICKEVMEGAHSSVLTPSSYMDNGEKSIGSSTINGCVSRNTPSIEINANKAQGYTVSSVSPAIPPSSTHINKSVRFELESYPTMSAKTFSSRLASLKSQHSPYPTPLKLTDEMQTPGTVFPSYVNNVANGKNPRVRYQYVVSTSNLELKELMDEDSTSILLPRDMGESLEHPNETNLNSEMVLRETVANKELELEASLSSLLNPSLNQVDSNLHFVESVHYGRTPGDRPILGTVAADWYDHDTSHISPKWWNGNGIPNTTTKYKEDQKVSWHATPFEERLEKALSDETLVSQRTQISCPAPISFNENEGSFAQ >itb13g14350.t3 pep chromosome:ASM357664v1:13:20925297:20928726:-1 gene:itb13g14350 transcript:itb13g14350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFWPVEEGRDNCLPLVSVFRFLSAAVLSAVMGCFFSCFRLGGSGSGSGDPPTTPHRSRYQLVSQPEAAVPSNWCNLSSLFISDEKEDHYGLEAKGVGKQDIGTPELELDVTELKNQAKFLKACGTLQEAPAEIQKLSKECEDPSALKVVSPNSGSWLPNSSIDNQNLVEQPDQPLTPSKICKEVMEGAHSSVLTPSSYMDNGEKSIGSSTINGCVSRNTPSIEINANKAQGYTVSSVSPAIPPSSTHINKSVRFELESYPTMSAKTFSSRLASLKSQHSPYPTPLKLTDEMQTPGTVFPSYVNNVANGKNPRVRDMGESLEHPNETNLNSEMVLRETVANKELELEASLSSLLNPSLNQVDSNLHFVESVHYGRTPGDRPILGTVAADWYDHDTSHISPKWWNGNGIPNTTTKYKEDQKVSWHATPFEERLEKALSDETLVSQRYGFRVFFCSFLLTVLH >itb13g14350.t5 pep chromosome:ASM357664v1:13:20925297:20928726:-1 gene:itb13g14350 transcript:itb13g14350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFWPVEEGRDNCLPLVSVFRFLSAAVLSAVMGCFFSCFRLGGSGSGSGDPPTTPHRSRYQLVSQPEAAVPSNWCNLSSLFISDEKEDHYGLEAKGVGKQDIGTPELELDVTELKNQAKFLKACGTLQEAPAEIQKLSKECEDPSALKVVSPNSGSWLPNSSIDNQNLVEQPDQPLTPSKICKEVMEGAHSSVLTPSSYMDNGEKSIGSSTINGCVSRNTPSIEINANKAQGYTVSSVSPAIPPSSTHINKSVRFELESYPTMSAKTFSSRLASLKSQHSPYPTPLKLTDEMQTPGTVFPSYVNNVANGKNPRVRYQYVVSTSNLELKELMDEDSTSILLPRDMGESLEHPNETNLNSEMVLRETVANKELELEASLSSLLNPSLNQVDSNLHFVESVHYGRTPGDRPILGTVAADWYDHDTSHISPKWWNGNGIPNTTTKYKEDQKVSWHATPFEERLEKALSDETLVSQRYGFRVFFCSFLLTVLH >itb13g14350.t1 pep chromosome:ASM357664v1:13:20924643:20928726:-1 gene:itb13g14350 transcript:itb13g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFWPVEEGRDNCLPLVSVFRFLSAAVLSAVMGCFFSCFRLGGSGSGSGDPPTTPHRSRYQLVSQPEAAVPSNWCNLSSLFISDEKEDHYGLEAKGVGKQDIGTPELELDVTELKNQAKFLKACGTLQEAPAEIQKLSKECEDPSALKVVSPNSGSWLPNSSIDNQNLVEQPDQPLTPSKICKEVMEGAHSSVLTPSSYMDNGEKSIGSSTINGCVSRNTPSIEINANKAQGYTVSSVSPAIPPSSTHINKSVRFELESYPTMSAKTFSSRLASLKSQHSPYPTPLKLTDEMQTPGTVFPSYVNNVANGKNPRVRDMGESLEHPNETNLNSEMVLRETVANKELELEASLSSLLNPSLNQVDSNLHFVESVHYGRTPGDRPILGTVAADWYDHDTSHISPKWWNGNGIPNTTTKYKEDQKVSWHATPFEERLEKALSDETLVSQRTQISCPAPISFNENEGSFAQ >itb05g04200.t2 pep chromosome:ASM357664v1:5:3659997:3668423:1 gene:itb05g04200 transcript:itb05g04200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREITYASPSSRDLRAGAAAADSAPLSPLSSAAMRTSRPVKVKRMTSTEWIELCLPCYRWIRTYNWRQDLQADLMAGITVGIMLVPQSMSYAKLAGLQPIYGLYSGFIPIFVYAIFGSSRQLAIGPVALTSLLVSNVLSSTVDPSDELYTELAILLALLVGILECIMGLLRLGWLIRFISHSVISGFTTASAVVIGLSQIKYFLGYDIERSSKIIPLIESIISGADQFSWPPFVMGSIMLAILLTMKHLGKSRKYLRFLRAAGPLTAVVLGTVFVKMFHPTSISLVGEIPQGLPKFSVPKEFDHIQFLIPTTMLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSSYPTTGSFSRSAVNHESGARTGLTGIVMGIIMCCALLFLTPLFEYIPQCSLAAIVISAVIGLVDYDEAIFLWRVDKKDFLLWAITCITTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIRQYPEAYTYNGIVVVRIDAPLYFANISYIKDRLREYEIAKDGSSSHGPEVSRVHFVIIEMAPVTYIDASAVQALKDLYQEYKSRHIQLAISNPNQEVLVSLAKAGVVELIGKEWYFVRVHDAVQICLRHVQSLNEFPNTQEVSSDNSPNAILFERLLKQRKDEFSTAEVESGNGRFLQSKDADPQLEPLLSKK >itb05g04200.t1 pep chromosome:ASM357664v1:5:3659997:3668423:1 gene:itb05g04200 transcript:itb05g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREITYASPSSRDLRAGAAAADSAPLSPLSSAAMRTSRPVKVIPLQHPTDAASPSPSVAGSAVSRWREKVKRMTSTEWIELCLPCYRWIRTYNWRQDLQADLMAGITVGIMLVPQSMSYAKLAGLQPIYGLYSGFIPIFVYAIFGSSRQLAIGPVALTSLLVSNVLSSTVDPSDELYTELAILLALLVGILECIMGLLRLGWLIRFISHSVISGFTTASAVVIGLSQIKYFLGYDIERSSKIIPLIESIISGADQFSWPPFVMGSIMLAILLTMKHLGKSRKYLRFLRAAGPLTAVVLGTVFVKMFHPTSISLVGEIPQGLPKFSVPKEFDHIQFLIPTTMLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSSYPTTGSFSRSAVNHESGARTGLTGIVMGIIMCCALLFLTPLFEYIPQCSLAAIVISAVIGLVDYDEAIFLWRVDKKDFLLWAITCITTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIRQYPEAYTYNGIVVVRIDAPLYFANISYIKDRLREYEIAKDGSSSHGPEVSRVHFVIIEMAPVTYIDASAVQALKDLYQEYKSRHIQLAISNPNQEVLVSLAKAGVVELIGKEWYFVRVHDAVQICLRHVQSLNEFPNTQEVSSDNSPNAILFERLLKQRKDEFSTAEVESGNGRFLQSKDADPQLEPLLSKK >itb14g03860.t4 pep chromosome:ASM357664v1:14:3484056:3489310:1 gene:itb14g03860 transcript:itb14g03860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MESQLGLIEQSFNVRYSSLVRDALDSLPDSFTITDPSISGHPIVYASAGFLKLFGYSKDEVIGRNGRAFQGPKTDRRSVMEIREAIREERAIQISLLNYRKDGTPFWMLFHMSPVFSEDGRVIHFVAVQVPILKRQRRVSGCGEGRNGINLCQDGAGFREPVFRCCRRDVCLNSVSQPGPALSQYSVSELDYPEPEVDDPCEASDLEKRKSTAALNNILSVLMNYSELTGRSVSGKRCSPPRAGLLGASLTLSLGRIKQSFVLTDPHIPDMPIVYASDAFLRLTGYSRDEVLGRNCRFLSGLDTDSLTQFQIKECIRTEQLCTVRILNYRKDGTPFWNLLHISPVRNATGKCSSFVGYFHA >itb14g03860.t3 pep chromosome:ASM357664v1:14:3484039:3488015:1 gene:itb14g03860 transcript:itb14g03860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MESQLGLIEQSFNVRYSSLVRDALDSLPDSFTITDPSISGHPIVYASAGFLKLFGYSKDEVIGRNGRAFQGPKTDRRSVMEIREAIREERAIQISLLNYRKDGTPFWMLFHMSPVFSEDGRVIHFVAVQVPILKRQRRVSGCGEGRNGINLCQDGAGFREPVFRCCRRDVCLNSVSQPGPALSQYSVSELDYPEPEVDDPCEASDLEKRKSTAALNNILSVLMNYSELTGRSVSGKRCSPPRAGLLGASLTLSLGRIKQSFVLTDPHIPDMPIVYASDAFLRLTGYSRDEVLGRNCRFLSGLDTDSLTQFQIKECIRTEQLCTVRILNYRKDGTPFWNLLHISPVRNATGKVAYFVGVQIEDAGNTQEKHGLKPDRSQFGTVAAVRVAVRGSSMSASSS >itb14g03860.t1 pep chromosome:ASM357664v1:14:3484056:3487902:1 gene:itb14g03860 transcript:itb14g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MESQLGLIEQSFNVRYSSLVRDALDSLPDSFTITDPSISGHPIVYASAGFLKLFGYSKDEVIGRNGRAFQGPKTDRRSVMEIREAIREERAIQISLLNYRKDGTPFWMLFHMSPVFSEDGRVIHFVAVQVPILKRQRRVSGCGEGRNGINLCQDGAGFREPVFRCCRRDVCLNSVSQPGPALSQYSVSELDYPEPEVDDPCEASDLEKRKSTAALNNILSVLMNYSELTGRSVSGKRCSPPRAGLLGASLTLSLGRIKQSFVLTDPHIPDMPIVYASDAFLRLTGYSRDEVLGRNCRFLSGLDTDSLTQFQIKECIRTEQLCTVRILNYRKDGTPFWNLLHISPVRNATGKVAYFVGVQIEDAGNTQEKHGLKPDRSQFGTVAAVRVAVRGSSMSASSS >itb14g03860.t2 pep chromosome:ASM357664v1:14:3484051:3487902:1 gene:itb14g03860 transcript:itb14g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MESQLGLIEQSFNVRYSSLVRDALDSLPDSFTITDPSISGHPIVYASAGFLKLFGYSKDEVIGRNGRAFQGPKTDRRSVMEIREAIREERAIQISLLNYRKDGTPFWMLFHMSPVFSEDGRVIHFVAVQVPILKRQRRVSGCGEGRNGINLCQDGAGFREPVFRCCRRDVCLNSVSQPGPALSQYSVSELDYPEPEVDDPCEASDLEKRKSTAALNNILSVLMNYSELTGRSVSGKRCSPPRAGLLGASLTLSLGRIKQSFVLTDPHIPDMPIVYASDAFLRLTDKRMHSNRATMHSTYLKLQKRWDTVLEFAAYFTCSECYRQGCILCWRSNRRCGQYPRETWIKT >itb04g19060.t1 pep chromosome:ASM357664v1:4:23061494:23062051:1 gene:itb04g19060 transcript:itb04g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETPTAFSGAAAMSQETAMFSDDIDSSCSTPYVSAPSSPGRSQPHCGFYYSAPASPMHFVLSTTSLISSASVHSEASAAAAAANSSFEFDFSSRLAGEGDACAGSMSSADELFFNGQIRPMKLSTHLQRPQVLTPLVDLDESEEADMDRSEEHACRGRDLKFRDRSLRRRTQSMSPLRTTSF >itb05g19380.t1 pep chromosome:ASM357664v1:5:25910395:25912588:-1 gene:itb05g19380 transcript:itb05g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLWEALKEAITTYTGLSPATFFTVAALVLAIYYVVSGMFGSSDHSNYQRPREMGEEMQPLPPPVQVGEISEAELKQYDGSDPKKPLLMAIKGQIYDVSQSRIFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDVSGLGPFELEALQDWEYKFMSKYVKVGTVKKAVAESEGTANGESSEATEQEVKQADVSPENAKLAEDGPSKTVNPVEHTTPESISSDGVSASDGNADKKD >itb08g01890.t2 pep chromosome:ASM357664v1:8:1511622:1512335:-1 gene:itb08g01890 transcript:itb08g01890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVSGVVVPAAAAAGGDSDHSDLEASVVREADSSRVVEPEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQSTESAQEDLKTQLESLKKELSTRESGRPDPPPTDPPPKLAGKIVDIDVDVKIIGWDAMIRIQCSKKNHPAARLMVALKDLDLDVHHASVSVVNDLMIQQATVKMGSHVYNQEELRLALTSSITSNR >itb08g01890.t1 pep chromosome:ASM357664v1:8:1510995:1513786:-1 gene:itb08g01890 transcript:itb08g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRLPSTNLWAATAAGAAGDDASMMEAFLSSDMPQFWLPPSSNNTTTAINAAGSAAMNTTTSHAPSHAPPVTAAFNQETLQQRLQTLIDGAQESWTYAIFWQSSVDDFAAQAFLGWGDGYYKGEEDKAKRSKKGSSPASIAEQEHRKKVLRELNSLISGPASTDDAVDEEVTDTEWFFLVSMTQSFMTGSGLPGQAFYTSNPVWLAGPERLASSVCERARQAQGFGLQTMVCIPSANGVVELGSTEVIFQSTDLMNKVRVLFNFNNPDLGSLSASGSWAAAPENDPSALWLTDPGVEVKDSVNTNVQANSFPSSITKQIVFGNENPNPETVQTQNNSQLFPRGLNFSEFGLDGAAVRNETTSLSCKRESGEILNFGENAVKRSACTGNGNDAIFPGQFPATDENKTKKRTTTSRGSNDEGMLSFVSGVVVPAAAAAGGDSDHSDLEASVVREADSSRVVEPEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQSTESAQEDLKTQLESLKKELSTRESGRPDPPPTDPPPKLAGKIVDIDVDVKIIGWDAMIRIQCSKKNHPAARLMVALKDLDLDVHHASVSVVNDLMIQQATVKMGSHVYNQEELRLALTSSITSNR >itb08g01890.t3 pep chromosome:ASM357664v1:8:1511622:1513601:-1 gene:itb08g01890 transcript:itb08g01890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRLPSTNLWAATAAGAAGDDASMMEAFLSSDMPQFWLPPSSNNTTTAINAAGSAAMNTTTSHAPSHAPPVTAAFNQETLQQRLQTLIDGAQESWTYAIFWQSSVDDFAAQAFLGWGDGYYKGEEDKAKRSKKGSSPASIAEQEHRKKVLRELNSLISGPASTDDAVDEEVTDTEWFFLVSMTQSFMTGSGLPGQAFYTSNPVWLAGPERLASSVCERARQAQGFGLQTMVCIPSANGVVELGSTEVIFQSTDLMNKVRVLFNFNNPDLGSLSASGSWAAAPENDPSALWLTDPGVEVKDSVNTNVQANSFPSSITKQIVFGNENPNPETVQTQNNSQLFPRGLNFSEFGLDGAAVRNETTSLSCKRESGEILNFGENAVKRSACTGNGNDAIFPGQFPATDENKTKKRTTTSRGSNDEGMLSFVSGVVVPAAAAAGGDSDHSDLEASVVREADSSRVVEPEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQSTESAQEDLKTQLESLKKELSTRESGRPDPPPTDPPPKLAGKIVDIDVDVKIIGWDAMIRIQCSKKNHPAARLMVALKDLDLDVHHASVSVVNDLMIQQATVKMGSHVYNQEELRLALTSSITSNR >itb03g28620.t1 pep chromosome:ASM357664v1:3:29309829:29318709:1 gene:itb03g28620 transcript:itb03g28620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASQSSSCPILCASFNQDNSCFTIGTKEGFKIFDSNTGKLLYERAAGAFIIVEMLYRSSLLAIVGAGEQPSLSPRRLCLFNTMTGVALQELKFLTSILAVRMNKQRLIVILQEKTFIYDINSLKILDTIDTVPNSRGHCAFSPCLDGSFLALPASTTKGSVLVYNVMDLHLHCEIDAHRSPLTSMALSSRGMYIATASEQGTIVRVHLVSDATKSYSFRRGTCPSIIYSLSFAPMTGLPDIVLATSSSGSAHIFSLSNTLNQRTKRSSGLFASVIPDSVCDALDPAHHHVLHNAVSAGVKSNTLIRKTDKVSEPSTSGTVALRATISVITLAGYFMEYGYSVNYQNESSWALEREFNLLTITADKGGTA >itb03g28620.t2 pep chromosome:ASM357664v1:3:29309840:29318709:1 gene:itb03g28620 transcript:itb03g28620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASQSSSCPILCASFNQDNSCFTIGTKEGFKIFDSNTGKLLYERAAGAFIIVEMLYRSSLLAIVGAGEQPSLSPRRLCLFNTMTGVALQELKFLTSILAVRMNKQRLIVILQEKTFIYDINSLKILDTIDTVPNSRGHCAFSPCLDGSFLALPASTTKGSVLVYNVMDLHLHCEIDAHRSPLTSMALSSRGMYIATASEQGTIVRVHLVSDATKSYSFRRGTCPSIIYSLSFAPMTGLPDIVLATSSSGSAHIFSLSNTLNQRTKRSSGLFASVIPDSVCDALDPAHHHVLHNAVSAGVKSNTLIRKTDKVSEPSTSGTVALRATISVITLAGYFMEYGYSVNYQNESSWALEREFNLLTITADKGGNTRDGARKFRLERPM >itb03g28620.t3 pep chromosome:ASM357664v1:3:29309829:29318398:1 gene:itb03g28620 transcript:itb03g28620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASQSSSCPILCASFNQDNSCFTIGTKEGFKIFDSNTGKLLYERAAGAFIIVEMLYRSSLLAIVGAGEQPSLSPRRLCLFNTMTGVALQELKFLTSILAVRMNKQRLIVILQEKTFIYDINSLKILDTIDTVPNSRGHCAFSPCLDGSFLALPASTTKGSVLVYNVMDLHLHCEIDAHRSPLTSMALSSRGMYIATASEQGTIVRVHLVSDATKSYSFRRGTCPSIIYSLSFAPMTGLPDIVLATSSSGSAHIFSLSNTLNQRTKRSSGLFASVIPDSVCDALDPAHHHVLHNAVSAGVKSNTLIRKTDKVSEPSTSGTVALRATISVITLAGYFMEYGYSVNYQNESSWALEREFNLLTITADKGGTA >itb10g10520.t3 pep chromosome:ASM357664v1:10:15236071:15245198:1 gene:itb10g10520 transcript:itb10g10520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGEENIGTFFLSLRQLSVEYFGTKQMVVEVQEVRIRQKTVEESIFLLARASTTSIRHGVTGVRSEQRTPRFQHSRVTASSGQNTENRELSSTKPRFKHSTVTESPESGNNREEWSLLSRTRRRRYSDFRVQREEEDRSPVKAAITEGRRRQEIEEEEKARRERIRSPPLCLPLQLLQGSLLISVLHFPQRQIRRSPHSALPQRPQARRSPQSAAHRSLEHRPLPASCRSPPDAHSLPLTGVTARRYIPESRPFETTAVPKLQKAAISTLPGSRRQQGSLQWQSAVSTLDLSGRSNLAV >itb10g10520.t1 pep chromosome:ASM357664v1:10:15236071:15245198:1 gene:itb10g10520 transcript:itb10g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGEENIGTFFLSLRQLSVEYFGTKQMVVEVQEVRIRQKTVEESIFLLARASTTSIRHGVTGVRSEQRTPRFQHSRVTASSGQNTENRELSSTKPRFKHSTVTESPESGNNREEWSLLSRTRRRRYSDFRVQREEEDRSPVKAAITEGRRRQEIEEEEKARRERIRSPPLCGRFAEVRTPHCRNARKPADHRSPPPTAHWSTARYPPPAGRRLTPTACRSPESPPVATFQSPDHLKPLLYQNSKSAGCDFHSSRLQKAAGQSPVVAFYVYKTTTMLQQSAVSTLDLSGRSNLAV >itb10g10520.t2 pep chromosome:ASM357664v1:10:15236071:15245172:1 gene:itb10g10520 transcript:itb10g10520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGEENIGTFFLSLRQLSVEYFGTKQMVVEVQEVRIRQKTVEESIFLLARASTTSIRHGVTGVRSEQRTPRFQHSRVTASSGQNTENRELSSTKPRFKHSTVTESPESGNNREEWSLLSRTRRRRYSDFRVQREEEDRSPVKAAITEGRRRQEIEEEEKARRERIRSPPLCLPLQLLQGSLLISVLHFPQRQIRRSPHSALPQRPQARRSPQSAAHRSLEHRPLPASCRSPPDAHSLPLTGVTARRYIPESRPFETTAVPKLQKAAISTLPGSRRQQGSLQWLRFMSTRRQPCFSNQQSAHST >itb02g09230.t1 pep chromosome:ASM357664v1:2:5875670:5877434:1 gene:itb02g09230 transcript:itb02g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAVKDMVFPMVVLRLAERLLYKLLANSCYRTAMKLKSYSTIFFFRNNPSSNSKPSNHLSSLYPNVTKCSLHHRKSQTFVCDMQGTLLRSHSFFPYFMLLAFEGGSIFRAFLLLISCPFLWFLDFELKSRVIIFITFCGLRLKDVDSVGRAVLPKFFLENLNLHVYEALASAGTRIVFTSVPRVMVEGFLKEYLSVDSVKGTELHTLGDFFTGFVSHSGLLVKHRALKEVFGDQMPDIGVGTPTLHDHLFISLCKESYVVNREDNNKMGSVMPREKYPKPLVFHDGRLAFLPTPLAALAMFIWLPVGILLAIFRIFIGKCLPYKVAVFLGSLSGVNLRLKGSDPPRSEKGKGVLYVCTHRTLLDPVFLSAALDKPLIAVTYSLSKMSELIAPIRTVRLKRDRKRDGETMQKLLREGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAVDTSTAMFYGTTASGLKWLDPIFFLMNPRPCYRIHILGKVPREMTCGGGGKSSVEVANYIQKQLGEALGFECTNLTRRDKYLMLAGNDGIVN >itb10g09780.t1 pep chromosome:ASM357664v1:10:13283280:13291578:-1 gene:itb10g09780 transcript:itb10g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIFYDSLVVGIVGFFICHALLLSYVSAKDTLGPGEYILPTDEVYLRSSNKIFELKLIRGPTGTSSTTSCYLCIQFTEYPILASSQQMIVWVAWLGQAQISLVPGPELKMERDGRLVVSADHHEFPVNNDQQYPYVMNTTATLLDTGNLVLRTVEGHTHTLWQSFDHPTANTWLPGMILGRFGVKTQQRCLTSWTSEGNPSPGDFSLCVDPNNPKQLVATRKGIVYWHSGVWSGRNNNFPFLPVLSHHLRYFVNDNESYFAWDEKVDPHSTFIRIFATGEISVAVKHDRNLTRASINCDHNGTYYSNVGCVRSSNCSAGDRFRSTTGFIGWELQLHDIMLGDCNEMCVNNCSCNAYATLESDGTGCKFSSSTAYRYVSNGGETFYIRDAKTAKQSHRPPPRYPAPALTRRRSHHRRTTIVAALTVTLLVTLAVVFLVCKAKPSSSTSISCSCFDKEKVTPQKNNNCSCSYSNVACDTCCGFPSMVYESKKMLQLLRRSGYMSPEYAMDGCFSEKSDIFSFRVMIIEIVSGKRNNGFYNPDRVSNLLGYEEERTTATPETQPMPTISLRRDSRFAAGTLNRVAVVD >itb10g10570.t3 pep chromosome:ASM357664v1:10:15265635:15267807:1 gene:itb10g10570 transcript:itb10g10570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCMKVKESVSNGKSDGGGGVVKRKITSRVVDNSKEANAAAANASSPCRINRDLGTNRSNGNHENKKTTTLSPEKEDRYYTTRGSVGLDEHSKLFMDSREEKKKVVWPKLLVTLSSKEKEEDFMAMKGCKLPQRPKKRAKLIQRTILLVTPGTWLSDLCQERYEVREKKTSKKKPRGLNAMGSMESDSE >itb10g10570.t1 pep chromosome:ASM357664v1:10:15265493:15267805:1 gene:itb10g10570 transcript:itb10g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCMKVKESVSNGKSDGGGGVVKRKITSRVVDNSKEANAAAANASSPCRINRDLGTNRSNGNHENKKTTTLSPEKEDRYYTTRGSVGLDEHSKLFMDSREEKKKVVWPKLLVTLSSKEKEEDFMAMKGCKLPQRPKKRAKLIQRTILLVTPGTWLSDLCQERYEVREKKTSKKKPRGLNAMGSMESDSE >itb10g10570.t2 pep chromosome:ASM357664v1:10:15265493:15267805:1 gene:itb10g10570 transcript:itb10g10570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCMKVKESVSNGKSDGGGGVVKRKITSRVVDNSKEANAAAANASSPCRINRDLGTNRSNGNHENKKTTTLSPEKEDRYYTTRGSVGLDEHSKLFMDSREEKKKVVWPKLLVTLSSKEKEEDFMAMKGCKLPQRPKKRAKLIQRTILLVTPGTWLSDLCQERYEVREKKTSKKKPRGLNAMGSMESDSE >itb03g04020.t4 pep chromosome:ASM357664v1:3:2463396:2469908:-1 gene:itb03g04020 transcript:itb03g04020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLQLPHHLGICKNHAHHRRFRNEIGGNKLQSFNTRLSSFLVQRDYWSLHLSKRLQVDRHALWYRNNRFRCNAFLKPGQPVDITCIKNGVISVTSCLQNRPLVLKLIPAVGIIAFGIWGLGPLLRQSRNLFPHKSDSSWGKSKTYHVMTSYLQPLVLWTGAMLVCRAVDPMVLPTEASQIVKQRLLNFVRSLSTVLAFAYCLSSVIQHTQKFFMETNESSDARNMGFQFAGRAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREIFTNFLSSIMIHATRPFVLNEWIQTRIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLAKNPQVEQKKLHKRVFLENINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVIRHHRARLATPIRTVQKVYSDADLDNIPFASDSVFNQGAASSRPLLLIEPPYKVNGEDRTKARPLREEDVKGIERSAPDNKADSKSRETPASSSNANDISTSDSKLGQVSNVDIKEDHKVIAKTSSDPKPGSKATVKTTSKLPSKPDQKSAEVSSSDSSDPQPKKGNLENVSLPNTDNSSLLPEDSRDKTDSVPSTSQSKRGDEKTDSVSSTSQSKRGDERPLASQSSSSKPALEENIVLGVALEGSKRTLPIEDGMEPPPNSAEAKELAMSHSSSNGSVEKEKKQQSQRPSNHPGSGDQLGQQE >itb03g04020.t3 pep chromosome:ASM357664v1:3:2463392:2469894:-1 gene:itb03g04020 transcript:itb03g04020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLQLPHHLGICKNHAHHRRFRNEIGGNKLQSFNTRLSSFLVQRDYWSLHLSKRLQVDRHALWYRNNRFRCNAFLKPGQPVDITCIKNGVISVTSCLQNRPLVLKLIPAVGIIAFGIWGLGPLLRQSRNLFPHKSDSSWGKSKTYHVMTSYLQPLVLWTGAMLVCRAVDPMVLPTEASQIVKQRLLNFVRSLSTVLAFAYCLSSVIQHTQKFFMETNESSDARNMGFQFAGRAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREIFTNFLSSIMIHATRPFVLNEWIQTRIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLAKNPQVEQKKLHKRVFLENINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVIRHHRARLATPIRTVQKVYSDADLDNIPFASDSVFNQGAASSRPLLLIEPPYKVNGEDRTKARPLREEDVKGIERSAPDNKADSKSRETPASSSNANDISTSDSKLGQVSNVDIKEDHKVIAKTSSDPKPGSKATVKTTSKLPSKPDQKSAEVSSSDSSDPQPKKGNLENVSLPNTDNSSLLPEDSRDKTDSVPSTSQSKRGDEKTDSVSSTSQSKRGDERPLASQSSSSKPALEENIVLGVALEGSKRTLPIEDGMEPPPNSAEAKELAMSHSSSNGSVEKEKKQQSQRPSNHPGSGDQLGQQE >itb03g04020.t2 pep chromosome:ASM357664v1:3:2463392:2469859:-1 gene:itb03g04020 transcript:itb03g04020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLQLPHHLGICKNHAHHRRFRNEIGGNKLQSFNTRLSSFLVQRDYWSLHLSKRLQVDRHALWYRNNRFRCNAFLKPGQPVDITCIKNGVISVTSCLQNRPLVLKLIPAVGIIAFGIWGLGPLLRQSRNLFPHKSDSSWGKSKTYHVMTSYLQPLVLWTGAMLVCRAVDPMVLPTEASQIVKQRLLNFVRSLSTVLAFAYCLSSVIQHTQKFFMETNESSDARNMGFQFAGRAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREIFTNFLSSIMIHATRPFVLNEWIQTRIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLAKNPQVEQKKLHKRVFLENINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVIRHHRARLATPIRTVQKVYSDADLDNIPFASDSVFNQGAASSRPLLLIEPPYKVNGEDRTKARPLREEDVKGIERSAPDNKADSKSRETPASSSNANDISTSDSKLGQVSNVDIKEDHKVIAKTSSDPKPGSKATVKTTSKLPSKPDQKSAEVSSSDSSDPQPKKGNLENVSLPNTDNSSLLPEDSRDKTDSVPSTSQSKRGDEKTDSVSSTSQSKRGDERPLASQSSSSKPALEENIVLGVALEGSKRTLPIEDGMEPPPNSAEAKELAMSHSSSNGSVEKEKKQQSQRPSNHPGSGDQLGQQE >itb03g04020.t1 pep chromosome:ASM357664v1:3:2463390:2469908:-1 gene:itb03g04020 transcript:itb03g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSLQLPHHLGICKNHAHHRRFRNEIGGNKLQSFNTRLSSFLVQRDYWSLHLSKRLQVDRHALWYRNNRFRCNAFLKPGQPVDITCIKNGVISVTSCLQNRPLVLKLIPAVGIIAFGIWGLGPLLRQSRNLFPHKSDSSWGKSKTYHVMTSYLQPLVLWTGAMLVCRAVDPMVLPTEASQIVKQRLLNFVRSLSTVLAFAYCLSSVIQHTQKFFMETNESSDARNMGFQFAGRAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREIFTNFLSSIMIHATRPFVLNEWIQTRIEGYEVSGTVEHVGWWSPTIIRGEDREAVHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLAKNPQVEQKKLHKRVFLENINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVIRHHRARLATPIRTVQKVYSDADLDNIPFASDSVFNQGAASSRPLLLIEPPYKVNGEDRTKARPLREEDVKGIERSAPDNKADSKSRETPASSSNANDISTSDSKLGQVSNVDIKEDHKVIAKTSSDPKPGSKATVKTTSKLPSKPDQKSAEVSSSDSSDPQPKKGNLENVSLPNTDNSSLLPEDSRDKTDSVPSTSQSKRGDEKTDSVSSTSQSKRGDERPLASQSSSSKPALEENIVLGVALEGSKRTLPIEDGMEPPPNSAEAKELAMSHSSSNGSVEKEKKQQSQRPSNHPGSGDQLGQQE >itb05g15310.t7 pep chromosome:ASM357664v1:5:22709054:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKVCSSAFWILINLILM >itb05g15310.t8 pep chromosome:ASM357664v1:5:22709054:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKVCSSAFWILINLILM >itb05g15310.t4 pep chromosome:ASM357664v1:5:22709054:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKVCSSAFWILINLILM >itb05g15310.t1 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKEKTVQVTKRKKGFKRQRWGKRSRKTL >itb05g15310.t6 pep chromosome:ASM357664v1:5:22709054:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKEKTVQVTKRKKGFKRQRWGKRSRKVK >itb05g15310.t5 pep chromosome:ASM357664v1:5:22709054:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKEKTVQVTKRKKGFKRQRWGKRSRKVK >itb05g15310.t10 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRTWGLFTRESVICPPPVD >itb05g15310.t12 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRTWGLFTRESVICPPPVD >itb05g15310.t9 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRLTD >itb05g15310.t2 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRRHARSEKLLKDGRLKIMLNDNGKIDREEEHSRRKEKTVQVTKRKKGFKRQRWGKRSRKTL >itb05g15310.t11 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRLTD >itb05g15310.t3 pep chromosome:ASM357664v1:5:22709024:22712837:-1 gene:itb05g15310 transcript:itb05g15310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRSYKLDHGDRDAPSESSSSSSDSELETKATEEDHEQKNHEEEDDDKDDYKDSSGGYKNNRVTEMQKQNIIGSSSSGYESEDSSAHEVNLDSSGPPTSDDDIETINDRKSISENHLFSRGIGESENIQHKTVTKVDDMPCERSNYILKHKSVFKCRICPRIVCLSEETLNIHLKSRTWGLFTRESVICPPPVD >itb04g11320.t1 pep chromosome:ASM357664v1:4:10896917:10898973:-1 gene:itb04g11320 transcript:itb04g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPDSSKNSKLERYNSYIRRVNSSKLIAASSKLLFRATLMVALILIFFFTINYPPLAESSGGGHRRALHTTSHNLLASTFSGGGAAWEKQVQHSATPRRPNGMSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNAYYDPSLKRARQKLLEKHQIFIVEGDLNDAPLLSKLFDIVPFTHILHLAAQAGVRYAMQNPLSYVSSNIAGFVNLLEVAKNADPQPAIVWASSSSVYGLNTKVPFSENHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPINVYVTQEDKEVARDFTYIDDVVKGCVGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVSVRKLVSILENLLSIKAKKNVVKMPRNGDVPFTHANVSLAYKDFGYKPTTDLSSGLRKFVKWYVSYYGIQSRVKKELDPTQQSDD >itb12g04000.t1 pep chromosome:ASM357664v1:12:2644005:2647077:1 gene:itb12g04000 transcript:itb12g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKMAGINTRGGSASFELVTRAQCHASSAHCRIATVSSAGTAASSPFQFPMPIKVGSSMASRRLLASLLRSKPSFKCPRLKPRPLSPSPAGHALHRVVSYATSAAAKAPEPRPSGSPSAKKTGGKITDEFTGAGSVGSVCQVIGAVVDVRFDDGLPPILTALEVMDNPIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEAIDEKGDLKTDHYLPIHREAPAFVEQATEQQILVTGIKVVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGDEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLSEHSFYMVGGIDEVIAKAEKIARESTSG >itb15g13160.t1 pep chromosome:ASM357664v1:15:11121228:11125828:1 gene:itb15g13160 transcript:itb15g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRWIESGVDLWKDKARSLQFRLRDRLRVAVDHHRRRPKLSDGYFASTVQRWAQRFSDFRQESLPSSSKFYRKRVSKDVDPETDSVLTRMLQAVAVPLLGNVCHVFMHGLNQVQIYGAEKLHQAVLNRPGDKPLITVSNHVASMDDPLVIAALLPPSVIFDAQTLRWTLCATDRCFKNPATSAFFKSVKVLPVSRGDGIYQKGMDMAISKLNRGGWVHIFPEGSRSRDGGKTMGSAKRGIGRLILDADCIPIVVPFVHTGMQEIMPIGAKFPRIGKTVTVLVGDPIEFDDLIAAEGEQNISRGKLYDAVSARIGDRLEKLKAQVDRLAAKQSQEYDVPVTERAAGILQQVDWESFGMETYTHPDSHSSALKRECPLEAEPAETNVHVNQDSYLRMGFSGNGRFASRIKNYMDSTDITLFSARGLFARNKAKCDAVTIQDFSPLRAWHNLLRRSNCELYYSP >itb13g13250.t1 pep chromosome:ASM357664v1:13:19765537:19770316:-1 gene:itb13g13250 transcript:itb13g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAVTTLIQVVEAAKQDIMTPKILSTLYGGRSMMVPLLETIELLSYNLHFLQVFMRSKTEKKNWDTGDSSLYKYVEIEVARIYEKYKIKSKPRLIYVGDYWPTKAEDTHNLLKSIVRDIEFVKDRILKEERRAAALEAEEQNITIWDTYRNALKTENEVIVGLDSDIERIVNRLCYSYFGGPVLTILKNSNIDKFRKYVLKLQVMALVGEGGIGKTTLAKRVYGHPTTNASFHIRASVVVSKVHNLKEILIGLLRCISPITSEIYNIDEAQIAEQLSISLMDQKYLIFLDDIWTTAAWDAIQGYFPENFNGSRILVTTRSKEVSEYLSTNPYQVKYQTLLDRWELFSRKVFRQSRYVPSEYEKIGKHIVWRCGGLPLEVVLISGILAIAKGSPQIWRDVARTLDRVDRYDNNKRISKIVSLSFKYLPNHLKDCFQYFGVFPEDSDIPIKKLINLWVAEGFIKPHKSLEKVVGESYLHDLINRSLVQINELSIDGKVKSCNIHDRVHEVCVRKAIDGNTLCIINDNHAPKSSHWLSCQTSHWPITRASYWNCGPDEPITRASYWNCGPDEIHSVLCFGKDVYHSKCRIWTQLASCRTLRCLNLKISPAAVQSGNHRSRAFGN >itb14g18070.t1 pep chromosome:ASM357664v1:14:21205958:21208501:1 gene:itb14g18070 transcript:itb14g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQWLSLVAAIWLQAVSGTNTNFPVYSSRLKHLLSISQVQLNNLAFANDAGKLFGWFSGIAALYLPLWLVLLLGSSLGFVGYGVQYLFLVGKLASLSYWHVFVLTALAGNSICWINTVCYIVAIRNFPLDRQVAVGISTSYVGLSAKIFTDIVEAMNVSSPTDAAHAYLLLNSVLPLIVAVVASPIAREIKVGVSKRLAGGFSLMFAITIATGVYAVITTSLGSEISRKKLLKHLSFSGMVVLLCLPMLVPLGQKITELCRKKCPILPKNQVCDQQGLEGLEKAVSCDSIMRIEGGEAKEDGGSEIVVVVEEVKWRDLVKRGDFWLYFLVYFLGATLGLVYLNNLGQIAESRGFSNASLLVSLSSSFGFFGRLLPSLYDYFFSMSKNKISRPASIAVMMAPMCGAFFLLLHGSHGCLYTSTAIIGVCTGAITSVAVSTTTELFGAKNFGVNHNILVTNIPIGSFIFGDFAAYLYKRQGRISGGIDGICTGMQCFHTTFVVWGCLCFLGTCLAVFFHSRTRRKNNHH >itb07g18130.t1 pep chromosome:ASM357664v1:7:22558686:22561813:1 gene:itb07g18130 transcript:itb07g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSSQFALHMFADSQRRWRRRAGSTGEELGFAICSRGQRRTHQPKPIALNPTHCSLLQSAKAVEEFGRRKAIEEAKLERRRKWENRRELREEGNLDSGSGLAAARTRGSGG >itb05g23260.t1 pep chromosome:ASM357664v1:5:28426671:28428822:1 gene:itb05g23260 transcript:itb05g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLQSKELYEYLLRTSVFPRESELLKEIRDITAKHPLSTMGTAPDSGPMVAMLLKLLNAKNTLEIGVFTGYSLLLTALTIPDDGRITAIDPDKKAYDMGLPVIKKAGVEHKINFIESLALPVLDKLLEDENNESSFDFAYVDADKINYKNYHERVLKLVKVGGVVVYDNTLWYGTVAMPEKSVPEDLVSYRGHIVELNKQLAADSRVQIVQIPLGDGMTVCRRVC >itb02g02800.t1 pep chromosome:ASM357664v1:2:1632390:1635656:-1 gene:itb02g02800 transcript:itb02g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQSLSSSMEAISSSGFIPRFSERPCAVQSVKITADWLGSWGNLKSSVSVAHGISSSVAGSRIAALPREESSGGDANEEEQNRRLNDENGFGLASEGAVSWSQGDSDGKIETYKDRIAKMVTPLEVSHGSTVGGGTRAGLFRTPISGGVQSATSAHGLPKPALAVRNLMEQARFAHLCTVMSQMHHRRGGYPFGSLVDFAPDAMGHPIFSFSPLAIHTRNLLADPRCSMVVQIPGWSGLSNARVTIFGDVYPLPEDQQDWAHKQYIAKHHQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVNEYETLEPDKIAVDGGEQNLKELNALFSKPLKDLLSQEAEVDDVALISIDSKGTDIRVRQGAQFNIQRISFEEGHSVETLEEAKSALRKLINKGKVHNLQK >itb02g04560.t3 pep chromosome:ASM357664v1:2:2703280:2704276:-1 gene:itb02g04560 transcript:itb02g04560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQYELPHMDRSARFYCPGCPYVCQIEPRIKIKRRQHLVKKKLDPVISIDSLETAATTKGKRNDLYLIYSFFWLT >itb02g04560.t2 pep chromosome:ASM357664v1:2:2702327:2704276:-1 gene:itb02g04560 transcript:itb02g04560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQYELPHMDRSARFYCPGCPYVCQIEPRIKIKRRQHLVKKKLDPVISIDSLETAATTKERCHKCGFDEAAFVQVQTRSADEAATIFYKCKSCKYQWNEN >itb02g04560.t1 pep chromosome:ASM357664v1:2:2702327:2704276:-1 gene:itb02g04560 transcript:itb02g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQYELPHMDRSARFYCPGCPYVCQIEPRIKIKRRQHLVKKKLDPVISIDSLETAATTKERCHKCGFDEAAFVQVQTRSADEAATIFYKCKSCKYQWNEN >itb03g06060.t1 pep chromosome:ASM357664v1:3:4284883:4298587:-1 gene:itb03g06060 transcript:itb03g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKADPAQKLYTRMRLWEFSDQYVIEPTDGSSGLCMAISRADASMNLIDEMPHCSTVRVPKIETIFGVVGMLKLGAGSYLIIITERECVGSYLGHPIFKVTSLNVLPCDHSLKSSSVEQKRMKAEFSALLSVAERIHGLYFSYDVNITLSAQRLHDLGDESKLLPLWRQADPRFLWNNYMMEVLIDCKLDPFLLPVLHGSFNSFQATIGRNVIDVTLIARRCNRRSGTRMWRRGADSDGYVANFVESEQIIQLAGFTASFVQVRGSIPILWDQIVDLTYKPKFEIVKWDEGPRVVERHFLDLRKKYGNVIAIDLVNSHGGEGRLSEKFSEAMQHVANEDVRYLNFDFHHICGHVHFERLSILYEQIEDFLMKNRYFLLNEKGEKLETQLGVVRTNCIDCLDRTNVTQSMIGQKMLEFQLRRLGVFNAEETISKHPNLDENFKILWANHGDDISIQYSGTPALKGDFVRYGKRTVKGILNDGRNALMRYYLNNFCDGTKQDATDLLHGHYIVSASRDMTQTSQKGGVEAVASFPMAFGLILVGFFFTIISLRRVQYNPWNLLFSMIWASISLGIAGFVKANGRVFCNRPRLNQPRR >itb09g03060.t1 pep chromosome:ASM357664v1:9:1724891:1728570:-1 gene:itb09g03060 transcript:itb09g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPNTSRRLMDAGSIPFVGSLHPKSRPSPFLSLGLVVVGALLIIGYLHSGSGGRAGLEAYNRLEGGVSCTLELQNALPVLKKAYGDSMHKILHVGPDTCSVVSTLLKEEDTEAWGIEPYDLDEADANCKSLVRKGIVRVADIKFPLPYRAKSFSLVVVSDAVDYLSPRYLNKTLPQLARVAADGLVILSGYPGQQRAKAPEMSKFGRPAKLRSSSWWIRFFVQTSLEENEAATKKFEQAAAKRSYKPACQVFHLKPLH >itb05g05230.t1 pep chromosome:ASM357664v1:5:4975426:4979262:1 gene:itb05g05230 transcript:itb05g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERNLLLILRQNKALGSKISSNLSGWLNQTSGISSQTSEHYRLLSSLAKLAPLSRRSITRSFVNYGFRSFHQLNHKGYRSPFRHYSTAPSTVAETKEGLKFLVTAGPRAQKMIGIWLFTSAAWVFSMVVLGGVTRLTRSGLSMTDWKFTGGLPPLSDEEWTVEFEKYKQSPEYNRVNRGMTIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITVRLGLRLSGLFALGAGQGLIGWWMVKSGLEEPPSEYVIPRVSPYRLAAHLTSAFAIYCGLFWTGLSVVMPEPPAESLAWVHGAAKVKRLALPVGILVGITAISGAFVAGNDAGHAFNTFPKMGDTWIPDDVFSMKPLIRNFFENTATVQLDHRILATATLASISGLWWATRKLDLHPAIRSLIGSTVGMAALQVTLGISTLLSYVPVSLGTAHQAGALTLLTLMILLNHTVRRPSPSLLKSLPALTKTV >itb06g19380.t2 pep chromosome:ASM357664v1:6:22741127:22743822:-1 gene:itb06g19380 transcript:itb06g19380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIQFIIHRKMENKSATKKTLSPSQMETLSALCNTFLPAINEPLPQTTDASLTNFFQTSAASTGTHHQVAWLINERMKHPKLNSLLLLLWFLSTRIGTLLLCGKGSLSTQFPFLLRFPEISQNKRERIVQSWATSSLQSIRNFFTAMKALVLLVFFTAVDDKGENPSWKAIGYCGPDPSISEKQKKHKHHDHEDDDIAVADIEELLFGPLYNGIIDLNQPEEFVFNRLTKLGCSVTKPKPPGPSFVIECDAVVVGSGCGGGVISGVLATAGYKVLVLEKGNYYARTNLSLLEGPSMDKMFLGGGLLMTKDLDMVLLAGSTVGGGSTVNWSASIKTPPYVLQEWRELHGLELFGSEVYQKAMDIVCGKMGVQRECEDESFQNMVLRKGCLELGYPVENIPQNAPADHYCGWCTFGCKDGRKKGTAETWFVDLVKSGNGAILPECEALEVIHERNKAKGVMFTFQRDGVKQTAVIKSKVTVVACGALTTPSLLINSGLKNRNIGRNLRLHPVVFAWGYFPDAPGENKKSYEGAIMSAMSSVVLNVNGTGYGAVIQTPALHPGLFSALMPWNSGREFKMRMSRYARTAHVFALARDMGSGEAFSPTSVTYDLDKTDEENLQRGIEKLLRILAAAGAEEMGCNHGTGRTLKVIYIYICTI >itb06g19380.t1 pep chromosome:ASM357664v1:6:22740563:22743822:-1 gene:itb06g19380 transcript:itb06g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIQFIIHRKMENKSATKKTLSPSQMETLSALCNTFLPAINEPLPQTTDASLTNFFQTSAASTGTHHQVAWLINERMKHPKLNSLLLLLWFLSTRIGTLLLCGKGSLSTQFPFLLRFPEISQNKRERIVQSWATSSLQSIRNFFTAMKALVLLVFFTAVDDKGENPSWKAIGYCGPDPSISEKQKKHKHHDHEDDDIAVADIEELLFGPLYNGIIDLNQPEEFVFNRLTKLGCSVTKPKPPGPSFVIECDAVVVGSGCGGGVISGVLATAGYKVLVLEKGNYYARTNLSLLEGPSMDKMFLGGGLLMTKDLDMVLLAGSTVGGGSTVNWSASIKTPPYVLQEWRELHGLELFGSEVYQKAMDIVCGKMGVQRECEDESFQNMVLRKGCLELGYPVENIPQNAPADHYCGWCTFGCKDGRKKGTAETWFVDLVKSGNGAILPECEALEVIHERNKAKGVMFTFQRDGVKQTAVIKSKVTVVACGALTTPSLLINSGLKNRNIGRNLRLHPVVFAWGYFPDAPGENKKSYEGAIMSAMSSVVLNVNGTGYGAVIQTPALHPGLFSALMPWNSGREFKMRMSRYARTAHVFALARDMGSGEAFSPTSVTYDLDKTDEENLQRGIEKLLRILAAAGAEEMGCNHGTGRTLKVKEASREEFERFVKEESSQSLSKHTNLKASAHQMGSCRMGVDPKTSVVNPKGETWEVEGLFVGDGSVLPTALGINPMVTIMSVSYCISHSVLDFLKTHD >itb01g30140.t1 pep chromosome:ASM357664v1:1:34226108:34232673:-1 gene:itb01g30140 transcript:itb01g30140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAYKLFLTIIRLLSLLSTTTALPISSPNHLQVPNEVGALLTWKSSLDLRSQSLLSSWVVGTHPCNWTGIACDGGRSITTLNLTSYGLKGMLQDMNFSSLYHLEVIDLSNNFLHGSISFIKEMSTLKNLTTLYLDQNRFYGHIPREIELLTSLVDLELYSNNLTGRIPTSVGNLQNLTILIPTSVGNLQNLTILFPTSVGNLQNLTILYLFENQLSGRIPQEIGLLTNLVELALGSNNLTGQIPTSIGNLKNLTNLYLWGNQLSGHIPEEIGLLTSLVDLELSSNNLNGQIPTSVGNLKNLTTLHLYENQLSGHIAEEIGLLTSLVDLELSSNNLTGQIPTSVGNLQNLTILYLFENQLSGRIPQEIGLLTSLVDLELYSNNLTGQIPTSVGNLQNLTILYLFENQLSGRIPQEIGLLTSLVDLELSSNNLTGQIPTSVGNLQNLTILYLFENQLSGRIPQEIGLLTSLVDLELSSNNLTGQIPTSVGNLQNLTILYLFENQLSGRIPQEIGLLTSLVDLALYSNNLTGQIPTSVGNLKNLTTLHLYENQLSGHIPQEIGLLTSLVDLELSSNNLNVGNLKNLTPLHLYENQLSGPLPQEIGLLTSLVDLELSSNNLNGQIPTSVGNLKNLTTLHLYENQLSGHIAEEIGLLTSLVDLELSSNNLTGQIPTSVGNLQNLTILYLFENQLSGRIPQEIGLLTSLVDLALYSNNLTGQIPTSVGNLKNLTTLHLYENQLSGHIPQEIGLLTSLVDLELSSNNLNGQIPTSVGNLKNLTTLHLYENQLSGHIAEEIGLLTSLVDLELSSNNLTGQILTSVGNLQNLTILYLFENQLSGRIPQEIGLLTSLVDLELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLGALELSSNTLTGQILTSVGNLQNLTILYLFENQLSGHIPQEIGLLTSLVDLELSSNNLTGQIPTSVGNLQNLTILYLFENQLSGQIPQEIGLLTSLVDLELYSNNLTGQIPTSFGNLKNLTTLRLHENQLSGLIPQEIGLLTSLVDLELSLNNLIGQIPTSFGNLKNLTTLHLYENQLSGHIPQEIGLLTFLVNLALSSNNLVGLLPENLCIGRSLKYYAVHNNEFIGEIPTTLKNCTSLYRVQLQNNQFSGDISEAFGEYPNLDYINLSNNSFYGHLSSSWGHCSKLTALKISNNRISGSLPPNLNNASQLRFLDLSSNEIVGMIPKSLENLVLLITFKLDNNKFSGNILLEVGKLSQLLIFSIAANNFVGLIPQHFESCQGIINLDLSRNMFFGKIPYGMGNLKMLEILDLSHNFLDGQIPQQFEGLTSLQIMNLSHNNLSGYIPSSISQCTGLDSVDVSYNQLEGPIPNNKAFLEAPYDSLRNNKGLCGNHSGFKPCSTYNQRDHTRRHLLLIILITFGSLFVVISIFVLLIIRSRSNIRERRPREITKDVLEILSFDGKVAYESIIEASENFDSKYCIGEGGHASVFKVELPSGQVVAIKKFKATGQEDEWCSLKSFSNEIRSLTEVRHRNIIKLYGFCASERHSFLIYEYLEGGSLAHILKHDEKALELGWMKRVNVVKDVAKALSYMHHDCSTPIVHRDISSKNVLFDLEYKAHVSDFGTAKILSLDSSNWTSFAGTFGYAAPEFAYTMEVNEKCDVYSFGVVALEIIMGKHPGDFITTILSPSTSSTSHQMLVKDLLDPRLSTPTKQVANELVLVAKIAVACLNSNPQCRPTMRQVSVLLSKELHLSNLLLDHITISQLFGLEFPTP >itb14g14140.t2 pep chromosome:ASM357664v1:14:16502554:16512240:-1 gene:itb14g14140 transcript:itb14g14140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGFEYSDEEPEEQDVEIENQYYNSKGLVETDPEAALEGFAEVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSANQNFSLLQEFYQTTLNALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELNKSCKKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYLKALSVKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLRNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEELLVSLILDNRIQGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLKSLYQTVGNRVC >itb14g14140.t1 pep chromosome:ASM357664v1:14:16502467:16512368:-1 gene:itb14g14140 transcript:itb14g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVEIENQYYNSKGLVETDPEAALEGFAEVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSANQNFSLLQEFYQTTLNALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELNKSCKKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYLKALSVKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLRNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEELLVSLILDNRIQGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLKSLYQTVGNRVC >itb08g05470.t1 pep chromosome:ASM357664v1:8:4525040:4526946:1 gene:itb08g05470 transcript:itb08g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNQPISGIHYPSRSNPVNVVSKIPAFWNQDSCIAIKSVEGGAPKDPSGDVFECSKERGEKKHKMGQNDDSARWKSSGEPRKENYVHVRAKRGQATNSHSLAERVRREKISERMRLLQELVPGCNKIAGKAVMLDEIIKYVQSLQQQVEFLSMKLAAVNPEMNLDAEQILSNDIFLPGLNISHRSFTCIPGSTLLHALPQNVWDNDLQSILQKGFDSMSSRGPNDR >itb08g05470.t4 pep chromosome:ASM357664v1:8:4525479:4526368:1 gene:itb08g05470 transcript:itb08g05470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNDDSARWKSSGEPRKENYVHVRAKRGQATNSHSLAERVRREKISERMRLLQELVPGCNKIAGKAVMLDEIIKYVQSLQQQVEFLSMKLAAVNPEMNLDAEQILSNDIFLPGLNISHRSFTCIPGSTLLHALPQV >itb08g05470.t5 pep chromosome:ASM357664v1:8:4525040:4526435:1 gene:itb08g05470 transcript:itb08g05470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNQPISGIHYPSRSNPVNVVSKIPAFWNQDSCIAIKSVEGGAPKDPSGDVFECSKERGEKKHKMGQNDDSARWKSSGEPRKENYVHVRAKRGQATNSHSLAERVRREKISERMRLLQELVPGCNKIAGKAVMLDEIIKYVQSLQQQVEFLSMKLAAVNPEMNLDAEQILSNDVSDNMLIYQSAFFLLYCRKNIEV >itb08g05470.t2 pep chromosome:ASM357664v1:8:4525257:4526368:1 gene:itb08g05470 transcript:itb08g05470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNQPISGIHYPSRSNPVNVVSKIPAFWNQDSCIAIKSVEGGAPKDPSGDVFECSKERGEKKHKMGQNDDSARWKSSGEPRKENYVHVRAKRGQATNSHSLAERVRREKISERMRLLQELVPGCNKIAGKAVMLDEIIKYVQSLQQQVEFLSMKLAAVNPEMNLDAEQILSNDIFLPGLNISHRSFTCIPGSTLLHALPQV >itb08g05470.t6 pep chromosome:ASM357664v1:8:4525040:4526946:1 gene:itb08g05470 transcript:itb08g05470.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNQPISGIHYPSRSNPVNVVSKIPAFWNQDSCIAIKSVEGGAPKDPSGDVFECSKERGEKKHKMGQNDDSARWKSSGEPRKENYVHVRAKRGQATNSHSLAERVITSISPFSCQKIYYERLDFLKELQVRREKISERMRLLQELVPGCNKIAGKAVMLDEIIKYVQSLQQQVEFLSMKLAAVNPEMNLDAEQILSNDIFLPGLNISHRSFTCIPGSTLLHALPQNVWDNDLQSILQKGFDSMSSRGPNDR >itb08g05470.t3 pep chromosome:ASM357664v1:8:4525040:4526946:1 gene:itb08g05470 transcript:itb08g05470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNQPISGIHYPSRSNPVNVVSKIPAFWNQDSCIAIKSVEGGAPKDPSGDVFECSKERGEKKHKMGQNDDSARWKSSGEPRKENYVHVRAKRGQATNSHSLAERVRREKISERMRLLQELVPGCNKIAGKAVMLDEIIKYVQSLQQQVEVYLCSQFCSLKLAEPFCCCFRLFPTIFLAFMVL >itb13g03760.t1 pep chromosome:ASM357664v1:13:3748294:3749807:1 gene:itb13g03760 transcript:itb13g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSELKKSVAAAEEEEEEDSSTDNEGSESDSESEQTQTQMQIAKSPPAAATKVTEASSTQAKTQAASSSETDSDSESESERPAPIVKPTDQPRESAQTKPRKSGAEAKRPAPAADREVTESKRQKRNPESAVEISEIKPSEEDSKKQLFQRLWSEDDEIGLLEGMIEYTEKENADPHTDLDAFHEFIRNSLHFTVSKHQLQNKMKRMRRNYINNAGKGKSFSKPHEQKTYQLSSEIWGKEDEKIEDNNQVRIVHALMACNGSLKEEKINKNSTKKAPVVQDAIETPKAYAAAASSGWMNGVKRMEGENIGRFNLQWPFMEKNKGIVISEEKRKEIEKKRKAVVVAELDLFLKELDLIQEQAKATLDAMKK >itb07g22830.t1 pep chromosome:ASM357664v1:7:27341335:27342278:-1 gene:itb07g22830 transcript:itb07g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAEGFGVKIYEVSPRQPNLSKYPSTPAAAAAVSAVSDLVPPPGGGRRKRAMANGVQKTLSKTSLLANFLPTGTLLTFEMVLPSVYGKGDCSGVSAAMIVVLLALCALSCFFFHFTDSFRGPDGKVFYGFVTPAGLAVFKSDPGVEIPKDERYKVGVTDLVHALMSVLVFVAIAFSDHRVTDCLFPGHAKELYEVMQSFPLMVGIICSGLFLVFPNTRYGVGCMSA >itb09g26300.t1 pep chromosome:ASM357664v1:9:26789129:26789446:1 gene:itb09g26300 transcript:itb09g26300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKYLGKGLGEIATLSRLSSHEWRVHIRTERDGTFFSDGWETFYEDNDLDIGEVVFYTYLGGMHFDVKIFNKDGLEKVWDTYAIQNSNEESDHDNPSTTQGTHL >itb02g07600.t1 pep chromosome:ASM357664v1:2:4762104:4763870:-1 gene:itb02g07600 transcript:itb02g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGCAATLLIIILLLFSPFAMPARRIGKFNPNERHGGEESSAAAQLGRKTTMMMQKRGDTLEIAGSRLPDCSHACGSCHPCRLVMVRNDRNTACFVRHGWCSKAGQCVPTILPFLFRCIFSARARMSPPPSVFCTSSPSAGFGPTISLLWALPIPFLHHRQHFLSEMNGELGFVSYAIRGTALGFFLES >itb01g04970.t1 pep chromosome:ASM357664v1:1:3379737:3380403:-1 gene:itb01g04970 transcript:itb01g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGFISSITPTNKHSDKSYSLEALPQDILIRIVCGVDHDDLRALFHVSKTLREVTLVAKKLHFEYSTPKKVVHFLRNDDDFGEFNGVVVAPNAPKHLRVTRSRFISQKLADISVALFTSDDAGAVKWQCKKQFLQMALRPGIDEVRS >itb14g12530.t1 pep chromosome:ASM357664v1:14:14214985:14218634:1 gene:itb14g12530 transcript:itb14g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNSNNWLSFPLSPSQAAAQSHHFSVGLVNDSLDTSFQNPEWNLISTQGSNEVPKVADFLGVGKVDCNQPDLVSYNDIQANEPDYLFSSNAGLLPVQTGGVTVAAGAGGGFELQENNACNMQSLTLSMGTSGKGSATHAASPSGNATASGSAENSNTSIVEAATPRRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKRDQEMLALNSSFHYGAATAGPIHAAAAAAAYPLMQPFDAQPLLTLQNQDFSHHYAAQESPYTYIQTHLQLHHQQQQHSPSYLNPSPQTSQYYNNNNNNPYLQSGNPVLLHGLMNMGGSSSSVMDNNGGSSSGSYSGGGYLGNSTLGMATNSNSGGGGDQELAVVKVDYDMPSGTYTGWSNDSVQGSNPNIFSMWND >itb01g07410.t1 pep chromosome:ASM357664v1:1:5852886:5855702:-1 gene:itb01g07410 transcript:itb01g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDFVVGKLKEQAFQEVLFQWRIKDEVDKISARLANMKGYVEDSSGKGKQDTKVAESWVTQLRDTTLEVEDLVEEFMLDSKLVELNTPPFNFCEVKSLFANVQRLVERVKIQFCFHQQLKAMDEKLLALETEKSKYSIKLKTNDDGKNELLMGSGSGYMVGIDAVGIDKQVEDIAQLIQKRREQMLVITAWGAGGCGKTTLAKQVYERVVKDRSIDYCWWVDVNHSSDIEFVLRETINGLYRIDGTEMPSKLEGAGGKSLENHICDYLKEKRYVVFFDDVWDEELLSQINIPVHEESAIIITSRDKDIGRDSFFGATPHCVEVKPLELDIACNLFCKYAFPPPAKPEGNWPNETVKELGEALVKRCTGLPVAILAMARLMSQKDDNPTNWKAAVESLDYYSAESGSLKCVNRALLLSYNELPTHLKSCFLYCAMFPKTKSLHVKELIRMCIAEGFIAEHGGRALEVIAKDYLLQLNNRSLIQMVPTESIFIRRDDDEIKMHDLFRDVAGEVIRREMFAEIKLSGMHNTKLEWKQRRSLIFLKGKPMVNLEKGNMKKLRTLIIDGEWIIVNSLPQMLQNMKLLRVLVLQLLPIGVEELPNEVGDLIHLRYISLSDSYAIRHIPDSLERLHNLQTLDLRRTSVKSLPKSVSQLMQLRHLFGNFNLQVADIVFTSSQLQTLSGIVVNTIQARELVNLTQLTELDITFTEGEECWRGICDSVNKMTNLRSLLIQCQSNRFIEGDGMVWEFGNFSPPLYLEKLALDHFYKSVKFTCTLNYLRSINIQQCYVDGDFFSILEKLPSLVCLYIDPYNGKQLLCSDGSFPKLKKLEIYAKKLTKWEIGKGAMKYVESLVIRDCYSLEMVPEGLRELEYLKELRISHPSQLLVQGIRAKGSDRRKIKDISRVILQNNDNKFRTMINKLSGTSSLQKSTPESRTS >itb08g15740.t1 pep chromosome:ASM357664v1:8:17912343:17915474:1 gene:itb08g15740 transcript:itb08g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAAMMNDSVAGGVGGGGDFQSAATSPFSLSEIWPFPINAAAAYGLGLAANVSALTRDHHCSSMSDPMFLDQRLNQNGGGGGGGGGGGARKRREDDETAKGVSTSGNGLSESDSKRLKTKGSNENPESKANGEETSGKPAEQSAKSAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRVSPGIEGFPSKDFQPQAFDASSMAFGSQATREYPRGTSPDWLHMQIGGGFERTS >itb03g03200.t1 pep chromosome:ASM357664v1:3:1834243:1837797:1 gene:itb03g03200 transcript:itb03g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVVLNQENRGDPEMPKKEIVEDEGAKREWPEPEEDETREPNEENLSLVNIPNSSASPLNSYPQNLGKRKRKPKEMIDEISPLVLSRKRKKKLQPSAAQSSHEGPGGMGKSPSPLQVKSPTLIRAEEVQSSLGQEYPTFLKLLVRSHVGSCFWMGLPVPFCKKYLSRKDTTVVVENESGEEFEIKYIAEKTGLSAGWRKFVAAHKLVEGDVLIFQLTGPDRFKVYVIRTNDLTEVDGALSLLNLEVLTKQSDAAEATNPNNKRKKRQKSLPLTVVQKKKHKAQQLVQLEEQSGNDSDEVASEVFEGSKSLSLAASFGDIKSFEDFTIVVNGVCLDSEIPEHIRRKYYELCCSKNAFLHDRLLTGLYGQLIAGMIFEVVSIADALRACKLTTARTEFEVWDKSLKSFELLGMNVGFLRDRVRHLLNLAFDSEGASDAKRYWEAKTGLARTEEEIKTIEIKLVELKEASKVYSADIEALRSKAESFELMFDEEINAPW >itb14g20560.t1 pep chromosome:ASM357664v1:14:22897949:22899076:-1 gene:itb14g20560 transcript:itb14g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVTPVENMASLSSDLFYDILKRLDGATLASAACACAAFCSISKEEKLWENVCASMWPSTNRDDVKSLISSIGGFKKFYADCFPLIVNKEVTEFRWNEYLENPEEWTEAEYYGDIDESENVSPTDFVSIVDVRYKDKTISSKALWGIPNANGAQRWFYNCPFRVDLFTYTARDDDDAGEVTLSVSDGLPPITSLEKERKDGKLWQELRDGIRLSWIVVNTKIKQAANLSSWSPLGGQRHWPTDKDFLIRFGSILPAKDILPCHAVECILLMKFRVVHTEGGGAHTTLKMTELSMQLGDMEGAHVNGRNSLLVLKEALSCQRSKNYNEALESCQLYSKVQSELKEEKMRNESRLDRLYILSGIAACATFWYYFL >itb08g09240.t1 pep chromosome:ASM357664v1:8:8464457:8468493:-1 gene:itb08g09240 transcript:itb08g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLPINIGEFASSTELNVVMRMIWGSTLGSDEEKNEEIGGVFRELMGKYVDTSAEPNISDFFPWLARFDLQGIEAKMEGMRKAVDNIFEPIIKEGVRIVSEKSGSVSNGDEKKDFLQILLELKERDDARRSLDFQAIKAMLLDIVIGGTDTTATMVEWVMTTLLDNPEIMKKVQKELEEIVGIINIVEEFHLPKLSYLDAVVKETFRLYPALPLLVPRCPTNTTQVGGYTIPKGTRVLLNIYAIHRDPQLWDNPLEFRPERFLDQTSGLDYTGNDHRFLPFGSGRRICAGIPLAEKMLIYILSSLLHSFDWHLPEGKNLDLSDKFGIVTKKNVPLIVVPAQRLSKYELYQ >itb15g08710.t1 pep chromosome:ASM357664v1:15:6151619:6153714:-1 gene:itb15g08710 transcript:itb15g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMIGDMDNLPEEDKIRMSAMIDQLQIRDSLRMYNNLVERCFTDCVDTFRRKTLDKQEETCVRRCAEKFLKHSMRVGLRFAELNQGAATQD >itb11g10100.t1 pep chromosome:ASM357664v1:11:6866821:6868822:1 gene:itb11g10100 transcript:itb11g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGVLLLRPLSSYLQQEISKRFTLFKFWEPPFHSNPKLLKHHSSSTSIRAVVGDGVRGADSELIDLLARLEIVSSHSSGLDKTDLVKCKEKGIRVTFTPYASTDEVADLAILLTLATLRRICHADRFIRSGMWKKQAFGLSSKFSGKCVGIVGLGRIGSAIARRAEAFGCPISYTSRSKKLDSNYTYYPGVIDLASNCQILIVACALTTETRHIVNREVIDALGPNGIVINVGRGPHIDEEELVSALVEGRLGGAGLDVLEHEPQVPHRLVELQNVVLSPHVGACTVDTRVAMADIVVANLVAHFSNQPLLTPII >itb04g07000.t1 pep chromosome:ASM357664v1:4:4657137:4659098:1 gene:itb04g07000 transcript:itb04g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPESFDTALSKAVKVVLQYDSADAGGGRKWLTIEGDHCDMDEYLQAIDEIVKSIESAQISPEDRTKLESTVHIAKNQLKNQFQTILNSSCLTTYTEISPAYSSSFSTGGYSFAGSIVGCVHDLRSIAERMSLMGYPHDCKSLYRTARKSHLDANFRRIGIEKLSISDIRRMQWEELEEKIGQWIRAAQFCIENLFCREKIMCQEVFEDLQTVKDDAFFMEIVKDHVTMFFAFAQAVSSIRPSAERLFKILDLHERLSSLLPDIEYIFSAKSSEWVQIQAKTTVSQLGQAARDILHNFEHSVLAHSWSVTGVEDGVHPLTTYVMEYLTKMIYGYGQPLTTLITSKPEIMIERMGDTVLNRRFIDRENQSPLASHLVWIITSLHCNLKIKSKQHKDYGYEHLFLMNNVRYIVLQVQECALMKDSIGEEYVHDLEENIRIARESYMLSTWNKMQQDLSNGMLIKKMGFGLKFIRFCAGGQCSSRKHILKDINSTFEEIHRTQSRWLVPDLQLRQELHQCILNGLVPLYNSFVKQLSEKGKTLSIKYSVDDLQMAISQMFEGRPAKLQTI >itb01g29060.t1 pep chromosome:ASM357664v1:1:33349879:33355043:-1 gene:itb01g29060 transcript:itb01g29060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPNFRFSAFLRSSPQLLHPHFPIVLRHSPVGRARETDTRGSPGRCGVVVEGSRRMRSKGEARRTQKSQASWKQSTNGFKTRRRFAVEGVVAGERSKRTWRQREGIVGYLGILAIWLPFEGLKASSSFLRGLAPSQVTRGFCAQLLAKLQKLTRTGNILVCECGWSCCCKWSCLESLLPRKRATVKPIKPEAVIVISPDTKEEVKEKNNLRRKAAAEDSSRKSYTATLTARSKAACGLNIKLPKEKIEDIDAGDVYNELAVLVYAEDIYKF >itb02g06750.t4 pep chromosome:ASM357664v1:2:4234154:4236034:1 gene:itb02g06750 transcript:itb02g06750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKMTQKLFTCHRRMFHLKMKRKILCRFSVLHKYLSSFWYQFLAFWITKSIKYRQLPHTIPVSAAQSPPQPADGRRSTSEIDLVSLKICLLGDNQTGKTSFLTKYVGKEKAQEGCLTKGLYQMDKTLNVRGARISYSIWEVEGDDSCSQDHIQAACKDSVAMLFMFDLTSRCTLNRYRTVNI >itb02g06750.t3 pep chromosome:ASM357664v1:2:4234154:4235499:1 gene:itb02g06750 transcript:itb02g06750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKMTQKLFTCHRRMFHLKMKRKILCRFSVLHKYLSSFWYQFLAFWITKSIKYRQLPHTIPVSAAQSPPQPADGRRSTSEIDLVSLKICLLGDNQTGKTSFLTKYVGKEKAQEGCLTKGLYQMDKTLNVRGARISYSIWEVEGDDSCSQDHIQAACKDSVAMLFMFDLTSRCTLNSVLGWYQQARKWNQTAIPVLIGTKFDDFVQLPLDLQWTIASEVRFLSL >itb02g06750.t2 pep chromosome:ASM357664v1:2:4234154:4235499:1 gene:itb02g06750 transcript:itb02g06750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKMTQKLFTCHRRMFHLKMKRKILCRFSVLHKYLSSFWYQFLAFWITKSIKYRQLPHTIPVSAAQSPPQPADGRRSTSEIDLVSLKICLLGDNQTGKTSFLTKYVGKEKAQEGCLTKGLYQMDKTLNVRGARISYSIWEVEGDDSCSQDHIQAACKDSVAMLFMFDLTSRCTLNRQQFLF >itb02g06750.t1 pep chromosome:ASM357664v1:2:4234154:4236034:1 gene:itb02g06750 transcript:itb02g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKMTQKLFTCHRRMFHLKMKRKILCRFSVLHKYLSSFWYQFLAFWITKSIKYRQLPHTIPVSAAQSPPQPADGRRSTSEIDLVSLKICLLGDNQTGKTSFLTKYVGKEKAQEGCLTKGLYQMDKTLNVRGARISYSIWEVEGDDSCSQDHIQAACKDSVAMLFMFDLTSRCTLNSVLGWYQQARKWNQTAIPVLIGTKFDDFVQLPLDLQWTIASEARAYAKLLKAAVFFSSATYNINVNKIFKFITAKLFNLPWTLQRNLTLGEPIIDY >itb15g07150.t1 pep chromosome:ASM357664v1:15:4841326:4847795:-1 gene:itb15g07150 transcript:itb15g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFLQCLFFISLLSVGGFSNITVVAAQAQCMSDQKILLLQLRSSLEFNSTASTKLARWNHTTDCCDWPGVECDTSGHVITLILDNEAITGGIDDSSCLFSLQYLETLNLAFNRFTSDIPVQIYNLTNLKYLNLSHANFGGIPNGISRLTRLVTLDLSIFYELDQTLTLDLKQFFKNSTQLRQIYLDGVDLSSTVVVPKFLSNFSNLKTLSLSGCNLRGEFPREIFLMQGLEELILGYNQNLRGEFPSFPENGSLRMISVAVTQFSGCLPPSISNLSNLSRLDLNSCKLSGSIPSTLAQLKSLAYVDFSNNNFTGSIPHLLHSKNLIIIDFSQNGLTGPLSSKHFEGLPKIENIDLGSNFLFGRIPPSLFSLPSLRLLDLSNNSFDGQVDEYVNAFALQLETLDLSSNCLNGSIPEYFFKFSKLSRLLLSSNSFAGMIQPSLFSLPSLQILDLSYNSFDGLVDEYWNVSASQLEILDLSYNRLKGSLPKYFFEFSKLSLPSLQILDLSYNSFDGLVDEYWNVSASQLEIVDEYWNVSASQLEILDLSYNRLKGSLPKYFFEFSKLKGSLPKYFFEFSKLNSLSLSFNSLSGRIQPSLFSLPSLVTLDLSNNLFDGLIDEYVNVSVSKLETLDLSFNRLNGSIPEYCFELPNLTQLLLSYNSGRIHFGSLEKLTSLEVLKMVSCGLQNFPDLRNLSNLFRLDLSDNEIGGKIPSWIWEVGNGNLKRLNLSHNFLDGLENPYTYPSGLSVLDLHSNQLQGRLPIGVAPYLDYSNNFFNGSIPFDVGSYASGVGFLSLSNNSFTGIIPESICNASDLVVLDLSNNKLSGMFPSCLLKFVSILNLGENQFSGKIPNSFPSTCALQTLDLSRNSIHGKIPKSLKKCSSLEVLNVGSNKIVDTFPCQLKKLSSLRVIILESNGFYGDLHCANANHMWPNLQIIDVASNNFTGELSPKLLNWKRMAIEEEPRRNISFDYLKFSGYQIKDQEAEFAGTGSDNSWLQPSDAAKSVSDKTGFFALHSLIKL >itb03g11080.t1 pep chromosome:ASM357664v1:3:8974192:8975079:1 gene:itb03g11080 transcript:itb03g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWTTLVVLVAVSLIHGLVKKMKGKKLAPGPRGLPILGHLHLLGKNPHHDLTKLAKHYGPIMHLRFGSADIIVASSPQAAQLFLKTHDLVFASRPPHEAAKYLSYGQKNLGYSQYGPYWRNMRKLATLQLLSNHKINSFQPIRREELCSLIESLKQAALDGLAVDLSSKVSELIADMSCRMVFGKKYEIKDIDERGFKAVIKEAMQIAVEPNLGDYFPCLAKFDFQGLTRRMKVITKVFDRFFERILDDHEQSGSSSEMTKDLVDIMLSILKSGETEFQFTREHIKSTLLVVI >itb06g03760.t1 pep chromosome:ASM357664v1:6:6198871:6202011:-1 gene:itb06g03760 transcript:itb06g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITEQQQPHFVLVPFMAQGHMIPMIDIARLLAHRGVRITIFLTHHNASRVKSVIDRAQQSGLPIQVLHLGFPCAEVGLPEGCENVDLLPSFEMGMNFYAATKMLQPRIQELVSEMKPTPTCLISDWCLPWTTNIARSLNIPRIVFHGMCSFSLLCMHNLWNWDGLETVESESQYFEVPGLPNKIEITKAQLTHLVKPISSEERRKFAKDKKDAEDSAFGILVNSFEELEPEYIKEFKKGRKVWAIGPVSLCNKEESDMAERGNKPSIDKHHCLKWLDSMEPNSVLFVCLGSLSRLPTHQMIELGLGLESSNRPFIWVIRYMSDEFQNWLRQEKYEERVKEQQGLVLYGWAPQVLILSHPSIGGFLTHCGWNSSLEAITFGLPLITWPLFGEQFLNERLIVNVLRIGVWGGVEFPVLFGAEEQTGVQVNRDDIVVAIEEVMGGGEEAEMRRERMKKLGEMARRAMEEGGSSFLNIDKLIQDVAEESNARTSV >itb05g09680.t2 pep chromosome:ASM357664v1:5:14221189:14225733:-1 gene:itb05g09680 transcript:itb05g09680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPKVEEELSELASENAPQKMQETREEMLSRHRKEISKLQDKETAMKKAAAKGSKAEQKAKKKQVDEEMSKLLTELKEQHSAELASLGYSGNINGKDKGTLENLVNAIAGVSVSNQAEHSKPSKSVKRREKRAQQEAAREQRIQEEQSNITSDRVIENEKLEKKLEPLGLTINEIKPDGHCLYRAVENQLALHSGGSSPYTYQELRQMVAAYMRKHASDFLPFFLSENIIEGESDDSLMQRFDNYCREVESTAAWGGQLELGALTHILKKHILIYSGSFPDVEMGKEYKPTSVAASFEPSIMLSYHRHAYGLGEHYNSVIPNSA >itb05g09680.t1 pep chromosome:ASM357664v1:5:14221189:14225733:-1 gene:itb05g09680 transcript:itb05g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPKVEEELSELASENAPQKMQETREEMLSRHRKEISKLQDKETAMKKAAAKGSKAEQKAKKKQVDEEMSKLLTELKEQHSAELASLGYSGNINGKDKGTLENLVNAIAGVSVSNQAEHSKPSKSVKRREKRAQQEAAREQRIQEEQSNITSDRVIENEKLEKKLEPLGLTINEIKPDGHCLYRAVENQLALHSGGSSPYTYQELRQMVAAYMRKHASDFLPFFLSENIIEGESDDSLMQRFDNYCREVESTAAWGGQLELGALTHILKKHILIYSGSFPDVEMGKEYKPTSVAASFEPSIMLSYHRHAYGLGEHYNSVIPNSA >itb09g30200.t1 pep chromosome:ASM357664v1:9:30830565:30833149:1 gene:itb09g30200 transcript:itb09g30200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANCSIYLETIMEKKNNSAVPIIGWYVAFASGLCITAMLLNLFTGKYFSLNATWLTLLAVATKLTGDLTTPMSPWDNNSKCSSTVFLTFAMGNSFTSLGSMNDTHILTNLTALSILVATVIVDLCIQLHTHVIDSTLSMEIIFEIILLFCMFITIVCSALALPAIKKRAESRYQKLAASDEKQCRHTVEELRLSITKYWVMAASGSPPSLMKRLVTFAFTCIICVCSSLISYLGAGDRYKYWGCYKESDYKGSVLGILGSQSIHVFPIPLIMIIFLIRTLGYKYKGNGIKISKEEFAIEPYWTEKLVEWRQSSILVGLGHTRDIRKFFHKIEGLVFTFCIRLQIMIVICCKFCYVLSFYFMLPLLLLVNPLGKLINYCTGKLSRKKKVSNDQREQEAVDHNCFVILLEGEKNFPERILREIINGMDKHVQRGKEHCSQSLLNLLNQSFFFSGVVASFSGVVAFDSYRVPSLLSGEPPNCWTLPIVTLTSIAIAIPNIANKHVDWLVNTITIGLRYASLIDALDEKCGLKNIKNASDFVWVGVELHRKWLDMDLERKTGEISSAKDIIQDLVDVSKGIVRKFNSKENRMIVENPLYWPANVLAANSMYRITRTILLYYGDGECHAEELFRKLICMIADILAACLTNLPNMIYTKCINSAIEDRLESVRDAAIMFGETEDILKCFEERNLSSVGPSQPLCIDEWRGWIEQQAATISSSSTRSNEASSVESNEHVVLQMQA >itb15g17890.t1 pep chromosome:ASM357664v1:15:19060578:19060856:1 gene:itb15g17890 transcript:itb15g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNWNPNLGFQASRVEYDEAFELWRLYLPAPPRHALPPALLTPLAANAAVNIAAARVSTRTTLATFLIERLAFFSIILPASLSRTRTKLS >itb07g19460.t2 pep chromosome:ASM357664v1:7:23882113:23884564:1 gene:itb07g19460 transcript:itb07g19460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLLLLLLLVAFLYCSGAGAATPTAKQQRRPGFLFTRNRGRCTPQYWSSRREAWPRMVPEMSTVSKVFGSRAYERYRYDLTLLEAASRNDDGDDVFASLVKESTAALLNSYARKPYPFTAWEVKTRLIQALVSKDAAAKQAQSFANANQACN >itb07g19460.t1 pep chromosome:ASM357664v1:7:23882113:23884564:1 gene:itb07g19460 transcript:itb07g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLLLLLLLVAFLYCSGAGAATPTAKQQRRPGFLFTRNRGRCTPQYWSSRREAWPRMVPEMSTVSKVFGSRAYERYRYDLTLLEAASRNDDGDDVFASLVKESTAALLNSYARKPYPFTAWEVKTRLIQALVSKDAAAKQAQSFANANQACN >itb07g19460.t3 pep chromosome:ASM357664v1:7:23882113:23883280:1 gene:itb07g19460 transcript:itb07g19460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLLLLLLLVAFLYCSGAGAATPTAKQQRRPGFLFTRNRGRCTPQYWSSRREAWPRMVPEMSTVSKVFGSRAYERYRYDLTLLEAASRNDDGDDVFASLVKESTAALLNSYARKPYPFTAWEVKTRLIQALVSKDAAAKQAQSFANANQACN >itb02g21850.t1 pep chromosome:ASM357664v1:2:20805268:20806452:1 gene:itb02g21850 transcript:itb02g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISATCFRLQTSVKQPSKTIQIEGRSTARLNLACVGKTRTGFPSLRTTGRFHVCCAAKTETVQKVCDIVRKQLALPAETDVTPQTKFAELGADSLDTVEIVMCIEEEFGISVEEQNAESITSVQEAAELIDKLVNKPEA >itb10g22790.t1 pep chromosome:ASM357664v1:10:27511751:27516107:-1 gene:itb10g22790 transcript:itb10g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFNKLRNLDAYPKINEDFYNRTLSGGVITLVSSIVMLLLFFSEFRLYLHTVTETRLIVDTSRGEKLHINFDVTFPAIRCSLVSVDAMDISGEQHLDIRHDIFKKRIDSHGNVIEVKQEGIGAPKVEKPLQKHGGRLEHNETYCGTCFGAEMSDDDCCNSCEEVREAYRKRGWAITNTDLIDQCQREGFIQKIKDEEGEGCNIHGSLEVNKVAGNFHFSPGKSFHHANVQLSDLLAFQMDTYNISHTINKLSFGASIPGIVNPLDKVHWVQESPNGMYQYFLKVVPTIYTNIRGHKINSNQFSVTEHYKSTEPGHFNLHPGVFFFYDLSPIKVTFTEEHVPFLHFLTSVCAIIGGIFTIAGLVDSFVYHGQKALKKKIELGKFT >itb12g11940.t1 pep chromosome:ASM357664v1:12:10467195:10473258:-1 gene:itb12g11940 transcript:itb12g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNVGIVVCVWFVVWGSCLGRFVVEKNNLRVTSPAIIKGVYKCAIGNFGVPQYGGNMIGVVMHPNANQKACGSFDDVSLKSKPGHMPVFVLVDRGECYFTVKAWNAQRAGAAAILVADDRMEPLITMDTPEEGNAQVDYLQNITIPSALISKVLGDKIKKQLTKGEMVVINLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGVAQILEKKGYTQFTPHYITWYCPEAFTLSTQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVLQNLHQICFFRVANESGKPWLWWDYVTDFSIRCPMKDKKYTKECADQVIQSLGVDVKQVNKCVGDEEADAENPVLKAEQEAQIGRGNRGDVTILPTLVINNRQYRGKLDRGAVLKAICSGFEETTEPAICLTADIETNECLQNNGGCWQDKSSNITACRDTFRGRVCQCPSVQGVKFVGDGYTHCEASGVLRCGLNNGGCWKQTKDSRTYSACVDAHGRGCECPTGFKGDGVDHCEDIDECKEKVACQCPDCKCKNTWGSYECSCHGDLLYMFEHDTCIAAGQDAKTGFGWGTFWVVALALAAVGIGGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGEVPNHGASQGNV >itb12g11940.t2 pep chromosome:ASM357664v1:12:10467195:10471858:-1 gene:itb12g11940 transcript:itb12g11940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLITMDTPEEGNAQVDYLQNITIPSALISKVLGDKIKKQLTKGEMVVINLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGVAQILEKKGYTQFTPHYITWYCPEAFTLSTQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVLQNLHQICFFRVANESGKPWLWWDYVTDFSIRCPMKDKKYTKECADQVIQSLGVDVKQVNKCVGDEEADAENPVLKAEQEAQIGRGNRGDVTILPTLVINNRQYRGKLDRGAVLKAICSGFEETTEPAICLTADIETNECLQNNGGCWQDKSSNITACRDTFRGRVCQCPSVQGVKFVGDGYTHCEASGVLRCGLNNGGCWKQTKDSRTYSACVDAHGRGCECPTGFKGDGVDHCEDIDECKEKVACQCPDCKCKNTWGSYECSCHGDLLYMFEHDTCIAAGQDAKTGFGWGTFWVVALALAAVGIGGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGEVPNHGASQGNV >itb08g01540.t1 pep chromosome:ASM357664v1:8:1131688:1137644:-1 gene:itb08g01540 transcript:itb08g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYESQARKDRMSELVFSGRENHSRAENLSAADVILEAMAENERLRGIFELIVHQPKTQTEGPKLSDQQWGYYQLHLLGEVAAGVIPRSVQWDEEAKSKKKESSNIVQEVINARPPLVTTSQGRGEIRNEVGNKKSIDDVVPDSWALNELLSMLLNLCSIISFFNGVVEKERKRHKRSSETKKASSSDIEFVEDFVKGEKEKEKEKEKDKSKGLDLVESDSSDDVAFIGETFPVCRNKSDMVKVISLDSDTDENEREREKEKGKNTGTSTQSLPVAIYKLFALFILPSPQTTSAEAGKKTSISIQVPGVKTSFVFELVKLCSAHGERVIVFSRLVEPLLSLIEQQLMHRLKWYENEEILYMDGKIDAKY >itb01g04990.t1 pep chromosome:ASM357664v1:1:3398185:3399341:-1 gene:itb01g04990 transcript:itb01g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVIPYLKILLISAGAVSVSMAMKAGVPVLVHDVSAVWTGFTACLKPLYLYVAINVIIITIVLASRFQREVVEDEISMQLHPREFRRLLVTARTPPAPDLNRLLAVAELELKPDFEESIHAAVVLRDEPAAEVNGSIVAVSEDDDGGAGDYSNFAVAPQLVDEIGLFRWEAAEKSLSLADQKPPSKGLRLPAARPKQHETLENTWRMITERNHVLTPARQLRRSDSFENASADENENHAPLPRPQNHRDALKSRTFKDRTNYDSPKAAPVKARKEPSLSQDELNRRVEAFINKFNEEMRLQREQSIQQHMEMINRGAY >itb01g27660.t1 pep chromosome:ASM357664v1:1:32360969:32365772:1 gene:itb01g27660 transcript:itb01g27660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTPNTSKDKQIHASEKKNSKEKKGRVLKHGESKSFIPLFREPSSIEKILGEADEQRLLIPLSSEQPRFSSGRPASPRIISPRATSPRDYSRRPVSPRYSPPRVTSPRAASLRVSSPRAASLRVTSPRAASPRVTSPRAASPRAASSKSNQHRKEVNYVHRPEPTLQVLHVSATTIQAAFRGYMARRSFRALRGLVRLQGVVRGNNVKKQTVNAMKQMQLLVRVQTQIQSRRIQMLENQALQSQALKNDKDGESTLSKWTQLTEAGNHENWDDSTLTKDEIEERWRRKVEAVIKRERAMAYAYSHQLWKGNPKSALDIRSSGYPWWWNWLERQLPSAAAAANPSENQSAVKNVHLTPPRPISERKPPSPRLPAPSFKPQNSGYDNHEAGTPTSTKSAVPVRGKQFSTPGRTTPPSSSLAKLMQPRGSGIIRSPFDFPLKDDDSLTSCPPFSVPSYMAPTASAKAKVRASSNPRERSSAAGTPTNDSKRRFSFPLTPNIASFKWNRGSSKDPTPQRETEKQAGDGVSVDSTVSMPAIVGRKPFNRFV >itb03g14070.t1 pep chromosome:ASM357664v1:3:13953280:13953839:-1 gene:itb03g14070 transcript:itb03g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRLPEKGVQQHEKEAVGSGVGSSGGGGGTATLVAAPFSSCAMTAAARLQRPWQQLHEPAVVMDRTRTDTRPPLFPASIATAMVELFLSSMATGVSGGLLLQYLFRFKHYPLHILETPVIPTNMYSSALPRRRSENSTSQQLPPIFTASKYK >itb12g25940.t2 pep chromosome:ASM357664v1:12:27025492:27027588:-1 gene:itb12g25940 transcript:itb12g25940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANKASILNRLLNVKIVTTNRIEPPRQAEVKALTTTITKHLRSGSLGKAVSVLFAAPFPFPTALYAHLFRTCASNKAAVEVRKVESHLVTFSPNPPLFLLNRAIEAYGKCTCLRDAQDLFDELPQRDGGSWNAMITAYSQNGYAESVLGMFLCMRKSGVSANEITFASVLVSCASLLNVWILRQVHALIVKFGFSGNAILETSLVDVYGKCKEMDDARRMFDEIENPNNVSWNVIIRRYLDMGVGKDVVSLFSELVRANVVPLTFTVSNALVACLAIGGLTEGLQIHGFSIKINAEMDKVVSNSLIDLYRKFGDLVSARRIFDLICSKDLIHWTSMLSGYAMNGKTREARDLFNEMPEKTVISWNAMLAGYVHNSEWDEALQLIFLMCKQTRDIDHVTLGLVLNVSSALSDIGLAKQVHGFMYRHELHSNLFVANALLDMYGKCGYLRWTRVWFREMSRWRDAISWNALLTSYKSHRMGETAIVNFSDMLGGTIPSKYTFGTLLAACANTSALKLGKQIHGYIIRNDYEMDIVINGALVNMYSKCGCVGYALDIFAATPQKDLVLWNSLMLGCYHNRRSDYVFELFELMKEKGIRPDSTTFQAIFRVCIREGHVQLGRQYFDLMSDKYWITPRLEHYESMIELFGRHGYFDELEDFIKKLPISPTIQMLERVVHFCREQRKLKLGSWAINQLKQLSL >itb12g25940.t1 pep chromosome:ASM357664v1:12:27025492:27026697:-1 gene:itb12g25940 transcript:itb12g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVSNSLIDLYRKFGDLVSARRIFDLICSKDLIHWTSMLSGYAMNGKTREARDLFNEMPEKTVISWNAMLAGYVHNSEWDEALQLIFLMCKQTRDIDHVTLGLVLNVSSALSDIGLAKQVHGFMYRHELHSNLFVANALLDMYGKCGYLRWTRVWFREMSRWRDAISWNALLTSYKSHRMGETAIVNFSDMLGGTIPSKYTFGTLLAACANTSALKLGKQIHGYIIRNDYEMDIVINGALVNMYSKCGCVGYALDIFAATPQKDLVLWNSLMLGCYHNRRSDYVFELFELMKEKGIRPDSTTFQAIFRVCIREGHVQLGRQYFDLMSDKYWITPRLEHYESMIELFGRHGYFDELEDFIKKLPISPTIQMLERVVHFCREQRKLKLGSWAINQLKQLSL >itb09g05000.t1 pep chromosome:ASM357664v1:9:2853631:2854807:1 gene:itb09g05000 transcript:itb09g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVIPARFKRVADAFDEAAVTLRARPPCGSSGSEHSAAAAAEESFTDLSGLVNSFMEKSLWEGIEVGGGGDDVDRDEVEPEDDDGYDVDETKDDLERLLSYGDVDVTKRNVYEKVEKAIRDSGDRSSPEFKRRLMARLRYNGFDAGVCKTRWEKNSRCPRGDYEYIDVNVNGGRYIVEVFLRGEFEIVRPTASYSCLLESFPAVFVGTEKELKKIARIMSIAMRKSMKKMGIHVPPWRRLAYIQAKWFSSYKRTTNEIASAAATSRLHKHRRSVGFAPTPEMSFKCREDFGMKIGFRIGNLAAALSGN >itb13g13530.t1 pep chromosome:ASM357664v1:13:20041045:20044131:-1 gene:itb13g13530 transcript:itb13g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSGDHWTFIFGLCGNIISFFVFLAPLPTFYKIYKKKSTEGFQSIPYVVALFSAMLWIYYAFLKSNTTLLITINSFGCFIETLYVCFFLFYASNKARIHTMKLLGASVFGGFGAIVLVTQFLFKGVVRAQVVGWICLVFSLCVFVAPLCILRQVIRTKSVEHMPFLLSVFLTLSAVAWFFYGLLLKDFNIAIPNVLGFVFGILQILLYAMYRKKTIPSPREQKLPEIVLQTPPAAVIILGEDNTWNNKNKNKTQLPELTQEQIIDIMKLGSLQVYKDDKINVPSSAH >itb08g05910.t1 pep chromosome:ASM357664v1:8:4881277:4881660:-1 gene:itb08g05910 transcript:itb08g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHATLNTKRPSQVTSTLPQIPEVGLGYLSKNCQAIAFLHCWLRDAPTEAGWVLGVFIVFMDAVLVDGFMKLDGHVKFTFTSVLKVQLV >itb04g09790.t1 pep chromosome:ASM357664v1:4:9032930:9033358:-1 gene:itb04g09790 transcript:itb04g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTPCIAALSCLLLLLSFSQCQAQLSPTFYDNTCPNALNIIRTAVRQAVSTERRMATSLLRLHFHDCFVEGCDASILLDESPSIKSEKTALPNLGSVRGYDVIEAAKGELEKACPGIVSCADVLSVAARDATVAVGGPS >itb02g21510.t5 pep chromosome:ASM357664v1:2:20391690:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGPFSIEFKMTIVITFQSELSKLHHKSDFQTPTAWTAMESIPLELVRTK >itb02g21510.t14 pep chromosome:ASM357664v1:2:20391297:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGYFDSTIMYYAFKLCLHLSSALIF >itb02g21510.t3 pep chromosome:ASM357664v1:2:20391297:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGPFSIEFKMTIVITFQSELSKLHHKSDFQTPTAWTAMESIPLELVRTK >itb02g21510.t11 pep chromosome:ASM357664v1:2:20391297:20395121:-1 gene:itb02g21510 transcript:itb02g21510.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQTILNRVQNDYSHYFSVGAIQVASQV >itb02g21510.t12 pep chromosome:ASM357664v1:2:20391297:20395121:-1 gene:itb02g21510 transcript:itb02g21510.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGLLLRFSS >itb02g21510.t6 pep chromosome:ASM357664v1:2:20391297:20395117:-1 gene:itb02g21510 transcript:itb02g21510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGPFSIEFKMTIVITFQSELSKLHHKSDFQTPTAWTAMESIPLELVRTK >itb02g21510.t8 pep chromosome:ASM357664v1:2:20391370:20395091:-1 gene:itb02g21510 transcript:itb02g21510.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQTILNRVQNDYSHYFSVGAIQVASQV >itb02g21510.t7 pep chromosome:ASM357664v1:2:20391690:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGYFDSTIMYYAFKLCLHLSSALIF >itb02g21510.t10 pep chromosome:ASM357664v1:2:20391690:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQV >itb02g21510.t9 pep chromosome:ASM357664v1:2:20391297:20395117:-1 gene:itb02g21510 transcript:itb02g21510.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQTILNRVQNDYSHYFSVGAIQVASQV >itb02g21510.t4 pep chromosome:ASM357664v1:2:20391297:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGYFDSTIMYYAFKLCLHLSSALIF >itb02g21510.t13 pep chromosome:ASM357664v1:2:20391370:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQV >itb02g21510.t2 pep chromosome:ASM357664v1:2:20391297:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGPFSIEFKMTIVITFQSELSKLHHKSDFQTPTAWTAMESIPLELVRTK >itb02g21510.t1 pep chromosome:ASM357664v1:2:20391297:20395199:-1 gene:itb02g21510 transcript:itb02g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIKFFRSNRIFRPNEPLEGKVVINLDSSISHRGIRLKISGSVNLQVRGGSAGIVESFYGVIKPIPIVNKSVEVQKPGRIGSGTTEIPFSVVLKERGEEQFEKYYETFHGGNLSIQYLATVDIIRGYLQKSLSATMEFIIESEKDTLPEKPISPEMVFFYITQDTQRHLLLSHLKLGEFKIIGKVCSQCSLADPIVGELTVDASSVPIQSIDIHLLRVESILIGEKIATETSLIQTIQIADGDVCKELTLPIYIILPRLLTCPTTFAGPFSIEFKMTIVITFQSELSKLHHKSDFQTPTAWTAMESIPLELVRTK >itb07g02860.t1 pep chromosome:ASM357664v1:7:1907158:1909523:1 gene:itb07g02860 transcript:itb07g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIQETVTVHPATPPFSDDHILPLSHLDTDRNLNVIFRYLRVYVSNESKDADPFEVVVSSLSAALVRWYQFAGTLRRRAGDNRLELHCLAGGGVRVARAKVDCALSAVNYLDDPDEEFVEKLVPGPTIDEAMTRPFTLQITRFACGGWVMGTAVHHAMCDGMGATLFFNAMAEVARGEPFTVEPAWNRAALLGPRNPPRIDFPVDQFLSLDKDSVPYAHSGKPAAREFFEMKDEWLDRLKATLHQQSGSNYTTFEALGAFIWRASVKASKIPEDETVKFAYQTNIRRKVKPSLPFGYWGNGCVPMYVQLAAKDLTGQPLWKTAELINKSKFNTTDDYVRSFIDFQELHFQDGITPGNQVSGFTDWRHIGHATVDFGWGGPVTVFPLTRHLLGGVAPCYFLPYSAAGVGKKDGFKVLVYVDEEAMPAFKEEMEKLSGNELILS >itb13g22580.t2 pep chromosome:ASM357664v1:13:28856867:28870192:-1 gene:itb13g22580 transcript:itb13g22580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLNDGEMNKPSEEEVHYDFDLFVIGAGSGGVRAARFSAQYGAKVAICELPFHPISSEVSGGVGGTCVIRGCVPKKILVYGANFGPELEDARNYGWEVNERPNFNWKTLLHKKTEEIVRLNGIYKRLLSNVKLFEGEGRVIGPNEVEVIQLDGTKISYSAKNILIATGSRAQRIAIPGQELAITSDEALSLEDLPRRVVILGGGYIAVEFASIWRGMGAKVDLCFRKELPLRGFDDEMRAVVARNLEGRGINMHPCTTLTELAKTEDGIRARTDHGEDLLADVVLFATGRTPNSKRLNLEAVGVELDKTGAVKVDEFSRTNIPSIWAIGDVTNRMNLTPVALMEGTFFAKTVFAGEPTKPDYTHIPCAVFCIPPLSVVGLSEEEAIEKANGDIAVYTSSFNPMKNTISGRQEKSVMKLVVDKETDKVIGASMCGPDAPEIMQGIAVALKCGATKAQFDATVGIHPSAAEEFVTMRSVTRVVPPASKPKTNL >itb13g22580.t1 pep chromosome:ASM357664v1:13:28856867:28869992:-1 gene:itb13g22580 transcript:itb13g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLNDGEMNKPSEEEVHYDFDLFVIGAGSGGVRAARFSAQYGAKVAICELPFHPISSEVSGGVGGTCVIRGCVPKKILVYGANFGPELEDARNYGWEVNERPNFNWKTLLHKKTEEIVRLNGIYKRLLSNVKLFEGEGRVIGPNEVEVIQLDGTKISYSAKNILIATGSRAQRIAIPGQELAITSDEALSLEDLPRRVVILGGGYIAVEFASIWRGMGAKVDLCFRKELPLRGFDDEMRAVVARNLEGRGINMHPCTTLTELAKTEDGIRARTDHGEDLLADVVLFATGRTPNSKRLNLEAVGVELDKTGAVKVDEFSRTNIPSIWAIGDVTNRMNLTPVALMEGTFFAKTVFAGEPTKPDYTHIPCAVFCIPPLSVVGLSEEEAIEKANGDIAVYTSSFNPMKNTISGRQEKSVMKLVVDKETDKVIGASMCGPDAPEIMQGIAVALKCGATKAQFDATVGIHPSAAEEFVTMRSVTRVVPPASKPKTNL >itb13g06970.t1 pep chromosome:ASM357664v1:13:8459466:8466055:-1 gene:itb13g06970 transcript:itb13g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQTENEAKILPDAWDFHGRPALRSSSGGWSSAAMILGVEACERLTTLGIAVNLVTYLTGTMHLGNANAANNVTNFLGTSFMLTLLGGFIADTFLGRYFTIAIFTTVQATGVTILTISTIIPSLRPPKCERGSPACIPASGAQLLVLYAALYLTALGTGGLKSSVSGFGSDQFDETDKKERGQMVKFFNWFFFFINVGSLAAVTVLVYIQDSLGRRWGYGICACAIVAALLVFLSGTKRYRFKKLVGSPLTQVASVFVAAWRKRDLDLPSDPSLLFNTDDIAGDHASKKKQKLPHSKQFRFLDKAAIKEGEASEGNKWTVATLTDVEEVKQVIRMLPTWATTIMFWTVYAQMTTFSVSQATTMDRRIGKSFEIPAASLTVFFVGSILLTVAFYDRAVVPVCRRVLKNPQGLSPLQRIGVGLVFSIFAMIAAALTEIKRLKVAQSHGLTNDPNATIPRSVFWLVPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSTLVTIVHKVTGEKTPWLADNLNRGRLYDFYWLLSILSVINLMLFLFCSRWYVYKEKRLADEGIELEEEESAAACH >itb03g22740.t1 pep chromosome:ASM357664v1:3:20795515:20798572:-1 gene:itb03g22740 transcript:itb03g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSWTCFRCCLVIFAVVSALCVSGPALYWKFKKAIKLKASCPPCKCDCSPPLSLLDVAPGLANLTVRDCGKDDPDLKEEMEKQYVDLLSEELKLQETVDKERTHHMNITVTEARRLASEYQKESEKCNTATETCEVGRERAEVLLRNEKKLTVVWEKRARQMGWTGN >itb04g31530.t1 pep chromosome:ASM357664v1:4:34376015:34377439:-1 gene:itb04g31530 transcript:itb04g31530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLVPLHLLNVTIHTAKHQPKISATCALFSSTLHNCIEKQDKHQGQRQTCQHIEKQKQEPSIWSAARIQKLIASQSDPLLSKEIFDLASRQPNFRHSYAAFHTLIIKLGRARHFSLMQSVLYSLKSQQFSISPSLFSNIIQIYGDAGLPDKALKTFYTILEFNMKPRPKHLNQILEILVAHHYFIRPALDLFKSAHRYGVSPNTKSYNILMQVLCLNDDLSIAYSLFNQMFKRDVLPDVESYRIMMQGLCRKSQVNKAVDLLEDMLNKGFIPDTLSYTTLLNSLCRKKQLREAFKLLCRMKVKGCNPDIVHYNTVILGFCREGRALDACKVLEDMPTNGCLPNLVSYRTLVGGLCSQGMYDEAKGYVQEMMSKGFSLHFSVVHVLVKGFCNLGKIEEACGVVEVLLKHQNIVHIDTWAEIIPRICEEDNAGKWGDILKEITKVEIKPDSRIVDVGAGLGEFLINKIKSRSSMV >itb14g11390.t1 pep chromosome:ASM357664v1:14:13032775:13035605:1 gene:itb14g11390 transcript:itb14g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCLKHLIVATQNTLPVSFSTNPFLITNIFPHSSRSSFILSSKRSNFQDFQDYVKPSRLLPATEVESCQNSSLEKVITSFNDKSFECLYIVKLRTSSVYGSDLSDVNSGVLLCLIDENGDSILRRISPGLMNYYNSNAHHFQRGSVDEFIFEGPELGKITAVWIGLESGQWRLGGVSLTVISQQKSVSAENEKKSLRYKGFQYNYDIEDTLLGENSDMSMVELRSRVVTAISENNISLLRENSFPSSLSTSNVSNEETMKEYADLKFSLLLYDAALTLTGSSLASFSAGEKPALAFLAGGVIGFLYLSLLQRSVDGIPSSDLVTRKSGGDINRTSGGLKCSVSSVILALAITVIAAKYVLGDAARVLTPMELLFGTIGFLMCKVSVVLAAFKPLPTGLRNK >itb01g16090.t1 pep chromosome:ASM357664v1:1:19965562:19965991:1 gene:itb01g16090 transcript:itb01g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNTSSAEFMGDVRCRCGYLAPLKMSWSYANPGKRYRACPRYGGNESCRYFEWMDHDVSERVAKVIRGLLKRANNYEKEIEKLSLTVEKKDLEIHKKSWESKMNFFFFLDLDVEL >itb10g18900.t1 pep chromosome:ASM357664v1:10:24680230:24688432:-1 gene:itb10g18900 transcript:itb10g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHTTLVFLPLLLFPILASCNDKQVYIVYFGEHNGEKSLHEIEETHHSYLQSVKESEEDAKSSLLYSYKHSINGFAAVLSPDEASKLSELEEVVSVYPSDPRKYSLQTTRSWEFAGLQEVVPEATLNREDLLLKSSFGKNVIIGILDSGLWPESESFKDDGLGPIPKSWKGICQSGDAFNSSNCNKKIIGAKYYLKGYESYYGPLNRTLDYKSPRDKDGHGTHTSSTAAGRRVENVSALGGFAAGIASGGAPLARLAMYKVCWAVPAKGKEEGNTCFEEDMLAAIDDAIRDGVDVISISIGTNQPTPFNQDSIAIGALHAIKKNIVVSCSAGNSGPAPATLSNTAPWIMTVGASSVDRAFLAPVLLGNGKKLMGQTVTPYKLKRKMYHLVYAGQVVKSNVSKDLEGQCLPDSLSPEKAKGKIVMCLRGNGTRVGKGGEVKRVGGVGFVLGNSKANGAELAADAHLLPATAVDYETAQQILEYIESSKEPKAYIFPAHTVLNAKPAPVMAAFTSRGPSTIAPHILKPDITAPGLNILAAWSEGSSPTKLDIDHRVVKYNILSGTSMSCPHVGGATALLKAIHPDWSSAAIRSALITSAGLRNNVGKQITDANGSPADPFQFGGGHFRPSKAADPGLVYDASYTDYLLFLCAYGVKGVVPSFKCPEHAPSPSNLNYPSVAIPKLEGRVTAKRTVTNVGSDRSLYFARVQPPLGFSVEISPPILYFSHAGQTKSFTVTVKARRDMKKSVEKHQYEFGWFTWNDGLHTVKSPIAVSLA >itb08g01260.t1 pep chromosome:ASM357664v1:8:947538:948605:-1 gene:itb08g01260 transcript:itb08g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDHIPTNKYQAAVSPPSTSSNEAAKESADSRKKQTAAPAPAAKKPKKNDDGKHPIFRGVRKRSWGRWVSEIREPRKKSRIWLGTFATAEMAARAHDVAAIAIKGSSAVLNFPELAAKLPRPASKSAKDVQAAAAKAASLDYPPDPPSRAEPEPFSPEETATSESSSDSPSSFNEDPFLGLPDLLFNPAHQAAAPEFGYASSPWHQLAGFEPFHTDIWSEENFLWDYS >itb03g03080.t1 pep chromosome:ASM357664v1:3:1747668:1751155:1 gene:itb03g03080 transcript:itb03g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTINSRKSPATPWWQRSSSLPVVNSGDVIVESGRKGGGGKYASFGISFLFRRRRLSGRGRKLRHVTDHEGDEFVPSKYNSLDHSLARSSSDGTHSSSDLSPGRSSSSGFQPSPLPLPPPEVHALLRRDANSSPYFGKAHLPSPTKSHPHHSHSHSDSHADEAHLLQRFFNQAARRTRENQASNSRTRKSPTREPNGGTEKLSGPSLDASFCYWLSPEKKTASSIDNSPTQSPNRSTRNATGSASPLTRRGNNAQANNVHPLPLPPGAALSQPSSPAFPISSKADQMPLKGQWQKGKLIGRGTFGSVYIASNRKTGALCAMKEVEIFPDDPKSAECIRQLEQEIKVLSNLKHPNIVQYYGSEIVGDRFFIYLEYVHPGSINKFIRDHCGAITESVVRSFTRHILCGLAYLHSKKTIHRDIKGANLLVDAYGVVKLADFGMAKHLSGQAGPLSLKGSPYWMAPELLQSVPARDPNGDHALGVDIWSLGCTIIEMMDGKPPWSESEGPAAMFKALKESPPIPDSLSPEGKDFLRCCFCRNPADRPTASMLLEHQFVKNSHQLDIPHCVRSPNSLKLTDNLQIKKEHRYQKHEKGKLFRP >itb07g13850.t3 pep chromosome:ASM357664v1:7:16176574:16180561:-1 gene:itb07g13850 transcript:itb07g13850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFEDAPSGSESSGNYGCKHYRRGCKIRAPCCDEVFDCRHCHNEAKNALEIDPLYRHNIPRHEVEKVICSLCRTEQDVQQSCIQCGACMGKYFCQKCKFFDDDISKKQYHCDECGICRTGGKENFFHCNKCGCCYSNLIKDAHHCIERAMHHNCPVCFEVN >itb07g13850.t2 pep chromosome:ASM357664v1:7:16177991:16180561:-1 gene:itb07g13850 transcript:itb07g13850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFEDAPSGSESSGNYGCKHYRRGCKIRAPCCDEVFDCRHCHNEAKNALEIDPLYRHNIPRHEVEKVICSLCRTEQDVQQSCIQCGACMGKYFCQKCKFFDDDISKKQYHCDECGICRTGGKENFFHCNKCGCCYSNLIKDAHHCIERAMHHNCPVCFEFLFDTINDITVLQCGHTIHLECLKEMQSHYQYSCPICSKSICDMSECWEKLDEEV >itb07g13850.t1 pep chromosome:ASM357664v1:7:16176574:16180447:-1 gene:itb07g13850 transcript:itb07g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFEDAPSGSESSGNYGCKHYRRGCKIRAPCCDEVFDCRHCHNEAKNALEIDPLYRHNIPRHEVEKVICSLCRTEQDVQQSCIQCGACMGKYFCQKCKFFDDDISKKQYHCDECGICRTGGKENFFHCNKCGCCYSNLIKDAHHCIERAMHHNCPVCFEFLFDTINDITVLQCGHTIHLECLKEMQSHYQYSCPICSKSICDMSECWEKLDEEVASTPMPEMYKDKMVWILCNDCGEVSKVQFHILGHKCLRCKSYNTRQIQGAPASSACPSGVAEMVT >itb15g02190.t1 pep chromosome:ASM357664v1:15:1342336:1344219:1 gene:itb15g02190 transcript:itb15g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKPVVSLFFSLCVFFPLISAAPTDIKYCSETNYAVKVTGADITPFPVKKGENVTFTLTAFTDKGMSSGKQVISVLYYGIDVYDETNDICEVTSCPINAGTFELSHTQKLPAYAPTGDFGLRMTMLDDEDKELTCITFDFSIGVGLGLIAKSKGVRSNFLM >itb06g17100.t1 pep chromosome:ASM357664v1:6:21049757:21051043:1 gene:itb06g17100 transcript:itb06g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMKETATTVVRSCELCNGEAAVCCPSDSAFLCWSCDAKVHDANFLVARHIRNIICLKCKSFTGHRVSGFGVAPIAGHCGSCSSPPPPEEEEADLGDAVSSSSSSSACVSSTHSSGQKKMSDQSSESRNEFSSEVTFPATGLKCKARSPPVPAKVDPAAEGIFVNWCKRLGIGSEDKVVRKACDAFRLSTALITVLPFRAVLAASLWFSLRRCCGHSASTWRAVKKLEEISGVPAKLILAAESKLQRVEKAGKPRRHWLEEGWDES >itb03g19090.t1 pep chromosome:ASM357664v1:3:17231263:17235737:1 gene:itb03g19090 transcript:itb03g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAASRARALKGRASNGALARFASSTAVATNSSSGGLFSWLTGERSSSLPPLDFPLKGVTLPPPLPDHVEPGSTKITTLPNGLKIASETSASPAASVGLYVDSGSIYETPASFGASHLLERMAFKSTLNRSHLRVVREVEAIGGSVQAAASREQMTYTYDALKTYAPQMVELLVDAVRNPAFLDWEVKEQLEKMKVEIADFSKNPQALLLEAIHSAGYAGPFANPLLASEASLSKLDGTALEEFVAENYTASRMVLAASGVEHEELLKIAEPLLSDLPNVLRAEEPKPIYVGGDYRCQAEQGVTHFALAFELPGGWHKEKDAMTLTVLQMLMGGGGSFSAGGPGKGMYSRLYLRVLNEYPQVHAFSAISSIYNSTGLFGIQATTSSDFSSKAVDIAVKELTAIASPGEVDQRQLDRAKQATKSAILMNLESRMVASEDIGRQILTYGERKPVEHFLKAIEEVSAKDIASIAQKLISSPLTMASYGDVLYLPSYDTVSSRFQSK >itb12g00950.t1 pep chromosome:ASM357664v1:12:669895:675149:-1 gene:itb12g00950 transcript:itb12g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLDYYSNNKNLADADESLLTCLADLFMQISSQKKKTGVISPRRFVQKLKKQNEIFRSYMHQDAHEFLNYLLNELVDILEKESNAAKSDQEISPSEKIANGPKTTLANGTHKEPLVTWVHKNFQGILTNETRCLCCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEELDAEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLYFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESVCSGTTS >itb15g06760.t1 pep chromosome:ASM357664v1:15:4536603:4538876:1 gene:itb15g06760 transcript:itb15g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGAGKSSFLRNILVRVFLFCVAIIGFRFAYIVTLRGESCDLGDFCFFSLPENFNIVSVTTQLTKPSAAVMTSEAVGKSAPAKPKLPDLWATKDFQKAAKFYSSVFQDLIAEGFLNPYWKALCVDTEKGPDVFALREIGVSDSVGIFKKASKPLVVSGQGIKQPFDDNSFDFIFSGAGMIDKSKKPADFAGEICRTLKPEGFLVVHTGSKDTYSFNSLIGLFNCCKLVKSRDIDGFDSKLLSIREIIMQKEGEGDSHGLRNPNSGTKCSVPDYKLQLIRKAEPLIMEEPKKPWITLKKNIGNIKYLTSMADINFKQRYVYVDVGSRSYGSSIVSWFKKQYPKQNKTFEIYAIEADKTFHQEYKTKKAVTLLPYAAWVKNESLFFEINQTPGDKDVVKGRGMGRIQPVQSSANAVSDVDRIQAFDFAEWLKSAVSERDYVVMKMDVEGTEFDLIPRLFETGAICLIDELFLECHYNRWQKCCPGERSSKYEKTYDQCMDVFTSLRESGVLVHQWW >itb04g26610.t2 pep chromosome:ASM357664v1:4:30885706:30889856:1 gene:itb04g26610 transcript:itb04g26610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAPEVAAQPAVSAQVVGNAFVQQYYHILHHSPDLVYRFYQDISKLGRPEEDGSMSSTTTMQAINDKILSLNYADLRAEIKSIDAQESFNGGVSVLVTGYLTGKDNEIRNFSQSFFLAPQDRGYFVLNDMFRYVDTINQHDKSHIPVVPSTPEPSPPEQDNHVVEHNTASIEEPNGEVVSPAENGDVAVVEEEEVPVAEVVNEVEEDSHVAVESNNKVEEVPKKLYSQIVQELKENAASFSPPPASAPRKPVVKNVEQVNQSPAPVANGPDNSGSFDAINNQEPEAADGHSIYIKGLPLTATVSVLAEEFKKFGPIKNGGIQVRSNRQQGFCFGFVEFEEANAVQKAIEASPIPIGGRQAVVEEKRSTNSRAGSNNRRFLPGRGSGFRNEGVRGRGNYGGGRGYNRGEFNGRNEFNNRGGNRGGPLNRGGDAYQRVDNAGSNGGRMNRAGGMPNGTAKAMAPRVSATA >itb04g26610.t1 pep chromosome:ASM357664v1:4:30885706:30889856:1 gene:itb04g26610 transcript:itb04g26610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAPEVAAQPAVSAQVVGNAFVQQYYHILHHSPDLVYRFYQDISKLGRPEEDGSMSSTTTMQAINDKILSLNYADLRAEIKSIDAQESFNGGVSVLVTGYLTGKDNEIRNFSQSFFLAPQDRGYFVLNDMFRYVDTINQHDKSHIPVVPSTPEPSPPEQDNHVVEHNTASIEEPNGEVVSPAENGDVAVVEEEEVPVAEVVNEVEEDSHVAVESNNKVEEVPKKLYSQIVQELKENAASFSPPPASAPRKPVVKNVEQVNQSPAPVANGPDNSGSFDAINNQEPEADGHSIYIKGLPLTATVSVLAEEFKKFGPIKNGGIQVRSNRQQGFCFGFVEFEEANAVQKAIEASPIPIGGRQAVVEEKRSTNSRAGSNNRRFLPGRGSGFRNEGVRGRGNYGGGRGYNRGEFNGRNEFNNRGGNRGGPLNRGGDAYQRVDNAGSNGGRMNRAGGMPNGTAKAMAPRVSATA >itb07g23340.t1 pep chromosome:ASM357664v1:7:27759867:27762814:1 gene:itb07g23340 transcript:itb07g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGKMMSSCVDNRVLLQTDRFPSPSEVLDSFWLPTSNPSFQGSASMVNFNGGGEMERGGNEDYGGGGGGYYPAEKKRRLSPEQVHFLEQSFEVENKLEPERKVQLAKDLGLQPRQVAVWFQNRRARYKTKHIEKEYDSLKSCYDQLKADCDSLSKENEQLRNEVQLLTEKLMRKEKGSTIPQPAKPQSSLDPTPNRNLGKPQQQHLNSQDNVCKQEDASSAKSDVFDSDSPRYTDGIHSSLFFQEPANSSTVLDSDFSQDDDDSLNRGLIHSPCIFPKLEEEDKDHCLQMLPPYSCGLGFSVEDQTAPSWFWSY >itb07g23340.t2 pep chromosome:ASM357664v1:7:27760237:27762691:1 gene:itb07g23340 transcript:itb07g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFNGGGEMERGGNEDYGGGGGGYYPAEKKRRLSPEQVHFLEQSFEVENKLEPERKVQLAKDLGLQPRQVAVWFQNRRARYKTKHIEKEYDSLKSCYDQLKADCDSLSKENEQLRNEVQLLTEKLMRKEKGSTIPQPAKPQSSLDPTPNRNLGKPQQQHLNSQDNVCKQEDASSAKSDVFDSDSPRYTDGIHSSLFFQEPANSSTVLDSDFSQDDDDSLNRGLIHSPCIFPKLEEEDKDHCLQMLPPYSCGLGFSVEDQTAPSWFWSY >itb04g26710.t1 pep chromosome:ASM357664v1:4:30948721:30951154:-1 gene:itb04g26710 transcript:itb04g26710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVHLVRTLGGLADKYGPVFTIRIGMPRALVVSRWEAVKDCFGTYDKIFASRPASCAGTYLGYDNAALAFSTYDSYWRKVRKMVVVELLSNTKLEKLKHVWMSELTSNVKELYNHIVRNGGVNGVKVDMNEWMRHLNYNMISKIVVGRRYKFTIEHGIEDEEARHMRKAFKEFMSLAGELVSADALPFRIFRWLDFEGHIKNMKRVTRTMNAFLQVWIDEHVKKRKESTGDVEDRDFIDVMLSVIDDEFTAGYRYTRDTIIKATAVSMLQDGAETMAVNMIWLMSLLLKNREVLKRIQEELDAKVGRERWVEDYDIDNLIYLQAAVKESMRLYPPAPFLVPHKASEDCNVDGYYVPKGTQLFVNVWKLHRDPRIWPDPEKFSPERFLNTPENVDPAARQYGYIPFSYGRRSCPGISYGLKVTHLTYARLLQGFDFSPPENMAVDMSEALGITMPRANPLEAVITPRLPSALYAAS >itb01g27330.t2 pep chromosome:ASM357664v1:1:32176811:32179700:-1 gene:itb01g27330 transcript:itb01g27330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTENDIELPKKPRSPVELNHCIEELLRHTLAFAVAGTLDTDIGLSDDFCANLLHDDTSNSTVPLYKRLAASLYQCISSGDILRTDSEVAVMHESCSLKQKEDGCNYKLVREKGSELINVLKTVDFELHVQEPFFSHLRSGEKTIEGRCALGDYNKIEVGASILFNKCLVLQVQDVHRYASFREMLEAEPLSKVLPGIKSTEGGVQVYRNFYSEEKERSNGVLAICVTKPVSQPYLSMASIISDLSYGGLHNLLNFAQS >itb01g27330.t1 pep chromosome:ASM357664v1:1:32176764:32179700:-1 gene:itb01g27330 transcript:itb01g27330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTENDIELPKKPRSPVELNHCIEELLRHTLAFAVAGTLDTDIGLSDDFCANLLHDDTSNSTVPLYKRLAASLYQCISSGDILRTDSEVAVMHESCSLKQKEDGCNYKLVREKGSELINVLKTVDFELHVQEPFFSHLRSGEKTIEGRCALGDYNKIEVGASILFNKCLVLQVQDVHRYASFREMLEAEPLSKVLPGIKSTEGGVQVYRNFYSEEKERSNGVLAICVTKPVSQPYLSMASIISVRFKLWRSSQPSKLCTELGMHLILPSSVCFLEKNN >itb10g06380.t1 pep chromosome:ASM357664v1:10:7089661:7092392:1 gene:itb10g06380 transcript:itb10g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKMNSNTKLFLFSCFLVFQPLCSVSYAGTDPIYDEFVGCLSTTGDNAISKDTVSKIVYNQVNPKFTSVLDAYIRNRLFNTSETRKPTIIVTPTEECQVPAVVLCAEKAKIQIKIRSGGHDYEGLSYVSSVSFIVLDMFNLKSIEIDVAGETAWVQAGATLGELYYRISEKSPVHGFPAGVCPTIGVGGHITGGGYGNMIRKYGLSSDHVLDARIVDVKGRILDGKAMGDDLFWAIKGGGAGSFGVILAYKIKLVPVPRKVTYFSLQFTGEEAMDVFSKYQEVASIIDNNLFIRATLKAGKNSSATEMNAIAQFLGDSKTLISITDEHFPVLGLKQENCIEITWIQSILQWYGFPYTTTNPDFLLNRNPNSAVFFKRKSDYLHKPIPKQGLESLFKKIAELGSSEFTLNPYGGKMGEIPAGETPFPHRAGTLFKIQYAETWMKEEAGDKYGKQLRELHSLMTPYVSSEPREAFLNYRDIELGTNDNGPRRYEEAKVYGHMYFKNNFDRLVKVKTAVDPDNFFWNEQSIPPLSAVQ >itb05g01320.t1 pep chromosome:ASM357664v1:5:1119478:1122642:1 gene:itb05g01320 transcript:itb05g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MASVSLCSSPSSLSAAIAQKQQRPNLSLSRPNPNVPFLSHSLSSLRLSSSPKPLSTSPFVAKFSDSGSSVAEAEPESPVFESELQTETIVSEPKRDEIFAVVMVGSRQYIVFPGRYLYTQRLKGANVNDKIILNKVLLVGSRTSTWIGKPVVTNAAVHAVVEEQLLDDKVIVFKYKKKKNYKRKIGHRQPITRIRILGITGYEDYPASTLPDTEPTQ >itb07g07860.t1 pep chromosome:ASM357664v1:7:6123121:6123474:1 gene:itb07g07860 transcript:itb07g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGDGILGNDESERKKGKKAAEEEVGDGGDGGLTTEEEWEWWWGWGEGDEQMWWGTCWDPCWDVGIMGREAYNELYNDVLWEDDVWDLKQINHVPLPVPVPVPVSVPIPMVGDQA >itb15g11240.t1 pep chromosome:ASM357664v1:15:9067433:9069855:-1 gene:itb15g11240 transcript:itb15g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVDKVLEDQVAQGVNALVQTVAYNVKLVRGIDSEIKDLTSDIQTFSARLIEASKNSWANDHHVLRVVVKKFGNVVNEAQDTIADYLALKGKHVDNVFSKSLDKIPFCGKINDFASEIQSIRAKLAMIRQDHGQELLQLMTYKINEQNKGLLTLQD >itb03g11300.t1 pep chromosome:ASM357664v1:3:9408536:9410743:1 gene:itb03g11300 transcript:itb03g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFLAGEEIVERSSMDVAWSQLIPGIPNDVAMQCLARVPRIYHPILSLIFRDLPLMQIRKEKFILYKRNAIHICFMDSLQFCLRLENNSIHSFDMLFWRMQFTGDVTEDSELEMLNSPSLGIFPALNFC >itb14g04010.t1 pep chromosome:ASM357664v1:14:3600464:3604242:-1 gene:itb14g04010 transcript:itb14g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIIKELEFQNETARSQSVKEEWFPKLQTVATAQDEEAKKAAISEVEEGLGVLEGAFQSCSKGKKFFGGERMGFLDIALGCFMAWIRVTETFNRIKFVDEAKVPGLANWAQDFCADDAVKDVMPSTEKLAEFAMKMFTHMKPQS >itb14g06190.t1 pep chromosome:ASM357664v1:14:5376972:5381903:1 gene:itb14g06190 transcript:itb14g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPLVGSSINENIILEEVLRAHGSDGKGFKTSYVLNFVKKILFSIYIMIAGEIIHEDEESEDSDNDEKFDEKESDDKKKSNEEEFDEEPKDMELSYQIKRLSFEMSLMCSNKMDSHLAVIYFMKMLSTFSWEGKLLMMLAVFSLNFGEFSLVHGHKGLARKLSILRGSETLVVPPHIPEMVTNFIKSILQLTDCIVELAQSSSHNSSPIIPIACYWIFTNLLVYASYFTRLPSMDSKWLIIEETQLYDLTAKIKDIITDCRPILDKKREEDCYKALCYAFSYENPIPFTNNNLDVLKLLFNVKNGGKKKLLYDGKSEEMVKLCSLKDQSLLLLISPNLNIDPFLLRMLKGVQDLTKVRIVWIPIFDYPELLAIKQMEEQYGILVEVLECVSVINPQKSVSSCFVRFVKEKWFPTFQTGGDPIIVSLDHHGRIVHHNAMYMILMLVGDVIEGIKTGVEIGESIIPSLQNMLKERTLAIRSVMPDIDRKLDQIVGNMERVMIEGLDEIGKQIQNLATYTNIFTSEMEKHQWKIQTWCFRLVGGITESKADKFEDDKNELCILIGGNNIKWVKTFLSSVLSKICFNPLLRFEVEMIYVGSNMKVASMVDDKFKIFDEPDEAENLFSARIVWSRLENLFLSRIKFLDETHGDEESDEIAKGLKLLLAYEGNGLGVYGWAMLCKGNEIVVCDLGEKMLTVVNEYEKWKESAIAKGFDKAFKDVHHMLGSTSTSQHHPCCTLEYPSNFDKTPKNVKCPQCCRNMQKLIAFRCYHGHDCLLDDDDDNEEEDDGDGDGDDDDDDAT >itb14g06190.t2 pep chromosome:ASM357664v1:14:5376972:5381903:1 gene:itb14g06190 transcript:itb14g06190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPLVGSSINENIILEEVLRAHGSDGKGFKTSYVLNFVKKILFSIYIMIAGEIIHEDEESEDSDNDEKFDEKESDDKKKSNEEEFDEEPKDMELSYQIKRLSFEMSLMCSNKMDSHLAVIYFMKMLSTFSWEGKLLMMLAVFSLNFGEFSLVHGHKGLARKLSILRGSETLVVPPHIPEMVTNFIKSILQLTDCIVELAQSSSHNSSPIIPIACYWIFTNLLVYASYFTRLPSMDSKWLIIEETQLYDLTAKIKDIITDCRPILDKKREEDCYKALCYAFSYENPIPFTNNNLDVLKLLFNVKNGGKKKLLYDGKSEEMTYTNIFTSEMEKHQWKIQTWCFRLVGGITESKADKFEDDKNELCILIGGNNIKWVKTFLSSVLSKICFNPLLRFEVEMIYVGSNMKVASMVDDKFKIFDEPDEAENLFSARIVWSRLENLFLSRIKFLDETHGDEESDEIAKGLKLLLAYEGNGLGVYGWAMLCKGNEIVVCDLGEKMLTVVNEYEKWKESAIAKGFDKAFKDVHHMLGSTSTSQHHPCCTLEYPSNFDKTPKNVKCPQCCRNMQKLIAFRCYHGHDCLLDDDDDNEEEDDGDGDGDDDDDDAT >itb03g15740.t2 pep chromosome:ASM357664v1:3:14984998:14988392:1 gene:itb03g15740 transcript:itb03g15740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACEKTVYPVELLSADGINYHKSCFRCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKESGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVENQSYHKSCFKCSHGGCSLTPSNYAALDGILYCKPHFSQLFKEKGSYNHLIKSASVKRPTTPVPEA >itb03g15740.t1 pep chromosome:ASM357664v1:3:14984998:14988392:1 gene:itb03g15740 transcript:itb03g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQQKCKACEKTVYPVELLSADGINYHKSCFRCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKESGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVENQSYHKSCFKCSHGGCSLTPSNYAALDGILYCKPHFSQLFKEKGSYNHLIKSASVKRPTTPVPEA >itb07g19640.t1 pep chromosome:ASM357664v1:7:24115828:24116386:1 gene:itb07g19640 transcript:itb07g19640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRQTFACFEEMMPSSRSIPAHFHLSLHGANNSHSCSNAFLWGFVSTCGAIGFTPLDFVFAHLKARDDSVQFKAPASGNCHQHRLASALSCGHCSSCR >itb12g05610.t1 pep chromosome:ASM357664v1:12:4145956:4149771:-1 gene:itb12g05610 transcript:itb12g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFPKSQAMYWWSAFSSVRSSSSSSSAKVHSDDSMIVSRGGRDSLKGAFFFGRSRRRASKHHHHQLTEQQDIESCVPVRKSSSCENSPARISSPSPSPMPLPVPELQTFLRQVSHSGADSCSIPLPSPEDSFPKDRDVKRDRPDSHPSVCCDVQGEGALSLQRLLNQAARKNTGNPECQARRKCPGEQPNGTRRTELKIPATISAPSSPYASPALSPLNHPGDHFSPKFYAPPTIFQVWSAPEMPHSIDHALGFPCQLSPEKIAFSTDNSPHHSPRVRSPTPSTKSPSRPASPSLNILPNETSMLRRDSGSQSNVHPLPRPPSAAAPSQPSPSLPVTPMQELMPIKGQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVELLPDDPKSAECMRQLQQEIKVLSNLKHPNIVQYYGSEIAGDRFYIYLEYVHPGSINKFIRDHCGAITESVVRNFTRHILCGLAYLHGTKTIHRDIKGANLLVDAYGVVKLADFGMSKHLSGQAGYLSFKGSPYWMAPELMVSGTQDNSNSDYAFAIDIWSLGCTIIEMMNGKPPWSEYEGPAAMFKVLKESPPIPDLLSPEGKDFLQCCFRRNPAERPTASMLLEHRFVKNLYQQLSLPQCVRPLNGLMGAA >itb10g21260.t1 pep chromosome:ASM357664v1:10:26566599:26568676:1 gene:itb10g21260 transcript:itb10g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPKITTFLLLRPLLFSQNPNKKKPFFALTKTMSSWACSTCTFINPPTQKSACEICLSAAPAPSPSSPEKPKWSCAACTFLNPFRTTRCEICGTRASDASLSTLLETGDDDHDVDPSVGTVFYPLRSCSSSSNTIKKKLDLVGLDDEVAIDITRFGSGTDSDKNSRAENNKRKRREEQVVIEDDGIDLLGFKDVKAGKMVANESLSVVEQAPSKTSLHRDPKILKILTYNVWFREDLEMHKRMEAIGDLIELHSPDIICFQEVIPEIYDIFQHSRWWKVYRCSVSSDMELTGGYFCMQLTKLSVKSFSSKPFDNSIMGRKLCSAEIEVAKDLRLVVATSHLESPCPAPPKWDQMFSKERVDQAKAAIKVLEQNPNVIFCGDMNWDDKLDGPFPLPGGWIDVWTELRPGEIGYTYDTKTNKMLTGNRTLQKRLDRFICSLRDFKISKIEMIGKDPIPGLSYCKEKKVRNEVKKLTLPVLPSDHYGLLLEIRRP >itb08g04900.t1 pep chromosome:ASM357664v1:8:4024283:4028240:-1 gene:itb08g04900 transcript:itb08g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRIEENPQLQEKREDLANMSEVESAQETAVSEAPKKPMEVSFSIWPPTQKTRDAVINRLIETLSSPSILSKRYGTISQEEASEIAKRIEEEAFNVAGASASADDDGIEILQLYSKEISKRMLDTVKSRSGAAAPESKASVEGEEAAAGEEVSDVKTEP >itb05g03890.t1 pep chromosome:ASM357664v1:5:3316566:3317429:1 gene:itb05g03890 transcript:itb05g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLGLRFQPFDYDVLRFLFDFVTGRSSVDDEALIHEEDIFGEKEPWELIPSGKKMAYFLTRLKKKGSRVQRTVGKKMKGKKSGTWHGQDTGKAVADQDGKFVMGYKRSFVYKNKSEPEQDGQWLLKEFYLPETIMRRARAQFRIVKEREDFVLCRIHPKKYDDDEEEEEDDENTLEQGGTNSNDSDAVSFPVPVETTTIQITEGSHCDAINSYLVGVPMETAIPVETLLDNMNSYIVGAPAVTVETLLAAQAVDGVPVETLPEMDSFMNLLLNMEDVTTLIDFDA >itb15g03530.t2 pep chromosome:ASM357664v1:15:2235452:2238234:1 gene:itb15g03530 transcript:itb15g03530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD3-1 [Source:Projected from Arabidopsis thaliana (AT2G41890) UniProtKB/Swiss-Prot;Acc:P93756] MLRLERVAITSILIGFLFLSVACSKIPLGSRLSGGNGFWASENGDFAMGFFRYCGQYSVGIKFNSSSVPDCEQTVVWLAGASRRVGSNSYFELTGRGELVLFDSGSRQIVWTSNTSNADVESAVLGNDGNFVLLDRYKNVVWQSFGYPSDTLLPGQNLSAGSVLRATSRNSVASVYTLSMDVSGQLQLRWETSVIYWTKGKGNPSGLAVRALLSSDGSLQLLNQESKPVWSVYGEDHGDLDVKFRFLRLDSDGNLRLYSWANGSRSWVPVWQAFENQCGVFATCGLHGICVYNSSGSHFCKCPFPSSGNSSSDCLIPYDPNCASNYSILRYNNMFLYGIYPPNETVVQTSLQRCWHLCQEDPQCHAVSFINNGSAQCHLKTTQYVSGSSDASSSSISLVKTCSDPMAVLPSPMPDVANSKPEICLVCVIEVSIASCIAFILIQFGIGLYIHRRRRYIAIKSASAAYSVPNASGCIMLSYSEIKALTENFNEPIGPKMFKGVLSDGRLVAVKHLDGSIEERKFRSAVLKIGSICHKSLVKLEAYCCESGYRFLVYEYAKNGSLDKCLEDPGICRSLTWAKRVNICLTVARAMYYLHTGCREFISHGNLKCQNVVLDDELGAKVSEFGLRVVLRGESHDEGPGETDVRDIGRMMVVLITGCQNADEACKRAYDRWVNGESEIVTDKRMEGAVNLDELERTLRLVFWCVQGDERMRPSMGEVVQVLEGRLPVDPPPPLYCHHNQTSPEDEQTSTPSSTSGL >itb15g03530.t1 pep chromosome:ASM357664v1:15:2235201:2238234:1 gene:itb15g03530 transcript:itb15g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD3-1 [Source:Projected from Arabidopsis thaliana (AT2G41890) UniProtKB/Swiss-Prot;Acc:P93756] MLRLERVAITSILIGFLFLSVACSKIPLGSRLSGGNGFWASENGDFAMGFFRYCGQYSVGIKFNSSSVPDCEQTVVWLAGASRRVGSNSYFELTGRGELVLFDSGSRQIVWTSNTSNADVESAVLGNDGNFVLLDRYKNVVWQSFGYPSDTLLPGQNLSAGSVLRATSRNSVASVYTLSMDVSGQLQLRWETSVIYWTKGKGNPSGLAVRALLSSDGSLQLLNQESKPVWSVYGEDHGDLDVKFRFLRLDSDGNLRLYSWANGSRSWVPVWQAFENQCGVFATCGLHGICVYNSSGSHFCKCPFPSSGNSSSDCLIPYDPNCASNYSILRYNNMFLYGIYPPNETVVQTSLQRCWHLCQEDPQCHAVSFINNGSAQCHLKTTQYVSGSSDASSSSISLVKTCSDPMAVLPSPMPDVANSKPEICLVCVIEVSIASCIAFILIQFGIGLYIHRRRRYIAIKSASAAYSVPNASGCIMLSYSEIKALTENFNEPIGPKMFKGVLSDGRLVAVKHLDGSIEERKFRSAVLKIGSICHKSLVKLEAYCCESGYRFLVYEYAKNGSLDKCLEDPGICRSLTWAKRVNICLTVARAMYYLHTGCREFISHGNLKCQNVVLDDELGAKVSEFGLRVVLRGESHDEGPGETDVRDIGRMMVVLITGCQNADEACKRAYDRWVNGESEIVTDKRMEGAVNLDELERTLRLVFWCVQGDERMRPSMGEVVQVLEGRLPVDPPPPLYCHHNQTSPEDEQTSTPSSTSGL >itb09g27490.t1 pep chromosome:ASM357664v1:9:27772269:27772852:1 gene:itb09g27490 transcript:itb09g27490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMARILHAKQGLRRSSSRTNRESEVPKGHLAVYVGESEKKRFVIPISYLAAPSFQELLSQAEEEFGFDHPMGGLTIPCPEETFLDIICSSSRS >itb09g13290.t2 pep chromosome:ASM357664v1:9:8627560:8631916:1 gene:itb09g13290 transcript:itb09g13290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAPAPNSRNMPAPPISNGNSGATVKTKLCNKYNSAEGCKFGDKCHFAHGEWEIGKPIIPGHEDPRAMGPGPLPGRFGGRMEQQPVSGPAASFGSSATAKISVDASLAGAIIGKSGVNSKQICRQTGVKLSIRDHETDKTLKNIELEGTFEQISQASAMVRELISSLGSVGGPGRAAPAAHGGPASHSSNYKTKLCDNFTKGSCTFGERCHFAHGAAEMRKSGV >itb09g13290.t1 pep chromosome:ASM357664v1:9:8627560:8631916:1 gene:itb09g13290 transcript:itb09g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKKRTRYEAAPNVNGDFKKAKQELDTISSGIGSKSKPCTKFFSTAGCPFGESCHFLHHVPGGYNAVAQIMNLAPAPNSRNMPAPPISNGNSGATVKTKLCNKYNSAEGCKFGDKCHFAHGEWEIGKPIIPGHEDPRAMGPGPLPGRFGGRMEQQPVSGPAASFGSSATAKISVDASLAGAIIGKSGVNSKQICRQTGVKLSIRDHETDKTLKNIELEGTFEQISQASAMVRELISSLGSVGGPGRAAPAAHGGPASHSSNYKTKLCDNFTKGSCTFGERCHFAHGAAEMRKSGV >itb04g23110.t1 pep chromosome:ASM357664v1:4:28307036:28307823:-1 gene:itb04g23110 transcript:itb04g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNKAGSD >itb03g30230.t1 pep chromosome:ASM357664v1:3:31858523:31860389:1 gene:itb03g30230 transcript:itb03g30230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFIDAGGAALVASGGVVQLSSKTQSVSPSGVGTSVRRPSVLHVDGVWFFRGPIVWFFSYLFSPSAKQQPWRILDGTMEDYGKQLPVSLVEGSNVDGSPILQRLVPSNSS >itb11g08830.t2 pep chromosome:ASM357664v1:11:5785944:5787775:1 gene:itb11g08830 transcript:itb11g08830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVIDGLPLVEGLDDGRDVPDADFYKQQVKSLFKNLARGQNEPSRMSIETGPYMFHYIIEGRVCYLTMCERSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQRTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGVKLDRKLIHSLRQL >itb11g08830.t1 pep chromosome:ASM357664v1:11:5785942:5789443:1 gene:itb11g08830 transcript:itb11g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVIDGLPLVEGLDDGRDVPDADFYKQQVKSLFKNLARGQNEPSRMSIETGPYMFHYIIEGRVCYLTMCERSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQRTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGVKLDQVSQMSSRLTSESRVYADKAKDLNRQALIRKWAPVAVVLGVVFLLLWVRKKLW >itb12g04030.t2 pep chromosome:ASM357664v1:12:2659716:2662656:1 gene:itb12g04030 transcript:itb12g04030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPPAYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVIITKSQMIQLKCAACGFVSDVDMRDKLTSFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEEMKKLKKETKKKVSSKDAAVKTSSKKKPSGLDGDHASPPRNHVNEEQEDDDNDDDDVMWQTDTSMEAARQRIQEQLNAVTADMVMLSTDDTEKPKTKKGHGSPKAASPPSEEHSNEKDGLSSHERLVEELKNNLKKGITASQFLSVLGVLAGTPQEVMIALYESLLDGVDKGYAKAIVKKKGYLVAALSQSEGSQLFLLKGIEDFCGKSNPAAVKEIALVLKALYDADILEEEYIVKWYNEGLKGNKDSPVWKNAKPFIEWLQSAESESEEE >itb12g04030.t1 pep chromosome:ASM357664v1:12:2659716:2662757:1 gene:itb12g04030 transcript:itb12g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPPAYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVIITKSQMIQLKCAACGFVSDVDMRDKLTSFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEEMKKLKKETKKKVSSKDAAVKTSSKKKPSGLDGDHASPPRNHVNEEQEDDDNDDDDVMWQTDTSMEAARQRIQEQLNAVTADMVMLSTDDTEKPKTKKGHGSPKAASPPSEEHSNEKDGLSSHERLVEELKNNLKKGITASQFLSVLGVLAGTPQEVMIALYESLLDGVDKGYAKAIVKKKGYLVAALSQSEGSQLFLLKGIEDFCGKSNPAAVKEIALVLKALYDADILEEEYIVKWYNEGLKGNKDSPVWKNAKPFIEWLQSAESESEEE >itb01g09000.t1 pep chromosome:ASM357664v1:1:7261377:7268213:1 gene:itb01g09000 transcript:itb01g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQSFVKALADNVLLRLCLFKFYACKDPLFLNKDFLEKPKRGKIMNISGKGVSISDKKLISAKATTLNPNAAEFVPLALKSPSGSTSTAGASKFANTNTSTLGKAVLDRSESSASNNSDDEAHQYWRRQLPDDITPDFKVMGEDDSHGLNNLPFSSLSLTDITEASRFSGSTGSGFMLKEQQELSPHQISGTSFSEATSYSISPFAEAASSTAFHLLPGKPWDKQLMNNGQFLTSPRDGPLYNGNSRHGYLTDGINKQPFVENTDASFEFLSSKFPGFAAESLAEVYYASGGDLALTMDMLTQLELQGDGGLSQNLNSKPLSTSYLSALDFPAPYVTDNQNNFLKYTGDDTQQNLSLYRPSDKDNTLMFRSGSSVPSRGAVDFASAVKKMAHQDSSIWKYDRNGLADASVGSSRNSNAMFSSYNGSQSRGFYGDRLQSRGSTHAGPVWLETGDAVANMYSEIREEARDHAHLRNAYLEQARQANLIGNKALAKEWNTNGQLHNMQINAAHGKSQESLFRLRNQEIQGNGRGQDRLIDLHGYNVNDALLVLKRELAGLRNAARSTDQQLQVFISVGIGQPTKGSRTPGKLPIAVQYYLLEEGLDYSEPQPGLLRVVMY >itb01g09000.t2 pep chromosome:ASM357664v1:1:7261377:7268213:1 gene:itb01g09000 transcript:itb01g09000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQSFVKALADNVLLRLCLFKFYACKDPLFLNKDFLEKPKRGKIMNISGKGVSISDKKLISAKATTLNPNAAEFVPLALKSPSGSTSTAGASKFANTNTSTLGKAVLDRSESSASNNSDDEAHQYWRRQLPDDITPDFKVMGEDDSHGLNNLPFSSLSLTDITEASRFSGSTGSGFMLKEQQELSPHQISGTSFSEATSYSISPFAEAASSTAFHLLPGKPWDKQLMNNGQFLTSPRDGPLYNGNSRHGYLTDGINKQPFVENTDASFEFLSSKFPGFAAESLAEVYYASGGDLALTMDMLTQLELQGDGGLSQNLNSKPLSTSYLSALDFPAPYVTDNQNNFLKYTGDDTQQNLSLYRPSDKDNTLMFRSGSSVPSRGAVDFASAVKKMAHQDSSIWKYDRNGLADASVGSSRNSNAMFSSYNGSQSRGFYGDRLQSRGSTHAGPVWLETGDAVGNIL >itb06g18780.t1 pep chromosome:ASM357664v1:6:22352501:22357517:1 gene:itb06g18780 transcript:itb06g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSGRDFKDFTDSSSSASDSGVFNASQYAFFGRDIAEEIELGGLEDEEVREDASSTGAPVAGGFGGGEDGVHEYHLFEKEEGSGIGSLSDLDDLATTFLKLNRVVAGPRHPGVIGDRGSGSFSRESSSTADWAKDADLPDWLDQHFSDTECYRETKRWSSQPHLSSIHLSESKPLYRTSSYPEQQPQQLQHCSSEPISVPKSAFTSFPPGGRSQQGSPRGFSQHLNISSLGHQSPFSATNLSSLSNSNAHLSGFPHGLQYGNMPQLTSPGFSVNNHLQNSWANHSNLYHDDHSSLSNNSLPHQFLQHNVLFSPQLMSSQQRRLHLSAQASLPHFPVMRSQLHNSLSAPSHLGKYVLSDVKDSRPKSHKGKSVRFAQQGPDSGSQKNESSVLQFKSKYMTGEEIEHILKMQHAGTHCNDPYIDDYYHQARLAKKAAESRTKYRLCPNKEQPSRSRNSTELLPHLRVDSQGRVSFSSIRRPSSLLEANPPGSSVCGSSEQKVQETPLEQDPKFAARITIEDSFYLLLEVDDIDRLLQFSQPQDGGAQLRRKRQMLLEGMAASLQLVDPLGKTSGPSELSPRDDIVFLWLVSIPKGQKLISRYLQLLIPGGDLARIVCMAIFRHLRFLFGGLSCEHGAGETISNLAKTVSTCVSGMDLNSLSACLAAVVCSSEQPPLRPLGSPAGDGASLILKSVLERASYLLTDPQAANSFSMPNPALWQASFDAFFKLLTKYCVSKFDNIMQAVAQTETSTDVISPEVGRAINREMPVELLRASLPHTNELQRKMLMNFGQGSIPLAGFNSRGGSSRKINAESVSC >itb12g05250.t1 pep chromosome:ASM357664v1:12:3485153:3486313:1 gene:itb12g05250 transcript:itb12g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGEAGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYEIEFKEGPALPVLDQMIQDGKYQGSYDFIFVDADKDNYLNYHKRLIDLVKVGGLIGYDNTLWNGSVVAPADAPLRKYVRYYRDFVLELNKELAADPRIEICQLPVGDGITLCRRVY >itb05g10980.t1 pep chromosome:ASM357664v1:5:16910716:16912112:1 gene:itb05g10980 transcript:itb05g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRQFRWTKPMEGTFLKILAEEAKNPNNPTNNFRASSFNRVASAISEQFNVAYEPKHVENHLRTVKNTWMMICKLKNMSGLGWDDNLKMITCDPAPYMELISATPKYEMLLNKKIKCYEEMSIVAGRDCAIGRFAKSFADVTLDGPNDEMFSDHNDETLPEQIEEESRGRTSASIASSRKSGRINENQLFEDVMSISDGFHEDDLCKTFEFLMTNEVQARIFKAKNASLKRK >itb03g28190.t1 pep chromosome:ASM357664v1:3:28898797:28909142:1 gene:itb03g28190 transcript:itb03g28190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKWLLFTLHLLLIFTEIASDGLPDPGNDRNSHAVSGSEVASDPSLLLELDQLKSKISLLESSISERVDKLRAKDEKVDELEKILASKSAALESLQTQFQLIQEQKSLNAKEQVGKANARADELEKQNDILKKEIEAQNKQKDNLVGRANEAEEKIQELNLKLENLQRINEEQKSRIRKTERALRVAEEEMVKAKMEASSISKQLQEIHEGWLPRWLAVHLVHCQSIIVTHWNEHGRPALNLSMKKALEKKSELETWVKPHIHTFQTEWIPMIKEHCREFARNIEPQLNILSTKTTDFYHASKNYMEPHITRAQEFLIPYYEDTKKFTEPYIDHIALIVKPHVDKATAFLKPYSKKAIRHYRKFMKSARLYHQQVQTTIHDKLKNNEYTEPFATKELAWLMASALVSLPVLFLLNMSTALFRKAPKKRSRSHHKSHTHRRAKRVHQEK >itb04g04050.t1 pep chromosome:ASM357664v1:4:2524798:2528440:1 gene:itb04g04050 transcript:itb04g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKKKLLGAESSQPSEIVASIAGDGAGAGSSEQPTGISTGAQPAAEPQQQDEMVGADADGGAAEEDDGELPEEEAEDDEQYEDLQIEEEAEGEAVEGEENGRPKLAEGFYEIETVRKKRVRKGQVQYLIKWRGWPETANTWEPVENLLSCSDVIDVFEESMRSGKRSTRRRKRKHSVTHTQPKKKQQQQQQRSPAAATYSVPAVKVRIMEEPLPFPCDDPSVNHLNNNVSAGGVKGVVQVVHENGVKADSSACRRTSERNDLDLKLSELRGMPMTNGANVNKSQEGRIPGEGLSNGFLKPDGTQSVQSGRCTGAKRRKSGSVKRFKQDSSTNVLNDMPDATIRAISDNAIAVAPEGIQNHPFVGNDWGYKNKSANFEDAYNISQIVKPVGYQAAVSNGVQEVSITFLAKRSDGKEVTVDNKFLKANNPLLLINFYEQHLRYNPSA >itb02g03430.t1 pep chromosome:ASM357664v1:2:1975662:1985100:-1 gene:itb02g03430 transcript:itb02g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Ha. [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGISFKVSKTGTRFRPRIQIHPDTDAAGDEDDVVSAVQNQKHRNSALPQHDSNSASAARTGTTEAADLDNNSAGVSENEVSFSLNLYLDGYSIGKPSESDSSGDVPKFLHPYDRASETLFSAIESGRLPGDILDDIPCKYIDGALVCEVRDYRKCLSEAGQGSHPVNLSPLITKVRLKMSLENVVKDIPLISNSAWTYGDLMEVESRILKALQPELCLDPSPKLDKFSNKPVSSKLNLAISTMRRKRLRQTSRSGDGGAIIQQPAHENVHPQSNGPNNMSAARTNSFGSDVSIPASPLVSNQSKYQIGVGSPRIMQDQRSTILNASTASPAMPDVMLPYQDTVSSASLLGKRENQEGQSYPLANLSKRPRFTNIGPDSNQLQNIGPQIDSFNEPDSHWKNTLLQQQSIQRGIPYANTGLQKFSQQTFEGGLNQEAGMPFTVGQQVARYGLKEEPTELDKLDKPVVPSRSEMQTVDAEMSHMDPQQSKLHQRSPQQFLRSGFPQAHWNNASQPLENSARKEDPFQKRKQVQSPHVSGGGFPQSPLSSKSGDFSSGSVGHQYGPAVTSGLAASLKEKSAVTSVPLAASASSLTSSVNDSMQRQHQAQIAAAKRRSNSLPKTPVISGVGSPASVSNMSVPINASSPPVGNPPSAEQIMLERFSKIEMITTRHQLNSKKNKVDECPVRKPNAYPTQQLLVHLSGDSNNETIIDEKFKLSKSLIQGSVNRCKRRVLTFTQTERVLQGNAFSVVPKSRIKMIMSEKPSDGTVAIHIGDIEDNEYIAAEDYLPILPNAHFADLLAAQYCRLMNHEGLFVDDHVHPKPVRVNNPSSSQPNMPGLSPTSAGTDMQQYSEGVSGLSGESSKATSSVNASFNSTQNIQGTRLLPPGNTQALQQLSQGVLPGVSMPSRPQQPDMLPPQQQQLQQNQHSLIQQQHSQFQRSSLMMAANQMSHLNGGSGNHLANKSSPLQLQILQQQQQQQQQQQQQQQQQMQPQMQRKMMMGLGTVGMGNISNSMVGLGGLGNAMGMGIRGVGGSGISAPMGSIPAMGNLAQNAMNVSQASNISNTISQHLRSGQIMASKLRMVQNRMNMLGGPQSNIGMSGGRQMHPSSAGLSMLGPALNRANINPMQRTALTASMGPPKLMTAGMNLYMNQQQLQLQQQMQLQQQQQMQRQLQQPQQQQPQQPQQQQLQQPQQQQETTSPLQPVLSPPQVGSPSSMGIPQQMNQPTPQQQSSPQQMSQRTPMSPQLSSGAIHPMSAGNPEACPASPQLSSQTLGSVGSITNSPMELQGVNKSNSTSNA >itb04g02630.t1 pep chromosome:ASM357664v1:4:1583813:1584652:-1 gene:itb04g02630 transcript:itb04g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKCKFCSRSFGNGRALGGHIRSHMANLYAESKHPSSETDDGEKDSFFSAAAGGGGDGGGGGGSVVVQDTESESGSGRVFRRSKRVKKSRISETSSMVSSSISETSPEEHVAYCLMMLSKDKWIRDEEGENSELVKAVKKIKGKEKSWYRCEACSKVFRSYQALGGHRASHKKIRAQKNLLESSPNEEGNARKKKKEKIHECPICYRVFSSGQALGGHKRSHFADSVSAIVSPEACSASTSTPMKQGLSRTGGALIDLNLPAPYDDDDDDDVLSFPC >itb09g02710.t1 pep chromosome:ASM357664v1:9:1546927:1547498:1 gene:itb09g02710 transcript:itb09g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLLHAMKKQKSPHSRRHSFRCLSDTSNRSYHLLIGADSAEGSSHRRTFSDFQPDYFSDHQRSPRGLGCFPKNVTGAGSVTSPAASKHNNGTETAVTSGYQAPAATVNVNHRR >itb12g00910.t1 pep chromosome:ASM357664v1:12:645098:647346:1 gene:itb12g00910 transcript:itb12g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVHKAPILGLESPSANKSSKVWLFSLLLTLQYGAQPLISKRFVGFEFRSMLFDFHNVHSLPIYANSYQLLNIDHVGFAFILIAKEGTLKKLYTQWNLVASLTASGLPATIYALQNSLLQISYKNLDSLTFSILNQTKLFFTALFAYVILRQNQSLQQIGALLLLIIAAVLLTVSEGSNTEPGSNNSDEILFFGIVPVLVASVLSGLASALCQWATQVKKHSSYLMTVEMSIIGSLCLLASTFKSPDGESIRQLGFFHGWTPLTLFIFDGKPPSLYCLVALPLVIASITIYQKFPYRVRKKES >itb11g23150.t4 pep chromosome:ASM357664v1:11:25070973:25073742:1 gene:itb11g23150 transcript:itb11g23150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPQQQSTSKKNKKSKERRPNPYADSPAPIRVLKDFIPKTRISDKYTLGRELGRGEFGVTYLCTERATREALACKSISKNKLRTAVDIEDVRREVAIMSTLPDHPNIVKLRATYEDDEAVHLVMELCEGGELFDRIVARGHYSERAAAAVAKTIAEVVRMCHANGVMHRDLKPENFLFANKKENSMLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILICGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKILVRQMLEPDPKKRLTAQQVLDHPWIQNAKKAPNAPLGDVVRTRLKQFSLMNKFKKKALRVIAEYLTIDEVEVIREMFTMVDTDGDGKVTYEELKAGLRKVGSQLAEPEIKLLMDVVTITVSLNMLK >itb11g23150.t2 pep chromosome:ASM357664v1:11:25070971:25074848:1 gene:itb11g23150 transcript:itb11g23150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPQQQSTSKKNKKSKERRPNPYADSPAPIRVLKDFIPKTRISDKYTLGRELGRGEFGVTYLCTERATREALACKSISKNKLRTAVDIEDVRREVAIMSTLPDHPNIVKLRATYEDDEAVHLVMELCEGGELFDRIVARGHYSERAAAAVAKTIAEVVRMCHANGVMHRDLKPENFLFANKKENSMLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILICGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKILVRQMLEPDPKKRLTAQQVLDHPWIQNAKKAPNAPLGDVVRTRLKQFSLMNKFKKKALRVIAEYLTIDEVEVIREMFTMVDTDGDGKVTYEELKAGLRKVGSQLAEPEIKLLMDVLLETYRIKRLPLRQKL >itb11g23150.t3 pep chromosome:ASM357664v1:11:25070971:25073796:1 gene:itb11g23150 transcript:itb11g23150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPQQQSTSKKNKKSKERRPNPYADSPAPIRVLKDFIPKTRISDKYTLGRELGRGEFGVTYLCTERATREALACKSISKNKLRTAVDIEDVRREVAIMSTLPDHPNIVKLRATYEDDEAVHLVMELCEGGELFDRIVARGHYSERAAAAVAKTIAEVVRMCHANGVMHRDLKPENFLFANKKENSMLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILICGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKILVRQMLEPDPKKRLTAQQVLDHPWIQNAKKAPNAPLGDVVRTRLKQFSLMNKFKKKALRVIAEYLTIDEVEVIREMFTMVDTDGDGKVTYEELKAGLRKVGSQLAEPEIKLLMDVLLETYRIKRLPLRQKL >itb11g23150.t1 pep chromosome:ASM357664v1:11:25070971:25074860:1 gene:itb11g23150 transcript:itb11g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPQQQSTSKKNKKSKERRPNPYADSPAPIRVLKDFIPKTRISDKYTLGRELGRGEFGVTYLCTERATREALACKSISKNKLRTAVDIEDVRREVAIMSTLPDHPNIVKLRATYEDDEAVHLVMELCEGGELFDRIVARGHYSERAAAAVAKTIAEVVRMCHANGVMHRDLKPENFLFANKKENSMLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILICGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKILVRQMLEPDPKKRLTAQQVLDHPWIQNAKKAPNAPLGDVVRTRLKQFSLMNKFKKKALRVIAEYLTIDEVEVIREMFTMVDTDGDGKVTYEELKAGLRKVGSQLAEPEIKLLMDVADVDGNGLLDYGEFVAVIIHLQRMENDEHFHRAFLFFDKDGNGYIDLDELRVALADESGECDISVLNEIMREVDSDKDGQISYEEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSVQLQDGLTGETVVV >itb15g07560.t1 pep chromosome:ASM357664v1:15:5167395:5174303:1 gene:itb15g07560 transcript:itb15g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLLPWLFLISFLSIICGFNISLVETQCMSDQKTLLLQLRSSLKFDSTFSTKLVNWNTNTHDCCYWPGVECDDSGHVISLILDNESITLDGIQNSSTLFSLQYLERLNLAFNTFNSTPLFPVQIYNLTNLTYLNLSNTGFGGQIPNGISRLTRLVTLDLSVLFPIGPPLRLENPNLKQFFENSIQLRELYLDGVDLSAQRSEWCQALSSSLPNLRVLSLQNCRVSGPIHPSLLKLRFLSEIYLDKNDFSSTVPKFFANFLNLKTLSLSACNLQGAFLREIFQLQGLQELILANNQNLSGSFPSFPKNGSLEMISVAYTQFSGSLPASISNLSNLSWIDLSFCKFSGSIPSTMATLKNLIYVDFSFNNFTGPIPLFRLSKNLSHIDFSVNSLTGPLSSEHFGGLSKIVDIYLESNFISGRIPSSLFSHPSLQKLVLSNNSFDGEVDEYLNVSASQLETLDLSFNHLNGSIPEYFFELPNLRVLILSSNSFSGRVGFESLQKLKNLTRLELSHNNLSVDISSGSLTSSFLPQISVLKMASCRLQKFPNLRTHSSIIILDLSDNEIRGEIPNWIWNVGNGSLDHLNLSCNFLDGLEKPYTIPSTLVVLDLHSNQLQGQLPIGVVPSQVQDAAYLDYSNNFFNGSIPFDLGSYAPFASFLSLSNNSFTGAIPESICNASYLQVLDLSNNKLNGILPSCLFNITGIETLGLGVLNLGKNQITGNIPDSFPSNCALKTLDLGRNVLEGRIPSSLINCSSLEVLNLGSNKFVDTFPCPLQNLSSMRVLILRSNGFNGDLHCVNANHMWPNLQIIDIASNNFTGELSPKLLNWKGMTVDEDNTAQSRGNIRFDFLRLNDFYYQDKLRSSLKFDSTFSTKLVNWNTNTHDCCYWPGVECDDSGHVISLILDNESITLDGIQNSSTLFSLQYLERLNLAFNTFNSTPLFPVQIYNLTNLTYLNLSNTGFGGQIPNGISRLTRLVTLDLSVLFPIGPPLRLENPNLKQFFENSIQLRELYLDGVDLSAQRSEWCQALSSSLPNLRVLSLQNCRVSGPIHPSLLKLRFLSEIYLDKNDFSSTVPKFFANFLNLKTLSLSACNLQGAFLREIFQLQGLQELILANNQNLSGSFPSFPKNGSLEMISVAYTQFSGSLPASISNLSNLSWIDLSFCKFSGSIPSTMATLKNLIYVDFSFNNFTGPIPLFRLSKNLSHIDFSVNSLTGPLSSEHFGGLSKIVDIYLESNFISGRIPSSLFSHPSLQKLVLSNNSFDGEVDEYLNVSASQLETLDLSFNHLNGSIPEYFFELPNLRVLILSSNSFSGRVGFESLQKLKNLTRLELSHNNLSVDISSGSLTSSFLPQISVLKMASCRLQKFPNLRTHSSIIILDLSDNEIRGEIPNWIWNVGNGSLDHLNLSCNFLDGLEKPYTIPSTLVVLDLHSNQLQGQLPIGVVPSQVQDAAYLDYSNNFFNGSIPFDLGSYAPFASFLSLSNNSFTGAIPESICNASYLQVLDLSNNKLNGILPSCLFNITGIETLGLGVLNLGKNQITGNIPDSFPSNCALKTLDLGRNVLEGRIPSSLINCSSLEVLNLGSNKFVDTFPCPLQNLSSMRVLILRSNGFNGDLHCVNANHMWPNLQIIDIASNNFTGELSPKLLNWKGMTVDEDNTAQSRGNISSRGGKSEIELGGGQLEIKWEYVTSALGFMVGLGVYLWMLLHNKRCREAYKQLDNVLVPLFSPRQRGRKSLKPRSRVRRNRIISI >itb12g26590.t1 pep chromosome:ASM357664v1:12:27420695:27423880:1 gene:itb12g26590 transcript:itb12g26590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGSGWFSSVKKVFNKHSSKDSPDKKENNVADDEHNKWTQEAPEVVSLEHFPAGSSNGGDSSSPAAEDPDHTISVALATAAAAEAAAAAAQAAAKVVRLAGRGRQSKEERAATLIQTHYRGYLARRALRALKGLVRLQALVRGNNVRKQALMTMRCMQSLVRVQARVRARRLLLVKQKVQIKQDDGENERSVQPRSPEKKIETDDDGWGNGNLQNTEKMVKRERALAYAYAFQEKEHKFLNFDANGYHAELFANEDPRWRWSWLEGWMASQAKEYSRPSQQHDGSRVTISTVDDMSEKTVEMDFHSPMRSELVKSTRYSITTGETSPYTARGHRQTLSGWDVVPSFMAPTQSAKAKVRTQGSNKTQSPPSAQWNSSTKRGVTTLQGSRSPTPKSCGRQVKWMPSYSPESSGDDRVSPLRSFGWRHNFG >itb01g04000.t1 pep chromosome:ASM357664v1:1:2645669:2648186:-1 gene:itb01g04000 transcript:itb01g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSIKHSPFSIKPCGLINIPRKGLDSDLGITRFLSLPRISKTKILSSDVSTQTQRPLYIASVAKFGLLPSKTQTQKPSTQCGAYEADRSAAADLNIEAPKSEAAQKLKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLIMLLSWATRIAEAPKTDLEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLAETFPIPVYLSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKRGMTGKSVSGMNYYACLSILSLLILTPFAIAMEGPQMWAAGWQTALSEIGPNFVWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >itb01g07980.t1 pep chromosome:ASM357664v1:1:6334004:6334719:1 gene:itb01g07980 transcript:itb01g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTLLRLNKSPLHALIQKHLLRPSLAAGAATKLFDISADSRLPIPNSALSQPLMGAVFQPTWNLPFGMSMEHSRMEVRGRAVTPDREEYEESDGDEDSDGEFGDGDVNDFDEDEDEDLSEDGDYEDDDK >itb08g13370.t1 pep chromosome:ASM357664v1:8:14174256:14175008:1 gene:itb08g13370 transcript:itb08g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWILSTVHWLLPIFRSLASTWSRETVKYKNFRQPQEAQMPQLPGCSSTIGRSFEILAQQRKCTSLVRSGRYKELTYALPRTLLNSPRLHNSRSNRESIHFLRKPADLLHYCLFEPKQENTIRGGSEEAGQQDEAATFMIHAL >itb09g00100.t1 pep chromosome:ASM357664v1:9:193728:195926:1 gene:itb09g00100 transcript:itb09g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g17210 [Source:Projected from Arabidopsis thaliana (AT2G17210) UniProtKB/Swiss-Prot;Acc:Q9SII7] MLCLISLIKESSAKGKWQDVLFHYHSLRGAGIQLTDVSVFPPILKACLNLPLSFTHGHAASIHASMLKHGFAAAFTSIWNSVIDFYSKSGNLGASMRVFQSMTNPDSVSWNIIIHAHLYQGVSPREGLRLFDQARVAGFQSNVSTLVLVLQTCRNLATFFNGQKIHGYVIRSGFSAANSVQNSLLNFYTEFGMELARELFDEMSDRDVISWSVMIAGFVASEESTLALELFKEMISDWGIRADEQIVVSVLKGCSRLRDIKMGTLVHGFVTSRGLGHDLFVDNSLIDMYSKCNDMDSALRAFCEMPIKNIVSWNSLLSGLVHNGNHADALLLFDSMVNAGAEADEVTLVNLLQVCKHFGDSYHCKSIHSRIFRQGYEWNELVINSLIDAYARCDLITLAWRQFSNMEIRDEVTWSTMIAAFNNQGMPDEAIAVFQEMCCRTSSVKPNSITMLNLLEACSLSADLRRSMWAHAIAIRRYLASEVAVGTAILDMYSKCGAIEASRKVFEWIHCRNVVSWSAMIAAFGMNGLPNDALACLAEMKLHGLKPNPVTILSVLSACSHGGLIEKGLSLFEELVQGLEGELVAEHYSCLIDLLARAGKLEIAIDLIERLPDGQKPSASAWGAILSACRNYENAEIGFDAFSQVLESETSSTSAYLLASSMYASSHSWTDATKMRWLVNNRGTRVEGAYSLVHVDGRACKFLAGNNHSSLPDDLCLAIERLHSSIKVDRGG >itb03g20570.t1 pep chromosome:ASM357664v1:3:18486644:18487090:-1 gene:itb03g20570 transcript:itb03g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELEHLIPIDFKNMVQVPDSHTWLDSPCINNSCSIDESVPLIDLEDPQALEKIKKACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSLNGYGIIHVSPNFNTLMWMEGFTLSGSPLELARRVWPKDYTPFW >itb13g14780.t1 pep chromosome:ASM357664v1:13:21380854:21385439:1 gene:itb13g14780 transcript:itb13g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLLSAPLLFCVTRSKPQLIVPSASTPHQQKPLSDLDDQGTVRLHIPVLMFYRNSVERRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENGKLIVNCNGKGVLFVEAKANVKLEQIGDKSMQPPCPYLKQLLNTVPGSKGIIDCPLLLIQVTRFTCGGFALGIRFNHTMMDAQGFIQFVNAVSELAKGVSAPSTFPIWERGLLTARSTPTITYEHNQFKDFDLSKTTRWWDFEKLLRITKFINVQKLASDPCVFFSKNICHSLLIKRSFTFGSRELQAIKDQCPASTTFEALCACLWKCRTIALRPDPNSTVLLTIIVNIREKLQDSKLPLGYYGNAIVLAAAVTKAKLLCSNPISYAAKLIREAKNIVNDDYVKSITDLMVTSGRPRGAVLRNFLITDNSRFGYDDVDFGWGKPIFGGVYGVGYGVGFLVSHKRMEDTKGKLVALALPPLIMGKFQYELRKMTRAQK >itb12g19590.t4 pep chromosome:ASM357664v1:12:22017570:22021380:1 gene:itb12g19590 transcript:itb12g19590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARSHVSDQYSKIKKGSWFDQFCYGSNPWMARYVYGLMFLVANLLAWAVRDYGSSVLKEMKRLKECNGGEDCLGAEGVLRVSLGCSMFYLAMFLSTAGTSKLNDSREKWHSGWWSSKILMMIALILLPFLLPTEIISIYGQVAHFGAGVFLLIQLVSIICFITWLNDWCHSEKFAARCHIHVMLLATTAYILCILGIILMYIWYTPQTSCLLNIFFISWTLVLLQIMTSVSLHPRVNAGFLTPGFMGLYVVFLCWSAIRSEPPEAKCIRKSGAATSKGDVFTIISFAVAVLAIVIATFSTGIDSKCFQFRKDDEEREEDVPYGYGFFHFVFATGGMYFAMLLIGWNTNHSMKK >itb12g19590.t1 pep chromosome:ASM357664v1:12:22017570:22022539:1 gene:itb12g19590 transcript:itb12g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARSHVSDQYSKIKKGSWFDQFCYGSNPWMARYVYGLMFLVANLLAWAVRDYGSSVLKEMKRLKECNGGEDCLGAEGVLRVSLGCSMFYLAMFLSTAGTSKLNDSREKWHSGWWSSKILMMIALILLPFLLPTEIISIYGQVAHFGAGVFLLIQLVSIICFITWLNDWCHSEKFAARCHIHVMLLATTAYILCILGIILMYIWYTPQTSCLLNIFFISWTLVLLQIMTSVSLHPRVNAGFLTPGFMGLYVVFLCWSAIRSEPPEAKCIRKSGAATSKGDVFTIISFAVAVLAIVIATFSTGIDSKCFQFRKDDEEREEDVPYGYGFFHFVFATGGMYFAMLLIGWNTNHSMKKFTIDIGWTSTWVRIVNEWVATCVYVWMLIAPIIWKATQAGDDLPV >itb12g19590.t5 pep chromosome:ASM357664v1:12:22017570:22022539:1 gene:itb12g19590 transcript:itb12g19590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARSHVSDQYSKIKKGSWFDQFCYGSNPWMARYVYGLMFLVANLLAWAVRDYGSSVLKEMKRLKECNGGEDCLGAEGVLRVSLGCSMFYLAMFLSTAGTSKLNDSREKWHSGWWSSKILMMIALILLPFLLPTEIISIYGQVAHFGAGVFLLIQLVSIICFITWLNDWCHSEKFAARCHIHVMLLATTAYILCILGIILMYIWYTPQTSCLLNIFFISWTLVLLQIMTSVSLHPRVNAGFLTPGFMGLYVVFLCWSAIRSEPPEAKCIRKSGAATSKGDVFTIISFAVAVLAIVIATFSTGIDSKCFQVCLCHIFLGFFRIGLCLNSRKVQFRKDDEEREEDVPYGYGFFHFVFATGGMYFAMLLIGWNTNHSMKKFTIDIGWTSTWVRIVNEWVATCVYVWMLIAPIIWKATQAGDDLPV >itb12g19590.t3 pep chromosome:ASM357664v1:12:22017570:22022539:1 gene:itb12g19590 transcript:itb12g19590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARSHVSDQYSKIKKGSWFDQFCYGSNPWMARYVYGLMFLVANLLAWAVRDYGSSVLKEMKRLKECNGGEDCLGAEGVLRVSLGCSMFYLAMFLSTAGTSKLNDSREKWHSGWWSSKILMMIALILLPFLLPTEIISIYGQVAHFGAGVFLLIQLVSIICFITWLNDWCHSEKFAARCHIHVMLLATTAYILCILGIILMYIWYTPQTSCLLNIFFISWTLVLLQIMTSVSLHPRVNAGFLTPGFMGLYVVFLCWSAIRSEPPEAKCIRKSGAATSKGDVFTIISFAVAVLAIVIATFSTGIDSKCFQFRKDDEEREEDVPYGYGFFHFVFATGGMYFAMLLIGWNTNHSMKNMDAYSTNHMESDAGG >itb12g19590.t2 pep chromosome:ASM357664v1:12:22017570:22022539:1 gene:itb12g19590 transcript:itb12g19590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARSHVSDQYSKIKKGSWFDQFCYGSNPWMARYVYGLMFLVANLLAWAVRDYGSSVLKEMKRLKECNGGEDCLGAEGVLRVSLGCSMFYLAMFLSTAGTSKLNDSREKWHSGWWSSKILMMIALILLPFLLPTEIISIYGQVAHFGAGVFLLIQLVSIICFITWLNDWCHSEKFAARCHIHVMLLATTAYILCILGIILMYIWYTPQTSCLLNIFFISWTLVLLQIMTSVSLHPRVNAGFLTPGFMGLYVVFLCWSAIRSEPPEAKCIRKSGAATSKGDVFTIISFAVAVLAIVIATFSTGIDSKCFQVCLCHIFLGFFRIGLCLNSRKVQFRKDDEEREEDVPYGYGFFHFVFATGGMYFAMLLIGWNTNHSMKK >itb02g03570.t1 pep chromosome:ASM357664v1:2:2109196:2116097:1 gene:itb02g03570 transcript:itb02g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPFSFIFLLLLCVVSVRVSVSLEKQETFIVHVMKSEKPLVFSSHRHWYSSIISTLSNLSSSSSELLYTYDRVAHGFSARLTPSQASELRNVPGVISVLPDQILQPQTTQSPQFLGLAESNGLWPDSDFADDVIVGVIDTGIWPEHRSFSDAGLSPVPSRWKGACEVGPDFPATSCNRKLIGARAFYRGYEVFMGRAMDKSAEVLSPRDTTGHGTICTSIAAGASVPNASLLGYALGEARGMATKARIAAYKVCWSSGCSGADSLAAMDRAVADGVDVLSISLARRFAVPYVQDNIAIAAFGAVQQGVLVACGAGNAGPGRNTVDNVAPWILTVGASTIDREFPADVVLGDGRVFTGSALFFGVPLGQNRLPVVYGGDAGSALCNSGQLSPSIVRGKIVFCESLSSGDIHAVSKGFAVQQAGGAGVIIANQPNYGDQLNAHPHQFPASLVTVNDGNQIRAYIRSNPWPTATILFRGTVIGGASSPSAPRVAAFSSRGFNIITPQIPKPDLIAPGVNILGATTGAKSPVPQLPSARLEFNIESGTSMACPHVGGLAALLKKSHPDWSPSAIKSALMTTAYTVDNSGTNLVDLTTGTQSVPLFHGSGHVDPNKAMDPGLIYDLGTSDYVDFLCTIGYDSRLISLFTRDSTPVDCSTRNLGNPGALNYPSFSVFFYNFNPITYKRTVKNVGTNKNAVYQVKVSIPTGVQVSISPTRLVFSEDIDTLSYQSSMAVPSHPSSFLFIFLLLLCSLLSVHRVSSTTVSVEEEPESFIVFMSKSKKPQAFSTHYHWYSSIIGTLSPLSNDSSSSELLYTYERVAHGFSARLRPSQASELRNVPGVISVLPDHVHQIQTTNTPQFLGLSDSDPSGLWRISDCGADIIVGVLDTGIWPERASFSGQGLSPRPNSWLSKCQVGQDFPATSCNNKIIGARFYSTGYQATTRKQRIDDELSAESLSPRDMNGHGTHVASTAAGSIVQNANLFGYAQGEARGIAVKAKIAVYKVCWFSGCADSDILAGMDQAVIDGVDVLSLSIGGPDGGSAGAARDYRQDPLAIGAFGAVEHGVNVVCAAGNYGPKPYTARNIAPWILTVGASTINREFPAVVTLGDGRTFTGTSLYSGAAPSRNLVSVIYGGNCLSGQLVASIVTGKIVFCEQGGGPSIVDKGIIVNQAGGVGMIIPNLPNDGYELVANADMIPTSVVTAPDGDSIRSYVRSTTLSPTARFEFRRTVIGGSPSAPRLAAMSGRGPNIRTPEILKPDVIAPGINILAAWTGAKSPSQSSNDGRRTEFNIISGTSMACPHVSGLVALLQKVYPLWNPGAIKSALMTTAVTQDNSGRNLIDLSTGQTSVPYYHGSGHVDPTRAVDPGLVYDTGINDYVDFLCTIGYNSQTIALFLRDGPLVDCRTRNLDNPGSLNYPSFSVVFNNNLRTVKYKRTVKNVGRIKNPVYNVEVTIPSNVRVTVSPTRLVFSEANSILSYEVTFTSVTPVDSVFGSLVWSDGAGHVVSSPIAVIWRQAVDLQAEL >itb07g11180.t1 pep chromosome:ASM357664v1:7:12613811:12615404:-1 gene:itb07g11180 transcript:itb07g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSEQDGQALAEKEGLSFLETSALEALNVEKAFQTILSEIYHIVSKKALAAQEAAANTALPGKGTTINVGDASANAKGGCCSS >itb02g00810.t3 pep chromosome:ASM357664v1:2:457248:461142:1 gene:itb02g00810 transcript:itb02g00810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPQRDIYSMYKRASFKEHDLPSYDREENIGRIPSGVYKDNVGNPSWKHSLPHILVATLSSFLYGYHLGVVNETLESISLDLGFGGSTLAEGLVVSTCLAGAFVGSIICGWIADGVGCRRAFQLSALPMIIGTSMSATTNTLGRMLIGRLLVGIGMGLGPPVAALYVAEVSPASVRGMYGSFPQIATCLGLMGSLVIGIPSKDIFGWWRICFWVSVVPAALLAVLMELCVESPHWLVKRGRIAAAEETLEKLVGLPHVKSAIAELTKSDKGDGMDNVKFSGLLHRPHLKVVFIGSVLFALQQLSGINAVFYFSSTVFKSAGVPSDIANISVGIVNLIGSIIAMILMDRLGRKMLLIWSFWGMAVAMASQVLAACSFTTGSTKVYLSVGGILLDVLSFSLGAGPVPSLLLSEIFPGWIRAKAMALCMAVHWMVNFFVGLLFLQLLEQLGPRILYTIFGTFSLIAVVFVGRNVVETKGKTLQEIEFSLLPSR >itb02g00810.t2 pep chromosome:ASM357664v1:2:457248:461142:1 gene:itb02g00810 transcript:itb02g00810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPQRDIYSMYKRASFKEHDLPSYDREENIGRIPSGVYKDNVGNPSWKHSLPHILVATLSSFLYGYHLGVVNETLESISLDLGFGGSTLAEGLVVSTCLAGAFVGSIICGWIADGVGCRRAFQLSALPMIIGTSMSATTNTLGRMLIGRLLVGIGMGLGPPVAALYVAEVSPASVRGMYGSFPQIATCLGLMGSLVIGIPSKDIFGWWRICFWVSVVPAALLAVLMELCVESPHWLVKRGRIAAAEETLEKLVGLPHVKSAIAELTKSDKGDGMDNVKFSGLLHRPHLKVVFIGSVLFALQQLSGINAVFYFSSTVFKSAGVPSDIANISVGIVNLIGSIIAMILMDRLGRKMLLIWSFWGMAVAMASQVLAACSFTTGSTKVYLSVGGILLDVLSFSLGAGPVPSLLLSEIFPGWIRAKAMALCMAVHWMVNFFVGLLFLQLLEQLGPRILYTIFGTFSLIAVVFVGRNVVETKGKTLQEIEFSLLPSR >itb02g00810.t1 pep chromosome:ASM357664v1:2:457248:461142:1 gene:itb02g00810 transcript:itb02g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPQRDIYSMYKRASFKEHDLPSYDREENIGRIPSGVYKDNVGNPSWKHSLPHILVATLSSFLYGYHLGVVNETLESISLDLGFGGSTLAEGLVVSTCLAGAFVGSIICGWIADGVGCRRAFQLSALPMIIGTSMSATTNTLGRMLIGRLLVGIGMGLGPPVAALYVAEVSPASVRGMYGSFPQIATCLGLMGSLVIGIPSKDIFGWWRICFWVSVVPAALLAVLMELCVESPHWLVKRGRIAAAEETLEKLVGLPHVKSAIAELTKSDKGDGMDNVKFSGLLHRPHLKVVFIGSVLFALQQLSGINAVFYFSSTVFKSAGVPSDIANISVGIVNLIGSIIAMILMDRLGRKMLLIWSFWGMAVAMASQVLAACSFTTGSTKVYLSVGGILLDVLSFSLGAGPVPSLLLSEIFPGWIRAKAMALCMAVHWMVNFFVGLLFLQLLEQLGPRILYTIFGTFSLIAVVFVGRNVVETKGKTLQEIEFSLLPSR >itb04g22740.t1 pep chromosome:ASM357664v1:4:27991692:27992048:-1 gene:itb04g22740 transcript:itb04g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEQGDRPPDPQLPTDVSSFLEDLRKAGISAPPVVLSRITGDSSLLASRSTAVNLDGRHNQPGMNLLSSNAPIPSSQTIGQPPETVASQRGIGGAVDSILPGTPPSRISSTGFEFFK >itb07g22520.t1 pep chromosome:ASM357664v1:7:27022571:27026940:1 gene:itb07g22520 transcript:itb07g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCQKVGLKKGPWTPDEDKQLLAYIEQYGHGSWRDLPAKAGLQRCGKSCRLRWTNYLRPDIKRGNISLQEEQSIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKKLCKMGIDPMTHRPKINSSFGSAANLSHMAQWETARLEAEARLVRHSKFISSSLISPLHFRNNPPPPPKVPPSLDVLKAWQETWTKPPRTRVSSDGAFLSNATTHQSPTTFNFSDQNLTTLNFSDQNLCYMETPYVHESTLNIGNPNTNGNNIIPHAMDPLSDLPTFIHGFSELTPEILTGYLDDHNVAGNCGTADMEDNSRYWNSILNNLVMSPVGSPVF >itb09g22390.t1 pep chromosome:ASM357664v1:9:20802101:20806153:-1 gene:itb09g22390 transcript:itb09g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEFETKVNVALRAVNDYLWTVFDKEKNAYLEEGKARAVIGTAIFLEIVKQSPAWGAENFLLETEGSSETSVHQGSGLHLSEDVARAKKDEHLKKVNDEIQEPISRLLKSPLIRSYVPSAEQNKMFNKIVYNVEHAWENTSARNADAIISKLQNGETQENDAIPTLLVSADTVVVYEGMVREKPSGKEEARQFMKDYSNGHASTVSSVIVSNLKTGVRKGEWDKVEIYFHEIPDEAINKLIEEGRVLYVAGGLIIEHPLVLRYVKEVVSVPFLILGNKIDIPYAASEDELRYHLCLTGITTGKGKVNLAESI >itb12g09950.t1 pep chromosome:ASM357664v1:12:7923022:7924927:-1 gene:itb12g09950 transcript:itb12g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAALRLFCIALFCFLTAVRARIPGVYTGGPWQGAHATFYGGADASGTMAGACGYGNLYTQGYGVNNAALSTALFNSGLSCGACFEIKCSNDRSCLAGNPSIVVTATNFCPPNFALPNDNGGWCNPPRLHFDLAMPMFLKIAAYRAGIVPVNFRRVPCRKQGGIRFTINGHQFFNLVIVTNVAGAGDVQNVWIKGTNTQWLAMSRNWGQNWQSSAMLSGQALSFRVRSSDGRKVTSKNISPANWQFGQTYEGKNFRV >itb15g12200.t1 pep chromosome:ASM357664v1:15:10154349:10159675:1 gene:itb15g12200 transcript:itb15g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain-containing protein 22.3 [Source:Projected from Arabidopsis thaliana (AT1G54850) UniProtKB/Swiss-Prot;Acc:Q84K79] MSSQTRGHSGENNGMHPMDPGQPVIDVAPLRCVPYTGPLPTSSRDASQSQPGPNAASFPMENAQSSTNASPQPAMVYYTIATLEERANLFAATCGHSKVGSLLTGTAVMGKVGPPIGAVDILESDTEYFFRVSLPGVAKDAENFRCNIEPNGKVDIKGITLTGVRAVYKNNMVFKMNTPNLCPPGKFTISFQLPGPTANQFLTTFGSDGIFEASVKKRQPNDS >itb15g12200.t2 pep chromosome:ASM357664v1:15:10154349:10159675:1 gene:itb15g12200 transcript:itb15g12200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain-containing protein 22.3 [Source:Projected from Arabidopsis thaliana (AT1G54850) UniProtKB/Swiss-Prot;Acc:Q84K79] MSSQTRGHSGENNGMHPMDPGQPVIDVAPLRCVPYTGPLPTSSRDASQSQPGPNAASFPMENAQSSTNASPQPAMVYYTIATLEERANLFAATCGHSKVGSLLTGTAVMGKVGPPIGAVDILESDTEYFFRVSLPGVAKDAENFRCNIEPNGKVDIKGITLTGVRAVYKNNMVFKMNTPNLCPPGKFTISFQLPGPTANQFLTTFGSDGIFEASVKKRQPNDS >itb08g15480.t1 pep chromosome:ASM357664v1:8:17621947:17628159:1 gene:itb08g15480 transcript:itb08g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSVNQVAQCITCHAWSPDNSMIALCPNNSEVHIYRLLEEKWEKVHVLQKHDQIVSGIDWSTKSNKIVTVSHDRNSYVWNLEATEWVATLVILRLNRAALCVQWSPKENKFAVGSGAKTVCVCYYEQENNWWVSKLVRKRHDSSVTNVAWHPNNILLATTSTDGKCRVFSTFIKGVDAKQSGAGSSSDSKFGEQIIQLDLSFCWSFGVKWSPSGNTLAYVGHNSMIYFVDEIGPSPSAQTVAFRDLPLRDVLFVSERMVIGVGFDCNPMIFVADERGLWSFLRFLDERKTVSSSPKSQFSEAFGIFYSQSKYGANNNSVEQSRTTHENCINCILPLRKTGGHKKSSKSVVTRFSTSGLDGKVVTWDLGKQEDLSEYL >itb14g20850.t1 pep chromosome:ASM357664v1:14:23120124:23121793:1 gene:itb14g20850 transcript:itb14g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIIPRRHHYLILVCSFLLVFCGVVSEAGPLKKNFYKKDCPRVEEIVQNITWLRTSDNLELAAKFLRMHFHDCFVRGCDGSILLDSTPTNKTEKEAIPNRSLAGYDVIDEIKVAVEAECPGIVSCADILALIARDSVSFQFKTPMWSVLMGRRDGSISQETEALANLPSPFSNFSTLLQNFASKGLDVRDLVILSGGHTIGVGHCNIFSNRLYNFTGKGDQDPSLSPSYADVLRTKCKNLQDTSAVEMDPSSSQDFDANYFVILKQNMGMFESDAALLTDDKASRIVDKMVDQDFFFKSFAKSMENMGAIQVLTGTSGEVRKNCRVRNS >itb01g21440.t1 pep chromosome:ASM357664v1:1:27559309:27562960:1 gene:itb01g21440 transcript:itb01g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLERRDSDPRRHRSRFDQEPSPKRSRRDSKPENERHPTNNSSDVRKNSDRERNHQHQLRDAVSHEAPSRQEYRTERTARKVSDQKRDVHIEGTKHSSDPSELPQQPSAKHSTDPAEVPRSRTYFQHDERGNAGQAGRSSRRRESDGHGHWRDAKEQVNRKTSNDALPMDQKAKVHGKGTDDWRHDGYYELETNPKPPAKKRSFREEKISADPEKEETEAAKHNSSSNRPVHVERRNEKSSYVSHHPDQAEKQFTGDRSANRSGTWRGKFPSRPGRYYDSGNFRGRDKVGSRPSYRSNKSVEKWKHDLFNEANKSPPPQNEEDQIAKIEALLAS >itb05g06410.t1 pep chromosome:ASM357664v1:5:6868562:6869655:1 gene:itb05g06410 transcript:itb05g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHTLFILSLLFASSHALDFCVGDLSLPPGPAGYPCKKSTSVTENDFVYSGLAAAGNTTNIIKAAVTPAFDAQFPGVNGLGLSVARLDMAVGGVIPLHTHPGGSEILVVTEGTVAAGFVSSFDNKVYYFKTLKVGDVMVFPQGLLHFQINAGKTKATAFVFFSTQNPGLQITTFALFKNDLPTEIVETVTFLDEAQIKKLKGVLGGTN >itb14g02840.t1 pep chromosome:ASM357664v1:14:2591099:2594346:1 gene:itb14g02840 transcript:itb14g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASQLSRILQALPADVNYDTCSEEKTSMKENQPEIICISDGNKEADVFSFGKKGVLGSNGIVADSDNSEDEFSKARDLDCSLESVENESEGLQVKDEPPNGLCRFIARKTGEEDALLASDTKDSNRYSPELPKLIVCYQESNYYPVKDICVDKAGVPGKVSVESQKDDRAGTNNVSVGCDGDKNNDIRDGSDTELLSGDRSTLQADAMEFLALQKPPEGAEHTAISTREALEPETEPCQKEGNPDKPLAMPMPCSPELQDSEDKAKGNHSITNRDQYGDGEASFSGLGSVTYSGLVPYSGSISLRSDSSTTSTRSFAFPILQSEWNSSPVKMIKSDRRLQKHRGWRQGLLCCRF >itb14g02840.t2 pep chromosome:ASM357664v1:14:2591099:2594346:1 gene:itb14g02840 transcript:itb14g02840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASQLSRILQALPADVNYDTCEEKTSMKENQPEIICISDGNKEADVFSFGKKGVLGSNGIVADSDNSEDEFSKARDLDCSLESVENESEGLQVKDEPPNGLCRFIARKTGEEDALLASDTKDSNRYSPELPKLIVCYQESNYYPVKDICVDKAGVPGKVSVESQKDDRAGTNNVSVGCDGDKNNDIRDGSDTELLSGDRSTLQADAMEFLALQKPPEGAEHTAISTREALEPETEPCQKEGNPDKPLAMPMPCSPELQDSEDKAKGNHSITNRDQYGDGEASFSGLGSVTYSGLVPYSGSISLRSDSSTTSTRSFAFPILQSEWNSSPVKMIKSDRRLQKHRGWRQGLLCCRF >itb06g25150.t1 pep chromosome:ASM357664v1:6:26354076:26356421:1 gene:itb06g25150 transcript:itb06g25150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGIGNLVKVIFQNFDVLAGPVVSLLYPLYESIRAIETKNPVDDQQWLTYWILYSMITLFELTFAKVIEWIPIWSYAKLIVTCWLVIPYFSGAAYVYEHYVRPYIVKREAINIWYVPRKKDVFSKPDDILTAAEKYIAENGSQAFEEMIHKAQREPESRNSRYVFYDDDHRY >itb09g04230.t1 pep chromosome:ASM357664v1:9:2354607:2358563:1 gene:itb09g04230 transcript:itb09g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPVSRTRVVVNGVRRMRTYHYYWCRRCRRSIRTVSSNPAGILCPDCFGEIRYELDVSRPRIVLGSRTRQEEPWAGARFLDALARMLDPPLTQENLQNEDENLQLHRSRILLQFIGPEQNYQPEPPRPVSPNENALFYLPGNMGAGSEENGIEGLIQELTQNDRPGPPPAPASAIESLPRVAITEAHLAGDSTCPVCKDEFEIGEEVRELPCKHFYHSACIIPWLHIHNTCPVCRCELRGCSDQNVGENSHASNHFHYEDEEEDEVNNPLIWALTQVLSLWPFSLLSNWMNQFTSPLENRASASSGGHVSSNIIRCPETEKDALLKFKQGITNPSNLLSSWTTEQDCCKWEGVECDNTTGHVTTLDLHGRSKANSLQGELRNSLLHLPYLRHLDLSHNDFHYIQIPDFIGSFKNLEYLNLLSANFRGIVPDNLGNLSHLQYLDLSGSGGNLRVNNHDWPTILSQGY >itb09g04230.t2 pep chromosome:ASM357664v1:9:2356604:2358563:1 gene:itb09g04230 transcript:itb09g04230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLAVSSNIIRCPETEKDALLKFKQGITNPSNLLSSWTTEQDCCKWEGVECDNTTGHVTTLDLHGRSKANSLQGELRNSLLHLPYLRHLDLSHNDFHYIQIPDFIGSFKNLEYLNLLSANFRGIVPDNLGNLSHLQYLDLSGSGGNLRVNNHDWPTILSQGY >itb04g28780.t2 pep chromosome:ASM357664v1:4:32385100:32386873:1 gene:itb04g28780 transcript:itb04g28780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYWCYRCTRFVRVFADNSVVCPHCDSGFIEAVESAPASPEARTRFPMWSDRSDPDRTGNLGLRRSRRNRGDRSPFNPVIVLRNPAEGGGEEGGNGGERSYELYYDDGEGSGLRPLPPTMSEFLMGSGFDRLLDQLAQIEINGFGRPENPPASKAAIESMPTVQIASTHINSDSHCAVCKEAFVLGAEAREMPCKHIYHPDCILPWLTLRNSCPVCRHELPAESTDDQNSEHSNHSRNEEEAIGLTIWRLPGGGFAVGRFSGGRRGAERELPVVYTEMDGGFNNGVPRRIAWRSRRRNRRRDGHGITRIFTNFMSIFRRFRSSPQSITINSSSSDSSMSESSISRSRSVSASSVFSRYLRRSSRNWVPEEPNGISR >itb04g28780.t1 pep chromosome:ASM357664v1:4:32385097:32387309:1 gene:itb04g28780 transcript:itb04g28780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYWCYRCTRFVRVFADNSVVCPHCDSGFIEAVESAPASPEARTRFPMWSDRSDPDRTGNLGLRRSRRNRGDRSPFNPVIVLRNPAEGGGEEGGNGGERSYELYYDDGEGSGLRPLPPTMSEFLMGSGFDRLLDQLAQIEINGFGRPENPPASKAAIESMPTVQIASTHINSDSHCAVCKEAFVLGAEAREMPCKHIYHPDCILPWLTLRNSCPVCRHELPAESTDDQNSEHSNHSRNEEEAIGLTIWRLPGGGFAVGRFSGGRRGAERELPVVYTEMDGGFNNGVPRRIAWRSRRRNRRRDGHGITRIFTNFMSIFRRFRSSPQSITINSSSSDSSMSESSISRSRSVSASSVFSRYLRRSSRNWVPEEPNGISRW >itb09g16890.t1 pep chromosome:ASM357664v1:9:11997431:12000339:-1 gene:itb09g16890 transcript:itb09g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSWLTVLKRFFVSDTESRPEKGKRKRWMLGLFMDHPPPREQQLSGNTETEDKRSHGVVNVEVSSSEANAASVPSTVPRCVACPPDAIRSVNQHKEETTELSAHNLNVNDSQCCYEYDWEIQNLAAIKIQTAFRGYLAKKALRALKGIVKLQAIIRGQAVRRQAIVTLKCLQSIVSIQSEVRAKGCNMEKKTLDVKENQFQDPTEKDIRIDLNSQRGWDNRILSKEEANAKSLSKREASFKRDRIKEYWLTHRKSTESEQGKVNARRYWLEQWVDSQLAKREDLRTLDIFSTSARMREEFEQREYKLRNLQKHQQKEGLVSATHVPRRSFHHKRQHSTGDDSPLVACPTVPTYMAATKSVEAKARSMSSPRLRPINLDVYSEINSPYRYKLSPISSINSDATVNSMIGGRPSFTQRSPGLKSVAGPARSKQKSKGY >itb09g16890.t3 pep chromosome:ASM357664v1:9:11997431:12000339:-1 gene:itb09g16890 transcript:itb09g16890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSWLTVLKRFFVSDTESRPEKGKRKRWMLGLFMDHPPPREQQLSGNTETEDKRSHGVVNVEVSSSEANAASVPSTVPRCVACPPDAIRSVNQHKEETTELSAHNLNVNDSQCCYEYDWEIQNLAAIKIQTAFRGYLAKKALRALKGIVKLQAIIRGQAVRRQAIVTLKCLQSIVSIQSEVRAKGCNMEKKTLDVKENQFQDPTEKDIRIDLNSQRGWDNRILSKEEANAKSLSKREASFKRDRIKEYWLTHRKSTESEQGKVNARRYWLEQWVDSQLAKREDLRTLDIFSTSARMREEFEQREYKLRNLQKHQQKEGLVSATHVPRRSFHHKRQHSTGDDSPLVACPTVPTYMAATKSVEAKARSMSSPRLRPINLDVYSEINSPYRYKLSPISSINSDATVNSMIGGRPSFTQRSPGLKSVAGPARSKQKSKGY >itb09g16890.t2 pep chromosome:ASM357664v1:9:11997479:12000627:-1 gene:itb09g16890 transcript:itb09g16890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSSWLTVLKRFFVSDTESRPEKGKRKRWMLGLFMDHPPPREQQLSGNTETEDKRSHGVVNVEVSSSEANAASVPSTVPRCVACPPDAIRSVNQHKEETTELSAHNLNVNDSQCCYEYDWEIQNLAAIKIQTAFRGYLAKKALRALKGIVKLQAIIRGQAVRRQAIVTLKCLQSIVSIQSEVRAKGCNMEKKTLDVKENQFQDPTEKDIRIDLNSQRGWDNRILSKEEANAKSLSKREASFKRDRIKEYWLTHRKSTESEQGKVNARRYWLEQWVDSQLAKREDLRTLDIFSTSARMREEFEQREYKLRNLQKHQQKEGLVSATHVPRRSFHHKRQHSTGDDSPLVACPTVPTYMAATKSVEAKARSMSSPRLRPINLDVYSEINSPYRYKLSPISSINSDATVNSMIGGRPSFTQRSPGLKSVAGPARSKQKSKGY >itb07g06120.t1 pep chromosome:ASM357664v1:7:4285737:4286972:1 gene:itb07g06120 transcript:itb07g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRFRRAFTSIKEHAYVSYAKMASAGGICDLELIVVKATSPDDTPLPDRYIHHLLRIFSISPTSLRAFALFFARRFGGTRCWRVALKCLLLLHRLLRSSDAALRTELLWARAHRYITLYPCDFVDRTSTSSSGEYTIFVRSYARLIDEALDLDCFSIKEISEEEKEDEEFCYGEILFNRMKELRGILEALPRIQSLIDRVMDCRPAAAESSVLVRSAMELIVRESFASYGVFEEEVVGVLENMIQMPYRNCVAAFTIYKKAAAQAEELNEFYEWCKCMGLCGSCEYPLVGRIPQIQILALENFLNGMWGLSDSPANEEEAVGMAGKDGDECDGKEIGELIDLGCDESSVGWEELLDASITMPCMASDKEKSLTEHNNNVEWQMQLYNPIHQLQPTSPLQIGSFPPTPQGV >itb09g02370.t1 pep chromosome:ASM357664v1:9:1373183:1374573:-1 gene:itb09g02370 transcript:itb09g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSVLLICAVAHVILASVFSVAGSTVTAYPPPYGLDSAASFHSSSDGNLIPVRREVYGNGRIFDITHTLRPGMPSWGSEEGLGQFLWLPASMKNGSEANNSEMKLPTHTGTHIDAPGHVYDHYFDAGFDVDTLDLEVLNGPALVVDVPRDKNITAEVMKSLNIPKGVKRVLFRTLNKACVSSEICCFRT >itb03g25210.t1 pep chromosome:ASM357664v1:3:24163262:24164409:1 gene:itb03g25210 transcript:itb03g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCNNCSLFPTPFSCGLSIRLRVSRLTLVNAVPAIPCLGIPAYVQPVVGVANVEKCISLIGPISPSTSFPQVILITAASFDEDLLYHIFQSIYRSKSQLFVEGQAGATTQAGSNKN >itb09g02020.t1 pep chromosome:ASM357664v1:9:1220876:1223772:-1 gene:itb09g02020 transcript:itb09g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSREGLELSDDSDYEEEEENGSQGDAAEDQSPNKAPQVSSKTLDEVDAKLKALKLKQHSAETPGSLQNAVKLYLHIGGNTPKAKWIISEKLTSYEFVKGLKVGDDEDSYSGDEGFWVLKVGKKVRVKVSTDMQLKMFGEQRRVDFVDNGVWALKFVGNDDYRNFVSKFQDCLFENVYGMRATEANKLKVYGKDFVGWFKPEESDDAIWEDVDAGLWKSLGKSTVMPMRASQDLLEEFEEAATGGGIQSLALGALDNSFLVNDSGVQVVKNFSHGIHGKGVYVKFEDKARKDSSTPKKTLLMRGETNMMLMSPSKEGKPHSTGLHQLDIETGKVVSEWKFEKDGAEITMRDISNDTKGSQLDPSASTFLGLDDNRLCQWDMRDKKGMVQTLANTSSPVLHWTQGHQFSRGTNFQCFATTGDGSIVVGSRDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLVLICTLFTDKDGKMKTGFTARMGNKIPAPRLLKLAPVDAHLAGQNKKFHGGHFSWVTESGKQERHLVATVGKFSVIWNFQQVKDSAHGCYQDQQGLKSCYCYKIVLKDESIVESRFMHDKFAISNSPEAPLVVATPMKVTSFSMPSRR >itb12g21430.t1 pep chromosome:ASM357664v1:12:23801455:23803374:-1 gene:itb12g21430 transcript:itb12g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQKVTVKGNVEPEAVLKTVSKTGKKTSFWEAEAPAEPESKPAAAVATA >itb10g16860.t1 pep chromosome:ASM357664v1:10:23099409:23100869:1 gene:itb10g16860 transcript:itb10g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEATNEKGVPKLNERILSSLSRRSVAAHPWHDLEIGAEAPNIVNCVIEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGAFLRARPIGLMPMIDQGEMDDKIIAVCADDPEYRHYTDINQLPPHRLAEIRRFFEDYKKNENKIVAVNDFLPSGKAVDAIQYSMDLYAEYILHTLKQ >itb10g09270.t1 pep chromosome:ASM357664v1:10:12332677:12336617:1 gene:itb10g09270 transcript:itb10g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIMAIGSGFRRGQRKKPLAVTESQAAIEPQNVYLRACLATAHHSRLPPAAPPLQSAAPLPRQLVTTVFTLRSSGFTSHRLTALTASGSQLLTP >itb05g15080.t1 pep chromosome:ASM357664v1:5:22440528:22442522:1 gene:itb05g15080 transcript:itb05g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFYSSPPSVACNVCYIAIFISSFLQFTDSVYFKATRFSPDVTDILYEGDAVASVGAIEFNKVNYLCRVAHAIYREKVPLWDPDSGKLADFTTHFSFIVDTQNRSSYGHGIAFFLAPVGFQIPPNSVGGFLGLFNTTTSDSSQNHIVAVEFDSFYNEEWDPKYEHVGINTNSISSVVTAPWNVTLHSGETADAWITYNSSTKNLTVFWSYGTGPNSSLSYHIDLKEVLPQWVNIGFSAATGTNVERHILESWEFRSSLDIEESHGGKARKIGLIAGLTATGVVLISIVLLLFIILKRKRQTITNKTPTPEATSLTSFSDALERGAGPRGFSYKDLDLATNHFSDERKLGEGGFGEVYKGYLIDLDIPVAVKKISRGSKQGKKEYVTEVKVISQLRHRNLVQLIGWCHDQGEFLLVYEFMPNGSLDSHLFGRRNPLSWTLRYKIALGLASAIVYLHEEWEQCVIHRDIKSSNIMLDSSFNVKLGDFGLARLMDHELGPQTTVLAGTLGYLAPEYISTGRASKESDVYSFGVVALEIATGKRSNDASTSMSYTTGLVQWVWDLYGKGELLSAMDPKLSGDLEEKQVECVMVVGLWCAHPDSSVRPSIKQAIHVLNFEAALPSLPMKMPVPVYHAPPPGPAASSGSPSVNSAEPLISYSSIEIGR >itb03g28920.t1 pep chromosome:ASM357664v1:3:29617561:29622546:1 gene:itb03g28920 transcript:itb03g28920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLLVVFGVTILFALVCAGTTSASIHDSDEDDAQSEAAAAFVKKTISSHSIVIFSKSYCPYCKRAKAVFTELKQKAYVVELDERDDGWLIQNAVSEMVGRRTVPQVFINGKHIGGSDDTIEAYESGKLAKLLGLNVSKDDL >itb09g28780.t1 pep chromosome:ASM357664v1:9:29375513:29375782:-1 gene:itb09g28780 transcript:itb09g28780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKGRPKWSILRNFLIADNTRLGLDEVDFGWGRPMFGGVVSVSYGVGYLVPHRRMEDRMGVLVALALPPIVMGKFQNEIREMIGDWRA >itb02g13500.t1 pep chromosome:ASM357664v1:2:9643519:9650785:-1 gene:itb02g13500 transcript:itb02g13500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIFEFQNGALSGDETCTIFISFTPFSELPFRHVAGSQPNATVSLGLNSLLLLLSVAGAFAGMQNSKDSEMRYAFYRSLPTYTYWEFTKERTAELTKYPCLIRRHLLLSLLLPRSISTSSPSSSRKGRDPKEAYSKKPRSGFYITVVIDGEMVLLVGDSQKKAYSKTRAKFPATSSQDMVLRREHVYDGKLYITRATIDDRDRNIYIDCRLAGDDPRLYFYVDNKRQLGISTYLKVIDLHDNQLSGLIPPQLSLLVRLSVFDVSNNQLSAPIPASLGNRSGNLRQFNASSDKGNKDHFTGTHYRPRKQKKREEAVFTGMEAAHCYLDGNADAVEFCPHESFHHVLAASTYTLQEGDNPSRSGSISLFDVNADEGRLELLHRVETAGIFDIKWSPVGGNVGPLLAQADAEGYVRVHRLESSSDESEVQGNHLQEISHDHVSSSMCLCLDWNPSASSIAVGLSDGSVSILSLHESQLRTQEWKAHDFEVWAASFDIHQPQLVYTGSDDCKFSCWDSRDDPSKLVFQNTKVHTMGVCCITKNPSDPNTLLTGSYDEHLRIWDVRSISRPVNERSISLHGGVWRIKYHPVVPGIVLTACMHNGFAVVNVERDEPEVMETYKKHGSLAYGADWQRGSLCMEGKRKNTAIATCSFYDRLLHIWKPEGNII >itb09g29750.t1 pep chromosome:ASM357664v1:9:30479320:30486931:-1 gene:itb09g29750 transcript:itb09g29750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRLLHVMPSTRGWSSAVVALRRETESDDVSLSRLPVDATRGDQWTSLSPAIVEATRPKIQISATIEANFNDKAAGPLRLHGITDSQPHFRRIAAAFAVYSSQSAAPSTVINRTIAACSSSGPNLYPTSVNP >itb01g04880.t2 pep chromosome:ASM357664v1:1:3320181:3323780:1 gene:itb01g04880 transcript:itb01g04880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGAAGKDTKVFGLFKLPFRKPSPASTSGSPINHAQSHSQQQSQGQNPPGPRKVSTVVRSFLPTPRRLKLDPAKRLYFPYEPGKQVRSAIKIKNTSKSLVAFKFQTTSPKSCFMRPPGAVLAPGKSIIATVFKFVEPPENNEKPNQRLQSSKVKFKIMSLKVKGDMEYKPELFEEQSDQIAKEQILQVVFLDAGRPTAVSKDYCF >itb01g04880.t1 pep chromosome:ASM357664v1:1:3320181:3323780:1 gene:itb01g04880 transcript:itb01g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGAAGKDTKVFGLFKLPFRKPSPASTSGSPINHAQSHSQQQSQGQNPPGPRKVSTVVRSFLPTPRRLKLDPAKRLYFPYEPGKQVRSAIKIKNTSKSLVAFKFQTTSPKSCFMRPPGAVLAPGKSIIATVFKFVEPPENNEKPNQRLQSSKVKFKIMSLKVKGDMEYKPELFEEQSDQIAKEQILQVVFLDAGRPTAALEKLKRQLAEADAAAEASKKPAKDADPQFIGEGLVVDEWKERRERYLAQQNAGSVESI >itb12g15970.t1 pep chromosome:ASM357664v1:12:16457383:16458563:-1 gene:itb12g15970 transcript:itb12g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAILLILLQTGTTDLQISLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPLKLGTYGFLRFSIPMFPEATLCSTPFIYTLSAIAIIYTSSTTLRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAVRSPILSYGHTRPKHVCRACDPSTY >itb03g07050.t3 pep chromosome:ASM357664v1:3:5152709:5155257:-1 gene:itb03g07050 transcript:itb03g07050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQNNSTIRTYMAIMAERDAAILERNMALDERKRALAERDMAMLQRDAAIAERNAALDERDSAISALKLRESSMNDENIVSKSPGAEHIHYQQEMHEMISAAAYDPTENLTNDPINVTDPTPQTANPRKVRQPKESKPKKASKLPRVVKREEVEDLSWQAVSTLDDWDDGNCGEFVDTSDEEQDKDLDDTWEEDLGFEQVNSDMSAMPVPVCSCTGTPQPCYKWGNGGWQSACCTTTISMYPLPQASHKRYSRVGGRKMSASAFSKLLSRLTAEGYDLSAPLDLKDHWAKHGTNRYSTVK >itb03g07050.t1 pep chromosome:ASM357664v1:3:5152709:5155504:-1 gene:itb03g07050 transcript:itb03g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQNNSTIRTYMAIMAERDAAILERNMALDERKRALAERDMAMLQRDAAIAERNAALDERDSAISALKLRESSMNDENIVSKSPGAEHIHYQQEMHEMISAAAYDPTENLTNDPINVTDPTPQTANPRKVRQPKESKPKKASKLPRVVKREEVEDLSWQAVSTLDDWDDGNCGEFVDTSDEEQDKDLDDTWEEDLGFEQVNSDMSAMPVPVCSCTGTPQPCYKWGNGGWQSACCTTTISMYPLPQASHKRYSRVGGRKMSASAFSKLLSRLTAEGYDLSAPLDLKDHWAKHGTNRYSTVK >itb03g07050.t2 pep chromosome:ASM357664v1:3:5152942:5155491:-1 gene:itb03g07050 transcript:itb03g07050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMAERDAAILERNMALDERKRALAERDMAMLQRDAAIAERNAALDERDSAISALKLRESSMNDENIVSKSPGAEHIHYQQEMHEMISAAAYDPTENLTNDPINVTDPTPQTANPRKVRQPKESKPKKASKLPRVVKREEVEDLSWQAVSTLDDWDDGNCGEFVDTSDEEQDKDLDDTWEEDLGFEQVNSDMSAMPVPVCSCTGTPQPCYKWGNGGWQSACCTTTISMYPLPQASHKRYSRVGGRKMSASAFSKLLSRLTAEGYDLSAPLDLKDHWAKHGTNRYSTVK >itb12g21330.t1 pep chromosome:ASM357664v1:12:23687378:23692246:-1 gene:itb12g21330 transcript:itb12g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGNEDQNQRNTPVMEDSPSMRIEFLRARLLSERSVSRTAKQRADELTKKVAELEEQLNTVSLQRKKAEKATAAVLAILENHGIGDASDGFDSCSDQDAVMCESNVSDSKDEPKEARDNDGETYSSSEIDSSPSTGRSLSWKSDRDSLHSSNRKKYIDSARRSSSFASTGTSSKHVGKSCRRIRRRETRSTVEESYNKTSMHAARDNSGTTHTQDLLGTADFGTESRRDGLEHHAKSGQLEFPAFQVLENQNCTHEGDGDMEKALQHQAKLIGQYEDEERAQREWEEKYRENSSFMPDSCDLGNHSDVTEERDDMKASEQPSSSKTLCSRDQECKPERVDRHSSGVQEAPNDFQPPALDKMKSKKTVVSESSSPEFAFPVSKGNLDPVHSETSAHAPPYSFHQSSHLSAVSANPSEHASSSSDGSNLQKHDTSGGKYELALISHETNRSVRNVLEALEQAKVSLRQKLHSIPQIAGGPSAEVAAPPFPASEIRGRVEIPAGCPALFRLPTDLHRDTTSQAGYASLPPQFSMVNRSPETVSDMFFPSHFLESRYTSSAGSPFSSIPTNPSTQLGSRNAPWRPMFKPSVNTSLPSSSRSNLFDPQPSVASPFSSNHTFPTYTMTPQLSSPIGFSSTHPDRENNTPPPATRFSLYDDHVRPNMHR >itb14g06580.t1 pep chromosome:ASM357664v1:14:5836797:5838638:1 gene:itb14g06580 transcript:itb14g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSLSSMQPWVLVVLSAFLQLIPGLADDSSSSNSGTKAPSHSSSSSTRVVVLGLCLGMLALVGFAFVLFKIWQKKRREEQHARLLKLFEEDDDLEVELGIRD >itb01g00890.t1 pep chromosome:ASM357664v1:1:421990:425389:1 gene:itb01g00890 transcript:itb01g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLNCYLPVTAASTPISQDSGEAASQPRPTKIVLPKKKPMKWSTGVAPGEYGGPPTTTKLRKYWGGDEDPLTSDDFIWNKEFMDRMKKLIQDPAETASNPPSAPPKEEESGFLSINRVMSLDSLEVDLSKELTAPSRPFLEEKAEETRTSKGMSRRWRPAPTKREQEKWEKATKAATGGSDVMFREVKRPKGDPKVLAAQSAEQYLKLKNKLQLLTLGIGGIGVISAYVSYSPEIAASYGAGLLGSLAYMRMLGNSVDSIQTEGPRALIKGAVGQPRLLVPVVLVMLYNRWNEIVVPEYGLMHLELIPMLVGFFTYKIATFAQAIEEALTVTGPKRTEL >itb05g27210.t1 pep chromosome:ASM357664v1:5:30704185:30708047:-1 gene:itb05g27210 transcript:itb05g27210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADHILRKCGRAVEVWMVFANRERRRAMNQLNFRSWIVANITDDRGSDDSRDWPRPVCFPSSFSGSGDGEMTDKATRARRMANLKWEAATNHRYTINVDGSVKTGLNSASFGGVLRNKKGEWLEGIIGGLPKIVKKSRESSVPTNWPVIGMLPAILKNAHCLHDFATELLGGGGGTFELKGPAFANLDMLVTCDPENINYIHNKNFTNYPKGTHFQKMFEICGEGIINTDSEIWALHRKTTIALMNHSRFRRSLERAVSETVGNGLLPVLDRYAQRGDYFDLQEIFKRLSFDLSCQVFLGKNPGSLCIEPPGDHPFRRAINDATNAILYRHILPERCWKLQKWVVGIDREKKLAEARDTIDKFIYTLLSENQKHDKAKFTQYIPSGNQEHDQARFTQYVPSENQEHDKTGFAQYIPSENQEHDNAGFTQYIPSKNQEHDKTRFAQYIPSENQEHDKTGFAQYIPSENQEHENVGFTQYIPSNSVYGFSSWSSLQEQQHDDEANFSMLPSLLKAYEGNSKRFLRDTLVSLTIAAGGGTSGAAITWLFWLLAKNPLVEAKILEEINLNHVKQNRVFKVDQCQNLVYLHAAFCESLRLFPPVPMNHKLSLEKDILPSGHKVHPNTRIITSFYSIARMESIWGKDCMEFKPERWISDTGEIKHALSYNFPIFNLGPRTCLGKEMFFIVAKTVAASIILHYHCEPLEPHSPVQYSDTLVLELKHGFKVKLNKRT >itb09g05280.t1 pep chromosome:ASM357664v1:9:2997421:2999407:1 gene:itb09g05280 transcript:itb09g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASVLIIIVAFVPAGAGAGDKATSMNVIDSCWRTKPNWASNRRALADCAVGFGKNAAGGKNGDMYMVTDPSDNPGNPKRGTLRYGAIQTAPLWITFARDMVITLKNELMVNSYKTIDGRGAKVEIANGPCITIQGVTNIIIHGISMHDCKPGNSGTVRDTPTHAGRRRGSDGDAISIFSASNIWIDHCYLARAADGLIDVIHGSTAITISNNYFTQHDKVMLFGHNDNNVEDKAMKVTVAFNHFGPGLIERMPRVRLGYAHVANNRYTGWLMYAIGGSANPTIFSEGNYFMAPNRPDSKQVTKREVKKGWSNWKWRSSKDKFLNGAYFIPSGYGSCAPYYTKSQSFPVADGSRVPALTADAGPLRCSSYSSKC >itb07g19510.t1 pep chromosome:ASM357664v1:7:23946790:23947282:1 gene:itb07g19510 transcript:itb07g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPPPEVHSRLCVRTIFLLRPGPAIFAAIHKVFGASNVSKLLLQLPVQQRFPPVFSIAIEAQVRMEDPIYGCISHIIALQQQNSGGFWAPPEMYTGEEAVPLPAEESSMQRASPSDVGELQALALRMTKTEPSF >itb02g01700.t1 pep chromosome:ASM357664v1:2:942628:946336:-1 gene:itb02g01700 transcript:itb02g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQEIGSITAVAIDKDKNSQQAVKWAVENLTIKSRTIILVHVFLQQSMHSPDRCPTAHEMHRFFLPFRGLCARRGVAAREVILVGVDVASLLSEYICGCSIANIVVGASNRGVLARAFKNPDVPTILGKSVPDFCSVYVVSSKGRVHNIRTATRPPSGNKSGHHSSLSSLSSPDTHFSGDYCSQGTRRSSAGSMESSDGGCQKGRVERMGGDRWDGFQGCKTPLLPPSAASTVSYSSESIPRSEWESMSSISSASSAKSITDNNTAQTLLRQLGSRNMCSPEATPRGPKPTNHFGNKNPSPAKTTQQMLHDKHSQLNLRGGYSGDTSFCSEAETELSGPTTCSSNVSFGQFEHSVISDATSGSSIISAIGVEEDDELIRLRQELNRTLAKLNEATKQANAAKQAVRGIDERKPEEKHRLEDSKQAQETPLLTIKREKHKSKSDFGAEMESQKKKDTEFKRQQEGVDTLEHKFQYRRYTIEEIEVATNYFADSGKIGEGGYGPVFRAFMDCMDVAIKALRPDIPQGHKQFQQEIEILSSLRHPNMVLLMGACHEYGCLVYEYMDNGSLDDRLYCKNNTPPIPWNIRFKIAAEIATALHFLHKTKPAPIVHRDIKPANILLDRNYVSKIADVGLARLVPPSVADCVTQYQMTAAAGTFCYIDPEYQQTGKLSTKSDVYSLGVLLLQIITARPPIGLAHHVKMAMEGGNFADILDPAVNDWPVQDALSYAKLAVQCCELKGSDRPDLGSVILPELERLRDLKIFQKPYQ >itb02g01700.t2 pep chromosome:ASM357664v1:2:942628:946336:-1 gene:itb02g01700 transcript:itb02g01700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFFLPFRGLCARRGVAAREVILVGVDVASLLSEYICGCSIANIVVGASNRGVLARAFKNPDVPTILGKSVPDFCSVYVVSSKGRVHNIRTATRPPSGNKSGHHSSLSSLSSPDTHFSGDYCSQGTRRSSAGSMESSDGGCQKGRVERMGGDRWDGFQGCKTPLLPPSAASTVSYSSESIPRSEWESMSSISSASSAKSITDNNTAQTLLRQLGSRNMCSPEATPRGPKPTNHFGNKNPSPAKTTQQMLHDKHSQLNLRGGYSGDTSFCSEAETELSGPTTCSSNVSFGQFEHSVISDATSGSSIISAIGVEEDDELIRLRQELNRTLAKLNEATKQANAAKQAVRGIDERKPEEKHRLEDSKQAQETPLLTIKREKHKSKSDFGAEMESQKKKDTEFKRQQEGVDTLEHKFQYRRYTIEEIEVATNYFADSGKIGEGGYGPVFRAFMDCMDVAIKALRPDIPQGHKQFQQEIEILSSLRHPNMVLLMGACHEYGCLVYEYMDNGSLDDRLYCKNNTPPIPWNIRFKIAAEIATALHFLHKTKPAPIVHRDIKPANILLDRNYVSKIADVGLARLVPPSVADCVTQYQMTAAAGTFCYIDPEYQQTGKLSTKSDVYSLGVLLLQIITARPPIGLAHHVKMAMEGGNFADILDPAVNDWPVQDALSYAKLAVQCCELKGSDRPDLGSVILPELERLRDLKIFQKPYQ >itb08g03120.t1 pep chromosome:ASM357664v1:8:2601835:2603435:-1 gene:itb08g03120 transcript:itb08g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAEEKKVKVMSSDGQMFELSQKVALQWQTLKRMIEVDCADQCIPIPQVTGKILEKVIEYCKKHVEVSSFKELRTFDLEFMKVDQATLFDLIQAANYLDVTDLLHLTCQTFADSIKDKTPEEVRQILNIENDFTPEEEEEIRKEYQWAFELSPSMADSELSPSMTDSELSPSMVDSE >itb03g24940.t1 pep chromosome:ASM357664v1:3:23822831:23826076:-1 gene:itb03g24940 transcript:itb03g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRIRMLSCYSTLALLACQLIVLKAELQVGFYSSSCDRAELIVKDEVQKAFVRDRGVAAGLVRMHFHDCFVRGCDGSVLIDSTASNTAEKDSPANNPSLRGFEVIDSAKARLESLCKGVVSCADILAFAARDSVEMTGGIGYDVPAGRRDGRVSLASEIPNNLPPPSFNVPQLTNLFSNKGFTQEEMVTLSGAHTIGRSHCTSFSNRLYSFNSTTPQDPSLDPQYAAQLKQRCPQGSSNANLVVPMDPFSPAITDVSYYTNILGNRGLFTSDQSLLTDPSTANQVRQNAVSPISWGLKFAAAMVKMGQISVLTGNAGEIRANCRVVNS >itb10g18030.t1 pep chromosome:ASM357664v1:10:24071882:24075662:-1 gene:itb10g18030 transcript:itb10g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETENGGSESGMRRRGCSCSKDDFLPEESFRSWGNYVNALSQTPARLRDRVFSRSLDQVELAAKSRSQHEMKKNLNWWDLIWFGMGAVIGAGIFVLTGQEANQHAGPAVVLSYAVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIAGAAVARSWTYYLATLFNKDPESFLIKANALQEGYNLLDPIAVGVCLAICIIAILSTKGSSRLNYVASIVHIVVILFIIIFGLIKSDPKNYTPFAPKGARGVFKAAAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTTIYCVMAVTLCLMQPYTSIDVNAPFSVAFKAVGWSWAQYLVAFGALKGMTSVLLVGAVGQARYLTHIARTHMMPPWFSYVDPRTGTPINATAVMMSATAVIAFFTKLNILADLLSISTLFIFMLVALALLVRRYYVSGVTTVGNRNKLIGFLLLILSSSIATATYWGASTGWIAYCVTAPVWFFSTMGLCYFVPRAREPKLWGVPLVPWLPSLSIAVNIFLLGSIDKNSFKRFGLWSGGLLIYYFFFGLHASYDTAKELEKSAEWRKIEEGSESVATVAPAAKPGVDDVDATNASGN >itb11g09910.t1 pep chromosome:ASM357664v1:11:6757000:6758493:1 gene:itb11g09910 transcript:itb11g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGAKTETVIEMNTEGKDPPETKAAAIAETDGGMTGPLVTERGAIRRKADIMDVVLRALCVLTSVTALSLMVTAKEASTITVYGFNIPLRSKWSFSYAFEYLVGVSAAVAVHAMLQLLITGSRLLRRSPPAPSRNHAWLVCVADQVFTYAMMSAGSAAAGVSNLNHTGIRHLALPSLCKPLRGFCDRVAASIAFAFMSCFLLAVSVVADVVWLSKS >itb12g00500.t1 pep chromosome:ASM357664v1:12:397699:399289:-1 gene:itb12g00500 transcript:itb12g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTAWIWAAAMAAFAAIFNAVVKKKKSKRLPPGPGGVPLLGHLHLMGKNPHQDLQKLAKIYGPIMHLRFGLVNIIVVSSSQAAKQFLKTHDLIFATRPPHQAAKVMSYDQKSLSFGEYGPYWRTMRKLCTLELLSNLKISSFQSMRREELRLLVQSFKRAHTAVDLSAEVASMVADMSCRMVFGKKYEDKDIGEKGFKAVIHEAVHLTACPNLGDYFPFLGKLDVQGLTRRMKAVSKLFDQFFERIIDDHEQAKANTHKTKDFVDTLLETMKSGDIPFQFTREHVKSIMLDMLVTSMDTSATVIEWTMSELLRHPEVMNKVKEELEKQVSFDRMVEEEDLEGLEYLEMVIKESLRMHPVVSLLLHHTAATEDCVVIDGVHMPEKARVVVNLRAIGRDPSVWNNPDKFIPERFNGSKVEYRGQNFELIPFGAGRRSCPGMQLGITIVKLVVAQLVHCFDWDLPNGMLPEELDMNEVFGVVVSRAKHLMAIPTYRLCV >itb08g16230.t1 pep chromosome:ASM357664v1:8:18355550:18360831:-1 gene:itb08g16230 transcript:itb08g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMKKKGSNGNNGNSNEAPKPKERHIVSWSQEEDDILREQIRLHGTENWTIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDVLLCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKNEALAKENNNSFINLNNKRVIFPSALNIDRISGPGSPFKKLRRSHISDLPENCNSGEKSLVTCNAVNQLQRSPFSVLPNVGSSLPTNQNVSIKDSPTNAASINKTEGTFLKKDDPKILALMQQAELLSSLALKVNSENSYQSLENAWKVLQDFLNQKEDDVLKGRISEMDIQLEDFKGLAEDLKSINVPSQSWRQPALSEDSAGSSEYSSGSTLLSHAPGDKKEQCEAEVSALHQDIESGLQSTELGDQTTINAFSGGTPGNATCTEADILPTCDKVSANDEAACEYSAEECSSPLHVTPLFRTLAAAIPSPKFSESERQFLLKTLGMDCTSPNSSINNTSHPPSCKRVLLHSL >itb08g16230.t2 pep chromosome:ASM357664v1:8:18355551:18361158:-1 gene:itb08g16230 transcript:itb08g16230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMKKKGSNGNNGNSNEAPKPKERHIVSWSQEEDDILREQIRLHGTENWTIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDVLLCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKNEALAKENNNSFINLNNKRVIFPSALNIDRISGPGSPFKKLRRSHISDLPENCNSGEKSLVTCNAVNQLQRSPFSVLPNVGSSLPTNQNVSIKDSPTNASINKTEGTFLKKDDPKILALMQQAELLSSLALKVNSENSYQSLENAWKVLQDFLNQKEDDVLKGRISEMDIQLEDFKGLAEDLKSINVPSQSWRQPALSEDSAGSSEYSSGSTLLSHAPGDKKEQCEAEVSALHQDIESGLQSTELGDQTTINAFSGGTPGNATCTEDILPTCDKVSANDEAACEYSAEECSSPLHVTPLFRTLAAAIPSPKFSESERQFLLKTLGMDCTSPNSSINNTSHPPSCKRVLLHSL >itb08g16230.t3 pep chromosome:ASM357664v1:8:18356187:18360522:-1 gene:itb08g16230 transcript:itb08g16230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMKKKGSNGNNGNSNEAPKPKERHIVSWSQEEDDILREQIRLHGTENWTIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDVLLCEAQRIFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKNEALAKENNNSFINLNNKRVIFPSALNIDRISGPGSPFKKLRRSHISDLPENCNSGEKSLVTCNAVNQLQRSPFSVLPNVGSSLPTNQNVSIKDSPTNASINKTEGTFLKKDDPKILALMQQAELLSSLALKVNSENSYQSLENAWKVLQDFLNQKEDDVLKGRISEMDIQLEDFKGLAEDLKSINVPSQSWRQPALSEDSAGSSEYSSGSTLLSHAPGDKKEQCEAEVSALHQDIESGLQSTELGDQTTINAFSGGTPGNATCTEADILPTCDKVSANDEAACEYSAEECSSPLHVTPLFRTLAAAIPSPKFSESERQFLLKTLGMDCTSPNSSINNTSHPPSCKRVLLHSL >itb01g05930.t1 pep chromosome:ASM357664v1:1:4136019:4137303:-1 gene:itb01g05930 transcript:itb01g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLHEGEDDSEEEEEQCRRRKGAIMADGFEKETQRVKAKKRGKGGEVMMNVNGGGRIVRATGRKDRHSKVCTARGTRDRRVRLSPNTAIQFYDVQDRLGYDRPSKAIDWLMKEAKAEIEALNNASTAINQTGLKEEEGDILVQNCSNNPSFSSIFPMESNNLHPNCVSFPDASSSSSSIFFFDDQRLINWNVCPENGKVAEEGSFTPAQNQVFSQREPLQSSFFPQTTFSYAAAMGGGFGDNNELSGMSTAAAEEHHHLTSGRPTTSLLDYHH >itb03g23140.t1 pep chromosome:ASM357664v1:3:21296105:21297246:-1 gene:itb03g23140 transcript:itb03g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVCTIIAVALSCLVVVALAVPPLNFNNDVTMYFGNHLGQVQDNGNTMALNLDQQTGSGFNTKNEYIFGRFDMQLKLMKGFSAGTVTTFYLTSPIGQAHDEVDFEFLGNATFQPFTVHTNVFSEGKGNREQQFHLWFDPTAEFHTYTILWNSQRIIWMVDNIPIRVFENQESIGVPFPKTKPQRIFCSLWEASAWATQGGNVKVDWSRAPFTAHYKNFVVDACVITNGASSCANNKNKQPWQTYTLDADAKAKLENVQKKNMIYNYCTDTKRFAQGLPPECKPAQEHPSA >itb05g03670.t1 pep chromosome:ASM357664v1:5:3133492:3136289:-1 gene:itb05g03670 transcript:itb05g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDPSVQSDMKLWPFKVIAGPGDKPMIVVSYKGEEKQFSAEEISSMVLTKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAVSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVDDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEQYKAEDEEHKKKVEAKNALENYAYNMRNTIKDEKISSKLPPEDKKKIEDAIEQAIQWLDGNQLAEADEFEDKMKELESLCNPIIAKMYQGGAGPDVAAGMDEDGPSAGGSGAGPKIEEVD >itb07g01250.t1 pep chromosome:ASM357664v1:7:770315:773910:1 gene:itb07g01250 transcript:itb07g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIANVLPSFTHKLPFRPRSHNSSFRMSYQTTTTTTRKSANYPPPTWDFNFIQTLNTNSYAGEKFVTRRDELKEEVKDLLNNGEIKEAEKIEMIDQLQKLDCSYHFEDEINAALMDIYTKKSSNNFKSSEEKDLYSTALEFRVLRQNGFDISPEVFEGFMDGKRLSFNANLGEDTKGLLNLYEASFLSKEEDTILELARDFSAKHLKQVINGDQNLNDPKLLPHVQRALDIPLHWRAPRLESRFLIDSFDLGKTSSNDSTLLDLAKLDFNIAQAVYLEDLRSVSRWWKDSCITEKLEFVRDKVVENFIWALSKTSSPKYSHCRRVVTKIDVLLCTVDDLYDIYGTLDELQLFTETIERWDDITQVGHLPEKMQFCYFAVHNFANEVAYDILKEHNVNILPYLRREWVALCKSHLQEAKWAHSGVTPPYEDYIENAWVSIGCNLALMHAFVHVNHPLKESDLQCNTKYHEIVRLSSILLRLPNDKGTSSYEMKRGDVPKAIQCYANEHKVSCEEARDFVDGHINETWNKINKFLFDDTFTKTFVQVTMNIGRVALCMYQHGDGHSFKNLETNRRIQAALFDPIPLII >itb14g19460.t1 pep chromosome:ASM357664v1:14:22098253:22100158:1 gene:itb14g19460 transcript:itb14g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >itb13g09880.t1 pep chromosome:ASM357664v1:13:14353239:14356204:1 gene:itb13g09880 transcript:itb13g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSRLSQTTTGELLSPRPRQSDLLSVLLVIPSPNRVDIRSHLRSTAKTTSTDGDLGELLSPRPGDCNSSGAVPLDYDANLQY >itb13g09880.t2 pep chromosome:ASM357664v1:13:14353239:14354472:1 gene:itb13g09880 transcript:itb13g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSRLSQTTTGELLSPRPRQSDLLSVLLVIPSPNRVDIRSHLRSTAKTTSTDGDLGELLSPRPGDCNSSGAVPLDYDANLQY >itb01g03290.t1 pep chromosome:ASM357664v1:1:2178796:2180214:1 gene:itb01g03290 transcript:itb01g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIVFSISRLSLISPSKPQSLPLFQPWKHRTPAPTTRLHCHKMYVPGHGVPPEKTAAKHLHHFFNFVAVKIVAAQLQSYNPEAYDELMEFLERHSLNDADKFCADLMRESSRHQNLGIYAYLFLCFLDR >itb06g03550.t1 pep chromosome:ASM357664v1:6:5950980:5953890:-1 gene:itb06g03550 transcript:itb06g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLLLGLARSSFRRKRTSSLEILTSKRGPRASSLEILTSKRGPRGFYKGKNCIPTGFHTRKGGYVVVPEKLPNYVVPDLTGFKREDGQQLASVDCYFWID >itb12g20930.t1 pep chromosome:ASM357664v1:12:23364840:23367038:1 gene:itb12g20930 transcript:itb12g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGDSPPYWTQPPTSPFRQRRRQPPSPIINPVVLILLVPILAILVIFFLVPPFLSHTTHFLRPGSVKKSWDSLNILLVVFAILCGVFARRNDDVSAADSAGVERDVPRVSAVEYSGRKVYDSGQTGVPETGNSRLRRSSSSYPDLRQVQGWETGENRYRFFDDFEVNLYRSAAAEYDRHRQRRSEVEREETGIKVIPVDTVEIRSSPLPPASPSPPPPPPPPPPRTRLKRRRSLHIVPRKEKVEKQSNERAEEFVESRPPAPPLPTPPAPPPPPPPPPSTVAMPIPIRSEENIRKLHRKKSGATKEIATAIASLYNQTKRKKRTKTKSIYESASDDSPPSSSPIPPQTPPPPPPPPPPPSKVFQNLFKKSSKSKRVHSVSSIAVPSPPPPPPPPPPKSIFNNLFKTGSISKRFSHSSSTPPPPPPPPPPSSILNSILKTGNNKSRRFKSVNSTSTSTSSPPPPPPPPPSTQALSSRKTSSEPLHRPSSAARKPPISSRTTRNYYDENLNSGAQSPLIPKPPPLPPFRMPENKFVARGDFVRIRSNHSSRCSSPEIEDVDVMSVKSEQTDGEDAIGPPVYCPSPDVNSKADKFIARLRDEWRLEKMNSLREKRKLV >itb11g07340.t1 pep chromosome:ASM357664v1:11:4503131:4505957:1 gene:itb11g07340 transcript:itb11g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLLSGFTRLCKGLAVVLVGAHIVVQILPSAVSFLALIPAKTIPFAWNLITAGYVEQTIYGVFVSTFGLLFTGKLLEPIWGPREFLKFIFIVNFLTSVCVFITSISLYYISREESYLYLPISGFQGVLSALLVGIKQIIPDQELASLKIKAKWLPSLAVLISIVISFFTVDSAAFLPPLVFGTYIGWIYLRYWQRKPEAKLRGDPSDEFAFSTFFPEFLRPVIDPIATIFDRLLCGRRSDVSNEERDYTLGSTALPGSDPIEASRRRERGARALEERLAAEMLASSGGSAEELRRVGSDNV >itb02g17250.t1 pep chromosome:ASM357664v1:2:13219662:13221315:1 gene:itb02g17250 transcript:itb02g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLLVLDNIHCDHQARGSFNVCDNLNFKGFQAHHPLRVHRKVCEFSKLLPEILDFKLVPRGNLWGKLFHDYCPTREDVGLYFFPSDKDRSKEYVILMELIRDQDLMMRKQMDNVEILVLTSTVLQMDCQKMEGNYFLWGLFHRIGKKDKVASIEFVDKEIDISSKDNEEVVALEIDMTGGGQSVGKADSINNGDNEEVDMEIDMIGGQNVGKQDVVIRRNSSANRYESSTPTPVTASVTPPSISNTIDSGMMQCGLSKVKREEPFDDVPPGFKPLPPRNKSECSTPTAGVTVSSIMKVKREAFGDVCVPPGFKPLLPP >itb05g17910.t1 pep chromosome:ASM357664v1:5:24783284:24787278:-1 gene:itb05g17910 transcript:itb05g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPERRPSFFKRLPLLFTANYGKHLPEYPVVLEIESGESWPVKIEEDEEHQCFTHGWPEFAKDLRLELHDFLVFWFKCPSKFLVEVYGKTGPAKTFNPPIKGKVSPKIERNDVSADAAAAAASDDDGAMETKKKKSRPCFSVDMKYSNKSRLRIPTGFMRETGRMGSMCVVLRDPVGMEWPVLISRHGKSHKDRSDMTKGWKEFKAANDLVDGDVCTFTHTETPGGWVLQVQRFPLEFVRRHGRNLPKVACLEVPTGEVSKIHVVHDSQGRIWLAKGWEEFTKNYSIREGHFLVFRYDGESHFHVLIFDKTASEIEYPQIIVSHGGVESLEDLAQKCGPNKRKRQEDQTESFCNRFNHVRVKVENSPDDEDEGSPPRMTPKISTGEKQSLVKTEMGLAYQRAKAFKSKNPFHISVMYPSYVSSENRLNIPLAFAKKHFLDQSPNDFVLVSGGRSWPAKCTRNGNHARIYGWKAFAVDNKLKVGDVCILEIMKCQKLTILNIIVFPADEIDD >itb03g09270.t1 pep chromosome:ASM357664v1:3:7118467:7121004:1 gene:itb03g09270 transcript:itb03g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGVAKYGVGKWSTILKDPEFAPVLRARSNVDLKDKWRNLHVMANGWGSRQRGKIASKSAQPISKRDDDSMALTTVVDNDMEVPDAKPLATVSETLPDVDSKKPITSLDDLIMEAITKLKEPRGSSRNAISMYIEEHYKAPTNLERLLAANLKDLTERGRLIKVKHHYRIAPSGMSSIDVKGDPSLLLLEGKQTHPPMPEKNGIRILTKAQIDAELEKMRNMNAQEAAAAAAQAVAEAEAAIAEAEWAAREAEEAEAEAEAARCFAEAATKALNYQTIHV >itb07g00580.t1 pep chromosome:ASM357664v1:7:386750:387941:1 gene:itb07g00580 transcript:itb07g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCHQLAGILLSVALALATLNLSACYVFKGSVTCLDCTHTHTHPADLSGVQVSVKCGGEKNMAMATTEEDGSFETTIVLPSSKSPSSVSCSAKILGATRQLYIPMKACESKIVVRAIGESNHNHYMMTTSEPLRFYTKCPNAKCGGVGIGGSKTVDLPLPREWGLPPTSYYSLPFFPIIGIP >itb03g19750.t1 pep chromosome:ASM357664v1:3:17741855:17744615:-1 gene:itb03g19750 transcript:itb03g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTHDQFEPKKIMSKSPRRVWVPGPVIVGAGPSGLAAAACLKERRIPSLILERANCIASLWQLKTYDRLRLHLPKHFCELPFMPFPSHFPTYPTKEQFVAYLEDYADRFGLQPEFNRTVVRAELNEKCGFWTVKCVGEKAEEVTEYVCRWLIVATGENAEEVVPGFDGMENFSGPVIHTSQYKTGKTFHDCKVLVVGCGNSGMEVCLDLCNYSAHPFLVVRDSVHVLPQEMLGRSTFGLSMWLLKWFPVRLADRILLFISRFVIGNTENLGLIRPEIGPLELKVTTGKTPVLDVGTLDKIRTGKIKVVPAIKRLTHHGVEFIDGTMENFDAIILATGYKSNVPSWLKGKHFFSEKDGFPNEAFPNGWKGDDGLYAVGFTKRGLLGTSLDARRIAEDIEQQWKLKAKPACKIAFKTSTL >itb02g22040.t1 pep chromosome:ASM357664v1:2:21107418:21110408:1 gene:itb02g22040 transcript:itb02g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCASRPDSSLVAVCILPGGVIGEHGFERILGSVMCLHRVSFGSVNIFSPETDCTTTYTSNDTKFWAPISEDSITPHIGQVFGTVEQGEVFYFEYGRAVGFDVRRSTVKKDRNGNTTVRHLVCSRQGFKQVVRDQFAELEVGNGVAVGGEDQERILMVSNAVQALRESLSVGADSTPMRRGKHSVIENFTGNVAVDPVVIRPPVVARNKGRGKRLKGSREIAVTMKKPGGRTCATCGLANGHDSRNCVLRKMNQEYEGSG >itb01g01280.t1 pep chromosome:ASM357664v1:1:699949:709038:1 gene:itb01g01280 transcript:itb01g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEASKNWIPFYKLFSFADFTDKILMGIGTVGSIASGVCEIIMVVLLGELIDAFGHNQDRNNVVPAVSKVSLKFVYAAFAMGASSFLQVACWIVTGERQAARIRNHYLTSILRQDIGFFDKEISTGESTANMSGDIVVLQDAMGEKVGKFIKLVAEFVAGFVVALVRGWHLALVMLSALPPVGLSLVITFIFMAKAASRAQSAYTRAANVVEQTVSSIKMVASFTGENKAVASYKASLAKAYKSEVYQHLAQGLGSGAFLFILFSSFSLSFWYGGRLILEKGYTGGKVINVTLAVLFGSMALGHASDCMAAFAAGQAAMFKMFEIINRNPEVDANENDGRVLDDIQGEIELRDIHFSYPTRQNDLILNGFSVSVPIGKALALVGHSGSGKSTVISLIERFYVPQSGEILIDGVNIKMFKLKWLRQQIGLVSQEPVLFTTTIKENIAYGKGCATMEEIRVAAELANAYKFIKDLPQGLDTMVGERGTQLSGGQKQRIAIARAILKEPRVLLLDEATSALDAESDRAVQKALEKVMVNRTTVIVAHRLSTVRNADIIAVVHQGQIAEKGTHSQLLKDPEGAYSRLLHLQEANKAEELRGGEDMTAESNIEMEKWSSGSMSIDVADNYPTRHGISSNKTPEKAADVSLCRFASLSKPELPMLAAAATFALIYGAIFPVFGLLLANIIQTYYLPPNKLKKDSAFWALMLVILGALALLSILIKLCLFGVARGKLINRIASMCFEKVVHTEIGWFDEPQNSSGVIVAKLSSDAATIRTLISDALLQMIQNLVSCILGLVIAFRTNWQLSLFSFIMFPLIGVNIYVEAKHTKGFSTDTKMLYEDATQVASDAVGNMRTVASFCAEEKVMELYNTKCEKPKKRGLRRGLITGISFGLTCCSIFFVHASISYFGAHLVAHGKATFEDYFRVFYAMYFTTSVLSQSSSFTQDFRKAKAVAKSIFGLLDRQSKMDLDEKSGLELDSVQGEIEFQNVCYAYPTRSDVKVLCGFSFTVQNGMTVALVGKSGSGKSTVIMLDGVDIRNLNLKWLRKQMGLVSQEPVLLNDTIRANITYGKEDDVTEGEVIAAAELANAHKFISGLQQGYDTVVGERGVQLSGGQKQRVAIARAIMKSPRILLLDEATSALDAESERMVQDALDKIMVNRTTIMIAHRLSTIRGADVIAVVKDGAVVEKGKHDMLIAKRDGHYASLVALHTSPSSEPSAANDGHRLHVIKRDLLEPDRIVGELLQPGGYLKLMELGLKDKILMGIGTIGSIASGVCKVMMFVLLGELIDAFGHNQDRNNVVPAVSKVSLKFVYAAFAMGASSFFRKYF >itb09g02320.t2 pep chromosome:ASM357664v1:9:1351768:1354908:-1 gene:itb09g02320 transcript:itb09g02320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSVLLICAVAHVMLASVFSVAGSTVTAYPPPYGLESAASSASFHSSSDDNLIPVRREVYGNGRIFDITHTLRPEMPSWGSEEGLGQFLWLPASMKNGSEANNSEMKLPTHTGTHIDAPGHVYDHYFDAGFDVDTLDLEVLNGPALVVDVPRDKNITAEVMKSLNIPKGVKRVLFRTLNTDRRLMWKKAFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVFLKDREVILVEALKLDDIELGIYNVHCLPLRMLGAEGSPIRCILIK >itb09g02320.t3 pep chromosome:ASM357664v1:9:1351768:1354908:-1 gene:itb09g02320 transcript:itb09g02320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSVLLICAVAHVMLASVFSVAGSTVTAYPPPYGLESAASSASFHSSSDDNLIPVRREVYGNGRIFDITHTLRPEMPSWGSEEGLGQFLWLPASMKNGSEANNSEMKLPTHTGTHIDAPGHVYDHYFDAGFDVDTLDLEVLNGPALVVDVPRDKNITAEVMKSLNIPKGVKRVLFRTLNTDRRLMWKKAFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVFLKDREVILVEALKLDDIELGIYNVHCLPLRMLGAEGSPIRCILIK >itb09g02320.t1 pep chromosome:ASM357664v1:9:1351768:1354908:-1 gene:itb09g02320 transcript:itb09g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSVLLICAVAHVMLASVFSVAGSTVTAYPPPYGLESAASSASFHSSSDDNLIPVRREVYGNGRIFDITHTLRPEMPSWGSEEGLGQFLWLPASMKNGSEANNSEMKLPTHTGTHIDAPGHVYDHYFDAGFDVDTLDLEVLNGPALVVDVPRDKNITAEVMKSLNIPKGVKRVLFRTLNTDRRLMWKKAFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVFLKDREVILVEALKLDDIELGIYNVHCLPLRMLGAEGSPIRCILIK >itb10g04780.t1 pep chromosome:ASM357664v1:10:4668313:4674771:1 gene:itb10g04780 transcript:itb10g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGRGPYIYSTNNYVGRQIWEYDPNAGTPEERQAVEKARQDFRTNRRNGFHVSGDLLMRMQMIKESGIDLLSIPPIRLGEEEEVNYEAVTTAVRKAVRLNRALQARDGHWPAQNAGPMFYTPPLLIVLYISGAIDTILTSEHKKELVRYIYNHQNGDGGWGLYIDGHSTMMGSALSYVALRLLGEEADNGDGPIARGRKWIVDNGGATGIPSWGKLYLSVLGVYEWDGCNPIPPEFWLFPSKFIFHPAKMWCHCRTTYMPMSYLYARRYHGPLTNLVLCIRSEIHVKPYDQIDWNSARMDCCKEDLYYPHSFIQDLLWNTLQYCTEPFMSLWPFKKMREMAMRKAIEYMRNEAEETRYLTLGSIQKVLQMMCWWAEDPNCDEFKYHLARLPEYLWVAEDGMTMLSCGSQNWDSTFATQAMFASGMVEEYGNCLKKAHFYIKESQIKENPKGDFKNMYRRLAKGSWAFSDQDQSWGISDGTAEALKCLLYLGQMPPEIVGEKADAKRLYEAVDFLLYLQSIENGGFSVWEPPVPQPYMQLLNPSEFFADIVVEKQ >itb09g13160.t1 pep chromosome:ASM357664v1:9:8520482:8521381:-1 gene:itb09g13160 transcript:itb09g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKPFAYAAGMLRTRLSSALRTRGGHGPNPFLTPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHGEALKRLEQESTDSD >itb02g16410.t1 pep chromosome:ASM357664v1:2:12307778:12309949:1 gene:itb02g16410 transcript:itb02g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAQEVAKPLSEDMDIESNAAEDSGTENGGAKRPREEGGEPENGDNGDASKKSRVDKSVEEQRLEILEGNEVGEGKKKEERSGPVTLGPKSFGSSVEMFEYFYKLLHYWAPNLDINKYEHMVLLELLKKGHADPEKKIGPGVGAFQVQNHPVFKSRCFFIVRVDGSADDFSFRKCVDRILPLPESMQIKHNANKALRVGKGGKGSDRGGRGGGHGRGRGKSRN >itb01g36020.t1 pep chromosome:ASM357664v1:1:37905597:37908494:1 gene:itb01g36020 transcript:itb01g36020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQKCQLLFKLHKPSLPLIHQANPIFNFDHPNHKIPIACEESEVVNRLKNELNAGMALEYFKYVSKSNSFNHTLLTYQVMVEKLGRHGDMDGVQYLLHQMYLEGINCSEDMFVAVISSYNKAGAAEHSLKMFYRMQDFGCKPTVRVYNHLLDALLSENRFNMINPIYSNMKKDGIMPNVFTYNILLKALCKNNRVDGAHKLLVEMSNKGCSPDEVSYTTIVSSLCKLGRVKEARDIAMRFGFSIVPVYNALVNGLCKQCNVKEAIKLLDEMMDNGATPNVITYTTILNAASELGNAPLSLSILAKMFVRGCSPNIYTFTTLIKGICLAERWDVALDVWHSMIKEGIFPNVIAYNTLIRGLCLYGNMYAALSIFNQMERHSCSPNLTTFSTLIDGFAKSGDLSGASEIWNKMITLGCRPNVVAYTCMVNVLCKNFMFEDAYIIIENMMADNCPPNTVTFNTLVKGLCASGRVDWAMVVFNQMEKFGCSHDATTYKELLNGLFKINSLTLGLELVVEMEEKGIHLDLVAYNTIACGFCRIGMPEEAPKVVAKMLIRGIEPDVFTFNTLINAYCKGGNVKLAYQLLDVMSAEGWNPDLVSYTSLIAGFSELYDFETAISCVYRMIDEGIPPNTSTWNILVRALVSKIGYVDAVGYLDIILVSRARS >itb06g20490.t1 pep chromosome:ASM357664v1:6:23446346:23446630:1 gene:itb06g20490 transcript:itb06g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAYERGTDHKTGTEDFTENRRHESQTSKTQCAASIIDFNSFVQGDQTQTNSRSFFLTNKLKTKKSEHRSEKEKRFEEKKIRGKEAEQYEDIG >itb09g20560.t1 pep chromosome:ASM357664v1:9:17397491:17399244:-1 gene:itb09g20560 transcript:itb09g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNPNASFTRKASVNYTKTPSRQSLYNKAWAKEDLMREQNKELQTYRLKDLCKDMVKQRSLLALANYINALGKQQKKGLAYVPYRNSKLMFILKDGMSGNSHTIMIITMLTL >itb09g15620.t10 pep chromosome:ASM357664v1:9:10862452:10869826:1 gene:itb09g15620 transcript:itb09g15620.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEVYFNIMLPASLHGW >itb09g15620.t2 pep chromosome:ASM357664v1:9:10862452:10869826:1 gene:itb09g15620 transcript:itb09g15620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t4 pep chromosome:ASM357664v1:9:10862677:10869826:1 gene:itb09g15620 transcript:itb09g15620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t3 pep chromosome:ASM357664v1:9:10862667:10869867:1 gene:itb09g15620 transcript:itb09g15620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t9 pep chromosome:ASM357664v1:9:10862434:10869826:1 gene:itb09g15620 transcript:itb09g15620.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t8 pep chromosome:ASM357664v1:9:10862492:10869867:1 gene:itb09g15620 transcript:itb09g15620.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t7 pep chromosome:ASM357664v1:9:10863053:10869867:1 gene:itb09g15620 transcript:itb09g15620.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t5 pep chromosome:ASM357664v1:9:10863009:10869822:1 gene:itb09g15620 transcript:itb09g15620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t1 pep chromosome:ASM357664v1:9:10862434:10869723:1 gene:itb09g15620 transcript:itb09g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb09g15620.t6 pep chromosome:ASM357664v1:9:10862667:10869867:1 gene:itb09g15620 transcript:itb09g15620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTLGSEVDHYLRSSPRKDGDFTFGKVNCVEQQIASSQEHAIPEQAMFSQNHSVTEQLASFEEHSALQQPVKRQTRKWAAWTREEEESFFSALRHVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLNAKNSRDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLENQLLKDRKKNVRRCFSQGENTSTAPISVSNQGRASGHDGRSVKVVLLDNQDIHKFGSGKGSSLKRHINIGANSTSCKTDSSLLKTARHRRKIGATAAYKRWEKAAIAGVSLVADAAEHLERTTTDKEDGHFQDSNVRNVFEHSGKDPHVFPALLHNSFNETNLQNSGKLKLQLFPIDDGTRRALELDNHNPYLELTLGTRKKMSSVLEHLNRKWGNSSMACGDLMLFPYHLHKENLVHFQKWTKDTTLTAADVYALTGSPPVFRLRYGWFSNAEVTFRAPVSSAIPSRHDANMNIVQESSVGVLPAPSTDPPKEANGCASMNTVNASRSSEHTEAVLWENPETVVTEAEVDDLQFNKAGTSCVGEWADSLTNISVGDLLSEAPDMEDANCITSSIHSSSNYIHQIPFSCDSFDAAIAAHIKKHQSITDSQTALPSQLSSIWGAEDTCDAFAFQRHSLSEKVQNASKHTSLETCKQITLANTTALGAPVEDPPGARNPVDDAATADLESVPYSPTSDVKDFNGLSDVYWPDSLGPLDLDIPSSRYHSELILSDSLGGLTQLIASSLDAFQNCSFFGADKKEPVSTTEAQEPL >itb15g01310.t1 pep chromosome:ASM357664v1:15:798126:801617:-1 gene:itb15g01310 transcript:itb15g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKPLFFSFIFLLTFGNPGGVYASDAGYNDSKKNGINGFRPTKLFVFGDSYSDTGNYRKLESFSWKQPYGITFPGKPFGRFSDGRVLTDYIAEFLGVKSPTPFRWMKHAQHRLQYGMNFAFGGTGVFDTFIPGLNMTTQIEYLQKLIDDSVYTNSDLQSSMALLTVCGNDYMAYLSLGGTKEGLPGYTRKVINQVAADMKHLHNMGVKKVSVTSMQPLGCLPRATVHSSHRQCNESLNAGVMLHNSMLKEMVARLNSHTPDSPYFILDLFNPFITIMKQKKLPQEGSRRFETPLKPCCVGVSKEYDCSNVDKKGVKMYTMCSDPNAAFFWDSAHPTQAGWSAVYDALKATLHEHLF >itb15g01310.t2 pep chromosome:ASM357664v1:15:798179:801558:-1 gene:itb15g01310 transcript:itb15g01310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDPPNCLCSEILIPTPGTTGNWSRFLGSSLMASPSPESLSAASLTAVFSLIILSPTPFRWMKHAQHRLQYGMNFAFGGTGVFDTFIPGLNMTTQIEYLQKLIDDSVYTNSDLQSSMALLTVCGNDYMAYLSLGGTKEGLPGYTRKVINQVAADMKHLHNMGVKKVSVTSMQPLGCLPRATVHSSHRQCNESLNAGVMLHNSMLKEMVARLNSHTPDSPYFILDLFNPFITIMKQKKLPQEGSRRFETPLKPCCVGVSKEYDCSNVDKKGVKMYTMCSDPNAAFFWDSAHPTQAGWSAVYDALKATLHEHLF >itb06g18920.t1 pep chromosome:ASM357664v1:6:22436182:22441169:-1 gene:itb06g18920 transcript:itb06g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDCIVRAERFQQAKESMATTCEKIHVDSRAPEPINIHKTLNSESNGTPYWYSVETGIYRSLHASVELPSHPFLDVVSFIFSHNHGGVSALVDSKSGFSISYPELRSLVNSMAAGLHGMGVSQGDVALILLPNSVYFPVIFLGLLSVGAIAATMNPLSTLAEIKKQFLDFDCNVRLAFATPDRVKNLGSALNIPVIGIPESSNLDSPGDNSGSVFRKLISTDPKPAPNPKIRQQDTAAILFSSGTSGGCKAVALTHANFIAMVELFVRFEASQYEFPATANVYLDVLPMFHVYGLSLFVMGLLSLGSTIVVMRKYEVGEVVRAVDRYGVTHFPVAPPLLVALTRKAKADKCSLKSLKQVSSGAAPLSISCIQDFVRTFPHVDFIQGYGMTESSAVGTRGLNTAKLRNYTSVGLLAPNMEARVVDWSSSISLPPTSIGELWLRGPAIMKGYLKNGEASKNAVDEDGWLHTGDIVHFDEDGYLYVHDRLKEIIKYKGFQIAPADLEAVLMSHPDIVDAAVTSAQSEEVGEIPVAFVVKKEGCNELSQADVIHFVAKQVAPYKKVRRVYFIKSIPKSAAGKILRKELRNLLITSKM >itb06g23780.t1 pep chromosome:ASM357664v1:6:25571664:25575193:-1 gene:itb06g23780 transcript:itb06g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDNAIRLKSYLPSQHQSQNLDTPPSILFSGGLFLEPAAPPSILNALTGKSRLRPGFRGGSASGELFLSVSLSIGEKKGGGLNGNSGDCLVLNGNKVGSVGGGEGGAALGRRRGIGFGRQGAVNTSKHLWAGAVAAMVSRTFVAPLERLKLEYIVRGEQRNIFELVKVIATTQGLRGFWKGNFVNILRTAPFKAVNFCAYDTYRKQLLRLSGNEETTNTERFVAGAAAGITATVLCLPLDTIRTKLVAHGGEALGGVIGAFRHVIQTEGFFSLYKGLLPSILSMAPSGAVFYGVYDILKSAYLHSPEGMRRIESLKQHDQERNAFEQLELGPLRTLLHGAIAGACAEAATYPFEVVRRQLQLQGRAPKLSALAITTKIVEKGGIPALYAGLFPSLLQVLPSASISYFVYEFMKIVLKVE >itb10g20120.t1 pep chromosome:ASM357664v1:10:25786482:25788980:1 gene:itb10g20120 transcript:itb10g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSTEISIFPKSASGRLNSDQEPIKGSSKGFKIMLAKHFPQLVEAFSDKGIDDCSQFLNPMELGEIFGVFVWGAVVVVVVLRIWGELDFAVVVVLRIWGELDFAIVRKLIVI >itb01g00870.t1 pep chromosome:ASM357664v1:1:415694:417489:-1 gene:itb01g00870 transcript:itb01g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERDNFVYVAKLAEQAERYDEMVDAMKSVAKIGVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEESRGNEQNVKRIKEYRQKVETELTNICGDIMTVIDEHLIPASTAGESTVFYYKMKGDYYRYLAEFKTGNDKKEVADLSLKAYETATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLNEDSYKDSTLIMQLLRDNLTLWTSDIPEDAEDAHKGDAQNKAGGEDAE >itb06g25460.t1 pep chromosome:ASM357664v1:6:26498743:26503237:-1 gene:itb06g25460 transcript:itb06g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MNKMSLSYHSFSPVLSPSPKFHHPLLPSKIPHLNHPPKFSVVHRRILLTVAARGKPKELILGNPTVTVEKGKYSYHVETLINKLSSLPPRGSIARCLDTFRNKLSLTDFSHVFKEFAARCDWQRSLRLFKYMQRQIWCSPNEHIYTLMIGILGREGLLDKAMEIFDEMPAHSVAPTVFSYTAIINAFGRNGQYQTSLQLLDKMKKEKVVPNILTYNTVINSCARGGYEWEGLLSLFAEMRHEGIQPDLVTYNTLLSACANRGLDDEAEMVFRTMTEGGVLPDITTYSYLVETFGKLKKLEKVSELLREMEAGGNLPEVTSYNVLLEAYSHSGSLKEAMDVFRQMQAAGCTPNAETYSILLNLYGKNGRYDQVRDLFLEMKISNTEPDADTYNILIQVFGEGGYFKEVVTLFHDMMEEKVEPNMETYEGLIYACGKGGLHEDAQKILLSMYRKELVPSSKVYNGVIEAYGQAALYEEATVAFNTMNEVGSKPMVETYNSLIHAFARGGLYKEAEAILFTMEEEGVQRNGDSFNGMIEAYRQGGQFEEAIKTYVDMEKERCDPDEQTLEVVLSVYCFAGLVDESEEQFQEIKSVGIQPSVMCYCMMLAVYARSERWDQALELLDEMLTNKVSEMHQVIGQMIKGDFDDENNWQIVEYVFDKLKYEGCGLGIRFYNALLEALWWFRQKERAARVLNEATKRGLFPELYRKNKLVWSVDVHRMWPGGACTAISVWLNNMQELLPKGQDLPEVATVVVVRGDMERSKIAGDSPIAKAAYSFMKDYVSSTFSFPEWNKGRIICQKSQFKRIFTSSESSSEGSKGDIQTSLSNTPIPFLAKQSSTRDAKRSKHGSTETKKNRTSSELLATTT >itb03g24540.t1 pep chromosome:ASM357664v1:3:23264345:23267848:-1 gene:itb03g24540 transcript:itb03g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFVVPVCIAFLLGVFVGWNWKPKWARSLCFWNSNFDFSALFKPHSLSSVVDYSVSEKDQIVLPPDDTPESSSSLKDKKKEIGIVTDVDLEHLYHLVGRKDGGPPWKHMMDRSTPSMSYQAWQRDPESGPPQYCSRTVYEDATPELLRDFFWDDEFRFKWDDMILHASTIEECPITGTMVVHWVRKFPFFCSDREYIIGRRIWESGRSYYCVTKGVPWPSIPRRDRPRRVDLYYSSWYIRAVEPQRSDGEMSSACEVILFHHEDMGIPWEVAKFGVRHGMWGTVKNIERGFRAYQKYRATGGAISRCAFMAQINTKLDPAYLNSSPEGIQSDSTESQLIGTVDNKPGDRARGAFNIPKLLVIAGAVAVACSLDPGLLPKSLLVEVAKRFASIGRGGPRL >itb09g13710.t1 pep chromosome:ASM357664v1:9:8974649:8979295:1 gene:itb09g13710 transcript:itb09g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLASMKSTAESLCNAKRLREALALIFHNPTGADYTLYSKLLDLCIDLKAKSHGHLIHAHLIKNDFPSSLHLNNKLIIFYSKFGEMEVAHMVFNRMLERNLVSWTALLSGYSKNGDSREALGVFSTMHREGLKGNQFTYGSALRACTSLLCLDRGRQVQACIQKSRFVGNLFVQSALLDFHSKCGKVEDARCVFDSMSTRDFISWNAMIGGYSFQGFDDDAFLMFQLMLREGMHPDCFTFGSMLRNSFGSCRVVGLTKVNIIHGFIIQLGYESNNVLSGSLIDAYVKCGNLAGANCLYNNMKIKDTIACTALITGYAREGRSSDDCLELFSEIHRMHGGIDSVILCSMLNMCANSALPALGRQMHSLALKYQSNHDVALGNSLIDMYSKTGEIEDAKRTFDHMKVKNIISWTSMISAYGMHGHVEDAILLYKKMESQGIYPNDITFLSLFSACSHTGLTVEGWECFRNMVGKYKMLPRSEHYACMVDLLARGGCLEEAHDLICRGDIKPDASLWGSILGACSMYGNMSLGEVAAKHLFSMKPQESANYAVLANIYASGGLWQRASDVRELMVNRGLLKNPGYSFIQSKSNKIALAPAVQETDPSQFLKNVRSKCFNLAPV >itb14g05160.t1 pep chromosome:ASM357664v1:14:4558511:4563152:1 gene:itb14g05160 transcript:itb14g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEAIEEELLKIKAEGRKTGHDVLQRSQVLSSHHASHSKEEMVGKKDEFEIIKKKLTELGSKERKVVSIIGTGGIGKTTLARQVYEDSSVSIYFDVRAWVVASQLHNKRQMLVSLLNSIPKQGNLENSTDEDLALKLYQFLKCQRYMVVVDDVWSREAWDDVSDCFPDDGNGSRVLLTTRLAEVAKYTSSDIDLFHHMQLLDQSDSWNLFCEKAGKSHGADFEIIGRPIVEKCKGLPLAIIVVAGLFSKLNTLNEWEKVAKALDSSTTTSIATTCSKILSLSYNHLPHHLKACFLYLGVFPEDDEINANKLSRLWSAEGLVKAPKNDVVAESYIQELMDRNLILVRKWSCCGRRIKLFGVHDLLHAFCVKEAQKENLLYVVRENGSDFPQRCFRWLPKLEVLKLYDHACVGGQWELSEDDKFCQLIVFEIDATDLEDWKATSDHFPKLEHLSLFSCKNLKEIPSGFAEIEGLKSIKLAGCRPSVVASAEEIKQDQLDYLNNIVDVVVGKHHGYPPPTLYSSVASVLTPLPHALASRHRLPDTVTPVSPDARKLRPK >itb11g09710.t2 pep chromosome:ASM357664v1:11:6605893:6607592:-1 gene:itb11g09710 transcript:itb11g09710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWHDLTGLANKKTVTIQGGKDQSVLLATTKTKKQNKPANLHNKSVMKKEFRRMAKAVTNQVADNYYRPDLKKAALARLSVVNRSLKIAKSGCKKRNRQAV >itb11g09710.t1 pep chromosome:ASM357664v1:11:6605893:6608137:-1 gene:itb11g09710 transcript:itb11g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGQLVWEIVKKNNSFLVKEFGNGTAGVVFSKEPNNLCNLHSYKHSGLANKKTVTIQGGKDQSVLLATTKTKKQNKPANLHNKSVMKKEFRRMAKAVTNQVADNYYRPDLKKAALARLSVVNRSLKIAKSGCKKRNRQAV >itb07g05350.t2 pep chromosome:ASM357664v1:7:3659041:3669545:1 gene:itb07g05350 transcript:itb07g05350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGIGPYDFRRHRVAEYPVEGQSQQPAVSAIPDKPPESNPLSNVPSSITLSEIQRDRLTKIAAENWSKTTDSAAKKPFDADLVNEIYYTELTVKGGRKPVPLQRVMILEVSQYLENYLWPNFNPESSTFEHVMSMILMVNEKFRENVAAWLCFHDQKDKFKAFLERVISLKEGRSLSIAEKTNYLLFMINAFQSLEDEIVSKKILRLAGLQCWHCLSYGRFQMELCMNPDLIKKWKKIAKRAKEAANRGEPFDPSNMLEAKFLRNLIEEFLQVLDSEVFPSMEHSETEDRFIDASDFAAVHDACVLYCERFMEFLIDLLSQLPTRRYIRPLVADVAVVAKCHLSALYKHEKGKLFAQLVDLLQFYETFEIDDHLGRQMTDDEVLLAHYDRFQSFQLFAFNKIPKLRELALANIGAINRRADLSKKLSVLCPEELRDLVCQKLKLISKDDPWSERVDFLIEVMVSFFERQQSQKEAINALPLYPNEHIMWDESLVPSINYTGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLAYINNEGEPAFRGWSRMAVPFKEFKITEVKLPNIGEVKPASVTAEVTFSIASYKAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAAKATVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGDLRTVTLALDTAQYHMDVSDIAEKGAEDIYSTFNILMRRKPKENNFKAILESIRDLMNETCIVPDWLHDIFLGYGNPSAAQWTNMPDLLEVVDFKDTFLDADHVRESFSDYQISFVNSDGTENLQPSPPFRIRFPRNLKGNAHAVPGNVKSIAASADAANMEGVHSEKEELIVEAYIPPDPGPYPQDQPKQNSVRFTSTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAASAENQHKPTFVQDRFPFKEFFSNAPLPLFTGESFDKDMRTAKGCFRHLKTMFQELEECRAFELLKSTVDRSNYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLARLYNWRYRDLGDLPYVKENAIFLKANAGFSYDYQLIDVPDYHGRGETAPSPWFYQNEGEAEYLVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYDFIGPPHKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDCLGLNIHEVTSFTDRHVDETGEIQLVSGLDEMANIVNFKMHQVYQARAMSYHQFNQISAYAEPAPEENDPTQEYNAMDIDGPAPENGANEETPPEIGASEEKPPENGANMGTPPENGANEEKPPESGANEETPPENGANKEAPPEIGNKEKQHENDANEETPPESKLDGPTSAEASANEIGSSNGGVDGEDKKDGSDVATGGGLI >itb07g05350.t1 pep chromosome:ASM357664v1:7:3659041:3669545:1 gene:itb07g05350 transcript:itb07g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGIGPYDFRRHRVAEYPVEGQSQQPAVSAIPDKPPESNPLSNVPSSITLSEIQRDRLTKIAAENWSKTTDSAAKKPFDADLVNEIYYTELTVKGGRKPVPLQRVMILEVSQYLENYLWPNFNPESSTFEHVMSMILMVNEKFRENVAAWLCFHDQKDKFKAFLERVISLKEGCLQGRSLSIAEKTNYLLFMINAFQSLEDEIVSKKILRLAGLQCWHCLSYGRFQMELCMNPDLIKKWKKIAKRAKEAANRGEPFDPSNMLEAKFLRNLIEEFLQVLDSEVFPSMEHSETEDRFIDASDFAAVHDACVLYCERFMEFLIDLLSQLPTRRYIRPLVADVAVVAKCHLSALYKHEKGKLFAQLVDLLQFYETFEIDDHLGRQMTDDEVLLAHYDRFQSFQLFAFNKIPKLRELALANIGAINRRADLSKKLSVLCPEELRDLVCQKLKLISKDDPWSERVDFLIEVMVSFFERQQSQKEAINALPLYPNEHIMWDESLVPSINYTGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLAYINNEGEPAFRGWSRMAVPFKEFKITEVKLPNIGEVKPASVTAEVTFSIASYKAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAAKATVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGDLRTVTLALDTAQYHMDVSDIAEKGAEDIYSTFNILMRRKPKENNFKAILESIRDLMNETCIVPDWLHDIFLGYGNPSAAQWTNMPDLLEVVDFKDTFLDADHVRESFSDYQISFVNSDGTENLQPSPPFRIRFPRNLKGNAHAVPGNVKSIAASADAANMEGVHSEKEELIVEAYIPPDPGPYPQDQPKQNSVRFTSTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAASAENQHKPTFVQDRFPFKEFFSNAPLPLFTGESFDKDMRTAKGCFRHLKTMFQELEECRAFELLKSTVDRSNYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLARLYNWRYRDLGDLPYVKENAIFLKANAGFSYDYQLIDVPDYHGRGETAPSPWFYQNEGEAEYLVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYDFIGPPHKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDCLGLNIHEVTSFTDRHVDETGEIQLVSGLDEMANIVNFKMHQVYQARAMSYHQFNQISAYAEPAPEENDPTQEYNAMDIDGPAPENGANEETPPEIGASEEKPPENGANMGTPPENGANEEKPPESGANEETPPENGANKEAPPEIGNKEKQHENDANEETPPESKLDGPTSAEASANEIGSSNGGVDGEDKKDGSDVATGGGLI >itb01g24740.t1 pep chromosome:ASM357664v1:1:30308612:30311512:1 gene:itb01g24740 transcript:itb01g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MAAEMALVKPISKFGATASPRLSGGRKLAPFSVRMSSATASKAAAATGNKGSKKGIKETLLTPRFYTTDFDEMETLFNTEINKNLNNSEFEALLQEFKTDYNQTHFVRNKEFKEAADKIQGPLRDIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYTCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWARFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKILAVGESDDIPLVKNLKRIPLVAALVSEILAAYLMKPVDSGSVDLAEYEPQLGY >itb10g13880.t2 pep chromosome:ASM357664v1:10:20189235:20194289:-1 gene:itb10g13880 transcript:itb10g13880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGERRLMGLLGVICIGFLLSVAVEGREFEEIFFNKTELPFLQSVYGASADTNPNPVLVPLTLIQGADAKGAVCLDGTLPGYHIHEGYGSGANSWVIQLEGGGWCNTIRSCVYRKTTRRGSSKFMEKKLPFTGILSNKAEENPDFYNWNRIKVRYCDGASFSGDSENQAAQLQFRGQRIYEAAMDELMSKGMRNAEQALLSGCSAGGLASILHCDEFRSLFPSSTKVKCLSDAGLFMDATDVSGGHSLRNIFSGVVTVQDLVKNLPKTCTNHLDPTSCFFPQNLIANINTPLFILNAAYDSWQVQASLAPPSADPNGAWHDCKADNQKCSTSQIKFLQGFRNDMLDAVKGFAASKQTGLFINSCFAHCQSERQDTWFADDSPVINNKAIALAVGDWYFDRANVKYIDCAYPCDKTCHNLVFR >itb10g13880.t1 pep chromosome:ASM357664v1:10:20189235:20194289:-1 gene:itb10g13880 transcript:itb10g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGERRLMGLLGVICIGFLLSVAVEGREFEEIFFNKTELPFLQSVYGASADTNPNPVLVPLTLIQGADAKGAVCLDGTLPGYHIHEGYGSGANSWVIQLEGGGWCNTIRSCVYRKTTRRGSSKFMEKKLPFTGILSNKAEENPDFYNWNRIKVRYCDGASFSGDSENQAAQLQFRGQRIYEAAMDELMSKGMRNAEQALLSGCSAGGLASILHCDEFRSLFPSSTKVKCLSDAGLFMDATDVSGGHSLRNIFSGVVTVQDLVKNLPKTCTNHLDPTSCFFPQNLIANINTPLFILNAAYDSWQVQASLAPPSADPNGAWHDCKADNQKCSTSQIKFLQAGFRNDMLDAVKGFAASKQTGLFINSCFAHCQSERQDTWFADDSPVINNKAIALAVGDWYFDRANVKYIDCAYPCDKTCHNLVFR >itb03g17160.t3 pep chromosome:ASM357664v1:3:15881214:15885833:-1 gene:itb03g17160 transcript:itb03g17160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFALRDAFVDYWINGGMLTKDIATQIFSILKQPDLKYLTQADFKPVLRELLATHPGLEFLHGTPEFQERYAETVTYRMFYYINRSGNGRLNLRELKRSDLISALQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSGPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPILFEDILCQMIDMINPENENFITLHDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPNMTEWDRFAHREYIRLSMEEDAEDASNGSPDMWDESLEAPF >itb03g17160.t2 pep chromosome:ASM357664v1:3:15881214:15889113:-1 gene:itb03g17160 transcript:itb03g17160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFNGDVASLDTELLLLPDFSPLAIKTNAYLVEKLFDEWLSVPDTITLVKTLVSNAKGGCSLGVSGTSSSSSAATSNSLPSIFPAGNAPPLSPRSSSGSPRTVKQRAGPSNLGSPLKLVIEPVKELIPQFYFQNGIPPPNELKERCLFRTNQIFYGHIDGIPMHEFKLLTKEICKIPSFFSTSLFRKIDVSGTGILTRDAFVDYWINGGMLTKDIATQIFSILKQPDLKYLTQADFKPVLRELLATHPGLEFLHGTPEFQERYAETVTYRMFYYINRSGNGRLNLRELKRSDLISALQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSGPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPILFEDILCQMIDMINPENENFITLHDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPNMTEWDRFAHREYIRLSMEEDAEDASNGSPDMWDESLEAPF >itb03g17160.t1 pep chromosome:ASM357664v1:3:15881214:15889076:-1 gene:itb03g17160 transcript:itb03g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFNGDVASLDTELLLLPDFSPLAIKTNAYLVEKLFDEWLSVPDTITLVKTLVSNAKGGCSLGVSGTSSSSSAATSNSLPSIFPAGNAPPLSPRSSSGSPRTVKQRAGPSNLGSPLKLVIEPVKELIPQFYFQNGIPPPNELKERCLFRTNQIFYGHIDGIPMHEFKLLTKEICKIPSFFSTSLFRKIDVSGTGILTRDAFVDYWINGGMLTKDIATQIFSILKQPDLKYLTQADFKPVLRELLATHPGLEFLHGTPEFQERYAETVTYRMFYYINRSGNGRLNLRELKRSDLISALQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSGPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPILFEDILCQMIDMINPENENFITLHDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPNMTEWDRFAHREYIRLSMEEDAEDASNGSPDMWDESLEAPF >itb10g02610.t1 pep chromosome:ASM357664v1:10:2240068:2242207:1 gene:itb10g02610 transcript:itb10g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRSGEPNSLEASLTQMINVHHHRVQEAYVIEKKIELEIRALAAAIMRFGKQTDQWLAASHSINTAIKEIGDFENWMKTMEFDCRSISATVTFTNHSITKPPLASSLYIKFNLLLILDISCIHVQSIIADFYTITLALESCSDRQVATASLYNSP >itb12g07490.t1 pep chromosome:ASM357664v1:12:5605013:5606104:1 gene:itb12g07490 transcript:itb12g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVQYQKDGVLKNSSPGSYGVSRNLLGEPSPSPPLPSGNRISPAVLFIIVILAVLFFISGLLHLLIRFLVKNPSNSPQSNRNPEISDALQRQLQQLFNQHDTGLDQAFIDALPVFMYREIVGSKEVEPLDCAVCLSEFLETHKLRLLPMCGHAFHINCIDTWLLSNSTCPLCRGALFNPGFSTANPMFGFGELTEEDGYPRDGDNGISADQKTIDLREEIVVEKGTFPVRLGKFCKLNNVSSEGEGEGETSSSNLDCRRCYSMGSYRYVVGDTNLRVALPLNSQRNGDNIKLVKSRDQDPCCSLEADGEGKRLSIGTKTDSYSVSKIWLWSKKGKFASSSNSLVENPPTIDVDLPWMKRRVG >itb10g17060.t1 pep chromosome:ASM357664v1:10:23319647:23323105:1 gene:itb10g17060 transcript:itb10g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDASQCRCSSGAVSKGGEGKSCSATTSTSVHREPPARRLYDPQLSICLQPSLDGKSGFGCLILMRQSVLKQTASKIQPWKKNQSYAKANLNSAPMQMVFRAFSTWSLAIKTAKTPQRALSLYTRMQRLGVPFDSFAILFTLKSCTPLQNLPIIRHLHAHIVKEGFSPHVYVATALLNAYVVPCFRDAYNLFDEIPVKNAVTWNTMITAYSRRGHVEKAHQVFNEMPVRDLASWSAIIAGYMNNGLWKEGVTLFREMVISVEELKPDEVMLGLILTGCSHIGSIGLLLGKSVHGFAIKNEWELNANLGTCLVDMYLKCGFLKNACVVFDMMRDRNVVAWTALICGAVQHGNGNEALAIFEKMKEAGVRPNELTFTGVLGACAQSGLVEQGRGYFRMIEEYGLRPRIQHYGCMVDLFGKAGLLGEAYEVINSMAFEPNVIIWGSFLSSCKLHKQFEMAEKVIDRVMKMVRPENDGGVYSLIADLYVLSDKWGESERVRELMLNHNVRKARGSSFIKSGAA >itb12g02340.t1 pep chromosome:ASM357664v1:12:1528474:1532262:-1 gene:itb12g02340 transcript:itb12g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLSLLLHHLFVLSERIGDFLSSATYTPPEGSNVNIKSTLESLLPRRSSPPDSEISDVEIKAKISDFVLCCAALAAASESTYGELLWVPNSLSVAAVTAFRELSEAYSRCFGATESMKVGEFEFDLKFMPNDVRLLVELMPRILPSLKDRIKESAIDKSIDTDEFSAASARTPVAYAIVAAHQFKWFVTQVNYPYLGKVCSLVIPCGLTTLDHWSQQVKGQGMISFIHLSKNVNAAEISLFDDVILDACCQNIASSDDEIWQYVVEMSVLMVTSTQKSNPRSIWYEKLLNEMLGHLERQPKNKERRIAWLRHIEPLFNGVGLVLLSHFRRLFPLFFRWMHANDDDTVLLVLERIKTVLRLTWIRNSPYTERLVDELVALYKEAAMRTAREDIRSLIIQILTLMHQSKGSQFEAAWDKHKTDPDLTAFHHSFTGRHNGMADVQ >itb12g02340.t3 pep chromosome:ASM357664v1:12:1528565:1532251:-1 gene:itb12g02340 transcript:itb12g02340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEFEFDLKFMPNDVRLLVELMPRILPSLKDRIKESAIDKSIDTDEFSAASARTPVAYAIVAAHQFKWFVTQVNYPYLGKVCSLVIPCGLTTLDHWSQQVKGQGMISFIHLSKNVNAAEISLFDDVILDACCQNIASSDDEIWQYVVEMSVLMVTSTQKSNPRSIWYEKLLNEMLGHLERQPKNKERRIAWLRHIEPLFNGVGLVLLSHFRRLFPLFFRWMHANDDDTVLLVLERIKTVLRLTWIRNSPYTERLVDELVALYKEAAMRTAREDIRSLIIQILTLMHQSKGSQFEAAWDKHKTDPDLTAFHHSFTGRHNGMADVQ >itb12g02340.t2 pep chromosome:ASM357664v1:12:1528474:1532262:-1 gene:itb12g02340 transcript:itb12g02340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLSLLLHHLFVLSERIGDFLSSATYTPPEGSNVNIKSTLESLLPRRSSPPDSEISDVEIKAKISDFVLCCAALAAASESTYGELLWVPNSLSVAAVTAFRELSEAYSRCFGATESMKVGEFEFDLKFMPNDVRLLVELMPRILPSLKDRIKESAIDKSIDTDEFSAASARTPVAYAIVAAHQFKWFVTQVNYPYLGKVCSLVIPCGLTTLDHWSQQVKGQGMISFIHLSKNVNAAEISLFDDVILDACCQNIASSDDEIWQYVVEMSVLMVTSTQKSNPRSIWYEKLLNEMLGHLERQPKNKERRIAWLRHIEPLFNGVGLVLLSHFRRLFPLFFRWMHANDDDTVLLVLERIKTVLRLTWIRNSPYTERLVDELVALYKEAAMRTAREDIRSLIIQILTLMHQYLLASPYAFHIYIMLCHVL >itb01g13280.t1 pep chromosome:ASM357664v1:1:13313370:13318490:1 gene:itb01g13280 transcript:itb01g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIIDQMLAELDIDAFVSNLIDGIDITPGQQQATEVQWRLSMYTIGGSRSSVVTGWWIGRLSPSSFLKLATAMTPDQEHQGHEEMDIHTTSTQPPIDGDENTQGEMEDDNAEERPIPCRQDISRCKEQCDGQKATIGSSSRYCCRFQMSLHLGNISPHIRRDELESVFQRFGRCTVRLKDKFGFVVYDYPANAEKALKILRGKAICGEPITLSWSNRQPQPKPLQRFAKGARVFQPPRGKHFGRHDYVSRDMGYNGQQGHKRGFRSADINGGRTGYAEPVDELTSHHGYVEDHSFVDDFPDDSGGREATVLQNGRWEEQGLEPLNQNGLENGPHFDRYVPSSADEINEHDHLTCMADLPSRRKPEEKTEKEHSNTGGNTLASRSQIDVKEEERFRNSHRARHRDSSLERTTHQSGRKDYREKKRRRDNPESTRNYSKNARAPVTNSTSSDHTASESHLHSRSLKSLSMYPSNSRPKSSPARKNSRYSIRSGSTSHSKSSSPTSQPLSVSPDQSLSSSSNKMQIEQKDLHQESKVDILEGEPVAKNEASSDYSFKEVKDSLAPDSDIGDPTGFPHTLEEIGEFQNNNDLTLQHSKSQSQNPDVHISERSLVANAMKLSSEELCMVLKHYRLEQPEEMDKDLPIEDCLGCARLWPWEIIYYRRYKKGHISTENYTRRLAQNKEFGIVDKYVRSSSGWGEV >itb03g22120.t1 pep chromosome:ASM357664v1:3:20095831:20097972:1 gene:itb03g22120 transcript:itb03g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSDCDVYIGRKGVGANATATTFVNLLSRNKEKNPDFYNWNRIDVRYCDASSFTGDSEMMIGNGTKLYFRGARIFSAIMKELLNNGMGNAENGSKNALPTSCTSRLSPEMCFFPENLQADIQTPIFFLMSGFDRIQIQYTMMSEEFDTCALGRNCTSNQIKIMQELRCELLSVLPKQCHNSKKGILITSPYGHTQVLGPSWYFSAAGTGETIEKFFAEWYFDNKGDVKVIDENPCPYYNCLSN >itb02g06720.t1 pep chromosome:ASM357664v1:2:4218582:4221766:1 gene:itb02g06720 transcript:itb02g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNGAAYEPLPESDGAVASPHGDNEASNELERILLDTGIPRWQRLKLATWIELKLLCYLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGAGKYEMLGIYLQRSTVLLTITGVLLALIYVFSEPILIFLGQAPNISSAAALFVYGLIPQIFAYAINFPIQKFLQAQSIVTPSAYISAATLVFHLILSWLAVYKLGLGLLGASLVLSFSWWVIVIGQFVYIVKSEKCRQTWTGFSVQAFSSLWGFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDALSICTTISGYVFMISVGFNAAASVRVSNELGAGHPKSAAFSVVVVNLISFIISVIAAIIVLALRHVISYVFTDGEVVADAVSDLCPLLALTLVLNGIQPVLSGVAVGCGWQTFVAYVNVGCYYVVGVPVGAVLGFYFNLGAKGIWCGMIGGTVMQTIILLWVTIRTDWNKEVEVAKKRLNQWDSKPEPLLSKT >itb05g02680.t2 pep chromosome:ASM357664v1:5:2153004:2156600:-1 gene:itb05g02680 transcript:itb05g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MVGPQRPQFVLFGSSIVQLSFSNGGWGAILADVYARKADIVLRGYYGWNSRRAVQVLDKVFPKDAAVQPELVIAYFGGNDSMGPHSSGLGPHVPLPEYIENMRKVVLHLKSLSDTIRIIFLSSPPVNEAKVKETSSYFSELVRTNDLCKKYSDACIDLCKELGVMVVDLFTSIQKREDWENTCFIDGVHLAEEGSKVVVEEILKVLKEADWTPSLHWKSMPTEFPEDSEYDLVASDGKTTLNPSEWTFHRAIKWD >itb05g02680.t1 pep chromosome:ASM357664v1:5:2153004:2156600:-1 gene:itb05g02680 transcript:itb05g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MVGPQRPQFVLFGSSIVQLSFSNGGWGAILADVYARKADIVLRGYYGWNSRRAVQVLDKVFPKCFWCLQDAAVQPELVIAYFGGNDSMGPHSSGLGPHVPLPEYIENMRKVVLHLKSLSDTIRIIFLSSPPVNEAKVKETSSYFSELVRTNDLCKKYSDACIDLCKELGVMVVDLFTSIQKREDWENTCFIDGVHLAEEGSKVVVEEILKVLKEADWTPSLHWKSMPTEFPEDSEYDLVASDGKTTLNPSEWTFHRAIKWD >itb10g23340.t1 pep chromosome:ASM357664v1:10:27747806:27749810:-1 gene:itb10g23340 transcript:itb10g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRFMDILAFLFLLIPSLVGSVSFDLPSIGPNDKNVRIIVEGNATITNGAIQLTSASENEASRARYVELLHLWDKASGNLSDFTTHFTFNIDSKGASKFGDGMAFFLANFSTPTDLTPTFGGGLGLMDDFKPTPVPFVAVVFDTFANGLGKSMTNVSININAVLESNRSTAWLNNITQGRNNNASITYNASSKILQVVFTGFWGGQYLTENLSYMVDLREHLPEFVNIGFSAATGTLTEEHTVSSWRFNSTPPRSVGSLSRSPSPSPPPQEEKKKKKGLLVKGLSIGVPILVAFLALPIIYTCLKKIRAGKGNNHATFPAQAMDTEFQMVSTGPKKFSYTELQAATNNFSEEHKLGEGGFGGVYKGFLRSLNLDVAVKRVSSGSTQGVIEYASEVKIIGRLRHRNLVPFLGWCHEKGELLLVYENMPQGSLYSHLFKRNPPLNWETRCLRAIQVQATTVIPRM >itb05g10520.t1 pep chromosome:ASM357664v1:5:16076409:16081128:1 gene:itb05g10520 transcript:itb05g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSSGDGGGGGGGDSSSSTAAYLKHLNKISHKISKPIRKPPVYETQIVQSSELEPQQSQQQAAPPQQQQQPPVYNINKSDFRDVVQKLTGSPAHERMSTPPPIHQPKPPSSRLQRIRPPPLVQIGNRPSPLLTVAGPPLLLPSGGGFLGAGLRPAPQPQPLSPLPPFPAVHAAAESPISAYMRFLHTSFTAVDSDSKRFSTGLPPLAPLASPRWNTFAPPPPPLPPPPPPASSAAILPQFPAMPSSPLPFSCLPSPSPRSPCALLSPNLLLSPAGQLGFPQLPLSPTVPVSSPTWKGPSEYLHDTLAIIEGRSLLSFSTYYRVSTIVVFQSLTPITQGTDMSDFDSQNISGREYDDEVEVGPFTVSSNDTNDREIDAIPAKVARS >itb09g08300.t1 pep chromosome:ASM357664v1:9:4976702:4980488:-1 gene:itb09g08300 transcript:itb09g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRIPIYRSLRLPQRFRTTGSSILSYLAAPQLRRKAQNSWSAVQDTFYSTKDIFERHRVVFTLSTSIASVATAWGGYLLRHYHQSRVDQRLESIEKAMNKKYDIEDPEFKKLVSGGISMPACVATAGTTLFIGYGLGWRGGKWYANKKFKREQMKLLGQVKPRRWPLRLPLSRLKLQGSAAKAPEASA >itb05g21650.t1 pep chromosome:ASM357664v1:5:27330624:27331286:-1 gene:itb05g21650 transcript:itb05g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At2g13570 (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13570) UniProtKB/TrEMBL;Acc:C0SV44] MMTTTTAENDERQTGNLPNLAVVRASPESSKTTNNKEQDRFLPIANVGRIMKKVIPGNGKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDILWAITTLGFEDYVNPLKLYLNKYREIEGEKLNLPKQPPQLQQPPSSAANLAYNAAATNVYSSSPATLLSHHHHQPSYMSSSDHHHHLLHQQFPNLAFSPQNSVQSQLTKQEQIDSVGHW >itb07g01270.t3 pep chromosome:ASM357664v1:7:788681:792650:1 gene:itb07g01270 transcript:itb07g01270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRWWENSGITKRLTFARDRVVENFFWSVGLYSKPMYANWRRMNAKINCFICTIDDIYDVYGTMDELQLFTDTIERWDDVSETGHLPEYMKFCYAALHNFVNEVAFDVLKEHNIFIVHYLRKAVFSIILISKNH >itb07g01270.t4 pep chromosome:ASM357664v1:7:788460:792651:1 gene:itb07g01270 transcript:itb07g01270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVGILSTFTCKLPSFRMCYHTSTTTTARRSGNYGPSTWDFTYIQSLNSTTYNGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRWWENSGITKRLTFARDRVVENFFWSVGLYSKPMYANWRRMNAKINCFICTIDDIYDVYGTMDELQLFTDTIERWDDVSETGHLPEYMKFCYAALHNFVNEVAFDVLKEHNIFIVHYLRKAWGDLCKAYLQEAKWYHSGYTPTYEEYIENSWISISCPLTLVHAFFYFNNPVEDATALHCLTQYHQIIRLSAIILRLANDKATSPISETWKKMNKFRIEGSPFSEAFIEVAMNIARMAQCMYQHGDGHGIKNLETQTRIQTLLFQPIPLI >itb07g01270.t5 pep chromosome:ASM357664v1:7:788462:792655:1 gene:itb07g01270 transcript:itb07g01270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVGILSTFTCKLPSFRMCYHTSTTTTARRSGNYGPSTWDFTYIQSLNSTTYNGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRWWENSGITKRLTFARDRVVENFFWSVGLYSKPMYANWRRMNAKINCFICTIDDIYDVYGTMDELQLFTDTIERWDDVSETGHLPEYMKFCYAALHNFVNEVAFDVLKEHNIFIVHYLRKAVFSIILISKNH >itb07g01270.t2 pep chromosome:ASM357664v1:7:788681:792650:1 gene:itb07g01270 transcript:itb07g01270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRWWENSGITKRLTFARDRVVENFFWSVGLYSKPMYANWRRMNAKINCFICTIDDIYDVYGTMDELQLFTDTIERWDDVSETGHLPEYMKFCYAALHNFVNEVAFDVLKEHNIFIVHYLRKAWGDLCKAYLQEAKWYHSGYTPTYEEYIENSWISISCPLTLVHAFFYFNNPVEDATALHCLTQYHQIIRLSAIILRLANDKATSPNEMKRGDVPKAIQCYMNEGRVSSNADARDFIDFQISETWKKMNKFRIEGSPFSEAFIEVAMNIARMAQCMYQHGDGHGIKNLETQTRIQTLLFQPIPLI >itb07g01270.t7 pep chromosome:ASM357664v1:7:788502:789982:1 gene:itb07g01270 transcript:itb07g01270.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVGILSTFTCKLPSFRMCYHTSTTTTARRSGNYGPSTWDFTYIQSLNSTTYNGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRYKHHQLGLFNYIRAPHPGLIEYLERCKTAEFRTLVSFFK >itb07g01270.t1 pep chromosome:ASM357664v1:7:788460:792655:1 gene:itb07g01270 transcript:itb07g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVGILSTFTCKLPSFRMCYHTSTTTTARRSGNYGPSTWDFTYIQSLNSTTYNGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRWWENSGITKRLTFARDRVVENFFWSVGLYSKPMYANWRRMNAKINCFICTIDDIYDVYGTMDELQLFTDTIERWDDVSETGHLPEYMKFCYAALHNFVNEVAFDVLKEHNIFIVHYLRKAWGDLCKAYLQEAKWYHSGYTPTYEEYIENSWISISCPLTLVHAFFYFNNPVEDATALHCLTQYHQIIRLSAIILRLANDKATSPNEMKRGDVPKAIQCYMNEGRVSSNADARDFIDFQISETWKKMNKFRIEGSPFSEAFIEVAMNIARMAQCMYQHGDGHGIKNLETQTRIQTLLFQPIPLI >itb07g01270.t6 pep chromosome:ASM357664v1:7:788681:789918:1 gene:itb07g01270 transcript:itb07g01270.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERFVTRRDELKERVKDMMKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMEIYMKNSDSYKSSSEEKDLYATALEFRLLRQNGYNISQDVFDVFMDGERSSFKANLCEDTKGLLNLYEASFLSMEGETILKLAKDFSTKHMKYVAHDQNLGDQNLLASVQHALELPLHWRMPRLETKCFIDSYKVKTTWNPILLDFAKLDFNFVQAVHQEDLKFVSRYKHHQLGLFNYIRAPHPGLIEYLERCKTAEFRTLVSFFK >itb05g16280.t1 pep chromosome:ASM357664v1:5:23497645:23502678:1 gene:itb05g16280 transcript:itb05g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRAFYTVGFWIRETGQALDRLGCRLQGKYYFQEQLSRHRVLMNLFDKVPSVHREAFVAPSASIIGDVKVGRHSSIWYGCVLRGDVNSVSIGTGTNIQDNSLVHVAKSNLTGKVLPTIIGNNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKNAMIAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEISFISQSALNYSNLAQGHAAENAKSLDENEFEKVLRKKKDEDYDSILGVVRETPPELILTDNAPKAS >itb12g27570.t1 pep chromosome:ASM357664v1:12:27895513:27898290:1 gene:itb12g27570 transcript:itb12g27570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML20 [Source:Projected from Arabidopsis thaliana (AT3G50360) UniProtKB/Swiss-Prot;Acc:O82659] MTFLEERTTASQSRREKPRGRHHGLTQQKRQEIRECFELFDTDNSGTIDAKELNVAMRALGFEATEEEINRMIAEVDKDGSGAIDFDEFVHMMTNKFGERSTKEELTKAFQIIDQDKNGKISAEDIKRISKELGEHFTDEEIQLMIEGADHDRDGEVSSEEFMRMMRRTAFGR >itb07g14830.t1 pep chromosome:ASM357664v1:7:17507602:17507877:-1 gene:itb07g14830 transcript:itb07g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRAPLSPHLDSNLFASSLLINTFTLTTPPPPPPPLFSLSRRRRLEPYSGSTLWCSDGFKSNLTCFLLAFLQCGGGGFLSSSSLNTQLEV >itb07g18160.t1 pep chromosome:ASM357664v1:7:22578124:22580275:1 gene:itb07g18160 transcript:itb07g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVASTMASQLKAASLTRGFGVPKGISGAPFKVLPSRRPSCFTVKAVQSEKPTFQVIQPLNGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGIEVGLAHGFLLVGPFVKAGPLRNTVYAGSAGSLAAGGLVVILSICMTMYGIASFKEGDASTAPGLTLTGRKKVPDPLQTADGWGKFTGGFFFGGISGVIWAYFLLYVLDLPYFVK >itb10g00930.t1 pep chromosome:ASM357664v1:10:671139:671576:1 gene:itb10g00930 transcript:itb10g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSQSQSCRPTSPRRCARGCDFFGLPENKFLCSKCYTDYLKEEIAKSAAVTLTTSPCKTPKDSTANADLAAETAAAPAKSKRCLCCNKKVGLMSFGCRCGGTFCGRHRFPEEHKCDFDFKALGRKVLAKENPAIIADKFPERI >itb04g16070.t1 pep chromosome:ASM357664v1:4:17321950:17326898:-1 gene:itb04g16070 transcript:itb04g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSAAPGTGSDQFTTQLRDGVGPFSVILFHNGIINRVGEVKYMGGDYELYERIEAKELCLDGLKARIKQLGYSDVGVLIYCFWNERLKLLQSDNDVIRLLNDDNPGKHLELWVETLDGLDLIDANIEVVDTDSDWEREVEVEFIGVDHEVGLEVGEEFKPDQEGDSTVQTLAGKKAITQNFDGINYSDEESLVDSDASDESHIIETATLKLKLSSPRCIDLGGWGFLQSLPNTSTEKGKQPTYVHPLSKQYSSYYGSKLSEASLKLCTENLGSETGTDIADTAIFSSDFLPESALPPLPRQVAAPRNRNKVKGKPKNFPPPLTTLIAPNSLQVRSRREGGRLIIVAIEGPPKNAFFKAERSHGRLRLSFLDASFLRSDSAITNSEVEREEERDMDGNILEAEVEMGMEKYQRLRRCMESGHSNKALCDLKPLCVATS >itb08g06010.t1 pep chromosome:ASM357664v1:8:5141655:5144281:-1 gene:itb08g06010 transcript:itb08g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYENGFLEELLALRSNNSWEAGDIIPMGMGMNRNIDDDFYCYNNNYYYSNNNNNVVNNGNNGGFDCVEEEKQITVPIIPGGACCSAAAATATAATSTVSFDDCYANYLPFEQSFNSYSPCFFNELYTELSPQLTESSNTKLGTPPPFPGYQEELLSSSPAGIFDEEANNCFRNPHSCKMEPAVYGGGCEVVEAGVSFNIGSSGPEKKPKSKKLHGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINHLQEEMEVGPDQLRLMSIFKDVKPDEMSVRNSPKFDVERREVSDTRVEICCSAKPGLLLSTVTTLEALGLEIQQCVISCFNDFAFRASCTQELEQRGVLNTEEIKQALFRNAGYGGRCL >itb08g06010.t2 pep chromosome:ASM357664v1:8:5142460:5144281:-1 gene:itb08g06010 transcript:itb08g06010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYENGFLEELLALRSNNSWEAGDIIPMGMGMNRNIDDDFYCYNNNYYYSNNNNNVVNNGNNGGFDCVEEEKQITVPIIPGGACCSAAAATATAATSTVSFDDCYANYLPFEQSFNSYSPCFFNELYTELSPQLTESSNTKLGTPPPFPGYQEELLSSSPAGIFDEEANNCFRNPHSCKMEPAVYGGGCEVVEAGVSFNIGSSGPEKKPKSKKLHGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINHLQEEMEVGPDQLRLMSIFKDVKPDEMSVRNSPKFDVERREVSDTRVEICCSAKPGLLLSTVTTLEALGLEIQQCVISCFNDFAFRASCTQVQ >itb09g05670.t2 pep chromosome:ASM357664v1:9:3233505:3236279:-1 gene:itb09g05670 transcript:itb09g05670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNAVLPRNLSTVHYHHRQQKLRKTEITNAIAGAGGARVSTPNKLSFDQARRLMTATETLSWKQIDDEKLAWPPSLGTDGKFGIYGGKFVPETLIASLSKLDQEFNCALQDPNFQKEVETALRDYVGRETPLYLAQRLTDHYKSQNNGKGPDIYLKREDLNHGGAHKINNAIAQAILAKRLGRKSVVAATGAGQHGVATAAACAKLDLECTVFMGNLDMERQPSNVVLMKHLGAKVKAVEGNFKDAMSEAIRGWVGDLETAYFLSGAAVGPHPCPTMVREFQAVIGKETRKQAMEKWGGKPDVLVACVGSGSNALGLFHEFIGDADVRMVGVEAAGVGLDSGKHSATLNKGEVGVYHGAMSYLLQDEEGQIIRPYSVGVG >itb09g05670.t3 pep chromosome:ASM357664v1:9:3233505:3236279:-1 gene:itb09g05670 transcript:itb09g05670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNAVLPRNLSTVHYHHRQQKLRKTEITNAIAGAGGARVSTPNKLSFDQARRLMTATETLSWKQIDDEKLAWPPSLGTDGKFGIYGGKFVPETLIASLSKLDQEFNCALQDPNFQKEVETALRDYVGRETPLYLAQRLTDHYKSQNNGKGPDIYLKREDLNHGGAHKINNAIAQAILAKRLGRKSVVAATGAGQHGVATAAACAKLDLECTVFMGNLDMERQPSNVVLMKHLGAKVKAVEGNFKDAMSEAIRGWVGDLETAYFLSGAAVGPHPCPTMVREFQAVIGKETRKQAMEKWGGKPDVLVACVGSGSNALGLFHEFIGDADVRMVGVEAAGVGLDSGKHSATLNKGEVGVYHGAMSYLLQDEEGQIIRPYSVGVG >itb09g05670.t1 pep chromosome:ASM357664v1:9:3233505:3236279:-1 gene:itb09g05670 transcript:itb09g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNAVLPRNLSTVHYHHRQQKLRKTEITNAIAGAGGARVSTPNKLSFDQARRLMTATETLSWKQIDDEKLAWPPSLGTDGKFGIYGGKFVPETLIASLSKLDQEFNCALQDPNFQKEVETALRDYVGRETPLYLAQRLTDHYKSQNNGKGPDIYLKREDLNHGGAHKINNAIAQAILAKRLGRKSVVAATGAGQHGVATAAACAKLDLECTVFMGNLDMERQPSNVVLMKHLGAKVKAVEGNFKDAMSEAIRGWVGDLETAYFLSGAAVGPHPCPTMVREFQAVIGKETRKQAMEKWGGKPDVLVACVGSGSNALGLFHEFIGDADVRMVGVEAAGVGLDSGKHSATLNKGEVGVYHGAMSYLLQDEEGQIIRPYSVGVGLEYPSVSPELSFLKDTGRAEYYTVTDKEAIEAYKRLCRLEGIFPALESSHALAFLEKLCPTLPDGTKVVVNCSGRGDKDAATVFNY >itb05g05540.t2 pep chromosome:ASM357664v1:5:5282996:5287001:1 gene:itb05g05540 transcript:itb05g05540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDLKEQKVTVKGNVKPEDVLQTVSKTGKKTSFWEAEAPAGTETKPNSEAVPTA >itb05g05540.t1 pep chromosome:ASM357664v1:5:5282996:5287001:1 gene:itb05g05540 transcript:itb05g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDLKEQKVTVKGNVKPEDVLQTVSKTGKKTSFWEAEAPAGTETKPNSEAVPTA >itb13g04620.t1 pep chromosome:ASM357664v1:13:5544540:5545106:-1 gene:itb13g04620 transcript:itb13g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLFAMLALACITQSCMAASRLAAAGACTPSGKIRGKKPPPGECNQENGSDCCKAGKMYTTYKCSPPVTGNTKATLTLNSFQKGGDGGGPSECDNQYHSDDTPVVALSTGWYSGGSRCLNHIKISANGRSVRAMVVDECDSTMGCDDEHDYQPPCQNNIVDASKAVWEALGLDQNEGEHDITWTDA >itb14g20380.t2 pep chromosome:ASM357664v1:14:22764056:22765971:1 gene:itb14g20380 transcript:itb14g20380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVGVSHSLSFFPLPPFHPSPPPNLCFQGHQFSSISAFAPTLALITNHSRSKPIASWSCRSKQNDLREVAFFDENGAVKDMDAYLNNLSLEYDSVWDTKPSWCQPWTIALTGIVAIAASWLILHSLALTAVVVALISAWWYIFLYSYPKAYAEMIAERRERVTNGLEDTYGEEKNQ >itb14g20380.t1 pep chromosome:ASM357664v1:14:22764056:22767031:1 gene:itb14g20380 transcript:itb14g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVGVSHSLSFFPLPPFHPSPPPNLCFQGHQFSSISAFAPTLALITNHSRSKPIASWSCRSKQNDLREVAFFDENGAVKDMDAYLNNLSLEYDSVWDTKPSWCQPWTIALTGIVAIAASWLILHSLALTAVVVALISAWWYIFLYSYPKAYAEMIAERRERVTNGLEDTYGEEKNQ >itb12g20890.t2 pep chromosome:ASM357664v1:12:23315667:23320083:1 gene:itb12g20890 transcript:itb12g20890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIAAGERVSVEELEASVVEWYVQDRRAKCEEIFIPFKILSKSKKMETLVLEGDSPATVLLRYVTDSAVSSLVMGSSSPGYFSRKAKGLDVPSAVLKYAPDSCDVFVVSTNRLMAKSLNPLLTSAHSRSSSIAEFSDPDTAALDQGNSSTYFHASQERNYQNLEDTSSTLYSANGSPSYSPEVHEEVGQLRLELQNTLAMYNQACEGLIYAQNMVNLLTSECLEESRRVDAAQKREENLRRLAAEEKEKHLKAEKEVEMARKLLDKETYERRVAELKALKESLEKCSAVDALLSCDGRYRRYTRDEIEVATDCFSESKLIGEGGYGKVYKGNLDHTPVAIKVLHSDASEKTQEFLTEVEVLSQLRHPNIVLLIGASPDSCCLVYEYMENGSLEDHIVQGNGRPMPWFIRFRIIFEVACGLAFLHNSKPEPIIHRDLKPGNILLDKNYTSKIGDVGLAKIVSDVAPENVTEYRNSIIAGTLTYMDPEYQRTGTIRPKSDLYAFGIIVLQLLAAQRPNGLVLKFENAVDSNSISDILDKSVTDWPLIETEELAKLALKCCQLRCRDRPDLDTEVLPILKNLAEFADTSMKAPRDHAHAPSHYYCPILQEVMEDPHIAADGFTYDHTAIKAWLDRHNISPVTKLTLQHKTLTPNHTLRLAIQEWKSSTTSAGG >itb12g20890.t3 pep chromosome:ASM357664v1:12:23316674:23320083:1 gene:itb12g20890 transcript:itb12g20890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASMESKAHSRSSSIAEFSDPDTAALDQGNSSTYFHASQERNYQNLEDTSSTLYSANGSPSYSPEVHEEVGQLRLELQNTLAMYNQACEGLIYAQNMVNLLTSECLEESRRVDAAQKREENLRRLAAEEKEKHLKAEKEVEMARKLLDKETYERRVAELKALKESLEKCSAVDALLSCDGRYRRYTRDEIEVATDCFSESKLIGEGGYGKVYKGNLDHTPVAIKVLHSDASEKTQEFLTEVEVLSQLRHPNIVLLIGASPDSCCLVYEYMENGSLEDHIVQGNGRPMPWFIRFRIIFEVACGLAFLHNSKPEPIIHRDLKPGNILLDKNYTSKIGDVGLAKIVSDVAPENVTEYRNSIIAGTLTYMDPEYQRTGTIRPKSDLYAFGIIVLQLLAAQRPNGLVLKFENAVDSNSISDILDKSVTDWPLIETEELAKLALKCCQLRCRDRPDLDTEVLPILKNLAEFADTSMKAPRDHAHAPSHYYCPILQEVMEDPHIAADGFTYDHTAIKAWLDRHNISPVTKLTLQHKTLTPNHTLRLAIQEWKSSTTSAGG >itb12g20890.t1 pep chromosome:ASM357664v1:12:23314586:23320170:1 gene:itb12g20890 transcript:itb12g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATADGHGPPPVSALTVAVAVKSVDGRGSQRAVRWAVEKLLPKADRFVLVHVMPSITSVPTPSGERVSVEELEASVVEWYVQDRRAKCEEIFIPFKILSKSKKMETLVLEGDSPATVLLRYVTDSAVSSLVMGSSSPGYFSRKAKGLDVPSAVLKYAPDSCDVFVVSTNRLMAKSLNPLLTSAHSRSSSIAEFSDPDTAALDQGNSSTYFHASQERNYQNLEDTSSTLYSANGSPSYSPEVHEEVGQLRLELQNTLAMYNQACEGLIYAQNMVNLLTSECLEESRRVDAAQKREENLRRLAAEEKEKHLKAEKEVEMARKLLDKETYERRVAELKALKESLEKCSAVDALLSCDGRYRRYTRDEIEVATDCFSESKLIGEGGYGKVYKGNLDHTPVAIKVLHSDASEKTQEFLTEVEVLSQLRHPNIVLLIGASPDSCCLVYEYMENGSLEDHIVQGNGRPMPWFIRFRIIFEVACGLAFLHNSKPEPIIHRDLKPGNILLDKNYTSKIGDVGLAKIVSDVAPENVTEYRNSIIAGTLTYMDPEYQRTGTIRPKSDLYAFGIIVLQLLAAQRPNGLVLKFENAVDSNSISDILDKSVTDWPLIETEELAKLALKCCQLRCRDRPDLDTEVLPILKNLAEFADTSMKAPRDHAHAPSHYYCPILQEVMEDPHIAADGFTYDHTAIKAWLDRHNISPVTKLTLQHKTLTPNHTLRLAIQEWKSSTTSAGG >itb09g08290.t1 pep chromosome:ASM357664v1:9:4973816:4976491:1 gene:itb09g08290 transcript:itb09g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRVWLFTAAPILFLLLQLFSGVVEAKVPAIIVFGDSSVDAGNNNQIPTVARSNFGPYGRDFEGGRATGRFSNGRIPTDFISESFGLRPTVPAYLDPSYNISDFAVGVSFASAGSGYDNATSDVLRVIPLWKELEYYKEYQKKLRDYLGDEKGDEIIQEALYVTSMGTNDFLENYYAIPGGRQSQFTIDEYQDFLAGLARKFVTDLYGLGARKISLGGVPPMGCMPLERTTNFADGQRCIKSYNDIAFSFNGKLKSLTVELNNQLPGLQLVNSNPYGILMQIIRKPSLYGFEAAGVACCATGMFEMGYACDQYNPLTCKDANKFVFWDAFHPTEKTNQIIVDHLMKTSLHKFL >itb09g08290.t2 pep chromosome:ASM357664v1:9:4973816:4976491:1 gene:itb09g08290 transcript:itb09g08290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRVWLFTAAPILFLLLQLFSGVVEAKVPAIIVFGDSSVDAGNNNQIPTVARSNFGPYGRDFEGGRATGRFSNGRIPTDFISESFGLRPTVPAYLDPSYNISDFAVGVSFASAGSGYDNATSDVLRVIPLWKELEYYKEYQKKLRDYLGDEKGDEIIQEALYVTSMGTNDFLENYYAIPGGRQSQFTIDEYQDFLAGLARKFVTDLYGLGARKISLGGVPPMGCMPLERTTNFADGQRCIKSYNDIAFSFNGKLKSLTVELNNQLPGLQLVNSNPYGILMQIIRKPSLYGMLIYNSHTFMSHKGGITHPDAPTPRLDSL >itb10g25420.t2 pep chromosome:ASM357664v1:10:28757515:28759775:1 gene:itb10g25420 transcript:itb10g25420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MELCRGASAATLAWKTSISPPLHTSQSFPAKGYPKLRIWCLSESVSSQKASQMIVSVTGATGFIGKRLVQRLQEDNHKVRVLTRSRPKAQSIFPEKDFPGIVIAEEPQWKNCIEGSTAVVNLAGMPISTRWSAEVKKEIKESRIRATSKVVDLINNSREEVRPKVLVSATAVGYYGTSETQVFDEQSPSGNDYLAEVCREWEASALKVSKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGSQWYALFLLAVGP >itb10g25420.t1 pep chromosome:ASM357664v1:10:28757515:28760567:1 gene:itb10g25420 transcript:itb10g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MELCRGASAATLAWKTSISPPLHTSQSFPAKGYPKLRIWCLSESVSSQKASQMIVSVTGATGFIGKRLVQRLQEDNHKVRVLTRSRPKAQSIFPEKDFPGIVIAEEPQWKNCIEGSTAVVNLAGMPISTRWSAEVKKEIKESRIRATSKVVDLINNSREEVRPKVLVSATAVGYYGTSETQVFDEQSPSGNDYLAEVCREWEASALKVSKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGSQWFSWIHIDDLVNLIYEALSNQSYRGVINGTAPNPVKLAEMCDELGSVLGRPSWLPVPDFALKTVLGEGATVVLDGQKVVPARAKELGFSFKYPYVKDALKAIMS >itb03g12160.t1 pep chromosome:ASM357664v1:3:11200849:11204788:1 gene:itb03g12160 transcript:itb03g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSVKKPYLAVLAIQSIYAGMFLLSKVAFDVGMNTSVFVFYRQAAATVFLAPIALLVERKTAPRLTFTTFFMIFMLSLIGITISLNIYGVALKYTSATLAAATTNSLPVVTFLLALLFRMERVELGSVGGIVKVLGIGLCMGGAATIAFYRGPTLRLLMQHHLINHHDQQLQLNPSSGQAWVKGVFLMILANTCWSLWLVVQGRVLKAYPSKLLCTTLQCFTSTIQSFVVAIALVRDPREWQLGWNVKLLSVAYCGIVVTGVTFYLQAWVVEKKGPLFLAMTTPLALIITIVTSALLLGEIISLGSILGGLLLVGGLYSVLWGKNREHKIESDITFVDDIEKAGEPTKTVETTAVKNPAP >itb03g12160.t2 pep chromosome:ASM357664v1:3:11200849:11204060:1 gene:itb03g12160 transcript:itb03g12160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSVKKPYLAVLAIQSIYAGMFLLSKVAFDVGMNTSVFVFYRQAAATVFLAPIALLVERKTAPRLTFTTFFMIFMLSLIGITISLNIYGVALKYTSATLAAATTNSLPVVTFLLALLFRMERVELGSVGGIVKVLGIGLCMGGAATIAFYRGPTLRLLMQHHLINHHDQQLQLNPSSGQAWVKGVFLMILANTCWSLWLVVQGRVLKAYPSKLLCTTLQCFTSTIQSFVVAIALVRDPREWQLGWNVKLLSVAYCGIVVTGVTFYLQAWVVEKKGPLFLAMTTPLALIITIVTSALLLGEIISLGR >itb12g20310.t1 pep chromosome:ASM357664v1:12:22771689:22773129:-1 gene:itb12g20310 transcript:itb12g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 23 [Source:Projected from Arabidopsis thaliana (AT2G25900) UniProtKB/Swiss-Prot;Acc:O82307] MMIGEKYYSNQTVHVAPWPYRDDLTVDVHSALTSNGNANSNDDFSSLQNDAALKALMRYLPSNSNDVVFESDNERDVDLPVGAYSSDNFRMYDFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPEFRKGSCRKGDACEYAHGVFECWLHPARYRTQPCKDGLSCRRRVCFFAHAPEQLRVLSPRAESPHWYNSYDGSCESGSPPTESPPLSPSVNSLSRSHGSNSVNGLVASMRQIQLNKVNSMPSSWKAQIGSPRLGSTRARPGFCSLPATPTQSVGRPGSCYFDLWERGLGKEEAPMERVESGRDLRAKMFERIGKENPLDGENPSPNPYPTQADAPNPDFGWVSDLVQ >itb04g25410.t1 pep chromosome:ASM357664v1:4:30011240:30022315:1 gene:itb04g25410 transcript:itb04g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVIILYHTLTFWQTYTGSILIAINPFQKLPHLYDAGMMEQYRGAPPGELSPHVFAIADFAFRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGQKGSEGRSVEQKVLESNPVLEAFGNAKTVRNNNSRCDLQALEDVLLTRAVIAREVIKKSLNPDGAATSRDGLAKTIYSRLFECFEQFCINYTNEKLQQHFNQVLLLVYHNHFDPLCQKSLKFCDSFQHVFKLEQEEYKKEAIDWSYIEFVDNRDVLDLIEKKPGGIISLLDEACMFPKSTHETFSQKLYQTFSEHKRFIKPKLARTEFKIAHYAGEVLYQSDQFLEKNKDYIVPEHQDLLSSSKCPFMVTLFPPPEETTKKSKFSSIGSRFKVQLQQLMEVLNSTEPHYIRCMKPNNLLKSSIFENATVLHQLRCSGVIEAVKISCAGYPSRKTFSEFLKRFGILAPEALKGTYDEKDACKKILGKAGLGSCQIGKTKVFLRASEMMELEAKRLQKFNSAAKIIQGQIKTRTARRDFLALQRAAISIQSSCRAKLACKLYANMRREVSSLKIQKHLRAHLARKSYKQIRSTVLILQRRTRAVAAFKNFRNRKKSAVTIQARWRCIRAIFYYRRLVRASVVTQCNWRRRNARRELQKLKASRDTVEEAKRIFEQETKGIVREAKQQDKGAVPGQGAIKEPTKLAFKEKIAKFEQQDKGADKGAVKETIGKLKQDKLAIGEAIDKLEQQDKGTVKEAIAKLKQQDKGAVEEATGKLEQQDKGAIEETKDKLEQQDKGAIEETKDKLEQQDKCAIEEANELERQKKCSVEEANDKLEQQNKGVVEETNDKLEQQDKVSVEKAIDKLEQQDKVAVEEANDNFEQQNKGVVEEANDKLEQQDKVSVEEANDKLEQQDNVAVEETNDKLEQDNGKIEEANDILEQNDKSVVEEANDKLENQDNGTVEEANDKLKQQDNSAVEEANDKLEQQDNGAVEETNDKLEQQDNGAVEETNDKLEQQDNGAVEETNDKLEQQDNDAIEEANDAVEETNDKLEQQDNDAIEEANDKLEQQDKGAVEEANNKFEQLDKGIVEEVNDKLEQQDKGVVEKTDDKLEQQAKGSVEEAGDKFEQQDKGAVEEATNKLEQQDKCAIEEETIDKLAQQDKGAVEEATDKLEQYDKGVVEETTDKLEQHDKGALEEAIDKLEQQDKVTVEDADNKLEQEERGAPLEEATDKFEQHKGSVKETIAKLKQQEKGALEEETNKLEQRDKGSVKETVAKLKQQEKGALEEETNKLEQQDKGSVKETVAKLKQQEKGALEEETNKLEQWDKGSVKETVAKLKQQEKGALEEETNKLEQQDNALEEETNKLEQQDKGSVKETVAKLKQQEKGALEEETTKLDQQDKGSVKETVAKLKQQEKGALEDETNNLEQQDKGSAKEAIVKLKQQEKVALEEETNKLEQRDKGSVKEAIAKHKQQEKCALEEATDKFEEQHKGALEEATGKLEQQDKGVVEDANDKLEQENKGEGEEAIDKLERHDEGVVEDVNDKLEQESKCEGEEATDKLEQQDKGVVEDVNDKLEQENKGEGEEATDKLKQQDKGVVEDANDKLEQEKKGEGEKATVKLEQQDKGVVEDANDKLERENKGKGEEATGKLEQQDKGSVKVAIAKLVSKLKQPEKSALEKNKLRQQVKGVVKEATSKLKQRDKGAVKVADKVKPQDKSAVEEATGKLEQQGKGAVEEATNKLEQQDKSVVEEATDKLEQQDKGAIKEATDKLEQQDKGAIKEADKLEQQDKGAIKEATDKLEQHKGAIKEATDKLEQQDKGAIKEADKLEQQDKGAIKEATDKLEQHKGAIKEATDKLEQHDKGAVKEATDKLEQQDKGAVKEATDKLEQHDKGAVKEATDKLEQYDTYAVEEAKDRFDQKTDLEEAKSQETPVPVEDTEKISLLTAEVERLKVLLQSEKQRADDCEKKCAEALESSEEKRLKLEKAERRVHQLEESLNRMIYSISDQFSELKVILKSSSNSSSTSRVHARDSHGDATTSANYSSPDPAFQLIVQDLSASEIAGYENWESDREGAFDDFF >itb05g21640.t1 pep chromosome:ASM357664v1:5:27315417:27328860:1 gene:itb05g21640 transcript:itb05g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNWRAAQPLAQPQGGDPTAIAVAAAAPVPGAMETGDWRTQLQPESRQRIVNKIMETLKRHLPFSGPEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLSMETKSQNPIANPLQANVANSSQNPQGTGSHPMQPQVNNQVQQLSVPMVTNQSQARQQLLSQNIQNNITSSGMQNSASLVPALPSVGNLTQPNIPNVVSQNSNLQNVQGIPNVAQNSVGNSMGHSNMVPNSQRQMQGRQQQVVSQQQQQQQQQLQQSQTPHPHLYQQQLRHHMMKQKLQSQVQQQQEQQQQQNLLQPTQIQSSQQAVMQPSTTQQTSLSNLQQNQQSSVQQPSQSMLQQRSQPVLRQQQQQQTPMIHQQQGSMLQQSMLQSQQQQPQQQQQQLIGQQQSVANIQQNQLIGQQNNIPDVQHQQNRLMAQQNNYSSVQQQQLINQQNNVPSIHQQQMGPQGNVAGLQQQQLAGNQSSNSGLTTNQHIQMLQQSKVPGQQQMLPSATLLPTQGLQSQPQQQMMQQNQSQPGPLGLQQQANPLQREMQQRLQTPSPLLQQQNLIDQQKQNFQPQRATPEASSTSLDSTAQTGNANVADWQEEAYQKIKAMKETYYMDLNELFQKIAGKLSQHDSVPQQPKNEQIEKLKMFKVMVERLLHMLRLNKNEIQPQHKDKMGYIERQIIYFLNSNRTRKPASSLQQGQPPHPPQNHDGQINLQMQSVNVQGTMAAMQQNNLTNMQHNSLSSVSAVSNSQQNMLSTIQPGASFDMGQGSSLNSLQQVSTGALQQNPGPQQMNINSFSSQGGANSLQTNLQPNPNMLQPNSNMLQQNSNMLQHQHPKQHEQQMLQNPQLRQQIQQRQMQQQILQKQQLMQQQQQIKQPQAPLAAQSMSQLHQMVDTNDIKMRQQIGIKPQGVQSLGQRVVSHHPQLKSSISSPQVHQTLSPQPAQHSSPQIDQQNVLASLTKTGTPLQSANSPFVPSPSTPLPGESEKVSMGIPSLLGAGNVGHQHTNAVSASAQSLAIDTPGISASPLLPEINSLDGTHANVPTTISGKSSVEQPLERLINAVRTVSAKALSSAVSDMSSVISMMDRIAGSAPGNGSRAAVGEDLVAMTKCRMQARSFLTQDGPTGTKRMKCYTTSNVVSSSGSVNDSFALWNGSEASDLESTATSSIKRLKIKANHALIEEIRDINRRLIDTVLEISDEGVDASVLAATTDGSGGTTVKCSFSAVSLSPNLKSQYASAQMSPIQPLRLLVPANYPNCSPIIMDKFPVEVSKEYEDLSIKARSKFSASLRSLSQPMSLRDIARTWDFCARAVILEYAQQSGGGSFSSKYGTWEDCMTAA >itb05g17410.t1 pep chromosome:ASM357664v1:5:24370781:24371530:-1 gene:itb05g17410 transcript:itb05g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAHNETSAVGAATGVHRWNSPIPYLFGGLAVMLGLITLALLILACSCCGGESSREGDESNRGRRDQKPAAAPESVMQLEMEPKFVVIMAGDHNPTCLAKPSAHRREEV >itb02g20480.t1 pep chromosome:ASM357664v1:2:18440897:18441220:1 gene:itb02g20480 transcript:itb02g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSDQNRLITILIKMKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPSLKDYLVNSATAKKVYELCKVTMPKC >itb15g10040.t1 pep chromosome:ASM357664v1:15:7312128:7314125:1 gene:itb15g10040 transcript:itb15g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKNGTTKSFESWAQGIYIYQLASRYAAHLQLCSSNIHSPAASSLVRTIHANMITSGFKPRAHILNRLIDIYYKSSNLPYARHLFDEIPQPDIVARTTMVAAYLTSGDPKLAAEIFDRTPLSMRDTVFYNARITGHSHHNDGHAAKQVFNDMKRNNFQPDQYTYTSVLAALALIADLYVRCASSPFVSSLHLMESARKLFDEMSKRDELTWTTIIVGYIRNDNVVAARQVFDGMDEKLVVAWNAMISGCSQNGLGEEGLRLFNRMKLNGVEPSDYAFAGAIASCSVLAALETGRQLHAQIIRCGFDSSLSAGNALITFYGRCGDIDAA >itb15g10040.t2 pep chromosome:ASM357664v1:15:7313303:7314125:1 gene:itb15g10040 transcript:itb15g10040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESARKLFDEMSKRDELTWTTIIVGYIRNDNVVAARQVFDGMDEKLVVAWNAMISGCSQNGLGEEGLRLFNRMKLNGVEPSDYAFAGAIASCSVLAALETGRQLHAQIIRCGFDSSLSAGNALITFYGRCGDIDAA >itb04g04030.t1 pep chromosome:ASM357664v1:4:2512742:2516252:-1 gene:itb04g04030 transcript:itb04g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMMYSIVPRRVLSTVYTGEFTIHSLGKLPSLTISPHPRPCSRCYCRSFAATASPAGVSSCVAERERQVISSTELVAMEYAELNLTDKFCEELGRLRIRQHVNPLRSSLLVPAEVPNWNEVYKDTSLPLMVDIGSGSGRFLMYLAKRDPESKNYLGLEIRPKLVTRAMYWVNELGLRNIHFLFANATISFERIVSTYPGPLVLVSILCPDPHFKKKHHKRRVVQKPLVQSIVDRLARGGQVFIQSDVLEVALDMRDYFDTMSNELIHIDNINPNVLCDSNGWVLKNPMGIRTEREIHAEFEGSSIYRRVYEKVQR >itb03g07620.t1 pep chromosome:ASM357664v1:3:5643480:5644457:1 gene:itb03g07620 transcript:itb03g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSLSSRGAGASSWTADLNKQFEEALARHDRDTPDRWQNIAREVGKSPAEVKQLYDILVHDLKHIESGDVPVPNYRSTGTRRR >itb09g02410.t1 pep chromosome:ASM357664v1:9:1385397:1389265:-1 gene:itb09g02410 transcript:itb09g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRAYHSHHYSAFLLLLFVSQSFGLNSDGSLLLSFKYSILSDPLSVLDSWDYNDETPCLWNGVICAQVEGSPGNPDVFRVISLALPDSKLLGSIPEDLGFIPYLKTLDLSGNFLNGTLPSSLFNASELQGLKLSSNAIYGGLPGGVMKSLKVLNLSDNALAGEIPEEMSSLKNLSVVSLRSNYFSGGVPGGFQFVEVLDLSSNLLNGSLPEKFGGENLKYLNLSSNRLSGLLSPGFAAKIPANATVDLSFNNLTGEIPESTALNNQKTEFFAGNVDLCGKPLKKLCTIPSTLSNPPNVSTNTSPPAIAAIPKTFGSSPVPSSGGAAQDNNQPQHGLKPGTMAGIVVGDLAGLALLALVFLYVYQKKKKKGNEEEIIKKDQNFNKTPEISVTVTVKETTKSLPTWPCLTITNGEETETSDDATASDSDDNRTDHPANQNAGAGADDHELVHKNKKPSSLVMIDRETQLDLETLLKASAYILGSSGASIVYKAVLEDGTAFAVRRIGESGVKKMKEFEHQVKAIAKLRHPNLVRVRGFYWGEDEKLVIYDYVSNGSLANVGFRKVGSSPYHLPFEVRVKIAKGVAKGIAFIHDKKHVHGNIKPSNVLLSPEMEPAIGDFGLHWLLYGKTNNHCNKTDNSSRHFGSRRSTPSYGLPDAHPVQCSSPYIAPAGFMGCTSPYHAPESLKDLKPSPKWDVYSFGIVLLELLTGKMFSDRELSQWTATGSVSENQDRVLRMADVSIRADVESRQQYTLALFKLGFDCASLDPKKRPSMKDALHVIDSIPCSSSTSYL >itb05g22840.t1 pep chromosome:ASM357664v1:5:28138038:28140182:-1 gene:itb05g22840 transcript:itb05g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLDSSDSLGALMSICPPTTDDPSQGNSSSHVYGGEFQSMLEGLDEEEGCAGGGHVAEKKRRLSVEQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNYETLQLDKESLLKEIRDLKAKLNGESKGWVKEEGMESEGNDANPKSDEIEPPVAAAVTADFKDGSSDSDSSVILNEETSSYHSSEAFLISHEAFPDCFKFPEHFKPATPVLADAAVAYPPLNFVKIEEQFSGEESCSTLFSDEQPPTLHWYCPESWNNWKEDEQ >itb05g22840.t2 pep chromosome:ASM357664v1:5:28138038:28140182:-1 gene:itb05g22840 transcript:itb05g22840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFVSQNHNSLFPVMASFGCFLKCWERLNIIADDPSQGNSSSHVYGGEFQSMLEGLDEEEGCAGGGHVAEKKRRLSVEQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNYETLQLDKESLLKEIRDLKAKLNGESKGWVKEEGMESEGNDANPKSDEIEPPVAAAVTADFKDGSSDSDSSVILNEETSSYHSSEAFLISHEAFPDCFKFPEHFKPATPVLADAAVAYPPLNFVKIEEQFSGEESCSTLFSDEQPPTLHWYCPESWNNWKEDEQ >itb03g13010.t2 pep chromosome:ASM357664v1:3:12907383:12913102:-1 gene:itb03g13010 transcript:itb03g13010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTNGISRAQKSKALQGEGPNWVLIAGGALLSTLSVRLGYKLKQVLDAKQQNTTGNTSKENNKPAERKKARNCHLQSSSYCFNQDDENCYNCLSGTRDVMDMKQQCNGQVLTESETELPLVTVPSLEFSRENGGVWSSSVDRLELPQKPLHLSNGSESPCVSESGSDIFSKREVIQKLRQQVKRRDEMILEMQEQIVELQSSLNAQLSHSSHLQSLLDVANRDLFDSEREIQRLRKVIADHCVGQADSCEKLPTVPSLWGSEGRNGYANGHFEAERHLKSSEKGRGDGERIEMLRREVAELKEVIDGKEYLLQSYKEQKAELSTQVKELQQRLDSQLPNIL >itb03g13010.t3 pep chromosome:ASM357664v1:3:12907480:12908909:-1 gene:itb03g13010 transcript:itb03g13010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKQQCNGQVLTESETELPLVTVPSLEFSRENGGVWSSSVDRLELPQKPLHLSNGSESPCVSESGSDIFSKREVIQKLRQQVKRRDEMILEMQEQIVELQSSLNAQLSHSSHLQSLLDVANRDLFDSEREIQRLRKVIADHCVGQADSCEKLPTVPSLWGSEGRNGYANGHFEAERHLKSSEKGRGDGERIEMLRREVAELKEVIDGKEYLLQSYKEQKAELSTQVKELQQRLDSQLPNIL >itb03g13010.t1 pep chromosome:ASM357664v1:3:12907383:12913114:-1 gene:itb03g13010 transcript:itb03g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTNGISRAQKSKALQGEGPNWVLIAGGALLSTLSVRLGYKLKQVLDAKQQNTTGNTSKENNKPAERKKARNCHLQSSSYCFNQDDENCYNCLSGTRDVMDMKQQCNGQVLTESETELPLVTVPSLEFSRENGGVWSSSVDRLELPQKPLHLSNGSESPCVSESGSDIFSKREVIQKLRQQVKRRDEMILEMQEQIVELQSSLNAQLSHSSHLQSLLDVANRDLFDSEREIQRLRKVIADHCVGQADSCEKLPTVPSLWGSEGRNGYANGHFEAERHLKSSEKGRGDGERIEMLRREVAELKEVIDGKEYLLQSYKEQKAELSTQVKELQQRLDSQLPNIL >itb03g13010.t4 pep chromosome:ASM357664v1:3:12907480:12909018:-1 gene:itb03g13010 transcript:itb03g13010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKQQCNGQVLTESETELPLVTVPSLEFSRENGGVWSSSVDRLELPQKPLHLSNGSESPCVSESGSDIFSKREVIQKLRQQVKRRDEMILEMQEQIVELQSSLNAQLSHSSHLQSLLDVANRDLFDSEREIQRLRKVIADHCVGQADSCEKLPTVPSLWGSEGRNGYANGHFEAERHLKSSEKGRGDGERIEMLRREVAELKEVIDGKEYLLQSYKEQKAELSTQVKELQQRLDSQLPNIL >itb03g13010.t5 pep chromosome:ASM357664v1:3:12907480:12909018:-1 gene:itb03g13010 transcript:itb03g13010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKQQCNGQVLTESETELPLVTVPSLEFSRENGGVWSSSVDRLELPQKPLHLSNGSESPCVSESGSDIFSKREVIQKLRQQVKRRDEMILEMQEQIVELQSSLNAQLSHSSHLQSLLDVANRDLFDSEREIQRLRKVIADHCVGQADSCEKLPTVPSLWGSEGRNGYANGHFEAERHLKSSEKGRGDGERIEMLRREVAELKEVIDGKEYLLQSYKEQKAELSTQVKELQQRLDSQLPNIL >itb03g00280.t2 pep chromosome:ASM357664v1:3:137302:139395:-1 gene:itb03g00280 transcript:itb03g00280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYMQQSDERTAEQKAIDEWLPITSARNAKWWYSAFHNVTAMVGAGVLSLPHAMSQLGWGPGVAIMVISWIITLYTLWQMVQMHEMVEGKRFDRYHELGQHAFGQKLGLWIVVPQQLIVEIGVDIVYMVTGGQSLKKFHDVICQDCKEIKLSYFIMIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQADVAYGYKSSSTAGTVFNFFSALGSVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVALCYFPVAFIGYWMFGNSVEDNILISLEKPAWLIAMANMFVVIHVIGSYQIYAMPVFDMIETMLVKKLRFRPTWYLRFITRNIYVALTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKKFGLSWTINWICIVLGVLLMVVAPIGGLRTIIVQAKTYKFYS >itb03g00280.t1 pep chromosome:ASM357664v1:3:137302:139853:-1 gene:itb03g00280 transcript:itb03g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPPNHPINTTSNTQSDERTAEQKAIDEWLPITSARNAKWWYSAFHNVTAMVGAGVLSLPHAMSQLGWGPGVAIMVISWIITLYTLWQMVQMHEMVEGKRFDRYHELGQHAFGQKLGLWIVVPQQLIVEIGVDIVYMVTGGQSLKKFHDVICQDCKEIKLSYFIMIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQADVAYGYKSSSTAGTVFNFFSALGSVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVALCYFPVAFIGYWMFGNSVEDNILISLEKPAWLIAMANMFVVIHVIGSYQIYAMPVFDMIETMLVKKLRFRPTWYLRFITRNIYVALTMFIAITFPFFDGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKKFGLSWTINWICIVLGVLLMVVAPIGGLRTIIVQAKTYKFYS >itb15g01470.t1 pep chromosome:ASM357664v1:15:884640:886403:1 gene:itb15g01470 transcript:itb15g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAISQIKGDSAEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGMEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLETKVNETKATVKFQLKKVLCMGVAVGNVSMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGKPVRVF >itb05g16750.t1 pep chromosome:ASM357664v1:5:23883537:23888267:1 gene:itb05g16750 transcript:itb05g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIQCKTVLPAFYSVKDLNGSVSNGMCLLSHDERTLKIGQHYDSFSPRFPMDGYFEYEKEKVRQTILKHELTFRHQLQELHRLYIRQRDLMNDLKMRELHKNPKEVDLSRCLSLTPPDEVKRAWHAANFPLSNSATAQVSSSDTHYTQSLFECKRAVDRTGLFSTQGKEISRVGNEDKQGANCLKRTNCLADLNEPILIEDTPNLDSDANPRGIISLVDDDEIQKTKKIHENSFMERNGGNCLKQSVQGGNRPERLTFDINADAQSDDLLTAFPSSQAGPSEACEPLTTFPNHPKTELQKKKTIFGIVISEDNREQFFTASSGNINSLKQSLDFNGGKIHMNGNISSVSSSKETASCKNGASNSSDLSARKVQGCSLLRFDAVNGMSYGDLAPKDNQNYQTPMKYNGLSDMDCKSATAVKVDAETRMASQYPMVHQQNMTSSHGQTKQESSKGSLPWFIAKSQHNLQQISRGENCYHMNLDLLQNCSQQFFKKSETDESFSRGLNQKQEDRRSTSINACEPSKAADNVKKIFGVQIFSSSRNLKTADSISEGDNSNKVFADEETPRNPPEGIDSVTDKGLANCCSGLKNQIDLNLSLDEEEEPPAPCLPRAVVKIATVEIDLEAPATLEPEEEISSVELIVEKSRQPLEEVTREAAEAIVSISSSGANNVLNEAASSDCLKWFADLIYSRVNDGHERNIMDASSGKGEPVEESFSDGMDYFEAMTLELRDMKEEGSCYEPSILEINFDEEESGAATPKRPRRGQARRGRQRRDFQRDVLPSLVSLSRHEVSEDILTFEELLKTTGSSWQSRMSQRKAAKNGRGRRRCGSSTPSLIKTATCQPPPPPTNQPVVVCTETNVEKKSLTGWGKRTRRLPRQRCQNSNPAPPKQC >itb08g14160.t1 pep chromosome:ASM357664v1:8:15870773:15875249:1 gene:itb08g14160 transcript:itb08g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMRGFSFRSLTFMVMVAFLVWSWNVETCDGRRGRHWRPSRGDASLYKKKGKYHHGGNRHHRSSNAGKTKQKHPSPVVAVPPPPPPLPPSAPAAPRPSIPVNPPSKGYEDDTGSVFSVMDFGAKGDGKSDDTKAFQATWAAACKVEASTMVVPSEYVFLVGPISFSGPYCEKNIVFQLDGKIIAPTDSKAWGSGLFQWLEFTKLVGITIKGSGTVDGSGSVWWQNSPLDDPIDDELKLIIPLNRTKPVYPPISINSSLSGKMPSTKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCVGVYVYNFTASSPGDSPNTDGIHLQNSKDVVIRGSTLGCGDDCVSIQTGCTNVYIHNVNCGPGHGISIGGLGKDNTKACVSNITVRDIIMQNTMNGVRIKTWQGGLGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRTCKNQTAAVALSGIHYENIRGTYTVKPVHFACSDNMPCTDVTLDRVKLEPLQERYHLYDPYCWQTFGELHSPAVPPVQCLQVGKPSSNRIQVDHDQC >itb13g01030.t1 pep chromosome:ASM357664v1:13:968291:974487:1 gene:itb13g01030 transcript:itb13g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPILSGTIKGGIESMDFNSVIEILRKHFTLPVSGMPPHIYKRVKSFCRRHQFFLYERRSYHYDQESKIDVPRYFIRCVLSQIKKKVKSYFRRERKSDEAERSRYMLLYEAESVIRQEVRASYLNKYMKQRILATQRIRQLFIHGISLTSSIKKHMLKVKNAAYHQSNNSQNNNNSASLRGLELDNITVGDSKSTIQMVGCDDVFNTIMDNLTQQSSKREIVSIVGMGGIGKTTLARKIYEDASFISYFDCRAWVTISQDYNPTQVFQCLLQSLAPAGASHNNEASKDKLDHQVYKLLKHKRYLIVVDDIWSEDVWDDLMRCFQDDNSGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKVCKRLSPEFDKIGREIVDKCKGLPLAIIVAAGLLSNSNQTFIHEWEHIAKCLPALSLDHSNQQGENIIDLSYTFLPHHLKLCFLSFGCFPEDSNFSESGIVDFWASEGFLKVLRSESLEDVARKNLQDLVDRNLVLSYRNGYSYQIHDVLRELALREAQKENLLCLNNGYDISLGWKRNESINSSHISQPWSIQSRICSYNSITPTTNTSSPFPYISLVLEVNGYSSCEPLDIWGLPQLKNIRISDIALVPPRSVHHNLESISSLDYRSCTKELFLRIPNLRILEVTTSREIKCKAPNWFESLVYLYEVEVLMVDAELGEFSTIYSMGMLSLENFWPSVKRLDLSNTNLKWDDMDVVGKLSKLEDLRLYNVKGKKWKPKDGGFRRLGFLKIYSSPLRYWEATSNHFPVLEKLVLGGTYLKEIPSDFAEITTLKSIRLRVDCFEELISFVECIQKEQRDYGDDTFVVDISRW >itb02g15520.t1 pep chromosome:ASM357664v1:2:11284155:11288595:1 gene:itb02g15520 transcript:itb02g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLMLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKVFCVHGGLSPNINTIDQIRTIDRKQEVPHDGPMCDLLWSDPEDIVDGWGLSPRGAGFLFGGGVVTTFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNIAAILELDENLNKQFRVFEAAPQESRGAPAKKPPPDYFL >itb14g18970.t1 pep chromosome:ASM357664v1:14:21789261:21794881:1 gene:itb14g18970 transcript:itb14g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKRGSSPVNTLFAWVRRQSMKVKAVMAAAAALAVLAVLKHSIKDHNHFFVASEAIHFAGILVLIYKLIRKKSCSGLSLRTQEITALFLAIRLCCSFCMEADIHTALDFVTLLSTLWVMYMIRFKLKFTYMAELDSMPIYYIIVPAAILALFVHPYTDHILPLPIFWAFCVFLESVSVLPQLRMMQKAKMIEPFTAHYVFALGIARFLELAHWIIQVYESGGKYLYLAGRGYLWIPAVFVSEMVQSFILADFCYYYVKSAMRGQLLVTLRSPV >itb14g18970.t2 pep chromosome:ASM357664v1:14:21789155:21791689:1 gene:itb14g18970 transcript:itb14g18970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKRGSSPVNTLFAWVRRQSMKVKAVMAAAAALAVLAVLKHSIKDHNHFFVASEAIHFAGILVLIYKLIRKKSCSGLSLRTQEITALFLAIRLCCSFCMEADIHTALDFVTLLSTLWVMYMIRFKLKFTYMAELDSMPIYYIIVPAAILALFVHPYTDHILPLPIFWAFCVFLESVSVLPQLRMMQKAKMIEPFTAHYVFALGIARFLGCAHWFIRVYETRGAYLYLAGRGYFWIPTVFLSEMVQTFILADFCYYYVKSAVNGQLLITLQSPV >itb09g08270.t4 pep chromosome:ASM357664v1:9:4960899:4967058:1 gene:itb09g08270 transcript:itb09g08270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQVLNFSVTWRGKKFTLEMEPGTTLKALGDELRKLTNVEDDTMRLFVPINKSSKLIYPFSKEHSYLKLDEASILQGKSLRMLGVPRDEVDEVLERAKEDLRIAGFEEEEKRQRQRIPDRLGTSLKLPQGPYIFCDFRTLHLPGIELNPPASEALKLMHKLAADPGIVAIMNKHRWRVGLMSEMAPVGYVGVSPKCILGLNKNRGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMIYSEHDANFYALDKQLNEEAATLDWTKSRSHTLSGLQHLENYEDDVFDNYVSSSQKLGGKSSFSSARVSSVDAAYRRFADTSANRSRETESHQEPDPDDHELVIHHMDVDQENGLTNTKLDPLQEYTGTLDITESCDQNCCEPDPDEATSMKSEASTESNWKIVTAHQNSDYHESISSLPPLEAMEHEEPDPDDSEKVIVKTDASAEAREENQICRISGSDESQQKSDCLTELDLNDKGIHIDEPDPDDQELQRIQDPVVTVCSNLQKAVEVLKAQASPLDAARVLQTLLKIIKNVIEHPDELKFKKLKKVNPIIKRDVLNYKGTQFTLFSRFESSQPSFTVLLLFLGGVYFFQVYIIDQRYSMMGPILVYFPKAVDLIS >itb09g08270.t2 pep chromosome:ASM357664v1:9:4960805:4967068:1 gene:itb09g08270 transcript:itb09g08270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQVLNFSVTWRGKKFTLEMEPGTTLKALGDELRKLTNVEDDTMRLFVPINKSSKLIYPFSKEHSYLKLDEASILQGKSLRMLGVPRDEVDEVLERAKEDLRIAGFEEEEKRQRQRIPDRLGTSLKLPQGPYIFCDFRTLHLPGIELNPPASEALKLMHKLAADPGIVAIMNKNRGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMIYSEHDANFYALDKQLNEEAATLDWTKSRSHTLSGLQHLENYEDDVFDNYVSSSQKLGGKSSFSSARVSSVDAAYRRFADTSANRSRETESHQEPDPDDHELVIHHMDVDQENGLTNTKLDPLQEYTGTLDITESCDQNCCEPDPDEATSMKSEASTESNWKIVTAHQNSDYHESISSLPPLEAMEHEEPDPDDSEKVIVKTDASAEAREENQICRISGSDESQQKSDCLTELDLNDKGIHIDEPDPDDQELQRIQDPVVTVCSNLQKAVEVLKAQASPLDAARVLQTLLKIIKNVIEHPDELKFKKLKKVNPIIKRDVLNYKAAMDVLALIGFSEDVLFDETGRTENYLVLKRNDPGLLWLAKSSLETYIA >itb09g08270.t1 pep chromosome:ASM357664v1:9:4960805:4967068:1 gene:itb09g08270 transcript:itb09g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQVLNFSVTWRGKKFTLEMEPGTTLKALGDELRKLTNVEDDTMRLFVPINKSSKLIYPFSKEHSYLKLDEASILQGKSLRMLGVPRDEVDEVLERAKEDLRIAGFEEEEKRQRQRIPDRLGTSLKLPQGPYIFCDFRTLHLPGIELNPPASEALKLMHKLAADPGIVAIMNKHRWRVGLMSEMAPVGYVGVSPKCILGLNKNRGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMIYSEHDANFYALDKQLNEEAATLDWTKSRSHTLSGLQHLENYEDDVFDNYVSSSQKLGGKSSFSSARVSSVDAAYRRFADTSANRSRETESHQEPDPDDHELVIHHMDVDQENGLTNTKLDPLQEYTGTLDITESCDQNCCEPDPDEATSMKSEASTESNWKIVTAHQNSDYHESISSLPPLEAMEHEEPDPDDSEKVIVKTDASAEAREENQICRISGSDESQQKSDCLTELDLNDKGIHIDEPDPDDQELQRIQDPVVTVCSNLQKAVEVLKAQASPLDAARVLQTLLKIIKNVIEHPDELKFKKLKKVNPIIKRDVLNYKAAMDVLALIGFSEDVLFDETGRTENYLVLKRNDPGLLWLAKSSLETYIA >itb09g08270.t3 pep chromosome:ASM357664v1:9:4960805:4967068:1 gene:itb09g08270 transcript:itb09g08270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQVLNFSVTWRGKKFTLEMEPGTTLKALGDELRKLTNVEDDTMRLFVPINKSSKLIYPFSKEHSYLKLDEASILQGKSLRMLGVPRDEVDEVLERAKEDLRIAGFEEEEKRQRQRIPDRLGTSLKLPQGPYIFCDFRTLHLPGIELNPPASEALKLMHKLAADPGIVAIMNKHRWRVGLMSEMAPVGYVGVSPKCILGLNKNRGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMIYSEHDANFYALDKQLNEEAATLDWTKSRSHTLSGLQHLENYEDDVFDNYVSSSQKLGGKSSFSSARVSSVDAAYRRFADTSANRSRETESHQEPDPDDHELVIHHMDVDQENGLTNTKLDPLQEYTGTLDITESCDQNCCEPDPDEATSMKSEASTESNWKIVTAHQNSDYHESISSLPPLEAMEHEEPDPDDSEKVIVKTDASAEAREENQICRISGSDESQQKSDCLTELDLNDKGIHIDEPDPDDQELQRIQDPVVTVCSNLQKAVEVLKAQASPLDAARVLQTLLKIIKNVIEHPDELKFKKLKKVNPIIKRDVLNYKAAMDVLALIGFSEDVLFDETGRTENYLVLKRNDPGLLWLAKSSLETYIA >itb11g01120.t1 pep chromosome:ASM357664v1:11:512767:516031:1 gene:itb11g01120 transcript:itb11g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTAFAPDHHHNHPHHLSPSGEQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCTNLLSVNMRGLLLPTAPNQLHLPHSFFSPQNLLDEIRNTPPSLLMNQPNPNESLMPIRGVDELPKPPVANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKPNACQQEGEDGLMKDGFLAQPNLGVSPY >itb01g07380.t1 pep chromosome:ASM357664v1:1:5835951:5838322:1 gene:itb01g07380 transcript:itb01g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGQEEHPQKCSNSSSDGGEGVGGGVCSSRSSKKMKPKKVPQRGLGVAQLEKIRLEEQHRRDNNAFQAVSLLSPNSMVSSMNSLTSLQMPQPSSILLPNISRPHLSSSPSSIPLPPPPPPPDIASPSSAFRASPTSCVPKVDQPLHSNPAVQFSKQLNLGGGERGWSALMIPGNSYWPKLWNGEYNLEGENQRLDHHGITFRPNINLPIESHTSVLPLPSAMPRSQQYQQPASSSMVNILSEISSSSVRNFQIEPPSNQNYRGNDYAPLWPEEVKMVGVKRPYPFSPEYPPLPSFNCKFPPGYVPSTSRPNEPTSCTNGSIVVNVESRNLPIREDTSNSNNALFDSNPRSFNQENGGLSGDFLSLAPPAALQIDPRYHHCSRNPLALNQEASSQRGGGEEFIKRSGMNESGEPHIFSFFPAAKAQTDVEESPKRRYNSDSNGVVDLNLKL >itb06g24190.t1 pep chromosome:ASM357664v1:6:25859660:25861148:1 gene:itb06g24190 transcript:itb06g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWYLKSFKDGSFSIYAKLYNLHIGRRFSLWSMKKDPELESALSRNRRWIVNNQIKNIILRCPNQVASVKFLQKKFKTLDLQGNAMNWLKKYPCCFEVFLENNEYYCQMTKRMMFLVDEEESVKDMQEPVFAERLAKLLMMSRDQRLNVMKLNELKRNFGFPDDYLLRIVPKYDQVFRVVNHTGRRSSMEIELTSWNPDLAVSSVERVAGKEGHEPRFSCSLPASWVKSRERFQEFNSTPYISPYLDPKGLVEGSMEMDKRTVGLVHELLSLTLWKKASIVKLGHFRREFCLPEKLNILLLKHPAIFYVSNRYQIYTVLLREAYDGSELVDKDPLVVVKEKFGELMQEGLHEYNRRHHLLNLEKKRKRGIVAVEPGKRGNQNGEVSEQDDQGGGDLGGIFDPEERKRFYRVIFDDSAP >itb03g05790.t1 pep chromosome:ASM357664v1:3:4110410:4113042:-1 gene:itb03g05790 transcript:itb03g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVFSVSKNCSAPFKALIHKLNALASSSSYVPPVSCIVSDAWVKSIHLWDIPTTAWSPDPNDPIIDYMISQVSESYKASAVIFHTFDELEPEVCNALCSMFNRAYTIGPIPMLLKGFPESEINKIECHMWEEEQDCFQWLDSKNPKSVVYVNFGSMAVINCS >itb06g05100.t10 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKVCSYYLFLWFPFL >itb06g05100.t4 pep chromosome:ASM357664v1:6:7841695:7846542:1 gene:itb06g05100 transcript:itb06g05100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t5 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t2 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t11 pep chromosome:ASM357664v1:6:7841695:7846542:1 gene:itb06g05100 transcript:itb06g05100.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKVCSYYLFLWFPFL >itb06g05100.t3 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t8 pep chromosome:ASM357664v1:6:7841695:7846542:1 gene:itb06g05100 transcript:itb06g05100.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t6 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t9 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t7 pep chromosome:ASM357664v1:6:7842241:7846328:1 gene:itb06g05100 transcript:itb06g05100.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb06g05100.t1 pep chromosome:ASM357664v1:6:7841686:7846542:1 gene:itb06g05100 transcript:itb06g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPATDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPAWTKEETDQLFELCKRFDLRFIVIADRFSSSHTVEELKDRYYTVSRIVMNSRAASSIDVAGHPIIKESYNMPQEIERKRALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGVDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQNKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFMHDLSFGGERVGKRDQKRKTPGTPKFESSPSTSKRPRKLKTSDG >itb07g22090.t2 pep chromosome:ASM357664v1:7:26553375:26558683:-1 gene:itb07g22090 transcript:itb07g22090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPWFKKLSLVFGPRPPLNWILLLIVSICVLIALLGSSSSSSFDSVTSTAKPDIYTNYRRLKEQAASDYLELRSITLGANHVKDVGLCNKDRENYVPCYNVSAHLLAGFKDGEELDRHCEISRGWQQCLVRPPKDYKIPLNWPSGRDVIWSANVKLTKDHFLSSGSMTKRLMLLEENQIAFHSEDGMADDGVKDYSYLIAKMIGLGNDHELFQAGVHKVLDIGCGFGSFGAHLLSLKLMPLCVAAYESSGSQVQLALERGLPAIIGNFISKQLPFPALSYDMVHCAQCGIRWDKKDGLFLIEIDRILKPGGYFVLTSPTSQQQGSSISTKSGSVSTPFEEFTKKICWDLIGQQGETFMWQKTLDSQCVSIKQRTVPACKGEDIQTYYQPLAPCISGTTSNRWIPVHTRSDSLNSSDLLIHGKYFISIWLFCGTVC >itb07g22090.t1 pep chromosome:ASM357664v1:7:26553374:26558963:-1 gene:itb07g22090 transcript:itb07g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPWFKKLSLVFGPRPPLNWILLLIVSICVLIALLGSSSSSSFDSVTSTAKPDIYTNYRRLKEQAASDYLELRSITLGANHVKDVGLCNKDRENYVPCYNVSAHLLAGFKDGEELDRHCEISRGWQQCLVRPPKDYKIPLNWPSGRDVIWSANVKLTKDHFLSSGSMTKRLMLLEENQIAFHSEDGMADDGVKDYSYLIAKMIGLGNDHELFQAGVHKVLDIGCGFGSFGAHLLSLKLMPLCVAAYESSGSQVQLALERGLPAIIGNFISKQLPFPALSYDMVHCAQCGIRWDKKDGLFLIEIDRILKPGGYFVLTSPTSQQQGSSISTKSGSVSTPFEEFTKKICWDLIGQQGETFMWQKTLDSQCVSIKQRTVPACKGEDIQTYYQPLAPCISGTTSNRWIPVHTRSDSLNSSDLLIHGKYFISIWLFCGTVC >itb14g13910.t3 pep chromosome:ASM357664v1:14:16267147:16291500:1 gene:itb14g13910 transcript:itb14g13910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSSAPATVTAPFWTTMLSGKTDADKEEMLDRQLTRLALCDDSKLQDLLSKLLPLSIASLSSSCTPLRNKVIEILSHVNKRVKHQPDIGLPLSELWQLYEESSAFSMVRNFCIVYIEMAIERARKEEKENMTPTLLTSISKVPTQHQEILLRIITKVIGECHSSHLNDEVVAKYRKLGGLHDNKIFLEFCLHMILYQPILQSGACPAGLSITQCNRVTGKQQLTSDTLRARKIGILNIVEAMEIAAELVYPLYIAACADSQESVVKKGEELLKKKTSGVNLDDVNLINKLFLLFNGSEQIPPESKVTPANASLRVRLMSVFCRSISAANSFPSTLQCIFGCIYGNNTTSRLKQLGMEFTVWVFKYATMDQLKLMGPVILTGIYKSLDGYSASESDAVARDTKAFAFQAVGLIAQRMPQLFRDKIDTAVWLFDALQSEGQFLRLTIQEATNSLVVAYKDAPSNVLKNLESLLLKSFKVEQSEVRFCAIRWATLLFDMQHCPSRFICMLGAADPKLDIREIALEGLCLDEDQRKAVSQNSNLHYPKLSDMLNYIIAQHPAVLHSTSVGETTLLFPSKSYVAMIKFLLKCFVAEEKEYDLPEDSEYPCSVDRFCLLLEHAMAYEGSVELHANASNALITIASSIPQVIATRYADKVSWLKKYLGHIDFDTRESISRLLGIASCSLPLDTLSNLVQDLISSVSAAPKLRFEMEHGLLCALGYITANCMSRTPCIPESLLQSVLKCLTDVVNLETPSLASIAMQALGHVGLSVPLPPLLHGSDPVAILTTLNTKLIKLLAGDDIKAVQRIVISLGHLSFKESSSAHLNNALDLIFSLCRSKVEDILFAAGEALAFLWGGVPVTVDMILKTNYTSLSMSSNFLMGNVLSSLPSSINMDSDKSDDDVRDIVRDAITRKLFDVLLYSSRKEERCAGTVWLLSLTIYCGHHPTIQKLLPNIQEAFSHLLAEQNELIQELASQGLSIVYEIGDASMKKNLVDALVGTLTGSGKRKRALKLVEDSEVFQEGLIGESPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQSSMHSKRGAAFGFSKIAKYAGDALQPYLHSLIPRLFRYQYDPDKNVQDAMMHIWRSLVADSKKTIDEHLDLIIEDLLVQCGSRLWRSREASCLALSDIIQGRKFDQVKKYLRRIWSAAFRAMDDIKESVRNAGDRLCRAVTSLTQRLCDVSLTEILDAKLAMDIVLPLLITEGIMSKVENIRKASIGVITKLAKSAGISLRPHLPDLVYCMLESLSSLEDQGLNYVELHAANVGIQTQKLENLRISIAKSSPMWETLERCIDIIDAHSLELLIPRVSQLVRIGVGLNTRVGVASFLSLLVQKVGPDIKPFIPTLLKLLFHAVKDEKSATAKRGFASACATVLKYATPTLAQKLIEDTAALQSGDRSAQISCAILLKSYSSNAADILSGYTAITVPVIFISRFEDDKTVSGLYEEMWEENMNSERITLQLYLAEVVNFASEGIMSSSWSSKRKAALAIRKLCEVLGDSVSSHHHMLLTSLMKEIPGRLWEGKEALLYALSSLCKSSHEAISAADPETPTAILNLLSSACTKKANKYREAAFDCLEQVIKAFGNLDFFSKVFPLLHEMCCTTSTGDVKPGIYFSYHVIF >itb14g13910.t1 pep chromosome:ASM357664v1:14:16267141:16294065:1 gene:itb14g13910 transcript:itb14g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSSAPATVTAPFWTTMLSGKTDADKEEMLDRQLTRLALCDDSKLQDLLSKLLPLSIASLSSSCTPLRNKVIEILSHVNKRVKHQPDIGLPLSELWQLYEESSAFSMVRNFCIVYIEMAIERARKEEKENMTPTLLTSISKVPTQHQEILLRIITKVIGECHSSHLNDEVVAKYRKLGGLHDNKIFLEFCLHMILYQPILQSGACPAGLSITQCNRVTGKQQLTSDTLRARKIGILNIVEAMEIAAELVYPLYIAACADSQESVVKKGEELLKKKTSGVNLDDVNLINKLFLLFNGTTGSEQIPPESKVTPANASLRVRLMSVFCRSISAANSFPSTLQCIFGCIYGNNTTSRLKQLGMEFTVWVFKYATMDQLKLMGPVILTGIYKSLDGYSASESDAVARDTKAFAFQAVGLIAQRMPQLFRDKIDTAVWLFDALQSEGQFLRLTIQEATNSLVVAYKDAPSNVLKNLESLLLKSFKVEQSEVRFCAIRWATLLFDMQHCPSRFICMLGAADPKLDIREIALEGLCLDEDQRKAVSQNSNLHYPKLSDMLNYIIAQHPAVLHSTSVGETTLLFPSKSYVAMIKFLLKCFVAEEKEYDLPEDSEYPCSVDRFCLLLEHAMAYEGSVELHANASNALITIASSIPQVIATRYADKVSWLKKYLGHIDFDTRESISRLLGIASCSLPLDTLSNLVQDLISSVSAAPKLRFEMEHGLLCALGYITANCMSRTPCIPESLLQSVLKCLTDVVNLETPSLASIAMQALGHVGLSVPLPPLLHGSDPVAILTTLNTKLIKLLAGDDIKAVQRIVISLGHLSFKESSSAHLNNALDLIFSLCRSKVEDILFAAGEALAFLWGGVPVTVDMILKTNYTSLSMSSNFLMGNVLSSLPSSINMDSDKSDDDVRDIVRDAITRKLFDVLLYSSRKEERCAGTVWLLSLTIYCGHHPTIQKLLPNIQEAFSHLLAEQNELIQELASQGLSIVYEIGDASMKKNLVDALVGTLTGSGKRKRALKLVEDSEVFQEGLIGESPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQSSMHSKRGAAFGFSKIAKYAGDALQPYLHSLIPRLFRYQYDPDKNVQDAMMHIWRSLVADSKKTIDEHLDLIIEDLLVQCGSRLWRSREASCLALSDIIQGRKFDQVKKYLRRIWSAAFRAMDDIKESVRNAGDRLCRAVTSLTQRLCDVSLTEILDAKLAMDIVLPLLITEGIMSKVENIRKASIGVITKLAKSAGISLRPHLPDLVYCMLESLSSLEDQGLNYVELHAANVGIQTQKLENLRISIAKSSPMWETLERCIDIIDAHSLELLIPRVSQLVRIGVGLNTRVGVASFLSLLVQKVGPDIKPFIPTLLKLLFHAVKDEKSATAKRGFASACATVLKYATPTLAQKLIEDTAALQSGDRSAQISCAILLKSYSSNAADILSGYTAITVPVIFISRFEDDKTVSGLYEEMWEENMNSERITLQLYLAEVVNFASEGIMSSSWSSKRKAALAIRKLCEVLGDSVSSHHHMLLTSLMKEIPGRLWEGKEALLYALSSLCKSSHEAISAADPETPTAILNLLSSACTKKANKYREAAFDCLEQVIKAFGNLDFFSKVFPLLHEMCCTTSTGDVKPDEEENWSTGHDKIVNCITAAIHVARLNDILEQQKNLLNVFSISLSASVPWIVKISVFTSIKELCSRLRDAINNAQDSSLQESIISFVHELFFETSSKVLECIRTIKIAQVHISASECLFEIIDLLAGAPALQLREIGFRSELLQVAEMEKNEQAKSTLKKCIDILKTLEENGRISA >itb14g13910.t2 pep chromosome:ASM357664v1:14:16267147:16294065:1 gene:itb14g13910 transcript:itb14g13910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSSAPATVTAPFWTTMLSGKTDADKEEMLDRQLTRLALCDDSKLQDLLSKLLPLSIASLSSSCTPLRNKVIEILSHVNKRVKHQPDIGLPLSELWQLYEESSAFSMVRNFCIVYIEMAIERARKEEKENMTPTLLTSISKVPTQHQEILLRIITKVIGECHSSHLNDEVVAKYRKLGGLHDNKIFLEFCLHMILYQPILQSGACPAGLSITQCNRVTGKQQLTSDTLRARKIGILNIVEAMEIAAELVYPLYIAACADSQESVVKKGEELLKKKTSGVNLDDVNLINKLFLLFNGSEQIPPESKVTPANASLRVRLMSVFCRSISAANSFPSTLQCIFGCIYGNNTTSRLKQLGMEFTVWVFKYATMDQLKLMGPVILTGIYKSLDGYSASESDAVARDTKAFAFQAVGLIAQRMPQLFRDKIDTAVWLFDALQSEGQFLRLTIQEATNSLVVAYKDAPSNVLKNLESLLLKSFKVEQSEVRFCAIRWATLLFDMQHCPSRFICMLGAADPKLDIREIALEGLCLDEDQRKAVSQNSNLHYPKLSDMLNYIIAQHPAVLHSTSVGETTLLFPSKSYVAMIKFLLKCFVAEEKEYDLPEDSEYPCSVDRFCLLLEHAMAYEGSVELHANASNALITIASSIPQVIATRYADKVSWLKKYLGHIDFDTRESISRLLGIASCSLPLDTLSNLVQDLISSVSAAPKLRFEMEHGLLCALGYITANCMSRTPCIPESLLQSVLKCLTDVVNLETPSLASIAMQALGHVGLSVPLPPLLHGSDPVAILTTLNTKLIKLLAGDDIKAVQRIVISLGHLSFKESSSAHLNNALDLIFSLCRSKVEDILFAAGEALAFLWGGVPVTVDMILKTNYTSLSMSSNFLMGNVLSSLPSSINMDSDKSDDDVRDIVRDAITRKLFDVLLYSSRKEERCAGTVWLLSLTIYCGHHPTIQKLLPNIQEAFSHLLAEQNELIQELASQGLSIVYEIGDASMKKNLVDALVGTLTGSGKRKRALKLVEDSEVFQEGLIGESPTGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQSSMHSKRGAAFGFSKIAKYAGDALQPYLHSLIPRLFRYQYDPDKNVQDAMMHIWRSLVADSKKTIDEHLDLIIEDLLVQCGSRLWRSREASCLALSDIIQGRKFDQVKKYLRRIWSAAFRAMDDIKESVRNAGDRLCRAVTSLTQRLCDVSLTEILDAKLAMDIVLPLLITEGIMSKVENIRKASIGVITKLAKSAGISLRPHLPDLVYCMLESLSSLEDQGLNYVELHAANVGIQTQKLENLRISIAKSSPMWETLERCIDIIDAHSLELLIPRVSQLVRIGVGLNTRVGVASFLSLLVQKVGPDIKPFIPTLLKLLFHAVKDEKSATAKRGFASACATVLKYATPTLAQKLIEDTAALQSGDRSAQISCAILLKSYSSNAADILSGYTAITVPVIFISRFEDDKTVSGLYEEMWEENMNSERITLQLYLAEVVNFASEGIMSSSWSSKRKAALAIRKLCEVLGDSVSSHHHMLLTSLMKEIPGRLWEGKEALLYALSSLCKSSHEAISAADPETPTAILNLLSSACTKKANKYREAAFDCLEQVIKAFGNLDFFSKVFPLLHEMCCTTSTGDVKPDEEENWSTGHDKIVNCITAAIHVARLNDILEQQKNLLNVFSISLSASVPWIVKISVFTSIKELCSRLRDAINNAQDSSLQESIISFVHELFFETSSKVLECIRTIKIAQVHISASECLFEIIDLLAGAPALQLREIGFRSELLQVAEMEKNEQAKSTLKKCIDILKTLEENGRISA >itb09g17970.t1 pep chromosome:ASM357664v1:9:13387868:13390444:-1 gene:itb09g17970 transcript:itb09g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb03g04360.t1 pep chromosome:ASM357664v1:3:2741724:2744312:1 gene:itb03g04360 transcript:itb03g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERDRPKAGSSSMGKSKIWEDQPPDAGMDELLAMLGYKVKTSDMADVAEKLEQLEMAMTMGTTKEDGISHLSTNTVHYNPSDLTGWIQSMLSELHTSDALSQQSSAGDEMMLAGESSNIISFSGNKNIGNGTRRISDDDLRAIPGGAIFGNKGKDSSSESSYKRQKSSAGSDVSGGESLAVSETPRSVLVDSQEAGVRLVHALMACAEAVQQENFKLADALVKHIGILAVSQAGAMRKVATYFAEALARRIYKIYPQDTLESSYTDVLQMHFYETCPYLKFAHFTANQAILEAFNDCSRVHVIDFSLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNSDALQEVGWKLAQLAETIGVEFEFRGFVANSLADLDASILDIRPSHVEAVAVNSVFELHRLLSRTGGIEKVLNSIKSMKPKIVTIVEQEANHNGVVFLDRFNEALHYYSTMFDSLESSGLTQPNSQDLVMSELYLGRQICNVVACEGPDRIERHETLSQWRARMKSAGFDPVHLGSNAYKQASMLLALFAGGDGYAVEENDGCLMLGWHTRSLIATSAWQLSGGGGDP >itb11g03400.t1 pep chromosome:ASM357664v1:11:1786395:1792487:-1 gene:itb11g03400 transcript:itb11g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKAVIKLEVNGKRPASIAKKMGVGMLKTILPFEFVSECFNHTAPQNKSKAMQIAVGFHGLEGEGKDELVVKGVFDAVELVALLRERVGGATIKSVGPEEEKKKEEPKKEDPKKDDDKKPPENSAVVHPWPSQPLQKVVIKLEVNGERPASLARRMGVGMLKTMLPFECVSGHFKHSADQNKSKAMQIAVGFGVNSTALEGEGKDELVVKGVIDAAELVRVLRDKVGGAHIKSVGPADDKKEKEKKEKEAKEKEEKERMEKMMSSGLVYPWPHHQPLIYEVPDYSPRGCFF >itb01g27030.t1 pep chromosome:ASM357664v1:1:32014087:32018772:1 gene:itb01g27030 transcript:itb01g27030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSSSWPKGDFTDIVFSWTLEQIFNDNLYKDQVEKIPETFTSAEHYLGSFLYPLLEETRAELAASMEVMDKAPYAEVIALDGAKRHGEPLYNVNVDVWKNRVRDEREPYRTLPGDIVVIADNRPVSASDLNRAGWNWTLASVVSIVDDEDDDANISTNFEVKMPTDLTANLEKYEGFHIVFLENITTHKRIWNALQKRKNMKIIDTVLDAYGEAEEKCCLCSPHADNIGSTESIGTSMFSKLNESQANAVLTCLERVKCDQISHVDLIWGPPGTGKTSTISILLFMLLKKNYRTLVCAPTNVAITQVASRVVKLVYESFKDEPLKENLLCPVGDVLLFGNKDRLKLGVGTEEIFLDYRVDKLYECLGLKGWRNCILSTIRFLEDCVSDYEIYVENELIKMRELRDKGKTLEESAHPKSFAEFIKSCSEGTVSPLKRCMLILCSHLPRHFIQERNFQAIISLICLLDSLNGMLFQEVMGSEELNSAFSQPVISDVSPESFADKSSLVCLRSQCLSLLKTLLHSLGELDLPYASNKYLIRDLCLKTSTLVFCTTSSSYKIHSIKMEPFNVLVIDEAAQLRECESVIPLHLPGLKHVILVGDECQLQATVHSKVSYEACFGRSLYERLSSLGHSKLMLNVQYRMHPAISYFPNVSFYHGQVQDAENVKGKTYERKYLQGRMYGLYSFISIPCGKEELDDIGHSRRNMVEVALVIKIVKDLYEFWRSTGQKLSVGVISPYTAQVVTIKDTIGRKYDNLNGFAIKVKSIDGFQGGEEDIIIISTVRFNSSGSIGFMKSLQRTNVALTRARILFDSNSVWKGLVLDAKDRQCLFFADEDSGLLKTILDVMKELDQLDDLLNADSIVFKSQRWKVLLNDNFKRSFKNLVTSSMKMAVLNLLIKLAGGWRPKRKGVDLVCETSSQIVKQFKVEGYYIVCTIDIQKETKYTQVFRAWDLLSLDGARKLLKRLDDMFARYTDDFINLCKQKCLDGDLEVPKSWPASLDLVRFKNRGERLADSSNDCVVDGEE >itb03g09160.t1 pep chromosome:ASM357664v1:3:7030271:7033365:-1 gene:itb03g09160 transcript:itb03g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFARSIANTLKLDDDDEENDDVSPAKYDHSTGKPGAESEQNQQQSDDPSSPTSPSRGVREDLSELTKTLSRQFWGVASFLAPPPQEQSESEEPLNEFGSEFEHESDPDQGGSDAVGPAGIRNDFAELGGRFRSGISKLSNNKAVSEITKIASNILQLGSDEEDDDSWTKGAVGVTEEVVTYARDIAMHPETWLDFPLPENEDDEDFDMSDAQQDHALAVEHLAPRLAALRIELCPSHMSETHFWKIYFILVHPKLEKEDAELLSTPQIVKARASLGQELRKRTHSSPQECNDIPNVECLSVPPSDASENLVQGTSGLESTSSATIAAVSDAEKHPDTNNKIQIVDKSVIEEVRGNETPQSANVNININEEDEDDDADDWLNEESTETHNSTKTAIPIENEDDVSFSDLEDDDDDDDVTTSYKKASSNSSDKDSQDWVQLKKSSADASKDVNVEKVNAKNSESKESNDWLDIDDIEVA >itb03g05720.t1 pep chromosome:ASM357664v1:3:4059976:4061920:1 gene:itb03g05720 transcript:itb03g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLQQKAADIREMQARLFGDDVMGTSQDPDKAGDTQMGNSKGGVEEVEPLSVFVGNVDYACTVDEVHQHFERCGTVNRVTILSDKYGQPKGFAYVEFVDEEAVDEALRLNESELHGRKLKVMRKRKNVPGMKQRRPRHFNPYVAYGYEPSVPPYFYSPYGVYGHFPRFRKPQ >itb03g10040.t1 pep chromosome:ASM357664v1:3:7789563:7793051:-1 gene:itb03g10040 transcript:itb03g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MTSISPPSLSSSSLLRSSAAAVHLRLPYQLASPHRPNSANCHRISCSRNPVTVAAGASGALMANSVQPRNGDYTVGEFMTGKEELHVVKPSTSVDEALDALVENRITGFPVVDDDWKLVGVVSDYDLLALDSISGTGRADVDIFPEVDNNWKTFNEVQMLLNKTNGKVIGDVMTPAPLAVRESTNLEDAARLLLKTKYRRLPVVDSKGRLVGIITRGNVVRAALQIKRSIEMQS >itb04g17280.t1 pep chromosome:ASM357664v1:4:20048435:20051813:1 gene:itb04g17280 transcript:itb04g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELEKVATTGSVEMEKVTPYKSNKEYYKVTEAILRLLFLASLVVAVVVMVTSKETELISVKLDPFPPFMVPLTAKFTQSPAFIYFVAGLSVAGLYAIISTLASFYNLLIKPGFCPVLVSHFIILDVVMLGIVASAIGAAGGVAYIGLKGNSHVGWTKVCNKYGKLCTHLGASLAVSLFASIVLLLLIILSIHSLSKKIPK >itb02g22410.t1 pep chromosome:ASM357664v1:2:21836613:21838800:-1 gene:itb02g22410 transcript:itb02g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLICSLPSHQSTPSLPLHGVSATVTPASAAVVDLLRCEYGDLLVSCGLIGEVVKVYEDLELWDFLAASIISLYKL >itb13g19200.t1 pep chromosome:ASM357664v1:13:26208315:26211091:-1 gene:itb13g19200 transcript:itb13g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTHPIISCTKNISFSTKSSPLNFSRPSQIFLNPSRAAERRGDVKIRCAASPEGADGRQNPDSLSSAKLDRRNVLLGLGGLYGAYNFAGGSNPFALADPIPAPDISKCGTAIISNSTDEVPYSCCPPFDGTFVDYKIPTFSTLNCRPAAHAVDDDYLNKYKTAIQKMKELPADDPRNFYQQANVHCAYCNGAYQLNDKSYQIHFTWLFFPFHRWYLYFYERILQSLIDDPTFTLPYWNWDNPQGMILPEIFDDDESSPLYDQYRNQDHRNGYVLDLAYAGEENTANDFQKVKNNLAVMYRQMLTNAPCPLLFFGKPLRADNDSENSGMGTIENVPHNSIHRWVGDPRTAHNEDMGNFYSAAKDPVFYCHHSNVDRMWTLWKTLGGNRTDIPDTDWLQTEFLFYDETKTLVKVKVADCVDNERLGYTFQDMPTPWKNFKPTRKRKGKLKRTAKSVSASTTVLPATLDKITTFYVTRSSTSTPAGKEELLDLDLEYDDTQFIRFDVFLNEDEEVNTKELDRIEYAGSFSNLPHVHDDTSTSKVTTSTFSLAISELLQDLGLQGDDKILVTLVPKAGGSCVTVKKAYTDTIDC >itb02g17990.t2 pep chromosome:ASM357664v1:2:14362720:14366662:-1 gene:itb02g17990 transcript:itb02g17990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDRKPVKKSPIEILEEAQNEKFKRYEAEYTQHLMSKYFSDKTIFGGNIFDVKMTIDGEPIKVSRFPGYLSYADPANFQDESSSSSSNSAVETPTCSANGNLPSKNGN >itb02g17990.t1 pep chromosome:ASM357664v1:2:14362699:14367042:-1 gene:itb02g17990 transcript:itb02g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSTSLSNSAVSSVAQAPTLQPKEEQEEVTSVTAKEELEGKVKVMEEFDRKPVKKSPIEILEEAQNEKFKRYEAEYTQHLMSKYFSDKTIFGGNIFDVKMTIDGEPIKVSRFPGYLSYADPANFQDESSSSSSNSAVETPTCSANGNLPSKNGN >itb04g05960.t1 pep chromosome:ASM357664v1:4:3871766:3873357:-1 gene:itb04g05960 transcript:itb04g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMDTLQEPANNNNTSKENPSLLSNGGTNPKQESPRSLATAVVAASDRLKRDEWSEGAVSSLLEAYEAKWVLRNRAKLKGQDWEDVARHVSARGNSTKSAKTQTQCKNKIESMKKRYRSESATAADASSWPLYSRLDLLLRGNNAPSHQPPPALLPPPPPPPPPPPPQPTSRVANAQFIMAPEPPLLTPPPPPPAPPPSLVVPLGNAGHHSHGSDGYIRGAKEDVMQEKLSENMASEKNMATDTDSISTPALYRNEKEEAKEAAAAANKLRSSTERMKNKRRKRSLGGGGGGRDRNWEVAESIRLLAEVVARTEEARTEAMREVERMRAEAELKRGEMELKRTEIIANTQLEIAKLFASCLASNNNNNTNNNKGVVDPSLRIGRS >itb12g07460.t2 pep chromosome:ASM357664v1:12:5584931:5589747:-1 gene:itb12g07460 transcript:itb12g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMGNQFLSGEVLPFAAMVAVECVEVAIGTLSKASMNAGLSTLVYIVYYNFLGTLLLLPSFILRRRRSDAPSLTFSILWLKYSSPTLEGGISNLIPGFTFLLAVIFRMEKLDLRKASSQAKSLGTIVAIIGASVMTLYEGPILLGYTSSSDANNATDQTVEAQQSNWVLGGVLFTGASLMLSGWNILQTATVKEFPEQVTIVFFTCCFGTIQCAIFSLFMERNLEAWILVPGIGIIAVVLSAIFGAAFNRNVTTWCLEQKGPLYVATFKPLFVVIAAFTSYLFLGDALHLGSVIGAIIIIVGFYTVMWGKAKEKAMMELEEIVCEPESPNGRSPLLHK >itb12g07460.t1 pep chromosome:ASM357664v1:12:5586803:5589918:-1 gene:itb12g07460 transcript:itb12g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMGNQFLSGEVLPFAAMVAVECVEVAIGTLSKASMNAGLSTLVYIVYYNFLGTLLLLPSFILRRRRSDAPSLTFSILCKCFILGLLGICLMQIFLFEGLKYSSPTLEGGISNLIPGFTFLLAVIFRMEKLDLRKASSQAKSLGTIVAIIGASVMTLYEGPILLGYTSSSDANNATDQTVEAQQSNWVLGGVLFTGASLMLSGWNILQTATVKEFPEQVTIVFFTCCFGTIQCAIFSLFMERNLEAWILVPGIGIIAVVLSAIFGAAFNRNVTTWCLEQKGPLYVATFKPLFVVIAAFTSYLFLGDALHLGSVIGAIIIIVGFYTVMWGKAKEKAMMELEEIVCEPESPNGRSPLLHK >itb11g09840.t1 pep chromosome:ASM357664v1:11:6690525:6692532:-1 gene:itb11g09840 transcript:itb11g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLWPISINLDSDHLLQFPRRKIQINRFKMPESFFAQFEIPQQKRFDGKPFPAVLSPSPNVPPASFPAAIKEQKPWLDALLHQSGAILFKGFPVNSASDFNDVVEAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEYPSKLFFYCEVEPGSSGETPIVLSHVVYEKMKSKYPEFVERLEEHGLIYIRVLGEEDNPLSPIGRGWKSTFLTSDKSVAEERAAKLGMKLEWLDDGGVKTVMGPIPAIKVDKTRQRKIWFNSMVAAYTGWEDARNDPKKAVTFGDGKPLPAEIIHDCLAILEEESVAVPWKEGDVLLIDNMAVLHARKPFLPPRRVLASLCK >itb12g07610.t1 pep chromosome:ASM357664v1:12:5830632:5831135:1 gene:itb12g07610 transcript:itb12g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPRGRDDESSNDAVSRKNHHRSGDVAGDEIACTGKACKLCTAGMIADCVAVCCCPCAVVDILVLALFKVPWTLGRKWLRKKRNGGGSRRICSRRSEGIPRMGSVEDETLGMGSSVSVFGIREQGGKDNFSARFDSEEVWFDLYQVGHLGFGRVSFTEIPPQCKGN >itb11g01540.t1 pep chromosome:ASM357664v1:11:754233:756708:1 gene:itb11g01540 transcript:itb11g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESQAVMPKLISYLSSLLQRVADSNDLAAKFAPQKISVFHGLTRPGISVQTYLERIFKYANCSPSCFVVAYVYLDRFTHRQPALPINSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFHLNVTPTTFQTYCLHLQREMLLLNPTPSDLAESTLCGRSPTQHQYICFNDDESSHQQQQQQQQLAV >itb11g21110.t1 pep chromosome:ASM357664v1:11:22550688:22553628:-1 gene:itb11g21110 transcript:itb11g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLATFRSSRAHIALRRIIGPLQWRSFQPDFVPRDPNAKPRRYKYPAVYDPYGPRPPPSDKIIQLVERIAALTPEERRQIGPTLRERLKHPMMKPISVEGIDFGGGGGSGAGASKTEEKKAEKTVFDVKLEKFDAAAKIKVIKEVRGFTNLGLKEAKDLVEKVPAVLKQGVTKEEANDIIEKIKAAGGVAVME >itb12g28030.t2 pep chromosome:ASM357664v1:12:28130028:28134365:-1 gene:itb12g28030 transcript:itb12g28030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTRFPGFRFHPTDVELMYCLKHKVIGRELPSEVKRAIPELNVRLYHPSELREKSSSKDRLWYFFCPIEKKYASGSKLKRATETGYWKSTGGDRTVTYKGRTVGKIKTLIFHQGHSGKGERTDWVIHEYRMEDKHLADARIVQDSYVICKVFKKTGPGPQNGSQYGSFEEDWDYDDVCAEPDLSGVSPAMPASTDCQSCPVGIESTSSFALVEPGPSSAEPGSSLAEPHINEFSEGGDINELLGPFFMEHNGLLPIESNNNENTSDLTQARCVEIAECNDGDDIYNDLGGLGNLPMLGEDGFSNILYPWEETTAYYGDTDAYINQARSAEIAECNMTAAAAAAAYFGDSLGGLGNLPTLGEDGFGDTAGYVELDDLLKPLDESNCGSETQHLYPSYNNNVAIDVEQSHIRGNTTSGSNEFDPSSATRGVHHIQKETIGAYNSC >itb12g28030.t1 pep chromosome:ASM357664v1:12:28130028:28134365:-1 gene:itb12g28030 transcript:itb12g28030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTRFPGFRFHPTDVELMYCLKHKVIGRELPSEVKRAIPELNVRLYHPSELREKSSSKDRLWYFFCPIEKKYASGSKLKRATETGYWKSTGGDRTVTYKGRTVGKIKTLIFHQGHSGKGERTDWVIHEYRMEDKHLADARIVQDSYVICKVFKKTGPGPQNGSQYGSFEEDWDYDDVCAEPDLSGVSPAMPASTDCQSCPVGIESTSSFALVEPGPSSAEPGSSLAEPHINEFSEGGDINELLGPFFMEHNGLLPIESNNNENTSDLTQARCVEIAECNDGDDIYNDLGGLGNLPMLGEDGFSNILYPWEETTAYYGDTDAYINQARSAEIAECNMTAAAAAAAYFGDSLGGLGNLPTLGEDGFGDTAGYVELDDLLKPLDESNCGSETQHLYPSYNNNVAIDVEQSHIRGNTTSGSNEFDPSSATRGVHHIQKETIGAYNSC >itb12g28030.t3 pep chromosome:ASM357664v1:12:28130032:28134335:-1 gene:itb12g28030 transcript:itb12g28030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKHLADARIVQDSYVICKVFKKTGPGPQNGSQYGSFEEDWDYDDVCAEPDLSGVSPAMPASTDCQSCPVGIESTSSFALVEPGPSSAEPGSSLAEPHINEFSEGGDINELLGPFFMEHNGLLPIESNNNENTSDLTQARCVEIAECNDGDDIYNDLGGLGNLPMLGEDGFSNILYPWEETTAYYGDTDAYINQARSAEIAECNMTAAAAAAAYFGDSLGGLGNLPTLGEDGFGDTAGYVELDDLLKPLDESNCGSETQHLYPSYNNNVAIDVEQSHIRGNTTSGSNEFDPSSATRGVHHIQKETIGAYNSC >itb07g24190.t1 pep chromosome:ASM357664v1:7:28450816:28457880:-1 gene:itb07g24190 transcript:itb07g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLSQTATKTSIIFLCFFLCFSNVVVALTKQQELDRITALPGQPPVGFSQFSGYVTVNEDHGRALFYWLTQSATNPDKKPLVLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNKVANILFLESPAGVGFSYTNTSSDLKDSGDNRTANDALNFLIRWMSRFPQYKYRDFYISGESYAGHYVPQLANKIFDYNKGLSQPIINLKGFIVGNAVTDSKYDNIGTVAFWWSHAMISDKTYKNILNSCNFTSDKFSKKCDDIVDYAMNHEFGDIDQYSIYTPTCKVAQNSTLIRVKNTLIHRRFSGYDPCTENYAEKYYNRPDVQKALHANTTGIPYKWTACSEVLIKNWKDSEFSILPTYRKLMAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLKIKTQWYPWYSGGQVGGWTEVYDGLTFATVRGAGHEVPLFQPRRAFILFQSFLAGKELPRS >itb05g06310.t4 pep chromosome:ASM357664v1:5:6710322:6713292:-1 gene:itb05g06310 transcript:itb05g06310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSSRNPLILNHESSWPCKQGELLQKHIDATLGSGNLREAVLLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTPSSCPMMTAGPKYEYRWADGVSIKKPIKVSAPKYVDYLMDWIEKQLDDESIFPQKLGAPFPPNFRDVVKTIVKRLFRVYAHIYHSHFQKIIGLKEEAHLNTCFKHFVLFSWVS >itb05g06310.t3 pep chromosome:ASM357664v1:5:6710322:6713292:-1 gene:itb05g06310 transcript:itb05g06310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGLASRNQKTFHPKKSVPPGNKGELLQKHIDATLGSGNLREAVLLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTPSSCPMMTAGPKYEYRWADGVSIKKPIKVSAPKYVDYLMDWIEKQLDDESIFPQKLGAPFPPNFRDVVKTIVKRLFRVYAHIYHSHFQKIIGLKEEAHLNTCFKHFVLFSWVS >itb05g06310.t2 pep chromosome:ASM357664v1:5:6708974:6713292:-1 gene:itb05g06310 transcript:itb05g06310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSSRNPLILNHESSWPCKQGELLQKHIDATLGSGNLREAVLLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTPSSCPMMTAGPKYEYRWADGVSIKKPIKVSAPKYVDYLMDWIEKQLDDESIFPQKLGAPFPPNFRDVVKTIVKRLFRVYAHIYHSHFQKIIGLKEEAHLNTCFKHFVLFSWEFHLIDKNGLAPLYEIVESILQL >itb05g06310.t1 pep chromosome:ASM357664v1:5:6708974:6713292:-1 gene:itb05g06310 transcript:itb05g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGLASRNQKTFHPKKSVPPGNKGELLQKHIDATLGSGNLREAVLLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTPSSCPMMTAGPKYEYRWADGVSIKKPIKVSAPKYVDYLMDWIEKQLDDESIFPQKLGAPFPPNFRDVVKTIVKRLFRVYAHIYHSHFQKIIGLKEEAHLNTCFKHFVLFSWEFHLIDKNGLAPLYEIVESILQL >itb01g31840.t1 pep chromosome:ASM357664v1:1:35445940:35447898:1 gene:itb01g31840 transcript:itb01g31840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPRPKPDHSSSDYAPYPKLDPKDTAPVPPTWTASASTMPAGSNPYVSPAPAPGPGSSVKNRMDEMKDALEKLGKKAAEKTKKAEDLAGNVWQHLKTGPSVADAAVGRIAQGAKILAEGGYENVFRQAFETLPEEKLLNAYACYLSTSTGPIMGILYLSSAKLAFCSDNPVSYIVGDQTQWCYYKVILPIDKLKSVNPSASKVNPAEKYIQIISVDSHEFWFMGFVNYDGAVNTLQGALRGVHP >itb01g31840.t2 pep chromosome:ASM357664v1:1:35445940:35447898:1 gene:itb01g31840 transcript:itb01g31840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPRPKPDHSSSDYAPYPKLDPKDTAPVPPTWTASASTMPAGSNPYVSPAPAPGPGSSVKNRMDEMKDALEKLGKKAAEKTKKAEDLAGNVWQHLKTGPSVADAAVGRIAQGAKILAEGGYENVFRQAFETLPEEKLLNAYACYLSTSTGPIMGILYLSSAKLAFCSDNPVSYIVGDQTQWCYYKVWYIGTWYSICSIKLSCWRVKRW >itb01g25900.t1 pep chromosome:ASM357664v1:1:31195483:31197388:1 gene:itb01g25900 transcript:itb01g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKERETHVYMAKLSEQAERYEEMVEYMKNVAKLNVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKSNEHNVKLIKTYRQKVEDELSNICHEILQILDNHLIPSSKTGEATVFYYKMKGDYFRYLAEFKADQEKKEASDQSLKGYEAASGYASTELASTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDTLNEESYKDSTLIMQLLRDNLTLWTSDMPEDGGEENSKADEPKGDQAKAEPEPGSH >itb08g15530.t1 pep chromosome:ASM357664v1:8:17686592:17690066:-1 gene:itb08g15530 transcript:itb08g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQRNALSWFFSNMSWRRIRTASSTPISPNFASGTASSNHNNSSVSMNQSKFKKSCNDLDDALKLFHQMAHTRPLPCVIHFNKLLCRIVKLKRYSVVVSLFQEMRIKGIPISVRTINILVDVYCRSSRVDCGFCVLGVVFKCGFEFNVVTFTTLIKGLFMDNKIVEAIGLFKKLVRENVYKVDQITYGTVINGLCKAGHTQNALDLLVVMQKEGPKPDTIAYNTVIDSLCKDRMVDQALGLLSEMTERGVPPDIITYAPLIQGLCNFNRWKEVTKVMNEMVLHNVYPGVYIFNILADALCKEGKLESAETIIQIMIQRNIYPDVVTYNALVEGYCLQGRMDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEVRKVFGRMVESGIQPDVMTYNTLINGYCKIKEVDEARKVFGRMVESGIQPDVMTYNTLINGYCKIKEMDEAVHLFCEIPHSDVVTYNTMLQGLFLVGRCCAALELFQEMLVAGHKPDFYTSCVLLGGLCDNGLVEEAMSFYHELLNRNRYGSHVYGTIIIDRLCKIGRLNVARDVFNDLISKGRCLNVNTYTVMINGLCREGLIDEALELLRKMERNDCLPNTVTYNVILQEFVREKKWHEANLLLDEMVGKGISLDHCTFFFMNDLLALKTGDETVLKVIQKFAANHVK >itb03g23810.t1 pep chromosome:ASM357664v1:3:22056799:22068097:-1 gene:itb03g23810 transcript:itb03g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSSSSVFQVKSREVLAPSPAKSLVSGVPLGRVGVGVGRGRGRGRAKSKRTSSVPNRAIGLEKGFYGTKFGALGSETLPLWQSDGPGRAPKLRMVVRSALSQVPDKPLGLYDPSYDKDSCGVGFVAELSGQSSRKTVADAIEMLVRMSHRGACGCETNTGDGAGILVALPHDFYKEVAKDVGFELPPPGQYAVGMFFLPNSVGRREQSKIVFTKVAESLGHTVLGWRQVPTNNSGLGNSALQTEPVIEQVFLTQTPRSKVDFERQMYILRRVSMVAIRAALNLQYGGYKDFYICSLSSRTVVYKGQLKPDQLQEYYYADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKTEMKKLLPIVDASSSDSGAFDGVLELLVCAGRSLPEAVMMMIPEAWQNDKNMDPERKALYEYFSALVEPWDGPALIAFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMASEVGVVDVAPEDVSRKGRLNPGMMLLVDFENHVVVDDDALKQQYSLARPYGEWLARQKLQLKDIVESVTESDRTPPPIAGVVPASNDDENMETMGIQGLLAPLKAFGYTLEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLLFDYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLTIEEMEAIKKMNYRGWKSKVLDITYPKEQGSKGLEKTLDRICSEAHDAIKEGYSTLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVALIVESAEPREVHHFCTLVGFGADAICPYLAIETILRLQVDGKIPPKATGHFHTKDELVEKYFKASNYGMMKVLAKMGISTLASYKGAQIFEAVGLSSEVMERCFAGTPSRVEGATFEALAQDTLKLHELAFPTRALPAGSAEAVALPNPGDYHWRKGGEIHLNDPLAMAKLQEAARSNSVAAYKEYSKRVQELNKQCNLRGLLKFKKAGVKLPLEEVEPASEIVKRFCTGAMSYGSISLEAHTTLAIAMNRIGGKSNTGEGGEQPSRMEPLQDGSRNPKRSAIKQVASGRFGVSSYYLSNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAVAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSELGFRTLNEMVGRSDMLELDKDLVKDNEKLKNIDLSLLLQPATDIRPEAAQYCVQKQDHGLDMALDNKLIALSTSALERSLPVYIESPIINVNRAVGTMLSHEVTKRYHLDGLPADTIHIKLSGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIIVYPPKESTFDPKENIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGIVVVLGKTGRNFAAGMSGGVAYVLDVDSKFRSHCNTELVDLDRVEDEDDIMMLKMMIQQHQRNTNSSLAKEVLADFDNLLPKFIKVFPRDYKRVLASMKEAEASKKAVEIAAVESEEKEEAELKEKDAFEELKKLAAASSNGKASQVEEVEPVKRPTQVVKAIKHRGFVAYEREGISYRDPNIRMGDWKEVMEETKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFAEGWMVPRPPVKRTGKRVAIVGSGPAGLAAADQLNKKGHTVTVFERADRIGGLMMYGVPNMKADKTDVVQRRVDLMEKEGVNFVVNANVGNDPSFSLDRLREEHDAIILAVGSTKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKRVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPETRAPGNPWPQWPRIFRVDYGHQEAATKFGEDPRTYEVLTKQFVGDESGVVKGVEIVKVKWEKDASGRFQYKEVEGPTDIIVADLVLLAMGFLGPEPTIAKQLDMETDSRSNFKAEYGRFATSVEGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLMQDEEDSTSEVEQTPDKQTVVT >itb06g24400.t1 pep chromosome:ASM357664v1:6:25969558:25973220:1 gene:itb06g24400 transcript:itb06g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDETDAPARKRLKTLEPPPIQSSSSDKGKSKVEISDSEGSESEICCGICLSEASAIIQGCIDSCAHFFCFLCIMEWSKVESRCPICKARFSAIRRPPKPPVFPSDRVVRVPLRDQVYDYSGNLTTGSFDPYAEVQCNVCHSSADDSLLLLCDLCDSASHTYCVGLGATVPEGDWFCSDCSLLRTEHAKTDTGDNCDNQLKSAACHGQISSTGEVVSIFEIVREPQTSYVEGLSLVNSDTSSVSPQVVTCKRETLSNDVAELASSRTKLSAKTLWHCRSVNDRIRTLRENWDGLQSGSLYFPSNKNHDGDIAKQKPETGCDKGSDEINKAWKMLDIAKSVVRNHGGSKTLTQASKQASKKMNTCAEACGGHLNSRSSHRQQPGFSCIGQEVPHRYHPLNKNYHMNRSQTSGQQKKQENVALNRSPNVKECFLEKMNTYKQTGGGHSNSLSRHRQNLGNSGPGNHLQYHPRDKNYQMNRSQMSGQQQKQDTATSKVPPNVQECFSTTHLALHSEMTSSKEDHASFQPNVCDKSGHMKKKDLCTILPGSNSSMRSAQTVSSISHAEEELGLSSSRNKAKHLKDKVGPEKNNESSKAKDEYDEAKTEIQSLVKLNLKLLSKDKKLEAEKFKEIARLSTHSILAACGLEKRRSGIPAFPNSICFHVNEASEIRRSTLMPNSCRECFFLFVKDVVSSIMLERTQRRG >itb06g19090.t1 pep chromosome:ASM357664v1:6:22541165:22544282:1 gene:itb06g19090 transcript:itb06g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEHDEESSEESETPNYRLLRSCLGLVLFVLGFFLLSIFVLYFAVYIESLSIWNPISLPSRCKIVSSSVDLRSSKVCELGLLNYKAKNVLYPYERKKFRCRYDYYWASVFKVEYTDHSGQSRLALAEAPNEALPSDCRPNFIAAWSTKDRFKVNETYKCWYSLGISKIDIYEDGFFNCQAKDPSTIEMSVRYLILFMSIAKSTLASANFLQSWGWGVVAGLITGFCSAFLFIFLVGLLRKFWSYHHQLSVTRWLALHCTAVRLKRVCFFVAYVSFSSWLAVQYLQRIGLPEVRVQYSR >itb03g18190.t1 pep chromosome:ASM357664v1:3:16536538:16539180:-1 gene:itb03g18190 transcript:itb03g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPVRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFEENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDTAVRHILMRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEEEEYARAPIMAVPTDIEVQVM >itb12g12020.t5 pep chromosome:ASM357664v1:12:10524143:10524998:-1 gene:itb12g12020 transcript:itb12g12020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCLHSALLSHSSVISTCNLGFNALPTPRFVPFLWYSKSKAQYASARLKLSSNTGIKAKLNSSPLNSDEYGFYGEFGIKEDARTEIQWNRERRDEDEDENLSPRDGIEDGYLEFHGENSGKDGLGRVKDEELVRSRVDSGDVDSKLEKRSVKGGRQMMRRSSMIAKQVITINSALSLGFVSQLWVDINSVSSMLSSFYLLMC >itb12g12020.t3 pep chromosome:ASM357664v1:12:10522132:10524998:-1 gene:itb12g12020 transcript:itb12g12020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCLHSALLSHSSVISTCNLGFNALPTPRFVPFLWYSKSKAQYASARLKLSSNTGIKAKLNSSPLNSDEYGFYGEFGIKEDARTEIQWNRERRDEDEDENLSPRDGIEDGYLEFHGENSGKDGLGRVKDEELVRSRVDSGDVDSKLEKRSVKGGRQMMRRSSMIAKQVITINSALSLGFVSQLWVDINSRVVLLIEVRPNLLSGEVEWCLLEDVKQVGDVLLIKDESVLENQFRLAGLETLVGYNVVTRGGRQNIGKVRDYTFNINSGVVESLELDSLGIPMIPSSLVSTYGLFVEDVVTVLSDTIVVKEAAASRIQRLTKVRCILCFIMNICVLRVCLI >itb12g12020.t2 pep chromosome:ASM357664v1:12:10521141:10524998:-1 gene:itb12g12020 transcript:itb12g12020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCLHSALLSHSSVISTCNLGFNALPTPRFVPFLWYSKSKAQYASARLKLSSNTGIKAKLNSSPLNSDEYGFYGEFGIKEDARTEIQWNRERRDEDEDENLSPRDGIEDGYLEFHGENSGKDGLGRVKDEELVRSRVDSGDVDSKLEKRSVKGGRQMMRRSSMIAKQVITINSALSLGFVSQLWVDINSRVVLLIEVRPNLLSGEVEWCLLEDVKQVGDVLLIKDESVLENQFRLAGLETLVGYNVVTRGGRQNIGKVRDYTFNINSGVVESLELDSLGIPMIPSSLVSTYGLFVEDVVTVLSDTIVVKEAAASRIQRLTKPLLKGMHWVNFAL >itb12g12020.t1 pep chromosome:ASM357664v1:12:10521069:10524998:-1 gene:itb12g12020 transcript:itb12g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCLHSALLSHSSVISTCNLGFNALPTPRFVPFLWYSKSKAQYASARLKLSSNTGIKAKLNSSPLNSDEYGFYGEFGIKEDARTEIQWNRERRDEDEDENLSPRDGIEDGYLEFHGENSGKDGLGRVKDEELVRSRVDSGDVDSKLEKRSVKGGRQMMRRSSMIAKQVITINSALSLGFVSQLWVDINSRVVLLIEVRPNLLSGEVEWCLLEDVKQVGDVLLIKDESVLENQFRLAGLETLVGYNVVTRGGRQNIGKVRDYTFNINSGVVESLELDSLGIPMIPSSLVSTYGLFVEDVVTVLSDTIVVKEAAASRIQRLTKGLWSGQYRGNSIDELEDYADLEETQHPQPDNGKRRRRNSSRKKFKERNDDWEHPMDFI >itb12g12020.t4 pep chromosome:ASM357664v1:12:10521141:10524998:-1 gene:itb12g12020 transcript:itb12g12020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCLHSALLSHSSVISTCNLGFNALPTPRFVPFLWYSKSKAQYASARLKLSSNTGIKAKLNSSPLNSDEYGFYGEFGIKEDARTEIQWNRERRDEDEDENLSPRDGIEDGYLEFHGENSGKDGLGRVKDEELVRSRVDSGDVDSKLEKRSVKGGRQMMRRSSMIAKQVITINSALSLGFVSQLWVDINSRVVLLIEVRPNLLSGEVEWCLLEDVKQVGDVLLIKDESVLENQFRLAGLETLVGYNVVTRGGRQNIGKVNLQLHP >itb09g30590.t1 pep chromosome:ASM357664v1:9:31220511:31220906:1 gene:itb09g30590 transcript:itb09g30590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNNRRKNVNEEYSEMVRRSVRDEAQQLLRQWSAEAHQRRQSFMGLGNNNGAIASSSTSQSSWVPRTVGDEDPSAVVWVDADHRGHIQGPFSLNLLTIHYQLGYLAPDFRVWKTGQNQSQSVLLLHLLNN >itb01g20560.t1 pep chromosome:ASM357664v1:1:26828027:26828904:-1 gene:itb01g20560 transcript:itb01g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDEVVLLDEYVSMFGMRPRVALALKGIRHESREEDLQNKSPLLLEMNPVHKKIPVLIHNGKPICESLIVVEYIDEVWKEQHPLLPTDPYKRAQARFWADFVDKKIFQCVRGWIRKWKDEEAIYEELIDNLKVLEGVLGEEPYFGGESFGFLDLAVISYYTWFLACEMECKFTFESKCPKLIGWGKRCLQNESISNSIAEPLKLYEFVLQLRKRLGVH >itb02g19480.t1 pep chromosome:ASM357664v1:2:16625614:16628056:-1 gene:itb02g19480 transcript:itb02g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFDNQRKTANAISGKTARACDSCLWKRARWFCVADDAFLCQSCDVSVHSANQLASRHQRVRLGTSSSSSTAATLLSSPKCNQVVAAAADDDESPPAWHRGFTRKPRTPRNSKRTKKQTSGGGGVAVEESVNPLLPLVPEMGSDDDYNNNEIDPEQLFCQVPVLLDDDLNALLNDSGGDDHMRGLEIPEFLSSDMELAEFAADVETLLGDDDVEDKATAAVKIEINGDDCTEALNWSFGEEQEVEQKVMMRMLSGLDEESSSMESGSCKEEAKKSRMLLSLNYEEVISAWASQGCPWTDGTRPHFDPNHCWPDFMGGDHYNHHPYGTAMMMRRSDEGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRLKGRFVKRASLFQPPPPFPNYLLNRHL >itb01g34380.t2 pep chromosome:ASM357664v1:1:37114351:37117915:-1 gene:itb01g34380 transcript:itb01g34380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPKKGWKSIIPLRLKGKPAIQFCFLTKSKSDCYGPGDTPVYLNVYDLTPMNGYVYWAGFGIFHSGVEVHGIEYAFGAHDYPTSGVFEVEPRHCPGFKFRKSIFIGTTKLNPTQVREFMERQAASYNGDSYHLIVKNCNHFCKDICYKLTGKKIPKWVNRLAKLGSMFNCMLPEALKIAAVQHDPTGPEYESEKRRLRSAFSCLSSISTRQRQLSTSSLFLQSPLKGCLPLWELRRSTNRSLKERTQ >itb01g34380.t3 pep chromosome:ASM357664v1:1:37114857:37116562:-1 gene:itb01g34380 transcript:itb01g34380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPKKGWKSIIPLRLKGKPAIQFCFLTKSKSDCYGPGDTPVYLNVYDLTPMNGYVYWAGFGIFHSGVEVHGIEYAFGAHDYPTSGVFEVEPRHCPGFKFRKSIFIGTTKLNPTQVREFMERQAASYNGDSYHLIVKNCNHFCKDICYKLTGKKIPKWVNRLAKLGSMFNCMLPEALKIAAVQHDPTGPEYESEKRRLRSAFSCLSSISTRQRQLSTSSLFLQSPLKGCLPLWELRRSTNRSLKER >itb01g34380.t1 pep chromosome:ASM357664v1:1:37114594:37116562:-1 gene:itb01g34380 transcript:itb01g34380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPKKGWKSIIPLRLKGKPAIQFCFLTKSKSDCYGPGDTPVYLNVYDLTPMNGYVYWAGFGIFHSGVEVHGIEYAFGAHDYPTSGVFEVEPRHCPGFKFRKSIFIGTTKLNPTQVREFMERQAASYNGDSYHLIVKNCNHFCKDICYKLTGKKIPKWVNRLAKLGSMFNCMLPEALKIAAVQHDPTGPEYESEKRRLRSAFSCLSSISTRQRQLSTSSLFLQSPLKGCLPLWELRRSTNRSLKERTQ >itb01g34380.t4 pep chromosome:ASM357664v1:1:37114351:37117915:-1 gene:itb01g34380 transcript:itb01g34380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPKKGWKSIIPLRLKGKPAIQFCFLTKSKSDCYGPGDTPVYLNVYDLTPMNGYVYWAGFGIFHSGVEVHGIEYAFGAHDYPTSGVFEVEPRHCPGFKFRKSIFIGTTKLNPTQVREFMERQAASYNGDSYHLIVKNCNHFCKDICYKLTGKKIPKWVNRLAKLGSMFNCMLPEALKIAAVQHDPTGPEYESEKRRLRSAFSCLSSISTRQRQLSTSSLFLQSPLKGCLPLWELRRSTNRSLKER >itb14g18130.t1 pep chromosome:ASM357664v1:14:21244917:21249597:-1 gene:itb14g18130 transcript:itb14g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGRFAEDDYLGYVETDPTGRYGRLGEILGKGAMKTVYKAIDEVLGMEVAWSQIKLNDVLQTPEDLQRLYSEVHLLSSLSHSSIIRFYSSWIDVERRTFNFITEMFTSGTLRGYRKKYKQVDICAIKIWARQILKGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLATILRGSHRAHSVIGTPEFMAPELYDENYNELVDVYSFGMCILEMLTTEYPYSECSNPAQIYKKVTAGKKPKAFYKVQDVEAQRFIGKCLEPVSKRLSAQELMVDSFLAIDDFIMKPIKMVGCQKSFLNDNIGFEDLNLNEAAPRTNMTITGKSNPEDETIFLKVQIADKEGAVRNVFFPFDILSDTPTDVAIEMVKELEITDWKPTEIANMIDGEITGLVPQWKKWNQYDSSDYHALNYKDSDNEHHNPHFSFSSCSSSQVSFSGNSQGPRWLQDEWYEDTSSEGSSCSGNYSYLNYVSSDENDHISPRKQSQASVAVTHSHSRFCPGENSSTGNSPAQTCKDVPETNRASTSRDKCTLEMRRLTRNRSLVDMRSQLLHRSLVEEVSKRRLFNTVGSVENIGFQAPREGSRKSSRCVNAASSSEN >itb01g33370.t1 pep chromosome:ASM357664v1:1:36521935:36525208:1 gene:itb01g33370 transcript:itb01g33370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGVNPRHPHSLESEDMSSFVQNLLHGSSASAAAAAGGGGGLFARSTTVASNNLESRMRDGSSAAVVESSSSLNLSDPCGFYGAQVKEDAVNAFSSAGIGDCEAITSSKGIEFAHDNKVDDFAFTLEDCETSDAPSNQAQPRGSKRSRSAEVHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLYPGYFQGSLQSIQLPPSGSAFDENVIPNTRGATNFSGDQEISLQNRFEISHQNPSAQQNVSSMKNTTNSESPLVFETPMQNHYGMLNHLASTKDICRNDSLSRLHLDMSCSGNNSSPGVSSS >itb01g33370.t3 pep chromosome:ASM357664v1:1:36521935:36525151:1 gene:itb01g33370 transcript:itb01g33370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGVNPRHPHSLESEDMSSFVQNLLHGSSASAAAAAGGGGGLFARSTTVASNNLESRMRDGSSAAVVESSSSLNLSDPCGFYGAQVKEDAVNAFSSAGIGDCEAITSSKGIEFAHDNKDCETSDAPSNQAQPRGSKRSRSAEVHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLYPGYFQGSLQSIQLPPSGSAFDENVIPNTRGATNFSGDQEISLQNRFEISHQNPSAQQNVSSMKNTTNSESPLVFETPMQNHYGMLNHLASTKDICRNDSLSRLHLDMSCSGNNSSPGVSSS >itb01g33370.t2 pep chromosome:ASM357664v1:1:36521966:36525108:1 gene:itb01g33370 transcript:itb01g33370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGVNPRHPHSLESEDMSSFVQNLLHGSSASAAAAAGGGGGLFARSTTVASNNLESRMRDGSSAAVVESSSSLNLSDPCGFYGAQVKEDAVNAFSSAGIGDCEAITSSKGIEFAHDNKVDDFAFTLEDCETSDAPSNQAQPRGSKRSRSAEVHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLYPGYFQGSLQSIQLPPSGSAFDENVIPNTRGATNFSGDQEISLQNRFEISHQNPSAQQNVSSMKNTTNSESPLVFETPMQNHYGMLNHLASTKITRDSS >itb03g17680.t2 pep chromosome:ASM357664v1:3:16191681:16194363:1 gene:itb03g17680 transcript:itb03g17680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKKPRDSTAEIAPGDVISHRSPPVEHRPAGDVMMKKDQPAVKLYGTPFGIDTYYLRFALLYKPVALKFVPSDSHDTAAIEYESDVVSGSVDSLVRYLDDKFPEPQLLTGAGARLGETATPVVVRVVALQHRSMVWHLERMARWAEDLAARRGKARGDPAMGSPRMELKKFGRSYSQLLEVLLEHAQMEEKVVFPILEKADRGLCRAANEKHARDLPVMNGIKEDIKSIGVLDSGKPVYQEALSNLKTRLKTLKVHYIFYSTSHYQLTLSLNTKHTSFNLVSEQLGSVIVHKIDGKHSRFGRSIQSNTLKRKKGSYCH >itb03g17680.t1 pep chromosome:ASM357664v1:3:16191681:16194363:1 gene:itb03g17680 transcript:itb03g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKKPRDSTAEIAPGDVISHRSPPVEHRPAGDVMMKKDQPAVKLYGTPFGIDTYYLRFALLYKPVALKFVPSDSHDTAAIEYESDVVSGSVDSLVRYLDDKFPEPQLLTGAGARLGETATPVVVRVVALQHRSMVWHLERMARWAEDLAARRGKARGDPAMGSPRMELKKFGRSYSQLLEVLLEHAQMEEKVVFPILEKADRGLCRAANEKHARDLPVMNGIKEDIKSIGVLDSGKPVYQEALSNLKTRLKTLKEHSKQHFEEEERELLPLMEATDLSKLQEVKALEQCLDTMQGTHSHLFRFFMEGLLPRDAMQYMDMIARCSDNERVCRLFRLVVEKENSLGLAISNTLK >itb13g12790.t1 pep chromosome:ASM357664v1:13:19092676:19100250:1 gene:itb13g12790 transcript:itb13g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVKQALGDLNKERFVALLGKLIGESKYVQNNPPELIPEEDRVVKHVLDSLLPFSTTTGGGPLVINHVTYKPNRGNLVVEYPGTQPGKVLSFVGMHMDVVTANPADWDFDPFSLSIDGDKLRGRGTTDCLGHVALVAELMRQLGETKPQLKSTVVAVFIASEENSSIPGVGVDALVKDGLLDKLKHGPLFWIDTADKQPCVGTGGMIPWKLKVTGKLFHSGLAHKAINPLELAMEALKEIQLRFYKDFPPHPKEQIYGFATPSTMKPTQWSYPGGGINQIPAECTVSGDVRLTPFYSVSDVMTKLQEYVDDLNANIDKLDTRGPVSKYALPDENLRGRLSICFDEASSGVACNLESRGFQVLCKATEEIVGHVKPYSITGTLPLIRDLQDEGFDVQTSGYGLMATYHAKNEYCLLTDMCQGYGVFASIISQLED >itb08g12670.t1 pep chromosome:ASM357664v1:8:12990510:12997280:-1 gene:itb08g12670 transcript:itb08g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLKNSINIKGSDLVQANAVQNGQMHYTDEQYTVAASVPYNKKKFNTGYKSKGRQNQETQQNPNNYPSVNQISDVGFSAEQLQRLMSLLQGQNQGNQASTSAAVTVTNSGLKSDFRNSIENNHDEGRSISNSYVNGQFGIMDGFASERDGSGHDNVSPEIFNPTLPLIPIETKVGPWEPTRQQTRHIDQYHNSPSIETTSINDHLSEPLADQTLHDDSPPEAHVEQPQSLNQLPVDHTDQQQPRRSTRTRVMPHRLQDYLCHSTKLIELKKASSWSRSEKQSEYKQNLPMSLAVAALSPLLVVPSGLSPFGLLNSPGSYLCFR >itb04g27870.t1 pep chromosome:ASM357664v1:4:31757764:31758129:-1 gene:itb04g27870 transcript:itb04g27870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVDPDFSLHIVAVPFPGRGHVNPLMNLCKIIAMARPEFLITEEWLGFLNSDDDDANKPANLRFGAIHNVIPSELIRAKVYTEFLKAVYTEMEAPVDRLLDSLASPPCKLYINTLIDVK >itb07g02090.t1 pep chromosome:ASM357664v1:7:1245128:1252765:1 gene:itb07g02090 transcript:itb07g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDRTDPRKSNDDPEGDLGPERSQLEAASTWAWFVLVCYMHRQRIQLLALFSWRRRTSICLDKGCAEFRDCCFPMAPKPKPKPSTAAAPAVALEDLFTTLNRHIERSEYQQAVKVADQILAAAPGDEDAIRCKIVALIKDDSVDVALASIQDSSKKSSIDFTFFKAYCLYRQNKLDEALETLKGQEENSEKMLLECQILYRLGKADECVDIYHKLQRSKIDSLEINIVAALVSAGRAPEVQGILDSLRVKPTSSFELAFNTACSLIERQKYKDAEQLLLSARRIGQESLMEENLADEDIEMELAPIAVQLAFVQKILGNREEALESYIGLIKRNLADDSSLAVAINNLIALKGPKDVSDSLRKIDKLIEKNDGPLRFQLARGLDLKLSPKQREAIYTNWVLLLLHSNKMDQARELVAALPRIFPDSIIPLLLQAAVHVRENKAAKAEEVLGQFAEKFPNKSKVVLLARAQVAAAAGHHQVAADSLGKLSDIQHKPATVATLVTLKERAGDIDGADLVFNAAIEWWSNAMTEDNKLDIIMQEAAAFKLRHGRKEEAARLYEKLVKSHGSIEALVGLIQTTAQSDVEKAEAYEKQLKPLPGLKAVDIDSLEKTSGAKGVGSGPTLSVAEAYETKSKEKPKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQIRGSQGAVAKEASSSSTSKPNPTANPKGASQNSNADQPKTSKSSKKKSRK >itb10g00180.t1 pep chromosome:ASM357664v1:10:118622:119165:-1 gene:itb10g00180 transcript:itb10g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQPFYNMHVGCVLSGFNLIVWCFRSKTSSSFYSCCWHYLLPYCFHQSFSDASRFISGAVMCGGFRGGGYVLKERKEGSHVFSAGVFTFRPLKQPELCNRKTKKNVADSRPVAVKSATHSDSGRSEKWPKGSRKHWQNICWSN >itb12g18900.t1 pep chromosome:ASM357664v1:12:21162977:21164139:-1 gene:itb12g18900 transcript:itb12g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNKNLSFFTFLLLFLKSSSLVQSSNATQSLDVLLHDHAFKALVNNHTRPRTGALYDATLPASLAGMKLSVVRLRSRTLWRKGANFSHFHIPPKTLPIPYVHRLIIVYHDLGNWSSVYFNVSGYSMMSPVVGFMAYDSDFIFRNFSRLEFNMTREPISVQFKGLNAGIHERTKCAIFNGRGEVSLSSIEGLNICYTRGPGHFSIVVPYKKKRRMKEWSFWMIGFGFGIVGLAMAGLVGKFCIEILKGKRMWEMEKEADEGESMDTIWIDKSRMPRATTTRTYPVLENPTF >itb11g05820.t1 pep chromosome:ASM357664v1:11:3450169:3451704:-1 gene:itb11g05820 transcript:itb11g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGRAIGRGASATVFAAAWADSGEVFAVKTAEVSRSEFLERERGILASLESPWIVGYKGCDVTKEEGKEMFNVMMEYMPGGNLLDGIRVNGGKLKEPAIGYYTEQILRGLEFLHSKGIVHCDIKSRNVLLGESGAKIADFGCAKWAGDRRPAAVGIGGTPVFMAPEAAQGKEQGFPADIWALGCTVIEMATGGASPWPNAANPASILYHIAYSGESPKIPDFLSDQAKDFLEKCLKINPKDRWTAAQLLNHPFLQESNPIPIPIPNQNQSSPTSILDQGIWNTMEGEDLIRTPSSLDSPSGRVRRLCFGSPELAEWEGNEDCWTTVRGGEKERGSVTAFSSNGMEVERCRCLSGDDNLLFNYAVDDNVSCSSSCCCSTGIEQVVVVITTLDFERLKDMSLCQ >itb12g23420.t1 pep chromosome:ASM357664v1:12:25142763:25145792:-1 gene:itb12g23420 transcript:itb12g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGGENDAVPHVLIFPLPIQSPVNSMLKLAELLCLAGVHVTFLITKHNHQRLLHSTDDDGGVESRFDRYPGSFRFEVISDGFPEDHPRSVDDFLDVLNSLQGVAEPHLREVFLRPEKGRKVTCGIVEATFSYAFEIGSEVGVPVFAFETISPCCLGVFLCIPQLFKAGKLPLIKGEDQETPVDVVPGMEGLLKVRDLPRFCRTEGPQAEKSRKLFMAEIHSLRKAHGLILNSFKELEGPILPHIRTHFPNTTYMIGPVQQHLKTRLADRPPSSNSLWREDKTCIQWLDEQPDESVIYVSFGSLSTLTMAQLMEVWHGLVSSGVRFLWVLRPDILKPGGELSDQNMVTQLKKNCSQNGQIVSWAPQEQVLAHPAIGGFWTHSGWNSTLESIIAGKPMICWAQIVDQLITRRVVSEVWKIGVELEDKCDRLSIEKMVKELMRSRRQELKKSAQKFSKLARESVNNGGSSYTSLDHLINDIRRLSSIEHFENTSS >itb13g26320.t1 pep chromosome:ASM357664v1:13:31635005:31637901:-1 gene:itb13g26320 transcript:itb13g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEAKHSTSQKLDLINKIQRLGVCYQFQKEIEVTLQHIFEAFDKFNVEENDNNLYTVSLGFQLLRQEGYPISSNVFEKFTNSEGKFKESLINNVQAILSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEVSEALKQPFYKRIRRLEAKRYISIYELDETHDPMLLKLAKLDFNMLQKEQQRELGIFTRWWKDLDVKNNFPFARDRFVEGYFWTVGIYFEPQYSLARKYFLKVILMSSIIDDICDAYGTPNELQLFSDAIERWDATAVNKLPEYMKIFYMALLDVYVEMEKDLAETGELYRVDYAKTEVKNLVRAYLKEDKWFRDQYVPKFEEYMKLANETCGVRLLVTTSLVGMEEDFVTKEAFDWISKDTLIIQAASVICRLMDDMAGHEFEQQRGQIASSVEWYMNQYKKSKEDTYKELQELVINAWKDLNQECFKPLFPMPILTRALNFSRMMDSLYVGGDSYTHSKTKMKEYITSLFVDPVP >itb07g19030.t1 pep chromosome:ASM357664v1:7:23467546:23471743:-1 gene:itb07g19030 transcript:itb07g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFIENSDEGGIAKRLWMKFKDESIFAMYTPFVIGLASGTLDSHTFLHCISQDVYFLQAYSQAYELAEEYADDDDDKEAIAMLRKRVLRKLSDQDNIVRDWGFELPKDGTCDSATLKYTDFLMATSLGKVEGEKFSGKIVTPFEKTKLAAYTLSAIAPCMRLYSFVTKEIKAIIDPEEDKRNAYEKWIDSLSSDKFEAVASTIEDLLDKLSISLTGGELEIVEKLYFQALKLELEFISAQPIIQSSLVPVSQVQVPVPAKSNLKVFCDFDMTCSAFDSSALLAEMAIMTARKAYLNESETQASQVITPDLSAAWGSLSSKYIEEYDQCIDSIIPAEAVGNFDYEGLYKALENLSDIEKRANAMVVDSGVLKGLSEDDIRRAGEHLIFQSGCKKFFQEIMRNEDLTSGVHVLSYCWSGDLIRSAFSSGDSGVLSVLSNELIYEGSITTGEIIQKMESPMDKLEAFNGILNCHGNASKPLTVYIGGSVGDLLCLLKADIGIVIGMSARLRTLGEQFGITFVPLFPGLVKKQRELSENGCCRWNEMSGILYTVSSWAEIHAAILGS >itb09g01390.t1 pep chromosome:ASM357664v1:9:861219:864542:1 gene:itb09g01390 transcript:itb09g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIMAGNSNGISNAQPETRTPDPQRAYQIVVAATRSLGIGKDGKLPWKLPSDLKFFKEVTVTTSDSGKKNAVVMGRKTWESIPLHYRPLPGRLNIVLTRSESFGVADSENVVICRSLSLARQMLAASPYCLSIEKVFVIGGGELFREALNANDCEAIHITEIETDVECDAFIPAIDTNVFQPWCTSFPLVENKLRYSFTTYVRVRSSRADLPIQNNDATPDNGLDLTRFEFKTFSFLPKMIFKKHEEYMYLKLVEDIIENGASKEDRTGTGTLSKFGCQMRFNLRKSFPLLTTKKVFWRGVVEELLWFISGSTNAKILQEKGIHIWDGNASRDYLDSIGLVDREDGDLGPIYGFQWRHFGASYTDMHADYTGQGFDQLLDVINKIRNNPNDRRIILSAWNPSDLNLMALPPCHMFAQFFVANGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAHVSGLVPGDFVHVIGDAHVYRTHIRPLQDQLQKSPRPFPILKINPENKDIDSFVASDFKLIGYDPHQKIEMKMAV >itb06g19320.t2 pep chromosome:ASM357664v1:6:22672277:22674890:1 gene:itb06g19320 transcript:itb06g19320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDHPDQQDKGLFSNLGQFVPGHYPQHGAYPHPQPGAYPPGAYPPQPGAYPPQPGTYPPQHGAYPPHGYPPAGYPPQGYPPAQGGYPPPGHPPGAYPSQSAPHHSGHGSGMGAMLATGAAGAVVGYGASQFLHGSSHHLPHGGAHYGRFGHGKFKHGKHGHYGRFGHGKFKHGKHGHYGPFGHGKFKHGMHGFGKWK >itb06g19320.t1 pep chromosome:ASM357664v1:6:22672277:22674890:1 gene:itb06g19320 transcript:itb06g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDHPDQQDKGLFSNLGQFVPGHYPQHGAYPHPQPGAYPPGAYPPQPGAYPPQPGTYPPQHGAYPPHGYPPAGYPPQGYPPAQGGYPPPGHPPGAYPSQSAPHHSGHGSGMGAMLATGAAGAVVGYGASQFLHGSSHHLPHGGAHYGRFGHGKFKHGKHGHYGRFGHGKFKHGKHGHYGPFGHGKFKHGMHGFGKWK >itb06g19320.t4 pep chromosome:ASM357664v1:6:22672277:22674890:1 gene:itb06g19320 transcript:itb06g19320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDHPDQQDKGLFSNLGQFVPGHYPQHGAYPHPQPGAYPPGAYPPQPGAYPPQPGTYPPQHGAYPPHGYPPAGYPPQGYPPAQGGYPPPGHPPGAYPSQSAPHHSGHGSGMGAMLATGAAGAVVGYGASQFLHGSSHHLPHGGAHYGRFGHGKFKHGKHGHYGRFGHGKFKHGKHGHYGPFGHGKFKHGMHGFGKWK >itb06g19320.t3 pep chromosome:ASM357664v1:6:22672296:22674890:1 gene:itb06g19320 transcript:itb06g19320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDHPDQQDKGLFSNLGQFVPGHYPQHGAYPHPQPGAYPPGAYPPQPGAYPPQPGTYPPQHGAYPPHGYPPAGYPPQGYPPAQGGYPPPGHPPGAYPSQSAPHHSGHGSGMGAMLATGAAGAVVGYGASQFLHGSSHHLPHGGAHYGRFGHGKFKHGKHGHYGRFGHGKFKHGKHGHYGPFGHGKFKHGMHGFGKWK >itb09g24920.t2 pep chromosome:ASM357664v1:9:24799246:24803146:1 gene:itb09g24920 transcript:itb09g24920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPESKPLSRQFPMEAKVAPLPRKLSSEAKVMSTRSISERKEVGNKPNLQGFQDLDIAVPLQKQIGESYGQEQEEVMADAGSFKRSGDSYEDSGSTSFHGVSHPPEPIDTDLMRPVYVPIGQDKGNGKCLVKNVAVKGPFLEDLSIHVPYKKPSSSLLSPAESIIEESNDLATISSPFAVPRPSQHTEVSLPHDSEERECIWDASLPPSGNASPHSSIDSSGVVRAMSVANSCTSTYRSDGMMSDGMLSVDRNYKSTKASMRGDSLESTKTSLSRASDSSGLSDDSTWSNITGGANKPHKGNDPRWKAILAIRARDGILGMSHFKLLKRLGCGDIGSVYLSELSGTHCYFAMKVMDKASLASRNKLNRAQTEREILQLLDHPFLPTLYSHFETDRFSCLVMEYCPGGDLHTSRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSDSSKQGAAFCVQPACIEPTSVCIQPACFLPRIFPQKSKKKSSKSRAEPSLTSSALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRQTLFNVVGQDLKFPDSPATSYASRDLIRGLLVKDPHKRLGTKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAEYPWKLGQVDPIGVSNSSKIVGSDMRSEGKYLDFEFF >itb09g24920.t1 pep chromosome:ASM357664v1:9:24799246:24803146:1 gene:itb09g24920 transcript:itb09g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPESKPLSRQFPMEAKVAPLPRKLSSEAKVMSTRSISERKEVGNKPNLQGFQDLDIAVPLQKQIGESYGQEQEEVMADAGSFKRSGDSYEDSGSTSFHGVSHPPEPIDTDLMRPVYVPIGQDKGNGKCLVKNVAVKGPFLEDLSIHVPYKKPSSSLLSPAESIIEESNDLATISSPFAVPRPSQHTEVSLPHDSEERECIWDASLPPSGNASPHSSIDSSGVVRAMSVANSCTSTYRSDGMMSDGMLSVDRNYKSTKASMRGDSLESTKTSLSRASDSSGLSDDSTWSNITGGANKPHKGNDPRWKAILAIRARDGILGMSHFKLLKRLGCGDIGSVYLSELSGTHCYFAMKVMDKASLASRNKLNRAQTEREILQLLDHPFLPTLYSHFETDRFSCLVMEYCPGGDLHTSRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSDSSKQGAAFCVQPACIEPTSVCIQPACFLPRIFPQKSKKKSSKSRAEPSLTSSALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRQTLFNVVGQDLKFPDSPATSYASRDLIRGLLVKDPHKRLGTKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAEYPWKLGQVDPIGVSNSSKIVGSDMRSEGKYLDFEFF >itb09g24920.t3 pep chromosome:ASM357664v1:9:24799739:24803146:1 gene:itb09g24920 transcript:itb09g24920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPESKPLSRQFPMEAKVAPLPRKLSSEAKVMSTRSISERKEVGNKPNLQGFQDLDIAVPLQKQIGESYGQEQEEVMADAGSFKRSGDSYEDSGSTSFHGVSHPPEPIDTDLMRPVYVPIGQDKGNGKCLVKNVAVKGPFLEDLSIHVPYKKPSSSLLSPAESIIEESNDLATISSPFAVPRPSQHTEVSLPHDSEERECIWDASLPPSGNASPHSSIDSSGVVRAMSVANSCTSTYRSDGMMSDGMLSVDRNYKSTKASMRGDSLESTKTSLSRASDSSGLSDDSTWSNITGGANKPHKGNDPRWKAILAIRARDGILGMSHFKLLKRLGCGDIGSVYLSELSGTHCYFAMKVMDKASLASRNKLNRAQTEREILQLLDHPFLPTLYSHFETDRFSCLVMEYCPGGDLHTSRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSDSSKQGAAFCVQPACIEPTSVCIQPACFLPRIFPQKSKKKSSKSRAEPSLTSSALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRQTLFNVVGQDLKFPDSPATSYASRDLIRGLLVKDPHKRLGTKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAEYPWKLGQVDPIGVSNSSKIVGSDMRSEGKYLDFEFF >itb06g15760.t6 pep chromosome:ASM357664v1:6:20032804:20036499:-1 gene:itb06g15760 transcript:itb06g15760.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNLNPWGKYAVYDCNTGTFAGTAKGELNSTPPIFNLDEDGDLVLTRRRKPPKDQIIITIQHNITSSIPRVGLQIWRAELVLADFVLHMISMSSIFDGKIAVELGAGTGLVGMLLAHVAKTVFITDHGEEILDNCAKNVNTNAGIFHQNAQIHVRELDWKDSWPPQVAEDLQSKKSYAWTQKEIEELKKASVLLAADVIYSDDLTDAFFSTLERLMSENPEKV >itb06g15760.t3 pep chromosome:ASM357664v1:6:20032930:20036426:-1 gene:itb06g15760 transcript:itb06g15760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNLNPWGKYAVYDCNTGTFAGTAKGELNSTPPIFNLDEDGDLVLTRRRKPPKDQIIITIQHNITSSIPRVGLQIWRAELVLADFVLHMISMSSIFDGKIAVELGAGTGLVGMLLAHVAKTVFITDHGEEILDNCAKNVNTNAGIFHQNAQIHVRELDWKDSWPPQVAEDLQSKKSYAWTQKEIEELKKASVLLAADVIYSDDLTDAFFSTLERLMSENPEKVLYLALEKRYNFTLDDLDVVANGYSHFRSYLRDVDDAEHNELDSSSSSPCFLGKQINLTEIPKYVREYDRGNDVEIWEIKYQH >itb06g15760.t2 pep chromosome:ASM357664v1:6:20032804:20036757:-1 gene:itb06g15760 transcript:itb06g15760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECKEDEEEPLPSSPQTTTGGESAEEEQVMSEVHLGCPPFHSGSHLSHFTIYLPPRKVYDCNTGTFAGTAKGELNSTPPIFNLDEDGDLVLTRRRKPPKDQIIITIQHNITSSIPRVGLQIWRAELVLADFVLHMISMSSIFDGKIAVELGAGTGLVGMLLAHVAKTVFITDHGEEILDNCAKNVNTNAGIFHQNAQIHVRELDWKDSWPPQVAEDLQSKKSYAWTQKEIEELKKASVLLAADVIYSDDLTDAFFSTLERLMSENPEKVLYLALEKRYNFTLDDLDVVANGYSHFRSYLRDVDDAEHNELDSSSSSPCFLGKQINLTEIPKYVREYDRGNDVEIWEIKYQH >itb06g15760.t4 pep chromosome:ASM357664v1:6:20032804:20036739:-1 gene:itb06g15760 transcript:itb06g15760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MECKEDEEEPLPSSPQTTTGGESAEEEQVMSEVHLGCPPFHSGSHLSHFTIYLPPRKVYDCNTGTFAGTAKGELNSTPPIFNLDEDGDLVLTRRRKPPKDQIIITIQHNITSSIPRVGLQIWRAELVLADFVLHMISMSSIFDGKIAVELGAGTDHGEEILDNCAKNVNTNAGIFHQNAQIHVRELDWKDSWPPQVAEDLQSKKSKVIFQLRLDTKRN >itb06g15760.t5 pep chromosome:ASM357664v1:6:20032804:20036739:-1 gene:itb06g15760 transcript:itb06g15760.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MECKEDEEEPLPSSPQTTTGGESAEEEQVMSEVHLGCPPFHSGSHLSHFTIYLPPRKVYDCNTGTFAGTAKGELNSTPPIFNLDEDGDLVLTRRRKPPKDQIIITIQHNITSSIPRVGLQIWRAELVLADFVLHMISMSSIFDGKIAVELGAGTDHGEEILDNCAKNVNTNAGIFHQNAQIHVRELDWKDSWPPQVAEDLQSKKSYAWTQKEIEELKKASVLLAADVIYSDDLTDAFFSTLERLMSENPEKV >itb06g15760.t1 pep chromosome:ASM357664v1:6:20032804:20036757:-1 gene:itb06g15760 transcript:itb06g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKEDEEEPLPSSPQTTTGGESAEEEQVMSEVHLGCPPFHSGSHLSHFTIYLPPRKVYDCNTGTFAGTAKGELNSTPPIFNLDEDGDLVLTRRRKPPKDQIIITIQHNITSSIPRVGLQIWRAELVLADFVLHMISMSSIFDGKIAVELGAGTGLVGMLLAHVAKTVFITDHGEEILDNCAKNVNTNAGIFHQNAQIHVRELDWKDSWPPQVAEDLQSKKSYAWTQKEIEELKKASVLLAADVIYSDDLTDAFFSTLERLMSENPEKV >itb07g08280.t1 pep chromosome:ASM357664v1:7:6478907:6479586:1 gene:itb07g08280 transcript:itb07g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHYFLACFSLLFIQTALGDLVCEQLPVGICSFSIASSGNRCVLETYSSGDGSMKLQCKTSEVVVGTREHIETDECIAACGVDRKSLGISSDFLLDHRFTAKLCSPQCYQNCPNIVDLYYNLASEEGVLLPDLCKALRTSSGRAMTSRFLSSGAAFGPAASAAAAPTTSEAAFGPISSVDCAPPPM >itb09g31110.t2 pep chromosome:ASM357664v1:9:31603639:31607535:1 gene:itb09g31110 transcript:itb09g31110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLALVSLAIPISLARSRSPFAHCISPRLRWVFPASATAAPSSWKCINTCVRSAALPKYPTPGENNKVDDEEYIVVNFYCFVFIHDPQELLAKHLSFMEGRDIHGRIYLNEQGINAQYSGPKKDALAYVEFVKEDQRFSDILVQTSSTFCSHVFPRLKLRYKPSLVQVEGKIADLPLLDTSMRATPLTPSQWKNRLEAVNNVNNSSNVDVNTKSIILDVRNGYEWDIGHFQGAHRPNVDCFRSTSFGLSDSEVLDSDPLAAVDKDKTDILMYCTGGIRCDVYSTMLRRRGFKRLYTLKGGVSNYLKTEGSVGWIGNLFVFDARLSLPPSSYNSEAESEQGRVQEVVSHVKAFAKCYLCDSSVSELRHRNCANIDCNLLFL >itb09g31110.t1 pep chromosome:ASM357664v1:9:31603575:31607964:1 gene:itb09g31110 transcript:itb09g31110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLALVSLAIPISLARSRSPFAHCISPRLRWVFPASATAAPSSWKCINTCVRSAALPKYPTPGENNKVDDEEYIVVNFYCFVFIHDPQELLAKHLSFMEGRDIHGRIYLNEQGINAQYSGPKKDALAYVEFVKEDQRFSDILVQTSSTFCSHVFPRLKLRYKPSLVQVEGKIADLPLLDTSMRATPLTPSQWKNRLEAVNNVNNSSNVDVNTKSIILDVRNGYEWDIGHFQGAHRPNVDCFRSTSFGLSDSEVLDSDPLAAVDKDKTDILMYCTGGIRCDVYSTMLRRRGFKRLYTLKGGVSNYLKTEGSVGWIGNLFVFDARLSLPPSSYNSEAESEQGRVQEVVSHVKAFAKCYLCDSSVSELRHRNCANIDCNLLFLCCTECLTDLRGCCCLNCTTAPRLRPVLLGHQRYKKWHHYRDLEMQSR >itb12g22030.t3 pep chromosome:ASM357664v1:12:24233832:24239065:1 gene:itb12g22030 transcript:itb12g22030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESTGLSSYYHHQTVHPPTANPNAAAAAAAVSASNVVPTNGMLPNAGNSGAAAAGGAASHMVYPGSMPSAVSSPMDTVKRKRGRPRKYGTPEQAAAAKRMSSAASASNSLPKKRDQAALGGGGGGSSYSLNKSQFAGIGDEGQGFTPHVINVVAGEDVSNKIMTFMQQSKREICILSASGAVSSASLLQPSTSGGSVTYEI >itb12g22030.t2 pep chromosome:ASM357664v1:12:24233832:24239072:1 gene:itb12g22030 transcript:itb12g22030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESTGLSSYYHHQTVHPPTANPNAAAAAAAVSASNVVPTNGMLPNAGNSGAAAAGGAASHMVYPGSMPSAVSSPMDTVKRKRGRPRKYGTPEQAAAAKRMSSAASASNSLPKKRDQAALGGGGGGSSYSLNKSQFAGIGDEGQGFTPHVINVVAGEDVSNKIMTFMQQSKREICILSASGAVSSASLLQPSTSGGSVTYEGRFDILSLSGSYVSNGRGGKTGGLSVCLASSDGQIIGGGVGGPLKAAGQIQERSLLTQRGI >itb12g22030.t1 pep chromosome:ASM357664v1:12:24233832:24239072:1 gene:itb12g22030 transcript:itb12g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESTGLSSYYHHQTVHPPTANPNAAAAAAAVSASNVVPTNGMLPNAGNSGAAAAGGAASHMVYPGSMPSAVSSPMDTVKRKRGRPRKYGTPEQAAAAKRMSSAASASNSLPKKRDQAALGGGGGGSSYSLNKSQFAGIGDEGQGFTPHVINVVAGEDVSNKIMTFMQQSKREICILSASGAVSSASLLQPSTSGGSVTYEGRFDILSLSGSYVSNGRGGKTGGLSVCLASSDGQIIGGGVGGPLKAAGQIQVFVGTFIVDSKRDITGGVRHETPSQVGVSPPMPGVGFHSGFNSSHQNMGGSQFMSQPRGMQPTPLQWRADAAGHAVHQSPENGGFDHLGE >itb08g15560.t1 pep chromosome:ASM357664v1:8:17721822:17727444:-1 gene:itb08g15560 transcript:itb08g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MAVGILNYLIPLNLDPQRPRNSRRVRSFRRAVTVKASLNNSQLRRENLKGGSYGGPEPKREWVADWVSNNDGFVRSLPIYVGGLSLVAVLVNRAVSGIAPVADAGSSQSRADLLTLGLAVTNILNGLVWLSIKPKSISVVDPIGVDCQRIASDLPEFVISELFWAWDSLSNATRCRSLVIVYDSKCILQIGVAAVSSSDDAVLVDANKLMQGSLYQDATKSGSQRYLANLSLYPGKSELPFLPLNTQAVILQPIGDKGIAIIGGDTVRGFTTSDQAWITLIGEKLDATLTKVVSDIPAGGVR >itb08g15560.t2 pep chromosome:ASM357664v1:8:17721822:17727444:-1 gene:itb08g15560 transcript:itb08g15560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MAVGILNYLIPLNLDPQRPRNSRRVRSFRRAVTVKASLNNSQGGSYGGPEPKREWVADWVSNNDGFVRSLPIYVGGLSLVAVLVNRAVSGIAPVADAGSSQSRADLLTLGLAVTNILNGLVWLSIKPKSISVVDPIGVDCQRIASDLPEFVISELFWAWDSLSNATRCRSLVIVYDSKCILQIGVAAVSSSDDAVLVDANKLMQGSLYQDATKSGSQRYLANLSLYPGKSELPFLPLNTQAVILQPIGDKGIAIIGGDTVRGFTTSDQAWITLIGEKLDATLTKVVSDIPAGGVR >itb08g15560.t3 pep chromosome:ASM357664v1:8:17721822:17727444:-1 gene:itb08g15560 transcript:itb08g15560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MAVGILNYLIPLNLDPQRPRNSRRVRSFRRAVTVKASLNNSQGGSYGGPEPKREWVADWVSNNDGFVRSLPIYVGGLSLVAVLVNRAVSGIAPVADAGSSQSRADLLTLGLAVTNILNGLVWLSIKPKSISVVDPIGVDCQRIASDLPEFVISELFWSLVIVYDSKCILQIGVAAVSSSDDAVLVDANKLMQGSLYQDATKSGSQRYLANLSLYPGKSELPFLPLNTQAVILQPIGDKGIAIIGGDTVRGFTTSDQAWITLIGEKLDATLTKVVSDIPAGGVR >itb14g04160.t1 pep chromosome:ASM357664v1:14:3698217:3700559:-1 gene:itb14g04160 transcript:itb14g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVAAAATSRLILTSPPSATPLSSLALPPSPFPGLRSSTLPRLHRTNPSLTICHAKFGGSIREEGEGIFGSSFGDEIMGEEEYESDDDEEDDDTESSIDLLIRFVRSIFKKVSKRARKATSSILPDIISPQLVSFAVDGVLILASLSILKAFLEVICTLGGTVFVVILLLRVLWSAVDYFQSSSTGFNQSGASYGNSQPVT >itb03g17030.t2 pep chromosome:ASM357664v1:3:15786621:15791444:1 gene:itb03g17030 transcript:itb03g17030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSTASSSGNNLSPPSKDRQCYWEKPADIFEKSRSRKKILEDQNSGATPTLRRSLSLSSGSLNDGVVAHRDFLDGSGSQCRGNNVQVRKSGRRSSRGRPLMPERRPRAKCLNDVETSYKVRNDYGSSFIPHIDSSESSSHCSSNISSKVLDLYIDGEQEQEKSGSTNDLRGKDHADCECGGIQLPPRVQHTAPASPPPNTKKKKPKSQSFREPAGPPQLYISSWELMENGFGHESPRKLAKKVVERLSQSQLLAERSSKEFDADTPITIEDIYSGNVSRCPSVCSDSVSQNHYSINGLNGTVGEYHGEAIHSFQERNSFSSANCSNMGNAYAEEDSDSELYRKFNEADELAKVLSEDLEEQNFFQGRGLSVPALIQKIRSLTEEKLQMAIETSAALQGQITERASAKEEVRLLRAELDSQTQRLEKEKNDMQSSLEKELDRRSSEWSIKLERYRSEEHRLRERVRELAEQNVSLQREVSTLSEAEVNNRSRISYSEKQLDDLVKRVEKEREENQILERNVYELNEKYRTAHEDQDCIRRNYEDKVKECKDLHRSITRLQKTCSEQERTIDGLRVFYEEVSVKNSTRDFDNELTKLRMEQMRLVGVECGLRKEVESYRLEVDSLRHENINLLNRLKGAGRDAGFSTFKLDQELQNRVSCLENQGLSLLKESTLVCEKLFDYIKANAGDTFKDGLGNLDRGLDGQFIVESDVKILGFKRGVDTLMKSLQNVSVVLHEKSESRLPSLESKIHQLNGQKPEDIMQSELKAETLLTSLLREKLYTKELDMEQLQAELAASVRGNDMLKCELQNARDTLSCVTYKMKDLELQMIKKDDNINQLQNNLQECMKELTVVKGILPKVSQERDVMWEEVKQYSEKNMLLNSEINALKKKIETLEEDILLKEGQITILKDTLGKPFDLLSSSGSTRDFMLD >itb03g17030.t1 pep chromosome:ASM357664v1:3:15785843:15791444:1 gene:itb03g17030 transcript:itb03g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSTASSSGNNLSPPSKDRQCYWEKPADIFEKSRSRKKILEDQNSGATPTLRRSLSLSSGSLNDGVVAHRDFLDGSGSQCRGNNVQVRKSGRRSSRGRPLMPERRPRAKCLNDVETSYKVRNDYGSSFIPHIDSSESSSHCSSNISSKVLDLYIDGEQEQEKSGSTNDLRGKDHADCECGGIQLPPRVQHTAPASPPPNTKKKKPKSQSFREPAGPPQLYISSWELMENGFGHESPRKLAKKVVERLSQSQLLAERSSKEFDADTPITIEDIYSGNVSRCPSVCSDSVSQNHYSINGLNGTVGEYHGEAIHSFQERNSFSSANCSNMGNAYAEEDSDSELYRKFNEADELAKVLSEDLEEQNFFQGRGLSVPALIQKIRSLTEEKLQMAIETSAALQGQITERASAKEEVRLLRAELDSQTQRLEKEKNDMQSSLEKELDRRSSEWSIKLERYRSEEHRLRERVRELAEQNVSLQREVSTLSEAEVNNRSRISYSEKQLDDLVKRVEKEREENQILERNVYELNEKYRTAHEDQDCIRRNYEDKVKECKDLHRSITRLQKTCSEQERTIDGLRVFYEEVSVKNSTRDFDNELTKLRMEQMRLVGVECGLRKEVESYRLEVDSLRHENINLLNRLKGAGRDAGFSTFKLDQELQNRVSCLENQGLSLLKESTLVCEKLFDYIKANAGDTFKDGLGNLDRGLDGQFIVESDVKILGFKRGVDTLMKSLQNVSVVLHEKSESRLPSLESKIHQLNGQKPEDIMQSELKAETLLTSLLREKLYTKELDMEQLQAELAASVRGNDMLKCELQNARDTLSCVTYKMKDLELQMIKKDDNINQLQNNLQECMKELTVVKGILPKVSQERDVMWEEVKQYSEKNMLLNSEINALKKKIETLEEDILLKEGQITILKDTLGKPFDLLSSSGSTRDFMLD >itb09g13850.t1 pep chromosome:ASM357664v1:9:9075687:9080919:-1 gene:itb09g13850 transcript:itb09g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLLSRIKPLCNQKPNRSSPSSLRVAPRIKELVIQVCEILRTQSHWEHNLETRLSEAQVVPSEIAHLVFDKIQDAELGLKFFDWVSQRPYGCPLDGFAYSSLLKLLAKFRAFPEIEALLLESTKCEETLPTREAFCHVIQACLNSGLVDKALQLYSFVLKTYNLLPDIVACNSLLHALVNNGRVEVARRVYTEMVRRYNGIQNHCLDNYSTCIIVRGLCKEGKVDEGSKLIRDRWGKDCVPNIVFYNILIDGYCKKGDIKSAYKLFQNLNLMGFLPTVETYGAMINGFCKEGSFEKVDELLRDMVARGLSVNVHVNNTIINAKYRHGFALKPLDVIRKMLEEGCEPDLVTYNTLISASCKAGKIEEAEKLLGNARDRGLVPNKRSYTPLMHVYCTQGDTDKASDLLLKMAELGDPPDLSTYGAFIHGFVHNGHVDVALNILDRMIEKGVLPDSGIYNVLMNGLCKKGKLLAAKQLLSEMLYNKILPDMYIYATLLDGIIRSGELNEAKKHFEHALEKGVNPGVVGHNAMIKGYCKFGMMNEAVSCVCRMKKMNTSPDEYTYSTIIDGFAKQHNLGGALTTFCQMVKQKCLPNVVTYTSLINGFCHIGDFQRALKYFHYMQSNGLIPNVVTYTILIGGFCKDGELAKAASLFERMLISKCCPNDYTFNCLVNGFSNYTQSVVLKEGNNTQNCKKSMFLDAFKRMVSDGWLPKTAAYNSIIICLSLHGMLKAALQLQGNMASKGLCMDSVSFAALLHGICLDGKSKEWKSIIPCNLNEPELCIALNYSQIFDQYLAHGMNFEASVILQNLVNDCKSHYLHMDNIEVS >itb09g13850.t2 pep chromosome:ASM357664v1:9:9076774:9080855:-1 gene:itb09g13850 transcript:itb09g13850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLLSRIKPLCNQKPNRSSPSSLRVAPRIKELVIQVCEILRTQSHWEHNLETRLSEAQVVPSEIAHLVFDKIQDAELGLKFFDWVSQRPYGCPLDGFAYSSLLKLLAKFRAFPEIEALLLESTKCEETLPTREAFCHVIQACLNSGLVDKALQLYSFVLKTYNLLPDIVACNSLLHALVNNGRVEVARRVYTEMVRRYNGIQNHCLDNYSTCIIVRGLCKEGKVDEGSKLIRDRWGKDCVPNIVFYNILIDGYCKKGDIKSAYKLFQNLNLMGFLPTVETYGAMINGFCKEGSFEKVDELLRDMVARGLSVNVHVNNTIINAKYRHGFALKPLDVIRKMLEEGCEPDLVTYNTLISASCKAGKIEEAEKLLGNARDRGLVPNKRSYTPLMHVYCTQGDTDKASDLLLKMAELGDPPDLSTYGAFIHGFVHNGHVDVALNILDRMIEKGVLPDSGIYNVLMNGLCKKGKLLAAKQLLSEMLYNKILPDMYIYATLLDGIIRSGELNEAKKHFEHALEKGVNPGVVGHNAMIKGYCKFGMMNEAVSCVCRMKKMNTSPDEYTYSTIIDGFAKQHNLGGALTTFCQMVKQKCLPNVVTYTSLINGFCHIGDFQRALKYFHYMQSNGLIPNVVTYTILIGGFCKDGELAKAASLFERMLISKCCPNDYTFNCLVNGFSNYTQSVVLKEGNNTQNCKKSMFLDAFKRMVSDGWLPKTAAYNSIIICLSLHGMLKAALQLQGNMASKGLCMDSVSFAALLHGICLDGKSKEWKSIIPCNLNEPELCIALNYSQIFDQYLAHGMNFEASVILQNLVNDCKSHYLHMDNIEVS >itb06g14370.t1 pep chromosome:ASM357664v1:6:18935025:18940970:1 gene:itb06g14370 transcript:itb06g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGFANPAELSAASPSSSASLGFYQKKAPSSFIPRQCISSGYASRRKSLFHQRRFSVRCSFIPMDSAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDAQALLQSAAQNPIQIGELLTRGLGTGGNPNLGEQAADESKEAIANALKGSDMVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDERYNGEIHVTIIATGFTQSFQRTLLDPRGAKAADKGTGSQENAGSLVTPRTSTSQSTNSQPSFRKLFF >itb12g10150.t1 pep chromosome:ASM357664v1:12:8110551:8111493:1 gene:itb12g10150 transcript:itb12g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPCSVPKIDDLLYTPSLLNNLTTLSLSAFLLPNLRPIAAFSDLLPRPPDSGAPPSRFSHSPDSLRINLDTSRGLEGRKEVEPEFRYQ >itb02g12440.t1 pep chromosome:ASM357664v1:2:8498836:8499390:1 gene:itb02g12440 transcript:itb02g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGQIPKSFKNCSSLVRVSLAENQLTGNVSELFGAYPELLFMELSANRLSGELSSNWGEAKKLNQLLANNNITCAIPPEIGNLTQLGFLDLSSNHLSGGIPKELGRLSSLLKLHLQNNMLSGPIPQELGSLTEFLRLDLSNNRLNSSILGMIGSFMLLIYLNLSNNNLICEIPIEISKLCCFN >itb05g28380.t1 pep chromosome:ASM357664v1:5:31848239:31849105:-1 gene:itb05g28380 transcript:itb05g28380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIANCALKRCYSPESQLQRFSSSTNPLQSPRLTTPVRRSRPPTPSGLHPRQNSDQAVTPSGFCLSASPSSDANALALVQKSQIHRHAFPWKFELNSKGEETERRRKSCDH >itb12g10850.t1 pep chromosome:ASM357664v1:12:8932477:8934812:1 gene:itb12g10850 transcript:itb12g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTADPSQPPPPLPPSQPPRSSFSCDRHPDEKFSGFCPECLCERLTTLDQSSSNNPSSSRRNSTSSAAAALKSLFSKPSTSAAPPPPPPPKPSRPSSTFFPELRRTKSFSASKNESLSLSTAAFEPQRKSCDVRVRNTLFSLEPACSQNPHSKGIADSFTSRPVLEFEEEEEREDPGNEQYSSGHRNEADVIDEITELPEPLVENAVTSEIVGEDILKPMKDHIDLDSQTKKPSGGGLAGSIFSRKWQKWRKKQKLKKQENGKTSAAMPAEKANSKQNREIQPEMTDYGFGRRSCDTDPRFSLDVGRISFDGPRYSLDEPRASWDGYLIGRSFPRMAPMVSVVEDAPVVHVTRTDTQIPVEEPPVMMNFSNEDETVPGGSAQTREYYLDSSSRRRKSLDRSSSIRKAAAAVVAEIDEPKTVSNAKVLPASDSFHRAKAMVGEKDSISYSNSLRDDCSETFELTSFKDNTSMVGIGNGNGNGERKNLKKPKKWAWNIFGFISRGRGGGSKDEDDDRYSRANGTEISFSESWQDLGRDNGDARGGLNRKLFRSNSSVSWRNASNNNGPFEAARKSNVEMNGHGKERRDDFVLGRNRSACYFPNRIDNGLLRFSLTPVRGSR >itb08g16780.t1 pep chromosome:ASM357664v1:8:18826614:18829877:-1 gene:itb08g16780 transcript:itb08g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFWNVRGLNKPLKSAKIIKFIRQNRIAFMGLLETKFSLRNLNRFMERRLQNWSSFSDYSIIYRESVWTRPLTGTFQFLLAIKLKALKHPLKALNRAEFGHISDRAAKANLDFQNAFHNLDVVSASDGDRRHVSILRERALFLAEAERQFFCQKLKTKHLVNADKGSKYFHDLIRNRQRSSAIPTICALDGTLSISLDHVGEIFVNFFSDLFGSARDRQQSMSNFIDNGPKGLSSVRNMILLKVGSRADVVSKMLSWTVEGKLSAAMVYDFLREKGHQLACWRNIWKFHIPPKYSFITWLALRERLLTRDRLQFLDLDGHCSLCVGAMESANHLFFTCPFSLQVWSEVCRWFGFPFKTKTIRGALRWIKKAHVGTRIQSKGIYLALLCTIYQLWKIRNAVLHNEESFRSICGR >itb12g07860.t1 pep chromosome:ASM357664v1:12:6027952:6032880:1 gene:itb12g07860 transcript:itb12g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEVGCQQDTSFPDGFNANYLKVYYGRLFPYVDMFKWLSYGNDGKHPGCDQSYFGRREFSFTLDNNIYLRFQSFNSASDLENAIKEKCPFKIDIGAVYNVDPAKRHAYAQGDNNFTPLERELVFDIDISDYDDVRYCCSGADVCSNCWPLMTVAIKVIDTSLREDFGFNHILWVYSGRRGVHCWVCDLKARRLNNEQRAAVADYFRVYKGNENSNRKVSLMGPALHPFLVRSYTEVLRDFFENKLLLSQNLFSSQERCEKILEMIPDEHIVSELRAKWQENKRVKDDINVFRWEQLKHLLQSGKHKAQGIRRCVEEIVFSFTYPRLDMEVSRHLNHLLKAPFCVHPQTGRVCIPIDPNECEEFDPCDAPTLSRLLGELNMGGFRADMDSEWDGTSLGKSVRYFRQSFLQPLLKSCKEDIESCYSAKIQQGKNSLSW >itb06g05510.t2 pep chromosome:ASM357664v1:6:8195572:8199641:-1 gene:itb06g05510 transcript:itb06g05510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNLVLLVCLFCSCLGFETPIQNCHPSDFLGLKQFAGNLTKGSFLSSWSNESNCCTWDGVECEGGGNSLAAGRVIKLNLSGKGLKGVVSKSLESLGELRFLDLSHNHLDGGLPLDFSKLKQLEVLDLSYNFFNGAVLGELNGLESIHSINISSNKFTGDLIDFGEFPALLVLNVSNNSFSGALTSHICSVSKNIRVLDFSMNHFTGVLQGLENCSLSLRELHLDSNFLSGELPQSLYSMSSLEQLSVSANSFSGQLARELSKLSNLKSLVLCANQFSGVIPDVFGNLTRLELLVLHSNLFSGRLPSTFALCSSLQVLDLKNNSLSGHIDLDFTGLPKLCHLDLATNHFSGPLPESLSSCQELKVLSLSKNKLGGSIPESYASLSSLEFLTLSNNSFENLSGALSVLQHCRNLSTLVLTMNFNGEEIPNNVSGFENLTFLALGNCGLRGQIPMWLYNCSKLQVLDLSWNHLDGSIPSWIGKMDRLFYLDFSNNSLTGEIPKSLTELKSLISPCSYLSSLNSSTIIPFFVKRNLSGSGLQYNQVSSFPPSIYLSNNRINGTIWPEIGQLKQLHVFDLSMNNITGSIPDSISEMSNLEVLDLSHNELWGSIPSSFNKLTFLSKFSVAYNHLKGAIPTGGQFFSFPNSSFEGNAGLCGKIISPCAVNNIGLQQPPPSASSKGLGRSSIFGIAISLAVGIMVLLAFVLLKMSRRNVQCPVDDLEEEMSRAGRLSEAFGPSKLVLFQISDCKDLTVADLLKSTNNFNQSNIIGCGGFGLVFKADLPNGAKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVALQGYCRHGSDRLLIYSYMENGSLDYWLHESVDGTSFLTWDARLKIAQGSARGLAYLHKEPNIVHRDIKTSNILLDERFEAHLADFGLSRLLCPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGKRPVEVCKGKNCRDLVSWVLQMKLEKREEEIFDSSIWDKDREKQLLEVLAIACKCIHQDPRQRPTIDHVVSWLEAIGPDQKPK >itb06g05510.t1 pep chromosome:ASM357664v1:6:8195572:8199783:-1 gene:itb06g05510 transcript:itb06g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEQLSVSANSFSGQLARELSKLSNLKSLVLCANQFSGVIPDVFGNLTRLELLVLHSNLFSGRLPSTFALCSSLQVLDLKNNSLSGHIDLDFTGLPKLCHLDLATNHFSGPLPESLSSCQELKVLSLSKNKLGGSIPESYASLSSLEFLTLSNNSFENLSGALSVLQHCRNLSTLVLTMNFNGEEIPNNVSGFENLTFLALGNCGLRGQIPMWLYNCSKLQVLDLSWNHLDGSIPSWIGKMDRLFYLDFSNNSLTGEIPKSLTELKSLISPCSYLSSLNSSTIIPFFVKRNLSGSGLQYNQVSSFPPSIYLSNNRINGTIWPEIGQLKQLHVFDLSMNNITGSIPDSISEMSNLEVLDLSHNELWGSIPSSFNKLTFLSKFSVAYNHLKGAIPTGGQFFSFPNSSFEGNAGLCGKIISPCAVNNIGLQQPPPSASSKGLGRSSIFGIAISLAVGIMVLLAFVLLKMSRRNVQCPVDDLEEEMSRAGRLSEAFGPSKLVLFQISDCKDLTVADLLKSTNNFNQSNIIGCGGFGLVFKADLPNGAKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVALQGYCRHGSDRLLIYSYMENGSLDYWLHESVDGTSFLTWDARLKIAQGSARGLAYLHKEPNIVHRDIKTSNILLDERFEAHLADFGLSRLLCPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGKRPVEVCKGKNCRDLVSWVLQMKLEKREEEIFDSSIWDKDREKQLLEVLAIACKCIHQDPRQRPTIDHVVSWLEAIGPDQKPK >itb04g25990.t1 pep chromosome:ASM357664v1:4:30386365:30387253:-1 gene:itb04g25990 transcript:itb04g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTSSSSNLRITVQKNVSDAQLSELGIKSWPKWGCSPGKYQLKFDAEETCYLLRGKVKVYPKNTTSSETVEFGAGDLVVIPKGLSCTWDVSVAVDKHYKFDSSSSS >itb10g00360.t1 pep chromosome:ASM357664v1:10:232511:235995:-1 gene:itb10g00360 transcript:itb10g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFVGGRFSRQKKLLLLSRHFLSTADVSSSTSSKLRTKYSFVPPSSLKPPTNPNPSRDPPPQKKPKPRFRPPSSLDRDAQQQAVSTLPFDFRFSYTESSPTVRPIGLREPKYSPFGPGRLERVWTGVCAPAVDPKQGSPQDEAKLEEERRVIREKIQGEPLTNAERKALVERCQRHRTKRQINLGRDGLTHNMLNDIHNHWKHAEAVRIKCMGVPTVNMKNVCSQLEDKTFGKIIQRHGGSIVLYRGRNYDGKKRPVIPLMLWKPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLAKNGYYASLVPMVRDAFLTEELVRIDCKGLPRSDYKKIGCKLRDLVPCILVTFEKEQIVVWRGKHYKPKEDVFLLPDREIFDDPNDTLGNFPEENETRGEIEFC >itb02g05980.t1 pep chromosome:ASM357664v1:2:3715010:3723436:-1 gene:itb02g05980 transcript:itb02g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVNEFGLKFSRLYLNMCNWVLVKMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t4 pep chromosome:ASM357664v1:2:3715058:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQHTKITSFGLACLSFLLPLCSTKRMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t8 pep chromosome:ASM357664v1:2:3715518:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQHTKITSFGLACLSFLLPLCSTKRMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t3 pep chromosome:ASM357664v1:2:3715058:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQHTKITSFGLACLSFLLPLCSTKRMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t9 pep chromosome:ASM357664v1:2:3715010:3723368:-1 gene:itb02g05980 transcript:itb02g05980.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t7 pep chromosome:ASM357664v1:2:3715012:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQHTKITSFGLACLSFLLPLCSTKRMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t6 pep chromosome:ASM357664v1:2:3715010:3723368:-1 gene:itb02g05980 transcript:itb02g05980.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t5 pep chromosome:ASM357664v1:2:3715010:3723368:-1 gene:itb02g05980 transcript:itb02g05980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t14 pep chromosome:ASM357664v1:2:3715010:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQHTKITSFGLACLSFLLPLCSTKRMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t13 pep chromosome:ASM357664v1:2:3715010:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQHTKITSFGLACLSFLLPLCSTKRMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t10 pep chromosome:ASM357664v1:2:3715012:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVNEFGLKFSRLYLNMCNWVLVKMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t12 pep chromosome:ASM357664v1:2:3715010:3723368:-1 gene:itb02g05980 transcript:itb02g05980.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVNEFGLKFSRLYLNMCNWVLVKMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t2 pep chromosome:ASM357664v1:2:3715010:3723436:-1 gene:itb02g05980 transcript:itb02g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVNEFGLKFSRLYLNMCNWVLVKMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb02g05980.t11 pep chromosome:ASM357664v1:2:3715518:3723386:-1 gene:itb02g05980 transcript:itb02g05980.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSAVNEFGLKFSRLYLNMCNWVLVKMSMNCKVHGMKGGFSSKFRLKKARESQHGPSRWRRQLLFLWLFFVAIGFIWLLISSSYGRLGRKVEAPPHLDGDTTNFLLQHFNVSRDEIHSLASNFLDTDQISLLKCSGSPRYESSVLKSENQVYEKKCKLGEKIEAYGQCPVSDENNFRNIDSVLQETSTPFLSHCASSSISSDHQFCEKETLQVRALGDQCKDIAFCFTKIFWWILLGIAVSWKLRWLRAESGRNEQQKLVSQQEFAQQPQLLEHLQQQQAHVASRVSRKLWEKLLVAFVLSGVIASIWFFWYLNEDIMFWRKETLASMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQRTFEEYTERTAFERPLTSGVAYALRVLHSERENFERQHGWAIKKMESEDQSLAQEYMPGNLDRAPDKDEYAPVIFSQQTVSHIVSIDMMSGKDDRENILRARASGKGVLTSPFKLLKSNNLGVVLTFAVYNTHLAPDATPDQRINATVGYIGASYDVPSLVEKLLHQLASKHTIVVNVYDTTNTHSPIKMYGADETETELLHVSNLDFGDPARKHEMHCRFKQKPPPPWIAIGASIGVLVITLLVGHIFHAAIARIAKFEHDYQKMMNLKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDATQRDFAQTAHASGKDLISLINEVLDQAKIDSGHLELEAVSFDLRAVLDNVLSLSSGRSHEKGIELAVYVSDQVPEMVVGDPGRFRQIIANLVGNSIKFTKNKEGHVFVTVHLADEVRCPLDVKDEVLRQSLSLVQDQANRSFNTLSGFPVVDRWRSWQNFKKLSEEESDKIKLLVTVEDTGVGISLEAQGRIFTPFMQADSSTSRTYGGTGIGLSISKHLVDLMGGEIGFFSEPGTGSTFSFTAAFSRDQRGSVEAKWQQYDTGVLDFHGLRALVIDGKRIRAEVTRYHLQRLGLNVKITSTVDHACSYLSTCSKTSEPEHLVIMIFIDKDNWDTENSFALRNIVKDLRPYGSTTLNGATPKLFLLATEMSTTECNQLKSDGLVDNVLIKPIRLSVLASCLQEATGFTYKRQVTMPKPSTLGNLLKEKQILVVDDNIVNRRVAEGALKKYGAIVTCVDGGKAALALLKPPHNFDACFMDLQMPEMDGFEATRQIRKLENEYKETINSGEILADAPGKLAHWRLPILAMTADVIRASNEECMRCGMDDYVSKPFDEGQLYSALARFFESG >itb03g04870.t1 pep chromosome:ASM357664v1:3:3213014:3215657:1 gene:itb03g04870 transcript:itb03g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRWCWLAVVILVVSVSKPAFSDPQTNQIGNLGCSAYNITDARGPDYIREINLSFADLRNQLSSANKRFATSTQLTVYAMAQCRKYLSTADCVACFDAAVLVTRNCSIATSAAIVIFDGCSLWYRDSYFYDQITDQVTGGTYRVCGKHDIFNATAAQQLLNELLLATPKINGFYAAAKLQEESPGGATTYAVAQCAETVSESSCKDCLSLAYNNIKDCLPNSADGRAVDAGCFLRYSDTPFFADNQTTDITRFLGRASSSPGSSSGKKKPIIVAGVVGTVGIILVLGALFLFYWQSWKANAWRRGNILGAKNYIYKDLKAATNDFSQENILGKGGFGYVYKGTLQNKDVVAVKKLTTISSRAKANFETEICLITNANHPNLIRLLGYSGNGKVLILVYEYMANASLDRYIYGEKRGMLNWKQRVDIILGTARGLAYLHEQFDVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENKSHLTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSSDLQVEPVTEYLLQQAWKLYENNEHLGLVDSTLDPNEYEAEEVKRTLEIALVCTQSPSNIRPSMSEVVTMLSSTDASIIQKPQNRPTIITDFDKRKPTNTSPLTHATISFSRFSGR >itb03g00200.t2 pep chromosome:ASM357664v1:3:104172:105927:-1 gene:itb03g00200 transcript:itb03g00200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPAKPPPFKPPPFTPAEDEDSSKPKDKAWIDKKSAEELEDIEDDLDEDPFLEEYRKKRLAEIQAAAKVAKFGWVIPISGSDFVREVSQAPPDVWVVVLLYKDGYADCGVLLQCLEELATKYPATKFVKIISTDCIHNYPDRNLPTLLVYNNSAVKANYVGIHSFGRRCTPESK >itb03g00200.t1 pep chromosome:ASM357664v1:3:104172:105927:-1 gene:itb03g00200 transcript:itb03g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPAKPPPFKPPPFTPAEDEDSSKPKDKAWIDKKSAEELEDIEDDLDEDPFLEEYRKKRLAEIQAAAKVAKFGWVIPISGSDFVREVSQAPPDVWVVVLLYKDGYADCGVLLQCLEELATKYPATKFVKIISTDCIHNYPDRNLPTLLVYNNSAVKANYVGIHSFGRRCTPESVALLLCQSDPVLNDGHGEKQSREAVLDGVRKRFLEKVVAEHENDDGSDSE >itb07g22140.t1 pep chromosome:ASM357664v1:7:26616482:26617449:1 gene:itb07g22140 transcript:itb07g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLSSSSSLSARTVFEYHFPVMIFFLLFVSTSSSPQLHSQKLSSTAYYHQKNFHHSCSTFKISPSAAICYQLQRIRHCPPFQPPPASPSAAAAEIDPRYGVEKRLVPSGPNPLHN >itb13g00490.t1 pep chromosome:ASM357664v1:13:376847:378897:1 gene:itb13g00490 transcript:itb13g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVESNLVLHQVTSMDFISVAALATTFLLIITFFYNLVFHKGSTQEPPEAGGAWPIIGHLHLLAAPRATFKILADMADKYGPIFRIRLGKQQVLVVSDSRIAKECFTTNDKALAGRPKALTSEIIGYNYAMFGLCPYGEYWRHVRKVVVLLLLSNRHREALMRVWETGLRSFTQDIYRSWLRDKNETSDVTKLEMKELFGKLIMDVTMKTLFGQQYEEEVSRRVMTTARRLLNLNEVIVVDDYLPWLRWLDIGGHKKALKETAKKMDSIVEGWLQEHKRKINSKSKEEEDFMDGLLKSFHGDGDQKDIPKDFDADTIMKSTCMGMLLAGTETTTTTLIWALSLILNNPGVLEKIRAELDIHVGRERHVNQYDLSNLIYLQAVVKETLRLYPPGPLLLPHECIDDCVVNGYNIQKGTRLLVNVSMIHRDTNFWSDPDMFKPERFLNEYKDIDVRGNDFDLIPFGSGRRVCPAISLVLQIMGLVLSCLIHDFDLKRISNEHIDMIEMVGITNKKASPLHVFLTPRLPSHLYG >itb08g13160.t1 pep chromosome:ASM357664v1:8:13766175:13768109:-1 gene:itb08g13160 transcript:itb08g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYYQLGRSSYQESLKVLESDIQHANALAAAIPRQKGASHLQMELVYNQLAPLFLFLLRWIDCSCSCLLSEYLNPIYILIYKVDAEGRLKISRHGRKATVSDFYAVILPSLRRLHFDLVEFGNAKDESVGKKRLKGDSKFAEFDREREAECGICLEPCTKMVLPNCCHSMCMNCYRDW >itb02g15900.t1 pep chromosome:ASM357664v1:2:11613570:11618258:1 gene:itb02g15900 transcript:itb02g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC3 [Source:Projected from Arabidopsis thaliana (AT5G62540) UniProtKB/TrEMBL;Acc:A0A178ULQ4] MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLIFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >itb07g17830.t1 pep chromosome:ASM357664v1:7:22140099:22145514:1 gene:itb07g17830 transcript:itb07g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQENEEDGDGEEDEEDADCEENEASGGGDGDEKKTANGEGEMKKTMMGKMFIRTNRRPFVYWYWQRRRWVVLCVREGSGFHAGGRSFVDDQWSLFLGAGEDAGGVYVAEGRERLLYAGGLVFADGGCSVLVRLGSRQVEFLDGRPFIQKLALHIECWTVGRALRTKLDRWLHVLVDKNVSMLVLRTKTLWSPPRYYVPQHVFIARTLEVLDLSFCTLDACHIVHVDLPSLETLSLSRCWILGDKLLQKIVCGSPKTKHIVISCYLGPPPVCSLSIPNLVSVAMRLEVLDLSGCILEEHCFVGIEFPSLERLVLRNCRFVSNNLLEKILSACGPSMKHISISWCKGIGGSISLSVLCKPLLKGFFLRCDDEFKRIKIDASNLRTFSYESSNVACVIDLTCCPNLENLYGRIGKTLNHPASALLLHHKI >itb11g05070.t1 pep chromosome:ASM357664v1:11:2952293:2957302:-1 gene:itb11g05070 transcript:itb11g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMTSLLSSSFEHWASDWVGQSLLSSYSTPIWATLIGGFFTLLSLALSIYLLLEHLSAYKNPEEQKFLIGVILMVPCYAIESFVSLLNPSISVYIEILRDGYESFAMYCFGRYLVACLGGEERTLEFMEREGRIGSKVPLLEDGHERGIINHPFPMNYILKPWKLDRGVYEVIKFGIVQYMIIKAFTAIAAVILQAFNVYCEGEFKWNCGYPYLAVVLNFTQSWALYCLVQFYTITKNELSHINPLYKFLTFKSIVFLTWWQGVAIALFCSLGIFKSPIAQALQFESSIQDFIICIEMGIASVVHMYVFPAKPYELMGERFAGAVSVLGDYLSADCPIDPEELRDSERPTKLRLPHPGIDDSNKTAIRESARDVFIGAGEYIVNDVKFTVNQAVEPMEKGFTKFNQKLHKISQNMKHAKNKRAKDDSSMATSSQTQRVIRGIDDPLLNGSFSDSGTPSRKKKQRRKTGYTSESGGESSSDCTSGGFQIRGRRWVTKD >itb04g23120.t1 pep chromosome:ASM357664v1:4:28315385:28320314:1 gene:itb04g23120 transcript:itb04g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGISNDLRGRLLCYKQDWSGGFRAGLRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFTFAKQRPDLGRDLFLAWTGWVCVWTAALLFLLAILGACSIINRFTRLAGELFGMLIAMLFMQQAIKGLVDEFRIPKRENPNSTQFMPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRSLIADYGVPIMVLVWTGVSYIPSQSVPEGIPRRLFSPNPWSPGAYGNWTVIKDMLNVPIIYIFGAFVPATMIAVLYYFDHSVASQLAQQKDFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSLKNNSSLAQLYGNMQDAYQWMQTPLIYQQSSTGLKEMKESTIQLASSSGHIDAPVDETHFDIEKEIDDLLPVEVKEQRLSNLLQAAMVGACVAAMPVLRMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLENYHATFVETVPFKAIATFTIFQTLYLLACFGITWVPIAGLLFPLLIMLLVPVRQYILPKFFKSLHLQDLDAADYEEAPAAPFNLPTEDEVGARASYAGSGEILDEIITRSRGEIKHMSSPKVSSSTTTPAREANLLQSPRLSGRAYSPQISRVRGEQSPHSGKKGGFSPRTGEVMRQSNLGGSGLSPSSKSCSNGQS >itb04g23120.t4 pep chromosome:ASM357664v1:4:28317154:28320312:1 gene:itb04g23120 transcript:itb04g23120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRSLIADYGVPIMVLVWTGVSYIPSQSVPEGIPRRLFSPNPWSPGAYGNWTVIKDMLNVPIIYIFGAFVPATMIAVLYYFDHSVASQLAQQKDFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSLKNNSSLAQLYGNMQDAYQWMQTPLIYQQSSTGLKEMKESTIQLASSSGHIDAPVDETHFDIEKEIDDLLPVEVKEQRLSNLLQAAMVGACVAAMPVLRMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLENYHATFVETVPFKAIATFTIFQTLYLLACFGITWVPIAGLLFPLLIMLLVPVRQYILPKFFKSLHLQDLDAADYEEAPAAPFNLPTEDEVGARASYAGSGEILDEIITRSRGEIKHMSSPKVSSSTTTPAREANLLQSPRLSGRAYSPQISRVRGEQSPHSGKKGGFSPRTGEVMRQSNLGGSGLSPSSKSCSNGQS >itb04g23120.t3 pep chromosome:ASM357664v1:4:28315393:28320314:1 gene:itb04g23120 transcript:itb04g23120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGISNDLRGRLLCYKQDWSGGFRAGLRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFTFAKQRPDLGRDLFLAWTGWVCVWTAALLFLLAILGACSIINRFTRLAGELFGMLIAMLFMQQAIKGLVDEFRIPKRENPNSTQFMPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRSLIADYGVPIMVLVWTGVSYIPSQSVPEGIPRRLFSPNPWSPGAYGNWTVIKDMLNVPIIYIFGAFVPATMIAVLYYFDHSVASQLAQQKDFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSLKNNSSLAQLYGNMQDAYQWMQTPLIYQQSSTGLKEMKESTIQLASSSGHIDAPVDETHFDIEKEIDDLLPVEVKEQRLSNLLQAAMVGACVAAMPVLRMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLENYHATFVETVPFKAIATFTIFQTLYLLACFGITWVPIAGLLFPLLIMLLVPVRQYILPKFFKSLHLQDLDAADYEEAPAAPFNLPTEDEVGARASYAGSGEILDEIITRSRGEIKHMSSPKVSSSTTTPAREANLLQSPRLSGRAYSPQISRVRGEQSPHSGKKGGFSPRTGEVMRQSNLGGSGLSPSSKSCSNGQS >itb04g23120.t2 pep chromosome:ASM357664v1:4:28315393:28320314:1 gene:itb04g23120 transcript:itb04g23120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGISNDLRGRLLCYKQDWSGGFRAGLRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFTFAKQRPDLGRDLFLAWTGWVCVWTAALLFLLAILGACSIINRFTRLAGELFGMLIAMLFMQQAIKGLVDEFRIPKRENPNSTQFMPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRSLIADYGVPIMVLVWTGVSYIPSQSVPEGIPRRLFSPNPWSPGAYGNWTVIKDMLNVPIIYIFGAFVPATMIAVLYYFDHSVASQLAQQKDFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSLKNNSSLAQLYGNMQDAYQWMQTPLIYQQSSTGLKEMKESTIQLASSSGHIDAPVDETHFDIEKEIDDLLPVEVKEQRLSNLLQAAMVGACVAAMPVLRMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLENYHATFVETVPFKAIATFTIFQTLYLLACFGITWVPIAGLLFPLLIMLLVPVRQYILPKFFKSLHLQDLDAADYEEAPAAPFNLPTEDEVGARASYAGSGEILDEIITRSRGEIKHMSSPKVSSSTTTPAREANLLQSPRLSGRAYSPQISRVRGEQSPHSGKKGGFSPRTGEVMRQSNLGGSGLSPSSKSCSNGQS >itb14g20540.t1 pep chromosome:ASM357664v1:14:22887901:22888855:1 gene:itb14g20540 transcript:itb14g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITQLILFFTLCFTTTVKSVPNTNTRSVLCNSGEYTGGDPFAISLAYVLQELQTETPARKSYDYYNISPYPNAFAYGHATCNTNLTSPDCTTCLGAANATVTASCSRRIGGRALLFDCSIRYEQYPFKE >itb05g25580.t3 pep chromosome:ASM357664v1:5:29915354:29922107:1 gene:itb05g25580 transcript:itb05g25580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLGCAAIVSSFSLSVPFSRTHLSSLRKPSFTRPIALVSTSRTIVSSDRCSRSQLRFPKELIERLLNRQDLSEEEAEASLDFLLSNGSEALISAFLVLLRAKGETFEEIVGLARAMRKRGLVVEGLENAVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNRSSSSACGSADVLEALGVTIELKPEGVKKCMEKAGICFMMSPYYHPAMKIVAPVRRKLRIKTIFNFLGAMLNPARVPFAVVGVYNENVVSKMAKALQRYGMKRALVVHSEGLDEMSPLGPGTVLDITPEKIEKFAFDPLEFGIPRCTLKDLQGAGPEYNADDLKRVLSGETGPIADAFILNAGAALLVSGHVKTLAKGIELARCTHRSGKALHTLKSWIDVSNNVKEPKQMVA >itb05g25580.t4 pep chromosome:ASM357664v1:5:29915205:29918618:1 gene:itb05g25580 transcript:itb05g25580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLGCAAIVSSFSLSVPFSRTHLSSLRKPSFTRPIALVSTSRTIVSSDRCSRSQLRFPKEDLSEEEAEASLDFLLSNGSEALISAFLVLLRAKGETFEEIVGLARAMRKRGLVVEGLENAVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNRSSSSACGSADVLEALGVTIELKPEGVKKCMEKAGICFMMSPYYHPAMKIVAPVRRKLRIKTIFNFLGAMLNPARVPFAVVGVYNENVVSKMAKALQRYGMKRALVVHSEGLDEMSPLGPGTVLDITPEKIEKFAFDPLEFGIPRCTLKDLQGAGPEYNADDLKRVLSGETGPIADAFILNAGAALLVSGHVKTLAKGIELARCTHRSGKALHTLKSWIDVSNNVKEPKQMVA >itb05g25580.t2 pep chromosome:ASM357664v1:5:29915350:29920384:1 gene:itb05g25580 transcript:itb05g25580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLGCAAIVSSFSLSVPFSRTHLSSLRKPSFTRPIALVSTSRTIVSSDRCSRSQLRFPKELIERLLNRQDLSEEEAEASLDFLLSNGSEALISAFLVLLRAKGETFEEIVGLARAMRKRGLVVEGLENAVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNRSSSSACGSADVLEALGVTIELKPEGVKKCMEKAGICFMMSPYYHPAMKIVAPVRRKLRIKTIFNFLGAMLNPARVPFAVVGVYNENVVSKMAKALQRYGMKRALVVHSEGLDEMSPLGPGTVLDITPEKIEKFAFDPLEFGIPRCTLKDLQGAGPEYNADDLKRVLSGETGPIADAFILNAGAALLVSGHVKTLAKGIELARCTHRSGKALHTLKSWIDVSNNVKEPKQMVA >itb05g25580.t1 pep chromosome:ASM357664v1:5:29915205:29918684:1 gene:itb05g25580 transcript:itb05g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLGCAAIVSSFSLSVPFSRTHLSSLRKPSFTRPIALVSTSRTIVSSDRCSRSQLRFPKELIERLLNRQDLSEEEAEASLDFLLSNGSEALISAFLVLLRAKGETFEEIVGLARAMRKRGLVVEGLENAVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNRSSSSACGSADVLEALGVTIELKPEGVKKCMEKAGICFMMSPYYHPAMKIVAPVRRKLRIKTIFNFLGAMLNPARVPFAVVGVYNENVVSKMAKALQRYGMKRALVVHSEGLDEMSPLGPGTVLDITPEKIEKFAFDPLEFGIPRCTLKDLQGAGPEYNADDLKRVLSGETGPIADAFILNAGAALLVSGHVKTLAKGIELARCTHRSGKALHTLKSWIDVSNNVKEPKQMVA >itb15g10560.t1 pep chromosome:ASM357664v1:15:8303704:8308032:-1 gene:itb15g10560 transcript:itb15g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDGNLEIGSPSDDSYHFERLYLEPTYDAFICPLTKQVMRDPVTIESGQTFEREAIEKWFNECKASRRNPVCPLTQRELRTTELNPSIALRNTIEEWNARNEAAQLDMARRTLSLGSAETDILRVLHTLEHLCQKSSSNTRVIRNAELIPMIIDMLKSTSRRVRRKALETLRAVVVDDTENKEIMAEGDTVRTIVKFLSHEQSKEREEAVSLLFELSKSESLCEKIGSINGAILILVGMASSNSENLVTVENAEKTLENLEKCESNVRQMAENGRLRPLMGLLLEGSNETKLSMAAFLGELVLNNDLKVLVARTVGSSLINIMKHGNVQAREAALKALNQISSYEVSAKVLIREGILSPLVKDLFTIGANALPMRLKEVSATILANIVTSGNDFDSVSFGPDHQTLVSEDIIHNFLHLISNTGPAIECKLLQVLVGLTSSPTSVISVVSAIKSSGAIISLVQFIEAPQKDLRVASIKLLQNLSPHMGQELAGCLRGTSGQLGSLIKVISENVGGIAEEQAAAIGLLADLPERDVGLTRQMLDEKLFQLVISKVEGIQQGGIRDGICYGFGEPIPRIQEFDQIA >itb15g10560.t2 pep chromosome:ASM357664v1:15:8303764:8308059:-1 gene:itb15g10560 transcript:itb15g10560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDGNLEIGSPSDDSYHFERLYLEPTYDAFICPLTKQVMRDPVTIESGQTFEREAIEKWFNECKASRRNPVCPLTQRELRTTELNPSIALRNTIEEWNARNEAAQLDMARRTLSLGSAETDILRVLHTLEHLCQKSSSNTRVIRNAELIPMIIDMLKSTSRRVRRKALETLRAVVVDDTENKEIMAEGDTVRTIVKFLSHEQSKEREEAVSLLFELSKSESLCEKIGSINGAILILVGMASSNSENLVTVENAEKTLENLEKCESNVRQMAENGRLRPLMGLLLEGSNETKLSMAAFLGELVLNNDLKVLVARTVGSSLINIMKHGNVQAREAALKALNQISSYEVSAKVLIREGILSPLVKDLFTIGANALPMRLKEVSATILANIVTSGNDFDSVSFGPDHQTLVSEDIIHNFLHLISNTGPAIECKLLQVLVGLTSSPTSVISVVSAIKSSGAIISLVQFIEAPQKDLRVASIKLLQNLSPHMGQELAGCLRGTSGQLGSLIKVISENVGGIAEEQAAAIGLLADLPERDVGLTRQMLDEKLFQLVISKVEGIQQGGIRGSRFVTPYLEGLVKVLARITFVLSNEPDALALCREYNVASLFIELLKMNGLDNVQMVSAMALENLSQESKNLTRLPELPKPGFCVSIFPCLSQPPVITGLCKVHRGTCSLKETFCLLEGQAVEELVALLDHTKEKVVEASLAALASLLDDGVDIEQGVQVLCEAEGIKPILQILLEKRTENLRRRAVWAVERLLRTDDIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGVFPNMG >itb15g10560.t3 pep chromosome:ASM357664v1:15:8303764:8306269:-1 gene:itb15g10560 transcript:itb15g10560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLLKIFLNKVGSRLFVPITYSYLLNFQEIMAEGDTVRTIVKFLSHEQSKEREEAVSLLFELSKSESLCEKIGSINGAILILVGMASSNSENLVTVENAEKTLENLEKCESNVRQMAENGRLRPLMGLLLEGSNETKLSMAAFLGELVLNNDLKVLVARTVGSSLINIMKHGNVQAREAALKALNQISSYEVSAKVLIREGILSPLVKDLFTIGANALPMRLKEVSATILANIVTSGNDFDSVSFGPDHQTLVSEDIIHNFLHLISNTGPAIECKLLQVLVGLTSSPTSVISVVSAIKSSGAIISLVQFIEAPQKDLRVASIKLLQNLSPHMGQELAGCLRGTSGQLGSLIKVISENVGGIAEEQAAAIGLLADLPERDVGLTRQMLDEKLFQLVISKVEGIQQGGIRGSRFVTPYLEGLVKVLARITFVLSNEPDALALCREYNVASLFIELLKMNGLDNVQMVSAMALENLSQESKNLTRLPELPKPGFCVSIFPCLSQPPVITGLCKVHRGTCSLKETFCLLEGQAVEELVALLDHTKEKVVEASLAALASLLDDGVDIEQGVQVLCEAEGIKPILQILLEKRTENLRRRAVWAVERLLRTDDIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGVFPNMG >itb06g03800.t1 pep chromosome:ASM357664v1:6:6230007:6244661:-1 gene:itb06g03800 transcript:itb06g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHCYLVGSSGELFIEYLVRHCAMPDLENVEHQSFKESSRFSGNYYPFVYRKLEFKAGAVSPTELRGICEKGLLLITVTVPEMEHVLWPSLLKMIIPRVYTGAVATVCRCISELCRRRSSESNAMLSECKARTDIPNPEELFARLVVLLHNPLAREHLVTQILSVLWYLAPLFPKNINSFWQDEIPKMKAYVSDTEDLKQDPLYQESWDDMIISFLAESLDVIQNVDWVLLLGNTFARQYELYKSDNEHSALLHRCLGVLLQKVHDRAYVRAKIDLMYRQANIAVPTNRLGLAKAMGLVAASHLDTVLDKLKGILDNVGQSILQRVLSFFSDRAKMEESDDTHAALALMYGYAAKYAPPTVIEARIDALVGTNMLSRLLHVRHPRAKQAVITAIDLLGQAVIGASESGISFPLKRRDLLLDYILTLMGRDEEEGFSDSNIELLHTQSLALSACTTLVSVEPKLTTETRNLIMKATIGFFGLPNDPSDVINPLIDNLITLLCTILVTSGEDGRSRAEQLLHILRNIDPFVSSSLEYQRKRGCLAAHELLIKFRAICVNGYCALGCQGSCSHSKQIDHAANRNLSNLPSAFFLPSRDALSLGERIMVYLPRCIDTNYEVRKVSVQILNLFFSISFSLPKPINSSLGIDIELSYIALSSLEDVVAILRSDASIDPSEVFNRVVSSVCTLLNKDELVAALHGCSGAICDKIKQSAEGAIQAVVEFVTNRGNELNENEVSRTSQSLLTAVVHVTEKYLRQEALGAICSLAENTTSKVVFTEVLAAAGRDMVTKDIHRLRGGWPIQDAFHAFSQHLVLSHSFLEHVISVINQSPALKGGGSGKGESSRNSVDGSIEDDVSRAAVVALTAFFRGGGKFGRKTVEQSYASVLATLTLHLGTCHGLASSGDQEPLRALLNAFQAFCECVGDLEMGKILARGGEQNENEKWINLIGELAGSISIKRPKEVPTICLFLSKSLDRPLRVQREAAAAALSEFLRYSDGFGPLLEQMVEALCWHVSDDSPTVRRLCLRGLVQMPSIHVLQYTTQILGVILALLDDSDESVQLTAVSCLLMVLESSSTDAVEPVLLNLSIRLRNLQVCLNAKIRANAFAAFGALSSYGAGSILDSFREQIHAAFPRMVLHLHDDDLGVRQACRNTLKCIAPLIEIDAIPALLNTHRFSSDHRSDYEDFLRDLARQLTQNLGPRVDTYLSAIIQAFDAPWPVIQANAVYLCSSMLSLSDNKNISALYYSQVFGVLVGKASHSTDAIVRATCSAALGLLLKSPNSSSWRDARLNK >itb13g21730.t1 pep chromosome:ASM357664v1:13:28272798:28276687:1 gene:itb13g21730 transcript:itb13g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERDIDDLPKNAANYTALTPLWFLERAATVHPSRKSLVHGSVEYTWHQTYQRCRRLASALAKRSVTFGSTVAVVAPNVPALYEAHFGIPMAGAVLNAVNVRLNAETIAFLLGHSLAAVLMVDQEFYNLAEESLSILANKTKGKFQPPLMIVIGDTNCDPKPLQYALGKGAIEYEQFLETGDPDFAWKPPQDEWHSIALGYTSGTTASPKGVVLHHRGAYLMALSNAIVWNIKEGAVYLWTLPMFHCNGWCFAWTLAAICGTNICLRQVTARAVYSAVASLGVTHFCAAPVVLNTIVNAPKEDTILPLPRLVHVMTAGAAPPPSVLSAMSQRGFRVAHTYGLSETYGPSTICAWKPEWDLLPPETQARLNARQGVRYIGLEHLDVVSTQDMKPVPADGKTIGEIVFRGNVVMKGYLKNPKANEETFAGGWYHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENILYQHPAILEVSVVARPDEQWGESPCAFVTPKPDVKDSDRERLAGDIISFSRSKMPKYWVPKSVIFGPLPKTATGKIQKHLLRAKAKEMGPIKKSKL >itb04g14620.t1 pep chromosome:ASM357664v1:4:15282256:15286725:-1 gene:itb04g14620 transcript:itb04g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGSLSSDQLQFFNSQGYLVLESFSSAEEIDSMRKRMEELLDTFDCSSTASIFSTRNQQHTSDNFFFESADKVSFFFEEKAFGDDGNLKQPKHLSINKVGHALDEIDPVFKKFSSTERMSGLLQSLGYNRPVVIQSMYIFKQPGIGGEVVPHQDNSFLHTEPRTCTGLWLALEDATVINGCLWAIPGSHKNGLVRRFIRDENGVHFDNPSPLYDQKDFVPIEVKAGTLVVIHGDLIHQSFENQSEKSRHAYSLHVVDTVGCKWSEDNWIRRATDPAPVYSS >itb12g27680.t1 pep chromosome:ASM357664v1:12:27934967:27938139:-1 gene:itb12g27680 transcript:itb12g27680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSFPHNFRLVDMGEFAGFRHSFPQVTDGTNSSIGSLVFPPRRMHGVHVLLVERRADFVANGISMVAEILKQFSYEVTVVESASAALLSLYHGKEKFDVLIANFYLPDKEVNVKLLEEAIKRKLLVVQISDEKDENGDEVARSAIEQGVFLYLEKPFPVDMLKYLWQHVYRERRLMNHSTHQALDISMVAETLMNGQNNIVFTDNQTATEFATDSNNVNLVPTRRRGAKFKWTEELHAKFMEAVNQLGAGNCYPKEISEMMNVPGLTREKIASHLQRCRDNKWRPVEEHGNRRRSRTMRSTSQPRRPRHKKFGLMPTVEELEANNNGIMPPQAQIIAAAPPANADISSQNGGNNNNNEFVSSMTVSTNSVTNIDAGILQAVQSSAGIIQDLRLLGEVQTHPVVADSVTNTDVGVFQTGQSSAGIIHDRPPLEEVQTHPIVADFVTNTDVGVLEIGQSSAGTSHDPYRPQLEEVQTHPVVTDSLNIDETAFVNSSPIPWQFDDGLMFDDLLNIPEGMLPRIFFGRQLNFPF >itb09g25870.t1 pep chromosome:ASM357664v1:9:25970434:25982832:-1 gene:itb09g25870 transcript:itb09g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MRGIVGCKVRRKMGIGRHEYAICIGRYEDPQRQEAARKTVPTDKLEEKALISLAREGNFEPTKNEQDHAFLLQLLFWFKQSFRWVNAPPCDSCGNETRNEGMGTANPSELQYGASRVELYRCHSCSNVTRFPRYNDTLKLLETRKGRCGEWANCFTLYCRAFGYESRLVLDFTDHVWTECFSLSLGRWMHLDPCEGIYDNPLLYEKGWNKKLNYVFGIAKDGVHDVTKRYTRKWPEVLARRNLITEPALSAFLRNITREVRKNLSSQMLSALEERDRNETEAIERDLYSKEDSTISLPGRLSGDKEWRISRSETGPDQNLSLSSSSCPVRRCIDVHVTKIYNAFSPIISKLVEDSASKTKAIDVLTIFRRMLVDLKNSPFRNRRTSLSSVSDSSLYFANKMLPSFGQLLEALSLKSDLGINGRIDICLAADPVRTAVALPVVFHALDDVIYNVSQCNKLDKDSLAWPLLKLNRLCSGLVQASGEELPFGIATSAFDGTRMSKWEEPNGAKGCWILYQVNGEEMHELVAYEFMSANDVPERDPKDWVIEGSTNGGSSWHVLDKQTNQIFDKRFQRKTYTVKSQSFLANAFRIRFLAVRDGKENPRFQIGSIDLYGRCS >itb05g04040.t1 pep chromosome:ASM357664v1:5:3537953:3538462:-1 gene:itb05g04040 transcript:itb05g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSREEEFDSLTSMANCLMLLSGAGGLGDNNTSQNAGGGGGRVFECKTCSRQFPSFQALGGHRASHKRPRTAAMGEVPPSSPPKPKTHECSICGQEFGIGQALGGHMRRHRAVVMNGEMSSPPLDRVPGVVKIPAAGGRRVLCLDLNLTPLENDLEFIKLGKMGIQGA >itb10g05940.t2 pep chromosome:ASM357664v1:10:6457583:6463160:-1 gene:itb10g05940 transcript:itb10g05940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MANYSKAHICQCLSRVSTVRRCSTVTVAGDRRKTGAQLVEGVLSLARGLLQLGLKPGGITAPLNYRWSLEEAMEAVEVARPTLLVTDSMFNFWHSKFHADSIPSLRWHVSMDVPFGVKSTWTGLTTEKLTKHFEKSLATNYLWAPEGAAIICFTSGTTGRPKGVTLSHSALLVQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSALAVLMAGGCHILIPKFDAGLAVGSIHQHHVTSLITVPAMMADLVSFYRKGAPEGSETVEKILNGGGALSVELITHAAKIFPRAKLLSAYGMTEACSSLTFMTLYDPTKESVRQQLQLNGVRNSNLARQPEGICVGKPAPHVELRVCAEDSSHIGRILTRGSHVMLGYWGQTSNKTSDLAGENWLDTGDIGQIDNHGNVWLIGRSKGRIKSGGENVYPEEVEAVLSQHPGVSSSVVVGVPDSRLGETVVACIRLNGNWQWDDSSSNPSVSDMANYLSGDILRCFCKEKQLTGFKVPKRFILWRRNQFPVTSTGKIRRDQVRREVMSNMHLMISSKL >itb10g05940.t1 pep chromosome:ASM357664v1:10:6457583:6463160:-1 gene:itb10g05940 transcript:itb10g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MANYSKAHICQCLSRVSTVRRCSTVTVAGDRRKTGAQLVEGVLSLARGLLQLGLKPGGITAPLNYRWSLEEAMEAVEVARPTLLVTDSMFNFWHSKFHADSIPSLRWHVSMDVPFGVKSTWTGLTTEKLTKHFEKSLATNYLWAPEGAAIICFTSGTTGRPKGVTLSHSALLVQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSALAVLMAGGCHILIPKFDAGLAVGSIHQHHVTSLITVPAMMADLVSFYSRKGAPEGSETVEKILNGGGALSVELITHAAKIFPRAKLLSAYGMTEACSSLTFMTLYDPTKESVRQQLQLNGVRNSNLARQPEGICVGKPAPHVELRVCAEDSSHIGRILTRGSHVMLGYWGQTSNKTSDLAGENWLDTGDIGQIDNHGNVWLIGRSKGRIKSGGENVYPEEVEAVLSQHPGVSSSVVVGVPDSRLGETVVACIRLNGNWQWDDSSSNPSVSDMANYLSGDILRCFCKEKQLTGFKVPKRFILWRRNQFPVTSTGKIRRDQVRREVMSNMHLMISSKL >itb14g04080.t1 pep chromosome:ASM357664v1:14:3634092:3637026:1 gene:itb14g04080 transcript:itb14g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSIGMGGIGKTTLAKKIYEDECIKSHFNIRAWITVSQSYSLDDLLQMLLLQSNEASSQTEGQSAGTSQLKDKVRKWLLTSERYLIAIDDIWSTQVWDNLQTCFPLERNESRVLLTTRLTYVATYASSGGLPFSMSTLSKEESWDLFCKKVFAKESCLPSIEFEKIGRDIVIKCKGLPLSIMVIAGILSKAEMKVEDWKYVAKDVVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEISVTSLVGYWVADKFVEDEALVANNHEEVAWQKLQDLIDRNLILVEKRGRTGRIKTCKIHDLTREMCLRLAKGKNILYVIYDKFQIGEEEENGNFWVSLQSNPEYYPHSSPYFDCKTLQKCHSFLAIFPFKYRSRSHRGSQILTNYLLTATSIQVLDLLPLYFPYLSKSFSGNNLSQLRYLALYIGNFQRFYLILSNLKNLQTLILQTAQVDYVAYLTLPETPPLRQIRILNGSFHFKDDEENLILKNLTTLLWLSDFCCKNEALMVRIPNLKKLGIKYEDSKYSESKHPIDLGHLEQLEHIKFYGYGSKKKNWLVNIPQPYDFPPKLKKLKFSKTWMKLEIMTILGSLPNLETLQLDYGAFDDSETEWELVEEGFSKLKVLVFNDQTLCRWIDCYFSFPRLECLVLKSCPSLESLPYECLSGCPCLKLIDLEGCYSDGVLESAIKIREELGDGQLKVHPESCCSDESDGDAKLEELKLLVRRLQSDELS >itb14g07790.t1 pep chromosome:ASM357664v1:14:7132824:7136129:-1 gene:itb14g07790 transcript:itb14g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFSCPLSTYSDLDNNLESVIVKSISFGDNELRTPVRSVSFKGQDSEPTIFQSVGSGKMLMEQVVSFRTSDNFTLLCDSYKAPPPCEDKCSQSVTLCGETKTNPKHDAALKLQKVYKSFRTRRKLADSAVLIEQSWWKLLDFAELKHSSISFFEIEKHETAISRWSRAKNRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLQFYYVKWLDSESNEPFFYWLDLGEGKEVNLVDQCPRWKLQDQCIKYLGPMERKAYEVLVEDGKLLYKQTGELLNTDDLDGAKWIFVLSTSKALYVGKKTKGKFQHSSFLAGGATLAAGRIVVQDGILKAVWPHSGHYRPTPENFQDFISFLGEYSVDVSDVKFDCVDEEESVGRKSGVYPRSNSSEPAKGGLDTDENDTKDTTSSETIESKENESVAALKSRLYLNFSGKLANLEIPSNDDFLERLKSESAEASSLPVEQLNNTNSREEEEAITEESILERINSHNKAKSYQLGKQLSCRWSTGAGPRIGCLRDYPSQLQSHALGRVSLSPRSTCRLRLNSPSRAYTHTTPPMSLSRLTLMPCSLSPLPKMNLSQIMSLHSRRPSSPSCKGIPVISVAS >itb03g05900.t6 pep chromosome:ASM357664v1:3:4160329:4164392:1 gene:itb03g05900 transcript:itb03g05900.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLESNRLSGEIPSSLGNLKSLQSLFLSQNNLTGTIPQSLSSLSGLVNLQLDSNGLTGQIPESLFQVPKYNFTGNHLNCGFNLSHHCEPDSGGASHKPKTGMIVGIVGGFIAVLLLVSLPLFFWRDRHKYYKHDVFVDVPGEGDDRFEFGQLKRFVWTELQIATDNFNEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYQSPGGDTAFKREVEMISVAFHRNILRLIGFCTTPTERLLVYPFMKNLSVAYCLREFKSGESVLDWPTRKNVALGTARGLEYLHVHCNPKIIHRDVKAANVLLDEDFEAIVGDFGLAKLVDVRQTNVKTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARPEEEDDVLLLDYVKKLQREKKLGAIVDRNLNENYDIQEVEMMIQVALLCSLASPEDRPTMSMVVRMLEGEGLAERWEEWQNVEVTRRQEFERLQKHHYDWGEHSFYNQEAIELSGGR >itb03g05900.t5 pep chromosome:ASM357664v1:3:4158177:4164413:1 gene:itb03g05900 transcript:itb03g05900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFAILISVCLWSFVSPDPQGEALYALKISLNASNNQLPNWDRNQVDPCTWFKIRCDENKDVTMVLLSNMGFSGTLAPELGVLKKLTTLSLQGNGITGTIPDELGNLTSLTMLNLESNRLSGEIPSSLGNLKSLQSLFLSQNNLTGTIPQSLSSLSGLVNLQLDSNGLTGQIPESLFQVPKYNFTGNHLNCGFNLSHHCEPDSGGASHKPKTGMIVGIVGGFIAVLLLVSLPLFFWRDRHKYYKHDVFVDVPGEGDDRFEFGQLKRFVWTELQIATDNFNEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYQSPGGDTAFKREVEMISVAFHRNILRLIGFCTTPTERLLVYPFMKNLSVAYCLREFKSGESVLDWPTRKNVALGTARGLEYLHVHCNPKIIHRDVKAANVLLDEDFEAIVGDFGLAKLVDVRQTNVKTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARPEEEDDVLLLDYVKKLQREKKLGAIVDRNLNENYDIQEVEMMIQVALLCSLASPEDRPTMSMVVRMLEGEGLAERWEEWQNVEVTRRQEFERLQKHHYDWGEHSFYNQEAIELSGGR >itb03g05900.t4 pep chromosome:ASM357664v1:3:4158177:4164413:1 gene:itb03g05900 transcript:itb03g05900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFAILISVCLWSFVSPDPQGEALYALKISLNASNNQLPNWDRNQVDPCTWFKIRCDENKDVTMVLLSNMGFSGTLAPELGVLKKLTTLSLQGNGITGTIPDELGNLTSLTMLNLESNRLSGEIPSSLGNLKSLQSLFLSQNNLTGTIPQSLSSLSGLVNLQLDSNGLTGQIPESLFQVPKYNFTGNHLNCGFNLSHHCEPDSGGASHKPKTGMIVGIVGGFIAVLLLVSLPLFFWRDRHKYYKHDVFVDVPGEGDDRFEFGQLKRFVWTELQIATDNFNEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYQSPGGDTAFKREVEMISVAFHRNILRLIGFCTTPTERLLVYPFMKNLSVAYCLREFKSGESVLDWPTRKNVALGTARGLEYLHVHCNPKIIHRDVKAANVLLDEDFEAIVGDFGLAKLVDVRQTNVKTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARPEEEDDVLLLDYEWFSCC >itb03g05900.t1 pep chromosome:ASM357664v1:3:4157986:4164413:1 gene:itb03g05900 transcript:itb03g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFAILISVCLWSFVSPDPQGEALYALKISLNASNNQLPNWDRNQVDPCTWFKIRCDENKDVTMVLLSNMGFSGTLAPELGVLKKLTTLSLQGNGITGTIPDELGNLTSLTMLNLESNRLSGEIPSSLGNLKSLQSLFLSQNNLTGTIPQSLSSLSGLVNLQLDSNGLTGQIPESLFQVPKYNFTGNHLNCGFNLSHHCEPDSGGASHKPKTGMIVGIVGGFIAVLLLVSLPLFFWRDRHKYYKHDVFVDVPGEGDDRFEFGQLKRFVWTELQIATDNFNEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYQSPGGDTAFKREVEMISVAFHRNILRLIGFCTTPTERLLVYPFMKNLSVAYCLREFKSGESVLDWPTRKNVALGTARGLEYLHVHCNPKIIHRDVKAANVLLDEDFEAIVGDFGLAKLVDVRQTNVKTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARPEEEDDVLLLDYVKKLQREKKLGAIVDRNLNENYDIQEVEMMIQVALLCSLASPEDRPTMSMVVRMLEGEGLAERWEEWQNVEVTRRQEFERLQKHHYDWGEHSFYNQEAIELSGGR >itb03g05900.t3 pep chromosome:ASM357664v1:3:4158182:4164402:1 gene:itb03g05900 transcript:itb03g05900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFAILISVCLWSFVSPDPQGEALYALKISLNASNNQLPNWDRNQVDPCTWFKIRCDENKDVTMVLLSNMGFSGTLAPELGVLKKLTTLSLQGNGITGTIPDELGNLTSLTMLNLESNRLSGEIPSSLGNLKSLQSLFLSQNNLTGTIPQSLSSLSGLVNLQLDSNGLTGQIPESLFQVPKYNFTGNHLNCGFNLSHHCEPDSGGASHKPKTGMIVGIVGGFIAVLLLVSLPLFFWRDRHKYYKHDVFVDVPGEGDDRFEFGQLKRFVWTELQIATDNFNEKNVLDYQSPGGDTAFKREVEMISVAFHRNILRLIGFCTTPTERLLVYPFMKNLSVAYCLREFKSGESVLDWPTRKNVALGTARGLEYLHVHCNPKIIHRDVKAANVLLDEDFEAIVGDFGLAKLVDVRQTNVKTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARPEEEDDVLLLDYVKKLQREKKLGAIVDRNLNENYDIQEVEMMIQVALLCSLASPEDRPTMSMVVRMLEGEGLAERWEEWQNVEVTRRQEFERLQKHHYDWGEHSFYNQEAIELSGGR >itb03g05900.t2 pep chromosome:ASM357664v1:3:4157986:4164413:1 gene:itb03g05900 transcript:itb03g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFAILISVCLWSFVSPDPQGEALYALKISLNASNNQLPNWDRNQVDPCTWFKIRCDENKDVTMVLLSNMGFSGTLAPELGVLKKLTTLSLQGNGITGTIPDELGNLTSLTMLNLESNRLSGEIPSSLGNLKSLQSLFLSQNNLTGTIPQSLSSLSGLVNLQLDSNGLTGQIPESLFQVPKYNFTGNHLNCGFNLSHHCEPDSGGASHKPKTGMIVGIVGGFIAVLLLVSLPLFFWRDRHKYYKHDVFVDVPGEGDDRFEFGQLKRFVWTELQIATDNFNEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYQSPGGDTAFKREVEMISVAFHRNILRLIGFCTTPTERLLVYPFMKNLSVAYCLREFKSGESVLDWPTRKNVALGTARGLEYLHVHCNPKIIHRDVKAANVLLDEDFEAIVGDFGLAKLVDVRQTNVKTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARPEEEDDVLLLDYEWFSCC >itb14g20510.t3 pep chromosome:ASM357664v1:14:22854665:22860238:1 gene:itb14g20510 transcript:itb14g20510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVVVYFPYDNIYPEQYQYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYQLSKPADKIKLLYCTRTVHEMEKTLAELKLLYNYQLKNCGDRAKMLAIGLSSRKNLCVNPDVVSAENRDSVDAACRKLTASWVRSLAAENPNIPTCPFFENYERSSADTSLPSGVYTLQDLKVFGKEKGWCPYFLARHMVQRADVVVYSYQYLLDPKVAGIISKEMQRESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRMTQEIDRLKATDAGRLRAEYNRLVDGLAQRGNLPISDGWLANPSLPDDILKEAVPGNIRRAEHFLSVLRRLVQYLKGRLQAENVEKEGPVSFVASINAQVGIDQKMLRFCYDRLHSLMLTLEITDTDEFLHIQTICDLATLVGTYTRGFSIIIEPFDERMPDIPDPVLQLSCHDASIAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVCSRSFKMSLTRDCICPMVLTRGSDQLPVSTKYDLRSDPGVEKNYGKLLLEMASVVPDGIVCFFVSYSYMDGIVNSWHNSGLLKDIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMCGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKSSYAKWHSRMIKAALWARKHSCHRRIWRRWLLALMGKCWVEDPLSDWEFSIFVTICFSFLPLLFF >itb14g20510.t4 pep chromosome:ASM357664v1:14:22854665:22858441:1 gene:itb14g20510 transcript:itb14g20510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVVVYFPYDNIYPEQYQYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYQLSKPADKIKLLYCTRTVHEMEKTLAELKLLYNYQLKNCGDRAKMLAIGLSSRKNLCVNPDVVSAENRDSVDAACRKLTASWVRSLAAENPNIPTCPFFENYERSSADTSLPSGVYTLQDLKVFGKEKGWCPYFLARHMVQRADVVVYSYQYLLDPKVAGIISKEMQRESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRMTQEIDRLKATDAGRLRAEYNRLVDGLAQRGNLPISDGWLANPSLPDDILKEAVPGNIRRAEHFLSVLRRLVQYLKGRLQAENVEKEGPVSFVASINAQVGIDQKMLRFCYDRLHSLMLTLEITDTDEFLHIQTICDLATLVGTYTRGFSIIIEPFDERMPDIPDPVLQLSCHDASIAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVCSRSFKMSLTRDCICPMVLTRGSDQLPVSTKYDLRSDPGVEKNYGKLLLEMASVVPDGIVCFFVSYSYMDGIVNSWHNSGLLKDIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMCGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALVLTDPAEIEFCSSLFFPLLQKSPA >itb14g20510.t2 pep chromosome:ASM357664v1:14:22854665:22860238:1 gene:itb14g20510 transcript:itb14g20510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVVVYFPYDNIYPEQYQYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYQLSKPADKIKLLYCTRTVHEMEKTLAELKLLYNYQLKNCGDRAKMLAIGLSSRKNLCVNPDVVSAENRDSVDAACRKLTASWVRSLAAENPNIPTCPFFENYERSSADTSLPSGVYTLQDLKVFGKEKGWCPYFLARHMVQRADVVVYSYQYLLDPKVAGIISKEMQRESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRMTQEIDRLKATDAGRLRAEYNRLVDGLAQRGNLPISDGWLANPSLPDDILKEAVPGNIRRAEHFLSVLRRLVQYLKGRLQAENVEKEGPVSFVASINAQVGIDQKMLRFCYDRLHSLMLTLEITDTDEFLHIQTICDLATLVGTYTRGFSIIIEPFDERMPDIPDPVLQLSCHDASIAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVCSRSFKMSLTRDCICPMVLTRGSDQLPVSTKYDLRSDPGVEKNYGKLLLEMASVVPDGIVCFFVSYSYMDGIVNSWHNSGLLKDIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMCGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRFVSFI >itb14g20510.t1 pep chromosome:ASM357664v1:14:22854665:22860238:1 gene:itb14g20510 transcript:itb14g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVVVYFPYDNIYPEQYQYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYQLSKPADKIKLLYCTRTVHEMEKTLAELKLLYNYQLKNCGDRAKMLAIGLSSRKNLCVNPDVVSAENRDSVDAACRKLTASWVRSLAAENPNIPTCPFFENYERSSADTSLPSGVYTLQDLKVFGKEKGWCPYFLARHMVQRADVVVYSYQYLLDPKVAGIISKEMQRESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRMTQEIDRLKATDAGRLRAEYNRLVDGLAQRGNLPISDGWLANPSLPDDILKEAVPGNIRRAEHFLSVLRRLVQYLKGRLQAENVEKEGPVSFVASINAQVGIDQKMLRFCYDRLHSLMLTLEITDTDEFLHIQTICDLATLVGTYTRGFSIIIEPFDERMPDIPDPVLQLSCHDASIAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVCSRSFKMSLTRDCICPMVLTRGSDQLPVSTKYDLRSDPGVEKNYGKLLLEMASVVPDGIVCFFVSYSYMDGIVNSWHNSGLLKDIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMCGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMAIHIAKEFLRKMAQPYDKSGALGKKTLLSQEDLEKMVTGPDGEMLG >itb14g03130.t1 pep chromosome:ASM357664v1:14:2791455:2791745:-1 gene:itb14g03130 transcript:itb14g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQSKILNCVVSVAAQLKWAWDCLRPLAILMPISQRRHRNVAGKCWLRGGSKGFRGRIGWLSRMCSLSVQDRGRRRGYRLGFLLSPSRYIDAHAG >itb01g01850.t1 pep chromosome:ASM357664v1:1:1095081:1096127:-1 gene:itb01g01850 transcript:itb01g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTLLFALSLYLLLNPTHSTSNPIRLPTADVAVTSGETPVVDTDGDALRAGETYYITSSFRGAASGGVQLSWLNDLTKCARNVVMSPPLTDGDPIRITPADPNATVVSPSTFQSFAFSVPTSRLCVSSVFWGIRFDRASRKFFLNSGEFVSNLSGQFKIEVVPELSAYEITYCPFGGDKCYSVGRYYDEAAGADRLALTQDFPFTVVFKKARF >itb01g03280.t1 pep chromosome:ASM357664v1:1:2162156:2169841:-1 gene:itb01g03280 transcript:itb01g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFADIGLAAAINILTALIFLVAFAIIRLQPVNDRVYFPKWYLKGLRDSPTHSGAYVKRFVNLDWRSYVKFLNWVPDALRMPEPELIDHAGLDSAVYLRIYLLGLKIFVPLALLSWSILVPVNWTNNTLNRSDLTHSNIDKLSISNVPLGSPRFWTHIVMAYAFTFWTCYVLRMEYKKVEDMRLQFLSLQGRRPDQFTVLVRNVPPDADESVSEAVEHFFLVNHQDQYLTSQVVYNANKLAKLVEEKKSNQNWLDYYQLKYLRGNQSKRPTMKTGFLGLCGEKVDAIDHQIAEIERLSKEIEEESDRVKNDEKAIMPVAFVSFKTRWAAAVCAQTQQSRNPTLWLTEWAPEPRDVYWENLAIPYVSLTIRKLIIGVAFFFLTFFFMIPITFVQSLANIEGIRKKLPFLKSIIDTPFVKSFIQGFLPGIVLKIFLILLPTILMLMSKFEGFTSKSSLERRSASRYYIFNFVNVFLGSVIAGAAFEQLNTFLHQSANEIPRTIGAAIPMKATFFITYIMVDGWTGIAGEVLRLKGLILFHLKNSFLVKTEKDREDAMNPGSLSFNFNEPRIQLYFLLGLVYAAVTPILLPFILVFFAFAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIFALIFSQFSTLGLLSTKHAAQSAPFLIVLPILTITFHLYCKGRYEPAFTKHPLQEAKMKDTLEQAREPNFNLKPYLKDAYIHPVFKGNEDEYEYDVISEKADEENVLVQTKRQSRRNTPAPSKGSGGSSPSLPSFRVEVIHEKEGKEQP >itb01g03280.t2 pep chromosome:ASM357664v1:1:2163983:2169862:-1 gene:itb01g03280 transcript:itb01g03280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFADIGLAAAINILTALIFLVAFAIIRLQPVNDRVYFPKWYLKGLRDSPTHSGAYVKRFVNLDWRSYVKFLNWVPDALRMPEPELIDHAGLDSAVYLRIYLLGLKIFVPLALLSWSILVPVNWTNNTLNRSDLTHSNIDKLSISNVPLGSPRFWTHIVMAYAFTFWTCYVLRMEYKKVEDMRLQFLSLQGRRPDQFTVLVRNVPPDADESVSEAVEHFFLVNHQDQYLTSQVVYNANKLAKLVEEKKSNQNWLDYYQLKYLRGNQSKRPTMKTGFLGLCGEKVDAIDHQIAEIERLSKEIEEESDRVKNDEKAIMPVAFVSFKTRWAAAVCAQTQQSRNPTLWLTEWAPEPRDVYWENLAIPYVSLTIRKLIIGVAFFFLTFFFMIPITFVQSLANIEGIRKKLPFLKSIIDTPFVKSFIQGFLPGIVLKIFLILLPTILMLMSKFEGFTSKSSLERRSASRYYIFNFVNVFLGSVIAGAAFEQLNTFLHQSANEIPRTIGAAIPMKATFFITYIMVDGWTGIAGEVLRLKGLILFHLKNSFLVKTEKDREDAMNPGSLSFNFNEPRIQLYFLLGLVYAAVTPILLPFILVFFAFAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIFALIFSQFSTLGLLSTKHAAQSAPFLIVLPILTITFHLYCKGRYEPAFTKHPLQEAKMKDTLEQAREPNFNLKPYLKDAYIHPVFKGNEDEYEYDVISEKADEENVLVQTKRQSRRNTPAPSKGSGGSSPSLPSFRVEVIHEKEGKEQP >itb04g00040.t2 pep chromosome:ASM357664v1:4:25302:27740:1 gene:itb04g00040 transcript:itb04g00040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSATAAPWKHLLLNSLNSNSHLKHSIYLQLVNIHTSITHRICHLLLYFDFFFFIFLLQATVGSNGRPSNRTVVFRGFEDNSDRIQIYTDSRTRKIEDIKHCPFAEICWYFTDSWEQYRINGRVDVIDASNPDPDKLQQRQTAWFASSLKSRLQYLGPSPGLPSLDDQSSQENSLDPSAGPTDAFCLLVLDPEQVDFLNLKSNRRIAFASRCSINGEKLWTSVEINP >itb04g00040.t1 pep chromosome:ASM357664v1:4:25302:27740:1 gene:itb04g00040 transcript:itb04g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSATAAPWKHLLLNSLNSNSHLKHSIYLQLATVGSNGRPSNRTVVFRGFEDNSDRIQIYTDSRTRKIEDIKHCPFAEICWYFTDSWEQYRINGRVDVIDASNPDPDKLQQRQTAWFASSLKSRLQYLGPSPGLPSLDDQSSQENSLDPSAGPTDAFCLLVLDPEQVDFLNLKSNRRIAFASRCSINGEKLWTSVEINP >itb04g04020.t1 pep chromosome:ASM357664v1:4:2510138:2511994:-1 gene:itb04g04020 transcript:itb04g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRPEFQERGLLSNIAGFAAAHHLPGAHGGAHHRPPPLGYPHHPPPAAGAYPPAGGYPHPPPPSPGYTPEGYPLAGAHPPPPAGYPPPTAYPPPSGAFPQHGYPPAGYPPPSSSHQGAAGQGSNKFGTMLAGGAAAAAAAIGAHHLAHGSHHHHHMHGGYYGHHGKFKHGKFKQGKYGKPFKRWK >itb12g25950.t1 pep chromosome:ASM357664v1:12:27029138:27029871:-1 gene:itb12g25950 transcript:itb12g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLIKKCTLLDCSLPLALSTTSDQRRVKSGRRGGDQRQATPLLRSLGLDCEVRTPTATFALPLLPAPVSLPPLLRTLNPKFTTLLLRLSERPRLPANCPRITGFGE >itb15g15180.t1 pep chromosome:ASM357664v1:15:13774419:13774724:1 gene:itb15g15180 transcript:itb15g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDKNEQEAVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGESDPLEIATKELRERKIPFTIRRYLPDGSYEDLGVDELIVEDSWKRQVGGG >itb10g03260.t1 pep chromosome:ASM357664v1:10:2968395:2974025:-1 gene:itb10g03260 transcript:itb10g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLNSTIPTSAPVKPFSLSRHLSASLTLPVLSSAQPIDAVTLSNHILKEDPKTLASTLHNFTQWTPELVQAVLKRLWNHGPMALQFFNHLDRHPSYAHSAAAFDHAIDIAARMRDYKTLWKLVARMQARRLGPRPKTFSIIIERYISAGKADKALKVFLAMHKHGCPQDLNCFNTFLDVLCKLRRTEMAYRLLKMLRGKFRADTITYNIIVNGFCLIKQTPKAQNILKEMVERGLNPTITTYNIMLKGFLRAGQIKEAWEFFLQMKKRKCDIDIVTYTTMVHGFGVVGEVDKARKIFNEMVGAGVLPSVATYNALIQVLCKKDTVENAILVFEEMVRKGYVPNTVTYNAVIRGLCHRGRMNEAVKYLDKMKDDKCEPNVQTYNVIVRYYCDEGEIEKALEVFDRMNCGDCLPNLDTYNILISSMFVRKKSDDLQVAGRLLVEMVGRGFLPRRFTFNRVLNGLLLTGNQDFAREILRLLSESGRLPHHFKL >itb10g03260.t2 pep chromosome:ASM357664v1:10:2969410:2974024:-1 gene:itb10g03260 transcript:itb10g03260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLNSTIPTSAPVKPFSLSRHLSASLTLPVLSSAQPIDAVTLSNHILKEDPKTLASTLHNFTQWTPELVQAVLKRLWNHGPMALQFFNHLDRHPSYAHSAAAFDHAIDIAARMRDYKTLWKLVARMQARRLGPRPKTFSIIIERYISAGKADKALKVFLAMHKHGCPQDLNCFNTFLDVLCKLRRTEMAYRLLKMLRGKFRADTITYNIIVNGFCLIKQTPKAQNILKEMVERGLNPTITTYNIMLKGFLRAGQIKEAWEFFLQMKKRKCDIDIVTYTTMVHGFGVVGEVDKARKIFNEMVGAGVLPSVATYNALIQVLCKKDTVENAILVFEEMVRKGYVPNTVTYNAVIRGLCHRGRMNEAVKYLDKMKDDKCEPNVQTYNVIVRYYCDEGEIEKALEVFDRMNCGDCLPNLDTYNILISSMFVRKKSDDLQVAGRLLVEMVGRGFLPRRFTFNRVLNGLLLTGNQDFAREILRLLSESGRLPHHFKL >itb11g10740.t2 pep chromosome:ASM357664v1:11:7672509:7676997:1 gene:itb11g10740 transcript:itb11g10740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFHNLLGAPYRGGNAVVANNSLLISPVGNRVSVTDLVKSETVTLPCQSSSNLCRIAASPDGVFLLAIDENNRCLFINLRRRTVLHRITFKHPVATAKFSPDGNFIAVAAGKLLQIWRSPGFRKEFFPFELIRTFADCNDRITSLDWSPDSDYILAGSKDLTVRLFCFSKSNKFNKPLLFLGHRDAIVGCFFGVDKKTNKVSRVYTVSRDGAIFSWGYSETDEKFDEMDCDVSEPESPGTPEQRQAQNEEVDYNSNAKKRKNFDGKDKKLDEEKGPWLHRLKWELLKKDYFMQTPAKLTACDYHRGLDMVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAKLGQLLVWEWKSESYILKQQGHYFDVNCLAYSPDSQLLATGADDNKIKVWTVSSGFCFVTFSEHSNAVTALHFMPNNHCLLSASLDGTVRAWDLFRYRNFRTFTTPTSKQFVSLASDQSGEVICAGTLDSFEIYVWSMKTGRLLDVLSGHEGPVHGLVFSPTNAILASSSWDKSVRLWDVFDGKGAVETFPHTHDVLTVVYRPDGKQLASSTLDGQIHFWDPIEGLLMYTIEGRRDIAGGRLMTDRRSAANSTSGKCFTTLNYSADGSYILAGGNSKYICMYDIADQVLLRRFQITHNLSLDGVLDFLNSKNMTEAGPLDLIDDDNSDTEEGVDRQSRKKLAYDLPGSMPNHGRPIIRTKCLRIAPTGRSWAAATTEGVLIYSMDESFIFDPTDLDIDVTPDAVNLALSEGQTKRALILSLRLNEDSLIKKCIVAVSPADIPAAASSIPFKYLQRLVTAFADLLENCPHLEFILRWCQVIPKI >itb11g10740.t1 pep chromosome:ASM357664v1:11:7672509:7676997:1 gene:itb11g10740 transcript:itb11g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) UniProtKB/Swiss-Prot;Acc:Q8VYZ5] MNYRFHNLLGAPYRGGNAVVANNSLLISPVGNRVSVTDLVKSETVTLPCQSSSNLCRIAASPDGVFLLAIDENNRCLFINLRRRTVLHRITFKHPVATAKFSPDGNFIAVAAGKLLQIWRSPGFRKEFFPFELIRTFADCNDRITSLDWSPDSDYILAGSKDLTVRLFCFSKSNKFNKPLLFLGHRDAIVGCFFGVDKKTNKVSRVYTVSRDGAIFSWGYSETDEKFDEMDCDVSEPESPGTPEQRQAQNEEVDYNSNAKKRKNFDGKDKKLDEEKGPWLHRLKWELLKKDYFMQTPAKLTACDYHRGLDMVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAKLGQLLVWEWKSESYILKQQGHYFDVNCLAYSPDSQLLATGADDNKIKVWTVSSGFCFVTFSEHSNAVTALHFMPNNHCLLSASLDGTVRAWDLFRYRNFRTFTTPTSKQFVSLASDQSGEVICAGTLDSFEIYVWSMKTGRLLDVLSGHEGPVHGLVFSPTNAILASSSWDKSVRLWDVFDGKGAVETFPHTHDVLTVVYRPDGKQLASSTLDGQIHFWDPIEGLLMYTIEGRRDIAGGRLMTDRRSAANSTSGKCFTTLNYSADGSYILAGGNSKYICMYDIADQVLLRRFQITHNLSLDGVLDFLNSKNMTEAGPLDLIDDDNSDTEEGVDRQSRKKLAYDLPGSMPNHGRPIIRTKCLRIAPTGRSWAAATTEGVLIYSMDESFIFDPTDLDIDVTPDAVNLALSEGQTKRALILSLRLNEDSLIKKCIVAVSPADIPAAASSIPFKYLQRLVTAFADLLENCPHLEFILRWCQELCKAHGQSIQQNSRNLLPALKSLQKAITRLHQDLADTCSSNEYLLRYLCSASNNR >itb14g01360.t4 pep chromosome:ASM357664v1:14:1049928:1051244:-1 gene:itb14g01360 transcript:itb14g01360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDLLSSPSFLSQASNASFDFNSLYNSIMIPPNSLHIGESSSGGESQALEATTSGIPHSQLLLDQQYHRDLMDRHNAVLAHLRETAKQAQALRQENINLKMANLDLNNRLTLLLKASSDYAAKFGAPSKFGMRRKSVPVGDDESTSQGQLWEDMGATTSSGDCHESPTSVMDSGRVERNNVDRVLLPKSISVRSSGYLKTAQAGGSRPENRSKASHTAVISFFSYFFQTKISSYKFGIHSENSIHSYSYSEIAILMHEISTIYDSR >itb14g01360.t3 pep chromosome:ASM357664v1:14:1049928:1051244:-1 gene:itb14g01360 transcript:itb14g01360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDLLSSPSFLSQASNASFDFNSLYNSIMIPPNSLHIGESSSGGESQALEATTSGIPHSQLLLDQQYHRDLMDRHNAVLAHLRETAKQAQALRQENINLKMANLDLNNRLTLLLKASSDYAAKFGAPSKFGMRRKSVPVGDDESTSQGQLWEDMGATTSSGDCHESPTSVMDSGRVERNNVDRVLLPKSISVRSSGYLKTAQAGGSRPENRSKASHTAVISFFSYFFQTKISSYKFGIHSENSIHSYSYSEIAILMHEISTIYDSR >itb14g01360.t1 pep chromosome:ASM357664v1:14:1048446:1051244:-1 gene:itb14g01360 transcript:itb14g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDLLSSPSFLSQASNASFDFNSLYNSIMIPPNSLHIGESSSGGESQALEATTSGIPHSQLLLDQQYHRDLMDRHNAVLAHLRETAKQAQALRQENINLKMANLDLNNRLTLLLKASSDYAAKFGAPSKFGMRRKSVPVGDDESTSQGQLWEDMGATTSSGDCHESPTSVMDSGRVERNNVDRVLLPKSISVRSSGYLKTAQAGGSRPENRSKASHTAQRVYLKGAKKEEQPLELEVYNQGMFKTELCNKWQETGACPYGDNCQFAHGLEELRPVLRHPRYKTEVCRMVLNGDPCPYGHRCHFRHSLTDQEKLIRALNSRSLNSSLSS >itb14g01360.t2 pep chromosome:ASM357664v1:14:1048446:1051244:-1 gene:itb14g01360 transcript:itb14g01360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDLLSSPSFLSQASNASFDFNSLYNSIMIPPNSLHIGESSSGGESQALEATTSGIPHSQLLLDQQYHRDLMDRHNAVLAHLRETAKQAQALRQENINLKMANLDLNNRLTLLLKASSDYAAKFGAPSKFGMRRKSVPVGDDESTSQGQLWEDMGATTSSGDCHESPTSVMDSGRVERNNVDRVLLPKSISVRSSGYLKTAQAGGSRPENRSKASHTAQRVYLKGAKKEEQPLELEVYNQGMFKTELCNKWQETGACPYGDNCQFAHGLEELRPVLRHPRYKTEVCRMVLNGDPCPYGHRCHFRHSLTDQEKLIRALNSRSLNSSLSS >itb15g12050.t1 pep chromosome:ASM357664v1:15:9963395:9966188:1 gene:itb15g12050 transcript:itb15g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSEASVIESKNESKRVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVQADANPSDGVFSFDIIIDREVGLLSRIYRPAYDDGGMPSLTELEKPVCSDVVPVIIFFHGGSFAHSSCNSAIYDILCRRLVGICKAIVVSVNYRRAPENRFPSAYDDGWTALKWVTSRKWMQSKMDSKVHVYLAGDSSGGNIAHNVALRAAESDIEVFGSILLNPMFGGEMRTESEERLDGKYFVTLQDRDWYWRAFLPEGADRDHPACNPFGPNSIKLEGVKFPKSLVVVAGLDLVQDWQLAYADGLKKAGQEVKLIYLEKATIGFYLLPNNDHFYTVMDEISDFVSSDS >itb15g12050.t2 pep chromosome:ASM357664v1:15:9963395:9966188:1 gene:itb15g12050 transcript:itb15g12050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSEASVIESKNESKRVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVQADANPSDGVFSFDIIIDREVGLLSRIYRPAYDDGGMPSLTELEKPVCSDVVPVIIFFHGGSFAHSSCNSAIYDILCRRLVGICKAIVVSVNYRRAPENRFPSAYDDGWTALKWVTSRKWMQSKMDSKVHVYLAGDSSGGNIAHNVALRAAESDIEVFGSILLNPMFGGEMRTESEERLDGKYFVTLQDRDWYWRAFLPEGADRDHPACNPFGPNSIKLEGVKFPKSLVVVAGLDLVQDWQLAYADGLKKAGQEVKLIYLEKATIGFYLLPNNDHFYTVMDEISDFVSSDS >itb10g14850.t1 pep chromosome:ASM357664v1:10:21143320:21147607:-1 gene:itb10g14850 transcript:itb10g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLKVAAVAEKLPEVLVRAYDEERDKAAVEELESRCEVGQEGKPRLVVDLLDDPIGRVRNAPLHLMMVAEHGISKEIVGVIRGSIRTVTRGKKGKNDNSDYVDVAYILGLRVSSQHRRLGIGTKLVQSLENWSKSNGAEYIYMATDCSNQASINLFTGTLNYVKFRTPSVLVQPVHRHKKPLGSDVAVVRVPAKLAESYYRRVFDGSSEFFPKDINLVLNNKLNLGTFMAAPKESLSTWDPDSGVFPPNHAVLSIWDAKEVYKVQLKGLSGLKQACFLGTRVLDRLIPWLRLPSVPNVSKNFGYYFLYGLRMEGNSGSKMMESLCSFAHNVARKDRRGCELLIAEVSPDDPVRRGIPHWKNFSWQDLWCIKELGLVGDNDDNNKAANIVHGSSEGSSIMYHDSSSALIFVDPRDI >itb03g03680.t4 pep chromosome:ASM357664v1:3:2163017:2166380:1 gene:itb03g03680 transcript:itb03g03680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTLAIIGPQSSVIAHAISHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVEYYHWKAVIAIYVDDEYGRSGIAALADQLAMRRCQISCKAPLKPRATLEEVRDTLTQLALTESRIIVVHTYPYRGLDIFSQAKSLGMTESGYVWIATHWLSTIIDTVGPLSPDVMDNLQGTITLRTYVPDSEEKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSIDRADFNPYSINVFDGGKLLLDNILKTNITGVTGLFKFTSDRELYRPAFEVINVIGSGMRRVGYWSNYSGLSVVHPDSLYSYPSSRVPSNQQLYPVVWTGETVQKPRGWVFPNSGEPLKVGVPIRAGFNKFVEQIPGSDMFQGHCIEVFTTALNYLPYAVPYKFVPFGEANNTELVRQISEGVFDAGVGFIAIATSRIEMVDFTQPYMETGLVVVAQFKERGSSAYWAFLRPFTPMMWCVTGMFFLTIGAAIWILEHRLNDDFRGPARKQIETIVWFGFLTFFSANKENTFRIVSTLGRLVLLVWLFVVLIINSSYTANLTSILMAQHLSSPIKGIESLVTNNGPIGYHRGSIARDYMIEELHIHESRLVPFDAIEDFAKALRNGPEKGGVVAVVGDRAYMELFLSTHCEFCIVGDEFTRNGWGFVSSLTRSICFCFFLLTYLTRS >itb03g03680.t1 pep chromosome:ASM357664v1:3:2162527:2166492:1 gene:itb03g03680 transcript:itb03g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALMFTVLYCEYLSQGVNSTLSARPSVVNIGCILTLRSNVGKIAKLVIETAVEDINSNPAVLGGTKLNITFLDNKSNGLLGIIEAINYMGTDTLAIIGPQSSVIAHAISHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVEYYHWKAVIAIYVDDEYGRSGIAALADQLAMRRCQISCKAPLKPRATLEEVRDTLTQLALTESRIIVVHTYPYRGLDIFSQAKSLGMTESGYVWIATHWLSTIIDTVGPLSPDVMDNLQGTITLRTYVPDSEEKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSIDRADFNPYSINVFDGGKLLLDNILKTNITGVTGLFKFTSDRELYRPAFEVINVIGSGMRRVGYWSNYSGLSVVHPDSLYSYPSSRVPSNQQLYPVVWTGETVQKPRGWVFPNSGEPLKVGVPIRAGFNKFVEQIPGSDMFQGHCIEVFTTALNYLPYAVPYKFVPFGEANNTELVRQISEGVFDAGVGFIAIATSRIEMVDFTQPYMETGLVVVAQFKERGSSAYWAFLRPFTPMMWCVTGMFFLTIGAAIWILEHRLNDDFRGPARKQIETIVWFGFLTFFSANKENTFRIVSTLGRLVLLVWLFVVLIINSSYTANLTSILMAQHLSSPIKGIESLVTNNGPIGYHRGSIARDYMIEELHIHESRLVPFDAIEDFAKALRNGPEKGGVVAVVGDRAYMELFLSTHCEFCIVGDEFTRNGWGFAFPKDSPLAVDMSTAILEMSENGELQRIHDKWLLGRACMSQNTKLEVNRFEVKSFLGLFLTCGFACLLALLLHFIMAHIS >itb03g03680.t5 pep chromosome:ASM357664v1:3:2163017:2166492:1 gene:itb03g03680 transcript:itb03g03680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MILIFHCCLISLFLKFELYLLSVQNRVEIWPVLIAQFNMKLFWALMFTVLYCEYLSQGVNSTLSARPSVVNIGCILTLRSNVGKIAKLVIETAVEDINSNPAVLGGTKLNITFLDNKSNGLLGIIEAINYMGTDTLAIIGPQSSVIAHAISHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVEYYHWKAVIAIYVDDEYGRSGIAALADQLAMRRCQISCKAPLKPRATLEEVRDTLTQLALTESRIIVVHTYPYRGLDIFSQAKSLGMTESGYVWIATHWLSTIIDTVGPLSPDVMDNLQGTITLRTYVPDSEEKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSIDRADFNPYSINVFDGGKLLLDNILKTNITGVTGLFKFTSDRELYRPAFEVINVIGSGMRRVGYWSNYSGLSVVHPDSLYSYPSSRVPSNQQLYPVVWTGETVQKPRGWVFPNSGEPLKVGVPIRAGFNKFVEQIPGSDMFQGHCIEVFTTALNYLPYAVPYKFVPFGEANNTELVRQISEGVFDAGVGFIAIATSRIEMVDFTQPYMETGLVVVAQFKERGSSAYWAFLRPFTPMMWCVTGMFFLTIGAAIWILEHRLNDDFRGPARKQIETIVWFGFLTFFSANKENTFRIVSTLGRLVLLVWLFVVLIINSSYTANLTSILMAQHLSSPIKGIESLVTNNGPIGYHRGSIARDYMIEELHIHESRLVPFDAIEDFAKALRNGPEKGGVVAVVGDRAYMELFLSTHCEFCIVGDEFTRNGWGFAFPKDSPLAVDMSTAILEMSENGELQRIHDKWLLGRACMSQNTKLEVNRFEVKSFLGLFLTCGFACLLALLLHFIMAHIS >itb03g03680.t6 pep chromosome:ASM357664v1:3:2163017:2166492:1 gene:itb03g03680 transcript:itb03g03680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MILIFHCCLISLFLKFELYLLSVQNRVEIWPVLIAQFNMKLFWALMFTVLYCEYLSQGVNSTLSARPSVVNIGCILTLRSNVGKIAKLVIETAVEDINSNPAVLGGTKLNITFLDNKSNGLLGIIEAINYMGTDTLAIIGPQSSVIAHAISHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVEYYHWKAVIAIYVDDEYGRSGIAALADQLAMRRCQISCKAPLKPRATLEEVRDTLTQLALTESRIIVVHTYPYRGLDIFSQAKSLGMTESGYVWIATHWLSTIIDTVGPLSPDVMDNLQGTITLRTYVPDSEEKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSIDRADFNPYSINVFDGGKLLLDNILKTNITGVTGLFKFTSDRELYRPAFEVINVIGSGMRRVGYWSNYSGLSVVHPDSLYSYPSSRVPSNQQLYPVVWTGETVQKPRGWVFPNSGEPLKVGVPIRAGFNKFVEQIPGSDMFQGHCIEVFTTALNYLPYAVPYKFVPFGEANNTELVRQISEGVFDAGVGFIAIATSRIEMVDFTQPYMETGLVVVAQFKERGSSAYWAFLRPFTPMMWCVTGMFFLTIGAAIWILEHRLNDDFRGPARKQIETIVWFGFLTFFSANKENTFRIVSTLGRLVLLVWLFVVLIINSSYTANLTSILMAQHLSSPIKGIESLVTNNGPIGYHRGSIARDYMIEELHIHESRLVPFDAIEDFAKALRNGPEKGGVVAVVGDRAYMELFLSTHCEFCIVGDEFTRNGWGFVSSLTRSICFCFFLLTYLTRS >itb03g03680.t3 pep chromosome:ASM357664v1:3:2163017:2166380:1 gene:itb03g03680 transcript:itb03g03680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTLAIIGPQSSVIAHAISHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVEYYHWKAVIAIYVDDEYGRSGIAALADQLAMRRCQISCKAPLKPRATLEEVRDTLTQLALTESRIIVVHTYPYRGLDIFSQAKSLGMTESGYVWIATHWLSTIIDTVGPLSPDVMDNLQGTITLRTYVPDSEEKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSIDRADFNPYSINVFDGGKLLLDNILKTNITGVTGLFKFTSDRELYRPAFEVINVIGSGMRRVGYWSNYSGLSVVHPDSLYSYPSSRVPSNQQLYPVVWTGETVQKPRGWVFPNSGEPLKVGVPIRAGFNKFVEQIPGSDMFQGHCIEVFTTALNYLPYAVPYKFVPFGEANNTELVRQISEGVFDAGVGFIAIATSRIEMVDFTQPYMETGLVVVAQFKERGSSAYWAFLRPFTPMMWCVTGMFFLTIGAAIWILEHRLNDDFRGPARKQIETIVWFGFLTFFSANKENTFRIVSTLGRLVLLVWLFVVLIINSSYTANLTSILMAQHLSSPIKGIESLVTNNGPIGYHRGSIARDYMIEELHIHESRLVPFDAIEDFAKALRNGPEKGGVVAVVGDRAYMELFLSTHCEFCIVGDEFTRNGWGFAFPKDSPLAVDMSTAILEMSENGELQRIHDKWLLGRACMSQNTKLEVNRFEVKSFLGLFLTCGFACLLALLLHFIMAHIS >itb03g03680.t2 pep chromosome:ASM357664v1:3:2162527:2166492:1 gene:itb03g03680 transcript:itb03g03680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALMFTVLYCEYLSQGVNSTLSARPSVVNIGCILTLRSNVGKIAKLVIETAVEDINSNPAVLGGTKLNITFLDNKSNGLLGIIEAINYMGTDTLAIIGPQSSVIAHAISHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVEYYHWKAVIAIYVDDEYGRSGIAALADQLAMRRCQISCKAPLKPRATLEEVRDTLTQLALTESRIIVVHTYPYRGLDIFSQAKSLGMTESGYVWIATHWLSTIIDTVGPLSPDVMDNLQGTITLRTYVPDSEEKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSIDRADFNPYSINVFDGGKLLLDNILKTNITGVTGLFKFTSDRELYRPAFEVINVIGSGMRRVGYWSNYSGLSVVHPDSLYSYPSSRVPSNQQLYPVVWTGETVQKPRGWVFPNSGEPLKVGVPIRAGFNKFVEQIPGSDMFQGHCIEVFTTALNYLPYAVPYKFVPFGEANNTELVRQISEGVFDAGVGFIAIATSRIEMVDFTQPYMETGLVVVAQFKERGSSAYWAFLRPFTPMMWCVTGMFFLTIGAAIWILEHRLNDDFRGPARKQIETIVW >itb14g11670.t1 pep chromosome:ASM357664v1:14:13353726:13354715:-1 gene:itb14g11670 transcript:itb14g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTGHVLPGLGFFLVGLWHMLNQIKLHATHPKSHFSLLWFPCPKIRYLELYMIMLGSFAFISKEVLMGLLTHTSLDQDGTIPFHQLRKFEHANVATALIAHAVFSMVLDRADPPAKQGMAHLFGVVVFGQELLSFRLHSTDHFGVEGQYHFFLQIIILVSLSTTLLGFPFPTSFINSFVRSCSVMFQGIWLVVIGIMLWTAGFCPKGCYLTEEHKHHVVKCRSEEAAMRAKSLVNILFSLSLIGFTILVVTIYLVLVKCYSQKIAYVSLPTNKLEDNEKEDLIHDVESQKGFVEMGKLIVCHGTVDMK >itb14g12030.t3 pep chromosome:ASM357664v1:14:13623973:13628089:1 gene:itb14g12030 transcript:itb14g12030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTMLISRRSEANFSLGRFYLRMPDNGNLVLSSKMVPTNSDYDADYYNSQTSDPSNSTNSGYKVIFSEKGSVSILKRNNATQELTPQSVSSVTENYLRLTLNFDGVLTLYRYPKGSAGNLSWIPLWSQPDNICTKITGDKGSGACGYNSVCSIDSNQRPSCNCPRGYSLFDPSDQYGNCKPNYVQTCEEPRKGSSEELFSLIEITDTDWPLSDFEQINPSNKDDCRTACLNDCLCAVAIFRSNSCWKKKLPLSNGRIDTSLDATAFLKVGKGDAPPLTPDPWREFPERKKDQGNLIIAGSTLLGSSVFVNVLLLTALLYGFFFIYKKKIKAFSPTYPSVPSNLQYFSYQCLAEATNQFKEEIGRGGFGIVYKGEMPVGSGNIVAVKKLDRVAHDTDKEFKTEVNVIGQTHHKNLVRLLGYCDEGQHRLLVYEYMQNGTLATFLFNELKPSWSQRTKLALGVAHGLTYLHEECSTQIIHCDIKPQNILLDDYNAARISDFGLAKLLMMNQSRTYTNIRGTKGYVAPEWFRNNQVTVKVDVYSFGVLLMEIIACRRSLEDEEKCGADKAVLADWFTDCFHEKRLELLVEDDAEALSDMETLKRFVMTAIWCIQEDASLRPTMKRVSQMLEGAVEVLVPPLPYPLPSITTYVSLE >itb14g12030.t1 pep chromosome:ASM357664v1:14:13623826:13628089:1 gene:itb14g12030 transcript:itb14g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTMLISRRSEANFSLGRFYLRMPDNGNLVLSSKMVPTNSDYDADYYNSQTSDPSNSTNSGYKVIFSEKGSVSILKRNNATQELTPQSVSSVTENYLRLTLNFDGVLTLYRYPKGSAGNLSWIPLWSQPDNICTKITGDKGSGACGYNSVCSIDSNQRPSCNCPRGYSLFDPSDQYGNCKPNYVQTCEEPRKGSSEELFSLIEITDTDWPLSDFEQINPSNKDDCRTACLNDCLCAVAIFRSNSCWKKKLPLSNGRIDTSLDATAFLKVGKGDAPPLTPDPWREFPERKKDQGNLIIAGSTLLGSSVFVNVLLLTALLYGFFFIYKKKIKAFSPTYPSVPSNLQYFSYQCLAEATNQFKEEIGRGGFGIVYKGEMPVGSGNIVAVKKLDRVAHDTDKEFKTEVNVIGQTHHKNLVRLLGYCDEGQHRLLVYEYMQNGTLATFLFNELKPSWSQRTKLALGVAHGLTYLHEECSTQIIHCDIKPQNILLDDYNAARISDFGLAKLLMMNQSRTYTNIRGTKGYVAPEWFRNNQVTVKVDVYSFGVLLMEIIACRRSLEDEEKCGADKAVLADWFTDCFHEKRLELLVEDDAEALSDMETLKRFVMTAIWCIQEDASLRPTMKRVSQMLEGAVEVLVPPLPYPLPSITTYVSLE >itb14g12030.t2 pep chromosome:ASM357664v1:14:13623826:13628089:1 gene:itb14g12030 transcript:itb14g12030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTMLISRRSEANFSLGRFYLRMPDNGNLVLSSKMVPTNSDYDADYYNSQTSDPSNSTNSGYKVIFSEKGSVSILKRNNATQELTPQSVSSVTENYLRLTLNFDGVLTLYRYPKGSAGNLSWIPLWSQPDNICTKITGDKGSGACGYNSVCSIDSNQRPSCNCPRGYSLFDPSDQYGNCKPNYVQTCEEPRKGSSEELFSLIEITDTDWPLSDFEQINPSNKDDCRTACLNDCLCAVAIFRSNSCWKKKLPLSNGRIDTSLDATAFLKVGKGDAPPLTPDPWREFPERKKDQGNLIIAGSTLLGSSVFVNVLLLTALLYGFFFIYKKKIKAFSPTYPSVPSNLQYFSYQCLAEATNQFKEEIGRGGFGIVYKGEMPVGSGNIVAVKKLDRVAHDTDKEFKTEVNVIGQTHHKNLVRLLGYCDEGQHRLLVYEYMQNGTLATFLFNELKPSWSQRTKLALGVAHGLTYLHEECSTQIIHCDIKPQNILLDDYNAARISDFGLAKLLMMNQSRTYTNIRGTKGYVAPEWFRNNQVTVKVDVYSFGVLLMEIIACRRSLEDEEKCGADKAVLADWFTDCFHEKRLELLVEDDAEALSDMETLKRFVMTAIWCIQEDASLRPTMKRVSQMLEGAVEVLVPPLPYPLPSITTYVSLE >itb14g12030.t5 pep chromosome:ASM357664v1:14:13625453:13628090:1 gene:itb14g12030 transcript:itb14g12030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTPFYLILFVLLFPFHTFAQNNSTIDAGSTLTATDNTKPWLSPSGEFAFGFSQIQGTNQFLVCIWYAKINERTIVWHANTTSLVPQGSTLRLDADLGLILRDPQGNRLWVTDGFVDKVAYGFLNDTGKFVLSRNDSEVLWDTFAHPTDTLLPTQEMEIGTMLISRRSEANFSLGRFYLRMPDNGNLVLSSKMVPTNSDYDADYYNSQTSDPSNSTNSGYKVIFSEKGSVSILKRNNATQELTPQSVSSVTENYLRLTLNFDGVLTLYRYPKGSAGNLSWIPLWSQPDNICTKITGDKGSGACGYNSVCSIDSNQRPSCNCPRGYSLFDPSDQYGNCKPNYVQTCEEPRKGSSEELFSLIEITDTDWPLSDFEQINPSNKDDCRTACLNDCLCAVAIFRSNSCWKKKLPLSNGRIDTSLDATAFLKVGKGDAPPLTPDPWREFPERKKDQGNLIIAGSTLLGSSVFVNVLLLTALLYGFFFIYKKKIKAFSPTYPSVPSNLQYFSYQCLAEATNQFKEEIGRGGFGIVYKGEMPVGSGNIVAVKKLDRVAHDTDKEFKTEVNVIGQTHHKNLVRLLGYCDEGQHRLLVYEYMQNGTLATFLFNELKPSWSQRTKLALGVAHGLTYLHEECSTQIIHCDIKPQNILLDDYNAARISDFGLAKLLMMNQSRTYTNIRGTKGYVAPEWFRNNQVTVKVDVYSFGVLLMEIIACRRSLEDEEKCGADKAVLADWFTDCFHEKRLELLVEDDAEALSDMETLKRFVMTAIWCIQEDASLRPTMKRVSQMLEGAVEVLVPPLPYPLPSITTYVSLE >itb14g12030.t4 pep chromosome:ASM357664v1:14:13624006:13628090:1 gene:itb14g12030 transcript:itb14g12030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTMLISRRSEANFSLGRFYLRMPDNGNLVLSSKMVPTNSDYDADYYNSQTSDPSNSTNSGYKVIFSEKGSVSILKRNNATQELTPQSVSSVTENYLRLTLNFDGVLTLYRYPKGSAGNLSWIPLWSQPDNICTKITGDKGSGACGYNSVCSIDSNQRPSCNCPRGYSLFDPSDQYGNCKPNYVQTCEEPRKGSSEELFSLIEITDTDWPLSDFEQINPSNKDDCRTACLNDCLCAVAIFRSNSCWKKKLPLSNGRIDTSLDATAFLKVGKGDAPPLTPDPWREFPERKKDQGNLIIAGSTLLGSSVFVNVLLLTALLYGFFFIYKKKIKAFSPTYPSVPSNLQYFSYQCLAEATNQFKEEIGRGGFGIVYKGEMPVGSGNIVAVKKLDRVAHDTDKEFKTEVNVIGQTHHKNLVRLLGYCDEGQHRLLVYEYMQNGTLATFLFNELKPSWSQRTKLALGVAHGLTYLHEECSTQIIHCDIKPQNILLDDYNAARISDFGLAKLLMMNQSRTYTNIRGTKGYVAPEWFRNNQVTVKVDVYSFGVLLMEIIACRRSLEDEEKCGADKAVLADWFTDCFHEKRLELLVEDDAEALSDMETLKRFVMTAIWCIQEDASLRPTMKRVSQMLEGAVEVLVPPLPYPLPSITTYVSLE >itb14g00310.t1 pep chromosome:ASM357664v1:14:208779:211187:1 gene:itb14g00310 transcript:itb14g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIEACKTRKRTRRPFRFEEFAMPGTIDGLSGAFRENIRFYLQEFAVLEDYIVAGMPLWSTWFITASNGAVFPLYAIEETVQHSPEPFCDHCKFAGWGHHYVSKRRYHLIVPANVNWEKPLTSNCLEIGSHILHGLIHCNGYGHLLCINGVREDSHSLPATHFMDFWDRLCTALKTRKVSVFDVSMKGGMELRLLHGVTYGMPWFGKWGYKFNRGSFGVTEQKYKIAIDYLQSLGLDKILNDFKKSHSGRRKIKQVIDKYREMSENPLITISELLQFMLSLKCRASNQNKTKLAEAYCTSKSNAQDNDTNPMSAKTFLNMLVKSDCRWPARRLEFVLVVIVNLLKEKKANESIENAGMMTRQELRDGIRKCIGDTGLIDFVLKSIRCFALGTHIVRRTTNPTTKLLEFTIDEAAKETTEVARFPFLDENNECRWDEGRLKQAAEAIVDILKTHNGNGSMSRQELRDKAREHVRDTGLIDFLLKSMNNSVVGNHVVYRSRNSLTKRIEFLIHDFEDSSSGEESIDIYADVKLLYDNVLLGYPGLDSVISATQVILDSKQFVKEWVFQDQENRMESMSLTCQVLPSFDELETELTRPLSPGEVVVVPGCITIGELKKVAQYALRDTYCIMENFVVKQIGGLKGIEDERVLMCTVSSEAHVWVRGCGLDLGTEMRYQDGSQNSKVKCVCGTKEDDGERMVECDACQAWQHTRCCGIDDDEDAPSVFLCGTCGGKW >itb05g02310.t1 pep chromosome:ASM357664v1:5:1839689:1847348:-1 gene:itb05g02310 transcript:itb05g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKNTGRQPVNKVASNISAPARNLPKNDLGGVIFGCKDHTIRECFSKTLFGLPAPHFAYVKKISPGLPLFLFNYSDRKLHGVFEAAHHGQLNIDPHAWTGGSVELTKYPAQVQFNVNKRCPALTEKQFSPIIANNYYETRHFWFELDRFQTAKLIELFSSSCSVPYDGLHHSHHNILTTLLTTEASTSGQQTTWSSLFKDNSTSDMTNKFGNLKITPHPNFPSSEQPNEEWNSWTSEEWAERHNIQPLLETPGPSVGRDYLGDQSSILSENSDMLSVVAKAIEGRCFNTDEETNGSRRKNFNSRKRAGLPSPHFAYVKKISPGLPLFLFNYRDRKLHGVFEAAGHGQLKIEERCFNVDGETNGTRRKNYDSREGAVLDALPIADSVFSLALPVVCSTRSLAVSVMLEALCLAVQNFTMPSSGKKWWW >itb05g03370.t1 pep chromosome:ASM357664v1:5:2831965:2834478:1 gene:itb05g03370 transcript:itb05g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTYWCYRCDRFVRVWDQEDSVACPDCFSGFIEEIDTPTRSLLSESRRSRFAASAAFVMQSSDQNAPPSSPGVRRSRRNGGGDRSPFNPVIVLRGGAAEGGGDGSGTGFELYYDDGAGSGLRPLPASISEFLLGSGFDRLLDQLTQIEANGLGRMEDPPASKAAIESMPTIEILNSHIETEPHCAVCKEPFELGNEAREMPCNHLYHSDCILPWLSLRNSCPVCRHQLPTDAPNSTNSPSSNEQQQPSDDDTVGLTIWRLPGGGFAVGRFSGGRRELPVVYTEMDGGFNNNGVPRRISRGTRGNISRRNGGVRRALLNVFSCLGGAVSSSSSSRIISSRSRSSLLSSVFHGRGRSSSL >itb15g20290.t1 pep chromosome:ASM357664v1:15:22890427:22893905:-1 gene:itb15g20290 transcript:itb15g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLVERCLPENDAADSPPEHPNEDAINLGLEDLQGTVEYGSASSNAFAAKDFGGLHAVKPLAVVRPAVADDVARVIRVALRSPALTVAARGNGHSINGQAMAHRGVVVDMKSLAGIAVVRDCSKSGGPVVEVGGGALWSDVLKRCVLGYGLAPRSWTDYLDLTVGGTLSNAGVSGQAFRFGPQTANVTELEVVTGNGEITVCSHTNNSELFFSVLGGLGQFGIITRARVLLQPAPDMVRWIRLVYSNFTDYMLDAESLVTRDSSFDYVEGFVFVNSDDPVNGWPSVLFDPQHQFDTTCMSKNVDPVLYCLEVALYYKSDDPPSTVDKMVEKLIEGLRYCQNLKLQMDLSYMDFLLRVKKAEQDAKANGIWDAPHPWLNLFVSKKDIADFDRLVFKKLLKDGVGGPMLVYPLIRSKRGNLLSSGTSPVQPSVPKRSTGSRVGGTEPGNCSVLHKKWVQFQIIPPSLQLQGGMEAPLWESMAKIRREEVHF >itb15g20290.t2 pep chromosome:ASM357664v1:15:22890400:22894130:-1 gene:itb15g20290 transcript:itb15g20290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLVERCLPENDAADSPPEHPNEDAINLGLEDLQGTVEYGSASSNAFAAKDFGGLHAVKPLAVVRPAVADDVARVIRVALRSPALTVAARGNGHSINGQAMAHRGVVVDMKSLAGIAVVRDCSKSGGPVVEVGGGALWSDVLKRCVLGYGLAPRSWTDYLDLTVGGTLSNAGVSGQAFRFGPQTANVTELEVVTGNGEITVCSHTNNSELFFSVLGGLGQFGIITRARVLLQPAPDMVRWIRLVYSNFTDYMLDAESLVTRDSSFDYVEGFVFVNSDDPVNGWPSVLFDPQHQFDTTCMSKNVDPVLYCLEVALYYKSDDPPSTVDKMVEKLIEGLRYCQNLKLQMDLSYMDFLLRVKKAEQDAKANGIWDAPHPWLNLFVSKKDIADFDRLVFKKLLKDGVGGPMLVYPLIRSKWDNRTSVVLPEGEIFYLVALLRFSHQYPNGPPVQELAAQNREIVQCCIRNGFSFKLYLPHYNSKEEWKHHFGNQWPRFVERKSTFDPMAILAPGQKIFTRNQQQP >itb03g01860.t1 pep chromosome:ASM357664v1:3:1050016:1055103:-1 gene:itb03g01860 transcript:itb03g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 [Source:Projected from Arabidopsis thaliana (AT3G02110) UniProtKB/Swiss-Prot;Acc:Q8L9Y0] MKIMASLMYLSLTLLLLLHITGRAAATVEEEAAAAAADRIVSLPGQPKVAFRQYSGYVTVHETAGRALFYWLTEAQSQPLSKPLVVWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNTEVNLLFLETPAGVGFSYTNRSSDLLNTGDHRTAKDSLQFLIRWMDRFPSYKGRDVYLTGESYAGHYVPQLAREIVHYNAHSKHPIKIKGFMVGNAVTDNYHDNLGTVTYWWSHAMISDKTYRQLVNTCNFRQQKESNECESLYYYAMDQEFGNIDQYNIYAPPCNNSDGSTLAAGATTRHHMRLPHQPRRAFRPLSGYDPCTEKYAEIYYNRPDVQKAMHANTTRIPYKWTACSETLNRNWNDTDDSILPIYRELIAAGLRIWVFSGDVDSVVPVTATRYSIAQLKLHVTTPWYPWYIKNQVGGWTEVYKGLTFATVRGAGHEVPLFKPRAALQLLRSFLRGEPLPKS >itb03g01860.t2 pep chromosome:ASM357664v1:3:1050016:1055103:-1 gene:itb03g01860 transcript:itb03g01860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 [Source:Projected from Arabidopsis thaliana (AT3G02110) UniProtKB/Swiss-Prot;Acc:Q8L9Y0] MKIMASLMYLSLTLLLLLHITGRAAATVEEEAAAAAADRIVSLPGQPKVAFRQYSGYVTVHETAGRALFYWLTEAQSQPLSKPLVVWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNTEVNLLFLETPAGVGFSYTNRSSDLLNTGDHRTAKDSLQFLIRWMDRFPSYKGRDVYLTGESYAGHYVPQLAREIVHYNAHSKHPIKIKGFMVGNAVTDNYHDNLGTVTYWWSHAMISDKTYRQLVNTCNFRQQKESNECESLYYYAMDQEFGNIDQYNIYAPPCNNSDGSTLAAGATTRHHMRLPHQPRRAFRPLSGYDPCTEKYAEIYYNRPDVQKAMHANTTRIPYKWTACSETLNRNWNDTDDSILPIYRELIAAGLRIWVFSGDVDSVVPVTATRYSIAQLKLHVTTPWYPWYIKNQVSTSKCLFFFLICPE >itb03g08540.t1 pep chromosome:ASM357664v1:3:6412320:6414563:1 gene:itb03g08540 transcript:itb03g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEYLSKLCAFTTTGSKTKSMQTVEIKVKMDCDGCERKVRNAIKHIKGVKNIEVNRKQSKVTVRGFVEPNKVLRRVKETGKRAEFWPYIPYNVVYYPYATQAYDKRAPAGYVKNVPQAIAPPNATEEKLAFMFSEDNPNACSIM >itb15g06570.t1 pep chromosome:ASM357664v1:15:4359897:4361560:-1 gene:itb15g06570 transcript:itb15g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPSSMYNVALILLFSFLLGMSSAKLSEDFYEKSCPKALFIIKSGVRSAVRAEPRMGASLLRLHFHDCFGCDASVLLDDTPTFTGEKTAGPNIGSIRGFDVIDDIKTQIEKYCPGVVSCADILAVAGRDSTVALDGPSWKVLLGRRDSTTASFSDANRDLPAPNSNLNQLISAYSNKGFSVREMVALSGSHTIGQARCVNFRDRIYNDTNINAPFARSLQANCPRSQGDDNLAPLDVTTPTSFDNKYFKNLQSQKGLLHSDQVLFNGGSTDSIVNIYSSNPSTFASDFANAMVKMSTLSPLTGSNGQIRKNCRKIN >itb09g15640.t1 pep chromosome:ASM357664v1:9:10893685:10895826:1 gene:itb09g15640 transcript:itb09g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEKKLIALGFEGSANKIGVGVVALDGSILSNPRHTYITPPGQGFLPRETAQHHLHHVLPLINSALHSAEITPKDVDCLCYTPGMGAPLQVSAVVVRVLSQLWKKPIVAVNHCVAHIEMGRVVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLKLSNDPSPGYNIEQLAKKGEKFIELPYVVKGMDVSFSGILSYIEATTEEMLKNNECSPADLCYSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMKTICSERGGKLYATDDRYCIDNGAMIAYTGLLTFANGASTPMEESTFTQRFRTDEVLAIWREKESNTSSGTVGSI >itb10g04690.t1 pep chromosome:ASM357664v1:10:4513431:4515087:-1 gene:itb10g04690 transcript:itb10g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTWIWTTFAVIAAISICNRFLKSKSKRLPPGPRRLPILGHLHLVGKTPHQDLQKLAKIHGPIMHLRFGFVDNIIVSSPRAAELFLKTHDLNFASRPPSEAAKYMAYGQKDMAFGEYGPFWRNMRKLCTLELLSNVKINSFQSMRREELRLLIESFKQAAGKGEAVDLSAKVSSMSADMSCRMVFGKKYEDNDIGENGFKAVIQETVHLTGIPNLGDYFPYFSKLDIHGLTRRMKAVAKLFDQFFERIIDEHEQAKNQGNTQTTKDFVDIMLEIRNSGETSFEFTREHIKSMMLDLLTTSMDTSSTAIDWTMSELFKHPEIMKKVKKEIERQVGYDRMVEEEDLEHFEYLEMVIKESLRLHLIVPLLVPHASIEDCIVDGFHIPKKSRIIVNTWAIARDPNVWSNPEEFIPERFNGCDIDYRGKHFEYLPFGSGRRSCPGMQLGITTVRLVVAQLIHCFDWDLPNGMLPKDLDMTEHFGVVVSRAKNLIAVPKYKLCV >itb10g18840.t2 pep chromosome:ASM357664v1:10:24647205:24656971:1 gene:itb10g18840 transcript:itb10g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKKSSPEDEKVSFYKVFAFADRVDVALMIVGALGAVAIGAAQPLVSFLFGDVINAFAKSIGTNDVLANVSKVSLKFFYVAVGGGIGSFAQMACWTVTAERQAARIRELYLHTILRQDIGFFDTEAKTGEIVGRLSDDILLIREAIGDKVGKFIQFATTFIGSFVAAMVKGWLLTLVMLACLPALGIGIAFSIYTMSKMSSNVQVAYTEAGNVVEQTVGGIRTVVSYTGEEQAIQRYNTKLREAYAASVKQGLASGIGIGIILCIVFSSYGFAIWYGAILILKKGYEGGDVMSVLVAVMMGGGSLGQVSPCLSAFAEGQAAAYKVFETIKRIPQIDAYDPNGIVLEDIKGEIELKDVFFKYPARPDIQIFSGFSLYVPSGKTVALVGPSGSGKSTVISLLERFYDPQAGGVYLDGIDVRKFQIKWLRAKMGLVSQEPALFATSIRENIICGKGYASKEEIRNAIELANAAKFIDMLPKGVDTMVGQHGTQISGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQGALTNVMKSRTTVVVAHRLSTIRNADLIAVVHLGKLIEQGTHDELMKNPDGAYSQLVQMQERSKEVDSTAQKEIQKGNDRVYMDSSSRRLSVSSRRLSLKRSASIRSLSNLSSLTLSFGVTGVVDIHDRLGSEGSIEIGGTIGENKNKEHTSPLTSLASLNKPEIPVLLLGSIAAAIHGVFFPLLALLMSMIIEIFFQPPDELKKNSRFWALMSVVLGVVALITGPAQHYLFGVAGSKLIQRIRSMTFAKVVHQDISWFDDPANTSGAVGARLSTDASIVRNIVGDALALVVQNLATLISGLTIAFYANWRLTLIILAIVPLIAFQEFITAKVFNKSIVDDKVMYEDASQVANDAIGSIRTVASFCAEDEVMEMYRRKCKAPRKHGFKLGLLSGTGLGFGNCMIYSTYALAFYLGAVFINHGNATVYEVFKVVFSLVLSSTGFSQFSTFFSDFKKSKESAASIFEMFENKPDIDSRSNEGITLDTVKGDIEFRHVSFRYPSRPDIEIFKDLCLTIPAGKTVALVGESGSGKSTVISLTERFYDPNSGCIYLDGVEIQNLNIRWLRKQMGLVSQEPVLFNETIRSNIAYGRQEEVSEDEIIAVAKAANAHSFISSLPEGYDTPVGERGVQLSGGQKQRIAIARAILKDPRILLLDEATSALDVQSERIVQDALDRVMVCRTTIVVAHRLTTIRDADVIAVVKNGGIVENGTHDMLMTRPDGVYASLVALQTTSAN >itb10g18840.t1 pep chromosome:ASM357664v1:10:24647205:24656971:1 gene:itb10g18840 transcript:itb10g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARKKSSPEDEKVSFYKVFAFADRVDVALMIVGALGAVAIGAAQPLVSFLFGDVINAFAKSIGTNDVLANVSKVSLKFFYVAVGGGIGSFAQMACWTVTAERQAARIRELYLHTILRQDIGFFDTEAKTGEIVGRLSDDILLIREAIGDKVGKFIQFATTFIGSFVAAMVKGWLLTLVMLACLPALGIGIAFSIYTMSKMSSNVQVAYTEAGNVVEQTVGGIRTVVSYTGEEQAIQRYNTKLREAYAASVKQGLASGIGIGIILCIVFSSYGFAIWYGAILILKKGYEGGDVMSVLVAVMMGGGSLGQVSPCLSAFAEGQAAAYKVFETIKRIPQIDAYDPNGIVLEDIKGEIELKDVFFKYPARPDIQIFSGFSLYVPSGKTVALVGPSGSGKSTVISLLERFYDPQAGGVYLDGIDVRKFQIKWLRAKMGLVSQEPALFATSIRENIICGKGYASKEEIRNAIELANAAKFIDMLPKGVDTMVGQHGTQISGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQGALTNVMKSRTTVVVAHRLSTIRNADLIAVVHLGKLIEQGTHDELMKNPDGAYSQLVQMQERSKEVDSTAQKEIQKGNDRVYMDSSSRRLSVSSRRLSLKRSASIRSLSNLSSLTLSFGVTGVVDIHDRLGSEGSIEIGGTIGENKNKEHTSPLTSLASLNKPEIPVLLLGSIAAAIHGVFFPLLALLMSMIIEIFFQPPDELKKNSRFWALMSVVLGVVALITGPAQHYLFGVAGSKLIQRIRSMTFAKVVHQDISWFDDPANTSGAVGARLSTDASIVRNIVGDALALVVQNLATLISGLTIAFYANWRLTLIILAIVPLIAFQEFITAKVFNKSIVDDKVMYEDASQVANDAIGSIRTVASFCAEDEVMEMYRRKCKAPRKHGFKLGLLSGTGLGFGNCMIYSTYALAFYLGAVFINHGNATVYEVFKTKLYAGCLLSSSFIHRIFPVQHFLF >itb11g08010.t1 pep chromosome:ASM357664v1:11:5137033:5139861:-1 gene:itb11g08010 transcript:itb11g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MALSIASTALPNIPLIRHNKQNWVPGQAKAKTCMLLSSSRTLWRLNAGKEVSSVCEPLPPDRPLWFPGSSPPQWLDGSLPGDFGFDPLGLGSDPELLKWFAQAELMHGRWAMLAVAGILIPEWLEGLGFIQNYSWYDAGAREYFADPTTLFVVQMALMGWAEGRRWADIINPGCVDVEPTLPHKKKPKRDVGYPGGLWFDPFMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAIYTKQGPIENLMYHLADPGHNNIFSAFTSH >itb01g00840.t1 pep chromosome:ASM357664v1:1:404539:406216:-1 gene:itb01g00840 transcript:itb01g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSTFGELCNEKYEFQGVMRNGLSSSSSLVLDSGKGVLVRAAQQGVIKPEKALIALRNHSEAERRRRERINGHFTTLRSLIPGTDKMDKAALLAKVISQVKELRASAAEATKEILVPMDRDELRVEPQVGEFEGALYSIKASLSCEYKHELLSDLRQALDNLSLKTARAEIATLGSRMVSVFVITENEGNIEHDEDTERREFLASSIRQALRSVLDKFYASEELSSRNALSSKRQRVSFLNPSSSSSLGDFC >itb12g25890.t1 pep chromosome:ASM357664v1:12:26996968:26999215:1 gene:itb12g25890 transcript:itb12g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSVLILATLASNFDELFAESRKELRIKQVIQDRNVDRVEKSNAVDPSRVIQLSWRPRAFLYKNFLTEEECDHLMALVHAKNSYSAGSSGAEKLASNLDFYLDTDDEIVARVEERISAWTFLPKVNSMPLKVTHFGVEDTKQKYNYFNKNSTELQIAPLVATVILYLSNVSAGGQIIFPESGSNIWSSSNCMTSSHTIRPTKGNALLFFAVNLNATPDHSSSHARCAVLEGDMWSATKFLYLKAINREKDAITSPDCMDEDDNCPRWAASGECQRNPVFMVGSPDYYGTCRKSCNVC >itb11g07470.t3 pep chromosome:ASM357664v1:11:4573451:4581258:1 gene:itb11g07470 transcript:itb11g07470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSGCEILVLLAFVICGTWGVYHYQFKVLPEALSPEDAGVTGFSEEAAMAHNKALSSLGPHPLGSAVLDTALQYVLKAATTIQEEAYGDVNVEVECFHANTGVNTLSGGSYYGKTLVYSDMKHVLIRISSKSAATKLRSGEEDNAILVSAHVDTVFAAEGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLNGAHSFVTQHPWINTVRVAVDLEAMGLGGKSGIFQAGPDPWAVQNFAKAAKRPSGQIVSQDLFGSGVIKSSTDFQVYKEVAGLSGLDFAFTDSTAVYHTKNDKHDLLKAGSLQHLGDNMLPFLLHAATSSDFPTGKNTLSQGNSEEEVVDTVVYFDILGKFMVVYPQSLADMINTSVIALALLVWSAVLNQGGLSSLVSLALSVLSIVLMWICSLGLTVLVAYIIPSISASPVPFIASPWLVVGLFGAPALLGAFIGQHVVYLVLRKFLSYTFSETKGFLPLSLGGDEDDVAVLDSEKWMFKAGLLQWLLVLAVGNYLNVGSSYFALFWMISPAVALLAETTKPLNPLTAVIGLAAPLVVSSGVFVQLVNTLIGNLVRFVSNPGAQADWIATAIVAALIAAVICLTMVYVLPYIHNSGAKHKFIMTTCIVLLVSLGMVEQSMVPPFIDDTARAVNIVQVVNNTGEGTVSHISLFSTTPGGLFVEAEKLGGGLVCGREKSFDFVTFTAYYSCWTAEIEWSNNALIPALRLGSDSQENDDRSTLVHITTGDSTRWCLSINTNEIQDFQLKDESGVLVSRGEKNSIDGWHLMQFAGGKNSPTKFDLTLHWHKNSYGKRVVEGSEGGEEVLLKLRADVNATTPELDKILEKMPSWISQYGKSTSPFTLAYFDTLYVADPSLTMGSSVASE >itb11g07470.t2 pep chromosome:ASM357664v1:11:4573448:4581267:1 gene:itb11g07470 transcript:itb11g07470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSGCEILVLLAFVICGTWGVYHYQFKVLPEALSPEDAGVTGFSEEAAMAHNKALSSLGPHPLGSAVLDTALQYVLKAATTIQEEAYGDVNVEVECFHANTGVNTLSGGSYYGKTLVYSDMKHVLIRISSKSAATKLRSGEEDNAILVSAHVDTVFAAEGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLNGAHSFVTQHPWINTVRVAVDLEAMGLGGKSGIFQAGPDPWAVQNFAKAAKRPSGQIVSQDLFGSGVIKSSTDFQVYKEVAGLSGLDFAFTDSTAVYHTKNDKHDLLKAGSLQHLGDNMLPFLLHAATSSDFPTGKNTLSQGNSEEEVVDTVVYFDILGKFMVVYPQSLADMINTSVIALALLVWSAVLNQGGLSSLVSLALSVLSIVLMWICSLGLTVLVAYIIPSISASPVPFIASPWLVVGLFGAPALLGAFIGQHVVYLVLRKFLSYTFSETKGFLPLSLGGDEDDVAVLDSEKWMFKAGLLQWLLVLAVGNYLNVGSSYFALFWMISPAVAYFLFEVLAETTKPLNPLTAVIGLAAPLVVSSGVFVQLVNTLIGNLVRFVSNPGAQADWIATAIVAALIAAVICLTMVYVLPYIHNSGAKHKFIMTTCIVLLVSLGMVEQSMVPPFIDDTARAVNVSIIMNTNSLKTTIHHVLSI >itb11g07470.t1 pep chromosome:ASM357664v1:11:4573448:4581267:1 gene:itb11g07470 transcript:itb11g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSGCEILVLLAFVICGTWGVYHYQFKVLPEALSPEDAGVTGFSEEAAMAHNKALSSLGPHPLGSAVLDTALQYVLKAATTIQEEAYGDVNVEVECFHANTGVNTLSGGSYYGKTLVYSDMKHVLIRISSKSAATKLRSGEEDNAILVSAHVDTVFAAEGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLNGAHSFVTQHPWINTVRVAVDLEAMGLGGKSGIFQAGPDPWAVQNFAKAAKRPSGQIVSQDLFGSGVIKSSTDFQVYKEVAGLSGLDFAFTDSTAVYHTKNDKHDLLKAGSLQHLGDNMLPFLLHAATSSDFPTGKNTLSQGNSEEEVVDTVVYFDILGKFMVVYPQSLADMINTSVIALALLVWSAVLNQGGLSSLVSLALSVLSIVLMWICSLGLTVLVAYIIPSISASPVPFIASPWLVVGLFGAPALLGAFIGQHVVYLVLRKFLSYTFSETKGFLPLSLGGDEDDVAVLDSEKWMFKAGLLQWLLVLAVGNYLNVGSSYFALFWMISPAVAYFLFEVLAETTKPLNPLTAVIGLAAPLVVSSGVFVQLVNTLIGNLVRFVSNPGAQADWIATAIVAALIAAVICLTMVYVLPYIHNSGAKHKFIMTTCIVLLVSLGMVEQSMVPPFIDDTARAVNIVQVVNNTGEGTVSHISLFSTTPGGLFVEAEKLGGGLVCGREKSFDFVTFTAYYSCWTAEIEWSNNALIPALRLGSDSQENDDRSTLVHITTGDSTRWCLSINTNEIQDFQLKDESGVLVSRGEKNSIDGWHLMQFAGGKNSPTKFDLTLHWHKNSYGKRVVEGSEGGEEVLLKLRADVNATTPELDKILEKMPSWISQYGKSTSPFTLAYFDTLYVADPSLTMGSSVASE >itb12g23600.t1 pep chromosome:ASM357664v1:12:25271049:25273138:-1 gene:itb12g23600 transcript:itb12g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFDRKTEMVSPDMSSKSSPRISNKQQQHQISVPAAPARVWELTAASDSACSAYEYYLRLPELRKLWSSEEFPGWKNEALLKPALQALEITFRFVSVVLSDPRPYANRREWKRRLVSLARSQVEIIAMLCEDDAEDGRTRGAAPIVDLTSSDVVLSRENSSAEVWKLSDETTVVSHTSEASLLPRLVTWRKSEDIAQKILSAIEGEMRPCSYTVGLGEPNLTGKPSLDYDAIVKPSELHSLKKSPSERLNLHNYENQTLYNTLQVLETWIYASKSLLNRISERIDRKEFEKAGSDCWILERTWTLLTEIQYLHLQMDPDDFLRLKNQLSVKAVAESELFCFRSRELVEITKLSKSLRHKVPCILDVEVDPHGGPRIQEAAMELYRRKEDSEKIHLLQALQSIEMAVKRFYYSYRQLLVIVMGSLEAKANPALVNADSGDLLAQIFLEPTYFPSLDAAKTFLGEQWSHDHGRFSPERRGKNEK >itb05g16310.t1 pep chromosome:ASM357664v1:5:23534181:23536915:1 gene:itb05g16310 transcript:itb05g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTNPELEALFADKKRVRNPFVPIGALITAGVLTAGLISFRQGNSNLGQKLMRARVVAQGATVALMVGTAYYYGDNLKHA >itb05g24300.t1 pep chromosome:ASM357664v1:5:29124154:29129309:-1 gene:itb05g24300 transcript:itb05g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIGVSSSPLFNHSSLLFSSRSNSQSLPLQLKSGRDRQLLMLRVHCSSEQVTDAEKESKTEELSSPSTSSGSSISAYSWCAALGGIGFLETSYLTYLKLTESDAFCPVSGGSCGDILNSSYSVVFGVPLPLIGMVAYGVVAALGLQLGRKQLPLEIEEASGRFVLLGTTTSMAAASAYFLYILSTRFPGEWCLYCLISAVLSFSLFFTTVKGLGLEEVKKELVLQLSVVLLVVAALNNSYNASQPTSSEVTELPYFATEITTHSTPYAISLAKHLSSIGAKMYGAFWCSHCQYQKQMFGQEAAELLNYVECFPNGFKKDTNMALECALVKIEGFPMWVINGQVLNGDQELSELAKVSGFQYDESSVAN >itb05g24300.t2 pep chromosome:ASM357664v1:5:29124976:29129309:-1 gene:itb05g24300 transcript:itb05g24300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIGVSSSPLFNHSSLLFSSRSNSQSLPLQLKSGRDRQLLMLRVHCSSEQVTDAEKESKTEELSSPSTSSGSSISAYSWCAALGGIGFLETSYLTYLKLTESDAFCPVSGGSCGDILNSSYSVVFGVPLPLIGMVAYGVVAALGLQLGRKQLPLEIEEASGRFVLLGTTTSMAAASAYFLYILSTRFPGEWCLYCLISAVLSFSLFFTTVKGLGLEEVKKELVLQLSVVLLVVAALNNSYNASQPTSSEVTELPYFATEITTHSTPYAISLAKHLSSIGAKMYGAFWCSHCQYQKQMFGQEAAELLNYVECFPNGFKKDTNMALECALVKIEGFPMWVINGQVLNGDQELSELAKVSGFQYDESSVAN >itb01g04050.t1 pep chromosome:ASM357664v1:1:2696633:2699020:1 gene:itb01g04050 transcript:itb01g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSSSMAALLFTASLLAIISSSAAALSGSFDDNFSKTCPATNFKTSEDGQIWYLSLDKKAGCGFTTKQKYRFGWFSMKLKLVGGDSAGVVTAFYMCSELDDSAAGWGTRDELDFEFLGNRTGQPYLIQTNVYKNGTGGREMRHVLWFDPTEDFHTYSIRWNSHMIVFYVDRVPIRVYKNANYTNDFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKNAPFVSTYRDFNVEACQWKDPFPACVATTTDNWWDQYKAWHLSSDEQLDYGWVLRNLVIYDYCTDTERYPTKLEECWLSPWD >itb02g01630.t1 pep chromosome:ASM357664v1:2:914889:919191:1 gene:itb02g01630 transcript:itb02g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKLLQGGTGIPNVKWFGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKVSTSIEALCRGYPAEFASYFHYCRSLRFEDTPDYAYLKRIFRELFIREGFQFDYVFDWTILKYQQSQLANPPSRALGGSGMNSGMPRAVANVDRQAGGDEGKPPANLAHSRSTNAGIKQKNSANDSGVGKMLSSSSFFRLGGSSRQPAVSSSRDPAITGADPDRTRGTDAGADAPHKMSSSAAQRISPVVPSDHKRNATSRNANSKNLDSTLKGIEGLSFSNDERLPH >itb01g34410.t1 pep chromosome:ASM357664v1:1:37131214:37133109:1 gene:itb01g34410 transcript:itb01g34410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRCRWWRNTQLPKMAFRIVELWKASAMMFETPKGWRRFYNSNSKVDLKTLKPMIMEKIRNRANDCPVNETAVLAQEVLKARGLLYRGVSDLLRYLPVWTCKYCPEVYVGESGHLIRTCGGYRRLGAKIKAHEWIKACLNDILVPVEAYHVQNTVHNVTKPQETFGQDRIPAVVELCLHAAGATPSDQSAYANNMESRTGFKLGEAPSDEEMKLVAIETLKAWEALRNGVQKLLVVFPVKVCKLCSEVHVGHSGNKARICRISESWQEAHLWKKAGVDDLVPAKRVWYRRPQDPPVLVDEGRDYYGHAPAVIDMCVKAGAIAPSKYFSIMKADGLSAPI >itb06g13410.t1 pep chromosome:ASM357664v1:6:18141464:18142284:1 gene:itb06g13410 transcript:itb06g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNNQFDHQAAKGPQMVAPPPPAGYPTAAGDAQVRRKRRHNTKSRGDGFWKGCCAALCCCWVLDVCC >itb09g07740.t2 pep chromosome:ASM357664v1:9:4587339:4590028:-1 gene:itb09g07740 transcript:itb09g07740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASVAGNGSSIEGGVNFAVAGTNTVDSAFYEPRGIVNTYTNASLNVQMIWFKDVLPLFCATPSECRKKFKSSLFVMGPFGSNDYRHGLDFGKDIKEIRTYIPVVIDTITAAINELIKLGATTIMVPGIAPDGCLPVILTSFGSANGLDYDPNTGCLSWMNELNEFHNLRLQQRLNIIRHLNPDVVIIYADLYNAAMKLYRNLKKYGFVQSLAACCGTGGAYGYSDDVRCGDIELINSCPDPWRHIDWDGCHMSEKANKLITMTLLDGTYTSPPINTLCASPSKFTYYD >itb09g07740.t3 pep chromosome:ASM357664v1:9:4587339:4590028:-1 gene:itb09g07740 transcript:itb09g07740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFGSNDYRHGLDFGKDIKEIRTYIPVVIDTITAAINELIKLGATTIMVPGIAPDGCLPVILTSFGSANGLDYDPNTGCLSWMNELNEFHNLRLQQRLNIIRHLNPDVVIIYADLYNAAMKLYRNLKKYGFVQSLAACCGTGGAYGYSDDVRCGDIELINSCPDPWRHIDWDGCHMSEKANKLITMTLLDGTYTSPPINTLCASPSKFTYYD >itb09g07740.t1 pep chromosome:ASM357664v1:9:4587339:4590028:-1 gene:itb09g07740 transcript:itb09g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAYDTLKFISTIFLLLLIIPRSVTLACYTSIFAFGDSVTDVGNRMLISDDPSNLRMANPPYGETFFHHPNGRFCDGRLIIDFIAEHYGLPFMPPSASVAGNGSSIEGGVNFAVAGTNTVDSAFYEPRGIVNTYTNASLNVQMIWFKDVLPLFCATPSECRKKFKSSLFVMGPFGSNDYRHGLDFGKDIKEIRTYIPVVIDTITAAINELIKLGATTIMVPGIAPDGCLPVILTSFGSANGLDYDPNTGCLSWMNELNEFHNLRLQQRLNIIRHLNPDVVIIYADLYNAAMKLYRNLKKYGFVQSLAACCGTGGAYGYSDDVRCGDIELINSCPDPWRHIDWDGCHMSEKANKLITMTLLDGTYTSPPINTLCASPSKFTYYD >itb09g07740.t4 pep chromosome:ASM357664v1:9:4587339:4588772:-1 gene:itb09g07740 transcript:itb09g07740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGIAPDGCLPVILTSFGSANGLDYDPNTGCLSWMNELNEFHNLRLQQRLNIIRHLNPDVVIIYADLYNAAMKLYRNLKKYGFVQSLAACCGTGGAYGYSDDVRCGDIELINSCPDPWRHIDWDGCHMSEKANKLITMTLLDGTYTSPPINTLCASPSKFTYYD >itb12g21440.t1 pep chromosome:ASM357664v1:12:23808825:23810543:-1 gene:itb12g21440 transcript:itb12g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSLFFGDSYADTGNWPPTNASGSWREPYAAYLGIGSPQPYNLWKGYAEAKQYGMNFAYGGTGVFDYANVDGPNMTTQINHLQQLLQQNAYAKHDLTLSAALVTSVGNDYKNYHGDMHRIKEFAKSVTKQLSLNLKRIHEMGVPKVAVTAMQPLGCLPLVAFATGNYSNCDESTNSFTRFHNQILKQRVEELNYQTVGSPFGIVDLYAAFTSALNIKHDQHPGKSSFPRPLQPCCEGKCGVVDESGKKEYAVCDDPKMTFFWDMAHPSQQGWLAVYSALKPSLPHLFHCQQATPIV >itb04g29940.t1 pep chromosome:ASM357664v1:4:33194194:33196944:1 gene:itb04g29940 transcript:itb04g29940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYMKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIHEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPTGAKVTKAAQKKK >itb02g13780.t1 pep chromosome:ASM357664v1:2:10026872:10027210:1 gene:itb02g13780 transcript:itb02g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIWSENVVPSASHFAVAVYFAFAFFAARFLLDRFVFRRLAIWLLGYGATHNMNEAKKGKIGKFTESMWKLAYYATVEYCALKATYHQPWFYDTKQYFRGWPNQELQPSII >itb08g13080.t1 pep chromosome:ASM357664v1:8:13624111:13626635:1 gene:itb08g13080 transcript:itb08g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAQDLSVNGVEVKKSETTSIPVSMNTNSGTTKPENGNASKPFKIFIGYDPREDVAYEVCCHSLLKRSSIPIEIHPIKQSELRQKGLYWRERGKLESTEFSFSRFLTPYLANYEGWAMFVDCDFLYLGDIKELRDLVDDKYAVMCVQHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNSESGAFLHRFMWLEDHEIGDVPFVWNFLVGHNRVVEGNPYTLPKAIHYTLGGPWFEAWKDCDFGDLWLKELEEYKKTEKKVD >itb13g20880.t4 pep chromosome:ASM357664v1:13:27613356:27615968:1 gene:itb13g20880 transcript:itb13g20880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MTTSFSLSFLSSLLPSPLSDSSSGAGAGATRISTARHHPFFIRCATSSSAVVSPKKRHWKQGEFPAGIQTSDSDRKRTPIKNIKKKLNKRSKAKAWANTVTEELSDCIHYKQWFQALQVFEMLREQPFYQPKQGAYMRLLVLLGRCGQPSQAQKLFNTMVEEGLEPTTELYTALVAAYCRSNLIDKGLAVLQEMTALPLCQPDVHTYSILIKACVDGSRFDLVESLYREMDERSITPNTVTQNIVLSGYGKAGRYEEMEKVLLGMLESETCRPDIWSMNIILSLFGNKGQIEMMEKWYEKFRDFGIDPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFADVGDAKHMEYTFDQMRTEGMKTDTKTFCCLIRGYANAGLFHKVISTVQLAGKSEIPENTSFYNAVLYACAKAEDIMEMERVFKRMKDKQCQLDTITYSTMIDVYRKEGMTDKIYELEQEMQMIVAPSSTGNDSEEAESIANVEL >itb13g20880.t1 pep chromosome:ASM357664v1:13:27613358:27616752:1 gene:itb13g20880 transcript:itb13g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MTTSFSLSFLSSLLPSPLSDSSSGAGAGATRISTARHHPFFIRCATSSSAVVSPKKRHWKQGEFPAGIQTSDSDRKRTPIKNIKKKLNKRSKAKAWANTVTEELSDCIHYKQWFQALQVFEMLREQPFYQPKQGAYMRLLVLLGRCGQPSQAQKLFNTMVEEGLEPTTELYTALVAAYCRSNLIDKGLAVLQEMTALPLCQPDVHTYSILIKACVDGSRFDLVESLYREMDERSITPNTVTQNIVLSGYGKAGRYEEMEKVLLGMLESETCRPDIWSMNIILSLFGNKGQIEMMEKWYEKFRDFGIDPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFADVGDAKHMEYTFDQMRTEGMKTDTKTFCCLIRGYANAGLFHKVISTVQLAGKSEIPENTSFYNAVLYACAKAEDIMEMERVFKRMKDKQCQLDTITYSTMIDVYRKEGMTDKIYELEQEMQMIVAPSSTGNDSEEAESIANVEL >itb13g20880.t2 pep chromosome:ASM357664v1:13:27613356:27616821:1 gene:itb13g20880 transcript:itb13g20880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MTTSFSLSFLSSLLPSPLSDSSSGAGAGATRISTARHHPFFIRCATSSSAVVSPKKRHWKQGEFPAGIQTSDSDRKRTPIKNIKKKLNKRSKAKAWANTVTEELSDCIHYKQWFQALQVFEMLREQPFYQPKQGAYMRLLVLLGRCGQPSQAQKLFNTMVEEGLEPTTELYTALVAAYCRSNLIDKGLAVLQEMTALPLCQPDVHTYSILIKACVDGSRFDLVESLYREMDERSITPNTVTQNIVLSGYGKAGRYEEMEKVLLGMLESETCRPDIWSMNIILSLFGNKGQIEMMEKWYEKFRDFGIDPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFADVGDAKHMEYTFDQMRTEGMKTDTKTFCCLIRGYANAGLFHKVISTVQLAGKSEIPENTSFYNAVLYACAKAEDIMEMERVFKRMKDKQCQLDTITYSTMIDVYRKEGMTDKIYELEQEMQMIVAPSSTGNDSEEAESIANVEL >itb13g20880.t3 pep chromosome:ASM357664v1:13:27613358:27616752:1 gene:itb13g20880 transcript:itb13g20880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MTTSFSLSFLSSLLPSPLSDSSSGAGAGATRISTARHHPFFIRCATSSSAVVSPKKRHWKQGEFPAGIQTSDSDRKRTPIKNIKKKLNKRSKAKAWANTVTEELSDCIHYKQWFQALQVFEMLREQPFYQPKQGAYMRLLVLLGRCGQPSQAQKLFNTMVEEGLEPTTELYTALVAAYCRSNLIDKGLAVLQEMTALPLCQPDVHTYSILIKACVDGSRFDLVESLYREMDERSITPNTVTQNIVLSGYGKAGRYEEMEKVLLGMLESETCRPDIWSMNIILSLFGNKGQIEMMEKWYEKFRDFGIDPETRTFNILIGSYGKKRMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFADVGDAKHMEYTFDQMRTEGMKTDTKTFCCLIRGYANAGLFHKRI >itb02g13710.t1 pep chromosome:ASM357664v1:2:9927987:9928823:-1 gene:itb02g13710 transcript:itb02g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVNETSNSCMKRLQGKVVIVTGGASGIGEATARLFADHGTRAVVIADIQVEKGVAVAESIGLDKCSFVKCDVSEEEQVKAMVDWTVQKYGRLDVMFSNAGLPGLSEQKVLDLNFSDFDRVFRVNARGPAVCVKHAARAMVEGSVRGSIICTASASATRGGMRVTYYVMSKHAVLGLVRSASQQLGAHGIRVNCVSPGATPTAMFSPNREEALRLVDKNYGPLICLKGIAPDAKHVANAVLFLASDESAFITGLDLAVDGGLIRLANPGSNSGSN >itb11g09860.t1 pep chromosome:ASM357664v1:11:6699024:6705979:-1 gene:itb11g09860 transcript:itb11g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSGTAFMNNSLSGVSKCLTQSQSQRRFSAPKVVAAVSSNGRPGDRNASIVMESALKEVTESVPVVEKEAKSTVAGGVGDFYGEDTATEDQVITPWTVSVASGYTLLRDPHYNKGLAFNQKERDAHYLRGLLPPVVVNHELQVKKMMHNLRQYDLPLQKYMAMMDLQEMNEKLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFRRPQGLFISLRERGRILEVMKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGQEYAELFDEFMSAVKQMYGEKVLIQFEDFANHNAFDLLAKYGPSHLVFNDDIQGTASVVLAGLIAALKKVGGTLAEHTFLFLGAGEAGTGIAELIALEMSKQTGAPLEETRKKIWMVDSKGLIVKSRVESLQHFKRPWAHEHEPVKELVDAVKAIKPTVLIGSSGAGRTFTKDVIGAMASFNEKPIILALSNPTSQSECTAEEAYTWSEGRAIFASGSPFPPFEYKGKTLLSGQANNAYIFPGFGLGLIISGAIRVHEDMLLAASEALAAEVTQENFAKGLIYPPFSNIRKISAHIAARVAAKAYELGLATRLPQPENLVAYAESCMYSPTYRSYR >itb09g05800.t1 pep chromosome:ASM357664v1:9:3296019:3298431:-1 gene:itb09g05800 transcript:itb09g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIPRLAALRSFSSISALIGCKSYRQPLFPPKSGLINSAHTHLSKNQSVYKHSSSEIDSTLKDCNEIGHEEFQKWGNGGGTFHKSSSIDPTAIIEIGAVIHAESVVAANVHVGSGSIIGPAVTIGQSTRVGYNVAVTNCTIGDFCVLHSGVCIGQDGFGFFVDEQGKMVKKPQNLNVRIGAHVEIGANTCIDRGSWRDTVIGDHSKIDNLVQIGHNVIIGKNCMLCGQVGIAGSVTIGDYVTMGGRVAIRDHVCIASKVRLAANSCVTKDINEPGDYGGFPAVPIREWRRQVATHRQSLK >itb10g10020.t1 pep chromosome:ASM357664v1:10:13680544:13691333:1 gene:itb10g10020 transcript:itb10g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMRYFEELVTNGDWDEVEKYLSGFAKVDDNRYSMKIFFEIRKQKYLEALDRKDRAKAVDILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDPKSARSIMLGELKKLIEANPLFRDKLNFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVTNPLMGAVPKPGGFPPLGAHGPFQPAPAPLQTSLAGWMANPSQVPHPSASAGPIGFTPPSNAGILKRPRTPTNNPAADYQTADSEHVLKRSRPFGISDEVNNMPVNILPIGYASQSHGQSSYSSDDLPKAVVMTLNPGSAVKSMDFHPVQQILLLVGTSAGEIMIWELGSKERLSHRSFKVWDLAQCSVALQAAMKNDYTASINRVLWSPDGPLFGIAYSKHIVQIYSYHGGDDLRNHLEIEAHAGSVNDIAFSYPNKQLSIITCGEDRLIKVWDAVTGAKQYTFEGHEAPVYSVCPHHKENIQFIFSLAVDGKIKAWLYDNLGSRVDYDAPGHSSTTMAYSTDGTRLFSCGTNKDGDSYLVEWNESDGAVKRTYVGLGKCAVGIVQFDTTKNRFLAAGDEFTIKFWDMDNVNLLTTTDADGGLPASPCLRFNKEGMLLAVSTSENGIKILANADGARLLRTMENRTFDASRASSAIGKPPGLGSFGAPSAPVGSSIVDRVSPMAAIVAMNGESRNLTDVKPRITDEPADKSRVWKLTEISESSQCRSLKLPDNLTASRISRLIYTNSGLALLALAANAVHKLWKWPKNERHPSGKANASIAPQLWQPPSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSNALNVLVSSGADSQLCVWSSDAWEKQTSKYLQLPVGRAAAPLADTRVQFHQDQTQLLVVHETQIAIYEASKLECLKQWVLREASAPITHAIYSCDSQSIYVSFEDGGVGVLTASNLRWRCRINPTSYLPANPSPKVYPLVIAAHPSDPNQFALGLSDGGVVVLEPLESEGKWGTLPLVENGAGPSTSAVASSDLPQR >itb10g10020.t2 pep chromosome:ASM357664v1:10:13680544:13691333:1 gene:itb10g10020 transcript:itb10g10020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMRYFEELVTNGDWDEVEKYLSGFAKVDDNRYSMKIFFEIRKQKYLEALDRKDRAKAVDILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDPKSARSIMLGELKKLIEANPLFRDKLNFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVTNPLMGAVPKPGGFPPLGAHGPFQPAPAPLQTSLAGWMANPSQVPHPSASAGPIGFTPPSNAGILKRPRTPTNNPAADYQTADSEHVLKRSRPFGISDEVNNMPVNILPIGYASQSHGQSSYSSDDLPKAVVMTLNPGSAVKSMDFHPVQQILLLVGTSAGEIMIWELGSKERLSHRSFKVWDLAQCSVALQAAMKNDYTASINRVLWSPDGPLFGIAYSKHIVQIYSYHGGDDLRNHLEIEAHAGSVNDIAFSYPNKQLSIITCGEDRLIKVWDAVTGAKQYTFEGHEAPVYSVCPHHKENIQFIFSLAVDGKIKAWLYDNLGSRVDYDAPGHSSTTMAYSTDGTRLFSCGTNKDGDSYLVEWNESDGAVKRTYVGLGKCAVGIVQFDTTKNRFLAAGDEFTIKFWDMDNVNLLTTTDADGGLPASPCLRFNKEGMLLAVSTSENGIKILANADGARLLRTMENRTFDASRASSAIGKPPGLGSFGAPSAPVGSSIVDRVSPMAAIVAMNGESRNLTDVKPRITDEPADKSRVWKLTEISESSQCRSLKLPDNLTASRISRLIYTNSGLALLALAANAVHKLWKWPKNERHPSGKANASIAPQLWQPPSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSNALNVLVSSGADSQLCVWSSDAWEKQTSKYLQLPVGRAAAPLADTRVQFHQDQTQLLVVHETQIAIYEASKLECLKQWVLREASAPITHAIYSCDSQSIYVSFEDGGVGVLTASNLRWRCRINPTSYLPANPSPKVYPLVIAAHPSDPNQFALGLSDGGVVVLEPLESEGKWGTLPLVENGAGPSTSAVASSDLPQR >itb10g10020.t3 pep chromosome:ASM357664v1:10:13682328:13691333:1 gene:itb10g10020 transcript:itb10g10020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSQVPHPSASAGPIGFTPPSNAGILKRPRTPTNNPAADYQTADSEHVLKRSRPFGISDEVNNMPVNILPIGYASQSHGQSSYSSDDLPKAVVMTLNPGSAVKSMDFHPVQQILLLVGTSAGEIMIWELGSKERLSHRSFKVWDLAQCSVALQAAMKNDYTASINRVLWSPDGPLFGIAYSKHIVQIYSYHGGDDLRNHLEIEAHAGSVNDIAFSYPNKQLSIITCGEDRLIKVWDAVTGAKQYTFEGHEAPVYSVCPHHKENIQFIFSLAVDGKIKAWLYDNLGSRVDYDAPGHSSTTMAYSTDGTRLFSCGTNKDGDSYLVEWNESDGAVKRTYVGLGKCAVGIVQFDTTKNRFLAAGDEFTIKFWDMDNVNLLTTTDADGGLPASPCLRFNKEGMLLAVSTSENGIKILANADGARLLRTMENRTFDASRASSAIGKPPGLGSFGAPSAPVGSSIVDRVSPMAAIVAMNGESRNLTDVKPRITDEPADKSRVWKLTEISESSQCRSLKLPDNLTASRISRLIYTNSGLALLALAANAVHKLWKWPKNERHPSGKANASIAPQLWQPPSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSNALNVLVSSGADSQLCVWSSDAWEKQTSKYLQLPVGRAAAPLADTRVQFHQDQTQLLVVHETQIAIYEASKLECLKQWVLREASAPITHAIYSCDSQSIYVSFEDGGVGVLTASNLRWRCRINPTSYLPANPSPKVYPLVIAAHPSDPNQFALGLSDGGVVVLEPLESEGKWGTLPLVENGAGPSTSAVASSDLPQR >itb15g17760.t2 pep chromosome:ASM357664v1:15:18835828:18839877:-1 gene:itb15g17760 transcript:itb15g17760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHQGHPHETLVRFLTAREGSVPKAHKMLVDCLKWRVQNEIDDILAKPIIPTDRYRDVRDSHLVGLSGYTREGLPVFAFGVGLSTFDKASDNYYVQSHIQINEYRDRVVLPTASKKHGKHISKCIKIFDMTGLKLSALSQIKLCTVISSIDDLNYPEKTVTYYIVNAPYIFSACWKVVRPLLQERTRKKIQVLSGCGQDDLLKIMDYSSLPHFCRRQGSGSSRYSEGSFENCFSLDHPFHQQHYNYMKQQSLIREPRGPLKQGSVHVDFPETDTEGTELVKTMASELQKLGNQDGLAESMEDLKINNHH >itb15g17760.t1 pep chromosome:ASM357664v1:15:18835777:18841180:-1 gene:itb15g17760 transcript:itb15g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVSQEAINEFGALMEQVDEPLERTFQNIHQGHPHETLVRFLTAREGSVPKAHKMLVDCLKWRVQNEIDDILAKPIIPTDRYRDVRDSHLVGLSGYTREGLPVFAFGVGLSTFDKASDNYYVQSHIQINEYRDRVVLPTASKKHGKHISKCIKIFDMTGLKLSALSQIKLCTVISSIDDLNYPEKTVTYYIVNAPYIFSACWKVVRPLLQERTRKKIQVLSGCGQDDLLKIMDYSSLPHFCRRQGSGSSRYSEGSFENCFSLDHPFHQQHYNYMKQQSLIREPRGPLKQGSVHVDFPETDTEGTELVKTMASELQKLGNQDGLAESMEDLKINNHH >itb14g18050.t1 pep chromosome:ASM357664v1:14:21184005:21184325:-1 gene:itb14g18050 transcript:itb14g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLSLVYSSDPAGLKQLLSISQVQLNNIAFANDAGKLFGWFSGIAALYLPLWLVLLLGFVRYGFQDLFLVEKIASLSYWQIFVLTALICWIKTYIVPNFPLDR >itb10g18210.t1 pep chromosome:ASM357664v1:10:24225109:24226354:1 gene:itb10g18210 transcript:itb10g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILARRLEGKVALITGAASGIGEKAARLFSRHGAKVVIADIQDDLGQKVCDELDTASSATFVRCDVTKESDVENAVNAAISKFGKLDIMYNNAGIAGLYRPNILDDEKSNFERVVSVNLVGAFLGTKHAARVMIPNRRGSIITTASVCAVIGGMGSHAYTSSKHGVLGLMRNTAIDLGRYGVRVNCVSPHVVATTPMAKGFIDMMGEVEMGKVYSAFKVEELTAEDVAATALFLASDESRYLNGENIVVDGGFTIVNPNLCSYK >itb02g11990.t1 pep chromosome:ASM357664v1:2:8018280:8019235:-1 gene:itb02g11990 transcript:itb02g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSSNNRPSQMPNCNSWGDVILRNIGGADIHILYCPICRQEFPPYKTWEQTMSERGIDRGQQEEIWEKIMYDRGAARRQREGAERAANQPVTRQNLDPETTAKCPNPRCTSDKATYKTCFNAEKGEDWYVYTCCSCRETFTD >itb11g11280.t1 pep chromosome:ASM357664v1:11:8222314:8226070:-1 gene:itb11g11280 transcript:itb11g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYNVVVHGRRNAALFAWPWENLGHFKYLLYGPFFAKFMYSLVWRKSWEDVWCLHILALCALRGFVHQLWSSHTNMLFFNRPKRVYRRGVDFEQIDKEWHWDNFLLLQAIMAAIVYLSFPSLANLPIWDARGVICCVALHIGLSEPLYYLMHRLLHTRELFPLYHWLHHQSKVPHPFTAGSATFLEHLLLCVIVGIPTLGTVFLGYGSVIILYSYVLVFDFLRCLGLSNVEIMPCGLFEAVPLLKYLIYSPTYHSLHHMDMRTNFCLFMPLYDMLGKTINSLSWDLQREIKSRTNERAPDFVFLAHVVDIMSSLHSPFVFRSFSSIPFSSRLFLLPVWPLGVVAMMIMWLKSKTFLFSFYHLKGRLHQTWAVPRYGFQYFLPFATQGINKQIEQAILRADKLGTKVISLAALNKNEGLNGGGTLFVSKHPNLKVRVVHGNTLTAAVILNNIPGDVSEVFLTGSTSKLGRAIALYLARRHVRVLMLTKSRERFLKIQREAGEECQKFLVQVAKYQAAEHCKTWIIGKWSSPGEQRWAPSGTHFHQFVVPPIIPFRRDCTYGKLAAMKLPKDVEGLGSCEYSMGRGVVHACHAGGVVHFLQGWTHHEVGAIDVDQIDVVWKAALKHGLEPL >itb11g11280.t2 pep chromosome:ASM357664v1:11:8222314:8226070:-1 gene:itb11g11280 transcript:itb11g11280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYNVVVHGRRNAALFAWPWENLGHFKYLLYGPFFAKFMYSLVWRKSWEDVWCLHILALCALRGFVHQLWSSHTNMLFFNRPKRVYRRGVDFEQIDKEWHWDNFLLLQAIMAAIVYLSFPSLANLPIWDARGVICCVALHIGLSEPLYYLMHRLLHTRELFPLYHWLHHQSKVPHPFTAGSATFLEHLLLCVIVGIPTLGTVFLGYGSVIILYSYVLVFDFLRCLGLSNVEIMPCGLFEAVPLLKYLIYSPTYHSLHHMDMRTNFCLFMPLYDMLGKTINSLSWDLQREIKSRTNERAPDFVFLAHVVDIMSSLHSPFVFRSFSSIPFSSRLFLLPVWPLGVVAMMIMWLKSKTFLFSFYHLKGRLHQTWAVPRYGFQYFLPFATQGINKQIEQAILRADKLGTKVISLAALNKNEGLNGGGTLFVSKHPNLKVRVVHGNTLTAAVILNNIPGDVSEVFLTGSTSKLGRAIALYLARRHVRVLMLTKSRERFLKIQREAGEECQKFLVQVAKYQAAEHCKCRHG >itb01g28960.t1 pep chromosome:ASM357664v1:1:33254168:33263659:-1 gene:itb01g28960 transcript:itb01g28960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTSGSLFFNPCTELFEVIILTGYVVQNKELQSKILQSGSVPESVLALDKIKKPLYEKHPMAKFSWTVTEETDTVEWYKRCLDVLNNVENLSQGKDAATIIQSKVMQFLKGKNEDLQILFDKELKSGDFSGLHEECLTDTWIGNHRWAFIDLSAGPFTWGPAVGGEGVRTELSLPSVEKTLGAVAEISEEEAEDILQEAIQEKFAVFGDMQKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKNELQSFEGEEYDESHKQKAVDALKRMENWNLFSDTKEDFQNYTVARDTFLAHLGATLWGSMRHVISPSLADGAFHYYEKLSFQLFFITEEKFRNVKLLPVDLKSIMEGLSMLKLPSQKVMFSQHMLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTVRSYLDSSILQHQLQRLNDHGSLKGSHAHSRSTLEIPIFWFIHGETLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLKWDLRRPIKAALAAVSEHLAGILPLHLVYSQAHETAIEDWIWSVGCNPLSITSQGWHTSRFLSDTIARSYILTALEESILLVNSAISRLVREKTSERTFKPFKSQERELLNKYNYVVSLWRRISSVSGELRYTDALALVHTLEDASKGFAEYVNATLASLHPIHCTRNRKVDVEFDMTTIPAFLVVLFILWFVLRPRRPKPKIN >itb01g28960.t3 pep chromosome:ASM357664v1:1:33258449:33263659:-1 gene:itb01g28960 transcript:itb01g28960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTSGSLFFNPCTELFEVIILTGYVVQNKELQSKILQSGSVPESVLALDKIKKPLYEKHPMAKFSWTVTEETDTVEWYKRCLDVLNNVENLSQGKDAATIIQSKVMQFLKGKNEDLQILFDKELKSGDFSGLHEECLTDTWIGNHRWAFIDLSAGPFTWGPAVGGEGVRTELSLPSVEKTLGAVAEISEEEAEDILQEAIQEKFAVFGDMQKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKNELQSFEGEEYDESHKQKAVDALKRMENWNLFSDTKEDFQNYTVARDTFLAHLGATLWGSMRHVISPSLADGAFHYYEKLSFQLFFITEEKFRNVKLLPVDLKSIMEGLSMLKLPSQKVMFSQHMYVLAPFSFGFSPLLL >itb01g28960.t2 pep chromosome:ASM357664v1:1:33257555:33263659:-1 gene:itb01g28960 transcript:itb01g28960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTSGSLFFNPCTELFEVIILTGYVVQNKELQSKILQSGSVPESVLALDKIKKPLYEKHPMAKFSWTVTEETDTVEWYKRCLDVLNNVENLSQGKDAATIIQSKVMQFLKGKNEDLQILFDKELKSGDFSGLHEECLTDTWIGNHRWAFIDLSAGPFTWGPAVGGEGVRTELSLPSVEKTLGAVAEISEEEAEDILQEAIQEKFAVFGDMQKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKNELQSFEGEEYDESHKQKAVDALKRMENWNLFSDTKEDFQNYTVARDTFLAHLGATLWGSMRHVISPSLADGAFHYYEKLSFQLFFITEEKFRNVKLLPVDLKSIMEGLSMLKLPSQKVMFSQHMLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTVRSYLDSSILQHQLQRLNDHGSLKGSHAHSRSTLEIPIFWFIHGETLLVDKHYQAKALSDMVIVVQSESSSWESHLQCNGKSLKWDLRLYFKSMMIFGIDSSSIILNLKLMA >itb06g19220.t3 pep chromosome:ASM357664v1:6:22616307:22617434:-1 gene:itb06g19220 transcript:itb06g19220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETETLQKLWEEVRDLSLGTTSQIDRLLFPPSPLHFLRDYVSPNKPCLISSAINHWPATTLWHSTPYLLDALSSSTVSLHLTPTGRADSVTSLPTSPSSLCFASAHVEQLPFPDALSKVLQSENGSTIAYLQQQNDCFRSEYEALGSDCEPHFAWASDALGWLPDAVNLWIGNDLSETSFHKDHYENLYAVITGEKHFLLLPPTDFHRMYIREYPVAQYHYSQDKREFQLELEKPVRHVPWCSVNPYPSEEKEKAEFPLYFNGPKPFEVTVKAGEVLYL >itb06g19220.t1 pep chromosome:ASM357664v1:6:22615348:22617434:-1 gene:itb06g19220 transcript:itb06g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETETLQKLWEEVRDLSLGTTSQIDRLLFPPSPLHFLRDYVSPNKPCLISSAINHWPATTLWHSTPYLLDALSSSTVSLHLTPTGRADSVTSLPTSPSSLCFASAHVEQLPFPDALSKVLQSENGSTIAYLQQQNDCFRSEYEALGSDCEPHFAWASDALGWLPDAVNLWIGNDLSETSFHKDHYENLYAVITGEKHFLLLPPTDFHRMYIREYPVAQYHYSQDKREFQLELEKPVRHVPWCSVNPYPSEEKEKAEFPLYFNGPKPFEVTVKAGEVLYLPSMWFHHVRQSPDSRGLTIAVNYWYDMRFDIKYAYFNFLQSIPSSIHNCRKVCTEPCSCISKDESNISAPLLTIDDTNDGEQR >itb06g19220.t2 pep chromosome:ASM357664v1:6:22615348:22617498:-1 gene:itb06g19220 transcript:itb06g19220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETETLQKLWEEVRDLSLGTTSQIDRLLFPPSPLHFLRDYVSPNKPCLISSAINHWPATTLWHSTPYLLDALSSSTVSLHLTPTGRADSVTSLPTSPSSLCFASAHVEQLPFPDALSKVLQSENGSTIAYLQQQNDCFRSEYEALGSDCEPHFAWASDALGWLPDAVNLWIGNDLSETSFHKDHYENLYAVITGEKHFLLLPPTDFHRMYIREYPVAQYHYSQDKREFQLELEKPVRHVPWCSVNPYPSEEKEKAEFPLYFNGPKPFEVTVKAGEVLYLV >itb12g15640.t1 pep chromosome:ASM357664v1:12:16065200:16065610:1 gene:itb12g15640 transcript:itb12g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAASASISFSAAVDEERRSKARHLAATTCVILRRSLTMVCDVGRGARQWHPPSFQRRNQQGGASLVISGGNGRSKVGAVVAGRCEVASSFDGVPFSVRPAAAIGSDGGSSFSPPASGRQLLSGGRCFSLGEVE >itb03g03130.t1 pep chromosome:ASM357664v1:3:1763196:1775666:1 gene:itb03g03130 transcript:itb03g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTLQHLDLRHVGRSSKPLQPHAAAFHPLQALVSVAVGTYVIEFDAYTGCKIAAIDIGSPVVRMAYSPTSGNAVIAILEDCTIRSCDFDTEQTCVLHSPEKRMERISSDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGKAPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGAGAFAFHPTLEWLFIGDRRGTLIAWDVSTERPMMIGITQVGSQPITSLSWLPVLRLLVTLSKDGSIQVWKTRVMLNPNRPPMQANFFEPAAIESIDIPRILSQQGGETVYPLPRVKALEAHPKLNLSVLLFANMTGGDNQKNKSAYTRDGRKQLFAVLQSARGSSASVLKEKLSALGSSGILADHQLQAKLQEHHLKGQSQLTISDIARKAFLYSHFMEGHAKSAPISRLPLITILDTKHYLRHVPVCQAFHLELNFFSKENRILHYPVRAFYVEGVNLMAYNLSSGADTIYKKLYTSIPGNVEFHPKNVVYSKLQHLFLIVYEFSGSTHEVVLYWENTDSQLANSKATTVKGRDAAFIGRNENHFAILDEDRTGLSLYTLPGAAKQESNEKNGAAIDLEQTADTEVAKVKGPQQFMFESEVDRIFSTPIESTVMFASYGIQIGLAKLFQGYRLSNTDGHLIPTKTEGKKVIKLKVNEIVLQVQWQETLRGYVAGILTTHRVLIVSADLDIISSSSRKFDNGLPSYRSLLWVGPTLLFSTTTAVSVLGWDGKVRTILSISMPNAVLIGVLNDRLLFANPTDINPRQKKGIEIKNCLVGLLEPLLIGFATMQQYFEQKLDLSEVLYQITTRFDSLRITPRSLDILASGPPVCGDLAVSLSQSGPQFTQVLRGIYAIKALRFSTALSVLKDEFLRSRDYPRCPSTSHLFHQFRQLGYSCIKYAQFDSAKETFEVISDYESLLDLFICHLNPSAMRRLAQKLEEEGADPELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMRTDDGTIPSIVTDHIGVYLGLIKGRGNIVEVREDSLVKAFKADGADTKANGLQSSTVALTSNQSKGVPGSEPMGGNLMGLESLTEQFSGSNVVDEQTKAEEEFKKSLYGTADGSSSDEEETSKKKKLLIKIRDKPVSSATVDVDKIKEATKQLGLPMPRAKSLTGPTPDLGLLVPQPASAIPGTVTTSPAVSAPADLFGTDSLTQPAPPVKGVGMTAGPIPEDFFQNTISSIQVAASLPPPGSFLSKLDQSSQAFESNKVEPNQASVIPADVGLPGGGVPPQPTQSIASNESFGLPDGGVPPQAIAPQAMHPTALQQSQFQTVHVPTSTQPLDLSALETPGASAPPPARPASPKAVRPGQVPRGAAASVCFKTGLAHLEQNQLPDALSCFDEAFLALAKDQSRGADIKAQGTICAQYKIAVTLLQEINRLQKVQGPSAISAKDEMARLARHLGSLPLLAKHRINCIRTAIKRNMDVQNYGYAKQMLELLLSKAPPGKQDELRSLIDICVQRGLSNKSIDPLEDPSQFCAATLGRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDALVVAVPSPFG >itb03g02010.t1 pep chromosome:ASM357664v1:3:1130272:1145717:-1 gene:itb03g02010 transcript:itb03g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCVPSVSFSPNLKDLLGRPFSGRKQCTRCVGSVTRFLLLTAYAKRLCICSADSPIRRGFFAAVHFHVIGDERRSVANDLEDKASCKYEVYC >itb12g11960.t1 pep chromosome:ASM357664v1:12:10483698:10487895:1 gene:itb12g11960 transcript:itb12g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGVQKKRLLTSVGVIAIFLVFLYVYFGSKSSGESALEYGSRSLRKLGSSYLGGDDDGDVKQDENFGLEDGNDGVVLKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPMPERRFNCLIPPPAGYKIPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIIFPGGGTHFHYGADKYIASIANMLNFSKNILNNEGNIRTVFDVGCGVASFGGYLLSSNIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKQMSALVERMCWRIAAKRNQTVIWVKPLDNDCYMAREPATQPPLCRSDDDPDAVWGVPMEACITPYSDHDHKTKGSGLAPWPARLITPPPRLADFGYSNEMFEKDTELWRRRVDSYWRILSPKISSDTVRNIMDMKANLGSFAAALKEKDVWVMNAVPEDGPNTLKIVYDRGLIGTIHNWCEAFSTYPRTYDLLHAWTLFSDIEKKGCSGEDLLLEMDRILRPTGFIIIRDKQHVIDFVKKYVHALHWEAVGTSDSSSDPDQEGDEVVFIAQKKLWLTSESIIATD >itb01g23250.t1 pep chromosome:ASM357664v1:1:29325912:29329513:1 gene:itb01g23250 transcript:itb01g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQMLSHSPSLDSTTSALISRLPPSFSTSSRGYNRRRIFFTPLSCSYSALKFSAISRGIKTMTRNSVLSNHTGTSDLQLSDSDLDLFVDVGNKLADASGEVIRNYFRKTFDILDKDDLSPVTIADRMAEDSMVKIIQEYFPTHAIFGEENGWRCKEKTPEYVWVLDPIDGTKSFITGKPLFGTLISLLYKGEPILGIVDQPVLRERWLGLHGRKTTLNGQEICTRSCSDLSRAYLYTTSPHLFNGDAEVAFARVRDKVKVPLYGCDCYAYALLASGFVDLVIESGLKPYDFLALIPVIQGAGGIITDWKGHKLNWDASLGSPATSFNVAAAGDKHVHKQALDLLKWS >itb12g01370.t1 pep chromosome:ASM357664v1:12:950488:950892:-1 gene:itb12g01370 transcript:itb12g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLIKLLLVVSVVLGLAGYGAAQEAAASGGKTEVSDVKSNAEVQNLGRKAVMEYNKRLNVKVNPENNAKRLVFTEVIKAEKQVVAGEKYFLTIKATSEDGQTKTYESEMWVKPGDDTVHEMLNFAPAAAA >itb11g22410.t2 pep chromosome:ASM357664v1:11:24324551:24328136:-1 gene:itb11g22410 transcript:itb11g22410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLMETLSLHFLQSQPRFPLEGLEEQIRDGNENLGLLQQILEKSEIANDDAGAMNNGEDEASSSIKACLPRLHGIFNNEAVKQTDYHPKKKKLIKSEKLLQQQQLAESSSPNERIINAWDSILLTSRLREVAEIKQRIVSLHQNLGLLQQSLEKSEIPYDDARVMKHLEAQIRDASFKLEERIEMELSTIYLAKDNLHITACVLRLHQIFNEAQKLTDYHRDELIRIQTEYQPAKVSLLGRIRRRGLQLVKGSSLIVSNFSKKASKFDGGMVGCEKLFEKILRLLTQQTTKGRQVVSIVGMGGIGKTTLAHKLYQHPSITSYFDMQAWVTVSQEFNKEQMLRCLIGCVTATSKDELHKQSTNQDQLAERLRKHLKEQRYLIVMDDIWSITAWDSVQRCFPDDNNGSRILLTSRLREVGEYASSGNSPLNMPFLDADESWNLYCKVFGKTEFLLVFEQIGRDIVKKCKGLPLAITIVASLLSKTEEEEEKWKNVAKGVMGDSTDACSRILYLSYNQLPHHLKACFLYFGIFEEDYEIVVKKLVRLWAGEGFLSTVNHVNLEKVAMQYLQDLVDRSLVIVSKYSYNGKMKKIKIHDLLRDLCLREARLENLLNVNGVRKHGQWISHTSMPFYHEVSLPNLKKLSFLESTLQWCELSAISMLPNLEVLKLIDACRGPKWETSNGGFNRLKRLVIKKSYLRCWNAVGDHFPILECLEISDCSSLKEIPRGFADITTLALIQLSKCMDSLVVSAKWIQEEQKNNYGNDALLVRAENIYKTSSGKWL >itb11g22410.t1 pep chromosome:ASM357664v1:11:24324554:24328136:-1 gene:itb11g22410 transcript:itb11g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLMETLSLHFLQSQPRFPLEGLEEQIRDGNENLGLLQQILEKSEIANDDAGAMNNGEDEASSSIKACLPRLHGIFNNEAVKQTDYHPKKKKLIKSEKLLQQQQLAESSSPNERIINAWDSILLTSRLREVAEIKQRIVSLHQNLGLLQQSLEKSEIPYDDARVMKHLEAQIRDASFKLEERIEMELSTIYLAKDNLHITACVLRLHQIFNEAQKLTDYHRDELIRIQTEYQPAKVSLLGRIRRRGLQLVKGSSLIVSNFSKKASKFDGGMVGCEKLFEKILRLLTQQTTKGRQVVSIVGMGGIGKTTLAHKLYQHPSITSYFDMQAWVTVSQEFNKEQMLRCLIGCVTATSKDELHKQSTNQDQLAERLRKHLKEQRYLIVMDDIWSITAWDSVQRCFPDDNNGSRILLTSRLREVGEYASSGNSPLNMPFLDADESWNLYCKVFGKTEFLLVFEQIGRDIVKKCKGLPLAITIVASLLSKTEEEEEKWKNVAKGVMGDSTDACSRILYLSYNQLPHHLKACFLYFGIFEEDYEIVVKKLVRLWAGEGFLSTVNHVNLEKVAMQYLQDLVDRSLVIVSKYSYNGKMKKIKIHDLLRDLCLREARLENLLNVNGVRKHGQWISHTSMPFYHEVSLPNLKKLSFLESTLQWCELSAISMLPNLEVLKLIDACRGPKWETSNGGFNRLKRLVIKKSYLRCWNAVGDHFPILECLEISDCSSLKEIPRGFADITTLALIQLSKCMDSLVVSAKWIQEEQKNNYGNDALLVRAENIYKTSSGKWL >itb12g00920.t1 pep chromosome:ASM357664v1:12:651500:654390:1 gene:itb12g00920 transcript:itb12g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPALDEKFVMGTALADKVLYRQVPSQEFAEKKHLESFWLVSSASVNHLSQDSSASKGVCLSDQLKVNGMVRWGVRRQVRFLRRHEDNNCNTTSSSFIKGDDDDDEEEMPPVIDAEKEEDNEEEEEEEEEQNDAEDDEEQEEDIKDEDPDETNKNFKRKRYSLRHTAIRKAKKVKREKQKLKNNIKRNKCKLLVQFKNPKDRWSEERYKLAEKNLLEVMRVKGATANNPILRPELRTEARKRIGDTGLLDHLLKHMAGKVAPGGTERFRRRHNAEGAMEYWLENADLINIRKEAGVNDPYWIPPPGWKPGDCLTPDPISAQEFKFLKQEISMLKRDSEEMALSKKQMEEEVRRLKREIRELQLKKNQQETPVTVISKDSEISLVTMEKYKEQLLLMTSAIMAKMEEKFGNFASKLEEKERFFSALVEATVGYAEKGRRQVEAEQKSNKQVQEIVLSGEEEGSQVTDGQCNKQTTTDKPSAEKATIAASEEKAAKIQRLKSGFRICKPQGTFLWPNMVRSPSQVQVEDLFMIPTPPSVSSSTVTAPPKLPNNYFPSHQHSGPVSPVKPVPERRAVKLTVSSVSDGSMYTPTNQINLNDPFNNGGRLCGTPSSRQSITLTPMMPNLFPSTKELTKGELIDQGGHAVEAESTSIGSTKKAESYITREPSKSASMPKQEGTWLALATPNTSTSDESCQG >itb11g18430.t1 pep chromosome:ASM357664v1:11:18762631:18763926:1 gene:itb11g18430 transcript:itb11g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISSPSRFSFLHFFTFILLAQAKPNFLTPNHHGSQLLIIRKVLGIHTQSSFTPQVTVPRRYNFLEKSPDHPRGSSKKTITGWALGILAGIFVGGSFGVLLSFLYKFRSLVRGDKKHSRLTIFTTTFIKNPTELAFLEQDDALESLEMIGSGGCGNVYKAELPRLQKSIAIKKIKYDRTAEDNDDASNNKKKQNQIRSEIQTVGQIRHRNLLPLLAHMAKPDCDFLVFEYMEKGSLQSALLQEDPEFDWRKRHRIAVGIASGLEYLHMNNTQRIIHRDLKPENILLDFNMEPRITDFGLARILPNNDTHIIASGADGTYGYIAPEYAQKLVFTDKCDIYSFGLILAALVTGKLPTDGFFQHTEEVYPVIWLRNMVNSGDPKRAIDTKLLGNGYEEQMVLVLKIACSCTADDPKERPNSKKVRRMLSEIQG >itb01g06010.t1 pep chromosome:ASM357664v1:1:4216796:4219593:-1 gene:itb01g06010 transcript:itb01g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYRNWFSDPIPHEIGYLNSLVDLELDMNNLTGEIPISIGNLRNLMILRLHTNQLSGHIPQEIGLLTSLVSLEMSFNNLIGQIPTSIGDLKNLRRLHLFKNGLSGSIPATIGNLTNLRYLLLYSNNLTGQISISLGGLTNLETIFLYNNELCGRIPEEIGLLSSLVELDVSANYLFGQIPSSVRNLTKLNTFNLFLNQLSGHLPPELNNVSAKLILFQIGGNHFSGPLPKDLCLGKSLTYFSVVYNNFSGNIPKGIKNCTTLERVRLEYNKLSGDISEDFGIYPNLTYIDLSSNNFHGQLSSNWGLCSNLAALKISRNRISGKIPPELANASHLEFLDLSSNQLVGNIPKSFSTLHSLGVLKLDDNKLSGNITLRIGELSLLTELNLAANRFFGFIPEGLGSCQKLTVLNFSQNMFDGRIPYDIGSLQFLQTLDLSQNMLTSKLPQQFGGLISLQTLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGMLPHNKAFENATFESLRNNSGLCGNIAGLKPCSSESPEKKIDQGKKTTTLVLIIVIPIGVIGVVAMVVVIWLIPLRRCIKEIPRASRENLFTILNFDGNIAYESIVEATDNFDSRYCIGVGGSGSVFRAELSNGEVFAVKKLNESIQEDESRDFKSFSNEIRTLSEMRHRNVVRLYGFCSHVRHSFLVYEYLEGGSLAQVLSHDEKSIELDWIKRVNVVKAVWRKHYHTCTTVVSLPLFTETYPEVLDPRLSSPGKHEAEELVLIAKIAFACLNFNPGSRPTMFQVSALLSKKMQPSNLFPHIALSQLFGLQFPTV >itb07g23170.t1 pep chromosome:ASM357664v1:7:27635975:27637499:-1 gene:itb07g23170 transcript:itb07g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMISSKTDRIQLVDVDYLFYDILIWNPSIRKVKALPSITFPNKLPNGTVVTTEFGFGISNDMTWKVVMLLEIGNLGEGERKFDQITMVYSQVHGDSWSLRQINSVPFVPPVQGHANDFYLKGRYYWNDVKSYYNHPYNEELLFDIDHYKVLNKRYLIWFDMDDEVFGTIELPSNFGYNKVRSITIMNETIALVVRPSMEDSEGYCIDIWLMIENDNNTYWHKQACIVNIDHCGDYPRTLGIWNVGSQLLVFPDEGLEAISW >itb15g01330.t1 pep chromosome:ASM357664v1:15:805014:805474:-1 gene:itb15g01330 transcript:itb15g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPSSPPSKLCNIPHMKLSKPLFFSFIFLLTIGNPAGVHASDAGYNDGKKNGINGLRPTKLFVFGDSYADTGNSRKVAFSWMEPYGITFPGQPSGRFSDGRVLTDFIGMYLWSLLSWH >itb02g16290.t1 pep chromosome:ASM357664v1:2:12118259:12120941:-1 gene:itb02g16290 transcript:itb02g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGGAVRERIVRAFLVEEQKIVKKVLKIQKAKEKQAARS >itb04g03930.t1 pep chromosome:ASM357664v1:4:2450482:2452029:1 gene:itb04g03930 transcript:itb04g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRRYGSFNILTISLPLFCLLITWAASTATTTTPGPPPSVHAAAEILRTRGYSLIASTLLSTVTSTHNFSGTILAPPDFAFTAFSFTVGKFSPNHRMPLRPSAAVLLYHTLREPLMWHNLSSLGDGKELPTFYRGDCLFVRNSNGGVSVSVSAFKKPISAVRIKQPDMYADDHLVVHGVDGILDPTSAAKCSGSRDHTPAGVHPPLVDRKFLDHAVRAIRRRGFTVAAAGLSIKRSEMLRLGAVSLFAPSDRALFSRHGGFSFDFRSHVLPTRHRLEDLARYPPKITIFPTLAANRTIMVDSVNGAVTVNGVRVNSTEVYLNRWIVVFSVSTSLDYDAGNLLGSGAAAARIPPLPHHAVQYPPPTHFETPPPLPLSPPSTAAAQIPPPPHHAVQYPPPTHFETTPPVPVPLPLSAPPTADEQESQCRISDFPVDIEGGDLLCPVPGRLLMEDGEALTAESAYEDAAQSLPSDEDQLPEDVTLSSVDSGEGDEDQVPAPTLRDQVNIADDLFFYS >itb04g27010.t1 pep chromosome:ASM357664v1:4:31170924:31172279:1 gene:itb04g27010 transcript:itb04g27010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNRQWNRCSIQTRFTYRFLHALRRLRLATRRQAPSALSTPAPPEKEITYYRRCRSVKRAAYASMASAVGTRRAWSRAQLLRLRRPAAAAAQVQRGGGAVRRRAVVAEDGGGNPSDETTTEELRQLVPGGEVMDLCSLLDETAHYINCLASQVQIMRSIADLFST >itb12g28090.t1 pep chromosome:ASM357664v1:12:28166082:28168049:1 gene:itb12g28090 transcript:itb12g28090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILSLALLTLFLLSASSSSSVIRRYLPEDSATPANIVAACNASRDPALCERALTQSGQLPPNATALQILQSVLNLTFQHLDTAESQVKDILAGSTGNLNRTQAANNSLEAIGYSRYRVGLTDRYGLDGDKMKDGRAWLSAGLAFQYGSWSAFSLVNDTAQVVNTMAFINDTLIPMTSAGLSMLANYDVYGENTALWGPPKTERNGYWEPADKSGLDFEGGVPKGLNPNVTVCNGGGCDYKTVQEAVDAAPDSKPTERFVIWIKAGVYNETVRVPLYKFNVVFLGDGIGKTVITGSLKVGQPLMTTYESATVGVLGDGFMASNLTFENTGTGSQAVAFRSDSDHTVVETCEFRGNQDTLYAKSMRQYYKSCRIQGNVDFIFGNAAAFFKDSEILVVPRAVDPEKGENNAVTAHGRIDPAQTTGFVFHNCTVNGTQEYLKLYYKNPKVHKNFLGRPWKEYSRTVFIGSRFEVIVSKEGWLPWKDDFALNTLYYGELENTGGGGSTAGRVNWSSIIPVEHVNSYSVHNFIQGDGDGDGWIPPPKSS >itb05g24890.t1 pep chromosome:ASM357664v1:5:29564404:29567332:1 gene:itb05g24890 transcript:itb05g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTASIPIRPFTRFSHSRLRNPIKISAFTLDFPPPKSAHCNSTTRSPNSMNAGAIAARMDDDDDDDVDVRFSSLEQEEALINASKLVGASVSGLEAVLNKLSKWLFAVVFGVILLWRHDAQTLWASIGSVLNSALSHILKRILNQKRPVSTSRSDPGMPSSHAQSIFYIVTFAVVSMVQWFGLNGLTAALGGIIFALGSYFSWLRISQKLHTVNQVLVGAAIGLGFSVIWLWLWDAFMLEAFTSFLWVRIIVVLAAVGLCSGFVIYVIRHWVLDEE >itb06g23830.t1 pep chromosome:ASM357664v1:6:25599408:25602262:1 gene:itb06g23830 transcript:itb06g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLGYVSAMLVTRRLGYNSKFSSSPLTPKANRLFAAKIRALSTTFVEDKPVRLIDEKKVELRTNLLACPICYDAFTWNGDPGLSLSSMAQSSLECSTCRKKYYGNETHVDLTIAGGGNAYGESLAASTEIFRLPLVSFLYERGWRQSFSLWGGFPGPEKEFELMQDYLKPVMGGNIIDASCGSGLFSRLFAKSGLFSLVVALDFSETMLQQCYEFIKQEENFPNENIILVRADISRLPFASSSVDAVHAGAALHCWPSPSAAVAEISRVLRPGGVFVATTYIVDGIYSLTPFVRPLRQNFAQISGSHIFLDEKELEDLCTACGLVGFKCIRNRRFIMLTAMKPT >itb12g22660.t1 pep chromosome:ASM357664v1:12:24671552:24677745:-1 gene:itb12g22660 transcript:itb12g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLVKSSSILNESCSFKKLRIVYPQLGFFRYCHFQLGSDGLGSSSDLAQKKAQSIVGIFWDLDNKPPKTLPPFEAASKLRKAAEQFGFVKYMIAYANHHSFNYVPPVVRQQRKERKVLNELENKGVVKPDEPYICRVCGRRFYTNEKFINHFKQIHEREQVKRLNQIESAKGSRRVKLVAKYAMKMQKYKNAARDILTPKVGYGLADELKRAGFWVRTVSNKPEAADIALRDHLVDMMDKRRVDCVLLISDDSDFVDVLKEAKLRCLRTVVIGDSNDGALKRTADASFSWQEITMEKAKKEAVSVVGRWKDHDVLKTLEWTYNPGRDKTYYFSDIESESSDIEHFLSGENNDNSLQKEDSGAWWELDSDTANMNRSSQSL >itb11g22570.t1 pep chromosome:ASM357664v1:11:24531449:24534359:-1 gene:itb11g22570 transcript:itb11g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPNSNSNSHSGLQYYQKSHRKQSSHVSMSDNDSTASPIDLFHSPLRYEEQLQESNSKSPTSKAIIAVDKFYSPQRSPSKPSSSEQLSSAPKPPPPPPQLEHRSSSKVYRNRSSVEEAITRVERVGRRADVEEGEVEGEWKSESSADSTPRHVRVSKAALGFRVCEVIFCLISFSVMAADKTQGWSGDSWDRYKEYRYCLALNVVGFVYSGFQGFNLSYLLATNSSSSGLRHQFNFVMDQILAYLLMSASSSAATRVDDWISNWGKDDFTQMASASIAMSFLAFVAFAFSSLASGYCLCNRNTS >itb03g01080.t2 pep chromosome:ASM357664v1:3:558612:565190:-1 gene:itb03g01080 transcript:itb03g01080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEKNGVMGKKKKSGSFGYIFNHADRVDLVLMSLGVVGAIGDGISMPVMLLVTSKIVNNLGGASASTPDSFSHRINKNALALCFLACAQWVACFLEGYCWTRTAERQASRLRIRYLKAVLRQDVGYFDLHVTSTADVIDSVSSDSIVIQDVISEKIPVFLMNVSTFVGAYVVGFMMIWRLAIVGFPFIVFLVIPGLMYGRALMGIARKMREEYSKASSIIEQAVSSIRTVYSFVGETKTIENYSTALQGTVKLGLKQGLAKGLAIGSNGVVFAIWSFMSYYGSRLVMYHAAQGGTVFAVGAAISIGGLALGSALSNLKYFSEASTAGERIMEVIKRVPRIDSDSTEGQILDNVAGEVEFKNVEFAYPSRPESIILKDFSLRIPAGKTVALVGGSGSGKSTVVALLQRFYDPLAGEILVDGVAIDKIQLKWLRSQMGLVSQEPALFATTIKENILFGKETADMEEVIGAAKASNAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDEAAIGRTTIIIAHRLSTIRNADLIAVVQNGQVEEIGSHDHLIEDENGLYSSLVRLQQTQVSADVNAAVAPPQSSVIAADPHNNTSSRRLSLVSRSSSANSFTHSHRGLENNDSVVFGGEENLNLPVPSFRRLLAMNLPEWRQATMGSIGAILFGGVQPVYAFAMGSMVSVYFLPSHDEIKEKTRIYALCFLGLAVFSFLINILQHYNFAAMGEYLTKRIRERMLSKMLTFEIGWYDKDENSTGAICSRLAKDANVVRSLVGDRMALLIQTISAVVIACTMGLVIAWKLALVMIAVQPLIIICYYCKRVLLKSMSKKASKAQEESSKLAAEAVANLRTVTAFSSQARILQMLKKAQEGPNRESIRQSWFAGIGLGTSNGLMTCTWALDFWYGGKLVAEGVIGAKALLQTFMVLVSTGRVIADAGTMTNDLAKGADSVGSVFAVLDRYSLIEPEDSDGEKPEKVTGRVEICDVDFAYPARPNVVIFKGFSITIEAGKSTALVGQSGSGKSTIIGLIERFYDPTSGVVKIDGRDIKSYHLRALRKHIALVSQEPTLFAGTIRQNITYGASDDLDEAEIIEAAKVANAHDFIAGLKDGYDTWCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIHNCDTIAVLDKGKVVEKGTHSSLLAKGPSGAYYSLVSLQRALN >itb03g01080.t1 pep chromosome:ASM357664v1:3:558612:566437:-1 gene:itb03g01080 transcript:itb03g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEKNGVMGKKKKSGSFGYIFNHADRVDLVLMSLGVVGAIGDGISMPVMLLVTSKIVNNLGGASASTPDSFSHRINKNALALCFLACAQWVACFLEGYCWTRTAERQASRLRIRYLKAVLRQDVGYFDLHVTSTADVIDSVSSDSIVIQDVISEKIPVFLMNVSTFVGAYVVGFMMIWRLAIVGFPFIVFLVIPGLMYGRALMGIARKMREEYSKASSIIEQAVSSIRTVYSFVGETKTIENYSTALQGTVKLGLKQGLAKGLAIGSNGVVFAIWSFMSYYGSRLVMYHAAQGGTVFAVGAAISIGGLALGSALSNLKYFSEASTAGERIMEVIKRVPRIDSDSTEGQILDNVAGEVEFKNVEFAYPSRPESIILKDFSLRIPAGKTVALVGGSGSGKSTVVALLQRFYDPLAGEILVDGVAIDKIQLKWLRSQMGLVSQEPALFATTIKENILFGKETADMEEVIGAAKASNAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDEAAIGRTTIIIAHRLSTIRNADLIAVVQNGQVEEIGSHDHLIEDENGLYSSLVRLQQTQVSADVNAAVAPPQSSVIAADPHNNTSSRRLSLVSRSSSANSFTHSHRGLENNDSVVFGGEENLNLPVPSFRRLLAMNLPEWRQATMGSIGAILFGGVQPVYAFAMGSMVSVYFLPSHDEIKEKTRIYALCFLGLAVFSFLINILQHYNFAAMGEYLTKRIRERMLSKMLTFEIGWYDKDENSTGAICSRLAKDANVVRSLVGDRMALLIQTISAVVIACTMGLVIAWKLALVMIAVQPLIIICYYCKRVLLKSMSKKASKAQEESSKLAAEAVANLRTVTAFSSQARILQMLKKAQEGPNRESIRQSWFAGIGLGTSNGLMTCTWALDFWYGGKLVAEGVIGAKALLQTFMVLVSTGRVIADAGTMTNDLAKGADSVGSVFAVLDRYSLIEPEDSDGEKPEKVTGRVEICDVDFAYPARPNVVIFKGFSITIEAGKSTALVGQSGSGKSTIIGLIERFYDPTSGVVKIDGRDIKSYHLRALRKHIALVSQEPTLFAGTIRQNITYGASDDLDEAEIIEAAKVANAHDFIAGLKDGYDTWCGDRGLQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIHNCDTIAVLDKGKVVEKGTHSSLLAKGPSGAYYSLVSLQRALN >itb01g24540.t1 pep chromosome:ASM357664v1:1:30168650:30170189:-1 gene:itb01g24540 transcript:itb01g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDVQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALGLSMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEGVLEHDD >itb12g01290.t1 pep chromosome:ASM357664v1:12:927563:928302:-1 gene:itb12g01290 transcript:itb12g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGGFNLILVAMAVAIISGVQVGAQLGGAVGGKIPIDDVKTNKEVQDLGRYCVTEYNKGLKMKRNPAISAKMLSFSEVIKAEKQVVAGVKYYLRVKAADSSAAVKTFDAEVFVAPGESSKELVTFAPSTLMRRKF >itb01g24560.t1 pep chromosome:ASM357664v1:1:30182879:30185142:1 gene:itb01g24560 transcript:itb01g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGNNNSSTVLPDCSLPGDIMEEILLRLPVKVLLRFLSVSKSCYAFLKGHAFTKTYSLSNLHRADLCALILDCRYRFPMDCFLFNLSVLRLDAKYSVSELSEIPLPMSKPRFVCSSNALVCVASDCGSNVCLWNPSTRNCRSIAVPDSSALSSTCMKLGYIHEINEHMLLKVPIGRGHGAEEGPKLVWVYTFSLNYWRRKETTIPPFEWRLMESSVTLTLNGVMHWFKNYDRDTIISFDLMNDVFNSIAVPVSHCFPDGDFFISRDLVVLEGSLSVIAHPEYNDYAPGGYEIWVMKEYGIEESWTKKYSIPPFSTFTRPLRIWKANKLAFNSYYGIVDDGDEPIPILSEVLSYDLVTKSIEYFELPRQLKGYSHYYTRDFVESL >itb06g22110.t1 pep chromosome:ASM357664v1:6:24516057:24517431:-1 gene:itb06g22110 transcript:itb06g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLCICGSSHLQTDEDDMYILSPSSTPRRGSKKGTFYRGRGINNPYANRGLDKFSALLADLEGLKQKIYTQMGSDEISFVRFAFLNSNHVKPIIVKSKNKKQGAADQDEAEQNVVQSTGEAQETGGEEKRLGKSVSWKKMNYVMKWENWKKPRYYLPVAIILILALLAVYGRSFAVMCTSIGWYVIPTIRESSSSSLSSTKQKRKRDFSRKLSCKTVVSTNLSNGRGF >itb13g15440.t1 pep chromosome:ASM357664v1:13:22279433:22285815:1 gene:itb13g15440 transcript:itb13g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGSDDGGPPSTVEMIPTNAHDKQPQLHPTSAVKNCSDNNHDEKSRGTPNRDTPFSSTGERNGSEFAVVSEVPLPLPPPRQNRGKKVSLLPLVFIIFYEVSGGPFGVEDSVGAAGPLLALLGFLLFPLIWSVPEALITAEMSTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVMFLDYLKSEIPALGGGLPRVSAVLGLTVVLTFLNYRGLTIVGFFAVILGVFSILPFVVMGLISIPKIRPKRWLVADIHNVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPRALFFAMILVVLGYFFPVLTGTGAVPLQRDQWTDGYFSDVAKIIGGAWLRWWIEGAAAVSNIGMFVAEMSSDSFQLLGMAERGMLPEFFARRSRHGTPLIGILFSASGVIILSWLSFQEIIAAENFLYSFGMILEFIAFIWLRIKQPNTPRPYKIPFGTIGAFLMCIPPTLLICVVLAFSSAKVAIVSLVAVAIGLAMQPCLKHVEKKRWLRFSTSSDLPDIRHTTPHTTTSLI >itb06g19920.t1 pep chromosome:ASM357664v1:6:23081336:23083832:1 gene:itb06g19920 transcript:itb06g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVNEKVALPLICSLIVLSFVCVSAEEHFYDWTVSFSSRSILGVQKKVIVINDQFPGPLLNGSANDVLNVNIHNNLDEPFLMSWNGVQLRGSSWQDGVQGTNCPIPPGQNWTYSFQLKDQIGSYFYFPSLNLHKAVGGYGPIRIYNTEIVHPPFPFPDYDYDILIGDWYTEDIEELRQSLDRTGMLKLPYGILINGQGPEQASLDFQPGKTYMLRISNVGIRTSLNFKIQGHKMTLVETEGSYTVKQDFDNLDVHVGQSYSVMVKALEHNNGASYFISASPRFSLMRASGIGFVRYNKFQGNPASPLFHSPLPFDLLASWIQTKKIRVDLAVGAARPNPQGSFHYGLINVTRTIVLEGKMARVYGKLRYAINGVSFVYPDTPLKLADQFNISGVYELGTIPERPHFTFRSLPARPGVSVIQTNLHDFTQIIFQNPLRSIQTWHLDGYNFFVVGMGPGIWSELDMLSYNLKDAVYRSTVQVYPFSWTAVYVAMDNQGMWNLRAGNADERYLGQELYIRVKGPEDDPAKISPRDEAPIPANAIKCGKAALL >itb06g11170.t1 pep chromosome:ASM357664v1:6:15727970:15728932:-1 gene:itb06g11170 transcript:itb06g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKFQILGAGSYGTVFLGRLSSSSSTDSQVFAVKSSKLESSRSLRVEGRILNQLRGCPYIVHCFGDDTSLEHEEVVYNLLLEYAPGGSLESLIKSRPGNIMEFEVSFYAYQLLKGIEEVHGWGFVHCDLKPANVLVFPCGCGVNRLKIADFGLSKPSGVNIFGGCHRGSLLYTSPESLVSEMHEAPKDIWAIGCIVVEMITGNPPDAFLNIAFKKPEIPEGISNRCKDFLEMCFARNPSTRWTAAMLLNHRFVANEFNLLLGKKLEDHHQVNSPFGFEGWSSTTCLFSRRIHACSSRPSASNQPVLFHQKMKTVILGH >itb07g01320.t2 pep chromosome:ASM357664v1:7:824209:828007:-1 gene:itb07g01320 transcript:itb07g01320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLDTRFPAARIKKIMQADEDIGKIANAVPLLVSKALELFLQDLCDRTYDITLKRGAKTLNSYHLKQCVQTCNVFDFLKDVVSRVPDLGVSDGDDRSAAKRRKIAEADDHYSDDDIKRSDMHETTPSSSSGRGRGRGRGRGRGRGRAVDREQTTLCEKLEGAADQRDEKQKKRNPERLDTGSETADSKVSLAGQSLEAPVRNFDLNVDLNDEAGDSSAVPAEAAPSPSPTVPSVETKHEEEYPGWSDVEGMAIDPIQLANLNRTTTDEVEEDYDEEG >itb07g01320.t1 pep chromosome:ASM357664v1:7:824209:828007:-1 gene:itb07g01320 transcript:itb07g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLDTRFPAARIKKIMQADEDIGKIANAVPLLVSKALELFLQDLCDRTYDITLKRGAKTLNSYHLKQCVQTCNVFDFLKDVVSRVPDLGVSDGDDRSAAKRRKIAEADDHYSDDDIKRSDMLQHETTPSSSSGRGRGRGRGRGRGRGRAVDREQTTLCEKLEGAADQRDEKQKKRNPERLDTGSETADSKVSLAGQSLEAPVRNFDLNVDLNDEAGDSSAVPAEAAPSPSPTVPSVETKHEEEYPGWSDVEGMAIDPIQLANLNRTTTDEVEEDYDEEG >itb13g13390.t1 pep chromosome:ASM357664v1:13:19887912:19890856:-1 gene:itb13g13390 transcript:itb13g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSVVYARKDMQNQKTKMEADAKCANSPCTAAAAVDSGLVILATDVIHSFLAASTQDANLSQDLRDLAASLSQRASVPYRALRSIWIGSNPSRRPQLVSLLCGSGFAFSSPKPREKSEELKARLRKLAEASERKAYEELVKDITPKKKVEEPFSSYKDQLGFGMHVVLMMFTGFLVGYAAFRALFSHSPAMSAAGGILGLVIGMLVETLLFIVRTTSLDRRSTSFVSKAKKNQ >itb02g04470.t1 pep chromosome:ASM357664v1:2:2660597:2662402:-1 gene:itb02g04470 transcript:itb02g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPSLSSKSPPVGSIPKLPKEVDEVVGIAKKCWNKFRKEATFALYTPFIVSLASGNLKVETFGQCVSQDGYFLKPFAQAFELAEANAEDDDAKHGIRELMYTTLDKLNLHDSLVQEWGSDVVKDTTLNPATSKCTDFLLATGLGKIDGVKATGKLATPFEKTKLSAYTLGAVTSCIRLYAYIGKELQGLIDGKSNHRYKKWIENYSSDSFQEFSLQTEDLLDKLSVSLTGEELGIIEKLYSQGIKHEIDFS >itb14g02700.t1 pep chromosome:ASM357664v1:14:2319157:2331233:1 gene:itb14g02700 transcript:itb14g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQTPWRSRKPPPPKLTDIYSTVGVQGNHKHKNDAAETDASDLYATVVYKGDVDVDVDVVDDPNDDESLPPLLKRLPKDFGGGGLIDSDSDNEAASISGTMIVKTSRSSMYSNPASPYLKPRSGPASYWERSERKSEDQGEEEEEEDDDEEEEVSFSTFVVKDSEFDAGTVETRTRKSGSGGASGLSTMSRAVASMQAVGEIGHARQRKSGSGWVQEEELRPQGSKVSSSSIPESVTKEDPSSKYELLHELGKGSYGAVYKARDLRTSELVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEPLEEYQIAYICREALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRATVHPMRVLFMISIEPAPMLEDKENWSLVFHDFIAKCLTKDPRLRPTAAEMLKHKFIEKCKSGASVMLPKIEKAKQIRSSMALEAQNIVQEPLLPGADLGVPKVNEVFGETVPSKANVGLQVANGVSPAIGSQNLVEPTGEGDFGTMIIRDGVDVDKTASQTAFINAESSSTLRLRHHESAHIDKATEPRLQNEVDVSSPKGTSQPGHVQITPPDHDLKGNISLSRTMKNETVSRKALDKLWSIYSAGNTVPIPFLRATDISPVALLSENVLGGWQQDDSGKIAVDGVQELLTGDAQLKKGRARQNEVPLPPSVYQRLTSSPTLMNLTQALAYHRMCYEEMPLQEMQATQEQQTIQNLCETLRTILRL >itb10g04470.t1 pep chromosome:ASM357664v1:10:4235669:4236268:1 gene:itb10g04470 transcript:itb10g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSHFRRRMPPAQEQLREIEVLLYSANDLKNVKHLSKMRTYAEAYVERSVDAARTRVDEQGGANPVWNQVVKLKFRQGVAENDVMAALNVDIYAHGHVREKPVGSARVLLSDVLKGGGDAADETPLGNPIQFLTVRVWRPSGRPHGLLNLWVPPTGRFLVSFKSLSFSLRGRDGTEEEVVVVGDEAAPISGGVIKDA >itb07g17520.t1 pep chromosome:ASM357664v1:7:21710945:21711301:1 gene:itb07g17520 transcript:itb07g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRERERDRQKMSRWSTAARHPHRDPAPPSLYCSQPYQQTATIKQRERHRQSKAEKHNLPPPSSLDASVVRRRRFLKDRRKGSSDIAGNQFRHRRMSSSSGTGEDWHTSDQLKPKNQ >itb10g07450.t1 pep chromosome:ASM357664v1:10:8817508:8825706:1 gene:itb10g07450 transcript:itb10g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQKALIYAFVARGNVILAEHTDFSGNFNSIAFQCLQKLPASNNKFTYNCDGHTFNYLVDNGFTYCVVAEESAGRQVPMAFLERIKDDFVAKYGGGKAATAPANSLNKEFGAKLREHMQYCVDHPEEISKIAKVKAQVSEVKGVMMDNIEKVLDRGEKIELLVDKTENLHQQAQDFRNTGTKIRRKMWLQNMKVKLIVLGIIIALILIIVLSVCHGFNCGK >itb09g05010.t1 pep chromosome:ASM357664v1:9:2856565:2857949:-1 gene:itb09g05010 transcript:itb09g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKARNPELIRGVGKYSRSKMYHKRGLWAIKAKNGSAFPRHDKKPVESPAAEKPPKFYPADDVKKPLMNKHKPKQTKLRSSITPGTVLIILSGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIATSTLVDISGVNVEKIDDKYFAKQAEKKKKKTEGEFFESDKEEKNVIPQEKKDDQKVVVAPLIKVIDSIPDLKAYLGARFSLQAGMKPHELVF >itb15g17410.t1 pep chromosome:ASM357664v1:15:18056535:18056786:-1 gene:itb15g17410 transcript:itb15g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIVGGTIPNPKDAPESFRLLVRELRSLALELNHFLVSEKNFQIHRKEA >itb10g25680.t1 pep chromosome:ASM357664v1:10:28892456:28896560:-1 gene:itb10g25680 transcript:itb10g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDKVVETIIAGNYVEMEREGKAKDMKTKISNLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGISFQLFYGLMGSWTAYLISSLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASLLHGPVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATMFVLTLTLPSAAAVYWAFGDLLLDHSNAFSLLPRTPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAASRLPVVIPIWFLAIVFPFFGPINSTVGSLLVSFTVYIIPALAHMFTFKSSAARENAVEQPPKFLGRWAGTFTINIFIVVWVMIVGFGFGGWASMLNFIHQIDTFGLFTKCYQCPHPHQPAPAPQILNATAPAPSLLHLTNLTHHL >itb07g03350.t1 pep chromosome:ASM357664v1:7:2257438:2259189:-1 gene:itb07g03350 transcript:itb07g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRRVVCGKEVAGFEDIGACLVDCGVKSFVLCPESGGGGEYLELLRSSAQNLRYAASSSRKPAAIITPESKEELVNSVLCCRKGSWEIRVRCGGHSYEGTSTAASAAAGGNFVIIDMAKLDGVSVDVDAGTAWVEGGATLGQTYHAISAASAAHGFSAGSCPTVGAGGHIAGGGFGLLSRKYGLAADNVLDAVLITADGETLDRKAMGEETFWAVRGGGGGNWGIIYAWKIQLLPVPKTVTCFVVSRPGTKQSVSNLINQWQHVAPKLTEDLYLSAFLGAALPESNNSPENPGISATFKGFFLGPTITALSILNQSFPELSILEQDCREMTWIDSILFFSGSGDNFTISDLKNRHSGEKLYFKAKSDFVKTPIPLTGLHAAVDALENEPRGYVILDPYGGAMDRISSDAIAFPHRKGNLFSIQYLVEWREEDNYDRRKSENLLEWIRGFYEEMTPYVSSSPRAAYVNYIDFDLGILENVDDDGDVVEKSRGWGEKYFLKNYDRLVRAKTMIDPLNVFRHEQSIPPLPACNNA >itb09g15910.t1 pep chromosome:ASM357664v1:9:11219663:11225468:-1 gene:itb09g15910 transcript:itb09g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNDPNPFDEEEQEVNPFSNGGNAPGSKSRIPQMVANTLGFGQKHDATVDIPLDSMNDPKKKQKELAAWEADLKRRERDIKRREDAVAGAGVPVSDKNWPPFFPIIHHDIVNEIPVESQKMQYLAFASWLGIVLCLVYNIVAVTVCWIKGEGVKIFLLAIIYALMGCPLSYVLWYRPLYNAMRTNSALKFGWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTGILAAIDVFSDHVLVGIFYLVGFGLFCLEALLSLWVLQKVYIYFRGHNHG >itb09g15910.t2 pep chromosome:ASM357664v1:9:11219768:11225290:-1 gene:itb09g15910 transcript:itb09g15910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNDPNPFDEEEQEVNPFSNGGNAPGSKSRIPQMVANTLGFGQKHDATVDIPLDSMNDPKKKQKELAAWEADLKRRERDIKRREDAVAGAGVPVSDKNWPPFFPIIHHDIVNEIPVESQKMQYLAFASWLGVKIFLLAIIYALMGCPLSYVLWYRPLYNAMRTNSALKFGWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTGILAAIDVFSDHVLVGIFYLVGFGLFCLEALLSLWVLQKVYIYFRGHNHG >itb09g15910.t3 pep chromosome:ASM357664v1:9:11220078:11225290:-1 gene:itb09g15910 transcript:itb09g15910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNDPNPFDEEEQEVNPFSNGGNAPGSKSRIPQMVANTLGFGQKHDATVDIPLDSMNDPKKKQKELAAWEADLKRRERDIKRREDAVAGAGVPVSDKNWPPFFPIIHHDIVNEIPVESQKMQYLAFASWLGIVLCLVYNIVAVTVCWIKGEGVKIFLLAIIYALMGCPLSYVLWYRPLYNAMRTNSALKFGWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTPLYNAMRTNSALKFGWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTGILAAIDVFSDHVLVGIFYLVGFGLFCLEALLSLWVLQKVYIYFRGHNHG >itb09g01480.t1 pep chromosome:ASM357664v1:9:906078:907199:-1 gene:itb09g01480 transcript:itb09g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGVEYRCFVGGLAWATTDRTLGDAFSQFGEVIESKIINDRETGRSRGFGFVTFKDERSMRDAIEGMNGQSLDGRNITVNEAQSRGSGGGGGFRGGRREGGGGGGGGYGRREGGYGGGRGYGGGGGYGGGDRYGGGGDRYSRGGGGASDGNWRN >itb01g06760.t4 pep chromosome:ASM357664v1:1:4976487:4983020:1 gene:itb01g06760 transcript:itb01g06760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFNARFVEAQSGHLPPDECEPHLSVVFFIIYYEFSDLQGVLPPTLVKLPFLKKIILTRNYLSGTIPPEWASMKLEYISLNVNRLSGPIPKYLGNITSLVYLDLDNNLFNETLPPELGKLTNLQHLILRANYLTGELPKELYALAKLTELRISRNNFTGKLPSFQSLKNLQKLEVQASGFEGPIPQNISALTSLTELRISDLNGGVSRFPTFNNMTGMATLMLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQSLDDKVQYMYLTNNSLSGKIPQWVLSRGPHYYTDLSYNNFTKSSVPPICNRESLNLFKSYNNGGQNEEAAKCLQQCTKDWYSFHINCGGGNVSIGDITYDADDGSTSLAKFVSNRENWVSSNTGYFLDRQITLSDYTTTNISVIKGKDSEIYKTARLSPLSLTYQGRCLANGIYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIKTEAQGVDKPLVTEFQAVVSDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSELGGLDIQIGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEISIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGREDCPLKLDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDNDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVTLEIVVGKNNVKYRSDDENCVCLLDWVRYQYYQTHNS >itb01g06760.t3 pep chromosome:ASM357664v1:1:4976487:4983020:1 gene:itb01g06760 transcript:itb01g06760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFNARFVEAQSGHLPPDECEPHLSVVFFIIYYEFSDLQGVLPPTLVKLPFLKKIILTRNYLSGTIPPEWASMKLEYISLNVNRLSGPIPKYLGNITSLVYLDLDNNLFNETLPPELGKLTNLQHLILRANYLTGELPKELYALAKLTELRISRNNFTGKLPSFQSLKNLQKLEVQASGFEGPIPQNISALTSLTELRISDLNGGVSRFPTFNNMTGMATLMLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQSLDDKVQYMYLTNNSLSGKIPQWVLSRGPHYYTDLSYNNFTKSSVPPICNRESLNLFKSYNNGGQNEEAAKCLQQCTKDWYSFHINCGGGNVSIGDITYDADDGSTSLAKFVSNRENWVSSNTGYFLDRQITLSDYTTTNISVIKGKDSEIYKTARLSPLSLTYQGRCLANGIYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIKTEAQGVDKPLVTEFQAVVSDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSELGGLDIQIGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEISIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGREDCPLKLDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDNDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVTLEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPKLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSTVVKMLEGHDDILEYKSDLHEFNFQAMRDRYDEMIVNSRDSSSKVGFSSSYAN >itb01g06760.t2 pep chromosome:ASM357664v1:1:4976487:4983020:1 gene:itb01g06760 transcript:itb01g06760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFNARFVEAQSGHLPPDECEPHLSVVFFIIYYEFSDLQGVLPPTLVKLPFLKKIILTRNYLSGTIPPEWASMKLEYISLNVNRLSGPIPKYLGNITSLVYLDLDNNLFNETLPPELGKLTNLQHLILRANYLTGELPKELYALAKLTELRISRNNFTGKLPSFQSLKNLQKLEVQASGFEGPIPQNISALTSLTELRISDLNGGVSRFPTFNNMTGMATLMLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQSLDDKVQYMYLTNNSLSGKIPQWVLSRGPHYYTDLSYNNFTKSSVPPICNRESLNLFKSYNNGGQNEEAAKCLQQCTKDWYSFHINCGGGNVSIGDITYDADDGSTSLAKFVSNRENWVSSNTGYFLDRQITLSDYTTTNISVIKGKDSEIYKTARLSPLSLTYQGRCLANGIYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIKTEAQGVDKPLVTEFQAVVSDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIVVAAVSSLFLISAIIYFAGWKIILRILDLIRKKGSQENELGGLDIQIGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEISIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGREDCPLKLDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDNDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVTLEIVVGKNNVKYRSDDENCVCLLDWALDLQKKGNLIELMDPKLGSNYDKEGALRMIKVALLCTNPSPVLRPSMSTVVKMLEGHDDILEYKSDLHEFNFQAMRDRYDEMIVNSRDSSSKVGFSSSYAN >itb01g06760.t1 pep chromosome:ASM357664v1:1:4976434:4983020:1 gene:itb01g06760 transcript:itb01g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFIILIPIILSFNARFVEAQSGHLPPDELNALKEIANEVGKKDWDFRLNPCDNNSNWLTPQRNDTPLYNNTLTCNCSFPTGICHVQSIILKGQDLQGVLPPTLVKLPFLKKIILTRNYLSGTIPPEWASMKLEYISLNVNRLSGPIPKYLGNITSLVYLDLDNNLFNETLPPELGKLTNLQHLILRANYLTGELPKELYALAKLTELRISRNNFTGKLPSFQSLKNLQKLEVQASGFEGPIPQNISALTSLTELRISDLNGGVSRFPTFNNMTGMATLMLRRCNISGKIPEYIANMTSLRQLDLSFNNLEGGIDGLQSLDDKVQYMYLTNNSLSGKIPQWVLSRGPHYYTDLSYNNFTKSSVPPICNRESLNLFKSYNNGGQNEEAAKCLQQCTKDWYSFHINCGGGNVSIGDITYDADDGSTSLAKFVSNRENWVSSNTGYFLDRQITLSDYTTTNISVIKGKDSEIYKTARLSPLSLTYQGRCLANGIYNVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGERKLKDFDIKTEAQGVDKPLVTEFQAVVSDKTLEVRFEYAEKGTTIVPLAGKYGPLVSAISVQSDFKPPKSRKKLIIVVAAVSSLFLISAIIYFAGWKIILRILDLIRKKGSQENELGGLDIQIGLFTFQQIKAATNNFDAANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSSQGNREFLNEISIISCLQHPNLVKLYGCCAEGKHLLLVYEYLENNSLAHALFGREDCPLKLDWATRQRICVGIAKGLAFLHEESEIKIVHRDIKSTNVLLDKELNPKISDFGLAKHDDNDDDDEKTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVTLEIVVGKNNVKYRSDDENCVCLLDWVRYQYYQTHNS >itb12g25400.t1 pep chromosome:ASM357664v1:12:26624577:26626314:1 gene:itb12g25400 transcript:itb12g25400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPTTNSALGYKTPKTEMPYDDEFQSSIKIPAAEKPDVLAFPLITLKSPAPAPSLSLVIKEAESIAKIALPMILTGLLLYSRSMISMLFLGRLGGLALAGGSLAVGFANITGYSILSGLAMGMEPICGQAFGAKKYNLLGLTLQRTILLLILISVPIAILWVNMKTILLFCGQDEAIATEAQSYLLYSIPDLFAQSLLHPLRIYLRTQSITLPLTFCAAFSIILHVPINYFLVTKMGLGTKGVALSGVWTNFNLVASLIVYILISGAYKKTWEALSSECLKGWKSLLNLAIPSCVSVCLEWWWYEIMILLCGLLINPRATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNELGAGEPRNAKRAAIVGLAGSFILGFSALFFAISVRNIWAKMFTLDKEIIALTSLVLPIIGLCEIGNCPQTTGCGVLRGTARPKTGANINLGCFYLVGMPVAVALSFFAGFDFEGLWLGLLAAQASCMITMLIVLLRTDWELEAQRAEELTGADDHSETLIKPKNNQDSLC >itb09g14520.t3 pep chromosome:ASM357664v1:9:9791709:9794038:1 gene:itb09g14520 transcript:itb09g14520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQTNTSRLGWQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLELRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb09g14520.t4 pep chromosome:ASM357664v1:9:9791709:9794038:1 gene:itb09g14520 transcript:itb09g14520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHPHQGRSMHPSTRMSVPPERHLFLQGGNGHGDSGLVLSTDAKPRLKWTADLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLELRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb09g14520.t6 pep chromosome:ASM357664v1:9:9792164:9794038:1 gene:itb09g14520 transcript:itb09g14520.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASIGLGRPCCPGNIHAYKSKKFHHLFSTKKLNSIVNRYFHLGMVKQTNTSRLGWQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLELRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb09g14520.t1 pep chromosome:ASM357664v1:9:9791382:9794039:1 gene:itb09g14520 transcript:itb09g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHPHQGRSMHPSTRMSVPPERHLFLQGGNGHGDSGLVLSTDAKPRLKWTADLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb09g14520.t2 pep chromosome:ASM357664v1:9:9791382:9794038:1 gene:itb09g14520 transcript:itb09g14520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHPHQGRSMHPSTRMSVPPERHLFLQGGNGHGDSGLVLSTDAKPRLKWTADLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLELRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb09g14520.t5 pep chromosome:ASM357664v1:9:9791709:9794039:1 gene:itb09g14520 transcript:itb09g14520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHPHQGRSMHPSTRMSVPPERHLFLQGGNGHGDSGLVLSTDAKPRLKWTADLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb09g14520.t7 pep chromosome:ASM357664v1:9:9791382:9794039:1 gene:itb09g14520 transcript:itb09g14520.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCSANSTQNSFNAEATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHLQANSGSNKTTTGGSGTDKIYEANANHMNNSSTGPQTNKNVHISEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTMGLEAARVQLSELVSKVSNQCLNSAFSEMKEAPGLGPQQARATQPTDCSLDSCLTSCEGASREHEMHNSQMGLGTLNLRAYMDPKDMENETRRQQSEVRWSDELKESGKFLCGMAEDLEKADEKERKCSDLSMSIGLEGGRWNSKKSYEFTGTDGDAKLYSRPADRSDSSAGKTEKQKMAQQEYKLPYFGPKLDLNTEDETDVASSCKQFDLNGFSWS >itb01g23310.t1 pep chromosome:ASM357664v1:1:29371869:29373231:-1 gene:itb01g23310 transcript:itb01g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKKMLMRIFRNARKVAGSWPAIDEYDFVEDLSSWEFVIPSDDDDDHEAYYSLNDEDLPLKVFIDDGVEIGIEPEDIVGETGLKQDDSCLHGSPSSDVSMESPSPAQITVALDVAPVGLNDCTNDHGYVPDYDIDNEEEDYDEDDGYDYDLDEELVPYCARNKVAKQQRMKKLSKMTCPKMSKLKSSPYYCNRPGATLKISVHAKNLPKLSVASHGKTVVSNGANISFQTTVCKMCRSSLAIQTACCSVIPTKKKLNRNH >itb05g07110.t1 pep chromosome:ASM357664v1:5:8441933:8444020:-1 gene:itb05g07110 transcript:itb05g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKKLCREDSGMKNGGWKLEEQWEMMESRDDDSCANSDDESSSSSSFSSSELVVEDDASSITSSFGPLYELTDLMAHLPIKRGLSKYYNGKSQSYGCLGSVQSLEDLAKKGNSLSKRMKSCKSFVGGMGGKRFGPKATINKKSSRKLPTPKCSNKSFTF >itb09g16930.t1 pep chromosome:ASM357664v1:9:12096079:12099199:1 gene:itb09g16930 transcript:itb09g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVSCYSGACCYVVNVRRELIDRTPSLTQLRIPTRPDRSWCCAVIRPSCLRHSGLDNLRSKKLRSSRGYQIASALKESSSGLLSGDYDSYVVDGTASDAGESVPKVFVPSLPDGSNGDYGAPVDGSFWEWKPELNVHYEKSGSGNVNSPPVLFLPGFGVGSFHYKKQLKDLGSDFRVWALDFLGQGRSLPSKDLTTLQFNGVGEDLIWGFGDEAQPWAKELVFSMDLWRDQVRYFIEEVIKEPVYIVGNSLGGFVALSLAARHPELVKGVTLLNATPFWTFLPNPIKSPRLSRMLPWAGTFPLPTTVRNIMKLLWQKISDPESIAEVLKQVYADHSINVDEVFSHIIETTKHPAAAASLASIMFAPGSQLSFKEALSGCQENDVPICLVYGKEDPWVTPYWGFRVKRRMPEAPYYEISPAGHCPHDEVPEVVNFLLRGWIRSLESSGLVTLPLLDSPECAAFDISREVEFIRGGLKKSVNVQFYGSTTSQWERFGFYVKSLLKTEGLKLLGRT >itb10g03840.t2 pep chromosome:ASM357664v1:10:3611233:3613889:1 gene:itb10g03840 transcript:itb10g03840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPQTQFGATLPVPSVQELAKQKPAAVPSRYIRQDLRSPPESKPPCSSTQVPVIDMQKLMSQESTHDSELRRLHFACKDWGFFQLINHGVSSSVLEKAKVEVQGFFNLPLEEKQKCGQIAGEIEGFGQLFVVSEEQKLDWADMFFIKTLPQHIRSPHLFPKLPPSFRDTIEAYSVEVNKVAMKVLRLIAKNLGIKDEEMCKLYEGGMQSMRMNYYPPCPQPELVMGLSPHSDADGLTILLQVNETQGLQIKKNGAWLPVVPIPNSLIVNIGDTLEHRAQSSCKLGQGKDVRCNISCPEIGCRIGSGGWACNG >itb10g03840.t1 pep chromosome:ASM357664v1:10:3611233:3613889:1 gene:itb10g03840 transcript:itb10g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPQTQFGATLPVPSVQELAKQKPAAVPSRYIRQDLRSPPESKPPCSSTQVPVIDMQKLMSQESTHDSELRRLHFACKDWGFFQLINHGVSSSVLEKAKVEVQGFFNLPLEEKQKCGQIAGEIEGFGQLFVVSEEQKLDWADMFFIKTLPQHIRSPHLFPKLPPSFRDTIEAYSVEVNKVAMKVLRLIAKNLGIKDEEMCKLYEGGMQSMRMNYYPPCPQPELVMGLSPHSDADGLTILLQVNETQGLQIKKNGAWLPVVPIPNSLIVNIGDTLEIFTNGIYRSIEHRAVVSWDKERMSVATFHAPKLDAELGPAGGLVTAETPPKYKRISVPEFFRLFFSSKLDGKSHVDVFKIANNNA >itb09g01370.t1 pep chromosome:ASM357664v1:9:851006:854363:1 gene:itb09g01370 transcript:itb09g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARECFEGSSANDERRERKSDFEISEDERKTKIGALKKKALSASTRFKHSLKKKSSRRKNGGRCSSVSIEDIRDFEELQAVDDFRQALIMDELLVERHDDYYLMLRFLKARKFDIEKAKNMWADMIQWRKEFGADNIMQEFEFEELNEVLNYYPHGYHGIDKEGRPIYIERLGKVDPSKLMQVTTLERYVKYHVKEFEKTFAIKFPVCTIAAKRHIDSSTTILDVQGVGFKNFTKNARELITQLQKIDGDNYPEVLGNKYQNKLLEVIDASELPDFLGGTCTCAGEGGCLRSDKGPWKNPALLKMVGEARRCKQVLKVLNSEGKVVYAKPRFPMVKGSDTSAESGSEAEDIASPKAVRSFSQLRLTPVREEARVNGTSSYADNFSGFDEYVPMVDKAVDSGLKKISSPRKPYVPKVTLSPPVTQNIPDGLHARILGALMAFFMAVFMLFHSVVSCVTKKLPDASSPQDRALGEFSSAETPKEEFRPPSPTPAYTEAELLSAVLKRLGELEEKVNSIQEKPSEMPNEKEELLMGAVCRVEALEAELISTKKALYEALMRQEELLAFIDRQEAAKQRAVGCRIF >itb04g01200.t2 pep chromosome:ASM357664v1:4:664564:667065:1 gene:itb04g01200 transcript:itb04g01200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMRAAPPPAKKKRLDVAHLQSTPYFKMRAIVKELRPHIIEVLRTPDFRNCKAATEIRQVIIIAFSILASFTHLLSVKKELNLLMDLCKEMAAETTAPEKPKNAAEGRTLLDNIEDGRKPGSFHQNTKASEKPSSERPSMKPSEDHKTISSENLSSNQHTVDAIAQGTYIVGGSAFGWNFIAYPGTTTVYYGRTKEAFRSANPKSQ >itb04g01200.t1 pep chromosome:ASM357664v1:4:664564:667065:1 gene:itb04g01200 transcript:itb04g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMRAAPPPAKKKRLDVAHLQSTPYFKMRAIVKELRPHIIEVLRTPDFRNCKAATEIRQELNLLMDLCKEMAAETTAPEKPKNAAEGRTLLDNIEDGRKPGSFHQNTKASEKPSSERPSMKPSEDHKTISSENLSSNQHTVDAIAQGTYIVGGSAFGWNFIAYPGTTTVYYGRTKEAFRSANPKSQ >itb06g09580.t1 pep chromosome:ASM357664v1:6:13841803:13843102:1 gene:itb06g09580 transcript:itb06g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIILCILSLSFFPLSISAFPPHIRLPTQEPFPGNQPVLDAEGKPLIYGDKYYAVPATLGVEGGISLDSYPIQGTTQQSTCPNAVVLNTSVAGIHPFAKGLPIVFYPRGLQEVQESLPLNVAFYREESDPCAKETVWKLDEEAEQPVIVTGGEIGDEDDVTNWFRIEKNSNGGGWGYVFTFWPSLCSYCRINSWRIGTVGQGRQLGINDRFPYPFNFVRAE >itb07g15260.t1 pep chromosome:ASM357664v1:7:18229171:18231279:-1 gene:itb07g15260 transcript:itb07g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLKAVPDASRDLISQLPLEVKDRILGFLRTPEAARTAMLSKHWNDVWLQHGQLTFDWEFLHSVRKCFEDEGRTLVNIINNILFLRAGPVKKFTLQISCDDPTPQQSDVDRWCLFLSRNGVEELKICLDSTDDWEEYKLPFCLLSCRTIKQLIVRGPFIDLPVNDCGIFSNVTSLAFFKVEFKCSVSGIASSINIPKLEELAFGYCPGIHKFEFCSPKLEILSVIVCMYDVVESRWLAPHLKAIKTLWLCGSSLMDIDVSVFPTAINLQVMKLYELNFGCQKQLAIAMQLLQKCPNLCELRIFANEFRGKDDQEAASRLLEDPDSGFVIQELKMLNTIKIESFSEFALEMLFMKMLLSRSPALERVVIVDSWDVNASEVRKIQRMLECFPRASPNAQIVCAGDYYASMRDDWLDTHGFSLSEI >itb02g02860.t1 pep chromosome:ASM357664v1:2:1665723:1667757:1 gene:itb02g02860 transcript:itb02g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G51640) UniProtKB/TrEMBL;Acc:Q9C8H6] MQKLERLKAAKEVLRCSLEESKELAFRIGESGPRLERMSQRLALLETTMNAISTKWKLYEIKGPLDQAFGLSASIVDMFEVICSLEIALSSDLSSPGLLAYLTTVKYTEDALRFLTGYCKLAIPWLEDAQQILESNKSIADHWYLKNVTKCLLALEELQAMEERFKLRGGALMPALDKLESEFARLLLDNRSHPSVDTPPLPLPFPEPVLEKVQAIVERLGANDHLENCISVYVEVRSSNVRETLQALDLDYLEISLTELDSLQSLEDCIDQWGRHLEFAVTYVLEIEHRLCSEVFRNVGSDTSMDCFAKIAVQSGIQSFFKFGNSVARSKKEAIKLLKLLDIFAALNKLRSDFNRLFKGKSCAEIKNQTRDLIKKVVDGACDIFWELSLQVELQLPSSPPGDCGVPRLVTFVTDFCNQLLEDEHWKTLLQVAEIHHGWNHKSFSENLLSGEIHSIVIALERNLETWAGEYKDSTRSCLFMMNTNWYLGKYTRGTKLADIMGQEWLRGHEEYMEYYEAVYLNESWGRLPALLCNDDMAFDHVAANRALTEFTAAFDSMCKRQSNVVLCDKGLKWRTCELVLRAVVPPYKSYLESYVPSIEHKTGCRIDVKYTPESLQSMVSSLFQPKFGKLGNTKCTELVNKVNNVIANPISSTPAAA >itb04g31850.t1 pep chromosome:ASM357664v1:4:34532086:34532954:-1 gene:itb04g31850 transcript:itb04g31850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHYPYERRKCYRRLCAILSWFIILILITIFLIWLILRPTKPHFILEDATVYTFNLTTTSATLNLLTTTLQLSLAARNPNKRIGIYYDRLDVYATYRGQQITPPTSLPASYQGHKEVTVWSPFVNGNAVPVAVYLGMEISQDQNAGRVLINVRVDGRVRWKVGTFISGRYRLNVNCPAYLSFGGGEYSSNSVLVGSTAKYQLVQQCYVDV >itb09g04960.t1 pep chromosome:ASM357664v1:9:2838958:2842145:1 gene:itb09g04960 transcript:itb09g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGGASSSETMGDEKDKEGTTSKAAIVADSDAETDNENKASGHMSEGSLYTTEDEDEDDVANKIQLGPQVTLKEQIEKDKDDESLRRWKEQLLGSVDINAVGESLDAEVKILSLAIKSPDRPDIVLPIPADGKPESPWFTLKEGCRYSLEFTFEVNNNIVSGLKYTNAVWKTGMKVDGTKEMLGTFSPQVEPYKHEMPEETTPSGMFARGSYSAKTKFVDDDNKCYLEINYTFEIKKDWPAT >itb12g05800.t1 pep chromosome:ASM357664v1:12:4323406:4323980:1 gene:itb12g05800 transcript:itb12g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKVDCRQCGKSSWGGCGKHLTTLYSTIQTGNHCLCRPWPSVAIATPPAQNATNQPLLATPPNPPTATTAGVAGRMAS >itb04g02390.t1 pep chromosome:ASM357664v1:4:1417115:1421501:-1 gene:itb04g02390 transcript:itb04g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRRMGFHYLHKLNAENIPRDLIEKGQKRVIEASLTLIQERAKLKGELLRALGGVVASSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKDLKDPRVLTDVGDVPIQELRDCGINDDALMDIISESVKLVMEESPLRPLVLGGDHSISYPVVRAVSEKLGGQVDILHLDAHPDIYHSFEGNRYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKKFGVEQYEMRTFSKDRQYLENLKLGEGVKGVYISVDLDSLDPAFAPGVSHIEPGGLSFRDALNILHNLKGDIVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >itb04g02390.t3 pep chromosome:ASM357664v1:4:1417115:1421370:-1 gene:itb04g02390 transcript:itb04g02390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRRMGFHYLHKLNAENIPRDLIEKGQKRVIEASLTLIQERAKLKGELLRALGGVVASSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKDLKDPRVLTDVGDVPIQELRDCGINDDALMDIISESVKLVMEESPLRPLVLGGDHSISYPVVRAVSEKLGGQVDILHLDAHPDIYHSFEGNRYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKKFGVEQYEMRTFSKDRQYLENLKLGEGVKGVYISVDLDSLDPAFAPGVSHIEPGGLSFRDALNILHNLKGDIVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >itb04g02390.t2 pep chromosome:ASM357664v1:4:1417115:1421357:-1 gene:itb04g02390 transcript:itb04g02390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRRMGFHYLHKLNAENIPRDLIEKGQKRVIEASLTLIQERAKLKGELLRALGGVVASSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKDLKDPRVLTDVGDVPIQELRDCGINDDALMDIISESVKLVMEESPLRPLVLGGDHSISYPVVRAVSEKLGGQVDILHLDAHPDIYHSFEGNRYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKKFGVEQYEMRTFSKDRQYLENLKLGEGVKGVYISVDLDSLDPAFAPGVSHIEPGGLSFRDALNILHNLKGDIVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >itb08g15040.t1 pep chromosome:ASM357664v1:8:17021101:17024275:-1 gene:itb08g15040 transcript:itb08g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASICSSLFFNPRTHHHRHDYVYHIAYFKSRQDSIFTPKPTRPFAISSNFNSPNLISPLLSTPNSSSTTSLQNPLPTGRFLANEDLDKLQFLENYSYFQELESGSLLVSVMRQEEMDMTVRLLAKSFAESMMISLGYLKLLEFFVKQYLIERRSLMPHTATLLGFYRENGDGEEGELKLAGTVEVCFDRRGANDSPHTPTAPKNAPYISNMAVRQSLRRRGIGWHLLKASEELISKMSASKTVYLHCRMIDAGPYNMYTKAGYSIVKTDSILILLTLQRRKHLMCKYLQDIESPSEVETPVDQLTL >itb10g03760.t1 pep chromosome:ASM357664v1:10:3504998:3508373:1 gene:itb10g03760 transcript:itb10g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVETDAQSLGASSLPVPSVQQLAKENLTLVPTCYIRDDIESPAASSCSASDVPVIDMQKLLCEESGDSELQKLHFACRDWGFFQLINHGVNSSLVEKAKCEAQAFFNLPLEVKQNKYGQVAGEREGFGQQFVVSEEQKLDWADMFYIQTLPLQVRSPQLFPNLPEAFRNTIEAYSLEVHKLAMKILSLVAKILGIKDEEMSTLFEEGMQSIRMNYYPPCPQPELVLGLSPHSDAGGLTILLQANETQGLEIKKDGIWVPIVPIPHAFIANIGGSLEIFTNGIYSSIEHRGVVNREKERISIATFHSPKLNGELGPASNLITPQTPAKFKRVTVMDYFRQFLGGKLDGKSHVDAYKIS >itb14g11150.t1 pep chromosome:ASM357664v1:14:12694411:12695040:1 gene:itb14g11150 transcript:itb14g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHECPHHSHSRQKLLLAAVVGVAILILLVVVLTWAVLRPSKPHFVLQDATIYAFNLTAGVPAALLTTSIQLTVSSRNTNGRIGVYYDRLRIYAAYRGHQITLPTLLPPTYQARKEVAVWSPFVYGNSVPVAPYIAAALSLDRNVGTAMINIRVNGRIRWRVGSFVSGRYHLNVDCPAYISFGGYMSNTVVVGSTIKYRLILNCHVHV >itb12g01870.t1 pep chromosome:ASM357664v1:12:1266176:1267676:1 gene:itb12g01870 transcript:itb12g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQDEVVLLDLWASPFCMRVKIAFEEKGVVGYEVKEENLPHGKSELLLKSNPIYQKVPVLLHNGNPIVESTNIVYYIDEAWPSSPSLLPSCAYGRARARFWADFVDKKVYDAGAAIWGGKGEEIEAAKNEFIEVIKKLEGALGDKDYYGGDTFGFVDIIAIPLTSWFLTYEKLGGFKVEEECPKFAAWMKRCQDRESVAKALPDPEKVHEFVIKLRKMLGIE >itb03g00030.t1 pep chromosome:ASM357664v1:3:21534:24678:1 gene:itb03g00030 transcript:itb03g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSILVASDDEEQVEEGVGQDEQRDLGHDSLVKKVLEQEPEILPCHASASPLSPQLSSFGTPRLGPSIKVWDPYNVLAPPLPHFHHTFSTPTSLQDDDTVTEVFLICNGECHMKLRPDLIAGRCPEAALTPNGKRQARALAVFLKSQGVRFNAVYTSPLDRARATAVSVCQELNFLEEQIQSSDALVEMSLGHWEGCNQSEIFTSETMRLIERFQPDFTAPSGESLRQVEFRMVQFLNRTVMASHEKFRPDFSMSDQIESFPNRGSHALTNSVHDSRDGPSFSSPQWDLVYRHRQGLPRKKSGKSRLQVVTKTGDQEADDEMSPREAVNPISVGNSRALCCVSSRVAVFSHSIPIKCLLTGVLGCSAEMSSKLCIEDSSVSVLQHSWKMGWQIKRLNDTTHLRLL >itb05g15190.t1 pep chromosome:ASM357664v1:5:22579852:22583216:-1 gene:itb05g15190 transcript:itb05g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPRMITNMVDIAKALARPASYTTKYFGCELGAQSKFDEKNGTSLVNGAHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKSQMLQLKCAACGFISDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGQAADEEQKKLKKETKKKGPAKDASTKPNSKKKSSSSDEDRSSPPRTFTNKKDEEDDDDDDIQWQTDTSMEAAQQRIKEQLNAVTADMVMLSTDDTEKKSKTANKTQGSPKALSPARETNSKAENGKSSHVRLVEEMKDNLQKGITASQFRTVLGSLSGTQQEVMTALYEALLDGVDKGFAKVVIKKKSYVAAAISLHEGSQLHLLRAIEEFCRKSNPAAVKEVALVLKALYDADLLDDEECIMKWYSEGLSGVNKDAPIWKNTKPFVEWLQSAESESEEE >itb05g15190.t2 pep chromosome:ASM357664v1:5:22579852:22583202:-1 gene:itb05g15190 transcript:itb05g15190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPRMITNMVDIAKALARPASYTTKYFGCELGAQSKFDEKNGTSLVNGAHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKSQMLQLKCAACGFISDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGQAADEEQKKLKKETKKKGPAKDASTKPNSKKKSSSSDEDRSSPPRTFTNKKDEEDDDDDDIQWQTDTSMEAAQQRIKEQLNAVTADMVMLSTDDTEKKSKTANKTQGSPKALSPARETNSKAENGKSSHVRLVEEMKDNLQKGITASQFRTVLGSLSGTQQEVMTALYEALLDGVDKGFAKVVIKKKSYVAAAISLHEGSQLHLLRAIEEFCRKSNPAAVKEVALVLKALYDADLLDDEECIMKWYSEGLSGVNKDAPIWKNTKPFVEWLQSAESESEEE >itb15g00070.t1 pep chromosome:ASM357664v1:15:57746:60162:-1 gene:itb15g00070 transcript:itb15g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHSASPSPHQQPDQPFPPPPTAHPHQHAATGACVNCGGPTSYPCPPPSANPTYIPIRAPAVNLPPANTKETIIRTPVPQSQKVSPLSPPYQFQYPTKKIQSQIDVDRFHSSDACQNFLGFVVALSESIRSHKLSDPCHVSSAVSALVSVLQTLISYVDEIPPAPQSSRYGNVAYRTWHERMCSGAESFMIQFLPKELHSATVELVPYFTDSFGNASRIDYGTGHETNFAAWLYCLARLGVVKEDDYQALVARVFLKYLELMRKLQLTYNLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNQDILENFSNEYLYLSCISFIKKVKKGLFAEHSPMLDDISGVPNWNKVNSGLLKMYKIEVLQKVPIMQHFLFGSIIRWE >itb05g26120.t1 pep chromosome:ASM357664v1:5:30171812:30173372:-1 gene:itb05g26120 transcript:itb05g26120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKRNGVASMEFVADQTATVAQDMDTSESAVPKPSSAGVHTKVKGVQMKRSKNVRKKAAIAKAEAKIEQLKEKVLKNESKLHRIQSAKKLYD >itb04g27970.t1 pep chromosome:ASM357664v1:4:31840513:31844666:-1 gene:itb04g27970 transcript:itb04g27970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like 2 [Source:Projected from Arabidopsis thaliana (AT5G58550) TAIR;Acc:AT5G58550] MSTSGPENEVKQGDNSVSKSRFVNLFRQYSVHQISSSMRSFKLKDRCKTIQVHAFNPSETSTSGGGKVYRHHQGHPTINSILSDSLEIVSLSAAETLMPYGLPTTASLEPPIDPFLKPVDFVESLAGLYRRVEKSPDFGKFWVYLEQFSVLCNLGDPKLLRRCLQSARQHAVDVHSKVVLSAWLRYERREDEMVGKSSLDCIGRIIECPKSALIHGYDPDSVFAHCNCYQSQNQACDLSISDGNIFHRPDEKDGEVSFCIGNEVITCLRGKIAALSIPLKAMLYGNFMESKNERIDFTFIGISVDGMKAVEMFSRIGRLDTCSPSIVLEMLSFANRFCCEEMKSCCDSYLASLVSDIDEALVLIDYALEERAKLLAASCLQVILRELPGYLYNSKVLNIFCSAEAKERLGTVGHASFLLYYFLSQVAMEDNMASKITVMLLERLRECASERWQKAIAFHQLGCVLFERKDYKEAQCCFEAAVEVGHGYSAVGIARTKYKQGQRFSSYEIIDGMISTYKATGWMYQERSLYNLGKQKILDLDDATKLDPTLSFPYKYRAVAMVEENQIEAAISEINRILGFKVSLDCLELRAWCFIVIEDYKSAIRDIRALLTLEPNYMMFSGKMRADHLLELLGLHVQQWSPADCWMQLYDRWSSVDDIGSLSVIHQMLLNDPGKSVLRFRQSLLLLRLNCQKAAMRSLRLALNHSSSKYERLVYEGWILYDTGHRKEALSKAEESISLQRSFEAFFLKAYALADTTLDSESSSYVIQLLEEALRCPSDGLRKGQALNNLGSIYVDCGKLDLAADCYVSALEIKHTRAHQGLARVYYLKNDRKAAYDEMTKLIEKAQNKASAYEKRSEYCDRDMANSDLSMATQLDPLRTYPYRYRAAVLMDDQRETEAVEELTRAVSFKPDLQMLNLRAAFHESMSDYTSALQDCEAALCLDPSHKDTLDLYHRTRNKATTQHKVCASFYNPAGLG >itb13g18320.t1 pep chromosome:ASM357664v1:13:25283727:25286515:-1 gene:itb13g18320 transcript:itb13g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSFLGRLLFVSVFILSAYQEFNEFGVDGGAAANALGPKFNVFSKHVTTHTGLQVPHIEMKHLVLGTIIMRGLGSFLFVFGSSLGAYILLLHQAIVTPILYDFYNYDADKMEFVQLFVKFTQGLQLIGALLFFVGMKNSMPRRPTKKAAKTKTN >itb06g11700.t1 pep chromosome:ASM357664v1:6:16230617:16232297:1 gene:itb06g11700 transcript:itb06g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVALISALCILALAGIAHCTPEKFNVVGNVYCDTCRVQFQTRISQNIKDAVVRLDCRNIENQTLTYSVKGATGVDGKYNLTVDGEHEKDMCEVTVVKSPREDCKETVAGLEKARVVCTQNVGMEGGLRHANPLFFMKDKADERCAKVLKEIDFLPGPAM >itb09g07470.t1 pep chromosome:ASM357664v1:9:4348636:4349850:-1 gene:itb09g07470 transcript:itb09g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGSFSIFFLLALVSSLQIIQARETKYFFNKIPGNNNNNYGVNSEQTTVIPQQQEQNFIPENENGGLDQPYTTTTNNNDDLPYKTEEVPNKRYLPRNYNPVSYVTVPEDNNADSKFAGEEFAAAADNNNRYNSRGNYYNSRSNNYYGGGGQEERFPANNNRYNAAAGNKVEKMGMSDTRFLENGRYYYDTNTEKYARHPLENAGINLEDFKSQFENSNNNFMENNNYQNDEQFQDEDDLP >itb01g34980.t1 pep chromosome:ASM357664v1:1:37423899:37424564:1 gene:itb01g34980 transcript:itb01g34980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVKVEFNAIDPRIASCMEFLAQCNAPKAKESNPACQIQVNRRTNDHPPQITVTFVNGVEQTYDATSTPAQNIRAMILEKGQYLETEQMFRDAGEKWPVVIPVEELNQPFIGIKDI >itb11g09010.t1 pep chromosome:ASM357664v1:11:5937135:5942094:1 gene:itb11g09010 transcript:itb11g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTATWIAYAAAWVSTIALLLLAKHLRRRRKLHLPPGPKPWPIIGNLNLIGSLPHQSIHDLSQKYGPIMQLQFGSFPVVVGSSAEMAKTFLKTLDINFVDRPKNVAGKHLTYNFSNVTWSQYGPYWRQARRMFITELFSAKRLDSYEHIRSHELKSMLHKLYNISSTHHPILLKNYLFTLNLNVISRMALGKSYLNESEGAIVSPGEFKKLIDEVFLLSGVLNIGDLIPWVDFLDLQGYIRQTKALNKKLDGFLEHVLDEHMSRRVVESDEKFVAKDMVDVLLQYTDDPNMEVKIERQGVKAFTLDLLAGGTESSTVTVEWAISELLKKPKVIKKATDELDRVIGQKRWVEEKDIPNLPYIQAIVKEAMRLHPVVPTLVPRLCREDCKVAGYDIPKGTRVLVSVWTIGRDPTLWDNPTEFIPDRFIGRDIDIKGCDFELLPFGAGRRMCPGYSLGFKVIQVTLANLLHGFNWKLPNDMIPEQLNMEEKFGLTTPKKIPLAVVIEPRLPMHVYSI >itb03g06770.t1 pep chromosome:ASM357664v1:3:4900897:4903107:1 gene:itb03g06770 transcript:itb03g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPTRSRRQVAAQQPESQSRAKWNAYLTNILVELMAEQVRLGNKQNKSFNKEAWKCICEDFHKETGLPWDQEQLKSRYTALRKHYAIVKSILDQSDFKWDEPTGAIVATDEAWDAYIKEHPDAETLRSAGCSIYKQLHTIFAETGTKGKSNGSTMSKERPSGSQPSSVFEDKLSSSESEEEADMGVEEDKLQSTNPSANSSRKKGRKGVDDFIAKAILEMAAASRLRADAIKKLNERYTITDCIRALDELQGVNDQVYFAALDLFNNRSAREIFLSLQGEKRLTWLHCKVSRIQ >itb14g20730.t1 pep chromosome:ASM357664v1:14:23004989:23007139:1 gene:itb14g20730 transcript:itb14g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRILTGKLSRLYRGFRWRLTRRRSNPDSSSEVVGKKTNPPKSSYVSTNEASIHPSSGGELGKPIRVATFNAGLFSMAPAVPKSASFDLRDEDFRQDNHRIHVRAKSMDHRPKSILKHSPLHPNANLSISEHQQQQRFPKSKLRVSINLPDNEISLKKSGQLQFTTFVECDEGKSPLRSSSSLNFSSSSPAGGRSYRSSTRTVVEVLREVNADVVALQDVKAEEEREMKPLSDLAAGLGMKYAFAESWAPEYGNAILSKWPIKSCKVHKIFDDSDFRNVMKATIDVPEVGEINFFCTLLDHLDENWRMKQINAIIQSSDTPHILAGGLNSLDQADYSAHRWAEIVKYYEEMGKPTPKAEVMKYLKSKQYCDAKDFGGECESVVMIAKGQCVQGTCKYGTRVDYIVSSPDSVYKFVPGSYSVLSSKGTSDHHIVKVDVVKAAGSGCHHRQRVDRKKQQKKQVKKITDELYGKHKDDTYAKV >itb13g23160.t1 pep chromosome:ASM357664v1:13:29269822:29275531:-1 gene:itb13g23160 transcript:itb13g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFIGSVRRSLVFKPSGELDNGGSGFGGFVEKIGSSIRKSSIGIFSKAQMPALPSIPKPEQMKPPKDEAPSIRWRKGEMIGCGAFGRVYMGMNLDSGELIAVKEVSIAANSASRERTQAHIRELEEEVNLLKNLTHPNIVRYLGTAREEGSLNILLEFVPGGSISSLLGKFGSFPEPVIRMYTKQLLIGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATMTGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGRPPWSQQYQEVAALFHIGTTKSHPPIPEHLSPEAKDFLLKCLQKEPNLRPTASDLLQHPFVTGEYHESHPIQRNSFMNNSGNKMAGQKMDVKKSLNSEMRISCTGLKDVCNMDGVRCSTIYPEKFCERESLWKPGNSDDDMCQIDYEDDLMFGDSMNYSSKLTSHDLNKSFNPICEPDDDWQCKYDANSELEKSRENILPSQVNNELDNSPIALDKDSGFTFPHGHSVTEDDDELTESKIRAFLDEKALDLKKLQTPLYEEFYNSLNASSTPSPANNKENVPNNLNLPPKGKSPKRYLSRRLSSAVEIVSKPSAVCHSKRISNIGGFNSEATQDVQSPRLGELKEFILDSQPEPIINPSDSDIKKKWEEELGEEIERTREIMRQAGVLKTSSPKDRTPNRFKDRLRFAASPGL >itb05g15510.t1 pep chromosome:ASM357664v1:5:22851610:22852710:1 gene:itb05g15510 transcript:itb05g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLLGVILTSVLTICFLKRRSFWRRGDEKLENIEAFIRANEFHATKLYTYSDIKKMTNSFNDKIGEGGFGSVYRGKLPDGCPVAVKLLTNTKGNGEDFINEVASICRTSHMNIVTLVGFSYQRKRALIYEFMPNGSLDKYIDNKESPDKSCQLEWKTLYQIAIGIARGLEYLHGGCNTRIMHFDIKPNNILLDTDFTPKISDFGLAKLCKKKESVVSLSVYGARGTVGYIAPEVFCRSIGSVSHKSDVYSYGMTVIDMVGVREKADTDQTSDSCFLDWIYEHLEQGFDFSLQGITNEEDKEMAMKMILVSLWCIQTNPADRPSIRRVVEMLEGSITSLKTPPKPVFCPPTEFPQLFSTSSVTTEI >itb01g31890.t2 pep chromosome:ASM357664v1:1:35511664:35514009:-1 gene:itb01g31890 transcript:itb01g31890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCAISTLFCAEDNDSLFYDESDEVGYACVEVFEDHWDHRSDRNSEHQRASLTDLPVQSEECLALMIRRESELLPACDYSKRLRNGELDIAARDEVLDWITKVHAHFNFGPLCAYLAVNYLDRFLSAYELPKGKSWMMQLLAVACLSLAAKMEETEVPLCLDLQVGDARFVFEARTIQRMELLVLSTLKWRMQAVTPFSFIDYFLTKINGGDQIASSSSIMKCIELILSTLQGTHFLEFRPSEIAAAVAIFIAVETEVLDSEKAISLMLHYVQKLCLCNFQDRVIRCVELMQELSLPSGFLMAPRTSLPSTPHSPIGVLDAACLSYKTDDSGASSSNNSPVVKRRKLSHPYLMDH >itb01g31890.t1 pep chromosome:ASM357664v1:1:35511348:35514354:-1 gene:itb01g31890 transcript:itb01g31890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCAISTLFCAEDNDSLFYDESDEVGYACVEVFEDHWDHRSDRNSEHQRASLTDLPVQSEECLALMIRRESELLPACDYSKRLRNGELDIAARDEVLDWITKVHAHFNFGPLCAYLAVNYLDRFLSAYELPKGKSWMMQLLAVACLSLAAKMEETEVPLCLDLQVGDARFVFEARTIQRMELLVLSTLKWRMQAVTPFSFIDYFLTKINGGDQIASSSSIMKCIELILSTLQGTHFLEFRPSEIAAAVAIFIAVETEVLDSEKAISLMLHYVQKDRVIRCVELMQELSLPSGFLMAPRTSLPSTPHSPIGVLDAACLSYKTDDSGASSSNNSPVVKRRKLSHPYLMDH >itb13g24840.t1 pep chromosome:ASM357664v1:13:30419237:30420052:1 gene:itb13g24840 transcript:itb13g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPMDTIAGPFGFSGGNFWSFRPVNKINQIVIHYTTGNNPIALTFSSTRDDGSKDTITVGGGGPEVVVNTDTVNIDNPDEYLVHFSGTLGTFLSNNVVRSIKFTTNLKDYGPFGPNVGEPFHPVNVIPNKIVGFVGRAGYFIDAIGTYNSDK >itb07g04300.t1 pep chromosome:ASM357664v1:7:2899727:2901028:1 gene:itb07g04300 transcript:itb07g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSWLSSTCSSSAFATASSAANRPNPPPETNAPSPGLDTSSYSDSSPSLSQETSSSISSLQSNASLLTLPSVPSLQNLSPETLSLAVTVHCVSSLNPSPAAQVSSLAVHNRLLYAASGNEINVFETENYTLIDTFNNTKGASSGSVKSVCFSNGKILTAHQDRKIRVWRFASDNRHKLIATLPTVEDRLRNVIFPSSYVNVRRHKKKLWIEHHDAVSGLATSENFVCSVSWDRSLKIWTGPGFRCAESVRAHDDAINAVVLSKDGTIFTGSADRRINVWGKPFGGKKHGLVATLEKHKSAVNALALNSDGSVLFSGACDRSILVWEREDSANYMVVTGALRGHSKAILCLINVSDLLFSGSADRTVRIWQRGFGGQYCCLTVLDGHEKPVRSLTALTDGGDSGGNIKVFSGSFDGEIKVWQVLISSNN >itb06g05800.t3 pep chromosome:ASM357664v1:6:8502922:8505878:-1 gene:itb06g05800 transcript:itb06g05800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAGASQQACEEALLEASCYGHARIAELLMGSDLIRPRIAVHALFTACCRGFANVVDALLKCGVDVNAISRVLLQSCKPSLHTNVDCTALVAAIVSRQVSVVRLLLEAGAKTDVKVRLGAWSWDAASGEEFRVGAGLADPYDITWCAVEYFEESGVILRSLLEHMPPNVSHCGRTLLHHAILCGNAGAVKVLLECGAHVESPVKTTRKTEFCPLHMAVRLGYSTVLKCLIDFGCDIDSKTESSETGLMICARYKQEECLKILLRHGADLGLVNTAGQSASSIAGSNRWQLGFQEAVLSIIRDGKVPKSSNMSIFSPLLFVAQSGDVLALKALIEQGINLDNQDESGFSAVMVAAREGHVDTFRLLVFSGANVRLCNKSGDTALTLCALNYKRDLFEKVLLEFAIEQGNRYAGGFYALHCAARRGDLDAVKVLLSRGYDVNVSDVDGNTPLILAAREGHSQACEVLISHGACCDAKNAKGETALSLARTKHKNEAEYVILDELARKLVLTGSHLQKHTKGGKGAVHIKEVRMVEGGILCWGKSSRRNVICQAAEVGPSISFRKTRQRKGDTEEAGLFRVITTKNKEVHFVCEGGFEMAELWVRGLKLVTREAVSGRGNIM >itb06g05800.t2 pep chromosome:ASM357664v1:6:8502922:8505878:-1 gene:itb06g05800 transcript:itb06g05800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAGASQQACEEALLEASCYGHARIAELLMGSDLIRPRIAVHALFTACCRGFANVVDALLKCGVDVNAISRVLLQSCKPSLHTNVDCTALVAAIVSRQVSVVRLLLEAGAKTDVKVRLGAWSWDAASGEEFRVGAGLADPYDITWCAVEYFEESGVILRSLLEHMPPNVSHCGRTLLHHAILCGNAGAVKVLLECGAHVESPVKTTRKTEFCPLHMAVRLGYSTVLKCLIDFGCDIDSKTESSETGLMICARYKQEECLKILLRHGADLGLVNTAGQSASSIAGSNRWQLGFQEAVLSIIRDGKVPKSSNMSIFSPLLFVAQSGDVLALKALIEQGINLDNQDESGFSAVMVAAREGHVDTFRLLVFSGANVRLCNKSGDTALTLCALNYKRDLFEKVLLEFAIEQGNRYAGGFYALHCAARRGDLDAVKVLLSRGYDVNVSDVDGNTPLILAAREGHSQACEVLISHGACCDAKNAKGETALSLARTKHKNEAEYVILDELARKLVLTGSHLQKHTKGGKGAVHIKEVRMVEGGILCWGKSSRRNVICQAAEVGPSISFRKTRQRKGDTEEAGLFRVITTKNKEVHFVCEGGFEMAELWVRGLKLVTREAVSGRGNIM >itb06g05800.t4 pep chromosome:ASM357664v1:6:8502905:8505878:-1 gene:itb06g05800 transcript:itb06g05800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCFSIWQSIGADVNQKLFRGYATTVAVRENHLEILEMLLKAGASQQACEEALLEASCYGHARIAELLMGSDLIRPRIAVHALFTACCRGFANVVDALLKCGVDVNAISRVLLQSCKPSLHTNVDCTALVAAIVSRQVSVVRLLLEAGAKTDVKVRLGAWSWDAASGEEFRVGAGLADPYDITWCAVEYFEESGVILRSLLEHMPPNVSHCGRTLLHHAILCGNAGAVKVLLECGAHVESPVKTTRKTEFCPLHMAVRLGYSTVLKCLIDFGCDIDSKTESSETGLMICARYKQEECLKILLRHGADLGLVNTAGQSASSIAGSNRWQLGFQEAVLSIIRDGKVPKSSNMSIFSPLLFVAQSGDVLALKALIEQGINLDNQDESGFSAVMVAAREGHVDTFRLLVFSGANVRLCNKSGDTALTLCALNYKRDLFEKVLLEFAIEQGNRYAGGFYALHCAARRGDLDAVKVLLSRGYDVNVSDVDGNTPLILAAREGHSQACEVLISHGACCDAKNAKGETALSLARTKHKNEAEYVILDELARKLVLTGSHLQKHTKGGKGAVHIKEVRMVEGGILCWGKSSRRNVICQAAEVGPSISFRKTRQRKGDTEEAGLFRVITTKNKEVHFVCEGGFEMAELWVRGLKLVTREAVSGRGNIM >itb06g05800.t1 pep chromosome:ASM357664v1:6:8502905:8507539:-1 gene:itb06g05800 transcript:itb06g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFGHSGGGGGFLAGKQVFPVNYEAEVSRRLLEASHSNDLATALECLQDSFVDVNFVGDVCLKVRKAEVVLREESPNEVRVGYEEFKTDVTSLFLAVHNGNVALVRKLLSIGADVNQKLFRGYATTVAVRENHLEILEMLLKAGASQQACEEALLEASCYGHARIAELLMGSDLIRPRIAVHALFTACCRGFANVVDALLKCGVDVNAISRVLLQSCKPSLHTNVDCTALVAAIVSRQVSVVRLLLEAGAKTDVKVRLGAWSWDAASGEEFRVGAGLADPYDITWCAVEYFEESGVILRSLLEHMPPNVSHCGRTLLHHAILCGNAGAVKVLLECGAHVESPVKTTRKTEFCPLHMAVRLGYSTVLKCLIDFGCDIDSKTESSETGLMICARYKQEECLKILLRHGADLGLVNTAGQSASSIAGSNRWQLGFQEAVLSIIRDGKVPKSSNMSIFSPLLFVAQSGDVLALKALIEQGINLDNQDESGFSAVMVAAREGHVDTFRLLVFSGANVRLCNKSGDTALTLCALNYKRDLFEKVLLEFAIEQGNRYAGGFYALHCAARRGDLDAVKVLLSRGYDVNVSDVDGNTPLILAAREGHSQACEVLISHGACCDAKNAKGETALSLARTKHKNEAEYVILDELARKLVLTGSHLQKHTKGGKGAVHIKEVRMVEGGILCWGKSSRRNVICQAAEVGPSISFRKTRQRKGDTEEAGLFRVITTKNKEVHFVCEGGFEMAELWVRGLKLVTREAVSGRGNIM >itb02g16570.t1 pep chromosome:ASM357664v1:2:12502549:12506518:-1 gene:itb02g16570 transcript:itb02g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MAEAVMSFTIIKPKIHSPSLPCPSKLPKPLGQCLNSAFSPCSSFQIEGGGKSRRGCRTRVNAFPGLQLMAVMVEHLDGQRDLITHKSVWHLSDAAIKNVYSFYIMFTIWGCCFFGSTKDPYYDSENYRGDGGDGTGHWIYDKQEDIEERSRAELWREELIEEIEQKVEGLRELEEAKEEELVK >itb01g28770.t2 pep chromosome:ASM357664v1:1:33130879:33134099:-1 gene:itb01g28770 transcript:itb01g28770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNPEIVERSVKDKEDKEEEQGGFLDKVKDFIHDVGEKIEETIGFGKPTADVSEIHIPHINLERAEIVVDVLVKNPNPVPIPLIDINYLIESDGRKLISGLIPDAGTIHAHGSETVKIPVNLIYDDIKSTYKDIEPGSIIPYRIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSFEETVAILHLKLENMNDFDLGLNSLDYELWLSDVSIGSAELEKAAKIEKKGTSYIDLPVTFRPKDFGSALWDMIRGKGTGYTMKGHINVDTPFGAMKLPISKEGGTTRLKKNKEDGGDDDEED >itb01g28770.t1 pep chromosome:ASM357664v1:1:33130879:33134099:-1 gene:itb01g28770 transcript:itb01g28770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNPEIVERSVKDKEDKEEEQGGFLDKVKDFIHDVGEKIEETIGFGKPTADVSEIHIPHINLERAEIVVDVLVKNPNPVPIPLIDINYLIESDGRKLISGLIPDAGTIHAHGSETVKIPVNLIYDDIKSTYKDIEPGSIIPYRIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSFEETVAILHLKLENMNDFDLGLNSLDYELWLSDVSIGSAELEKAAKIEKKGTSYIDLPVTFRPKDFGSALWDMIRGKGTGYTMKGHINVDTPFGAMKLPISKEGGTTRLKKNKEDGGDDDEED >itb04g27350.t1 pep chromosome:ASM357664v1:4:31383633:31389246:1 gene:itb04g27350 transcript:itb04g27350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFQWQATIMGPSDSPYTGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQNHSHVGSHRRFSPSLVTAVSRHRRFSPSLVTAVSPSRRFSPSLVTAVSPSRRYVATITSISISSRRYVATITSISISMSRRHRLLTS >itb04g24090.t1 pep chromosome:ASM357664v1:4:28886906:28887433:-1 gene:itb04g24090 transcript:itb04g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNREGQKYSFSPGDDDGVVLREQDRLLPIANVGRIMKQILPANAKISKEAKETMQECVSEFIGFVTGEASEKCRREKRKTLNGDDVCWALGSLGFDDYAPPLRTYLHRYRRLQVERERADDEHKAAAGNNGEMKALAEEPAADDDDNYLKGEPAIIRYSSSATNNSFQLHQFH >itb05g25600.t1 pep chromosome:ASM357664v1:5:29923409:29927885:-1 gene:itb05g25600 transcript:itb05g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLKPLYAIDPRKHKSYISKSLTLLNEFSNGKLAIHGALLHGHLIKTGFSSQRYVAVKLLVMYSSLRKSAEANEMLKEFDGFNLVVSNCLISANINWGKPDEARQLFDKMPERNEVSWTALVSGLLRYGRVEEALWYFERNPFQNVICWTATISGLVQNGLSFDAIKLLPKMLQSGVMPNDVTFTSVLRACGELGDFELGMCLLGLAVKVGFEDHLAVSNALITFSVRLGEGDLAKRTFDRMEIKDVVSWTAILDMYFGEGDLKEARRIFDQMPERNEVSWSAMISRYNHSGYLEEAVNLFHQMVKDGFKPNISCFSTALSSLASLKALRAGRTIHAFVLKLGSETNPFIGSSLVDLYCKCGHIEDGCLAFDSILEKNVVCWNSMVAGYSLNGYLEEARELFDQIPKKNNVSWNSLMTGYLGGEEFDKLFGVFNEMILSGEQPNKSTFSVVLCACANLASLEKGENLHGKVIKLGFQYDIFVDTALLDMYAKSGSIESSRKIFERMPQKNVITWTAMIQGLAENGFAEESLALFEQMEQTTSFSPNEHILLAVLFACSHCGLVDKGLYHFNSMQKVYGVKPNERHYTCVVDMLSRSGRLLEAEKFISSIPYEPEANTWAALLSGCRTYGNEIMAERVSRKLSESAEKKSGGYVLLSNVYASAGRWMDVLNTRKSMQDKGLKKSGGFSWIEVRNQRHLFYSLDGSHTASAEIYGVLELLNSEMQILTTVHV >itb08g15250.t1 pep chromosome:ASM357664v1:8:17321585:17321890:1 gene:itb08g15250 transcript:itb08g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYKQLLHIQFKPNSSTENHLISLAMALRFSALVFIACFAVFLGAVAAQYGGGDNNMPSNMPGMPMGPAPPPSWASVNASPAAAIGFLSLVVSFFVVKRA >itb05g23920.t2 pep chromosome:ASM357664v1:5:28926114:28930545:1 gene:itb05g23920 transcript:itb05g23920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHASTAAAAAAGGGSGPGPGQFGDTSFTKVFVGGLAWETQSESLRRYFEQFGDILEGVVITDKHTGRSKGYGFVTFRDPEAASRACANPNPVIDGRRANCNLASLGRPQPFLHGSFRSTLPHLGPQAFRGVYMGNPVYQLPVPYGYQPGVPYPAYRYPAYAPEYAYPQIYGLSGTNPLPVGHMSPQPGSPGYTMMRGYLMPGPHMVQYHRPNVSGAITDGTTTAMQIPYHSGIVMPSPGQPQIAVRSHLPPFPQGSGSNQMSG >itb05g23920.t3 pep chromosome:ASM357664v1:5:28926114:28930545:1 gene:itb05g23920 transcript:itb05g23920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHASTAAAAAAGGGSGPGPGQFGDTSFTKVFVGGLAWETQSESLRRYFEQFGDILEGVVITDKHTGRSKGYGFVTFRDPEAASRACANPNPVIDGRRANCNLASLGRPQPFLHGSFRSTLPHLGPQAFRGVYMGNPVYQLPVPYGYQPGVPYPAYRYPAYAPEYAYPQIYGLSGTNPLPVGHMSPQPGSPGYTMMRGYLMPGPHMVQYHRPNVSGAITDGTTTAMQIPYHSGIVMPSPGQPQIAVRSHLPPFPQGSGSNQMSG >itb05g23920.t1 pep chromosome:ASM357664v1:5:28926114:28930545:1 gene:itb05g23920 transcript:itb05g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHASTAAAAAAGGGSGPGPGQFGDTSFTKVFVGGLAWETQSESLRRYFEQFGDILEGVVITDKHTGRSKGYGFVTFRDPEAASRACANPNPVIDGRRANCNLASLGRPQPFLHGSFRSTLPHLGPQAFRGVYMGNPVYQLPVPYGYQPGVPYPAYRYPAYAPEYAYPQIYGLSGTNPLPVGHMSPQPGSPGYTMMRGYLMPGPHMVQYHRPNVSGAITDGTTTAMQIPYHSGIVMPSPGQPQIAVRSHLPPFPQGSGSNQMSG >itb03g27140.t1 pep chromosome:ASM357664v1:3:26787694:26788011:1 gene:itb03g27140 transcript:itb03g27140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGDLQKKSLWPILILGNKLCVEIERTVGLQQYKKNAIIEERSSINSGSTPETTADELGRINLATGIFSVRGQQSFSAASKFSTTLANSVVQRQSWFNLLSFS >itb05g21090.t1 pep chromosome:ASM357664v1:5:26995127:27006335:1 gene:itb05g21090 transcript:itb05g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGSLESKLAGLHINDSGHISGNNSDGLFQVMKAVEAAEATIKQQVEENYRLRSELQKKIQELEKYKSDELKSENSHSVEPWDVHHHLPDRAFMSNSHFRNQNDGPRNIDNTLRHTLSNNVVQNDIDSTIQTHRESRRESDNVNGTLRVLGSGQASSDPSGFSQFSLPSASSFSPSRYQTEGERDRPLNVSGQGLMPIAEQSNANSMKQIRDQEQEISQLRKLLGEYAVKEAQISNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLAYALQAAQQERTTFVSSLLPLLAEYSLKPLPDAQSIVGNIKILFRHLQEKLFITEAKLKESQFQMTPWSSDVNSNFALSPSDSAVIKDGLELVPQRRHSNETAPSSSDPQTGRAWDPSGNPPYDIISGATNGMEGNNLGSFSPFVSRNTVPPDASTQLDVSQDQSVSKSKSEEIPNKQVTFSELSNTNGIDDPDMEINQNDREPSVSWASKSSPYATALEDPISTYSPYLPPVLEEPTSSFSEDDDPLPAIEGLQIAGEAYPGRELQASGYSINGTTSCIFEWVRHKEDGSFSYIEEAKQPVYLVTADDVDTYLAIEVQPLDDRKRKGEAVKVFANEHRKITCDPDMQNCIERTLQSGHASFEVYLWTGYLDIWEPATLAIKRDGFSIKSSGTIGVMVTEKFSPSIRVSIPYGCPGEFSITDSREEERLLKANDATSYSSRDAIVLIMKYFILKAGEKRSKTRKKRLFFN >itb05g21090.t3 pep chromosome:ASM357664v1:5:26995111:27006295:1 gene:itb05g21090 transcript:itb05g21090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGSLESKLAGLHINDSGHISGNNSDGLFQVMKAVEAAEATIKQQVEENYRLRSELQKKIQELEKYKSDELKSENSHSVEPWDVHHHLPDRAFMSNSHFRNQNDGPRNIDNTLRHTLSNNVVQNDIDSTIQTHRESRRESDNVNGTLRVLGSGQASSDPSGFSQFSLPSASSFSPSRYQTEGERDRPLNVSGQGLMPIAEQSNANSMKQDIVLQIRDQEQEISQLRKLLGEYAVKEAQISNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLAYALQAAQQERTTFVSSLLPLLAEYSLKPLPDAQSIVGNIKILFRHLQEKLFITEAKLKESQFQMTPWSSDVNSNFALSPSDSAVIKDGLELVPQRRHSNETAPSSSDPQTGRAWDPSGNPPYDIISGATNGMEGNNLGSFSPFVSRNTVPPDASTQLDVSQDQSVSKSKSEEIPNKQVTFSELSNTNGIDDPDMEINQNDREPSVSWASKSSPYATALEDPISTYSPYLPPVLEEPTSSFSEAADDDPLPAIEGLQIAGEAYPGRELQASGYSINGTTSCIFEWVRHKEDGSFSYIEEAKQPVYLVTADDVDTYLAIEVQPLDDRKRKGEAVKVFANEHRKITCDPDMQNCIERTLQSGHASFEVYLWTGYLDIWEPATLAIKRDGFSIKSSGTIGVMVTEKFSPSIRVSIPYGCPGEFSITDSREEERLLKANDATSYSSRDAIVLIMKYFILKAGEKRSKTRKKRLFFN >itb05g21090.t2 pep chromosome:ASM357664v1:5:26995111:27006295:1 gene:itb05g21090 transcript:itb05g21090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGSLESKLAGLHINDSGHISGNNSDGLFQVMKAVEAAEATIKQQVEENYRLRSELQKKIQELEKYKSDELKSENSHSVEPWDVHHHLPDRAFMSNSHFRNQNDGPRNIDNTLRHTLSNNVVQNDIDSTIQTHRESRRESDNVNGTLRVLGSGQASSDPSGFSQFSLPSASSFSPSRYQTEGERDRPLNVSGQGLMPIAEQSNANSMKQIRDQEQEISQLRKLLGEYAVKEAQISNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLAYALQAAQQERTTFVSSLLPLLAEYSLKPLPDAQSIVGNIKILFRHLQEKLFITEAKLKESQFQMTPWSSDVNSNFALSPSDSAVIKDGLELVPQRRHSNETAPSSSDPQTGRAWDPSGNPPYDIISGATNGMEGNNLGSFSPFVSRNTVPPDASTQLDVSQDQSVSKSKSEEIPNKQVTFSELSNTNGIDDPDMEINQNDREPSVSWASKSSPYATALEDPISTYSPYLPPVLEEPTSSFSEAADDDPLPAIEGLQIAGEAYPGRELQASGYSINGTTSCIFEWVRHKEDGSFSYIEEAKQPVYLVTADDVDTYLAIEVQPLDDRKRKGEAVKVFANEHRKITCDPDMQNCIERTLQSGHASFEVYLWTGYLDIWEPATLAIKRDGFSIKSSGTIGVMVTEKFSPSIRVSIPYGCPGEFSITDSREEERLLKANDATSYSSRDAIVLIMKYFILKAGEKRSKTRKKRLFFN >itb15g12810.t1 pep chromosome:ASM357664v1:15:10744964:10747494:-1 gene:itb15g12810 transcript:itb15g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANEPNGRCPRHHPILHGWDSHYPCSDRQAIVMLREAAFQTMRRRKQDKRRGRGGSRWQVRDLRWRWREKMSSCDEEPMVEIGIVVAAVAGGERQRAADDCGGTVMVEGRVEGRR >itb03g24810.t1 pep chromosome:ASM357664v1:3:23621310:23625256:1 gene:itb03g24810 transcript:itb03g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASYFFTLLLLYSTSRVGGSHHANQILSSAWDYKDWLVSIRRKIHENPELKFEEHNTSALIRSELDKLRISYEYPFAKTGLVAQIGSGSPPVVALRADMDALPLQELVEWEHKSKVDGKMHGCGHDAHTTMLLGAAKLLNERKHELKGTVRLIFQPAEEGGAGASHMIKDGALGNAEAIFGMHVDYTKPTGTISSIPGPFLAAVSVFEAKIEGKGGHAAAPHSSVDPVLATAFAILALQQLISREADPLYSQVLSVTYVRGCTASNVIPPFIEFGGSLRSITTDGLLQLQKRVKEVIEGQAAVHRCKAHIKMKDDEFPFYPGCTNDERLHRHVQKVGKLLLGPENINEAEQVMASEDFAFYQQVIPGVIFNIGIRNERLGSIHSPHSPHFFLDEDVLPIGAALHTALAQNYLNHHQL >itb07g24220.t1 pep chromosome:ASM357664v1:7:28478150:28488640:-1 gene:itb07g24220 transcript:itb07g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSVALATLGLTKPNQIQRPKISIAAREVDLLEWKGDILAVGVTEKDMVRDKNSMFQNFILQKLDSKLGGLLSEASSEEDFSGKSGQSVVLRLPGLGFKRIGLVGLGSAGSSTVAYRTLGETIAASVKSAQASSVAVALASAEGLSVESKLSAASAMATGIVLGTFEDNRFKLEPKTPTLKSVEILGLGTGPEIEKKLKYAEVVSSGAIFGKELVNAPANVLTPGVLAEEVKKIASLYSDVLTATILDVEQCKELKMGCFLGVAAASENPSYFIHLCYKPTSGSVKTKLALLGKGITFDSGGYNLKVGANSSIELMKKDMAGAAVVFGAAKALGQIKPAGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACKQGVEKVVDLATLTGACIVALGPSVAGVFTPSDDLAKEVLEAADVSGEKLWRMPIEESYWETMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDMAGPVWSDKKKSATGFGITTLVEWVQKNSS >itb07g24220.t2 pep chromosome:ASM357664v1:7:28478150:28482499:-1 gene:itb07g24220 transcript:itb07g24220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLRSSVLATNIAVTAASTSTSTSTSSIFLKFRSTSAWAISFSVSPLCCRRPRRMAHSIARATLGLTHPNQIERPKISFAAKEVDLVEWKGDILAVGVTEKDMVRDESSKFQNLILQKLDSKLGGLLSEASSEEDFSGKSGQSTVLRLPGLGSKRIGLVGLGSAVSSTTAYRTLGETIAAAAKSAQASSIAVTLASAEGLSLESKLSTASAIATGTVLGIFEDNRFKSESKTPTLKSVEILGLGTGPEIEKKLKYAEDVCSGVIFGKELVNAPANVLTPGVLAEEAKNIASLYSDVLTATILDVEQCKELKMGSYLGVAAASANPPHFIHLCYKPTSGSVKTKLALVGKGLTFDSGGYNIKTGPGCSIEIMKIDMGGAAAVLGAAKAIAQIKPAGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACKQGVEKVVDLATLTGACIVALGPSVAGVFTPSDDLAKEVLEAADVSGEKLWRMPIEESYWETMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDMAGPVWSDKKKSATGFGITTLVEWVQKNSS >itb12g06320.t1 pep chromosome:ASM357664v1:12:4690980:4693275:-1 gene:itb12g06320 transcript:itb12g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTEVDFSATTKPEGTNTTTSDHRNVNLKVVPAATPTPTSSWTRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKNEIDELPPLQLPPTGIFNPNLQQWLCSAKEGLPLEPRDHKSSKEIAEGGDDESHHEIDHNNLLPRSHNSSSSSSSQPNLMMGNAAQFNSFLRWDPASSLSLSHHQGGVSEDFHNFNNNVLPSGLGHSFFHPQSIGGGSGDRQMNFQMLRPPPPIHDFTTHSLRPLRFLAPHNHTGTEPASKENNNEFHSTS >itb15g13690.t1 pep chromosome:ASM357664v1:15:11756722:11762387:1 gene:itb15g13690 transcript:itb15g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRSLKGGFLQLFDWNIKSRKKLFSNKSEAPESSKQGKENINGSALSQFQKAHDYGFGLDAKQNYDYHSALSVSGDENYGQRPPGVVARLMGLDSLPTSQVSELYQAPPPYSHSYRDSHYPRATSDFGNEHHTVVYENVRNKLDGFSMNPVEMRLQRVQNLPIERFQTEVLPPKSAKPISVTQHRMLSPIKSPGFIPPKNAEYIMEAAARIIQQSPKSTIKNKMQYCSSSSIPLRIRDLREKMDAAQKSSKVFEVSQRIRDHNSVKHLRRQPTEKVQGQPEETHPHWAAEVSKRDVSHSKGKEKSVSLAVQAKTNIQKREGSAPTGNKTSVTSKEYTEGKSGRNRSNIQRSVEKRASSKTSNVLRQNNQKQNSSSNKDGESTKSSVSYPKERKPSSTNDISRPSKTVKNTVVNRNSSGAARSMATDIGKEPSTSRSKTLSRKEQLVSGDIHSDGIVPSSILKSKDDKSVKCNVTIEGCTNWDGVERKNGMDVISFTFTSPIKKTVPGSTSSSQIMEKKNILSLISDSCDNQSFPKSSITTPLGLNVIGGDALGVLLDEKLKELTSMVESSGQYLSENGSISSSANTLQDSAHSLDSQRIISTEHNRSQSNLIREKTDIQCDSVSSPLGVLQPKTTKKWQQSEDIEEDSNGIYTGNEREFSFQYPSPASSLEPSFSAGSCTLSDSNESFFGDGTKNHVAGSHEVRSWSSSIALTVEDDMELLDSASSVSIGSSRNRKESAVAFTCADAKGSTYWELEYIKDILRNADLMMKESVPSEAHGIISADLFDRLEMQKVGSNKYVQDLKGRRRLVFDCVVEQLEFRHEQSILGSFHTWARWTTLVQKEEWLAKEMYREISGWTSMEDLMVDELVDKDMSTQHGKWTDFGTEELEGGMEIEKEILTSLVEELVYDIVLS >itb02g17100.t2 pep chromosome:ASM357664v1:2:13097582:13105676:1 gene:itb02g17100 transcript:itb02g17100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTAVRDYVNRMLQDISGMKVLILDSDTVSIVSVVYSQSDLLKKEVFLVELLDSTSMSKEPMSHLKAVYFLRPTSENIQQMRRQLAKPRFGEYHLFFSNILRDTQIHILADSDEHEVVQQLQEFYADFVAVDPYHFTLNMASNHMYMLPAVVDPSGLQRFCDRAVDGLAALFLSLKQRPVIRYSRTSDIAKRVAQEAAKLMYQQESGLFDFRRSEVSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRRIAKLPKDQQEVVLSSEQDSFFKANMHENFGDIGMNIKKMVDVFQQVSKSNQNIQTIEDMVKFVDNYPEYRKMHGNVSKHVTLVTEMSKLVEERKLMLVSQTEQELACNGGQGAAFEAVTNLLNDESISDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPFVGNHYQQGRPHDVVIFIVGGTTYEESRSVALQNSTISGIRFILGGSAILNSRRFLKDLEESQRIARTSTSVV >itb02g17100.t1 pep chromosome:ASM357664v1:2:13097578:13105620:1 gene:itb02g17100 transcript:itb02g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTAVRDYVNRMLQDISGMKVLILDSDTVSIVSVVYSQSDLLKKEVFLVELLDSTSMSKEPMSHLKAVYFLRPTSENIQQMRRQLAKPRFGEYHLFFSNILRDTQIHILADSDEHEVVQQLQEFYADFVAVDPYHFTLNMASNHMYMLPAVVDPSGLQRFCDRAVDGLAALFLSLKQRPVIRYSRTSDIAKRVAQEAAKLMYQQESGLFDFRRSEVSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRRIAKLPKDQQEVVLSSEQDSFFKANMHENFGDIGMNIKKMVDVFQQVSKSNQNIQTIEDMVKFVDNYPEYRKMHGNVSKHVTLVTEMSKLVEERKLMLVSQTEQELACNGGQGAAFEAVTNLLNDESISDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPFVGNHYQQGRPHDVVIFIVGGTTYEESRSVALQNSTISGIRFILGGSAILNSRRFLKDLEESQRIARTSTSVV >itb09g28500.t1 pep chromosome:ASM357664v1:9:29095810:29098282:1 gene:itb09g28500 transcript:itb09g28500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MSSSNNSLTSSPARSSISTTAIVGANATAAASLAVDDYHFPADLISIQDRKDEALQVLKSDVMAALNKVAKSLDEDSWMFDGPRSRIHLISRPGSFLQKRIDIAKEQTLAPPK >itb02g13910.t1 pep chromosome:ASM357664v1:2:10147006:10150366:1 gene:itb02g13910 transcript:itb02g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPNNYPILSFVMSKLPSIGPRRAAGEDEFDVEQPKPRSPQAQEPHFELTERMPHLTDPKVAAAMRLAVADVSQARSMLKSLGEQPDHEMVDTAKVKLTEVDALLAKKLEEIVLAPRPPEVDPQEWRSKLAEKEAECRKEAEKEKELHKAVIALEEAHKSYASLLKDAENKLEKIYAVAVAGGDVSAIALEESGDKELAVNEEVNEEVVAILQEASGKAIEKVDLSGRQLTLLPEAFGRIRSLVVLKLSNNQLEAIPDSFSSLENLQELYLSNNLLESLPDSIGFLVNLMILDISSNKLTALPDSICQCRSLVELDASFNQLAYLPTNIGFELVNLKRLSIFLNKLRSLPTSVGEMRSLRVLDVHFNELRGLPHSIGKLSHLEILNLSSNFSDLTELPDSLGDLSNLKEIDVSNNQIRELPHSVSRLDNLTKLNVDQNPLVIPPKEVVNEGIQAVKAYLVKRRLDILMEEERQYTKEDVDQAPTNILTRSATWLTRVVSNVSESFTGYLGVTGNSDADHYLNQQL >itb09g06860.t2 pep chromosome:ASM357664v1:9:3950899:3952535:-1 gene:itb09g06860 transcript:itb09g06860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCVVFLYLSTLNLLSTAQPALQYRKCGASEKNYTQNSTYHTNLNTALSSLSSNLNQYGFSNVSLGDSPDRVSAVALCRGDVEPDICRSCVEDARRRTVHSCPNQKEAFGGYDKCMIHYSNVSTLGSWSRFPEIYLGTAYNSSSPEQFNEDLQKLLGSLLGRAANGFPLHKFAAGSTYGPEFETIYAAVQCSPDLSAQACSDCLVSAFADLPRCGTCIGKKGAGVIRPSCNFLFNTERFFNYTLIGPPPPPGNDAPPPKSGDFPCFV >itb09g06860.t1 pep chromosome:ASM357664v1:9:3948014:3952535:-1 gene:itb09g06860 transcript:itb09g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKCVVFLYLSTLNLLSTAQPALQYRKCGASEKNYTQNSTYHTNLNTALSSLSSNLNQYGFSNVSLGDSPDRVSAVALCRGDVEPDICRSCVEDARRRTVHSCPNQKEAFGGYDKCMIHYSNVSTLGSWSRFPEIYLGTAYNSSSPEQFNEDLQKLLGSLLGRAANGFPLHKFAAGSTYGPEFETIYAAVQCSPDLSAQACSDCLVSAFADLPRCGTCIGKKGAGVIRPSCNFLFNTERFFNYTLIGPPPPPVKSDVFSFGVLVLEIISGQKNGYFKNRESAEYLLSYAWTQWREGTAMNLVDPILKGKSGAGSSVGEMMRCIHLALLCVQENVGDRPTMSTVVLMLSGFSMSLPVPSAPAFFIHSTLSPEAMLERNEAAAAYSSQNEASITELYPR >itb10g16980.t1 pep chromosome:ASM357664v1:10:23251096:23254720:1 gene:itb10g16980 transcript:itb10g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTLHLLFFFFLVFLTSAHDSLSVSVRRQAAILVSVKQSFQAPDPSLSTWNISNYMELCNWTGVTCDSYNKSVVSLDVSNLNLSGPLSPAISELQSLVNLSVAGNSLFGDLHNISGLRFLNISNNLFSGCLDWDFSGLVELEVLDVYDNNFSCPLPVGVTKLTKLRHLDFGGNYFSGRIPPGYGGLNQLRFLSLAGNDLRGLIPRELGNLSSLKWLQLGYYNRFDGGIPGELGRLTNLVHLDLSSCGLEGPIPGTLGNLKNLDTLFLQTNQLNGSIPPQLGNLGSLKSLDISNNALTGEIPVEFSALKQLTILNLFINKLHGQIPESIAELPNLQALQLWRNNFTGFIPQKLGQNGELILLDLSTNKLTGQIPKSLCYGNKLKILILLDNFLFGPLPDDLGQCQTLSRVRIGQNYLSGPIPDGFLYLPKLTLVELQDNHFTGRIAFEKTSASSKLEGLNLSNNHLSGYLPTSIGNFSGLKNLMLSGNRFSGQIPSEIGWLKGILKLDMSRNNFSGNIPSEISNCPSLTYLDLSQNQLSGPIPIQLARIRILNYLNVSWNHLNQSLPEEIGSMKSLTSADFSHNNFTGSVPETGQYLFFNSTSFTGNPYLCASYLIPCNSSSDLQSQFKNQSNKSNVPARYKLIFAMGLLLCSLVFVVFAVIKTREVRKNSRSWKLTAFQKLDFGSEDVLGCLKDSNIIGRGGAGVVYKGTMPNGHNVAVKNLGICKGSHDNGLSAEVQTLGKIRHRYIVRLLAFCSNKETNLLVYEYMPNGSLGEVLHGKSGGYLNWETRLKIAIEAAKGLSYLHHDCSPLIIHRDVKSNNILLDSDYEAHVADFGLAKFLQNNGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGNFGEEGMDIVQWVKVQTRRSKEGVVRIIDERLKNVPIEEAMQVLFVAMLCVEEQSVERPTMREVVQMLAQSKQPNTFHIQ >itb13g07760.t1 pep chromosome:ASM357664v1:13:9769050:9770430:-1 gene:itb13g07760 transcript:itb13g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendoproteinase 1-MMP [Source:Projected from Arabidopsis thaliana (AT4G16640) UniProtKB/Swiss-Prot;Acc:O23507] MLRFVRYIDTFLAIFFLLLLLQLPCLFPARKIPDPLTELTVVDVHDDNNWRGFINFLDLGKGSQVAGMSELKKYFNRFGYLSERKELNFTDLFDEELESAVLRFQKNLGLTATGKLDSDTVKEIMRPRCGNSDDHAAQRTLHARKNYAYFYGKPRWRGSPSPTRLLTYAFSPENMIDYIPMPEIKSVFRKAFSRWSAAIPLNFTEADDYPSADIKIGFYYGSHGDGEPFDGVLGILAHAFSPENGRFHLDAAETWAVDFDHQKAKAAVDLESVATHEIGHILGLAHSSVKEAIMYPSLSPRTKKVGLRIDDVEGIQALYGSNPNFKYSSLLSSDISSAWRNAFPQTSLKWTTFFVVLLFIISR >itb14g15260.t1 pep chromosome:ASM357664v1:14:18566589:18570866:-1 gene:itb14g15260 transcript:itb14g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSLIPSSSSSSSFLPLLLLFCLAIFSSAEKDGFFASSNPQFPIGQAEKLIRQLNLIPGHHHLAAKHPPVAADEPRLAERRFKFDYLGNPGASVEDLGHHAGYYRLPHTKDARMFYFFFESRTNKKDPVVIWLTGGPGCSSELALFYENGPFHIANNMSLIWNDYGWDRISNLIYVDQPTGTGFSYSSDEDDLRHDENGVSNDLYDFLQAFFKEHPEYVQNDFFITGESYAGHYIPAFASRVNQGNKNKEGIHINLKGFAIGNGLTNPEIQYEAYTDYALDNNLISKSDHSTINKSVPKCKQAIKLCGTEGGNTCLAAYYTCTNIFNKILEIAGNINYYDIRKSCEGDLCYDFSNMETLLNKDTVRSALGVGDIDFVSCSSAVYQAMILDWMRNLEVGIPPLLEDGINLLIYAGEYDLICNWLGNSKWVHAMEWSGQKDFLAAPNVPFTVDGEEKGIQKNHGPLTFLKVHDAGHMVPMDQPKASLEMLQRWMQGKLASAVKGHLAPM >itb08g07850.t2 pep chromosome:ASM357664v1:8:6781028:6787444:1 gene:itb08g07850 transcript:itb08g07850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEHGEVYVLDDGGEVDLDLGNYERFEDIKLTRDNNITTGKIYQYVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGKDGPADVCIIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLIHVSLVPVLSVVGEQKTKPTQHSVKGLRSLGLTPNILACRSTTPLDENVKEKLSRFCHVLVENIVSLYDVSNIWHVPLLLRDQKAHEAILRALNLKGVAKEPELREWTSRAELCDLLHEPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCIDWVPAGDLEDITAKENPDNYKSAWNLLKGADAVLVPGGFGDRGVEGKILAAKYARENRIPYLGICLGMQIAVIEFARSILGLKDANSTEFDPNTQNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCISAKLYGNKDFVDERHRHRYEVNPEMVEQLEAAGLCFTGKDESGRRMEIFELSNHSYFVGVQFHPEFKSRPGKPSALFVGLIAAASGQLNGLLKKSASGLSNGHSPIKPQQHECGTKLANGIHCNGNGVHI >itb08g07850.t1 pep chromosome:ASM357664v1:8:6781028:6787444:1 gene:itb08g07850 transcript:itb08g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLILQACGLRVTSIKIDPYLNIDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFEDIKLTRDNNITTGKIYQYVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGKDGPADVCIIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLIHVSLVPVLSVVGEQKTKPTQHSVKGLRSLGLTPNILACRSTTPLDENVKEKLSRFCHVLVENIVSLYDVSNIWHVPLLLRDQKAHEAILRALNLKGVAKEPELREWTSRAELCDLLHEPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCIDWVPAGDLEDITAKENPDNYKSAWNLLKGADAVLVPGGFGDRGVEGKILAAKYARENRIPYLGICLGMQIAVIEFARSILGLKDANSTEFDPNTQNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCISAKLYGNKDFVDERHRHRYEVNPEMVEQLEAAGLCFTGKDESGRRMEIFELSNHSYFVGVQFHPEFKSRPGKPSALFVGLIAAASGQLNGLLKKSASGLSNGHSPIKPQQHECGTKLANGIHCNGNGVHI >itb08g07850.t3 pep chromosome:ASM357664v1:8:6781028:6786031:1 gene:itb08g07850 transcript:itb08g07850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLILQACGLRVTSIKIDPYLNIDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFEDIKLTRDNNITTGKIYQYVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGKDGPADVCIIELGGTIGDIESMPFIEALGQFSYRVGTGNFCLIHVSLVPVLSVVGEQKTKPTQHSVKGLRSLGLTPNILACRSTTPLDENVKEKLSRFCHVLVENIVSLYDVSNIWHVPLLLRDQKAHEAILRALNLKGVAKEPELREWTSRAELCDLLHEPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCIDWVPAGDLEDITAKENPDNYKSAWNLLKGADAVLVPGGFGDRGVEGKILAAKYARENRIPYLGICLGMQIAVIEFARSILGLKDANSTEFDPNTQNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVADCISAKL >itb13g17550.t1 pep chromosome:ASM357664v1:13:24545994:24547610:1 gene:itb13g17550 transcript:itb13g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVEVFHMKGGNGDTSYANNSLSQKSIILCTKPTREEAITDVYKSLCPETISIADLGCSSGPNTFLVVFDTIRAVEKLRKMTGNPSPEYVVHFSDLPSNDFNSIFRSLPRCVEDFKKEMGDGFGHCFFAGVGGSFYGRLFPSKSLHFVHSSNSLHWLSQIPKGSEENKDNICITASTPPNVIKAYCEQFESDFSIFLKSRSEELVTGGRMVLYFMGRKSESPKPTHGALKFMVLLAKVLKDLVTKGLVEEEKLNSFNFPIYAPSLKEVKMIVEKEGLFSINVLEGFTHDYIEHLKDAKAITNKMRAGVESLVVSHFGGGIIDQVFNKYEEMAGECMAEFEEEDFFIIVSLTKI >itb02g07860.t1 pep chromosome:ASM357664v1:2:4928094:4928987:1 gene:itb02g07860 transcript:itb02g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNEEPQTPPYNSSMFLLRVMSKRRTWAFLFIFVYTLLLSISWNFLKSVLSWYESTSSATSSSGWPALYASVILGLAFGLLSMVAALAVAVPATLVTWITVLVLLTFCGKPRRALVMEAKKLTAEISGFVFKVLIKEGNVVAALCAVLGYFALLRRNRDDGATDY >itb10g02890.t1 pep chromosome:ASM357664v1:10:2540599:2545217:1 gene:itb10g02890 transcript:itb10g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MIMKFVFVFVLIISVFSPAESACGKSSDRVLVARAFSSVVGFNLSEFIASEPNCSVSEIRISSRNLTGAISWKFLRNLTRLRTLDLSNNSLKGYVPAWVWFNSSLVEINLSRNYLGGAIGVPESSKPVFAAHRRSAVRKINVSFNRFKNLGILYNLQNLTSLDLSHNDLKILPFWFSNMTKLESLSISGCNISGNLKPISKIKSLRSLDVSDNHLNGNFPADFPPLSGLKFLNISFNNFTGHLDRENLQKFSHSAFIHAGHFNSHKSIPSPIKKSAPKSKPKSKILIIAAVIASSVFLVIIIAASICVYMKRKQRRKKNKWLISKPIQLPFKLEKSGPFAFETESGASWVADIKEPTSAPVVMFEKPLLNLSFKDLIAATSHFGKESLLAEGRCGPVYRAVLPGELHVAIKVLEHARHLSHEGATAMFEDLSRFKHPNLLPISGFCIAGKEKLVLYEFMANGDLHRWLHELPAGPRNVEDWSTDTWELQNGGGSIITSPEKIEWHTRHRIAVGIARGLAYLHHGQSKPAVHGHLVPSNILLAEDFEPRIADFGLSPDRVDSSSAESDVYNFGVVLVELLTGQPGTAEIVKWVRRLVKEGRGGDAIDSRLQLADDSVSEMVECLRVGYLCTAEAAAKRPTMQQVLGLLKDIHPTATEV >itb04g30540.t1 pep chromosome:ASM357664v1:4:33646705:33648680:-1 gene:itb04g30540 transcript:itb04g30540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSKVKKGPWSPEEDAKLKDFIDKNGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSEEEDRVICSLYATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMSMMLLPPSSSSSSSSSKHRSVISSSMSPLFSAAPTAPSVLLPTQPQQQFSFYTPHRSFSGLESPASLHHPDFGPGYDHCNKSSYSSFNFQINHQDHQGLLNPAALMQQYHHHQAGMNKGNHNLLMFGGSEVSGSSSSDGSCSQISYERSKIADHNVKQEPAADHQLLGKYTFQGDPHPHHHHQIISSTNAGFQDDNNQIQGHMFFLDYGGLSNGAPQVANNTTATATQQLQYDLEVVRELVSSSSSNGHGHDNHNNNNNNNNDFLLFNYENKTDDQKGMYFY >itb03g21390.t1 pep chromosome:ASM357664v1:3:19246369:19250897:-1 gene:itb03g21390 transcript:itb03g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVNLLVENLTQLLKENIKLISGVKDEVENLLDDLDDFKAFLKEAGKSRYENDILKRLIYRIRNVVTKAEDLIDKYVVAAKSHYDKKVTRFLDVEYPIRKRELAEEIQKVRVSVNDIRQDPDYARNALREEALPNIGARQNQVPNVEEEHVVGFDGEADAIIQRLVDGLQGFEVISIVGMPGLGKTTLANKVFKDERVEYYFMNRAWIYVSGVYKRRDVFVRILKELTKQSSSYGDDMSDGDLALKIKEFLGSAKYLIVLDDVWKVEHWNELKIAFPPNKGSRVLVSTRYNDVATEADSKGDPHKLKFLNEEESWELLQKKAFRMGGCPDELQRPGKLIAEKCKGLPLAIVIIAGTLGVKKTSAEWDKVANSMNDFLRMKLESYKNLIQMSYDQLPYDLKSCFLYFGAFSQAYEIPAGKLIRLWIAEGFIDEEAQSLTLEDIAHEKLSDLVNKNLVMAMQRKSNGQIKTCRIHDMLHEFCKSEAKEENLFEEINMRRKLDKSRRLSVRCNVSEILYSGLSADHVRSLLCFSSNKYDLPTDKISIIREAFPLLRVLHTAPDESIIFTRFPKDMTKLFHLRYIAISTNLKVLPQAIEDLWNMQTLIVRTTQSTLQIKGDIWKMTRLRHFQTNSSAQLSPPSPSKDPFANRNLQTLSRISPESCTAAALAKAPNLNNLGIQGNLAKLFETKDAGSSLFKNLGELKLLEKLKLLNHGGELQRLPQKYEFPQKIRKLTLSGTQLKWSELSVLGSLEYLEILKLVENAFKGDKWEPFEGGFPCLQFLRIERTDLKTWKASSLHFPLLKSLILRQCLKLEEVPPSLADIDNLQEMELNRTNPSAAQSAWNILQIKQGKEKELKEKRGSLRRFKLNIYPPDELKQIQQIQKCHGVI >itb01g22300.t1 pep chromosome:ASM357664v1:1:28224753:28229192:-1 gene:itb01g22300 transcript:itb01g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMAKSSNEEEIKLVQEGEGVADATTTAASATEAPKGTPAQDSSRAGSGWGGWGFSAFSYLSDLQKVATVAAEEISRNAVEAAKTAAKSISDVQNAVEESESSNENETEPSAVEVEGEDENDKRRKEALDKLEKASEDSFLGQGLKVIDNSVESIASGAWQALGNALKGGSSFVHKLEESIQQGGLPGATDSGAPSILETGKAFTAKGMQVLEQLGKETMDLLISETGIEVEKQTKETEGIVDEDQLFEEVTFDRCFYIYGGPEQLEELEALSNHYAMLFNRKKVKLPYEQRSTFDGKLKQVQLYFDLSSEIDGSVELEKGKQIETGNMDNADEMKVLRDASVSKAAELAAGFANALAGLAPNDMIQRTVGRLESLHSEGVHRLSEVCCSAVTQILMLGKSIISDANKAQSPDEDVDEVDMVKINWPEDSIERAKIIRARAELMTASVEAVCSSFITGISDVTEAYLDAIKAASADSHETPQKPIQDKVNAFSKDLSADQSTAIGKIQDGLQYLSYLVISTSMPAA >itb02g25900.t4 pep chromosome:ASM357664v1:2:26702931:26707594:-1 gene:itb02g25900 transcript:itb02g25900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNALRLSSLRTGTGAGALKSTLSGRSTPRGSPSFKRLNSGRTPRREARSSGINSQCFRSNRIVLWLLLITLWAYGGFYVQSRWAHGDNKENIFGGYDRNSSAVDSQPEPKNRLDLIANVDSLSVKVEVKNNHSDSKDLDLDLSAKGNSNTSDRNAASKKGSKPKRASRKKKRGKQKVAVEVRDSGNLQEEEIPKQNTTYGMLVGPFGSLEDGILGWSPEKRSGTCDRKGQFARLVWSRKFVLIFHELSMTGAPLAMLELATELLSCGATVYVVPLSKRGGLMPVLTRRKIKVLDDKTDISFKTAMKADLIIAGSAVCASWIERYASRTVLGASQIAWWIMENRREYFDRSKIAFNRVKKLIFLSELQSKQWLAWCEEEHIKLKSQPALIPLSVSDELAFPAGIACSLNTPLFSTEKMLEKRNLLRNFVRNEMGLSDNDMLVMSLSSVNPGKGQLLLLESARLIIEQGLPLSTSALKDQDYLKRALLHNWKQFGENLNKSSLKRAKFSPGDLFTSKHDAQRKSVDTSANSRRLLSKTEGKQRQKLKILIGSVGSKSNKVPYVKTLLRFVSQHANLSNSVLWTPATTRVASLYAAADVYVMNSQVLGTDAGGTKEIVEHNVSGLLHPLGRPGAQVLAQDLQYLLENPSVRQRLGSNGKKKVESMYLKKHMYKRFGEVLYDCMRIK >itb02g25900.t3 pep chromosome:ASM357664v1:2:26702931:26707594:-1 gene:itb02g25900 transcript:itb02g25900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNALRLSSLRTGTGAGALKSTLSGRSTPRGSPSFKRLNSGRTPRREARSSGINSQCFRSNRIVLWLLLITLWAYGGFYVQSRWAHGDNKENIFGGYDRNSSAVDSQPEPKNRLDLIANVDSLSVKVEVKNNHSDSKDLDLDLSAKGNSNTSDRNAASKKGSKPKRASRKKKRGKQKVAVEVRDSGNLQEEEIPKQNTTYGMLVGPFGSLEDGILGWSPEKRSGTCDRKGQFARLVWSRKFVLIFHELSMTGAPLAMLELATELLSCGATVYVVPLSKRGGLMPVLTRRKIKVLDDKTDISFKTAMKADLIIAGSAVCASWIERYASRTVLGASQIAWWIMENRREYFDRSKIAFNRVKKLIFLSELQSKQWLAWCEEEHIKLKSQPALIPLSVSDELAFPAGIACSLNTPLFSTEKMLEKRNLLRNFVRNEMGLSDNDMLVMSLSSVNPGKGQLLLLESARLIIEQGLPLSTSALKDQDYLKRALLHNWKQFGENLNKSSLKRAKFSPGDLFTSKHDAQRKSVDTSANSRRLLSKTEGKQRQKLKILIGSVGSKSNKVPYVKTLLRFVSQHANLSNSVLWTPATTRVASLYAAADVYVMNSQVLGTDAGGTKEIVEHNVSGLLHPLGRPGAQVLAQDLQYLLENPSVRQRLGSNGKKKVESMYLKKHMYKRFGEVLYDCMRIK >itb02g25900.t2 pep chromosome:ASM357664v1:2:26702917:26707594:-1 gene:itb02g25900 transcript:itb02g25900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNALRLSSLRTGTGAGALKSTLSGRSTPRGSPSFKRLNSGRTPRREARSSGINSQCFRSNRIVLWLLLITLWAYGGFYVQSRWAHGDNKENIFGGYDRNSSAVDSQPEPKNRLDLIANVDSLSVKVEVKNNHSDSKDLDLDLSAKGNSNTSDRNAASKKGSKPKRASRKKKRGKQKVAVEVRDSGNLQEEEIPKQNTTYGMLVGPFGSLEDGILGWSPEKRSGTCDRKGQFARLVWSRKFVLIFHELSMTGAPLAMLELATELLSCGATVYVVPLSKRGGLMPVLTRRKIKVLDDKTDISFKTAMKADLIIAGSAVCASWIERYASRTVLGASQIAWWIMENRREYFDRSKIAFNRVKKLIFLSELQSKQWLAWCEEEHIKLKSQPALIPLSVSDELAFPAGIACSLNTPLFSTEKMLEKRNLLRNFVRNEMGLSDNDMLVMSLSSVNPGKGQLLLLESARLIIEQGLPLSTSALKDQDYLKRALLHNWKQFGENLNKSSLKRAKFSPGDLFTSKHDAQRKSVDTSANSRRLLSKTEGKQRQKLKILIGSVGSKSNKVPYVKTLLRFVSQHANLSNSVLWTPATTRVASLYAAADVYVMNSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNVSGLLHPLGRPGAQVLAQDLQYLLENPSVRQRLGSNGKKKVESMYLKKHMYKRFGEVLYDCMRIK >itb02g25900.t1 pep chromosome:ASM357664v1:2:26702917:26707594:-1 gene:itb02g25900 transcript:itb02g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNALRLSSLRTGTGAGALKSTLSGRSTPRGSPSFKRLNSGRTPRREARSSGINSQCFRSNRIVLWLLLITLWAYGGFYVQSRWAHGDNKENIFGGYDRNSSAVDSQPEPKNRLDLIANVDSLSVKVEVKNNHSDSKDLDLDLSAKGNSNTSDRNAASKKGSKPKRASRKKKRGKQKVAVEVRDSGNLQEEEIPKQNTTYGMLVGPFGSLEDGILGWSPEKRSGTCDRKGQFARLVWSRKFVLIFHELSMTGAPLAMLELATELLSCGATVYVVPLSKRGGLMPVLTRRKIKVLDDKTDISFKTAMKADLIIAGSAVCASWIERYASRTVLGASQIAWWIMENRREYFDRSKIAFNRVKKLIFLSELQSKQWLAWCEEEHIKLKSQPALIPLSVSDELAFPAGIACSLNTPLFSTEKMLEKRNLLRNFVRNEMGLSDNDMLVMSLSSVNPGKGQLLLLESARLIIEQGLPLSTSALKDQDYLKRALLHNWKQFGENLNKSSLKRAKFSPGDLFTSKHDAQRKSVDTSANSRRLLSKTEGKQRQKLKILIGSVGSKSNKVPYVKTLLRFVSQHANLSNSVLWTPATTRVASLYAAADVYVMNSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNVSGLLHPLGRPGAQVLAQDLQYLLENPSVRQRLGSNGKKKVESMYLKKHMYKRFGEVLYDCMRIK >itb11g17270.t1 pep chromosome:ASM357664v1:11:16872674:16876399:-1 gene:itb11g17270 transcript:itb11g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVHFFPSTKGLLEFDEIAEFRHAWGLLQNQNESRVRATSQQKQKSQFLVQGEMASPSLLPPPILGSNVKAAHASEPIQKLVDEATRLVAEGSKLKSGVLEFLQSKDLNNEATTPEQIALHMLKVQSDGYIASLEMTQRLMEALKKYEVEFKYQPALENCSINYKQEAMHQCHQAD >itb15g00210.t1 pep chromosome:ASM357664v1:15:136353:143329:-1 gene:itb15g00210 transcript:itb15g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQLGSAKNHEKEDHGIVHVASRKRKLSGREFKKLLVSRVKRVNAIDNAHGKQDRLSESGCSVSGTNEHSVTNMRVPESSCSNSKEERLDDSLQECTSTCNLQDKINTKSLLDLDLKPTNPEACDVQYINSKQDNEIGSEHSKCLICSEGGKLLCCAGKGCGRKFHLPCVDPPLTYFPLGAWYCIWCVKKKMELGVHAVSEGVESILDSRGADCENEVMHKQKEYLVKYKGLAHIHNRWIPEAELTLEASALLARFNKHNKNVSWKTDWTMPHRLLEKRLLLLPESSETYSLGHNDNGSICRYEWLVKWTGLDYDQATWELDTAPCLNSSNALKMISDYESHHKKANAEVHPSKNDKDKGSRSPLPELLTFPFEFTAQVHSNQLIYVNKLLKYWHTSKNAVVIDSQERILKTVLLISSFARDMRRPFLIITTRPALSYWEDEFSRCASSINAVVYKGNEDVRAFIRTLEFYNVQGAIMFQVLISHCNAVVEDIEMLKSISWEAIIIDTSQQSSVLKNFEHIKALATDKKLLLFRQIEDRRFSYRKFLSLLDPEYEDANSDFPESGSYTEDIKFKEQFTKYIAYECKSSMSKFVEYWVPVRLSDVQIEQYCDCLLSNLPLLCSILKCSLHDLLMSTRKCCDHPYLVDCTLRKSMVEGIPADDQLDVEIELSGKLQLLNRILFEIRKRGLRVLILYQSFAASGGISIGDILDDFIHLKFGIDSYARICGNVPAPMRRAILNKFNNKESGKFVILMETRACVPSIKLSAIDTVVLFDSDWDPMNDFRALQKMTIDSKFEQLKVFRLYSSCTIEEKTLILAKGGLTVDSNIKRLKQTTCHELLAWGASYLFNKHDDCHHNISSKALLDDLFSELLNLLPSSSENSGSANCFRILKVQQNAGAYPQNITLPGELEMQSMEDSSIISGLHDNERTSLFWRNLLEGRHSRRKYLSNPSQRVRKQHCLLDGLHESSERDEEGIPKADAGTVPDSCQFQTGIQADGIEANGTGTKTTITNNEQDQINCVDAHSCQFQAPKSFPIEDSNQPLASSVQQSAGNLSIPLSAVQADGMETNGTTPRSINNQEDFGNNNPIESSGIADVPQSHFLDPLQREMNKIQKEREQTMKLHEDLKLLLRSELEKEILEIRKKYDLLLQDVEMEIVQKLKECDGCYDRLHLNKLLAEFVIEKGNVNSTSNSQEMEKVPTESLASQPVIDSPLNPSSDIMRAPTTNPKHVNSDHAMNHPSAVQHTANLQISSVGLPRSGTLLQSVVPQRAGHPLSSVPLQFSGKNPLLSVKSELSAGPQTSPQVTPVSLPAVGATSPNPELAATIESFANLQPELHLASQGTDAPCATSTLPEQLVTPTSYYPRPFSCITATSFSGSLQVGCEIRAPAPHLRHLRPTAAILRSVPDSINF >itb09g19700.t1 pep chromosome:ASM357664v1:9:15973519:15975180:1 gene:itb09g19700 transcript:itb09g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >itb03g06410.t1 pep chromosome:ASM357664v1:3:4684263:4685914:1 gene:itb03g06410 transcript:itb03g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPNEATKRGPTGQRVRQKKATAIKSSSLKLEKMASGRAYEALRAYRSVLKATRKTFAGDTVMLRESAVEVRKKFEENRHVNSEEEIHRLLEDAREASSFISTMIVQAQRTDTGGFAVKPEKVHAGATFEIPSEEILKKAG >itb08g14110.t1 pep chromosome:ASM357664v1:8:15753348:15756169:1 gene:itb08g14110 transcript:itb08g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDCEPFTDVYWIKFQRVDNARFAKRKLDEFVFLGNRLQVSYAPQYESQSDTKEKLEGRRREVQTRVNSQRSKSSAILGPGPDAASKSVITSTFSHITSKPLEINQRYNPVQNIQKPELPRKREWTIGGEFDKFLTSDEKRSCCCFIQAWSKMFQEIIEGLWPSNTILWLP >itb05g21260.t1 pep chromosome:ASM357664v1:5:27111167:27113222:-1 gene:itb05g21260 transcript:itb05g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRPEMGKYNVIIMVVLLMDAIIARGQWTFGGGGDGLSMNYYIMSCPFAEGIVKDIVNRHLQFDPSLAAALVRMHFHDCFIQGCDASILIESTKGNKAEKDSPANLSLRGYEVIDEAKEEVERQCPGVVSCADIIAMAARDAVFFSGGPVYDIPKGRKDGRRSRIEDTRNLPSPTLNTSELIKMFDQHGFSAQEMVVLSGAHTLGVARCSSFKHRLSNFDTTHEVDPSMEAQFAQTLSRTCSAGDTAEQPFDSSRNSFDNDYFYALMRKNGVLFSDQTLYSSPRTRGFVNGYAMNQAMFFFDFQQAMVKMGTLDVKDGSNGEVRGNCRVIN >itb15g04380.t1 pep chromosome:ASM357664v1:15:2796808:2797470:1 gene:itb15g04380 transcript:itb15g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNRIHKLLNPNAGLHSDPLLLFVHFQDLIHQSQIHHPSPCQPDSVRGQTRTHGSDLLLLLIGIFHSGLQLLQGLGLEESAGLDLVGPAPIGDGVEILGQGGVAEELGLLVLGVGGEGEGVVGGGGAAEDEHGVADVLADLGVGGRGLRGEGAEEARVNVGIGMGVGMGEERAEGATEVMSSEHFWVAMEGLKKGFSRARKGNGRDSKRRFRLLGLCCQ >itb09g30690.t1 pep chromosome:ASM357664v1:9:31308721:31311538:-1 gene:itb09g30690 transcript:itb09g30690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVSRLFLSRNLFVLRQSSSCVIIIRTIHLESSSAPSNLVPSRLIRTTFSPSLAASSVAPTRLVFSALRSLHSMGSEPSKAASNSIYDFTVKDAKGNDVNLSTYKGKVLMIVNVASECGLTNTNYTEMNQLYQKYKDKGFEILAFPCNQFGSQEPGTNEEIMQRACTRFKAEFPVFQKVDVNGANTAPVYKFLKSSKGGLFGGSIKWNFTKFIVDKDGNIVERYSPTTSPASIEKDLKKLLGIA >itb03g20320.t1 pep chromosome:ASM357664v1:3:18255827:18259422:-1 gene:itb03g20320 transcript:itb03g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRKDDQLVINSTNGFAALGALRKKKKSSKDSKSKVSSGSSKQEAEPQVFWAPAPLTTKSWADVDDESDDDYYITTAAPKVVWGGAAPVEQHSKTKETATPVLEESESEDEGLDEVDDYIEAEQDYEPEPEVAVEKESVAKIPAEVGAPKESERQLSKKELKKKELEELEAMLAEFGYNQPEKKDDSLGATQSKKVENGEDKKDDGTTGESKNAKKKKKKSKEAKEQHDLPNGVEVGNAKEKDESGGVEKAEEAHNVDVKEKLKKVQSMKKKKSSKEMDAAAKAAANEAAARNAKLAAAKKKEKNHYNQQPQR >itb03g11570.t2 pep chromosome:ASM357664v1:3:9813941:9816939:1 gene:itb03g11570 transcript:itb03g11570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIAPLFICRAGLLRKSWFVRSANPHPLGREPARLTVPSILTRRLTCGRRTVTIAALNVKEDSVTQKIATPVRIVALVGEGSVSPLKSAPWHDVLLHTRLKWVDEQYEMLVFSDNFYQSNNEHAKHVAEEVSRANILVVVAVRNEESVKWIQANGQFVPNIICFESSAALTNKLGGLIIETENKGNIFRKLAPISQLKKLDESREVVQTVSAAWDRYNSDDIRFCLLIIINAYITPVPILKNLRAKGFSTLNCMLKNCGPQILNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESPYLEEFSLCVLQKNNCLELDAKIPEKPYVPPMVEFRGEELCHETAEDLFVGWLGISEWSWRVVAGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFQVRTLEGSLVWRRRKYRVKRGKVPGTFYFSVLDNGVVSNEFWTIVDVSDDFSWGLFHYSGAARVAGQSYSGAVLVSPDGSYPDEKEHQRLVSALDRCCIKEWELFTVDNCSCQDPPLWLPEGSKLHYKVEVQSSKQSSL >itb03g11570.t1 pep chromosome:ASM357664v1:3:9813941:9816939:1 gene:itb03g11570 transcript:itb03g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIAPLFICRAGLLRKSWFVRSANPHPLGREPARLTVPSILTRRLTCGRRTVTIAALNVKEDSVTQKIATPVRIVALVGEGSVSPLKSAPWHDVLLHTAQRLKWVDEQYEMLVFSDNFYQSNNEHAKHVAEEVSRANILVVVAVRNEESVKWIQANGQFVPNIICFESSAALTNKLGGLIIETENKGNIFRKLAPISQLKKLDESREVVQTVSAAWDRYNSDDIRFCLLIIINAYITPVPILKNLRAKGFSTLNCMLKNCGPQILNCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESPYLEEFSLCVLQKNNCLELDAKIPEKPYVPPMVEFRGEELCHETAEDLFVGWLGISEWSWRVVAGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFQVRTLEGSLVWRRRKYRVKRGKVPGTFYFSVLDNGVVSNEFWTIVDVSDDFSWGLFHYSGAARVAGQSYSGAVLVSPDGSYPDEKEHQRLVSALDRCCIKEWELFTVDNCSCQDPPLWLPEGSKLHYKVEVQSSKQSSL >itb14g00490.t1 pep chromosome:ASM357664v1:14:330483:333884:1 gene:itb14g00490 transcript:itb14g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHNIMLVLIFLVFICKFEYCYSNNAASCVEGERIALLQFKESLIDISNRLSSWSGLDCCEWEGISCSSITGHVLKLDLHNLVSPPHDIDDDYYGGLPSNYSNNCLGGEINHSLINLTHLNYLDLSLNNFSKIRIPEFFGSFKNLRYLNISSSGFVGNIPTHLGNLSSLEYLHLGKALVRVPSYNDLATDNLDWLASLSSLKSIDMSWILIRHSEDWLRTINKLVSLSSLNLAGCHLNTTSPFSHVNSTSLFSLDLSDNSLDSAIFPWLSNLTRLEHLNLGFNYLNSSMLEIFDPLASLKVLELSGNSLAGTLVPLCKLHNLVFMDLYSNNFHGSIPNSLGNLTYLTFLDLSNNKFTSSIPNSLGNLISLTSLSLSANSFTGSVPNSLGNLTSLTSLDLSGNRFASPISNSLGNLTSLTFLSLSDNSFAGSIPNSLGNLTSLTFLSLSDNRFAGSVPNSLGNLTSLSSLYLSSNRFAGSIPNSLGNLTSLTSLSLSDNIFTGSIPNTIGSLCRLQVLDFSMNKLTDSIAVLSDCQLNSLKELKLDSNNFIGQLPNQLYKYKNLEVLSVSSNSFSGPIIESLGNLSMLQTLDISNNKFSGSVPSSLGELSNLEALYISNNLFVGVLSEFHFSKLSMLKFLVISSNLFVWNVSSTWVPPFQLSFIVMESIKIGPHFPLWLRTQRNVVYLFMSNASISSAIPDWFEKFLWNSHELDLSKNQISGELPLKPHVEGYMHMHSLSLSNNYLSGGIPKWLCSLKHLQILALSTNQLYGEIPPCLGKLQNLEVLDFGNNNLSGHIPNSLGSLQLLSSMHLQSNELEGKLPGTMQNLTSLQILDLSENKFMDVIPSWIGEKLLSLKYLVFYRNKFYGDIPLQLCQLHDLQLLNLANNNISGYIPQCFGNFTAMAFDTYNPYGGYSGGTYEDEIDEVIKGLTLRYTKNLQFLRSIDLSGNHIAGKIPIEIMSLHALQNLNVSRNNLSGTIPETIGNLSKIESLDLSRNELSGPIPPSLSSLNFLSHLNLSFNHLYGRIPTGSQLQTLNDPSIYMGNEGLCGAPLPKDCPNDVPTFVNQSTKINSDDHHEFFMWFYAGMGSGFFVGFIGVLSILLFVRSWSYAYFKFLEMAYNKILDYFFY >itb05g20980.t4 pep chromosome:ASM357664v1:5:26903247:26905813:1 gene:itb05g20980 transcript:itb05g20980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGADCMLSLRVSSLSSPFFGTGLKWNPPRRAKPGRVISHCKASLIMDPDSFQVGKLIGSYGFMNITSYSGPQAGMGMGMEYCSSSPEDVGRLRIQDVGEGNVKIRLYEGRVAQGPRRGTPVIFKVYPGKQVGGTEADSMAANELNAHASLQSSSRGICENIQILIGGFEMKTGEQWLAFRNDGKYTAADYARIASEKMPKSDGVGKQKFWNPFENDETIKRRRYFVTKLLRGAMKGLSYMHDNETLHQSLGPASVVLNTMVEKDAAYLVPRLRDLAFSVDIRYSKIEEGPQILSEGLWRRASTAGAYSPMEKRAFGIADDIYEAGLLFAYLAFIPFCEAGVMDSLSLRVCHNSHINHWISQFLFFIMQLNIIFT >itb05g20980.t1 pep chromosome:ASM357664v1:5:26903247:26907417:1 gene:itb05g20980 transcript:itb05g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGADCMLSLRVSSLSSPFFGTGLKWNPPRRAKPGRVISHCKASLIMDPDSFQVGKLIGSYGFMNITSYSGPQAGMGMGMEYCSSSPEDVGRLRIQDVGEGNVKIRLYEGRVAQGPRRGTPVIFKVYPGKQVGGTEADSMAANELNAHASLQSSSRGICENIQILIGGFEMKTGEQWLAFRNDGKYTAADYARIASEKMPKSDGVGKQKFWNPFENDETIKRRRYFVTKLLRGAMKGLSYMHDNETLHQSLGPASVVLNTMVEKDAAYLVPRLRDLAFSVDIRYSKIEEGPQILSEGLWRRASTAGAYSPMEKRAFGIADDIYEAGLLFAYLAFIPFCEAGVMDSLSLRRLLENTFQLDLEAIREYCLADDRLLEAVKFLDLGNGAGWELLQAMLDRDFRKRPIAEAVLNHRFLSGAFL >itb05g20980.t5 pep chromosome:ASM357664v1:5:26904226:26905813:1 gene:itb05g20980 transcript:itb05g20980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGEQWLAFRNDGKYTAADYARIASEKMPKSDGVGKQKFWNPFENDETIKRRRYFVTKLLRGAMKGLSYMHDNETLHQSLGPASVVLNTMVEKDAAYLVPRLRDLAFSVDIRYSKIEEGPQILSEGLWRRASTAGAYSPMEKRAFGIADDIYEAGLLFAYLAFIPFCEAGVMDSLSLRVCHNSHINHWISQFLFFIMQLNIIFT >itb05g20980.t3 pep chromosome:ASM357664v1:5:26903247:26907417:1 gene:itb05g20980 transcript:itb05g20980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGADCMLSLRVSSLSSPFFGTGLKWNPPRRAKPGRVISHCKASLIMDPDSFQVGKLIGSYGFMNITSYSGPQAGMGMGMEYCSSSPEDVGRLRIQDVGEGNVKIRLYEGRVAQGPRRGTPVIFKVYPGKQVGGTEADSMAANELNAHASLQSSSRGICENIQILIGGFEMKTGEQWLAFRNDGKYTAADYARIASEKMPKSDGVGKQKFWNPFENDETIKRRRYFVTKLLRGAMKGLSYMHDNETLHQSLGPASVVLNTMVEKDAAYLVPRLRDLAFSVDIRYSKIEEGPQILSEGLWRRASTAGAYSPMEKRAFGIADDIYEAGLLFAYLAFIPFCEAGVMDSLSLRVCHNSHINHWISQFLFFIMQLNIIFT >itb05g20980.t2 pep chromosome:ASM357664v1:5:26903247:26907417:1 gene:itb05g20980 transcript:itb05g20980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANELNAHASLQSSSRGICENIQILIGGFEMKTGEQWLAFRNDGKYTAADYARIASEKMPKSDGVGKQKFWNPFENDETIKRRRYFVTKLLRGAMKGLSYMHDNETLHQSLGPASVVLNTMVEKDAAYLVPRLRDLAFSVDIRYSKIEEGPQILSEGLWRRASTAGAYSPMEKRAFGIADDIYEAGLLFAYLAFIPFCEAGVMDSLSLRRLLENTFQLDLEAIREYCLADDRLLEAVKFLDLGNGAGWELLQAMLDRDFRKRPIAEAVLNHRFLSGAFL >itb05g11200.t1 pep chromosome:ASM357664v1:5:17179310:17185919:-1 gene:itb05g11200 transcript:itb05g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MPFISKIQRQSDYSFFPSSCPIVIDNGASYFRIGWAGESDPRVIFRNIVQRPRHKTTGETVTIVGDHNPALLKYFDCTRSGPRSAFDNNVVYQFEIMEYILDFGFDRLGADQSQINHPVLITECACNPVQSRSKMAELLFESYGVPSIAFGVDAAFSYKYNQQLGICDKDGLVISSGFNTSHVIPFVNGEPLYEACCRTNVGGYHVTNYLKQLLSLKYPHHMPKLTWEKVEDLKMEHCYIAADYASEVRLFQKGNKEAEEKTRCWQLPWTPTPVEEPPSEEEIARKTALKEKQSQRLREMAEAKRSSRINELENEVKGFEHLLKELNHVEEDDIPSFVASRGYASKREIESALSKATQSLRKAKGEQVPNDDKVDSSSAEKYYLIDVPDDQLSAEQLREKRKQVFMKATTEARERAKQKRMEEELEREREKKLEEEKRLENPERYLEQLRTKYNELLAKIEQRKRQKTNGDNANGNHNVSGGVGRGERLSAQQRERMRLLTTAAFDRGKGEDTFGQKDEDWQLYKLMSRDNDDDDDEKPDPDESELARISSRLQEIDPKFFAKSESGSSVSEAPRFRPLTKEDFQIILGVERFRCPEVLFSPNVIGIDQAGLDEMVGVCLRRMSSREQALEERMTNSILMTGGSCLYPGMAERLEAGIRMIRPCGTPIRVLRASDPILDAWRGAASYAAGMHFPHQTFSRMDYYEKGEDWLRRYQFGYTL >itb07g05210.t1 pep chromosome:ASM357664v1:7:3530454:3534067:1 gene:itb07g05210 transcript:itb07g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTCNACNKEFKDDAEQKLHYKSEWHRYNLKRKVAGVPGVTEALFLARQSVLAEENKKLTETPMLYTCGVCGKEYRSSQAYSQHLKSKSHLLKASQEPNHNGENRAIIRPLQRPILDRSTQQVEEDVDESEESEWEEVDEEDMAEATDSLMHTSNENMQDADMDDYSVELDPSCCFMCDLKHRTIESCMVHMHKQHGFFIPDVEYLKDPKGFLTYVGLKVKRDYLCLYCNDRCHPFSSLEGVRKHMEAKSHCKVHYGDGGDDEEAELEEFYDYSSSYVDANGKELVASGDTSSGVELGSGGAELIIKKRTDDGVSTITLGSREFLRYYRQKLRPTRTNDIALSAALASRYRSMGLATVQSRENMVKMKVMKAMNRSGHEAMRTKIGIRNNVIRDLPKNVPY >itb09g22940.t1 pep chromosome:ASM357664v1:9:21946459:21947280:1 gene:itb09g22940 transcript:itb09g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAWRVFKRKIPSDDNLRRFGYQLASRCYCCPNPGLDNLQHIFCTGSTASQVWGYFARSLGFNIQIRGVKQLCYEWWKKKPRNRMIIFLTHKLPVVILWELFLTHKLPVVILWELWVHYNQCMVILWELWVHYNQCKYGKERLWVHYNQCKYGKESPSRARIIFKVTRDMVDCILRKWPSWDPFPPIWNYILRRADLFKCSKIVREASWYKPPKGWIKINTTVKKGSCSFMIRNSKGEFVMAGVYSGDRDMEMIMLKECLSWCKKRGLGRD >itb12g21850.t1 pep chromosome:ASM357664v1:12:24061877:24062479:-1 gene:itb12g21850 transcript:itb12g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKDMVTQYLWSNGAVGCSSANYSSPLFLNKKRIFIVVMISCLFHKWGLFEYGYVGPRLPCKWGKYNDKELECIVYDHFTEPNKVEEVEVVIGLNSSSLEQGAEENFIIQTCIVHEEEDHEVCFNPMNPVIKFHHPNKSTFPSRESAVITIKHRSRSPEGSSLKQGHTVMDKDLFIDIHQSSPPPISIRIHGRSYPWP >itb05g21960.t1 pep chromosome:ASM357664v1:5:27531364:27532823:-1 gene:itb05g21960 transcript:itb05g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFIDEDAVFSQDREQETPTEKAGVSGFLTPENYTSHLKTIQEELMEETSLTDLLLMGAQAIQAGNKDLASMIVLKLNNLQISSEEETGHLDRLALYFTQGLVLKTMNFGDQNWQLGSMSAFQMLQEISPYIKFAHFTANQAILEATQSQRDVHIIDFDIMEGIQWPPLMAELADRENASLRITAIVTEPQTWAYTQQTGKRLHEFANSISLSFSFDQILLTKEQDLEQIQGLGNNPIANLMIHQLHIPHRESSLIKIFLNGLRNLSPKMIIMVEEELFNISRTPSMSFVEFFREAIHHYTSLSDSLQGGFCGGYKLAQRVIEKEFLGPRIVDCVRQFPAGKRERQVWSQGVYSLKGFKAIPMSSCNVSQGKYLVSLFNGGFWVQHEQCRLSLCWKSRPLITASIWVPTSSSIGHSLSRSTSFD >itb09g15510.t2 pep chromosome:ASM357664v1:9:10741602:10745396:1 gene:itb09g15510 transcript:itb09g15510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKIREFNLALLGKQAWRFIRFPNSLASRVYKARYFKNTSFLEAKLGSNPSFVWRSIMETQAILHANCRWRVGNGQKIDVWKDPWIPRHSSPFIQTQEPVQLQGTKVVSLMNMQKTDWDHEILKDLFNESDRDHICNIPISNSTQDDKLIWSGDDKGSYTVKSCYKLLSGEIESNSNLQWTIIWKKHIPPKIKNFIWQACSGLLPTADNLRIKRVECSPVCILCHNHQETIYHLFINCEFAKGCYNNITNMATGGNMGFAEWIAWHMKALDDFSACLLLIICWKIWESRNQKLWRNLSSDPHTTSEEARYFLQAWRCIHPDLSSSAQITTTAKWYKPPHGWLKVNTDAAIDKNGKTTGLGFILRDSDGSFVAAVAKRWTGYYHSKIAEAISVREALKWIKEKGFDRIQVESDALLVIKGLSNTSMDSYFDLVLEDIRVIANEFHNISFSFVKRSANMAAHLMAREAVSTADCSAWVAMAPGFLSDVLVSDLN >itb14g03340.t2 pep chromosome:ASM357664v1:14:2990510:2996562:1 gene:itb14g03340 transcript:itb14g03340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKLLPIFWFLLSLQVIASHKEHPLARIAIHKTVLAFDKDAYIKATPSVLGGNGQNQEWVTLEYSVSNPSIDDWIGVFSPANFSQSTCVSENPRMFPPLLCTAPIKYQFANYSSPGYKDTGKGSLKLQLINQRFDFAFVLFTGGLLTPKLVAVSNTVAFANPNAPLYPRLAQGKTWNEMTVTWTSGYGISEAEPFVEWGPQGGQQMRSPAGTLTFDIGSMCGAPARTVGWRDPGFVHTSFLKELWPNSISRYTYKVGHRLFNGTYVWGRLNQFKSSPYPGQNSLQRVVIFGDMGKDEADGSNEYNNFQPGSLNTTKQLIRDLKDIDIVFHIGDICYANGYISQWDQFTAQIEPIASTVPYMVASGNHERDWPGTGSFYGNMDSGGECGVLVQTMFYAPTENREKFWYLTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASADRQKQPWLVFLAHRVLGYSSCSYYVEQGSTEEPMGRESLQKLWQKYKVDIAIFGHVHNYERTCPVYQNICTNKETHFYKGPLNGTIHVVAGGAGASIFDSDFAPIQPSWSLFRDFDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRDYRDILACAVDSCPSTTLAS >itb14g03340.t1 pep chromosome:ASM357664v1:14:2990494:2996562:1 gene:itb14g03340 transcript:itb14g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKLLPIFWFLLSLQVIASHKEHPLARIAIHKTVLAFDKDAYIKATPSVLGGNGQNQEWVTLEYSVSNPSIDDWIGVFSPANFSQSTCVSENPRMFPPLLCTAPIKYQFANYSSPGYKDTGKGSLKLQLINQRFDFAFVLFTGGLLTPKLVAVSNTVAFANPNAPLYPRLAQGKTWNEMTVTWTSGYGISEAEPFVEWGPQGGQQMRSPAGTLTFDIGSMCGAPARTVGWRDPGFVHTSFLKELWPNSVYTYKVGHRLFNGTYVWGRLNQFKSSPYPGQNSLQRVVIFGDMGKDEADGSNEYNNFQPGSLNTTKQLIRDLKDIDIVFHIGDICYANGYISQWDQFTAQIEPIASTVPYMVASGNHERDWPGTGSFYGNMDSGGECGVLVQTMFYAPTENREKFWYLTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASADRQKQPWLVFLAHRVLGYSSCSYYVEQGSTEEPMGRESLQKLWQKYKVDIAIFGHVHNYERTCPVYQNICTNKETHFYKGPLNGTIHVVAGGAGASIFDSDFAPIQPSWSLFRDFDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRDYRDILACAVDSCPSTTLAS >itb02g08360.t1 pep chromosome:ASM357664v1:2:5271325:5271813:-1 gene:itb02g08360 transcript:itb02g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASFRTNVLTRHLLSQENPNLDDDDVLLQSWPCISYTPAEASEPAACFDVYEMRKLMDGHNLEERDWLYGVIIQSEVFNPRSIGGRVHVWADYNQPMEQQREMTMRRIEYLSDCGVFRGWLTENEAEAELRKVALGEVIAIFDYSLAIKIGVHVFLWYAY >itb01g26970.t1 pep chromosome:ASM357664v1:1:31968465:31969920:1 gene:itb01g26970 transcript:itb01g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSIQKHRAYKDFHARHYVSGSDWKVSERLTSLRRCSQSRSLFRLISNPQFKHRLNPHHSPLLPLRSGAVSVSLLLFLNDFHSHFH >itb09g20070.t1 pep chromosome:ASM357664v1:9:16681870:16682653:-1 gene:itb09g20070 transcript:itb09g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADGDGGRPTTTARRGEKQRGWTRFLTIIPFACCLEVPEREDRRLVLPGVRKPARRTRRPRPSQKQKQEEETQATEGNLCCGRPKKASKSKEFAGNKLPPCTWKILRYPPRLREWQRII >itb11g09570.t1 pep chromosome:ASM357664v1:11:6473940:6474603:1 gene:itb11g09570 transcript:itb11g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPGFNTLKVRARGGMPVAFADFEVHYKKFQLGTNIQSFCIVSVPPAIEDQDRVESTTKRERSGDETVHKAKFLRSL >itb13g04040.t1 pep chromosome:ASM357664v1:13:4192641:4198594:1 gene:itb13g04040 transcript:itb13g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDRDLLFILLQFLEEEGFKESARKLEEESGIFFNMLHFEELVKKGEWDEVEKYLLGFTTLDENTFSNEVFFQIRKQKYLEAIDRKDIKKAINILNSELKVFAREDNDLYKEMAMLLTLENIREPGSERNNMIDKLKNAIESNPAFHDALNSPAVEASRLRVLINQSLNWQHHHCQKPNPKPEIMTLLVDHRCEESQLNGGQVLPPVTNQLTVDAPMPGGFPPLQADGVNNMPVNILHVGYGNQSQGQSSGSSDDIPMTVVMTLNQGSAVRSMDFHPVQHSLLLVGTSIGEIIIWELRTRTRLAKRSFGIWDHRACTLGLQSALRNDPPASVNRVLWSPHGSVFVVAYSKGLVHVYTYYGNLRNHLEIEAHEGSVNDIAFKNLNYRLYIITCGEDKLIKMWDASTGTKKYTFEGHESSVCSVCPHQIGNIQFFFSVATDGKIKVWPYFDTIRGVDYPAQGHSLTTMLCSSDGKRLFSCGTDMEGVSYLRELNDNSVTIKREYIGLGGQTTEAVKFDTAKNRFLAAGDDFTVKFWDMDDENLFTTTDAGGALPCRPCLRFNKEGNLLAVSTSENGIKILANADGFRLLRA >itb10g20750.t1 pep chromosome:ASM357664v1:10:26195111:26199474:1 gene:itb10g20750 transcript:itb10g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAMESGSCLFWYFLILHILTPTTTIAVDTITPTQPLAQNQTLVSAGGVFQLGFFSPGGNSGGLYVGIWYKEIQDRTIVWVANRDKPLRNNSTGFLKIGEDGNIHLVDQTGNSIWSSSNWNQSVPENTVAQLLDSGNLVLRWENDENPENYLWQGFDYPTDTLLPGMKLGWDSKTGRNRYISSWKTPTDPSEGDITFKLDINGLPEAFLRKKDNIITRSGGWNGIGFSGVTEMQTTEVIDFSLVMTKHEVYYTFEIRNKTLLSRLIANYTEILERYTWVPENRVWNRFWYAPKDQCDNYGECGTYGICDTDKSPVCGCLVGFEPRNQQAWSLRDGSGGCFRHDQLDCETDGFLTMNNMKLPESSTSFVDVTMSLDECKQMCVRNCSCTAYSNYNISNGGSGCVIWMAELLDMRQYTAKGGQLLYIRVAVSDADKIGEVIKAGNGFGKIKKIFLACGIIIGIGLVLFGLLLLKRRKPPRAVMDNIRLRGQEIAVKRLSKNSGQGVEEFKNEFRLIARLQHRNLVRLLGCCVDNEEKMLVYEYMENKSLDSFLFVKSDAFSFGVLVLEIVSGKQNRGFYYQNEDENLLGFAWRLWRERRGLELRDSTMAFESHPEFDDQVMRSIHVGLLCVQEKAEDRPYMTDVMLMLISEGALLPQPKQPGFCLGSKPVKTDSSSSYNDQSFNQLTITMQDGR >itb11g05950.t1 pep chromosome:ASM357664v1:11:3556311:3561082:-1 gene:itb11g05950 transcript:itb11g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAEEDKKPGGDQSAAHINLKVKGQDGNEVFFRIKRSTQLRKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGMRLVYNIAGCIQIRDRRELDHCSLMKANFVGFARRLATLLPSSLLPTHHGLRLYCSPAPSPPMNSKLFVAGLSWSVDEKSLNDAFSDFGQVTEVRIMYDKDTGRSRGFGFVYFSKEEEAKSAKDAMDGKAFLGRPLRISFALEKVRGAPVVVPRLTGTGDGARRNH >itb01g34650.t1 pep chromosome:ASM357664v1:1:37227388:37229332:-1 gene:itb01g34650 transcript:itb01g34650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELMFCHKANRRGRSYVAPERLPQSPPPLLQAQESPWADLPCELLLNIIWRVEANETTWPGRRDVVSCAAVCRSWRQTTKWVVRTPLQSGLLTFPCSLKLPGPRDSPIQCFIKRERSTSTFRLYLGLTPAFSVEAGKLLMTAKKNRRPMSTDFLISLSKDDFSQSSDNYVGKLRSNFLGTKLSIHDSQPSCNTAIQMNNWSCKRFLLKKGAANPQTSNYRVATISYEINLLRTRGPRRMQCAMHTIPFSAMQEGGSVSTPTSFQNYKFTSPISIEKPQGSTSSGSNPLILRNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLMAAVDASQNIVPVAEQEKVILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFDTKPACE >itb11g03900.t1 pep chromosome:ASM357664v1:11:2096635:2104532:-1 gene:itb11g03900 transcript:itb11g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRLHFLLIIIIGLLLQSSMMIIAQTILNRANLTDKAALVTFKSRLLLDPGSVLAKNWSETSSHCSWVGVSCGRRHGRVTALVLPSMGLRGTIAGDIGNLSFLVSFDISGNSFYGHVPEELGRLRRLRSLFMGANQLSGNIPAAFGLLTNLRVLNMSQNHLSGNVPREIGNLSALQLLALGWNNLTGNIWSEFGNLSSLQNLSLRLNNFSGTIPASIANLSNLEKFDIGHNSINGDIPSELGQQSSLKEIYLSFNSFEGEFPRPLLNISGLQQIALVVNNFSGNLPLDLAFKLPNIEGLYLASNSFSGSIPPSISNASKLAYLDLGRNFLSGYIPSTLGNLDQLLFLSLQFNRLGKDPSSLELGFLASLMKLKQLQILQIGQNPLNGTFPRSFPVGNIWSEFGNLSSLQNLSLRLNNFSGTIPASIANLSNLEKFDIGHNSINGDIPSELGQQSSLKEIYLSFNSFEGEFPRPLLNISGLQQIALVVNNFSGNLPLDLAFKLPNIEGLYLASNSFSGSIPPSISNASKLAYLDLGRNFLSGYIPSTLGNLDQLLFLSLQFNRLGKDPSSLELGFLASLMKLKQLQILQIGQNPLNGTFPRSFPVGNLSMSLMTFLAAESGIRGQIPSEIGNLTNLIWLGIDDNYLTGMVPRTFGNLQQMQRINLGGNRLDGTIPTNICNLKDLYFLGLHNNKLLGRIPSCLGNLSSLTQLYLGSNQFFSSLPPSLWLNNKIQILDLSSNHLSGSISPDVGLLSSITELYLSSNQFTGEIPSTLGQLQHLVNLSLSINMLHGHIPQSFGSLVGLGYLDLSQNNLSGVIPMSLEKLQYLVYLNVSFNHLTGKIPDGGPFRNFSAQSFMGNDALCGLQLGACESPEHGESRKVRSLLKYVLPTLALILLVAIFVIVALRSRSGNTKFQDGTSLEPPHFMRKRISYHDMLRATDNFDEKYGAIGMVSAMGDVYSYGILLAETFTRKKPTDEMFSGDLTMERWIFESFPGNIMQRVDDNLVVEGEENFVLKQICLKSIMELVLQCTHDLPENRINMKQVVDALKKIISKFHKN >itb10g01630.t1 pep chromosome:ASM357664v1:10:1243200:1246207:-1 gene:itb10g01630 transcript:itb10g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVCCISYVFLAFLCFFCNLGLSADSLRPGESVTRNRTLVSAGGNFALGFFRPGNSSSSFLGIWYNSNNNTVIWVANRESPLPQDSEPVFTLGYDGNLQLLDGGGRNIIWSTNISGGGSTAAQLQDTGDLVVKQGESIVWESFDGDSDTLMPGMRLKVNKKTGKRNLLRSWIGSDDPRPGKFSWAMDPKGSSQFFIWKEGKPYYRSITLQLGFTYSAYFPSGGYAYYSYTTENDEVYFSYGYANTLIQARFTLTPEGRIQFLLRQKASDEWPKLWEVPATDCELYARCGSFGSCERFDSHSVCSCLEGFKPKSQKDWDKGKYDAGCERSIALGCGEADTFMRLPMMKWPDHSSSLGNMTFQECQMECSKNCSCTAFAYANITSNSAVNCINWFGDLVDLGHNYSSGGFGQDLYVRVHSSELNGSSGNDHSSHKNKRRLVAIIVASVSAFFLICVLVYILTCGRKGWNIRLCYSVISLQEFLSEFGMVAIKRLSKQSSQGLNEFMNEVKLIAKLQHTNLVRLLGCCIEDEEKILIYEYLPKRSLDTFLFDTFQKEILDWNTRFQIIEGVAQGILYLHKYSRLKVIHRDLKASNILLDEAMKPKISDFGMARIFEIDQTQAKTNHVVGTYGYIPPEYVSEKSDIYSFGVLLLEIVSGHRNSNFIETQLSVTLLGWAWENWKDGKSLEFIDIVIKESCDSLKVIRCIEVGLLCVHAIPRDRPTMSDVVRMLCNHPTTAIPKLKELAFVSSNSNFIVCSSHPNSKNEITVSIIDPR >itb14g06360.t1 pep chromosome:ASM357664v1:14:5628902:5641036:1 gene:itb14g06360 transcript:itb14g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFCSNPNPHAVFQRHKCKCPLVRTNIATCGSFLNRGYCSLSSSSTATSNSFSYASGVVRCGLAPRRPDYVPNRISDPNYVRVFDTTLRDGEQSPGATMTTKEKLDVARQLAKLGVDIIEAGFPAASEADFEAVRLIAQEVGNGEGEHVPVICGLSRCNQRDIDRAWEAVKDAKKPRVHTFIATSEIHMKFKLNMTREQVVEKATSMVAYARSLGCSDVEFSPEDAGRSDREFLYHILGEVIKAGATTLNIPDTVGYTLPTEFGQLIADIKVNTPGIENVIISTHCQNDLGLSTANTLAGAYAGARQVVMALKCRGEQVLGGLYTGINTQHIIMASKMVEEYTGLLVQPHKAIVGANAFAHESGIHQDGMLKHKDTYEIMSPEDIGLHRANQSGIVLGKLRYSLISASNLGYDIDGKEFDDLFWRFKSVAEKKKKITDDDLIALISDEVFQPQLVWKLGDLQVTCGSLGLSTATVKLIDADDEEHVACCVGTGPVDAAYKAVDLIVKVPVTLLEYSLTAVTEGIDAIASTRVLIRGDNSHTSTHALTGDVVNRTFSGTGAAMDIVISSVRAYVGALNKMLSFTNLVSRYNKTEDNVVAK >itb10g20230.t1 pep chromosome:ASM357664v1:10:25865716:25867153:1 gene:itb10g20230 transcript:itb10g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTKQVSGGDHNHHQHGIIEWENPQNPGGSTKLDLPTVQPHQSVQPPPQKAEPLKCPRCASENTKFCYYNNYNKSQPRHFCKGCKRHWTEGGTLRNVPVGGSRKNKRLKTPSNPNAVTPAVKSSSPAAATCDNQKPVFSSENKNHAPSLFFPSAIQADPVIGGAATAFNIGSTPMVLHDDQETTKLLPFSFSSFFDPISCSIPSFNTQSSSNNAYSDIIVGELDNVEESTITTVMPFTSSAVISQPWDMANYWSLSEIDSLVSADLNIPWDDLEIKPKGK >itb14g18330.t3 pep chromosome:ASM357664v1:14:21406129:21410453:1 gene:itb14g18330 transcript:itb14g18330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNELANTFSLLNLDVADSQEDTAVVSKENGKENKEENCSGEVTKLKDDDSGEEYKLPLVWIDLEMTGLNVEVDRILEIACVITNGQLTKSIEGPDLVIHQPKECLDNMGEWCQEHHAASGLTEKVLESTITVKDAENQKYMPDLASLFSHVLVDVSSVKALCLRWYPRGELLLFLP >itb14g18330.t1 pep chromosome:ASM357664v1:14:21406129:21410453:1 gene:itb14g18330 transcript:itb14g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELANTFSLLNLDVADSQEDTAVVSKENGKENKEENCSGEVTKLKDDDSGEEYKLPLVWIDLEMTGLNVEVDRILEIACVITNGQLTKSIEGPDLVIHQPKECLDNMGEWCQEHHAASGLTEKVLESTITVKDAENQVVEFVKRNVGTYSPLLAGNSVYVDFIFLKKYMPDLASLFSHVLVDVSSVKALCLRWYPRDNKKAPRKENKHRAMDDIKESIAELKYYKEHIFKASKSRR >itb14g18330.t2 pep chromosome:ASM357664v1:14:21406129:21410453:1 gene:itb14g18330 transcript:itb14g18330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELANTFSLLNLDVADSQEDTAVVSKENGKENKEENCSGEVTKLKDDDSGEEYKLPLVWIDLEMTGLNVEVDRILEIACVITNGQLTKSIEGPDLVIHQPKECLDNMGEWCQEHHAASGLTEKVLESTITVKDAENQKYMPDLASLFSHVLVDVSSVKALCLRWYPRDNKKAPRKENKHRAMDDIKESIAELKYYKEHIFKASKSRR >itb14g18330.t4 pep chromosome:ASM357664v1:14:21406136:21408733:1 gene:itb14g18330 transcript:itb14g18330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNELANTFSLLNLDVADSQEDTAVVSKENGKENKEENCSGEVTKLKDDDSGEEYKLPLVWIDLEMTGLNVEVDRILEIACVITNGQLTKSIEGPDLVIHQPKECLDNMGEWCQEHHAASGLTEKVLESTITVKDAENQVHIAS >itb10g13750.t1 pep chromosome:ASM357664v1:10:19944207:19950134:-1 gene:itb10g13750 transcript:itb10g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGVDEGDPQPPLPRVDRFGFLKQDGNSNGFARSKSAYEHQREERRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFVAGLLLLYMSEEDAFWLLVALLKGAVHSPMEGMYLVGLPLVQQYLFQFDQLVKDLMPKLGEHFSQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPDDAMNPDTLLPMAYSLKISKRLKELKQQYEKQRAKVPEAHAKPKEPSP >itb10g13750.t2 pep chromosome:ASM357664v1:10:19944207:19950134:-1 gene:itb10g13750 transcript:itb10g13750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGVDEGDPQPPLPRVDRFGFLKQDGNSNGFARSKSAYEHQREERRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFVAGLLLLYMSEEDAFWLLVALLKGAVHSPMEGMYLVGLPLVQQYLFQFDQLVKDLMPKLGEHFSQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPDDAMNPDTLLPMAYSLKISKRLKELKQQYEKQRAKVPEAHAKPKEPSP >itb13g20550.t2 pep chromosome:ASM357664v1:13:27362253:27365766:1 gene:itb13g20550 transcript:itb13g20550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSLSGSSKRAKAPGSVGQVAHCLVDGCNADLSRCREYHRRHKVCELHSKTPKVTIGGREQRFCQQCSRFHSLAEFDEVKRSCRKRLDGHNRRRRKPQPESLSKSSGMLFSGQQGTVIAGAKLLSFSSSQIFPTSSVSSTWPGFSKPENDVVLYSNNQSQSHLNYIIDTQNSFPDSCAQFKGVMNQFQFMQGSSEASSISQQQQQQQPLVDHNSARGNSSQKLFAAAGLSSRIVDSDRALSLLSSASSSSVNREIGLSHVVQPEVIPHPLHYGGLISHYPFAHEIETKPAGVSAAAADCHAIKNTARLQFHNVIQNAPTTDVSSSGGPHQTHTFIWEQD >itb13g20550.t1 pep chromosome:ASM357664v1:13:27361856:27365775:1 gene:itb13g20550 transcript:itb13g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSLSGSSKRAKAPGSVGQVAHCLVDGCNADLSRCREYHRRHKVCELHSKTPKVTIGGREQRFCQQCSRFHSLAEFDEVKRSCRKRLDGHNRRRRKPQPESLSKSSGMLFSGQQGAKLLSFSSSQIFPTSSVSSTWPGFSKPENDVVLYSNNQSQSHLNYIIDTQNSFPDSCAQFKGVMNQFQFMQGSSEASSISQQQQQQQPLVDHNSARGNSSQKLFAAAGLSSRIVDSDRALSLLSSASSSSVNREIGLSHVVQPEVIPHPLHYGGLISHYPFAHEIETKPAGVSAAAADCHAIKNTARLQFHNVIQNAPTTDVSSSGGPHQTHTFIWEQD >itb15g04920.t1 pep chromosome:ASM357664v1:15:3184158:3186521:1 gene:itb15g04920 transcript:itb15g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSNCFAKWDEELPPPDELMPLSQTLISPDLAMAFDIQNPPSPHHAPLQPPLMAVQTPPPPSSSQPNSSAEFDSADIAGAASGGAGADEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSNGGGAGGGGAGNNSGSGVDPATDHLFASSPVPPHFLHPVRSNSDHFLPFVPVAAAMQHHHQMVGHHPQLQQQYRHFGSPPNGGQFEHTFLSRQSQVQRIGAPVHNSNNNNNNATSLVPSYVEDVESANGRKFMEAQVFDYTRNLEYFLCGVCYDPRRDRHWLR >itb13g25190.t1 pep chromosome:ASM357664v1:13:30620030:30621919:1 gene:itb13g25190 transcript:itb13g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFPAIFTSMIRCYHTSAAGFSLNLLHFLQNSIDSQSLKLAQQCHAQIHRSGLTQDSVLATKLISAYSTCRNAFDSRLVFYSIEAKSVYIYNALINGYAKNRFFAQSIDLFEQMCAGTVLPDEFTFSGIVKVLGESGDFVSGRMVHCMCEKKGFVLDTVVGNSFMSMYVKGGSLHDALKVFDELPCRNLSSWNCIISGYANLRDQTFENSLWEFVREMQTEGWKFDAITVSTLLSFCAEDKKTWHYGRELHCYVLKNGLKLEVSFDDDAHLGCCLIDIYAKSGRVDSARKVFEELIHRNVYAWTAIINGYAQNGDFMEAFALFFEMQMEGIKPNKVSLISILPACGSLVSLKGGKQVHGFAIRHELNQEVSFCNALIDMYFKTGSLSSARKLFLGCNTKDRISWSSMIVGYGLHGRGQEAVRLFDEMLQHGIKPDMITAVAVLSACARVGLVDDGIRVYRSVLNDYGVKPTLEMCSCMVDLFGRSGQLERALDFIDTMPVPPGASVWGALMNASALHGNDEMRELAYNFLVQIEPENPANYVSLSNLYASSQKWDVVSRVRTLMKERGLKKMPGLSWINISNETHSFYIFDKAHPCSNMIYERLDELSTAMKEAGLDSEFESAIKLCE >itb15g00720.t1 pep chromosome:ASM357664v1:15:418372:424868:1 gene:itb15g00720 transcript:itb15g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAVPEPLMMLVVMAAAATLITAANGVSGTFPRSVMTLERAFPANQKVELEELRARDRLRHARLLQNFAGGIANFPVIGSSDPYLVGLYFTRIKLGSPPREFNVQIDTGSDILWVTCSSCNNCPRTSGLGVELSFYDAASSSTAAHISCSDRICDSIIRTASASAQCSAESNQCSYSFQYGDASGTSGQYVSDMLYFDTVLGASLIANSSAPIVFGCSTSQSGSLTLPDRAVDGIFGFGQHDLSVISQLSSRGITPKVFSHCLKGEGSGGGILVLGEILDPRIVYTPLVPSQLHYNVYLQSIAVNGQLLPIDPEVFATSGNCGTIVDSGTTLAYLVPEAYEPFVSAITSSVSQLARPVISTGKQCFLVSTSITEIFPSVSLNFAGGASMALRPSEYLVHMGFVEGSSLWCIGFEKMDCVTILGDIILKDKIFVYDLAHQRIGWADYDCSMSVNVSITSGKDEFISSGQLSVSGSSSSVFLHLQPTPCRISTHLLRTLILMIGLGFSFL >itb06g22980.t2 pep chromosome:ASM357664v1:6:25126374:25143014:-1 gene:itb06g22980 transcript:itb06g22980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPRDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t10 pep chromosome:ASM357664v1:6:25126374:25140362:-1 gene:itb06g22980 transcript:itb06g22980.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t5 pep chromosome:ASM357664v1:6:25126367:25143014:-1 gene:itb06g22980 transcript:itb06g22980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPRDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t4 pep chromosome:ASM357664v1:6:25126418:25142987:-1 gene:itb06g22980 transcript:itb06g22980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQFQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t1 pep chromosome:ASM357664v1:6:25126374:25143014:-1 gene:itb06g22980 transcript:itb06g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t8 pep chromosome:ASM357664v1:6:25126367:25143003:-1 gene:itb06g22980 transcript:itb06g22980.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVFKFQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t9 pep chromosome:ASM357664v1:6:25129619:25143014:-1 gene:itb06g22980 transcript:itb06g22980.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQFQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKP >itb06g22980.t11 pep chromosome:ASM357664v1:6:25126367:25140362:-1 gene:itb06g22980 transcript:itb06g22980.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPRDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t12 pep chromosome:ASM357664v1:6:25126367:25140362:-1 gene:itb06g22980 transcript:itb06g22980.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t3 pep chromosome:ASM357664v1:6:25126352:25143003:-1 gene:itb06g22980 transcript:itb06g22980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQFQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPRDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t7 pep chromosome:ASM357664v1:6:25126367:25143014:-1 gene:itb06g22980 transcript:itb06g22980.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb06g22980.t6 pep chromosome:ASM357664v1:6:25126352:25143003:-1 gene:itb06g22980 transcript:itb06g22980.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRHTDACQFQGEGGFPPPPVGNDNQAHQFRGGNKVYRSGPLFLSSKVGIGWTTWKKRWFILTRTSLVFFRSDPTVAPKKGGEINLTLGGIDLNSSGSVVVKDDKKLLTVLFPDGRDGRAFTLKAETLEDLLEWKAALEEALANAPNAALVMGQNGVFRNDQGNALDASDQSKDRQPAKSLVIGRPILLALEDIDGSPSFLEKALRFVEEYGVRVEGILRQAADVDDVERRIREYEQGRREFSPDEDAHVIADCAKYVLRELPSSPVPASCCKALLEAHRTAAGLRVNAMRNAILETFPEPNRRLLQRILTMMQKVASHKNENRMSISAVAACMAPLLLRPLLSGECELERNFDMGDDGSVLLLKAAAAANHAQAIVITLLEEYTNLFGEGSVSPELYSDSDGTDDEELSDDESYNDEDVGSAADFDDDSGHVSTGATSETSENEGANKVSRGSNSSFESLEVNKKVSKGLPQISVQHEGTGCALNHSSHMSTMPANESHDLLGEPAEASTSQRSVDVSNAPGHTVRRPAVRVRSRGINLPLESIDFNIEKYPEIQRLEATKIDLENKIAEEAKGNTLLKESLAKRKNALNERRLALQKDVARLQEQLQKERELRMVLEAELTNSQRPLVISSSIDEATKTELEEIAQAETDVSDLKQRADDLGTQLNKQLEQNSKFHTDTGSQPQQTHQGKPRDKNRDADTHPTSQAQEKPVRSKHDTSLNKADSDKDKKNESQPSVNKQNKQSDPMRNPNTSGALVVFEPAAARAAASSRKSASRTEGPNPSSALSKLTNRLNFLKERRTQIANELLNLDKSLNSCQTGGVPEKKGSDPIHSSQNMSENQSSEKHKGSESSQAAHATDGQSVQNLDRGKR >itb03g01940.t1 pep chromosome:ASM357664v1:3:1096574:1098323:1 gene:itb03g01940 transcript:itb03g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGESVRECESSCGGSKKKKVTVKDEFAQAIARIAVAQICEGVGFQNFHQSAMDTLSDVAVRYIRDVGKIANSCANLAGRSQCNVFDVIEGLEDLGSIQGFCGASDVSHCLLGSGAIKDIIRYVDEAEEIPFPYSIPNFPVVKNWKLNPTYLQTGENPPEHVPAWLPAFPDPKSYAGLNLVEKKEADSRIVKAEEVEDQRMAGKPLLNLQQQLAVNGREAAVAVDPVDAAEEKRTVQCNPFLAPPLQFGEKEVSQVVLPARLSDDTFMQHSDHAITRNHDSMLDAFTPAIEAVQSRSSNHDDGGKKVLMERRTAVRFRLESHRKTLGRAASLWDEADEELESWVVNDNEKVNGKRPAEQILNSSMNNSQEQAHL >itb11g21280.t1 pep chromosome:ASM357664v1:11:22797392:22802078:1 gene:itb11g21280 transcript:itb11g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPHPCSPMSAIGIQKKKSFKRKAVSRNSSFDCRKEDHLHRIPGRRFLNGSSEVATLFSQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPHGHMVAKRVRDSLPLKLSAHWEVNTKSQDVLREINLNSVDSLNSLDVSVITADEEPRASTDVEEVQKHPDAFPSLKESFLNAFRVMDRELRSVTNIDCFCSGTTAVTLVKQGRVLVIGNVGDSRAVLGTRNIDNYITAVQLTVDLKPNLPEEAERIRKCKGRVFALRDEPEVARVWLPNTDSPGLAMARALGDFCLKDFGLISVPEISYRCLSDKDEFIVLATDGIWDVLSNQEVVKVVASSTRSSAAKALVETAVRGWKTKYPNSKVDDCAVVCLFLNSKSNDMSSASSAKYKDRAVATEQKEVDRHEEEELSDESREEVLEQEDLNEKVGKEWSALEGVTRVNTLVTLPRFVPEKEDKKAIGGTKTKKQ >itb11g21280.t4 pep chromosome:ASM357664v1:11:22797392:22802075:1 gene:itb11g21280 transcript:itb11g21280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPHPCSPMSAIGIQKKKSFKRKAVSRNSSFDCRKEDHLHRIPGRRFLNGSSEVATLFSQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPHGHMVAKRVRDSLPLKLSAHWEVNTKSQDVLREINLNSVDSLNSLDVSVITADEEPRASTDVEEVQKHPDAFPSLKESFLNAFRVMDRELRSVTNIDCFCSGTTAVTLVKQGRVLVIGNVGDSRAVLGTRNIDNYITAVQLTVDLKPNLPEEAERIRKCKGRVFALRDEPEVARVWLPNTDSPGLAMARALGDFCLKDFGLISVPEISYRCLSDKDEFIVLATDGIWDVLSNQEVVKVVASSTRSSAAKALVETAVRGWKTKYPNSKVDDCAVVCLFLNSKSNDMSSASSAKYKDRAVATEQKEVDRHEEEELSDESREEVLEQEDLNEKVGKEWSALEGVTRVNTLVTLPRFVPEKEDKKAIGGTKTKKQ >itb11g21280.t5 pep chromosome:ASM357664v1:11:22797843:22802078:1 gene:itb11g21280 transcript:itb11g21280.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPHPCSPMSAIGIQKKKSFKRKAVSRNSSFDCRKEDHLHRIPGRRFLNGSSEVATLFSQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPHGHMVAKRVRDSLPLKLSAHWEVNTKSQDVLREINLNSVDSLNSLDVSVITADEEPRASTDVEEVQKHPDAFPSLKESFLNAFRVMDRELRSVTNIDCFCSGTTAVTLVKQGRVLVIGNVGDSRAVLGTRNIDNYITAVQLTVDLKPNLPEEAERIRKCKGRVFALRDEPEVARVWLPNTDSPGLAMARALGDFCLKDFGLISVPEISYRCLSDKDEFIVLATDGIWDVLSNQEVVKVVASSTRSSAAKALVETAVRGWKTKYPNSKVDDCAVVCLFLNSKSNDMSSASSAKYKDRAVATEQKEVDRHEEEELSDESREEVLEQEDLNEKVGKEWSALEGVTRVNTLVTLPRFVPEKEDKKAIGGTKTKKQ >itb11g21280.t2 pep chromosome:ASM357664v1:11:22797392:22802078:1 gene:itb11g21280 transcript:itb11g21280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPHPCSPMSAIGIQKKKSFKRKAVSRNSSFDCRKEDHLHRIPGRRFLNGSSEVATLFSQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPHGHMVAKRVRDSLPLKLSAHWEVNTKSQDVLREINLNSVDSLNSLDVSVITADEEPRASTDVEEVQKHPDAFPSLKESFLNAFRVMDRELRSVTNIDCFCSGTTAVTLVKQGRVLVIGNVGDSRAVLGTRNIDNYITAVQLTVDLKPNLPEEAERIRKCKGRVFALRDEPEVARVWLPNTDSPGLAMARALGDFCLKDFGLISVPEISYRCLSDKDEFIVLATDGIWDVLSNQEVVKVVASSTRSSAAKALVETAVRGWKTKYPNSKVDDCAVVCLFLNSKSNDMSSASSAKYKDRAVATEQKEVDRHEEEELSDESREEVLEQEDLNEKVGKEWSALEGVTRVNTLVTLPRFVPEKEDKKAIGGTKTKKQ >itb11g21280.t3 pep chromosome:ASM357664v1:11:22797392:22802078:1 gene:itb11g21280 transcript:itb11g21280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPHPCSPMSAIGIQKKKSFKRKAVSRNSSFDCRKEDHLHRIPGRRFLNGSSEVATLFSQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPHGHMVAKRVRDSLPLKLSAHWEVNTKSQDVLREINLNSVDSLNSLDVSVITADEEPRASTDVEEVQKHPDAFPSLKESFLNAFRVMDRELRSVTNIDCFCSGTTAVTLVKQGRVLVIGNVGDSRAVLGTRNIDNYITAVQLTVDLKPNLPEEAERIRKCKGRVFALRDEPEVARVWLPNTDSPGLAMARALGDFCLKDFGLISVPEISYRCLSDKDEFIVLATDGIWDVLSNQEVVKVVASSTRSSAAKALVETAVRGWKTKYPNSKVDDCAVVCLFLNSKSNDMSSASSAKYKDRAVATEQKEVDRHEEEELSDESREEVLEQEDLNEKVGKEWSALEGVTRVNTLVTLPRFVPEKEDKKAIGGTKTKKQ >itb03g01140.t1 pep chromosome:ASM357664v1:3:600379:601611:-1 gene:itb03g01140 transcript:itb03g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGGTSQACAACKHQRRKCSSDCVLAPYFPSTQPKTFQNAHRLFGVSNILKTLKHIDGEDKKADAMKSIIFESDMRHRFPVHGCVKYIYYLSHRVSQAEEELRCVRAQVERCREQLLKSMNSNDDNSNYNCDTGYAWTDESKALSSFDPISSAEYNDLSIFPHENFPDYYGFIPGEQPSTKEASNSSSVSSSQTDLRNAAAGLSLTRD >itb06g10330.t1 pep chromosome:ASM357664v1:6:14685858:14698134:1 gene:itb06g10330 transcript:itb06g10330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHHHNLSSSAAETAVSSSSAYQSQSPVQPPSSATSSSSSSSAAAAAATTSSSSTSEKPVAAAEDAAAASRDAVSVTTAASESVTVERRGEYAAVCKWAIANFPRIKGRALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRNTTSAKWDCFASYRLAIENPTDASKSIHRDSWHRFSSKKKSHGWCDFTPSNSILDPKLGFLFNNDCLLVTADILILHESVSFSRDNNELQSSSSSNVVVTGPVGDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGVEYLSMCLESKDTEKTLISDRSCWCLFRMSVLNQKPGMNHVHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGSESGYLVDDTAVFSTSFHVIKELSSFSKNGGVIGARIGNSARKSDGHLGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQAQPPCHLSVFLEVTDSRNTNNDWSCFVSHRLSVVNQRIEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSTMQDLTDQDDESGVSGSQLDKVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSGSDLEKNFWVRYRMAILNQKNPSKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVIFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKISGCNDGKKLSRNDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGCPVGDSLEGSSKPFPEGSDPNGTTSPLENDRANGANESMQIPVHDRLDSVMDEGTSLSAVQSSDIGGNDTQDKAFPGQPICPPETSAGGSLENPSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPLAACALLERLQKPDAEPALRVPVFNALSQLECSSDVWERVLFQSFDLLTDCNNEPLAATVDFIFKAAIHCQHLAEAVRAIRTRLKNLGTEVSPCVLDYLSRTVGSCADIAEAILRDIDCDNGFSENCSAVPCGIFLFGENCHTTERPEPVDEHPFRSGDHVSDIYILIEMLSIPCLAVDASQTFERAVARGAVVAQSVAMVLERRLARRISLTSEYVAGNFQHADVVVEGELRAQQDDFTPILSLAETLALSRDPHVKGFVKMLYTILFKWYADESYRLRILKRLVDRATSTTDSAREVDLDLDILATLICEEQEIVRPVLSMMREVAELANVDRAALWHQLCASEDEILRNREERKTEISNMAKEKAVISQKLNESEATNNRLKSEMKAEMDRFARERKELTEQIQDIENQLEWIRSERDEEIAKLTAEKRALQDRLHDAEVQLSQLKSRKRDEQKKLMKEKNALAERLRNAEAARKRFDEELKRFATENVTREEIRQSLEDEVRRLTETVGQTEGEKREKEEQVARCEAYIDGMESKLQACEQYIHHLEASLQEEMSRHAPLYGAGLDALSMKELETLARIHEEGLRQIHTIQQRKGSPGGSPLVSPHNLPHTNGLFPSAPPTMAVGLPPSIVPNGVGIHSNGHVNGAIGPWFNHS >itb09g08690.t3 pep chromosome:ASM357664v1:9:5174964:5184995:1 gene:itb09g08690 transcript:itb09g08690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSISSKSSSGVGGGAAARVSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETAQKLLFQDTFHEVKRKRERRKENINKEPVESKWKPGMMGRGNKADRGSYSSRYASQDGGGGRNSAPGKENGNSHALEKNLSPTYVPTSQEEKSITKGSTVTSPNELSVGAFTPAASAVTGKVEGFPQHGAPMDANKSSKVGQGTMDNHGLPMPASSDSAASFASVPSSGTDLSASDPVLPSQDSQPIGALGGIRSEVQSQRAPVAANSNDSKTISETSEVRSNVQGKKPNKFQGSGKNQPVVSSQTDSSHGGSFGRPSSNYNNRSQVIGPQKAGPAKEWKPKATNSNPSLGPVTDSSSETPIVSLESNILLQSRQDVLETKEGTAELQKKLEETHISEVQHVIIPDHLHVPEAEKLGFCFGSFDYGLSTSSNTVVESDKSSPRSETSDVIEETAREQLPSNESALPSVDEAEYSDHPLSSSPGEENLPSKVAELSPSVPEYNETKQENLQGDHQYPVVQTPPNYSYGFMPPILGSQVGAFESSESQTRDMSRISNFVGANPLVLSTTAATPLVTQAAGLVQTSIAVPQPPLPVFRQPTGMHLPHYPPNYIPYGHYFSPFYPPPAIHQFFSNGAFPQQPQAGSVYQPPPAATTKYSLSQYKQGANAANSTYIGVPGSYGPYGSATANYNPTSTATAGNPASNEDLSASQYKESNIYVSGQQSEGSGVWISAPGRDISSLHASSFYNLPQGQVTFSPTQPGHGTFAGIYHPTPPVTTPTVHPLLQQSQNIAVPNEMVGPTGNVYQQPQHSQINWPSSY >itb09g08690.t2 pep chromosome:ASM357664v1:9:5174964:5184995:1 gene:itb09g08690 transcript:itb09g08690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSISSKSSSGVGGGAAARVSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETAQKLLFQDTFHEVKRKRERRKENINKEPVESKWKPGMMGRGNKADRGSYSSRYASQDGGGGRNSAPGKENGNSHALEKNLSPTYVPTSQEEKSITKGSTVTSPNELSVGAFTPAASAVTGKVEGFPQHGAPMDANKSSKVGQGTMDNHGLPMPASSDSAASFASVPSSGTDLSASDPVLPSQDSQPIGALGGIRSEVQSQRAPVAANSNDSKTISETSEVRSNVQGKKPNKFQGSGKNQPVVSSQTDSSHGGSFGRPSSNYNNRSQVIGPQKAAGPAKEWKPKATNSNPSLGPVTDSSSETPIVSLESNILLQSRQDVLETKEGTAELQKKLEETHISEVQHVIIPDHLHVPEAEKLGFCFGSFDYGLSTSSNTVVESDKSSPRSETSDVIEETAREQLPSNESALPSVDEAEYSDHPLSSSPGEENLPSKVAELSPSVPEYNETKQENLQGDHQYPVVQTPPNYSYGFMPPILGSQVGAFESSESQTRDMSRISNFVGANPLVLSTTAATPLVTQAAGLVQTSIAVPQPPLPVFRQPTGMHLPHYPPNYIPYGHYFSPFYPPPAIHQFFSNGAFPQQPQAGSVYQPPPAATTKYSLSQYKQGANAANSTYIGVPGSYGPYGSATANYNPTSTATAGNPASNEDLSASQYKESNIYVSGQQSEGSGVWISAPGRDISSLHASSFYNLPQGQVTFSPTQPGHGTFAGIYHPTPPVTTPTVHPLLQQSQNIAVPNEMVGPTGNVYQQPQHSQINWPSSY >itb09g08690.t1 pep chromosome:ASM357664v1:9:5174964:5184995:1 gene:itb09g08690 transcript:itb09g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSISSKSSSGVGGGAAARVSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETAQKLLFQDTFHEVKRKRERRKENINKEPVESKWKPGMMGRGNKADRGSYSSRYASQDGGGGRNSAPGKENGNSHALEKNLSPTYVPTSQEEKSITKGSTVTSPNELSVGAFTPAASAVTGKVEGFPQHGAPMDANKSSKVGQGTMDNHGLPMPASSDSAASFASVPSSGTDLSASDPVLPSQDSQPIGALGGIRSEVQSQRAPVAANSNDSKTISETSEVRSNVQGKKPNKFQGSGKNQPVVSSQTDSSHGGSFGRPSSNYNNRSQVIGPQKAGPAKEWKPKATNSNPSLGPVTDSSSETPIVSLESNILLQSRQDVLETKEGTAELQKKLEETHISEVQHVIIPDHLHVPEAEKLGFCFGSFDYGLSTSSNTVVESDKSSPRSETSDVIEETAREQLPSNESALPSVDEAEYSDHPLSSSPGEENLPSKVAELSPSVPEYNETKQENLQGDHQYPVVQTPPNYSYGFMPPILGSQVGAFESSESQTRDMSRISNFVIQQPFDPTSYYAQFYRSGVDGDGRISPFHSTGVQAKFNGNVAVVSPQTPQSIQEGANPLVLSTTAATPLVTQAAGLVQTSIAVPQPPLPVFRQPTGMHLPHYPPNYIPYGHYFSPFYPPPAIHQFFSNGAFPQQPQAGSVYQPPPAATTKYSLSQYKQGANAANSTYIGVPGSYGPYGSATANYNPTSTATAGNPASNEDLSASQYKESNIYVSGQQSEGSGVWISAPGRDISSLHASSFYNLPQGQVTFSPTQPGHGTFAGIYHPTPPVTTPTVHPLLQQSQNIAVPNEMVGPTGNVYQQPQHSQINWPSSY >itb04g30520.t4 pep chromosome:ASM357664v1:4:33624630:33630667:1 gene:itb04g30520 transcript:itb04g30520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MVRPGASSGIFLGAVTLPGLMVSKLIQMSRALALGEVGIEELEYLKVQYWITSAICFSVLIFLCLALFRQPRCRHYINSSCIISCICLYIGVCCFYCSLTSHGGWNAALLLLCLSCHGFAAVKLIVNILDTFPACASIGEALLVTSGLVAYLGDMCVYTASKTHGYSPISNTAFKIHYISRSEISTIIQGMTIGLLLLPMLFKSILRLLEHLRVLSYSGDTADNRIQRSFLFYTSLAFVLVGIVPSWMQVVQDFGMHPFLWVFNFVFSEPLKRLSLCIYWLVLIYASVIRFYKISKHSKLERILLRKYYHLLAVLMFIPALIFQPKFLDLAFGVALGVFLVFEIIRIWRIWPLGQLLHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASLVGHKYGVLRWSKTGSNSSSSSLYFFWLKYIAC >itb04g30520.t1 pep chromosome:ASM357664v1:4:33623802:33630667:1 gene:itb04g30520 transcript:itb04g30520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MASSPLFTGERAVVVIFVARIVSLAPLSILYESISLSILALLALSVEISADDHSPTPLFQFFKTRPGASSGIFLGAVTLPGLMVSKLIQMSRALALGEVGIEELEYLKVQYWITSAICFSVLIFLCLALFRQPRCRHYINSSCIISCICLYIGVCCFYCSLTSHGGWNAALLLLCLSCHGFAAVKLIVNILDTFPACASIGEALLVTSGLVAYLGDMCVYTASKTHGYSPISNTAFKIHYISRSEISTIIQGMTIGLLLLPMLFKSILRLLEHLRVLSYSGDTADNRIQRSFLFYTSLAFVLVGIVPSWMQVVQDFGMHPFLWVFNFVFSEPLKRLSLCIYWLVLIYASVIRFYKISKHSKLERILLRKYYHLLAVLMFIPALIFQPKFLDLAFGVALGVFLVFEIIRIWRIWPLGQLLHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASLVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPLLATTGYIFTKNLFSLLLAVTLSGLLEAYTAQLDNAFIPLMFYSLLCL >itb04g30520.t2 pep chromosome:ASM357664v1:4:33623802:33629834:1 gene:itb04g30520 transcript:itb04g30520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MASSPLFTGERAVVVIFVARIVSLAPLSILYESISLSILALLALSVEISADDHSPTPLFQFFKTRPGASSGIFLGAVTLPGLMVSKLIQMSRALALGEVGIEELEYLKVQYWITSAICFSVLIFLCLALFRQPRCRHYINSSCIISCICLYIGVCCFYCSLTSHGGWNAALLLLCLSCHGFAAVKLIVNILDTFPACASIGEALLVTSGLVAYLGDMCVYTASKTHGYSPISNTAFKIHYISRSEISTIIQGMTIGLLLLPMLFKSILRLLEHLRVLSYSGDTADNRIQRSFLFYTSLAFVLVGIVPSWMQVVQDFGMHPFLWVFNFVFSEPLKRLSLCIYWLVLIYASVIRFYKISKHSKLERILLRKYYHLLAVLMFIPALIFQPKFLDLAFGVALGVFLVFEIIRIWRIWPLGQLLHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASLVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPLLATTGYIFTKVSCFIPRVQIFHTTSITLFTFYSDLKE >itb04g30520.t3 pep chromosome:ASM357664v1:4:33623802:33630667:1 gene:itb04g30520 transcript:itb04g30520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MASSPLFTGERAVVVIFVARIVSLAPLSILYESISLSILALLALSVEISADDHSPTPLFQFFKTRPGASSGIFLGAVTLPGLMVSKLIQMSRALALGEVGIEELEYLKVQYWITSAICFSVLIFLCLALFRQPRCRHYINSSCIISCICLYIGVCCFYCSLTSHGGWNAALLLLCLSCHGFAAVKLIVNILDTFPACASIGEALLVTSGLVAYLGDMCVYTASKTHGYSPISNTAFKIHYISRSEISTIIQGMTIGLLLLPMLFKSILRLLEHLRVLSYSGDTADNRIQRSFLFYTSLAFVLVGIVPSWMQVVQDFGMHPFLWVFNFVFSEPLKRLSLCIYWLVLIYASVIRFYKISKHSKLERILLRKYYHLLAVLMFIPALIFQPKFLDLAFGVALGVFLVFEIIRIWRIWPLGQLLHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASLVGHKYGVLRWSKTGSNSSSSSLYFFWLKYIAC >itb04g30520.t5 pep chromosome:ASM357664v1:4:33623781:33629834:1 gene:itb04g30520 transcript:itb04g30520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MASSPLFTGERAVVVIFVARIVSLAPLSILYESISLSILALLALSVEISADDHSPTPLFQFFKTRPGASSGIFLGAVTLPGLMVSKLIQMSRALALGEVGIEELEYLKVQYWITSAICFSVLIFLCLALFRQPRCRHYINSSCIISCICLYIGVCCFYCSLTSHGGWNAALLLLCLSCHGFAAVKLIVNILDTFPACASIGEALLVTSGLVAYLGDMCVYTASKTHGYSPISNTAFKIHYISRSEISTIIQGMTIGLLLLPMLFKSILRLLEHLRVLSYSGDTADNRIQRSFLFYTSLAFVLVGIVPSWMQVVQDFGMHPFLWVFNFVFSEPLKRLSLCIYWLVLIYASVIRFYKISKHSKLERILLRKYYHLLAVLMFIPALIFQPKFLDLAFGVALGVFLVFEIIRIWRIWPLGQLLHQFMNAFTDHRDSDLLIVSHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASLVGHKYGVLRWSKTGSNSSSSSLYFFWLKYIAC >itb02g01990.t1 pep chromosome:ASM357664v1:2:1095986:1098956:-1 gene:itb02g01990 transcript:itb02g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSACGWLVLSLIVAVLAQVNCIDIYYQWIVSLDYFLDPLGGSKPVITINGMFPGPLINSTTNDVVHVNVFNDMNEPMLITWNGIQQRLNSWQDGVSGTNCPIEPGTNWTYVFQTKDQIGTYTYFPSILMQKVAGGYGPIRVNNRDVILVPFPKPEAEFDLLIGDWFRDDHKLLRIYSRFKSSNSYLDEPDAILINGKGSANPESLTVTKGKTYRLRISNVGSVFSFNVRIQNHKMVVVETEGSYTNQITLDSLDVHVGQSYSLLVSADQDDADYYIVAAPKLHEANETSPLIAKGILHYADSVAAQPAGPLPEGPDPFDLQFSINQAKSIRWNLTAGAARPNPQGTFNVTNITLSQTFVLHGSKGEIRGTPGYLVNNVSYLTPDTPLKLADYFVNGAGVYKLDEFPTHSVKDAADYGVSVVSGTHKGWLEIVFHNDLAVMDSWHLDGFGMHVVGYGDGEWTPAARETYNLYDPVVRSTVQVYPGGWTAVYVFLDNPGMWNLRSQHVKHWYLGQQLYIRVHDDDPNPAKEKPPPTNLLLCG >itb14g04720.t1 pep chromosome:ASM357664v1:14:4140631:4141128:1 gene:itb14g04720 transcript:itb14g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCFHHKPAVKSTSLMITLLVRIISSTVYPHSAYLHHLATVYRHCLQPPCSSLLHRLNEFDTLEKMKLRPLVASKLLWVLRLNFSAFPVDEELESLVPIILHLPNVCSFQYYYDNITLASLRTLLVTLSRNFYSLLIQLVWYFASLAVLFVLSPILSICSFTGL >itb05g17330.t1 pep chromosome:ASM357664v1:5:24309351:24311007:1 gene:itb05g17330 transcript:itb05g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRPVFMAVFVACCYQIAQSSEPPLAPALYVIGDSLLDSGNNNLLPTFARANFFPYGSVFAAGATGRFTNGKTVADFVAEALGLPFPPPYLSLRGSTPLTGLNYASASCGILRETGNDLGKCLSIAEQVDMFNQTVQMELSRHYNSSELSDHLSKSIFLLAIGNNDYINNYLQPNFYNTSRTYSPTSFAKLVVDALSVQFQRLYDLGARKLVMFEIGPIGCIPLFTKKTKRTNNETCVVDYNQLAMMFNDQLDAMLKNLTTTLHDSQFILGHCHWLGYDVAINPSTYGLTDSSNPCCLTWDGASACIPETIACRDADKHYFWDGYHGTEAFYRIVATRCINGTDVCLPKNIMDLVRP >itb02g06700.t1 pep chromosome:ASM357664v1:2:4199818:4200769:-1 gene:itb02g06700 transcript:itb02g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMARKAVMVGEDEKKKKNEAPIRDEGKSEEECRKSSTKEGTKQKKAVRFRVDDEEERRKLGVVRIRLVVTREELRQILNGEDSGYSTSSEELLAAIKLGSRRRRTSSRIRMDDQRFSSSDGDMESRKWRPALESIPEF >itb04g09270.t1 pep chromosome:ASM357664v1:4:8574883:8575155:1 gene:itb04g09270 transcript:itb04g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCQSAVRRERDEPLLDCRGGESHCPAGLSSNRCNSDHHCRGRCLLVATGRPPHLALAFDLQFEDRERGLKLGREMMKAEMELIGYIYT >itb04g07150.t1 pep chromosome:ASM357664v1:4:4775044:4777072:-1 gene:itb04g07150 transcript:itb04g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLLLSPLSHPSETHRPWRLSRVLPGTPAAARTRTNPNRSLTLVRVSSPNSLEHEALQLLSAAPHHIADHFHLQNHDPSSLFQLAAADGAGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFVTTEGTKAANRVAGEILAFFTRNNFVVSDRGETITFEGMMAPSRGQAALLAFCTCISLASCSLVLTITLPEIGNNWFWLTALSPLAGVYYWTRASRKEQVKVKMMVDDDDGTLKEVIVQGDDVQVEQMRKELNFSEKGMVYVKGLFER >itb01g01540.t3 pep chromosome:ASM357664v1:1:894816:898594:-1 gene:itb01g01540 transcript:itb01g01540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAPPSFPSPSPHKFSPTTAKFAFPLSRSSSSICGPHSPVCCRCVSGGADPPQSGDGSSWRWDLTIQDAFTNVMKRFDDFVNPNRNHESVGAVETASEEKDEEWDWERWKKHFSDVEEQERIVSILKSQLAGYINREDYEGAARLKVAIAAAATNDTVGKVKSHFNNTVEEERYSDAAWLRDYAGAGLVGWWVGVSEGDPYGRIIRISAEYGRYVAKSYTPRQLASAMDGAPIFEIFLTMNKKDEYRLQAVYLKRMTATQDLTIPSSKVSGAASNLDSRSPTENKNDLFGNSSEVSEDDEDSDDDSGFGNALQDLIPGVKVKVLKVTAPAKVDRDLISKVIEDIIDDEDEDEEEEEEEDIKETDSEIESVSAEEEIKDQSDIEQNAIEIDADRGVRDGVEQNQIALKVVVSGLVQNMSSNARSKDFQRVPARLERKGRLSFTFTIEEDDKQTSGGSKQSPRNRSPKLQGQRSIDHLMLDLAKFIGRGKIPMKVLKDVSELFTLTLNHARNPQPLSKSTTFNRIEIPTSQDPLNGD >itb01g01540.t2 pep chromosome:ASM357664v1:1:893297:898594:-1 gene:itb01g01540 transcript:itb01g01540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAPPSFPSPSPHKFSPTTAKFAFPLSRSSSSICGPHSPVCCRCVSGGADPPQSGDGSSWRWDLTIQDAFTNVMKRFDDFVNPNRNHESVGAVETASEEKDEEWDWERWKKHFSDVEEQERIVSILKSQLAGYINREDYEGAARLKVAIAAAATNDTVGKVKSHFNNTVEEERYSDAAWLRDYAGAGLVGWWVGVSEGDPYGRIIRISAEYGRYVAKSYTPRQLASAMDGAPIFEIFLTMNKKDEYRLQAVYLKRMTATQDLTIPSSKVSGAASNLDSRSPTENKNDLFGNSSEVSEDDEDSDDDSGFGNALQDLIPGVKVKVLKVTAPAKVDRDLISKVIEDIIDDEDEDEEEEEEEDIKETDSEIESVSAEEEIKDQSDIEQNAIEIDADRGVRDGVEQNQIALKVVVSGLVQNMSSNARSKDFQRVPARLERKGRLSFTFTIEEDDKQTSGGSKQSPRNRSPKLQGQRSIDHLMLDLAKFIGRGKIPMKVLKDVSELFTLTLNHARNPQPLSKSTTFNRIEIPTSQDPLNGLYVGTHGLRSSEVIHLRRRFGQWKEDGVTKQSSRIEFYEYVEAVKLTGDPYVPAGQIAFRAKVGKQYQLPHKGIFPEEFGVIARYKGQGRLAEPGFQNPRWVDGELVILDGKVCSSRVSSRFISHMILFRISSHSLCFCSTSKEGLSLGSYTGQPSIISWFSSNA >itb01g01540.t1 pep chromosome:ASM357664v1:1:893297:898594:-1 gene:itb01g01540 transcript:itb01g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAPPSFPSPSPHKFSPTTAKFAFPLSRSSSSICGPHSPVCCRCVSGGADPPQSGDGSSWRWDLTIQDAFTNVMKRFDDFVNPNRNHESVGAVETASEEKDEEWDWERWKKHFSDVEEQERIVSILKSQLAGYINREDYEGAARLKVAIAAAATNDTVGKVKSHFNNTVEEERYSDAAWLRDYAGAGLVGWWVGVSEGDPYGRIIRISAEYGRYVAKSYTPRQLASAMDGAPIFEIFLTMNKKDEYRLQAVYLKRMTATQDLTIPSSKVSGAASNLDSRSPTENKNDLFGNSSEVSEDDEDSDDDSGFGNALQDLIPGVKVKVLKVTAPAKVDRDLISKVIEDIIDDEDEDEEEEEEEDIKETDSEIESVSAEEEIKDQSDIEQNAIEIDADRGVRDGVEQNQIALKVVVSGLVQNMSSNARSKDFQRVPARLERKGRLSFTFTIEEDDKQTSGGSKQSPRNRSPKLQGQRSIDHLMLDLAKFIGRGKIPMKVLKDVSELFTLTLNHARNPQPLSKSTTFNRIEIPTSQDPLNGLYVGTHGLRSSEVIHLRRRFGQWKEDGVTKQSSRIEFYEYVEAVKLTGDPYVPAGQIAFRAKVGKQYQLPHKGIFPEEFGVIARYKGQGRLAEPGFQNPRWVDGELVILDGKYIKGGPVIGFVYWATEYHFLVFFQRLRLQE >itb07g12090.t1 pep chromosome:ASM357664v1:7:13680703:13681925:-1 gene:itb07g12090 transcript:itb07g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAILLSGTTGRMNLDGNSRSLITFSPRFGYSKITIQSDSANIVRWINDFNFDTTGGGSMNNILSECKNWMMNNWEVNCYHVLREQNQVAHWLATKSGMDPELVLLPACPHRELDLLIQNDIVGVPCIRGD >itb14g16870.t1 pep chromosome:ASM357664v1:14:20245756:20249177:1 gene:itb14g16870 transcript:itb14g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDRNQSVKIGVLRIIYGKTTARETYGCCPLSSAVSAVRLPAPSLHFTVSCYNNTNKYIYIQSCAFTFRHLSHNPERHRSRRCPPPSPPKQHIRTMGQELSCRPREEKGLFTAVQGGDVEMVEAVLQRDPSLVRRATVYDRHSALHIAAANGHIQILTVLLNRSINPDLLNRHKQTPLMLAAMYGKIFCVQKLIEAGANILVFDSLHGRTCLHYAAYYGHSDCLKAILSAARTSHVAVSWGYARFVNVRDGKGATPLHLASRQRQPECVHMLLDNGALVCASTSGYGFPGSSPLHLAARGGSLDCIRELLAWGADRLQKDVSGRIPYTVALRYHHGACAALLNPSSAEPLVWPSPLKFISELNKEAKALLEQALMEANKEREKNILKGTSNALPPPHFEAGSDGKIPEAGDTEVCCICFDQLCSIEVRDCGHQMCAHCVLALCCHNKPDPKTTVAAVPLCPFCRSSIAQLSAIEVKGGDSEQDAYSKTTMKARRSRNMNEGSSSFKGLSSGVGSLGRIGGCGLGKIAAENQWINKPSSLGS >itb11g00430.t1 pep chromosome:ASM357664v1:11:194250:196142:-1 gene:itb11g00430 transcript:itb11g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVKVGANKFSERMPLGTSAQGKDYKEAPPAPLFEAGELHSWSFWRAGIAEFMATFLFLYITVLTVMGYSRSKSKCNTVGVQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIYYVVMQCLGAICGAGVVKGFQPSLYASNGGGANVVAHGYTKGDGLGAEIVGTFILVYVVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDQWIFWVGPFIGAALAALYHQVVIRAIPFKSGN >itb04g23390.t1 pep chromosome:ASM357664v1:4:28468209:28472971:1 gene:itb04g23390 transcript:itb04g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARADARRSRYKVAVDAEDGRRRREENMVEIRKNKREENLLKKRREGLQAQQLQSSAADDTLIDKKLESLPAMVAAACSNDANLQLEATTQLRKLLSIERNPPIEEVIQSGVVSRFVEFLARDDYHQLQFEAAWALTNIVSGTSENTKVVIDHGAIPILIRLLSSPSDDVSEQAVWALGNIAGDSPTCRDLSINHGALIPLLALFNDQSKLSMLRNATWTLSNFCRGKPQPPFEELKMALPILARLILSTDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPCVIIPALRTVGNIVTGDDIQTQVIIDHQALACLLSLLTQNYKKNIKKEACWTISNITAGNKDQIQAVIDAGLIVPVVNLLQHAEFDIKKEAAWAISNATSGGSKEQIKFLVAQGCIKPLCDLLACHDPRIVTVCLEGLENILKVGETEKAADADPDSVNIYAQLIDEADGLDKIESLQNHDNNEIYEKAIKLLETYWLEDEDEQVTSGDAPQPGFDFGGGELNVPSGGFKFG >itb04g23390.t2 pep chromosome:ASM357664v1:4:28468276:28472970:1 gene:itb04g23390 transcript:itb04g23390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARADARRSRYKVAVDAEDGRRRREENMVEIRKNKREENLLKKRREGLQAQQLQSSAADDTLIDKKLESLPAMVAAACSNDANLQLEATTQLRKLLSIERNPPIEEVIQSGVVSRFVEFLARDDYHQLQFEAAWALTNIVSGTSENTKVVIDHGAIPILIRLLSSPSDDVSEQAVWALGNIAGDSPTCRDLSINHGALIPLLALFNDQSKLSMLRNATWTLSNFCRGKPQPPFEELKMALPILARLILSTDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPCVIIPALRTVGNIVTGDDIQTQVIIDHQALACLLSLLTQNYKKNIKKEACWTISNITAGNKDQIQAVIDAGLIVPVVNLLQHAEFDIKKEAAWAISNATSGGSKEQIKFLVAQGCIKPLCDLLACHDPRIVTVCLEGLENILKVGETEKAADADPDSVNIYAQLIDEADGLDKIESLQNHDNNEIYEKAIKLLETYWLEDEDEQVTSGDAPQPGFDFGGGELNVPSGGFKFG >itb13g16760.t1 pep chromosome:ASM357664v1:13:23699489:23701975:-1 gene:itb13g16760 transcript:itb13g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 20, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13830) UniProtKB/Swiss-Prot;Acc:Q9SDN0] MCCNSHGLTLSRSDPRFSYLSIISSTRPDPRRAVFFPVHLRNPRLRVRTGRIKSKLNDAVSVAEPKSFYDLLGIPETGSLLEIKQAYKQLARKYHPDVSPPDRVEEYTQRFIQVQEAYETLSDPRRRALYDRDMAKGLHFAFSARRRCQSDEYMEEKSEWKGRWQSQLSELKRRSMHKDSGDNLSWGARMRRQRNNEEAS >itb05g20300.t1 pep chromosome:ASM357664v1:5:26450147:26451923:1 gene:itb05g20300 transcript:itb05g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIIIISICLFLLLTFSSTTACDRCVHQSKLSSVLQSAGACGYGSMAVSFNGGHIAAAGVKIYKDGARCGACYQIRCKNPNFCTNHGTIVMVTDLVTSTNETDFVVSTGTLRAMANMGNDQNILQLVNTNLDVEYKRVACEHGSNNLAIRVEESSQRPSHLAVTFLNQGGQTEIIAVDVAPVGFPNWSFMRRSTRGGAIWETSAAPSGPLQFRLVVTAGFDGKWYWASNALPADWETGMIYDSGIQITDIAQETDCSPCDDGTW >itb09g20250.t1 pep chromosome:ASM357664v1:9:17004558:17006907:1 gene:itb09g20250 transcript:itb09g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIWVANRNNPLTNSTGFLKIDEDGNIHLVDETGNSIWSSSNSNQSVPGNTVAQHLDFGNLGPPSLDSRIATGKVVDGLNGGRDWTGAGLALDATRAMMSVSQMVTNP >itb09g29980.t1 pep chromosome:ASM357664v1:9:30683673:30691695:1 gene:itb09g29980 transcript:itb09g29980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASEVQSQISKNNAIGIGGNLAVNGNAGGGVASVVFRHQMSSVSSLELMGSVGMRALIGVQASRNLSLHSTATMGLAMSLRDGSINLSNIWTRQLSDTTNGNIQLSLGTESSVAVGWQKKEQKMSASGEIKIGMGSFGASAHYTRRFSSKSHGRIASRFGSTALELEVGGGRKISEFSTVCMLYTVGVQGIFWKFELHRGGQKLIVPILLSRHLDPLFATGAFVIPTSFYFIFKKFVLKPYYLKREKMKALENAEQTITKVREARAAAEKAQHILENVANRKRNRQLETGGLVVTRAVYGSRKALRNRTKNMEETGDDAASQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTFQGNKFEATVDDYEELIIPHEAHRI >itb09g29980.t3 pep chromosome:ASM357664v1:9:30683741:30691601:1 gene:itb09g29980 transcript:itb09g29980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKADADGPPNRELYALLNISPEASTEEIRKAYRQWAQVYHPDKYQAPQMKDIATENFQRICEAYEILSDETKRQIYDIYGMEGLTSGLELGPKLNKAEEIKEELERLRHQKELEKVAAHIRPSGSILANLSLPQFLQGKGIMKGMAMASEVQSQISKNNAIGIGGNLAVNGNAGGGVASVVFRHQMSSVSSLELMGSVGMRALIGVQASRNLSLHSTATMGLAMSLRDGSINLSNIWTRQLSDTTNGNIQLSLGTESSVAVGWQKKEQKMSASGEIKIGMGSFGASAHYTRRFSSKSHGRIASRFGSTALELEVGGGRKISEFSTVCMLYTVGVQGIFWKFELHRGGQKLIVPILLSRHLDPLFATGAFVIPTSFYFIFKKFVLKPYYLKREKMKALENAEQTITKVREARAAAEKAQHILENVANRKRNRQLETGGLVVTRAVYGSRKALRNRTKNMEETGDDAASQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTFQGNKFEVSRFPLANFSTLKIEN >itb09g29980.t2 pep chromosome:ASM357664v1:9:30683702:30691716:1 gene:itb09g29980 transcript:itb09g29980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADADGPPNRELYALLNISPEASTEEIRKAYRQWAQVYHPDKYQAPQMKDIATENFQRICEAYEILSDETKRQIYDIYGMEGLTSGLELGPKLNKAEEIKEELERLRHQKELEKVAAHIRPSGSILANLSLPQFLQGKGIMKGMAMASEVQSQISKNNAIGIGGNLAVNGNAGGGVASVVFRHQMSSVSSLELMGSVGMRALIGVQASRNLSLHSTATMGLAMSLRDGSINLSNIWTRQLSDTTNGNIQLSLGTESSVAVGWQKKEQKMSASGEIKIGMGSFGASAHYTRRFSSKSHGRIASRFGSTALELEVGGGRKISEFSTVCMLYTVGVQGIFWKFELHRGGQKLIVPILLSRHLDPLFATGAFVIPTSFYFIFKKFVLKPYYLKREKMKALENAEQTITKVREARAAAEKAQHILENVANRKRNRQLETGGLVVTRAVYGSRKALRNRTKNMEETGDDAASQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTFQGNKFEATVDDYEELIIPHEAHRI >itb01g09920.t3 pep chromosome:ASM357664v1:1:8217026:8221582:-1 gene:itb01g09920 transcript:itb01g09920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDMPSDLTILINNIRFLLHKFPLLPKCGLLQRLCSNAEDSGNVVVELHDIPGGEVAFELCAKFCYGITINVSAQNFVAAFCAAKFLRMTDVFEKGNLVPKLEAFFTSCILEGWKDSILALQTTEKLAEWAENLGVMRKCIDSIVEKILSPPVKVRWSYTYTRPGYDQRRRQQSVPKDWWTEDLSELSIDHFRCIISTVKSTNALQPQLIGEALHVYACRWLPDVTKALLPLASSSSSSAQSLDRKQRVLETIVSLIPTDAGSVSVGFLLRLLSTANHLGASPVTKAELIRRSGLQFEEATLNDLLLPSQGSGSACDVGLVEVVAESFSRQWQRQASGEETRSVRSIVKIAKLIDSYLQVIARDPKMPVEKMVSIASAVPEMARPVHDDLYKAINTYLKEHPDLSKAEKKHLCSILDCQKLSREVCAHAVRNERLPLRTIVQVLFFEQDRGGSTATVSSKLPSPSPKQPHNHHSFMKRLNLDSDQQSVKTDNNDRRKSSHHVNVKGIPPQIEPRKEREILEEGVSSGTKPDPPRIQRTR >itb01g09920.t1 pep chromosome:ASM357664v1:1:8217026:8221582:-1 gene:itb01g09920 transcript:itb01g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDTFFTEDATRTMVSDMPSDLTILINNIRFLLHKFPLLPKCGLLQRLCSNAEDSGNVVVELHDIPGGEVAFELCAKFCYGITINVSAQNFVAAFCAAKFLRMTDVFEKGNLVPKLEAFFTSCILEGWKDSILALQTTEKLAEWAENLGVMRKCIDSIVEKILSPPVKVRWSYTYTRPGYDQRRRQQSVPKDWWTEDLSELSIDHFRCIISTVKSTNALQPQLIGEALHVYACRWLPDVTKALLPLASSSSSSAQSLDRKQRVLETIVSLIPTDAGSVSVGFLLRLLSTANHLGASPVTKAELIRRSGLQFEEATLNDLLLPSQGSGSACDVGLVEVVAESFSRQWQRQASGEETRSVRSIVKIAKLIDSYLQVIARDPKMPVEKMVSIASAVPEMARPVHDDLYKAINTYLKEHPDLSKAEKKHLCSILDCQKLSREVCAHAVRNERLPLRTIVQVLFFEQDRGGSTATVSSKLPSPSPKQPHNHHSFMKRLNLDSDQQSVKTDNNDRRKSSHHVNVKGIPPQIEPRKEREILEEGVSSGTKPDPPRIQRTR >itb01g09920.t2 pep chromosome:ASM357664v1:1:8217026:8221582:-1 gene:itb01g09920 transcript:itb01g09920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDTFFTEDATRTMVSDMPSDLTILINNIRFLLHKFPLLPKCGLLQRLCSNAEDSGNVVVELHDIPGGEVAFELCAKFCYGITINVSAQNFVAAFCAAKFLRMTDVFEKGNLVPKLEAFFTSCILEGWKDSILALQTTEKLAEWAENLGVMRKCIDSIVEKILSPPVKVRWSYTYTRPGYDQRRRQQSVPKDWWTEDLSELSIDHFRCIISTVKSTNALQPQLIGEALHVYACRWLPDVTKALLPLASSSSSSAQSLDRKQRVLETIVSLIPTDAGSVSVGFLLRLLSTANHLGASPVTKAELIRRSGLQFEEATLNDLLLPSQGSGSACDVGLVEVVAESFSRQWQRQASGEETRSVRSIVKIAKLIDSYLQVIARDPKMPVEKMVSIASAVPEMARPVHDDLYKAINTYLKEHPDLSKAEKKHLCSILDCQKLSREVCAHAVRNERLPLRTIVQVLFFEQDRGGSTATVSSKLPSPSPKQPHNHHSFMKRLNLDSDQQSVKTDNNDRRKSSHHVNVKGIPPQIEPRKEREILEEGVSSGTKPDPPRIQRTR >itb06g14700.t1 pep chromosome:ASM357664v1:6:19189231:19194192:-1 gene:itb06g14700 transcript:itb06g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKSRGFDSTPKTVVSRKLTILLCVGCFCVGMLFTDRMWTVPEAKGISRPTQIKDDKIKLVSEGCDTGIKHSERESRDILMEVSKTQNAIQTLDKTISNLEMELAAARALQDSMLTGSPISEDLKIPELNKKRKYLMVVGINTAFSSRKRRDSIRATWMPQGDKLKKLEEERGIIMRFVIGHSATSGGILDRAIEAEDKKHGDFLRLEHVEGYLELSAKTKTYFATAVALWDADFYVKVDDDIHVNIGTLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEEGNKYFRHATGQLYAISKDLATYISINQNMLHKYTNEDVSLGSWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWRCSGICNSVERIKEVHRRCGEGDNALWNAAF >itb13g18790.t1 pep chromosome:ASM357664v1:13:25687999:25688542:1 gene:itb13g18790 transcript:itb13g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHVNPSFLLLFFITLSPAVINMVQTEARNLLEITLPQLPFPEIPTILPKPEIPEIPKPELPTLPKPEFPEIPHPELPSIPKLPEFPEIPKPELPAFPKPELPTKP >itb10g17120.t1 pep chromosome:ASM357664v1:10:23364820:23368127:1 gene:itb10g17120 transcript:itb10g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECEAAAKVCSILIYPLKSCRGISVPEAALTSTGFRWDRQWMVVNARGRACTQKVEPSLTLVEPELPHEAFSEGWEPKKGSFLVIKAPGMDVLKIPLVAPSAISNGVSVWEWSGSALDEGDRASEWFTKFLGKPCRLVRFNEATENRSANPSYASGYHIKFNDAFPYLLASQKSLDALNEQLEEPVSIMRFRANIIVEDCEAYAEDLWKEMKINGLTFHSSQLCYRCKVTRVHPETAALGPEPLETLSKFRSNKVLHPERKPLGRIYFGQYVVCTDCSDEGKKKSIRVGDSVHVLKMVSSYADVSV >itb14g04640.t1 pep chromosome:ASM357664v1:14:4104637:4108423:-1 gene:itb14g04640 transcript:itb14g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEHLETMWEEEKKDNNCKGEEQNPVRTNKRKHKEVAIFGNYRNYYGYRIGFDEDPRLKAMKKEWFEGKNCLDIGCNSGAVTIAIAQKFCCRSILGIDIDSDRVEDSYWHLEKVLRAQKRLLKTSKQADSKRLNGLEGHLAESLNGRTRDDSEESHASGRRDLSDIVSFKKANFIRNWYPPENMHFDTIICLSVSKWVQLNWGDEGLITLFVKAWRLLQPGGMFILEPQPWSSYNKNRLVSETARSNYQNIKIYPEDFQDILLDKIGFRRVEDITSNASGQKSGFQRPIFAFWK >itb14g04640.t2 pep chromosome:ASM357664v1:14:4104637:4108423:-1 gene:itb14g04640 transcript:itb14g04640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEHLETMWEEEKKDNNCKGEEQNPVRTNKRKHKEVAIFGNYRNYYGYRIGFDEDPRLKAMKKEWFEGKNCLDIGCNSGAVTIAIAQKFCCRSILGIDIDSDRVEDSYWHLEKVLRAQKRLLKTSKQADSKRLNGLEGHLAESLNGRTRDDSEESHASGRRDLSDIVSFKKANFIRNWYPPENMHFDTIICLSVSKWVQLNWGDEGLITLFVKAWRLLQPVLIC >itb12g19950.t3 pep chromosome:ASM357664v1:12:22396626:22403405:-1 gene:itb12g19950 transcript:itb12g19950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTTVNSISLTPCNILISRKNNLLTRCSVFQKPGRQTLSTQRYAIPFSTPVRLFPHLRVGFDIQPKLRTPVVSSAETDVAVEEADSATVDKSPTEAPENSPDVDEQNKKSPVKSDAGPTPAPSRRSRPARKSEMPPIKNEDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSNLSNSFVKDVTSVVSVGQEVTVRLLEVNMETGRISLTMRESDETSRQKQPKDAPASSDKSRAPKRTPQRNNQRRDDVKKTSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEMADEGFGEIMGGSSLQVGQEVSVRVLRITRGQATLTMKKEEATGELDSVLNQGVIYKATNPFLLAFRKNKEISAFLDKREEEVLAEKSEKDINEAGVDISMVDDTLSETVEKDGEVTETINEDKDAKAITTTTDQLSEKPSAVEDDNLPETVVKDEEVVATTDEDKDVNSHITDSLEEANGASQNIVEELEASSETVEAEASTITTDQPSEKPSATEDDSLPITEDQLAEPEVSTTTTDQLSEEPSAINAIETNAQGETADQLAEPEVSTTTIDQLSEEPSAVIAAETDAQGETADQLAEPEVSTTTIDQISEEPSAVNAAETDAQGEEEPSGTDLLENGSTASLSEQNAVSQDNENLTEENQISGEVVTASSVVEDDVQKETKAAISPALVKQLRDETGAGMMDCKKALSETGGDIAKALEYLRKKGLATADKKASRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFRELVDDLAMQVAACPQVQYLATEDVPEEIVNKEREIEMQKEDLMSKPEQIRSKIVDGRIRKRLEQFALLEQPYIKDDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSVPPPAKEEPAAAEAKETVEAPKTAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQYVNSEDIPESTVSKEKELEMQREDLQNKPENIREKIVEGRVSKRLGELTLLEQPFIKDDSVLVKDLVKQTVATLGENVKVRRFIRFTLGE >itb12g19950.t5 pep chromosome:ASM357664v1:12:22396626:22403958:-1 gene:itb12g19950 transcript:itb12g19950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTTVNSISLTPCNILISRKNNLLTRCSVFQKPGRQTLSTQRYAIPFSTPVRLFPHLRVGFDIQPKLRTPVVSSAETDVAVEEADSATVDKSPTEAPENSPDVDEQNKKSPVKSDAGPTPAPSRRSRPARKSEMPPIKNEDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSNLSNSFVKDVTSVVSVGQEVTVRLLEVNMETGRISLTMRESDETSRQKQPKDAPASSDKSRAPKRTPQRNNQRRDDVKKTSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEMADEGFGEIMGGSSLQVGQEVSVRVLRITRGQATLTMKKEEATGELDSVLNQGVIYKATNPFLLAFRKNKEISAFLDKREEEVLAEKSEKDINEAGVDISMVDDTLSETVEKDGEVTETINEDKDAKAITTTTDQLSEKPSAVEDDNLPETVVKDEEVVATTDEDKDVNSHITDSLEEANGASQNIVEELEASSETVEAEASTITTDQPSEKPSATEDDSLPITEDQLAEPEVSTTTTDQLSEEPSAINAIETNAQGETADQLAEPEVSTTTIDQLSEEPSAVIAAETDAQGETADQLAEPEVSTTTIDQISEEPSAVNAAETDAQGEEEPSGTDLLENGSTASLSEQNAVSQDNENLTEENQISGEVVTASSVVEDDVQKETKAAISPALVKQLRDETGAGMMDCKKALSETGGDIAKALEYLRKKGLATADKKASRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFRELVDDLAMQVAACPQVQYLATEDVPEEIVNKEREIEMQKEDLMSKPEQIRSKIVDGRIRKRLEQFALLEQPYIKDDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSVPPPAKEEPAAAEAKETVEAPKTAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQYVNSEDIPESTVSKEKELEMQREDLQNKPENIREKIVEGRVSKRLGELTLLEQPFIKDDSVLVKDLVKQTVATLGENVKVRRFIRFTLGE >itb12g19950.t2 pep chromosome:ASM357664v1:12:22396626:22402164:-1 gene:itb12g19950 transcript:itb12g19950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTTVNSISLTPCNILISRKNNLLTRCSVFQKPGRQTLSTQRYAIPFSTPVRLFPHLRVGFDIQPKLRTPVVSSAETDVAVEEADSATVDKSPTEAPENSPDVDEQNKKSPVKSDAGPTPAPSRRSRPARKSEMPPIKNEDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSNLSNSFVKDVTSVVSVGQEVTVRLLEVNMETGRISLTMRESDETSRQKQPKDAPASSDKSRAPKRTPQRNNQRRDDVKKTSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEMADEGFGEIMGGSSLQVGQEVSVRVLRITRGQATLTMKKEEATGELDSVLNQGVIYKATNPFLLAFRKNKEISAFLDKREEEVLAEKSEKDINEAGVDISMVDDTLSETVEKDGEVTETINEDKDAKAITTTTDQLSEKPSAVEDDNLPETVVKDEEVVATTDEDKDVNSHITDSLEEANGASQNIVEELEASSETVEAEASTITTDQPSEKPSATEDDSLPITEDQLAEPEVSTTTTDQLSEEPSAINAIETNAQGETADQLAEPEVSTTTIDQLSEEPSAVIAAETDAQGEEEPSGTDLLENGSTASLSEQNAVSQDNENLTEENQISGEVVTASSVVEDDVQKETKAAISPALVKQLRDETGAGMMDCKKALSETGGDIAKALEYLRKKGLATADKKASRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFRELVDDLAMQVAACPQVQYLATEDVPEEIVNKEREIEMQKEDLMSKPEQIRSKIVDGRIRKRLEQFALLEQPYIKDDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSVPPPAKEEPAAAEAKETVEAPKTAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQYVNSEDIPESTVSKEKELEMQREDLQNKPENIREKIVEGRVSKRLGELTLLEQPFIKDDSVLVKDLVKQTVATLGENVKVRRFIRFTLGE >itb12g19950.t1 pep chromosome:ASM357664v1:12:22396626:22403036:-1 gene:itb12g19950 transcript:itb12g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTTVNSISLTPCNILISRKNNLLTRCSVFQKPGRQTLSTQRYAIPFSTPVRLFPHLRVGFDIQPKLRTPVVSSAETDVAVEEADSATVDKSPTEAPENSPDVDEQNKKSPVKSDAGPTPAPSRRSRPARKSEMPPIKNEDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSNLSNSFVKDVTSVVSVGQEVTVRLLEVNMETGRISLTMRESDETSRQKQPKDAPASSDKSRAPKRTPQRNNQRRDDVKKTSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEMADEGFGEIMGGSSLQVGQEVSVRVLRITRGQATLTMKKEEATGELDSVLNQGVIYKATNPFLLAFRKNKEISAFLDKREEEVLAEKSEKDINEAGVDISMVDDTLSETVEKDGEVTETINEDKDAKAITTTTDQLSEKPSAVEDDNLPETVVKDEEVVATTDEDKDVNSHITDSLEEANGASQNIVEELEASSETVEAEASTITTDQPSEKPSATEDDSLPITEDQLAEPEVSTTTTDQLSEEPSAINAIETNAQGETADQLAEPEVSTTTIDQLSEEPSAVIAAETDAQGEEEPSGTDLLENGSTASLSEQNAVSQDNENLTEENQISGEVVTASSVVEDDVQKETKAAISPALVKQLRDETGAGMMDCKKALSETGGDIAKALEYLRKKGLATADKKASRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFRELVDDLAMQVAACPQVQYLATEDVPEEIVNKEREIEMQKEDLMSKPEQIRSKIVDGRIRKRLEQFALLEQPYIKDDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSVPPPAKEEPAAAEAKETVEAPKTAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQYVNSEDIPESTVSKEKELEMQREDLQNKPENIREKIVEGRVSKRLGELTLLEQPFIKDDSVLVKDLVKQTVATLGENVKVRRFIRFTLGE >itb12g19950.t4 pep chromosome:ASM357664v1:12:22396626:22403958:-1 gene:itb12g19950 transcript:itb12g19950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIPTTVNSISLTPCNILISRKNNLLTRCSVFQKPGRQTLSTQRYAIPFSTPVRLFPHLRVGFDIQPKLRTPVVSSAETDVAVEEADSATVDKSPTEAPENSPDVDEQNKKSPVKSDAGPTPAPSRRSRPARKSEMPPIKNEDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSNLSNSFVKDVTSVVSVGQEVTVRLLEVNMETGRISLTMRESDETSRQKQPKDAPASSDKSRAPKRTPQRNNQRRDDVKKTSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEMADEGFGEIMGGSSLQVGQEVSVRVLRITRGQATLTMKKEEATGELDSVLNQGVIYKATNPFLLAFRKNKEISAFLDKREEEVLAEKSEKDINEAGVDISMVDDTLSETVEKDGEVTETINEDKDAKAITTTTDQLSEKPSAVEDDNLPETVVKDEEVVATTDEDKDVNSHITDSLEEANGASQNIVEELEASSETVEAEASTITTDQPSEKPSATEDDSLPITEDQLAEPEVSTTTTDQLSEEPSAINAIETNAQGETADQLAEPEVSTTTIDQLSEEPSAVIAAETDAQGETADQLAEPEVSTTTIDQISEEPSAVNAAETDAQGEEEPSGTDLLENGSTASLSEQNAVSQDNENLTEENQISGEVVTASSVVEDDVQKETKAAISPALVKQLRDETGAGMMDCKKALSETGGDIAKALEYLRKKGLATADKKASRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFRELVDDLAMQVAACPQVQYLATEDVPEEIVNKEREIEMQKEDLMSKPEQIRSKIVDGRIRKRLEQFALLEQPYIKDDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSVPPPAKEEPAAAEAKETVEAPKTAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQYVNSEDIPESTVSKEKELEMQREDLQNKPENIREKIVEGRVSKRLGELTLLEQPFIKDDSVLVKDLVKQTVATLGENVKVRRFIRFTLGE >itb11g07130.t2 pep chromosome:ASM357664v1:11:4313916:4316875:-1 gene:itb11g07130 transcript:itb11g07130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLFQVIDDSQSDFVFCRDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFLLSDYEEDMKQMKNLSKEEFVQILRRQSTGFSRGSSKYRGVTLHRCGRWEARMGQLLGKKAYDKAAIKCYGREAMTNFEPSAYEGEMNKNSRDGGTICPMDVGTNTSLDLNLWISPPLDGPKRPENPRKLQFNFEARDLSLLKRMKVECTPGTPYGPAMASQYSRWPGLQSTLTPNNEEVLMGMNAEASSPVFSNLRWLSQGVAPSAPRFSSAASSGFSSTATSMFKFPSAALPPSAASQNNLTTLSPN >itb11g07130.t1 pep chromosome:ASM357664v1:11:4313916:4317127:-1 gene:itb11g07130 transcript:itb11g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPPPYPQPIQPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFLLSDYEEDMKQMKNLSKEEFVQILRRQSTGFSRGSSKYRGVTLHRCGRWEARMGQLLGKKAYDKAAIKCYGREAMTNFEPSAYEGEMNKNSRDGGTICPMDVGTNTSLDLNLWISPPLDGPKRPENPRKLQFNFEARDLSLLKRMKVECTPGTPYGPAMASQYSRWPGLQSTLTPNNEEVLMGMNAEASSPVFSNLRWLSQGVAPSAPRFSSAASSGFSSTATSMFKFPSAALPPSAASQNNLTTLSPN >itb03g01710.t2 pep chromosome:ASM357664v1:3:959622:963830:1 gene:itb03g01710 transcript:itb03g01710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTNSSVCSETSGKNESDGGRPIKSSTEKARRLSNVPGVLPSDSLSSRGSDDGSSSRRYSTGKQNPADNGQSNLPHYLRASTGSCHDFCKYGRKHSSESKPMLPLSQRIKRPHHQKLNSVGPTVGEGAKATLPKPRPSDHSRESRDPEVHFPPPPEIIKEVVFLEPKEVERSPIKHNSSSDNVMPKTDTKIKNMPVKVKCSPPMQRPQAKVLKQSSVHKSLEVVKPAARRVSDIGSRGKSDILSCEKNGDTKTGRKTIAPKASRQRVIVSLTALPTSKSSVSKSLNTRKRMDNSSASVKNKNRVEEAETKTEEEKVSEKTLHVIEVDPKDVEMDPKDDLVEPAQDGEIMEDEDDDDVVEPAQDGEILAPLSPPSSLLPESSSTSNTRDLQIARNPRKSLTKAKPVVSKLDASLPVKLKFRRGKVVDIRQENNSPRRLSFRKRTLLGKNQDSKTEGKGKLVDSMHDGQKLSSHPPSREKGGQNGKEVSGLANSKGDDNKPALKGVKSAGRTQNKTSKKDNPIVMRLDNPLPVKLKFKRGTVVNPQHESTAPKRLGFVKVRLLRENQGSSRSDVKKNSKKRVESGENSTSLNPGKVVLRHQDVQQGKKDAQGLFNNVIEETASKLVETKKSKVKALVGAFETVISLQEKKPSAHAVS >itb03g01710.t1 pep chromosome:ASM357664v1:3:959622:963830:1 gene:itb03g01710 transcript:itb03g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTNSSVCSETSGKNESDGGRPIKSSTEKARRLSNVPGVLPSDSLSSRGSDDGSSSRRYSTGKQNPADNGQSNLPHYLRASTGSCHDFCKYGRKHSSESKPMLPLSQRIKRPHHQKLNSVGPTVGEGAKATLPKPRPSDHSRESRDPEVHFPPPPEIIKEVVFLEPKEVERSPIKHNSSSDNVMPKTDTKIKNMPVKVKCSPPMQRPQAKVLKQSSVHKSLEVVKPAARRVSDIGSRGKSDILSCEKNGDTKTGRKTIAPKASRQRVIVSLTALPTSKSSVSKSLNTRKRMDNSSASVKNKNRVEEAETKTEEEKVSEKTLHVIEVDPKDVEMDPKDDLVEPAQDGEIMEDEDDDDVVEPAQDGEILAPLSPPSSLLPESSSTSNTRDLQIARNPRKSLTKAKPVVSKLDASLPVKLKFRRGKVVDIRQENNSPRRLSFRKRTLLGKNQDSKTEGKGKLVDSMHDGQKLSSHPPSREKGGQNGKEVSGLANSKGDDNKPALKGVKSAGRTQNKTSKKDNPIVMRLDNPLPVKLKFKRGTVVNPQHESTAPKRLGFVKVRLLRENQGSSRSDVKKNSKKRVESGENSTSLNPGKVVLRHQDVQQGKKDAQGLFNNVIEETASKLVETKKSKVKALVGAFETVISLQEKKPSAHAVS >itb03g01710.t5 pep chromosome:ASM357664v1:3:960922:963830:1 gene:itb03g01710 transcript:itb03g01710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTNSSVCSETSGKNESDGGRPIKSSTEKARRLSNVPGVLPSDSLSSRGSDDGSSSRRYSTGKQNPADNGQSNLPHYLRASTGSCHDFCKYGRKHSSESKPMLPLSQRIKRPHHQKLNSVGPTVGEGAKATLPKPRPSDHSRESRDPEVHFPPPPEIIKEVVFLEPKEVERSPIKHNSSSDNVMPKTDTKIKNMPVKVKCSPPMQRPQAKVLKQSSVHKSLEVVKPAARRVSDIGSRGKSDILSCEKNGDTKTGRKTIAPKASRQRVIVSLTALPTSKSSVSKSLNTRKRMDNSSASVKNKNRVEEAETKTEEEKVSEKTLHVIEVDPKDVEMDPKDDLVEPAQDGEIMEDEDDDDVVEPAQDGEILAPLSPPSSLLPESSSTSNTRDLQIARNPRKSLTKAKPVVSKLDASLPVKLKFRRGKVVDIRQENNSPRRLSFRKRTLLGKNQDSKTEGKGKLVDSMHDGQKLSSHPPSREKGGQNGKEVSGLANSKGDDNKPALKGVKSAGRTQNKTSKKDNPIVMRLDNPLPVKLKFKRGTVVNPQHESTAPKRLGFVKVRLLRENQGSSRSDVKKNSKKRVESGENSTSLNPGKVVLRHQDVQQGKKDAQGLFNNVIEETASKLVETKKSKVKALVGAFETVISLQEKKPSAHAVS >itb03g01710.t3 pep chromosome:ASM357664v1:3:959719:963830:1 gene:itb03g01710 transcript:itb03g01710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTNSSVCSETSGKNESDGGRPIKSSTEKARRLSNVPGVLPSDSLSSRGSDDGSSSRRYSTGKQNPADNGQSNLPHYLRASTGSCHDFCKYGRKHSSESKPMLPLSQRIKRPHHQKLNSVGPTVGEGAKATLPKPRPSDHSRESRDPEVHFPPPPEIIKEVVFLEPKEVERSPIKHNSSSDNVMPKTDTKIKNMPVKVKCSPPMQRPQAKVLKQSSVHKSLEVVKPAARRVSDIGSRGKSDILSCEKNGDTKTGRKTIAPKASRQRVIVSLTALPTSKSSVSKSLNTRKRMDNSSASVKNKNRVEEAETKTEEEKVSEKTLHVIEVDPKDVEMDPKDDLVEPAQDGEIMEDEDDDDVVEPAQDGEILAPLSPPSSLLPESSSTSNTRDLQIARNPRKSLTKAKPVVSKLDASLPVKLKFRRGKVVDIRQENNSPRRLSFRKRTLLGKNQDSKTEGKGKLVDSMHDGQKLSSHPPSREKGGQNGKEVSGLANSKGDDNKPALKGVKSAGRTQNKTSKKDNPIVMRLDNPLPVKLKFKRGTVVNPQHESTAPKRLGFVKVRLLRENQGSSRSDVKKNSKKRVESGENSTSLNPGKVVLRHQDVQQGKKDAQGLFNNVIEETASKLVETKKSKVKALVGAFETVISLQEKKPSAHAVS >itb03g01710.t4 pep chromosome:ASM357664v1:3:960922:963830:1 gene:itb03g01710 transcript:itb03g01710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTNSSVCSETSGKNESDGGRPIKSSTEKARRLSNVPGVLPSDSLSSRGSDDGSSSRRYSTGKQNPADNGQSNLPHYLRASTGSCHDFCKYGRKHSSESKPMLPLSQRIKRPHHQKLNSVGPTVGEGAKATLPKPRPSDHSRESRDPEVHFPPPPEIIKEVVFLEPKEVERSPIKHNSSSDNVMPKTDTKIKNMPVKVKCSPPMQRPQAKVLKQSSVHKSLEVVKPAARRVSDIGSRGKSDILSCEKNGDTKTGRKTIAPKASRQRVIVSLTALPTSKSSVSKSLNTRKRMDNSSASVKNKNRVEEAETKTEEEKVSEKTLHVIEVDPKDVEMDPKDDLVEPAQDGEIMEDEDDDDVVEPAQDGEILAPLSPPSSLLPESSSTSNTRDLQIARNPRKSLTKAKPVVSKLDASLPVKLKFRRGKVVDIRQENNSPRRLSFRKRTLLGKNQDSKTEGKGKLVDSMHDGQKLSSHPPSREKGGQNGKEVSGLANSKGDDNKPALKGVKSAGRTQNKTSKKDNPIVMRLDNPLPVKLKFKRGTVVNPQHESTAPKRLGFVKVRLLRENQGSSRSDVKKNSKKRVESGENSTSLNPGKVVLRHQDVQQGKKDAQGLFNNVIEETASKLVETKKSKVKALVGAFETVISLQEKKPSAHAVS >itb14g06350.t3 pep chromosome:ASM357664v1:14:5615206:5620931:-1 gene:itb14g06350 transcript:itb14g06350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIIFHK >itb14g06350.t10 pep chromosome:ASM357664v1:14:5615206:5620609:-1 gene:itb14g06350 transcript:itb14g06350.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIIFHK >itb14g06350.t8 pep chromosome:ASM357664v1:14:5615865:5620856:-1 gene:itb14g06350 transcript:itb14g06350.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGKCWITNPRTRPKP >itb14g06350.t4 pep chromosome:ASM357664v1:14:5615226:5620856:-1 gene:itb14g06350 transcript:itb14g06350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIIFHK >itb14g06350.t2 pep chromosome:ASM357664v1:14:5615206:5620931:-1 gene:itb14g06350 transcript:itb14g06350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIIFHK >itb14g06350.t7 pep chromosome:ASM357664v1:14:5615865:5620856:-1 gene:itb14g06350 transcript:itb14g06350.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGKCWITNPRTRPKP >itb14g06350.t9 pep chromosome:ASM357664v1:14:5615865:5620856:-1 gene:itb14g06350 transcript:itb14g06350.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGKCWITNPRTRPKP >itb14g06350.t1 pep chromosome:ASM357664v1:14:5615206:5620931:-1 gene:itb14g06350 transcript:itb14g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIIFHK >itb14g06350.t6 pep chromosome:ASM357664v1:14:5615865:5620856:-1 gene:itb14g06350 transcript:itb14g06350.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGKCWITNPRTRPKP >itb14g06350.t5 pep chromosome:ASM357664v1:14:5615226:5620856:-1 gene:itb14g06350 transcript:itb14g06350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMVEKHVKYIISVEKKKDDFESVVMEHLRLNGAYWGLTTLDILGKLDVVDQDEVIPWLMQCQHESGGFGGNIGHDPHILYTLSAIQVLALFDKLNVLDIDKVSNYIAGLQNEDGSFSGDIWGEIDTRFSYIAISSLALLKRLDKINVEKAVQYILSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLVKFILDCQDRENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKPIDPAYALPVDVVNRIIFHK >itb15g04110.t1 pep chromosome:ASM357664v1:15:2562426:2564478:-1 gene:itb15g04110 transcript:itb15g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSLKQNTMLLRFIIVILASCSINQINLCSTYPFATPHVPSSTLKLEGYLSFDNIDHAAKDFGNTHHFLPSAVLYPKSVSDISAVIKHVFEMSGGSELTVAARGHGHSLQGQAQAHNGVVINMESLSGEPRLRFQTGGRNPYVDVSAGELWINLLHESLKHGLTPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQINNVYQLEIVTGRGEIMACSEEQNTDLFNAVLGGLGQFGVITRARIALEPAPKMVKWIRVLYSDFATFTSDQENLISSEDTFDYIEGFVIINRTGLVNNWRSTFNPKDPVQASQFKSEGKTLFCLEVAKYFNPEDSGFLDQESAVLLCRKSTRFCQS >itb02g13940.t1 pep chromosome:ASM357664v1:2:10169824:10178312:1 gene:itb02g13940 transcript:itb02g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNFPFIIQADFLLSSSREAILLNSKWNKGILNCVSVAFLAAFTSLVKANQDAPISTLVHMFNFLPLKASPHSSLDSIKDSIREKLLQEDIMPSHSNTTQKFFRKPNQVGRLKPAFWTLVDKARKQGVSFLNTSSHGKYILNHAFDQAKYKDILKFLDVKFVEDEWYSKCIQSFDLVLGVPKDLYLELLLFVADKWGPFSKTSMVKIPLLKYLDSNLNIGLCSVNSASTGDHLRLFICTCSVDASWLTSWNREFRCVGGYFIAESTQEELPSSPSKWPKVFDWLCRRVRVESVDVQNYAVMIRDSLSHDQKLVLAYAHFLYHSFKLKYLSATEIDSLCLEMALFDDYGRVATKRKRVVVPADGSKWVQLLGPSNPWKREGYIELGGEYLHAQRYAGVCTNKEELLDFLKTYAGVLDIPNLPPPDASLSSMASPLTRDNAFLLLGWIDKMKKKEIPETFLKCIREGSWLRVHVCGNPGYRPPSQSFLPSSSWGDHLQNRSLPVDIPLVDQEFYGDEISEYKNALRTAGVMFELKEASEFIGKHFMSLYASSTLTKSDVISMLNFIRYLRAKVLPPDNFINSIKDESWLQTTQGNKTPGQSVFLDMEWAAASQISNIPFVDQNYYGHEILAYKEELKLLGVIFGFDQNFQLVVSNLKPSGSLTSLSAEAALLALNCIRHLNLGSSDSLCIALAGNRCLKTVSNGYRSPAQCFLPDPTWVSLLQVFDGFPCIDEKFYGSKISLFKNELEMLGVVISFEDVTKSFAEVFRQQTSKCALSKSNALSFLECYRNLKANGLNLLKSDEDIGEVTQEVKWLRTRLGSACTPKECILFGKDWKAISSVSLLPFLDDAYYDQGILEYRAELCSMGVTTTFKKGSKFVLAGLRLPKNPGEISPSVACSLLHCLRNLQEAVDMDLISVLLEKLDHKWIKTQAAGYQSPKQCLLFGSRWSGRLKQEDGPFIDDKFYGPDILSYTKELQALGVVVEAKNGCSLVADYLYVHSNRGTINRIYTYLNDHGWVPTSDVSAKIWIPYGENSGKWVSPKDCVLHDKTNHFGSHLFVLEKYYSNELLVFFSKLGVNSNPSLENYLKLWKEWECAKRRLLPSECCAFWEFIVNHWSSKMQKLVAENLSKLPVCSGQDGILLLDKNDVFIANDLYKKDLFEQSSVDPLFVWYPQPSLPSLPRTKLLNIYREIGVGALSESAQNMGLSSINCAGLEPATPEMIFIGKTLFTLILGFLAQPSLEMEAEKRHESVRRLVNTTFLKLKEPIAVQYRLSLSSGKTLIAKARRMMVWERERSKFFITEIDKSGGYNCVLEYATYYSEEVSKGILWEKEDAVCELAELIRLGFIVKFDKGIARNLDTECSKATSSAPPPRVLSEVVESMAFHEKYLVSNCWLGSVMFRFMPLLEVSVVNGVLPTGNYSFAKYNKSVDVIEYTDEEYEKYLTDPEVTNISSAVVDAWSCVLNSREKSRRGGVPSQLFASTSTTVCISIPSHRSDAFDRHFACHIYTFVCAGRDHCGSREERLRWFKACLDVDLQATDHVRTATLDMEGHYYVMSISFKHYRWGCSEAFCESLKEFIENSCVASLEKTRKDLKLDGEHNAVEDLDALENRLALHIPRQQEPDPPCYPPKEHEIEKNANGVARHS >itb01g18960.t1 pep chromosome:ASM357664v1:1:24919575:24920823:-1 gene:itb01g18960 transcript:itb01g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKILRSLTPCFYIKRGDQASIIGGVVEFIKELHQVEQSLEAKKRRKSLSPSPVPSPRLPETPLFLNNNNLNSFKEVGACCNSPVADVEARISGTNVILRTVSRRIPGQIVRIITVLERLSFEILHLNISSMEDTVLYSFVVKIGLECQLSVEELALEVQQSFRSESTAFIKEMEN >itb07g03580.t1 pep chromosome:ASM357664v1:7:2393778:2395289:1 gene:itb07g03580 transcript:itb07g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWSPQQAMEAYLNTLELSKLLNYKAGGMGRSKPVEPECMELISALAAGNRAKLMVEVTSGGPTPFTVALAVAAKQTGGRVVCIRPPSSARHHQSEELIPDEWLRGAIEFVEGNPGEVIKKYKGVELMVVDAAVGDGGEVELWENVEVNPRGCVVVATNLEGGNRVWFGEMLKKGKMRGVVKCVTLPIGNGVELTKIRCLGEGKNTQRFKRFHVTFENY >itb09g00110.t3 pep chromosome:ASM357664v1:9:196047:201018:-1 gene:itb09g00110 transcript:itb09g00110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSAPNPNHIFGTGSSSHDNNTVDITLMDELLLDGFWLETTEGSNFWQPAAPFTSPSNPLDSPSSYFPTTSHSNSTAQFTPNQFHNHFPQQTTQNSTFLHMEELDAEYQTNNPDSVPPSSSSPNQPPTFMLQGTEMNKRLWIGPNRSNPTPTASVKKRLVQAIEYLKESTRDKDVLIQIWVPVNSRGRHVLTTNNQPFFLNPNCKSLADYRHVSRNYQFAADNNSKELVGLPGRVFLNKLPEWTPDVRFFRREEYPRVTHAKQYNVSGSLALPVFERGSGTCLGVVEIVTTFQKANYSPELQDVCKALEAVDLRSSGVSSPLDEQICNQSYQATLEEIQDVLKCVCDTHKLPMAQTWAPCIQQGKGGCRHSDENYTSCVSTIESACYVRVPQVLEFHQACSEHHLLKGEGVAGGAFMTNQPCFATDVTAFSKTEYPLSHHARIFGLCAAVAIRLRSIHTGSADFVLEFFLPPDCKGDEEQKQMLHSLSSVIEQICRTLRVVTDQELAVETPFPDQERGSPSAGGLDKEKSKKLVSPPPRDPSQEASSWFTHMMDAQSKGKSTSVSFSNNKDELEENFNLTTNWEKTDVEDSGPKESANSSGDFSSGAKRRTKTEKTISLQVLRQYFAGSLKDAARSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQLSSFYSSFPELGSQSLQGTSNYSASKMNDQLQQVTTQPEGILPLSPGTTCSKSTSSCSHSSSSSFNCSARANQSPFTLNSSAGGDASSAEQSGEMLKRARSDAELHGLGQEDTKLLVRSHSQKIISSHSFQETLAPLSNSTSHTLQNACTFRVKATFGEEKIRFSLQPHWRFGDLQHEILARFNIQDGSKFDIKYLDDDSEWVLLTCDADLEECIDIHRSSKSRTIKLSLHYANNLKHGSSSGSRGEYLM >itb09g00110.t2 pep chromosome:ASM357664v1:9:196047:200198:-1 gene:itb09g00110 transcript:itb09g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSAPNPNHIFGTGSSSHDNNTVDITLMDELLLDGFWLETTEGSNFWQPAAPFTSPSNPLDSPSSYFPTTSHSNSTAQFTPNQFHNHFPQQTTQNSTFLHMEELDAEYQTNNPDSVPPSSSSPNQPPTFMLQGTEMNKRLWIGPNRSNPTPTASVKKRLVQAIEYLKESTRDKDVLIQIWVPVNSRGRHVLTTNNQPFFLNPNCKSLADYRHVSRNYQFAADNNSKELVGLPGRVFLNKLPEWTPDVRFFRREEYPRVTHAKQYNVSGSLALPVFERGSGTCLGVVEIVTTFQKANYSPELQDVCKALEAVDLRSSGVSSPLDEQICNQSYQATLEEIQDVLKCVCDTHKLPMAQTWAPCIQQGKGGCRHSDENYTSCVSTIESACYVRVPQVLEFHQACSEHHLLKGEGVAGGAFMTNQPCFATDVTAFSKTEYPLSHHARIFGLCAAVAIRLRSIHTGSADFVLEFFLPPDCKGDEEQKQMLHSLSSVIEQICRTLRVVTDQELAVETPFPDQERGSPSAGGLDKEKSKKLVSPPPRDPSQEASSWFTHMMDAQSKGKSTSVSFSNNKDELEENFNLTTNWEKTDVEDSGPKESANSSGDFSSGAKRRTKTEKTISLQVLRQYFAGSLKDAARSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQLSSFYSSFPELGSQSLQGTSNYSASKMNDQLQQVTTQPEGILPLSPGTTCSKSTSSCSHSSSSSFNCSARANQSPFTLNSSAGGDASSAEQSGEMLKRARSDAELHGLGQEDTKLLVRSHSQKIISSHSFQETLAPLSNSTSHTLQNACTFRVKATFGEEKIRFSLQPHWRFGDLQHEILARFNIQDGSKFDIKYLDDDSEWVLLTCDADLEECIDIHRSSKSRTIKLSLHYANNLKHGSSSGSRGEYLM >itb09g00110.t1 pep chromosome:ASM357664v1:9:196047:201018:-1 gene:itb09g00110 transcript:itb09g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSAPNPNHIFGTGSSSHDNNTVDITLMDELLLDGFWLETTEGSNFWQPAAPFTSPSNPLDSPSSYFPTTSHSNSTAQFTPNQFHNHFPQQTTQNSTFLHMEELDAEYQTNNPDSVPPSSSSPNQPPTFMLQGTEMNKRLWIGPNRSNPTPTASVKKRLVQAIEYLKESTRDKDVLIQIWVPVNSRGRHVLTTNNQPFFLNPNCKSLADYRHVSRNYQFAADNNSKELVGLPGRVFLNKLPEWTPDVRFFRREEYPRVTHAKQYNVSGSLALPVFERGSGTCLGVVEIVTTFQKANYSPELQDVCKALEAVDLRSSGVSSPLDEQICNQSYQATLEEIQDVLKCVCDTHKLPMAQTWAPCIQQGKGGCRHSDENYTSCVSTIESACYVRVPQVLEFHQACSEHHLLKGEGVAGGAFMTNQPCFATDVTAFSKTEYPLSHHARIFGLCAAVAIRLRSIHTGSADFVLEFFLPPDCKGDEEQKQMLHSLSSVIEQICRTLRVVTDQELAVETPFPDQERGSPSAGGLDKEKSKKLVSPPPRDPSQEASSWFTHMMDAQSKGKSTSVSFSNNKDELEENFNLTTNWEKTDVEDSGPKESANSSGDFSSGAKRRTKTEKTISLQVLRQYFAGSLKDAARSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQLSSFYSSFPELGSQSLQGTSNYSASKMNDQLQQVTTQPEGILPLSPGTTCSKSTSSCSHSSSSSFNCSARANQSPFTLNSSAGGDASSAEQSGEMLKRARSDAELHGLGQEDTKLLVRSHSQKIISSHSFQETLAPLSNSTSHTLQNACTFRVKATFGEEKIRFSLQPHWRFGDLQHEILARFNIQDGSKFDIKYLDDDSEWVLLTCDADLEECIDIHRSSKSRTIKLSLHYANNLKHGSSSGSRGEYLM >itb12g09220.t1 pep chromosome:ASM357664v1:12:7259801:7261951:1 gene:itb12g09220 transcript:itb12g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTVEPLPKTNAAADTNSDAQKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTVAEILKNNGYATEKKVITSTVGVKDEAKGRMVQKARIEIVLAKTEKVDKQMAPQNSNTNVATTAGGAQDQAPKNIGSTTTVMSAAGEENKVAQLQ >itb04g17670.t3 pep chromosome:ASM357664v1:4:20783940:20794078:-1 gene:itb04g17670 transcript:itb04g17670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALGTGRMAVMARFLAAGRIPQTISEDVGRHKLAAQYICTELKEADEANLIDEEDMHVFGLRPMTDPLHLVCCNSCKKPIKVSQYVAHAEICKSLNSVDEIIPELDGGTRQKKPPRKQRRKSLNTYSNQAVAVRENEKPQSFGDAYFSALDSNMEEKVPSTILAEAKRSSPHLDGAVLTDGSAVSTATIDCIKGAKSRQTKRSKMPATGSAQLLDNFEAASVVTYGLCSTTTLETIPCEEARSGTKNEQICNCVVGVQMPAKAHAITDVPHPVATKIYYSLRNQRLRSALNHLYFGASSMDSSSDCVSSKALQKTELPSQKLCPTNFHKGLPDIQLEKVCN >itb04g17670.t2 pep chromosome:ASM357664v1:4:20782592:20794078:-1 gene:itb04g17670 transcript:itb04g17670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALGTGRMAVMARFLAAGRIPQTISGFPPFIFLTFPGLAEDVGRHKLAAQYICTELKEADEANLIDEEDMHVFGLRPMTDPLHLVCCNSCKKPIKVSQYVAHAEICKSLNSVDEIIPELDGGTRQKKPPRKQRRKSLNTYSNQAVAVRENEKPQSFGDAYFSALDSNMEEKVPSTILAEAKRSSPHLDGAVLTDGSAVSTATIDCIKGAKSRQTKRSKMPATGSAQLLDNFEAASVVTYGLCSTTTLETIPCEEARSGTKNEQICNCVVGVQMPAKAHAITDVPHPVATKIYYSLRNQRLRSALNHLYFGASSMDSSSDCVSSKALQKTELPSQKLCPTNFHKGLPDIQLEKEST >itb04g17670.t4 pep chromosome:ASM357664v1:4:20783940:20794078:-1 gene:itb04g17670 transcript:itb04g17670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALGTGRMAVMARFLAAGRIPQTISGFPPFIFLTFPGLAEDVGRHKLAAQYICTELKEADEANLIDEEDMHVFGLRPMTDPLHLVCCNSCKKPIKVSQYVAHAEICKSLNSVDEIIPELDGGTRQKKPPRKQRRKSLNTYSNQAVAVRENEKPQSFGDAYFSALDSNMEEKVPSTILAEAKRSSPHLDGAVLTDGSAVSTATIDCIKGAKSRQTKRSKMPATGSAQLLDNFEAASVVTYGLCSTTTLETIPCEEARSGTKNEQICNCVVGVQMPAKAHAITDVPHPVATKIYYSLRNQRLRSALNHLYFGASSMDSSSDCVSSKALQKTELPSQKLCPTNFHKGLPDIQLEKVCN >itb04g17670.t1 pep chromosome:ASM357664v1:4:20781998:20794078:-1 gene:itb04g17670 transcript:itb04g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALGTGRMAVMARFLAAGRIPQTISEDVGRHKLAAQYICTELKEADEANLIDEEDMHVFGLRPMTDPLHLVCCNSCKKPIKVSQYVAHAEICKSLNSVDEIIPELDGGTRQKKPPRKQRRKSLNTYSNQAVAVRENEKPQSFGDAYFSALDSNMEEKVPSTILAEAKRSSPHLDGAVLTDGSAVSTATIDCIKGAKSRQTKRSKMPATGSAQLLDNFEAASVVTYGLCSTTTLETIPCEEARSGTKNEQICNCVVGVQMPAKAHAITDVPHPVATKIYYSLRNQRLRSALNHLYFGASSMDSSSDCVSSKALQKTELPSQKLCPTNFHKGLPDIQLEKEST >itb04g17670.t5 pep chromosome:ASM357664v1:4:20782592:20788414:-1 gene:itb04g17670 transcript:itb04g17670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYLNMYFKVCCNSCKKPIKVSQYVAHAEICKSLNSVDEIIPELDGGTRQKKPPRKQRRKSLNTYSNQAVAVRENEKPQSFGDAYFSALDSNMEEKVPSTILAEAKRSSPHLDGAVLTDGSAVSTATIDCIKGAKSRQTKRSKMPATGSAQLLDNFEAASVVTYGLCSTTTLETIPCEEARSGTKNEQICNCVVGVQMPAKAHAITDVPHPVATKIYYSLRNQRLRSALNHLYFGASSMDSSSDCVSSKALQKTELPSQKLCPTNFHKGLPDIQLEKEST >itb09g06340.t1 pep chromosome:ASM357664v1:9:3633783:3636740:-1 gene:itb09g06340 transcript:itb09g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRKVGFLTLCHHLFLTISLFCLQFGVSTDTITAHHSLEGSETILSNSQNFKMGFFRPENSTKYYVGIMFNVPSMAVIWVANRDKGMDDPRGSMGISADGNLVVLDGENIVVWSTSSKSNISTNSNTYAQLLDTGNLVLKDSSSGRYLWESFGENSDTLVEGMKLGNGASSIGITRELRSWKSPWDPSPGSFSFRLRLLQNIPEVIIVVQNNSKIYWRSGLWNKQIFIGLPHMSSVYDYGSKIINYDEGDITYTYDTFKNMKESTKLHYVLTSTGSYVEKYWDEEKSQWVVTWESVASGQCDMYGKCGPFGICDTLSSKSCSCLKGYRPRDEMEWGNGNWSSGCIRNAALQCHRNSSDEENKKKDGFLKLQRVKVPDFPIWVPSLDDTCETDCLRDCGCIAYSYYTGIGCTHWSEDLIDIQQFSTGGADLYIRLPYSELDQNKNNKVIIIGVLEDGQEIAVKRLSESSTQGQKEFMNEVIVISKLQHRNLVRLLGCCIERGEKMLVYEFMPNGSLDALLFDPYKEVVLDWMKRLMIIEGIGRGLLYLHRDSRLRIIHRDLKASNILLDEQLNPKISDFGLARIFGSNQNQAKTQRVIGTYGYMAPEYAINGKFSEKSDVFSFGVLLLEIVSGRKNSALYHDGFAIGLAAHAWKLWNLDKMEEMAEVGMYDMSFKMSMRRCVHVGLLCVQEYADDRPNISSVLSMLSSEIVELPNPKQPAFTGRQSFPYNKFPKQSRSFVYNVTISDVEGR >itb12g03680.t1 pep chromosome:ASM357664v1:12:2413999:2417032:-1 gene:itb12g03680 transcript:itb12g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MRCPYCAATQGRCAATSTGRSITECGSCGRVVEERHTHPHHIFHLRAHDSPLCLVTSDLPTLPVSSAASANDGDDEDPFEPTGFITAFSTWSLEHYPLFAQSSLSFAGHLAELERVLETTSSSSNPSSPSVVVDNLRAYLQIIDVASILGLEYDISDHAFQLFRDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISLAANLPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVMNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYNPAVPPEKAFPTATIASGRTSNSRADIVEATSSERDKPGEAKMTKPMDGITISHLASQADTDRKDNIHASQNTMSWPPSLPKGPLGSSNERKTAAEKNQNVAHAMEIDSQHKTPEDIKVETNTRVTSSSARPYFSSGAVPVSWPFQSPASSRFPPSSQFLQPPKHNITDKGAIKQDENDKGN >itb14g08840.t1 pep chromosome:ASM357664v1:14:8777915:8779650:1 gene:itb14g08840 transcript:itb14g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIIQILSAWLFCLAVFFLVYIRLVPAPKSGLPRSYPMFGHIFSMIKNQKTILDWTAEVLHKCPTSTFYLRFPFGRARLVTANPKNIQHIMKTRFKDYPKSRNFEVSFLDLFGGSLFLADGENWKKQRLLYGAEFHSKAFIVFIENITKKEIDGRLFPLLSDAAGKKTVLDFQDILRRFTFDVVAMLGLGHDLAYLTPSLPEMEFGDAFDDAIEISWNRCLSPFMTSWKVQKILDVGTEWRLRRAVAILRRLIRKLIRERKEYGDDFLSRLMSKKISDETFLIDAAIAIVLGGVDTMVSAFTWLFWIIAQHPDVENKILAEIQDYDNGKIKDLVYTHATIAESMRLNPPVPLEGKQAREDDVWPDGTRVQKGMGVICHTYAVGRSAELWGDDWAKFRPERWLKKEEGEERWSFVPKDAFNYPIFQAGPRICLGKDIAFMQLKWVAAAVLRRFHVVAIAGGGQPLCVSYISCRMKEGFPVRIVERSKIH >itb10g22980.t1 pep chromosome:ASM357664v1:10:27579399:27583540:1 gene:itb10g22980 transcript:itb10g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPFGSTWVARYGLQPQLLPRSSATTNLSTSALRLPSKIRALASPSSSLFSQESLHELFFNSLSYKNPCQRRGTRFIVRADKDYYSVLGVSKNASKPDIKSAYRKLARSYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSIYDRYGEAGLKGSGMGMGDFSNPFDLFDSLFDNLGGMGMGGRASRNRAMEGEDQVHNLILNFKEAVFGVEKEIEISRLETCGTCDGSGAKPGTKASTCKTCGGQGQVVSSARTPLGIFQQVMTCSSCNGTGEISTPCNTCSGDGRVRKSKRISLKVPPGVDSGSRLRVRSEGNAGKRGGGPGDLFVILDVLPDPVLKRDDTNILYTCKLSYVDAILGTTTKVPTVDGEVDLKVPAGTQPGTTLVMAKKGVPLLGKPNMRGDQLVRVQVEIPKRLSSDERKLIEELANLSKGKTPTSSRR >itb11g19540.t1 pep chromosome:ASM357664v1:11:20317309:20317908:-1 gene:itb11g19540 transcript:itb11g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFFLLSLFLFSILLFQVIEAQVLDTRGKIVRAGVKYYVVPLFQDQGGGLDLASTGSQSCPQSVVQDDVYWWGNTIQFYPVNSQKGVIREWTDLNIEFPDVYTGCPESKVWTITGDPSSYDITHYITDGGSKGNPGQQTLSNWFQIVKTANAYKFMFCPSVCNYCSYVCQDVGISIEGGQRRLVLSNTPLEINFKKA >itb12g26660.t1 pep chromosome:ASM357664v1:12:27458538:27460208:-1 gene:itb12g26660 transcript:itb12g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTEAQSKWEFSCELEVNLGSQEHAQIIYTALSVDKELQPDKVKRVMSASDGKLLVHFEATEARFLRASFSAFVDVLTLAAKTIEEFGPVIEV >itb03g00440.t3 pep chromosome:ASM357664v1:3:219013:222685:1 gene:itb03g00440 transcript:itb03g00440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGDKIRFPGGGTHFHYGADKYITSIAAMLKIPGDQKLSNGGKIRNVLDVGCGVASFGAYLLSHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTMRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENQRIGNAMHELLKRLCWRVISRRDQTVIWAKSLSNSCFTKRNPGTLPPLCSSDDDPDATWNVLMKACITPYSPKMHRDKGSGLEPWPQRLTAAPPRLKEIGISIEEFQKDTSVWHDRVLDYWKQMRSVVQKNTFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVNASSRLKIVYDRGLMGTVHDWCESFSTYPRTFDLLHAYVVFSDIESHGCSTEDLLIEMDRMLRPEGFVIIRDTLPVVNHIRKFLKALQWDGWVSEVEPRGDALSLTVEERVLIAKKKMWE >itb03g00440.t2 pep chromosome:ASM357664v1:3:218951:222685:1 gene:itb03g00440 transcript:itb03g00440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVREEAKMKHKRDVLHSAKLLKYILIGLIVFLGLVCLYNGSVVAPALSKGFDTFDDGSDPVTARGDDVEDWIDNMEHSLELPKRIHVCDMRYSELIPCLDRNFIYQSRLKLNLTLMEHYERHCPPPQRRYNCLVPPPVGYKIPIRWPASRDQVWKANIPHTHLATEKSDQNWMVVEGDKIRFPGGGTHFHYGADKYITSIAAMLKIPGDQKLSNGGKIRNVLDVGCGVASFGAYLLSHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTMRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENQRIGNAMHELLKRLCWRVISRRDQTVIWAKSLSNSCFTKRNPGTLPPLCSSDDDPDATWNVLMKACITPYSPKMHRDKGSGLEPWPQRLTAAPPRLKEIGISIEEFQKDTSVWHDRVLDYWKQMRSVVQKNTFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVNASSRLKIVYDRGLMGTVHDWCESFSTYPRTFDLLHAYVVFSDIESHGCSTEDLLIEMDRMLRPEGFVIIRDTLPVVNHIRKFLKALQWDGWVSEVEPRGDALSLTVEERVLIAKKKMWE >itb03g00440.t1 pep chromosome:ASM357664v1:3:218951:222707:1 gene:itb03g00440 transcript:itb03g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVREEAKMKHKRDVLHSAKLLKYILIGLIVFLGLVCLYNGSVVAPALSKGFDTFDDGSDPVTARGDDVEDWIDNMEHSLELPKRIHVCDMRYSELIPCLDRNFIYQSRLKLNLTLMEHYERHCPPPQRRYNCLVPPPVGYKIPIRWPASRDQVWKANIPHTHLATEKSDQNWMVVEGDKIRFPGGGTHFHYGADKYITSIAAMLKIPGDQKLSNGGKIRNVLDVGCGVASFGAYLLSHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTMRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENQRIGNAMHELLKRLCWRVISRRDQTVIWAKSLSNSCFTKRNPGTLPPLCSSDDDPDATWNVLMKACITPYSPKMHRDKGSGLEPWPQRLTAAPPRLKEIGISIEEFQKDTSVWHDRVLDYWKQMRSVVQKNTFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVNASSRLKIVYDRGLMGTVHDWCESFSTYPRTFDLLHAYVVFSDIESHGCSTEDLLIEMDRMLRPEGFVIIRDTLPVVNHIRKFLKALQWDGWVSEVEPRGDALSLTVEERVLIAKKKMWE >itb14g02570.t1 pep chromosome:ASM357664v1:14:2212970:2218831:-1 gene:itb14g02570 transcript:itb14g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQSLLPISTPLPPPPPAMLAGSGATMLIRSERDHMAAAATTRILISPPSSSLDLEDDRRIESVPFLSRTSSFAGSNDAALGSSNAFFKKQRRRRVSSDSSLSSSSGGSRSSSFRQDVGRAASETYLVTRLAVKLLRYLGVGYRWITRFLALGCYALLLMPGFVQVGYYYFFSRQVRRSIVYGEQPRNRLDLYLPKNNDGPKPIVAFVTGGAWIIGYKAWGSLLGQQLSERGVIVACIDYRNFPQATIGDMVRDASLGISFMCNNAAEYGGDPNRIYLMGQSAGAHIAACALVEQAIKEAGEGQNVSWSVSQIKAYFGLSGGYNLLSLVDHFHSRGLYRSLFLSIMEGQESLGKYSPEVVVQDPNVRNAVPLLPPIILFHGTGDYSIPCDSSKKFVDVLNDLGGKAESIMYEGKTHTDLFLQDPMRGGTDEMLEELISRIHYGNPEAFAEAANAPRRKRLVPEFMLKLAGKVSPF >itb14g02570.t2 pep chromosome:ASM357664v1:14:2212970:2218759:-1 gene:itb14g02570 transcript:itb14g02570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQSLLPISTPLPPPPPAMLAGSGATMLIRSERDHMAAAATTRILISPPSSSLDLEDDRRIESVPFLSRTSSFAGSNDAALGSSNAFFKKQRRRRVSSDSSLSSSSGGSRSSSFRQDVGRAASETYLVTRLAVKLLRYLGVGYRWITRFLALGCYALLLMPGFVQVGYYYFFSRQVRRSIVYGEQPRNRLDLYLPKNNDGPKPIVAFVTGGAWIIGYKAWGSLLGQQLSERGVIVACIDYRNFPQATIGDMVRDASLGISFMCNNAAEYGGDPNRIYLMGQSAGAHIAACALVEQAIKEAGEGQNVSWSVSQIKAYFGLSGGYNLLSLVDHFHSRGLYRSLFLSIMEGQESLGKYSPEVVVQDPNVRNAVPLLPPIILFHGTGDYSIPCDSSKKFVDVLNDLGGKAESIMYEGKTHTDLFLQVCI >itb07g05490.t1 pep chromosome:ASM357664v1:7:3797812:3800905:-1 gene:itb07g05490 transcript:itb07g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTYKLLPEKIILFSYLLLLSNLIHLSLDSSRLIRQINSKDICFEVQFCIQLPHWESLHPISEMASKFIANLIVMGSSILARAFVQAYRQALANASKSGVAQEAAQNIIKTGKVMTETEARQILGVTENSTWDEILQKYDNLFERNVKNGSFYLQSKIQRAKECLEAVNQAKEQGTK >itb03g15690.t1 pep chromosome:ASM357664v1:3:14956804:14960756:1 gene:itb03g15690 transcript:itb03g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSGTRLSSTYDTVWECVIPYIQESRDRDAVSMVCKRWFEIDAITRKHVTMALCYTASPDQLARRFPLLESLKLKGKPRAAMFNLIPEDWGGHVTPWVEKIGRYFSRLKVLHFRRMIVKDSDLDNLSRTHGKILQALKLDKCSGFSTDGLLYICRSCRNLRTLVLEESTVVENDGNWLHELAVNNTVLENLNFYMTDLSKVKAEDIELIAKNCPSLASMKISDCDISHLFGFLRSATALEEFCGGSFGEQPELGENGYNEQLGKYSALSFPPRLCSVGLTYLGTHEMSLVFPIAAGLKKLDLLYALLDTEGHCLLLERCINLEVLETRNVVGDRGLEVLARHCKRLKRLRIERGADEQEMEDEEGAVSQTGLMALAQGCLELEYLAVYVSDITNEALEYIGTHSKKLCDFRLVLLDREERITDLPLDNGVRSLLIGCHMLRRFALYLRPGGLTDMGLSYIGKYSPNVRWMLLGYVGESDDGLLEFSKGAPSLQKLEMRGCCFSERALAMAATQLKSLRYLWVQGYRPSPTRRDLLLMSRPFWNIELIPARRIMATNQSGETVVADHPAHILAYYSLAGQRRDFPESVISLEPNAYLAEYTL >itb07g12430.t1 pep chromosome:ASM357664v1:7:14278980:14287381:-1 gene:itb07g12430 transcript:itb07g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYEICIWCWHHIMDMAEKDETEGRCPACRNPYNKEKIVGMAPNCEKIVAEMNMEKKLKSSYKGKSKTSESRKQLCSVRVIQRNLVYIVGLPLNLADEDLLQHKEYFAQYGKVLKVSISRTAAGAIQHFPNNTCSVYITYSKEEEAVRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQISGATNSMQRRSGSILPPPADNYCNNSIASTAKPISKSTTSNSTTSVRVSPPNSSSGRSAALPAGASWGTRASNNQPPPASLPYSNETLKSKPEISNSAAMFSAAIASTGQCSSLHADRDKQLAHVDESGNNQDRHKSETPEPAKQYVEADDQDYVPETPPTPVLPLGSSTNGQIHIYPAAKEKDCHIAVHTNTASSFDSALMSNGPGTAKASAEVPDYEIQQLCSEMSSLSNDRHQNVDQSSNPLTFEGKAATCAADESCMTDDKSDCRLDLQMQVVTRGNGDGSHSSDDLKNGSCDAIPDRGHTPSESFTSSTQPPFLANSIGSVKANMHIIDRNSDPLLQPSSLQGISNGYRENIVNCYADVGSTDRGSSSLSNADQRKYGNNFEGETATADCNSVVDMGESSIISNILSLDFDPWDAPLTSPQNLAKLLGDSDKQPNLRLSNSLKLHTSNQSRFSFAREEKPINQAPGFEPSFSHSQQAFNHHLSHDFSNSRSFQLDNPGPCNGFSLISNEDYSSLPGNYSTFSSNKLSVSRSQMSAPPGFSVPNRAPPPGFASHERVEQNFSCLSGNQLLGTAMLRNQYQNPPAGNMADNDGFELMDPAILAVGKEKIPSGLNFSGMDLAQSFPPQLSTFDNEARLQLLMQRSLSPHQNQRLADTGDNFSPFHDAYGIPSRAGEQTLASNHSPFSQFSLPQSRNPVMSNGHHWDVWNGVNSGNDLSVAELFRTERVGLNNNFYSGYDESKFRTAANSGSFYNRTFGI >itb07g12430.t2 pep chromosome:ASM357664v1:7:14278980:14287381:-1 gene:itb07g12430 transcript:itb07g12430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYEICIWCWHHIMDMAEKDETEGRCPACRNPYNKEKIVGMAPNCEKIVAEMNMEKKLKSSYKGKSKTSESRKQLCSVRVIQRNLVYIVGLPLNLADEDLLQHKEYFAQYGKVLKVSISRTAAGAIQHFPNNTCSVYITYSKEEEAVRCIQSVHGFVLEGRPLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQISGATNSMQRRSGSILPPPADNYCNNSIASTAKPISKSTTSNSTTSVRVSPPNSSSGRSAALPAGASWGTRASNNQPPPASLPYSNETLKSKPEISNSAAMFSAAIASTGQCSSLHADRDKQLAHVDESGNNQDRHKSETPEPAKQYVEADDQDYVPETPPTPVLPLGSSTNGQIHIYPAAKEKDCHIAVHTNTASSFDSALMSNGPGTAKASAEVPDYEIQQLCSEMSSLSNDRHQNVDQSSNPLTFEGKAATCAADESCMTDDKSDCRLDLQMQVVTRGNGDGSHSSDDLKNGSCDAIPDRGHTPSESFTSSTQPPFLANSIGSVKANMHIIDRNSDPLLQPSSLQGISNGYRENIVNCYADVGSTDRGSSSLSNADQRKYGNNFEGETATADCNSVVDMGESSIISNILSLDFDPWDAPLTSPQNLAKLLGDSDKQPNLRLSNSLKLHTSNQSRFSFAREEKPINQAPGFEPSFSHSQQAFNHHLSHDFSNSRSFQLDNPGPCNGFSLISNEDYSSLPGNYSTFSSNKLSVSRSQMSAPPGFSVPNRAPPPGFASHERVEQNFSCLSGNQLLGTAMLRNQYQNPPAGNMADNDGFELMDPAILAVGKEKIPSGLNFSGMDLAQSFPPQLSTFDNEARLQLLMQRSLSPHQNQRLADTGDNFSPFHDAYGIPSRAGEQTLASNHSPFSQFSLPQSRNPVMSNGHHWDVWNGVNSGNDLSVAELFRTERVGLNNNFYSGYDESKFRTAANSGSFYNRTFGI >itb07g12430.t3 pep chromosome:ASM357664v1:7:14279090:14282849:-1 gene:itb07g12430 transcript:itb07g12430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIILNCYFLQNSTTSVRVSPPNSSSGRSAALPAGASWGTRASNNQPPPASLPYSNETLKSKPEISNSAAMFSAAIASTGQCSSLHADRDKQLAHVDESGNNQDRHKSETPEPAKQYVEADDQDYVPETPPTPVLPLGSSTNGQIHIYPAAKEKDCHIAVHTNTASSFDSALMSNGPGTAKASAEVPDYEIQQLCSEMSSLSNDRHQNVDQSSNPLTFEGKAATCAADESCMTDDKSDCRLDLQMQVVTRGNGDGSHSSDDLKNGSCDAIPDRGHTPSESFTSSTQPPFLANSIGSVKANMHIIDRNSDPLLQPSSLQGISNGYRENIVNCYADVGSTDRGSSSLSNADQRKYGNNFEGETATADCNSVVDMGESSIISNILSLDFDPWDAPLTSPQNLAKLLGDSDKQPNLRLSNSLKLHTSNQSRFSFAREEKPINQAPGFEPSFSHSQQAFNHHLSHDFSNSRSFQLDNPGPCNGFSLISNEDYSSLPGNYSTFSSNKLSVSRSQMSAPPGFSVPNRAPPPGFASHERVEQNFSCLSGNQLLGTAMLRNQYQNPPAGNMADNDGFELMDPAILAVGKEKIPSGLNFSGMDLAQSFPPQLSTFDNEARLQLLMQRSLSPHQNQRLADTGDNFSPFHDAYGIPSRAGEQTLASNHSPFSQFSLPQSRNPVMSNGHHWDVWNGVNSGNDLSVAELFRTERVGLNNNFYSGYDESKFRTAANSGSFYNRTFGI >itb03g29590.t1 pep chromosome:ASM357664v1:3:30559100:30562024:1 gene:itb03g29590 transcript:itb03g29590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGSFETEWWQDLKRPPGEPTTTTAKINNNMAKNGRILEINRSLVIIARFLTKSTTIAKWIARWSWLGVLKLNGGKI >itb09g11570.t4 pep chromosome:ASM357664v1:9:7206114:7211051:-1 gene:itb09g11570 transcript:itb09g11570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCSLPLPLSQMTRISSSSASTRERKITSKCKLNCVSPNTLSDSVSDKALLDIQNSGVIACLRAPSAEVAMEAALAALDAGISVLEIVVSTPGVFEVLRKLVHCYPTKTIGIGTVLCAKDAKDAIEFGAKFLMSPVLVKDILVGLSGDEALYIPGAMTPTEILSAFRMGAKIVKVYPVSALGGTKYISALKRPFSHIPMVASQGITIGWLCKRFITHKSCLTV >itb09g11570.t2 pep chromosome:ASM357664v1:9:7206186:7211028:-1 gene:itb09g11570 transcript:itb09g11570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCSLPLPLSQMTRISSSSASTRERKITSKCKLNCVSPNTLSDSVSDKALLDIQNSGVIACLRAPSAEVAMEAALAALDAGISVLEIVVSTPGVFEIGTVLCAKDAKDAIEFGAKFLMSPVLVKDILVGLSGDEALYIPGAMTPTEILSAFRMGAKIVKVYPVSALGGTKYISALKRPFSHIPMVASQGITIDLVGEYIAQGASAVVLSDAIFDKKAMSLRNFSAVHQLASRAALLGKEAVKRRSGG >itb09g11570.t1 pep chromosome:ASM357664v1:9:7206186:7211028:-1 gene:itb09g11570 transcript:itb09g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCSLPLPLSQMTRISSSSASTRERKITSKCKLNCVSPNTLSDSVSDKALLDIQNSGVIACLRAPSAEVAMEAALAALDAGISVLEIVVSTPGVFEVLRKLVHCYPTKTIGIGTVLCAKDAKDAIEFGAKFLMSPVLVKDILVGLSGDEALYIPGAMTPTEILSAFRMGAKIVKVYPVSALGGTKYISALKRPFSHIPMVASQGITIDLVGEYIAQGASAVVLSDAIFDKKAMSLRNFSAVHQLASRAALLGKEAVKRRSGG >itb09g11570.t3 pep chromosome:ASM357664v1:9:7206186:7211028:-1 gene:itb09g11570 transcript:itb09g11570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCSLPLPLSQMTRISSSSASTRERKITSKCKLNCVSPNTLSDSVSDKALLDIQNSGVIACLRAPSAEVAMEAALAALDAGISVLEIVVSTPGVFEVLRKLVHCYPTKTIGIGTVLCAKDAKDAIEFGAKFLMSPVLVKDILVGLSGDEALYIPGAMTPTEILSAFRMGAKIVKVYPVSALGGTKYISALKRPFSHIPMVASQGITIGLLLAK >itb09g11570.t5 pep chromosome:ASM357664v1:9:7206186:7211028:-1 gene:itb09g11570 transcript:itb09g11570.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCSLPLPLSQMTRISSSSASTRERKITSKCKLNCVSPNTLSDSVSDKALLDIQNSGVIACLRAPSAEVAMEAALAALDAGISVLEIVVSTPGVFEIGTVLCAKDAKDAIEFGAKFLMSPVLVKDILVGLSGDEALYIPGAMTPTEILSAFRMGAKIVKVYPVSALGGTKYISALKRPFSHIPMVASQGITIGWLCKRFITHKSCLTV >itb07g05910.t1 pep chromosome:ASM357664v1:7:4082475:4084163:-1 gene:itb07g05910 transcript:itb07g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWNRAAGALKDQNSIVMASLARRTALRRPDVEAVVIRATSHDESCVDYESVEKVYRLVRLSPNHFRPLIWAISRRMRKTGSWAVALKGLMLMHGVFSCRVPAVRKIGPLPFNFSDFKDRHSNSSKTWGHNHFIRAYFAFLDHKSAILFIDEQEQTPRLSSSSSPLMQTLELLQKLQDLLDVTLKIRPNSSITIAPLIIEAMDCIVVEIFDIHNRLCTGIVNILPRILEASKAEAEAALKIAARVRIQNLELNDYFELCNKIGVSNATILPATHKISKQQIQELEDIINGVIPVSPHHNKAAKEEPPSMLPASTVAKETRHSTIITDRWETFDEETNPANYAPPLLPLNNNLQHLQHRHLPDLITF >itb12g21210.t1 pep chromosome:ASM357664v1:12:23601350:23604019:-1 gene:itb12g21210 transcript:itb12g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNSCYLKKKWKFRVVFQGICALAFIFLVFHFQNPVSKKPFLVLSGLGRNNGSDGEALRRRIAEKIEGLKEGSSDEDSEICSGIYEHEGYDTKCEYLKANPECNSGGFLDYIEFFYCDCEKYSGLGYLVLGIWLVALFYLLGNTAADYFCPCLESLSSLLRLPPTLAGVTLLPLGNGAPDVFSSVAAFVGSDSGAVGLNGVLGGAVFVTTVVAGTVALCVADGGVRIDKRCFIRDVCFFLFAAVSLVLILVLGEVSVGVAIGFLGIYVVYAVCVAANELLRKHGGRYMSPLLPVLANGSIEEESLCASLVQSGSEDEVPGVEKVPNHKWDSSLTIYSNEAVKVAPSSPGEALWGWDDERGSPFSCSKLLSLLEVPLMLPRRLTIPLVDEQQWSKVYAVASASLAPLLLAFLWNTRDSIGSPGWEIVCIIGAIVGSTLGGLAFIYTRGDHPPRRFLFPWIFGGFFMSIVWFYILANELVALLVSFGIVFGINPSLLALTVLAWGNSLGDLMANVAMAMKNGDGVQIAMSGCYAGPMFNTLIGLGISLLLGAWSQRPGSYTIPKDTSLYYTLGFLVLALLFALVVLPRNEMRPSKLLGLGLMTLYLVFLSVRASIAMGDGSLSSQANLN >itb07g17710.t1 pep chromosome:ASM357664v1:7:22004211:22005498:1 gene:itb07g17710 transcript:itb07g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLKRIQETKQASRKNPEVEAKWLDYIQDESLNPVAIPVGPRFQADLPDWIGPPNNDIEKESKWLGTQNDPEETNEARIGKGRPEFCNCGSPGSVECVRRHVGEERKKLESELGPAFQEWRFDEMGEDVSDQWSLREQRKFESIVKRNNPLLSQGKSFLQAAMAAFPSHNKHSILNYYFNVYLPRRFSKETRSDHREAAGTDDEETNSRCLKKRRFVY >itb01g29920.t1 pep chromosome:ASM357664v1:1:34002722:34007017:-1 gene:itb01g29920 transcript:itb01g29920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSSRLFGSAAHHHSAATPCTRTHQIGALALVILTFFLTRLFDQSLNPCSSFSTYYPTDDHGSANGVVRFSDTGSMTWPQRGYGTHLSLKIYVYDEREIDGLNLLLYGREGKISSDSCLKGQWGTQVKIHRMLLQSRFRTRGKEEADLFFVPSYVKCVRMMGGLNDKEINQTYVKVLSQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYLNRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTAHGSRVVEPLPLSKRKYLANFLGRAQGKAGRLELIKLAKQFPEKLESPELKFSGPDKLGRVQYFQHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDQIEMPFQNVIDYTQISIKWPSSRIGTELLEYLESIPDREIEEMIARGRKVRCLWAYSPAPDTCSAFNGIIWELQRKVRQFHQSTETFWLHNRTIVNRDLVGFNKWKPPMPLP >itb12g13520.t1 pep chromosome:ASM357664v1:12:12514612:12514824:1 gene:itb12g13520 transcript:itb12g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDRERSSEDAPARAPPLATLTRDLPPPSLRRHQPHQQTATIKQRERERKRRSKAGKPRLATAFAAAA >itb09g21890.t1 pep chromosome:ASM357664v1:9:20116096:20118787:1 gene:itb09g21890 transcript:itb09g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQFIVGVQLHPKQTNKAIRLRCVRSYVVSEMKREIIIRSQDQRQVKCTLWDDHVDELSSYFNSAVADPLILLIQLCRAKIMDNGEVRICSSFDATQLFFNHSCKEFRELRNSYNTKLTPLRRIQSSSRLCGANMDFSQGSNDLVDNYCKRKLIEKGGMMVCGGCKSSWHEGVVRYKVIVRVADDTGDASMLIWDHECSDLVDVSASDLLAKYPEGNRGIPPELGCLRGLSMLFRILMKKDQAESYYSAFTFLSICRDENVLAQHCSNMLGGSEIDGISGDGHCVSGDFFSSDEEDCVAVEEVSQCSSLEKVTGLEDFEEGFGVDGVPKK >itb08g04780.t1 pep chromosome:ASM357664v1:8:3924702:3927263:1 gene:itb08g04780 transcript:itb08g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQETQKLPFLFDAALYCEEEGEHWGQADRDASCSIEEGEEEEENVVFLEQDLLWEDGELGSLLSKEQENEMFNVVQKTPDLAKARNEAVEWVLKVTGYYSFSAQTALLAVNYLDRLFFLSLESQTQKPWMSQLAAVACLSLAAKVEETFVPLLLDFQVEESKYVFEAKTIQRMELLVLSNLQWKMNPVTPFSFLDYIARRLELKGHLCCEFLRRCEGVLLSIISDCRFMCYLPSVMASATMLHVISRLVPTVGEESQEHLMGILGIDKVKVEECHKLIKEVASRIHFESAYKRKYGAMPGSPKGVMEVSFSSDSSKDSWAVSSTTSATSSVSSSPEPLSKKTRMMSPQPQPQPQEHQNPHHHIINH >itb11g05320.t1 pep chromosome:ASM357664v1:11:3141624:3147130:1 gene:itb11g05320 transcript:itb11g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAQPGVTLNPETNEDIEEDLDFEDYSEETNELFNRIKWKMETTELNGVMNEIERGWVTNIKSDLNIVKALVVGFCNQKYMKAHVKHKLMCINKEVENVMINHLIIEREKNKEEEERKVTAKTSEWLYSISKKTEKIKNLVSQFGSLPEEERSKTGSKREKQKTVSNSTECYKMCIEESLLISPIITGSLKKSYDHLPSNELKLCLLSLAAFPEDFIIKKRPLIYWWMSEGFVVENGNSKSSAEEVGEQNFTQLIQLGLIQPWYESGNTTDQPHNNVHVQGCSVHPWIRRMLVPIAMEARLFEFFGVPKKEEHPPMTMTGNPRSRRVCLYDDGEGVDHISTWKTRTRKYCNKFRERFKWMKANAALLENIKNDARWENIKKVQHDVKEVSDRQKKLLHIYTKFLAPLNTLVAWSDHHNALLNALNHSLSDVKAQIADAIKNINDDEHQNIFKKLQDEQMKLLKDIEGLEKVAKDQLNYLDIMLEPKWMNDPVVYNRNKHCEILQEKEESLFGHNDLNNPFPFPFPMKKNNNNKRTTTSTSNRRSNAKKSDAVSNDEAAAERLLAIINVTQKYLDMDHEPLGKMKYLRTLHLGRWRDSLPVPHIEVENGRIFEALFHVAKHLKYLSLRGISRITTLPSTISKCCNLQILDLKACYNLETLPTEINKLYKLTHFDVSECYLLQNTNLWSLVQHLHFLQTLKGLKLDQSNLNSLQALAAAGTLRKLSIILTTPIFNIHLLTTLSKLCILTITWRVVMPQQQPAPEKISDANTETTPHPKPEISDEEGVKGSIEKALPNLKKLDLRCYPNKTWPNWVKKHSSLETLYITGGDLEEFPMLDLDLQNLQLLRFKYLTKLKLGPDNTPQPKSTKFPSLITFLNHDSKPKK >itb09g05580.t1 pep chromosome:ASM357664v1:9:3172748:3174720:1 gene:itb09g05580 transcript:itb09g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFWCCRVSEINLMRKNPLKSSKSNVNESSKYDNNSESLTSIARSISMKTGMSKQKQLAEDIMRYGDIKIAAEVYTFRELASATDNFNPELLVGEGGFGRVYKGHIKRTNQIVAVKQLDRNGVQGNREFLAEVFTLSLVNHRNLVNLMGYCADGNQRILVYEFMRNGSLQDHLFDLPPSKRPLDWYTRMQVAKGAAQGLEYLHDTADPPIIYRDFKTSNILVDEVFNPKLSDFGLAKLGPTGEQDHVSTRIMGTYGYCAPEYAKTGQLTTRSDVYSFGVVFLEIISGRRVIDNTKPTEQQNLVSWAKPIFTDRQRFTTLADPKLEGNYPPKGMYQALALAAMCIQDEANIRPLIGDVVSALEYLATPSEDFNGTADVDDSNCLFIPQGASTS >itb13g15770.t1 pep chromosome:ASM357664v1:13:22694620:22696364:-1 gene:itb13g15770 transcript:itb13g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHLPIFFTFFFIFSGARICEGARVFTIVNGCKETIWPAVIPGDNFNGGGFALKPGQSVVYTAPAAWSGRIWARTGCNFDRTGNGSCQTGSCGTTLKCSGSGKTPVSLAEFTLAAPDFYDVSLVDGFNVPMSVTPLNGKGNCSVAGCVADLRPNCPSELAVKADGKTIACRSACDVFNTDEYCCKGNYGNPSTCQPTYYSKKFKTACPTAYSYAYDDPTSIFTCSSADYVVAFCTSKKRQVCSYHDHRLGCSGSNGFRSLITGFLMVLAPILCFIF >itb06g05660.t1 pep chromosome:ASM357664v1:6:8338238:8338660:1 gene:itb06g05660 transcript:itb06g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHCVAKIQGNFHAGISAPNYQNTFPFIILSQFILTSMHDRATENPYRLKFRRKFLGVFAARRHQPPADVLTHKTITRHRLDSPKPAAFIVLSGLHCLVESWADLKPHSRYWINLYFVGYSWKSFGNGKYGSSQNCLGR >itb01g01350.t1 pep chromosome:ASM357664v1:1:761118:762182:1 gene:itb01g01350 transcript:itb01g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRNERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb04g30920.t1 pep chromosome:ASM357664v1:4:33909456:33911958:1 gene:itb04g30920 transcript:itb04g30920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQHPLCLIEVIPLSSYKLSRLRLSATRRSRRRVKKKAVVELGVGDVASGEAVAKAGRAERETRKAWTKGKEGEWRRRRGKHAGRDGEKVDCGRRRWFTGKGKRKVKRVEEVEQGSRHRLH >itb13g21420.t1 pep chromosome:ASM357664v1:13:28006790:28011907:-1 gene:itb13g21420 transcript:itb13g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEELPVPTYSSLEPVYGGGSQLEEAQQRFNRIKEKFVEFYGQPPDIYTRSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHSADKTEKVLRIANVNNEKYSMCTYPAIPDQEIDLKNHKWGHYFICGYKGFYEYAKSKGIDVGAPVGLDVVIDGIVPTGSGLSSSAAFVCSSTIAIMASFGVSIPKKELAQLACECERHIGTQSGGMDQAISIMAQSGFAELIDFNPIRATDVQLPAGGSFVIAHSLAESQKAVTAAVNYNNRVVECRLAAIVLGIKLGMEPGEALSKVKTLSDVEGLCVSFAGTRGSSDPVLAVKEHLKEEPYSAEDIEKVINEKLQTIFANSQTSLDVIKAAKSYKLHQRAAHVYSESKRVYAFKDTVTSKLSEEEMLNKLGELMNESHHSCSVLYECSCPELEELVKICRDNGALGARLTGAGWGGCAVALVKESHIPHFILNLKEQFYQSRIDKGVINRNDLGMYVFASNPSSGAAILKL >itb05g03980.t1 pep chromosome:ASM357664v1:5:3434819:3436726:-1 gene:itb05g03980 transcript:itb05g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVLKLKDLILLGNFDAIFMSKVFIGVVADKAGENLWFSWCLLTGFHPKGLTCKTRFTILFLLFILCNALPSRRSILKDEWFKEGYKPPIFNEMEDAILDYVGDVFQLSEERRVTERREEHPTPRNAFELISLLKGLNLGNLFDEQVFQVAPCLHLVEVRKAKGDTLEFHKDLESEPPPLATKYKLNGSDD >itb05g21160.t1 pep chromosome:ASM357664v1:5:27072217:27073326:1 gene:itb05g21160 transcript:itb05g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTYVALFISLFPFLYASASPSSLCSFKYIYQFGDSLADTGNLIRIPGALSTFHADRLPYGQSFFHRPTGRYSNGRLIIDYTAAALHLPLIPPYLDFNASFTHGANFAVAGATALDQAFFVGKNISIPSFRPPISGQLRWFQSHLNSTCHARSKCVRRRFRDAFFVFGAFGGNDYYNAVFRGKSVEEVRTYVPDIVNAVISGIKRVVGLGAKRVVVPGTYPSGCLPVYLTAFGTSDPKAYDDLGCLKKFNEYSSYYNSRLRKAVSALGEELSGDAVIVYADYYGALRSVLRRGSSLGFAKESLLKACCGTGGKYNFDSKKTCGSEGVPVCSNPDRFVSWDGTHLTEQANRLVSQLVIDQVFRNMKCA >itb01g36130.t1 pep chromosome:ASM357664v1:1:38015794:38035235:-1 gene:itb01g36130 transcript:itb01g36130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSAEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFVGTITLKVPWKGLGKEPVIVLIDRVFILAHPAIVGRSLKEEDREKLFEAKLQQIEEAESATLEALSRSKLGGNPAAGNSWLGSLIATIIGNLKITISNVHVRYEDSVSNLGHPFSCGVTLAKLAAVTMDEKGNETFDTSGALDRLRKLVQLERLAVYHDTNSDPWKFDKKWEDLTPVEWIEIFENGINESMKNNTTVSAWARERNYLVSPVNGVLKYHRLGNQERNDTNVPYEQASLIVSDVSLMATESQYHDWIRLVEAIAKYKAYVEVSHLRPMIPVFERPSLWWRYAAQAGLQQKKMCYRFSWDQIQYLCNLRRRYVQLYAESLQQLSHVDNSKLRSIEKDLDPKVILLWRFLAHAKVESVKSKEAAEQRHLRNRSWFSFTWRASSEDVSAGETSEESKSVEDRLTKEEWQAINKLLSYQPDEDVTLQHGKEMQNTINYLINVSIGRAAATIINVDQTEILCGRFENLNVSTKLKHRTIHCDVSLKFYGLSAPEGSLAQSVCSERKVNALEASFVHSPSGENVDWRLSARISPSHVTVFMESYDRFLDFVKRSNAISPAVALETATVLQNKIEKMTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPMRSHLSSKCDSHLLLDFGHFTLQTKEDGQSQDQGQSLYSRFFISGRDIAAFFTDCGSDNQTCSLACQPSDSPSLEDANNVYFLVDRCGMAVIVDQIKIPHPSHPSTRVSVQVPTIGVHFSPARLCRLMELLKILYHTIPDAEQLPTVENTEAELSPWHSPDLATDARILVWKGIGYSVAAWQSCYLVLSGLYLYVLESEGSRTYQRCSSMAGKQVLEVPLTNVGGSASCIAVSARGMDLQEALESFSTLIIEFQDEEEKTTWLRTLVQATYRASAPPSVSILGELNDDALELAEARAINTKMAELVVNGTLVEMKLSLYGKTGDEIEDRDDETLILEVLAAGGKVHVSQCSGDLTVKVKLHSLKIKDELQYSSSSSPQYLACSVLTDNSAITSPDTIESHGKEMAFMTREEDDEFTDALQDFLSLPDNGEAVTPEKDSMKGRSAFGDVFYETEGSDDSDFVSLSYLTRHPSSPDYDGIDTQMSISMSKLEFFCNRPTLVALINLAVDMSSKGSEVTGKNVTKDPDNESSMNKEKIEDHGHKYVRGLLGYGKGRVVFNLNMNVDSVAVFLNKEDGSQLAMLVQESFLLDVKVHPSSTSIEGTLGNFRLCDLTLGSNQRWGWLCDIRNQESESLIQFAFNSHNSEDDDYEGYDYSLRGRLSAVRIVFLYRFVQEITAYFMGLAAPHTEEAIKLVDKVGGIEWLIEKYEIDGASAVKLDLSLDTPLIIVPRNSTSEEFMQLDLGHLRIENEVSWIGSPEKDPSAVHVDVLDAEILGINMAVGINGCIGKPMIREGRDIHVYVRRSLRDVFRKVPTFALEVKIGLLHAVMSAKEYNVILDCISMNFSEPPRLPPVFRSNKSTSKDTIRLLADKMNMNSQILLSRTVTIMAVEVGYVLLELCNGVHEESHLAHVALEGLWVSYRMTSLSEADLYVTIPMFSILDIRLDTKPEMRLMLGSCTDVHKQMSPDVHVELPTSTMFLMDCRWRMSSKSFVVRIQQPRILVVPDFLLAVCEYFVPSLGTITGREEMMDPKNDPIVKNSSIVLSAPFYKQTEDIVHLSPSRQLVADAVGIDEYTYDGCGKTIRLTNEEVKEFHSSEAKYIIIVGRGKRLRFVNVKFENGLLLMRYTYLSNDSSYSVSQEDGVEILLVESDINSSENSPKNMDEFFYTSDASDAVQSGASEVQSYSFEAQVSRPVVKPHFLTQCSTTSHRGSPLA >itb01g36130.t2 pep chromosome:ASM357664v1:1:38015794:38035235:-1 gene:itb01g36130 transcript:itb01g36130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSAEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFVGTITLKVPWKGLGKEPVIVLIDRVFILAHPAIVGRSLKEEDREKLFEAKLQQIEEAESATLEALSRSKLGGNPAAGNSWLGSLIATIIGNLKITISNVHVRYEDSVSNLGHPFSCGVTLAKLAAVTMDEKGNETFDTSGALDRLRKLVQLERLAVYHDTNSDPWKFDKKWEDLTPVEWIEIFENGINESMKNNTTVSAWARERNYLVSPVNGVLKYHRLGNQERNDTNVPYEQASLIVSDVSLMATESQYHDWIRLVEAIAKYKAYVEVSHLRPMIPVFERPSLWWRYAAQAGLQQKKMCYRFSWDQIQYLCNLRRRYVQLYAESLQQLSHVDNSKLRSIEKDLDPKVILLWRFLAHAKVESVKSKEAAEQRHLRNRSWFSFTWRASSEDVSAGETSEESKSVEDRLTKEEWQAINKLLSYQPDEDVTLQHGKEMQNTINYLINVSIGRAAATIINVDQTEILCGRFENLNVSTKLKHRTIHCDVSLKFYGLSAPEGSLAQSVCSERKVNALEASFVHSPSGENVDWRLSARISPSHVTVFMESYDRFLDFVKRSNAISPAVALETATVLQNKIEKMTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPMRSHLSSKCDSHLLLDFGHFTLQTKEDGQSQDQGQSLYSRFFISGRDIAAFFTDCGSDNQTCSLACQPSDSPSLEDANNVYFLVDRCGMAVIVDQIKIPHPSHPSTRVSVQVPTIGVHFSPARLCRLMELLKILYHTIPDAEQLPTVENTEAELSPWHSPDLATDARILVWKGIGYSVAAWQSCYLVLSGLYLYVLESEGSRTYQRCSSMAGKQVLEVPLTNVGGSASCIAVSARGMDLQEALESFSTLIIEFQDEEEKTTWLRTLVQATYRASAPPSVSILGELNDDALELAEARAINTKMAELVVNGTLVEMKLSLYGKTGDEIEDRDDETLILEVLAAGGKVHVSQCSGDLTVKVKLHSLKIKDELQYSSSSSPQYLACSVLTDNSAITSPDTIESHGKEMAFMTREEDDEFTDALQDFLSLPDNGEAVTPEKDSMKGRSAFGDVFYETEGSDDSDFVSLSYLTRHPSSPDYDGIDTQMSISMSKLEFFCNRPTLVALINLAVDMSSKGSEVTGKNVTKDPDNESSMNKEKIEDHGHKYVRGLLGYGKGRVVFNLNMNVDSVAVFLNKEDGSQLAMLVQESFLLDVKVHPSSTSIEGTLGNFRLCDLTLGSNQRWGWLCDIRNQESESLIQFAFNSHNSEDDDYEGYDYSLRGRLSAVRIVFLYRFVQEITAYFMGLAAPHTEEAIKLVDKVGGIEWLIEKYEIDGASAVKLDLSLDTPLIIVPRNSTSEEFMQLDLGHLRIENEVSWIGSPEKDPSAVHVDVLDAEILGINMAVGINGCIGKPMIREGRDIHVYVRRSLRDVFRKVPTFALEVKIGLLHAVMSAKEYNVILDCISMNFSEPPRLPPVFRSNKSTSKDTIRLLADKMNMNSQILLSRTVTIMAVEVGYVLLELCNGVHEESHLAHVALEGLWVSYRMTSLSEADLYVTIPMFSILDIRLDTKPEMRLMLGSCTDVHKQMSPDVHVELPTSTMFLMDCRWRMSSKSFVVRIQQPRILVVPDFLLAVCEYFVPSLGTITGREEMMDPKNDPIVKNSSIVLSAPFYKQTEDIVHLSPSRQLVADAVGIDEYTYDGCGKTIRLTNEEVKEFHSSEAKYIIIVGRGKRLRFVNVKFENGLLLMRYTYLSNDSSYSVSQEDGVEILLVESDINSSENSPKNMDEFFYTSDASDAVQSGASEVQSYSFEAQVSRPVVKPHFLTQCSTTSHRGSPLA >itb06g01180.t1 pep chromosome:ASM357664v1:6:2445359:2451340:1 gene:itb06g01180 transcript:itb06g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPKAASASVRDRTHEFHSIAERLKKSFSSAQNGASSSASSSRLDQRSMVAMQSEFNKKASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTTEIQELTAVIKQDITALNSAVVDLQLVSNSRNESGNSDTTTHSTTVVDDLKNRLMSATKEFKDVLTMRTENLKVHENRRQLFSSTASKDSSNPFVRQRPLAAKSAAGTSSPSPPPWANGSKSSSQLFPSRKQNDGESQPLLPQQQQQQQMVPLQDTYMQSRAEALQNVEATIHELGSIFNQLATLVSQQGEIAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMIFLFFVA >itb06g01180.t2 pep chromosome:ASM357664v1:6:2445359:2451340:1 gene:itb06g01180 transcript:itb06g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPKAASASVRDRTHEFHSIAERLKKSFSSAQNGASSSASSSRLDQRSMVAMQSEFNKKASKIGFGIHQTSQKLSKLAKLAKRTSVFDDPTTEIQELTAVIKQDITALNSAVVDLQLVSNSRNESGNSDTTTHSTTVVDDLKNRLMSATKEFKDVLTMRTENLKVHENRRQLFSSTASKDSSNPFVRQRPLAAKSAAGTSSPSPPPWANGSKSSSQLFPRKQNDGESQPLLPQQQQQQQMVPLQDTYMQSRAEALQNVEATIHELGSIFNQLATLVSQQGEIAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMIFLFFVA >itb04g31830.t1 pep chromosome:ASM357664v1:4:34521845:34525920:-1 gene:itb04g31830 transcript:itb04g31830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAIKRQQLPFTAAKPPFGDYHRFPADPRMLPPQVPEGIVVKTPPLKRKKETAKYSLVSGELNQGSGYSDANGPLQTPVSGKAQKASRTSKANRSASQTAGNVGSPSSNNVTPVGACRYDSSLGLLTKKFINLIKHSEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSSTGEDESVASLQAEVENLTMDERRIDEQIREMQERLRDLSEDENNQRWLFVTEEDIKNIPSFQDETLIAIKAPHGTTLEVPDPDEAVDYLQRRYRIVLRSTMGPIDVYLVSQFEEKYEEIDAAAAPPNNPSTSGVDNNATATLEIEKSGVNEVGRQENETGTIPSDLGTSEDFMGGIMKIVPDVDNGTDYWFMSDYAGITAIWEDSHLAGLDWSDLITLDEDHATTNVSTPLAQTSPPTFAELPCATNTTIS >itb04g31830.t2 pep chromosome:ASM357664v1:4:34521845:34525920:-1 gene:itb04g31830 transcript:itb04g31830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAIKRQQLPFTAAKPPFGDYHRFPADPRMLPPQVPEGIVVKTPPLKRKKETAKYSLVSGELNQGSGYSDANGPLQTPVSGKAQKASRTSKANRSASQTAGNVGSPSSNNVTPVGACRYDSSLGLLTKKFINLIKHSEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSSTGEDESVASLQAEVENLTMDERRIDEQIREMQERLRDLSEDENNQRWLFVTEEDIKNIPSFQDETLIAIKAPHGTTLEVPDPDEAVDYLQRRYRIVLRSTMGPIDVYLVSLPLLPEIQFLLVNLRKSMRR >itb04g31830.t3 pep chromosome:ASM357664v1:4:34521845:34525920:-1 gene:itb04g31830 transcript:itb04g31830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAIKRQQLPFTAAKPPFGDYHRFPADPRMLPPQVPEGIVVKTPPLKRKKETAKYSLVSGELNQGSGYSDANGPLQTPVSGKAQKASRTSKANRSASQTAGNVGSPSSNNVTPVGACRYDSSLGLLTKKFINLIKHSEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSSTGEDESVASLQAEVENLTMDERRIDEQIREMQERLRDLSEDENNQRWLFVTEEDIKNIPSFQDETLIAIKAPHGTTLEVPDPDEAVDYLQRRYRIVLRSTMGPIDVYLVRYMCFFL >itb11g05660.t3 pep chromosome:ASM357664v1:11:3333672:3338535:-1 gene:itb11g05660 transcript:itb11g05660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHAHLKSRFLLVICCLSVPLAVLGGRNGTAPSRPRVVNIGALFTINSVIGRSARPAIKAAVDDVNSDSSILSGTKLNLIVQDTNCSGFIGTVDALQLMERDVVAAIGPQSSGIAHVISHIVNELHVPLLSFGATDPTLASLQYPYFLRTVTDDYHQMRAVADLVEYFGWKEVIAIYVDDDNGRNGISALGDALSRKRAKISYKAALSPGASRNEMYNVLVNVNLMESRVYVVHINPDSGLLLFSVAKSLSMISSGYVWIATDWLPSVLDSSESVDPDMINVLQGVIALRHHTPDTDQKKKFASRWRNFKEKETSGFNSYALYAYDSVWLLARALDRFFNEGGNVTFSDDPRLQDTKGSSLHLSSLRIFDQGQKLLEILTSVNFTGLTGQVKFDTDRDLIHPAFDILNIAGTGSRLLGYWSNYSGLSQVTPEILYAMPPNSSTSSQHLNGVIWPGDVTQQPRGWVFPNNGKPLRIAVPNRVTYPVFVSKEKGPSGVRGYCIDIFEAAVQLLPYPVPHVYELYGDGKRNPSFNNIVEDVAKQKYDAAVGDVTITMNRTRMVDFTQPYIESGLVVVVPVKEGKSNPWAFLQPFSLQMWCVTGAFFLFVGTVVWILEHRINQEFRGSPRQQLVTVFWWFILAGLVSQQCSLHTGRTL >itb11g05660.t2 pep chromosome:ASM357664v1:11:3333672:3338535:-1 gene:itb11g05660 transcript:itb11g05660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHAHLKSRFLLVICCLSVPLAVLGGRNGTAPSRPRVVNIGALFTINSVIGRSARPAIKAAVDDVNSDSSILSGTKLNLIVQDTNCSGFIGTVDALQLMERDVVAAIGPQSSGIAHVISHIVNELHVPLLSFGATDPTLASLQYPYFLRTVTDDYHQMRAVADLVEYFGWKEVIAIYVDDDNGRNGISALGDALSRKRAKISYKAALSPGASRNEMYNVLVNVNLMESRVYVVHINPDSGLLLFSVAKSLSMISSGYVWIATDWLPSVLDSSESVDPDMINVLQGVIALRHHTPDTDQKKKFASRWRNFKEKETSGFNSYALYAYDSVWLLARALDRFFNEGGNVTFSDDPRLQDTKGSSLHLSSLRIFDQGQKLLEILTSVNFTGLTGQVKFDTDRDLIHPAFDILNIAGTGSRLLGYWSNYSGLSQVTPEILYAMPPNSSTSSQHLNGVIWPGDVTQQPRGWVFPNNGKPLRIAVPNRVTYPVFVSKEKGPSGVRGYCIDIFEAAVQLLPYPVPHVYELYGDGKRNPSFNNIVEDVAKQKYDAAVGDVTITMNRTRMVDFTQPYIESGLVVVVPVKEGKSNPWAFLQPFSLQMWCVTGAFFLFVGTVVWILEHRINQEFRGSPRQQLVTVFWFSFSTMFFAHRENTVSTLGRLVLILWLWVVLIINSSYTASLTSILTVQQLSTGIQGIDSLISSSEPIGIQDGSFAYNYLIEEMNVAESRLRILKKPDDYIDALQKGPKGGGVAAIVDELPYIEVFLSNTKCNFRIVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQRIHDKWLSKEGCSQETEVDDSRLSLKSFWGLFLICGIACFLALLVFFCRVCLQYRRYTPEQEMDQVVNEPETTQCSGGTLRAPSFKDLIDFVDKKEAEIKQMLKRKSSGNNSNKRQLDQSEDGHPSSPV >itb11g05660.t1 pep chromosome:ASM357664v1:11:3333543:3338535:-1 gene:itb11g05660 transcript:itb11g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHAHLKSRFLLVICCLSVPLAVLGGRNGTAPSRPRVVNIGALFTINSVIGRSARPAIKAAVDDVNSDSSILSGTKLNLIVQDTNCSGFIGTVDALQLMERDVVAAIGPQSSGIAHVISHIVNELHVPLLSFGATDPTLASLQYPYFLRTVTDDYHQMRAVADLVEYFGWKEVIAIYVDDDNGRNGISALGDALSRKRAKISYKAALSPGASRNEMYNVLVNVNLMESRVYVVHINPDSGLLLFSVAKSLSMISSGYVWIATDWLPSVLDSSESVDPDMINVLQGVIALRHHTPDTDQKKKFASRWRNFKEKETSGFNSYALYAYDSVWLLARALDRFFNEGGNVTFSDDPRLQDTKGSSLHLSSLRIFDQGQKLLEILTSVNFTGLTGQVKFDTDRDLIHPAFDILNIAGTGSRLLGYWSNYSGLSQVTPEILYAMPPNSSTSSQHLNGVIWPGDVTQQPRGWVFPNNGKPLRIAVPNRVTYPVFVSKEKGPSGVRGYCIDIFEAAVQLLPYPVPHVYELYGDGKRNPSFNNIVEDVAKQKYDAAVGDVTITMNRTRMVDFTQPYIESGLVVVVPVKEGKSNPWAFLQPFSLQMWCVTGAFFLFVGTVVWILEHRINQEFRGSPRQQLVTVFWFSFSTMFFAHRENTVSTLGRLVLILWLWVVLIINSSYTASLTSILTVQQLSTGIQGIDSLISSSEPIGIQDGSFAYNYLIEEMNVAESRLRILKKPDDYIDALQKGPKGGGVAAIVDELPYIEVFLSNTKCNFRIVGQEFTKSGWGFAFQRDSPLAVDMSTAILQLSENGDLQRIHDKWLSKEGCSQETEVDDSRLSLKSFWGLFLICGIACFLALLVFFCRVCLQYRRYTPEQEMDQVVNEPETTQCSGGTLRAPSFKDLIDFVDKKEAEIKQMLKRKSSGNNSNKRQLDQSEDGHPSSPV >itb11g05660.t4 pep chromosome:ASM357664v1:11:3333543:3338535:-1 gene:itb11g05660 transcript:itb11g05660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHAHLKSRFLLVICCLSVPLAVLGGRNGTAPSRPRVVNIGALFTINSVIGRSARPAIKAAVDDVNSDSSILSGTKLNLIVQDTNCSGFIGTVDALQLMERDVVAAIGPQSSGIAHVISHIVNELHVPLLSFGATDPTLASLQYPYFLRTVTDDYHQMRAVADLVEYFGWKEVIAIYVDDDNGRNGISALGDALSRKRAKISYKAALSPGASRNEMYNVLVNVNLMESRVYVVHINPDSGLLLFSVAKSLSMISSGYVWIATDWLPSVLDSSESVDPDMINVLQGVIALRHHTPDTDQKKKFASRWRNFKEKETSGFNSYALYAYDSVWLLARALDRFFNEGGNVTFSDDPRLQDTKGSSLHLSSLRIFDQGQKLLEILTSVNFTGLTGQVKFDTDRDLIHPAFDILNIAGTGSRLLGYWSNYSGLSQVTPEILYAMPPNSSTSSQHLNGVIWPGDVTQQPRGWVFPNNGKPLRIAVPNRVTYPVFVSKEKGPSGVRGYCIDIFEAAVQLLPYPVPHVYELYGDGKRNPSFNNIVEDVAKQKYDAAVGDVTITMNRTRMVDFTQPYIESGLVVVVPVKEGKSNPWAFLQPFSLQMWCVTGAFFLFVGTVVWILEHRINQEFRGSPRQQLVTVFWFSFSTMFFAHRENTVSTLGRLVLILWLWVVLIINSSYTASLTSILTVQQLSTGIQGIDSLISSSEPIGIQDGSFAYNYLIEEMNVAESRLRILKKPDDYIDALQKGPKGGGVAAIVDELPYIEVFLSNTKCNFRIVGQEFTKSGWGFVSTLTSPIIIIDLHYINCWAEALKGQVQYPAWPYLLCRSY >itb06g13480.t1 pep chromosome:ASM357664v1:6:18168853:18171057:1 gene:itb06g13480 transcript:itb06g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLINSSPPLTNPSSDLARKPCEFLPERPKLYDSFLHKKRFCRVLAVAHTRSSNSCWKQSELAVKDGIFVLPNWNVASNDRTARELKLHDAILYLEYMVEKGYKPDLTQATNLVYALCQHDKAGKATRVMLTIVRSGSTPMASSCAFLVKYLCKVGSVRRAIYLVEKMEEYGYVVNTKTYNILIRGLYKQGCLNLSLGFIDRLMQKGLVPDAYTYSIMLEVISRERGVDEAMVLLEVIAAKGGKPNLVCYNVVLIGLCKERRVDEAVQLFRKLPSIRIYPNVASYNVLLRSLGSEGRWEEANRLLADMDREGILPTTATYNILIDSLASHGRIRSAIDILEEMHSGVTLRATADSYNPIITHLCKEKKVPDVINYLNQMIDQQCDPNPGTYNAIAVLCEEGMVHEAFKILERLRAIKGHPVNDFYRNVISILCRMGNTYPAFQLLCDITASVFTPDKFTYSYLIKGLCKERMQNAAIEIVRILEANCYRPNAKNFGALVIGLCKGGRTDLALSVYEEMIEKGYMPDEKTYSIIVEGLVYEEEKELAGLVLKELHMQKVISTDMMQWLALQYELEEGLSV >itb14g04630.t1 pep chromosome:ASM357664v1:14:4101501:4103534:-1 gene:itb14g04630 transcript:itb14g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEKNKKVAVAGVASILLVACVVAATVSGRRPGGADSGSGEVSTATKSVKTICAPTDYKETCERSLAGAKDTSDPKELIKVAFMVTVENITDAISKSKLLKEADKDPRTSDALDTCKELLKNSVDDLKRCFEKVENFNMENVKEFANDLKVWLSGAITQQQTCIDAFENTTGDTGEKMKHLLKTANELTSNGLAMTTHFTELLQTLEIPGLFNRRLLAAETKEEEVFPPFIDAATRRLLTTDPASIKHEIVVAKDGSGKYNSIVEALKTVPYKNKETVVIFIKAGVYKEHVEVPRKMNNIVFLGEGPTKTRITGNRNYVDGIGTYHTATVAIQGDGFIARNIGFENSAGSEKHQAVALRVSADMTIFYNCHIDGYQDTLYAHSYRQYYRDCTITGTIDFIFGDAAAVFQNCKMVVRKPLENQACMVTAQGRKEHRGVGTLVLQDCEILPDPALKSVNPPVKVYLGRPWKEYSRTVIMQSYIDGFIAPEGWAPWNGDFALDTLWYAEYQNRGSGASTASRVKWNGYKKNISPDIAQQFTAGMYLDGDVWIKPSGIPYESGMMKV >itb12g02780.t1 pep chromosome:ASM357664v1:12:1816889:1822353:-1 gene:itb12g02780 transcript:itb12g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVEYDKKVLEMIGRKLKKNSVSLDVVNFGEDDEAKAEKLESLVSAVNNNDSSHVVHVPAGPNNLSDVLISTPIFTGDGEGGSGFAAAAAAASAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKRAAEEAANQDKGDKQPDSQDATMSENVAAGTSESESKGADLMEDDNALLQAALAMSMDDSSSNITPRDTDMSEAASEDPELALALQLSVQDSMNDQSDSTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQSQSESQEKDEDDKEPKDEEKK >itb07g16510.t1 pep chromosome:ASM357664v1:7:20346356:20349346:1 gene:itb07g16510 transcript:itb07g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFMPRVKLGSQGLQVSKLGYGCMGLTGVYNNPVSEEEGIAVIKEAFSKGVTFFDTSDFYGADHANEYLVGKALKHLPREKVELATKFGICRIESTEVIVKGTPEYVRSCCEASLKRLQVDYIDLYYVHRIDTTVPIEETMRELKKLVEEGKIKYVGLSEAHPDTIRRAHAVHPITALQQEYSLWTRDIEEDIIPLCRELGIGVVSYSPVGRGLFGGKAVVESLPASSFLGSQPRYSGENIEKNKGIYYRVEELAKKHGCTPAQLAIAWVLNQGDDFVPIPGTTKMKNLQDNIDSVKVKLTKEELKEISNAVPISEVAGQRMGGVFDKTLYKYAITPPLK >itb07g16510.t2 pep chromosome:ASM357664v1:7:20346399:20349346:1 gene:itb07g16510 transcript:itb07g16510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFMPRVKLGSQGLQVSKLGYGCMGLTGVYNNPVSEEEGIAVIKEAFSKGVTFFDTSDFYGADHANEYLVGKALKHLPREKVELATKFGICRIESTEVIVKGTPEYVRSCCEASLKRLQVDYIDLYYVHRIDTTVPIEETMRELKKLVEEGKIKYVGLSEAHPDTIRRAHAVHPITALQQEYSLWTRDIEEDIIPLCRELGIGVVSYSPVGRGLFGGKAVVESLPASSFLGSQPRYSGENIEKNKGIYYRVEELAKKHGCTPAQLAIAWVLNQGDDFVPIPGTSL >itb05g08910.t1 pep chromosome:ASM357664v1:5:12712326:12714698:-1 gene:itb05g08910 transcript:itb05g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANENPRGFFTPQPLKLSGSPVPASQCKPPRPHSAGKQDLFHVIHKVPAGDSPYVRAKHVQLIEKDPSRAVSLFWAAINSGDRVDSALKDMAIVMKQLNRSDEAIEAIKSFRHLSPTDSQESIDNILIELYKRSGRIEEEIEMIEHKLKNIEEGIAFGGKKTKTARSQGKKVEITLEKEYSRLLGNLAWAHMHLKNYKSAEENYRKALSLEPDKNKQCNLAICLMHMNKIAEAKFLLQSIRASSDYREMDDSCHKSLERAMEMLAEFESQNGSMVAGGEICKGRTSSTSTLDVESDSKGGTVVRLLTVDKLKRDSVSCWRKYGGGHLESPGGGPFTQPRRCAAAWSSFNNNGHQKKGGWSRTSRKLSFESNNNTTCIFLPPPSTNEKLLRSDLGHWKNCNVMKTQSTLPKMIHDEKWRRDYYSLQNNKKKFMESISFALEEESPTVDFSSAPHFLKQNSELLDTTEHLNLIGTEKSANNEKQQNKKSWADMVEEEDEQEDMQSFETQSNKSSNSQELRDDDEEFNDENADCNVIREIPSLKNLAENISQSLETLDLNGGYYTQPEQSTDGIETWPSSSFHTQLNFEIVKLVPPEGGDLTIQTPLRLLKRRNRLQVFQDITPDSPRF >itb12g05910.t1 pep chromosome:ASM357664v1:12:4426053:4426574:-1 gene:itb12g05910 transcript:itb12g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGCQCRRGDFLGGAMVLPPIFLLQKGQIVGCYRCNETTIMKRSHYFGYGLAFRTAFKTLLDHEKFKGLVILRTFSPAHFENGKWSSGGNCVRTRPFTKREMKLEGYTLEMYLTQVREFMAAKREGSKKGVRFRLLNTTESMVLRPDGHPPLGAREQNDCGLCALVLAWPH >itb10g21040.t2 pep chromosome:ASM357664v1:10:26387775:26391158:-1 gene:itb10g21040 transcript:itb10g21040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTMICSSTLNLPNLRQKSTPITSCLLEPKANPSAAPSETPAPARRIKTSPQLNRWSRARSVRSGLKLDRLAFKDGGVGTKSRLDSPGRNVEDSEVAAVPVSESDGVDETDVNWGKAIYMVSDGTGWTLEHAVNAALGQFEYCLVDRVCPVNTHLFSGIDDLDRLMEVIKQAAREGAMVVYTLADPSMAESARQACNLWGVPSTDILSPITEAVASHLGVSPSGLSRWAPGRKSSLGEEYFRRIEAIEFTIKQDDGALPKNLHNADLVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGVALPRTLFEVDPEKVFALTINHVVLQTIRKARAKSLGFSDDLRSNYSEMDYVKGELEFAGKIFAQNPIWPVIEVTGKAIEETAAVVLRLYHDRKNRCSMPRISKLY >itb10g21040.t1 pep chromosome:ASM357664v1:10:26387098:26391190:-1 gene:itb10g21040 transcript:itb10g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTMICSSTLNLPNLRQKSTPITSCLLEPKANPSAAPSETPAPARRIKTSPQLNRWSRARSVRSGLKLDRLAFKDGGVGTKSRLDSPGRNVEDSEVAAVPVSESDGVDETDVNWGKAIYMVSDGTGWTLEHAVNAALGQFEYCLVDRVCPVNTHLFSGIDDLDRLMEVIKQAAREGAMVVYTLADPSMAESARQACNLWGVPSTDILSPITEAVASHLGVSPSGLSRWAPGRKSSLGEEYFRRIEAIEFTIKQDDGALPKNLHNADLVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGVALPRTLFEVDPEKVFALTINHVVLQTIRKARAKSLGFSDDLRSNYSEMDYVKGELEFAGKIFAQNPIWPVIEVTGKAIEETAAVVLRLYHDRKNRCSMPRISKLY >itb10g21040.t3 pep chromosome:ASM357664v1:10:26387775:26391158:-1 gene:itb10g21040 transcript:itb10g21040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDTMICSSTLNLPNLRQKSTPITSCLLEPKANPSAAPSETPAPARRIKTSPQLNRWSRARSVRSGLKLDRLAFKDGGVGTKSRLDSPGRNVEDSEVAAVPVSESDGVDETDVNWGKAIYMVSDGTGWTLEHAVNAALGQFEYCLVDRVCPVNTHLFSGIDDLDRLMEVIKQAAREGAMVVYTLADPSMAESARQACNLWGVPSTDILSPITEAVASHLGVSPSGLSRWAPGRKSSLGEEYFRRIEAIEFTIKQDDGALPKNLHNADLVLAGVSRTGKTPLSIYLAQKGYKVANVPIVMGVALPRTLFEVDPEKVFALTINHVVLQTIRKARAKSLGFSDDLRSNYSEMDYVKGELEFAGKIFAQNPIWPVIEVTGKAIEETAAVVLRLYHDRKNRCSMPRISKLY >itb15g09850.t2 pep chromosome:ASM357664v1:15:7128831:7132836:1 gene:itb15g09850 transcript:itb15g09850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPLSSSSPSPPLSRSSPSPSPSPAAGDSTDFNAAAAGKETELSLSSSGKSGANNAQDIVNKVWRDSSYDFSNDAPAKAKTSNKGGFDFITESPLSRVAESPNLGQISPKEVRVSFNENLNEPVRRRSNVSGNNAAAAEEVVVCSSTSSFRRKSGVLSTRMKSRLLDPREDHNDQRSQKITMKSGILGKGSEIDEDDPFLDEDFPNEYKKIKFSPLSILQLLSLVLIIALLICTLTIGLLRRKAVFELELWKWELMVLVLICGRMVSGWGIRLVVFFIERNFLLRKRVLYFVYGLRNSVQNCIWLSLVLIAWQCIFDKRVERATDGKVLPYVTRIWVCLLVGTFIWLLKTLLVKVLAMSFHVTAFFDRIQESLFNQYVIETLSGPPLIEIQQEQEEEEKMMAEVQKLQSAGATLPPDLKATVFPKSGRLIGTPRKSPTSATARSSTPAFSRVMSKREREKDEEGGISIDHLHRLNQKNISAWNMKRLMNIVRKGVLSTLDEQLQESTGEDESAVQITSEKQAKIAAKKIFINVAKSGSKFIYIEDLMRFMREDEASKAMRLFEGGTEAKGISKRALKNWVVNAFRERRALALSLNDTKTAVNKLHQMLNVLVAILIVVIWLLILRVATTHFFVFISSQVLLVVFMFGNTAKTTFEAIIFLFVMHPYDVGDRVEIDGVQMIVEEMNILTTVFLRFDNQKITYPNSVLSTKPISNYYRSPDMGDAIDFAIHISTPMEKIATMKERITRYIENKSEHWYPAPMIVMRDIEDLNRIKWSIWLSHTMNFQDMGERWMRRAQLVEEMIRIFRELDIEYRMLPLDVNVRNLPHLTSTRLPSNWTACST >itb15g09850.t1 pep chromosome:ASM357664v1:15:7128831:7132961:1 gene:itb15g09850 transcript:itb15g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRRSFKALSPDHPPRQEDERRVLLNQIEAQEDPIAAMAMAPPLSSSSPSPPLSRSSPSPSPSPAAGDSTDFNAAAAGKETELSLSSSGKSGANNAQDIVNKVWRDSSYDFSNDAPAKAKTSNKGGFDFITESPLSRVAESPNLGQISPKEVRVSFNENLNEPVRRRSNVSGNNAAAAEEVVVCSSTSSFRRKSGVLSTRMKSRLLDPREDHNDQRSQKITMKSGILGKGSEIDEDDPFLDEDFPNEYKKIKFSPLSILQLLSLVLIIALLICTLTIGLLRRKAVFELELWKWELMVLVLICGRMVSGWGIRLVVFFIERNFLLRKRVLYFVYGLRNSVQNCIWLSLVLIAWQCIFDKRVERATDGKVLPYVTRIWVCLLVGTFIWLLKTLLVKVLAMSFHVTAFFDRIQESLFNQYVIETLSGPPLIEIQQEQEEEEKMMAEVQKLQSAGATLPPDLKATVFPKSGRLIGTPRKSPTSATARSSTPAFSRVMSKREREKDEEGGISIDHLHRLNQKNISAWNMKRLMNIVRKGVLSTLDEQLQESTGEDESAVQITSEKQAKIAAKKIFINVAKSGSKFIYIEDLMRFMREDEASKAMRLFEGGTEAKGISKRALKNWVVNAFRERRALALSLNDTKTAVNKLHQMLNVLVAILIVVIWLLILRVATTHFFVFISSQVLLVVFMFGNTAKTTFEAIIFLFVMHPYDVGDRVEIDGVQMIVEEMNILTTVFLRFDNQKITYPNSVLSTKPISNYYRSPDMGDAIDFAIHISTPMEKIATMKERITRYIENKSEHWYPAPMIVMRDIEDLNRIKWSIWLSHTMNFQDMGERWMRRAQLVEEMIRIFRELDIEYRMLPLDVNVRNLPHLTSTRLPSNWTACST >itb04g10840.t1 pep chromosome:ASM357664v1:4:10415609:10416067:1 gene:itb04g10840 transcript:itb04g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTKMIILRSSDEEIFEVEELVALEMQTIKYMIDDECVDTIIPIANVTGKILAKVIGYCKSHAEAAKTSQDDLKDFDANFIKVDHQTLLDLIMAANFLNVKSLLDLTCQAVANLIENKTVEELRKNFNIQNDFTPEEEEEVRRENAWAFE >itb11g10060.t1 pep chromosome:ASM357664v1:11:6850994:6851772:-1 gene:itb11g10060 transcript:itb11g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79850) UniProtKB/Swiss-Prot;Acc:P16180] MPLASPLLQLPISQFKSLTLATPFLHGSSSLSHLSKPSSCISAPQPAFLPAIRAMKVMQGRVVCATNDKTVAVEVVRLTVHPKYKRRYRVKKKYQAHDPSNQFKVGDFVQLEKSRPISKTKTFVAIPAPPRNQRKPKEDSGSQELGLPMESQQTESD >itb11g11440.t1 pep chromosome:ASM357664v1:11:8369103:8371916:-1 gene:itb11g11440 transcript:itb11g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MAAATSPLPKSISPFLTTSPSIPSTHFINAPFWNTNHPIGHTISPQKWAALRCNSKKRNPWLDPFDYGEDPDVEYGSLFTEGKQEEDTRPPDNPDNPYGFLKFPKGFNVEIASLGLKIRGDVRRCCCVVDGGVYENLLFFPAVQLIKDRYPGVQIDIIANARGKQTYEMNKNVRFADAYDLDDDFPESAAVLDILGVMKNRYYDLIISTKLAGLGHAAFLFMSSARDKASYVYPNVNAAGAGLFLTESFKADSTTLAEAGFHMYKDMLHWLGRPGRKVPRTPVPPLKVLIPRKVREVVEKKYINARVQKGKYIVIHGMKSDSKASMQSRGDTDSLLPIEIWAEITKEIRGLKPLFVIPHEKERVNVEDAVGDDANIVFITTPSQLAALVDDSAGVIATNTAAIQLAQARQKPSVGLFCSEEKARLFVPDGEEKKCATISSKTGKLIDIDVEEVKRAVQIFSLPLAIF >itb13g12800.t1 pep chromosome:ASM357664v1:13:19110071:19112256:-1 gene:itb13g12800 transcript:itb13g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCISSDDSCLPDNINNSAFIRLLRACPKLCELDLSDQLDICVLKFLKLNPKDFVLMEELSSVARTQKMLRTLKFTHFNGLRSEMQCIKTFLACFPGIEKVVIVRGWIPSIVRGWIPSYEESSDEEFEIMQELLRFPCASTKAEICYKSKFYDVSLVR >itb01g33530.t1 pep chromosome:ASM357664v1:1:36624136:36625615:-1 gene:itb01g33530 transcript:itb01g33530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHHQEIRDDKVKAAPAEEQTSGNAAAASPPSASSSPSHEFSFTISLHQPSAKAPDRTKSPPAPAPFAVDLTPADEIFFGGHLLPLHLLSHPPVSPRSSTNSLESFTLPIKELLEDQTSQQNPDTQTNNHDHHQNNINNQRTEEKPKSSRSFSLFWLPKWRKGYEVRDKEEEKEKHGRKLKFDITQVVKRYMRMVRPLLSFRQSRRGNLQFDRQAYSYSGHLNLRGKKDIRGKRGEYSAPASMRTSPTNSGLLVPPATSPTSSSDSTMEELQAAIQAAIAHCKKSIQVEDKLKCQD >itb08g15130.t1 pep chromosome:ASM357664v1:8:17160996:17165092:1 gene:itb08g15130 transcript:itb08g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHSNEDFAVGCILSIKTTFGEEFEGQVITFDRPSNILVLQEGGNSGSGPKRNLRLLKANYIKDFALMGHGEDPLDANKCFLDLDTLKAREDSAIRQAELDAERFGVGVTAEAQSIFDALAKTHPVRWDKTVIVVMNEVRVSSPYLPESVKGGTPAANERVRKVLEFERKRLQTRNA >itb14g18400.t1 pep chromosome:ASM357664v1:14:21437387:21438562:-1 gene:itb14g18400 transcript:itb14g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MENSSMDCSTTILQLPDDCLHLIFQHLDCSCDRESFGLTCHRWLQIQNSCRRSLQFHCSLNLLNISSLSQSTSPSISAFHLFKLLNRFQQLQSLSLSGCTDLPDSGLTQLQYHGSKLHSLNLDCCFGITADGLALVASGCSSLTILSLYRCNVTDSGLETLSKSCLALEDLNLAYCSLVSDAGVRAISQNCRQLRAIRISYCKAVSGIGFQGCSQTLAYVEADSCKLEPDGIRCVLSGGGLEYLSVLNLSWNICGDGLTAIGSGFALRLRVLNFRFCRTIGDATIVMIARGCPLLQEWNLSLCHEVRILGWRAVGSNCPNLKTLHVNRCRNLCDQGLRALRNGCKRLSTLYIMRCARLSSTAIEIFKLSRGDVEIIEDEIACIAPKQAFRF >itb10g16870.t1 pep chromosome:ASM357664v1:10:23100858:23102694:-1 gene:itb10g16870 transcript:itb10g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSSGSSDDVMDQLKNQLAQAYFEQFIETVREKCFDKCITKPGSSLSGGESSCISRCVDRYIEATGIISKALFSQPR >itb03g16360.t1 pep chromosome:ASM357664v1:3:15348624:15352605:-1 gene:itb03g16360 transcript:itb03g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVYALSSRSIFLTSSQMKMALLQHVCTAPLSRAFRVRAFAVASTAVTGPVSVPSLKKASKSQPDSRVLLGMSEQELQQLALDLGQQSYRGKQLHHILYKRKVKEIQDFIQLPLAFRSELEEAGWKVGRLPIHKSVTAADGTVKLLIKLEDNLLVETVGIPVADDNGSFRLTACVSSQVGCPLRCSFCATGKGGFSRNLRRHEIVEQVLAIEEVFKHRVTNVVFMGMGEPMMNLKEVLEAHRCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLALSLHAPNQKLREMIVPSAKVYPLDAIMKDCRDYFLETSRRVSFEYTLLAGVNDAVEQAIELAELLHKWGRGHHVNLIPFNPIEGSEYRRPYKKSVLAFVAALESRKITVSVRQTRGLDASAACGQLRNEFQKNPLLVVPENQQSHSEVAIAS >itb06g21560.t1 pep chromosome:ASM357664v1:6:24166028:24170141:1 gene:itb06g21560 transcript:itb06g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGFRDQASGFRARDVSPDSVIFTADSNLSLFSSASASVDRCSFASDAHDHEDSSFSDTSQPHLAGHEFHDASHGRASDCKTPAVHLSRKEKAKVQIADNSEIETEDENLAVDSARNSFSQALKECQDRRSRSGVPLKKPDRRRPASLDLNNSVTNAANSTSPQFGVMKKVYVTTSRGGAFPSPGTPNYRYSSMGIQKGWSSERVPLHSSASRRQLNNALLPYNNGRTLPSKWEDAERWIFSPVSGDGAMRTSLQQPQRRPKSKSGPLGPPGAAYNSLYSPALPVSKGENNINLLASSPFSTGVMVANGLSIHCGGHGVGENFPACTEPCMARSISIHGFSELISLASLPAAQDEGNNDNDAASNISRVVSRRDMATQMSPETSPQSSSSPPVPSVLPIVEVSGVHSSKSEVRDVPVDERVTVTRWSKKHRSRIPGRSWGSIDDWRRKAVEIRSSSWEVSETTKSISKIKREEARITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRSSVLASQGHEATRNPQRALSFRRTRQISSLSGCFTCHAF >itb05g28530.t4 pep chromosome:ASM357664v1:5:32204694:32209947:-1 gene:itb05g28530 transcript:itb05g28530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MEAKDAKEEDGKVDFEASEIEYESYGGEHHLPLIMNLVDEELSEPYSIFTYRYFVYLWPHLSFLAFHKGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGKGIATELVTRSITVMMESGCEEVTLEAEVTNKGALALYGRLGFVRAKRLFRYYLNGVDAFRLKLLFPRPESQHFQSMLATMDESGGHNDNIHAEGDAFSQYI >itb05g28530.t1 pep chromosome:ASM357664v1:5:32204358:32210050:-1 gene:itb05g28530 transcript:itb05g28530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MEAKDAKEEDGKVDFEASEIEYESYGGEHHLPLIMNLVDEELSEPYSIFTYRYFVYLWPHLSFLAFHKGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGKGIATELVTRSITVMMESGCEEVTLEAEVTNKGALALYGRLGFVRAKRLFRYYLNGVDAFRLKLLFPRPESQHFQSMLATMDESGGHNDNIHAEGDAFSQYI >itb05g28530.t2 pep chromosome:ASM357664v1:5:32204358:32205657:-1 gene:itb05g28530 transcript:itb05g28530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MMESGCEEVTLEAEVTNKGALALYGRLGFVRAKRLFRYYLNGVDAFRLKLLFPRPESQHFQSMLATMDESGGHNDNIHAEGDAFSQYI >itb05g28530.t3 pep chromosome:ASM357664v1:5:32209398:32209947:-1 gene:itb05g28530 transcript:itb05g28530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MEAKDAKEEDGKVDFEASEIEYESYGGEHHLPLIMNLVDEELSEPYSIFTYRYFVYLWPHLSFLAFHKGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGKGIGECPYSPFSFLLGVKNGSLKRNFHGEGLVQ >itb09g13910.t2 pep chromosome:ASM357664v1:9:9122537:9123143:1 gene:itb09g13910 transcript:itb09g13910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRYREDQLSPNGNASIEMTAGTGGVNLDKFFEDVEAIKDELRDLDNICTRLNTSNEHSKTLHNAKQVKDLRAKMDNDVAVALKKAKFIKVRLEALDRSNAANRSIAGCGPGSSSDRTRTSVVNGLRKKLQESMNQFNDLRQKMSAEYRETVQRRRRYRSRGEGR >itb09g13910.t3 pep chromosome:ASM357664v1:9:9122537:9123278:1 gene:itb09g13910 transcript:itb09g13910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRYREDQLSPNGNASIEMTAGTGGVNLDKFFEDVEAIKDELRDLDNICTRLNTSNEHSKTLHNAKQVKDLRAKMDNDVAVALKKAKFIKVRLEALDRSNAANRSIAGCGPGSSSDRTRTSVVNGLRKKLQESMNQFNDLRQKMSAEYRETVQRRYFTVTGEQPEEAVVDRLISTGQSETFLQKAIQEQGRGEVMETVLEIQERHEAVKEGSSLTI >itb09g13910.t1 pep chromosome:ASM357664v1:9:9122064:9123807:1 gene:itb09g13910 transcript:itb09g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRYREDQLSPNGNASIEMTAGTGGVNLDKFFEDVEAIKDELRDLDNICTRLNTSNEHSKTLHNAKQVKDLRAKMDNDVAVALKKAKFIKVRLEALDRSNAANRSIAGCGPGSSSDRTRTSVVNGLRKKLQESMNQFNDLRQKMSAEYRETVQRRYFTVTGEQPEEAVVDRLISTGQSETFLQKAIQEQGRGEVMETVLEIQERHEAVKEVERSLKELHQVFLDMAVLVETQGEQLDDIESQVNRASSFVRGGAQHLEVARKHQKSTRKWACIGIIILLIVILIIVLSVQPWKK >itb10g25390.t1 pep chromosome:ASM357664v1:10:28737535:28743290:-1 gene:itb10g25390 transcript:itb10g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEVTVSNVDKPLLEEVDGGDDLTETEQCVSLPESKVLNEASIESPGIQDASTAETEMKTEAEGGEDAVVDTIDSRNEAGAGEETVVHTIDSRNEAGAREETVVDTIDSRNEAGGGEETVVGMSDSLKEPGVVEETVDTSDSRKEAGAGEETVDTSDSRKEGGGGEKAVLDTSDSRKEAGVDGDETVACTSDSHNEAGGGEESVVDMNNSHVAEVAPVLTSDLEMEAKANEQAEMAAVKELEADGGDETVVDKGHSHAEMVAKAAPVLELVQLDIKPGLDIEFEAPIAVAVTDSSQLDGHHSEAVDAMEAEIPTGEANREVETDGYSATKAVDDEAASIPTLEANRELEIDGDNTTKVEEDAAASIPTSEANREMETDGQDTMKAEDNDTDSIPTAEANNREMETYKMDITKAEDGEAVSIPTGESNRELETDGDEVMKPEDDEAASVPTGGANRELETDGDDVMKAEDDESGSIPTGEANRELETDGDDVAEDVEAASISTEEANGELVADGEDIMLEDSQEDPQYGENELMTAEETAAADTEVETETDAADSGRGAGGKRKRGKNSKSPASSKAATRTPSRKVTEEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNRDEAFFRAKGRWNCGWHLCSICEKNAHYMCYTCTYSLCKGCIKSAVILCIRGNKGFCENCMRTVKLIESKQQGDKDAQIDFDDKSSWEYLFKDYYMDLKERLSLSSAEIAEAKSPWKGSDVSGNRQQQSEPQFDNNEEGGSGSDNSGEKVEVNKLKRRKITKKSKSLLKEDGMASMSAIAGSEGASTPSNNEWASKELLDFVKHMRNGDTSVLSQFDVQDLLLKYIQMNKLRIPNKKSQIMCDSRLQSLFGKPRVGHFEMLKLLESHFLIKEDTQIDDAQGTVVDTEVEGDENRDTLMKEVKDKKRKRKKGHSRGPQSNLDDYAAIDMHNIGLIYLRRKLMEDLLEDVEKFQDKVVGTFVRIRISGNNQKQDLYRLVQVMGMSKASEPYKVGKRTTDMLLEILNLNKTEVISIDTISNQDFTEDECKRLRQSIKCGLINRPTVGVILDKAMEIHEARVNDWLESEVLRLSHLRDRASEKGRRKEYPFLMKC >itb13g05380.t1 pep chromosome:ASM357664v1:13:6426624:6432631:1 gene:itb13g05380 transcript:itb13g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSDVVNWLKANATKGQGITSLEFQTTQKTVGSENKENNVKLSMEKSVFPMASSSSSFVSSWNSGPLFNNKAPFSFGLQTSIPQIQTTLPMNNDTSNDADAEDDEKQPSSPSVKKTEEKGISVVHEVKCKLYVKSTDPTEKDAWKDRGTGQLSIKCKEGVSKGTRESKPTILIRNDVGKVLLNALLYPGIKTNMQKNSIVTIFHIADGENNDNVVARTCLIRLKSMEDRDKLAEVIEEHAPTA >itb13g05380.t2 pep chromosome:ASM357664v1:13:6426621:6432636:1 gene:itb13g05380 transcript:itb13g05380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKRLAASDTNLFSSDSNQIKRVVGGSPFEARQAETSDQLSVTAPQLDMQRAGSSRQHVRALNTQFASWVQTQLQNHPDELWEDGVQDYLSHASSIMEKFSDVVNWLKANATKGQGITSLEFQTTQKTVGSENKENNVKLSMEKSVFPMASSSSSFVSSWNSGPLFNNKAPFSFGLQTSIPQIQTTLPMNNDTSNDADAEDDEKQPSSPSVKKTEEKGISVVHEVKCKLYVKSTDPTEKDAWKDRGTGQLSIKCKEGVSKGTRESKPTILIRNDVGKVLLNALLYPGIKTNMQKNSIVTIFHIADGENNDNVVARTCLIRLKSMEDRDKLAEVIEEHAPTA >itb08g04080.t1 pep chromosome:ASM357664v1:8:3256481:3257489:-1 gene:itb08g04080 transcript:itb08g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNSSLFLVVAVLIMLGITNVWAGVFTLHNGCTHTVWPGTLSGKGGASGFALSPGESRQLQFSRGWSGRFWASTGCNFDASGNGRCVTGDCGGLRCAGGGVTPTTLAEFTIANRSADNDFYDVSLVDGYNVDLGIQPSGGSGDCQYAGCVADLNSNCPQPLQVTDSGSVVACKSACARFNTPEYCCTGDHNTSATCPPTQYSQIFKKACPKAYSYAYDDVTSTFTCAGSDYLITFCPSS >itb01g01820.t1 pep chromosome:ASM357664v1:1:1080802:1082046:-1 gene:itb01g01820 transcript:itb01g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTLLFALSLYLLPNPTYSTSNPIRLPTADVAVTSGETPVVDTDGDALRAGETYYITSTFWGAASGGVQLAWLDSTTKCASDVIKSRALTDGDPIRITPADPNATVVLPSTFQSFAFFVPTSRLCVSSVYWGIRFDRASGQYFLKSGEFVSNLSGQFKIEVVPDSFLNYKITYCPFGTDKCYNVSTYIDESVGAERFALSADFAFTVLFKKAKF >itb11g12640.t1 pep chromosome:ASM357664v1:11:9606788:9613137:-1 gene:itb11g12640 transcript:itb11g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADQNGTIDFPEFLNLMARKMKDTDSEEELKEAFKVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEFVRMMLAK >itb04g08560.t1 pep chromosome:ASM357664v1:4:6898349:6899530:1 gene:itb04g08560 transcript:itb04g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSDFDDTSIWDEMNLTPFDDTSIWDEMNLTPLYDFENEFHELNQPTVRAVAVAEIEPSREVAVQRKENEKERTFSKKLRLEDNLEISTPPPYRLEDNLEISTPPPYQLEDNLEISTPPPYQYNHYLEISTPPPYQYNHSLEISTPPPYQYNHSITENSLWDYQYEECTLAPLGEASRSSSDEHIRSFGREFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDSDQGEKVIELEHESEQMLTNPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb02g22260.t1 pep chromosome:ASM357664v1:2:21442340:21444352:-1 gene:itb02g22260 transcript:itb02g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSRNPKISTLILAYLFFFSSSSTESNPNAGDPEPIPTPWPLQFHSILFINITSKGTLQMIDLWYDWPNGRNFNIIQNQLGNLVYDLEWNNGTSFIYTLDSSRECQVLDVPVGILRPDWLDGANYLGQKYMDGFLCNVWEKVEFIWYYEDVVSKRPVYWAFYTGMVAHVMTFEVGKVLSDPNWQAPVYCFNNSTVEYLGSPIVSDAMPLPRAFHVSVAL >itb03g20030.t1 pep chromosome:ASM357664v1:3:17947059:17950130:1 gene:itb03g20030 transcript:itb03g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPPKSSKKILKGQEQKKSSSSPKDHLSRKQQRKGENPVRIPPSTEQCSDFKCSNSWICKNSACRASISIDDTFCKRCSCCICHLFDDNKDPSLWLECASESGQGESCGLTCHIECAIQRGKVGVVDLGQLMQLDGSYCCASCGKVSGILGFWKKQLSIAKDARRVDVLCYRIYLCYRLLDGTSRFRELHEIIKEAKAKLETEVGPVNGVSAKMARGIVSRLSVAVDVQLLCSQAIEKADEWLATKSCAAPNLGEGSRPAACKFLFEEVTSSSAVIVLIELSTASSEDVKGYKLWYCRNREDVYTKEPLCVFPRSQRRVLISNLLPCTEYCFRIVSYTEAGDLGHSEAKCFTKSVEILNRNLSPTDRNHGKGNLDTGGSSSANADHKAITAVESDSGFKVRDLGKILRLAWAQQQGCSEGFCGEEIEKFCECNNVKPNNTVQEDHKPSASRQLDLNVASVPDLNEELTPPIDSSRDEDNGCTIGQTVEADDAVSHDNERNGVAGSHGSGDSQNWNHTQTGDVTVVDSKVEGRKKRAASTNGETHDSDSTLINGSPIQIQNGSGCFDENFEYCVKIIRWLECEGHIKKDFRLKLLTWFSLRSTEQERRVVNTFIQTLMDDPGSLAGQLIDSFSDIISCKRPRNGFCSRLWH >itb12g13840.t1 pep chromosome:ASM357664v1:12:12889083:12893880:1 gene:itb12g13840 transcript:itb12g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRASIWKQMADAGIKFIPSNTFSYYDHVLDTTAMLGAVPPRYNWAGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVETVPVLVGPVSYLLLSKPAKGVEKSFPLLSLLDKILPIYKEVISELKGAGASWIQFDEPNLVMDLESHQLEAFTKAYAELESCFGGLNVLVETYFADIPAEAFKTLTALKGVTAFGFDLIRGTKTIDLIKGGFPSGKYLFAGVVDGRNIWANDLAGSLSTLKSLEDIAGKDKLVVSTSCSLLHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALCGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARRDEQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKANKISEEEYVKAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKAEHAFYLNWAVHSFRITNCGVQNTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYTEVKPALENMVSAAKLIRTQLASAK >itb05g24250.t1 pep chromosome:ASM357664v1:5:29097353:29098246:1 gene:itb05g24250 transcript:itb05g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSSHGLQFHGRSISLPSRLYPTPENIEAELNKLRTWETTTSSSPPSSKSIRARLLMLAELYESLQELVESPSTQRALLQQNRAIVEEALEGSLVFLDLCGNIQGVIHMVKDRVKELQSALRRKGGDSSIEGDITDFLSFIKRVKKEATKSLRKLKQMENRPPVFHEIDVYSSMVIRVMREVSAITILALRPVLAFLSCPALKNNKSSGWSLISRLMLNKSAIPSNEMIGDNLGILDATIASLQGIIKINGDLQIARKRLQNLDAEIEGLETGLDCLYRHLIQSRVSLLNILTC >itb01g06170.t1 pep chromosome:ASM357664v1:1:4356153:4362802:-1 gene:itb01g06170 transcript:itb01g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRLRGHKAAATYCIASRTRPGLIATAAEDGNVCWFDMRCKDMAFKMDVGNDDPISSICFKPGNEDIIYVSSGNEVKSFEVNLGKASKPLESYNYNKDEINQIVCTPNSSFLASADDSGDVKVIDIRQQCLYRTLRAGHTSICSSVQFVPWRPWEVITGGLDSKLVVWDFSKGRPKKIVDFGMPDVRNKDNAGQCLNPAFVHALALPEADMVEKLGKVCVVARGDGVVDILNIESELNSCKSKSSTKAKKGSQASKATDSSTGSGLDIQNGGSLRLDHALGGHTAAVSCVSFSSFGEKGKFIISGGNDKQVSVWDWSRPVVTDGTSTSTDVLRSKLNLSRKVNWLCTTPTDSDNLVVCDTSKVVKVYTIA >itb05g26200.t3 pep chromosome:ASM357664v1:5:30208575:30211395:-1 gene:itb05g26200 transcript:itb05g26200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCRNKKQREIKNCCSEGFCSDSGEIMAVADRHRSDGKLWKLFPFWQSAGAAASSGHSIHQNGVAGSAAPRSTASVSSVARSFLPARRRLRLDPSTNLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCYMRPPGGILEPGESIIATVVSIYLLAVFKFVEQPENNEKPMDQKSKVKFKIMSLKVKEGTDYVPEMFDEQKDQATVERILRVVFLDPEHTSPAMEKLKRQLAEADAAVEARKKPPVDTGPKVVGEGLVIDEWKERREKYLARQQVEAVDSS >itb05g26200.t2 pep chromosome:ASM357664v1:5:30208575:30211395:-1 gene:itb05g26200 transcript:itb05g26200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCRNKKQREIKNCCSEGFCSDSGEIMAVADRHRSDGKLWKLFPFWQSAGAAASSGHSIHQNGVAGSAAPRSTASVSSVARSFLPARRRLRLDPSTNLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCYMRPPGGILEPGESIIATVFKFVEQPENNEKPMDQKSKVKFKIMSLKVKEGTDYVPEMFDEQKDQATVERILRVVFLDPEHTSPAMEKLKRQLAEADAAVEARKKPPVDTGPKVVGEGLVIDEWVSTHIFRNPNSIPEMKPTFALVFCRRSGGKSISLGNKLRRWIHPRALILFFSQC >itb05g26200.t1 pep chromosome:ASM357664v1:5:30208575:30211395:-1 gene:itb05g26200 transcript:itb05g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCRNKKQREIKNCCSEGFCSDSGEIMAVADRHRSDGKLWKLFPFWQSAGAAASSGHSIHQNGVAGSAAPRSTASVSSVARSFLPARRRLRLDPSTNLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCYMRPPGGILEPGESIIATVVSIYLLAVFKFVEQPENNEKPMDQKSKVKFKIMSLKVKEGTDYVPEMFDEQKDQATVERILRVVFLDPEHTSPAMEKLKRQLAEADAAVEARKKPPVDTGPKVVGEGLVIDEWVSTHIFRNPNSIPEMKPTFALVFCRRSGGKSISLGNKLRRWIHPRALILFFSQC >itb05g26200.t4 pep chromosome:ASM357664v1:5:30208575:30211395:-1 gene:itb05g26200 transcript:itb05g26200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCRNKKQREIKNCCSEGFCSDSGEIMAVADRHRSDGKLWKLFPFWQSAGAAASSGHSIHQNGVAGSAAPRSTASVSSVARSFLPARRRLRLDPSTNLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCYMRPPGGILEPGESIIATVFKFVEQPENNEKPMDQKSKVKFKIMSLKVKEGTDYVPEMFDEQKDQATVERILRVVFLDPEHTSPAMEKLKRQLAEADAAVEARKKPPVDTGPKVVGEGLVIDEWKERREKYLARQQVEAVDSS >itb05g26630.t1 pep chromosome:ASM357664v1:5:30404651:30408231:1 gene:itb05g26630 transcript:itb05g26630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIILRRVIPSDNSCLFNAVGYVMDHDKNKAPELRQVIAAAVASDPTKYSEAFLGKPNQEYCEWILNPEKWGGAIELSILAEFYGREIAAYDIQTTRCDLYGQENNYHERVMLIYDGLHYDALAMSPSEGAPEEFDQTIFTVQKDRSIGPVERLALNLVKEQQRKRSYTDTGNFTLRCGVCQIGVVGQKEAAEHAQATGHVNFQEYR >itb05g26630.t2 pep chromosome:ASM357664v1:5:30404656:30408231:1 gene:itb05g26630 transcript:itb05g26630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIILRRVIPSDNSCLFNAVGYVMDHDKNKAPELRQVIAAAVASDPTKYSEAFLGKPNQEYCEWILNPEKWGGAIELSILAEFYGREIAAYDIQTTRCDLYGQENNYHERVMLIYDGLHYDALAMSPSEGAPEEFDQTIFTVQKDRSIGPVERLALNLVKEQQRKRSYTDTGNFTLRCGVCQIGVVGQKEAAEHAQATGHVNFQEYR >itb06g13570.t1 pep chromosome:ASM357664v1:6:18235067:18239636:1 gene:itb06g13570 transcript:itb06g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGSLSMVEAKLPPGFRFHPRDEELICDYLAKKINGSGDPHHLRPPFLIEVDLNKCEPWDIPGIACVGGKDWYFYSQRDRKYATGLRTNRATVSGYWKATGKDRPVISKGTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGPLGPPPLSSTKEDWVLCRVFHKSKEIPGAKQGIVSGGGGGYNATYDHHHDGVAITSSSLPPLMDPYGGISFNQTHPNNATALLNDYYEQVPCFSIFSPNNPAATAAAPSAFHNPTAAATTTVFNSLPPDICAYFNSASSSSTDKNVIKAVLGHLNINYNNNNNNNNNNNNMINNNNNVNNMVNVKGGGSPSFGEGSSESTSFLSEVGLNSIWNNDY >itb15g19660.t1 pep chromosome:ASM357664v1:15:22132897:22135196:1 gene:itb15g19660 transcript:itb15g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSLIQEIFRIVNESHSSATKKNKEWQEKLPIVVLKAEEIMYFKANSEAEYIDLKTLWDRVNDAIDTIIKRDESTETGELLQPCIEAALHLGCTPRRTSRSQRNNSTVCYLGSREPEAPSSSPISLRDKIHGKPNGYSPFVPTDVRFMTTPNAMNPAAFHSFETDTSRVRNHTSSSDKRFPFLPGCVSQRLPTSPNSHSVYPLYFGSEFHLADDSRCDNKSLLGPHQVKDDDARCIWKNASLQSLGDACHAPPRVNPLADPTRAPVNQTGTECDLSLRLGPVGVPCTSGVNTLLQQEKHNGDPGMSRDGTKLGYPPPHVDASFSFVLNNGGVNDQLAPASRKASSQPQPQPQDPHLEAMLKKQKVVSHLYEDRQFCYPLKLPFNHFVGKMRNSRP >itb05g13890.t1 pep chromosome:ASM357664v1:5:20969981:20971388:-1 gene:itb05g13890 transcript:itb05g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLFRRSKRRRASSTHLHMGFIFLLFSGVCVCWGEEVVKSPLMESNLGSTNGMPEASEESPATSIDVNVVLEDDKHKLEQRILTLEGEIVNLRLKQRSLDEKRREALNKIIDIKGCIRLFCRVRPCLPTDKRRYHQPLSVESERIMKLQFSFCRVCVRGSESVQTHEMKMAGFKMWFKIVQRKAVGEIGLGDILNLHVEDIPKRMGRCLHAVDNFMLSLYFSD >itb13g03990.t4 pep chromosome:ASM357664v1:13:4036034:4038104:1 gene:itb13g03990 transcript:itb13g03990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSAQSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLELITCEYPYSECRNQAQIFKKVTSGIKPAALDKVKDPEVKQFIEKCLVPASKRLSAAELLKDPFLSWTNSSELQSDVMQNSNHMSKPITLTKFDSLSMEIDPTSRKLSSVACIENSVTEIPQVTEFLRCNGKNEFRLRGEKYDESSISFSLRITDYSGQARNIHFMFFLDHDTTVSIAGEMVEQLELPNEDVALIADMIDCLTLKLVPSWKSSCGSLGAGKCPYDNSIAVASTSKTHGSNWVFGQGSVEQHAFSHASGGKNNTEEVDKVNISSEHGMPASLGKHKKPLGEVYDETKGFKNGGLSYEGCPSEFMMSECTGISSAGSFTTMSNDMNICISSLSVADKDTDKDHYVDLKQEIDGIDMQYQQCCRELVRMREEAIENAKKKWTTKKMCPLTMQIAPCYYLCN >itb13g03990.t3 pep chromosome:ASM357664v1:13:4035554:4038119:1 gene:itb13g03990 transcript:itb13g03990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLMVPSPFSLSSFLQYNEILGRGAFKIVYKGFDEVEGIEVAWNQVSIDDALQSPANLERLYSEAHLLRTLKHENIIKSLDSWVDDQNKTINMITELFTSGSLRQYRKKHKVVDIKAIKSWARQILRGLHYLHSHNPPIIHRDLKCDNIFINGNHGEVKIGDLGLATIMQQPSAQSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLELITCEYPYSECRNQAQIFKKVTSGIKPAALDKVKDPEVKQFIEKCLVPASKRLSAAELLKDPFLSWTNSSELQSDVMQNSNHMSKPITLTKFDSLSMEIDPTSRKLSSVACIENSVTEIPQVTEFLRCNGKNEFRLRGEKYDESSISFSLRITDYSGQARNIHFMFFLDHDTTVSIAGEMVEQLELPNEDVALIADMIDCLTLKLVPSWKSSCGSLGAGKCPYDNSIAVASTSKTHGSNWVFGQGSVEQHAFSHASGGKNNTEEVDKVNISSEHGMPASLGKHKKPLGEVYDETKGFKNGGLSYEGCPSEFMMSECTGISSAGSFTTMSNDMNICISSLSVADKDTDKDHYVDLKQEIDGIDMQYQQCCRELVRMREEAIENAKKKWTTKKMCPLTMQIAPCYYLCN >itb13g03990.t1 pep chromosome:ASM357664v1:13:4034755:4038119:1 gene:itb13g03990 transcript:itb13g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGASGFVFPLKTKGKFDAAEADVVELSSNNRYSRYNEILGRGAFKIVYKGFDEVEGIEVAWNQVSIDDALQSPANLERLYSEAHLLRTLKHENIIKSLDSWVDDQNKTINMITELFTSGSLRQYRKKHKVVDIKAIKSWARQILRGLHYLHSHNPPIIHRDLKCDNIFINGNHGEVKIGDLGLATIMQQPSAQSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLELITCEYPYSECRNQAQIFKKVTSGIKPAALDKVKDPEVKQFIEKCLVPASKRLSAAELLKDPFLSWTNSSELQSDVMQNSNHMSKPITLTKFDSLSMEIDPTSRKLSSVACIENSVTEIPQVTEFLRCNGKNEFRLRGEKYDESSISFSLRITDYSGQARNIHFMFFLDHDTTVSIAGEMVEQLELPNEDVALIADMIDCLTLKLVPSWKSSCGSLGAGKCPYDNSIAVASTSKTHGSNWVFGQGSVEQHAFSHASGGKNNTEEVDKVNISSEHGMPASLGKHKKPLGEVYDETKGFKNGGLSYEGCPSEFMMSECTGISSAGSFTTMSNDMNICISSLSVADKDTDKDHYVDLKQEIDGIDMQYQQCCRELVRMREEAIENAKKKWTTKKMCPLTMQIAPCYYLCN >itb13g03990.t2 pep chromosome:ASM357664v1:13:4034755:4038119:1 gene:itb13g03990 transcript:itb13g03990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPMLLNFRLIIDTVGYKGFDEVEGIEVAWNQVSIDDALQSPANLERLYSEAHLLRTLKHENIIKSLDSWVDDQNKTINMITELFTSGSLRQYRKKHKVVDIKAIKSWARQILRGLHYLHSHNPPIIHRDLKCDNIFINGNHGEVKIGDLGLATIMQQPSAQSVIGTPEFMAPELYEEEYNELVDIYSFGMCLLELITCEYPYSECRNQAQIFKKVTSGIKPAALDKVKDPEVKQFIEKCLVPASKRLSAAELLKDPFLSWTNSSELQSDVMQNSNHMSKPITLTKFDSLSMEIDPTSRKLSSVACIENSVTEIPQVTEFLRCNGKNEFRLRGEKYDESSISFSLRITDYSGQARNIHFMFFLDHDTTVSIAGEMVEQLELPNEDVALIADMIDCLTLKLVPSWKSSCGSLGAGKCPYDNSIAVASTSKTHGSNWVFGQGSVEQHAFSHASGGKNNTEEVDKVNISSEHGMPASLGKHKKPLGEVYDETKGFKNGGLSYEGCPSEFMMSECTGISSAGSFTTMSNDMNICISSLSVADKDTDKDHYVDLKQEIDGIDMQYQQCCRELVRMREEAIENAKKKWTTKKMCPLTMQIAPCYYLCN >itb09g09770.t1 pep chromosome:ASM357664v1:9:5992283:5992926:-1 gene:itb09g09770 transcript:itb09g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G37925) UniProtKB/Swiss-Prot;Acc:Q8SAA5] MDPSYLLPIHHNSNAPPPPTTGHSKSLFWHHSFYWGKNVEFLFPGWPGRSSGMYALSLIVVFVLAVMVEFLSNLNLVKPGSNRVAAVAFQSGIHAIRAGFAYMVMLAVMSYNGGVFITAAVGHAVGYVVFGSPLFKKAP >itb01g30310.t1 pep chromosome:ASM357664v1:1:34361008:34361337:-1 gene:itb01g30310 transcript:itb01g30310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPKASAQNGPESRNRNPHDNYHVPLSLSLSLSAGRYRNVQQTDNAYSHSYFAFLTVFTFQHAPNFSIPKPPFAAKLPGIRLTHHPIPSSQDPSLSGDPAYTQRGKR >itb02g04120.t1 pep chromosome:ASM357664v1:2:2458254:2461187:1 gene:itb02g04120 transcript:itb02g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTVSSSLFSARRKRRLEVVKAAGNTYGTHFRVTTFGESHGLGIGCVIDGCPPRLPLTQADVQLHLNRRKPGQNQITTPRQEQDTCQISSGVVDGLTTGTPIKIEIHNQNPRKDDYREMSMAYRPSHADATYDFKYGVRSIEGGGRASARETIGRVAAGAVAKKILKQIAETEILAYTSQVHTVVLPDSLVDLEALTLPQIESNIVGCPNPEYAEKMIAAINGVREKGDSVGGVVTCIVKNVHRGLGSPVFDKLEAELAKAVMSIPASIGVEFGSGFAGTLMTGSEHNDEFYVDEHGRIRTRTNRSGGIQGGISNGEIIHMRVAFKPTPTINKKQMTVTRDKRETEITFPGRQEPCVAPRGIPVVEAMVALVLVDQVMAQYSQCMLFPLNPSLQQPFAPAPAVHQSHSAALSNA >itb15g22340.t2 pep chromosome:ASM357664v1:15:25105962:25110041:-1 gene:itb15g22340 transcript:itb15g22340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLTQKTSRKNPRETTLIKKQWVQSMFVEDDIQLQPKQDSSLCTFCVSGINCKAVRQKSKLMNILGDSRKPEEALSVFDSLIEGGHKPSLVTYTTLLSILTALKRFSHVHSIISLVKESGMKPDLPFFNAVVYAFSEAGNVKEAMKTVSEMKESGIKPSTTTLNSLIRGYGIAGKPEESLKIMEQMVREDNVKPDERTFGTIICGYCKEGKVKDALKFVYWMKDFGVHPNVIIFNTLIRSLDISDRDSIDEVLTLMEDFGVSPDVTTFSTIMNAWFSAGYMGKCKEVFDDMVKYGIKPGAFAYNILVKVYLHSSEPEKAEGVLADMVKSGIQPNVINFTTVISGWCRAGNMDNARKIFEQMCESGISPTLKTFETLILGYARAKMPWKAREMVEIMKRFDVQPERSTLLHIENAWHARGGSNEANQMASTIDKDRVNVETLDKVYKKKGARHPGSKLLQIPNVVTNGKKRDVSGSKRNQMVLREAEVSKSKSPPRVATTSIHLGSGFGKRAPFVCQRQFQGQHFMYCQVGHSCTVFFN >itb15g22340.t1 pep chromosome:ASM357664v1:15:25105962:25110041:-1 gene:itb15g22340 transcript:itb15g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLTQKTSRKNPRETTLIKKQWVQSMFVEDDIQLQPKQDSSLCTFCVSGINCKAVRQKSKLMNILGDSRKPEEALSVFDSLIEGGHKPSLVTYTTLLSILTALKRFSHVHSIISLVKESGMKPDLPFFNAVVYAFSEAGNVKEAMKTVSEMKESGIKPSTTTLNSLIRGYGIAGKPEESLKIMEQMVREDNVKPDERTFGTIICGYCKEGKVKDALKFVYWMKDFGVHPNVIIFNTLIRSLDISDRDSIDEVLTLMEDFGVSPDVTTFSTIMNAWFSAGYMGKCKEVFDDMVKYGIKPGAFAYNILVKVYLHSSEPEKAEGVLADMVKSGIQPNVINFTTVISGWCRAGNMDNARKIFEQMCESGISPTLKTFETLILGYARAKMPWKAREMVEIMKRFDVQPERSTLLHIENAWHARGGSNEANQMASTIDKDRVNVETLDKVYKKKGARHPGSKLLQIPNVVTNGKKRDVSGSKRNQMVLREAEVSKSKSPPRVATTSIHLGSGFGKRAPFVCQRQFQGQHFMYCQVGHSCTVFFN >itb15g22340.t4 pep chromosome:ASM357664v1:15:25105962:25109828:-1 gene:itb15g22340 transcript:itb15g22340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLTQKTSRKNPRETTLIKKQWVQSMFVEDDIQLQPKQDSSLCTFCVSGINCKAVRQKSKLMNILGDSRKPEEALSVFDSLIEGGHKPSLVTYTTLLSILTALKRFSHVHSIISLVKESGMKPDLPFFNAVVYAFSEAGNVKEAMKTVSEMKESGIKPSTTTLNSLIRGYGIAGKPEESLKIMEQMVREDNVKPDERTFGTIICGYCKEGKVKDALKFVYWMKDFGVHPNVIIFNTLIRSLDISDRDSIDEVLTLMEDFGVSPDVTTFSTIMNAWFSAGYMGKCKEVFDDMVKYGIKPGAFAYNILVKVYLHSSEPEKAEGVLADMVKSGIQPNVINFTTVISGWCRAGNMDNARKIFEQMCESGISPTLKTFETLILGYARAKMPWKAREMVEIMKRFDVQPERSTLLHIENAWHARGGSNEANQMASTIDKDRVNVETLDKVYKKKGARHPGSKLLQIPNVVTNGKKRDVSGSKRNQMVLREAEVSKSKSPPRVATTSIHLGSGFGKRAPFVCQRQFQGQHFMYCQVGHSCTVFFN >itb15g22340.t3 pep chromosome:ASM357664v1:15:25105995:25109980:-1 gene:itb15g22340 transcript:itb15g22340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLTQKTSRKNPRETTLIKKQWVQSMFVEDDIQLQPKQDSSLCTFCVSGINCKAVRQKSKLMNILGDSRKPEEALSVFDSLIEGGHKPSLVTYTTLLSILTALKRFSHVHSIISLVKESGMKPDLPFFNAVVYAFSEAGNVKEAMKTVSEMKESGIKPSTTTLNSLIRGYGIAGKPEESLKIMEQMVREDNVKPDERTFGTIICGYCKEGKVKDALKFVYWMKDFGVHPNVIIFNTLIRSLDISDRDSIDEVLTLMEDFGVSPDVTTFSTIMNAWFSAGYMGKCKEVFDDMVKYGIKPGAFAYNILVKVYLHSSEPEKAEGVLADMVKSGIQPNVINFTTVISGWCRAGNMDNARKIFEQMCESGISPTLKTFETLILGYARAKMPWKAREMVEIMKRFDVQPERSTLLHIENAWHARGGSNEANQMASTIDKDRVNVETLDKVYKKKGARHPGSKLLQIPNVVTNGKKRDVSGSKRNQMVLREAEVSKSKSPPRVATTSIHLGSGFGKRAPFVCQRQFQGQHFMYCQVGHSCTVFFN >itb15g22340.t5 pep chromosome:ASM357664v1:15:25105962:25109980:-1 gene:itb15g22340 transcript:itb15g22340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLTQKTSRKNPRETTLIKKQWVQSMFVEDDIQLQPKQDSSLCTFCVSGINCKAVRQKSKLMNILGDSRKPEEALSVFDSLIEGGHKPSLVTYTTLLSILTALKRFSHVHSIISLVKESGMKPDLPFFNAVVYAFSEAGNVKEAMKTVSEMKESGIKPSTTTLNSLIRGYGIAGKPEESLKIMEQMVREDNVKPDERTFGTIICGYCKEGKVKDALKFVYWMKDFGVHPNVIIFNTLIRSLDISDRDSIDEVLTLMEDFGVSPDVTTFSTIMNAWFSAGYMGKCKEVFDDMVKYGIKPGAFAYNILVKVYLHSSEPEKAEGVLADMVKSGIQPNVINFTTVISGWCRAGNMDNARKIFEQMCESGISPTLKTFETLILGYARAKMPWKAREMVEIMKRFDVQPERSTLLHIENAWHARGGSNEANQMASTIDKDRVNVETLDKVYKKKGARHPGSKLLQIPNVVTNGKKRDVSGSKRNQMVLREAEVSKSKSPPRVATTSIHLGSGFGKRAPFVCQRQFQGQHFMYCQVGHSCTVFFN >itb01g08330.t1 pep chromosome:ASM357664v1:1:6714089:6714529:-1 gene:itb01g08330 transcript:itb01g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESDPVVQLSWIADFGVSRILVATMDCNSSIGTIAFMSPEMINSDLNHDQYNGYAGDIWSLGVSILEFYLGRFPFNSDRHGDWASPE >itb05g04240.t1 pep chromosome:ASM357664v1:5:3762382:3763725:1 gene:itb05g04240 transcript:itb05g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRPKNARPGVLETSSRFAILDGLNGEASKTQKPSTISNSGRWGGESFVRVDESSLLETSPLLPRCPRSLEIKRNRRMERRLMGVITCPPILIKLCRDWSGFWGRWGSIRAQF >itb05g02960.t1 pep chromosome:ASM357664v1:5:2388197:2389118:-1 gene:itb05g02960 transcript:itb05g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFKDLSNDSNQENPNVQNNPNSYPHPQNNPNPYPQHSQNYQNPYPQHPQNHHNPYPQHPQTFPFFHLYPPNYSNLSQYPPNYPFFPQGPMYGISPVNGPSIGQGTQILPNLSYSHTPSTNVGNTFEEDANEVHTHPMGQMALKQKGKEQSTSDDETMPNVVPKQEHHYKDIDKMQKDIEERSMLLKEYDIKMANNILNDIEERNISIREYEIMMRDTSTMTKEQLSVHQAYCEITKTRWYKNP >itb07g11350.t1 pep chromosome:ASM357664v1:7:12796050:12801004:-1 gene:itb07g11350 transcript:itb07g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTTEEVKKIVTTLNEAEVPSEDDVEVVVSPPFVFLPLVKTLLRPDFSIAAQNCWVRKGGAFTGEISVEMLINLGIPWVILGHSERRQLLNESNDFVADKVAYALSQGIKVIACVGETLEQRESGATMAVVAAQTKAIAGTLLLQITGPRSRTSAAFQLNGTTEEVKKIVTTLNEAEVPSEDDVEVVVSPPFVFLPLVKTLLRPDFSIAAQNCWVRKGGAFTGEISVEMLINLGIPWVILGHSERRQLLNESNDFVADKVAYALSQGIKVIACVGETLEQRESGATMAVVAAQTKAIAEKVSNWSSIVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLHDNVGPEVAASTRIVYGGSVNGANCKELATKPDVDGFLVGGASLKPEFIEIIKSATVKQST >itb14g20020.t1 pep chromosome:ASM357664v1:14:22505237:22508490:-1 gene:itb14g20020 transcript:itb14g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKRKRGERPRIHPRNKYSENPPDFGLLASQYSSFAPYVFYARSGRPTIDWTDFNATRELTRVLLHHDHGLDWWIPDGQLCPTVPNRSNYIHWIEDLLSSEIIPKVQSYDNTVKGFDIGTGANCIYPLLGASLLGWRFVGSDFTDVALEWAERNVKSNPHISELIEIRNVATQDSSADKVLNGSMINCKQDVDDSNAKPVGIEPLSGPHEVQMNMSKNYHGPPILVGVVKEWENFDFCMCNPPFFETIEEAGQNPKTSCAGTPEEMVCPGGEQAFITRMIDDSVQLRQSFRWYTSMIGRKANMKVLVSKLWEVGATVVKTTEFVQGQTCRWGLAWSFVPPTKKTIPHQIAEKTNLSFMLEGLQRQHSAFNLLQSVESFFSTNGASCKLNAASFDVDIMFPKEHSDVILKSLEETNNVNDADCPNKLDCSSSQINDLHFRVSVFQQIPGTLLVRGSLQKKDSSTSSGAFSSAFQRLEGFLRNTFCREKTGADASCCTKPRR >itb14g20020.t2 pep chromosome:ASM357664v1:14:22505275:22508490:-1 gene:itb14g20020 transcript:itb14g20020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKRKRGERPRIHPRNKYSENPPDFGLLASQYSSFAPYVFYARSGRPTIDWTDFNATRELTRVLLHHDHGLDWWIPDGQLCPTVPNRSNYIHWIEDLLSSEIIPKVQSYDNTVKGFDIGTGANCIYPLLGASLLGWRFVGSDFTDVALEWAERNVKSNPHISELIEIRNVATQDSSADKVLNGSMINCKQDVDDSNAKPVGIEPLSGPHEVQMNMSKNYHGPPILVGVVKEWENFDFCMCNPPFFETIEEAGQNPKTSCAGTPEEMVCPGGEQAFITRMIDDSVQLRQSFRWYTSMIGRKANMKVLVSKLWEVGATVVKTTEFVQGQTCRWGLAWSFVPPTKKTIPHQIAEKTNLSFMLEGLQRQHSAFNLLQSVESFFSTNGASCKLNAASFDVDIMFPKEHSDVILKSLEETNNVNDADCPNKLDCSSSQINDLHFRVSVFQQIPGTLLVRGSLQKKDSSTSSGKRLGLMHPAALSLEDSCFSILVINLNNFG >itb06g21210.t1 pep chromosome:ASM357664v1:6:23886907:23890749:-1 gene:itb06g21210 transcript:itb06g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRGQTSTGASKEGKSWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAYYAAFHSLNAGVGFQALILPVAFSFLGWSWGIISLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELAEAAFGERLGVWLALFPTVYLSAGTGTALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTLTWILSVSQPRPPPISYEPISLPTPTASLFSVLNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWKGAKVAYFFIALCLFPIAIGGFWAYGNLMPSGGILSALFQFHIHDVPRGLLAMAFLLVVFSCLSSFQIYSMPAFDSFEAGYSSRTNRPCSIWVRSGFRVFYGFFSFFIGVALPFLSSFAGLLGGLTLPVTFAYPCFMWALIKKPTKYSFSWYFNWTLGWLGVAFSFAFTIGGIWSMVYNGLKLKFFKPS >itb06g21210.t4 pep chromosome:ASM357664v1:6:23886907:23889322:-1 gene:itb06g21210 transcript:itb06g21210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIWILTPKYMYSSFETTGHTIILPISGVLIILYLAYFDVNIYRSTTKTIFLCSKYRSWGIISLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELAEAAFGERLGVWLALFPTVYLSAGTGTALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTLTWILSVSQPRPPPISYEPISLPTPTASLFSVLNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWKGAKVAYFFIALCLFPIAIGGFWAYGNLMPSGGILSALFQFHIHDVPRGLLAMAFLLVVFSCLSSFQIYSMPAFDSFEAGYSSRTNRPCSIWVRSGFRVFYGFFSFFIGVALPFLSSFAGLLGGLTLPVTFAYPCFMWALIKKPTKYSFSWYFNWTLGWLGVAFSFAFTIGGIWSMVYNGLKLKFFKPS >itb06g21210.t2 pep chromosome:ASM357664v1:6:23886907:23889199:-1 gene:itb06g21210 transcript:itb06g21210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTLTWILSVSQPRPPPISYEPISLPTPTASLFSVLNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWKGAKVAYFFIALCLFPIAIGGFWAYGNLMPSGGILSALFQFHIHDVPRGLLAMAFLLVVFSCLSSFQIYSMPAFDSFEAGYSSRTNRPCSIWVRSGFRVFYGFFSFFIGVALPFLSSFAGLLGGLTLPVTFAYPCFMWALIKKPTKYSFSWYFNWTLGWLGVAFSFAFTIGGIWSMVYNGLKLKFFKPS >itb06g21210.t3 pep chromosome:ASM357664v1:6:23886907:23890460:-1 gene:itb06g21210 transcript:itb06g21210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRGQTSTGASKEGKSWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAYYAAFHSLNAGVGFQALILPVAFSFLGWSWGIISLTIAYVWQLYTLWILVQLHEAVPGKRYNRYVELAEAAFGERLGVWLALFPTVYLSAGTGTALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAIIYSTLTWILSVSQPRPPPISYEPISLPTPTASLFSVLNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWKGAKVAYFFIALCLFPIAIGGFWAYGNLVSIPISIPSY >itb06g00340.t1 pep chromosome:ASM357664v1:6:856260:861302:1 gene:itb06g00340 transcript:itb06g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT1G69480) UniProtKB/Swiss-Prot;Acc:Q6R8G0] MKLGKELKVGGGEWEEACMDYNGLKRMLEEMMRRGGSKGVDETEDSDMMLLKKVDEELNKVCAFYKVKVNEVMEEGGAFLKQMDTIVNLRSKVKECQTALSKPPVSDLTDMTMCDDDEQKPLPDSSESNVKEILEEFEANTNINNNNNNNNNYSSFSKEELKQVERSLELGFLDFCRQIASTTTTKPFLTKVENSYLANSDEGTELGYREIFVISSALAVLVLSTFMVQLHIRMDSNIQENVSRVELVPLVLLIVVFLIIFCPFNIFYRSTRLFLLGCFFRCLCAPFFKVTLPDSFLGDQLTSQVQTIRNLQHYVCFYGWRSATQKQIKCQSINGYIAFFYILPIIPYWLRFLQSIRRMIEEKKVMHGFTAARFIITVMAVVFQTLCRLRKRKIWKVLGLVSTVVTVTVNTYSDIVLDWGLLRWKSKNKLLRDKLLVPHKTPYFIAIVLVVILRFAWLQQVFDFDMRPMRRQITPFMITVLEILRRGIWNFFWLENEQLNNVGKYRAFKSVPPLPFRYCNKDDNDKDGQKGD >itb06g24250.t1 pep chromosome:ASM357664v1:6:25884572:25893960:1 gene:itb06g24250 transcript:itb06g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLELNVWTRVDDTADQQISNLASTSKLHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPELPNNAHPKLLEMMQRCWEAVPGNRPSFSEIRAELEELLHEVDIKEDDTEAPNGS >itb06g24250.t3 pep chromosome:ASM357664v1:6:25884580:25893960:1 gene:itb06g24250 transcript:itb06g24250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLELHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPELPNNAHPKLLEMMQRCWEAVPGNRPSFSEIRAELEELLHEVDIKEDDTEAPNGS >itb06g24250.t2 pep chromosome:ASM357664v1:6:25884574:25893960:1 gene:itb06g24250 transcript:itb06g24250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLEVLHYSVHLLNVWTRVDDTADQQISNLASTSKLHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPELPNNAHPKLLEMMQRCWEAVPGNRPSFSEIRAELEELLHEVDIKEDDTEAPNGS >itb06g24250.t5 pep chromosome:ASM357664v1:6:25884572:25892258:1 gene:itb06g24250 transcript:itb06g24250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLELHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVRIRN >itb06g24250.t6 pep chromosome:ASM357664v1:6:25884572:25892258:1 gene:itb06g24250 transcript:itb06g24250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLELNVWTRVDDTADQQISNLASTSKLHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKLHNLLQGCESGRFWCCSVPK >itb06g24250.t4 pep chromosome:ASM357664v1:6:25884574:25893960:1 gene:itb06g24250 transcript:itb06g24250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLELMILLTNKFQILLPLQSCIARNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPELPNNAHPKLLEMMQRCWEAVPGNRPSFSEIRAELEELLHEVDIKEDDTEAPNGS >itb06g24250.t9 pep chromosome:ASM357664v1:6:25884574:25893960:1 gene:itb06g24250 transcript:itb06g24250.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLEVLHYSVHLLNVWTRVDDTADQQISNLASTSKLHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVINHQPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPELPNNAHPKLLEMMQRCWEAVPGNRPSFSEIRAELEELLHEVDIKEVRGVYIIYALLLSCVEEIKVNSLNFP >itb06g24250.t7 pep chromosome:ASM357664v1:6:25884574:25892208:1 gene:itb06g24250 transcript:itb06g24250.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEGVGESSSPPRSLGSSGGGGGGAYDIRNDVYNKLLESGNEEVVNNPELFREQLEAHFNSLPSSYGLDINMDRVEDVLLHQKVLARAKDPDMRPVYHVRFLELNVWTRVDDTADQQISNLASTSKLHCKVDNEGIVPSLSRNNFNYMESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVRIRN >itb06g24250.t8 pep chromosome:ASM357664v1:6:25885712:25892208:1 gene:itb06g24250 transcript:itb06g24250.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNPAEDFSKRPEMSFIPVHEVIFSTLDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLSVFVVDGWHVEDTKELLEALEKAINRRKGSLSVPSCSQSSAEKAICLKAKSGDWEIDRRLLKIGEKIASGSCGDLYHGIYHGLDVAVKVLRSEHLNGNLENEFAQEVAILRQVLHKNVVRFIGACSKSPHLCIVTEYMPGGSLYDYLHRNHIVLKLSQLLRFTIDICKGMEYLHQNNIIHRDLKTANLLMDAQKVVKVADFGVARFLNKGGVMTAETGTYRWMAPEVRIRN >itb03g29060.t1 pep chromosome:ASM357664v1:3:29828699:29831499:1 gene:itb03g29060 transcript:itb03g29060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFPNKPILPLQSTKPPKPAPPLPSSNQRLKLNTTTLPLPPLHLHNFPSPQPSFPLDSLLQHLNGTSQDAHSQKRIAIPRTSQDPTVEDDDSDDGSLDFLPENCKSMLNSILQQPLSALRAFLGSVKFELLEMDLMSLLKGLDVWGNWERAILLFEWVVMNSNAESEKLDSQVIEYMVRVLGRESQHLLASNLFDVIPLEDHSLDIRAWTTVLHAYSRSAKYDKAIALYEYIKERGLSPNLVMYNVMLDVYGRKGRSWDMILCLLDEMRSNGLEFDEFTCSTVISACAREGLFEEAKKFFDGLKSQGYVPGTVAYNSLLQVYGKMGAYSEAVSVLEEMEENNCPPDSVTYNELVGAYVRAGFHEEGAALIETMTRKGLKPNAITYTTLIDAYGKAGKEGKAFSLLEQMKQSGCVPNVCTYNSIIAMLGKKSRIEETMEVISDMKLNGCTPNRITWNTVLAMCGNSGMQKYVNRVFHEMKRCGFEPNRDTFNALICAYARCGSDFDAGKMYDEMIKAGFTPCVNTYNAFLNALAHRGDWRAAESVMLDMKNKGFKPSETTYSLMLHCYSKGGNARGVERIAKEVYDGHIYPSWMLMRNLVLSNVKCKSLQGTERAFQELQKNGYRPDLVVFNSMLSIFARNVMYDRANEIMRLIRENGLQPDLLTYNTLMDMHARAGDCWKAEDILNGVEEEIGEKPDRVSYNTVIKGFCRQGLMQEAVKHFSNMTAAGIQPCIITYNTFVAGYASQGMFSQVNELISYMIQHNCRPNELTYKTVVNGYCRARRYEDAIDFVSAIREKDTYFDEQSLQRLSALVRDCMQF >itb01g08840.t1 pep chromosome:ASM357664v1:1:7076432:7080794:1 gene:itb01g08840 transcript:itb01g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGEFKKSCEVAVLIHGDDKDSRNNPHELHLPDGSRDKKGTPLPNSPQRVSLDSSFDSQKGVPVSGPSPEMGSHNKPPRIPGTDTLTRRKSFSKSVYSRSKSRFGEQSVPIDPSMFEENYDHDVNSPWRSNASSRASPKANVGQNAPGVTQKEFARTVSVSVMQKTPVMASPGRFGGVDEHEAIYNKVNIRKKLRLRKVKLKVLMDWLVFLGLLGFLIACLTTEKLQNLKIWELEIWKWVVLVMVIISGMLVSKWLIHFIALLIELNFLLKKKVLYFVYGLKKIVQVFIWLSWVLVTWVLLFTTGVRRTHLANKILDYITWTIVSLLIGAFLWLLKTLLLKILAASFHVNTFFDRIQESLFHQYILLTLSGLPVMESAQMAGGGANSTTAQLSFDKPKKGRGKEKKQKEVVDMNKLHQMKRDKVSAWTMKMLVDVISNSGLCTLSGAFDESAFDGENDQADKQITNEEEAIAAAYHIFRNVARYPNATYIDEYDLMRFMIKEEVDLVFQMIDVADTGQIHRKALMEWVVKVYNGRKALSHALNDTKTAVRQLNKLVTVVLIVIIIIIWLLLIGIATTKVLVFLSSQLVVAAFMFGNTCKVIFEAIVFVFVMHPFDVGDRCVIDGIQMTVEEMNILNTVFLRYDNEKIYYPNTVLATRPISNFYRSPDMSDCFEFSLDFRTPLEKIGALKERVKIYLEKSSHWHFNHNMVVKEIENMNKIKMALFFNHTMNFQDIAEKNRRRTELILEMKKTFEDLDLRYDLLPQEVHLVESRAAALGSK >itb01g08840.t3 pep chromosome:ASM357664v1:1:7076432:7078848:1 gene:itb01g08840 transcript:itb01g08840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGEFKKSCEVAVLIHGDDKDSRNNPHELHLPDGSRDKKGTPLPNSPQRVSLDSSFDSQKGVPVSGPSPEMGSHNKPPRIPGTDTLTRRKSFSKSVYSRSKSRFGEQSVPIDPSMFEENYDHDVNSPWRSNASSRASPKANVGQNAPGVTQKEFARTVSVSVMQKTPVMASPGRFGGVDEHEAIYNKVNIRKKLRLRKVKLKVLMDWLVFLGLLGFLIACLTTEKLQNLKIWELEIWKWVVLVMVIISGMLVSKWLIHFIALLIELNFLLKKKVLYFVYGLKKIVQVFIWLSWVLVTWVLLFTTGVRRTHLANKILDYITWTIVSLLIGAFLWLLKTLLLKILAASFHVNTFFDRIQESLFHQYILLTLSGLPVMESAQMAGGGANSTTAQLSFDKPKKGRGKEKKQKEVVDMNKLHQMKRDKVSAWTMKMLVDVISNSGLCTLSGAFDESAFDGENDQADKQITNEEEAIAAAYHIFRNVARYPNAT >itb01g08840.t2 pep chromosome:ASM357664v1:1:7076432:7080794:1 gene:itb01g08840 transcript:itb01g08840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGEFKKSCEVAVLIHGDDKDSRNNPHELHLPDGSRDKKGTPLPNSPQRVSLDSSFDSQKGVPVSGPSPEMGSHNKPPRIPGTDTLTRRKSFSKSVYSRSKSRFGEQSVPIDPSMFEENYDHDVNSPWRSNASSRASPKANVGQNAPGVTQKEFARTVSVSVMQKTPVMASPGRFGGVDEHEAIYNKVNIRKKLRLRKVKLKVLMDWLVFLGLLGFLIACLTTEKLQNLKIWELEIWKWVVLVMVIISGMLVSKWLIHFIALLIELNFLLKKKVLYFVYGLKKIVQVFIWLSWVLVTWVLLFTTGVRRTHLANKILDYITWTIVSLLIGAFLWLLKTLLLKILAASFHVNTFFDRIQESLFHQYILLTLSGLPVMESAQMAGGGANSTTAQLSFDKPKKGRGKEKKQKEVVDMNKLHQMKRDKVSAWTMKMLVDVISNSGLCTLSGAFDESAFDGENDQADKQITNEEEAIAAAYHIFRNVARYPNATYIDEYDLMRFMIKEEVDLVFQMIDVADTGQIHRKALMEWVVKVYNGRKALSHALNDTKTAVRQLNKLVTVVLIVIIIIIWLLLIGIATTKVLVFLSSQLVVAAFMFGNTCKVIFEAIVFVFVMHPFDVGDRCVIDGIQVKRWQ >itb08g07720.t1 pep chromosome:ASM357664v1:8:6611000:6613509:1 gene:itb08g07720 transcript:itb08g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPNKTARLSIIIIGLSCVVLVACVVGVAVGVSQTQNGKSKPSGVQNLSATLKAICDATLYPDSCYTTLGPAATSDNLRPQDIYKLSVRIAVAELSRASDAFFNGNSFRKISDPMAARALETCRELLALSMENLNDSMATPEKKLFRSFSDFKTWLSSAGTNIETCADTFTNTTHELSTMVLKNLKNSSEFTSNSLAILSVVEKSIEYSMESAAGILGSVGKRRLMNLDVPTWLSGHDRRLLQSSSWGLKIDAVVAKDGSGKYKTIKDALNAVPDKSDKRFVIYVKKGVYLENVKVEKSKWNVMMIGDGKDATVVSGNLNFVDGTPTFQTATFGKFILPIISITQFFAPVFGKGFIARDMGFRNTAGAAKHQAVALMSAADLSVFFRCKMDAFQDTLYAHSNRQFYRDCDISGTVDFIFGNSAAVFQNCNILPRKPLPGQQNTITAQGKTDPNQNTGISIHNCTVSAAEDLAGVATFLGRPWKNYSTTVVMRSVMGSLVDPKGWLPWVGDSAPDTIFYGEFQNFGPGAVTGGRVKWKGLKVNITSQIASKFSVKQFIQGDKWIPATGARFKPDI >itb10g24180.t1 pep chromosome:ASM357664v1:10:28141716:28144020:1 gene:itb10g24180 transcript:itb10g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAKLLVFTLLSAAVASSKDAAYPSGYGDDPGICLGSSGEPKPSRREIYEGGEIFDITHQFTPNTPSGVSDEGAGEILRLLMSMKNGSDYNLSEMRLCVHAGTHVDAPGHMYQNYFDQGFDVDTLDLRVLNGPALVVDVPRDKNLTAEVMKSLNIPRGVKRVLFRTLNTDRRLMWKKGFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAYDDLITAHLVFHKSREIILVEGLKLEGIELGIYDVHCLPLRLVGAEGSPIRCILIK >itb13g26150.t1 pep chromosome:ASM357664v1:13:31517656:31520160:-1 gene:itb13g26150 transcript:itb13g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHTHLQATLMDSSGDLGLLPPPPPHPHELSPHNHHRHHLCPRHHHQHLHIVPNCPLHSLLLKPPSHHSPSCPLFSPFPNHLNPQPVSSSQFSAISNLPVPFPLHAMMMQEENLEEEPDEEEEPIFVLTDEWRDFFAKSEAKRREAKKQAKKHKN >itb01g21780.t1 pep chromosome:ASM357664v1:1:27827551:27829850:1 gene:itb01g21780 transcript:itb01g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH95 [Source:Projected from Arabidopsis thaliana (AT1G49770) UniProtKB/Swiss-Prot;Acc:Q9FXA3] MDVNGVEDSFLSENNEEWAFLINSDYSDGESNEKSRKKVADFNNASYSHYSPLLAPNVDNVATSSTGVIRVVLSGNDHSGDDDKKNEVDVREPLPGNHERHLWTEKERRKKMRNMFTDLQALLPHQLHHPKVDKCSILDEAVKHIKRLESTLSDLQRQKLEILESWKTTTILGMGADECGTFASNDNATMMMRSIPHMTSSISSPDVFKTWTSPNMTLNMCGNDAHINICCSKKIGVLAAICSVLEKYKIDVISAHVSSDHNRSMYMIHARVIAGVYERQFPVEEIYKEAAREIIGICG >itb14g04690.t1 pep chromosome:ASM357664v1:14:4126638:4128794:1 gene:itb14g04690 transcript:itb14g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEKNKKVAVAGVAYILLVACCVSGLPGLSLIGGSSDGGAVSSDGGDVSGSGGILSPLDKIDGLISSATKSTKTICAPTDYKETCERSLAGVHNIHDPKELIKVAFTTVVESINTAITKSELFKEEVEGKDPRISGALDTCKAVLQYSIDDLTRCFDKAEDFKLTKIEDYAKDLRVWLSGAITHQETCIDAFENTTGNTGESMKHLLKMAGELTSDGLAMITRFTGLLHTVKIPGLHHGLNHRLLEGEFPVGDLTADPASIKPDIVVAKDGSGQYKTINDALSAVPAKNNRTFVIFIKAGVYNEYVVVPRKMNKVVFLGEGPTKTRITGNKNYIDGVGTYQTATVAIEGDGFIARDIGFENSAGAEKHQAVALRVSADMTMFYNCHIDAYQDTLYTHAYRQYYRDCTITGTIDFIFGDAEAVFQNCKMVVRKPLENQACMVTAQGRKEYNEVGGIVLQNCSILPDPALEALSPPVKVYLGRPWKEFSRTVIMQSYIDGFIAPEGWAPWEGDYALDTLWYAEYENRGPGASTADRVNWKGYRKNISPELAQQFTPGAYLDGDEWIKPSGIPYESGMMKV >itb12g22900.t1 pep chromosome:ASM357664v1:12:24814397:24817275:1 gene:itb12g22900 transcript:itb12g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSRTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb06g01660.t1 pep chromosome:ASM357664v1:6:2963596:2964039:1 gene:itb06g01660 transcript:itb06g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKTGTEMKRQRSRCLMEQTRLEILRNRKAMLGGHEVGDTVEDLLAILSDGEEGIIVPYEPKQEIVSDKEEGVVVLYEPKQEIVSAEEEGVVVLYEPKQENVSDEDEGVTVFSRSGQNLAMVPYVEEELPLTSEDPTLVSTFAKV >itb13g02240.t2 pep chromosome:ASM357664v1:13:2092583:2093713:1 gene:itb13g02240 transcript:itb13g02240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENLQTLSWVDPTHCRIRVYSNFPNIKILKIFYKVDLEASQISGSSSNCFSLDKLDYLGQLKSLTISVSVGCIVTLPDRCIFPLQLKKLKLSGTNLSGRDLTIIGTLQWLEVLKLENAFHEKVWEVAEGGFYRLRLLVLKDKKLERLEAYTDSFPCLEHLVLRCCECLEEICSSFGEIFCFKSIEMDRFSHCPSIVASARDIQEKLKKNFGKENFEIKIQGQEQGPEYIEDVEKANSKIKMEEEGESSAGAGGQIVEEEIGVGKLKSYIHELKKKRSRNLLKMSKPLNWLFQADLRRATLDKARSYSLI >itb13g02240.t1 pep chromosome:ASM357664v1:13:2089938:2093713:1 gene:itb13g02240 transcript:itb13g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALTSLKTTIELQFLQPTPRVSFRDDQTPPIKSFYQNLSYLQAFLENKSSASAAAIKYFETILRDFALKVEDDIEMQVSNFVVAKAKAKDDDAVHKEAACQQLCQILQQAQEKTAVVVEIIRNYCLTYLKIIIDHHMKSCIQSKARRVVYDQIQTLFKSVIIIRGLAASRIDDINLQLSSFLLATDTIHEEEAFQELCQTLQQAQEQRVEITNEEKERQMTLECCYDLLNLLKRLDYDYLGSSSTTPRAWVPDNVQTLESLYQNFFALQDFVLNSKFGGSRAEIQIRHFVLKAKQDIEKQFGNFCVAKEEHPLPKEASEQIFQTLHQITENAAQLLSTIHNTRSKEAADDDVDNDTQSVCYPKLEEGRMIGRQNDISMIKNRLFSRFQGLEVIPIIGMPGIGKTTLARKILEDQCVALHFEVRGWVTMTQNYNESKVLHDLLQSISPNHEIKKEASLREQVHECLKEKRYLIVLDDIWSTQHWDELEDLFCNFPVNGSCILLTTRFYGVADHACTIKGTYHVMSLLDPNESWDLFCTIFPLQRYRAPSFGKFRSHLFHVVKICEGLPLSIVVVAKRLFECKNNIQHELKKIEKEIELLGILDYSALIPMYNQLPEYLKGCFLYLGVFPKRSEIQVKILLRLWIAEGFVKPSKNKELERIAYCYLKDLIDTSLVLISKQTFYGKNKTCRVHSVMHNICFREAQKEGILCAVNSRKLPTPSLNSFANSCRWLSLCKHRFDYYVLFSSNIPRSIFFFQENSEIFVSFKLLRVLAFVESSFLQRFPKQLGDLIFLRYLSVTQWFEGLSDVVSSNVNLQTLIVSGIDSESQLGAPTLHLPSTIWESPQLRHLKLGTSYAVNPPSMVKENLQTLSWVDPTHCRIRVYSNFPNIKILKIFYKVDLEASQISGSSSNCFSLDKLDYLGQLKSLTISVSVGCIVTLPDRCIFPLQLKKLKLSGTNLSGRDLTIIGTLQWLEVLKLENAFHEKVWEVAEGGFYRLRLLVLKDKKLERLEAYTDSFPCLEHLVLRCCECLEEICSSFGEIFCFKSIEMDRFSHCPSIVASARDIQEKLKKNFGKENFEIKIQGQEQGPEYIEDVEKANSKIKMEEEGESSAGAGGQIVEEEIGVGKLKSYIHELKKKRSRNLLKMSKPLNWLFQADLRRATLDKARSYSLI >itb13g03390.t1 pep chromosome:ASM357664v1:13:3263622:3266792:1 gene:itb13g03390 transcript:itb13g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALSSLMRTIELDLLNPGRSAIVEDEEQVKYLYQSLGFLKEFLDKSKDNVAAKNLEPKIKDSVFQIEDRIEKKLETIYGETYSDPQRQKAQRRLSSTLKQAIRDTDDLKVEIRRILYSPVQDFPSADPQASCGGSSEHAAASDVMEGRGGKFKEIMDQLIPKGSSGREVISIVGMGGTGKTTLARRLYEDPLVLSHFDILGWTVVSQKHDVRRMLLDLINNEAAKIDKVTDSELAEKLQKCLRGQRYLIVLDDIWSTSCWEHIQLCFPDDNCGSRILLTTRHKEVADCADDSGNRLHTLGFLNQEDSWNLFCKSFPGIQSFSFELKTIGRQVVNKCHGLPLAIVVVAGVLSKLSQTVEEWQVFENQTNSLVVTTDLSEQCSRILTLSYNYLPLHLKSCFLYLSTVPQDKAIIVKRLVRLWIAEGFVELINSEKLEEVAHGYLQDLLDRCLILIDNRHFNGEIKTCRMHNMVHEFCVREAIKEKLLNADNEQHPCGELQQEGCRWLNFWPKKIIRRDGLRDLDNIYVPRSILYLQRTSYASSSEVNEPNDDLRWGLLLRVLEFSRPITSGSSLNCISLLRYLGICLGDSSLPLGYLLHLLSRSQNLQTLIVSHKPNSIIDGLSSCHYLSSEMWASQELSHVECSYLISLDPPNELETLKIRAINQNICLRNPQAFPQNLKELTLYHTRLRWKHINIIGNIPSLQVLKLKREAVCGETWEPSHEGFCQLKFLLIDYCDQFQYWEATTPYHYPVLERLVIRNCRLLKEFPDSFEDMINLRLIEISNCSPLLNSAYRIQEAQKELGNDKLVVRDATPLSRLGLGLGLGLAPIIVPVVAIVGFAALCQIM >itb15g20060.t1 pep chromosome:ASM357664v1:15:22542160:22543379:-1 gene:itb15g20060 transcript:itb15g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIYLQVIRITVIVLLTLFSSKPNLVVLGDIGTATSYNPPYSPTRCNGNRVDQFPAGNLFVAVSEGLWDNGAACGRRYRLSCLSGNNRPCKGDAIDVRVVDFCPKRPCPSTILLSKDAFSQISHSPHAKINIEYIEI >itb10g17100.t2 pep chromosome:ASM357664v1:10:23339333:23342629:1 gene:itb10g17100 transcript:itb10g17100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGLISRLLGKEERKEDEHCEEAAKVESMWIYPVKSCRGISVSEAPIYSTGFRWDRLWMVVNSRGRACTQRVESSLALVEVELPRDAFCEGWEPKNGSFLEIRATGMDALKVPLIEPSTVSDGISVWGWSGSALDEGDEAAEWFTKYLGKPSRLVRFHEASQTRAVDPKYAAGHKIKFNDAFPIHLASQKSLDVLNEQLKEPVSMNRFRPNIVVDGCEPYAEDFWKEIKINGLTFSSGRLCFRCKIPKVNQETAEVGAEPTETLTKFRSSENLHPGKRPHGRVYFGQTFVCNDWDARGKPKTVKVGDPVYVLKMVSSYVDVAV >itb10g17100.t1 pep chromosome:ASM357664v1:10:23339438:23342663:1 gene:itb10g17100 transcript:itb10g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGLISRLLGKEERKEDEHCEEAAKVESMWIYPVKSCRGISVSEAPIYSTGFRWDRLWMVVNSRGRACTQRVESSLALVEVELPRDAFCEGWEPKNGSFLEIRATGMDALKVPLIEPSTVSDGISVWGWSGSALDEGDEAAEWFTKYLGKPSRLVRFHEASQTRAVDPKYAAGHKIKFNDAFPIHLASQKSLDVLNEQLKEPVSMNRFRPNIVVDGCEPYAEDFWKEIKINGLTFSSGRLCFRCKIPKVNQETAEVGAEPTETLTKFRSSENLHPGVLRTNFRL >itb03g23770.t2 pep chromosome:ASM357664v1:3:22033453:22035293:-1 gene:itb03g23770 transcript:itb03g23770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIALVVYKLRRRHLSAYDTIEDYLSGPNNNLMPIRYSYSDIKKMTKGFKHKLGEGGFGTVFKGTLRSGPFIAVKMMGKSGAIGKEFISEVATIGRIHHTNVVRLIGFCVEGSKRALVYEFMPNGSLDKYIFPKKECLFLSYEKMFEISLGVARGIDYLHRGCDMRILHFDIKPHNILLDEDFSPKVSDFGLARLYPTEGTIPSLTAVRGTMGYMAPELFYRNIGAISHKADVYSFGMLIMEMAGRRKNFNPLAEQKSQIYFPTWVYEQLKDEKCIFEMGGATREENMLVKKMIMVALWCIQMKPEERPSMNKVVEMLEGDVEMVEVPPKPFLSHDELPVDENSIAETPSLDSSLFSSSNHPLWSSSLESHKN >itb03g23770.t1 pep chromosome:ASM357664v1:3:22033453:22035553:-1 gene:itb03g23770 transcript:itb03g23770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIALVVYKLRRRHLSAYDTIEDYLSGPNNNLMPIRYSYSDIKKMTKGFKHKLGEGGFGTVFKGTLRSGPFIAVKMMGKSGAIGKEFISEVATIGRIHHTNVVRLIGFCVEGSKRALVYEFMPNGSLDKYIFPKKECLFLSYEKMFEISLGVARGIDYLHRGCDMRILHFDIKPHNILLDEDFSPKVSDFGLARLYPTEGTIPSLTAVRGTMGYMAPELFYRNIGAISHKADVYSFGMLIMEMAGRRKNFNPLAEQKSQIYFPTWVYEQLKDEKCIFEMGGATREENMLVKKMIMVALWCIQMKPEERPSMNKVVEMLEGDVEMVEVPPKPFLSHDELPVDENSIAETPSLDSSLFSSSNHPLWSSSLESHKN >itb04g06230.t1 pep chromosome:ASM357664v1:4:4041243:4042939:-1 gene:itb04g06230 transcript:itb04g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] MATTTAKNTEVPTKTLPKTPSQPSDPNPTADAEASHLTNPNPSTSPSKGETKAAATTATTTAVNNVSSEGDVQKKIKRAERFGMPVQLSEEEKRNSRAERFGTASSLPGSDASKKSEELKRKARAERFGIAQTTTTDEDAKKKARLARFAPLTKVDPAEEDKRKARAIRFSQSESDTPSQENGKGSTEESPVLGKVGGT >itb05g23490.t1 pep chromosome:ASM357664v1:5:28596476:28596938:1 gene:itb05g23490 transcript:itb05g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPERKLQNICRSVLRCTEIFGGCELPEAGDRELKLPIEHRRYPESGVMKIRRLQNCAVGYSNSSPVRRSSMSKCSKTLVELMIVSKPSTYSLYYIVQNINGSDRPN >itb13g05940.t1 pep chromosome:ASM357664v1:13:7021420:7024609:1 gene:itb13g05940 transcript:itb13g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGSMFPFQENGFLDFSSSFHPQHQTVLRPQAFDHSSLMAAEIPANGRLDFAGNYKHVVGQKNPNFNGGDEKKLLRRDVERQRRKEMGGLYRNLIALIPYEYIKGKRSTSDRLQETVKYVKDLKVRVEGLKGKREELKDHLCSSSTNSMHNSNNNNAVKKCSIDKEENEEDDDDEGCRVTVKHCRGGIEVIVNIACSRRNEVPLSKLLRVIVMEGGISITTCVFTKVNKRLLHCIEAEVVEGRSIDVAQLEQKLMNLVYSH >itb01g02470.t2 pep chromosome:ASM357664v1:1:1608178:1608576:1 gene:itb01g02470 transcript:itb01g02470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYPKWKMRAPFQYDLEVTHALQWPLLTVEWLPDLEERQGGDLQCSCLSAKATVLIAKADGIISAKCVEISVVE >itb01g02470.t3 pep chromosome:ASM357664v1:1:1608178:1611025:1 gene:itb01g02470 transcript:itb01g02470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYPKWKMRAPFQYDLEVTHALQWPLLTVEWLPDLEERQGGDLQCSCLSAKATVLIAKADGIPFPISPSSLKNSANKSNMLKRYPKWKMRAPFQYDLEVTHALQWPLLTVEWLPDLEERQGGDLQCAKDDPWNSSQDAFG >itb01g02470.t1 pep chromosome:ASM357664v1:1:1610840:1613212:1 gene:itb01g02470 transcript:itb01g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYPKWKMRAPFQYDLEVTHALQWPLLTVEWLPDLEERQGGDLQPVRIVQQKRHDGKVKRARYMPQNPSIIATKTVSSQIFIFDCSKHPHEAYMHGVCNPDLRLTSHSDEGYPLSWSPFKQGHLLSGSEDGQFCMWDVNSTPTFRTLEAMHIFSIHDGCVEDVAWHMKHENLFGSVGEDKYLRIWDMRTPVIRPNQSVLAHSDEVKSLAFNPFNEWIVATGSADKKVKLFDMRKISSALHTLE >itb06g05420.t1 pep chromosome:ASM357664v1:6:8139810:8143167:1 gene:itb06g05420 transcript:itb06g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDESERRKNRSRVEEGGMATQLKNPKKHPKLNPNWAQLCEKLKINGSKKPLIKTSPASEPETQSTILGKRKERPYEQTNDSRPNPLIPTSSDCSVTDVIAMDCEMVGVSSVGNKSALGRVTLVNKWGNVIYDEYVRPMERVVDFRTKISGIRPHHLKKAKNFNAVQKEVAELIKEKILVGHALHNDLKALLLSHPKKDIRDTSEFHPFLKEGRSRALRHLAAEILGADIQNGEHCPVEDARAAMMLYVKHRKVWEKHIKDFMKLKKKQEKRKHKKKPRGDEGQAAHE >itb06g05420.t2 pep chromosome:ASM357664v1:6:8139810:8143342:1 gene:itb06g05420 transcript:itb06g05420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDESERRKNRSRVEEGGMATQLKNPKKHPKLNPNWAQLCEKLKINGSKKPLIKTSPASEPETQSTILGKRKERPYEQTNDSRPNPLIPTSSDCSVTDVIAMDCEMVGVSSVGNKSALGRVTLVNKWGNVIYDEYVRPMERVVDFRTKISGIRPHHLKKAKNFNAVQKEVAELIKEKILVGHALHNDLKALLLSHPKKDIRDTSEFHPFLKEGRSRALRHLAAEILGADIQNGEHCPVEDARAAMMLYVKHRKVWEKHIKDFMKLKKKQEKRKHKKKPRGDEGQAAHE >itb01g08320.t1 pep chromosome:ASM357664v1:1:6702615:6703578:-1 gene:itb01g08320 transcript:itb01g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAQPTENGGGMAAAYEQIGTKRQRRPSVRLGDIGGNHTSSYEHRRVKAPWKDSSSKAASKTRHLTNFSSNETLTLADNNANDNTGRNANKKISNNVDEDDDRDDNLDSVAIGSWKVRDLKSKRGATTKRVRSNWVSNSKANESDGDDKLISGSREEVENNDEICPDSETEDSEHTPLHPLDNNKNNTNAGMGDDARGIGNLTSRRMTRVSEEGPSDTELRNWNYSSERNGVKVWLNQLGLGRYAPLFEIHEVDDEVLPMLTLEDLKDMGIKAVGSRRKMYCAIQKLNKGFS >itb01g05920.t1 pep chromosome:ASM357664v1:1:4122892:4127862:1 gene:itb01g05920 transcript:itb01g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLHLIIFIFIFILIVGLQVEARVLRLISSDDHHLVLSDGVDNVKNQSAAYLSLSVSVPPSPKCVHVYGFFPCAENIGGYIFLIAVYQLLLVLGEKLVTEGSNTIFNILGTGIFGASVFNILKTLPRIVMVIASGVFTSKTKAQEQVSSGLSTSVGATVFNLTLMWGICVIAGKTTMSKQPSSSASQNAEPSSLKCLHILRDTGITIDKKTTYTAGIMLLSTIPFILVQLVSSFSSLFERQIMVSIALVVSIVLLLSYFVYQAMNPWIQERSLEYSKYENLLAGFLNHVQRHAGGKLINEEGQPDVHLIKRLFSETDKDASKSLTLTELENLVHSMQCGVVEVDRSYALSKILASFDENKDEEIDEAEFIQGCVKWIEEAKLLANTTTTRHLRQVVEQVTKRQREEIAQIEHLMARILKHVQGHALEAEHLLRDDGSPNIERIQQLFREYDCDGNNYITRAELQKLIMTVKFGEVVQLDCDDSVKKVMREFDRDGNNMIDQHEFVHGITRWLDEAIRVSKCSDKRKAIDEFDKMKWGEIDKLVYEVEPNEQIHYKILTWAFNKSVLQVVFGLAILTMCAKPLGISIQQLSDAMGIPSFLIPFVVVPLALNSRMAISAIFPISQKSSKTASLTFSEIYGGVVMNNLMGMTTLLAIVFAKDLSWDYSAEVLTVLVVCAIVGFLALFRTTYPLWTCLLAFFLYPFSVLLFYVLEYVLGWD >itb12g25700.t1 pep chromosome:ASM357664v1:12:26860172:26862527:-1 gene:itb12g25700 transcript:itb12g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEPKQVEPEEQTPPPPAPAPAPEPKPAEAPKDAAEEKAIVVPAPPEEEKEKEKPDDSKALVVVENKPAEAEPCEEKKEGGSIDRDVVLARVATEKKHSLIRAWEESEKSKAENKAQKKLSEISSWENKKKATIEAELKKIEEKLEVQKAEYAEKMKNKIAMLHKEAEEKRAMIEAKRGEDLLKAEELSAKYRATGTVPTKSCGCF >itb11g19350.t1 pep chromosome:ASM357664v1:11:20083541:20087948:-1 gene:itb11g19350 transcript:itb11g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTMDVLFFPFLVFLLLLIVSSVPSECNPKPVIFNFGDSNSDTGNFLITRGMLSQLPQNFSLPLQGRLCDGRLVLDFLCESLNARYLSPFMESIASNFTNGVNFAIAGSSAFPRTGPFSLAVQVAQFARFKNRSLEFFSPGIEGLLGEEDFANGLYVIDMGQNDLDTAFASHSYAQVVQRIPSWIAEIENAIWNLYFLGARKFWVHSTGPLGCLPLILSRRNYSAGDLDRHGCSRAVNRAAKEFNDQLSRLCRQARRRMKGVKAVVYVDVFAIKYDLIANSSKYGFKEDPMRVCCGYGGGRYNFNSTSQCGSKEFQVCDRVSQYVNWDGIHYTEAANKFVAAKILSTLYSTPRLAIADVFLPQIPSTESELVS >itb04g13700.t1 pep chromosome:ASM357664v1:4:13832711:13834397:-1 gene:itb04g13700 transcript:itb04g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSIVLATLLAWTFVHGLCLLAKRCSTSSLAKKLPPGPFPLPIIGNLHHLLGGQPHKSLALLAQKYGPVMNLKLGMINTVVISSSTIAKEALQNQDLLFSSRPIPDALRAHNHSQFSVIWLPVSSKWRTLRKIMISTIFSGAKLDTNQQLRVRKIQELIIYCQNKSHVGEAVDLGRAAFRTTLNLLWNTIFSKDLADPYSDSAKEFKELVWKIMEEAGKPNLVDHFPFLEKFDPQGSRRRMTGYFTQGLELFNELIDERLEERKVRGSRNVDVLDLLLNISQERPEEIDRTQILHVCLDLFVAGTDTSSSTLEWAIAELLKNPNIMAKAQAELADVIGKGKQLREADVTHLPYLQCVIKETLRIHPPTPFIMRKVEQDVTLCGYTIPKDSQVLANVWAIGRDSSIWENPLTFNPERFWNLQVDVKGQDFELIPFGTGRRICPALPMAMRMVPIMVGSLLNSFQWKLDGDIAPMDLDMEEKSGITLTKAQPLRAIPIPF >itb13g05350.t1 pep chromosome:ASM357664v1:13:6404609:6408832:1 gene:itb13g05350 transcript:itb13g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSVNPVPKPKPKPLLLKDYLLDELSSCSSNGFRSYPRRRECCTTVRLLLEADLGVKPPGKVMCGGGEATRVLIAGKPAPTAGVVSALQKASKTVLNAVKSLQFSAAGKSAKQSKPKKAILSRRFSRKLLKRSFKKKTIDRNEIERWKVYSQLMEKKSNNPSSRFSPAVHNVADSNASTSETNSWSDSDFTVSDDSLQSSSCSSDVNSTEAQNDAVAAKCSPTDKSVISKKVGVTTSNATTTYSPIPKKQVWSNEEKEQFSPVSVLDCPFEDEDDVSSPFEDKPTNVEGTRKKQMKKKMKKQECLTQLEPVNLVKRIAFSESETDEESPLHHYLSLPSTPADILMADLELKAAMLLNQVKARTPSRGLKPMAKTDRLLLDFFRHGIIENRLLVRADNNGEYGYDGEELMRVAEDWISGKSRRFLYEWEVQKNRQAYIEDMESGGKWKKVMDKEEEEHGEVGLQLEAEVFTSLVNELVDDLCLI >itb15g21940.t1 pep chromosome:ASM357664v1:15:24599897:24604094:-1 gene:itb15g21940 transcript:itb15g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHHILLSFVFHFLLLFSLLPLETATSETTEGRALLKWKNTLFNTDALHSWSTANLDNICWNWTGITCNNVGAVYKIKLDNFSLSGTLKSLDFISFPNLTRFSLHNNSFTGSVPYAIANLSQLVFLDLSWNHFENFIPGRLTNLRFLNLGLNRLSGTIPSEISHLQRLTSLSLYENSLTGQIPEAIFSNLTNLQTFDCGWNMFRGAFPTSFLKLSKLKQLDISYNSFYGSIPPTIGNLSSLTNLDLSYNMLEGNISESLCNLHSLNTLYLSFNKFSGLSNTGQGNIPRALCNLPSLEDLHLSLSSWGGLIPKCLGNMTSLRSLDLYSNMLKGSIPRSLCNLGSLESIDLSNNRLEGLIPWCFGNITSLRSLYVYSNMLKGSIPRSLCNLGFLEDMDLSNNRLEGFIPWCFGNIFSLTSLNLYSNLLKGSIPRSLCNLGSLEVMILSNNRLEVFVVFIFMP >itb06g23060.t4 pep chromosome:ASM357664v1:6:25194526:25196366:-1 gene:itb06g23060 transcript:itb06g23060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANNVVSNNPRKPRFLCLHGFRTSAEILKKQVVGKWNPAVVEKLDLVFVDAPFPCQGKSDVEGLFDPPYYEWFQFNKDFTEYENFDECLEYIEDYMIKHGPFDGLLGFSQGAILSAALPGLQEKGVALNKVPKIKYLIIIGGAKMRNKEIAEMAYASPVSCPSVHFIGKEDFLREPGLQLIESCVDPLVIHHPKGHTIPRFG >itb06g23060.t3 pep chromosome:ASM357664v1:6:25194526:25196366:-1 gene:itb06g23060 transcript:itb06g23060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANNVVSNNPRKPRFLCLHGFRTSAEILKKQVVGKWNPAVVEKLDLVFVDAPFPCQGKSDVEGLFDPPYYEWFQFNKDFTEYENFDECLEYIEDYMIKHGPFDGLLGFSQGAILSAALPGLQEKGVALNKVPKIKYLIIIGGAKMRNKEIAEMAYASPVSCPSVHFIGKEDFLREPGLQLIESCVDPLVIHHPKGHTIPRFDEKGLEDMLSFVERIQKEINMAEEQIEEQIVC >itb06g23060.t2 pep chromosome:ASM357664v1:6:25197127:25199453:-1 gene:itb06g23060 transcript:itb06g23060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANNVVSNNPRKPRFLCLHGFRTSAEILKKQVVGKWNPAVVEKLDLVFLDAPFPCQGKSDVEGLFDPPYYEWFQFNKDLTEYQNFDECVEYIEDYMIKHGPFDGLLGFSQGAILSGALPGLQEKGVALKKVPKIKYLIIIGGAIVKDKEVAEMAYASPVSCPSVHFIGKEDFLREPGLHLIESCVDPLVIHHPKGHTIPRFDEKGLEDMLSFVERIQKEINMADEQIEKQIVS >itb06g23060.t1 pep chromosome:ASM357664v1:6:25194542:25199453:-1 gene:itb06g23060 transcript:itb06g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANNVVSNNPRKPRFLCLHGFRTSAEILKKQVVGKWNPAVVEKLDLVFLDAPFPCQGKSDVEGLFDPPYYEWFQFNKDLTEYQNFDECVEYIEDYMIKHGPFDGLLGFSQGAILSGALPGLQEKGVALNKVPKIKYLIIIGGAKMRNKEIAEMAYASPVSCPSVHFIGKEDFLREPGLQLIESCVDPLVIHHPKGHTIPRFDEKGLEDMLSFVERIQKEINMAEEQIEEQIVC >itb14g13650.t1 pep chromosome:ASM357664v1:14:15812547:15818759:1 gene:itb14g13650 transcript:itb14g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MLLKRRNKPSLPAAFLLLSVIPYALFAADSSPTSSPIKTVVVLVMENRSFDHMLGWMKQKVNPAIDGVDGSESNPVSTTDPNSPRLFFGNRSHFVDPDPGHSFQAIREQIFGSNETSADPPPMNGFVQQAASMDPKMSRSVMNGFDPDMVGVYKALVSEFAVFDRWFASVPASTQPNRLYVHSGTSHGATSNVASLLAKGYPQRTIFENLDDAGVDFGIYYQNIPATLFYRNLRKLKYLGKFHPYGLSFKTDAKNGKLPGYVVVEQRYIESKVEAANDDHPSHDVYEGQMLVKEVYETLRASPQWNQTLLLITYDEHGGFFDHVPTPVRGVPSPDGILGPDPFYFKFDRLGVRVPTIAISPWIQKATVVHGPNGSPFPNSEYEHSSIPATVKKIFNLPSPFLTRRDAWAGTFESILQKRKEPRTDCPAQLPTPKKIRQGGPNLDAKISEFQQELVQLSAVLNGDYLLTSYLENIGKGMTVRQGKIYIESAVKRFFEAGLAARRMGVDGDQIVQMRPSLTTRSSKPSSSQHHP >itb14g13650.t2 pep chromosome:ASM357664v1:14:15812547:15815050:1 gene:itb14g13650 transcript:itb14g13650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MLLKRRNKPSLPAAFLLLSVIPYALFAADSSPTSSPIKTVVVLVMENRSFDHMLGWMKQKVNPAIDGVDGSESNPVSTTDPNSPRLFFGNRSHFVDPDPGHSFQAIREQIFGSNETSADPPPMNGFVQQAASMDPKMSRSVMNGFDPDMVGVYKALVSEFAVFDRWFASVPASTQPNRLYVHSGTSHGATSNVASLLAKGYPQRTIFENLDDAGVDFGIYYQNIPATLFYRNLRKLKYLGKFHPYGLSFKTDAKNGKLPGYVVVEQRYIESKVEAANDDHPSHDVYEGQMLVKEVYETLRASPQWNQTLLLITYDEHGGFFDHVPTPVRGVPSPDGILGPDPFYFKFDRLGVRVPTIAISPWIQKATVVHGPNGSPFPNSEYEHSSIPATVKKIFNLPSPFLTRRDAWAGTFESILQKRKEPRTDCPGSLTFLCGRINSLLIKFLFLSLNIFFKTENPIFLLPQTILILMHFFL >itb02g11900.t1 pep chromosome:ASM357664v1:2:7938391:7940529:-1 gene:itb02g11900 transcript:itb02g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVAATTFHVSAFRNPSPSPLAFARPGRVSLLPAASRRSSKPLLSKPFASSSGKEAVYTDNAPEAVGPYSQAIKANDLIFVSGSLGLDPETADMISDNVEDQTEQALKNMGEILKAGGADYSSVVKTTILLADLNDFNVVNAIYAKYFPDPYPARATYQVAALPKGAKIEIECIAAL >itb02g04300.t1 pep chromosome:ASM357664v1:2:2555549:2557141:1 gene:itb02g04300 transcript:itb02g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSDDEITRLFRIHKTLMQMLQDRGYMGPETELNRADFIGKYGEHMKREDLVIQRAKRNDSSDQIFVFFPEESKVGVKTIKTYTNRMKSESVFSAILVVQQNLTPFARTCVAEISSKFHVEVFLEAELLVNITQHELVPKHQLLTTEEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >itb10g18320.t1 pep chromosome:ASM357664v1:10:24338793:24341294:1 gene:itb10g18320 transcript:itb10g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCGGVAVIDFWANGFGMRIRIALEEKGVAYQYKEEDLNNPQRSRLVLEMNPVRKSVPILVHEGRPVCDSVAILEYIDEIWKDGFPLLLPKDPYERATARFWTHFIDNKFFPTQATFLKSKGEAKQEAEEELISELKQLEDVLGDKPYFGGEKFGFFDVAFIPFSSMFYGYESHGEFKLEEECPKISAWVKRCMERPSVSKILPDSVKMYELHKIWYGVE >itb02g00710.t2 pep chromosome:ASM357664v1:2:416821:421637:1 gene:itb02g00710 transcript:itb02g00710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDPAGGQAPPPMLLPDNPISPGNATAAPPLTDSPPAPPPPPPGANGSFTAIPPPSISSNNQVRMALLIGIGIGGLIMLVCVGIFVFWYKRRKRALGLYGSEQGPKDYPFSSQSHNWPSNVPPSSNNTVGMSQKLSAEPGIVSTFQSQLSPVDPPSSQQTPNVGASCSKSTFTYEELAIATDNFSNTNLLGQGGFGYVHKGVLGDGREVAIKKLKVGSGQGEREFQAEVEIISNVHHFHLVSLVGHCISGAQRLLVYEFVPNKTLEFHLHGKGLPPMSWEIRMRIALGSAKGLAYLHEDCRPKIIHRDIKAANILLDNNFEPKVADFGLARLNPDADTHVSTRVMGTFGYLAPEYALTGKLTEKSDVFSFGVMLLELITGRRPIDKAQYYLDDNIVDWVSLLYRFIIPKFKTFELMASAMNTLLIFVTHY >itb02g00710.t1 pep chromosome:ASM357664v1:2:416821:421637:1 gene:itb02g00710 transcript:itb02g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDPAGGQAPPPMLLPDNPISPGNATAAPPLTDSPPAPPPPPPGANGSFTAIPPPSISSNNQVRMALLIGIGIGGLIMLVCVGIFVFWYKRRKRALGLYGSEQGPKDYPFSSQSHNWPSNVPPSSNNTVGMSQKLSAEPGIVSTFQSQLSPVDPPSSQQTPNVGASCSKSTFTYEELAIATDNFSNTNLLGQGGFGYVHKGVLGDGREVAIKKLKVGSGQGEREFQAEVEIISNVHHFHLVSLVGHCISGAQRLLVYEFVPNKTLEFHLHGKGLPPMSWEIRMRIALGSAKGLAYLHEDCRPKIIHRDIKAANILLDNNFEPKVADFGLARLNPDADTHVSTRVMGTFGYLAPEYALTGKLTEKSDVFSFGVMLLELITGRRPIDKAQYYLDDNIVDWARPLLSQALDDGNFEALADPNLMKNYDSTEMTRMVTCAAVCVRHLSRRRPRMSQIVRALEGNLPADDLIGGVRPGHSGIQEPFGSSDYDSTQYKEDLEKFRKMALETQTHNSSECSGPTSEFGPHPSGSSSEGLRTTQETQPGTEIRRGTTPEVREIEIQGS >itb02g00710.t3 pep chromosome:ASM357664v1:2:416907:421637:1 gene:itb02g00710 transcript:itb02g00710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDPAGGQAPPPMLLPDNPISPGNATAAPPLTDSPPAPPPPPPGANGSFTAIPPPSISSNNQVRMALLIGIGIGGLIMLVCVGIFVFWYKRRKRALGLYGSEQGPKDYPFSSQSHNWPSNVPPSSNNTVGMSQKLSAEPGIVSTFQSQLSPVDPPSSQQTPNVGASCSKSTFTYEELAIATDNFSNTNLLGQGGFGYVHKGVLGDGREVAIKKLKVGSGQGEREFQAEVEIISNVHHFHLVSLVGHCISGAQRLLVYEFVPNKTLEFHLHGKGLPPMSWEIRMRIALGSAKGLAYLHEDCRPKIIHRDIKAANILLDNNFEPKVADFGLARLNPDADTHVSTRVMGTFGYLAPEYALTGKLTEKSDVFSFGVMLLELITGRRPIDKAQYYLDDNIVDWARPLLSQALDDGNFEALADPNLMKNYDSTEMTRMVTCAAVCVRHLSRRRPRMSQVSLILLLSHLPKPELVHSDFISREVSIVFVFVMFTVPGCYLTKCMPRKMT >itb06g16660.t1 pep chromosome:ASM357664v1:6:20730185:20734673:-1 gene:itb06g16660 transcript:itb06g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPDQAAAVVLSQLVMAADGTVIGLALAFIAVRSVLKFKATNSALHQIKEAPYVRVSDLRSVVSEQSGSNQSDDGKLVIVRGTVEAKSVVANDVLVAHDSGEKDVIVQRSQTCIYNEWKGFMGWSSDLRPLFPRSWGSWREQDSSSTRMVPFVIVEAGRWPPSEYVNVNMDGSSHPLPLETVQYHLQPVNATSITFFQALFGHQYPVGLLHEEKILPLGKDITAVGICSSIRGIPEIKSCNSLPYFLSERTKDQMIVELAFKRQVLLWSGVVFGSLAIGILSYAAVRYWNRWKGRRPQRQTQRQNAVARVATSEDTGDVVDRQLCVICLTRRRRAAFVPCGHVVCCQRCAFYVVRDLSPKCPVCRQRIYPRHFSMQ >itb07g24390.t2 pep chromosome:ASM357664v1:7:28632434:28651001:1 gene:itb07g24390 transcript:itb07g24390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGYDGENENPKVDKQFLSSPVKNLVDKFQLLPEFLKVRGLVKQHLDSYNYLIRTDIKKIVRANNEIRSAIDPSIFLRYTDVWIGEPSMIIDGATEKLTPHQCRQSDTTYAAPIYVNIEYVIGSHGQITPANKKKVIIGRMPIMLRSTCCVLYGKDEEELARLGECPLDPGGYFVVKGTEKVILIQEQLSKNRIIIDTDKKGWVQASVTSSTEKTKSKTVIKMEKEKVYLELNQFKTKVPIMAVMKAMGMESDQEVVQMVGRDPRYGALLLPSIEECADLKVYTQHQALEFLDSDKILKALPYSTGSGDKGGRALSILRDIFLANIPVHHNNFRKKCMYVAVMLRRMMEAILNKDTMDDKDYVGNKRLELSGQLLSLLFEDLFKTMNDEVRRTIDAVLAKPSRSSRLDISQYIVKDSITQGLERTLSTGNWDVKRFRMHRKGMTQVLNRLSYIVSLGFMTKIQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDERPIVSLCYGFGVEDLELVSGEELHTPNSFLVILNGHILGKHMKPQWFARALRKLRRAGKIGEFVSIFVNEKQRCVYIASDGGRVCRPVVIADKGVSRIKEHHMKELKDGVRNFDSFLREGLIEYLDVNEENNALIALYDKEATPKTTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLYRMDTLIYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSFSGYDIEDAIVMNKSSLDRGFGRCIVLKRVAAVCQKYENGASDRIVRPQRDGYDADRTQILDDDGLASPGEIIRPHDIYINKESPVVTRGPIVSPMGLADSAYKRSIQSFKCAEGETGVVDRVALSSDKNNNLCIKFIIRHTRRPELGDKFSSRHGQKGVCGNIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGESSGHADRVEVISETLVKHGFSYNGKDFIYAGIDGTPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMITRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMVSSDPFEVQVCRKCGLLGYYNYKLKTGICSTCKNGDNISTMKLPYACKLLIQELQSMNIVPRLRLTEA >itb07g24390.t3 pep chromosome:ASM357664v1:7:28632434:28650312:1 gene:itb07g24390 transcript:itb07g24390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGYDGENENPKVDKQFLSSPVKNLVDKFQLLPEFLKVRGLVKQHLDSYNYLIRTDIKKIVRANNEIRSAIDPSIFLRYTDVWIGEPSMIIDGATEKLTPHQCRQSDTTYAAPIYVNIEYVIGSHGQITPANKKKVIIGRMPIMLRSTCCVLYGKDEEELARLGECPLDPGGYFVVKGTEKVILIQEQLSKNRIIIDTDKKGWVQASVTSSTEKTKSKTVIKMEKEKVYLELNQFKTKVPIMAVMKAMGMESDQEVVQMVGRDPRYGALLLPSIEECADLKVYTQHQALEFLDSDKILKALPYSTGSGDKGGRALSILRDIFLANIPVHHNNFRKKCMYVAVMLRRMMEAILNKDTMDDKDYVGNKRLELSGQLLSLLFEDLFKTMNDEVRRTIDAVLAKPSRSSRLDISQYIVKDSITQGLERTLSTGNWDVKRFRMHRKGMTQVLNRLSYIVSLGFMTKIQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDERPIVSLCYGFGVEDLELVSGEELHTPNSFLVILNGHILGKHMKPQWFARALRKLRRAGKIGEFVSIFVNEKQRCVYIASDGGRVCRPVVIADKGVSRIKEHHMKELKDGVRNFDSFLREGLIEYLDVNEENNALIALYDKEATPKTTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLYRMDTLIYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSFSGYDIEDAIVMNKSSLDRGFGRCIVLKRVAAVCQKYENGASDRIVRPQRDGYDADRTQILDDDGLASPGEIIRPHDIYINKESPVVTRGPIVSPMGLADSAYKRSIQSFKCAEGETGVVDRVALSSDKNNNLCIKFIIRHTRRPELGDKFSSRHGQKGVCGNIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGESSGHADRVEVISETLVKHGFSYNGKDFIYAGIDGTPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMITRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMVSSDPFEVQVCRKCGLLGYYNYKLKTGICSTCKNGDNISTMKLPYACKLLIQVCLVDIAIPAYHSQS >itb07g24390.t1 pep chromosome:ASM357664v1:7:28632434:28651001:1 gene:itb07g24390 transcript:itb07g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGYDGENENPKVDKQFLSSPVKNLVDKFQLLPEFLKVRGLVKQHLDSYNYLIRTDIKKIVRANNEIRSAIDPSIFLRYTDVWIGEPSMIIDGATEKLTPHQCRQSDTTYAAPIYVNIEYVIGSHGQITPANKKKVIIGRMPIMLRSTCCVLYGKDEEELARLGECPLDPGGYFVVKGTEKVILIQEQLSKNRIIIDTDKKGWVQASVTSSTEKTKSKTVIKMEKEKVYLELNQFKTKVPIMAVMKAMGMESDQEVVQMVGRDPRYGALLLPSIEECADLKVYTQHQALEFLDSDKILKALPYSTGSGDKGGRALSILRDIFLANIPVHHNNFRKKCMYVAVMLRRMMEAILNKDTMDDKDYVGNKRLELSGQLLSLLFEDLFKTMNDEVRRTIDAVLAKPSRSSRLDISQYIVKDSITQGLERTLSTGNWDVKRFRMHRKGMTQVLNRLSYIVSLGFMTKIQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDERPIVSLCYGFGVEDLELVSGEELHTPNSFLVILNGHILGKHMKPQWFARALRKLRRAGKIGEFVSIFVNEKQRCVYIASDGGRVCRPVVIADKGVSRIKEHHMKELKDGVRNFDSFLREGLIEYLDVNEENNALIALYDKEATPKTTHIEIEPFTILGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLYRMDTLIYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSFSGYDIEDAIVMNKSSLDRGFGRCIVLKRVAAVCQKYENGASDRIVRPQRDGYDADRTQILDDDGLASPGEIIRPHDIYINKESPVVTRGPIVSPMGLADSAYKRSIQSFKCAEGETGVVDRVALSSDKNNNLCIKFIIRHTRRPELGDKFSSRHGQKGVCGNIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGESSGHADRVEVISETLVKHGFSYNGKDFIYAGIDGTPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMITRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMVSSDPFEVQVCRKCGLLGYYNYKLKTGICSTCKNGDNISTMKLPYACKLLIQELQSMNIVPRLRLTEA >itb09g02520.t1 pep chromosome:ASM357664v1:9:1424393:1430416:-1 gene:itb09g02520 transcript:itb09g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSNCGSLGPNSTEYDHQNANAKLVERIELPHENGAANSLVGYSANPRIELPLERDAMDSNQQHKKPELENCDVNSDVGEMTLPPGFETANSTVGYSSQLPNKVTGNLCPQQLKETHTYAIGNPSGTLMRRNENNEKQAEMPSKHKFLRPPLEDLTLNLRSKNVEFPPENERAYSVADPAEPPGFESHNRGHRLRGTCKDANKSPAQLEHEEKGPPESISTSRTDVNTTRVLRPKTHKQSESPIPNDAAAGDSDDGRNNRTRRKEKQKKQNAVNEFSSIRTKLRYLLHRIKYEQNLIDAYSGEGWKGKSIEKIKPEKELQRAKSGIFTYKLKIRDLFQRIDMMLAHGRLPESSFDSKGLIDSEDIFCAKCGSKDLPADNDIILCDGACERGFHQFCLEPPLLKEDIPPGDEVWLCPGCVCKLDCFVLLNDLLGTNLSLPDSWEKVFPEEAASVVSGKKLDDVSGLPSDDSEDDDYNPDHLEVEENGSGDESASEESDYFSASDDLAAPVNNDQLTGLPSDDSEDDDYDPDAPDHDAQVMQESSNSDFTSDSEDVGPEVNDTRTPSQDQCGETKIGGVVQHSLNDELSYQQSNDDLVSQKRYGEGLDYKKSNDEAHQSTSSDYCDEEYGCTAPKRKNRSRKAAHKSSDQAAMGVMNTNFNQSETEHTTERRSRKRLKVEGSVTPEQGSSSKKNTKSRYGEDVIKRLFESFKENQYPKREVKESLATELGLTAQQVSKWFENARRSFHHSSSNQPKNQS >itb11g05860.t1 pep chromosome:ASM357664v1:11:3487852:3491773:-1 gene:itb11g05860 transcript:itb11g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MMIPTTFRNTKGSGLMPLLVKRAVSFSASTQSTVESASRDGELRLFIVAGEVSGDAIAARLMNSLKKLSPIPVRFAGVGGHRMSKQGLNSLFPMEDIAVMGIWELLPHLNQFRVKLKQAVQAALGFRPHAVVTVDSKGFSFRFLKHLRARYRKQGTLGPLHFHYVAPSFWAWKGGEARLGGLSEFVDHVLCILPFEAEVCRTNGVAASFVGHPTLEDILEFEGKDTTQGGWRVRGDAEKFRNEYGISSGSKVISLLPGSRLQEVTRMMPIFSNTVKQLQDSFPDLITTIHVAPNQHVERFVGEATCNWPASVILIPGGSHHMKYDSFSASSVALCTSGTVAMELQLARLPCVVAYRAHLLTEWIIRYKAKVSYISLPNILLESAIIPEALFRACTPSKLASLLRNLIFDESLRQKQIIAAEKVIRLLRPERISGSSTQLDSMSFDYTPSMIAASTILFSRRT >itb11g05860.t2 pep chromosome:ASM357664v1:11:3487852:3491773:-1 gene:itb11g05860 transcript:itb11g05860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MMIPTTFRNTKGSGLMPLLVKRAVSFSASTQSTVESASRDGELRLFIVAGEVSGDAIAARLMNSLKKLSPIPVRFAGVGGHRMSKQGLNSLFPMEDIAVMGIWELLPHLNQFRVKLKQAVQAALGFRPHAVVTVDSKGFSFRFLKHLRARYRKQGTLGPLHFHYVAPSFWAWKGGEARLGGLSEFVDHVLCILPFEAEVCRTNGVAASFVGHPTLEDILEFEGKDTTQGGWRVRGDAEKFRNEYGISSGSKVISLLPGSRLQEVTRMMPIFSNTVKQLQDSFPDLITTIHVAPNQHVERFVGEATCNWPASVILIPGGSHHMKYDSFSASSVALCTSGTVAMELQLARLPCVVAYRAHLLTEWIIRYKAKVSYISLPNILLESAIIPEALFRACTPSKLASLLRLAPITTTILYLQNRFLMMTHGGL >itb05g06480.t1 pep chromosome:ASM357664v1:5:6907696:6913739:1 gene:itb05g06480 transcript:itb05g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILGSRKTCFESDSKSIVDAIMQNSSIGTITDNNLSACKQELKHIGSWHLSLIARDQNQAADFLSKLPVVQRSTSLLEQPIMELLQILEEDQFGIPNSKSHHADEDRDSAREDEDCRPPTRLSQSPPSVQSSGCRHLAGRRSPASTPAVAVGPSRLQPVPPALASVLRPSSSPASAGDDGDVEDLHVRVPTESSEA >itb12g22760.t1 pep chromosome:ASM357664v1:12:24733607:24735720:-1 gene:itb12g22760 transcript:itb12g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQASLLLQKQLKDLCKKPVDGFSAGLVDETNLFEWSVTVIGPPDTLYEGGFFNAIMSFPQNYPNSPPTVRFTTEIWHPNVYTDGKVCISILHPPGDDPNGYELASERWSPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRENREGFKKKVSRCVRRSQEML >itb10g17110.t4 pep chromosome:ASM357664v1:10:23354486:23356641:1 gene:itb10g17110 transcript:itb10g17110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLLQTEIRAPGMDALKVPLIEPSTVSDGISVWDWSGSALDEGDEAAEWFTKYLGKPSRLVRFHEASQTRAADPNYAAGHKIKFNDAYPIHLASQKSLDVLNEQLKEPVSMNRFRPNILVDGCEPYAEDFWKEIKINGLTFNSAQLCYRCKIPRVNQETAEVGDEPTETLTKFRSSENLHPDKKPHGRVYFGQTFVCNDWDARGKPKTLKVGDPVYVLKMVSSYVDVSV >itb10g17110.t3 pep chromosome:ASM357664v1:10:23354125:23356641:1 gene:itb10g17110 transcript:itb10g17110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVRLGFLWDRLWMVVNSGGRACTQRVEPSLALVEVELPRDAFCEGWEPKNGSFLEIRAPGMDALKVPLIEPSTVSDGISVWDWSGSALDEGDEAAEWFTKYLGKPSRLVRFHEASQTRAADPNYAAGHKIKFNDAYPIHLASQKSLDVLNEQLKEPVSMNRFRPNILVDGCEPYAEDFWKEIKINGLTFNSAQLCYRCKIPRVNQETAEVGDEPTETLTKFRSSENLHPDKKPHGRVYFGQTFVCNDWDARGKPKTLKVGDPVYVLKMVSSYVDVSV >itb10g17110.t1 pep chromosome:ASM357664v1:10:23353706:23356646:1 gene:itb10g17110 transcript:itb10g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGLISRLLGKEERTEDEDCEEAAKVESMWIYPVKSCRGISVSEAPICSTGFLWDRLWMVVNSGGRACTQRVEPSLALVEVELPRDAFCEGWEPKNGSFLEIRAPGMDALKVPLIEPSTVSDGISVWDWSGSALDEGDEAAEWFTKYLGKPSRLVRFHEASQTRAADPNYAAGHKIKFNDAYPIHLASQKSLDVLNEQLKEPVSMNRFRPNILVDGCEPYAEDFWKEIKINGLTFNSAQLCYRCKIPRVNQETAEVGDEPTETLTKFRSSENLHPDKKPHGRVYFGQTFVCNDWDARGKPKTLKVGDPVYVLKMVSSYVDVSV >itb10g17110.t2 pep chromosome:ASM357664v1:10:23354125:23356641:1 gene:itb10g17110 transcript:itb10g17110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKVPLIEPSTVSDGISVWDWSGSALDEGDEAAEWFTKYLGKPSRLVRFHEASQTRAADPNYAAGHKIKFNDAYPIHLASQKSLDVLNEQLKEPVSMNRFRPNILVDGCEPYAEDFWKEIKINGLTFNSAQLCYRCKIPRVNQETAEVGDEPTETLTKFRSSENLHPDKKPHGRVYFGQTFVCNDWDARGKPKTLKVGDPVYVLKMVSSYVDVSV >itb09g11840.t1 pep chromosome:ASM357664v1:9:7387802:7397615:1 gene:itb09g11840 transcript:itb09g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVISDDEDEVGVEEEERDEEPADGEGVDERDDDEEEEDEDEEGQDEYEKDGFIVDDVDEEEEEEEEDRADSDEDRHKKKKRKKRESERNYELDEDDYELLQESNISVHRPKLESKKFKRLKKARRDEEGHSDFPEEEDFDESGKRGRTAEEKLKHSLFGDDEGQPLEDIAEEEQPEEEEEDADIGEEDEMADFIVDEEEVDEHGAPVRRKKMNKKKSRQAPGVSSTALQEAHDIFGDVDELLRLRKQGLAKMGHYDEGGEWRERRLEDEFEPIILSEKYMTEKDEKIREIDIPERMQLSEESTGPPTDEMSISEETNWIYNQLRFVPPFNKRETGTSEEGNELPIDKDDIMRFLDLMHVQKLDIPFIAMYRKEECMTLLKDPDQGEVDALENNSDKKPVLNWHKVLWAIQDLDRKWLLLQKRKSALQSYYNKRFEEESRRVYDETRLNLNKQLFESISKSLKAAGSEREVDDVDSKFNLHFPPGEVGVDEGQFKRPKRKSQYSICSKAGLWEVASKFGYSSEQFGLQISLEKMRMDELEDPKETPEEMASNFTCAMFETPQAVLKGARHMAAVEISCEPSVRKYVRSVYMTDAVVSTSPTPDGNAAIDPFHQFAGVKWLRDKPLSRFDDAQWLLIQKAEEEKLLQVTIKLPAPVLEKLLSDSNDYYLSDGVSKSAQLWNEQRKLILQDAISNFLLPSMEKEARSMLSSRAKSWLLSEYGQLLWNKVSVGPYQRRENDVSSDEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDILYAGSLSLRGQNVNDEQRKKNDQQRLLKFMMDHQPHVVVLGAVNLSCTRLKEDIYEIIFKMVEDNPRDVGHEMDNLNIVYGDETLPHLYENSRISADQLPSQSGIVRRAVALGRYLQNPLAMVGTLCGPGREILSWKLSSMENFLTPDEKYGMIEQIMVDATNQVGLDLNLAISHEWLFAPLQFISGLGPRKAASLQRSLVRQQTIFTRKDLLTEHHLGKKVFVNAVGFLRVRRSGYASNSSTYIDLLDDTRIHPESYSLAQELAKDVYLKDVGEDTNDDDEVLEMAIEHVREKPQLLRGVDPYKYADAKQRISKKETLNDIRLELMQGFQDWRRPYVEPSQDEEFYMISGETEDTLSEGRIVQATIRRVQPQKAICALDSGLSGILMKEDSSDDWRDINDLTEKLRDGDILTCRIKSIQKNRYQVFLSCKENDMRGNRFQNNRIMDPFYHEDRSSLPTEQEKARKEKELAKKHFKPRMIVHPRFKNITADEAMEFLSDKEPGESIVRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGDDTFEDLDEVMDRYVDPLVAHLKAMLNYRKFRKGTKGEVDELLRIEKSDNPMRIVYSFGISHEHPGTFILTYIRSSNPHHEYVGLYPKGFKFRKRMFEDLDRLVVYFQRHIDDPHDSAPSIRSVAAMVPMRSPAAGGSSGFGGGWGGSSNDGDWRGGQNDRTPGSRQGRNDYRNGGNQDGHPSGVPRPFGGRGRGRGRGRGRGSYDNNRGNNDGNDRQDSDYGSQKWGSKEGGDDGWGGGGGGGSGGGGWGSTSDGGGGGGWGNDRGGGGSDDSGWGGGKKTNTQSQPGGGGGGWSADSGGGGGGGW >itb10g23560.t1 pep chromosome:ASM357664v1:10:27849714:27852904:-1 gene:itb10g23560 transcript:itb10g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTFGGLELRPLGNTGLKVSSVGFGASPLGNVFGNVSEEAAFAAVRHAFRLGINFFDTSPYYGGTLSEKVLGKALKALGVPRDQYIVSTKCGRYKEGFDFSAERVTRSIDESLERLQLDYVDILHCHDIEFGSLDQIVNETLPALLKLKETGKIRFIGITGLPLHIFTYVLDRVPPGTVDVILSYCHYSINDSTLEDLLPYLKSKGVGVISASPLAMGLLTEIGPPEWHPASPEIKAACQAAAAFCKEKGKNISKIALQYSLSNKDISTILVGMLSVGQVEENVAAATEIAIFGKDEETLSAVSDILKPVKNETWPSGIQQS >itb07g02260.t1 pep chromosome:ASM357664v1:7:1419700:1423277:-1 gene:itb07g02260 transcript:itb07g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTMPNLKVFYISGNKFHGAIPVSFPNASNLRYFEVAENYFVGKVPVNVGKLKHLVNFNLEANFLDGNGPLEDLAFIPSLANCSNLQIFSITRNRLEGKLPNAIGNFSSQLTYLGMGENGLLGTIPMGIKNLIGLIALMLGNNLLSGGIPSEIGDLKNLQGLGLNYNGFVGEIPSTLFNLTSLTFLYLEHNNFDGNIPSNVGNFWNLNELYLSHNKFNGTITQQVFALPSLSMVLALSDNSFIGPLSPDVDKPSDLQHLISIPRVSHEVGLSK >itb02g01730.t1 pep chromosome:ASM357664v1:2:959431:961414:1 gene:itb02g01730 transcript:itb02g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGESGTPFWVQSNAGGRRGDGVRRRVESAVFNSGILVFLLVVGAVFFIVFVLPWTASFSSGLFRASSVKKSWDSVNVVLVFLAIVFGVLSRSKNEFQKSNPGTPVWYGYREEEGRRSNPAGFDRWVESSDRRSFNGGGGGLRRSFSSYPDLCEMSPRWVSADDQWRFYDDTRVDASRVYDSGQLFRRRSWRDVDWVPEVESNKIVYVDTVKETPLPAPSSPPPPPAAVPEPVYKDLAAPEHVAPEPVYKVESKRKTRAHKKPVTPSPPAASPPPPPPPPPLPHYVEPKSSKSERKRSSSSGTKGFIISSLYHKRKRKQRSQSVDNLDALLHTSQPPPLHFQFPPPSPPPPPPPPPPPPPPPPPSVFQNLFSSKKTKRKKNVILAATPPPSVPPPPPPPPPQAAAAANPRRTSRPNAGAPTVSRHRAEPEDEKRRNIGGESPLIPIPPPPPPPPFFKKSAWKFVVQGDYVRIDSLESSRSGSPDLDELESDVTPTAAEGGGDRTPFPPSPLFCPSPDVNTKAENFISKFRAGLKLEKMNSMKKQGLGLSNLGPSSELS >itb12g21150.t1 pep chromosome:ASM357664v1:12:23551815:23554206:1 gene:itb12g21150 transcript:itb12g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLQSLLPCCFKVTVDGDNPTTKVKKEDPKQQQQSSFQFQRVSFSDLSASTLSSDLSISLAGSNLHVFTVQELRVITHAFSSSNFLGEGGFGQVHKGFVDDKLRPGLKAQPVAVKRLDTDKCTDQGHREWLTEVVCLGQLRHPHVVKLIGYSCEEDQRLLVYEYMARGSLENQLFRRISASLPWATRMKIAMGAAKGLAFLHGAEKPIIFRDFKASNILLDSDYRAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAASDVYSFGVVLLELLTGRKSIDKTRPPREQNLVDWARPQLKDPRKLHRIMDPRLDGMYSEDGARKAALLAYKCLSQRSNARPTMSEVVRVLEPLKDYKEVPMVTFLYVAPTEKEKEGAEQKEAKKQQHGNHHHNQHRHHEHNHHHHDQRHHHEHKHSRARGAKSTTVYSETVLQQKLRSNESPIQRA >itb01g17610.t1 pep chromosome:ASM357664v1:1:22380139:22384238:-1 gene:itb01g17610 transcript:itb01g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACGESAGGEIMGAGDGEMLSAEETREIYGFKRGDGYVEMMCGITTSKFGEYGGMLRIFANGTLTPVEFHKHSAKQDRKSWRKHIWVIIGSNKVPLSKTRLLRYYDSKMVANGGICHRDEFRQCSLCKKTRRFNRRNKEECRAYHDALSNADWKCSDMPAAAATGANSCDQREERACRREIYRGCWRSMVCEGCETSVCFGCEMCCFRDCNCHTCHDFYQNA >itb06g19310.t1 pep chromosome:ASM357664v1:6:22665585:22671817:1 gene:itb06g19310 transcript:itb06g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MAASDDEDESLAQFLESEVLAFSDQDQGNASNEEEEKGGRESKHMRVEDYEEENEDLVDEEEGRVLKKMRVVKDGGEGEIEGKEKRSNTGVSNTFKDIKNGASTSSNTLRVPKRIDTGVLSKIPPELLHHILKFLSSEDLVSCSLACKFLNYAASDESLWRRLYCMRWGLRPPKRPRESAWKKMYIQRDAEDMGDFVRNCPSEFKEYYIQMQAAKRSQTPLLSQVNDDHIILDKTVADQISIWKKNKGLSDKVFVDHACSGETCTYYQIGDVFVCEKTGLVHVCDDTCREVVSDPTNGLLVCTISGHCFDRMLSPSEIEPDTEQQQGGGTDEAEPFMGSGRFARAYLLGYNCDDEKELEAALRFC >itb06g19310.t2 pep chromosome:ASM357664v1:6:22665585:22671817:1 gene:itb06g19310 transcript:itb06g19310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MAASDDEDESLAQFLESEVLAFSDQDQGNASNEEEEKGGRESKHMRVEDYEEENEDLVDEEEGRVLKKMRVVKDGGEGEIEGKEKRSNTGVSNTFKDIKNGASTSSNTLRVPKRIDTGVLSKIPPELLHHILKFLSSEDLVSCSLACKFLNYAASDESLWRRLYCMRWGLRPPKRPRESAWKKMYIQRDAEDMGDFVRNCPSEFKEYYIQMQAAKRSQTPLLSQVNDDHIILDKTVADQISIWKKNKGLSDKVFVDHACSGETCTYYQIGDVFVCEKTGLVHGHCFDRMLSPSEIEPDTEQQQGGGTDEAEPFMGSGRFARAYLLGYNCDDEKELEAALRFC >itb06g19310.t3 pep chromosome:ASM357664v1:6:22665585:22669505:1 gene:itb06g19310 transcript:itb06g19310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MAASDDEDESLAQFLESEVLAFSDQDQGNASNEEEEKGGRESKHMRVEDYEEENEDLVDEEEGRVLKKMRVVKDGGEGEIEGKEKRSNTGVSNTFKDIKNGASTSSNTLRVPKRIDTGVLSKIPPELLHHILKFLSSEDLVSCSLACKFLNYAASDESLWRRLYCMRWGLRPPKRPRESAWKKMYIQRDAEDMGDFVRNCPSEFKEYYIQMQAAKRSQTPLLSQVNQNECSLELLLSSLIK >itb11g14900.t1 pep chromosome:ASM357664v1:11:12248833:12251795:-1 gene:itb11g14900 transcript:itb11g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFETSETHGQHPPIAYIHIYESNKFSIGIFCLPPSGIIPLHNHPGMTVFSKLLFGSMQAKSYDWVERVATCNKDNGKNLQQGLFPQLARVHVEKELTAPCEASVLFPAAGGNLHCFKAITACAILDVLGPPYSESEGRRCTYYQDSPFPRFSDIVELEADEDVKSYVWLEEREKPEEFVVYGAHYTGPTIVGIRD >itb11g03140.t1 pep chromosome:ASM357664v1:11:1619828:1622184:1 gene:itb11g03140 transcript:itb11g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPYKSFTFSSASSSSSFPFPKPSQLCLHSAKRSHRHFKVSCTAADGGESQPKLDRRDVILGLGGLYGAASLITTPLAAVADPIQAPEVSKCVVPPADLPPNALVDNCCPPVASNVVDYKVPFTSPAAMRVRPAAHRMDRAYAAKFEKAIALMKALPADDPRNFYQQANVHCAYCNGGYVQVGFPDKEIQVHNSWLFFPFHRWYLYFYERILGKLIGDPTFALPFWNWDTQEGMVIPSVFTANPNSSLYNENRNQSHLPPTVVDLGYDGKDTPATDEDRISNNLFLVYKNMVSNAGTAEMFLGKPYRAGDAPNSNKEGMGPGNIESVPHTPIHRWVGDVKPRTQNGEDLGNFYSAGRDVLFYCHHANVDRMWTIWQQLGGAGKGRRRGRDFPDSDWLDSTFIFYDENAQAVRVRVGDALDNQKLGYKYEFTKLPWLDSKPKPVPATKRGLAARSTAPFVTSVFPVTLDKVVQVKVARPRKSRSKEEKEAEEEILLIEGIEVAMDEYAKFDVYLNDEDEPGAGKLKAEYAGSFASLPHKRKGSMKIRASLSLGLNEPLEDLGAEDDDAVLVTLAPKVGGGVVTVDNIKIVYGS >itb11g03140.t2 pep chromosome:ASM357664v1:11:1619828:1622184:1 gene:itb11g03140 transcript:itb11g03140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPYKSFTFSSASSSSSFPFPKPSQLCLHSAKRSHRHFKVSCTAADGGESQPKLDRRDVILGLGGLYGAASLITTPLAAVADPIQAPEVSKCVVPPADLPPNALVDNCCPPVASNVVDYKVPFTSPAAMRVRPAAHRMDRAYAAKFEKAIALMKALPADDPRNFYQQANVHCAYCNGGYVQVGFPDKEIQVHNSWLFFPFHRWYLYFYERILGKLIGDPTFALPFWNWDTQEGMVIPSVFTANPNSSLYNENRNQSHLPPTVVDLGYDGKDTPATDEDRISNNLFLVYKNMVSNAGTAEMFLGKPYRAGDAPNSNKEGMGPGNIESVPHTPIHRWVGDVKPRTQNGEDLGNFYSAGRDVLFYCHHANVDRMWTIWQQLGGAGKGRRRGRDFPDSDWLDSTFIFYDENAQAVRVRVGDALDNQKLGV >itb05g02390.t1 pep chromosome:ASM357664v1:5:1903022:1905792:-1 gene:itb05g02390 transcript:itb05g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPEVRHDVPLIPVVGDAFCFPYLVDLTVKKGILGVTGLRFDVSDDNGGSLLGVHGKLWQFRRKKRTISDPSGFPILTMRSKALALRNVWSIYKGESSDENDLIYTVQESKVLQMKIRLDVFMAGNRGEICNFHVKGSYTSQNFKVYKGDTLIAEVKEKVKIGYFFKGIEKFDVRVYPGADYAFVVSLLVILNDIDGGS >itb03g30370.t1 pep chromosome:ASM357664v1:3:32538931:32539718:-1 gene:itb03g30370 transcript:itb03g30370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSHAAAAETGVGSRSEATAAAAALGVDSGGSGAPQTWRRRQWRGQQIWSRRRGWWRLRLQVDGVAGGDSDSRWAAWWRYGILIEEEADGVAAGGVTAW >itb02g22190.t16 pep chromosome:ASM357664v1:2:21406842:21409595:1 gene:itb02g22190 transcript:itb02g22190.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t4 pep chromosome:ASM357664v1:2:21405700:21409560:1 gene:itb02g22190 transcript:itb02g22190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t17 pep chromosome:ASM357664v1:2:21406842:21409595:1 gene:itb02g22190 transcript:itb02g22190.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t12 pep chromosome:ASM357664v1:2:21405720:21409595:1 gene:itb02g22190 transcript:itb02g22190.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t7 pep chromosome:ASM357664v1:2:21405700:21409560:1 gene:itb02g22190 transcript:itb02g22190.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t1 pep chromosome:ASM357664v1:2:21405710:21409533:1 gene:itb02g22190 transcript:itb02g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t9 pep chromosome:ASM357664v1:2:21405700:21409591:1 gene:itb02g22190 transcript:itb02g22190.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t11 pep chromosome:ASM357664v1:2:21405700:21409591:1 gene:itb02g22190 transcript:itb02g22190.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t10 pep chromosome:ASM357664v1:2:21405700:21409591:1 gene:itb02g22190 transcript:itb02g22190.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t19 pep chromosome:ASM357664v1:2:21405720:21409595:1 gene:itb02g22190 transcript:itb02g22190.t19 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t14 pep chromosome:ASM357664v1:2:21405720:21409595:1 gene:itb02g22190 transcript:itb02g22190.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t5 pep chromosome:ASM357664v1:2:21405700:21409560:1 gene:itb02g22190 transcript:itb02g22190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t8 pep chromosome:ASM357664v1:2:21405700:21409591:1 gene:itb02g22190 transcript:itb02g22190.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t6 pep chromosome:ASM357664v1:2:21405700:21409591:1 gene:itb02g22190 transcript:itb02g22190.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t13 pep chromosome:ASM357664v1:2:21405720:21409595:1 gene:itb02g22190 transcript:itb02g22190.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t15 pep chromosome:ASM357664v1:2:21405720:21409595:1 gene:itb02g22190 transcript:itb02g22190.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVRVAANQRGRLSSALQLPWLLTHSATLNSQSIHSTLSSPESHHFNPDYQMLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t18 pep chromosome:ASM357664v1:2:21405720:21409595:1 gene:itb02g22190 transcript:itb02g22190.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t2 pep chromosome:ASM357664v1:2:21405710:21409533:1 gene:itb02g22190 transcript:itb02g22190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t3 pep chromosome:ASM357664v1:2:21405700:21409591:1 gene:itb02g22190 transcript:itb02g22190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb02g22190.t20 pep chromosome:ASM357664v1:2:21406842:21409595:1 gene:itb02g22190 transcript:itb02g22190.t20 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTLKACKHSHNLRTTIGTHGRMIKLGYEMYPSLLSLLIVVYLSCECLSPARQLLSEISEWDFDVVPGNLIIAGFMKMREVDVARKLFYKMPIRDVVTWNSIIGGLVKNALYKEALSIFRKMLRSDMEPDAYTFSSLVTACARLGAIDHAKWVHNLLIERKIELNYILSSALIDMYSKCGDIETAKGIFYRVERSNVCVWNAMINGLATHGLAIEALKIFSKMEAEKVVPDSITFIALLTACSHNGLVEEGRRYFDIMKQRCLIQPELEHYGAMVDLLGRAGLLNEAYAMIKEMPMQPDAVIWRAFLSACRIHNNSELGEVASTEISHLSSGDYVLLSNIYCSIRKWDSAEELRHKMKQKGVRKRSGRSGVELGGVIHQFKAGDRSHPETSIIYKSLELLMKRTKMEGFNPATDLVLMDVSEEEKEENLNFHSEKLAVTYGILKSSPDTCIKVTKNLRICPDCHSWIKLVSKVLNRVIIVRDRIRFHHFEGGFCTCGDYW >itb09g09930.t1 pep chromosome:ASM357664v1:9:6095065:6096431:-1 gene:itb09g09930 transcript:itb09g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLKAIHSSFTLTQHPLLPTRGHFKRKNPILSFCKSNDSTDSDAPPPEGDERNQELLAKIAMLQTQKVRLTDYLDERAAYLTQFAEEANAEMNQIGENALKELDEAGARIMENIENQMQAFEESVEVNKQEIEENERQLADFEGQIEKDRNEGLFFKNLMQRKPVDKAKAKEEMEKIGKLSRESAGSKTRRNIYLALICIVGVGIVDALITSPSNWQKSTILGIILVGLLSQYVYEQTMISETEITRKQKSGEEKK >itb04g14750.t1 pep chromosome:ASM357664v1:4:15439049:15443157:-1 gene:itb04g14750 transcript:itb04g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDECSERKRLYNEVIELKGNIRVFCRCRPLNSEEFANGSTSVVDFDMSRGNEIQIVSSDSSRKQFRFDHVFKPEDGQEAVFVETIPIVTCNLSSERSSIMKYELFVSMLEVYNEKIRDLLVDNFSEPAKKLEVTPRRDSLDVKEEDYYTSLYNESQAQFNTYVREGTVSNNYAHIFDLLTCLRQREEIRFMVERDGSAKGIVFSQFTEFLDLIHYSLIKSGVNYVMLMGSMTMAARESAITKFTDDPECRIFLMSLKAGGVALNLTGASHVFLMDPWWNPAVERQAQE >itb14g19580.t1 pep chromosome:ASM357664v1:14:22169760:22170782:1 gene:itb14g19580 transcript:itb14g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGWNYAALIFLAMPCFFALETIASTTTEAEALLKWKSSLSVFEGFSFPLDSWSLSNLRNMCNWTGIVCNAGGVAIVSEINLPNAHLCGTLHHLNFTSFPSLTRFNITGNYFNGSIPPAIADLSDLVFLDLSNNEFDGKIPPQIGNLTELQHLDLSVNFISGVIPHQIGNLRRELEYLDLNGNDLSGVIPHQIGNLQKVWFLDIGHNNLLLDASHWSSKVKSFPMLRHFSFASGTLISFPDFILYSRNLTYLDLSLTCLNGSIPESLFTNLKKLECLHLSFNEFSEPLSPNINNLSNLKDLRLSGNQFQGEIPYSIGQLKNLPVLDIGQTQKSSGLGH >itb03g24890.t1 pep chromosome:ASM357664v1:3:23767953:23777436:-1 gene:itb03g24890 transcript:itb03g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSGNSSSPATQKPSITHIIFDMDGLLLDTEKFYTEVQEIILARYNKTFDWSLKAKMMGKKAIEAARVFVEETGISDSLTAEDFLIEREAMLQDMFPTSELMPGASRLIRHLHAKGIPICVATGTHKRHFQLKTQQHGEMFSLMHHIVLGDDPEVKQGKPSPDIFLAAANRFEDGPVVSHKVLVFEDAPSGVLAAKNAGMSVVMVPDPRLDSSYHGIADQVLSSLLDFNPSDWGLPPFDDSSS >itb13g22400.t1 pep chromosome:ASM357664v1:13:28680732:28683525:-1 gene:itb13g22400 transcript:itb13g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVGLSRPCLSLKLVSDKVGSLLCCHSSPNKYSRLDSKLETKVLEVKRNAPATLSIRSINALILKFPHFREGLKEIRSVFEQYDEDSNGAIDREELKKCLQKLQFHVGEEEIDELFRSCDVDDNKKIQFNEFIVLLCLIYLLMDAPSSDSTSKIGSPVVESTFNTIVEAFLFLDKNGDGKLHKKDVVKAFNDAFPLEKSPSHVTRTRFKEMDWDRSGKISFRKFLFAFLDWVGIDGDDDEDHMAET >itb13g26050.t1 pep chromosome:ASM357664v1:13:31393471:31395083:1 gene:itb13g26050 transcript:itb13g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSQSSSSDLSPMDSFPPDFYHLVPPEISPPDYPSSDPSVLISPPDYPSSDPSVLEFIEFSKNYLASLPFEPSKSKFCPLPCSHYKTSIDIIREESPEEYKIIIDICKLALEQYKSEELYDFESVPDGEEVDVTAVGCMQYTLKFRAMKVDGHVEMFEVTGQFMGGGNLIIKECTLLK >itb01g33180.t1 pep chromosome:ASM357664v1:1:36386434:36388417:-1 gene:itb01g33180 transcript:itb01g33180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWAIAVHGGAGVDPNLPLERQEEAKALLTRCLNLGISALRSSLPAIDVVELVVRELETDPLFNSGRGSALTEKGTVEMEASIMDGHGRRCGAVSGITKVKNPVSLARLVMDRSPHSYLAFSGAEEFAKQQGVEMAENDYFITEDNVGMLKLAKEAKAILFDYRIPLAESTCGAAAESPIQMNGLPISVYAPETVGCVVVDTQGRCAAATSTGGLMNKMTGRIGDSPIIGAGTYASELCGVSCTGEGEAILRSTLARDVAAVMEYKGLGLQEAVDFVVKKRLDEGQAGLIAVSNKGEVAYGFNCNGMFRGCATEDGFMEVGIWE >itb04g25520.t1 pep chromosome:ASM357664v1:4:30095220:30095597:1 gene:itb04g25520 transcript:itb04g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRGGKTEEVKFRGVRKRPWGKYGAEIRDSNRNGARVWLGTFDTAEEAARAYDRAAYAMRGKFAVLNFPGEYPPSSLSAAEISPSTSSPAAVAENADKQTAKEVIEIECLDDKLLEELLAGCQ >itb07g24550.t1 pep chromosome:ASM357664v1:7:28805345:28809361:1 gene:itb07g24550 transcript:itb07g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISENEGIEDKTFVVTGGLGFVGAALCLELVRRGARLVKAFDLRTQSPWSDRLRQKGVHCIQGDVTSKQSVERALCGADCVFHLASYGMSGKEMLQYGRVDEVNINGTCQILDACVQHHINRLVYVSTYNVTFGGKEIVNGNERLPYFPLDDHVDPYGRSKAIAEQLVLKNNGRCFDKETRKSMYTCAIRPAAIYGPGEERHFPRIIKFAKLGLIPFKIGSTNVKTDWVYVDNLVLALLLASIGLLDDIPGQEGPPVAAGQAYFISDGSPINSFEFLCPLLKSLDYELPTISLAVPQALLLGNFFWALYSIMNPWLSCRWLPQPFILPAEVYKVGVTHYFSYLKAKEELGYVPMVSPKEGMASTISYWQEQKRRGLDGPKILTWVLVVVGMLALFGAAFLPDFGPIPLVRALGLLFFRSMWGLRLLFVASVAAHLGEAIYAWLLAKKVDAANARGWFWQTLVLGIFSLRFLLKRAKE >itb09g26710.t1 pep chromosome:ASM357664v1:9:27422962:27423423:1 gene:itb09g26710 transcript:itb09g26710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKCITQNGGSGIVERGGLSIYPIGPSTNAARERLRERERERALQQNYTPARPRPTEEKLCSSEGHVTSTAIAHQNCLWRCREAFGNGVRAETTVSGSLASPR >itb12g17710.t1 pep chromosome:ASM357664v1:12:19873119:19873888:-1 gene:itb12g17710 transcript:itb12g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSASSNFQRERESIDNGEATPIGECRCGQQLKLITSWTNENPGRRFWLCLDNGMSKGCGFIQWFDPLMCKRSTRIIPGLLKRLNKQDEELKSLQMKLKLAVDNYWFKSWRFKVVMLV >itb12g14810.t3 pep chromosome:ASM357664v1:12:15160475:15162142:1 gene:itb12g14810 transcript:itb12g14810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTKLCLRPDIKPSIHPTREKPRRDKNRNQYPLLPGLPDDLAVACLIRVPRTEHCKLRLVCKRWNRLLAGNFYYSLRKNLGLAEEWIYIMKRDRDGKISCDAFDPVYQQWQPLPPVPKEYSEALAFGSAVLSGCHLYLFGGKDPVKGSMRRVVFYSARTNKWHRAPDMLRRRHRFGCCVINNCLYVAGGESEGVHGPISSAEVYDPHKNRWSFVSEMSTAMVPFTGVVYQGKWFLKGMGSHRQVLSEVYQPETDVWNPVFNGMVTGWRSPGATLNGHLYALDCRDGCKLRVYDEETDSWSKHIDSKMHLGNSKALEAAALLPLHGKLCIIRNNMSISLVDVSRCGNGDDEDATTEHLWETIGGRGQLKNMVTNFLSTLAGRNRPKSRIVHCQLLQA >itb12g14810.t2 pep chromosome:ASM357664v1:12:15157940:15162143:1 gene:itb12g14810 transcript:itb12g14810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHSRINNRVIQPPLVDTTACLCRVDAGLKTVAGAKKYMPGTKLCLRPDIKPSIHPTREKPRRDKNRNQYPLLPGLPDDLAVACLIRVPRTEHCKLRLVCKRWNRLLAGNFYYSLRKNLGLAEEWIYIMKRDRDGKISCDAFDPVYQQWQPLPPVPKEYSEALAFGSAVLSGCHLYLFGGKDPVKGSMRRVVFYSARTNKWHRAPDMLRRRHRFGCCVINNCLYVAGGESEGVHGPISSAEVYDPHKNRWSFVSEMSTAMVPFTGVVYQGKWFLKGMGSHRQVLSEVYQPETDVWNPVFNGMVTGWRSPGATLNGHLYALDCRDGCKLRVYDEETDSWSKHIDSKMHLGNSKALEAAALLPLHGKLCIIRNNMSISLVDVSRCGNGDDEDATTEHLWETIGGRGQLKNMVTNFLSTLAGRNRPKSRIVHCQLLQA >itb12g14810.t1 pep chromosome:ASM357664v1:12:15158188:15162143:1 gene:itb12g14810 transcript:itb12g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHSRINNRVIQPPLVDTTACLCRVDAGLKTVAGAKKYMPGTKLCLRPDIKPSIHPTREKPRRDKNRNQYPLLPGLPDDLAVACLIRVPRTEHCKLRLVCKRWNRLLAGNFYYSLRKNLGLAEEWIYIMKRDRDGKISCDAFDPVYQQWQPLPPVPKEYSEALAFGSAVLSGCHLYLFGGKDPVKGSMRRVVFYSARTNKWHRAPDMLRRRHRFGCCVINNCLYVAGGESEGVHGPISSAEVYDPHKNRWSFVSEMSTAMVPFTGVVYQGKWFLKGMGSHRQVLSEVYQPETDVWNPVFNGMVTGWRSPGATLNGHLYALDCRDGCKLRVYDEETDSWSKHIDSKMHLGNSKALEAAALLPLHGKLCIIRNNMSISLVDVSRCGNGDDEDATTEHLWETIGGRGQLKNMVTNFLSTLAGRNRPKSRIVHCQLLQA >itb04g14970.t1 pep chromosome:ASM357664v1:4:15813724:15814185:-1 gene:itb04g14970 transcript:itb04g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICHRIDGVKLKDGRVVLAYNTISRGVLKVAVSEDDGDSWHDVATLEDTSGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVFQPN >itb02g07990.t1 pep chromosome:ASM357664v1:2:5004564:5009085:1 gene:itb02g07990 transcript:itb02g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGSKRWGFGRNEEILRASAFSIRGILGSVIQNLNPDDTRPVIPLGHGDPSAFPCFRTPSEAQTAISDAVYSAKFNCYAPTIGLLPARQAVAEYLSQDLPYKLSPDDVNLTIGCTQAIEIMLAVLARPNANILLPRPGFPYYEARAAHSNIEIRHFDLLPESDWEVDLNAVEALADENTAAMVIINPGNPCGNVFKYQHLKKIAETARKLGILVIADEVYDHLTFGDNPFVPMGVFGSISPVITVGSISKRWIVPGWRLGWLVTNDTNGILKEQGVIDCITRFQNISSDPATFIQGAVPDILQKTKPDFFPKIINILREAADICYDRIKEIPCITCPSKPEGSMFVMVKLNLNLLEDIVDDFDFCLKLAKEESVIIMPGFGVGLKNWLRITFAIEAPSLEDGLARLKAFYQRHAKKL >itb13g20180.t1 pep chromosome:ASM357664v1:13:26995691:26998079:-1 gene:itb13g20180 transcript:itb13g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPMDDGSFRARVHKVFGSLSSSPSPSMSPALKPLWSLTDEEVEKREWNRSKGKDDDETICSSSLDGLFKRNRRGARPKGFGDDLEDLSGDEDGNRKEDLSGDEDGESRDVREIRSSIGLDKTLDNEEEEDEYDRLAEGKGKARSCFRKDPRADQIAAQVRLKEDAAEAAKYEPNFSDTEVSGEKHESKATSDDCSKLRPILKRKGVGEGRSKKRVRFDPSFVDESEDAPERGTAFSDASSTEDTKARDSDSLFDENKPKVPDHLINPSKYTRYSLDSCGEVDDESNSVACRYVLEEVKKWKNNLQTEEPSSTLPKSVSFVPKKKTNSAIVMEDATEDASKEILNQPSFPVGIAAWEAQRGDSAPEEDDMQIDTSDDTGVCKPGRHYRSKSRVEDPVT >itb05g09290.t2 pep chromosome:ASM357664v1:5:13532533:13538661:-1 gene:itb05g09290 transcript:itb05g09290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTLSSSTQINLCVLAVFIFTFPLYVYVESQSQTSSIISERSILLDIKKHFSNPPNISHWTSSSDHCTWPEITCRNGVVTGIQLGGLLINNDTSTIPSFICDLKNLTLLDLNGNNIPGPFPKILYNCSSLENLDLSFNNFSGIIPDDISKLSPQLKVLNLSSNGFMGSIPAGIGGLKGLKELQLAGVVSNGSFPSEIGNLLNLEFLVLSWNSFSPQEIPPSFTQLKKLRIFWMQQANLIGKIPKNMSAMEALEYLDLNTNDLSGNIPNDLFLLKNLTTIFLYKNRLSGPIPRPVMALNLKTIDFSNNSLTGSIPEDLGNLAKLEGLALFMNQLSGQIPVGLGRLPALSTVKLFKNNLSGELPPDFGRFSMLQIFDVSTNHLTGSLPDGLCDNKMLSGMFVFDNNLTGGLPKSLEDCNTLSGVRVERNNLSGTIPDGLWTARNLARLLINNNQFTGQLPQKVASNLSLVDISNNRFSGEIPPEISSWSKLDTFRASNNLLTGKIPQELTALSSLSVLKLDGNKLFGNFPKNIISWKSLSTLTCSRNQLSGTIPPALGLLPNLYQLDLSENQFSGEIPPEIGRKPISLNLSSNHFSGKIPDQFEGAAFQRSFLNNPGLCATMPSIGLRDCGAKSEKSNKISAKVIAILGSTVAFLFVVIILYMVYLFRSYKKRERALLARDWKLKSFHTLSFNQSNIIPNLREENVVGSGGSGKVYVVPLSNGEKVAVKRIWSKHKLDEMLEKEFQAEVQILGTIRHSNIVKLWCYISSEESNLLVYEYMENRSLDLWLHAKRRPPGEFLDWPTRLRIAIGAAQGLSYMHHDCSQPIVHRDMKSSNVLLDSVFNAKIADFGLARTLIKHGDPNIVSTVAGSFGYIAPGKSLYISRL >itb05g09290.t1 pep chromosome:ASM357664v1:5:13532533:13538661:-1 gene:itb05g09290 transcript:itb05g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTLSSSTQINLCVLAVFIFTFPLYVYVESQSQTSSIISERSILLDIKKHFSNPPNISHWTSSSDHCTWPEITCRNGVVTGIQLGGLLINNDTSTIPSFICDLKNLTLLDLNGNNIPGPFPKILYNCSSLENLDLSFNNFSGIIPDDISKLSPQLKVLNLSSNGFMGSIPAGIGGLKGLKELQLAGVVSNGSFPSEIGNLLNLEFLVLSWNSFSPQEIPPSFTQLKKLRIFWMQQANLIGKIPKNMSAMEALEYLDLNTNDLSGNIPNDLFLLKNLTTIFLYKNRLSGPIPRPVMALNLKTIDFSNNSLTGSIPEDLGNLAKLEGLALFMNQLSGQIPVGLGRLPALSTVKLFKNNLSGELPPDFGRFSMLQIFDVSTNHLTGSLPDGLCDNKMLSGMFVFDNNLTGGLPKSLEDCNTLSGVRVERNNLSGTIPDGLWTARNLARLLINNNQFTGQLPQKVASNLSLVDISNNRFSGEIPPEISSWSKLDTFRASNNLLTGKIPQELTALSSLSVLKLDGNKLFGNFPKNIISWKSLSTLTCSRNQLSGTIPPALGLLPNLYQLDLSENQFSGEIPPEIGRKPISLNLSSNHFSGKIPDQFEGAAFQRSFLNNPGLCATMPSIGLRDCGAKSEKSNKISAKVIAILGSTVAFLFVVIILYMVYLFRSYKKRERALLARDWKLKSFHTLSFNQSNIIPNLREENVVGSGGSGKVYVVPLSNGEKVAVKRIWSKHKLDEMLEKEFQAEVQILGTIRHSNIVKLWCYISSEESNLLVYEYMENRSLDLWLHAKRRPPGEFLDWPTRLRIAIGAAQGLSYMHHDCSQPIVHRDMKSSNVLLDSVFNAKIADFGLARTLIKHGDPNIVSTVAGSFGYIAPEYAHTRKVNEKIDVYSFGVILLELVTGREPNDGDMDWCLVDWVRYYVQEGNPIEDALDEEIKEAENIDEMCGVFRLGIFCTGANPAKRPSMREVLRFLLDCSSQSANGKEMSVSERDVSPLLKCSSSEGILEDGDDGLKPGQL >itb12g26560.t1 pep chromosome:ASM357664v1:12:27402610:27404882:-1 gene:itb12g26560 transcript:itb12g26560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESIECVSSSDGVVEEEEIPSSQRPHPHHHHHHQQYNSAAKPPQSNLLPAAIAPTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKAKVHNRCPTCRQELGDIRCLALEKVAESLELPCRYFSLGCPEIFPYYSKLKHETICNFRPYNCPYAGSECSVTGDIPYLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFVRFMGDETEARNYSYSLEVGGSGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGVCIPNICSS >itb13g15750.t1 pep chromosome:ASM357664v1:13:22660565:22680483:-1 gene:itb13g15750 transcript:itb13g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIEEAIAALSTFSLEDDQPEVQGPGFWVSVEGGATSSPIEYSDVSAYRLSLSEDTKAINQLDALIQEGKEITSVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERRINGPTITHLWSMLKLLDILIQLDHLKNAKASIPNDFSWYKRTFTQVSVQWQDTDSMREELDDLQIFLSTRWTILLNLHVEMFRVNNVEDILQILIVFIVESLELNFALLFPERHVLLRVLPVLVVLAASSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPAAILKELSTYFPKFSAQTRLLTLPSTHELPPREAQDYQRQYLIVNHIVAIRAEHDDFTIRFASAMNQLVLLKSIEGADIEWVKEVKGNMYDMVVEGLQLLSRWTARIWEQSAWKFSRPCKDPVPTELHEPAGSFSDYEKVVRYNYSAEERKALTELVSYIKSIGSMMQKADTLVVDALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSRPESDVHSFQHAGEESRGNFFYPRPVAPTAAQVHCLQFLIYEVVSGGNMRKPGGLFGNSGSEIPVNDLKQLETFFYKLGFFLHVLDYTVTLGAITDLGFLWFREFYLESSRVIQFPIECSLPWMLVDYVIESQNAGLLESILMPFDIYNDAAQQALVVLKQRFLYDEIEAEVDNCFDIFVSKLCDTIFTYYKSWAASELLDPTFLFAIDAGEKFSFQPMKFNSLLKITRVKLLGRTINLRSLIAERMNKVFRDNIEFLFDRFESQDMCAIVELEKLLDILQYAHELLSKDLALDSFNLMINEMQENISLVSYSSRLASQIWTEMQNDFLPNFILCNTTQRFVRSSRVPSAPVQKPSVPYAKPNFYCGNPDLNSAYQSFARLYCGFFGIPHMYSIVKLLGSRSLPWLIRALLDHVSNKITTIEPMIIGLQEALPKSIGLLPFDGGVAGCMRIVKDLLNCWQSKSELKAEILCGIKEIGSVLYWMGLLDIVLREVDTCHFMQTAPWLGLIPGTDGQILQSQEGGDSPMVMLFKSATAATVSTPSCLSPTSFSTISKQAEAADLLYKANINTGSVLEYALAFTSAALDKYCTKWSAAPKTGFIDITTSKDFYRIFSGLQIEYLEESVLLQSSSHEMLGDSVAWGGCTIIYLLGQQLHFELFDFSHQVLNVAEVEAVAIISPQKGPPFVQGLDSLLEAMKKARRLNNHVFSMLKARCPLEDKQACAIKQSGAPLHRIKFENTVSAFETLPQKGA >itb01g01520.t2 pep chromosome:ASM357664v1:1:880020:883916:-1 gene:itb01g01520 transcript:itb01g01520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQDFREAAENYTDGSPIGLGNSSINPDEEETLDEFANASGSDFDISTSFDDEVDIQSHMGTDWPGR >itb01g01520.t4 pep chromosome:ASM357664v1:1:880020:883916:-1 gene:itb01g01520 transcript:itb01g01520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQDFREAAENYTDGSPIGLGNSSINPDEEVIVIITFPSKILEFKKKN >itb01g01520.t8 pep chromosome:ASM357664v1:1:880020:883916:-1 gene:itb01g01520 transcript:itb01g01520.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPLLQIRSNCYLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQDFREAAENYTDGSPIGLGNSSINPDEEETLDEFANASGSDFDISTSFDDEVDIQSHMGTDWPGRLLKVILLGEIFVLYSNVNVTNTTCTPYFMNNTGQF >itb01g01520.t6 pep chromosome:ASM357664v1:1:880929:883916:-1 gene:itb01g01520 transcript:itb01g01520.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVFVDRLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQVVFLIAIK >itb01g01520.t7 pep chromosome:ASM357664v1:1:880929:883724:-1 gene:itb01g01520 transcript:itb01g01520.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQVVFLIAIK >itb01g01520.t5 pep chromosome:ASM357664v1:1:880021:883916:-1 gene:itb01g01520 transcript:itb01g01520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQDFREAAENYTDGSPIGLGNSSINPDEEVIVIITFPSKILEFKKKN >itb01g01520.t3 pep chromosome:ASM357664v1:1:880020:883916:-1 gene:itb01g01520 transcript:itb01g01520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQDFREAAENYTDGSPIGLGNSSINPDEEVIVIITFPSKILEFKKKN >itb01g01520.t1 pep chromosome:ASM357664v1:1:880020:883916:-1 gene:itb01g01520 transcript:itb01g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMEAGNLTSLQPRSYDVPCRREFANVPVGADDVSGRFGADDVSGGGCEDMRGGAELVQVNSAAPPPACSVDGGGVISSFPIRPSELTVSFEGQIYVFPAVTPDKLQAVLLLLGGIETPEDVPSTEFQSQQCNKVLEDASPLAVCASTFPNVPRRIASLMRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASMKESDKTSVDIFESRDGMPGSEPVLRSCQHCGVSENGTPAMRRGPAGPRTLCNACGLVWANKGILRDISKGGKNIAFSKSDPGNPDIKLCTIESENSNQNPDGEGSPEEMKPMLMGNENPFIGPADQDFREAAENYTDGSPIGLGNSSINPDEEETLDEFANASGSDFDISTSFDDEVDIQSHMGTDWPGRLLKVILLGEIFVLYSNVNVTNTTCTPYFMNNTGQF >itb04g25730.t1 pep chromosome:ASM357664v1:4:30255140:30256881:-1 gene:itb04g25730 transcript:itb04g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGGSSGNRIIRDGTRWEYSSFHGVHLRNVCYLGLDNNYLEGTVPVEFGALEFVNVLNLENNNLSGKVPFSAEFALRVGEKLKLHGNALLCVDEGLRSAKVISSLGNLKLCTRHYTPKSAPLSNSSAVLICFDLIFISFVRLPCDFRAPTTLVRKKPSKNAFRKLRNRFPHAAFFTSTTALRSVELPYHSIDHQPKTLGFLNSLEFSAFIPTMPKLKEVYLSRNKLGGGNSENMRKHGGSSGNRIIRDGTRWEYSSFHGVHLRNVCYLGLDNNYLEGTVSAEFGALEFVNELNLENNNLSGKVLFSAEFVLKFSWGTFEKCVLFWVGQQLFGRNSSGEFGALEFVNELNLENNNLSGKISFSVKFALRVGEKLKLHGNPPPCVDEGLRSTKVSGSLKNLKLCT >itb14g19730.t6 pep chromosome:ASM357664v1:14:22314666:22318846:1 gene:itb14g19730 transcript:itb14g19730.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MHDHRVPPGFVSLTSFSLEKAENSEDSMLHEKEAQKSSGQIDSTPGTVNVDLLKVSARRNRSWIIEDHTEHLEKGYDTGDDVESLSSRAFLPKGVIRGCPSCANCQKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWVRRRNMELEHIFS >itb14g19730.t9 pep chromosome:ASM357664v1:14:22314989:22318851:1 gene:itb14g19730 transcript:itb14g19730.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MCCEFILCLPFSLNNFKKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIATQISPSTLISEGIPVYRCVQYPKEFVIVLPGSYHSEFDCGFNCSETVNFAPFDWLPYGQLAVEQYSELRRKTSISYDKLLLRAVGEAIEALWKDSFMKEPSNNLQWKSVCGKDGILTKALKVTF >itb14g19730.t2 pep chromosome:ASM357664v1:14:22314666:22318851:1 gene:itb14g19730 transcript:itb14g19730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MHDHRVPPGFVSLTSFSLEKAENSEDSMLHEKEAQKSSGQIDSTPGTVNVDLLKVSARRNRSWIIEDHTEHLEKGYDTGDDVESLSSRAFLPKGVIRGCPSCANCQKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIATQISPSTLISEGIPVYRCVQYPKEFVIVLPGSYHSEFDCGFNCSETVNFAPFDWLPYGQLAVEQYSELRRKTSISYDKLLLRAVGEAIEALWKDSFMKEPSNNLQWKSVCGKDGILTKALKVTF >itb14g19730.t10 pep chromosome:ASM357664v1:14:22314666:22317135:1 gene:itb14g19730 transcript:itb14g19730.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MHDHRVPPGFVSLTSFSLEKAENSEDSMLHEKEAQKSSGQIDSTPGTVNVDLLKVSARRNRSWIIEDHTEHLEKGYDTGDDVESLSSRAFLPKGVIRGCPSCANCQKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIVSHIPYVF >itb14g19730.t3 pep chromosome:ASM357664v1:14:22314666:22317135:1 gene:itb14g19730 transcript:itb14g19730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIVSHIPYVF >itb14g19730.t11 pep chromosome:ASM357664v1:14:22314666:22318846:1 gene:itb14g19730 transcript:itb14g19730.t11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIRVYLFIVVYNIQRSLSLFYLDHTTQNLIVVLTVLRR >itb14g19730.t4 pep chromosome:ASM357664v1:14:22314666:22318846:1 gene:itb14g19730 transcript:itb14g19730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MHDHRVPPGFVSLTSFSLEKAENSEDSMLHEKEAQKSSGQIDSTPGTVNVDLLKVSARRNRSWIIEDHTEHLEKGYDTGDDVESLSSRAFLPKGVIRGCPSCANCQKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIRVYLFIVVYNIQRSLSLFYLDHTTQNLIVVLTVLRR >itb14g19730.t7 pep chromosome:ASM357664v1:14:22314666:22318846:1 gene:itb14g19730 transcript:itb14g19730.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MHDHRVPPGFVSLTSFSLEKAENSEDSMLHEKEAQKSSGQIDSTPGTVNVDLLKVSARRNRSWIIEDHTEHLEKGYDTGDDVESLSSRAFLPKGVIRGCPSCANCQKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIRVYLFIVVYNIQRSLSLFYLDHTTQNLIVVLTVLRR >itb14g19730.t12 pep chromosome:ASM357664v1:14:22314666:22317245:1 gene:itb14g19730 transcript:itb14g19730.t12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MCCEFILCLPFSLNNFKKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIVSHIPYVF >itb14g19730.t1 pep chromosome:ASM357664v1:14:22314666:22318851:1 gene:itb14g19730 transcript:itb14g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MHDHRVPPGFVSLTSFSLEKAENSEDSMLHEKEAQKSSGQIDSTPGTVNVDLLKVSARRNRSWIIEDHTEHLEKGYDTGDDVESLSSRAFLPKGVIRGCPSCANCQKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIATQISPSTLISEGIPVYRCVQYPKEFVIVLPGSYHSEFDCGFNCSETVNFAPFDWLPYGQLAVEQYSELRRKTSISYDKLLLRAVGEAIEALWKDSFMKEPSNNLQWKSVCGKDGILTKALKARVKSEGMRQNYLCNTFQTQVMEKDFDANTKRECVICYYDLHLSAVGCECSPGRYTCLHHTKLVCSCAWSSRFLLYRYEMSELKTMVEALEGKLSAVHRWGKEKLGLTLHSQT >itb14g19730.t8 pep chromosome:ASM357664v1:14:22314989:22318851:1 gene:itb14g19730 transcript:itb14g19730.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIATQISPSTLISEGIPVYRCVQYPKEFVIVLPGSYHSEFDCGFNCSETVNFAPFDWLPYGQLAVEQYSELRRKTSISYDKLLLRAVGEAIEALWKDSFMKEPSNNLQWKSVCGKDGILTKALKARVKSEGMRQNYLCNTFQTQVMEKDFDANTKRECVICYYDLHLSAVGCECSPGRYTCLHHTKLVCSCAWSSRFLLYRYEMSELKTMVEALEGKLSAVHRWGKEKLGLTLHSQT >itb14g19730.t5 pep chromosome:ASM357664v1:14:22314989:22318851:1 gene:itb14g19730 transcript:itb14g19730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive lysine-specific demethylase JMJ19 [Source:Projected from Arabidopsis thaliana (AT2G38950) UniProtKB/Swiss-Prot;Acc:Q8L7T6] MCCEFILCLPFSLNNFKKVVARWRAEESCLPLLEEAPVFHPTEEEFEDTLNYVASILPRVEHYGVCRIVPPTSWEPPSLIEEKATWETSKFVTQIQQIDELGDLFLRKRFHRAHLEMRSKRRISSSSVQELCDDYRNEPDGVDCLSDIFEFESGPEFTLQTFNNYADDFKSQYFSKRDAAIASSALTEPWEPSIQSIEGEYWRIIEKPTDKLEVLRGAGANIDARVHRNEFPAKFSRLNTPKTPLYVKSGWNLNNTSMLQGSLLRYDSCSTSSILYPQVTVGMCFSSNFWRIEEHHLYSLCYMHLGAPKMWYVIPPQYSFKFEEFVKKRYPELSEHPWLLLNIATQISPSTLISEGIPVYRCVQYPKEFVIVLPGSYHSEFDCGFNCSETVNFAPFDWLPYGQLAVEQYSELRRKTSISYDKLLLRAVGEAIEALWKDSFMKEPSNNLQWKSVCGKDGILTKALKARVKSEGMRQNYLCNTFQTQVMEKDFDANTKRECVICYYDLHLSAVGCECSPGRYTCLHHTKLVCSCAWSSRFLLYRYEMSELKTMVEALEGKLSAVHRWGKEKLGLTLHSQT >itb03g08090.t3 pep chromosome:ASM357664v1:3:6059055:6063152:-1 gene:itb03g08090 transcript:itb03g08090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGDSVAEQAKDNKGTKQKQRNNCPGVRVVGGRIYDSRNGKTCHQCRQKTMDYMSGCKNVKNNKPCVIQFCHKCLLNRYGEKAEEVSTLENWNCPKCRGICNCSCCMKKRGFQPTGILVHTAKATGFTSVSEMLQVKGPGNIDHEKALNKKDAPTKETDASDKGAEVVSPTKRGKENIFNGSIDSNTQPLISSNIPPKQESRKMKRQEYEKTQKGHCSSGNDDVSSTAIDTSPKKKKINKMKQEGIPKTKNPNEEGSQKNEIHGECKRKRKSENMKKQVSSEMPDEATGKDIGDGTDLDIKNENLNPVIPLPEGIGLTAVAGADLPQEDIGDALQFLEFCAAFGKVLDLKKGQGEAVLRDLVQGRATRRGKSNVTAQFLVNLLLVIEEDLGEESSTLNCTDGTDAWFKYLKELVSESLTVAKNMGLDSLNDAADGFDSLSPSIKLKLLNFVCDEVLLTAKVRNWMDDENTRCAEKAKELKVKVSAAKEEEKRLKQKLQDNIAEKIISKNGAPITVSEHDAIVAQVKRETAEAHAAVLESKGLWLKCNQKADAVRTEPIYVDSNGQVLWRLKSYSEKSEILLQEVVGAGDDASHEKWSTFDVEQKEIVEKRIDSFR >itb03g08090.t4 pep chromosome:ASM357664v1:3:6059055:6063152:-1 gene:itb03g08090 transcript:itb03g08090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGDSVAEQAKDNKGTKQKQRNNCPGVRVVGGRIYDSRNGKTCHQCRQKTMDYMSGCKNVKNNKPCVIQFCHKCLLNRYGEKAEEVSTLENWNCPKCRGICNCSCCMKKRGFQPTGILVHTAKATGFTSVSEMLQVKGPGNIDHEKALNKKDAPTKETDASDKESRKMKRQEYEKTQKGHCSSGNDDVSSTAIDTSPKKKKINKMKQEGIPKTKNPNEEGSQKNEIHGECKRKRKSENMKKQVSSEMPDEATGKDIGDGTDLDIKNENLNPVIPLPEGIGLTAVAGADLPQEDIGDALQFLEFCAAFGKVLDLKKGQGEAVLRDLVQGRATRRGKSNVTAQFLVNLLLVIEEDLGEESSTLNCTDGTDAWFKYLKELVSESLTVAKNMGLDSLNDAADGFDSLSPSIKLKLLNFVCDEVLLTAKVRNWMDDENTRCAEKAKELKVKVSAAKEEEKRLKQKLQDNIAEKIISKNGAPITVSEHDAIVAQVKRETAEAHAAVLESKGLWLKCNQKADAVRTEPIYVDSNGQVLWRLKSYSEKSEILLQEVVGAGDDASHEKWSTFDVEQKEIVEKRIDSFR >itb03g08090.t2 pep chromosome:ASM357664v1:3:6058674:6063152:-1 gene:itb03g08090 transcript:itb03g08090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGDSVAEQAKDNKGTKQKQRNNCPGVRVVGGRIYDSRNGKTCHQCRQKTMDYMSGCKNVKNNKPCVIQFCHKCLLNRYGEKAEEVSTLENWNCPKCRGICNCSCCMKKRGFQPTGILVHTAKATGFTSVSEMLQVKGPGNIDHEKALNKKDAPTKETDASDKESRKMKRQEYEKTQKGHCSSGNDDVSSTAIDTSPKKKKINKMKQEGIPKTKNPNEEGSQKNEIHGECKRKRKSENMKKQVSSEMPDEATGKDIGDGTDLDIKNENLNPVIPLPEGIGLTAVAGADLPQEDIGDALQFLEFCAAFGKVLDLKKGQGEAVLRDLVQGRATRRGKSNVTAQFLVNLLLVIEEDLGEESSTLNCTDGTDAWFKYLKELVSESLTVAKNMGLDSLNDAADGFDSLSPSIKLKLLNFVCDEVLLTAKVRNWMDDENTRCAEKAKELKVKVSAAKEEEKRLKQKLQDNIAEKIISKNGAPITVSEHDAIVAQVKRETAEAHAAVLESKGLWLKCNQKADAVRTEPIYVDSNGQVLWRLKSYSEKSEILLQEVVGAGDDASHEKWSTFDVEQKEIVEKRIDSFRHKRIRGSA >itb03g08090.t1 pep chromosome:ASM357664v1:3:6058674:6063152:-1 gene:itb03g08090 transcript:itb03g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGDSVAEQAKDNKGTKQKQRNNCPGVRVVGGRIYDSRNGKTCHQCRQKTMDYMSGCKNVKNNKPCVIQFCHKCLLNRYGEKAEEVSTLENWNCPKCRGICNCSCCMKKRGFQPTGILVHTAKATGFTSVSEMLQVKGPGNIDHEKALNKKDAPTKETDASDKGAEVVSPTKRGKENIFNGSIDSNTQPLISSNIPPKQESRKMKRQEYEKTQKGHCSSGNDDVSSTAIDTSPKKKKINKMKQEGIPKTKNPNEEGSQKNEIHGECKRKRKSENMKKQVSSEMPDEATGKDIGDGTDLDIKNENLNPVIPLPEGIGLTAVAGADLPQEDIGDALQFLEFCAAFGKVLDLKKGQGEAVLRDLVQGRATRRGKSNVTAQFLVNLLLVIEEDLGEESSTLNCTDGTDAWFKYLKELVSESLTVAKNMGLDSLNDAADGFDSLSPSIKLKLLNFVCDEVLLTAKVRNWMDDENTRCAEKAKELKVKVSAAKEEEKRLKQKLQDNIAEKIISKNGAPITVSEHDAIVAQVKRETAEAHAAVLESKGLWLKCNQKADAVRTEPIYVDSNGQVLWRLKSYSEKSEILLQEVVGAGDDASHEKWSTFDVEQKEIVEKRIDSFRHKRIRGSA >itb12g25090.t1 pep chromosome:ASM357664v1:12:26379111:26380707:1 gene:itb12g25090 transcript:itb12g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQAVKSTKPGLEEPQEQVHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >itb12g25090.t2 pep chromosome:ASM357664v1:12:26379111:26380635:1 gene:itb12g25090 transcript:itb12g25090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQAVKSTKPGLEEPQEQVHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >itb15g05970.t1 pep chromosome:ASM357664v1:15:3922255:3924081:-1 gene:itb15g05970 transcript:itb15g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKQKMSDYLPEELWVQVLVRFPVKSLLRCTAVCRSWKALIESPYFISAQLRFKRQESQSQNSCFLVRDCIASRKENYEFYRDDRHSLVKFGEIESPFSVLGRHFEIVGTCDGLLCLSDEDRRCDCSVILWNPSVRKSVCLPSANLRSSRGNVKHSLGFGFDPVSNDYKVVRVVSVVNGGCCAEIFRLSTHMWENIRDSTIAKYDFLKPEQAYLDGMVYWLGKIDETKERKMVSFDLSKERFEEMELPENLAWRGNFADGNVCVGIYMGSLAVIVVGSWECCIWIMKESWTKQVSFGCHRNFGWHFGFRENGDIQFMQAFGRWDSFNPTTLKSKFLELRPFTSSYLLRSSFYGTPYVESVVFLDKGCEFNDSATGSSVSRQELLLLFSGESDAEHSRKSDSEQSSEIERESHTEQSSERESDTEDNIE >itb13g23570.t1 pep chromosome:ASM357664v1:13:29520270:29524407:-1 gene:itb13g23570 transcript:itb13g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTSVDGGEVPKGDNSGHQVGELGSPLMGAGSVSARNMAEKSPSKDMIFRADKVDLKSLDVQLEKHLSRVWSRSVEHQVQRPKEAWEIDPSKLEIRYLIAQGTYGTVYRGTYDTQDVAVKLLDWGEDGMATAAETAALRASFQQEVAVWQKLDHPNVTSFVGASMGTSNLKIPSKNPSEGYTTLPSRSCCVVVEFLAGGTLKNLLYKNRKKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKAENMLLDTSRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGVCLWEIYCCDLPYPDLSFAEVSSAVVRQNLRPEIPRCCPSSLASIMKKCWDANPDKRPEMDEVVRLIEAIDTSKGGGMIPEDQAGGCFCFAPTRGP >itb13g23570.t2 pep chromosome:ASM357664v1:13:29520270:29524407:-1 gene:itb13g23570 transcript:itb13g23570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTSVDGGEVPKGDNSGHQVGELGSPLMGAGSVSARNMAEKSPSKDMIFRADKVDLKSLDVQLEKHLSRVWSRSVEHQVQRPKEAWEIDPSKLEIRYLIAQGTYGTVYRGTYDTQDVAVKLLDWGEDGMATAAETAALRASFQQEVAVWQKLDHPNVTSFVGASMGTSNLKIPSKNPSEGYTTLPSRSCCVVVEFLAGGTLKNLLYKNRKKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKAENMLLDTSRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGVCLWEIYCCDLPYPDLSFAEVSSAVVRQNLRPEIPRCCPSSLASIMKKCWDANPDKRPEMDEVVRLIEAIDTSKGGGMIPEDQAGGCFCFAPTRGP >itb13g23570.t3 pep chromosome:ASM357664v1:13:29520270:29524204:-1 gene:itb13g23570 transcript:itb13g23570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTSVDGGEVPKGDNSGHQVGELGSPLMGAGSVSARNMAEKSPSKDMIFRADKVDLKSLDVQLEKHLSRVWSRSVEHQVQRPKEAWEIDPSKLEIRYLIAQGTYGTVYRGTYDTQDVAVKLLDWGEDGMATAAETAALRASFQQEVAVWQKLDHPNVTSFVGASMGTSNLKIPSKNPSEGYTTLPSRSCCVVVEFLAGGTLKNLLYKNRKKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKAENMLLDTSRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGVCLWEIYCCDLPYPDLSFAEVSSAVVRQNLRPEIPRCCPSSLASIMKKCWDANPDKRPEMDEVVRLIEAIDTSKGGGMIPEDQAGGCFCFAPTRGP >itb11g12750.t1 pep chromosome:ASM357664v1:11:9715153:9715509:-1 gene:itb11g12750 transcript:itb11g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKVHKNMNSWEEFDGDDEDNNDVFYAEIRRQVMQLTAEDEDEENQNTNKERGSKQKGFAVLQPGFQFNWTGVKDGSRVPQWMVELWRKENRDGGFSGTGVFIPHIVKSRRRHKPSM >itb06g20850.t1 pep chromosome:ASM357664v1:6:23652956:23654954:-1 gene:itb06g20850 transcript:itb06g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRNNSHHEQGADEQLQKVNELRTSLGPLSGRSLQYCTDACLKRYLVARSWNVDKAKKMLEETLKWRATFKPEEIRWHEVAMEGETGKLFRAGFHDRHGRTVLILRPGMQNTTSIENQMKHLVYLIENAIINLPEGQEQMAWLIDFTGWSITNNVPIKSARDTVNILQNHYPERLAVAFLYSPPRIFEAFWKIVKYFLDPITFQKVKFVYPKNKDSVELMKSYFDMDNLPTEFGGKATLNYDHEEFSRLMAQDDIKTAKWWGFEKSHTIANGLSSSEVAPEPNRLASPAEA >itb12g26360.t1 pep chromosome:ASM357664v1:12:27304448:27310632:1 gene:itb12g26360 transcript:itb12g26360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MTATALQASLIFKPLSLSSSFSSSSSSSSKRRLGLSVNPRVSRHLRLTFSCTFRPDNVNSSPDSSLSNSSDLISDTSSERPENTGLVVEDGSSGQTTNKFESDEVVGSSGAGEVEGEVKSEGLVGNVDVKSRLPIVVFLMGLFARFKTGFQRLLKSDLFKWLLFWKQEKRLELLTAEADANPNDAAKESALLAELNKHSPELVIKRFEERTHAVDSRGVAEYLRALVVTNAIAEYVPDEDSGKPSTLPLLLQELKQRASGNLDEAILNPGVSKKQPLHVVMVDRDASNTPGFVQEVINTMIFIACVGFIWFMSTTAHKYAGSLGGIGAPGVGSSSSYSPKELNKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYKAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAIGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVATDVNANAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERRTMFLSEESKKLTAYHESGHAIVALNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKKQLLARLDVCMGGRAAEELVFGQDHVTTGASSDLHTATELAQYMVSNCGMSDTIGPIHIKERPSAELQSRIDAEVVKLLREAYDRVKNLLKKHELALHTLANALLEYETLSAEEIKRILRPYREGRLSEQQRQQQQQEEELALV >itb12g26360.t2 pep chromosome:ASM357664v1:12:27304448:27310558:1 gene:itb12g26360 transcript:itb12g26360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MLTPMMRLRRVLCWLNSISTVIKRFEERTHAVDSRGVAEYLRALVVTNAIAEYVPDEDSGKPSTLPLLLQELKQRASGNLDEAILNPGVSKKQPLHVVMVDRDASNTPGFVQEVINTMIFIACVGFIWFMSTTAHKYAGSLGGIGAPGVGSSSSYSPKELNKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYKAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAIGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVATDVNANAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERRTMFLSEESKKLTAYHESGHAIVALNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKKQLLARLDVCMGGRAAEELVFGQDHVTTGASSDLHTATELAQYMVSNCGMSDTIGPIHIKERPSAELQSRIDAEVVKLLREAYDRVKNLLKKHELALHTLANALLEYETLSAEEIKRILRPYREGRLSEQQRQQQQQEEELALV >itb12g26360.t3 pep chromosome:ASM357664v1:12:27304448:27310632:1 gene:itb12g26360 transcript:itb12g26360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 11, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G53170) UniProtKB/Swiss-Prot;Acc:Q9FGM0] MTATALQASLIFKPLSLSSSFSSSSSSSSKRRLGLSVNPRVSRHLRLTFSCTFRPDNVNSSPDSSLSNSSDLISDTSSERPENTGLVVEDGSSGQTTNKFESDEVVGSSGAGEVEGEVKSEGLVGNVDVKSRLPIVVFLMGLFARFKTGFQRLLKSDLFKWLLFWKQEKRLELLTAEADANPNDAAKESALLAELNKHSPELVIKRFEERTHAVDSRGVAEYLRALVVTNAIAEYVPDEDSGKPSTLPLLLQELKQRASGNLDEAILNPGVSKKQPLHVVMVDRDASNTPGFVQEVINTMIFIACVGFIWFMSTTAHKYAGSLGGIGAPGVGSSSSYSPKELNKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYKAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAIGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVATDVNANAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERRTMFLSEESKKLTAYHESGHAIVALNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKKQLLARLDVCMGGRAAEELVFGQDHVTTGASSDLHTATELAQYMVSNCGMSDTIGPIHIKERPSAELQSRIDAEVCSFGS >itb14g05640.t1 pep chromosome:ASM357664v1:14:4912282:4913284:-1 gene:itb14g05640 transcript:itb14g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTLLKFHPSDTLKEGDVIAYRLLKLSSNWTAELSPYHVGKVSSFNSESSRASLMPVAGYPFYSKKSGEDECIMQPDSSLYKEDGSLEIDLSSLVDVRVVKTTTFNPSKEVSIWTGAGASSNTLAVSSGSSDKQTPTFPENGEVNHEKQMHTCSRESGVNLLEQLS >itb10g15090.t1 pep chromosome:ASM357664v1:10:21369871:21373087:-1 gene:itb10g15090 transcript:itb10g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIRILRLRPNRRHENFVLFSSFSTSDHHHSNANYLDEIRKKVADSRRRFPPPPPPPTDGDQPPSSPSLRSKHISFQELYQRSFDAPKPPASSKERFDAIRNSLSKLKEKNPGVALGKTNDPLSLNKYTDSLRLRPGNLSPVEPPTVIGSDKLPTSILGKDIKGLKDGNIRPNVGQEFVRSYTYQELGERLRALSPEHKGKKNGFSLRELSDRLRKLRMIEEKESESRVGGVRKALMDVFRGIKFDDEKITRVQTVRNLDILGQLGENTDYLSHPPKENLVEKYFDPVNMSSAEKMKLELKKVRDQFKMSESDCGSARVQVAQLTTKIKHLSGVLHKKDKHSRKGLQAMVQKRKKLLKYLRRTDWDSYCLVLSSLGLRDNPDYKN >itb09g07280.t1 pep chromosome:ASM357664v1:9:4206588:4209705:1 gene:itb09g07280 transcript:itb09g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAAGQSSKAKVGASQPSEGSFKRKRGMFQKDLQHMMYGFGDDHNPLPETVALVEDIVVEYVTDMVHKAQDFATKRGRRMTEDFLFLIRKDFPKLNRCTELLSMNEELKQARKAFEVDEEKLATLE >itb11g06410.t1 pep chromosome:ASM357664v1:11:3824974:3829168:-1 gene:itb11g06410 transcript:itb11g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSIKAELSKPAADSVENGAPSDGKSSEKINTNANRHHSLLLQLLADQAGCEPGDICDFELQVCDTQPSLIGGAMKEFVFSGRLDNLCMSFCSLKALVDSTSSENSLQDETGVRMVALFDHEEVGSNSAQGAGSPVMLDALSRITSSFDSDSKLVTKAIQKSLLVSADMAHALHPNYMDKHEDNHQPKIHGGLVIKHNANQRYATNAVTSFIFREIAAKHNIPVQDFVVRNDMPCGSTIGPILASGVGI >itb14g12260.t1 pep chromosome:ASM357664v1:14:13875610:13880665:-1 gene:itb14g12260 transcript:itb14g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAGRKQGGASPCAACKLLRRRCSQDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEQWRGDAVNSMVYEANARVRDPVYGCVAAISSLQQQIELLQTQLAIAQAEMVHMRMRHFSADNTAMDSSGNAYDA >itb09g03310.t1 pep chromosome:ASM357664v1:9:1857358:1858794:1 gene:itb09g03310 transcript:itb09g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGHLCLPLAICVLEFYRRFLACFLGVMMMDCVACLRHLGLNFDFGCGFLVFGCFGDFFKLLGLLLLFGLGFKALQFSWFCKGLNNTSPCHFRGKSSECRCGFCSRDYFDWRRGSKAGACKCGLSRSLKNCNAPSKTDMLEEKTKGSDSDDEFCGANDVEEEEREERSDEDKVFDVMTLRKMVRMERTKAKSVHLELEKERMAAASAAQEAMAMILRLQNEKSMIEMESRQYKSLAEEKQLHDQEVIQSLQWLVLKHEAESSVSEDQLRLRRKRSKLFMRNYEGDHSEDEELSWSSPNVNYEDVIHCRLFSSLDLDLSPE >itb13g18220.t1 pep chromosome:ASM357664v1:13:25217837:25218226:-1 gene:itb13g18220 transcript:itb13g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSKGNLQEDSMQQPEYDRRSEVEAFEDSKAGVKGLFDAGLTKIPRIFLDKQYILENNSAADAATPQFLIPVIDFEGLGDSRRVEIVNMIRDACESWGFFQVINHGIPQDVMDKMLQSIRRFHEQDF >itb01g05740.t1 pep chromosome:ASM357664v1:1:3961444:3971127:-1 gene:itb01g05740 transcript:itb01g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSASLLTVGSPNAKRHLPSAVALSRPLSASFSLFPPPTTSVALKASRLHASDGGQVATEPGSSAAIAVQNDDALDSTVFEIRARNRIGLLQVITRVFGVLGLKIDRAVVEFEGEFFVKRFFVTDSHGGKIENDEHLDRIRKALMDAVEGGDDGAAAPAVAASGRGVVVRKAGLGLELGERKGKAERMFGLMDGFLKNDPISLQKDILDHVEYTVARSRFNFDDFEAYQALSHSVRDRLIERWHDTHQYFKKKDPKRVYFLSLEFLMGRSLSNSVINLGIQDQYADALTQLGFEFEVLAEQEGDAALGNGGLARLAACQMDSLATLDYPAWGYGLRYQYGLFRQIIMDGFQHEQPDYWLNFGNPWEIERVHVSYPVKFYGTIEEKVSNGEKFEVWVPGEIVEAVAYDNPLPGYGTRNAINLRLWAAKPSNQYDMEAFNTGDYINAVVNRQKAETISNVLYPDDRSYQGKELRLKQQYFFVSASCQDIIRRFKDLNSNFEEFPEKVALQINDTHPSLSIVEVMRVLVDEEHLPWSKAWDIVCKTFSITIHAVQPEGLERIPVDLLGSVLPRHLQIIYEINYRFMEELKNRFGQDYSRLSLMSIVEDGAVENIRMANLSVVCCHTVNGVSREHLETLKKRVFKDFYELWPQKFQYKTNGVTQRRWIVVSNPSLSSIISKWLGTEAWIRDIDLISGLRDYVSDPGLHKEWKMMKKVNKMRLAEYIETISGVKVSLEAMFDVQIKRIHEYKRQLMNILGIIHRYDCIKNMDKSERRRVVPRVCIIGGKAAPGYEIAKKIIKLCHAVADIINNDSDVGDLLKLVFIPDYNVTVAELVIPGSDLSQHISTAGHEASGTGSMKFLMNGCLLLATADGSTIEIAQEIGADNMFLFGAKADEVAALREKGATLKAPLQFARVVRYA >itb01g05740.t2 pep chromosome:ASM357664v1:1:3961444:3971127:-1 gene:itb01g05740 transcript:itb01g05740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSASLLTVGSPNAKRHLPSAVALSRPLSASFSLFPPPTTSVALKASRLHASDGGQVATEPGSSAAIAVQNDDALDSTVFEIRARNRIGLLQVITRVFGVLGLKIDRAVVEFEGEFFVKRFFVTDSHGGKIENDEHLDRIRKALMDAVEGGDDGAAAPAVAASGRGVVVRKAGLGLELGERKGKAERMFGLMDGFLKNDPISLQKDILDHVEYTVARSRFNFDDFEAYQALSHSVRDRLIERWHDTHQYFKKKDPKRVYFLSLEFLMGRSLSNSVINLGIQDQYADALTQLGFEFEVLAEQEGDAALGNGGLARLAACQMDSLATLDYPAWGYGLRYQYGLFRQIIMDGFQHEQPDYWLNFGNPWEIERVHVSYPVKFYGTIEEKVSNGEKFEVWVPGEIVEAVAYDNPLPGYGTRNAINLRLWAAKPSNQYDMEAFNTGDYINAVVNRQKAETISNVLYPDDRSYQGKELRLKQQYFFVSASCQDIIRRFKDLNSNFEEFPEKVALQINDTHPSLSIVEVMRVLVDEEHLPWSKAWDIVCKTFSITIHAVQPEGLERIPVDLLGSVLPRHLQIIYEINYRFMEELKNRFGQDYSRLSLMSIVEDGAVENIRMANLSVVCCHTVNGVSREHLETLKKRVFKDFYELWPQKFQYKTNGVTQRRWIVVSNPSLSSIISKWLGTEAWIRDIDLISGLRDYVSDPGLHKEWKMMKKVNKMRLAEYIETISGVKVSLEAMFDVQIKRIHEYKRQLMNILGIIHRYDCIKNMDKSERRRVVPRVCIIGGKAAPGYEIAKKIIKLCHAVADIINNDSDVGDLLKLVFIPDYNVTVAELVIPGSDLSQHISTAGHEASGTGSMKFLMNGCLLLATADGSTIEIAQEIGADNMFLFGAKADEVAALREKGATLKAPLQFARVVRMVRDGYFGYKDYFKPLCDTLEKDHYLHGYDFSSYLEAQAAADRAFVDEEKWTRMSILSTAGSGKFSSDINIREYAEQTWGIKPCKCPF >itb10g16440.t1 pep chromosome:ASM357664v1:10:22680812:22683792:-1 gene:itb10g16440 transcript:itb10g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLISVKTPPKARKMRITAPNGSQTRFGANPGRSEPAKPKKINSLAPRRPPSPSPLTSRAKPEAVALEEESLENPDLGPFLLKLARDTIASGEGANKALDYAFRAAKSFEKCAVDGEPSLELAMSLHVLAAIYCSLGRFEEATVVLERAVTVPDVTRGADHALAAFAGHMQLGDTHSMLGQLDRSIHCYKQGLKIQMDALGDTDPRVAETCRYLAEAHVEAMQFDEAESLCKKTLEIHSVHSCPASLEEATDRRLMALICEAKADYESALEHLVLASMAMIANGLETQVAAIDLSIGDIYLSLSRSDEAFFSYHKALTVFKSSKGDNHPSVASVFVRLANLYHTTGKPRESRFYCENALRVYAKPVPGTTAEDIASGMTQISAIYELFNEPKEALKLLDKAMRVLEGKPGQQSMVAGIEARMGVMFYVVGRHEEAMRSFKNAIAKLRGSGERKSGLFGAVLNQMGLSCLQLLKIDEAAQLFEEAREVMEQEQGTCHQDALSVYSNLTATYDAIGR >itb09g06490.t1 pep chromosome:ASM357664v1:9:3718124:3722745:-1 gene:itb09g06490 transcript:itb09g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLAIGSVKRVSKSLHKNWSSTSQDGREYGMLIKDGQHSPLYRFYQQCTHSARGYNSFTAYSIKNGLSGNFPKKYPANSANNTIAHNAQRAWKSFFQRSLSKGWTSLPISKSAQAVSLALTRSYVIVPGIFAIVCGRNIALARAPSDMDFIHPKNTFYMHAQDGHAIFTQLVLSIFECVVLLLRAIYLTILFTPCIALAPFADTFGPHFRKMWLEVVHQTLERAGPAFIKWGQWAATRPDLFPSDLCTELSKLHTKAPEHSFAYSKKVIEKAFGRKISEIFDDFEETPVASGSIAQVHRATLKYQYHGREIKPIDVAVKVRHPGVGESIKRDFEIINLVAKTSKFMPALKWLRLDESVQQFAVFMLSQVDLAREASHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGECVSHYVDDLEGNERLKSALAHIGTNALLKMLLVDNFMHADMHPGNILVRVHQSKSSRRRISKIKPHVIFLDVGMTAELSKNDRGNLIEFFKAVATRDGRTAAECTLKLSKKQDCPDPDAFIKEVKESFDFWGTPEGDLVHPADCMHHLLEQVRRHQVNIDGNVCAVMVTTLVLEGWQRKLDPDYDIMHTLRTLLLKADWAKSLAYTIEGIMAP >itb09g04420.t2 pep chromosome:ASM357664v1:9:2472682:2482139:-1 gene:itb09g04420 transcript:itb09g04420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRENHMSESNWGEESGSGDKLPKFNWSQHASSLNNFSSQDNLLKSNFLFSLPEQNPHAREAMAVRSTICPVPNVRLSDPLAEKAWQLLSSLRLSSKSYTKPGKTLPLTIDASASAIGKSGQANKKWSSNVNSTSFEHAPLHQDFNISNNEVGESRKSVGASFPSHAQVGNFVTRQNTVYTSMANTSQNRPSDGSASSGADDVNKINGSNGTFVAGVDDDDILEHIDVDQIVMEHYQSNCTPQPSVSKFPSFTPVTSSKCMARSEDTNLPLELSSNCSHGLQLGLCPEASNHLQDMKDKLICISNDLLDNVTNLSPDQVENLRKERLQLNTQIQQLEKYLRTVSVNEERIMSQFSASTTSAFQYETPRTVPFRIDPMRLETQFQYNGPVGFDQWNSSSMSFASNDISTAPVNREAYIPTYIEVNYVDGSSDKKWSSRDFPWTKKLEANNKKVFGNHSFRPNQREVINASMSGNDVFVLMPTGGGKSLTYQLPALISPGITLVISPLVSLIQDQIMHLLQVNIPATYLSASMEWAEQQEIFRELNSGVCKYKLLYVTPEKVAKSDVLLRHLERLHGSDSLSRIVIDEAHCVSQWGHDFRPDYQCLGILKQKFPSVPVLALTATATLSVKEDVVQALGLSNCVVFRQSFNRPNLRYSVVPKTKKCLEDIDAFIKENHFDECGIIYCLSRMDCEKVSEKLQEYGHKAAYYHGSMDAAQRAYVQKQWSKDEVNIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQHSSCVLYYSYSDYIRVKHMISQCNVEQSSFTPGYGRSSMATSGNILETNTDNLLRMVSYCENDVDCRRLLQLIHFGEKFDSANCLNTCDNCSKSQSCIDKDVTGTAKQLVELVKMTGQQFSSAHILEVFRGSLNQFVKKHRHETLSLHGVGKHLAKGEASRVLRHLVTEDILVEEVKKSDMYGSVSSVLKVNEFKANNLFAHGHIVRLRFPSSAKASKPIRAETSQPSRVETSQTTPAKGTLTSMMQSEVDLDLSAKLYNALRMLRSNLVKEAGEGYMAYHIFGNATLQLISKRVPRNKYELQDISGIGKIKVTKYGDRVLETIEATIKGHSNSGSSNDSNDSGKRRRESANIIANAEFEEDDFAAESTARSKKKAPKKHRKQNEPPIDYADLGYFDEFMDADLEENSDPQIHGGNGGRVLPSWRAPGT >itb09g04420.t1 pep chromosome:ASM357664v1:9:2472682:2482139:-1 gene:itb09g04420 transcript:itb09g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRENHMSESNWGEESGSGDKLPKFNWSQHASSLNNFSSQDNLLKSNFLFSLPEQNPHAREAMAVRSTICPVPNVRLSDPLAEKAWQLLSSLRLSSKSYTKPGKTLPLTIDASASAIGKSGQANKKWSSNVNSTSFEHAPLHQDFNISNNEVGESRKSVGASFPSHAQVGNFVTRQNTVYTSMANTSQNRPSDGSASSGADDVNKINGSNGTFVAGVDDDDILEHIDVDQIVMEHYQSNCTPQPSVSKFPSFTPVTSSKCMARSEDTNLPLELSSNCSHGLQLGLCPEASNHLQDMKDKLICISNDLLDNVTNLSPDQVENLRKERLQLNTQIQQLEKYLRTVSVNEERIMSQFSASTTSAFQYETPRTVPFRIDPMRLETQFQYNGPVGFDQWNSSSMSFASNDISTAPVNREAYIPTYIEVNYVDGSSDKKWSSRDFPWTKKLEANNKKVFGNHSFRPNQREVINASMSGNDVFVLMPTGGGKSLTYQLPALISPGITLVISPLVSLIQDQIMHLLQVNIPATYLSASMEWAEQQEIFRELNSGVCKYKLLYVTPEKVAKSDVLLRHLERLHGSDSLSRIVIDEAHCVSQWGHDFRPDYQCLGILKQKFPSVPVLALTATATLSVKEDVVQALGLSNCVVFRQSFNRPNLRYSVVPKTKKCLEDIDAFIKENHFDECGIIYCLSRMDCEKVSEKLQEYGHKAAYYHGSMDAAQRAYVQKQWSKDEVNIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQHSSCVLYYSYSDYIRVKHMISQCNVEQSSFTPGYGRSSMATSGNILETNTDNLLRMVSYCENDVDCRRLLQLIHFGEKFDSANCLNTCDNCSKSQSCIDKDVTGTAKQLVELVKMTGQQFSSAHILEVFRGSLNQFVKKHRHETLSLHGVGKHLAKGEASRVLRHLVTEDILVEEVKKSDMYGSVSSVLKVNEFKANNLFAHGHIVRLRFPSSAKASKPIRAETSQPSRVETSQTTPAKGTLTSMMQSEVDLDLSAKLYNALRMLRSNLVKEAGEGYMAYHIFGNATLQLISKRVPRNKYELQDISGIGKIKVTKYGDRVLETIEATIKGHSNSGSSNDSNDSGKRRRESANIIANAEFEEDDFAAESTARSKKKAPKKHRKQNEPPIDYADLGYFDEFMDADLEENSDPQIHGGNGGRVLPSWRAPGT >itb03g16680.t1 pep chromosome:ASM357664v1:3:15555143:15556650:-1 gene:itb03g16680 transcript:itb03g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNLTDMNLTHWDNGSGYQSASGQFSDHICGPENTGIFSLVEKNILSLGDGNLNKRKREFDNHFRNDSIVSLPMSKDPNYWNAGGSGVREGLIHEVESSFPISMEHLYGTENGNAMSICPSYNMRGSLVMPGAFRKKQHEHFTPVRTNYDIGAFYFTSGDHIRQKLDVSVASRGITNREENNLQQLDQGYENGEGTATSAMGSQNRPGGTNHSGYLMPNRDLLLSPSLPDGSEVLTEKSTKERSAYQTVTVSQTNTITVDNVTKKVEKEAKKNSPNNFPSNVKSLMSTGILDGVPVKYVSWSREKSLRGIIKGTGYLCGCDDCKMNKNVNAFEFERHAGCKTKHPNNHIYFVSGKTIYSVVQDLKGTQQEMLFEAIKNVTGSPINVKNYRVWKASYQAATRELQRIYGEEI >itb01g28010.t1 pep chromosome:ASM357664v1:1:32566608:32568422:1 gene:itb01g28010 transcript:itb01g28010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFMFLFTFFAFMLVSPSLAVNVVGYGARGDGRTDSTAAFHRAWAAACRSARPAVVYVPRGLFLIRPVAFNGPCRSRITFRIDGTLVAPRNIYAIGNSEFWILFYKVSGLSLQGGTIDARGNGFWNCRTRGQNCPRGSRSLTIMHSNNVVVSGLRSFNSQTIHIAVGHSRDVKLQNVRIRAPSGSPNTDGIHVQSSMGVTITDSVIKTGDDCISIGPGAMNLWIQRIGCGPGHGISIGSLGNSHNEEGVENVTVTNVVFSRTQNGVRVKSWGRPSGGYARNLHFRNIVMRYVANPIIIDQQYCPNGGCARQSSGVKVSEVTYKNIKGTSSTQAAMKFECSAANPCSGIRLHNIRLSFVDRLRRPTLAYCRNAKGSHSGVVTPKTCFSFHKTKLNM >itb09g07380.t1 pep chromosome:ASM357664v1:9:4268179:4270419:1 gene:itb09g07380 transcript:itb09g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFQGTKGFLVLANLWVIVLVGSSFLVVTGENGESVIGMQHNSVVDVSVGKPKPPPPPPALKVSALGSDPLPPPPHKPAAAKPPPPPKAAGAKPPPPPPKAAGAKPPPPPKAEAAKPPPPLKVGAAKPPPPPKAAAAKPPPPPKVSLAAAKPPPPPKAAAAKPPPPPKAAAAKPPPPPKAAAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAEKPPPPLKVGAAKPPPPPKAAAAKPPPPPKAAAAKPPPPPKAAAEKPPPPPKAAAAKPPPPPKASNLF >itb09g22520.t1 pep chromosome:ASM357664v1:9:20918323:20919884:1 gene:itb09g22520 transcript:itb09g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGVGVVDECKLKFMELKAKRCFRYIVFKIDEVSQVVVVEKMGSHEENHVDFTNSLPADECRYAVFDYDFTTDENCQRSKIFFIAWSPETAKIRSKMVYASSKDRFRRELDGVQVELQATDASEMSLDIFRDRAH >itb04g13820.t2 pep chromosome:ASM357664v1:4:14002069:14004411:1 gene:itb04g13820 transcript:itb04g13820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGNSKQRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSRKWRYIWAGHPKLVLDPKNIVTVTKNDFVGICNEILLQHIGPILTFHVYLSDVHMSQYPNIDRWILYLSRNGLRKLKIKNSWGRVYALPSYIFLCQELTELELSNCIFKQPCGTIRSFQNLKVLFLIQVAFKPEVSASIFTASKLETLCFIKCIGMDHLKFDGCSPSLSFLVLYKNHGVTLSCFMNCKRITTAKLVLPMEVKSLLGPGKRINLASLFEHWPLISSLFLDAYHLKLLAADSITSALPVKVNHLRVLKLSGINFTNEVQISSILCLLHSSPRVHSLEIWMNVPTTIVDNNPVLKYLQDRSCMSEEINSLRDLKMRYFQGSRAEMLFVKLILVCCPALERVTFEDWEVKPSEVSNILKELVVFPRASRKAQIIF >itb04g13820.t3 pep chromosome:ASM357664v1:4:14002069:14004411:1 gene:itb04g13820 transcript:itb04g13820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGNSKQRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSRKWRYIWAGHPKLVLDPKNIVTVTKNDFVGICNEILLQHIGPILTFHVYLSDVHMSQYPNIDRWILYLSRNGLRKLKIKNSWGRVYALPSYIFLCQELTELELSNCIFKQPCGTIRSFQNLKVLFLIQVAFKPEVSASIFTASKLETLCFIKCIGMDHLKFDGCSPSLSFLVLYKNHGVTLSCFMNCKRITTAKLVLPMEVKSLLGPGKRINLASLFEHWPLISSLFLDAYHLKVQSLLRFSILISRTMCLGCIIIFLCGGKLLAADSITSALPVKVNHLRVLKLSGINFTNEVQISSILCLLHSSPRVHSLEIWMNVPTTIVDNNPVLKYLQDRSCMSEEINSLRDLKMRYFQGSRAEMLFVKLILVCCPALERVTFEDWEVKPSEVSNILKELVVFPRASRKAQIIF >itb04g13820.t1 pep chromosome:ASM357664v1:4:14002069:14004411:1 gene:itb04g13820 transcript:itb04g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISGNSKQRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSRKWRYIWAGHPKLVLDPKNIVTVTKNDFVGICNEILLQHIGPILTFHVYLSDVHMSQYPNIDRWILYLSRNGLRKLKIKNSWGRVYALPSYIFLCQELTELELSNCIFKQPCGTIRSFQNLKVLFLIQVAFKPEVSASIFTASKLETLCFIKCIGMDHLKFDGCSPSLSFLVLYKNHGVTLSCFMNCKRITTAKLVLPMEVKSLLGPGKRINLASLFEHWPLISSLFLDAYHLKLLAADSITSALPVKVNHLRVLKLSGINFTNEVPTTIVDNNPVLKYLQDRSCMSEEINSLRDLKMRYFQGSRAEMLFVKLILVCCPALERVTFEDWEVKPSEVSNILKELVVFPRASRKAQIIF >itb04g13820.t4 pep chromosome:ASM357664v1:4:13997721:14004128:1 gene:itb04g13820 transcript:itb04g13820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKCGRTFFPANTWRRWSLGCLIRDCDIPLNRTCLVLRRRSERLRSGMDISGNSKQRAMDDKLINLPMDVLNCILDHLPIRDAARTSILSRKWRYIWAGHPKLVLDPKNIVTVTKNDFVGICNEILLQHIGPILTFHVYLSDVHMSQYPNIDRWILYLSRNGLRKLKIKNSWGRVYALPSYIFLCQELTELELSNCIFKQPCGTIRSFQNLKVLFLIQVAFKPEVSASIFTASKLETLCFIKCIGMDHLKFDGCSPSLSFLVLYKNHGVTLSCFMNCKRITTAKLVLPMEVKSLLGPGKRINLASLFEHWPLISSLFLDAYHLKLLAADSITSALPVKVNHLRVLKLSGINFTNEVQISSILCLLHSSPRVHSLEIWMNVPTTIVDNNPVLKYLQDRSCMSEEINSLRDLKMRYFQGSRAEMLFVKLILVCCPALERVTFEDWEVKPSEVSNILKELVVFPRASRKAQIIF >itb07g17590.t1 pep chromosome:ASM357664v1:7:21812086:21814368:-1 gene:itb07g17590 transcript:itb07g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGPIKKFTLFLFHASHHHPQQSDLDRWCRFLSRNGLQELSLFISRNYMLPLRLPSCIFSCRTIKQLYLGDFIFDFPIPSCIFPSVTSLAFKNVEFSDNVKGIVYTIPNIEELSFSWCKGISNFEISSPKLESLRVIGPLSYKLVESRWLTLYLKTIKTLCLSANLLLCKNAEIATVTFPTAINLPVIELYDLSVSCQEQLTFVLQLLQHSPNLCELKIGVADDQCLCDISMATRLLEDPNGCIVKQDLKILNTIMIDRFCGSEPEKLFVKMLLLKSPALERVLILEYDDIDTSVAVKSLRELLRFPRTSPKAQIVCMECDDSDESLLFDYRWF >itb07g17590.t2 pep chromosome:ASM357664v1:7:21813098:21814310:-1 gene:itb07g17590 transcript:itb07g17590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGPIKKFTLFLFHASHHHPQQSDLDRWCRFLSRNGLQELSLFISRNYMLPLRLPSCIFSCRTIKQLYLGDFIFDFPIPSCIFPSVTSLAFKNVEFSDNVKGIVYTIPNIEELSFSWCKGISNFEISSPKLESLRVIGPLSYKLVESRWLTLYLKTIKTLCLSANLLLCKNAEIATVTFPTAINLPVIELYDLSVSCQEQLTFVLQLLQHSPNLCELKIGVADDVRHKTYRLYIYLES >itb07g05160.t1 pep chromosome:ASM357664v1:7:3496315:3498974:1 gene:itb07g05160 transcript:itb07g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVRESGLRGKEKKGSQADNTMPQQHKRITATTTTTTSRLMSSSSSSIASSVPNYLRPTTASSAVQAAGDKPSSARRKSLDRPLSHLDTHRNLAGDKPSPARRKSLDRPIQPLHSPLSSPSSSCSSTSSLPYKPTFSRTKSSLDRPKSSTAMSRTSEANDKRGKIMTRSISSVSKSSSIAHNHHLKTTPNKTQKSSTRKQPGNTTLSSKPIKKKPVETILTTNHPHEPSVPIPQSADHHKTEASATPNSNQTEINNNNNSKIVQEKENIIVVVSSDHEEFEDLKSIEDCSSLSSVLEDPPIDPVDTITEEGDAEAISVPENLQECGQTQSADTMPHTTPEDPKVEDKAGGEINNLKETTPADPKPADEISVEEKGDETIHSSDNNCSENKAENQEEGEEEEREKEKEKDKKADNQHHHQEVAEEEVKEMTDDQSNNNNNNNNNNVGQVPEANKPALKRNESVVSNDVIEETASKLREQRKNKVKALAGAFETVISLQDK >itb13g26120.t2 pep chromosome:ASM357664v1:13:31491404:31495564:1 gene:itb13g26120 transcript:itb13g26120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSVLTSNRPQLHEVTRRSANFHPSVWGDHFLAYASHEKKAEAQEWQEHQQLKEKVKNMLVEAPCISSQKLELINNIQRLGVSYQFEKEIEATLQLIFNTHYEFNAQKDENELYVVSLHFRLLRQHGYYVPCSVFEKFTECDGKFKESLTNNVEAILILYEASHLRVNGEKILDESLIFTTSHLKSMLPNLTDPLRSQVNEALKRPIYKRLTRIEARRYISIYEAIETHDIVLLKFAKLDFNMLQKEHQQELGNLTRWWKTLDVPKNLPFARDRLVECYFWMLGVYFEPQYALARRFLLKVIAMTSLIDDIYDVYGTLDELHIFTDAIQRWDAALVNELPEYMRVCYATLLNVYAEMEKQLVIKGESYRINYVKNEMKKLVEAYYEEAKWFHNRRTPEFKEYMKVTLVTCGYMMLSTTSIVGMQGDFVTKKAFDWFEQQRGYPDTAVEIYMKQYGKSKEETYNEFQTRVSNAWNDINQECLNPTAFPMPILIRVVNLARVMDLLYKDEDTYTHSATETKDIITSVLIDPII >itb13g26120.t1 pep chromosome:ASM357664v1:13:31491404:31495564:1 gene:itb13g26120 transcript:itb13g26120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSVLTSNRPQLHEVTRRSANFHPSVWGDHFLAYASHEKKAEAQEWQEHQQLKEKVKNMLVEAPCISSQKLELINNIQRLGVSYQFEKEIEATLQLIFNTHYEFNAQKDENELYVVSLHFRLLRQHGYYVPCSVFEKFTECDGKFKESLTNNVEAILILYEASHLRVNGEKILDESLIFTTSHLKSMLPNLTDPLRSQVNEALKRPIYKRLTRIEARRYISIYEAIETHDIVLLKFAKLDFNMLQKEHQQELGNLTRWWKTLDVPKNLPFARDRLVECYFWMLGVYFEPQYALARRFLLKVIAMTSLIDDIYDVYGTLDELHIFTDAIQRWDAALVNELPEYMRVCYATLLNVYAEMEKQLVIKGESYRINYVKNEMKKLVEAYYEEAKWFHNRRTPEFKEYMKVTLVTCGYMMLSTTSIVGMQGDFVTKKAFDWVSKEPLIVQAASIINRLIDDMVGHEFEQQRGYPDTAVEIYMKQYGKSKEETYNEFQTRVSNAWNDINQECLNPTAFPMPILIRVVNLARVMDLLYKDEDTYTHSATETKDIITSVLIDPII >itb06g04560.t1 pep chromosome:ASM357664v1:6:7176972:7179091:1 gene:itb06g04560 transcript:itb06g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGF >itb04g02620.t2 pep chromosome:ASM357664v1:4:1573857:1576126:-1 gene:itb04g02620 transcript:itb04g02620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLQQQIREALPGWRDKFLSYKELKKLVRLISAAPPSMRGGSRPEAEAEAEFVCLLNTEIDKFNAFFVEQEEDFIIRHREMQHRIQRMIENYGGLSSSETHYREEMAKIRKDIVDFHGEMVLLMNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLQQPFFTTDLISKLVKECEGTIDAVFPAAAAEENGGARGGEREAITVAGEGIFRNTVAALLTMQEIRKGSSTYSHFSLPPLSLPESDIIHSLQLPSPIAIP >itb04g02620.t1 pep chromosome:ASM357664v1:4:1573857:1576126:-1 gene:itb04g02620 transcript:itb04g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSWSRKRISSSVTGPELIYMQEMQHRIQRMIENYGGLSSSETHYREEMAKIRKDIVDFHGEMVLLMNYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLQQPFFTTDLISKLVKECEGTIDAVFPAAAAEENGGARGGEREAITVAGEGIFRNTVAALLTMQEIRKGSSTYSHFSLPPLSLPESDIIHSLQLPSPIAIP >itb04g27950.t1 pep chromosome:ASM357664v1:4:31826845:31828180:1 gene:itb04g27950 transcript:itb04g27950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTRTNSKIRVRSNNTFSCSSFKDIQTLIADDSGCRNPTQFAKHYIFHRVRLANAFLRALSPPQPAENKSNNATCQSHAPISLPGAEKRIVVYFTSLRVVRRTFEDCRDVLSVLRSFRVSIDERDLSADAGFREELQGILGVRERTKLTLPQVFIGGRHIGGAEEIRRLHESGELKKCVEGLPPANPDTCELCDGHRYILCDECDVSHGEKCGFRTCTACSQSGLINCPSCSRAPL >itb13g00440.t1 pep chromosome:ASM357664v1:13:338493:339557:1 gene:itb13g00440 transcript:itb13g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSELKKSVAEEEEEEDCSTDNEGSESDSESESEQEQTQTQMQIAKTPSSAATKVTEASSTQAKVQAASSSETDSDSESESERPAPIVKPTDHPRESAQSKPRKSGAEAKRPADREATESKRQKRNPESVVEISEIKPSEEESKKQLFQRLWSEDDEIGLLEGMIEYTEKENGDPHTDLDAFHDFIRKSVHFTVSKSQLQNKMKRMRKNYINNAGKGKLFSKPHEQKTYQLSRKIWGKGDEKIKDSNQVRIVHALMACNGSLKEEKINKNKAPVVEDAIETHASSGWKMGRFNLLSQFMEKNKGIVIGEEKRKEIEKKRKAVAVAEMDLFLKELELIQEHVKASLNAMKKK >itb15g24250.t1 pep chromosome:ASM357664v1:15:27090787:27092967:-1 gene:itb15g24250 transcript:itb15g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFKNCPALTAKISLISEVKQMGSWRNWGLVLVLWGLMVVGGVEGIGANWGTQATHPLSPDTVVKLLKDNGIQKVKLFDADADILRALRGSDLEIMVGIPNDMLYTLANSVAAAEKWVEKNVSSHVSSNSVNIRYVAVGNEPFLSTFNGTFLATTFPALQNVQAALIKAGLGNKVKVTIPLNADVYQSSSEKPSTGDFRQDIRDLMVSIVKFLSDNGAPFTVNIYPFISLYNDPNFPADYAFFDGYSNSIDDDGKVYSNVFDANHDTLVWALQKNGFPNMSIIIGEIGWPTDGDMHANVKYAQRFNQGFMTRISGGKGTPLRPGPIDAYLFSLIDEDAKSIQPGNFERHWGIFNFDGTPKYNLSLGANSGRLVPASGVHYLTQQWCVIAPTASLDNPQIADSVGYACSHADCTSLGYGTSCGDLDSRGNISYAFNSYYQENNQLPSACKFPGLSVITNKDPSTPTCKFKVMIQTSRPASDRNSAITSLQNMVLVIISFFSFACML >itb09g28010.t1 pep chromosome:ASM357664v1:9:28645410:28647785:-1 gene:itb09g28010 transcript:itb09g28010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEVATLTRSLASAGKTPVKLGQLLNVCTTNALGRMMLGRKVFNDGGSKSDPKAEEFKSMVEEMMVLAGSFNIGDFIPVLGLFDLQGIVGKMKKLHARFDAFLNTILEEHKFVNNQHTTLSKDVDFLSTLVRLRDNGADVDGEEGKLTDTEIKALLLNLFTAGTDTSSSAVEWAFAELLRNPKILNQAQQELDLVVGPNRLVTESDLTQLPFLQAIVKETFRLHPSTPLSLPRMAAQSCEINGYFIPKGATLLVNVWAIARDPNVWTNPLEFNPNRFLPDGEKPNVDIKMNDFEMIPFGGGRRICSGMRLGIRMVHLIVATLVHAFDWDLGNGQSVETLNMEEAYGLTLQRAVPLMLHSKLRLQPHIYTLN >itb09g04440.t1 pep chromosome:ASM357664v1:9:2487624:2491139:-1 gene:itb09g04440 transcript:itb09g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGFKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSAAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMSVQYKIPDYVHISQDCRHLLSRIFVANPSRRITIKDIKTHPWFLKNLPRELTEVAQAAYYRRENPTSSLQSAEDIMKIVEEAKAPPPASRSIGGFGWGGEEDEEKEEEAEEDEYDRQVKQAHESGEVRVS >itb11g13670.t1 pep chromosome:ASM357664v1:11:10709128:10709454:1 gene:itb11g13670 transcript:itb11g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSYVKDYEFVAIFDVDFQPSPDFLKRTVPYFKDNEELGLVQARWSFVNKHENLLTRLQLINLAFHFEVEQHVNGIFLNFFGFNRTAGVWRIKALEGSGGWLERITV >itb01g11030.t1 pep chromosome:ASM357664v1:1:9643324:9648349:-1 gene:itb01g11030 transcript:itb01g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 4 [Source:Projected from Arabidopsis thaliana (AT2G23470) UniProtKB/Swiss-Prot;Acc:Q67YT8] MQSTLHIHARYPWIPQKTHIPSHKLSFLSNSTTSLKPFTTTNSLKTPVNFGCCFGEEEDFNLDFPFAPSRLPVIIRQPSGISQYCWDGNELKLVSLDGNSLTLSDFCLNFEQGFQRLVRVYGSAVRNFFLPKEVSENYLEYVKWKFVHRVFSSALQVLATQAMFRAIGVGYSHSLPSAAALNWVLKDGLGRLCRCIYTATLASAFDTNLKRVRFSTSVLFSLSIGIELLTPVCPQYFLVLASIANIAKQICLACYLATGTAVHKSFAVSDNLGEVSAKAQIQLVCFDNLGLMLAAVLNILCRNNPRLQAGLPFLVYPIFSALDLYGIYQGLKHVHLQTLTKDRLEIIVSLWIEQGRVPSPAEVSKEEGIELFWAKGRKPFPVRIGCLNAKNQLPKLSMMTIQNLKSWDFYFLCLERFANKGGCMEQYGILLSLREGAGTAEIIMAILHAFYVRKGLLCCWGRWESNLDVLNRSDAFLEEWLDLIENGKESAEVNLKLLIEQMSSSGWNSKNILLSTQEQARYSLLVD >itb11g12540.t1 pep chromosome:ASM357664v1:11:9462528:9466292:1 gene:itb11g12540 transcript:itb11g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPHEDEKLRELVEKYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAIIARLFPGRTDNAVKNHWHVIMARKCRERSKMYAKKAVLKSLNDHAASSSRQPQHGGATAEFSRAFNGGSLSFFDKLHYPLPYAPSLYPLEFQGDNFVQPVTMDLDNRKKPVEFYDFLQVNTDSNKSEVIDQYARRDDEEVEQQQAAAGNRSKPAGAGGDVQFIDFFSAGAGRSA >itb07g15890.t1 pep chromosome:ASM357664v1:7:18934131:18935329:1 gene:itb07g15890 transcript:itb07g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDFHFGHCRQWIGVGWYHYQKAKPVVPQQVCSECDSVKISLDEVIYIVPTFSRHEFIYMISMGFCFGECNGVGIATRSKTSGASAWVGDECSVEKNKHGSVDFEVAITVGEVVEVFAEFHPLHTLFVFEMQRNSDGQEGLPCNFINLNYKW >itb07g15890.t2 pep chromosome:ASM357664v1:7:18934321:18935329:1 gene:itb07g15890 transcript:itb07g15890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDFHFGHCRQWIGVGWYHYQKAKPVVPQQVCSECDSVKISLDEVIYIVPTFSRHEFIYMISMGFCFGECNGVGIATRSKTSGASAWVGDECSVEKNKHGSVDFEVAITVGEVVEVFAEFHPLHTLFVFEMQRNSDGQEGLPCNFINLNYKW >itb02g16600.t1 pep chromosome:ASM357664v1:2:12552707:12554568:1 gene:itb02g16600 transcript:itb02g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKLCEGVGDQMELPPGFRFHPTDEELITHYLSNKVLDSTFSAIAIAEVDMNKVEPWDLPWKARIGEKEWYFFCVRDKKYPTGLRTNRATVAGYWKATGKDKEIFRGKCLVGMKKTLVFYMGRAPKGVKTNWVSHEYRLEGQLSLQNLNKPSKNDWVLCRVFQKSSGGKKVHISGMIRSNYPAGNGPHNSPLLPPLADSPPCHGGAAKANSYVHCFSSKQQDMNMNMNMNLINFSPLPISPIIPNNPFTTNPLQIPLLPMQDPATLRNWLANYGFKTEKEIASGVSQETGLSTDINTEISSAVGKRCFQDPPSTPPPGPQDFDCLWSY >itb02g16600.t2 pep chromosome:ASM357664v1:2:12552707:12554568:1 gene:itb02g16600 transcript:itb02g16600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKLCEGVGDQMELPPGFRFHPTDEELITHYLSNKVLDSTFSAIAIAEVDMNKVEPWDLPWKARIGEKEWYFFCVRDKKYPTGLRTNRATVAGYWKATGKDKEIFRGKCLVGMKKTLVFYMGRAPKGVKTNWVSHEYRLEGQLSLQNLNKPSKVQNDWVLCRVFQKSSGGKKVHISGMIRSNYPAGNGPHNSPLLPPLADSPPCHGGAAKANSYVHCFSSKQQDMNMNMNMNLINFSPLPISPIIPNNPFTTNPLQIPLLPMQDPATLRNWLANYGFKTEKEIASGVSQETGLSTDINTEISSAVGKRCFQDPPSTPPPGPQDFDCLWSY >itb12g21340.t1 pep chromosome:ASM357664v1:12:23706726:23708723:1 gene:itb12g21340 transcript:itb12g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLAHGHICPFLVLAQALSKRNFYIYICSTPVNLSSIKKRISEKDAISIKLVELQLPSLPNLPPHYHTTNGLPPHLMPTLKKALDMAKPGFLNLVHTLKPDLVVYDFLQPWVPAMAAAQGIPAVLFLSTGTAAFSYILYNIGRGYPAEEYPFPEVYLRDHEFITNKRLFEAAEQTDGGVSDGERIDGCLDGSSSIILLKTFRQLEGKYIDHLSNMVKKRCVPVGPLVRSPAPDGENPEIKEWLDMKPRCSTVFVSFGTEYFLTEEEMEEVALGLELSKVNFLWVIRFPAAEAGEKPRAIEDALPEGYLERVGERGKLVEGWAPQGMILEHSSIGGFVSHCGWSSVMEALKFGVPIIAMPMHLDQPLNARVVTAAGIGEEVVRDGEGKVEREEVARVVRKVVAEKSGQRLRRRAREFSEKIMSERGEEEIDEAVQELTALCQTTTFRQDSAELNCIRFSLGG >itb10g13320.t1 pep chromosome:ASM357664v1:10:19513869:19515119:1 gene:itb10g13320 transcript:itb10g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMSVPRTTKRTLAYIAEDSDRKATFKKRKKSLVKKARELSVLCGVTVCAVVGSPFREIGTEVFPDEGGVREGYARFQSMTEAEQTRKKFSQEMITADMVEKVRGKALKVSMGNREREMTEVMFQCLGGKQINDAMNLVDLNDLALFTQRHLEEITGKLAALGEADSALMPPPPPPSMNILSVPNAPPPFASMMNIMAARNAPPPLASMNIMSVPNAPPLAPMMNIMAALNAPPPAPMNIMSAPNALPPFAPMNIMSAPNAPPLAPMNIMAAPNAPPPLAPMNIMSAPNAPPLAPMNIMAAPNAPPPLAPMNIMSAPNAPPLAPMNIMAAPNAPPPLAPMNIMSAPNAPPLAPMNIMAAPNAPPPFASMNIMAAPNAPPLAPMNNMAAGMQNYQGNGDMLTPLLPNNRPEGPAC >itb12g05180.t2 pep chromosome:ASM357664v1:12:3445182:3452905:1 gene:itb12g05180 transcript:itb12g05180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVLGFLEKNEEILDSGKFSEEKGISHDEMVNAIKSLNGFGFVIAQDIKRERWVLTEEGKIYATAGSPEVQLFLAIPPEGITQEELQKKLDPAIFKVGWPQAMKNKWVEKGKSNVSRKVQNVDDTVKDLLMQIQNGEAVDPKDIDGLKRRKLIAQQSWKGYSVRKGPKYAPKRIKEATDLTRENLHSGDWKNLKEYNFSAKGQPVEGGHLHPLLKPQQHPARDSHDTFFLKEPSTTRELPEDYVELVKKVHESGGYGSRGYGYDWKRDEANKNLLRTHTTAVSSRMLYKLAQNKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLSLGDLIGVLHDFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRELFGHKVDLGLIKKNPICRLGLN >itb12g05180.t1 pep chromosome:ASM357664v1:12:3445182:3452905:1 gene:itb12g05180 transcript:itb12g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVLGFLEKNEEILDSGKFSEEKGISHDEMVNAIKSLNGFGFVIAQDIKRERWVLTEEGKIYATAGSPEVQLFLAIPPEGITQEELQKKLDPAIFKVGWPQAMKNKWVEKGKSNVSRKVQNVDDTVKDLLMQIQNGEAVDPKDIDGLKRRKLIAQQSWKGYSVRKGPKYAPKRIKEATDLTRENLHSGDWKNLKEYNFSAKGQPVEGGHLHPLLKPQQHPARDSHDTFFLKEPSTTRELPEDYVELVKKVHESGGYGSRGYGYDWKRDEANKNLLRTHTTAVSSRMLYKLAQNKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLSLGDLIGVLHDFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRELFGHKVDLGLIKKNPICRLGLN >itb12g01950.t1 pep chromosome:ASM357664v1:12:1310491:1312060:1 gene:itb12g01950 transcript:itb12g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEILPKEYGYVIIVLVLYCFLNLWMSFQVGKARKQYKVPYPTMYASEAENKDANLFNCVQRGHQNSLENMPVFFMLMIMGGIRHPLICASLGVIYIVSRYGYFTGYASGDPAKRYTLGKYGFLAIFGLKICAISCGIKLLTS >itb09g11690.t1 pep chromosome:ASM357664v1:9:7297795:7301397:1 gene:itb09g11690 transcript:itb09g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKPDQFLVFFSLFLFFNGFCTWTAHADENVKDTRIYIVYLGVKPHDNHRLIKESHSDLLAKVVGSKEEAKKLIIYNYKYSFSGFSAKLTKSQANKLAGLPGVVHVIGDKQHPLKTSRSWDFLGLGQGQPSENSATDNLLHKSKMGEGLIIGVIDSGIDGRLPSFSDAGYGPVPKAWNGVCKSMSSFNATEHCNRKVIGTRWFVKGAMHDFEADVTEVLRLDDFSALDTHGHGTQVASIAAGSPVENVTCADISFGAIRGGAPRARLSIYKACWRVFGPSISCATSDLLAAFDYAVSDRVDIISISIGLPVPLQSDVETQNGIGIGSFHAVCHGIPVIIAAGNDGPSAFTVTNVEPWLITVAASTMDRAFLYPITLGNNETLFVNSESRLGTPTYFPFYFLGRYQGMTDLVEISFNATEAKEKIVFIFGTAVDEQLSLVGKAYVAGAVGLIYSNPTSSALPSNIYPIPCIQVDFNAGTIIKEYIFHNNNSTAQMGFPDIHTGKPIAPKVAAFSSRGPSSLAPTILKPDVAAPGDKILCATSLHDRDADNGFKIGSGTSHATPHVTAIVTLLKASHPDWSPAALKSALVTTAWNSETYPSLIFADGSSDRVADAFDFGGGIANANGADDPGLIYDMKKIDYVNYDCALGYNNSAIYNTTKETPNYDVKQERRICPKTKPSALDLNLPSIAVPNLNKPVTIHRTVTNVGPPKSVYKAVVKSPLGTTVTVKPNVLSFDANKHKLSFTVRISPVEETNSGFTFGSLLWTDGVHFVRSPIAVKKQYIPLYF >itb12g13610.t1 pep chromosome:ASM357664v1:12:12593796:12599046:1 gene:itb12g13610 transcript:itb12g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAVPFHISTIPKPQDEYRILVNNLNEPFEHVWLETSEDESRFIHPLEKFSSLDFLDTAVAASDPVKPPSLDHTPFKLVEKLNDLKELVLKLQGVDEFAVDLEHNQYRSFLGITCLMQISTRTEDFVIDTLKLRIYVGPYLREIFKDPTKRKVMHGADKDILWLQRDFGIYICNLFDTGQASRLLKMEKNSLAYLLQHFCGIMAKKEYQSADWRLRPLPSEMLRYAREDTHFLLYIYDVMKMKLLSLSVNTESSSSPLAEVYKLSYDISMQLYTKDIWTDKSYLNIYGLPEAGFNAKQLAVVAGLSEWRDAVGRTADESTGYILPNRALLDIAKEMPLTSYRLLQLVKSKYPHNPSIENNVDYIVNLIRNSIQASAAYEAGVEYLKEMSRGKNSDVNATSNINGGGGGDTKDAKTSHHKV >itb03g06350.t1 pep chromosome:ASM357664v1:3:4660789:4661571:1 gene:itb03g06350 transcript:itb03g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNHIRSISFPSRSHPSIHKVEEELTKLKTLQVSAAPEAATMCSGLLGLEQLYKCMDDLLNLPQTLQALSQNQNKKWVDGLLEKSVTLLAICGLARDCISQLKEHLRDLQSSQRRRKGDSSSEPSITKYSSFVKKMNKDAKKAIAAMKKMDDEIDGSTPLDVHHDISAVIRALREASAVSTSIFQSVMLFLSVPVSKPKPSRWSLVSKLVQNGRVACEYNTCNLETLEAELDDIENTMQSIFRCSIKSRSSLLNIISC >itb10g23790.t2 pep chromosome:ASM357664v1:10:27946577:27949572:1 gene:itb10g23790 transcript:itb10g23790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVRSLSLAFLLSRPWSKEPSFRSFSSSSSSNYSNQSRGGLPRFYSEILPSSQGSVVRVKGDEFWHMTRVLRLKVDDRVELFNGKGSLVEGCIQEIDQSGLNFVALENPKLVSPLDTQWHVFAAFGTLKGGRADWLVEKCTVCIRHASLQVLLYPFNNLLAAFIVSSSQELGANSITPLLTERSPSISENRVERLLRVSLAAAKQCQRLHEMSLNPPMKVSELIPHVTKSTLSFIALAEAMPVFSALSSRRKESSGLLIIGPEGDFTEMEKNMIVDAGATAVGLGPHRLRVETATVALLATLMLWSGHQRLANS >itb10g23790.t1 pep chromosome:ASM357664v1:10:27946577:27949572:1 gene:itb10g23790 transcript:itb10g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVRSLSLAFLLSRPWSKEPSFRSFSSSSSSNYSNQSRGGLPRFYSEILPSSQGSVVRVKGDEFWHMTRVLRLKVDDRVELFNGKGSLVEGCIQEIDQSGLNFVALENPKLVSPLDTQWHVFAAFGTLKGGRADWLVEKCTELGANSITPLLTERSPSISENRVERLLRVSLAAAKQCQRLHEMSLNPPMKVSELIPHVTKSTLSFIALAEAMPVFSALSSRRKESSGLLIIGPEGDFTEMEKNMIVDAGATAVGLGPHRLRVETATVALLATLMLWSGHQRLANS >itb15g02710.t1 pep chromosome:ASM357664v1:15:1727639:1727983:1 gene:itb15g02710 transcript:itb15g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEWDTHGRYSHALFNQTQYFTKALELYGMHNIVYALWDAGMVPGNVALLGKARILKAMRRATNFYVGLQCVQVDGNEVLTEVRLCFNADGTSMINCPSVIGGRCPEKDILYIS >itb11g12730.t1 pep chromosome:ASM357664v1:11:9686951:9693956:1 gene:itb11g12730 transcript:itb11g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVIATMAAIDAGRGSLVNLLTRCGARYQGYVSRVDSPEFMIALTDVKCFGTEGRRLDGQEYAGSERIYEFIIFRGSEVLELQFLTLPPAHQIGFVPNYMAGSMAHQTSTSTLLPMQNPGAGTATVTGLQHPPLLSVGPSSSSGQYATPESSLQTELQFLNLPPGQIGFVPNYMAGSIAHQASTSTLLPVQNPGGTATVAGLQHPPLLLPVGPANSTNLYPPTTHSSSGQWATPESSLQTELQFFNLPPAQIGLVPNYMAGSIAHQASTSTLLPMQNPGGTATVAGLQHPPLLPIGPSSSSGHCATPAESSLQTASQPVSQSFPTPSALGGLLPTPQQGRLPTPPPQGSSGRGRGSVASGQGRGKQLWRRRAER >itb07g07200.t1 pep chromosome:ASM357664v1:7:5487304:5490687:-1 gene:itb07g07200 transcript:itb07g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVFLVDRLLTESTLEAAIESRNQKQLTVEDMAIDCSSNKDSEAATSLKKIVECRICQDEDFDSNMETPCYCCGSLKYAHRKCVQRWCNEKGDTLCEICNQPFTPGYTAPPPIFRLGGIPMNFRGNWGIARRDLNYAHLTAVVSADRNFVDSSHDDYAVSTSSSNVMCFRSIAIIFMVLLILRHSLPIISVQAGDYPLPLIMLLLLRIAGVAFPIYIIFKAVTSFLHRRQQQANQYTPPSDEEAAG >itb07g07200.t3 pep chromosome:ASM357664v1:7:5487515:5490542:-1 gene:itb07g07200 transcript:itb07g07200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVFLVDRLLTESTLEAAIESRNQKQLTVEDMAIDCSSNKDSEAATSLKKIVECRICQDEDFDSNMETPCYCCGSLKYAHRKCVQRWCNEKGDTLCEICNQPFTPGYTAPPPIFRLGGIPMNFRGNWGIARRDLNYAHLTAVVSADRNFVDSSHDDYAVSTSSSNVMCFRSIAIIFMVLLILRHSLPIISVQAGDYPLPLIMVSCYS >itb07g07200.t2 pep chromosome:ASM357664v1:7:5487304:5490687:-1 gene:itb07g07200 transcript:itb07g07200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVFLVDRLLTESTLEAAIESRNQKQLTVEDMAIDCSSNKDSEAATSLKKIVECRICQDEDFDSNMETPCYCCGSLKYAHRKCVQRWCNEKGDTLCEICNQPFTPGYTAPPPIFRLGGIPMNFRGNWGIARRDLNYAHLTAVVSADRNFVDSSHDDYAVSTSSSNVMCFRSIAIIFMVLLILRHSLPIISVQAGDYPLPLIMLLLLRIAGVAFPIYIIFKAVTSFLHRRQQQANQYTPPSDEEAAG >itb09g07410.t2 pep chromosome:ASM357664v1:9:4298611:4300388:-1 gene:itb09g07410 transcript:itb09g07410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSYQTDGKSLMASSSSSSTAARVMEKPISQSEQQPLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNNKRIRRSSASVSSSSSSPAAIDVVSSSSTSPAVSSAPLQIPAAQSKPQINPLFYGLPINPNSELNPPFPRLFGSRVSSSESFDNSNHDSSMISSSPGVLGGHGENGFKFVSSSSSNPLLSSYPVFTSSSSILTSPISTSTTTLASLIASSLEQRKFNASGDITNRNFPGMPSYHGESVMAGNMILENEEFLKGESQNRLNWNGGVPMNNHNLETEGVGNINYSTPLSDPSFPWNGGWMEPSLI >itb09g07410.t1 pep chromosome:ASM357664v1:9:4298611:4300627:-1 gene:itb09g07410 transcript:itb09g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSYQTDGKSLMASSSSSSTAARVMEKPISQSEQQPLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNNKRIRRSSASVSSSSSSPAAIDVVSSSSTSPAVSSAPLQIPAAQSKPQINPLFYGLPINPNSELNPPFPRLFGSRVSSSESFDNSNHDSSMISSSPGVLGGHGENGFKFVSSSSSNPLLSSYPVFTSSSSILTSPISTSTTTLASLIASSLEQRKFNASGDITNRNFPGMPSYHGESVMAGNMILENEEFLKGESQNRLNWNGGVPMNNHNLETEGVGNINYSTPLSDPSFPWNGGWMEPSLI >itb13g10420.t1 pep chromosome:ASM357664v1:13:15101010:15105047:-1 gene:itb13g10420 transcript:itb13g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLEQRCTLLCMILLLSAANYCYSQTYLSKATYFTTDDGMGNPTGACGYGQYGKTANGGQVFAASGRLYQNGAGCGACYLVKCKEEGLCRDGGVKVMATDSGEGPGTDFILSYRAYGKMAVPRMASLLYSLGVVDVEYRRISCHEAANLVIKIVEHSNYPWYLAVVPFKQGGANDILSIELNEKASDTWTAMRRVYGAVFDFQNPPLGELKIRLSVNGSDGQKWVESDKAAIPKYWKAGITIKTDIQLN >itb05g02250.t1 pep chromosome:ASM357664v1:5:1791938:1794966:-1 gene:itb05g02250 transcript:itb05g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meristematic receptor-like kinase [Source:Projected from Arabidopsis thaliana (AT3G56100) UniProtKB/TrEMBL;Acc:A0A1I9LMR2] MEAPRKNFVRFCKYPFGFLRWEGEDAGKEEEKWDMRCPKSKRSFLYAQFVLLFQLLMCRVQLVCSQSWDGVFVTQSDYQALQALKHDLVDPEGFLKSWNGSGNGACSGGWVGIKCAQGQVIVIDLPKKGLGGRISENIGQFQALRKLSLHDNVIGGLVPTSLGFLPDLRGVQLFNNRFSGSIPASLGLCPVLQTLDLSNNSLSGSIPPNLGDSTKLFLLNLSYNSLSGSIPLNLTRSSSLSFLVLDFNALSGSVPDSLGNMSGLRELSLDHNKLSGLIPDSIYRLQKLSVLDLSNNEFFGGVPVTIGNISALTKLDLSHNNFSGEIPVSLADLPSLEFFNVSYNNLSGRVPARLAQAFNESAFVGNLRLCGYNGSTPCPATPSSIAPSPGNLQRRCHRQRTKNIILIAAGVLLVILLVICCVLMCCLFKKRAKVEEGQSRVAKGSLQIAGEVGEAGETPGKLVHFQGSKAFTADDLLSATADIMGRSTYGTVYKATLGDGNQIAVKRLRERFTVSQKEFESEVNSLGKIRHPNILALRAYYLGPKGEKLLVFDYIPRGSLATFLHARRPDDSPINWRTRMRIAKGIARGLLFLHNNVKLIHGNLTSGNVLLDENANPKIADYALSRLMNAAANANVIATSGALGYRAPELSKLKKANRKTDVHSLGVIMLELLTGKSPAREVMNSVDLPRWVASMAKEESSNQVFDADLMNDASIIGDELLTALKLALHCVHPSPSARPEAQQVLQQLEELSPSPTSTRD >itb05g02250.t2 pep chromosome:ASM357664v1:5:1791938:1794933:-1 gene:itb05g02250 transcript:itb05g02250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meristematic receptor-like kinase [Source:Projected from Arabidopsis thaliana (AT3G56100) UniProtKB/TrEMBL;Acc:A0A1I9LMR2] MEAPRKNFVRFCKYPFGFLRWEGEDAGKEEEKWDMRCPKSKRSFLYAQFVLLFQLLMCRVQLVCSQSWDGVFVTQSDYQALQALKHDLVDPEGFLKSWNGSGNGACSGGWVGIKCAQGQVIVIDLPKKGLGGRISENIGQFQALRKLSLHDNVIGGLVPTSLGFLPDLRGVQLFNNRFSGSIPASLGLCPVLQTLDLSNNSLSGSIPPNLGDSTKLFLLNLSYNSLSGSIPLNLTRSSSLSFLVLDFNALSGSVPDSLGNMSGLRELSLDHNKLSGLIPDSIYRLQKLSVLDLSNNEFFGGVPVTIGNISALTKLDLSHNNFSGEIPVSLADLPSLEFFNVSYNNLSGRVPARLAQAFNESAFVGNLRLCGYNGSTPCPATPSSIAPSPGNLQRRCHRQRTKNIILIAAGVLLVILLVICCVLMCCLFKKRAKVEEGQSRVAKGSLQIAGEVGEAGETPGKLVHFQGSKAFTADDLLSATADIMGRSTYGTVYKATLGDGNQIAVKRLRERFTVSQKEFESEVNSLGKIRHPNILALRAYYLGPKGEKLLVFDYIPRGSLATFLHARRPDDSPINWRTRMRIAKGIARGLLFLHNNVKLIHGNLTSGNVLLDENANPKIADYALSRLMNAAANANVIATSGALGYRAPELSKLKKANRKTDVHSLGVIMLELLTGKSPAREVMNSVDLPRWVASMAKEESSNQVFDADLMNDASIIGDELLTALKLALHCVHPSPSARPEAQQVLQQLEELSPSPTSTRD >itb08g08220.t1 pep chromosome:ASM357664v1:8:7122092:7125336:1 gene:itb08g08220 transcript:itb08g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVRAAPPTDLNRNTEWFTYPGVWSTYIFILLFSWLIVSSFTGCSLGIAWTIVHLSHCIVTYYFFHWKKGTPFSDDQGIYNRLTWWEQVDDGKQLTRNRKFLTVVPVVPYLIASHTTGYQHPMLFFNTLAVFVLVVAKFPNMHKVRIFGINADQ >itb12g01500.t3 pep chromosome:ASM357664v1:12:1019326:1021612:-1 gene:itb12g01500 transcript:itb12g01500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILQVYVSLMCTKDMSNVVICVWFFKNWIDTNCVVMLWGFSVFHIDAFVGVNIGTDLSDMPHPTQVVALLKAQQIHHVRLYNADRGMLLALANTGIKVAISVPNEQLLGIGQSNSTAANWVSQNVVAHYPATNITTICVGSEVPSSLPNAGRVLVSALRFIHSALVASNLDRQVKVSTPLASSIILDSFPPSQAFFNHSWKPVLVPMLNFLQSTGSFFMLNVYPYYEYMQSNGVIPLDYALFKPLSATKEAVDSNTLLHYTNVFDAMVDAAYFAMADLNFTSIPVVVSESGWPSKGDSKEPDATLDNANAYNSNLIKHVINKTGTPKHPGIAVSTYIYELYNEDIKSGPLSEKNWGLFDADGRPVYILRLTGSGSVLANDTTNDTFCVAKEGADRKMLQAALDWACGPGKVVCSPLMQGGPCYDPDTVAAHATYAFDAYYQKMGKAPGTCDFNGVATVTTTNPSHGSCLFGSGGKNGTFLNSTASGIGANITSGSPPTQHSNFKSFVAHVMLGILGWSATLL >itb12g01500.t2 pep chromosome:ASM357664v1:12:1019372:1023036:-1 gene:itb12g01500 transcript:itb12g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLLLFLAVSAVYADEDAFVGVNIGTDLSDMPHPTQVVALLKAQQIHHVRLYNADRGMLLALANTGIKVAISVPNEQLLGIGQSNSTAANWVSQNVVAHYPATNITTICVGSEVPSSLPNAGRVLVSALRFIHSALVASNLDRQVKVSTPLASSIILDSFPPSQAFFNHSWKPVLVPMLNFLQSTGSFFMLNVYPYYEYMQSNGVIPLDYALFKPLSATKEAVDSNTLLHYTNVFDAMVDAAYFAMADLNFTSIPVVVSESGWPSKGDSKEPDATLDNANAYNSNLIKHVINKTGTPKHPGIAVSTYIYELYNEDIKSGPLSEKNWGLFDADGRPVYILRLTGSGSVLANDTTNDTFCVAKEGADRKMLQAALDWACGPGKVVCSPLMQGGPCYDPDTVAAHATYAFDAYYQKMGKAPGTCDFNGVATVTTTNPSHGSCLFGR >itb12g01500.t1 pep chromosome:ASM357664v1:12:1019326:1023249:-1 gene:itb12g01500 transcript:itb12g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLLLFLAVSAVYADEDAFVGVNIGTDLSDMPHPTQVVALLKAQQIHHVRLYNADRGMLLALANTGIKVAISVPNEQLLGIGQSNSTAANWVSQNVVAHYPATNITTICVGSEVPSSLPNAGRVLVSALRFIHSALVASNLDRQVKVSTPLASSIILDSFPPSQAFFNHSWKPVLVPMLNFLQSTGSFFMLNVYPYYEYMQSNGVIPLDYALFKPLSATKEAVDSNTLLHYTNVFDAMVDAAYFAMADLNFTSIPVVVSESGWPSKGDSKEPDATLDNANAYNSNLIKHVINKTGTPKHPGIAVSTYIYELYNEDIKSGPLSEKNWGLFDADGRPVYILRLTGSGSVLANDTTNDTFCVAKEGADRKMLQAALDWACGPGKVVCSPLMQGGPCYDPDTVAAHATYAFDAYYQKMGKAPGTCDFNGVATVTTTNPSHGSCLFGSGGKNGTFLNSTASGIGANITSGSPPTQHSNFKSFVAHVMLGILGWSATLL >itb04g08160.t1 pep chromosome:ASM357664v1:4:5737393:5738224:-1 gene:itb04g08160 transcript:itb04g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLTLTLAIIALVSSFAHAYDPSPLQDFCVAANDPKATIFINGRVCKDPKLVTPEDFFTTGLNTSTLPAAFPGSTFSSASINNIPALNTLGLTLVRNDYVVGSVVPPHIHPRASELAIVLEGTFYFGFVTADPSDPTKNRVHAKTYNVGDVFVTPQGLIHFGANIGSGNGSTYAVFNSQSPGFNFVSDQLFRSDPLILDDVLAKSFRVDEKVIKQIRAQFS >itb01g35350.t1 pep chromosome:ASM357664v1:1:37604374:37608945:1 gene:itb01g35350 transcript:itb01g35350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGDYTSVSYTQYPQNPSPIPNSTPNLLDHHPPPPPQPSYASAPPFTAGGAFTPNSDYSAYPSSYAAYPQHSDPVPTSPAPNFQSYNPPAPPLQQPQSSTPFPRFEAHGAYQPPTQPQSFYPPFDQPPSYAPSSNHSPTPNTSYSSIYPSQYNQPSSEHENSYDNNMKFDQSRGYLDETAGRYGSYGSGRGDLGQDYYGKRPERDYGNEGYGDGVYAYQGSKVEPYGARGTAPKSSTWSGFDDFGRPIGYSSEKDRSSSLITKTVRAVPKAEAQQDVKNGVQKFRVKLLAESGGQSTQDVLCQIGLDGIRMLDPSTSRTLRIYPLDTITRCEVFDSSTLAFWSKSTVDIEPRRIRLKSSSYTTNTLLDTVTAATIQFKEMGGRSRAPESHKMAEQPAEKKKGFADWLTAMKPVNEEKDHWVPDEAVTKCTGCGADFSAFNRKHHCRNCGDIFCDKCTQGRVALTADENAPVVRVCERCKGEVSRRLSNAKEAASRPVLQSHEDLAKKLQEEMERNCKSSSGSRSDGSGRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHAFIVSAH >itb09g16850.t3 pep chromosome:ASM357664v1:9:11979691:11984983:-1 gene:itb09g16850 transcript:itb09g16850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVIPPLLRQKCKLFFPKFFRIRHQSTLSLNQNLVILLQSCKGTLEISQIHGLMIKTGLDLVPFTLSKLLANSIHHTSYAAKILKYIKSPNLYMFNTLLRSYSISDHPGEALILLNHMRAQSLMLDQFTFVSALKSCARASQIRTGLGVHSVLLRNGFVLFLNVMNSLLHFYCACGWVSDAHKLFDEFSVERDLVSWNTLMGGYLCVHKYSIVVDLFKQIHRDGIGVSVTTILGILSAVGELKGHVFGGECMHGYCIKVGLSMNLNVTSALISMYGKTSDIGSGHKVFDEADAKDVVLWNCLIDRYAKSGMLEEAFKLLSLMKVQGVKPNSSTFVGLLSVSAASGGLSVGRCVHGYIKEHQLVIDAILGTALINMYTKCGLLKEAIEVFDNVDRKDLMCWTAMISAYGVHGQAENAIVLFHRMEEEGFRPNEVTFLAVLNACSHNGLVTDGKSCFRRMVEQYSLSPKVEHYGCVIDLLGRAGLLEEARKLIKSLPIEEDATAWRALLAACRVYGDISLGEQVKRELEQRFDEHPADSLALTSAYAIAGRMEDHASMLEKNEGKPIEEWKYFPIGKKQVGFSRVAA >itb09g16850.t1 pep chromosome:ASM357664v1:9:11979691:11984983:-1 gene:itb09g16850 transcript:itb09g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVIPPLLRQKCKLFFPKFFRIRHQSTLSLNQNLVILLQSCKGTLEISQIHGLMIKTGLDLVPFTLSKLLANSIHHTSYAAKILKYIKSPNLYMFNTLLRSYSISDHPGEALILLNHMRAQSLMLDQFTFVSALKSCARASQIRTGLGVHSVLLRNGFVLFLNVMNSLLHFYCACGWVSDAHKLFDEFSVERDLVSWNTLMGGYLCVHKYSIVVDLFKQIHRDGIGVSVTTILGILSAVGELKGHVFGGECMHGYCIKVGLSMNLNVTSALISMYGKTSDIGSGHKVFDEADAKDVVLWNCLIDRYAKSGMLEEAFKLLSLMKVQGVKPNSSTFVGLLSVSAASGGLSVGRCVHGYIKEHQLVIDAILGTALINMYTKCGLLKEAIEVFDNVDRKDLMCWTAMISAYGVHGQAENAIVLFHRMEEEGFRPNEVTFLAVLNACSHNGLVTDGKSCFRRMVEQYSLSPKVEHYGCVIDLLGRAGLLEEARKLIKSLPIEEDATAWRALLAACRVYGDISLGEQVKRELEQRFDEHPADSLALTSAYAIAGRMEDHASMLEKNEGKPIEEWKYFPIGKKQVGFSRVAA >itb09g16850.t6 pep chromosome:ASM357664v1:9:11979691:11984224:-1 gene:itb09g16850 transcript:itb09g16850.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVIPPLLRQKCKLFFPKFFRIRHQSTLSLNQNLVILLQSCKGTLEISQIHGLMIKTGLDLVPFTLSKLLANSIHHTSYAAKILKYIKSPNLYMFNTLLRSYSISDHPGEALILLNHMRAQSLMLDQFTFVSALKSCARASQIRTGLGVHSVLLRNGFVLFLNVMNSLLHFYCACGWVSDAHKLFDEFSVERDLVSWNTLMGGYLCVHKYSIVVDLFKQIHRDGIGVSVTTILGILSAVGELKGHVFGGECMHGYCIKVGLSMNLNVTSALISMYGKTSDIGSGHKVFDEADAKDVVLWNCLIDRYAKSGMLEEAFKLLSLMKVQGVKPNSSTFVGLLSVSAASGGLSVGRCVHGYIKEHQLVIDAILGTALINMYTKCGLLKEAIEVFDNVDRKDLMCWTAMISAYGVHGQAENAIVLFHRMEEEGFRPNEVTFLAVLNACSHNGLVTDGKSCFRRMVEQYSLSPKVEHYGCVIDLLGRAGLLEEARKLIKSLPIEEDATAWRALLAACRVYGDISLGEQVKRELEQRFDEHPADSLALTSAYAIAGRMEDHASMLEKNEGKPIEEWKYFPIGKKQVGFSRVAA >itb09g16850.t5 pep chromosome:ASM357664v1:9:11979691:11984224:-1 gene:itb09g16850 transcript:itb09g16850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVIPPLLRQKCKLFFPKFFRIRHQSTLSLNQNLVILLQSCKGTLEISQIHGLMIKTGLDLVPFTLSKLLANSIHHTSYAAKILKYIKSPNLYMFNTLLRSYSISDHPGEALILLNHMRAQSLMLDQFTFVSALKSCARASQIRTGLGVHSVLLRNGFVLFLNVMNSLLHFYCACGWVSDAHKLFDEFSVERDLVSWNTLMGGYLCVHKYSIVVDLFKQIHRDGIGVSVTTILGILSAVGELKGHVFGGECMHGYCIKVGLSMNLNVTSALISMYGKTSDIGSGHKVFDEADAKDVVLWNCLIDRYAKSGMLEEAFKLLSLMKVQGVKPNSSTFVGLLSVSAASGGLSVGRCVHGYIKEHQLVIDAILGTALINMYTKCGLLKEAIEVFDNVDRKDLMCWTAMISAYGVHGQAENAIVLFHRMEEEGFRPNEVTFLAVLNACSHNGLVTDGKSCFRRMVEQYSLSPKVEHYGCVIDLLGRAGLLEEARKLIKSLPIEEDATAWRALLAACRVYGDISLGEQVKRELEQRFDEHPADSLALTSAYAIAGRMEDHASMLEKNEGKPIEEWKYFPIGKKQVGFSRVAA >itb09g16850.t4 pep chromosome:ASM357664v1:9:11979691:11984983:-1 gene:itb09g16850 transcript:itb09g16850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVIPPLLRQKCKLFFPKFFRIRHQSTLSLNQNLVILLQSCKGTLEISQIHGLMIKTGLDLVPFTLSKLLANSIHHTSYAAKILKYIKSPNLYMFNTLLRSYSISDHPGEALILLNHMRAQSLMLDQFTFVSALKSCARASQIRTGLGVHSVLLRNGFVLFLNVMNSLLHFYCACGWVSDAHKLFDEFSVERDLVSWNTLMGGYLCVHKYSIVVDLFKQIHRDGIGVSVTTILGILSAVGELKGHVFGGECMHGYCIKVGLSMNLNVTSALISMYGKTSDIGSGHKVFDEADAKDVVLWNCLIDRYAKSGMLEEAFKLLSLMKVQGVKPNSSTFVGLLSVSAASGGLSVGRCVHGYIKEHQLVIDAILGTALINMYTKCGLLKEAIEVFDNVDRKDLMCWTAMISAYGVHGQAENAIVLFHRMEEEGFRPNEVTFLAVLNACSHNGLVTDGKSCFRRMVEQYSLSPKVEHYGCVIDLLGRAGLLEEARKLIKSLPIEEDATAWRALLAACRVYGDISLGEQVKRELEQRFDEHPADSLALTSAYAIAGRMEDHASMLEKNEGKPIEEWKYFPIGKKQVGFSRVAA >itb09g16850.t2 pep chromosome:ASM357664v1:9:11979691:11984983:-1 gene:itb09g16850 transcript:itb09g16850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTVIPPLLRQKCKLFFPKFFRIRHQSTLSLNQNLVILLQSCKGTLEISQIHGLMIKTGLDLVPFTLSKLLANSIHHTSYAAKILKYIKSPNLYMFNTLLRSYSISDHPGEALILLNHMRAQSLMLDQFTFVSALKSCARASQIRTGLGVHSVLLRNGFVLFLNVMNSLLHFYCACGWVSDAHKLFDEFSVERDLVSWNTLMGGYLCVHKYSIVVDLFKQIHRDGIGVSVTTILGILSAVGELKGHVFGGECMHGYCIKVGLSMNLNVTSALISMYGKTSDIGSGHKVFDEADAKDVVLWNCLIDRYAKSGMLEEAFKLLSLMKVQGVKPNSSTFVGLLSVSAASGGLSVGRCVHGYIKEHQLVIDAILGTALINMYTKCGLLKEAIEVFDNVDRKDLMCWTAMISAYGVHGQAENAIVLFHRMEEEGFRPNEVTFLAVLNACSHNGLVTDGKSCFRRMVEQYSLSPKVEHYGCVIDLLGRAGLLEEARKLIKSLPIEEDATAWRALLAACRVYGDISLGEQVKRELEQRFDEHPADSLALTSAYAIAGRMEDHASMLEKNEGKPIEEWKYFPIGKKQVGFSRVAA >itb04g27230.t1 pep chromosome:ASM357664v1:4:31276943:31279009:-1 gene:itb04g27230 transcript:itb04g27230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTKLQHVIIQLAHEVAEKHAAIEGELVVQPSDDHFWFHRPRIILYFLHFILFQNAFEIAFFFLIWGYHSDTLQLQHIATVCHCYTGKFCNLHQTIPEQLLSLLSFVDLWDVQMGTHFKQSIFEDHIRASLVDWAQTAKRKHEEKAAAMADSSAAANATPNAGDEGSSSTATGVQMGEIRPANQDQTTIDIHRA >itb04g27230.t2 pep chromosome:ASM357664v1:4:31276943:31282370:-1 gene:itb04g27230 transcript:itb04g27230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDEDSLAYSPTWVVAAVCTLIIAISLSVERLMHFTGSYLKRKNKRPLYEALHKVEEELMLLGFISLLLAVFQDRINKICMPPKSMRQMLPCTASPEKVAVESEMAPVYPGAEFHNQPAPPPMLRFHGEGATYCAKKNKVPILSVEALHHLHIFIFVLATVHVTSTLLTIIFGGVKIRQWMNWEDEIAKSSYENLSGVTDVQQHDFIKSRFKGIGKRSAIVGWLHSFAKQFYGSVTKTDYMALRLGFIMLVLAVGTKLQHVIIQLAHEVAEKHAAIEGELVVQPSDDHFWFHRPRIILYFLHFILFQNAFEIAFFFLIWGYHSDTLQLQHIATVCHCYTGKFCNLHQTIPEQLLSLLSFVDLWDVQMGTHFKQSIFEDHIRASLVDWAQTAKRKHEEKAAAMADSSAAANATPNAGDEGSSSTATGVQMGEIRPANQDQTTIDIHRA >itb10g12420.t1 pep chromosome:ASM357664v1:10:18407071:18408496:1 gene:itb10g12420 transcript:itb10g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRAYLRRPERRPERWRQRLESQNGEGSGWRVETQVVAGDVAAGGWTETPWPEMWRRRDGGLLSPGRRRNPPIVATRLSRLATFEIGKWVKSRSLSKVVLSEADAIIWSRLLTGAVLPPQSSLAMLLPVLDGKWLVVEVVAGCSPFLFALF >itb01g32460.t4 pep chromosome:ASM357664v1:1:35950494:35953987:-1 gene:itb01g32460 transcript:itb01g32460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDANLTIVRSETVNYDLELPHYKTVGGVYRDPLVNGRIVSPPLMWVEALDLILEKLGNSSLEFGKIAAISGSAQQHGSVYWKKGGSQVLSSLDSKKPLVDQLRDAFSVQESPIWMDCSTTEQCRAIEEAVGGALELAKLTGSRAHERYVGPQVKKMFEEQSEVYDNTERISLVSSFMASVLAGGYACIDQTDGAGMNLMDIESLAWSKIALEATAPGLEEKLGKIAPSHSVAGLIAFYYVKKYHFNRNCVVVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITADYNPSLEGHVFPNPVDTRSYMVMLVYKNGSLTREEIRNQCANRSWEVFNSFLQQTPPQNGC >itb01g32460.t6 pep chromosome:ASM357664v1:1:35952293:35953975:-1 gene:itb01g32460 transcript:itb01g32460.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDANLTIVRSETVNYDLELPHYKTVGGVYRDPLVNGRIVSPPLMWVEALDLILEKLGNSSLEFGKIAAISGSAQQHGSVYWKKGGSQVLSSLDSKKPLVDQLRDAFSVQESPIWMDCSTTEQCRAIEEAVGGALELAKLTGSRAHERYVGPQVKKMFEEQSEVYDNTERISLVSSFMASVLAGGYACIDQTDGAGMNLMDIESLAWSKIALEATAPGLEEKLGKIAPSHSVAGLIAFYYVKKYHFNRNCVVVHWSGDNPNSLAVNQHF >itb01g32460.t3 pep chromosome:ASM357664v1:1:35950472:35953987:-1 gene:itb01g32460 transcript:itb01g32460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDANLTIVRSETVNYDLELPHYKTVGGVYRDPLVNGRIVSPPLMWVEALDLILEKLGNSSLEFGKIAAISGSAQQHGSVYWKKGGSQVLSSLDSKKPLVDQLRDAFSVQESPIWMDCSTTEQCRAIEEAVGGALELAKLTGSRAHERYVGPQVKKMFEEQSEVYDNTERISLVSSFMASVLAGGYACIDQTDGAGMNLMDIESLAWSKIALEATAPGLEEKLGKIAPSHSVAGLIAFYYVKKYHFNRNCVVVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITADYNPSLEGHVFPNPVDTRSYMVMLVYKNGSLTREEIRNQCANRSWEVFNSFLQQTPPQNGGKIGFYYKEHEILPPLPVGFHRYIVKGFNGDSPEEQEVEAFDPPSEVSHLQ >itb01g32460.t2 pep chromosome:ASM357664v1:1:35950472:35953987:-1 gene:itb01g32460 transcript:itb01g32460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDANLTIVRSETVNYDLELPHYKTVGGVYRDPLVNGRIVSPPLMWVEALDLILEKLGNSSLEFGKIAAISGSAQQHGSVYWKKGGSQVLSSLDSKKPLVDQLRDAFSVQESPIWMDCSTTEQCRAIEEAVGGALELAKLTGSRAHERYVGPQVKKMFEEQSEVYDNTERISLVSSFMASVLAGGYACIDQTDGAGMNLMDIESLAWSKIALEATAPGLEEKLGKIAPSHSVAGLIAFYYVKKYHFNRNCVVVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITADYNPSLEGHVFPNPVDTRSYMVMLVYKNGSLTREEIRNQCANRSWEVFNSFLQQTPPQNGC >itb01g32460.t1 pep chromosome:ASM357664v1:1:35950472:35953987:-1 gene:itb01g32460 transcript:itb01g32460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDANLTIVRSETVNYDLELPHYKTVGGVYRDPLVNGRIVSPPLMWVEALDLILEKLGNSSLEFGKIAAISGSAQQHGSVYWKKGGSQVLSSLDSKKPLVDQLRDAFSVQESPIWMDCSTTEQCRAIEEAVGGALELAKLTGSRAHERYVGPQVKKMFEEQSEVYDNTERISLVSSFMASVLAGGYACIDQTDGAGMNLMDIESLAWSKIALEATAPGLEEKLGKIAPSHSVAGLIAFYYVKKYHFNRNCVVVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITADYNPSLEGHVFPNPVDTRSYMVMLVYKNGSLTREEIRNQCANRSWEVFNSFLQQTPPQNGGKIGFYYKEHEILPPLPVGFHRYIVKGFNGDSPEEQEVEAFDPPSEARAIIEGQLLSMRAHAERFGMPSPKRIIATGGASANNHILSIIASIFGCNVYTSQKPDSASLGAALRAAHGWLCHKNGTYVSISSMYTNKLEKTSLDSKLAALPGDPETFAKYTSLMKKRLEIENRLVQKFGRYCK >itb01g32460.t5 pep chromosome:ASM357664v1:1:35950494:35953987:-1 gene:itb01g32460 transcript:itb01g32460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDANLTIVRSETVNYDLELPHYKTVGGVYRDPLVNGRIVSPPLMWVEALDLILEKLGNSSLEFGKIAAISGSAQQHGSVYWKKGGSQVLSSLDSKKPLVDQLRDAFSVQESPIWMDCSTTEQCRAIEEAVGGALELAKLTGSRAHERYVGPQVKKMFEEQSEVYDNTERISLVSSFMASVLAGGYACIDQTDGAGMNLMDIESLAWSKIALEATAPGLEEKLGKIAPSHSVAGLIAFYYVKKYHFNRNCVVVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITADYNPSLEGHVFPNPVDTRSYMVMLVYKNGSLTREGTLCVEKKLAPQLYSCI >itb03g01130.t1 pep chromosome:ASM357664v1:3:591892:594106:1 gene:itb03g01130 transcript:itb03g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPNTSLVFVLSAALNFILYVHVESCTFYVTNKCSFPIWPATAPNTGYPVVANGGFYLPPGKTHSFRVPGDWSGRVWARTGCDFDSGGKCETGDCDGKLECAGSIGLPPATLVELTIQMDKGKPSFYDVSLVDGYNLPVSVASIPSSSKCFIGGCMKSMRNACPAELQVVNNNGDVVACKSACLAFNADSFCCRNQYGSPDKCKPTLYSKMFKNACPSYVSYAFDRPSPVVSCTSDKYWFTFCPENFGSGSVSS >itb14g17970.t1 pep chromosome:ASM357664v1:14:21132696:21135184:1 gene:itb14g17970 transcript:itb14g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAQPKCHVVAVPYPGRGHVNPMMNLCKLIAVHRPDTLFTFILTEEWYGFISGDPKPENLRFATVPNVVPSEIGRAKDFAAFFRATQTELEAPVEALLDRLDDRPEPNVIIHDTYLPWVVAVGNRRNIPVASLFTMSAATFSVFLHWDLLVQNGHSHYPNVSERVNYIPGIPSIQVPDLPTPIHGKGKELVDDVLKVLSLVPKAQYLLFTSVYELEAPAIDAIKQSLSSLPIHTIGPAIPYFNPIDDGKPPFVLADKESDSIIKWLDSQPESSVIYISQGSFLSVSSSQQDEIVAGVHASGVRFLWVTRGEESQFRRENNNGSGCLVVRWCNQFEVLRHRAVGGFWSHCGWNSTKEAAFAGVPVLTFPIFWDQTTNSKIIVEDWKIGVKVQRGDGGLVGRGEIGELLKRFMDLENAEMKEMRRRAKVVQQICHTSMEKSGSSYVNIDAFINEIS >itb13g15350.t1 pep chromosome:ASM357664v1:13:22167723:22169447:-1 gene:itb13g15350 transcript:itb13g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AOX1D [Source:Projected from Arabidopsis thaliana (AT1G32350) UniProtKB/TrEMBL;Acc:A0A384LFI3] MSHNGAVKLSGTLLRQLRPRIFSVSSSYGIRHWSTAASPPASEEKKAPQAAGEGKVVISSYWGVTPARVSKADGSPWRWNCFRPWETYTADVSIDVKKHHVASTFMDKFAYWTVQTLKYPTYLFFQRRHMCHALLLETVAAVPGMVGGMLLHCKSLRRFEQSGGWIKALLEEAENERMHLMTFIELSKPQWYERALVFAVQGLFFNAYFFTYLASPKLAHRITGYLEEEAVNSYTEFLNDIENGKFENSPAPAIAIDYWRLPPDARLKDVITVIRADEAHHRDLNHFASVR >itb06g24750.t2 pep chromosome:ASM357664v1:6:26180323:26184259:1 gene:itb06g24750 transcript:itb06g24750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDQELQELSSKQPRHVEPSDQLDSLGVSQEPIALKSHSSGEGEDTPLKSQFTREEKFDSACAADVRSSERDIEPGIPGSASNSSWATSSTSEEDVKSEGPYHILVSPEHYNLDLPSFRNVSRSKLIYSSLMRSPPRKLVPIGPDYQADLPDFVGHEKKKTCYSEETCKADITSQALESDSNGHLNDDDRLAGTSIIPMPKLESAGYNDESVGSGSGSGDCLCEDAGSIRCTRQHVFEAREKLKTVLGEETFVKLGFYDIGEVVALRWSEEEQELFHDIVFSNPASVGKNFWNHLSVEFPSRTMKQIVSYYFNVFMLRRRAEQNRFDPLNVDSDNDEWQAPEESSGDEAKMTDEDEDSVVESPVYLDDYGRNEIYRYDDIGLPVWEEYKDISNETNKVVNYESVGCADKAFEKCSSEPTARNEDRDFPNGFGDENGNCTTVTPKAPHVKSDIGKDWSRSFVGMGSDSGHDFVLEPCSGKEWDVGFFSCPKSKVDLLPTCTMIEEVFGDKDWNYSSRGDGHGSS >itb06g24750.t4 pep chromosome:ASM357664v1:6:26180323:26184259:1 gene:itb06g24750 transcript:itb06g24750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDQELQELSSKQPRHVEPSDQLDSLGVSQEPIALKSHSSGEGEDTPLKSQFTREEKFDSACAADVRSSERDIEPGIPGSASNSSWATSSTSEEDVKSEGPYHILVSPEHYNLDLPSFRNVSRSKLIYSSLMRSPPRKLVPIGPDYQADLPDFVGHEKKKTCYSEETCKADITSQALESDSNGHLNDDDRLAGTSIIPMPKLESAGYNDESVGSGSGSGDCLCEDAGSIRCTRQHVFEAREKLKTVLGEETFVKLGFYDIGEVVALRWSEEEQELFHDIVFSNPASVGKNFWNHLSVEFPSRTMKQIVSYYFNVFMLRRRAEQNRFDPLNVDSDNDEWQAPEESSGDEAKMTDEDEDSVVESPVYLDDYGRNEIYRYDDIGLPVWEEYKDISNETNKVVNYESVGCADKAFEKCSSEPTARNEDRDFPNGFGDENGNCTTVTPKAPHVKSDIGKDWSRSFVGMGSDSGHDFVLEPCSGKEWDVGFFSCPKSKVDLLPTCTMIEEVFGDKDWNYSSRGDGHGSS >itb06g24750.t3 pep chromosome:ASM357664v1:6:26180323:26184259:1 gene:itb06g24750 transcript:itb06g24750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDQELQELSSKQPRHVEPSDQLDSLGVSQEPIALKSHSSGEGEDTPLKSQFTREEKFDSACAADVRSSERDIEPGIPGSASNSSWATSSTSEEDVKSEGPYHILVSPEHYNLDLPSFRNVSRSKLIYSSLMRSPPRKLVPIGPDYQADLPDFVGHEKKKTCYSEETCKADITSQALESDSNGHLNDDDRLAGTSIIPMPKLESAGYNDESVGSGSGSGDCLCEDAGSIRCTRQHVFEAREKLKTVLGEETFVKLGFYDIGEVVALRWSEEEQELFHDIVFSNPASVGKNFWNHLSVEFPSRTMKQIVSYYFNVFMLRRRAEQNRFDPLNVDSDNDEWQAPEESSGDEAKMTDEDEDSVVESPVYLDDYGRNEIYRYDDIGLPVWEEYKDISNETNKVVNYESVGCADKAFEKCSSEPTARNEDRDFPNGFGDENGNCTTVTPKAPHVKSDIGKDWSRSFVGMGSDSGHDFVLEPCSGKEWDVGFFSCPKSKVDLLPTCTMIEEVFGDKDWNYSSRGDGHGSS >itb06g24750.t5 pep chromosome:ASM357664v1:6:26181144:26184258:1 gene:itb06g24750 transcript:itb06g24750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDQELQELSSKQPRHVEPSDQLDSLGVSQEPIALKSHSSGEGEDTPLKSQFTREEKFDSACAADVRSSERDIEPGIPGSASNSSWATSSTSEEDVKSEGPYHILVSPEHYNLDLPSFRNVSRSKLIYSSLMRSPPRKLVPIGPDYQADLPDFVGHEKKKTCYSEETCKADITSQALESDSNGHLNDDDRLAGTSIIPMPKLESAGYNDESVGSGSGSGDCLCEDAGSIRCTRQHVFEAREKLKTVLGEETFVKLGFYDIGEVVALRWSEEEQELFHDIVFSNPASVGKNFWNHLSVEFPSRTMKQIVSYYFNVFMLRRRAEQNRFDPLNVDSDNDEWQAPEESSGDEAKMTDEDEDSVVESPVYLDDYGRNEIYRYDDIGLPVWEEYKDISNETNKVVNYESVGCADKAFEKCSSEPTARNEDRDFPNGFGDENGNCTTVTPKAPHVKSDIGKDWSRSFVGMGSDSGHDFVLEPCSGKEWDVGFFSCPKSKVDLLPTCTMIEEVFGDKDWNYSSRGDGHGSS >itb06g24750.t1 pep chromosome:ASM357664v1:6:26180323:26184259:1 gene:itb06g24750 transcript:itb06g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFDQELQELSSKQPRHVEPSDQLDSLGVSQEPIALKSHSSGEGEDTPLKSQFTREEKFDSACAADVRSSERDIEPGIPGSASNSSWATSSTSEEDVKSEGPYHILVSPEHYNLDLPSFRNVSRSKLIYSSLMRSPPRKLVPIGPDYQADLPDFVGHEKKKTCYSEETCKADITSQALESDSNGHLNDDDRLAGTSIIPMPKLESAGYNDESVGSGSGSGDCLCEDAGSIRCTRQHVFEAREKLKTVLGEETFVKLGFYDIGEVVALRWSEEEQELFHDIVFSNPASVGKNFWNHLSVEFPSRTMKQIVSYYFNVFMLRRRAEQNRFDPLNVDSDNDEWQAPEESSGDEAKMTDEDEDSVVESPVYLDDYGRNEIYRYDDIGLPVWEEYKDISNETNKVVNYESVGCADKAFEKCSSEPTARNEDRDFPNGFGDENGNCTTVTPKAPHVKSDIGKDWSRSFVGMGSDSGHDFVLEPCSGKEWDVGFFSCPKSKVDLLPTCTMIEEVFGDKDWNYSSRGDGHGSS >itb09g18030.t1 pep chromosome:ASM357664v1:9:13455108:13459316:1 gene:itb09g18030 transcript:itb09g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCVYVFALSLLASFCNSVHSSTLNKHHVALFVFGDSIFDAGNNNYINTTNDFKANFRPYGETSFPNATGRFSDGHLIPDYIANFTNKPFVKPYLAIKKLNGGFINGVNFASAGAGSLDGTNAGLVISLKTQLGYFKKVSQQLKKEVGNEGSKQLLSNAVYMFNIGSNDYSAFVTNSTLLNSYTQNQYIDMVVGNMSTVFQEIYKEGGRKFVIVSVGAIGCVPAARAANFRQTVRSECVEILQTLAKLHNQALLKMLNNLATNLPGFKYSYFDYFQSSIDAISNPSTYGFTEVKAACCGSGPFRGDPSCGGKRGMTFYELCPDVQNFLYFDFVHPTEKSNHLSATLMWDTSPYVTPNNVKSFFQS >itb02g01280.t2 pep chromosome:ASM357664v1:2:693725:701531:-1 gene:itb02g01280 transcript:itb02g01280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIGGGGMNSTMDDMNLIQQAQRHHLVVREIEEIDLEIGPGDDDGHSFAQNTLIAVTPQESSADEHRENKHMMVSQLATESQDMSKTQPAKKKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCRDYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKLVADKPVYVKALMSKTSGSIIEAALKRDPQEAEFIQCIQEAVHALERVISKNSNYVSMMERLLEPERMIIFRVPWVDDRGETHVNRGFRIHFNQTLGPCRGGLRFHSSMNLSIAKFLSFEQTLKNALSPYRLGGSSGGSDFDPKGKSDSEIMRFCQSFMNELFRYLGPDKDLPTEEMGVGTREMGFLYGQYRRLAGQSQGSFTGPRVNWSGSSLRTEATGYGLVFFAQLILADMSKELKGLRCVVSGSGKIAMHVLEKLIAYGAVPITVSDSKGYLVDEDGFDFMKISFLRDIKAQHRSLRDYSKTYARSKYYDESKPWNERCDVAFPCASQNEIDHSDAVNLVNSGCRIVVEGSNMPCTPEAVDVLRKANVIVAPSMAAGVGGVVAGELELKECNLNWSPEDFESKLQV >itb02g01280.t3 pep chromosome:ASM357664v1:2:693774:701531:-1 gene:itb02g01280 transcript:itb02g01280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIGGGGMNSTMDDMNLIQQAQRHHLVVREIEEIDLEIGPGDDDGHSFAQNTLIAVTPQESSADEHRENKHMMVSQLATESQDMSKTQPAKKKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCRDYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKLVADKPVYVKALMSKTSGSIIEAALKRDPQEAEFIQCIQEAVHALERVISKNSNYVSMMERLLEPERMIIFRVPWVDDRGETHVNRGFRIHFNQTLGPCRGGLRFHSSMNLSIAKFLSFEQTLKNALSPYRLGGSSGGSDFDPKGKSDSEIMRFCQSFMNELFRYLGPDKDLPTEEMGVGTREMGFLYGQYRRLAGQSQGSFTGPRVNWSGSSLRTEATGYGLVFFAQLILADMSKELKGLRCVVSGSGKIAMHVLEKLIAYGAVPITVSDSKGYLVDEDGFDFMKISFLRDIKAQHRSLRDYSKTYARSKYYDESKPWNERCDVAFPCASQNEIDHSDAVNLVNSGCRIVVEGSNMPCTPEAVDVLRKANVIVAPSMAAGVGGVVAGELELKECNLNWSPEDFESKLQEAMKLTYQRALKAASDFGYQKESPEALVHGAAISAFLTIANGMTDQGCV >itb02g01280.t4 pep chromosome:ASM357664v1:2:693725:701531:-1 gene:itb02g01280 transcript:itb02g01280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIGGGGMNSTMDDMNLIQQAQRHHLVVREIEEIDLEIGPGDDDGHSFAQNTLIAVTPQESSADEHRENKHMMVSQLATESQDMSKTQPAKKKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCRDYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKLVADKPVYVKALMSKTSGSIIEAALKRDPQEAEFIQCIQEAVHALERVISKNSNYVSMMERLLEPERMIIFRVPWVDDRGETHVNRGFRIHFNQTLGPCRGGLRFHSSMNLSIAKFLSFEQTLKNALSPYRLGGSSGGSDFDPKGKSDSEIMRFCQSFMNELFRYLGPDKDLPTEEMGVGTREMGFLYGQYRRLAGQSQGSFTGPRVNWSGSSLRTEATGYGLVFFAQLILADMSKELKGLRCVVSGSGKIAMHVLEKLIAYGAVPITVSDSKGYLVDEDGFDFMKISFLRDIKAQHRSLRDYSKTYARSKYYDESKPWNERCDVAFPCASQNEIDHSDAVNLVNSGCRIVVEGSNMPCTPEAVDVLRKANVIVAPSMAAGVGGVVAGELELKECNLNWSPEDFESKLQKVSSNIIGPSNSVWHSCSSLTPA >itb02g01280.t1 pep chromosome:ASM357664v1:2:693725:701531:-1 gene:itb02g01280 transcript:itb02g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIGGGGMNSTMDDMNLIQQAQRHHLVVREIEEIDLEIGPGDDDGHSFAQNTLIAVTPQESSADEHRENKHMMVSQLATESQDMSKTQPAKKKKKVVKRWREEWADTYKWAYVDVKDGTPRIFCSVCRDYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKLVADKPVYVKALMSKTSGSIIEAALKRDPQEAEFIQCIQEAVHALERVISKNSNYVSMMERLLEPERMIIFRVPWVDDRGETHVNRGFRIHFNQTLGPCRGGLRFHSSMNLSIAKFLSFEQTLKNALSPYRLGGSSGGSDFDPKGKSDSEVLCSYSALRFFTSLNLSFVFQYLDLQIMRFCQSFMNELFRYLGPDKDLPTEEMGVGTREMGFLYGQYRRLAGQSQGSFTGPRVNWSGSSLRTEATGYGLVFFAQLILADMSKELKGLRCVVSGSGKIAMHVLEKLIAYGAVPITVSDSKGYLVDEDGFDFMKISFLRDIKAQHRSLRDYSKTYARSKYYDESKPWNERCDVAFPCASQNEIDHSDAVNLVNSGCRIVVEGSNMPCTPEAVDVLRKANVIVAPSMAAGVGGVVAGELELKECNLNWSPEDFESKLQEAMKLTYQRALKAASDFGYQKESPEALVHGAAISAFLTIANGMTDQGCV >itb01g02640.t1 pep chromosome:ASM357664v1:1:1718379:1722065:-1 gene:itb01g02640 transcript:itb01g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERGRKWLLSLTILFILSQNGEGIWFHLPETGSKCLSEKIYADVIVLGNYYSFSPSRADPAPTIAVEVKPFPLKSRPLKSHRVTSPLGNNLYHKDNVTMGEFSFTTTEEGIYVACFRVDGHNSSRGLTASIDWKTGISTKDWESVARVEKIEGLELELRKLEETVKTIRRSTIGLMLRGYKLQKVSITTNARVARFSLISMGVCIAVSTLQVWQLKRFFVKKKLV >itb02g21370.t1 pep chromosome:ASM357664v1:2:20175301:20180234:-1 gene:itb02g21370 transcript:itb02g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPLSGSDDGQSLMDSLLGLLRVRVKRGINLAVRDVMSSDPYVVVKMGKQKLKTRFIRKDINPEWNEDLTLSVSDPDASIKLTVYDHDTFTKDDKMGDAEFNIKAFIEALKMDLEALPNDTVISKVQPCRSNCFAEESCVIWKDHKVIQDMCLRLRNVECGEVEIQLQWIDLPGWAIGFHLSADKISRLMDMDDIQNLDEEEKDDRVVRAIFLGPYVTRIVRNSQRVRITERGHMGVQEITVALMANIYQQLPPEKRTRVERGNEEAVEQVEGEAANEPVGGAPSDEPSRLTFEQ >itb15g12330.t1 pep chromosome:ASM357664v1:15:10254327:10255952:1 gene:itb15g12330 transcript:itb15g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQALSLDKSSRHVSAATNYENMGLEEYEIGGEKGAPRIVWVVGSVVEKMIKKNEKMMKGWKMKDVKITAFHGARAPSLGVHQYIDRIFSCYNNAYYAKVGGITNSELNKLEMKFLTSLDFRLHVSVDTFNSYCLQLEREGNNGETTQIDRPAKIFGYVCGRARAPNIACRAV >itb15g12330.t2 pep chromosome:ASM357664v1:15:10254327:10255952:1 gene:itb15g12330 transcript:itb15g12330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQALSLDKSSRHVSAATNYENMGLEEYEIGGEKGAPRIVWVVGSVVEKMIKKNEKMMKGWKMKDVKITAFHGARAPSLGVHQYIDRIFRYSSCSPACFVVAYIYLERFLSQTAGFLTSLNVHRLLITSIMLAAKFLDDDCYNNAYYAKVGGITNSELNKLEMKFLTSLDFRLHVSVDTFNSYCLQLEREGNNGETTQIDRPAKIFGYVCGRARAPNIACRAV >itb04g32530.t1 pep chromosome:ASM357664v1:4:35030772:35034190:1 gene:itb04g32530 transcript:itb04g32530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASRSKSKDKKAGNGKEPPKASAKTSSHANVSAGVATSGYNPILGTFHALDPAPVTAAAAAAAAPIHVNGRFKNIDETDDQNSNSFGTGVEYDSVSNNGSWSGESEDHKVSHPAPKQEIVPGADGDKREKIRQKNERKHQRQKERRAQELHEKCSGYLMSRKLEALAQQLVAMGFSQERATMALIQNEGKVEESVAWLFEGGEEADEHKEHDIDGGGNLKIDISEELNRISDMENRYKCSKQEVERVVVACEGDIEKAEESLRTQKQESTFVPSKTEETGDPPTVGSGKVPNTASQNSISAAVKHTSPAAIQQKRDDKDFNYTKVTATANSSIDPASKSVQSLKRVQPKMEWAKPPQVIVSSDKRWQGALSNPSAYSFTSSQASPSPAKTEAQYVAVENELKNLQLGSIREPVIVMQRPQSINAKQFPPSTMSSSPPGTAGGWYPNGVETMKSTGMVPHVPGLRNLSPNTNQLYNQLHYQQQQQQQQYVSTNGGSHESPGTSRGNGMWSRTGTSQPLTLAAASSLGLFSGLGTNGPSGSSSPVDWNSGFSMPQLDYNNIDWSLDRRSSSPISGGLWPTMNSLMQNNHHTFNSFTNGLGARVAMKPTLSSGDGISIPRLQDGIAPVETSAGGSREWTSPFEEKDLFSLPRQFVSSPSL >itb14g19800.t1 pep chromosome:ASM357664v1:14:22356912:22359245:1 gene:itb14g19800 transcript:itb14g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAFLSLSLTFLVLFNGCFARQSYQQSPNECQFRQINAFEPTLRIQAEGGVTEFWDPYNQQFQCGGAHLYRHIIQPRGLLLPSYTNAPLVAYVQQGQGEFGIVVPGCAETYQSFQQSEQQGGSSFLDRHQKIGSYKQGDIIVFPESAVHWLFNSGNEQTVLIVLQDTSNDANQLDANPLRFFLAGNSQQSQGSSQQGQREQGRKQGQREQGQREQGQHGGKQELMTERLREQLGYNGNILAGFDVQIVKDALNTDMETAQKIVGERSQEDRGHIITVDRDLQLIVPSSSSSSSRSEEQHQTRKQGGGSSNGLEETLCTARVRQNIDNPRRADIYDPQAGRFTTLNSLTLPIFGQVRLSAARGILNRNWGVVPKWCMNAHSFIYVTKGSAQVQIVNHEGETILDQQVQEGQLFLVPQNFAVVKQAGDQGFEWVEFNTNENAMFNTLSGRTSTLAGLPADIIAASYDLSSSKAQSLKQNMNSVWFYQASSSSPWSSSSGRSAFI >itb06g12080.t1 pep chromosome:ASM357664v1:6:16619240:16621256:1 gene:itb06g12080 transcript:itb06g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFRQLFPLLNVDPSMEVGQFPELINPNFGEISGPNFQTLMGFSQQDQFLSPAAEFSAPNSLHYEAKAGHRAPGASASILPVNDALETKMKPADYTTMESCSPNSSSPAVSEIGTRRKHSVGRGKRKKSSEDEETKPMEVVHVRARRGQATDSHSLAERVRRGKINERLKCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTEASSYYDFNSETEIIETMQRAKAYEDMKMQKQVKDGYEGLSTSNQHNNPFDQQSFGCYP >itb06g12080.t2 pep chromosome:ASM357664v1:6:16619240:16621256:1 gene:itb06g12080 transcript:itb06g12080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFRQLFPLLNVDPSMEVGQFPELINPNFGEISGPNFQTLMGFSQQDQFLSPAAEFSAPNSLHYEAKAGHRAPGASASILPVNDALETKMKPADYTTMESCSPNSSSPAVSEIGTRRKHSVGRGKRKKSSEDEETKPMEVVHVRARRGQATDSHSLAERVRRGKINERLKCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTEASSYYDFNSETEIIETMQVQFCSLYIKFSYNIFKRPVLEWGPTKT >itb06g12080.t3 pep chromosome:ASM357664v1:6:16619240:16620579:1 gene:itb06g12080 transcript:itb06g12080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFRQLFPLLNVDPSMEVGQFPELINPNFGEISGPNFQTLMGFSQQDQFLSPAAEFSAPNSLHYEAKAGHRAPGASASILPVNDALETKMKPADYTTMESCSPNSSSPAVSEIGTRRKHSVGRGKRKKSSEDEETKPMEVVHVRARRGQATDSHSLAERVRRGKINERLKCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEVSRVKTL >itb08g02580.t1 pep chromosome:ASM357664v1:8:2024215:2025192:-1 gene:itb08g02580 transcript:itb08g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKEIVVGAVLMMSFTVMLTLTAEYEISSESRSYSDWYKRRGISMVPNGCRDTCGNISIHYPFGIGPSKHCYLNEWFLINCTKSSDGVEKPFLSSFSDEKDSVREILDISYDAPSITMKESFSPLCQTSTGSTNLSVMSNDKLSGSPFFYSSSYNKFMFYGCGSAVLTTPGQEFIQSGCKLSCSSNNTMAAPKFAYNCNGINCCDLSLHKDVNAYQINITTDSSSILNACNYAFFFADTYLSPFSFQSSNLVPGEKVVVPVVWMWSVTRDDFTSLPPHYSLDCYPYQNIYPPQLQGTYWNCRCKDQEEGNAYLPNGCQGTST >itb10g10370.t1 pep chromosome:ASM357664v1:10:14960191:14968249:-1 gene:itb10g10370 transcript:itb10g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRASDKVWCYYCDREFDDEKILVQHQKAKHFKCHVCQKKLSTAGGMAIHVLQVHKETVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEEGAPSKAAKVDVSASQYVGGVVPGALPAAYPSQATLGAIPPLYNPAIPIPRPGWPTPPRPQTWYSQYPAVSVPPPPPISLPQQPLFPVQNMRLPVPSTAPPTLTPFPVTPPGLPTTTSSIPVSQPLFPVVHPNSIPAQSSPFSAPKLSTSSSAEVQSSIDPNLANSTPAINGYQAAGSQAAAPVSSHSYASGPNTSGPSIGPPPVIANKAPATQPTTNEVYLVWDDEAMSMEERRMSLPKYQVHDVTSQMSSIDAAIDRRISESRLAGRMAF >itb08g03780.t1 pep chromosome:ASM357664v1:8:3049969:3056522:-1 gene:itb08g03780 transcript:itb08g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLLTLAICRLIVAVGLTLDPTELSRLAVRGELSSEPADLAAASVDFGGTRRAEAMAVLYPADADDVARLITAAYESAHGFTVSARGHGHSINGQAMTASGVVVQMSRAAATAVPKPLVSEKFMFADVWGGELWIDVLKSTLEHGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGESVTCSEEQNSELFHAVLGGLGQFGVITRARIALEHAPQRVRWIRVLYSDFQAFTKDQEYLISLHGQPPSQKFDYVEGFVIVDEGLINNWRSSFFSPRNPVKFSSVKSDGGVLYCLEITKNYDQSTADTVDQEIDALLKKLKFIPSSVFTTDLPYVDFLDRVHKAELKLRSKGLWDVPHPWLNLFVPKSRIEEFDRGVFKGILGNKTSGPILIYPMNKNKWDERTSAVTPEDEVFYLVAFLRSAVENGNESQTLENLQSQNRDILKFCKESGIQMKQYLPHYSTQQEWKEHFGEKWERFQQRKLQFDPKHILSTGQLIFTPSFNAMTASW >itb07g11840.t1 pep chromosome:ASM357664v1:7:13343690:13344942:-1 gene:itb07g11840 transcript:itb07g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSINLEEVNHTAVRSLSLTWRAENLLRRFQRSGNPCKSHKRMYRKRRKIVIVFLGFCTFWCKFKGLGRTRMEAERWMELHMAKKWRDKEISPERTKVWRKPEAERRVAVVYYLSLNGKLQHPHFMELRLSSPRGLFLRDVLDRLNSLRGEGMASLYSWSAKRKYKNGFVWQDLEENDFIYPAHGHEYILKGSELMMTHSSSQETPAFTAAGEDREFPPPPPRPNDVQTIDKESGRSGSSGQSSGEISTPAAGSSPETLAALIKADRRRVILTDEARKAAAYYDPSARPRTASVVMQILSCGSVAAREHAPPCKAKTHGFSLISHQKMTTMLPCGTRRNNPPDVAESAAMELPLIASVYNL >itb02g24710.t1 pep chromosome:ASM357664v1:2:25347296:25347805:1 gene:itb02g24710 transcript:itb02g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLEVLVLSRCNLNKQSPKVPTFLAYQSMLKVLDLSHNNLRGGFPDWVIKNNSQLQVLRLSNNSLEGQLHFQPILNTSILWMDVSENQQRGILEENLGRKLPYMTTLNSSRNHFEGFVSSSFCNMSGLVQLDLSNNFFDGKIAKEMVWKYWFYPTTDFMGKYSRPTLT >itb06g08220.t1 pep chromosome:ASM357664v1:6:12183133:12193426:1 gene:itb06g08220 transcript:itb06g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGGGVTDPHHHPHHRPPPIDPPPFRESDSALTPASSSSETTPSSSAALPRVGESNSASDSAPDFNYFEEEFQVQLALAISVSDPDSREDPETAQIKAAQQISLGCSPSETLVEFLSLRYWNYNVVNYDEKVMDGFYDVHGINSNAVVQGKMPRLVDLEAISVSDDLDHEVILVNRATDVELRQLEERVSFMSRECRALMKLPLSNIMIQKIAALVVDRMGGSVNDAEEMSRRWIARSFELRISLNSIVLPLGCLNVGLSRHRALLFKVLADRVNLPCKLVKGSYYTGTDEGAVNLIKLENGSEYIIDLMGAPGTLIPVEAPNSQFQSHALDIKSVVPIPGSSAINSLPVTYGGMDVELDSRPADGTANARPASSRNSILSIEAKGEPLGALDRTPCKKATYGPGNLLPLLSSSSESSALYTDNSSVQQAFQVNNVSDYVSNVGLKNVFRESNIYMPSNVVLVEEKGVGEDHLSEKLVVDTGVYQKRNEHSIVPFAGVQFLKQLESNASTDASGGKYYREKAGNVIGNNCNEKESCLKVTEVASYFPSKSYTVLKEQVDPLLLGVAEWEIPWENLQIGERIGIGSYGEVYHAELNGTEVAVKKFMNQDITGDALEQFKCEVEIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYKLLHRSTIQLDEKRRMRMAFDVAKGMNYLHTSNPIIVHRDLKTPNLLVDKNWVVKVCDFGMSRMKHHTFLSSKSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELATLQVPWSGMNSMQVVGAVGFQGRRLSIPSEVDPTVAEIISDCWKQDPQARPSFAQIINRLKTCLQHLNIKEAETRTYQHQ >itb06g19260.t1 pep chromosome:ASM357664v1:6:22636152:22641090:1 gene:itb06g19260 transcript:itb06g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGDSESEEEESDIEVEEPVDSIDAANKSKYLVSDSESDSDDSNVHRRVVKRSDKRYEEMSSTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRTIDAEKVPDLYVKALVMLEDFLSEAFANKEAKKKMNSSNAKAMNAMKQKLKKNNKQYEELIDAYRKNPPKSEDEGGEDDSEEEDEDDEDDFEEDPTKAESEEEDDEDEGHDESTEAGTGWEKMLSKKDKLMDKQFKDPSQITWDIVNKKFREIVSARGRKGTGRIELIEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPSLSGHMPINVWKKCVQNMLIILDILTQYPNILVDDKVEPDENETQKGADHKGTIRIWGNLVAFVERIDVEFFKSLQVIDPHTREYVERLKDEPLFVVLAQNVQDYLERAGDYKGAAKVALKRVELIYYKPQEVYDAMRKWAEQAENTEEDESEENKVLEESRGPPAIVPTPELVSRKPAFEENSRTLMDMLVSLIYKYGDERTKARAMLCDIYHHAILDEFSVSRDLLLMSHLQDNVQHMDISTQILFNRAMAQLGLCAFRVGLIVEGHSCLSELYSAGRVKELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANSHDAKRKVISKTFRRLLEVSERQTFTGPPENVRDHVMAATRALRQGDFKKAFDVINSLDVWRLLRNKDTVLEMLRAKIKEEALRTYLFTYSSSYNSLGLDQLAKMFELSDSQTHCIVSKLMITDELHASWDQPTRCVVFHDVEHTRLQALAFHLTEKLAILAESNDRALESRMGGGLDGPPLRRRDGQDYGAGGGGGGGGKWQEFFSQGRQGGTGGGRGGYNRALGSGQSSGRDRSNQARSSGGYSGSQGSRYQAGSSARGSQGDTSARMVSLNRGVRA >itb11g10580.t1 pep chromosome:ASM357664v1:11:7585274:7587674:-1 gene:itb11g10580 transcript:itb11g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTPLKLPVIDFTKEDMKPGTYSWVSARRESVSALEEYGCFVALYDKVSSEVHERVFKGLVELFDLPTNVKVQNKSTKPLYGYVGQIPIVPLYESMXESMGIDHANTLQGIQSFTKLMWPHGNHDFSEAMLVYSKVAAELEEMVVRMVFESYGVEKYYESHVKSACYLARVMKYREAQENEPKLGFVSHTDKSFMSTIYQNCHINGLEIKTKNGDWFGVQLSPSSIVVMAGDAIMAWSNNRIKSPHHRVMMEGKGPRYSIAQFSFMEKTMIQTPTELVDDDHPLQFKPFDHLHYLDFFSKEENRRLPCALKTYCGV >itb05g27300.t1 pep chromosome:ASM357664v1:5:30743068:30745529:1 gene:itb05g27300 transcript:itb05g27300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRKLLLFSLLSIIFHLSSSSSSSEAFSFDFPYFTLRNLTLLGDSYLRNGVVGLTRELGVPSSSSGSVIYNNPIRFFDKDSNATASFSTKFSFSIDNVNPASFGDGLAFFISPDNQTLGSPGGFLGLVNSSQFTKNKFVAVEFDTRQDLHFNDPDGNHVGLDIESLVSIKTANVMQAGINLKSGDWITVWIDYKNEEKKLEVFLSQSNSKPEIALLTVDIDLCGFLKEFMYVGFSGSTEGSTELHYIESWSFQSSGFKELRPRIHPNNVAVNSVPPLKAPPIPVSDSAGNSYHKRLGLGLGIACPAFFCAVLAVFGWVSVKRWKGMKKTEKGFKADLVTHPRQFSYKELNAATRWFNSSRIIGHGSFGTVYKAFFVDSGNIAAVKRSKHAHESRTEFIAELTIIASLRHKNLVQLQGWCVEKDELLLVYEFMPNGSLDKVLYEESEQGYPLRWPYRYNIAVGLASVLTYLHQECEQQVIHRDIKASNIMLDSGYNARLGDFGLARLLDHDKSPVSTLTAGTMGYLAPEYLQYGTATEKTDVFSYGVVILEVACGRRPIVREGEGEKMANLVDWVWRLHSQGRIIEAADKRLGGEFREEEMKKLLLVGLSCANPDSNERPSMRRVFQILSNEADAVDVPRVKPTLTFSKSLPLSIDDIFSDNEDCRDHGSPIEIKLK >itb04g26600.t1 pep chromosome:ASM357664v1:4:30876282:30880413:-1 gene:itb04g26600 transcript:itb04g26600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRGAFSACNLTAIDSHPQVLSNQCRFPSLNFANSPAVGRRSVVVSCYAPANGPALAVATEEPRSQQLAFEPSLADRLRLGSLTEDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTHTMRKLHLIWVTARMHIEIYKYPAWSDVIEIETWCQNEGRIGTRRDWILKDYANGDVIGRATSKWVMMNEDTRRLQKVTDDVRNEYVIYCPKTLRLAFPEANNGSLKKIAKLEDPAENSRLGLVPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQTITLDYRRECQQDDVVDSLTSLELIDNAASSVLNGTNGSPTAMINLNKCFLHLLRLSGSGLEINRSRTEWRRKPAQLL >itb14g15480.t1 pep chromosome:ASM357664v1:14:18776148:18783909:1 gene:itb14g15480 transcript:itb14g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAAHTPTHFRKKKIIGQQKREELEREVSELSKMLEHEQKVREFLDRVYQRKNASVLSIPNFLPPKMKEVLGELGMVESEISRLETQITQLQAEVKQAKMEAANKAKEEARMKPLWHHISSSLTPNNNPPSSNNLHTKLPFDTKALHFISKAIKGDYGIKNFSSVNETSLSPSLQKGTQLDSKENHQFHEGVIRTFGERVPRKSGFIKPASPLREPRQPTPRRERNVEMSMDMSHKFMSTSWLSEPAPKVMPSPIHSSEEAIQRWPPNKLSESIMKCLLYIYVRLLRTSRAMEIEKSGTIARSNNFSLSFRSEPSLNVKTSLAIHKQSRQQDPYGIFDSENSVPRDIGPYKNLVRFSSTSMDTKCISTSSSVPLFQKLKVLMNNLEKVDLRFLTHQQKLAFWINMDFFNMELHLVLRQKNWHHLSTRRH >itb14g15480.t2 pep chromosome:ASM357664v1:14:18776148:18782216:1 gene:itb14g15480 transcript:itb14g15480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAAHTPTHFRKKKIIGQQKREELEREVSELSKMLEHEQKVREFLDRVYQRKNASVLSIPNFLPPKMKEVLGELGMVESEISRLETQITQLQAEVKQAKMEAANKAKEEARMKPLWHHISSSLTPNNNPPSSNNLHTKLPFDTKALHFISKAIKGDYGIKNFSSVNETSLSPSLQKGTQLDSKENHQFHEGVIRTFGERVPRKSGFIKPASPLREPRQPTPRRERNVEMSMDMSHKFMSTSWLSEPAPKVMPSPIHSSEEAIQRWPPNKLSESIMKCLLYIYVRLLRTSRAMEIEKSGTIARSNNFSLSFRSEPSLNVKTSLAIHKQSRQQDPYGIFDSENSVPRDIGPYKNLVRFSSTSMDTKCISTSSSVPLFQKLKVLMNNLEKVDLRFLTHQQKLAFWINMDFFNMELHLVLRQKNWHHLSTRRH >itb14g15480.t3 pep chromosome:ASM357664v1:14:18776298:18783745:1 gene:itb14g15480 transcript:itb14g15480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAAHTPTHFRKKKIIGQQKREELEREVSELSKMLEHEQKVREFLDRVYQRKNASVLSIPNFLPPKMKEVLGELGMVESEISRLETQITQLQAEVKQAKMEAANKAKEEARMKPLWHHISSSLTPNNNPPSSNNLHTKLPFDTKALHFISKAIKGDYGIKNFSSVNETSLSPSLQKGTQLDSKENHQFHEGVIRTFGERVPRKSGFIKPASPLREPRQPTPRRERNVEMSMDMSHKFMSTSWLSEPAPKVMPSPIHSSEEAIQRWPPNKLSESIMKCLLYIYVRLLRTSRAMEIEKSGTIARSNNFSLSFRSEPSLNVKTSLAIHKQSRQQDPYGIFDSENSVPRDIGPYKNLVRFSSTSMDTKCISTSSSVPLFQKLKVLMNNLEKVDLRFLTHQQKLAFWINMYNACIMHGFLQYGAPSSSTPEKLASLINKATLNIGGHTINAQGIEHYILRKPLEKEGKQDEKGSTIPSLYCIGSLDPNITFALCCGTRSSPAVKIYTAEGVAAELEKSKLEYLQASIIVTNTKKIALPELLLRNMRDFAQDLDSLLDWVCQQLPTSGSLRKSIVDCFRGIHGGAKPSAIVEKIPYEFEFQYLLSV >itb07g18960.t1 pep chromosome:ASM357664v1:7:23390074:23395986:-1 gene:itb07g18960 transcript:itb07g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETSRMSPSLVNLCLGVVKDDLLCGDNANLLSGVYQLPSDLFDRLLPLLPPLALQRLQEQLPLDFWDDHISSPDSYDFPRKKRRCVTFERAWETLYKARWPDCGHKNRKLQLCSFHNLMKRRETKHEFIRDWQQMYWETHLQNCLDAATEIASFPSFEGHIGETKVPDSILEYIGYREQFPDLSYDPSKFSYHCQQFGSYASCLRLADVLCVAETCHLLRNAKLESLDLRWIKSQSEDDVDGLCKLLNQNRGTLKSIKFMFCKFSTSSLNAICSSLCFDGLQTHHVQHFSIKTSRFLDNNGSLLPSGLLLFLSSGRSLSSLSLSDNNLNKNCARMILNTLLNALSSIHVLDLSENNINGWLSHIKWQSTSNVHLPSGISNSLKSIRVLNLRNNYLQKDDVDCLRYAQIYMPNLESLDLSDNPIEDDGVRSLITYLEYSCKIVELRLENCELTCNGVRCLLECLSVLEKPPTSLSIGSNPLGSEIGASIGKFLCKGILSLDIDDIGLGSSGFLKAQEEIVEDLKIICINISKNRGGIDTAKFISKLFSYAPELVAINAGFNLMPAESLAIIGSGLVANGKLELLDLSGNASCENSAASVLGKFEINGKLVLDFLSSPAPNVPYDDDP >itb07g18960.t2 pep chromosome:ASM357664v1:7:23390074:23395986:-1 gene:itb07g18960 transcript:itb07g18960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRETKHEFIRDWQQMYWETHLQNCLDAATEIASFPSFEGHIGETKVPDSILEYIGYREQFPDLSYDPSKFSYHCQQFGSYASCLRLADVLCVAETCHLLRNAKLESLDLRWIKSQSEDDVDGLCKLLNQNRGTLKSIKFMFCKFSTSSLNAICSSLCFDGLQTHHVQHFSIKTSRFLDNNGSLLPSGLLLFLSSGRSLSSLSLSDNNLNKNCARMILNTLLNALSSIHVLDLSENNINGWLSHIKWQSTSNVHLPSGISNSLKSIRVLNLRNNYLQKDDVDCLRYAQIYMPNLESLDLSDNPIEDDGVRSLITYLEYSCKIVELRLENCELTCNGVRCLLECLSVLEKPPTSLSIGSNPLGSEIGASIGKFLCKGILSLDIDDIGLGSSGFLKAQEEIVEDLKIICINISKNRGGIDTAKFISKLFSYAPELVAINAGFNLMPAESLAIIGSGLVANGKLELLDLSGNASCENSAASVLGKFEINGKLVLDFLSSPAPNVPYDDDP >itb07g18960.t3 pep chromosome:ASM357664v1:7:23390074:23395986:-1 gene:itb07g18960 transcript:itb07g18960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFVTGSRCTGRHIYKTTEIASFPSFEGHIGETKVPDSILEYIGYREQFPDLSYDPSKFSYHCQQFGSYASCLRLADVLCVAETCHLLRNAKLESLDLRWIKSQSEDDVDGLCKLLNQNRGTLKSIKFMFCKFSTSSLNAICSSLCFDGLQTHHVQHFSIKTSRFLDNNGSLLPSGLLLFLSSGRSLSSLSLSDNNLNKNCARMILNTLLNALSSIHVLDLSENNINGWLSHIKWQSTSNVHLPSGISNSLKSIRVLNLRNNYLQKDDVDCLRYAQIYMPNLESLDLSDNPIEDDGVRSLITYLEYSCKIVELRLENCELTCNGVRCLLECLSVLEKPPTSLSIGSNPLGSEIGASIGKFLCKGILSLDIDDIGLGSSGFLKAQEEIVEDLKIICINISKNRGGIDTAKFISKLFSYAPELVAINAGFNLMPAESLAIIGSGLVANGKLELLDLSGNASCENSAASVLGKFEINGKLVLDFLSSPAPNVPYDDDP >itb01g34920.t1 pep chromosome:ASM357664v1:1:37386746:37390558:-1 gene:itb01g34920 transcript:itb01g34920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MDALNLGICSPRLAPPPNARRLHRFSAVSGGASSAPTTFSASKWADRLFADFQFLPSSSTPDPPDYKNTTATLTPPLDIPERNVSLPIDFYRVLGAEPHFLGDGIRRAYEARISKPPQYGYSHEALISRRQILQAACETLADPSSRRDYNQGLANHEFDTILTQVPWDKVPGALCVLQESGDTELVLQIGESLLKERLSKTFKQDVVLTMALAYVDLSRDVMALSPPDFVKGCNFLERALKLLQEGASSLASDLQAQIDETLEEINPRCVLELLALPLGDDYQTRRAEGLQGVRNILWAVGGGGASAISGGFTREDFMNEAFYHMTAAEQVDLFVATPSNIPAESFEVYGVALALVAQAFVGKKPHLIRDADNLFQQLQQTRVTLGSSVSVYTVRENREIDFALERGLCSLLVGEVDECRSWLGLDNENSPYRDPSIVTFVVEHSRDDNENDLLPGLCKLLETWLMEVVFPRFRETQSITFKLGDYYDDPMVLRYLERLEGVGGSPLAAAAAIARIGAEATAVLDSVKASAIQALQKVIPLGDGEASVRRQGNSFYTATESYELSHGDETFPENMVGFAERSPSDDQEQEMITEKIKDAVIKITTAGVAVGLLTLIGLKFLPYRANSSTLRKDDGLPVTADAVSIGPSKVEVSEEVPRMEARFAESLVRKWQNIKSQALGPDHRLEKLSEILDGQMLKVWTDRAAEIAQHGWFWDYTLQNLTIDSVTVSVDGRRAIVEATLEESAQLTDAAHPEHDDSYSTTYTTRYELSCGKSGWRIVEGAVLKS >itb02g11700.t1 pep chromosome:ASM357664v1:2:7829407:7829754:1 gene:itb02g11700 transcript:itb02g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSARIALVEIGSRGTVGSLVLREIEYFSRLDLGPHNNNSCCHFADVASSTSGISKPKLGNPKKKKRGCKNAKKFLPSMCSMVEVIESKNNRPRAISGFTYKNLKADVKELRA >itb10g23950.t1 pep chromosome:ASM357664v1:10:28030175:28032301:-1 gene:itb10g23950 transcript:itb10g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNGFKARRSLYHLWKESSAGDSVGALKIRAHHCHRQAKVYSRFPQSIFKKAKEIELSVPSIISLPFSSRSSSAAAAAAAKAGLVGWYLELLKTRPILTKSLTCAVIYTAADLSSQTLSGLSLEQYDLVRTLRMAGYGMVILGPSLHFWFNFVSMAFPKRDIRSTLTKMALGQTVYGPIMTVVFFSVNAAFQGENGGEILARLKRDVIPTMKSGVMFWPMCDFITFRFIPVHLQPLVSNSFSYIWNVYLTYMASKEKVAQHDPAECC >itb10g23950.t2 pep chromosome:ASM357664v1:10:28030175:28032301:-1 gene:itb10g23950 transcript:itb10g23950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNGFKARRSLYHLWKESSAGDSVGALKIRAHHCHRQAKVYSRFPQSIFKKAKEIELSVPSIISLPFSSRSSSAAAAAAAKAGLVGWYLELLKTRPILTKSLTCAVIYTAADLSSQTLSGLSLEQYDLVRTLRMAGYGMVILGPSLHFWFNFVSMAFPKRDIRSTLTKMALGQTVYGPIMTVVFFSVNAAFQGENGGEILARLKRDVIPTMKSGVMFWPMCDFITFRFIPVHLQVGKHSRFSSS >itb03g06800.t1 pep chromosome:ASM357664v1:3:4916792:4922980:-1 gene:itb03g06800 transcript:itb03g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRANRTPSISSESSSTPTTPVGSLPERILHKMFGFGNLYRRNQSPTRRRIFRRDVEEEEEEFQYASTLCLSSYYSVFVVRLAIMVMLAILIGLLTLLTWHFTRVYTKRSLNTLAFGLRHELLQRPILRMWNILNSTVEIATAQVKMSEFVMRRYSKAINQEQQVELYEAMKDVTWALFASRKALNSLTINYRNGFVQAFHRDHRSNNTFYIYSDLSNYSISGTYDASMSSSRDGWNDQSIHGNTSAIWYREPLDPLSGVRIGKQSQIQPDELINIAGISQVPDGAASWHVAVSKYSDSPLLSAALPVWDPSNKSIVAVVGVTTALYSVGQLMKEIVEFHSGHIYLTSQEGWLLATSTNTPLLVNSTTRPELIMAIESEDPVIQAGAQCLQKEYGNKIPPGHEVHIENAKLGNQLYYIDSFFLNLRRLPMVGVIIIPRKYIMGKVDERAFKTLVILISASVCILIIGCVCIFILTNGVSKEMKLRAELISQLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQFATITQIRKCSTALLRLLNNILDLSKVESGKLVLEETEFDLSRELEGLVDMFSVQCINHNVETVLDLSDDMPKLVKGDSGRVVQIFANLLSNSLKFTSSGYIILRGWCENPNTLANSRKFSVNQKDSWSAPKVKLKPHGNHARRPSKKDNNKTVLWFEVDDTGCGIDTSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKSGSGTLMQLCLLLNTPIDVTGQHGHLNFREQTMTVLLALNGRMGRLIMSQWLEKNGVHTCEASEWNELTQMLQRLSKTKTNSQGAGNANTSLFVIVIDIGLLDLSTNIWEEQLNFLDKYCGKAKFAWILYHDTANTIKSELRRRGHLLMVNRPLYKGKMIQILEAIVKENSLELQSAVNTTEENLHECHEIDANHSCIASPDDSDNSENGKDKAVNAFRAEERGNEHFAKASSTSQYGTLNNYFVDFTQTNLEDNTSPEDQPRQARNRSVECLGSPRPRESTVSSSNETNQQKSLAGLTILLAEDTPVLQRVATIMLEKLGAKVVVVGDGQQAVDALKLMLNSEDCRNEWSREEGSSTTTQTEGSCSMAFDLILMDCQMPKMDGYEATKAIRRSEVATGSHIPIVALTAHAMSSDQAKCLEVGMDAYLTKPIDSKLMVSTILSLTKSLQA >itb05g04170.t1 pep chromosome:ASM357664v1:5:3620272:3623996:1 gene:itb05g04170 transcript:itb05g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIFKQILILAFLPSSLLYLLFLLPPRPHHAHLRLPPAAPLLHPSPPPPRIAYFVSGTVNDGPRIFRLLQAAYHPRNYYLLHLDLAAPGAQREELARMVDSVEVFVAADNVKVVGKADAVNGEGSSPLALVLHGAAVLLRWRDDWDWFVNLDASDYPLIPQDDFLHVMSFVPRSYNFMGYSINISSTDSESIVDIIVDPRLYLFTKGKMFMGNKKRAMPDAFKIFMGSPNVILSRKFVEYAIQGWENLPRTLLLYFSNTRSPHKGYFQTLACNSEFSSTIINSSMRCTGRDQTYEIDHSYSIVLDFNAIHGAAAFIENVSGDAQLLDLIDSQILQRGRGSVTPGGWCVGWSDWGGDPCLQWGDPLVLRPGPAAERFEKLLLNQIRNTTARSDRCGLV >itb05g19840.t1 pep chromosome:ASM357664v1:5:26147252:26149794:1 gene:itb05g19840 transcript:itb05g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGKAPSDVRKVKKKQVKDELDRLKQAEKKKRRLEKALATSAAIRLELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSEDPNEVMQKKDMGLDLWGHSRNVDIIVGGTRAVFPQQDLSKYSFEGSTWISGDQRYGCTWNDFANTECMVSPEPWEQVLRPQFLDKGNWDITPLSPSSFAEAISSLQIAEDAPAHTYIFNRMLRE >itb02g11210.t1 pep chromosome:ASM357664v1:2:7420854:7424648:-1 gene:itb02g11210 transcript:itb02g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQLGSGNWWVSASSSSRNRFDGSSSSSSSAAAAASSALHMSSNSNSVSMGISSFGWAAPGEVVDIKAAAAARSSLDSASPTPSGGVLVDPNLQIMGLGLSSQPLDWNQSLFRGEKGEQNSYGSMLQQEMSSNANYHHHQHQHQQETTSEEQWRQRVLYSGGGGGSDEASVNDYNKQQQMGGGRAGFSLDQPPAHEYLGGGGGGGASTCQGLNTSFELDSSYGSPSTMLQAGLLASNSNNQPNFTARTSMGFPYNNNTPANYGAAGDVMSSSWSKFPQFLRTTSPPKQPQLHFSNNTTFWNATAAAMNDVRSTFFPSLHTQLPSSTVDEKPKNVSDVRDLSTSTAAAAKKTSNETPSGKRARNENPSPPMPPFKVRKEKMGDRITALQQLVSPFGKTDTASVLTEAIEYIKFLHDQVNALSAPYMKSGSSMQQHQQMISSEKSKDPEGGARQDLRSRGLCLVPVSSTFPVTHETTADFWSPTSFGGSFR >itb02g11210.t3 pep chromosome:ASM357664v1:2:7422358:7424648:-1 gene:itb02g11210 transcript:itb02g11210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQLGSGNWWVSASSSSRNRFDGSSSSSSSAAAAASSALHMSSNSNSVSMGISSFGWAAPGEVVDIKAAAAARSSLDSASPTPSGGVLVDPNLQIMGLGLSSQPLDWNQSLFRGEKGEQNSYGSMLQQEMSSNANYHHHQHQHQQETTSEEQWRQRVLYSGGGGGSDEASVNDYNKQQQMGGGRAGFSLDQPPAHEYLGGGGGGGASTCQGLNTSFELDSSYGSPSTMLQAGLLASNSNNQPNFTARTSMGFPYNNNTPANYGAAGDVMSSSWSKFPQFLRTTSPPKQPQLHFSNNTTFWNATAAAMNDVRSTFFPSLHTQLPSSTVDEKPKNVSDVRDLSTSTAAAAKKTSNETPSGKRARNENPSPPMPPFKVRKEKMGDRITALQQLVSPFGKTDTASVLTEAIEYIKFLHDQVNVSTLSSHPNNIY >itb02g11210.t2 pep chromosome:ASM357664v1:2:7420854:7424648:-1 gene:itb02g11210 transcript:itb02g11210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQLGSGNWWVSASSSSRNRFDGSSSSSSSAAAAASSALHMSSNSNSVSMGISSFGWAAPGEVVDIKAAAAARSSLDSASPTPSGGVLVDPNLQIMGLGLSSQPLDWNQSLFRGEKGEQNSYGSMLQQEMSSNANYHHHQHQHQQETTSEEQWRQRVLYSGGGGGSDEASVNDYNKQQQMGGGRAGFSLDQPPAHEYLGGGGGGGASTCQGLNTSFELDSSYGSPSTMLQAGLLASNSNNQPNFTARTSMGFPYNNNTPANYGAAGDVMSSSWSKFPQFLRTTSPPKQPQLHFSNNTTFWNATAAAMNDVRSTFFPSLHTQLPSSTVDEKPKNVSDVRDLSTSTAAAAKKTSNETPSGKRARNENPSPPMPPFKVRKEKMGDRITALQQLVSPFGKTDTASVLTEAIEYIKFLHDQVNALSAPYMKSGSSMQQHQQVNGKVVLHSC >itb13g22160.t1 pep chromosome:ASM357664v1:13:28506983:28511033:-1 gene:itb13g22160 transcript:itb13g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEEDRCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKESEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb02g10090.t1 pep chromosome:ASM357664v1:2:6494577:6499598:-1 gene:itb02g10090 transcript:itb02g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALPCNCLSIKSSHPRKPITHIPQAQLKTPTPTPYPEPKLIVTGGSNLSGHVSVSGSKNSALPILAATLCCSGSSKLQNVPDLSDTRAMASILESLGAKVDIFGGEMVVNADCIKSVEPDCSQIGKLRGGFFVIGPLLARFGEAVVGLPGGCDIGARPIDLYIKGLRSLGAVVQLRDGKVHAHAASGKGLVGGKIWLDYPSVGATETLMMAASMAEGRTVLSDAAQEPEVIDLARFLTKCGACIEGAGTDTVYINGRDRFHGSEFSIMPDRIEAGTFMLAAAITRSCISISHVIPSHLSSLIDKLSGAGCRIIWKTRDTLEISAVPRTTKDDLRGFDIKTHPFPGFPTDLQPPTMALLSTCNGSSVIEESVFDNRMGHVKELQKFGVEIQVFGSTALVFGKKNSNHLVGSHVTATDLRGGMSLVLAALAAEGISEICGISHLYRGYENLEMKLQGLGARIKVSNVQFH >itb15g15470.t1 pep chromosome:ASM357664v1:15:14399616:14404572:-1 gene:itb15g15470 transcript:itb15g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSTSRPSQSSSNSARSKHSARIIAQTSIDAKLHAEFEESGDSFDYSSSVRVTSVDAGVQKPRSDKVTTAYLHQIQKAKYIQPFGCLLALDEKTFKVIAFSENAPEMLTMVSHAVPSVGDHPVLGIGTDIRTIFTSPSAAALQKALGFGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIVDFEPVKPYEVPMTAAGALQSYKLAAKAIARLQSLPSGSMERLCDTMVQEVFELTGYDRVMIYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKHVRVVQDEKLSIDLTLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNDGDDEGEASESGRIQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKELELENQIVEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKSKVHRLGITPTDFQLHDIVSWLSEYHMDSTGLSTDSLYDAGFQGALALGDAICGMASVRISDKDWLFWFRSHTAAEVRWGGAKHEPDEKDDGRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFGKEADTMDTKANANAIHTKLNDLRIDGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNTKIAELTGLTVDEAIGKHFLTLVEDSSVHNVRKMLSLALQGKEEKNVQFEIKTHGQRSESGPISLIVNACASRDVQESVVGVCFIAQDITGQKTIMDKFTRIEGDYRAIIQNPNPLIPPIFGTDEFGWCSEWNSAMTNLSGWCRDEVMDKMLLGEVFGTQKACCRLKNQEAFVNLGVVLNNAITGQVSEKTRFGFFARNGKYVECLLSVSKRLDQEGAVTGLFCFLQLASQELQQALHFQKLSEQTAMKRLKVLAYIRRQVKNPLSGIMFSRKMLEGTELGKDQKSILHTSAQCQQQLSKVLDDTDLDCIIEGYLDLEMVEFKLDEVLQASISQVMTKSNGKSLRIINDIADNILCETLYGDSLRLQQILSEFLSVAVNFTPSGGQLALSSKLTKDNLGESIQLAHLEFRLTHTGGGVPEELLTQMFGSEADASEDGISLLISRKLVKLMNGDVQYLREAGRSTFIISVELAVASKPSS >itb09g19220.t1 pep chromosome:ASM357664v1:9:15433112:15435355:1 gene:itb09g19220 transcript:itb09g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEGQVSYEGHLDRLVRIAFHPCGKYLGTSFDTTRRLWDVETGWVLLRNMRWFLSRRFRPDAEFQAMVHYSHNPDAEFQAEKNETEGMLGYEEGVATFLFRLRLRLR >itb02g25380.t2 pep chromosome:ASM357664v1:2:26141919:26143761:1 gene:itb02g25380 transcript:itb02g25380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFHSHMVGCKRSEVRRRRWQVVAMSPFSIVPKTEQSGSINNSSKSFPSDADRSGGEALLTQQNNAVGIIGGVSVDSTLNFVNKLAKCDGENGFPFVLCSDPLLSKEMLSYERSSLPFLTGSRQPKRDHTSIVDSLRRKRMFLERSGARCIVMPCHIAHSWYEDVAAGSSICFLHMGECVAKELKEAKLRPLEAGSPLRIGVLATNTDLTAAFYQQKLQSEVASPPLFALNNDEYLFLICRSI >itb02g25380.t1 pep chromosome:ASM357664v1:2:26141837:26143761:1 gene:itb02g25380 transcript:itb02g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFHSHMVGCKRSEVRRRRWQVVAMSPFSIVPKTEQSGSINNSSKSFPSDADRSGGEALLTQQNNAVGIIGGVSVDSTLNFVNKLAKCDGENGFPFVLCSDPLLSKEMLSYERSSLPFLTGSRQPKRDHTSIVDSLRRKRMFLERSGARCIVMPCHIAHSWYEDVAAGSSICFLHMGECVAKELKEAKLRPLEAGSPLRIGVLATNTDLTAAFYQQKLQSEGFEVVVPDKATIEHTIIPAVEALTRKDIEGAQNLFRIALQVLLVRAVNTVIIASDDMRQVLPPDDPLLKKCIDPLDALARSTVKYALSAERCKEA >itb07g00550.t1 pep chromosome:ASM357664v1:7:374125:377821:1 gene:itb07g00550 transcript:itb07g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSSCSSPSSSPTVRALSFEEEEEIDKERDQLSLLAILVTLFRKSFWVACKTDSGGELCGPGRMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPTRAPSASTTVFGVSTESMQLSFDSRGNSVPTILLLMQRRLYAHGGLQAEGIFRINAENGQEENVRDHLNSGVVPEDIDVHCLAGLIKAWFRELPSGVLDSLSQEQVMQCDSEEDCVALVRLLPATEAALLDWAINLMADVVQEEHRNKMNSHNIAVVFAPNMTQMADPLTALMYVVQVMNFLKRLIEKTLREREDFLAELYPTPSLEPSGENGHQSPPQLIPGKNKKSVEGLTEVFSEKEPYLESFSDSNKLSNLANEEHTSTEESDTNGFSVHTTTNAREAGVMNFEQTDHKVGRSNNYKQANGPVTVNVLRAVVQSLGIDVKFQGISNFSRINSISERVETWR >itb12g23640.t2 pep chromosome:ASM357664v1:12:25303115:25308179:1 gene:itb12g23640 transcript:itb12g23640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALAAIRQPLFPASHRISPPQTATISSWRSSSSALSLRACTLQVQCCSFQSPPLATDEANFIEASRNGNLIPLHKCFFSDHLTPILAYRCLVEEDDHETPSFLFESVEPGFRGSTVGRYSVVGAQPTLEIVAKENKVTILDHVAGDLTDKVVEDPVTVARSISQGWKPKLIADLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPPDDRNLADIHLGLYDEVIVFDHVEKKVFIIHWVRLDQNSSIVKAYHEGMKRLEALVSKVQDINSPRLPRGSVDFQTHYFGPSLTKCNMSSGEYKNAVLQAKKHILVGDIFQIVLSQRFERRTLADPFEVYRALRVVNPSPYTAYLQARGCILVASSPEILTGVKKKIVVNRPLAGTTRRGKTPDEDLMLESQLLRDEKECAEHIMLVDLARNDVGKVSEPGSVNAEKLMTVERYSHVMHISSTVTGKLLDHLTCWDALRAALPVGTVSGAPKVALYLHFLLQSLSLIIKF >itb12g23640.t1 pep chromosome:ASM357664v1:12:25303115:25308179:1 gene:itb12g23640 transcript:itb12g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALAAIRQPLFPASHRISPPQTATISSWRSSSSALSLRACTLQVQCCSFQSPPLATDEANFIEASRNGNLIPLHKCFFSDHLTPILAYRCLVEEDDHETPSFLFESVEPGFRGSTVGRYSVVGAQPTLEIVAKENKVTILDHVAGDLTDKVVEDPVTVARSISQGWKPKLIADLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPPDDRNLADIHLGLYDEVIVFDHVEKKVFIIHWVRLDQNSSIVKAYHEGMKRLEALVSKVQDINSPRLPRGSVDFQTHYFGPSLTKCNMSSGEYKNAVLQAKKHILVGDIFQIVLSQRFERRTLADPFEVYRALRVVNPSPYTAYLQARGCILVASSPEILTGVKKKIVVNRPLAGTTRRGKTPDEDLMLESQLLRDEKECAEHIMLVDLARNDVGKVSEPGSVNAEKLMTVERYSHVMHISSTVTGKLLDHLTCWDALRAALPVGTVSGAPKVKAMELIDQLEPTRRGPYSGGFGVISFLGDMDIALALRTIVFLSNNTTYSYDDTKKRRGEWIAHLQSGAGIVADSDPESEQVECENKVAGLVRAIDLAESAFIKDRHQLSSRPNGSFSKQLFNT >itb13g13040.t1 pep chromosome:ASM357664v1:13:19503821:19506966:-1 gene:itb13g13040 transcript:itb13g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNNVIGCINFVAILLSIPIIGAGVWLATLPDNSCVKILQWPVIILGVLTLIVALAGFIGGFWRIPWLLIFYLVAMLVLIILLACLVVFVYMVTIRGSGHPEPSRAYLEYHLDDYSGWLRRRVRSSYKWDRIKTCLSSSPMCAQLNQTYTSALDFFNAHLSPMESGCCKPPTKCGYTYVNPTYWISPIDMTADMDCLNWNNDQSQLCYSCDSCKAGLLANLKKEWRRADIILLITLVGLVWVYVIGCCAFRNAKTEDLFRKYKQGSTYT >itb15g17970.t1 pep chromosome:ASM357664v1:15:19227740:19232213:1 gene:itb15g17970 transcript:itb15g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMELWWTIIFGRLVRDTSEQKDSGGAGLRCRGWRKTAAGGIGIARTATAVAELGFPSDAVVLSSLRRHRHPCLAELLLRYGDGEGCSSIRRLARLASRPGLAAASLSSARGGEMAALGGAASASAVFSSGERQHWCSTLCAAEAMAEEWRRRMLLRSAQRRFGGSPVFFPRFVAVLQLHLH >itb04g27380.t1 pep chromosome:ASM357664v1:4:31411992:31413247:-1 gene:itb04g27380 transcript:itb04g27380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRTQLLLFGLLLLLCNGAKAEFRDTPTWNPNLAPFEQWRSAYFCLLNFKANTTCIPKYVLSMKGWLNVTKQDGPGFCSSKCVNETRNVLHCISHTKRDFWFANKATVKDINQTIIDGCGQKGFTGVSYHPVTKKH >itb03g07290.t1 pep chromosome:ASM357664v1:3:5330070:5332774:-1 gene:itb03g07290 transcript:itb03g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNADPENTLMIEESVEIDPRRARFPCCIVWTPLPVLSWFIPIIGHIGICREDGVILDFAGPNFVCVDNFAFGAPARYIRIRKDECRVSRYPAPYEREDDRNYGETGNDATTWDGALHKSTEEFQHRSYNLLTCNCHSFVANALNRLGFQAGGWNVVSLAIYILLKGQWVRKASILQTCLPFLLVFGLGLTFGGGAFLTYWAIFVAVLVGWFLIGSYCFKTMIYL >itb09g23150.t1 pep chromosome:ASM357664v1:9:22312503:22316225:-1 gene:itb09g23150 transcript:itb09g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNEKGCVCVTGGTGFLASRLIMKLLQQGYAVNATVRSHRDGSPKDTSFLTNLEGAPGRLRIFVADLDNPESFSPAIEGCVGVFHVAQPMPDLEDPEDDDEAKYREAVRGAVRIFELCVKAGTVKRVVYTSSESAMVFNDEGAEVVDESCWSDVEYIRSSISREKAYSICKTMIEKAGFEFAHKSGLDLVTVIPPYIHGPFITPQCPYSVRLSMAWIFGDNDPIIEYQSVIPFVHVDDLASAHIFVFEHPNAEGRYTCCAVNTTLGELSAFLSTRYSHKYNIPLIKVSKEKGFKYPKESSKKLLVAGFQFKYGLEDMFDGAIECCKQRGLL >itb08g07930.t1 pep chromosome:ASM357664v1:8:6822728:6826669:1 gene:itb08g07930 transcript:itb08g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYDGSPKITQLETRRKQLTWILGVSGLCILFYILGAWQSTTPLPTTQSEVYTRVGCDNQSSQTGNPPEMATQPPSSSSSSSSSSSSVSSLPLQPAPFDFQSHHQLEVKDSVEPDNYAPCSMNFSEYTPCQYPPRGRKFPREKLRYRERHCPTKEELINCLIPAPPNYKRPFPWPQSRDYAWFANIPHKKLSVEKAVQNWVQVEGDRLRFPGGGTMFPLGADAYIDNINELIPLSNGAIRTAVDTGCGVASWGAFLLKRDIIAMSFAPRDTHEAQVWFALERGVPAMIGVMGAQKQPFPARAFDMAHCSRCLIPWTDHDGMYLTEVDRILRPGGYWILSGPPINWKQYWKGWERTKEDLKHEQDSIEDVARRLCWKKVTEKGDLSVWQKPLNHFGCSRRRSMASTMPLCKSNSSDEVWYKDMENCITPLPEVSNPDEIAGGALVNWPERAFAVPPRILRGSVPGITAETFKEDNEVWKQRLSYYKKLVSALPQGHYRNIMDMNAGLGGFAAALSKIPVWVMNVVPANIEPNTLGIIYERGFIGTYQDWCEAFSTYPRTYDLIHAGNVFSIYQDRCDISYILLEMDRILRPEGVVIFRDVVEVLVRVRSITDRMRWESHIVDHESGPFNPEKILVAVKTYWTGNIEGQQR >itb08g07930.t2 pep chromosome:ASM357664v1:8:6823143:6826669:1 gene:itb08g07930 transcript:itb08g07930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYDGSPKITQLETRRKQLTWILGVSGLCILFYILGAWQSTTPLPTTQSEVYTRVGCDNQSSQTGNPPEMATQPPSSSSSSSSSSSSVSSLPLQPAPFDFQSHHQLEVKDSVEPDNYAPCSMNFSEYTPCQYPPRGRKFPREKLRYRERHCPTKEELINCLIPAPPNYKRPFPWPQSRDYAWFANIPHKKLSVEKAVQNWVQVEGDRLRFPGGGTMFPLGADAYIDNINELIPLSNGAIRTAVDTGCGVASWGAFLLKRDIIAMSFAPRDTHEAQVWFALERGVPAMIGVMGAQKQPFPARAFDMAHCSRCLIPWTDHDGMYLTEVDRILRPGGYWILSGPPINWKQYWKGWERTKEDLKHEQDSIEDVARRLCWKKVTEKGDLSVWQKPLNHFGCSRRRSMASTMPLCKSNSSDEVWYKDMENCITPLPEVSNPDEIAGGALVNWPERAFAVPPRILRGSVPGITAETFKEDNEVWKQRLSYYKKLVSALPQGHYRNIMDMNAGLGGFAAALSKIPVWVMNVVPANIEPNTLGIIYERGFIGTYQDWCEAFSTYPRTYDLIHAGNVFSIYQDRCDISYILLEMDRILRPEGVVIFRDVVEVLVRVRSITDRMRWESHIVDHESGPFNPEKILVAVKTYWTGNIEGQQR >itb10g19230.t1 pep chromosome:ASM357664v1:10:25081459:25085298:-1 gene:itb10g19230 transcript:itb10g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKLSSLLSWLFLAMAFIPIIPCDTATNITTDQSSLLSLKSYTTLSPNHTLANNWSITTSICNWIGVVCGSKHHRVVALDISSMGIMGTLSPQLGNLSFLVSLNISYNSFYGNLPRELANLRRLQYIDFGYNNFSGKIPVELGNLENAKWLILKVNQLDGPIPFTIFNISTLQNLVLNNNSLSSSLPMKLCQHATRLKVFRLHFNKLNGEIPKNLSSCSKLEDLRLSNNNFVRTIPREMGSLNMLRILMLGNNNLEGKIPRELGNLDKLEIFWSDNNRLSGSVPWEIFNMSTLEKLSLEYNNLSGTLPPSLGYWLSNLKELYLRNNYIGGVIPAQISNASNLAVIEFSQNQFTGFIPNSLGNLAQLNFLSLVENNLTTDPQFSLMISLANCRYIHTIELSTNPLNALLPNAISNLSTTLQYFIIENCNIWGRIPQGIGNLSSLFLLSFWSNDIIGILPTTIQALQSLQLLDISENRLLGSFPNVICELQNLFWISLGKNKFSGPILDCLGNISSLREIYLYENKFTVFPPTLWSLENLLRLDLHFNNLSGSLPQEIGNAKKTILIDLSYNNLSGEIPSSIEGLTEIIKFSVAHNKIHGSIPDTIGKLLDLHSLDLSDNKISGMIPKSLEGLVSLSYFNVSYNRLNGEIPSGGPFANFTFESFLGNDGLCGTSRMHVPPCPANTPRMHQSIKNKLVLIALVSLAVLIVLTASVSVLCIIFKRHSREEGLVSTRSDMYSYGIMLLEVFTKTQPGDEMFSEELSLRSWVHSALPTNIGMIIDPNLLGPDEEKYNEKLQCVSAIFELGMKCSAQSARERMTIKDALPALEKIKVQLLSLYQYSK >itb03g23760.t1 pep chromosome:ASM357664v1:3:22009975:22010538:-1 gene:itb03g23760 transcript:itb03g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVSEIPPEGLKGLGTKIIDDEDED >itb09g27990.t1 pep chromosome:ASM357664v1:9:28631145:28632407:1 gene:itb09g27990 transcript:itb09g27990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHHWAKMGEGGGEVVLVRPPAEGRRCWCGGLCGGAGAVLSAAMLVRWCGALCVREADEVVFGSWEGASVLGEGAASRRGGCGGAGAVDGGVCGGAGAVVFAAVLVR >itb10g05100.t2 pep chromosome:ASM357664v1:10:5132494:5141743:-1 gene:itb10g05100 transcript:itb10g05100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANLLRRRSSSSLPLLLGTVVVLWFCSSHHVTATGSKVEYLPGVQPEGPLPFELETGYIGVGESEDIQLFYYFVKSESNPSIDPIVLWISGGPGCSSFVAMTEELGPLLFDLPKNNWSLPTLSLNPFSWTKVASFIFLELPVRTGFSYVKSSKQYRVNDVETSYQAAEFIRKWLEDHFQYQSNSFYVGGDSYSGITVPMVVQAISDGIDARFKPLINLKGYLIGNGVTIQADNNFDDTFQTARGFSLLSIEQYKSAVACKGKDCLDELGRLTTGEHYYWPNVVGEENDAKRFRGYNVHAFDRHLIFKAALIASQWMNHDNVLEALHVRKGCGEKWAKCRIPLHYERTVHDTRPYHANLSTKGYRSLIYRWTKSLNYSIIEDWRPWLINNRIAGYTRTFSNNMTYAKILGSDHIAPTITPADCFIMFKRWISHEQL >itb10g05100.t1 pep chromosome:ASM357664v1:10:5132494:5141743:-1 gene:itb10g05100 transcript:itb10g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANLLRRRSSSSLPLLLGTVVVLWFCSSHHVTATGSKVEYLPGVQPEGPLPFELETGYIGVGESEDIQLFYYFVKSESNPSIDPIVLWISGGPGCSSFVAMTEELGPLLFDLPKNNWSLPTLSLNPFSWTKVASFIFLELPVRTGFSYVKSSKQYRVNDVETSYQAAEFIRKWLEDHFQYQSNSFYVGGDSYSGITVPMVVQAISDGIDARFKPLINLKGYLIGNGVTIQADNNFDDTFQTARGFSLLSIEQYKSAVACKGKDCLDELGRLTTGEHYYWPNVVGEENDAKRFRGYNVHAFDRHLIFKAALIASQWMNHDNVLEALHVRKGCGEKWAKCRIPLHYERTVHDTRPYHANLSTKGYRSLIYSGNADLYVTSLSTERWTKSLNYSIIEDWRPWLINNRIAGYTRTFSNNMTYAKILGSDHIAPTITPADCFIMFKRWISHEQL >itb07g16840.t1 pep chromosome:ASM357664v1:7:20814992:20815983:1 gene:itb07g16840 transcript:itb07g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIAVRFVKSEEKLLLIGKFAKQRPALERIRAAIRQVLRLDGDVQVGSLDQRSILLRFDSEADCRRSWMWSQILIEGCRLWISRWTPEWRSDSDLPLTLVWFQLPNLQVHLFDFDAISHICASIRRAIALDSATSRKSRPSVAKVRLEINVLHSIPERIWIEYANGDGESEGFWQSIVREKVPVYCSCCRRFGHSIGNCWRVRSENGERVDVREAEEVRDGDAQNSVGGEAEKRESQKEVEASHLVGPGWDEGLVGSGPDEATLAHMADEIIYEAAMGHIEQIVERIMSENELVDSQERVRKTHGI >itb01g31000.t1 pep chromosome:ASM357664v1:1:34820449:34826924:-1 gene:itb01g31000 transcript:itb01g31000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMSRIRSLDAYPKINEDFYSRTISGGVITLASSIVMLLLFISELRLYLHAVTETKLVVDTSRGETLRINFDVTFPALPCSILSVDAMDISGEQHLDVRHDIIKKRIDSHGNVIETRQDGIGAPKIERPLQKHGGRLEHNETYCGSCYGAETSDDHCCNSCEDVREAYRKKGWAVSNPDLIDQCKREGFLQRIKEEDGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHKINRLAYGDYFPGVVNPLDSVQWTQNTPNGMYQYFLKVVPTVYTDVNGYTIQSNQFSVTEHFKGSEAGQLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTSVCAIVGGVFTVSGILDSFIYHGQKAIKKKMELGKFS >itb01g31000.t2 pep chromosome:ASM357664v1:1:34820449:34826924:-1 gene:itb01g31000 transcript:itb01g31000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMSRIRSLDAYPKINEDFYSRTISGGVITLASSIVMLLLFISELRLYLHAVTETKLVVDTSRGETLRINFDVTFPALPCSILSVDAMDISGEQHLDVRHDIIKKRIDSHGNVIETRQDGIGAPKIERPLQKHGGRLEHNETYCGSCYGAETSDDHCCNSCEDVREAYRKKGWAVSNPDLIDQCKREGFLQRIKEEDGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHKINRLAYGDYFPGVVNPLDSVQWTQNTPNGMYQYFLKVVPTVYTDVNGYTIQSNQFSVTEHFKGSEAGQLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTSVCAIVGGVFTVSGILDSFIYHGQKAIKKKMELGKFS >itb03g09770.t1 pep chromosome:ASM357664v1:3:7594654:7596667:1 gene:itb03g09770 transcript:itb03g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEATLPYIFLCVIFLFIALKRFSGRRYHNLPPTPFPKLPIVGHLHLLKPPIHRSLHKISLKYGPIFTVQFGVRRVVVVSSPSAVEECFTKNDIVLANRPRTMAGKYLGYNYTNLSGSPYGDHWRNLRRICALEIFSTSRLNSFKSIRQDEVKILLQKLVQSSRHGLGTVELKSRFSELSFNIIMRMTGGKRYFGVEEDNKEAMEFRALIKEAFLYGGTSNLLDFLPFLGWINYKNLEKNLKKLSERMDSFLQGLIDEHRLDKSRNTMIDHLLSLQESQPEYYTDQIIKGLMMVMLLAGTDTSAVTMEWAMAILLNHPRVLDKARAEIDNLVGQERAIEEEDLPKLKYLQSIILETLRLFPAAPLLVPHYSSDDCKIGGYDIPRDTMVLVNAWAIHRDPKVWEDPTSFRPERFDGGEGESTKVLPFGMGRRSCPGSGLAQRVVGLALGSLIQCFEWQRIGEEEVDLAEGKGVTAPKAEPLVVRCKARDFAHEILSQKAY >itb01g07530.t2 pep chromosome:ASM357664v1:1:5945941:5953503:-1 gene:itb01g07530 transcript:itb01g07530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLRWQEDGHREVVRRRTRNHPYGHCLVVGITKYLSKSFLCAFPCGICFPLINLNLRLHIIYLKIWPGKSKVLLWKPISLLMVERHNQKLLFINMGQRNIPSNRQMIDLESDQQGQDYIHPEPCVFYGSVTTFPQLNAHTLGHVPTLGNAGNMYLHHLPEGPEYHDSALFYGMPQYNSTIHTHPAANLDPAIATSSNHYNPYMGAPAATCDFPFPINHATHDQLQFSSTQSMAGIHTDNNGWNTPYVDDVRGSFKRKMAEGFHRNLQHHHSMMASSSSAAPMISNAHGSDTCLTAAASHMQPSNCESLMFAEDGSLRSVMNGSGVSGSESVAAHSFSHINQVNYAGQSFQLAGNPQLDMQFCSSSGQSETWAWNQGAPLPYMQGSMGGCLEAGVVGVQGYQVTANNRSLTSFMHPPIPLVHSNAHHMLPSLQGMRGHNINFPPQVASSSRRHIANGSSTNNINPFPGAVEGGGPRYIAPFPPTGIRLYRPRRRDFTLETNNTRHRNIPNVRVLPDDGVAMLEIPGYGEVGDSDDQHRDMRMDIDHMSYEELLALGDQIGSVTTGFSEEAVISHLKTRLFTFPTTSSSSECAECLDQETDFCVICQNGYEDQENIGRLECGHEYHVDCIKKWLIVKNSCPVCKSAALPTEQKDS >itb01g07530.t1 pep chromosome:ASM357664v1:1:5945941:5953503:-1 gene:itb01g07530 transcript:itb01g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLRWQEDGHREVVRRRTRNHPYGHCLVVGITKYLSKSFLCAFPCGICFPLINLNLRLHIIYLKIWPGKSKVLLWKPISLLMVERHNQKLLFINMGQRNIPSNRQMIDLESDQQGQDYIHPEPCVFYGSVTTFPQLNAHTLGHVPTLGNAGNMYLHHLPEGPEYHDSALFYGMPQYNSTIHTHPAANLDPAIATSSNHYNPYMGAPAATCDFPFPINHATHDQLQFSSTQSMAGIHTDNNGWNTPYVDDVRGSFKRKMAEGFHRNLQHHHSMMASSSSAAPMISNAHGSDTCLTAAASHMQPSNCESLMFAEDGSLRSVMNGSGVSGSESVAAHSFSHINQVNYAGQSFQLAGNPQLDMQFCSSSGQSETWAWNQGAPLPYMQGSMGGCLEAGVVGVQGYQVTANNRSLTSFMHPPIPLVHSNAHHMLPSLQGMRGHNINFPPQVASSSRRHIANGSSTNNINPFPGAVEGGGPRYIAPFPPTGIRLYRPRRRDFTLETNNTRHRNIPNGVAMLEIPGYGEVGDSDDQHRDMRMDIDHMSYEELLALGDQIGSVTTGFSEEAVISHLKTRLFTFPTTSSSSECAECLDQETDFCVICQNGYEDQENIGRLECGHEYHVDCIKKWLIVKNSCPVCKSAALPTEQKDS >itb04g13430.t1 pep chromosome:ASM357664v1:4:13507270:13510970:1 gene:itb04g13430 transcript:itb04g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFYPDSSSIPPGLRHPSVQGILEAGKPFYRLHCLAPTNRFAKEVTKRVETADGVWKHWNWRTEGDLMLNGAYFIASGRVLVAKASGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPHGSVSSHHYAQTQFSSCVSDNLSDRVQSLEIGIGDGDFTGMPFVLLSAGCCKSISYSVSAKLKTTDQQSGSAEQTSRANQLRP >itb07g05190.t1 pep chromosome:ASM357664v1:7:3514906:3522234:-1 gene:itb07g05190 transcript:itb07g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSADLNLTWVLQFIATVFLIALALLHLLKNTASKYFVVDASFDSTAAAHHRKMEVLDDGCIVCRSPASKHCSRCKMVKYCSETCQRSHWKSEHKLKCIDSRPTCKDKLVLATSTSRRRKTSVVSLVPASGSSKILNQSRKILFPYEEFVQLFNWDKPGFPPCGLLNCGNSCFANVVLQCLVYTKPLIAYILEKGHARECKRNDWCFFCEFERHVERARQSSQPFSPINILSRLPSLGGNLGYGKQEDAHEFMRFAIDTMQLVCLDEFGGEKAVHPNSQETTLIQHIFGGRLQSQVICTQCKNISNQYENMMDLNVEIHGDVASLEECLDQFTAKESLHGDNMYKCDRCNDYVGAWKRLMICRAPNILTIALKRFQRGRFGKLNKRVTFPETLDLRPYTSESSESDDVYRLYAVIVHVDMLNASFFGHYICYIKDFCGRWYRIDDCKVASVDLDEVLSQGAYMLLYSRISARPTSLYPVENLKNEKQDVVKVEEVHPCLNNHVESATAESLDPPLDSGLLSTDKSLDTKTNIAKDEVYPQSNFEVSMEEKVDSEASQSDTKEFRENGGSFAAEETDTSAERAQSLVSSAQPVISGVDTEAGINQASSNADLLRNSTEDSQTDSHGNGEIQCDVSSSLSSCGEIVENGAHSTVKHDDKVNHSNGANGIQDGLNKSDGGREKLKPLLSPGFLGKRPRNICVKQGAKVAYEVSKLTLHDGPNGQSNGCLNNPNCESNHAAKHVEKPCIENNSSAIVNKQDGNGHLQSRELSSLCNEDSGLPQRS >itb11g08700.t1 pep chromosome:ASM357664v1:11:5707266:5708145:1 gene:itb11g08700 transcript:itb11g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPFCVSSTVVAICKSAPTRRAKPAANRVLVRSGSNASGSNASINNMSMQESSSSSENKIKVFEDKSKGIVCYRDENGEITCEGYDEGPRFCQQFPKFSCNSRESEIIDLLQRCWLQVIDGAEM >itb10g04050.t1 pep chromosome:ASM357664v1:10:3817738:3820787:1 gene:itb10g04050 transcript:itb10g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQELLKAWTEACAATTPSTIVIPKGTFEMKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb01g36100.t2 pep chromosome:ASM357664v1:1:37979055:37985580:1 gene:itb01g36100 transcript:itb01g36100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MVTDYSNNENVAKPETESAIMPKTEALDYDLPAENMYPQPMGNDVGSSSGSNVRSSLLAMGFSPSLVDRAIEENGDDNVDLLLETLFTYPDLNRSDSSDSLDSLFGDEKDPVSLAHRDSELPIKEELDICVVRDEKKESLLAMDFSLDEVEFAMSKLGREALVTELVDFILAARIAGNLEREPNLVDAKENEQEFITETLFGTMEKTLRLIEMGFSENEISTAIEKYGSEIPLEELAESIVSDKIPNSSIKREKYFLTSLGTNSSSARSRCRPLSIASHDGLGGHCFDPLAVKREEPTSETYYESSDLDLLQKFRGKRPKEEYIDQSSNLKRPKQEYDEGFSSSLGPEWEETNFRNFPPFSRACSSVSKRRVPHKARLLENVAAPRMSMPSSCRSLDRMVAKPPYFFYGTIMNLSHDTWVKISQFLYAIEPEFVNTQSFSALSRREGYVHNLPTGPRFHILPKPPMTIQEVMPHTSKWWPSWDTRKQLSYINAETSGISQVRDRIERILADSRGLVSAERQKDIIRQCETLNLVWVGRNRLGPIEAENVESILGYPINHTRTAGLSLMDRLQVLKHCVQIDTLAYHLSILKSLYPGGLKMLSIYNGIGGAEIALHRLGIELKVVVCVEASESKRRILKQWWESSGQKGELVQIEDVQKLASNRLEVLVQKYGGFDFIICQNPCTYALKSSVAADFDGPAGLDFTMFYEFVRVLQRVRSKMERNQ >itb01g36100.t1 pep chromosome:ASM357664v1:1:37978750:37985628:1 gene:itb01g36100 transcript:itb01g36100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MVTDYSNNENVAKPETESAIMPKTEALDYDLPAENMYPQPMGNDVGSSSGSNVRSSLLAMGFSPSLVDRAIEENGDDNVDLLLETLFTYPDLNRSDSSDSLDSLFGDEKDPVSLAHRDSELPIKEELDICVVRDEKKESLLAMDFSLDEVEFAMSKLGREALVTELVDFILAARIAGNLEREPNLVDAKENEQEFITETLFGTMEKTLRLIEMGFSENEISTAIEKYGSEIPLEELAESIVSDKIPNSSIKREKYFLTSLGTNSSSARSRCRPLSIASHDGLGGHCFDPLAVKREEPTSETYYESSDLDLLQKFRGKRPKEEYIDQSSNLKRPKQEYDEGFSSSLGPEWEETNFRNFPPFSRACSSVSKRRVPHKARLLENVAAPRMSMPSSCRSLDRMVAKPPYFFYGTIMNLSHDTWVKISQFLYAIEPEFVNTQSFSALSRREGYVHNLPTGPRFHILPKPPMTIQEVMPHTSKWWPSWDTRKQLSYINAETSGISQVRDRIERILADSRGLVSAERQKDIIRQCETLNLVWVGRNRLGPIEAENVESILGYPINHTRTAGLSLMDRLQVLKHCVQIDTLAYHLSILKSLYPGGLKMLSIYNGIGGAEIALHRLGIELKVVVCVEASESKRRILKQWWESSGQKGELVQIEDVQKLASNRLEVLVQKYGGFDFIICQNPCTYALKSSVAADFDGPAGLDFTMFYEFVRVLQRVRSKMERNQ >itb01g36150.t1 pep chromosome:ASM357664v1:1:38045012:38047659:1 gene:itb01g36150 transcript:itb01g36150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDTATSPELALSDTDICWDRLDKGRFHITGAILFTAQSALIHPTAVVKTRMQVAAASSGFSHMTGLSVFKHILKNDGIPGIFRGFGTSAIGSLPGRVLALTSLEVSKDMMLKHTQGIDMPEATRVGIANGVAGMVSNLISCVYFVPLDVICQRLMVQGVPGSKLCNGTFDVIRTVMKDEGVRGMYRGFGLTAVYQSPASALWWGAYATAQHIIWRSLDYSDDMGKKPSHMEMVTVQATAGMLAGACSSVVTTPIDTVKTRLQVMDEYGVGRPSVMKTTKILLQEEGWRGFYRGFGPRFLNMSFYGVTMIVTYELIKRLSVKQT >itb01g36150.t2 pep chromosome:ASM357664v1:1:38045012:38047659:1 gene:itb01g36150 transcript:itb01g36150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METDTATSPELALSDTDICWDRLDKGRFHITGAILFTAQSALIHPTAVVKTRMQVAAASSGFSHMTGLSVFKHILKNDGIPGIFRGFGTSAIGSLPGRVLALTSLEVSKDMMLKHTQGIDMPEATRVGIANGVAGMVSNLISCVYFVPLDVICQRLMVQGVPGSKLCNGTFDVIRTVMKDEGVRGMYRGFGLTAVYQSPASALWWGAYATAQHIIWRSLDYSDDMGKKPSHMEMVTVQATAGMLAGACSSVVTTPIDTVKTRLQVGYGRVWGWETVSNEDDKDTPPRRGMERVLQRLWTKVP >itb01g26710.t1 pep chromosome:ASM357664v1:1:31803973:31805889:1 gene:itb01g26710 transcript:itb01g26710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVVTFVQSLWPFSIFKRDDLRVSEGLVSKLSIPDCTKQFVYAVPEPESGAVIYVVCVQNLSEQSAMDAECLIREIRPDAVVVQVDNMTNELHRDGNESINDKGFMKFLFAGGNDEDCEENSVPTSAIEVLKRCFMHKINKEKYENVAGNLVLREIFGVGFDGYFLAAKKAAEDVGSALLLLDSPFVKRNEDNECSTVGDEGYPNGFGVLGLKSFNNLFPQRAGQIMMPVNWQGFRLTNDIQTQMVKLLSSHLVNLTSVANNESENIQPHIQYQAPQFAQSVYPLLVDLHDIFGDIPSTGTALASAQKMLYDVNRGEMVNAELLSNVYIFRIAVEVLRIALSNAGRLPISKTEDANDEFSELSIEEKSHALLAQALRSQTKKYKSIVAVVDASSLAGLRKYWNTCVPLEIKDMVEQLAANWESDEISKSDRKSLLAGKPVVAVGAGATAVIGASSLSKVVPLSTIMKVVTFKVPASLKLVMTQTQKVAAIMLGKSKVVAPGMASSSLKSSVFKAVASTEKIRVVAHSAIASAEKTSLSAMRSAFYEIMRKRHIRPIGFLPWATFGCSIATCTGLLVYGDGIECVAESLPAAPSIASLGRGIQSLREASQAMRQSESSRIQNSLESLLYRFKKIKVQ >itb06g16030.t2 pep chromosome:ASM357664v1:6:20246069:20253502:1 gene:itb06g16030 transcript:itb06g16030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRQMSRNFSRNFSRSVSRSASRASRIMEEVFTPVSARRSTRAEEDEEALRWAALEKLPTYDRLRKTVLKSYADNEDDKVMHKEVDVRKLDMNVRQDFIDRTFKVAEEDNERFLKKLRNRIDKVGISLPTVEVRFEHLTVEADCHVGDRALPSLLNTARNLGESALGCVGIRLAQKTKLTILKDVSGIVKPSRMTLLLGPPSSGKTSLLLALAGKLDPTLKVKGNITYNGHKLKEFVPQKTSAYISQNDVHVGEMTVKETLDFSARCQGVGSRYELLTELARREKDAKIFPDAEIDLYMKATAVEGVNESLVTDYTLRILGLDVCRDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEGTILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREHVLEFFESCGFKCPERKGTADFLQEVTSRKDQEQYWADKRKPYRFIPVKEFARKFNSFHVGLSLENELSVPYDKSRNHQAALVFKKYTVPVKELLKANFDKEWLLIKRNSFVYIFKTVQIIIVAIIASTVFFRTKLHTRNEDDGGIYIGALLFAMIINMFNGFSELTMTIQRLPVFYKQRDLLFHPPWAFTLPTFLLKIPISVFESTVWMVITYYTMGFAPEASRFFKQFLVIFLVQQMAAGLFRLIAALCRTMVIANTGGSLSLLLVFLLGGFILPKGQIPDWWGWGYWISPMTYGFNALTVNEMFAPRWMNKFVSGERLGTKVMENYDVFAEKRWFWIGSAALMGFAVFFNVLFTFALTYLNPLEQKQAIISKEQAKEMENEQEESTESPRLRTTKSRNDGLPHSLSAADGNNTREMEIQRMSSRTNTNGLSRNEDSSLESANGIAQKKGMILPFTPLAMSFDDVKYFVDMPAEMRDQGVTEDRLQLLKGVTSTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARVSGYCEQNDIHSPQVTVHESLIYSAFLRLPKEVSNEDKMIFVNEVMDLVELDNLKDAIVGIPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSQKIIEYFEAVPGVPKIKEKYNPATWMLEASSISTELRLGMDFAEHYKSSSLHQRNKELVKELSTPPPGANDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALLVGTIFWNIGGKIETGGDLMTVIGAMYAAVLFVGISNCSTVQPIVATERTVFYREKAAGMYAALPYAMSQVSNHNHNHVFSSRFQEIQNLIERSGNLPCRLFVKYHMFLFKLHFTLS >itb06g16030.t1 pep chromosome:ASM357664v1:6:20246069:20253502:1 gene:itb06g16030 transcript:itb06g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRQMSRNFSRNFSRSVSRSASRASRIMEEVFTPVSARRSTRAEEDEEALRWAALEKLPTYDRLRKTVLKSYADNEDDKVMHKEVDVRKLDMNVRQDFIDRTFKVAEEDNERFLKKLRNRIDKVGISLPTVEVRFEHLTVEADCHVGDRALPSLLNTARNLGESALGCVGIRLAQKTKLTILKDVSGIVKPSRMTLLLGPPSSGKTSLLLALAGKLDPTLKVKGNITYNGHKLKEFVPQKTSAYISQNDVHVGEMTVKETLDFSARCQGVGSRYELLTELARREKDAKIFPDAEIDLYMKATAVEGVNESLVTDYTLRILGLDVCRDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEGTILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREHVLEFFESCGFKCPERKGTADFLQEVTSRKDQEQYWADKRKPYRFIPVKEFARKFNSFHVGLSLENELSVPYDKSRNHQAALVFKKYTVPVKELLKANFDKEWLLIKRNSFVYIFKTVQIIIVAIIASTVFFRTKLHTRNEDDGGIYIGALLFAMIINMFNGFSELTMTIQRLPVFYKQRDLLFHPPWAFTLPTFLLKIPISVFESTVWMVITYYTMGFAPEASRFFKQFLVIFLVQQMAAGLFRLIAALCRTMVIANTGGSLSLLLVFLLGGFILPKGQIPDWWGWGYWISPMTYGFNALTVNEMFAPRWMNKFVSGERLGTKVMENYDVFAEKRWFWIGSAALMGFAVFFNVLFTFALTYLNPLEQKQAIISKEQAKEMENEQEESTESPRLRTTKSRNDGLPHSLSAADGNNTREMEIQRMSSRTNTNGLSRNEDSSLESANGIAQKKGMILPFTPLAMSFDDVKYFVDMPAEMRDQGVTEDRLQLLKGVTSTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARVSGYCEQNDIHSPQVTVHESLIYSAFLRLPKEVSNEDKMIFVNEVMDLVELDNLKDAIVGIPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSQKIIEYFEAVPGVPKIKEKYNPATWMLEASSISTELRLGMDFAEHYKSSSLHQRNKELVKELSTPPPGANDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALLVGTIFWNIGGKIETGGDLMTVIGAMYAAVLFVGISNCSTVQPIVATERTVFYREKAAGMYAALPYAMSQVVCEIPYVLVQTTFYTLIVYAMVAFEWTAAKFFWFYFVTFFSFLYWTYYGMMTVSITPNHQVAAIFAAAFYALFNLFSGFFIPRPRIPKWWIWYYWICPVAWTVYGCIVSQYGDVEQTIKVPGMTFDPQIRDYIKDHFGYEPDFMGPVAAALIGFAVFFAFMYSYCIKTLNFQLR >itb01g17660.t1 pep chromosome:ASM357664v1:1:22450736:22452755:-1 gene:itb01g17660 transcript:itb01g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFLLGFLMAVLEIAGAHESIGICYGTLGNDLAPAAEVIQLYKTINITKMRLYNPNAAALQALRGSNIQLTLGVPNEDLMRLASDRSAAYQWVQENVRSYWPEVRFRYIAVGNEISPVKRDTASVADYVLPAIRNIHAAVSSAGLQNDIKVSTSIDMTLLSHSFPPSEGRFSDDLGSYVKPIVEFLANTNSPLLVNIYPYFTHTQNPADVSLPYALFTSPAVEVWDGEFGYRNLFDAMLDATYAAVSRAGGANVEIVVSESGWPSAGGLAATPENAFTYTKNLIRHVKGGTPRRPNKAIEVYLFAMFDENSKPGPELERHFGLFSPNKQAKYPLDFSA >itb07g02790.t1 pep chromosome:ASM357664v1:7:1803431:1804319:-1 gene:itb07g02790 transcript:itb07g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFPPNSFAQENNQEAAGTVTGKIEKEGNEDSPQPSASGMASLAIDGVTSRALRSISSAAGEAGRRMLRPPVQSDSGGRREQDEASLPYLPSLRLWLPSEVCKALGIAEEQCELSMGMSSEFKLAIEMGSTNVRVRSTIFGAREYPKLSSPIMKIHLYQQRRAFSLSVTKHQHSEAPAAGQSGSGDAFPLFSPSLLSKLAAGSEVVC >itb06g23810.t2 pep chromosome:ASM357664v1:6:25589534:25592894:-1 gene:itb06g23810 transcript:itb06g23810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAKGKGSVKNQYVNGVVMGVPPLGPSRSLTGGYGLWGDGSSSSSFFPFDHSGNQALNGRNSVSGHLSSSGVLKGYNEENLGLCDDLYRMNIGKGAGEYGGSNGKLGSNESGVGFEEVWMNGTVGSNLKCNIGSLKGSESVLLDSHKYSFGGQVYNVDDSVRPFCSDSQKGRMDHLLEMSKWQKRGESEFQNPCVMNRPSFGGDGEGLFSHGNDDGGGGNNGLSRLQRSELINRGLGLNHPCFMNGASGDEGFWSSQYPVTDDGDNKLLSPFIGSQLMKHRQGWNSGYDCSTPVLNQWPRGLPNGMVDPSFWPNSRDCETVGSKQNFISLEEALKCYANDNLNCLKAQKMNEASMPRFREKNIKTYNEECQHGGFCQDDLRHSVAYREGSRGDGFGISVTSPMPSQPVHNSLMSVQDYICKIAKDQLGCRALQRAFDQGTFQDVQLIFDGIIDHIVEFMVDQFGNYLVQKLLNFCNDEQRLQIVLRVTREPGQLVRISLDTHGTRAVQKLIDTMKTRQEISLVIQALEPGFLDLATDLNGNHVLQRFLQSLSKEHNKFIMNAAAKYCFEIATHRYGCCVLNKCIGYASGKHREKLLACISFHALILAQDAFGNYVIQYIIELKNHSAASLLLSQFEGHFVHLSKQKFSSHVVEKCLKFIEESRAIIIHELISVPQFEQLLQDPFANYVIQSALGVAKGPLRALLVDAVRPHVALLRSNPFCKKILSQNILKKK >itb06g23810.t4 pep chromosome:ASM357664v1:6:25589534:25592837:-1 gene:itb06g23810 transcript:itb06g23810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPLGPSRSLTGGYGLWGDGSSSSSFFPFDHSGNQALNGRNSVSGHLSSSGVLKGYNEENLGLCDDLYRMNIGKGAGEYGGSNGKLGSNESGVGFEEVWMNGTVGSNLKCNIGSLKGSESVLLDSHKYSFGGQVYNVDDSVRPFCSDSQKGRMDHLLEMSKWQKRGESEFQNPCVMNRPSFGGDGEGLFSHGNDDGGGGNNGLSRLQRSELINRGLGLNHPCFMNGASGDEGFWSSQYPVTDDGDNKLLSPFIGSQLMKHRQGWNSGYDCSTPVLNQWPRGLPNGMVDPSFWPNSRDCETVGSKQNFISLEEALKCYANDNLNCLKAQKMNEASMPRFREKNIKTYNEECQHGGFCQDDLRHSVAYREGSRGDGFGISVTSPMPSQPVHNSLMSVQDYICKIAKDQLGCRALQRAFDQGTFQDVQLIFDGIIDHIVEFMVDQFGNYLVQKLLNFCNDEQRLQIVLRVTREPGQLVRISLDTHGTRAVQKLIDTMKTRQEISLVIQALEPGFLDLATDLNGNHVLQRFLQSLSKEHNKFIMNAAAKYCFEIATHRYGCCVLNKCIGYASGKHREKLLACISFHALILAQDAFGNYVIQYIIELKNHSAASLLLSQFEGHFVHLSKQKFSSHVVEKCLKFIEESRAIIIHELISVPQFEQLLQDPFANYVIQSALGVAKGPLRALLVDAVRPHVALLRSNPFCKKILSQNILKKK >itb06g23810.t5 pep chromosome:ASM357664v1:6:25589534:25592375:-1 gene:itb06g23810 transcript:itb06g23810.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLEMSKWQKRGESEFQNPCVMNRPSFGGDGEGLFSHGNDDGGGGNNGLSRLQRSELINRGLGLNHPCFMNGASGDEGFWSSQYPVTDDGDNKLLSPFIGSQLMKHRQGWNSGYDCSTPVLNQWPRGLPNGMVDPSFWPNSRDCETVGSKQNFISLEEALKCYANDNLNCLKAQKMNEASMPRFREKNIKTYNEECQHGGFCQDDLRHSVAYREGSRGDGFGISVTSPMPSQPVHNSLMSVQDYICKIAKDQLGCRALQRAFDQGTFQDVQLIFDGIIDHIVEFMVDQFGNYLVQKLLNFCNDEQRLQIVLRVTREPGQLVRISLDTHGTRAVQKLIDTMKTRQEISLVIQALEPGFLDLATDLNGNHVLQRFLQSLSKEHNKFIMNAAAKYCFEIATHRYGCCVLNKCIGYASGKHREKLLACISFHALILAQDAFGNYVIQYIIELKNHSAASLLLSQFEGHFVHLSKQKFSSHVVEKCLKFIEESRAIIIHELISVPQFEQLLQDPFANYVIQSALGVAKGPLRALLVDAVRPHVALLRSNPFCKKILSQNILKKK >itb06g23810.t1 pep chromosome:ASM357664v1:6:25589534:25592306:-1 gene:itb06g23810 transcript:itb06g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSFGGDGEGLFSHGNDDGGGGNNGLSRLQRSELINRGLGLNHPCFMNGASGDEGFWSSQYPVTDDGDNKLLSPFIGSQLMKHRQGWNSGYDCSTPVLNQWPRGLPNGMVDPSFWPNSRDCETVGSKQNFISLEEALKCYANDNLNCLKAQKMNEASMPRFREKNIKTYNEECQHGGFCQDDLRHSVAYREGSRGDGFGISVTSPMPSQPVHNSLMSVQDYICKIAKDQLGCRALQRAFDQGTFQDVQLIFDGIIDHIVEFMVDQFGNYLVQKLLNFCNDEQRLQIVLRVTREPGQLVRISLDTHGTRAVQKLIDTMKTRQEISLVIQALEPGFLDLATDLNGNHVLQRFLQSLSKEHNKFIMNAAAKYCFEIATHRYGCCVLNKCIGYASGKHREKLLACISFHALILAQDAFGNYVIQYIIELKNHSAASLLLSQFEGHFVHLSKQKFSSHVVEKCLKFIEESRAIIIHELISVPQFEQLLQDPFANYVIQSALGVAKGPLRALLVDAVRPHVALLRSNPFCKKILSQNILKKK >itb06g23810.t3 pep chromosome:ASM357664v1:6:25589534:25592534:-1 gene:itb06g23810 transcript:itb06g23810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVGSNLKCNIGSLKGSESVLLDSHKYSFGGQVYNVDDSVRPFCSDSQKGRMDHLLEMSKWQKRGESEFQNPCVMNRPSFGGDGEGLFSHGNDDGGGGNNGLSRLQRSELINRGLGLNHPCFMNGASGDEGFWSSQYPVTDDGDNKLLSPFIGSQLMKHRQGWNSGYDCSTPVLNQWPRGLPNGMVDPSFWPNSRDCETVGSKQNFISLEEALKCYANDNLNCLKAQKMNEASMPRFREKNIKTYNEECQHGGFCQDDLRHSVAYREGSRGDGFGISVTSPMPSQPVHNSLMSVQDYICKIAKDQLGCRALQRAFDQGTFQDVQLIFDGIIDHIVEFMVDQFGNYLVQKLLNFCNDEQRLQIVLRVTREPGQLVRISLDTHGTRAVQKLIDTMKTRQEISLVIQALEPGFLDLATDLNGNHVLQRFLQSLSKEHNKFIMNAAAKYCFEIATHRYGCCVLNKCIGYASGKHREKLLACISFHALILAQDAFGNYVIQYIIELKNHSAASLLLSQFEGHFVHLSKQKFSSHVVEKCLKFIEESRAIIIHELISVPQFEQLLQDPFANYVIQSALGVAKGPLRALLVDAVRPHVALLRSNPFCKKILSQNILKKK >itb04g21250.t1 pep chromosome:ASM357664v1:4:26337948:26342527:1 gene:itb04g21250 transcript:itb04g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKKQEFISPPAYDFDAKWDACLDLALRRGVYFSFIGVLGGLICLRSPVTRRSVVAFGAGAALGSAYSECSYKFAKYGPHVPDDSKVEH >itb12g21910.t1 pep chromosome:ASM357664v1:12:24129188:24131948:1 gene:itb12g21910 transcript:itb12g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYHKQILLLWFLILLSQSCLSWGGWFFGSGKKDEEEKQQFSSNFPEGNQAGSKIVGSGFSMEPFNNAKGIRLVENARQKMLMGADSCWQMAYQTVFAECSKALHNEELRSRLAWHLSDCFQKHSGRPSFPFCHPKSPMNHCLKTLDDLAQKVYLEFFLETNSICHQLQMDAFKYQTERLVNELKRTAENAEEKIENIEERGEVLLQNSKEIHDSLSMVDLRTQNLEKASKNVEEHVDLVLAHSKAIQQQSRAIEASQKELTDEQARMKGKLVEGMEILQASYSNLDREINELRSEAEEIEKEIGRVGEEMYSKMRVLQSKADDIGNIAGVSLDKQKELLDSQSVALEGLHVLTKFQSQALEESRGTLQQLAETGTKQQEELLRRQEQLQQAHDHLVENSKTILAAQASMFVAIDKLFALHNAILLESRLMKAFLVYSLSIFLLYMFTSTKQTYNVRPRLYIGLCATFLIEFCILRYGADEMEQQSWMISMVRSVSVAIASIQLLYAICTYRDYEMLNHQMLLTLMEKVNGMQKHKKESSWEEMGSDDSDGEVEDWGSWIENELAEEVDKVQDPDYLLPEEEAAQNSLVTSSAIVTRRYDLRNRYQRF >itb12g21910.t2 pep chromosome:ASM357664v1:12:24129820:24131948:1 gene:itb12g21910 transcript:itb12g21910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFKYQTERLVNELKRTAENAEEKIENIEERGEVLLQNSKEIHDSLSMVDLRTQNLEKASKNVEEHVDLVLAHSKAIQQQSRAIEASQKELTDEQARMKGKLVEGMEILQASYSNLDREINELRSEAEEIEKEIGRVGEEMYSKMRVLQSKADDIGNIAGVSLDKQKELLDSQSVALEGLHVLTKFQSQALEESRGTLQQLAETGTKQQEELLRRQEQLQQAHDHLVENSKTILAAQASMFVAIDKLFALHNAILLESRLMKAFLVYSLSIFLLYMFTSTKQTYNVRPRLYIGLCATFLIEFCILRYGADEMEQQSWMISMVRSVSVAIASIQLLYAICTYRDYEMLNHQMLLTLMEKVNGMQKHKKESSWEEMGSDDSDGEVEDWGSWIENELAEEVDKVQDPDYLLPEEEAAQNSLVTSSAIVTRRYDLRNRYQRF >itb03g18250.t1 pep chromosome:ASM357664v1:3:16579544:16579897:1 gene:itb03g18250 transcript:itb03g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMLWEVLVQLYWTAPKAPESPAHYFGGKPNRRQLVNKAFPQSPITETLEPAKPPATAALLSLTAAISTGIFPSLSPDYASFLIVSLLHAHFFPLTVFCSNSLTGICLIVLVHFEL >itb06g13110.t2 pep chromosome:ASM357664v1:6:17704053:17709331:-1 gene:itb06g13110 transcript:itb06g13110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTVQTSAINQSEVSSNNSAPENRKIGIEESMVDVLINLDQEKMGVTVQTSEINHSEVSSNNSAPENRKNGIGESIADAVINLDHGDPTMFEAYWEKMRERSAVTLLGSQSLSYFSDPKNLCWFLEPKLEQEIKRLHTIVGNVAIEEGQYYIVVGTGSSQLIQAALYALSPPDAAATVVCAAPYYSAYPEVTDLVRSRRFEWGGDARSFERDDEPFIELVTTPNNPDGVIREAVVNRPHGSVVYDLAYYWPHFTPITAPANHHLMLFTFSKCTGHAGSRIGWALVKDKEVAKKMIKFMEVSTIGVSKDSQLRAAKILGTVSDSCSTGADIENFFVFSRNILKERWNRLREVVKAADLFTLLKYPTQYCRFAKDMTDTVPAFAWIATKGEEDCAEVLKKHKILGRGGTKFGVGKQFARISLVSKDEEFNLLLQRLSIIQGTDDLNYE >itb06g13110.t1 pep chromosome:ASM357664v1:6:17703608:17709331:-1 gene:itb06g13110 transcript:itb06g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTVQTSAINQSEVSSNNSAPENRKIGIEESMVDVLINLDQEKMGVTVQTSEINHSEVSSNNSAPENRKNGIGESIADAVINLDHGDPTMFEAYWEKMRERSAVTLLGSQSLSYFSDPKNLCWFLEPKLEQEIKRLHTIVGNVAIEEGQYYIVVGTGSSQLIQAALYALSPPDAAATVVCAAPYYSAYPEVTDLVRSRRFEWGGDARSFERDDEPFIELVTTPNNPDGVIREAVVNRPHGSVVYDLAYYWPHFTPITAPANHHLMLFTFSKCTGHAGSRIGWALVKDKEVAKKMIKFMEVSTIGVSKDSQLRAAKILGTVSDSCSTGADIENFFVFSRNILKERWNRLREVVKAADLFTLLKYPTQYCRFAKDMTDTVPAFAWIATKGEEDCAEVLKKHKILGRGGTKFGVGKQFARISLVSKDEEFNLLLQRLSIIQGTDDLNYE >itb02g13050.t1 pep chromosome:ASM357664v1:2:9066861:9073952:1 gene:itb02g13050 transcript:itb02g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENKRKRGRKSKCRSPEAVETPSSATAAAASASPAIDDVVFSVSNVELINPPANSSSPSHHRRRGRPRKVSKHAEKQSKLEKSETLKVSSPPRRVADKPNGSASLVASEAAISNSAVARVVPAMDAVVKVFCTHTEPNFSLPWQRKRQFSSSSSGFVIKGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVEDDEFWEGVSPVEFGNLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLSVGMKSDQKGVRIRRIDPTSPESKVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDSSAIKVLRNCETHTFNIKLASHKRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHELPQSPDEQIVVVSQVLVADINIGYEDIVNTQVLAFNGLPVKNLKGLANMVENCNDEFLKFDLEYQQVVVLQTNTAKAATLGILTTHCIPSAMSDDLKA >itb01g06070.t1 pep chromosome:ASM357664v1:1:4270422:4271487:-1 gene:itb01g06070 transcript:itb01g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTINQDSQLNQKSARDQIRGEVARLVELYKGEEISITVIGHSLGSSMATLNATDLAANPINNNKDILVTAFLYASPKVGDENFKTAFSNQQNLRGMRISDVNDIVTAVPPFGWKYGDNIFPPEGGDVTPPTPYVDVGGSQGGFERQGDFDLSKINRYQGALKDEYRILTGWSNIKDKGMVQQDDGNYILDDHEVDETF >itb09g10720.t3 pep chromosome:ASM357664v1:9:6614285:6617774:1 gene:itb09g10720 transcript:itb09g10720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKVCLVLVILGASWCCSARDLASSVPSTETVDIPERQEVQAVKVAKGNDIVCTMCEEFTNQALNYLNNNKTQEEIIGLLLKSCAKLRVYKQQCVTLVNYYGPLFFLELSSIKPQQFCQEVALCQKVAFISQQVSNNTCNLCHYAVSEVLMKLKDPDTQLEVLELLLKACDSAKNYAQKCKKLVFEYAPVILINAEQFLEATDVCTILHACDSPAAVVEQALPVTDALMHSAS >itb09g10720.t1 pep chromosome:ASM357664v1:9:6614285:6617774:1 gene:itb09g10720 transcript:itb09g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKVCLVLVILGASWCCSARDLASSVPSTETVDIPERQEVQAVKVAKGNDIVCTMCEEFTNQALNYLNNNKTQEEIIGLLLKSCAKLRVYKQQCVTLVNYYGPLFFLELSSIKPQQFCQEVALCQKVAFISQQVSNNTCNLCHYAVSEVLMKLKDPDTQLEVLELLLKACDSAKNYAQKCKKLVFEYAPVILINAEQFLEATDVCTILHACDSPAAVVEQALPVTDALMHSAS >itb09g10720.t2 pep chromosome:ASM357664v1:9:6614285:6617774:1 gene:itb09g10720 transcript:itb09g10720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKVCLVLVILGASWCCSARDLASSVPSTETVDIPERQEVQAVKVAKGNDIVCTMCEEFTNQALNYLNNNKTQEEIIGLLLKSCAKLRVYKQQCVTLVNYYGPLFFLELSSIKPQQFCQEVALCQKVAFISQQVSNNTCNLCHYAVSEVLMKLKDPDTQLEVLELLLKACDSAKNYAQKCKKLVFEYAPVILINAEQFLEATDVCTILHACDSPAAVVEQALPVTDALMHSAS >itb03g26090.t1 pep chromosome:ASM357664v1:3:25543344:25547030:-1 gene:itb03g26090 transcript:itb03g26090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKFSLNLLVDPKCHRVLFAEVENDFVDFLLSLLSMPLGTITNLLSSEAMIGSIGNIYHSIENIDGAYMLSNQSKIIVLKTRKDKISARNFYGCQTCRNVSNKYGERCSLYNWCGTLMTKEITYVGHVNVCARGYVQGLVTYMVTDDLSTTPMSATTGISVLKKFKASKFDALEERVVEFTILDVELDFLEASLKSKEVLTDVFLRKQWPLTVGGTPAMGKSIALKLLVDTRADKVLFAEAGKDFVDFLCHLLLLPLGNVIRKLTTCVPEKTVVGCIGNVHQSYKNLAEDYMLPNKRKDALLNPDVSKVFCSVPLLWSTNSGSAYPNRLYACSSRSHEYFTDECGYPCPSCHNPMKEVVESRISNVSNDEKGYVKGLARYMVTDDLCITPLSLVSCIPVLNMWSAEHPAKLKGKLVEFGVEEALKLLKACLWSKMALSDVFLVKDEVNNFRNHQ >itb09g11710.t1 pep chromosome:ASM357664v1:9:7308893:7310369:-1 gene:itb09g11710 transcript:itb09g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALLLFTATPTVASLSLHSSSSPSSSSLLAAHPFSRFGKTCNGLAPRTYINHRIHAPYIVKGRDALVVNATSDVDGVSADNNPESPSETKEENVSVENLPLESKLQEKLDQKTRMKMAKKIRLRRKRLLRKRHLRKIGRWPPSKLKKNKNV >itb13g14100.t1 pep chromosome:ASM357664v1:13:20641208:20645951:-1 gene:itb13g14100 transcript:itb13g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCGSFTLFLAKIKPYLAMLSLQFGYAGMYIVTMICFKRGMSHWILVVYRHAVALLAIAPFAIVLERKIRPKMTLRIFLKIVALGFLEPVIDQNFYYVGLKSTTATYASAFVNLLPAVTFIMAVIFRLEKINLKKVSSMAKVIGTVITLGGAMVMTLYKGPIVDIIPHPHGSSHHAEAAGAAGGQNWVMGTICLIACIVGWSGFFVVQSKTLEEYPAELSLAAWVCVMGVVEGGIVALIMERDMSSWVIGFDSRLLAAAYSGVVCSGIAYYVQSVVNKTRGPVFVTAFSPLSMVITAVLAAIILAENVHAGSLIGAFIIVIGLYSVVWGKSKDETNEKGKMQELPIVMDRESVESPATIKSKLQEKTPQEA >itb01g28050.t1 pep chromosome:ASM357664v1:1:32609934:32610995:-1 gene:itb01g28050 transcript:itb01g28050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLHKHKAPDGDVAPAQAQAQDPDGDEAHAQAQVPDGDEAPAQAQVLDGDEAHAQAQVPDGDEVLDPAQVPDKHKTPMMMRMRPLHMHMHKTLMVMWPLHKHKVPDGDVAPAQAQVPDGDVAPTQAQVPDGDVAPAQAQAQDPDGDVAPAQAQDPDDPDGDEAPAQAQDPDDDEDEAPAHAQDPDDDEDEAPAHAQDPPLHMHKTLMVMRPLHKHKSLMVMWPLHNGDVAPAQAQVPDGDVAPAPPAGIHIIQNRFTCLFCRSQNMNYFERMTSGCEIKAKYICGDCGRNWKEEDE >itb04g33030.t5 pep chromosome:ASM357664v1:4:35381834:35386488:-1 gene:itb04g33030 transcript:itb04g33030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLTLPLSTQKLPRRHLHPLLPLNSPSSRYFSFSSKLSSDSKSRGRFSNSSLSRLSAVTEKAAEVPAGLEESEAAASSPSPSSSSSKLVLVVGGTGGVGQLVVASLLSRNIRLRLILRDPEKASTLFGKQDEEKLQVWKADTRNPMELDPSMFQGVTHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSALPSTLKRIVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSIQGEGPGSDLEKWKELFKAAQNK >itb04g33030.t4 pep chromosome:ASM357664v1:4:35381489:35386488:-1 gene:itb04g33030 transcript:itb04g33030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLTLPLSTQKLPRRHLHPLLPLNSPSSRYFSFSSKLSSDSKSRGRFSNSSLSRLSAVTEKAAEVPAGLEESEAAASSPSPSSSSSKLVLVVGGTGGVGQLVVASLLSRNIRLRLILRDPEKASTLFGKQDEEKLQVWKADTRNPMELDPSMFQGVTHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSALPSTLKRIVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSIQGEGPGSDLEKWKELFKAAQNK >itb04g33030.t1 pep chromosome:ASM357664v1:4:35381032:35386488:-1 gene:itb04g33030 transcript:itb04g33030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLTLPLSTQKLPRRHLHPLLPLNSPSSRYFSFSSKLSSDSKSRGRFSNSSLSRLSAVTEKAAEVPAGLEESEAAASSPSPSSSSSKLVLVVGGTGGVGQLVVASLLSRNIRLRLILRDPEKASTLFGKQDEEKLQVWKADTRNPMELDPSMFQGVTHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSALPSTLKRIVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSIQGEGPGSDLEKWKELFKAAQNK >itb04g33030.t2 pep chromosome:ASM357664v1:4:35381032:35386488:-1 gene:itb04g33030 transcript:itb04g33030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLTLPLSTQKLPRRHLHPLLPLNSPSSRYFSFSSKLSSDSKSRGRFSNSSLSRLSAVTEKAAEVPAGLEESEAAASSPSPSSSSSKLVLVVGGTGGVGQLVVASLLSRNIRLRLILRDPEKASTLFGKQDEEKLQVWKADTRNPMELDPSMFQGVTHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSALPSTLKRIVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSIQGEGPGSDLEKWKELFKAAQNK >itb04g33030.t3 pep chromosome:ASM357664v1:4:35381489:35386488:-1 gene:itb04g33030 transcript:itb04g33030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSLTLPLSTQKLPRRHLHPLLPLNSPSSRYFSFSSKLSSDSKSRGRFSNSSLSRLSAVTEKAAEVPAGLEESEAAASSPSPSSSSSKLVLVVGGTGGVGQLVVASLLSRNIRLRLILRDPEKASTLFGKQDEEKLQVWKADTRNPMELDPSMFQGVTHVICCTGTTAFPSRRWDGDNTPERVDWEGVRNLVSALPSTLKRIVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSIQGEGPGSDLEKWKELFKAAQNK >itb02g18440.t1 pep chromosome:ASM357664v1:2:14809198:14810238:-1 gene:itb02g18440 transcript:itb02g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGELLADCCGGLSAGSGRSRWRFCCELRLLTVGSAVRQGEWAVASSRWQKRAVGWWPMVEAESLFRRASDNLCTTNLRNSSSTFSLSLSLSLYIHHEPPPSTSTFAYFVTFPVPSGRLIRVSIHILIPALRSAPLRQS >itb12g15460.t1 pep chromosome:ASM357664v1:12:15773953:15775457:1 gene:itb12g15460 transcript:itb12g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTLTSQGMVLATAMAVSGTMILLVFRLQKSVQQFSAVIDPIHQSSPPLPRSCISSGKKKKKKRVHFAEDVVDPIGNSEEYRRLHCNNLPHDKSSSSSSSHSNSTLQKSVKSQEMPANRMALYSGIIRDRGLTRMAYSC >itb12g15460.t2 pep chromosome:ASM357664v1:12:15773953:15775457:1 gene:itb12g15460 transcript:itb12g15460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTLTSQGMVLATAMAVSGTMILLVFRLQKSVQQFSAVIDPIHQSSPPLPRSCISSEGKKKKKKRVHFAEDVVDPIGNSEEYRRLHCNNLPHDKSSSSSSSHSNSTLQKSVKSQEMPANRMALYSGIIRDRGLTRMAYSC >itb12g09610.t1 pep chromosome:ASM357664v1:12:7547383:7552261:-1 gene:itb12g09610 transcript:itb12g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLSESDSKAEPQTLKINQQDQAGGSAGATQKSSCCG >itb12g09610.t2 pep chromosome:ASM357664v1:12:7547383:7552258:-1 gene:itb12g09610 transcript:itb12g09610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLSESDSKAEPQTLKINQQDQAGGSAGATQKSSCCG >itb04g28330.t2 pep chromosome:ASM357664v1:4:32092614:32094010:1 gene:itb04g28330 transcript:itb04g28330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMRSLTMLGMSVNSLSGEFPPALYNLSSLQLIGLSFNKFRGTLRADIGIAFPNLQFLYLATNYFIGVIPASLSNATGLLRLDIPYNNFTGNVPMSFGSLQNLLWLNVLSNQLGRGAPDDMDFVTSLCNCRKLEFLDFADNRFGGMFPNSITNLSTTLTKLLIGGNKIQGMIPREISNLVNLNELSIEETMITAHCPYNSTGSHCCSSVPKHSAAVSSFLEEKGEEK >itb04g28330.t1 pep chromosome:ASM357664v1:4:32092614:32095138:1 gene:itb04g28330 transcript:itb04g28330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMRSLTMLGMSVNSLSGEFPPALYNLSSLQLIGLSFNKFRGTLRADIGIAFPNLQFLYLATNYFIGVIPASLSNATGLLRLDIPYNNFTGNVPMSFGSLQNLLWLNVLSNQLGRGAPDDMDFVTSLCNCRKLEFLDFADNRFGGMFPNSITNLSTTLTKLLIGGNKIQGMIPREISNLVNLNELSIEETMITEEAPETRITASKVVADLVSIRSNLSKYAAVQKRVQ >itb09g08820.t1 pep chromosome:ASM357664v1:9:5261450:5262514:-1 gene:itb09g08820 transcript:itb09g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDLENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKKWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQAIYSTLENTKDCIWDYQYHDFTLPLLDFEGDAQVVQETSNPGIIIVDEKLTPKDPWSFQMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKFKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKHLTKLSYSEHDASSSTPVDVKSEGIN >itb15g20600.t1 pep chromosome:ASM357664v1:15:23279243:23280177:-1 gene:itb15g20600 transcript:itb15g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKPTNLSFFTLNWLCFLLGTMVPGLKSQSPGGLVCISDCATCPIICSSPPPQLEVVSEPPPLPPLLAYGPPPPAPPLPPSSYISWGGATTPASPSNYLDSPPATETPAAGGGLGKINYSYPYFYYYASNALILCALIAD >itb09g25860.t1 pep chromosome:ASM357664v1:9:25957578:25967345:1 gene:itb09g25860 transcript:itb09g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASAIPKGQVDLLDFIDWSGVECLNQNSSHSLSNALKQGYREDEGLNLESDADEQLLIYIPFNQVIKLHSIVVKGPEEEGPKTVKLFANREHMGFSNVNDFPPSDMAELSVDNLKGKPVTLKYVKFQNVRSVTIFIEDNQSGTDITKVQKILLYGTTVETTDMKGLKKIEDH >itb12g02200.t1 pep chromosome:ASM357664v1:12:1461890:1463710:1 gene:itb12g02200 transcript:itb12g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06143 [Source:Projected from Arabidopsis thaliana (AT1G06143) UniProtKB/Swiss-Prot;Acc:Q56X05] MLGISAGRFRVQQKQPVSIAKLLEESSSSEQVESWYALIIRCVRLTLLAQKNQPLSVAKLPHKFSAPKQLESLYGVMIKVGLTKDCFLMNRFISASAVHDTDFAIRAFSQTGNRNVFVYTSLMRAFVHWNRPLRAMELYAEMLTAQIRPNSYAFSSIVKSCSLLGAFKTGETVHGQVWKHGFGSHVHVLTCLVDFYSNLGKVIESRLVFDEIPERERDSVSWIAMVSALVREGDLGSAREVFDKMPERITASWNTMIHGYASVGDVESAELFFNQMPERDLISWTTMIKCYSQNKRYRDALEVFGDMKNNGITPDEVTMTTIISACAHIGVLDYGKEMHLYVMQNGFDLDVYIGSALVDMYAKCGSLEKSLVVFFKLHEKNLFCWNSVIDGLAVHGYAEEALAMFSTMEKEKIRPNGVTFVSVLAACSHAGLVEEGRRRFLDMIHRYEILPEMKHYGCMVDLLCKAGLLEETLEVIKRMRVEPNAVVWGALLGGCRLHKNLDIAQVALDNLAILEPNNTGYYTLLLNMYAEANRWSEVSRIRATLKTSGVEKECPGSSWIELEKAIHQFSACDNYHPASQEIYSLLDGLFGQLKRAECVPEAGFIL >itb01g23880.t1 pep chromosome:ASM357664v1:1:29734178:29737223:-1 gene:itb01g23880 transcript:itb01g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSPVNIMKKAEPLRKLTTKTSKKTTNSSPPKPIKLKRKPIRKPSSDSQENHPVPAISSSLSLFLDKWPILTQHFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRPDDSACHGRFGVTARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPITGLGTIQRRRGLETEKPVLLTGPGKVGQALGLSREWSNHPLYTAGGLEILDGPEPEQILVGPRVGIEYASPEHVNALWRFAVGGSSWISAPKNTLRPP >itb12g07110.t1 pep chromosome:ASM357664v1:12:5321217:5323885:1 gene:itb12g07110 transcript:itb12g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAENQDVGMGDPEEQSSESEFETESEEEEEDQEQVVKLAEPSRNAVYNKDGLLDKLGDISWPDKAGWIHKLSIDIDQEGEVDVNDDLARELSFYTQALQGTREAYLKFQSDGVPFLRPSDYYAEMVKSDVHMEKVKGRLLAEKKKIEEAEERKKARENKKLAKEVQAQKQKERAQQKKQEIESVKKWRKQRQQNGFDKNSNAELDLDLEDGKVFQRPNKKRPGVSPGDRSGGKGRPHGGNNKKGSDKKPKGRENRNSKFGFGGKKGLKKQNTADSTNDFRGFSKSDFSSKKRRVK >itb08g06300.t1 pep chromosome:ASM357664v1:8:5383184:5385200:1 gene:itb08g06300 transcript:itb08g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRERGDAIRSAKPYLATPGATVRGLYGETFYEGDTLVTFHYEKSRSGDITQGLPKVEQVLEVRSVDPISLNLERRVEGWNKCLTRILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIHLRSPPPAANVASKLRKYIGSRNTRSNKPSERDAVAGDVSFSPAKKTAKKKMMKLVSSSS >itb03g08120.t1 pep chromosome:ASM357664v1:3:6117664:6119557:1 gene:itb03g08120 transcript:itb03g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSPGFNRSSVKLKYVKLGYQYLVNHILTLLLVPVMAGVTIEVLRLGPEELVKIRDSVMSLDLIQVLCSSFFLVFVATLYFMSKPRSIYLVDYACYKPPVTCRVPFSTFMEHSRLILKENPKSVDFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAEEVIFSAIDSLMKQTGLKAKDIDILIVNCSLFSPTPSLSAMVVNKYKLRSNIKSYNLSGMGCSAGLISIDLARDLLHVHPNSNALVVSTEIITPNYYKGSERAMLLPNCLFRMGGAAILVSNKRRDSGRAKYRLMHVVRTHKGADDKAYRCVYEQEDPQGKVGINLSKDLMVIAGEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSSEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMKKGDRIWQIAFGSGFKCNSAVWKCNRNLKKPADGPWLDCIDRYPVHIPEVVKL >itb09g15930.t1 pep chromosome:ASM357664v1:9:11259646:11262500:-1 gene:itb09g15930 transcript:itb09g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYMQKPFLPINSMITMQRPLFLPTNISMQRNFHFSGQTSTARNNHVSAAEDDEYIDIEVNSFSPKTTDFEFQMPSSLNDKELTVLPADDLFYKGKLLPLLLPPRLQTVQNLLKTTPIGDHHSIVEEDDDDDDEFIIMEFASSTPTPWENSTSGTPVEPFRLSFSLTPAENFKRSTCLSTSIIKHQEKKHSWLISKLRLFKKSLIGNRGKGSRVCSLRSFFRKSACINVSSAMALDYNKHLKAEQKKTLFGHIGMWRHPINREGIKDKVVMRSYHRRPSLSFSSAEFKFKGRSFSSSSSSFSSSSFSSISFSSRDFYDELNSQKRSCSFTSDIDAKLHSTWHP >itb10g25520.t1 pep chromosome:ASM357664v1:10:28810008:28810631:1 gene:itb10g25520 transcript:itb10g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLFSILGNNAKQFQKLQSSVNSRHCSDVPKGHLAVYVGELEKRRYVVPIAYLNHPRFQMLLKRAEEEFGFDHPTGGLTLPCKEDAFLHLISLLS >itb14g08650.t1 pep chromosome:ASM357664v1:14:8370521:8371903:1 gene:itb14g08650 transcript:itb14g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDRRVSVLMFPFLAHGHIIPYLGLAKNLSDRGFLIHLCSTPIILVSVKKMIPEKYLDSIRLVELHLPELPGLPPSCHTTNGLPRDLQPTLFKALKMSKPNFAKIMETLTPDLLVFDFLQVWAEGVARALNIPAVSFYNVSAATSSYIRFAIRNPGSEYPFQPLRLKGYSEAIFRAAFSHLKFGERDSMPNPHMVLVRSSMEMEPKYIEFYSQFIGCKVVPIGLLAQDLEEQMEKPHHDKMELLEWLWKKEEGSVVYISFGSEYFLSREDIVEIAHGLELVGSNVSFIWVLRFPHGEQVSAEDSLPKGFLKRIGESGRIVEGWAPQVEILNHPSVGGFLTHCGWNSIMETLNFAVPIIAMPMHSDQSVNAKLLVEMGLAVEITRDDDTHRLCREVIARTVKEAIGGKSGEGLKTRVKDMSKRLKMKQKEEMDAAAMELRKLCVTPHG >itb11g09140.t1 pep chromosome:ASM357664v1:11:6029860:6030730:-1 gene:itb11g09140 transcript:itb11g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLKLNPSFSSTLLDSIYRSFDQCQDDLTTNKLGACTTSLNRTKSFNNGGFHGSDLACRNSDAAAAGFGRKSTTLKNCYSHGGGEKVNNVNSLRPKPIRTRISDDKANNVNKLISSHDHRRWEKPKHDGKFSLDQNSGDSKRGNKTPVSPAARLAIFLNSLFAVKKTKSGPISGVGRSEIASASSSARSCLSKHTRPLSSRKKNGDIFSEIDEDDDLFELDNLWGIGKERCRYGEELPVYETTHLRDRRALANGLLL >itb02g05160.t1 pep chromosome:ASM357664v1:2:3101632:3108027:-1 gene:itb02g05160 transcript:itb02g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIFILSIFVAFIAVFLFKFLTSDADFTLLSKGKVKREEIEDKVIWITGASRGIGEILAKQLATLGAKLILSARNEAELERVKQQLAGKHAPNEVEILPLDLTASEDILKKAVEKAESFFNGAGVDYMFHNAAYERPKTAALDVTEEGLEATFNVNVLGPISLTRLLAPFMLKRGRGHFVVMSSAAGKAPAPGQAVYSASKFAVNGYFHTLRSELCRKGIKVTIVCPGPVKTSSSPEASTSGAGGASEKRVSSERCAELTIIAASHGLKEAWISYQPVLAVMYLVQYMPTIGYWLMDKIGAKRVEAAAQKGNTYSLGLLFDKKKAQ >itb02g05160.t2 pep chromosome:ASM357664v1:2:3101638:3108011:-1 gene:itb02g05160 transcript:itb02g05160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIFILSIFVAFIAVFLFKFLTSDADFTLLSKGKVKREEIEDKVIWITGASRGIGEILAKQLATLGAKLILSARNEAELERVKQQLAGKHAPNEVEILPLDLTASEDILKKAVEKAESFFNGAGVDYMFHNAAYERPKTAALDVTEEGLEATFNVNVLGPISLTRLLAPFMLKRGRGHFVVLCRKGIKVTIVCPGPVKTSSSPEASTSGAGGASEKRVSSERCAELTIIAASHGLKEAWISYQPVLAVMYLVQYMPTIGYWLMDKIGAKRVEAAAQKGNTYSLGLLFDKKKAQ >itb09g27860.t1 pep chromosome:ASM357664v1:9:28456930:28461395:1 gene:itb09g27860 transcript:itb09g27860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa4 [Source:Projected from Arabidopsis thaliana (AT3G53620) UniProtKB/TrEMBL;Acc:A0A178VKC0] MVPPIETPNKAPKAIMSSHPPLNERILSSMTRRSVAAHPWHDLEIGPEAPKVFNVVIEISKGGKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVIMQEPILPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASDAYEAIQHSMNLYADYVVESLRR >itb02g04800.t1 pep chromosome:ASM357664v1:2:2834281:2836546:1 gene:itb02g04800 transcript:itb02g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MASVFLYHVVGDLTVGKPELVEFTETETVEAAIKAIAESTECGIPVWKKRSQKSVIEKAGMRQQRFVGILNSLDIIAFLAREDCLADQEKAMKTPVSQVVVPNNALLKEVDPATRLIDALEMMKNGVKRLLVPKSVVWKGMSKRFSILYNGKWLKNIQTTANANQPSSSASSITLDKFCCLSREDVIRFVIGCLGALAPLPLSSISFLGAINPNYCSIEASRPAIDATQKIPHDPCAVAVVEPTIDGHSKIIGEISATKLWKCDYLAAAWALANLSAGQFVMGVEDNVTSRSLPDFTVNPLAADSNLASPRGSTRTRKLFSSRSIGFFSNPTSPNLGVARSMYRGRSAPLTCKVTSSLAAVMAQMLSHRATHVWVTEAENEDKLVGVIGYADILAAVTKAPPSIVPETPTS >itb14g04170.t1 pep chromosome:ASM357664v1:14:3701489:3717958:1 gene:itb14g04170 transcript:itb14g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKGSSRYNAGGESDETPAADLIPSQSVRLGKIQPQAPGYRTVFCNDPETTAIANFKFRRVANLYFLMISILSCTPVSPVSPITNVLPLSLVLLVSLIKEAWEDWKRFQNDMSINNTSVDIYGDQKWLSVPWKKLQVGDIVRVKQDEFFPADLLFLASTNSDGICYLETANLDGETNLKIRKALEKTWDYVTPEKVAEFEGEIQCEEPNNSLYTFTGNLLTQNQTLPLSPNQLLLRGCSLRNTDYIVGVVIFTGHETKVMMNSMKIPSKRSTLEKKLDKLILMLFSTLFCMCVLGAIGSGVFISSDYFYLGFEDSSDPQFDPNNKFTVAALTMFTLITLYSPIIPISLYVSVEMIKFIQSTQYINNDLYMYHAESNTPAQARTSNLNEELGQVEYVFSDKTGTLTRNLMEFFKCSIGGEMYGTGVSEIEQGTSQRGGRRLESQRSSKLVRERGFNFHDARIMQGAWKNEPNPDACKEFFRCLAICHTVLPEGDETPEGIRYQAASPDEAALVAAAKSFGFFFYRRTPTMIYVRESHVENTGKNQDVSYEILNVLEFNSTRKRQSVICRYPNGRLVLYCKGADTVIYERLAGGDNDLKRITREHLEQFGAAGLRTLCLAYRDLSPNVYENWNEKFIQAKSSLRDREKKLDEVAELIETDLMLIGSTAIEDKLQEGVPTCIETLSRAGIKIWVLTGDKIETAINIAYACKLINNNMKQFVISSETDAIREVEDKGDQVEIARFIKDTVQNELIKYNEEAQQYLYSESRAKLALVIDGKCLMYALDPSLRVTLLNLSLNCSAVVCCRVSPLQKAQVTRLVRKGAQKITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRICKVVTYFFYKNLTFTLTQFWFTFHTGFSGQRFYDDWFQSLYNVIFTAMPVIALGLFEKDVSASLSKKYPELYMEGIRNTFFKWRVVAVSAFFAVLQSLILYHFVTASSTKCMNSDGKMFGLWDVSTVAFTCVVLTVNLRLLMMCNAITKWHQITVGGSILLWFIFVFVYSAVLLPKAQENVYFVIYVVMSTFYFYVTLLLIPIAALFGDFLYQGVQRLFFPYNYQIVQEIHKGEVERLGTGLLEFGTELTADDARNFAMKQLPGQKSRHTGFSFDSPGYESFFASQAGVPAPHKAWDVARRASMKKTKSKAHRKNN >itb09g16390.t1 pep chromosome:ASM357664v1:9:11600338:11607662:-1 gene:itb09g16390 transcript:itb09g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGNLPRNNSLRLAASPQSLRRLGFCSQITTGQHSSPIVFPEKRNKGRNLARPDRDIGGASDFNDPKKDKREEHKIDIGDEQSDLLGYEVFTGKLALDKKKASKNDDKESSKEIASQDAVDAKLTSKAMVWGSQMLSLEDVVSVSYCPNLRHFTVHSYPPRKASCGLSCLLKTRRSRKDFRFVASSPDEALQWVSAFAEQQCFVNLLPHPLGSLKKQATEMVINEFPPESYIRCKTPPKVLVILNPRSGRGRSSKVFHVMAEPIFKLAGFNMEVVKTTSAGHARKLASTVDFSTCPDGIICVGGDGIVNEVLNGLLCRTDQKEAISIPIGIIPAGSDNSLVWTVLGVRDPISAAIAIVKGGLTATDVFAVEWVQSGAIHFGMTVSYFGFVSDVLELSDRYQKRFGPLRYFIAGFFKFLCLPKYNYEVEYLPASKEATEDGKALADREVIDMSELYTDIMTRSSKEGLPRASSLSSIDSIMTPSRMSGADLDTTCSSTEPSEYVRAIDPKSKRLSAGRSHQSTTEPEVIHPQLPLSATTNYPRTRSKSRTDKGWMGLPATNDPTRCSWGNIATHDKEDISSTISDPGPIWDSEPRWDSEPNHWDVENPIELPGPVEDTEDVAQKEMFIKPEEKWVTTKGQFLGVLVCNHSCRTVQSVVSSQVVAPKAEHDDSTLDLLMVHGSGRLKLLRFFLLMQMGRHLSLPYVEYVKVKSVKLKPGKHTHNGCGIDGELFSVNGPVISSILPDQCRLIGRSTNSNK >itb03g20150.t2 pep chromosome:ASM357664v1:3:18066437:18067849:-1 gene:itb03g20150 transcript:itb03g20150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLEATKQYYATSTLVLGYALCSSLLAVINKFAITHFNYPGLLTALQYFTSALGVWVLGKLGLLHHDAFTWETAKKFLPAAFVFFLAIFTNTNLLSHANVDTFIVFRSCTPLLVALADTAFRKQPWPSKLTFLSLVIILGGAIGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLALMMAPLFWIITGEFGHVLVSVGSSTGSLFNPVAFFAVSISCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHATPIGLLCLLFTIVGGVLYQQSVTGVSSAPPQQESKSHGDDYADGDEEKGISGKISGV >itb03g20150.t1 pep chromosome:ASM357664v1:3:18065458:18067849:-1 gene:itb03g20150 transcript:itb03g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLEATKQYYATSTLVLGYALCSSLLAVINKFAITHFNYPGLLTALQYFTSALGVWVLGKLGLLHHDAFTWETAKKFLPAAFVFFLAIFTNTNLLSHANVDTFIVFRSCTPLLVALADTAFRKQPWPSKLTFLSLVIILGGAIGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLALMMAPLFWIITGEFGHVLVSVGSSTGSLFNPVAFFAVSISCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHATPIGLLCLLFTIVGGVLYQQSVTGVSSAPPQQESKSHGDDYADGDEEKGISGEEEEEREKLVSMNNGIQTTTPQSQH >itb10g18120.t2 pep chromosome:ASM357664v1:10:24150125:24155165:-1 gene:itb10g18120 transcript:itb10g18120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHLERMGRELKCPICLSLLNSAASLTCNHVFCNACIHASMKLAPNCPVCKVPYRPREIRPAPQMDNLVSIYKSLEAASGVNIFVTQTETSTKIAGEENKSASKTFGIEENDNIAMQTSEQNNKEGNERRGSKRSRKTKNGNCNPSSVKPSFPTKKRVQVPQHPPLESPLPTKLVAENDQVTASEPQRHPVINKGKGVLNEKGELNLSPFFWLRDDVEQSTQLSDDKKSTELLDEDHATDTPPGVPCFSDMKDSDDEFPLEMTPKSAAPGDIPKDAEFFDSEMFEWTQRPCSPELLSSPLKLQVEDTYESEGLEQDTEATLMDLSILAEPKSRNRKSMDDENEMSKEEVSPPTLSSLRNEISKIKGGLRKSSKRVRMIESKKKNGRMRSIQELMGVPSGSQKAKLSTKDFSNDNALNMGKKSHDKKKEVGIGADSARVSLDQKEDSGGCDLKRNGKRRKVTVTQQQKVCNMSKSGKNAPKDRIIPTRDVAVNPYPLNTSAPINDDKEVTDSSSKVKSKVTRAGKSKHMKQVTFSEEIFEDNIADGIQKFNEKNSSKETLTPENISNSLCMDTNSLSKIKKSLSNSSSSVLQKCETHPTKIQCAFCHTAEESEDSGVMMHYLNGKPIKEDQIGETSVIHVHKNCAEWAPNVYFNEDDYVINLETELKRSRSISCGFCGVKGAALGCYEKTCRKSFHVPCAKMTPNCQWDHYNFVMLCPLHACCKLPCKEPEFQSKKKKKCELRNSCINQPQIAVKSDNRQDALWKCQRKNKSLVLCCSAITDAERALVSDFERLSGATVLKTWDPSVTHIIASTNEKGACKRTFKFLMGVLGGKWILTIEWIKACLNATELLDEQQYEIEVDTHGVRDGPRLGRLRTSNKVIPFSKTSLR >itb10g18120.t1 pep chromosome:ASM357664v1:10:24149387:24155165:-1 gene:itb10g18120 transcript:itb10g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHLERMGRELKCPICLSLLNSAASLTCNHVFCNACIHASMKLAPNCPVCKVPYRPREIRPAPQMDNLVSIYKSLEAASGVNIFVTQTETSTKIAGEENKSASKTFGIEENDNIAMQTSEQNNKEGNERRGSKRSRKTKNGNCNPSSVKPSFPTKKRVQVPQHPPLESPLPTKLVAENDQVTASEPQRHPVINKGKGVLNEKGELNLSPFFWLRDDVEQSTQLSDDKKSTELLDEDHATDTPPGVPCFSDMKDSDDEFPLEMTPKSAAPGDIPKDAEFFDSEMFEWTQRPCSPELLSSPLKLQVEDTYESEGLEQDTEATLMDLSILAEPKSRNRKSMDDENEMSKEEVSPPTLSSLRNEISKIKGGLRKSSKRVRMIESKKKNGRMRSIQELMGVPSGSQKAKLSTKDFSNDNALNMGKKSHDKKKEVGIGADSARVSLDQKEDSGGCDLKRNGKRRKVTVTQQQKVCNMSKSGKNAPKDRIIPTRDVAVNPYPLNTSAPINDDKEVTDSSSKVKSKVTRAGKSKHMKQVTFSEEIFEDNIADGIQKFNEKNSSKETLTPENISNSLCMDTNSLSKIKKSLSNSSSSVLQKCETHPTKIQCAFCHTAEESEDSGVMMHYLNGKPIKEDQIGETSVIHVHKNCAEWAPNVYFNEDDYVINLETELKRSRSISCGFCGVKGAALGCYEKTCRKSFHVPCAKMTPNCQWDHYNFVMLCPLHACCKLPCKEPEFQSKKKKKCELRNSCINQPQIAVKSDNRQDALWKCQRKNKSLVLCCSAITDAERALVSDFERLSGATVLKTWDPSVTHIIASTNEKGACKRTFKFLMGVLGGKWILTIEWIKACLNATELLDEQQYEIEVDTHGVRDGPRLGRLRTSNKQPKLFSGYKFYFMSDFLPSYKKYLHDLVVAAGGNVLNRKPVSEDEKSHSSGCSSVSTFIVYSLELPDNCKTREKSSILDSRRSAAEALASSTGSLAASNSWILNCIAGCKLQDLE >itb07g03410.t2 pep chromosome:ASM357664v1:7:2284761:2287663:-1 gene:itb07g03410 transcript:itb07g03410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAVSGKAIFVLCVATFLAGSLFSSRTWNLHSDITDDMKLRTVNRDCDHKRKLVETNTRDIMGEVKKTHHAIQSLEKTISTLEMELAVARTSRIGSQTATEGAANHSLQKAFVVIGINTAFSSRRRRDSLRETWMQKGDELKKLEKEKGIVLRFVIGHSATPGGVLDRAIDDEEAEYKDFLRLNHVEGYHELSTKTRIYFSTAVSIWDAEFYVKVDDDVHLNLGMLASTLARHKSKPRVYIGCMKSGPVLSRLGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLAAYISINSGILHRYANEDVSLGAWLIGLEVEHVDERSMCCGTSPDKEIHEMSDCEWKAKAGNVCVASFDWSCSGICKSVERMKEVHRSCGEGEGAVWNVNLE >itb07g03410.t4 pep chromosome:ASM357664v1:7:2284761:2286941:-1 gene:itb07g03410 transcript:itb07g03410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAVSGKAIFVLCVATFLAGSLFSSRTWNLHSDITDDMKLRTVNRDCDHKRKLVETNTRDIMGEVKKTHHAIQSLEKTISTLEMELAVARTSRIGSQTATEGAANHSLQKAFVVIGINTAFSSRRRRDSLRETWMQKGDELKKLEKEKGIVLRFVIGHSATPGGVLDRAIDDEEAEYKDFLRLNHVEGYHELSTKTRIYFSTAVSIWDAEFYVKVDDDVHLNLGMLASTLARHKSKPRVYIGCMKSGPVLSRLGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLAAYISINSGILHRYANEDVSLGAWLIGLEVEHVDERSMCCGTSPDCEWKAKAGNVCVASFDWSCSGICKSVERMKEVHRSCGEGEGAVWNVNLE >itb07g03410.t3 pep chromosome:ASM357664v1:7:2284761:2286941:-1 gene:itb07g03410 transcript:itb07g03410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAVSGKAIFVLCVATFLAGSLFSSRTWNLHSDITDDMKLRTVNRDCDHKRKLVETNTRDIMGEVKKTHHAIQSLEKTISTLEMELAVARTSRIGSQTATEGAANHSLQKAFVVIGINTAFSSRRRRDSLRETWMQKGDELKKLEKEKGIVLRFVIGHSATPGGVLDRAIDDEEAEYKDFLRLNHVEGYHELSTKTRIYFSTAVSIWDAEFYVKVDDDVHLNLGMLASTLARHKSKPRVYIGCMKSGPVLSRLGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLAAYISINSGILHRYANEDVSLGAWLIGLEVEHVDERSMCCGTSPDKEIHEMSDCEWKAKAGNVCVASFDWSCSGICKSVERMKEVHRSCGEGEGAVWNVNLE >itb07g03410.t1 pep chromosome:ASM357664v1:7:2284761:2287663:-1 gene:itb07g03410 transcript:itb07g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKAVSGKAIFVLCVATFLAGSLFSSRTWNLHSDITDDMKLRTVNRDCDHKRKLVETNTRDIMGEVKKTHHAIQSLEKTISTLEMELAVARTSRIGSQTATEGAANHSLQKAFVVIGINTAFSSRRRRDSLRETWMQKGDELKKLEKEKGIVLRFVIGHSATPGGVLDRAIDDEEAEYKDFLRLNHVEGYHELSTKTRIYFSTAVSIWDAEFYVKVDDDVHLNLGMLASTLARHKSKPRVYIGCMKSGPVLSRLGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLAAYISINSGILHRYANEDVSLGAWLIGLEVEHVDERSMCCGTSPDCEWKAKAGNVCVASFDWSCSGICKSVERMKEVHRSCGEGEGAVWNVNLE >itb02g02480.t1 pep chromosome:ASM357664v1:2:1452063:1455264:-1 gene:itb02g02480 transcript:itb02g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVKKYQQRFRKVKDEIDRWEELHTRLLSQFANASSIIQRLQVIQGSKNYGALKCIQGIEDAVLAKQLNSLQSILLSMNKTLEGFHSIVLSFEKIVRDSRQQVKGGSAQPNVKQLQQRIGIKPSLADCLDGLTILSEMHQSEYRLKLSLVSATSTLALKPSVTDDLSVIQQLLIDQPNIPKEEVQFIFDVIFAEDIC >itb01g18360.t1 pep chromosome:ASM357664v1:1:23322683:23322982:1 gene:itb01g18360 transcript:itb01g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRNNRRRRRTEEVDDVSSELGWRWRRRRCRRRKSLRSVVYFFAADGDGVLRLDGDGWCTQVQWRRWMAVVAKLVSDLGIGGRQWRSTVAICSLGSC >itb10g21110.t1 pep chromosome:ASM357664v1:10:26452647:26455003:1 gene:itb10g21110 transcript:itb10g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAIQHSAFSVPSSDLIPRKGLGLKLNSVSRFLPLTQIAKTKNLSTKRPLYIASVAKFGPLDSRSQNPKLRTSCRAYEADQSRPLDLNIEVPKSEAAQKVKISIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLIMLLSWATRIAEAPKTDLEFWKNLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPLPVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKRGMTGKSVSGMNYYACLSILSLVILTPFAIAMEGPQIWAAGWQQAISQIGPNFVWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFRTPIQPVNALGAAIAVLGTFIYSQAKQ >itb14g16100.t2 pep chromosome:ASM357664v1:14:19392793:19404826:-1 gene:itb14g16100 transcript:itb14g16100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVDRLLAILSDDPVSGACTKKNTVGKEEVAKLVDDIYRKMQVSGAPDLASKSQGVSDSSNVKLKEEVVEEPYQMDKIRCPCGSSLQTESMIKCEDPKCHVWQHISCVIIPEKAIESGTPPIPPGTFYCELCRLTRADPFWVTIANPLYPVKLAITHVPTDGTNPVQSVEKTFQLTKTDKDMLTRQEYDLQAWCMLLNDKVQFRMQWPQYADLQINGFAVRAMNRPVSQLLGNNGRDDGPILTAYTRDGINKISLTGGDARVFCLGVRIVKRRTVQQILSSIPKVSDGELFEDALARVCRCVGGGNATENADSDSDIEVVADSIPVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLEHIIIDPYFNRIASKLKSCGEDVTEIEVKPDGSWRAKVEGDRRSLGDLGQWHLPDGSLCMSSDADSKLRPELLKQVKQECGSDGHLSLKVGWKKDANGDWIPNRPTDVQAYSSGNLLQENFEMLGQDIISSSATGSGKEGEDPSVNQDGNANLDFSTPNGFELESMSHNIDQAYAFSGRNLSAPSGDAEVIVLSDSEEENEPLISPEPVYTNNRTDAGSVSFSLQQGIQDPYNEDPPLANGGNSCLDRFDVSNDDFGMSMWSSLPNTQGGPGFQFFDTDPDVSGSLVDVQHGSVNCPTGVNGYGLTTDTTLGSADVVPESFVGHPTVDINDGLVDNPLSFDGNDSLQIFLPTRPSDTAIDIDMRDQPDVSNGVRNEDLISLRLGVGGIGSHGDLAAVNGFNSVQQLQSKDGGGSLDSLADTASLLLGMNDNNKSKKTRERSDSPFSISRQRRAVRPRLYLSIDSDSE >itb14g16100.t1 pep chromosome:ASM357664v1:14:19392793:19404826:-1 gene:itb14g16100 transcript:itb14g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAGCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVDRLLAILSDDPVSGACTKKNTVGKEEVAKLVDDIYRKMQVSGAPDLASKSQGVSDSSNVKLKEEVVEEPYQMDKIRCPCGSSLQTESMIKCEDPKCHVWQHISCVIIPEKAIESGTPPIPPGTFYCELCRLTRADPFWVTIANPLYPVKLAITHVPTDGTNPVQSVEKTFQLTKTDKDMLTRQEYDLQAWCMLLNDKVQFRMQWPQYADLQINGFAVRAMNRPVSQLLGNNGRDDGPILTAYTRDGINKISLTGGDARVFCLGVRIVKRRTVQQILSSIPKVSDGELFEDALARVCRCVGGGNATENADSDSDIEVVADSIPVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLEHIIIDPYFNRIASKLKSCGEDVTEIEVKPDGSWRAKVEGDRRSLGDLGQWHLPDGSLCMSSDADSKLRPELLKQVKQECGSDGHLSLKVGWKKDANGDWIPNRPTDVQAYSSGNLLQENFEMLGQDIISSSATGSGKEGEDPSVNQDGNANLDFSTPNGFELESMSHNIDQAYAFSGRNLSAPSGDAEVIVLSDSEEENEPLISPEPVYTNNRTDAGSVSFSLQQGIQDPYNEDPPLANGGNSCLDRFDVSNDDFGMSMWSSLPNTQGGPGFQFFDTDPDVSGSLVDVQHGSVNCPTGVNGYGLTTDTTLGSADVVPESFVGHPTVDINDGLVDNPLSFDGNDSLQIFLPTRPSDTAIDIDMRDQPDVSNGVRNEDLISLRLGVGGIGSHGDLAAVNGFNSVQQLQSKDGGGSLDSLADTASLLLGMNDNNKSKKTRERSDSPFSISRQRRAVRPRLYLSIDSDSE >itb03g05020.t1 pep chromosome:ASM357664v1:3:3324848:3329117:-1 gene:itb03g05020 transcript:itb03g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMIMIWIYPALEEARSTTIAIRRNKFSNSKHNSALRTENERIHCENLAMREALKNVVCLQCDGPALGEEERKRGLQILRMENAQLKEEHERLSAVISNLMGRSSMMAAQAASSGVLHEESNNYLVAGGSAAVDPRNNNNNPVVVSQPLFTLGGGVQEMEKSAMVETMAVAMEELIELLQADEPLWIRTPEDGRLVLHRETYDRVFPKVNYLRSVSTWTESSKDSAVVPASPVELIEMCLDPMFEKMHILSPMVAPRDFIFLRYCRQLEATAWIMVDVSYDCFKENEGFAPSYSWKLPSGCLIQDLHNGKSKVTWIEHVQVDDKTQTHRLYRDLICGSQAYGANRWIVTLQRMCERYGFSMGVKGAPTARHELEEVMNEPQGRRSVMQLSQRMVKSFCEILNMGERVDFPQTSEMNNSGVRISLRKSSTETAQSADALIVCAASSLWLPLPFDHLFNFFRDDQLRAQWDVLSNGYPVTEVARIPTGNHPGNCVSIIQPYVSKESSMLVLQESCVNSLEGHVVYAPIDLPVITASINGEDPIKIPMLPSGFVISGDGRLEKKSSTAQSSSSKMGQGGSLLTVAFQILISNGSLSKQVNMECVATVHALISSTIQKIKTALDCSDLE >itb01g28580.t2 pep chromosome:ASM357664v1:1:32985164:32998181:1 gene:itb01g28580 transcript:itb01g28580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARKSRSVNKRYSCVNEVSPNKDGDSSKRSNQRKRKLSMLGPQWNKEELGRFYDAYRKYGKDWKKVAAAVRNRSVDMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYCNLAGSDSEQESNEGAGTSQKPQKRARGKVQAGTSKGSDLQTPTVAPNYGCLSLLKKKRSGGTRPRVVGKRTPRFPVSYSYENFNSGKYFSSSRQGLKRKLDANDDEVAHEIALALAEASQRGGSPQVSQTPTRRTDSALSTPARNAEKKHVNLEMANSKLLSSEMDEDEGSMEADTGEVSRNRTLLETGRTMQKGRRLSGRKLEIDDTGDNHFDDIKEACSGTEEGYRLGAVKGKHDKEVAGERVSRASSLGLRKRSKKVLFQRDESSAFDALQTLADLSLMMPATENENDLMMQVKDENDHIDESGTLEALPTNRQREKRGSSGVKTKWSQPASRLEVASSTMPKHGKASVDTSSVPETKQTKKTQKILTSKARKAEAHLTNDISEPQDFETKDAPKKPSGKGKRSLQSSSPKLIKNQDHSSSADLRIERSDSAQSIAEVPVANQVTLPTKVRSRRKMGIKKPQKEKDLNFPDSILDNNCNLPFASVNDKLFNLKKKLSSCLSNDRVRRWCIYEWFYSAIDYPWFAKREFVEYLYHVGLGHVPRLTRVEWDVIRSSLGKPRRFSEQFLKEEKEKLSQYREFVRTHYTELREGTREGLPTDLARPICVGQRVIAIHPKTREVHDGSVLTVDYSRCRVQFDRPELGVEFVNDIDCMPLNPNENMPALLRRNGHAVDKLFENFNEHKVNEQANDSVKYASRDNLENGDGFPCLPSSTYAKNNLLMQTEVDSANVDGHAKIGSSETAQQMSHSQPGTLGQNQAKEADVQALAKLTRALDKKEAVISELRRMNDDVLENQKSGDCTLKDSEPFKKQYAAVIVQLNEVNEQVSSALYCLRQRNTYQGSISLNWPRPVANFGDSGGRVSTFDCYTNQTQEPGSHINEIIESSKIKARTMVDAAVQAMSSLNGVENSTGEVEDAIDYLNDRIPLDESCLLTIPDSKLKNPVHGNEADIPSELITQCVATLLMIQKCTERQFPPADVAKILDSAVASLQPSCSHNLPLYAEIEKCMGIIRTQILALIPT >itb01g28580.t1 pep chromosome:ASM357664v1:1:32985125:32998225:1 gene:itb01g28580 transcript:itb01g28580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARKSRSVNKRYSCVNEVSPNKDGDSSKRSNQRKRKLSMLGPQWNKEELGRFYDAYRKYGKDWKKVAAAVRNRSVDMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYCNLAGSDSEQESNEGAGTSQKPQKRARGKVQAGTSKGSDLQTPTVAPNYGCLSLLKKKRSGGTRPRVVGKRTPRFPVSYSYENFNSGKYFSSSRQGLKRKLDANDDEVAHEIALALAEASQRGGSPQVSQTPTRRTDSALSTPARNAEKKHVNLEMANSKLLSSEMDEDEGSMEADTGEVSRNRTLLETGRTMQKGRRLSGRKLEIDDTGDNHFDDIKEACSGTEEGYRLGAVKGKHDKEVAGERVSRASSLGLRKRSKKVLFQRDESSAFDALQTLADLSLMMPATENENDLMMQVKDENDHIDESGTLEALPTNRQREKRGSSGVKTKWSQPASRLEVASSTMPKHGKASVDTSSVPETKQTKKTQKILTSKARKAEAHLTNDISEPQDFETKDAPKKPSGKGKRSLQSSSPKLIKNQDHSSSADLRIERSDSAQSIAEVPVANQVTLPTKVRSRRKMGIKKPQKEKDLNFPDSILDNNCNLPFASVNDKLFNLKKKLSSCLSNDRVRRWCIYEWFYSAIDYPWFAKREFVEYLYHVGLGHVPRLTRVEWDVIRSSLGKPRRFSEQFLKEEKEKLSQYREFVRTHYTELREGTREGLPTDLARPICVGQRVIAIHPKTREVHDGSVLTVDYSRCRVQFDRPELGVEFVNDIDCMPLNPNENMPALLRRNGHAVDKLFENFNEHKVNEQANDSVKYASRDNLENGDGFPCLPSSTYAKNNLLMQTEVDSANVDGHAKIGSSETAQQMSHSQPGTLGQNQAKEADVQALAKLTRALDKKEAVISELRRMNDDVLENQKSGDCTLKDSEPFKKQYAAVIVQLNEVNEQVSSALYCLRQRNTYQGSISLNWPRPVANFGDSGGRVSTFDCYTNQTQEPGSHINEIIESSKIKARTMVDAAVQAMSSLNGVENSTGEVEDAIDYLNDRIPLDESCLLTIPDSKLKNPVHGNEADIPSELITQCVATLLMIQKCTERQFPPADVAKILDSAVASLQPSCSHNLPLYAEIEKCMGIIRTQILALIPT >itb01g09150.t1 pep chromosome:ASM357664v1:1:7365064:7373438:1 gene:itb01g09150 transcript:itb01g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVKIGGIHIRLEIHEPIVGEAQKKELHLEIHEPNAGELQKKDFLLKIHEPNAVEVLQQEISHDFHDYRYLGLRMECLVQHAVDYNGMPADRTETGGWISAALISVIELCEGFSTLGICVNLVPYMIGTLHLSSQVAANTVSNFMGASFSLCSLGGILAESYLGRYKTILIFALIQGLGTCFLSISAALPQLWPPPCDRESGSSANCVQVSRLQMSAMYASLYLMAVGTGGLLPNVSAFISDQFDQKNEKEKADLRNFFNYFFFLFAMAALLAVTLLVYVQDEYPKNWGYGVFSLLMLIAILMFLSGTRTYRYKKLIKSPMVPIVQVFAAAIKKRKYQVPASIEQLYEDNPESSRIQHTDQYKFLDKAAIPTKDAFVSSTNSLVPDPWKVSSVTRVEEVKMIARLLPFWATTILFWTAFSQLMTFSVEQASTMNRSIGGAFNFPAGSVAIFFVLPILITLGLYAPLIKPLWRKLTGKPGLTNSQKMGIGLFLAALGMAAAALTESKRLSVVRASSPSSSSSPLPITVLFLIPQFVLVGSGAGFMYSGQFDLFISGQSRKGMKTIGVSIFFTTLALGFILSSLLVSFVQKASTIGGGKGWIGHSINDGRIDCFYGLLAVLTFVDFGLFLVCAVGFKSQQEMEGSGASGVDRAGKDVRTSNIV >itb01g29160.t2 pep chromosome:ASM357664v1:1:33453871:33456286:-1 gene:itb01g29160 transcript:itb01g29160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIDKEKGQGGVNSSSIQSPLNNMTREPNDVEGASPVVCETSPEKPINTNSGGEQVDNCTEDDEDENSENAQNQLVLYDPSVNGASEITSVTDQSPPTLQRGLFPNHLSYVQRPLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILEDPFFCETARKWRPDISCDDPPDITQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGGSKFADVYYVAPSGKRFRSMVEIQKYLEEHPEVAEGVRMSQFSFQIPKPLQDDYVKKRSHRSTLSHDDTAPGVAGLFEANPISWAAPDEDTDLRLGGPGLSTHPSTPVSKPLSPSSKKRRTPSKMMSSGDS >itb01g29160.t1 pep chromosome:ASM357664v1:1:33453871:33458150:-1 gene:itb01g29160 transcript:itb01g29160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIDKEKGQGGVNSSSIQSPLNNMTREPNDVEGASPVVCETSPEKPINTNSGGEQVDNCTEDDEDENSENAQNQLVLYDPSVNGASEITSVTDQSPPTLQRGLFPNHLSYVQRPLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILEDPFFCETARKWRPDISCDDPPDITQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGGSKFADVYYVAPSGKRFRSMVEIQKYLEEHPEVAEGVRMSQFSFQIPKPLQDDYVKKRSHRSTLSHDDTAPGVAGLFEANPISWAAPDEDTDLRLGGPGLSTHPSTPVSKPLSPSSKKRRTPSKMMSSGDS >itb05g09800.t1 pep chromosome:ASM357664v1:5:14329404:14330199:-1 gene:itb05g09800 transcript:itb05g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQKTPQIVGKKLWNIMRIVLYMARKSKLMLDLQISLEVMLKRGNKLARKAISDLIVLHHGDAHTLSCRSNDAAAVLFVGPHEYEFSCSNSPAASLFPSSSHYAGSSKRRKRNRHKNRRRDDDIVSFQKAVLGKEIMVAASPLPVRQFPLKDSSEGGSEIDKDAEEFINKFYTDLKKQRRIAALEPPSPFHIWAH >itb08g09150.t1 pep chromosome:ASM357664v1:8:8378103:8383666:1 gene:itb08g09150 transcript:itb08g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MDAAKLNQLKQFVEQCKSDPSILSDPSLAFFRDYIESIGGKLPPSAYKPGEYKAKSHVVEESDEEMGDSGSEAHPTGTTEEEEPEIIESDVELDESGVVESDNDPPQQMGDPSVEVTEENRDSSQEAKAQAMEALSEGKFDEAIDNLTKAIVLNPTSAIMYATRASVYIKMKKPNAAIRDASAALEINPDSAKGYKSRGIARAMLGQWEEAAKDLHTASKLDYDEEISSVLKKVEPNAHKIEEHRRKYDRLRKEREDRKNERERQRRKAEAQAAYERAKKQEQSSSSRRSGGMPGGFPAGMGGGMPGGFPAGMGGGMPGGFPAGMGGGMPGGFPAGMGGGMPGGMPGGMPGGMPGNIDYSKILNDPELMAAFKDPEVMTALQDVMKNPANLAKHQSNPKLAPVIAKMMSKFGGQN >itb05g25430.t1 pep chromosome:ASM357664v1:5:29832750:29836645:1 gene:itb05g25430 transcript:itb05g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP1 [Source:Projected from Arabidopsis thaliana (AT3G27925) UniProtKB/TrEMBL;Acc:A0A178V823] MASCSHSLISSSSFFSANRARAFSRSSPSISSGFSLCKPLFTRRNPSITVFACRRSSSDEHSPSSKLLDTIFVACTSAALSLSLFLVDVDAASAFVVTSPRKLQTDELATVRLFQENTPSVVYITNLAARQDVFTLDVFEVPQGSGSGFVWDRDGNIVTNYHVIRGASDLRVTLSDQSTYDAKVVGFDQDKDVAVLHIDAPKDKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREINSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVSGIVDQLVKFGKVTRPVLGIKFAPDQSVEQLGVSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLVLGDIITSVNGKKVSNGTDLYRILDQCKVGEKVIVEVLRGDQKEKIPVILEPKPDES >itb04g32380.t1 pep chromosome:ASM357664v1:4:34966254:34969561:1 gene:itb04g32380 transcript:itb04g32380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MATLSFHCSRIRHPSLHSFEFPSHFFPSSLKIQPLKTHFKNLHFLSCSVSETSISSPLEAEEEEEEEEEDDDDPTVELSYLDPEIDPKSIFDWEMDFCSRPILDIRGKKLWELVVCDDSLSLQYTKYFPNNVINSVTLKEAIVSICDDLGVPLPNKIRFFRSQMQTIITRACNELGVTPIPSKRCLSLVLWLEERYETVYTRHPGFNKGSTRLLALDNPFPMELPENLYGEKWAFVQLPFSAVQEEVSSLQTRFMFGASLDLDLLGIEIGEKTLIPGLAVASSRAKPLAAWMNGLEVCSIEADIERACLILSVGISTRYIYATYKKTPVTTSEAEAWEAAKKACGGLHFLAIQDDLDSDDCVGFWLLLDLPPPPV >itb01g27850.t1 pep chromosome:ASM357664v1:1:32477603:32480526:-1 gene:itb01g27850 transcript:itb01g27850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAKEGSSEMTLLDVKSAETAPPHPHLNISNIDGEGEDENNDDDEGAENDGNGEVFSGRHSAVRPFKAEPKQVGGFLSNSHCCSNCDALEDDQTSDTALDDLKQILSVRTSAVLPHILPKLVHLPLSAFYAHALGALAEVAGPGLDAHLGLAGLKGTDESKAFRARSSNKLILNSDPIILTVNAKSKRSFVLLKGCFPLSKEFILLNPFQLRTQNNRKAVSKSMIAMMTDKGNSNRLPRCELSSKDTTVVGKKDGGMSTKKSGICFKTAKEEAHEMQLREEACTYEKE >itb01g27850.t2 pep chromosome:ASM357664v1:1:32477603:32480526:-1 gene:itb01g27850 transcript:itb01g27850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAKEGSSEMTLLDVKSAETAPPHPHLNISNIDGEGEDENNDDDEGAENDGNGEVFSGRHSAVRPFKAEPKQVGGFLSNSHCCSNCDALEDDQTSDTALDDLKQILSVRTSAVLPHILPKLVHLPLSAFYAHALGALAEVAGPGLDAHLGLAGLKGTDESKAFRARSSNKLILNSDPIILTVNAKSKRSFVLLKGCFPLSKEFILLNPFQLRTQNNRKAVSKSMIAMMTDKGNSNRLPRCELSSKDTTVVGKKDGGMSTKKSAKEEAHEMQLREEACTYEKE >itb06g15150.t1 pep chromosome:ASM357664v1:6:19527612:19529219:-1 gene:itb06g15150 transcript:itb06g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLFLLPVALILIYYYILPSSKRNGKERSSLPGPPGLPIIGNLHQFDSAKPHVFLWKLSKKYGPLMRMKLGFREVVVISSARMAKEALKTHDLVFSSRPSFIGQQRLSHNGLDIAFAPYGEYWREIRKISVLHLFSLRRVKLFQPIREDEVSRMINRISELAFSSQLVNLSEIVMSLTCNITCRSAFGKSFDEERPGKWGCHKLLAESQAMMMGGSLIADFLPSFGWLDKLIGYAARLERVFKQQDSFHQQLIDQHLDPNRPKSMDGDMLDTLIRLKMENSSSVNLTWDHIKAVLMIIFVGGSDTSAAVIVWIMTALMKDPRVMNKVQSEIREHVGKKGRIDEEDIQELPYFKAVIKETLRLYPPAPLLVNRETLSNCTLDGYKIKHNMLVIMNAWAIARDPKYWKNPHEFYPERFLDTSVDYKGQDFEFIPFGAGRRICPGLALGVASVQLGLANLLYAFNWELPSGLKKEEIDTNVLPGLTMHKKIPLCLIAKKV >itb02g03380.t1 pep chromosome:ASM357664v1:2:1942067:1944811:1 gene:itb02g03380 transcript:itb02g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVDAERHEWFGPWGGSYNTRCLHRQTPFRLRHLSSSGALGLPFSLLSLSASGDHGSSGDQQFSRRQQETTTVAMAASYFLWRSLFPGRAVTTGRKGSAAVPFSLFPVSRRGSMVAGLAHRVAVRRWLATSLLLSGSCLPQQRRFLYWMIHFYLQDDSKLYIFLDVVHRDIKCANICVDAAGRVKLADFGLAKATKLNDVKFCEGTAF >itb01g12780.t1 pep chromosome:ASM357664v1:1:12426696:12428975:1 gene:itb01g12780 transcript:itb01g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHPSSSSARSAITGGFKVRDKLVGIAKEAIKIGRDDPRKLTHCVKVGLALTLISLLYYFNPLYDAFGQSGILAVLTVFSVFEFTVGETLSKCFNNVLATLLAASLGIGVVYLTQLLGQVGQPFILGTVIFILVALSNLARFFDPIENKYNDGVMVFILTFIMIAISGDRVEKIWKMCYQRVLAVIIANAICIIISIIVFPVWAAENLPNLVADNIDKVAKSLEEFGKADEESVDKAYDDDKDYEDVINSKDSEKSLAIHAWWEFDPCGFGFGNPWDQYIKVGDLVRECASHIQSLSCLAASESHQAPTELARRMKEACTVIYSESIKALRELSSTIKTMTHPSPDITTHLESAKAAIADLQASLQLDANAQNLRALDEALIIIKLTAGVDKIWEATQELSENPLRAPLHDSPSDDNFSTLPC >itb06g25350.t1 pep chromosome:ASM357664v1:6:26452189:26460232:1 gene:itb06g25350 transcript:itb06g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKSAGSSSKPPGSPAAGAEAADEKAAPHAPVESGDIAPAVYANIKLECEKALNSLRIGNHTKALRMMKDLSLKHSSSPSAALIHRIHSTVCVKVASIIDDPNAKQRHMKNAIDAAKKATTMSPNSIEFGHFYANLLYEVANEGKDYEEAVQECGRALAIENPVDPAKESLLQESQLTPSTAQGRISHVQNDLRNLIQKANIASISTWMKHLGSGDEKFRVIPIRRVAEDPMESKAVQPKRPNEIKKATKTPEERRKDIEVRVAAARLLMQKKELAQSLMDGDKGSQKDGDKGSQKDGVKGSQKDGDKGSQKDGVKGSQKDGDKRSQKDGDKGLDPSAALGQKGSERRKSVNVRRKASSTERRDWVKSYWNSMTMDMKKELLRVRISDLKSHFSSLKNGLAREVLSEALLFSEINKTWKLWTCCHCGKKFADPELHIQHVISEHMGSLTPKLQSVLPQNVDNKWANMLLNCSWKPLDINAAAELLEKQSEPQERGPLHETYKRNEVDQSKDDFSDDEWDLSPRKKKLDDGHDGTDVGGREHVKTSDTEWIDLDSSGGNKACFLPACWPISDDPERAKLLERICSAFQALIKNKYLASSHLGKVMRFAVEELQGVDHGSQLLNYNINQTPLCICFLGAQELTKLQKFLQELSQSCGISRYSERNNDIEDTNVVTKVADAMEKIVFSEDGSCLLFDEHFLPLKLSPGRLHDVVTDDKIAAVSSNIPYDNRVLLDSDAFLSWIFMGPSSGEQLKCWSQLREEKARQGTEILKLLEKEFHDLQGLCERKCEHLSYEEAVQAAEGLCLEEAKKREHATDFVRQSYDVVLKKRRDELIENRHDDANISHGIEVDAITNVLNDAESLNVSQLGYEETYSGTASHLCDLESGEDDDWRVKDSCVEVAIQRQKEHNSVELSKIDARILQVVGGMRQLEMKLDPISGNDYRRVLVPLVKSFLRAYLEDLAEKDATEKSDAAREAFLAELDRDSKKSSSGGTDNVKHVTEKTKDKKRNKDHRKTKDSKAAVGNVLPIQHHETTEYVSFSAPSDGENQEDEPVDAVGKDSLSQEEYKRQIELEAEEKMLEETLEYQRRIENEAKLKHLAEQHKKDVTTLPEKMPAEVTPDACLSHEEDQDTNEQQKSTKKESMLPKNESPNISEGLLKNTANVDVKRAEIHDGVPEDNTLISDQGTGRQSRRQKGLTKSHGGKHQPFSSRREKKRGGAVISSDHTQDTFHAHQKLPSVPHSRMPSKMSSEMSDSRVSPNEVSTGNVPGINVYGAGLQNEIGEYNCFLNVIIQSLWNLRCFRDEFLRSSSEHIHVGNPCVTCALYDIFTALSMASLETRREAVAPTSLRIALSNLYPDSNFFQEGQMNDASEVLGVIFDCLHQSFASASDMKSTDRCISSWDCTNSACIVHSIFGMNIFERMNCPNCKLDSRHLKYTTFFHNINANALRMTKVMHKKRSFDELMDLVERIHGQLLACDPDANGCGKLNCIHYFLSTAPHVFTIVIGWKNTCESLDDIRATLAALSTEIDISVPYHGLDPGNKHHLVSVVCYYRQHYHCVAYSRDHEKWIMYDDNTVKEIGSWDDVLTMCIKGHLQPQVLFFEAAK >itb09g17180.t1 pep chromosome:ASM357664v1:9:12407340:12410879:1 gene:itb09g17180 transcript:itb09g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTSTLTFSSSLLHQELSPCTHPLSCKPLFFSPNKTFSFNPLILHCRDRKPSLFNSAENGHSSKSIAAAAAAVAAEAEVSEDVEELDGEESAAAAAVSTAPTKPKKGKAALPLKRDRTRSKRFLEIQKLRENKKEYDLKTAITLLKQTARTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTIKVAVLTQGEKFDEAQNAGADLVGGEELIEQIKGGFMDFDKLIATPDMMPKVASLGRILGPRGLMPNPKAGTVTTNIPQAIQEFKKGKVEYRADKTGIVHIPFGKIGFSDEDLLENFLAVVKSVETNKPSGAKGVYWKTAHVCSSMGPSIRLNVKEMLDFKSPTTA >itb11g16630.t1 pep chromosome:ASM357664v1:11:14944814:14946463:-1 gene:itb11g16630 transcript:itb11g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 2 [Source:Projected from Arabidopsis thaliana (AT3G20015) UniProtKB/Swiss-Prot;Acc:Q9LHE3] MLVHILLSLFLFTTSASATETNTSAGHAIPFPTHEHFNVKESVSEAIKLHPQEEFNDESRNKGKLKLLHRDKLPFSHFTDFRRRFGSRMRRDGHRVAALIRRTRSGEYEVEEFGSEVVSGMDQGSGEYFVRIGVGSPPKNQYMVIDSGSDIVWVQCQPCNQCYHQSDPVFDPSLSASFAGVPCSSTLCDQVDNSGCNSGRCKYEVMYGDGSYTKGTMALETITFGRTVVPNVAIGCGHSNRGMFTGAAGLLGIGGGSMSLVGQLSGQTGGAFSYCLVSRGTESSGSLEFGRGVLPVGAAWVPLLRNPRAPSFYYIGLSGLGVGGTQLPISEDVFRLTELGDGGVVMDTGTAVTRLPTVAYAALRDAFMTQTASLPRAPSVSIFDTCYDLNGFVTVRVPTVSFFLMGGPILTLPARNFLIPVDERGTFCFAFAPSPTGLSIIGNIQQEGIQISFDGSNGFVGFGPNIC >itb10g04860.t1 pep chromosome:ASM357664v1:10:4823085:4828383:-1 gene:itb10g04860 transcript:itb10g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCWWAEDPNCDELKYHLARVPDFLWLAEDGMTMQTFGSQNWDATFATQAIKENPKGDFKSMYRHFSKGAWAFSDQDHGWAVSDCTAEAIKCLLLLGQISPEIAGEKVDAQRLYEAVDICLYLQSRESGGFAVWESPVPQPYLEMLNPSELFADIVVQGEHVETTGSIVSALAAFKHHYPDYRAKEVAMSIAKAAEYLENQQKPDGSWYGYWGICFIYGTCFALLGLIAAGKSYENSEAIRKAAHFFLSKQNQEGGCGECLESCPNMAERDATPLHRAAKLLINAQMEDGDFPQQSLLGGVPMPPPSPARQIRRGEQHRRQSDRRLA >itb12g27260.t1 pep chromosome:ASM357664v1:12:27751787:27754372:-1 gene:itb12g27260 transcript:itb12g27260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLQSRGVASFGKLFVSKISSNTRNSASLSRRGVHVSVYDKNPEEHAQPSVVPDEVIQPQSDKYWAPHPQTGVFGPDTDNNPATASGERGFHTVDSVLEEKAFFRPLEDLEKPQVHP >itb10g20320.t1 pep chromosome:ASM357664v1:10:25935056:25937518:1 gene:itb10g20320 transcript:itb10g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSHRRNLFMIGGDSAETTTFYRLVPSRFKEFPVKNSECLDEADNVYGFHPVKWPRVVRAMNKIYVLPDSVDNFHFQWFDHAGNRFHGLPPPPFERWADNGVYSVSGALALRDFIYLFIKESCGSCARAFSFSTSGLNWREEERMVKEFKEEGIPLPHEHRGDVGLSAEFSDETRVMVALCDRMPTAYRVCLYPRGNLVPKSYRHLREVYIENGDSSEVCDVSRLLDLGGGKFCSVDYGPRAGLLVCVFKIDFALEHKIQISLYDRRESSQILASRKFREEHIPFVRETWFTDVCLASAPAMVSYSPSSPIYTPAETASYSPRSPSVPSNEKLSYGSQEYEVDNDSDGVYVDEEDMGVEQEHEQFEGSVGEKPPEKGEQDENPEHDPVALARSLASRFEMKGVDKTGDNALSRSFIRTQLKSIMYGLAMIDRADAASEQRIIQEAEYAAVEAKVKALELQLEASNKACSAAKAELEALEHEKIKLRETVKAQRKQLRAKDEELVSTRDKAIQEWRQSEEFRQAARSYAREDTDRRKKRCRSRD >itb13g01790.t1 pep chromosome:ASM357664v1:13:1615087:1620171:1 gene:itb13g01790 transcript:itb13g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATILPDLGTQVLIPACAIVGIAFALVQWVLVSKVKLSPEKSGSGPADGKNGFAESLIEEEEGINDHSVVHKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTKDQPCTYDSTKTCKPALATAVFSTISFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTAMLYPLLVSSIGILVCLFTTLFATDFFEVKAVKEIEPALKKQLIISTALMTVGIAIVTWIALPSSFTIFNFGTQKVVQSWQLFICVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGKAALKMVEEVRRQFNNIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >itb01g04390.t1 pep chromosome:ASM357664v1:1:2960153:2962896:1 gene:itb01g04390 transcript:itb01g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLILIWFCLFMSLITKGDSDPTYYCPNTTSYTPNSTYKANLDSLLSNLYSNATRDNTNGFYYTTVGSNDTVYGLFLCRGDVAPDVCGGCIGVAREKILELCSYGKTAMIWYGKCMLRYSEKSMLGILDQTTSFTKRNRNDTQPNEYMKLVGNVLDQVTTQAASSGSAKKFAVLEANFSAFERVYALGQCTPDLSVSDCQICFRNVIALLPRCCYGAVGAQAVYPSCNIRYELFPFYNHSAEAAPPAPPPNNPPPPSTTLPNSSSTKEYALYGLFSVKSDVFSFGVLLLEIITGKKNTSFSMESSGAQDLLSYAWKHWREDRALEVVDQSLGGLYSRNEVIQCIRVGLLCVQEEVEDRPTMANVMLMLNSHSSSWRSPNPPAYFNGGSQMILAGQEGDLSISKSLPLSVNEASISELDPR >itb02g16350.t1 pep chromosome:ASM357664v1:2:12260044:12262941:1 gene:itb02g16350 transcript:itb02g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISEKSMVPSRFLSCLIAISLFLIITSSLALLHFNTSSFLPRSVFQLILVNNSTSGFWNSNISGGEIGTPLFPSENVKNSEAVRSTPSSNNSLGSQEPNRKCEVNRALLRVYMYDLPPEFHFGLLGWKGSKDKMWPNVNEPSQIPRYPGGLNLQHSIEYWLTLDLLSSNIPTIARPCTAVRVQNSTEANVIFVPFFASLSYNRHSKIQEKEKISTDRLLQKKLVEFLKSRDEWKRFGGRDHLVVAHHPNSMLVARKSLGSAMFVLADFGRYPVEIANIEKDVIAPYKHMVKTIGAGNSRSFKERPILIYFQGEIYRKDGGAIRQDLYYLLKDEKGVHFKFGSTQSNGVVSASQGMSSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSEFCIFVRASDAVRKDYLLNLLGGIREDKWTKMWKRLKELTIHFEYQYPSQHNDAVDMIWQAVSRKIYSIQLKAHHDNRYHRSELFLKKQ >itb09g01990.t1 pep chromosome:ASM357664v1:9:1205040:1211609:-1 gene:itb09g01990 transcript:itb09g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGASGIGRALCLALAQKGVFLTIIDFSVERGKEVASLAEKEITKFHAGLQFPPVTFIRCDVTNKGELKAAFQKHLETYGHLDICINSAGLGSNVPFHKDQTDGYKTWRHTLDVNLIAVIDCTKLAIQIMQTTQKPGVVINLGSASGLYPMYADPIYSASKGGVVMFTRSLALYKRQGIRINVLCPEFVQTDLASKVNQDFINRAGGYLSMDMVIKGAFELIRDETKAGSCLWITKRRGMEYWPTPAEEAKYLVHSSKLRRRSSTFVPPSFQIPQSFEKIVVHTLSNNFRSATRIVRAPLQLHIKPDHVLFKVIYAGVNASDVNFSSGRYFGGGKDVDSLLPFDAGFEAVGIVAAVGDSVRNLKIGTPAAIMSFGGYAEFVMVPAKHILPVARPDPEIIAMLTSGLTASIALEKVAQMESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGNEKANLLRDLGVDRVIDYKKEDIKSVLKEEFPKGVDIVYESVGGKMFNLCMNALARYGRLVVIGMISQYQGEHGWKPQNYPGLCEKILSKSQTVSGFFLIHYAHLWEEHLSRLIDLFSSGKLKVAIDPKRFLGVHSVADAVEYLYSGKSTGKVVVCIDPAFSQQVSKL >itb09g01990.t2 pep chromosome:ASM357664v1:9:1205040:1211609:-1 gene:itb09g01990 transcript:itb09g01990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGASGIGRALCLALAQKGVFLTIIDFSVERGKEVASLAEKEITKFHAGLQFPPVTFIRCDVTNKGELKAAFQKHLETYGHLDICINSAGLGSNVPFHKDQTDGYKTWRHTLDVNLIAVIDCTKLAIQIMQTTQKPGVVINLGSASGLYPMYADPIYSASKGGVVMFTRSLALYKRQGIRINVLCPEFVQTDLASKVNQDFINRAGGYLSMDMVIKGAFELIRDETKAGSCLWITKRRGMEYWPTPAEEAKYLVHSSKLRRRSSTFVPPSFQIPQSFEKIVVHTLSNNFRSATRIVRAPLQLHIKPDHVLFKVIYAGVNASDVNFSSGRYFGGGKDVDSLLPFDAGFEAVGIVAAVGDSVRNLKIGTPAAIMSFGGYAEFVMVPAKHILPVARPDPEIIAMLTSGLTASIALEKVAQMESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGNEKANLLRDLGVDRVIDYKKEDIKSVLKEEFPKGVDIVYESVGGKMFNLCMNALARYGRLVVIGMISQYQGEHGWKPQNYPGLCEKILSKSQTVVCQLCLI >itb09g01990.t3 pep chromosome:ASM357664v1:9:1205040:1211488:-1 gene:itb09g01990 transcript:itb09g01990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGASGIGRALCLALAQKGVFLTIIDFSVERGKEVASLAEKEITKFHAGLQFPPVTFIRCDVTNKGELKAAFQKHLETYGHLDICINSAGLGSNVPFHKDQTDGYKTWRHTLDVNLIAVIDCTKLAIQIMQTTQKPGVVINLGSASGLYPMYADPIYSASKGGVVMFTRSLALYKRQGIRINVLCPEFVQTDLASKVNQDFINRAGGYLSMDMVIKGAFELIRDETKAGSCLWITKRRGMEYWPTPAEEAKYLVHSSKLRRRSSTFVPPSFQIPQSFEKIVVHTLSNNFRSATRIVRAPLQLHIKPDHVLFKVIYAGVNASDVNFSSGRYFGGGKDVDSLLPFDAGFEAVGIVAAVGDSVRNLKIGTPAAIMSFGGYAEFVMVPAKHILPVARPDPEIIAMLTSGLTASIALEKVAQMESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGNEKANLLRDLGVDRVIDYKKEDIKSVLKEEFPKGVDIVYESVGGKMFNLCMNALARYGRLVVIGMISQYQGEHGWKPQNYPGLCEKILSKSQTVSGFFLIHYAHLWEEHLSRLIDLFSSGKLKVSIPTYPPQKIFHLYLLLFKPRIMLMILN >itb10g14450.t1 pep chromosome:ASM357664v1:10:20841874:20845022:1 gene:itb10g14450 transcript:itb10g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKRRIFTQCVLLLVIACFVSRLHASSDRTFYDSFDDAFDGRWIVSEKEEYKGVWKHSKSEGHDDYGLLVSEPARKYAIVKELDEVVNFKDGTVVLQYEVRLQNGLECGGAYIKYLRPQDAKWLPKEFDNESPYSIMFGPDKCGPTNKVHFILKHKNPKSGEYVEHHLKFPPSVPSDKLTHVYTAILKPDNEVRILVDGDEKKKANFLSGDDFDPPLIPSKTIPDPDDTKPEDWDERAKIPDPDAKKPDDWDENAPMEIPDEDAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCSEGPGCGEWKRPTKKNPAYKGKWHAPLIENPNYKGIWKPKEIPNPEYFELDKPNFEPIAAVGIEIWTMQDGILFDNILISSDEKVAESYRKTAWKPKFDIEKEKQKAEEDSSSDGLKGFQKTVFDLLYKITDLPFLGEHKSKVLDLLEKAETQPNLTIGIIISIIVVVLTILFKLLFGGKKAEPAAAVKESKKGDAADSSNGQEGTEEKEEKEEKEEKGDGGAAPRRRNTRRDN >itb04g15940.t1 pep chromosome:ASM357664v1:4:17234056:17237803:1 gene:itb04g15940 transcript:itb04g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRSTKPVGKGMENGSETTPLEKHAMFFDTNHDGIIYPWETYQGFRKIGSGVLLSVGASIFIHLLLSSKTRPGKWPSPLLPIVVENIKLGKHGSDTGAYDSEGRFVPSKFEEIFKKHARTNPNALTSGEVDELLRDNREPNDYSGWIGAIGEWKILYFLAKDDQGMLPKERVKAVYDGSLFEQLAEEHASKLKG >itb03g18420.t1 pep chromosome:ASM357664v1:3:16712106:16718875:-1 gene:itb03g18420 transcript:itb03g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETKAVTETAYEEDEEEEEELEEQLGSSLTLERVAAAKKYIESHYKTHMKQYKERMERRSLLEQKLASLDLPEEEQKVLLKDLERKETEYIRLKRHKISVDDFELLAIIGRGAFGEVRLCREKISGNIYAMKKLKKSEMLSRGQVEHVRSERNLLAEVASHFIVKLYFSFQDAEYLYLVMEYLPGGDIMTLLMREETLTETVARFYIAESVLALESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLSPINENEIMNDGNLRGSVNADGNCWNSSHEQMQHWQLNRRKLAFSTVGTPDYIAPEVLLKRGYGAECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWKNHLRFPTEARLSLEAKNLICRLLCDAQNRLGSQGAEQIKVHPWFKGIDWDKLYEMNAAYKPEVNDELDTQNFIKFDEVNPPPAKTNSGNMRKMRLSPESLNFVGYTYKNFEAVKGLRRSKEKRGTSPDRLSTDSTQSESAVIFSSKADGAEMLTRTASVDILTSEDTLT >itb11g23310.t1 pep chromosome:ASM357664v1:11:25267730:25269024:-1 gene:itb11g23310 transcript:itb11g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPAIIDFEEISEPIPPAEVVTEEKLNLAGRGGRGGRGRGCGHGGGAGFDKEQPRKVFDRRSGTGRDSELKREGSGRGNWGTETDKLAVMTEEVNEVEKTQIVEKPSREEEETELIKGIGG >itb03g22220.t2 pep chromosome:ASM357664v1:3:20294022:20294486:-1 gene:itb03g22220 transcript:itb03g22220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKTIILKSSDGEIFEVEEAVALESQMLKYMIVDNDDTTITIPVPEVTSKILGMAIEYCKRHVEAAKGTINFDDLKDFDANFVKVNQETLFDLVLAANFLNIKSLLDLTCQTVADMIKGKTPEEISKTFKIKTPFTPEEEEEIRRENAWAFE >itb03g22220.t1 pep chromosome:ASM357664v1:3:20293840:20294606:-1 gene:itb03g22220 transcript:itb03g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKTIILKSSDGEIFEVEEAVALESQMLKYMIVDNDDTTITIPVPEVTSKILGMAIEYCKRHVEAAKGTINFDDLKDFDANFVKVNQETLFDLVLAANFLNIKSLLDLTCQTVADMIKGKTPEEISKTFKIKTPFTPEEEEEIRRENAWAFE >itb15g20210.t1 pep chromosome:ASM357664v1:15:22759812:22765425:-1 gene:itb15g20210 transcript:itb15g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMTLRKGLKVWVEDKDLAWVAAEVTDFIGKQVQVLTENGKKVLALPEKLLPRDVEEDHGGVDDMTKLTYLNEPGVLDNLQKRYVLNEIYTYTGSILIAVNPFTKLPHLYNMHMMEQYKGAPFGELSPHVFAVADASYRAMMTEGQSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGMDAEKYKLSHPSDFHYLNQSKTYNLDGVSNAEEYVKTRRAMDVVGISNEEQDAIFRTLAAILHLGNIEFSPGKEHDSSAIKDQKSSFHLGMAANLLMCDLHLLVTSLCTRSIQTHEGIIIKALDCAAAAAGRDALAKTIYAQLFDWLVEKINRSVGQDPDSRIQIGVLDIYGFECFKQNRIFMKQL >itb14g01280.t1 pep chromosome:ASM357664v1:14:988987:990750:1 gene:itb14g01280 transcript:itb14g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETIASLLHHCSKTKALRCGLSLHSAAIKTGMQCDVFTSNHVLNMYAKCGNIKFARQVFDEMSEKNLVTWSAMISGYDQAGKHMMAIDLFSQMHLEPNEYILASSLSSCANLLALKDGQQIHCKSIKLGYSSVSFVSNSLMSMYMKCSKCDDALSIFTSTSEPSAVSFNAIITGLVENNKKQRAFEMFKLMCQQGLIPDRFTFVGLLGTCCTAEDLGLGMSLHGQTIKLQLDSTAFLGNILITMYSKLNLIDEAEKVFRLISRRDIISWNTLIAACSNCDDHQKALSIFRDMVKQFFAKIDDFTYASVLSVSAGLASINLGGELHAHLIRRRPSVDVAVGNALVNMYAKCGCMQHAYTVFKLMHCRNLVSWNTIIAGFANHGHGRKVIKMFEEMQKLGLTPDSVTYLGLLTACNHAGLVDEGQSFFISMKEIHGISPNIEHFSCLIDLLGRGGRLKTAEEYMQAYPFGNDPVVLGCLLSACRLHGDVAIGERLAKRLLMLEPVSTSPYVLLSNLYASDGMWGCVAEARKKLKGSGLKKEAGQSLIEVKGSVEKFTIGDFSHSRIKEILHVLRSLSSIWDEEYQSH >itb04g24010.t1 pep chromosome:ASM357664v1:4:28858399:28862541:-1 gene:itb04g24010 transcript:itb04g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALHHHHFRHFSFVSPLSRKALTVRRPKAWLLTSPGKSGLFQVSCSSPSTSPPPSPSSPEVSASAATAESCVNLGLSLFSKGRVKDALEQFDKALTLEPNPIEAQAALYNKACCHAYRAEGKKAADCLRLALREYNLKFGTILNDPDLASFRASPEFKELQEEAKLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFVAAAGISTFFTIPRLIRAIQGGDGAPDIWETAGNAAINIVGIVVIVALFLWDNKKEEEQIAQITRDETLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKETVTLAMKNAERFRTELLRRGVLLVPVIWGEGREPQTQKKGFGVSRKAASSLPSIGEDFEKRTESVIAQSKLKAEIRFKAEAVSPVEWERWIRDQQKSEGVTPGEDVYIILRLDGRVRRSGKGMPDWAQIVKELPEMEALLSKLER >itb03g14680.t1 pep chromosome:ASM357664v1:3:14305738:14310190:1 gene:itb03g14680 transcript:itb03g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRGVLCFLFLSLLVLVRGLNPSLNDDVLGLIVFKSDIQDPNGKLVSWNEEDYSPCNWDGVKCNPRSNRVSELNLDDFGLSGKIGRGLLQLQFLRKLSLAKNNLTGGLSVGLTQLPNLRVMDLSQNSLSGPIPADFFQQCGSLRSISLAKNKFSGQIPESLSSCAALASLNLSSNQFSGVLPAGIWSLPSLRSLDLSDNLLKGEIPKDIEGLKNLRQINLRKNQIEGEVPDEIGDCLLLRSIDFSQNSLSGGLPSTMQKLSLCNELILKQNAFTGDFPEWIGEMNSLQTLDLSENNFSGEVPTSIANLQSLKVLNLSRNSISGSLPISMSSCINLLVLDASHNSLTGEVPSWLYKLGLKQVILSENRLTGSLDNAFATSMENSRQKIVALDMSHNNLSGEIPSALGDFDGLEFLNLSGNKLYGNIPNSIGKLKSLDMLDLSENELNGSIPTEIGEATSLRELRLQKNYLDGGIPASIGNCLSLMTLSLSHNNLTGPLPAALAKLASLQNVDLSFNKLTGSLPKQLADLVHLLSFNVSHNRLNGELPGGGFFNTISPSSVSGNPSLCGAAVNRSCNTVLPKPLVLNPNSTDDAPDTIPQAFAHKKKILSISALIAIGAAAVIVVGVIAITVLNMRVRSATSRSAAALTFSGGDDFSHSPSTDANSGKLVMFTGDPDFSTGAHALLNKDCELGRGGFGAVYRTVLGDGHPVAIKKLTVSSLVKSQEDFEREVKKLGKVRHPNLVTLEGYYWTPSLQLLIYEFVSGGNLYKRLHEGSGPNLAWSERFNLILGTAKSLAHLHQMNIVHYNIKSSNVLIDSSGEPKVADYGLARLLPMLDRYILSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLILEIVTGKKPVEYMEDDVVVICDMVRGALEDGGVEDCIDKRLQGKYPAEEAIPVMKLGLICTSQVPSNRPDMTEVVNILELIRCPSESHDELG >itb05g02140.t1 pep chromosome:ASM357664v1:5:1662071:1663872:1 gene:itb05g02140 transcript:itb05g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSVELRKPNFESFFMNLSSLKEVYLDGVHLSAQGSYWSQVLSSTALPHLQVLSLSNCHLNGSIHPSFATLKSLSYLQLSYNNLSSDFPENVFLLPKLKTIDISVNKLLSGQFPEFPKHTSLQTISLSVTKFHGELPKSIGNLQSLKILDISSCNLWGLIPSSLANLTSIIELDIRRNRFSGSLPPFHSTSVPNLSYLDMSSNLLTGEIHLSIFTLPSLEHLYLHANKFSGELEEFSNTSSSVLESLGLSGNELSGVVPKSIFQLPNLIHLSLGSNNFNGSVKIEMLQNLKNLAFLDLSDISLTVEENDDRSFDLPQLQSLHLHKCNLSDFPIFLNSKVQLTYLNLADNHIRGYVPSWLGNNSNLKVLDLSGNPIQFLDPSSTMGNSSFVSLNQLVMHSCNISKFPKILKGLNSLLFLDLSDNKIEGEIPSWIWKSQLQFVNISHNLLSVVDEFPSNLSLYVFYLYLHGNCIKGSLPSGICNMSNLRVLDASYNNLSGLIPECLVKLAGGDS >itb10g07920.t2 pep chromosome:ASM357664v1:10:9663580:9667938:-1 gene:itb10g07920 transcript:itb10g07920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNRPKFRSPPQLTSPRFPNYDSLKISMTPSLKMPKRSILKPTLTLAVLISLLALYAFLNSFIFSTPLSINNAIGFADVGSNVEYFGVKHPVPVKVYMYDLPRNFTYGVIESYNLARGGERVEDDALLKYPGTQHAAEWHLFTSLLLPNHDRAGSPVIPVSDPEQADLFYVPFFSSLSLVVNPVNRPPSGDRPVYSDEKTQDTLIEYLGQQEYWKRNNGWDHVFICQDPNALYKVVDKVKNGVLLVSDFGRLSRDQASLVKDVILPYSHRINPYQGDIGIQNRKTLLFFMGNRYRKEGGKVRDLLFQVLESETDVIIKHGTQSRESRRAATQGMHASKFCLHPAGDTPSACRLFDAIGHEYGEDFGIAAGVKEG >itb10g07920.t1 pep chromosome:ASM357664v1:10:9663580:9667938:-1 gene:itb10g07920 transcript:itb10g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNRPKFRSPPQLTSPRFPNYDSLKISMTPSLKMPKRSILKPTLTLAVLISLLALYAFLNSFIFSTPLSINNAIGFADVGSNVEYFGVKHPVPVKVYMYDLPRNFTYGVIESYNLARGGERVEDDALLKYPGTQHAAEWHLFTSLLLPNHDRAGSPVIPVSDPEQADLFYVPFFSSLSLVVNPVNRPPSGDRPVYSDEKTQDTLIEYLGQQEYWKRNNGWDHVFICQDPNALYKVVDKVKNGVLLVSDFGRLSRDQASLVKDVILPYSHRINPYQGDIGIQNRKTLLFFMGNRYRKEGGKVRDLLFQVLESETDVIIKHGTQSRESRRAATQGMHASKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDYIELPFEDAIDYRKIAIFVDSYTAIKKGALVKRLRGMSMEKILELQRELKKVKHYFEYEDPNGAVKEIWRQVSSKLPHIKLMINRDKRLLKRDLNEPDCSCLCSNHSGIHTTL >itb13g13800.t1 pep chromosome:ASM357664v1:13:20386298:20390746:-1 gene:itb13g13800 transcript:itb13g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGLVCPNSMMLMEGSEMPAAKKENSEEKETEEEGGKVFEVTTTTPRSVIIIPRIKSHATTRRVTPTTTAAVEKRLSNGDLYIGDFSGNVPHGSGKYLWADGCMYEGEWKRGKASGKGKFSWPSGATFEGEFKSGRMDGKGTFIGSDGDMYKGSWLADRKHGYGQKRYCNGDYYEGHWKRNLQDGQGRYVWQNGNEYAGEWKNGVIHGRGILIWANGNRYDGSWDNGVPKGHGVFTWPDGSCYIGCWCKDDKNPNHTQVLNGTFYPARNSRTSSCNIKNDFHKFSFKDEGFEAIFGHKLAAPLMGNENCGVVVNDGKTRSSMDGGRRSLTERNLPRICIWESDGEAGDITCDIIDTVEASMFYRDRFEFDRDGIRQFRRNPCFNGEAKKPGQTISKGHKNYELMLNLQLGIRFSVGKHASVLRDLKHSDFDPKEKFWTRFPPEGSKITPPHQSVDFRWKDYCPIVFRRLRELFQVDPADYMMAICGDDALRELSSPGKSGSSFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYKHVCRYENSLVTKFYGVHCVKPVGGVKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEEEIDETTTLKDLDLNFVFRLQQNWYLELIKQIDLDCEFLESERIMDYSLLVGLHFRDDKTGDKMGLSPFLLRTGKSDSFQNEKFMRGYRFLEAELQDMDRILAGRKPLIHLGANMPARAERVVRRSDFDQYIPGRFGHLAPSRGIETYEVVLYFGIIDILQDYDISKKLEHVYKSLQADPASISAVDPKMYSRRFRDFIGRIFVEDR >itb03g19450.t1 pep chromosome:ASM357664v1:3:17567153:17568650:-1 gene:itb03g19450 transcript:itb03g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAGTQTKLVCCSISIFTLFSFYFSRICCSKLIFFGEQHLPPIISKKLVEQDIKEVLLTSQKGTWEVEIHSFEGKHWFTNGWEDFVLQHGLSNRHIVLFEHTGGSSFNVRVFDFASSCEVDFNGESKTKTKRVRTQGKNAEDSSKVYVKLEEDIDEVLSPVKIEKHDHAYDKEDTKRKRKSEFVNVSSTGHPQFVTSIKQYNVRRRSPYMHIPADFCVANGLYNNGRICLKGPSSKQEVSLKICKGGRTIYAVITRGWSDFIARNDLKVGDICTFKLRSTGSSSNAVVFDVEVLCKSTSCDNPCVKTQDDEKDS >itb12g05660.t1 pep chromosome:ASM357664v1:12:4192761:4198730:-1 gene:itb12g05660 transcript:itb12g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFMSERNIDLNCEFVVPKSVSIALAEISAIDDPSQKLLKLYSLLQALEEEAKKIYVIRREVPYATSLLTDEIETVRGEYLKLKGKEKLPALASVEVEEFVPLKGSSNGDRGTTQSNDQGEKMNWMGSTGLWNHPVTSHNSDSRKPSNQLFPTRLGCVNQSIQLFVPYKTMTAEEAAALKADALPVLALSLSPPFVPQSVVYKSSYHSHSSKTLNKQEQRKPRRCWSPELHQGFVDALDKLGGAQAATPKQIREIMNVDGLTNDEVKSHLQKYRLHVRRLTASPPPSTSTGAHSSLPEGLLHLGVPATCREVSVTVTGLNAMEESEEEESVRGF >itb15g11870.t1 pep chromosome:ASM357664v1:15:9738450:9739730:1 gene:itb15g11870 transcript:itb15g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISLSVVIIFNLVTATTSEGIPIRAPSRPNALVLPVQKDAATGLHVSKIRKGTPQQSLPFLVDLNGKFLQLNCENGYSSSTYTAPFCHSTICARAGVHYCHRCTAQASRPGCHNNTCAAIVINPLTQKTAVVELAKDVLSIQTLITNQAWVDVPKFLFACASSSLLKGPLPRNVEGIVGLGHFSVSFPNQLSSHFGFSPQFSLCFTSSLAKNGAIWFGNVINGVEPRVWASKSPLYTPLYVNSQGQYFIQVRAIRINNNPISKPSIFFSTNRSFGGGVEAIISTTTPYTILDRSLYQIFSKVFANAMSGVRQVRPVEPFGMCFDTKNFTSNVPKIHFVMQDQSAKWTIGGANSLVQAREGVSCLGFVDGGLMSPRAPMIIIGVHQMEDHLLEFDLARSRLGFSPPRFFNHITCAHFNFTSTSSP >itb07g01790.t2 pep chromosome:ASM357664v1:7:1087642:1091210:1 gene:itb07g01790 transcript:itb07g01790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPNGSSGNRAKNKTKKPKNKGAKNKGNQNKRNQNKDKKKPNPFSIDNGAENQGSGHSNNKLVVLKDPTGRKIHEKYDLGRELGRGEFGITYLCTDVDSGEKFACKCISKKKLRTAVDIEDVRREVEIMRHLPKHPNVVTLKGTYEDDNAVHIVMELCEGGELFDRIVARGHYTERAAAGVVRTIVEVVQMCHRHGVMHRDLKPENFLFANKKEMAMLKAIDFGLSVFFKPGEIFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLIKKMLEPDPSKRLTAQQVLEHPWLQNVKKAPNVPLGETVKARLKQFSVMNKLKKRALMVIAEFLSVEEAARIKEAFDTMDADKKGKVNIGELRIGLQKLGHQVPDPDLQILLEAVSANVQFSHSPLPKNR >itb07g01790.t1 pep chromosome:ASM357664v1:7:1087642:1092508:1 gene:itb07g01790 transcript:itb07g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPNGSSGNRAKNKTKKPKNKGAKNKGNQNKRNQNKDKKKPNPFSIDNGAENQGSGHSNNKLVVLKDPTGRKIHEKYDLGRELGRGEFGITYLCTDVDSGEKFACKCISKKKLRTAVDIEDVRREVEIMRHLPKHPNVVTLKGTYEDDNAVHIVMELCEGGELFDRIVARGHYTERAAAGVVRTIVEVVQMCHRHGVMHRDLKPENFLFANKKEMAMLKAIDFGLSVFFKPGEIFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLIKKMLEPDPSKRLTAQQVLEHPWLQNVKKAPNVPLGETVKARLKQFSVMNKLKKRALMVIAEFLSVEEAARIKEAFDTMDADKKGKVNIGELRIGLQKLGHQVPDPDLQILLEAADVDGDGSLNYGEFVAVAVHLRRMANDEHLHKAFSFFDRNRSGYIEIEELRVALSDEDDGNNEEVIIAVMHDVDTDKDGRISYEEFATMMKSGTDWRKASRQYSRERFNSLSLKLIGDGCLKFANEGR >itb14g21740.t1 pep chromosome:ASM357664v1:14:23608892:23614753:-1 gene:itb14g21740 transcript:itb14g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGARVSGLGSRVSGRRSRVQGQGSPVRSLRSRVPDSRGSGSVPDPGFGSRALRVSDPRFSGLAPRVSGPESRLGIGSRGSRSRLGALVPGSRGSGLGDRGLGFSVQSPGSGSGLGAQDQVLVLGLGVSGLWSWVSGLGPRGSGLGSRGSGLGFGAQARVSGPGPVSRDLGSRVPGSGSRGLGSQVQGLRSGVSGLESRVSGHGSGVLGLGSRASCPHFQGLGSRVSGLGSGVSALRPSFLGPQFLGLMSWVPGSRSRSGLSDLKSQVLVLGARVCALRSMVLGARAWVSSLGSRVSGLGLESRIPSLRSGVSGLWFSGLGVGSRVLGLVLGPRGQGSSLGSQVPGLGSWVPILGSRLYVLVSHLCGSRGSRSGLGSRVPSPGFRVTSPGSYVSSPESPVLGARGRSPVQGSVFGHRGLISGLWPRVSGPRPRLGIGSRGSRSGLGARVRSFGSLVPSFQGSGLGDRVMDLVVQAWVSRLRLGSRAQVWCLGSVVSGLGSPVLGARSRVSGLGSPGSGSGLGSCVRGLGGSVLVSGFSGARVSGLGLGIEGLGSQALVLGSPVLGANVLGPRFEDLGSSVRGLGSLVLGARGRVPGPLYGSRASGSGPGSGVSGVVYSVSGIGSRVSCLASLVLGVASRCIGLLSRVPSPGSRVLGPYSRVSALCSCVSSLRFSGLGVGSRVPSPWSGVSGHVSRVLGLKSRVPGTASLVWGLWFSGLGRVSRVLGLRSRGVGPGLGSLVRCQGSLVGGLTSRVSGLGYRVLGTGSRVKGLRSGVSGLGSPVLGARGRSPVRGSVLGDRGLISGLWSEVSNPGSWYSVFPSRPPLRICIRRRGRRYPRPLRQPPSRAPPPPATADDSLQNSSLRPRKQPSQLLFVRQRSASPSVWQNLRKARKAE >itb10g23520.t4 pep chromosome:ASM357664v1:10:27826306:27830028:-1 gene:itb10g23520 transcript:itb10g23520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKVKDAFERAVKKQKLSISKSEEVIDQVGQEIEQALAHIQSSHDPGSCIDSKVILADLKTKLNALTPHEKLEGPQKELNGNLSKYQKVLEKVFIPDLSKAYRNVDFDVHTLNEVIVNHLYNEGQFDIADCLVSEAGKPEVTALRLQFIEMHRILEAMKSRNLEPALSWVCANRERLDSGSSLELKLRGLQYLNILQNRSQQDALCYARTYLAPLASPHMTEVQKLMGCLVWAGKLEKSPYSELISPTHWEKLAVELNHEFCALLGQSRKSPLSVAIAAGVDGLPTLLKLAHVMAAKKQEWQSMKQLPVPVELGKEFQFHSVFVCPVSRDQGNEENPPMLLPCGHVLCKQSIHKLSKNSTRAFKCPYCPFDATVSQCKQLYF >itb10g23520.t1 pep chromosome:ASM357664v1:10:27826306:27830250:-1 gene:itb10g23520 transcript:itb10g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKVKDAFERAVKKQKLSISKSEEVIDQVGQEIEQALAHIQSSHDPGSCIDSKVILADLKTKLNALTPHEKLEGPQKELNGNLSKYQKVLEKVFIPDLSKAYRNVDFDVHTLNEVIVNHLYNEGQFDIADCLVSEAGKPEVTALRLQFIEMHRILEAMKSRNLEPALSWVCANRERLDSGSSLELKLRGLQYLNILQNRSQQDALCYARTYLAPLASPHMTEVQKLMGCLVWAGKLEKSPYSELISPTHWEKLAVELNHEFCALLGQSRKSPLSVAIAAGVDGLPTLLKLAHVMAAKKQEWQSMKQLPVPVELGKEFQFHSVFVCPVSRDQGNEENPPMLLPCGHVLCKQSIHKLSKNSTRAFKCPYCPFDATVSQCKQLYF >itb10g23520.t3 pep chromosome:ASM357664v1:10:27826306:27830028:-1 gene:itb10g23520 transcript:itb10g23520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MICTRYTMELVKVKDAFERAVKKQKLSISKSEEVIDQVGQEIEQALAHIQSSHDPGSCIDSKVILADLKTKLNALTPHEKLEGPQKELNGNLSKYQKVLEKVFIPDLSKAYRNVDFDVHTLNEVIVNHLYNEGQFDIADCLVSEAGKPEVTALRLQFIEMHRILEAMKSRNLEPALSWVCANRERLDSGSSLELKLRGLQYLNILQNRSQQDALCYARTYLAPLASPHMTEVQKLMGCLVWAGKLEKSPYSELISPTHWEKLAVELNHEFCALLGQSRKSPLSVAIAAGVDGLPTLLKLAHVMAAKKQEWQSMKQLPVPVELGKEFQFHSVFVCPVSRDQGNEENPPMLLPCGHVLCKQSIHKLSKNSTRAFKCPYCPFDATVSQCKQLYF >itb10g23520.t2 pep chromosome:ASM357664v1:10:27826306:27830028:-1 gene:itb10g23520 transcript:itb10g23520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKVKDAFERAVKKQKLSISKSEEVIDQVGQEIEQALAHIQSSHDPGSCIDSKVILADLKTKLNALTPHEKLEGPQKELNGNLSKYQKVLEKVFIPDLSKAYRNVDFDVHTLNEVIVNHLYNEGQFDIADCLVSEAGKPEVTALRLQFIEMHRILEAMKSRNLEPALSWVCANRERLDSGSSLELKLRGLQYLNILQNRSQQDALCYARTYLAPLASPHMTEVQKLMGCLVWAGKLEKSPYSELISPTHWEKLAVELNHEFCALLGQSRKSPLSVAIAAGVDGLPTLLKLAHVMAAKKQEWQSMKQLPVPVELGKEFQFHSVFVCPVSRDQGNEENPPMLLPCGHVLCKQSIHKLSKNSTRAFKCPYCPFDATVSQCKQLYF >itb04g13130.t1 pep chromosome:ASM357664v1:4:13185710:13186250:1 gene:itb04g13130 transcript:itb04g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCYSVRSGYRLLTDFIPESSGFSSWKKLWSIDVAPKIHNFLWRCVKGILPVRATLRRRRRRIEVGVWAAAGIPIGQVAAGFTDFLEACLENTDVDTMRRVAVTTWSIWCARNQLVWNSKPFNPGTTWRQAEGGIKSWREAQAGKKIRPRHK >itb05g08620.t1 pep chromosome:ASM357664v1:5:12170111:12176084:1 gene:itb05g08620 transcript:itb05g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRVSEKGKKLLKTFKRRKQQQQGVAVNWLFFWWSKLVFLNQSHKSSGGKFVKLLRAARNNHLLKPNHFGQSALFFVVAVFLASLDAAMTMDIVAGWEQEIQKRLRLHLRWDVHPNCLMNNDDDDFVEGLTAFLERMRRDPLWDAPDTDLTAEERGRFSSVRVRVPPSLLVEPLENLTAQ >itb07g01190.t1 pep chromosome:ASM357664v1:7:723943:724368:-1 gene:itb07g01190 transcript:itb07g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTPATQTKGMVRVMATVHIHLKAAVISMSHPECLALGQADSLSGDMATTTTPTTQTGGMVGVMAAVHIHLKDAVISRGHPGCLALAPEQAEALPMGLWMLHMAQPSQETTTSNNMIGNNDTTCFCLLSPRKIKTAIY >itb03g25370.t1 pep chromosome:ASM357664v1:3:24390134:24400152:1 gene:itb03g25370 transcript:itb03g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71420 [Source:Projected from Arabidopsis thaliana (AT1G71420) UniProtKB/Swiss-Prot;Acc:Q9C9H9] MQTRSKGVQGLLAIDHEINAIVRRRNRAARNQEHIIEEMASPSRVEHPDGVTAETLRSAGLSAPQNRSPLREVDENEGQPLQGEMDQPLIPPPHVGQYQERDPCYEQPNDRAGFYEREDPFEPRRRNGPRENFFDQRGREVPRNDYYEPRRMNAPRENYRGFTAATELNTILERLRVGPTRSHLNEALSIFYSPNSSPSHSPKSYAILFHACARLGCIDVGQALHQHMLTHNPIAIQDLYTANHLLNFYSKSGQLDCAHQLFDEMCRRNIVSWTSLISGYAQSGKADACFSLFSNMLAHYKPTDFAYASVLSVCDGLHGKQVHALALKTCYNVFVYVGNALIGMYTKNSEISVCSDENEAWRVFNDMEFRNIVSWNAMIAGFQMSGQGDKAMKFFTMMHSDGLGFDRATLVTVLSLLSNQCDLSWSSKFCSQLHCITLKTGFVLDVEVVTALIKAYSFLEGNIGDCYNLFLEVRGHEDIVLWTEIMATFSETEPEQALFLFSQLHTEGLIPDSYAFSVALKACAGFVTERHASVVHCQVIKAGFVDTLVLENALIHAYGRCGSIAQARQVFGEMRLKDVVSWNSMLKAYAFHGEPEEALKLFESIDVEPDATTFVALLSACSHAGMVEEGTRIFNSMSGKHRIAPQLDHYACMVDLLGRAGQVFRAEEIIRQMPMKPDSVVWSALLAACRKHGEYQLATIAASKLNELDNGNSLGYVVMSNAYFSLNNFDEAHLTRKQMKWIGVRKEPGLSWTEIGGRVHEFASGGQQHDLGEAIRTNLLDLVKQLKKLGYTPLTSLVLHDIEEEHKEEQLYFHSEKLALMFILMNAKDPHCKSVAGWNEGFKSLENAAGASGSIWDDFAAWRKWGGWALPPAADYFGNLGMPEQGSEPQDHGLLLPPYRV >itb11g16040.t1 pep chromosome:ASM357664v1:11:13932720:13934227:1 gene:itb11g16040 transcript:itb11g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLLNRVNEMDSFLCWGRNQNGQLGLGTIEDSLVPQKIETFQGVPVKMVAAGAEHTAAVTEDGELYVWGWGRYGNLV >itb02g18250.t1 pep chromosome:ASM357664v1:2:14675588:14682486:-1 gene:itb02g18250 transcript:itb02g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSNNHAHQKPKNAMAAVEYKGLTSITLSDIEALGVDTGVAIDLLQKLAGIVSDYGAATPQTWQRISQDVLAPDLPFSLHQMMYYGCYKDFGPDPPAWLPDPGCAMLTNVGKLLEKRGKELLGSKYKDPISSFSDFQEFSVSNPEVYWKTVLDEMNVSFSILPECILREDQSHPGGQWLPGAHLNPARHCLSPNRDRNLNDIVLIWRNEGDDEAPVEKMTLKELRSEVWAVAHALETLGLSKGSAIAIDMPMDVYSVVVYLAIVLAGYVVVSIADSFSPNEISTRLKISKAKAIFTQDFVVRGKKRIPLYSRVVDAQSPMAIVIPSRASALSTKLRYGDISWQDFLARTKESKEVQFDSVEQPVEAITNILFSSGTTGTPKAIPMTGITPFKAAADGWCHMDIRKGDIVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLSSGFAKFIQDAKVTMLGVIPSIVRTWKTTNCTAGFDWSTIRCFGSTGEASSVDEYLWLMGRARYKPVIEYCGGTEIGGGFVTGSLLQPQALAAFSTAAMGCSLFILGEDGLPITSDAPGIGELALGPLIFGASSTVLNADHYKIYFKGMPIWNGKRLRRHGDVFERTCGGYYRAHGRADDTMNLGGIKVSSLEIERICNAVDDNILETAAIGVPPVGGGPEKLVIVVVFKDSDKSKQNLGALMTSFNSSLQKKLNPLFKVSNVVTLPSLPRTATNKVMRRVLRQQFSQAGRGSRL >itb02g18250.t3 pep chromosome:ASM357664v1:2:14675588:14682486:-1 gene:itb02g18250 transcript:itb02g18250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSNNHAHQKPKNAMAAVEYKGLTSITLSDIEALGVDTGVAIDLLQKLAGIVSDYGAATPQTWQRISQDVLAPDLPFSLHQMMYYGCYKDFGPDPPAWLPDPGCAMLTNVGKLLEKRGKELLGSKYKDPISSFSDFQEFSVSNPEVYWKTVLDEMNVSFSILPECILREDQSHPGGQWLPGAHLNPARHCLSPNRDRNLNDIVLIWRNEGDDEAPVEKMTLKELRSEVWAVAHALETLGLSKGSAIAIDMPMDVYSVVVYLAIVLAGYVVVSIADSFSPNEISTRLKISKAKAIFTQDFVVRGKKRIPLYSRVVDAQSPMAIVIPSRASALSTKLRYGDISWQDFLARTKESKEVQFDSVEQPVEAITNILFSSGTTGTPKAIPMTGITPFKAAADGWCHMDIRKGDIVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLSSGFAKFIQDAKVTMLGVIPSIVRTWKTTNCTAGFDWSTIRCFGSTGEASSVDEYLWLMGRARYKPVIEYCGGTEIGGGFVTGSLLQPQALAAFSTAAMGCSLFILGEDGLPITSDAPGIGELALGPLIFGASSTVLNADHYKIYFKGMPIWNGKVRE >itb02g18250.t2 pep chromosome:ASM357664v1:2:14676645:14682486:-1 gene:itb02g18250 transcript:itb02g18250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSNNHAHQKPKNAMAAVEYKGLTSITLSDIEALGVDTGVAIDLLQKLAGIVSDYGAATPQTWQRISQDVLAPDLPFSLHQMMYYGCYKDFGPDPPAWLPDPGCAMLTNVGKLLEKRGKELLGSKYKDPISSFSDFQEFSVSNPEVYWKTVLDEMNVSFSILPECILREDQSHPGGQWLPGAHLNPARHCLSPNRDRNLNDIVLIWRNEGDDEAPVEKMTLKELRSEVWAVAHALETLGLSKGSAIAIDMPMDVYSVVVYLAIVLAGYVVVSIADSFSPNEISTRLKISKAKAIFTQDFVVRGKKRIPLYSRVVDAQSPMAIVIPSRASALSTKLRYGDISWQDFLARTKESKEVQFDSVEQPVEAITNILFSSGTTGTPKAIPMTGITPFKAAADGWCHMDIRKGDIVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLSSGFAKFIQDAKVTMLGVIPSIVRTWKTTNCTAGFDWSTIRCFGSTGEASSVDEYLWLMGRARYKPVIEYCGGTEIGGGFVTGSLLQPQALAAFSTAAMGCSLFILGEDGLPIHGIFKCYVFTVNNLPL >itb10g14930.t3 pep chromosome:ASM357664v1:10:21260999:21268971:-1 gene:itb10g14930 transcript:itb10g14930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSAAAAAQRELEEKLTQSGTKLSSPPSSTRELLSLLENVESGLKSIGQDPSVSMINVLDPIRKALIGTKLLGHSDEIIEVSVVSCICEIMRISAPILPYDNAEMKVIFHHIIASLEKLPDILSDCYRKAVRVLEAIAKIRLCVMLLDLDYMLAVNVFQLFLKTIRPYHPHAVSKYMEDIMTQLIEESDEVSIDFQVSILESLRKKTLDTAPLSSLLGHHVLEKCAARLKPCLLELIKTMNLNFDDYTDALGSVCREVPEGENTVENAGSVPPVEETSALQLPQVPQLDGTPTIMNNNNNLEPDGSLKTLKHCNQAEELKDTDAPSDPLHKNTKDLQQQMNVSGLSEKIRPDTGHDCFDMNEIKSCVEEPTNKKEDEKKSGGSSDNPAPKESSSPSETGKQSMPDMLAPNSENTHVNSGSSRKRGRPKKNQTEITVNKRSEGNSGSSRKRGRPKKNQTELTVRKRSEGTSSPRLTVSIKKEKETVSDAENTSLQQNDLQNISRRTKSSRKQALNKEKDSKRVHFIKDYGEELIGAKVRVWWPLDRKFYVGVISSFDPEIKKHKVKYTDGEEEILNLNHERWELLEEVSVKEEPVTDFPPDLSVVSGKKAQESGSTPGKNIKSEKARTVSNSRLSSHNSKNIVIKEEAEDESYVPSNALSEEPATGLLPEVSAIAGIKRRKGSSKKKESDASSSERSGKKAHGSGSKPR >itb10g14930.t2 pep chromosome:ASM357664v1:10:21260999:21268092:-1 gene:itb10g14930 transcript:itb10g14930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLDPIRKALIGTKLLGHSDEIIEVSVVSCICEIMRISAPILPYDNAEMKVIFHHIIASLEKLPDILSDCYRKAVRVLEAIAKIRLCVMLLDLDYMLAVNVFQLFLKTIRPYHPHAVSKYMEDIMTQLIEESDEVSIDFQVSILESLRKKTLDTAPLSSLLGHHVLEKCAARLKPCLLELIKTMNLNFDDYTDALGSVCREVPEGENTVENAGSVPPVEETSALQLPQVPQLDGTPTIMNNNNNLEPDGSLKTLKHCNQAEELKDTDAPSDPLHKNTKDLQQQMNVSGLSEKIRPDTGHDCFDMNEIKSCVEEPTNKKEDEKKSGGSSDNPAPKESSSPSETGKQSMPDMLAPNSENTHVNSGSSRKRGRPKKNQTEITVNKRSEGNSGSSRKRGRPKKNQTELTVRKRSEGTSSPRLTVSIKKEKETVSDAENTSLQQNDLQNISRRTKSSRKQALNKEKDSKRVHFIKDYGEELIGAKVRVWWPLDRKFYVGVISSFDPEIKKHKVKYTDGEEEILNLNHERWELLEEVSVKEEPVTDFPPDLSVVSGKKAQESGSTPGKNIKSEKARTVSNSRLSSHNSKNIVIKEEAEDESYVPSNALSEEPATGLLPEVSAIAGIKRRKGSSKKKESDASSSERSGKKAHGSGSKPR >itb10g14930.t1 pep chromosome:ASM357664v1:10:21260999:21268971:-1 gene:itb10g14930 transcript:itb10g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSAAAAAQRELEEKLTQSGTKLSSPPSSTRELLSLLENVESGLKSIGQDPSVSMINVLDPIRKALIGTKLLGHSDEIIEVSVVSCICEIMRISAPILPYDNAEMKVIFHHIIASLEKLPDILSDCYRKAVRVLEAIAKIRLCVMLLDLDYMLAVNVFQLFLKTIRPYHPHAVSKYMEDIMTQLIEESDEVSIDFQVSILESLRKKTLDTAPLSSLLGHHVLEKCAARLKPCLLELIKTMNLNFDDYTDALGSVCREVPEGENTVENAGSVPPVEETSALQLPQVPQLDGTPTIMNNNNNLEPDGSLKTLKHCNQAEELKDTDAPSDPLHKNTKDLQQQMNVSGLSEKIRPDTGHDCFDMNEIKSCVEEPTNKKEDEKKSGGSSDNPAPKESSSPSETGKQSMPDMLAPNSENTHVNSGSSRKRGRPKKNQTEITVNKRSEGNSGSSRKRGRPKKNQTELTVRKRSEGTSSPRLTVSIKKEKETVSDAENTSLQQNDLQNISRRTKSSRKQALNKEKDSKRVHFIKDYGEELIGAKVRVWWPLDRKFYVGVISSFDPEIKKHKVKYTDGEEEILNLNHERWELLEEVSVKEEPVTDFPPDLSVVSGKKAQESGSTPGKNIKSEKARTVSNSRLSSHNSKNIVIKEEAEDESYVPSNALSEEPATGLLPEVSAIAGIKRRKGSSKKKESDASSSERSGKKAHGSGSKPR >itb01g08900.t1 pep chromosome:ASM357664v1:1:7137025:7139209:1 gene:itb01g08900 transcript:itb01g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFLMERYSSETKSADSIPESENGGVLSVCDALADLQGVVDYGPTSASLAAKDFGGLHAVKPLAVVSPASADDVARVVRLALRSPSLTVAARGNGHSINGQAMAHRGLVVNLRSLVGGCENAGITVVRRCGNGGGGAYADVSGGALWSEVLKRCVSGHGLAPRSFTDYLDLTVGGTLSNAGVSGQAFRFGPQTSNVTELEVVTGNGDIAVCSETHNSELFYSVLGGLGQFGIITRARVMLQPVPDMVRWIRVVYSSFEDFTQDAESLVTRDESFDYVEGFVFVNSDDPVNGWSSVPLNSDHQLNPTFIPKDAGPVLYCLEMALHYKNSDHPSAINKKVERLVGGLRYCKKLRFEVDVSYMNFLLRVKKVEEHARANGTWDTPHPWLNLFISKKNIASFDRVVFKKMLKNGIGGPMLIYPMLRSKWDNRTSVVLPDSEIFYLVALLRFCPQRSNGPPLRELEQNREIVQFCRNSGLDFKLYFPHYSFKDEWMQHFGDQWSRFVDRKSAFDPRAILAPGQKIFTRNQQLL >itb07g04410.t1 pep chromosome:ASM357664v1:7:2957057:2961080:-1 gene:itb07g04410 transcript:itb07g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDLRHCSSVGNRAAANSFSAVVDGYRGRHRERLRCFCIADESGSHHLRKYKMIVSFLLLFVMVSAVSVFNRFNNTPYLCNKEGMTLHCPRVKEPPSLWENPYSATTSWKACAERREGITSDLPSENETNGYIYIVAEGGLNQQRIAICNAVAVAKLMNTTLILPVLKQDRIWQDPTEFEDIFDVDHFIDYLKDDVRIVRDIPSWFIDIPELLGDIRRTVKNIPKYASAQFYLDNVLPRIKEKKIMMLKPFVDRLGYNNVRPEINRLRCRVNYHALKFLPEIEQMADQLVSRMRNRNGSSNPFMALHLRFEKGMVGLSFCDFGGTRVEKTLMAEYRKNEWPERFKDGSHLWQLALQKRKEGWCPLEPGEVALLLRAMGYPKETQIYVASGEVYGGENSMAPLKNMFPNLVRKEELATKEELDGLRKHMTMLAALDFLVCLKSDVFVMTHGGNFAKLVIGYRRYMGHTQKSIKPDKNLMSKFLGDPYMGWATLKDDVVISHRTRTGLPEQTFPNYDIWENPLTPCMCKA >itb07g04410.t2 pep chromosome:ASM357664v1:7:2957057:2960101:-1 gene:itb07g04410 transcript:itb07g04410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHCPRVKEPPSLWENPYSATTSWKACAERREGITSDLPSENETNGYIYIVAEGGLNQQRIAICNAVAVAKLMNTTLILPVLKQDRIWQDPTEFEDIFDVDHFIDYLKDDVRIVRDIPSWFIDIPELLGDIRRTVKNIPKYASAQFYLDNVLPRIKEKKIMMLKPFVDRLGYNNVRPEINRLRCRVNYHALKFLPEIEQMADQLVSRMRNRNGSSNPFMALHLRFEKGMVGLSFCDFGGTRVEKTLMAEYRKNEWPERFKDGSHLWQLALQKRKEGWCPLEPGEVALLLRAMGYPKETQIYVASGEVYGGENSMAPLKNMFPNLVRKEELATKEELDGLRKHMTMLAALDFLVCLKSDVFVMTHGGNFAKLVIGYRRYMGHTQKSIKPDKNLMSKFLGDPYMGWATLKDDVVISHRTRTGLPEQTFPNYDIWENPLTPCMCKA >itb15g08880.t1 pep chromosome:ASM357664v1:15:6306453:6309406:1 gene:itb15g08880 transcript:itb15g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCLTFDAKSSIISLNVSNLHLTYAKHLNRCLTIFCWAFPLIGRALLLKIHGKNLHLKLFQRLPLVCRSHPHAHKVFQNLEKRQGRIKMMPVVQKHMDQNVKRIRKQKLVQRRATEVKKLKIRHVCKRTRIKQSGFPKGSVLATINRTKLQRVEEPLLENGRWEASAQSAEPSTSNDLRSEIIDNNLRGISEDETSKGENQKDGGVNKVIIQKNTSKGAQSSVDFGCARSATPGKNRRNGVSAVTSPQSLSYKRSRSGRLLLPPLKFWQNQRAIYDADRRVTGIVEDPDATI >itb13g20620.t1 pep chromosome:ASM357664v1:13:27421510:27422916:1 gene:itb13g20620 transcript:itb13g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYELINGKPPPPFEFDRNIGGVNISTVSPDHHKSDHRKTNSEEITEQPSGDELKEEEEEERVIKELKKIQRQNFLTHCLVSAMIVLTVTWQLSEVSLILKLKDGLNHPFRSLRGFIAGIFKGRVKPPILNVIDDDEKPANGKQKQDASPLPLSGPAISRFHY >itb14g09750.t1 pep chromosome:ASM357664v1:14:10603406:10607878:-1 gene:itb14g09750 transcript:itb14g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANSRVEEDKALQICRERKKFVRQALDGRCSLAATHVAYIEALKITGIALRKFVEPEAPIESSIYTSTSATPELFALTERSLSQFSFSSVSKAHNVDLGENVLPSPPPYVTSHVNHMKFGGTFSRKVEEKPAVPVTVSVTSGTPQNSTPGRHEASPFDTPVSYETPPWDFFGLGQASDGQEGRTENREEDDGVPLSEDERHNNSSPGRDSSRQESDDEFDDPSAETLVQRFVNVNNRTKDHISSKESPAMSADEAVTSELKTPNLSPLKPALSGVAVAHDVKTPVKEYDVENKVAPKDFFSSMKDIEQLFMKASESGREVPQMLEANKINFRPIVPGAGRGSIAKTLLMSCFACGKDPSQVPEEPEPPQTSPKYLTWHRTSSISSSSRNLLGANSTDNIDDSSTNLFDNFCMNSGSHASTLDRLHAWEKKLYDEVKACEILRSEYDAKRKLLRQLESSGQSQYKIDRIRAVMKDLHSRIGVAIHRINSISRKIEELRDEELQPQLEELIEGLRKMWEEMLNCHEIQLHILSIAHSPGNMKLSMNNSESRRQITIHLENELISLSSSFTKWIGAQKTYVEAINKWLLKCVSLKEQSSRRKRRPPQQPLLRYYGPPIYMICGVWLDMFTALPTKEVTDAMKDLAAEITHFLPRQEKTKGKGVRHPRSRDWQSGANSDLGIPPPLRDEALEDWVMGYDHFRTSMAVFLGKLNNFAESSVKKFAELQNSTEKAKKSYAQVRSTEQ >itb14g09750.t2 pep chromosome:ASM357664v1:14:10603406:10607481:-1 gene:itb14g09750 transcript:itb14g09750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANSRVEEDKALQICRERKKFVRQALDGRCSLAATHVAYIEALKITGIALRKFVEPEAPIESSIYTSTSATPELFALTERSLSQFSFSSVSKAHNVDLGENVLPSPPPYVTSHVNHMKFGGTFSRKVEEKPAVPVTVSVTSGTPQNSTPGRHEASPFDTPVSYETPPWDFFGLGQASDGQEGRTENREEDDGVPLSEDERHNNSSPGRDSSRQESDDEFDDPSAETLVQRFVNVNNRTKDHISSKESPAMSADEAVTSELKTPNLSPLKPALSGVAVAHDVKTPVKEYDVENKVAPKDFFSSMKDIEQLFMKASESGREVPQMLEANKINFRPIVPGAGRGSIAKTLLMSCFACGKDPSQVPEEPEPPQTSPKYLTWHRTSSISSSSRNLLGANSTDNIDDSSTNLFDNFCMNSGSHASTLDRLHAWEKKLYDEVKACEILRSEYDAKRKLLRQLESSGQSQYKIDRIRAVMKDLHSRIGVAIHRINSISRKIEELRDEELQPQLEELIEGLRKMWEEMLNCHEIQLHILSIAHSPGNMKLSMNNSESRRQITIHLENELISLSSSFTKWIGAQKTYVEAINKWLLKCVSLKEQSSRRKRRPPQQPLLRYYGPPIYMICGVWLDMFTALPTKEVTDAMKDLAAEITHFLPRQEKTKGKGVRHPRSRDWQSGANSDLGIPPPLRDEALEDWVMGYDHFRTSMAVFLGKLNNFAESSVKKFAELQNSTEKAKKSYAQVRSTEQ >itb01g32590.t2 pep chromosome:ASM357664v1:1:36020729:36025386:-1 gene:itb01g32590 transcript:itb01g32590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAEEVTSVVQRYPNLQKISFIGHSLGGLISRYAIATLYGKGCAGRPSKENGDCELNESPSKENGDCELNESNNDLHSEDLLKGKIAGLEPVNFITIATPHLGSRGHKQVPAFCGLYSLENVISRAAGILGRTGRHLFLTDHDNGKPPLLLQMTSDSEDLPFISALESFRRRVAYANIHFDHIVGWSTSSIRPRNELPKRKNLKRVEKYPHIVNLEPVKTPTSQEQGSEKTTSRAHKARDMEEAMIKGLTKLSWERIDVSFRGSKQRYFAHNTIQVQKYCINSDGADVIQHMIDNFVL >itb01g32590.t1 pep chromosome:ASM357664v1:1:36020729:36025605:-1 gene:itb01g32590 transcript:itb01g32590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEMKSESDLTVKEEVGDGKSEMGMDEKEKNSAGNTVKKWRFKKRYYLFPCMRLDDEVPTVERSAGDGGFQVEATEKHKKSTHLVVMVNGIIGSAENWKFPAKQFVKAYPQDVIVHCSESNSSTLTFDGVDVMGKRLAEEVTSVVQRYPNLQKISFIGHSLGGLISRYAIATLYGKGCAGRPSKENGDCELNESPSKENGDCELNESNNDLHSEDLLKGKIAGLEPVNFITIATPHLGSRGHKQVPAFCGLYSLENVISRAAGILGRTGRHLFLTDHDNGKPPLLLQMTSDSEDLPFISALESFRRRVAYANIHFDHIVGWSTSSIRPRNELPKRKNLKRVEKYPHIVNLEPVKTPTSQEQGSEKTTSRAHKARDMEEAMIKGLTKLSWERIDVSFRGSKQRYFAHNTIQVQKYCINSDGADVIQHMIDNFVL >itb01g32590.t4 pep chromosome:ASM357664v1:1:36020729:36025605:-1 gene:itb01g32590 transcript:itb01g32590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSWSESDLTVKEEVGDGKSEMGMDEKEKNSAGNTVKKWRFKKRYYLFPCMRLDDEVPTVERSAGDGGFQVEATEKHKKSTHLVVMVNGIIGSAENWKFPAKQFVKAYPQDVIVHCSESNSSTLTFDGVDVMGKRLAEEVTSVVQRYPNLQKISFIGHSLGGLISRYAIATLYGKGCAGRPSKENGDCELNESPSKENGDCELNESNNDLHSEDLLKGKIAGLEPVNFITIATPHLGSRGHKQVPAFCGLYSLENVISRAAGILGRTGRHLFLTDHDNGKPPLLLQMTSDSEDLPFISALESFRRRVAYANIHFDHIVGWSTSSIRPRNELPKRKNLKRVEKYPHIVNLEPVKTPTSQEQGSEKTTSRAHKARDMEEAMIKGLTKLSWERIDVSFRGSKQRYFAHNTIQVQKYCINSDGADVIQHMIDNFVL >itb01g32590.t3 pep chromosome:ASM357664v1:1:36020729:36023727:-1 gene:itb01g32590 transcript:itb01g32590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSGSESNSSTLTFDGVDVMGKRLAEEVTSVVQRYPNLQKISFIGHSLGGLISRYAIATLYGKGCAGRPSKENGDCELNESPSKENGDCELNESNNDLHSEDLLKGKIAGLEPVNFITIATPHLGSRGHKQVPAFCGLYSLENVISRAAGILGRTGRHLFLTDHDNGKPPLLLQMTSDSEDLPFISALESFRRRVAYANIHFDHIVGWSTSSIRPRNELPKRKNLKRVEKYPHIVNLEPVKTPTSQEQGSEKTTSRAHKARDMEEAMIKGLTKLSWERIDVSFRGSKQRYFAHNTIQVQKYCINSDGADVIQHMIDNFVL >itb03g22480.t2 pep chromosome:ASM357664v1:3:20515633:20524199:1 gene:itb03g22480 transcript:itb03g22480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARLSLKDAKEKGWLLDGYPRSLAQAKSLEKLKIRPDVYIMLDVPDEILIDRCVGRRLDPLTGRIYHEKNFPPETEEIKARLVTRPDDTEEKVKARLQIYKQNSEAVLPIYLDILRKVDGNRMKEVVFNEIDLLLSYVLNEKEKAAKSELSTKTTTSQANMVSSSKDNWRGIPTRLNNIPHSREIREYFYDDVLQAIQRAVNNGKTRLKVEINIPELNPEMDVYRIGTLMELVRVLALSFADDGKRIKVCVQGSMGEGALAGMPLQLAGSRTILEYMDWGDDGALGTFVKIGAIGAKEVEEQDDMFILVAPQNAVGNCIIGDLKAMTDAAGSRPVILINPRLKDVPGSSGIMQTMGRQQRLEYAASFEICYLFRLLYYAGTQYPIMAALRMTYPYPYELYKRVDEAPGKEKYVLLTTFEERPSGDEINNAFEGKPRIQEKKPLGIWGFLSGIL >itb03g22480.t1 pep chromosome:ASM357664v1:3:20515633:20524199:1 gene:itb03g22480 transcript:itb03g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSSLSVTRSHSLPSTPTLSPLSSTSFPSLSSPSPSSVSCLLPSSSSFSKKLTSLSSLSVSQLAPPNDPRKQRSLKVKCSQREPLKVMISGAPASGKGTQCEMISQKFGLVHISTGDLLRAEVSAGTDIGNKAKEYMNSGHLVPDEIVTSMVTARLSLKDAKEKGWLLDGYPRSLAQAKSLEKLKIRPDVYIMLDVPDEILIDRCVGRRLDPLTGRIYHEKNFPPETEEIKARLVTRPDDTEEKVKARLQIYKQNSEAVLPIYLDILRKVDGNRMKEVVFNEIDLLLSYVLNEKEKAAKSELSTKTTTSQANMVSSSKDNWRGIPTRLNNIPHSREIREYFYDDVLQAIQRAVNNGKTRLKVEINIPELNPEMDVYRIGTLMELVRVLALSFADDGKRIKVCVQGSMGEGALAGMPLQLAGSRTILEYMDWGDDGALGTFVKIGAIGAKEVEEQDDMFILVAPQNAVGNCIIGDLKAMTDAAGSRPVILINPRLKDVPGSSGIMQTMGRQQRLEYAASFEICYLFRLLYYAGTQYPIMAALRMTYPYPYELYKRVDEAPGKEKYVLLTTFEERPSGDEINNAFEGKPRIQEKKPLGIWGFLSGIL >itb13g22660.t2 pep chromosome:ASM357664v1:13:28936191:28940381:1 gene:itb13g22660 transcript:itb13g22660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLSSSEGVSGTIFFSQEGDGPTTVTGNVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPGDDNRHAGDLGNISVGEDGTASITITDKQIPLTGANSIIGRAVVVHGDPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >itb13g22660.t1 pep chromosome:ASM357664v1:13:28936191:28940381:1 gene:itb13g22660 transcript:itb13g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLSSSEGVSGTIFFSQEGDGPTTVTGNVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPGDDNRHAGDLGNISVGEDGTASITITDKQIPLTGANSIIGRAVVVHGDPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >itb14g05210.t1 pep chromosome:ASM357664v1:14:4585606:4588786:-1 gene:itb14g05210 transcript:itb14g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLHGYIFKPTDKELMQYLEGFVLGKPLKHTSDFIALEDLYGEKEPAEIFGSGDPMTRYYFTQLRRKCERGSRFLRRVGDRGTWKGQDARHPIRVRDKVMGFRKSLKYETKKSKSKDGLGDRPGESWLMKEYSLSNDYLRDKNVVLKDVVLCRIRRVDRSTSRSSESSTLNINENDTPLEIYNWPENDVVSLPPSETQTLDAAAAEAASGVDDANGGVIAMENDTLQLDEWDQLLRTPENESLPPSETSTLAAAEFSSGVDDAHGGVSTMGNDPLVDELDELLKKTPPHDIFEDWSDILTQEDIERINLPGSKSEMKAMFLLHEGFLGSVGAFMNYKKDDLSELMADQLKTEKLPTTSCAEDRLQTSSSVDQKENRAIDHRLQARK >itb01g31070.t1 pep chromosome:ASM357664v1:1:34886813:34889453:-1 gene:itb01g31070 transcript:itb01g31070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDDNQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGKLSESFGIYPDLQFMWLSQNQFHGEISKNWGISRNLTNLQMAENNLTGRIPPEFQNLTQLGILNLSSNKLGGEIPAELGSLSSLLSLYLGDNNLSGQLPQELASLKKLNVLDLSKNQFSGPIPSFIGDYEYMHELDLSHNNFSQHLPVELSKISHLTTLDLSNNSLSGEIPHLFNSLVDLVNVDLSYNQLTGPIPDTMGFKQAFLKGNKGLCGDNKDLPPCSSTPTEMSSVEKKSGHKKQILSIVLPIVGALVLVSVFAVVLFTCGGKGDRGPDEEQCNSLRRGDGDNNDENSDLFSISSFHGKALYLDILKATKEFHEMYRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEIADQNGFFNEITALTTIRHRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb10g20670.t1 pep chromosome:ASM357664v1:10:26144779:26147700:-1 gene:itb10g20670 transcript:itb10g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVARLTLALSFIALALAGYSLFQNTLSSPTHTLIPTWLDNTFDSADVLSYLGFGKSSGRLSDSNCVFSAVKEIVDAAINAETRMGASLIRLHFHDCFVDGCDGGILLNDTANFTGEQGAPANSNSVRGFSVIDQAKRNAQTKCADTPVSCADVLAIAARDAFRKFTNQTYNITLGRQDARTANFTGANTQLPAPFDNLTIQTRKFADKGFNQREMVVLAGAHTVGFSRCAVLCTSTNLNQNRSATLQCTCPASANDTGLVGLDPSPGTFDKKYFEELVKGQGLLFSDQELMQSNATVTAVRRYRDATGAFLTDFAAAMVKMSNLPPSAGVQLEIRNVCSRVN >itb06g22350.t2 pep chromosome:ASM357664v1:6:24671201:24673008:-1 gene:itb06g22350 transcript:itb06g22350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVIVLAGVSLYLYFCKSKRKEQKLNQELELPVFDRSTISRATNNFSEMNKLGQGGFGDVYKGTLDGGEEIAVKRLSKNSTQGLEEFMNEVICIAKLQHRNLVKLLGCCISGEEKMLIYEYMPNKSLDFFIFDQTRKRLLDWPKRFNIINGIARGLLYLHQDSRLRIIHRDLKTSNVLLDTDLNPKISDFGLARSVLGNATGDNTKRVAGTRGYMSPEYAGHGIFSVKSDVFSFGVSVLEIVSGRRNSEFINEDKYVALAEHAWKLHREGKSIVLVDEQITGSYDVAQVLRSIHVALLCVQQSPEDRPDMCSVVQMLVNDFALPQAKEPGFFFGKEYSSGTHAKGSQNEATITTLNPR >itb06g22350.t1 pep chromosome:ASM357664v1:6:24671133:24673992:-1 gene:itb06g22350 transcript:itb06g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGTFVLGFFSPTNSLNHYIGIWYRQDPVKTVVWVANRDAPLADTSSAVLKITLGGQLSLLGDKGQAVWSANTSRSVQNPVAELLDSGNLVVRDADDENPENLLWQSFDYPTDHWLSGMKLGWNLQTGHEVFFTSWKGENDPASGQYTLHLDPTGYPQLSLRNRTTEIFNSGPWNGLRFSVAPVDQSNTNVGPYGLVRNKNEVYVWYNTSVYSGLFRFLVTSNGIIKTWVWEDEIKQWVSFFSEPADICGKHGLCGGNGVCNIHNEYYHSCGCLAKFLPNNNATESLSLGCHRRKSLNCHNNGSSLDKFFKYSGIKLPDTKHSWNNESMSLQECERVCLRNCSCMAYSTLNISKGGSGCLIWYDDLVDMRTLQDGQDIYIRLAATEIPGLLTPEPRHSSSLGRKIKIFVLCLSMLVVIVLAGVSLYLYFCKSKRKEQKLNQELELPVFDRSTISRATNNFSEMNKLGQGGFGDVYKGTLDGGEEIAVKRLSKNSTQGLEEFMNEVICIAKLQHRNLVKLLGCCISGEEKMLIYEYMPNKSLDFFIFDQTRKRLLDWPKRFNIINGIARGLLYLHQDSRLRIIHRDLKTSNVLLDTDLNPKISDFGLARSVLGNATGDNTKRVAGTRGYMSPEYAGHGIFSVKSDVFSFGVSVLEIVSGRRNSEFINEDKYVALAEHAWKLHREGKSIVLVDEQITGSYDVAQVLRSIHVALLCVQQSPEDRPDMCSVVQMLVNDFALPQAKEPGFFFGKEYSSGTHAKGSQNEATITTLNPR >itb09g06070.t1 pep chromosome:ASM357664v1:9:3483767:3486176:-1 gene:itb09g06070 transcript:itb09g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGNRRSGNYKPSIWDDDYVQSRTNIYTEKEFSKRAAELKEGVRKMVEEKMDPLEKLELVDLLQRLGVSYHFEDEIQHVLEHIYTSSCWCNGDQDLYATALQFRLLRQHGYKVPQDVFCGFMDDQGNFKRSLSEDTKGILSLYEATYLCMEGESIMEAAQRLCTKHLREIQNMNILDQDLVDHALEMPLYWRMQRFEARWFISVYEKRHNMNPVLLEFAKLDYNMVQAKYLEELKQMSRWNKDIRLAEKMSFARDRLVEGFLWAMGFTPDPQFEYCRKISTKLSVLLTILDDLYDVYGALDELEIFTDVVQRWDVNAAETLPEYMKICFLAIFNSMNELGYDVLKDQGLSIITNIRKQWANLCKFYLLEVKWNLGGYAPSLDEYLDIAFITNTGPLLLMHAYFCITNPINIEDLQHLEQYPGIIRSSAMILRLVNDLRTSPDEMLKGDIPKAIQCYMRESGCSEEKAGEYINGLIAETWKKLNTELVRMERPFSKKFRRTATNLPRIAQFIYQHGDGFGVCPDEMKNRIVALFFEPIPMP >itb01g07290.t1 pep chromosome:ASM357664v1:1:5500086:5501452:-1 gene:itb01g07290 transcript:itb01g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGGGNGGGGGRAPEAPKIIWNERSQRFETDDKKAYLEYELKHGGTTMDILHTYVPPSKRGLGLAALLSESAFSHAKAHSLSVIPSCSYISDTFIPRNPSWNSVLYKQDTKSNI >itb10g00700.t2 pep chromosome:ASM357664v1:10:480980:484178:-1 gene:itb10g00700 transcript:itb10g00700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACEEGSSLNPVVSFFKIIVSNEFLETLFLPPTFVKYVSHLVGQEIYLEDSIGVKSKATVSYCNGTLAIHQGWSQFSLDHNVERGDFVVLHLIKGPVGHFVVHIYGRSGCLKTNFDAPSERSNKRARTIRSLPEQDETAEVNSMNKERSPTCFATMPGFGNNHHQPPTITHTPIIDVDSGSKQSSPPVMHFEESFYMTGQDTGYNQEENRMHLFDLSSFEMPAFRHAEGNNFSKGKSDPLDLVQQVVQSEKDTMSLNDLVFTTEREAYHSPGRIHMDSNGTSHFDEKMKPKEKDLLQVRAQGVSGVTQQPRSEMPASRPAERKRILIGDREAIEHVESEVIFLKEKSSKEKIPCTGSAQMARAECGAKESITPCKNNKGPCTGSAQMARPECGAKETITSYRKTPCVGNVQIDRAEYCGASDVASLIAKETRVVKREPMEVGKEGNELNTRSKSYTRVKSEPVDLTDTPILAAPSNDSFLVMVDRQDFLELPDSWPKIRSQENKIIFLKDPTNRVWPTLYQKKKQLRVLTGGWKDFCVANELKPGHECVFQAKEFPHYSVDIRPWQRNQAA >itb10g00700.t1 pep chromosome:ASM357664v1:10:480980:484178:-1 gene:itb10g00700 transcript:itb10g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACEEGSSLNPVVSFFKIIVSNEFLETLFLPPTFVKYVSHLVGQEIYLEDSIGVKSKATVSYCNGTLAIHQGWSQFSLDHNVERGDFVVLHLIKGPVGHFVVHIYGRSGCLKTNFDAPSERSNKRARTIRSLPEQDETAEVNSMNKERSPTCFATMPGFGNNHHQPPTITHTPIIDVDSGSKQSSPPVMHFEESFYMTGQDTGYNQEENRMHLFDLSSFEMPAFRHAEGNNFSKGKSDPLDLVQQVVQSEKDTMSLNDLVFTTEREAYHSPGRIHMDSNGTSHFDEKMKPKEKDLLQVRAQGVSGVTQQPRSEMPASRPAERKRILIGDREAIEHVESEVIFLKEKSSKEKIPCTGSAQMARAECGAKESITPCKNNKGPCTGSAQMARPECGAKETITSYRKTPCVGNVQIDRAEYCGASDVASLIAKETRVVKREPMEVGKEDTGNELNTRSKSYTRVKSEPVDLTDTPILAAPSNDSFLVMVDRQDFLELPDSWPKIRSQENKIIFLKDPTNRVWPTLYQKKKQLRVLTGGWKDFCVANELKPGHECVFQAKEFPHYSVDIRPWQRNQAA >itb07g16000.t1 pep chromosome:ASM357664v1:7:19157129:19162830:-1 gene:itb07g16000 transcript:itb07g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLKTVADASRDLISELPVEVKDRILECLPTRDAARTALLSSHWNHVWLQHQRLAFDEEFVQSFQQSKDDEGRTLVNIINNILFSRAGPVRRFTLEINAECDPSPLPQQSDIDRWCLFLSRNGVEELNLYLLCDVEPDYQLPFCLLSCRTIKKLIVQGPFINLPVNDCGIFSNVASLAFFNVVFNCSVNGIASSISIPKLEKLALHYCGGINKFEICPPQLEILSVIDPIDDYFDSRWLAPHLKAIKTLWLCGSSLSCMRVSMFPTAINLQVLKLYELDFRCRKQLIISMQLLQKCPNLCELWIMAYEFCRKDDQEAASRLLEDQNGCSFIQELHMLNTIKIEAFSDQSAVEMLFVKMLLSKSPALERVVIVESLRKNASEVVRKIQGKLECFPCASPNAQIVCTSNDYARVSEDWMDTHGVRLY >itb07g16000.t3 pep chromosome:ASM357664v1:7:19157129:19162811:-1 gene:itb07g16000 transcript:itb07g16000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLKTVADASRDLISELPVEVKDRILECLPTRDAARTALLSSHWNHVWLQHQRLAFDEEFVQSFQQSKDDEGRTLVNIINNILFSRAGPVRRFTLEINAECDPSPLPQQSDIDRWCLFLSRNGVEELNLYLLCDVEPDYQLPFCLLSCRTIKKLIVQGPFINLPVNDCGIFSNVASLAFFNVVFNCSVNGIASSISIPKLEKLALHYCGGINKFEICPPQLEILSVIDPIDDYFDSRWLAPHLKAIKTLWLCGSSLSCMRVSMFPTAINLQVLKLYELDFRCRKQLIISMQLLQKCPNLCELWIMAYEFCRKDDQEAASRLLEDQNGCSFIQELHMLNTIKIEAFSDQSAVEMLFVKMLLSKSPALERVVIVESLRKNASEVVRKIQGKLECFPCASPNAQIVCTSNDYARVSEDWMDTHGVRLY >itb07g16000.t4 pep chromosome:ASM357664v1:7:19157310:19160501:-1 gene:itb07g16000 transcript:itb07g16000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLKTVADASRDLISELPVEVKDRILECLPTRDAARTALLSSHWNHVWLQHQRLAFDEEFVQSFQQSKDDEGRTLVNIINNILFSRAGPVRRFTLEINAECDPSPLPQQSDIDRWCLFLSRNGVEELNLYLLCDVEPDYQLPFCLLSCRTIKKLIVQGPFINLPVNDCGIFSNVASLAFFNVVFNCSVNGIASSISIPKLEKLALHYCGGINKFEICPPQLEILSVIDPIDDYFDSRWLAPHLKAIKTLWLCGSSLSCMRVSMFPTAINLQVLKLYELDFRCRKQLIISMQLLQKCPNLCELWIMAYEFCRKDDQEAASRLLEDQNGCSFIQELHMLNTIKIEAFSDQSAVEMLFVKMLLSKSPALERVVIVESLRKNASEVVRKIQGKLECFPCASPNAQIVCTSNDYARVSEDWMDTHGVRLY >itb07g16000.t2 pep chromosome:ASM357664v1:7:19157129:19162830:-1 gene:itb07g16000 transcript:itb07g16000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLKTVADASRDLISELPVEVKDRILECLPTRDAARTALLSSHWNHVWLQHQRLAFDEEFVQSFQQSKDDEGRTLVNIINNILFSRAGPVRRFTLEINAECDPSPLPQQSDIDRWCLFLSRNGVEELNLYLLCDVEPDYQLPFCLLSCRTIKKLIVQGPFINLPVNDCGIFSNVASLAFFNVVFNCSVNGIASSISIPKLEKLALHYCGGINKFEICPPQLEILSVIDPIDDYFDSRWLAPHLKAIKTLWLCGSSLSCMRVSMFPTAINLQVLKLYELDFRCRKQLIISMQLLQKCPNLCELWIMAYEFCRKDDQEAASRLLEDQNGCSFIQELHMLNTIKIEAFSDQSAVEMLFVKMLLSKSPALERVVIVESLRKNASEVVRKIQGKLECFPCASPNAQIVCTSNDYARVSEDWMDTHGVRLY >itb02g21030.t1 pep chromosome:ASM357664v1:2:19401904:19403193:-1 gene:itb02g21030 transcript:itb02g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-1 [Source:Projected from Arabidopsis thaliana (AT1G18140) UniProtKB/Swiss-Prot;Acc:Q9LMS3] MMLYGSGPNSSDAYTINGLPGPLYPCSTKDTFVETIEQGKTYLLRIINAALNDELFFAVANHTLTVVEIDAVYTKPFTTTAIMVAPGQTTNVLLTANQDPHGPNGAAFVMAARPYLTSVFPFDNSTTIGFFNYKPNNKNNNALTGKHHYDLPSNLPEMTDTKFATKFADSLRSLGSRDYPCKVPKKIDRRVITTISLNLQDCPANETCKGYRNKKFYASMNNQSFVRQHSMSILESHYRNVSTSPNFPENPPHPFNYTGVDPVAENMNTEFGTRLLVVPYGTRLEMVLQDTNFLNPENHPIHIHGHNFFIVGRGFGNFDVAKDPAGYNLVDPPERNTVAVPVGGWAAIRLVADNPGVWFIHCHLEEHTTWGLAMGLVVNGGQHPSQCLLPPPNDLPSC >itb09g09250.t1 pep chromosome:ASM357664v1:9:5550819:5552408:1 gene:itb09g09250 transcript:itb09g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMCFAVVAFIFSLICFPAACSSIHAHHQDFVHCLSSKLRNNATSQVLYTPSSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGVSFVSSLPFAILDLIKFRSIEVNVGEKSAWVQTGATLGELYYSIANKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGGGASFGIILAWKLELVPVPETVTVFNISKTLEQNLTNLLYKWQFFANKADRNLYLRVVLQNTNTTGERTVEGLFNAQYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQRSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLGYATGWDEVGQVAAQKHLSWIRKLYAYLTPYVSNNPRESYSNYRDFDLGQNNLVGTTSLDQANSWGYKYFMNNFYRLAKVKALVDPHNFFRNEQSIIPLSYPL >itb01g01410.t1 pep chromosome:ASM357664v1:1:799307:807110:-1 gene:itb01g01410 transcript:itb01g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRMMLPASEVDLTAVKYIPENIQAPHLTGFGLKLFVKLAEAPFVGSLLMAYLKNQNGLVEMLKRTVIPEPPMFKPEFPPQEPEPCVSLLEEDGKPQDRVDIALKCLPYYDPASNWSSHLTAPFRYWKIRDYAHAYRLKLTTPSVVAERFISAMEEFDAKNPTAPLLISFKPDEVRKQAAASTQRFEEGNALSILDGIFVAIKDDINLYPHTTKGATTWLHEVYEVEEDAISVSRLRSCGVILVGKANMHELGLGTTGNNPNYGTTRNPHAPERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTDIGGSLCDSGTVEIIGPITATVEDAILVYAAILGSSPANRIALKPALPCIPTLSSNESLDVLGSLRLGKYTEWFNDVFSTEISDKCDDVLNQLSKEYGCKTIEIAIPELHEMRTAHIVSIGSESLCSLNPDVEDGKGVRLTYDTRTNLAFFRSFSASDYVAAQCLRRRIMYYHMEIFKNVDVIVTPTTGMTAPIIPPSALSAGETDMQVSGNLMRFIIAANLLGLPAISVPVGYDKQGLPIGIQLIGRPWCEASILRLAAAIEENCSAPKKKPLQFYDILKGN >itb14g13930.t1 pep chromosome:ASM357664v1:14:16308224:16312921:-1 gene:itb14g13930 transcript:itb14g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAQGDSSGDPQSATNGGSKKNNNNNNGCSDGSSAATTPPKTATPPSTSSGSGGKIGQVLGRPMEDVRTTYSIGKELGRGQFGVTHLCTHKKSGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLSGQQNIVELKGAYEDKHSVHLVLELCAGGELFDRIISKGHYTERAAASLLRTIVQTVHSCHSMGVIHRDLKPENFLLLNKDENSPLKEDGEAPDTPLDNVVLDRIKQFRAMNKFKQVALRVIAGCLSEEEIMGLKEMFKSMDTDGNGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGLIDYEEFITATMHLNKLDREEHLYKAFQYFDKDNSGFITRDELEQALRDFGMSDDNDIKEIISDVDADNDGHINYDEFAAMMRKSDPDVAANPKKRRDVFVSA >itb10g25010.t1 pep chromosome:ASM357664v1:10:28518011:28520757:-1 gene:itb10g25010 transcript:itb10g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGDAASTAAALNQRRHEFSKLFQFYLDKTTPYALYRWIGTFGFASLYALRVFYVQGFYIVTYGLGIYILNLLIGFLSPLVDPELDPSDGPLLPTKGSDEFKPFIRRLPEFKFWYAITKAFFVAFLMTFFSLFDVPVFWPILLIYWIVLFVLTMKRQISHMLKYKYIPFNIGKQKYSGKREAPSSGSSPRAD >itb09g02590.t1 pep chromosome:ASM357664v1:9:1478551:1480909:1 gene:itb09g02590 transcript:itb09g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWSGAIGAAKKKFEDDDAPPKYQSVALIVGVTGIVGNSLAEILPLADTPGGPWKVYGVARRPRPTWNADHPIEYIQCDILNSDDAQSKLSVLTDVTHVFYDTWTNRTREDENCEVNGKMLRNVLNAIIPSCPNLLHICLQTGRKHYMGPWESYLKFAHEPPYSEDLPRLKVPNFYYTLEDILLEEVGKKEGLTWSVHRPGVIHGFSPFSMMNLVGSLCVYATICKHEGVPLRFPGVKAAWDGYSDCSDADLVAEHQIWAAVDPYAKNEAFNISNGDVFKWKHFWKVLGEQFGVEVAEFEEGKSFSLQEAMKEKGQVWDEIVREKGLAPTKLEEVGNWWFVDLELGGDCPLDTMNKSKEHGFLGFRNSKNAFVSWIDKVKAYKIVP >itb11g04290.t1 pep chromosome:ASM357664v1:11:2259517:2267336:1 gene:itb11g04290 transcript:itb11g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYPNDAGASGNGGDNKPVREDASVEVPAMDHKEEDKKDEDLESLKYRLLGSYGDIGSWGHEYVTNLGGEVAQEYRQTEDDDLVDDLMKLKQQIVAFHMKVKLTNNHHAVIEVVIHSVFGKCGRRLGCIYPYTFFRSEQYSLLSVVQHNAEPEAVDLLMELTPVEDLGTVLESSLDSANYKRTCSYLLSVANYLAEPDDLLVFDIVYLIYRRFKQYESALFIALRLDNLARKTFVLDEDMCSEEDLERLQFIIGNNKLIEGYLTLARDIDVMEPKTPEDIYKCTNAHLVDDLGVAGTIVLSARQNLAATYVNAFVNAGFGQDKLMTVPSEASGDSSTSWLFKNKGHGKASAVACLGMIMLWDVEIGLAQIDKYFHSTDTHVVAGALLAVGIVNCTVKNDHDSALVLLAEYVVKEDPSIRVGAIIGFGLAYAGSQHYQVFAKLRPILVENTNASLDVIAFTVITLGLVFLGSCNEEIAQAIRFSLKNRSESELGEPLARLLPLGLGLLYLGKQDSVDETAEISNAFGEKISRHCDMTLLSCAYAGTGNVEKVQEFLGECAEHLEKGETYQGPAVLGIAMVAMTEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLRISNPKVNVTDTLSRLSHDSDTEVAMAAIISLGLIGAGTNNARIAGMLHNLSSYYYKESDLLFCVQIAQGLVHLGKGLLTLSPYHSERFLFSQTALAGLIVTLHACLDMKALILGEYHYLLYFLSLAMMPRMLMTVDENLKPLSVPVRVGQAVGVVDQPGRPKTITGFRTYSTPVLLSAGDQAELATDKYIPLSPVLEGFVILKENPEYKDDH >itb09g18770.t1 pep chromosome:ASM357664v1:9:14845505:14849102:1 gene:itb09g18770 transcript:itb09g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRVSVGSLGLRVPIKPLNDTKSTGIHALSPPCVCEIRLPGFPVQTTSVPFVSSPEATPDTNSIASSFYLGESDVKALLTPGCFYTPNACLEIVVFTGHKGTHCGVGVKRQQIGTFKLVVGPEWGHGKPVILFNGWIGIGKNKQENGKPGAELHLRVKLDPDPRYVFQFEDKTKLSPQIVQLQGSIKQPIFSCKFSQERIPQVDPLKSFWSSSVGSSDLEIERRERKGWKVTIHDLSGSAVAAALITTPFVPSTGCDWVARSNPGTWLIVHPDGCRPESWQPWGKLEAWRERGIRDSICCRFHVLCDSQEHRDLLMSEILISAERGGEFYIDTDKQARAAAHPPPPPPPLPSPRSSGDYTAALNPVSGGFVMSCRVQGEGGRCSKPPFVQLAKRYVTCVEDAAIFMALAAAVDLSIEACRPFRRRLRRSSRRHSW >itb04g18130.t1 pep chromosome:ASM357664v1:4:21515669:21517509:1 gene:itb04g18130 transcript:itb04g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 15 [Source:Projected from Arabidopsis thaliana (AT5G49120) UniProtKB/Swiss-Prot;Acc:Q9FH22] MVGLSVVLEGHKDNATSSSSSQVVSKASNMIIKPPSPTSSSPTPVSPFSRRPSPPAAFLHSCYLCKRKLLPGKDIYMYKGDRGFCSVECRCRQIGMDEEGQAAATKAPSSKRDHCSLAAMKPQRPTPSPAAAAPPPPPKARRGATGNRPNAFAY >itb01g32600.t1 pep chromosome:ASM357664v1:1:36027027:36030084:-1 gene:itb01g32600 transcript:itb01g32600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIRKLSHLSAKFAFGSLNLVIGNSIGKLCGCQIHGDWKLKLVSRLVGLSLINVNKGHNNVVRFQHLLSQSSQSYSTMGGTILVQAQDLGKMSEELERAIDECRFVDAWELLERHIWMAGFPRKSILSKLLAACVESLEVEWVEKAHGLVEQIFEEDKNNLLEKESLLYLSLGLSKCGLPIPASTLVRKLVEMEHFPPVGAWSAIIGYMSQTGAGAYLAAELVLEIGYLFQDGRVDPRKKINAPLIAMKPNVTSFNIAVAGCLLFGTTRKAEQLLDMMPRINVKADTTLLIIMAHIYEKNGRLDELKKLKRHIDEAHNLTDIQFRQFYNCLLACHLSFGDLESASQMVLEMLRKAKRAQNSLGVATLLFDSSKSCRNSSNPMHVSGGDLTHGNRDKSEKILSYQDFCRDRRFLKLQTETEEMLDLLVVKLQNQKELITSERGILQPTETIYVKLVKAFLDAGRIQDLVNFLIKAEKEDSPVSVDNSVLVQVINSCISLGWLDQAHDLLDEMRLVGVKTGSSVYSSLLKAYCKEKRAGEVTSLLRDARRAGVQLDASCYDVLIESQVLQKDTQSALQLFREMKEAKIPRTGHQEFESLVKGCADGGEAGLMSKLLQEIKEGQKVDYGVHDWNNVIHFFCKKRLMQDAEKAVKKMRSLGHAPNAQTFHSLVTGYASIGGQYVEVAELWGQMKSIAHSSGMKFDQELLDAVLYTFVRGGFFTRANEVVELMEKDNMFIDMYKYRALFLKYHKTLYKGKAPKFQTESQLKKREAALAFKRWVGLH >itb02g20310.t1 pep chromosome:ASM357664v1:2:18129663:18129941:-1 gene:itb02g20310 transcript:itb02g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNLMILAILLLLLAEAQFSSATCDVQQLSPCLSALTFDTKPSQLCCVRLNQQKPCFCEYVKNPTLKEYVIDSPAAKKAIETCKVSLPKC >itb10g19610.t1 pep chromosome:ASM357664v1:10:25420194:25420948:-1 gene:itb10g19610 transcript:itb10g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYIKINSVSNHHVPHSRHPVIIIAFLTCLTTLSNNSAATTINFIAFFANSQLLRLPFFPQRNGKYQISQKSFDNDLGVELSIIPNQIKGNKKCQIKYENKKIVTP >itb04g28410.t1 pep chromosome:ASM357664v1:4:32159608:32161867:-1 gene:itb04g28410 transcript:itb04g28410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSSSSYLSNSSWIVEESNKSTKWTPAENKAFENALALYDKDTPDRWEKVAEMVPGKTVGDVIRQYKELEDDVSSIEAGLMDLPVPVYASSSSSLSPSPFTLDWGNSRGFDAPRQGFAGAGGGKRPSANRTPEQERKKGVPWTEEEHRLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDNNQTPSPDSNNNVNNKPPSPDGAATVHSQHKMPFQWSHQVSNGAVMGSFSTAQPNFFAPSPYGFGSYGMNKVQNHEIYFGQQNMALQIQSAQQFHPA >itb09g08020.t1 pep chromosome:ASM357664v1:9:4820733:4830131:1 gene:itb09g08020 transcript:itb09g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLGFRPPQFSEDGAWLPCWLQPEDNGVETSDEGSERGQSSSEQCVEEYHNFRKNISNASAGQLSGEEVGYKSCHLYLSGDDSSLLSFTPSVDNVVQFHLHLSLGCNSEDMPNSSNDTSQVERNEINCNINVQRFEVSGEPEVKASQLYMDHNIDVINCSLIPELGGTDEDKRLKGLEENARLLEVEQMFEASQLNVDQNIDVMNCSLVPECDGTDKDKNSKVLEEDTRLLEVEQMNDAVEMSISASEALVIHEVLRTMSCSKSLPAAAVLEAALQVKQARLEVWKESDETYCNWLTKEISETDFQSESEDLNMEDTDHDVGISASRYSLQGDHLSVSQVKDTLDSDNCGCDGKSKSEEVLPPNISHPQCIDNFVNQKLEDVHENDLQLKQNIIIESSHTDWQNKVIKESSMGLDTTSISCDNNPMLHLLGKTVEHNPKEHHSLQATVESPIAKSFEIAGQLYGVSNTVPNRFQSRWFGGWTGDTSFSIPMVYNNNKIIGEPFVRETSYLSESADIAPDENSFVGLKHDDRANFSSQSTIPSEGLYMEGNNRVFPSQDVFRSSSPSFVDPLCSVVPCSIPSQNMPSPSALCDEQVNMEKCIPGTENIIESASLLEKCILDNKALPTQLSISEASADRSEHPVRRKLTSLRSFSMILPRNGSLLENGSLNKTFLPERSDTLQYLPQQNLYGSANNAHELPSGRQEETISHLMLKSKTKGPVQHSNCFPSDYTAEANRKQMEGGETLAGIAPNLNLLKLHSIHEYQNTASLGTRKRVRFAETETKAPHREKRQNQQITSYTRNTRHTMRAAKCLRVSNSESRAQNLKGCLTNCQGKFEKKLLFQSMKFLLTGFPKQKEKRIEDLIRKYGGTVLSDIPSSENQRKRSSRLRAQGLPVVLCSKKLQTLKFLYGCAVSAMMVKAKWLTDSIAASSLLPPERYMILQKYIGEGSMQTEIPVKHSSSNSIFDGVGIMLHGAKIFCAEMAKIVKHGGARVFKTLQNLVLSLDAAKITIGVVVTEDGSWESRHLKKCTLEKKIPIMPVNWIVNSLHAQKLLPFTEKEDTFYSHSIKLPDTSGSIECSQEI >itb15g21550.t3 pep chromosome:ASM357664v1:15:24234261:24235326:-1 gene:itb15g21550 transcript:itb15g21550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT5G25890) UniProtKB/TrEMBL;Acc:Q2VWA1] MEEISKFLYAIPKGTASESNGKHEILENRKLELRLAPPGQEQPPLLSLPGAKRGILHEGTNRTSPAQDQRSAKNCRLEKSPVIGWPPVRSSRKNATASGSLKQTSPKMTSMITEEKDDGSSEKPRDALFVKVNMEGVPIGRKVDLTVYDNYEALYSGVDALFTGLLAAQRDDLADLEGNKATAQADSGITGSKEYTLVYEDEEGDRMLVGDVPWQ >itb15g21550.t4 pep chromosome:ASM357664v1:15:24234261:24235326:-1 gene:itb15g21550 transcript:itb15g21550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT5G25890) UniProtKB/TrEMBL;Acc:Q2VWA1] MEEISKFLYAIPKGTASESNGKHEILENRKLELRLAPPGQEQPPLLSLPGAKRGILHEGTNRTSPAQDQRSAKNCRLEKSPVIGWPPVRSSRKNATASGSLKQTSPKMTSMITEEKDDGSSEKPRDALFVKVNMEGVPIGRKVDLTVYDNYEALYSAQRDDLADLEGNKATAQADSGITGSKEYTLVYEDEEGDRMLVGDVPWQ >itb15g21550.t2 pep chromosome:ASM357664v1:15:24233380:24235326:-1 gene:itb15g21550 transcript:itb15g21550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT5G25890) UniProtKB/TrEMBL;Acc:Q2VWA1] MEEISKFLYAIPKGTASESNGKHEILENRKLELRLAPPGQEQPPLLSLPGAKRGILHEGTNRTSPAQDQRSAKNCRLEKSPVIGWPPVRSSRKNATASGSLKQTSPKMTSMITEEKDDGSSEKPRDALFVKVNMEGVPIGRKVDLTVYDNYEALYSGVDALFTGLLAAQRDDLADLEGNKATAQADSGITGSKEYTLVYEDEEGDRMLVGDVPWHMFISSAKRLRLLKKSEVSALYISRAKLGNF >itb15g21550.t1 pep chromosome:ASM357664v1:15:24233380:24235326:-1 gene:itb15g21550 transcript:itb15g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT5G25890) UniProtKB/TrEMBL;Acc:Q2VWA1] MEEISKFLYAIPKGTASESNGKHEILENRKLELRLAPPGQEQPPLLSLPGAKRGILHEGTNRTSPAQDQRSAKNCRLEKSPVIGWPPVRSSRKNATASGSLKQTSPKMTSMITEEKDDGSSEKPRDALFVKVNMEGVPIGRKVDLTVYDNYEALYSAQRDDLADLEGNKATAQADSGITGSKEYTLVYEDEEGDRMLVGDVPWHMFISSAKRLRLLKKSEVSALYISRAKLGNF >itb03g17310.t1 pep chromosome:ASM357664v1:3:15956599:15961443:1 gene:itb03g17310 transcript:itb03g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRGSFGVVWLCLILCVFSNYCVAGEPRSQSSEEAYVTLLYGDEFLLGVRVLGKSIRDTGTTKDMVVLVSDGVSSYAKQLLMADGWIVEEITLLANPNQVRPKRFWGVYTKLKIFNMTRYKKVVYLDADTIVVKNIEDLFKCGKFCANLKHSERLNSGVMVVEPSKEVFNDMMSKVNTLHSYTGGDQGFLNSYYAGFPNAHVFEPNLSPDVLNSRPVPKMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNARVQLKESLPGTHGGKNPKDEFIVKLLFLLPLFLVLLSYYRSYLQTRSIYDHIKQIYYKFRAGGVLPYSSVPSSTISSNQQSTNGAQLKVPSYLGGMSIFVCFAAALLSVGLSILIIPRQIMPWTGLILMYEWTFTLFLLSFGSYLHLVQHWGKMVGNQAAGHSRPKSLAYDSAKGHRQSCCDMDAWNYGLGMAFLAILTPSLPCLFGITSLFARLGLMVAGGIVLASFMTYASEHLAIRSFTRGVEDKDTQRSTSVCFLC >itb07g24180.t1 pep chromosome:ASM357664v1:7:28447211:28450920:1 gene:itb07g24180 transcript:itb07g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSALTPGISNLQRSSLSFCKPIPNTNQVGVWMQSQVGFTKVVDKHRPLRIYALFGGKKDNNDKSNDASSKAGILGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMELGSEKLSLLINEAYKDAHQKSVQAMKERMSDLAQSLGMPPGLGEGFKQ >itb09g26700.t1 pep chromosome:ASM357664v1:9:27414649:27418968:1 gene:itb09g26700 transcript:itb09g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54320) TAIR;Acc:AT3G54320] MEKSPPSSSLSSSSSCIEPNNDSVMPKPDTQTGHKRSRAKKAPNPAPTAARKSSIYRGVTRHRWSGRYEAHLWDKNSWNGNKSKKGKQGAYDSEEAAARTYDLAALKYWGPKATINFPVETYSKELEEMQKSSREEFLASLRRQSSGFSRGVSKYRGVARHHQNGRWEARIGLVYGSKYLYLGTYGTQEEAAAAYDMAAIEFKGPGAVTNFDISNYGDKLKEIRERAQTRNLANAESSAEVQSGDQRDPNQENEDGDEMQQSEWVEGHSAQRANTVIFDEEIQPDELQLDPYPNNVESLQPEILKLDPEKEHEHPWNLCLGVGFEAFPVSNIDLEVKPPNFDFFNDSSFEDEIDLVFGKRARGSEFKQDDVFGSMLMDDEFEAKAVKGQEVTASDSSSVSTTSVSASSGVGGLASSNLLN >itb06g19880.t1 pep chromosome:ASM357664v1:6:23061577:23063236:-1 gene:itb06g19880 transcript:itb06g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPSSILFIFFMVLGICKGVLAATFTLVNKCEFTVWPGILGNAGSAKFDSTGFELGSGGSRAFQAPAKWSGRIWGRTGCRFDGSGGGGNCATADCGPSVECNGAGAIPPATLAEFTIGSGGGKDFYDVSLVDGYNLPINVEAVGGLGACGSTGCAADLNRICPNELRAKDGLACKSACGAFAKPEYCCSGAYSSPSTCKPSVYSRMFKTACPTSYSYAYDDATSTFTCAGANYVLTFCPSITKPSPPPLPPPPVSAGTPNAPPVSGGTPNGPPVSAGTSNGPPVAAGAINGPPVANATLNAPPAETGISKGPPPANETTKDPESNPGLMPEGNQYDGPWIPDYLTGDSSPTISCFAMHSRSPLSSAIAIVILSFLH >itb11g01040.t2 pep chromosome:ASM357664v1:11:463984:470565:1 gene:itb11g01040 transcript:itb11g01040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDYCRFWGVVIVFLVVLLNLQSCDKYAVEAARGLPVKFLPGFDGPLPFQLQTGYIGVGQNEEIQLFYYFVKSDSNPQEDPLILWITGGKTCSALRTFFQQIGPLLVEPVEYNGSLPRLRPFPYSWTKAASIIFLDSPAGTGFSYATKSVQNSDLSAASNAYEFLQKWLIDHPEFLSNPFYVAGHSYAGLIVPIITEMISNGIESGVKPLIQLQGYILGSPGTFETQDNYRVPFAHGMGLITDQLYESLKENCKGDYTNTNLTSLHCQRDLQTFNQLTESINKKHILEPICLSDSDSTMSSLIKLPIQKPLYHDLYTKLKIDPFFLSGLQCRGTKEKWELCSNSVPYTSSIDNVIPYHMNLSKKGYRSLIYSGDHEMLSTCLSNEAWIKSLNYSIIDDWRSWMVKGQVAGYTTTYANKMTFVTVKGGGHAPPDYRPFESQVMFERWISYENL >itb11g01040.t1 pep chromosome:ASM357664v1:11:463928:470565:1 gene:itb11g01040 transcript:itb11g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDYCRFWGVVIVFLVVLLNLQSCDKYAVEAARGLPVKFLPGFDGPLPFQLQTGYIGVGQNEEIQLFYYFVKSDSNPQEDPLILWITGGKTCSALRTFFQQIGPLLVEPVEYNGSLPRLRPFPYSWTKAASIIFLDSPAGTGFSYATKSVQNSDLSAASNAYEFLQKWLIDHPEFLSNPFYVAGHSYAGLIVPIITEMISNGIESGVKPLIQLQGYILGSPGTFETQDNYRVPFAHGMGLITDQLYESLKENCKGDYTNTNLTSLHCQRDLQTFNQLTESINKKHILEPICLSDSDSTMSSLIKLPIQKPLYHDLYTKLKIDPFFLSGLQCRDEWSELTPYWANDYHVQMALHVRQGTKEKWELCSNSVPYTSSIDNVIPYHMNLSKKGYRSLIYSGDHEMLSTCLSNEAWIKSLNYSIIDDWRSWMVKGQVAGYTTTYANKMTFVTVKGGGHAPPDYRPFESQVMFERWISYENL >itb14g18830.t1 pep chromosome:ASM357664v1:14:21713375:21716507:1 gene:itb14g18830 transcript:itb14g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast envelope quinone oxidoreductase homolog [Source:Projected from Arabidopsis thaliana (AT4G13010) UniProtKB/Swiss-Prot;Acc:Q9SV68] MAGKLMRALQYDGYGGGAAALKHVEVPVPTPNKNEVLLKLEATSINPVDWKIQKGMIRPLLPGKFPYIPATDVAGEVVEVGSRVKNFKAGDKVVAMLSHLTGGGFAEYAVAKESLTAIRPPEIPAAEAAGLPVAGLTALQALVDAAGVKLDGSGPQKNILVTAASGGVGHYAVQLAKLGNAHVTATCGARNLGFVKSLGADEAIDYKTPDGAALKSPSGQTYDAVIHCATGIPWSTFEPNLSKKGKVIDLTPGASAMWTFAVKKLTMSKKQLVPLLLIPKSENLEYLVGLVKEGKLKSVIDSKHPLSKAEDAWAMSIDGHATGKIIVEP >itb03g05170.t2 pep chromosome:ASM357664v1:3:3528246:3532370:-1 gene:itb03g05170 transcript:itb03g05170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKEKQRPGQGLIDAVFSWSLEDMMNKDLYRDKVKKIPDTFMSTDHYLNYFINPLLEETRADLYSNMTNSLRNAQACEVLDVKISKGFKYPKDLLYDIFLKTTRGGGDDSKQPYEPEVGDLIAFSDVRPKRVEDLNRPKMSYVIAVVQGRKDDGSAMFPILSSKPVTFKKNMEKGREGDKLFVVYLTSLTTNIRIWKSLNMDMENVNLKIIKTVLQIDPKDEGNCALCTNRGTQGTNLLNAKAALQSFGLDNSQEEAVLSCVKARNCVHRSSVKLIWGPPGTGKTKTVASLLSVLSNMKCRTLTCAPTNIAVIGVAKRVLKLVRASLQYDPYGLGDIVVFGNGERMKIDDHEDLGEVFLDNRVDALASCLSPLNGWQVGLNWMINLLEEPEEEYQKYLGDEKVAKRNVVQCHRGKGYTGKVTLLTFEEFVLNKYESLAKRLEFCMTTLYTHLPTSYFPLEIVKKMVTTHNLLQTLGALLKTVAETCGGQRDALKGIKVSFPKSKKHIDALQTTKSECVVMLKLLSGSVTLPNLSGNNQIRSFCLKSAVLIFSTVSSSSKLHSEGTTPIELLVIDEAAQLKECESTIPLQLPGLRHAILIGDEKQLPAMVQSEICKKAGFRRSLFERLVKLGHKKHLLNVQYRMHPSISLFPNKKFYKGKVMNGPNVRDTRYEKRFLKGDMFGPYSFINISNGKEDPDEKSSSKNMAEVSAVAEIIAMLYREFLHSKQRVRVGCISPYKAQVFAIQEKLGKKYSTDVESDFCVNVRSVDGFQGGEEDVIIISTVRSNGSGSVGFLSNFQRANVALTRARYYFVFLDKLI >itb03g05170.t1 pep chromosome:ASM357664v1:3:3528246:3532370:-1 gene:itb03g05170 transcript:itb03g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKEKQRPGQGLIDAVFSWSLEDMMNKDLYRDKVKKIPDTFMSTDHYLNYFINPLLEETRADLYSNMTNSLRNAQACEVLDVKISKGFKYPKDLLYDIFLKTTRGGGDDSKQPYEPEVGDLIAFSDVRPKRVEDLNRPKMSYVIAVVQGRKDDGSAMFPILSSKPVTFKKNMEKGREGDKLFVVYLTSLTTNIRIWKSLNMDMENVNLKIIKTVLQIDPKDEGNCALCTNRGTQGTNLLNAKAALQSFGLDNSQEEAVLSCVKARNCVHRSSVKLIWGPPGTGKTKTVASLLSVLSNMKCRTLTCAPTNIAVIGVAKRVLKLVRASLQYDPYGLGDIVVFGNGERMKIDDHEDLGEVFLDNRVDALASCLSPLNGWQVGLNWMINLLEEPEEEYQKYLGDEKVAKRNVVQCHRGKGYTGKVTLLTFEEFVLNKYESLAKRLEFCMTTLYTHLPTSYFPLEIVKKMVTTHNLLQTLGALLKTVAETCGGQRDALKGIKVSFPKSKKHIDALQTTKSECVVMLKLLSGSVTLPNLSGNNQIRSFCLKSAVLIFSTVSSSSKLHSEGTTPIELLVIDEAAQLKECESTIPLQLPGLRHAILIGDEKQLPAMVQSEICKKAGFRRSLFERLVKLGHKKHLLNVQYRMHPSISLFPNKKFYKGKVMNGPNVRDTRYEKRFLKGDMFGPYSFINISNGKEDPDEKSSSKNMAEVSAVAEIIAMLYREFLHSKQRVRVGCISPYKAQVFAIQEKLGKKYSTDVESDFCVNVRSVDGFQGGEEDVIIISTVRSNGSGSVGFLSNFQRANVALTRARYCLWVLGNGTTLINSDSVWRDLVQHSKVRGCYYDACNDKNLGKAVADASDELTTKLSAKISATTRNDKPSKFSIASPSDKPSKLSATTPNDKPSKFSVASSSDKPIMLSATTPNDKPSKCSARSPSDKSINSSNTFWGAFCFKNVIISVGIFTGLAYLSKRNL >itb11g02870.t1 pep chromosome:ASM357664v1:11:1476244:1479895:-1 gene:itb11g02870 transcript:itb11g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLVEVDGQLALVNLNWDEDDPGMEIWIMEVEEKESMVWKKQYVIHFPIQLERWFKKTNFFLATNHAGEIAVLVMMDTWNGKIIAFDFKAENFPEIPPPPPTIMKPRFIEMHHRLAIVDLISDPFDPNLHMKIWRLEESMVWEEQYLNPIPIPLEERNCECFSKANLAFATNHIGEMAVLVSFCTFLSILFYNFRTESWRRFDICELPLYGCGQLRVNMNFILDNVF >itb05g26440.t2 pep chromosome:ASM357664v1:5:30323727:30328137:1 gene:itb05g26440 transcript:itb05g26440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCNLQPLLGISPRTPVFRWKTIFQFLLITTLSLSSSFSLSDISPSRLCRTAIDQDACETILKQTVPSYGGNTIRTPADLLRKFLADYLPSMEAATVEFGKVRGELNHPREIQAIADCVELMEFSINEVRDTITIKNLRRRHADAQTSLSAVLTNHLTCLDGLDPPEKSPAKGVLESLISKSRVALAVLAEIIGQNDFRVPFKGKFPSWVKSSDRKLVRSNPGDLKADIVVSQNGKGNFKTLTEAMKSVSKVRKSRVIIYVMKGLYKENVDMNAKSPVMIYGDGMTQTVFTGDHSFGDNYTTYNSYTLAAKGPGVILQDLCVRNTAGAAKHQAVALMVGGDKSIINRCFLDGYQDTLYTHSKRQFYRDCTVTGTIDFVFGNAAVVLQNCTLVAKKPGPAQQNMVTAQGRADPNQNTGISLHLCNLTPSPKLKPVIDRFPTFLGRPWRNFARTMVMESYIGAHINPAGWHEWSGTVFLDTLWFGEFSNHGPGSATKSRVKWSTFHAISDRSVASKFTVSGLLQDEEKWVIQSGVDCMEGLLPPRKINQTNVNVEPQNDGRKRSNSSKRKRSTDEAIEICAESMLNAAKLISNTMSEVGKNLSDGLQYDRDMDMFQKLDRVLQEVDGLTLDEMDLVSLKLTDHPNKVAFFLSLHPDGRLQWIRRFLAGH >itb05g26440.t1 pep chromosome:ASM357664v1:5:30325641:30328137:1 gene:itb05g26440 transcript:itb05g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIDAAAKGPGVILQDLCVRNTAGAAKHQAVALMVGGDKSIINRCFLDGYQDTLYTHSKRQFYRDCTVTGTIDFVFGNAAVVLQNCTLVAKKPGPAQQNMVTAQGRADPNQNTGISLHLCNLTPSPKLKPVIDRFPTFLGRPWRNFARTMVMESYIGAHINPAGWHEWSGTVFLDTLWFGEFSNHGPGSATKSRVKWSTFHAISDRSVASKFTVSGLLQDEEKWVIQSGVDCMEGLLPPRKINQTNVNVEPQNDGRKRSNSSKRKRSTDEAIEICAESMLNAAKLISNTMSEVGKNLSDGLQYDRDMDMFQKLDRVLQEVDGLTLDEMDLVSLKLTDHPNKVAFFLSLHPDGRLQWIRRFLAGH >itb02g04100.t1 pep chromosome:ASM357664v1:2:2452790:2456166:-1 gene:itb02g04100 transcript:itb02g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQVGSSQHQQLVEHHCFDQAADDLYKELWKACADPLMCVPTWGQRVFYFPGVHMDQLDNSTNPEVIDQEQISNLPPKVLCRVVNVRLLANQETDEAYAQIALIPEPETGVNTVDPCLHESPRSQFHSFSKVLTTSDTHSKSNWGLCINWRDAINCFPPLDTTQEKPSQKLIARDIHGIDWCFQHVFRGQPPRHLLLAKGWKAFVKSKGLVARDSVVFIRGENGQLHVGVRRLVYQQSGVGFSRTSRQTKQALSAVIFQAIATRSLFIVHYEARTSKCIISLNQHLQSVASGINLSAYYEGEGYQHRRSEGNDEQMAEVDGTIYPLPQPTIISSEDDWEGFYDILQSPGPMELDHEGGTGEIDERFNNLGNQDLSPILQRHGEESGMGAIPIAIQEGSLQVMPISSIPSQIPYGGPGRGDEKIPWEGYLVAQRCIPTLNRVISRYPDSLLGFKPWSNAVKTTFLEVLAEVVYLLERFTVESLGRSRDEFNMAKQFLWDLKHSGIDVNWVETRLEQIDEALKMQSLMQQQKELMLKYEEACSALGKVEEELGSVNKQLQELSSHNHPATNKPILEGLL >itb12g18740.t1 pep chromosome:ASM357664v1:12:21005757:21010543:-1 gene:itb12g18740 transcript:itb12g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRWKASMKIFASHIDPQKEEELKWIKIEMENNVKRIIRLIKSSVNQGNRDSNQKRRYELIQLVEDSNKQYQFLYNQYDNLRAEVRKKCRTKDDGDGSSSASSLDSESYFSPEESGRSGGSSSGELLRRKASNRSLKAAAAAEKPPPEAAEDVILKDTLTSTTEVIMKETINVDLDSQPVSPTSDRVPATEEFIKELSVQSEVAENMTNKLREECSALKDKLAEKEEQLLSVTKNYELRAKELEDQAANLKLNLETSMAQTRTLEEQMESKSNDVKQLEESKLQTLAQAKALEDQVEGLKDKLETQKKDLEEQVADLKLELETSSAQKRDLEEKMEFKLNQVKQLEYKLEDSRAEKAEAEQNLDRRLLELKQSEETNSILQAKLAGMEIRYKAKEDELSGFIRKQEENQKRSVSRVEELTREANNLQQQLEFLRLENSKLDEKLQTVTNENSDQIHDLSQKMEFLQLEMLSLGNQKSELEQSLKKKSREVSECQLEIEALKQKITSIENLDMETDSDSSTEKEETLAEKEDGSTKVTNLQKEIISIETEKSILQLKLEKERQESTEDLTEMEKKTRDLETKVSEQQTLIHQQQHTIHKLNKQHRHMQAKYEEANTNFLNAERKIDEMLEELRKKYEDSLRILSRRIRVAEQLHTENKEWYLQTREKFEQETKELKELTEKQEIGVQKIKEMSVMANDALASLDTVTLRFEKCTSNFINRISSMSCELNFAKEWVSRKHKAIAHVKGDLDYLLAQLDEKEAEIWQYREKVWKSEHKVRELEKMIKHNEDAMCDLKEEKREAIRQLCVWIDYHRSRCEYYKKMLSEVGFIKAPIM >itb08g16400.t1 pep chromosome:ASM357664v1:8:18535698:18538726:-1 gene:itb08g16400 transcript:itb08g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEEGSDRQSERCGSYSPSADVSESDSCSSSFTCRRFDASTSIASSPFGIFSNPLPPLTPSFVIGGKDLSLWDDDSQNRQSDLSEIELMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATIFGELWRLEPLPSQKKDMWSREMEWLLCVSDSIVEFVPSTQPFPGGGTYEVMATQPRSDLHLNLPALKKLDAMLIDILDGFRDMEFWYVDRAIVLTEADACHSYSSGMHCGRPSIRQEDKWWLPCPKLPPKGLSEAARKRLQQCRDCTNQILKAALAINSSVLAEMETPRAYLETLPKSGKACLGDVIYRYVIAGNFSPECLLDCLDLSSEHNTLEVANRIEAAIYYWRLKDLKNHSNPKKSKHKTWGGKVKGLVADIGRNHFLAERAETLLHCLRLRFPGLPQTALDMYKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYADDATKNSAATESSSIFNRGGLGGLPIQKRMSPSPFSIHHSPYTSPFATPTHCLSPPSMSSPKKTASPSKKDGFTGRPNCKIENLMPADKEKLWSYASNLSAQIVSKDAPERD >itb03g16620.t1 pep chromosome:ASM357664v1:3:15514122:15516063:-1 gene:itb03g16620 transcript:itb03g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSPGVQDPDPSLAAAGDQVHVRRGLRRSRELPAAGDHVGFQNPLDIRQGREPGRKLYISNLAYSVSDEDIRTLFSEIGHLQNFGIHYDRTGRSMGTGEVVFFRRSDAMAAMRTFHNVPLDGRPIKIDEVGVHVEIAPAPVPLPRLFLGSLPITPFQFVPPNLSGYEEGESSRLPRAGGSGSRAQDPQFRPHGHEGWRDRSHHRHATTAELDVDLDRYHSDAKKQA >itb05g01210.t1 pep chromosome:ASM357664v1:5:1062483:1067711:1 gene:itb05g01210 transcript:itb05g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNGVSSSNLVHSNAIGIQPLESGPSTMDPISGGNNLNNNPSLASKQRLRWTNELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKVDKKESRDMISNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLTEAPGTGVNPAAESDNGTNPSTPVPTSESPHGDKHAKECAPAKSLSLDESFSSHHEPLTPDSGGHETSQIESPTGERPSKLQKLDSGAALAQPNVMLTHPILESSLSPSYQQPDTVFLTREHLSHSSGLSIGNEDQRE >itb01g25990.t1 pep chromosome:ASM357664v1:1:31239496:31243234:1 gene:itb01g25990 transcript:itb01g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRLFMVLLPILLALICLQGVTSHGVQPLARIAIHDAVVALETQAFIKVTPSLLGSNGENKEWVTVEYGMPNPSGDDWIGVFSPGNFSESTCLPENQMASPPLLCTAPIKYQFANYSNSKYKETGKGLLKLELINQRSDFSFALFSGGLSKPKLVAVSNAVSFENPNAPLYPRLAQGKTWDEMTVTWTSGYGINEAEPFVEWGPRGGKQSRSPAGTLTFDRSSMCGAPARTVGWRDPGFIHTGFLKELWPNSLYTYKLGHKLLNGTYIWSQSYQFKSSPYPGQNSVQRVIVFGDMGKDEADGSSEYNNFQPGSLNTTKQLIDDLKNIDIVFHIGDICYANGYISQWDQFTSQIEPIASRVPYMIASGNHERDWPGSGSFYGNMDSGGECGVLAQNMFYVPAQNREKFWYSTDYGMFRFCIADTEHDWREGTEQYEFIEHCLASVDRQKQPWLIFLAHRVLGYSSGAIYAIEGSFGEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNTCTNNEQRFYKGALNGTIHVVAGGGGAGLTYFANISTLWSVFKDFDYGFVKLTAFDHSNLLFQYKKSSDGKVYDSFRISRDYRDIMACAPDSCPTTTLAS >itb13g20210.t1 pep chromosome:ASM357664v1:13:27040213:27042255:1 gene:itb13g20210 transcript:itb13g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSFVKNGVLRLPPGFRFHPTDEELVVQYLKCKVLSCPLPASIIPEVDICKSDPWDLPGDLEQERYFFSTREVKYPNGNRSNRATSSGYWKATGLDKQIVSSKGHRQLVGMKKTLVFYKGKPPQGTRTDWIMHEYRLTNAESLPNNPPQENWVLCRIFLKKRGAKNEDENPPAQAQTPTQAEAVTNNRGAGLKNNSKPVFYDFLARERTDLNLAPASSSSGSSGVTEASCNDKTDDHEESSSCCNSFTTFRTKP >itb03g15080.t1 pep chromosome:ASM357664v1:3:14527163:14529250:-1 gene:itb03g15080 transcript:itb03g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKSGWNCPDLNGKPCLSPFVFTLLAFIVLAAVFYHRQDNLGDIFCLLDYSNKTAATTTTPQFKTRTKLAFAIGETEKDCDVFSGRWVWDEENRPLYEEHDCPYLWRQVTCQGHGRPDREYLHWRWQPHACSLPSFDATMMLESLRGKRMLFAGDSLNGGQYISMVCLLQRAIPHDHAKSFVGNESLAIFTAKDYNVTIEFYFAPFLLEASTSRIVRKDSMNANGQHWKGFDIIVFNTYVWWMTDATVKLLQSGSLDDEKKDIIKVSPEEAYRMAMKNMLKWVEENMDPKKTRVFFTSMSPLHPRSIEWGGDPNGNCYNETTPIKDLNFWSITTKKSIMEVIKQVFSESRVPITFLNITQLSSYRKDAHTSIYKTQWNPFTGKDEIANPKTTYTDCTHWCAPGLQDTWNELLFAKLFYP >itb01g08640.t1 pep chromosome:ASM357664v1:1:6945644:6946042:-1 gene:itb01g08640 transcript:itb01g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAGDDKSSNSSAPSRTFDAKAIAAIPADVKEYPDSYVLIIDMPGVKSGDIKVQMDEKNVLSISGERKREMKEKEGTKYVRMERRMGKLMRKFVLPENSNKEKITAVCQDGVMTVTVEKLWKPRTIEVKIA >itb04g06240.t1 pep chromosome:ASM357664v1:4:4044317:4048750:1 gene:itb04g06240 transcript:itb04g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MKLASIVQHSSTQAPPSGFTYASLRNSPIYPFDANPRRVRIRLAPLHSPPPCSAGCSFGRRHSFAIVCSRKTEQGEQSSTSEKEKSRTQSHKSINDIDSSCSTDGSENYASSVRIVALCVLSAVTFGVGLGFKDGIGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIIFRLSIILLGTATLQRFEAVNLLFAAVLLYSSFKLFAEEDEDTDLSDNFIVKTCQRFIPVTSEYDGNRFITVQDGIRKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNIFAILGLRSLYTLISESMAELEYLQPAIAVVLGFIGCKMILDFFGFHVTTEASLAVVATCLGAGVLLSLAKKSD >itb10g22800.t1 pep chromosome:ASM357664v1:10:27516851:27518128:1 gene:itb10g22800 transcript:itb10g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHMIGPQIYNRNRMAKPFQLQDDVAAVAACRSDANPAKKLQADAPLPTKKLLGYLVTLGLKMVSYMFRKYSEYLYTKWERTILWDMVEPYRRPRSFTPLVTIYISAFYTGVIGAAITEQLYKEKYWEDHPGEMVPFMKPMFYGGPWRVMRGDVPPTGKFEL >itb10g22800.t2 pep chromosome:ASM357664v1:10:27516851:27518128:1 gene:itb10g22800 transcript:itb10g22800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHMIGPQIYNRNRMAKPFQLQDDVAAVAACRSDANPAKKLQADAPLPTKKLLGYLVTLGLKMVSYMFRKYSEYLYTKWERTILWDMVEPYRRPRSFTPLVTIYISAFYTGVIGAAITEQLYKVKKLL >itb15g05690.t1 pep chromosome:ASM357664v1:15:3678580:3679333:-1 gene:itb15g05690 transcript:itb15g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSSSSGSMSSSSASSHVRNNLEYEPSLYCNCGLKAPLCSTRDSGRKFYGCQRWKEGRGCGFFVWKEHVSPTREETENDATSASIMEEMKKLITTMRFEMIREIHDVRDLIEDEVKEKKMLKRKCVFFIVVIVAMVKFML >itb07g23460.t2 pep chromosome:ASM357664v1:7:27845188:27848827:-1 gene:itb07g23460 transcript:itb07g23460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPESCVGGKSGAKKRKRRRITAIKNRASSSRSSDRCSDQVENALPSDGSFNNPSFYGSVEEAWFDSAAIFESDCCSDEEFQSVADDVHSLDGFETEHVHMPGNSSASCNSTCNSVSGIAKTRIQPNSSVYPKDANSGQRNEVKPVFLSETSLSMNGSVGLDNDRILPNNCLPCLASTVPPVEKRRSLSSSPRKKAALKLPFKWKDGNSNSSLVSSKSLLQRPNAGSQVPVCPLEKRIPNSWSRIEAGSFRIRGENYFRDKKKDFAPNYAAYYPIGVDVFLCQRKINHIAQLVELPVIESSANLPPILVVNVQIPLYPATLFQNETDGEGISFVLYFRLSDSYAKELPYHFQDGIRKLINDEMEKMKGFPIDNIVPYRERLKILGRVANVDDLPLSAAERKLMHAYNEKPVLSRPQHEFYEVSLGLFLST >itb07g23460.t1 pep chromosome:ASM357664v1:7:27844123:27848827:-1 gene:itb07g23460 transcript:itb07g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPESCVGGKSGAKKRKRRRITAIKNRASSSRSSDRCSDQVENALPSDGSFNNPSFYGSVEEAWFDSAAIFESDCCSDEEFQSVADDVHSLDGFETEHVHMPGNSSASCNSTCNSVSGIAKTRIQPNSSVYPKDANSGQRNEVKPVFLSETSLSMNGSVGLDNDRILPNNCLPCLASTVPPVEKRRSLSSSPRKKAALKLPFKWKDGNSNSSLVSSKSLLQRPNAGSQVPVCPLEKRIPNSWSRIEAGSFRIRGENYFRDKKKDFAPNYAAYYPIGVDVFLCQRKINHIAQLVELPVIESSANLPPILVVNVQIPLYPATLFQNETDGEGISFVLYFRLSDSYAKELPYHFQDGIRKLINDEMEKMKGFPIDNIVPYRERLKILGRVANVDDLPLSAAERKLMHAYNEKPVLSRPQHEFYEGENYFEIDLDMHRFSYISRKGFEAFLDRLKYCILDFGLTIQANKSEELPEQVLCCIRLNEIDYENYQQLGLNQEPLE >itb12g21490.t1 pep chromosome:ASM357664v1:12:23835430:23838524:-1 gene:itb12g21490 transcript:itb12g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVRFSIVLCLSACLIITIHFPEVNGARRQPQDNLILNSPEKLFVFGDSYADTGNWPPTDASRPWREPYGMTFPGKPSGRWSDGRVLTDYIAAYLGIGSPQPYSLWKGDEEAKQYGMNFAYGGTGVFDTYVDGPNMTTQINYLQQLLQQNAYTKHDLTSSAALVSLVGNDYSNYNGNLNEFVDSITKQFCLDLKRIYEMGIPKVAVTAMQPLGCLPLVAFSSGKYPYCDEHTNNITRFHNQMLKQKVEKLNDQTEGSPFVILDLYVAFTSAMNIQHNHPGKSSFPHPLLPCCFGKCGDVDESGKKEYGLCDDPKMAFFWDMAHPSQQGWFAIYSALKSSLPHLFHHPQQEAPIV >itb15g20220.t1 pep chromosome:ASM357664v1:15:22775175:22775593:-1 gene:itb15g20220 transcript:itb15g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMALDVPTAVEDLAMFVAKAAVDEVFATQHLEETGSQLSEELWMSFFHHEVVKKALVIIIEKKTAERI >itb02g00320.t1 pep chromosome:ASM357664v1:2:246008:247138:-1 gene:itb02g00320 transcript:itb02g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTTILAMELLLLSTGFFSALFKLKQAAINPSCCLLEFFLSLLRQLGMSYRVVFSSPLIILFIIHSIIVVLILVSSRLHLPKLALEFEYYDVFVGNLMEELEDSFNVSTERWEGEIVAEDDASRSEKESEETASSSSNIATNFLENINVGFDQAEVVVLESDVIEDDDGEVSLKDVHVDCFRTLSGTWRVAASTVDETPPPPPHLQNISKSDENERPEEDNLMFSDENQRAEAAEEEEDTMEATWNAISQGRDCREKNINNDMKLKKSETWLGVARQPEPQSAAAMNMNGRSDEESSLEATWKELRKSVTFNDAVSACRRGGLARDLMTTSDEMKKRFDDFINNFNNELRLQRQESEQRFLAAITRGGLPGLASN >itb11g00550.t2 pep chromosome:ASM357664v1:11:237536:245681:1 gene:itb11g00550 transcript:itb11g00550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYCLLQPFVAHSSEAVAFGIFNQSNTSKVSNSKSLGSSKFLFNTLPTKRLKQITASRDQSTLSSRLLFNCYLCNSNSCGNIICLKTYRGVLLPRCQGNDSVSYVNNGNVRDSDSSESESDSDGSPGIETNPVAEISSLKGEHEHKEEEEAAEEPTLDGLREHFQKALKDLEVARINSTMFEEKAQRISEAAILLKDEAANARNDVNNVLNSIREIVNEETAAKEAVQKATMALSLAEARLQVAMDSLEVSKESSGSQETSGESNGEAPTTSTEGNELLLAAHKDISECQDCLANCIDELRQLQNRKEELQKEVERLNEVVEQAEMNVFKAEEDVANIMLLAEQAVAFELDATQRVSDAEIALQKAEKSLAISNVDSTEATNGQVLGEGIALEDDVSEGNISDVEKLGEVLAEDAWVTAEPLANNQFDITGYKTDEAQLSDESDKEDGELDSEKSKNAQSKKQELQKESARDSSPLNAPKSLLNKSSRFFSASFFSFSADGEEFTPASVFHGLIESARKELLKLVVGSLLFGVGIFFYINRAEQISKLFQQPDAITTSIDEVSINTKPLVRQIKKLPLKIKKLMDKLPHQEVNEEEASLLDMLWLLLASVVFVPLFQKIPGGSPVLGYLAAGILIGPHGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAAVVGLITHVVAAQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGVAAVKAIVAITAIIAGGRLLLRPIYRQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLGLLIGGKTILVALIGRLFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERACAAAVTLDSPGANYRTVWALNKHFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQVSSCNTNTRAIYIYRLSC >itb11g00550.t3 pep chromosome:ASM357664v1:11:237533:245382:1 gene:itb11g00550 transcript:itb11g00550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYCLLQPFVAHSSEAVAFGIFNQSNTSKVSNSKSLGSSKFLFNTLPTKRLKQITASRDQSTLSSRLLFNCYLCNSNSCGNIICLKTYRGVLLPRCQGNDSVSYVNNGNVRDSDSSESESDSDGSPGIETNPVAEISSLKGEHEHKEEEEAAEEPTLDGLREHFQKALKDLEVARINSTMFEEKAQRISEAAILLKDEAANARNDVNNVLNSIREIVNEETAAKEAVQKATMALSLAEARLQVAMDSLEVSKESSGSQETSGESNGEAPTTSTEGNELLLAAHKDISECQDCLANCIDELRQLQNRKEELQKEVERLNEVVEQAEMNVFKAEEDVANIMLLAEQAVAFELDATQRVSDAEIALQKAEKSLAISNVDSTEATNGQVLGEGIALEDDVSEGNISDVEKLGEVLAEDAWVTAEPLANNQFDITGYKTDEAQLSDESDKEDGELDSEKSKNAQSKKQELQKESARDSSPLNAPKSLLNKSSRFFSASFFSFSADGEEFTPASVFHGLIESARKELLKLVVGSLLFGVGIFFYINRAEQISKLFQQPDAITTSIDEVSINTKPLVRQIKKLPLKIKKLMDKLPHQEVNEEEASLLDMLWLLLASVVFVPLFQKIPGGSPVLGYLAAGILIGPHGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAAVVGLITHVVAAQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGVAAVKAIVAITAIIAGGRLLLRPIYRQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLGLLIGGKTILVALIGRLFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERACAAAVTLDSPGANYRTVWALNKHFPNVKTFVRAHDVDHGLNLEKAGATAVSFSLSLVFLLCFNRFPPPCVCMNS >itb11g00550.t1 pep chromosome:ASM357664v1:11:237508:246348:1 gene:itb11g00550 transcript:itb11g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYCLLQPFVAHSSEAVAFGIFNQSNTSKVSNSKSLGSSKFLFNTLPTKRLKQITASRDQSTLSSRLLFNCYLCNSNSCGNIICLKTYRGVLLPRCQGNDSVSYVNNGNVRDSDSSESESDSDGSPGIETNPVAEISSLKGEHEHKEEEEAAEEPTLDGLREHFQKALKDLEVARINSTMFEEKAQRISEAAILLKDEAANARNDVNNVLNSIREIVNEETAAKEAVQKATMALSLAEARLQVAMDSLEVSKESSGSQETSGESNGEAPTTSTEGNELLLAAHKDISECQDCLANCIDELRQLQNRKEELQKEVERLNEVVEQAEMNVFKAEEDVANIMLLAEQAVAFELDATQRVSDAEIALQKAEKSLAISNVDSTEATNGQVLGEGIALEDDVSEGNISDVEKLGEVLAEDAWVTAEPLANNQFDITGYKTDEAQLSDESDKEDGELDSEKSKNAQSKKQELQKESARDSSPLNAPKSLLNKSSRFFSASFFSFSADGEEFTPASVFHGLIESARKELLKLVVGSLLFGVGIFFYINRAEQISKLFQQPDAITTSIDEVSINTKPLVRQIKKLPLKIKKLMDKLPHQEVNEEEASLLDMLWLLLASVVFVPLFQKIPGGSPVLGYLAAGILIGPHGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGTAQVLVTAAVVGLITHVVAAQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGVAAVKAIVAITAIIAGGRLLLRPIYRQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLGLLIGGKTILVALIGRLFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAIGRALDLPVYFGDAGSREVLHKVGAERACAAAVTLDSPGANYRTVWALNKHFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQTKLPVSEIAATINEFRSRHLSELAELCQTTGSSLGYGYSRVVNKTKPQSSDFSDEPEGTLAI >itb04g33620.t1 pep chromosome:ASM357664v1:4:35805334:35808889:-1 gene:itb04g33620 transcript:itb04g33620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEVTTNKMEDYEVLEQIGRGAFGAAFLVHHKPEKKKYVLKKIRVSKQTEKFKITAHQEMNLIAKLDNPYIVEYKDGWVEKESFVCIVTSYCEGGDMAEMIRKARGMFFSEERLCKWLAQLLLALDYLHSNRVLHRDLKCSNIFLTKDDEIRLGDFGLAKLLNQDDLASSIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMVGLINKINRSTISPLPTVYSSALKRLIKSMLRKSPEHRPTAAELLRHPHLQPYLAQCRNLPPAFVPLNPESSKAKLQPLKPNTHKTVKERQVKVPIVTANKKVGELKRIAERRSCSPNPRIQRFNPEHKKEDQTCSDKQISKKTDENSRIDSKAAGKNGSMNSRSSTRSTVTDHQPEADTELEENSKKMEKLKPGIPTPKKPAGIPIPGGETPEKQEDHHHKRTVSDISVTSTLNFLQGNNIRIKWSNLSNERAEALESLLELCADLLKREKLEELAGVLKPFGDDAVSSRETAIWLTKGLMNLQKDGEGKD >itb04g33620.t2 pep chromosome:ASM357664v1:4:35805334:35808889:-1 gene:itb04g33620 transcript:itb04g33620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEVTTNKMEDYEVLEQIGRGAFGAAFLVHHKPEKKKYVLKKIRVSKQTEKFKITAHQEMNLIAKLDNPYIVEYKDGWVEKESFVCIVTSYCEGGDMAEMIRKARGMFFSEERLCKWLAQLLLALDYLHSNRVLHRDLKCSNIFLTKDDEIRLGDFGLAKLLNQDDLASSIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMVGLINKINRSTISPLPTVYSSALKRLIKSMLRKSPEHRPTAAELLRHPHLQPYLAQCRNLPPAFVPLNPESSKAKLQPLKPNTHKTVKERQVKVPIVTANKKVGELKRIAERRSCSPNPRIQRFNPEHKKEDQTCSDKQISKKTDENSRIDSKAAGKNGSMNSRSSTRSTVTDHQPEADTELEENSKKMEKLKPGIPTPKKPAGIPIPGGETPEKQEDHHHKRTVSDISVTSTLNFLQGNNIRIKWSNLSNERAEALESLLELCADLLKREKLEELAGVLKPFGDDAVSSRETAIWLTKGLMNLQKDGEGKD >itb04g28910.t1 pep chromosome:ASM357664v1:4:32470212:32475848:-1 gene:itb04g28910 transcript:itb04g28910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKKNQNKGFFASMTSGLSMFGNALHRSVNGMIGYEGLEVINPEGGKEDAEEEAQRGRWKQEERDSYWRMMQKYIGADVTSMVTLPVLIFEPMTMLQKMAELMEYSHLLDKADECEDPYMRLVYATSWAISVYYAYQRTWKPFNPILGETYEMVNHGGVTFISEQVSHHPPMGAGHAENEHFIYDITSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNMTTGDKAVLYFQPCGWFGAGRYEVDGYVYNADEEPKILMTGKWNESMSYQQCDMEGEPLPGTELKEVWRVAPVPENDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRAALEMGDISKAGAEKSSLEERQRAEKRNREANGHQFTPRWFDLTDEINPTPWGDLEIYSYNGKYTEHRATVEASGSIDADDPRSVEFNPWQYGNSSE >itb04g28910.t2 pep chromosome:ASM357664v1:4:32470280:32475848:-1 gene:itb04g28910 transcript:itb04g28910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKKNQNKGFFASMTSGLSMFGNALHRSVNGMIGYEGLEVINPEGGKEDAEEEAQRGRWKQEERDSYWRMMQKYIGADVTSMVTLPVLIFEPMTMLQKMAELMEYSHLLDKADECEDPYMRLVYATSWAISVYYAYQRTWKPFNPILGETYEMVNHGGVTFISEQVSHHPPMGAGHAENEHFIYDITSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNMTTGDKAVLYFQPCGWFGAGRYEVDGYVYNADEEPKILMTGKWNESMSYQQCDMEGEPLPGTELKEVNLLPLSLFRFFVCAVSHFIKIGKKVCRIDL >itb09g04380.t2 pep chromosome:ASM357664v1:9:2453987:2459895:1 gene:itb09g04380 transcript:itb09g04380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGVESPEMVVVAGGVAMEIPVSDDGTTSLSPPTIPPWLRQKLSEAKTSPPTVEEIEAKLRGADLRRQKFYEYLSSKARPKPRSPTQSMAGEEDLGQRLEAKLQAAQEKRMSIIAKAQKRLAKLDELRQAAKTGAEMRFRKERAELGNKVELRVQQAEANRLLILKAYNQRRASLRERTSQSLLQRMARESKYKERVGAAICQKRAAAEKKRMGLLEAEKRKACDRVMQVQRVAKSVSHQREIKTREMKNKLEDRLQRAKRQRAAYLMQRGRQHINSNYNKMHEQADHLSIKLARYWRQFRKQSKTTSHLANAYNILNINESSVKMMPFEQLALLIESSYTLRTTKALLDRLEFRYKLSREFSSASNASSWDDIDHLLKRVASPKRMARPRRSISNGDAKKAGSCKAAVRSPVKLSRYQVRVVLCAYMILGHPDAVLSGQGEREVALATSAEKFVQEFELLIRIILNGPTLTSDNSTVERKTFRSQLAAYDSAWCSYLNSFVVWKVKDAQSLEKDLVRAACQLEISMIQTCKMTPKGDSGPLTHDMKAVQRQVTEDQRLLREKVHHLSGDAGIERMENALSDTREKYFQSRENVCPASQSSSSSVGQTVEKNDSLRPSRVVRSLFRDGSDPKESSVSAPSTNNPQYAAEGLDLENELIVNECVHGQNLDFADSRNELEAGIKAKVRETMEKAFWDNIMESMKDKPNYSCIVDLMKEARDELCNVAPQSWRHDITEAIDLDILFQVLSSGKLDIAYLGKIMEFTLGTLQKLVSPLKEHELKTSHQKLLTELSEICQANDGSENPVIFALIRGLRFVLEKIQELKQEVSKARIRMLEPVLKGLAAFDYLGKAFIKRYGPPSDAITALPLTMQWLLPVRGNMDQEWNEHKNALSELRRQGTSSQTFIPNTTLRTGGSFAVKMMGNPTLPSPSTPMDLIECNGNKVDLCVRLGLLKLVNGMTGLTQETLPETLKLNLLRLRGVQANIQKIIVIATR >itb09g04380.t1 pep chromosome:ASM357664v1:9:2453881:2459921:1 gene:itb09g04380 transcript:itb09g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGVESPEMVVVAGGVAMEIPVSDDGTTSLSPPTIPPWLRQKLSEAKTSPPTVEEIEAKLRGADLRRQKFYEYLSSKARPKPRSPTQSMAGEEDLGQRLEAKLQAAQEKRMSIIAKAQKRLAKLDELRQAAKTGAEMRFRKERAELGNKVELRVQQAEANRLLILKAYNQRRASLRERTSQSLLQRMARESKYKERVGAAICQKRAAAEKKRMGLLEAEKRKACDRVMQVQRVAKSVSHQREIKTREMKNKLEDRLQRAKRQRAAYLMQRGRQHINSNYNKMHEQADHLSIKLARYWRQFRKQSKTTSHLANAYNILNINESSVKMMPFEQLALLIESSYTLRTTKALLDRLEFRYKLSREFSSASNASSWDDIDHLLKRVASPKRMARPRRSISNGDAKKAGSCKAAVRSPVKLSRYQVRVVLCAYMILGHPDAVLSGQGEREVALATSAEKFVQEFELLIRIILNGPTLTSDNSTVERKTFRSQLAAYDSAWCSYLNSFVVWKVKDAQSLEKDLVRAACQLEISMIQTCKMTPKGDSGPLTHDMKAVQRQVTEDQRLLREKVHHLSGDAGIERMENALSDTREKYFQSRENVCPASQSSSSSVGQTVEKNDSLRPSRVVRSLFRDGSDPKESSVSAPSTNNPQYAAEGLDLENELIVNECVHGQNLDFADSRNELEAGIKAKVRETMEKAFWDNIMESMKDKPNYSCIVDLMKEARDELCNVAPQSWRHDITEAIDLDILFQVLSSGKLDIAYLGKIMEFTLGTLQKLVSPLKEHELKTSHQKLLTELSEICQANDGSENPVIFALIRGLRFVLEKIQELKQEVSKARIRMLEPVLKGLAAFDYLGKAFIKRYGPPSDAITALPLTMQWLLPVRGNMDQEWNEHKNALSELRRQGTSSQTFIPNTTLRTGGSFAVKMMGNPTLPSPSTPMDLIECNGNKVDLCVRLGLLKLVNGMTGLTQETLPETLKLNLLRLRGVQANIQKIIVIATSILVLRQTLLSLKMVGSPADTERVTQTSVKRLSELLDSAKDAGIQDIISTLSKVLEQDNCVDTTKLHSMKNISIRMLSKSLQSEDPVFLRVSRAIYMAGRGVVLGGPGEQGKQLAENALRQVGAAALVNEIMEVATVLLVAASVTVNVHGPWYARLIGDM >itb12g01380.t1 pep chromosome:ASM357664v1:12:953095:953493:-1 gene:itb12g01380 transcript:itb12g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLLVVSVALFFAGYGAAQEASGGKTEVSDVKTNAEVQNLGRKAVMEFNKRLNVKVNPENNAKRLVFTEVIKAEKQVVAGEKYFLTIKATSEDGQTKTYESEMWVKPGDETVHEMLNFAPAAAA >itb01g10220.t1 pep chromosome:ASM357664v1:1:8512305:8514355:1 gene:itb01g10220 transcript:itb01g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAQKTTLMILNVDLQCSSCYKKVKKVICKFPQIKDRKFDEKANKVFITVVCCSPEKIRDKLCCKGCGVIKSIEIVEPPKPRAAEKPKEPEKPKPPPEKPKEPEKPKPPPEKPKEPEKPKPPPEKPKEPEKPKEKPAPPPEKPKPTSAPVPVPVPVPVPVPAPAPAPKPAAPAPTPAPLPPPPKVPEPEPVIVMPCYPTQPPYGFCCGPCSEGIPGGPCYGWYGRPPPPPPPPPRPCYEPFFGCRCGQSRGYYGCRCECNNYYCELDNSSCSIM >itb13g20990.t1 pep chromosome:ASM357664v1:13:27668123:27670216:1 gene:itb13g20990 transcript:itb13g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRTLLSSKNLYYNSSKYYSFSLNRGFASGSDEENDVVVIGGGPAGYVAAIKAAQLGLKTTCIDKRGTLGGTSVNVGSIPSKALLHSSHMYHEATHSFANHGLEFSSVEVDLPTMMAQKDRAVSDLTKGIEGLFKKNKVNYVKGYGKFLSPSEIKVDTIDGGETVVKGKNIIIATGSDVKGLPGITIDEDKIVSSTGALALKKIPKKLVVIGAGGYIGLEIGCIWGRLGSEVTVVEFAPQIVPSMDGEVRRQFQRTLEKQKMKFMLKTKVVSVDSSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTAGLQLDKIGVETDKVGRILVNESFATTVPGVYAIGDVIPGPMLANKAMGDGVACVEFIAGKEVQLDYDMVPWVVFTHPEVASVGKTEEQVKALGVSYSVRKSPLVTNLSRAKAIYYAEGLLKILAEKETDKILGVHIMAPNAGDLIHEALKALQLGTSGEDLARAWF >itb13g24320.t1 pep chromosome:ASM357664v1:13:30005286:30010091:-1 gene:itb13g24320 transcript:itb13g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEWWVGMVELVNKPAMMEAFVDILVCIVPIWMAVMIGLMIGWSWRPRWTGILALGLRAKLNRIVWTAPPGFGARRLWFAFTAVSAFSVGRRLWNSFRGRTRKPSSETVDVSAPVDGEGADWTTTASDLVERRSTEDIIQCITGNSGQEKEDVTENDLEHFLLLLDGKDGEMAWQSMMERSTSNMTYQAWRHEAENGPPGLLLRSKTVFEDANPELVRDFFWDDEFRPKWDPMLAYFKILQECSHNGFMIVHWIKKFPFFCSDREYIIGKRIWESGRAYYCVTKSVPYPALSKRDKPRRVDVYFSSWVIRPVESQKRDGQFSACEVTLTHYEDMGIPKDVAKLGVRHGMWGTVKKLHAGFRAYQNMRKTDAPVSRCATMAGITTKLSSQEYGQQEVVSREEQSGEVSNVEHGRNGSGIDWKWVVIGGTVALVSLQTGLLGKALLIGAGQRLGRKRGNPR >itb07g15100.t1 pep chromosome:ASM357664v1:7:18030286:18034721:1 gene:itb07g15100 transcript:itb07g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLCFLILLFSCSLVCHTSAMLSPDGINYEVQALMDIKNSLNDTRGVLSNWDESAVDPCSWTMVTCSTDKFVVGLAIPSQGLSGRISPHIGKLTHLEIVLLQNNNISGVIPAELGNLPRLKTVDLSDNKLTGEVPASLAQIKSLQYLRLNNNSLTGAIPLVLANMTQLTFLDLSFNNLNGPVPRLLAKTFNILGNPMICATGKEPDCNGTTPMALPFSFNSSQNVQPSGKSKTHKLALAFGTSLACICLLIVVFGFFLWWRQKQTKQIFFDINEQHREEVCLGNLRRFQFRELQVATSNFSSKNILGKGGFGNVYKGRLHDGTIVAVKRLKDGNAVGGEIQFQTEIEMISLAVHRNLLRLYGFCTTPSERLLVYPYMSNGSVASRLKAKPSLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHCDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHQEKKLDMLVDKDLKNNYDRIELEEMVQVALLCTQYHPSHRPKMSEVVRMLEGDGLAEKWEASQRAEATKCRANEFSSSERYSDLTEDSSLLIQAMELSGPR >itb10g16270.t1 pep chromosome:ASM357664v1:10:22524913:22525485:1 gene:itb10g16270 transcript:itb10g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDKTSEFPKQEHGEARNRRVKVVVRKEELEWVLSQLKLRQGRKTSLEDVLEELERCRGKAKASVAATTWKPSLEVITESPFELHEVMDKS >itb05g02350.t1 pep chromosome:ASM357664v1:5:1875105:1877971:-1 gene:itb05g02350 transcript:itb05g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVPSPTKLQDFARIIGSNTPRRRPTQVKPVSRTRSVSPPEGPKLKGLVVAVESPSSERRLRRNKMEEETSNGNSSEEQQRLRRIPLADVVADCVRRWFQDTLKEAKAGDTSMQVLVGQMYFSGYGVSRDAQKGRAWISRASRTRSSAWKVSDKRPGYNVSDSDSEDNAGEAK >itb01g34630.t1 pep chromosome:ASM357664v1:1:37223809:37224531:1 gene:itb01g34630 transcript:itb01g34630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNSRHMLSRLDMTGYLAEHNKASRVTPPRNSLYQMEAKDESPEDMRNPESRLLFKECLLVYASPPAKKFMKLLARASGKTRFHCSEPRISSTLDMETASI >itb01g33500.t1 pep chromosome:ASM357664v1:1:36610663:36611318:1 gene:itb01g33500 transcript:itb01g33500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVPVPPCCPPETSLSLTVSEETQIKDVLKRYDTNGDGRLSKQELKLAFRGMGVRLPSWRAGRALRRADANNDGYITEQEMHALVQYANAKKWGFKIY >itb10g12700.t1 pep chromosome:ASM357664v1:10:18763796:18768078:-1 gene:itb10g12700 transcript:itb10g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSMFNGLARTLSFRKGRSNSEEGGREAVEAMAKMAKKNDLILRSSGAINVDGSRNFASVFSKRGEKGTNQDCFIVWEEFGCQEDMVYCGIFDGHGPWGHFVAKMVRESMPSSLLCYWQESLAEASIDPDFGLDADKKLNKFNIWKNSYLKACAAIDQELEHHPRIDSFYSGTTALSVVRQGEMIFIANVGDSRAVLATTEDDGNMVAVQLTIDFKPNLPQEAERITQCNGRVFCLDDEPGVHRVWLPDDESPGLAMSRAFGDYCVKDFGLISVPDVTQRHITSRDQFVVLATDGVWDVISNQEAVQIVSTAPDRAKAGKRLVECAARAWKRKRRGIAMDDISAVVLFFHSSPLCQQIVSSGLLLDHSS >itb10g12700.t2 pep chromosome:ASM357664v1:10:18764190:18768078:-1 gene:itb10g12700 transcript:itb10g12700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSMFNGLARTLSFRKGRSNSEEGGREAVEAMAKMAKKNDLILRSSGAINVDGSRNFASVFSKRGEKGTNQDCFIVWEEFGCQEDMVYCGIFDGHGPWGHFVAKMVRESMPSSLLCYWQESLAEASIDPDFGLDADKKLNKFNIWKNSYLKACAAIDQELEHHPRIDSFYSGTTALSVVRQGEMIFIANVGDSRAVLATTEDDGNMVAVQLTIDFKPNLPQEAERITQCNGRVFCLDDEPGVHRVWLPDDESPGLAMSRAFGDYCVKDFGLISVPDVTQRHITSRDQFVVLATDGVF >itb02g19610.t1 pep chromosome:ASM357664v1:2:16906463:16909962:1 gene:itb02g19610 transcript:itb02g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELQTRPTTKLVDDLKKKVKILQAVGYNSIEAEDWEAATTGEEMSKLESLLLDKNRKMEHELTQLKVKLSEKTSMLETAEAKIDELTAKVSEQQRLIQKLEDDILKGYSSREKKSTIFEEWDLSQSGGTEQSGDAAQRRSPPDQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGILTTELEKSKADNVKLYGKIRFIQDYNSERVISRGSKKYAEDLESGFSSEVESKYKKLYEDDINPFAAFSKKEREQRYKELGLREKITLSSGRFLLGNKYARSFAFFYTIGLHILVFTCLYRMSALSHISNVPEDKMVNLPHPQ >itb14g20000.t2 pep chromosome:ASM357664v1:14:22484505:22487858:1 gene:itb14g20000 transcript:itb14g20000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQAIIGKPTLVPANGLFSHPHPTSAQNPRLAVIRSVSPNRSSRARCSVLNNRGIEIPAPKLRRFNDSGEDRRLRAVGVKDIDVATLGNLCVDIVLNVPKLPPKPHDKRKAYMDELSKTPPDKEYWEAGGNSNVAIAAARLGLCCTTIGHVGNDVYGRFFLDVLHSEGISMVGMNEPSDDVDSSSAAYETLLCWVLVDPLQRHGFCSRADFSEDPVYSWMTKLSAEVKMAIKRSKILFCNGYDFDELSPSLLESALDFAVEAETSIFFDPGPRVTLVSGRPEEQRAVDKFFKMSDVLLLTSEEAESLTGIENPIMAGQELLKKGARLKWVIVKMGAKGSILITKSSITCAPGFKVSLLLEREIEFLFGIHFFDYLL >itb14g20000.t1 pep chromosome:ASM357664v1:14:22484484:22487943:1 gene:itb14g20000 transcript:itb14g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQAIIGKPTLVPANGLFSHPHPTSAQNPRLAVIRSVSPNRSSRARCSVLNNRGIEIPAPKLRRFNDSGEDRRLRAVGVKDIDVATLGNLCVDIVLNVPKLPPKPHDKRKAYMDELSKTPPDKEYWEAGGNSNVAIAAARLGLCCTTIGHVGNDVYGRFFLDVLHSEGISMVGMNEPSDDVDSSSAAYETLLCWVLVDPLQRHGFCSRADFSEDPVYSWMTKLSAEVKMAIKRSKILFCNGYDFDELSPSLLESALDFAVEAETSIFFDPGPRVTLVSGRPEEQRAVDKFFKMSDVLLLTSEEAESLTGIENPIMAGQELLKKGARLKWVIVKMGAKGSILITKSSITCAPGFKVDVIDTVGCGDSFVAAVAFGFIHNVPLVYTLTLANAVGGATAMGCGAGRNVATLEQVKELMRRSDINEDEKLWEELLSDSLGTLEVNLLLRSVIDQNSPQLNVVPLQRAVSEILPKLLPAQSKMAVASSLS >itb13g23040.t1 pep chromosome:ASM357664v1:13:29174227:29176169:1 gene:itb13g23040 transcript:itb13g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWMKQCFLGKGSYGEVHLAVEPAGKMKIAVKSAGIENSSSLRREGQYLDALRGCPYIVQCFGEDISVENGGHVYNLLLEYAPGGTLRDMIEAHGGCMPETEVARCTYQLLRGIRHVHSLGFVHCDLKPENVLVFPAQDGRNRLKLCDFGLAERVGRRSDGYGDYFRGTLLYAPPESLTTWWTMSLRSYEAAKDIWALGCIVIQMITGKLMWQVDELTMKISLTKPEMPNHISHLANHFLEKCLQIEPQNRWTAEKLLDHPFVRGFAVLEGEEDEGFVNPKDYTPLISYKDLFGSSSPAYPKAPFVEYVLFPEKDDEDNGCRFMFPCGEDLMKQI >itb14g13740.t1 pep chromosome:ASM357664v1:14:15970248:15970955:1 gene:itb14g13740 transcript:itb14g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTEIVESLKLRMFRWRETMLLDRFSKCGVLVFRMKRTTFSSVFEESDVQLFDLVSHLEHLQRVIYAAVVATGFFAPETVPFLAEAVSDCLRTRFNVCQETLFFLGKSKVVVVVDVEAKRVRGKRGFRMSNQHNRLYEELLCYKGGEAKEGEDEERGVMISVNEVEGEMEKGFDEKTLVLDVFGDYYDHDCAEIIFSMMNSLSKTSDFLRQGCVISDEFLEFVEVKGGLKME >itb15g14140.t1 pep chromosome:ASM357664v1:15:12311004:12315638:-1 gene:itb15g14140 transcript:itb15g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGGEIANSNKKALWVYPKVMGANPSERWGHSACYCNGLVYVFGGCRGGLHFSDVLVLNLETMGWNILVTTGQGPGPRDSHGAVVVGHKMIVFGGTNGCKKVNDLHVLDLRTLEWTEPECQGVPPLPRESHTATLVGDSRVVVFGGSGQGEANYLNDLHVLDLKTMRWTSPEVRGSYMPAPRDSHSAVALDNKLFVYGGDCGDRYQGEVDVLDIDTFTWSRLDVHGPSPGIRAGHASVNFGSKIYVIGGVGDKQYYNDVWVLDVVSRTWTQIEVFGQKPQGRFSHTAIVSHSDIAIYGGCGEDERPLNELLILQLGAEHANGNSSLSVSRASENLLDYELKRFRKLEDLFKSSSKENMSATDAQGLDLESKSSFCNGSETLHRKRRRMCNTQTYEIDLEADDHSLSLSQRSSSSQSDQELSTVKLGPVAVEQFPLLRKQSFSPNTSQSNLVQRVETNPKTIIPIAAKDLHLFGGEHHSKLPSEQHVHGPHSFSRVLCPITESKPLETGQYQNLIGAEIHGKVDGAFDSGYLMTAMVNGKVFRGVLFAPGPDLVTRGSILAQNSSQHHTQINGSHVKNTSVLPSRRQPQQAAGQEIYQRFRTVPGRRLSTHVKSPPLALALPKDHTHRSDIQGVVLTLGGPGCGHAK >itb10g18950.t4 pep chromosome:ASM357664v1:10:24745037:24751795:-1 gene:itb10g18950 transcript:itb10g18950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAINRLSGPIPTYLGNITTLRTLSLENNMFNGTVPRELGNLVNLERLVLSANNLTGDLPIELNNVTGLTQLRLSRNNFTGKLPSFQAWTNLQSLEIEASGFGGPIPSSISVLTNLTELRIAYLNGGDSEFPMVKNMTSLTKLMLRSCNLIGKIPDYVGSMTPLRNLDLSFNNLEGEIPSLGLSNMEVMLLTNNSLTGPVPEWMQNRDPRTQIDISYNNFSESSVPSTCRETFNLFKTFSEWNHAEKCLSPCSKDWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g18950.t1 pep chromosome:ASM357664v1:10:24745037:24751795:-1 gene:itb10g18950 transcript:itb10g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIFLLLCFKPIGIRAQTPALPLPDSERNALREIADQLGKKGWNLSLNPCDGDPSWNTPPQDGWPIYQNSVLCNCTYSDGFCHVESISLTAQDLAGVLPPSLAKLPFLKNIVLTRNYLSGTIPPEWASIKLEIMVVAINRLSGPIPTYLGNITTLRTLSLENNMFNGTVPRELGNLVNLERLVLSANNLTGDLPIELNNVTGLTQLRLSRNNFTGKLPSFQAWTNLQSLEIEASGFGGPIPSSISVLTNLTELRIAYLNGGDSEFPMVKNMTSLTKLMLRSCNLIGKIPDYVGSMTPLRNLDLSFNNLEGEIPSLGLSNMEVMLLTNNSLTGPVPEWMQNRDPRTQIDISYNNFSESSVPSTCRETFNLFKTFSEWNHAEKCLSPCSKDWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGISLSQFLTGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g18950.t2 pep chromosome:ASM357664v1:10:24745037:24751795:-1 gene:itb10g18950 transcript:itb10g18950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIFLLLCFKPIGIRAQTPALPLPDSERNALREIADQLGKKGWNLSLNPCDGDPSWNTPPQDGWPIYQNSVLCNCTYSDGFCHVESISLTAQDLAGVLPPSLAKLPFLKNIVLTRNYLSGTIPPEWASIKLEIMVVAINRLSGPIPTYLGNITTLRTLSLENNMFNGTVPRELGNLVNLERLVLSANNLTGDLPIELNNVTGLTQLRLSRNNFTGKLPSFQAWTNLQSLEIEASGFGGPIPSSISVLTNLTELRIAYLNGGDSEFPMVKNMTSLTKLMLRSCNLIGKIPDYVGSMTPLRNLDLSFNNLEGEIPSLGLSNMEVMLLTNNSLTGPVPEWMQNRDPRTQIDISYNNFSESSVPSTCRETFNLFKTFSEWNHAEKCLSPCSKDWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g18950.t7 pep chromosome:ASM357664v1:10:24745037:24748311:-1 gene:itb10g18950 transcript:itb10g18950.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKHIVYFATFRISMLHCRGHNSVLFDLFADWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g18950.t3 pep chromosome:ASM357664v1:10:24745037:24751713:-1 gene:itb10g18950 transcript:itb10g18950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAINRLSGPIPTYLGNITTLRTLSLENNMFNGTVPRELGNLVNLERLVLSANNLTGDLPIELNNVTGLTQLRLSRNNFTGKLPSFQAWTNLQSLEIEASGFGGPIPSSISVLTNLTELRIAYLNGGDSEFPMVKNMTSLTKLMLRSCNLIGKIPDYVGSMTPLRNLDLSFNNLEGEIPSLGLSNMEVMLLTNNSLTGPVPEWMQNRDPRTQIDISYNNFSESSVPSTCRETFNLFKTFSEWNHAEKCLSPCSKDWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGISLSQFLTGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g18950.t6 pep chromosome:ASM357664v1:10:24745037:24749230:-1 gene:itb10g18950 transcript:itb10g18950.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMLLTNNSLTGPVPEWMQNRDPRTQIDISYNNFSESSVPSTCRETFNLFKTFSEWNHAEKCLSPCSKDWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g18950.t5 pep chromosome:ASM357664v1:10:24745037:24751874:-1 gene:itb10g18950 transcript:itb10g18950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIFLLLCFKPIGIRAQTPALPLPDSERNALREIADQLGKKGWNLSLNPCDGDPSWNTPPQDGWPIYQNSVLCNCTYSDGFCHVESISLTAQDLAGVLPPSLAKLPFLKNIVLTRNYLSGTIPPEWASIKLEIMVVAINRLSGPIPTYLGNITTLRTLSLENNMFNGTVPRELGNLVNLERLVLSANNLTGDLPIELNNVTGLTQLRLSRNNFTGKLPSFQAWTNLQSLEIEASGFGGPIPSSISVLTNLTELRIAYLNGGDSEFPMVKNMTSLTKLMLRSCNLIGKIPDYVGSMTPLRNLDLSFNNLEGEIPSLGLSNMEVMLLTNNSLTGPVPEWMQNRDPRTQIDISYNNFSESSVPSTCRETFNLFKTFSEWNHAEKCLSPCSKDWYNFHINCGGPKVVIGETTFDDDQDSAGSTKFAHPYENWVTSSTGDFWDVNRTISDYTASNVSVIKGNETELYTAARVSPLSLTYFGRCLANGNYTITLHFAEIVIRDNRSFESLGRRFFDVYIQGVRVLKDFDIKSAARGVDTPIKLKFSAPVTDKTLEVRFYYTGKGTQTAPVRGKYGSLVSAISVESDFDPPNHKKKTVIIAVSVAASLVAILVILGIAWRRRYLKSRISKEQELMGLDLKTGFFTFRQIKAATDNFSAANKLGEGGFGAVYKGTLLDGTIVAVKQLSSKSKQGNREFVNEIGMISGLQHPNVVRLYGCCIEGNQLLLVYEYVENNSLARALFGISLSQFLTGPQESRLQIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKAANVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKVDVYSFGVMALEIAAGKNNMKFRPDESYVCLLDWALVVQKKGSLLELVDSQLGSDLNKEEAIRMIKVALICTNPSPALRPTMSAVVRMLEGEADVNDLSSDATMYGDDLHFQSLREKYDEMQSRSSERHSFFQSSNARTGDAPSSTSF >itb10g00500.t1 pep chromosome:ASM357664v1:10:332982:335527:-1 gene:itb10g00500 transcript:itb10g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPSLSSPKIFTFALISVCLTLIFVTTIKFLEGTYEKHPKWILPIPVQKPSWYQVLETKIDVTRMKIGLVNVYSVEKEIKGLPEKAEVINVKFGRVNGSVRWTNLFPEWINEERPQKCPEIPMPRFEDYKDVNVVVARVPCRGSTDVFRLQVNLVVANLVVRNGWGNGRSYKEMHVVFIGSCEPMLEIFRCEDMLWHERHDAWVYKPDLRKLRQKVAVPVGTCQLAHPFDEPSQELQGRASNKTLRQPREAYVTIIHSSEAYVCGAIALAQSIKQFTSTKDLILLIDETISQKSLSGLRAAGWKTKKIKRIRSPHAEKNAYNEWNYSKLRIWQLVEYDKLIFIDSDFILLRNLDKFFTYPQLSAVGNSRHVFNSGLMLVEPSECTFKTLMQKTKTTGSYNGGDQGFLNEVFSWWHRWPAALNFLKDYLVVPDDKREVPKNVYTVHFLGMKPWKCYRDYDCNWDSLENQRFASDMAHTMWWKVYEKMPENLQHYCALDPQVETEIRMWRENAKNASFADGHWKVPVKDPRSFLT >itb07g01100.t1 pep chromosome:ASM357664v1:7:690776:693592:-1 gene:itb07g01100 transcript:itb07g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGFTAGDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLIVTALGAVLHVLSTSLLGITAITISNTIAGEETVHKLASLLLIFLGGSYIILYLTGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLIFHDHDHDGEAGSAGDHLHRKIIAL >itb02g03710.t1 pep chromosome:ASM357664v1:2:2174192:2187974:1 gene:itb02g03710 transcript:itb02g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDGLPIPRDKSYLREELSKIDESWTAARFDSLPHVVHILTSKDREGDVQILKEQSGIIEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESAQSIAVLKGDLSDAKKLLGTRNKQLHQLWYRSVTLRHIMTLLDQIEGIAKVPARIEKLISEKKFYAAVQLQVQSALMLEREGLQTVGALQDVRSELTKLRGILFYKVLEDLHAHLYNKGEYSPALLNISERDDEVSTRTAITLSMNNSQPLSRRTRLLKGDNQFGLFGVGDESNRPSSVDGGLLFDGHDEDGALEHDDATSDGYTIRANGGDVALKESKIISRQTPIWLSDSTPDEFVEAMRKSEAPFHVKYLQTMVECLCMLGKVAAAGAMICQRLRPTIHEIITTKIKSHAQNINSSRHGIGPTSHKGITGLHYLKGKFESYQPPKQKCQNGISLAGVVLAVSPVSSVMAPTGAAQAAAKELLDSILDTVAHIFENHVIVGELLESKSSQQFDLNAPKSIPADINWNPDSDISNDTGGYSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKTPSKDKRQTYFELTISLLIGYRDGSEDGLTFAFRFTDAAVSIPNQGLDLIRQGWNRRGANVLKEGYGTTAILPEQGIYLAASVYRPVLQFTDKVASMLPQKYSQLGNDGLLAFVENFVKDHFLPAMFVDYRKAVQQAIASPAAFRPRAQAATSYSSSIGKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAADLVNYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIENLMRLDPASACLPSSLGYASSENTAEDSEVEMEISDVLLKLRPIKQENLIRDDNKLVLLASLSDSLEFVADSIERLAKTCSRETTQMEENGNTKTHKHSRTTSSLPKDLATFAEEYRKLAVDCLKILRIEMQLETIFHMQEMTSRDYLDDQDAEEPDDYVISLTSLITRRDEEMSPFITGSKRSYIFGGICSVAANASIKALTEIKSINLFGVQQICRNSIALEQALAAIPSIDSEAVQLRLDRVRTYYELLNMPFEAILAFISEHENLFTTVEYSSLLKVQVPGRDIPADAEARLSEILLH >itb03g04460.t2 pep chromosome:ASM357664v1:3:2798375:2800354:1 gene:itb03g04460 transcript:itb03g04460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQRVFPLLVAIAAAFESAASAAPVTYVFGDSLTEVGNNNFLQSLAKSNYPFYGIDYFGGMATGRFTNGKTVGDIISEKMGIPSPPPYLALLPNDEAYLTGVNYASGGAGILNDTGLYFVQRLTFDDQISYFEKTKEAIKATLGDEAAEKHCNEALYFVGIGSNDYVNNFLQPFLAKGIFYTHDQFLELLTSTLSQQFTRLYHLGARKILYHSLGPLGCIPSQRVKSKTGECLYQVNEYVQEFNSRVKNLITTLNSQLPYAKMTFADTYHDVLDLIENPSAYGFKFSNTSCCNVDTSVGGLCLPNSKLCLNRSEYVFWDAYHPSEAANLVLAGKIFTYLDKAHSPSSPAPKPSHHRRLLSAETHLSD >itb03g04460.t1 pep chromosome:ASM357664v1:3:2798072:2800354:1 gene:itb03g04460 transcript:itb03g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSPPPYLALLPNDEAYLTGVNYASGGAGILNDTGLYFVQRLTFDDQISYFEKTKEAIKATLGDEAAEKHCNEALYFVGIGSNDYVNNFLQPFLAKGIFYTHDQFLELLTSTLSQQFTRLYHLGARKILYHSLGPLGCIPSQRVKSKTGECLYQVNEYVQEFNSRVKNLITTLNSQLPYAKMTFADTYHDVLDLIENPSAYGFKFSNTSCCNVDTSVGGLCLPNSKLCLNRSEYVFWDAYHPSEAANLVLAGKIFTYLDKAHSPSSPAPKPSHHRRLLSAETHLSD >itb07g21980.t1 pep chromosome:ASM357664v1:7:26463725:26464414:-1 gene:itb07g21980 transcript:itb07g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPSMGRQKIKIEKIEIKNHLQVTFSKRRSGLFKKASELCILCGVEVAIIVFSPAGKVFSFGHPNVDPIIDRFLVPRSRHHHAANATAHLVEAHRSAAVREMNLQLTQMTAETEIERKRGEALDEMRKERRRRCWWEAPVSELRLPELEQLRDAMDELKKNVAAQAESIMAAAVNTSTFLAMNGTAAPAAGIFDPSEAKPPRIMGSNTHNHHHHHALHDFGYDLAFS >itb15g09410.t1 pep chromosome:ASM357664v1:15:6692564:6693010:1 gene:itb15g09410 transcript:itb15g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDTPIDDVYCAAWGAPYLRTPELVSPLSSPTHQHFYIRIVLEFLYPSALAVMEDDDDDDDDEGFDENWLEGVTKTEHFWAPCNRLDPEKLSPDAISGMLSDMGVPLGRQFFLLQKISACANEVAAAAHNKAENILPIIVSASVLLD >itb07g06090.t1 pep chromosome:ASM357664v1:7:4259634:4264685:-1 gene:itb07g06090 transcript:itb07g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMGGIGKTTIARFVYITNCDKFEGSSFVANVGEVSKKQNGMIMLQRNLLSDIFRRKEEQISSVDEGIRRIRAAIGSKKILLVLDNVDQPEQLNDLFGIRDWFYPGSKIIVTTRKERLLSHETCKVYKVESMLLFESLELFCLHTFGQAHPVDEYVDYSMKAVKRCDGLPLALEILGSSLAGKHLDVWESTIKKLEAIPNNRILDKLRLSYDSLQDDDDRNIFLHLACFFVGKDRDLAIAVLDSCDLHGGIGVQNLIERNLLAIDEHNKLSMHQLVQDMGREIVRQESPKEPGKRSHVWHPKDSFYVLTEKTGTERVEGIKLEMQMLEANEPAKETINMNYGKKRKLAEFLGKSEGHLSKKSQYGFFWHSRDCAEASNVLIFTSDAFLKMQRLKFLQLNSAKLIGSYEQFPKRLRWLCWHGLELESIPSDFPLESLIALDLRYNSFKQVWKGSRFLRFLKILNLSHSYKLTRTPNFLGVPSLEKLILKYSTSLTEIHETIGCLEGLVLLNVKGCKNLRRLPESMCLLKCLETLVISGCSNLDWPTNLEKIDSLKVLHADGIAMNQVVSRSGVHPWHSPYSFLWSLVGKRNICPKISHIDLPRSLVHLSLAKCNLSDDNFPIAFSNLSMLESLDLSNNLVCSLPESIKCLRGLRNLKFTLCPRLKSLIGLPHISKRLNADDCMSLEKISYQAELEEGFRMFCRGCKILAEIEGYFKLEPLENVNTDICGILGLPNLATIRNIMVKIRSRYLAKLPCLKLSPQILYQPGIFTTFLPGDCIPSWFNSKFTFTSPQSSFTLPAIENYRIQGLSFCLVYLCSDDKEAEFSVIGPSIQIDDQTKLVSWRLQPPYFGIPNGREGMMCLSHWNLGSQLQSGDFLMVLAQVVGPLRFKELGIKIWYVEEQQVNTQESSFETVSKHANPCGDVVPVEIPGQPRYFLWLESMRLKKPM >itb13g17170.t1 pep chromosome:ASM357664v1:13:24079309:24081121:-1 gene:itb13g17170 transcript:itb13g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMEKMLPHLRYSVNRYSPTQSFYFLHFAPLSSSSKAVKSNSENAKNGDSFTVSYLINKCSFAPERALSASKYMKIEEAGKPDAVLLFLKNQGFTKSQISNIVKRVPPVLLCDPQKTLLPKIEFLKSSGFTLEQLTAILNASPSTFRRSLKNQVVPTFNFLNNFIGSTEKIVYVARRYTHIFGVDVEASMEPNIQLLKDVGVPDSKIIQFLINQPRAFVIDKERFRDIVEEVEGMGFNPLRFKFLVAVNAFRAMSKETWEKKIEAYKKCGFSEAEILKAFKDNPRCILVSTRKILAVMDFLFNKMGFKRSVFLNRPVIISLSLEKRVIPRCLVYQDLLAKGLINERLRLVSMLEASEKKFLEKYIARHGKEAPHLLKLYTEKLALLH >itb05g09660.t1 pep chromosome:ASM357664v1:5:14110501:14111370:1 gene:itb05g09660 transcript:itb05g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMINDGLYHKTNGSRDTNFWTDIWVGNAPLLSLLPDENLINNKKTINAEEERIDDMYWRYEATATYTVKSGYNIIKGVNFLMQEKAWEAILHLKVPSKFKTLFWTMCHGKVLGNAKRKQRGMTVDGTCSSCHNHEESIDHIFRFYWEASLVWNTTRQSTRGGXAMTLKVGLIKISLSFDAATQLGSGLQHLPPLGVCGNGAMQESLEGPIRTFVRKRGWIDEVVDEIIRVFNRRSWVRKHLSTSAVRTSQDSLACY >itb07g12880.t3 pep chromosome:ASM357664v1:7:14928951:14931035:-1 gene:itb07g12880 transcript:itb07g12880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQTVADANRDLISHLPAEIKDRILECLPTRDAARTALLSTHWNDAWLRHGRLVFDFNFFWHFRESKGYTLLPFVQIITRILLQRIPPVKKFSLAIPSLELLDPKLEQSALDQWFHFLSRNGVEELRISNGGNVTPYKLPLCIVSCPTIKQLRLCNVYFDFPLNAPCIFPCVTSLEFFSVAFSHNVNGIVYSIPNLEKLYLSNCRWISNFKISAPKLESLSITGCIYEFGFICKFESSWFALHLRAIKVLCMVGGLFLNWRDAVVASFPTAINLQVLKLHSFEFASEKHLKGVLQLLQKSPNLCELEITGVSFVRQKPLL >itb07g12880.t2 pep chromosome:ASM357664v1:7:14928951:14930971:-1 gene:itb07g12880 transcript:itb07g12880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQTVADANRDLISHLPAEIKDRILECLPTRDAARTALLSTHWNDAWLRHGRLVFDFNFFWHFRESKGYTLLPFVQIITRILLQRIPPVKKFSLAIPSLELLDPKLEQSALDQWFHFLSRNGVEELRISNGGNVTPYKLPLCIVSCPTIKQLRLCNVYFDFPLNAPCIFPCVTSLEFFSVAFSHNVNGIVYSIPNLEKLYLSNCRWISNFKISAPKLESLSITGCIYEFGFICKFESSWFALHLRAIKVLCMVGGLFLNWRDAVVASFPTAINLQVLKLHSFEFASEKHLKGVLQLLQKSPNLCELEITGYDEIEEDDDMEDDFMEDNDIEAASRLLKDPESCIINQDLKVLKTIKIKLFCRSPVEMLFMKMLLSKSPILERVVITEYRYIKDGCTVIKFLRELQCFPRASPKAQIVIKGKNYA >itb07g12880.t1 pep chromosome:ASM357664v1:7:14928920:14931035:-1 gene:itb07g12880 transcript:itb07g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQTVADANRDLISHLPAEIKDRILECLPTRDAARTALLSTHWNDAWLRHGRLVFDFNFFWHFRESKGYTLLPFVQIITRILLQRIPPVKKFSLAIPSLELLDPKLEQSALDQWFHFLSRNGVEELRISNGGNVTPYKLPLCIVSCPTIKQLRLCNVYFDFPLNAPCIFPCVTSLEFFSVAFSHNVNGIVYSIPNLEKLYLSNCRWISNFKISAPKLESLSITGCIYEFGFICKFESSWFALHLRAIKVLCMVGGLFLNWRDAVVASFPTAINLQVLKLHSFEFASEKHLKGVLQLLQKSPNLCELEITGYDEIEEDDDMEDDFMEDNDIEAASRLLKDPESCIINQDLKVLKTIKIKLFCRSPVEMLFMKMLLSKSPILERVVITEYRYIKDGCTVIKFLRELQCFPRASPKAQIVIKGKNYA >itb07g12880.t4 pep chromosome:ASM357664v1:7:14928951:14930971:-1 gene:itb07g12880 transcript:itb07g12880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQTVADANRDLISHLPAEIKDRILECLPTRDAARTALLSTHWNDAWLRHGRLVFDFNFFWHFRESKGYTLLPFVQIITRILLQRIPPVKKFSLAIPSLELLDPKLEQSALDQWFHFLSRNGVEELRISNGGNVTPYKLPLCIVSCPTIKQLRLCNVYFDFPLNAPCIFPCVTSLEFFSVAFSHNVNGIVYSIPNLEKLYLSNCRWISNFKISAPKLESLSITGCIYEFGFICKFESSWFALHLRAIKVLCMVGGLFLNWRDAVVASFPTAINLQVLKLHSFEFASEKHLKGVLQLLQKSPNLCELEITGVSFVRQKPLL >itb07g12880.t5 pep chromosome:ASM357664v1:7:14929082:14931031:-1 gene:itb07g12880 transcript:itb07g12880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQTVADANRDLISHLPAEIKDRILECLPTRDAARTALLSTHWNDAWLRHGRLVFDFNFFWHFRESKGYTLLPFVQIITRILLQRIPPVKKFSLAIPSLELLDPKLEQSALDQWFHFLSRNGVEELRISNGGNVTPYKLPLCIVSCPTIKQLRLCNVYFDFPLNAPCIFPCVTSLEFFSVAFSHNVNGIVYSIPNLEKLYLSNCRWISNFKISAPKLESLSITGCIYEFGFICKFESSWFALHLRAIKVLCMVGGLFLVRIYLPSIVLTD >itb05g20000.t1 pep chromosome:ASM357664v1:5:26250394:26252522:-1 gene:itb05g20000 transcript:itb05g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGGDREFLQSLISELANGRDAATHLQMILNAPSSFSPETRELLVHNVLASYDRALGMLNYSPESAAVQPAAAAGPALGIESPSSFTGSPHSEDSDREYDGSRRRNAPRWTQKVQVCPGSGLEGHLDDGYSWRKYGQKDILGARYPRGYYRCTHRHAQGCLATKQVQRSDEDPTIFDITYRGRHTCNQAGGNPNPPQNQEPNMPESQRNIIPFTQQQGHPSETLLSFRRQLRVETSDLDNTPQENQQFTYLYTFPSSSDQSYTFRPPSAPDAGNFSWSISPSFGSPASTTASSDFGRRPRPTTEAPATTTTNAGGIIPAVSAGNSPAVDPGFAFGTVGFDSNFTFDSHGFFPNP >itb04g07310.t1 pep chromosome:ASM357664v1:4:4940580:4941077:-1 gene:itb04g07310 transcript:itb04g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTIDLPSPFANLDRLISSFASKGLNTRDMVALSGAHTLAQAQCFLFRDRIYGNRTDIDAGFANTRRRNCPKDTENGNLAPLDLVTPNSFDNNYYKNLLQKKCLLQSDQVLFSGGATDSIVSEYARSPQAFQADFASAMIKMSEIQPLTGQSGIIRKVCDALN >itb10g04670.t1 pep chromosome:ASM357664v1:10:4499219:4500873:1 gene:itb10g04670 transcript:itb10g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTTWIWTTFAVLAAISICNRFLKSKSKRLPPSPRRLPILGHLHLVGKTPHQDLQKLAKIHGPIMHLRFGFVDNIIVSSPRAAELFLKTHDLNFASRPPSEAAKYMVYGQKDMVFGEYGPFWRTMRKLCTLELLSNAKINSFQSMRREELRLLVESVKHAAGKGEAVDLSAKVSSMSADMSCRMVFGKKYEDSDIGENGFKAVIQEILHLTGVPNLGDYFPYFSKLDFQGLTRRMKAVAKDFDQFFERIIDEHKQAKNQGNTQTTKDFVDIMLEIRKSGETSFEFTREHIKSMMLDLLVTSMDTSSTTINWTMSELFKHPEIMKKVKKEIERHVGYDRMVEEEDLEHFEYLEMVIKESLRLHLIVPLLVPHASIEDYIVDGFHIPKKSRIIVNTWAIARDPNVWSNPEKFIPERFNGSDVDYRGKHFEYLPFGSGRRSCPGMQLGITIVRLVVAQLIHCFDWDLPNGMLPEDLDMTEHFGVVISRAKNLVAIPKYQMCV >itb06g18970.t1 pep chromosome:ASM357664v1:6:22476183:22480805:1 gene:itb06g18970 transcript:itb06g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKYLVAGVPVPPDLVVPIRRSFDALSSRFFHHPTLGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSASQPLSTAMSHVTSGSSVTSGSFQSTDRSFQNMPLYSIANSEGLCYGSPATATKLQMESASYGRDNKDFWYTHGMNPNADEHNFPPETSASVGSLGMGSSIDSTWPPVQFQVSSSPMLKLKNESLLLGSSSSNVHLPGGVYEPIADATIPKQRQQHCLFGSDINSPGTVKQEQQSMRPFFSEWPATKESWSNLDSDGSNKTQLSISIPVTTSDFPSRNASSQNDA >itb06g18970.t2 pep chromosome:ASM357664v1:6:22476811:22480805:1 gene:itb06g18970 transcript:itb06g18970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKCLFPFPVGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSASQPLSTAMSHVTSGSSVTSGSFQSTDRSFQNMPLYSIANSEGLCYGSPATATKLQMESASYGRDNKDFWYTHGMNPNADEHNFPPETSASVGSLGMGSSIDSTWPPVQFQVSSSPMLKLKNESLLLGSSSSNVHLPGGVYEPIADATIPKQRQQHCLFGSDINSPGTVKQEQQSMRPFFSEWPATKESWSNLDSDGSNKTQLSISIPVTTSDFPSRNASSQNDA >itb13g00200.t1 pep chromosome:ASM357664v1:13:167026:168164:-1 gene:itb13g00200 transcript:itb13g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNLLGFVVILRESINLLPRNGKLMACITSIHLLLYSLFFLAFSFASKSLFRDILIKESIIPISTPNSSDFTNAIEGIRKDALLSFFLNFAFIASFVILSFFSTIATIFTSAFSYSDHTLSPTDLLSRIKKSWISLFITWFYTTLLVIGYFFFGLSMSFPLMMSSNIYTSIDRVILFAIFAYIFYLYISVDWVLGLVVSVIEENLCGLEALGKAGKMVKGKKLHGFSMNITFGLMNLVIFQGLRIVKGEKWLFNETAYMLVMVMFSVLFNVFQLVAYTVLYFHCKKNNGEEVELQASVEYGKLTSLPLINATN >itb06g19130.t1 pep chromosome:ASM357664v1:6:22551868:22555138:-1 gene:itb06g19130 transcript:itb06g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAILSTPLSPHRASLSTHLRFRRHGLLRHPSLKFTPPSIKCILDSHLPTTPKPLSDSQFLSNSDRHSLHLASAPQTQAAAMRGAEADVMGLLFRERIVFLGNEIDDFVADAINSQLLLLDAQDPTRDIRLFINCSGGSLSASMAIFDVIQLLRADVSTIALGISASTASIILGGGTKGKRFAMPNTRIMMHQPLGGASGQVIDVEIQAREIMHNKENVIRIISNFTGRSFEQVEKDIDRDRYMSPVEAVEYGIIDGVIDKESIIALEPVPDRVNATLSYEEVTKDPKKFLNPEIPDDELY >itb06g19130.t2 pep chromosome:ASM357664v1:6:22551868:22555138:-1 gene:itb06g19130 transcript:itb06g19130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAILSTPLSPHRASLSTHLRFRRHGLLRHPSLKFTPPSIKCILDSHLPTTPKPLSDSQFLSNSDRHSLHLASAPQTQAAAMRGAEADVMGLLFRERIVFLGNEIDDFVADAINSQLLLLDAQDPTRDIRLFINCSGGSLSASMAIFDVIQLLRADVSTIALGISASTASIILGGGTKGKRFAMPNTRIMMHQPLGGASGQVIDVEIQAREIMHNKENVIRIISNFTGRSFEQVEKDIDRDRYMSPVEAVEYGIIDGVIDKESIIALEPVPDRVNATLSYEEVTKDPKKFLNPEIPDDELY >itb03g16890.t3 pep chromosome:ASM357664v1:3:15657354:15659524:-1 gene:itb03g16890 transcript:itb03g16890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGHGKSEGLHGYVPSFDVVVTDCSNHYSKICERKGNRKKMRFLLGESMGGAVALLLHRKKPDFWDGAVLVAPMCKIADAIKPHPIVISILSKLSKCIPTWKIIPTPDITDVANRDPLKRKEIRSNPYCYQGRPRLQTGYQLLIVSMDLEQRLTEVKLPFLIAHGEADKVTDPSVSKLLYEKASSTDKSLKIYPGMWHSLTYGELPENINIVFSGIISWLDHKVTTGNSRLEREQKYTNDNLLGANSKTL >itb03g16890.t1 pep chromosome:ASM357664v1:3:15657354:15659524:-1 gene:itb03g16890 transcript:itb03g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAETSTVKYEEEFVLNSRGMKLFTCRWLPKNHEPKALIFLCHGYAMECSVSMKGAAIRLAKAGFGVYGMDYEGHGKSEGLHGYVPSFDVVVTDCSNHYSKICERKGNRKKMRFLLGESMGGAVALLLHRKKPDFWDGAVLVAPMCKIADAIKPHPIVISILSKLSKCIPTWKIIPTPDITDVANRDPLKRKEIRSNPYCYQGRPRLQTGYQLLIVSMDLEQRLTEVKLPFLIAHGEADKVTDPSVSKLLYEKASSTDKSLKIYPGMWHSLTYGELPENINIVFSGIISWLDHKVTTGNSRLEREQKYTNDNLLGANSKTL >itb03g16890.t2 pep chromosome:ASM357664v1:3:15657301:15659524:-1 gene:itb03g16890 transcript:itb03g16890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTCRWLPKNHEPKALIFLCHGYAMECSVSMKGAAIRLAKAGFGVYGMDYEGHGKSEGLHGYVPSFDVVVTDCSNHYSKICERKGNRKKMRFLLGESMGGAVALLLHRKKPDFWDGAVLVAPMCKIADAIKPHPIVISILSKLSKCIPTWKIIPTPDITDVANRDPLKRKEIRSNPYCYQGRPRLQTGYQLLIVSMDLEQRLTEVKLPFLIAHGEADKVTDPSVSKLLYEKASSTDKSLKIYPGMWHSLTYGELPENINIVFSGIISWLDHKVTTGNSRLEREQKYTNDNLLGANSKTL >itb03g16890.t4 pep chromosome:ASM357664v1:3:15657301:15659524:-1 gene:itb03g16890 transcript:itb03g16890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTCRWLPKNHEPKALIFLCHGYAMECSVSMKGAAIRLAKAGFGVYGMDYEGHGKSEGLHGYVPSFDVVVTDCSNHYSKICERKGNRKKMRFLLGESMGGAVALLLHRKKPDFWDGAVLVAPMCKIADAIKPHPIVISILSKLSKCIPTWKIIPTPDITDVANRDPLKRKEIRSNPYCYQGRPRLQTGYQLLIVSMDLEQRLTEVC >itb12g20130.t1 pep chromosome:ASM357664v1:12:22619134:22621134:1 gene:itb12g20130 transcript:itb12g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHAQQIANLRQSFDQQLLNEKFIRTEEIDVGLPGFQEDFYCTYFREAERLVGILKLELHGPPFDVESIEMYLHEFRSNTKSAGAVKVLTKIDRCIECCSVLDFAGCLACLEEANTEMKYLKRQIKNYFMAWNLVQKC >itb12g20130.t2 pep chromosome:ASM357664v1:12:22619134:22621134:1 gene:itb12g20130 transcript:itb12g20130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHAQQIANLRQSFDQQLLNEKFIRTEEIDVGLPGFQEDFYCTYFREAERLVGILKLELHGPPFDVESIEMYLHEFRSNTKSAGAVKVLTKIDRCIECCSVLDFAGCLACLEEANTEMKYLKRQIKNYFMVLIEHLLFVHKL >itb04g19900.t1 pep chromosome:ASM357664v1:4:24361051:24361560:1 gene:itb04g19900 transcript:itb04g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQIKSRTTGENLQIPINYWAVLLNQSSTKWALLPDSAATQHHLYPSSKPSKVRNGAVRLRPKITDILKGKLILGAKLLQAGGIQKVFKKNFRTVRDDGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSDRSIKIPSSTGKSMRMHYKVSIPIAKIKRANEVII >itb15g18230.t1 pep chromosome:ASM357664v1:15:19734393:19734710:-1 gene:itb15g18230 transcript:itb15g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPTGPGFSRALAGSGVPVKGANAYPGVIITTCTSHLGTVERVTRLLFHSTTFVPVIHSLTECSSEGQPAHTASGEDGTTGKDRLAKTPQARSVVGLRRGSIA >itb06g05540.t1 pep chromosome:ASM357664v1:6:8248540:8249982:1 gene:itb06g05540 transcript:itb06g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHRHPLIILGFLVSLHFYSSTSYAVSPDISGRDKGPFGVSKSDEKGSNLVLEVSKEDYENCNTKNPPIKKMDYGNSMFQFGRSGTIYIISGNQESCEKGQKFIIAVPPPPTANQETPLSSSKGSSPPSLSLGRVSEVAPTKTITPYRQAPKAVSPKGFVTCTPISYSPTKRFTPASPEVVIPTKSSISSSPNTLSSSHAPVKAPSHTATTSISPSIASKLPSSSLSPTTPHAPLSSNMSPQGSRIIPSSSPTPLTAPSSNKSPVTSPQRPSIIAPTPSGSPQKSNSAIGMPITPTLIFASLLSLLPYNNYLLFIIN >itb05g26930.t1 pep chromosome:ASM357664v1:5:30580581:30587428:1 gene:itb05g26930 transcript:itb05g26930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSFRASFKRDDSKVKALEWSSSKGKDSKESILDIDDAPINRCSKQHEKTYQEWFACADSDGDGRITGNDATKFFGMANLSRQDLKQVWAIADSKRQGFLGFREFVTAMQLISLAQAGHAVTTDLSTTGVELENLEPPTMEGLDALLAKKKPGSKHDSDGSPPKQSTNWFQSSKSTKISLTSVTSIVDGLKRLYTKKLKPLEVTYRFNDFVSPVLASSDFDCKPMVMLLGQYSTGKTTFIKHMLKSSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHFTFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINEDAYDGPIGRELFEKEQEDLMNDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPSMMGKAKVQQRLIDNLADEFLKVQREFHLPAGDFPNVDQFKEILSGYNIDRFERLKPKMIQSVDDMLGYDIPDLLKSFRNPYD >itb07g19950.t1 pep chromosome:ASM357664v1:7:24415353:24417758:1 gene:itb07g19950 transcript:itb07g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSKKTKLSWTKTLVKKWFNIKSKADDFHADDDLVCGGVDDECSGNFSEREANTIKKSKTERSGRRNFDRVRQSRIDIDASRVMDVNNYRIFVATWNVAGKSPPSNLSLDNWLHTSPLADIYVLGFQEIVPLNAGNVLGTENNGPARKWQALIRKTLNALPGTSGSFHTPSPVPNPIVELNADFEGSTRERAPSLYHRRSFQSLSRSLRVMENDVSMPQSRIDHRFSVCERDVLGHRSSDYEPNGIWDASSDDENSPDGSLITTDYYPVVYSGSSSMEDRDKRPAQSRFCLVASKQMVGIFLSIWIKADLRDNVRNLKVSCVGTGLMGYLGNKGSISVSMSLHQTSFCFICTHLTSGQKEGDELRRNSDVMEILKKTRFPRVHGTGDEDSPQTILEHDRVIWLGDLNYRIALSYRTAKTLVEMRNWRALLENDQLRIEQRNGRVFPGWHEGQIYFPPTYKYSSNSDRYAGDGYTHSKEKRRTPAW >itb07g18030.t1 pep chromosome:ASM357664v1:7:22426000:22427363:-1 gene:itb07g18030 transcript:itb07g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPILPLLLLFGSFCSTLTHGGGDAEALKLQEDQREFDLFKLALQWPGTECKGTSKCCSNNGCCRANAIIGFTIHGLWADYEDGTWPSCCEGSEFDENVISTLLDVLNKYWPSYKCSSTKTCHNTKGLFWAHEVFCLSEH >itb07g22120.t1 pep chromosome:ASM357664v1:7:26584558:26590451:-1 gene:itb07g22120 transcript:itb07g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGKFDHHDFTAESSGWALRGDADGAYFFGDGGVDKEESSILSDFGWNFQPLDGISGGGGGGGGVGAFDLIHADLAGNGCVSGSGGADESPSGDGEAAATQAEPATSSSSEEAAAVEKPSSSSRPPQPPADTTSSKAKKKGPKRIKQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTVVITTYEGQHCHHAVGFRGGFIGHEASAYMARLNPLAVQSYLPGLTGQSHTLPIQLHQNLTHNIESHDPSRRPTPPQLPPDEGLLGDMVPPGMWNK >itb09g30940.t1 pep chromosome:ASM357664v1:9:31480259:31481828:1 gene:itb09g30940 transcript:itb09g30940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRCIFFLSLFVHLLLVSPSSAAYNVVSYGARGDGRTDSTAAFRRAWVAACGSTRPASVYVPRGYFLIRPVSFNGPCRSRMEFRVDGTIVAPDNIYAIGNSQFWILFYKVNRLSVYGGTIDAKGHGFWACRKNGRNCPGGARSLTIMHSSNVVVSGLTSLNSQTIHIAIAYSSHVRAQNVKIIAPSGSPNTDGIHVQNSMGVTITDSTIRTGDDCISIGPGSMNLWIQKIGCGPGHGISIGSLGNSNNEAGVQNVTVTNTVFTRTQNGVRVKSWARPSGGYARNLIFKNLIMRNVGYPIIIDQRYCPDGSCPNQNSGVKVSRVTYSNIKGTSSTQEAIKFECSYSNPCRGIKLQDINLAYVNRLRRPTLAYCKNARGTHTGIVTPKSCF >itb02g08680.t1 pep chromosome:ASM357664v1:2:5514153:5517923:1 gene:itb02g08680 transcript:itb02g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGPQHNNPSNHQHLQQQHDQGGAAAVRHVAAATAQRPEMETDKEMSAAIVEGNEAVTGHIISTTIGGKNGEPKRTITYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRLMDHPNVICLKHCFFSTTARDELFLNLVMEYVPESLYKVLKHYSNSNQRMPLIYVKLYMYQIFRGLAYIHNVPRVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVRGEANIAYICSRYYRAPELIFGATEYTSSIDIWSGGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPLFNFKQELAGASPELINRLIPEHVRRQMGLNFPHPGGP >itb04g28830.t1 pep chromosome:ASM357664v1:4:32426267:32432086:1 gene:itb04g28830 transcript:itb04g28830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRLSRSLSRYSRSRNAISGVYSGRLANWSKEIFAANGASKNQLAGELGLLRGYLATIRTSKEVVPKQGFSDLNHVFANPRLRRFFSSEAPKKKKYDKYVPKEKKDSPKQDGQKSQSKEETNSGDHSNFQETLMRLFQNFPIPLLALWMTFITLSFNGSEAEQEISFQEFKTKLLEAGLVDHIVVSNKTTAKVYVRGSPRINGGDGAFEEVEEVAVSSTPAQENKSKCEFYFNIGSVESFEEKLEEAQKALGVDPHDFVPIMYTSETSWYQELMRFGPTLLILGLVLLMSRRMQDGMGVGGAGGKGGRGIFNIGKAPITKVDKNAKNKIYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGSARVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGREEIFRIYLQKIKLDQVPSYYSQRLAALTPGFAGADIANVCNEAALIAARHEETQVKMEHFDSAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFETSRPYSNKTAAIIDSEVREWVGKAYEKTVQLIEEHKEQVAQIAELLLEKEVLHPDDLVQVLGERPFKSSEATNYDRFKQGFQQEDEKAEQISENKTADEEDGSSPIEPDIVPA >itb04g27150.t1 pep chromosome:ASM357664v1:4:31238127:31239612:1 gene:itb04g27150 transcript:itb04g27150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDRIVKRCHIQSGWRKGNGEAAESRQCVTIPAAGRYPATGVELLCTPYCIIVFLFSLEGKEVVCPLAVQKGKFQIYPSPIFFPRHRHKSRFPVLHASCGSNPTEAKSSAMASLPARLILSLQLLILLFGSGCANRIRDGGDAETLELRKKQREFDFFKLSLLWPGTECRNTRRCCSSNACCRSNSPTEFTIHGLWPDYNDGTWPACCKGSQYDESQISALASAMKKYWPTYQCGSSSTCHHSKGSFWAHEVFKHEMFSH >itb11g17470.t1 pep chromosome:ASM357664v1:11:17314447:17323451:-1 gene:itb11g17470 transcript:itb11g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRRGFNVKEAIKFLNQVLEKKPFLPFVILLFLVVWGIEKWFFSLSNWVPLAIALWAALQYGSHQRHILVEDLNKKWMQTLLQNSQTTPLEQCEWLNKLLLEIWENYIGQRLSLKLSYIVERRLKHRKPRFIEKLELQEFSLGARPPLLGLGIHGARWSTAGDQRILHMGIDWDTTDIRAVLLAKLGKTLMGTTRIVINSLHIKGDLKMIPVLDGRALLYSFESTPEVRIGVAFGGNQSQPATEVPGVRAWLDKLATDSIAKRMVEPRRNCLSLPVLNLMKKAVGGVLTVKVISGSKLSRSNIRGSPSRKQQSSVKVGPVDNHLDYIDLRTFVEVELEDLTRRTDVRPGSCPRWDSKFNMTLHDNTGVLKFNLYQCIPNSVKYDFLSSCEIKMRYVEDDSTIFWATGADFSAIARRAEFCGKEVEMTVPFEGINSGELTVKLILKEWQFSDGSHSSGLSDIVSQQSISGSSNLLQRTGRKIHVTIIDGKNLPTKDRFGMSGSGTYVKLQYGKASKRTRTVPHTSAPEWKQKFEFDEVGGDEYLKIKCFIEETISDENIGSARISLEGLVEGSPRVVWIPLEKVSSGELQLQIEAVRVDDYDRSKRNGWIELALVEAKDLIAADLNGTSDPYVRVQYGNLKKRTKVMYKTLNPQWHQTLEFPDDGSDLSLHVKDHNALLPTSSIGDCIVEYQRLPRNQMFDKWIPLQGVTKGEIHIQITRKDPHLEKKSSLDSEPSVTKARHSISNQMKQLIIKLQSFIEDDDLEGFSASLNELESLHQAQEEMMLQLETEQNLLLSKVNELGLEILNSSSPNLARRTTSL >itb11g17470.t2 pep chromosome:ASM357664v1:11:17315087:17323407:-1 gene:itb11g17470 transcript:itb11g17470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRRGFNVKEAIKFLNQVLEKKPFLPFVILLFLVVWGIEKWFFSLSNWVPLAIALWAALQYGSHQRHILVEDLNKKWMQTLLQNSQTTPLEQCEWLNKLLLEIWENYIGQRLSLKLSYIVERRLKHRKPRFIEKLELQEFSLGARPPLLGLGIHGARWSTAGDQRILHMGIDWDTTDIRAVLLAKLGKTLMGTTRIVINSLHIKGDLKMIPVLDGRALLYSFESTPEVRIGVAFGGNQSQPATEVPGVRAWLDKLATDSIAKRMVEPRRNCLSLPVLNLMKKAVGGVLTVKVISGSKLSRSNIRGSPSRKQQSSVKVGPVDNHLDYIDLRTFVEVELEDLTRRTDVRPGSCPRWDSKFNMTLHDNTGVLKFNLYQCIPNSVKYDFLSSCEIKMRYVEDDSTIFWATGADFSAIARRAEFCGKEVEMTVPFEGINSGELTVKLILKEWQFSDGSHSSGLSDIVSQQSISGSSNLLQRTGRKIHVTIIDGKNLPTKDRFGMSGSGTYVKLQYGKASKRTRTVPHTSAPEWKQKFEFDEVGGDEYLKIKCFIEETISDENIGSARISLEGLVEGSPRVVWIPLEKVSSGELQLQIEAVRVDDYDRSKRNGWIELALVEAKDLIAADLNGTSDPYVRVQYGNLKKRTKVMYKTLNPQWHQTLEFPDDGSDLSLHVKDHNALLPTSSIGDCIVEYQRLPRNQMFDKWIPLQGVTKGEIHIQITRKDPHLEKKSSLDSEPSVTKARHSISNQVRE >itb01g21630.t1 pep chromosome:ASM357664v1:1:27707235:27708980:1 gene:itb01g21630 transcript:itb01g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPDNQKTTFRIPTMLFNLGRTLATDSSRPLASTLHIAFGSLCCAPAAGKMPLFKHGRHHRHLKSIDDCELLDRRPPRLQPSPSIALTSKALDLKSIASRS >itb09g27760.t1 pep chromosome:ASM357664v1:9:28092658:28096300:-1 gene:itb09g27760 transcript:itb09g27760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGIGHFGEEVQEGVVRQLLATTDAVVGYRPRGGAEWRACFRQPRHPRAASVHITNMNRVIGHGSSIATRGILVQTGESDEFRILVISWIIQGIRKISLYKRIIFNDPKPIFWHTREVSSRSEKYFACFLLRRRRLMEGFASFFNSESRNRWSYDSLKNFRQISPVVQNHLKQVYLALCCALVASAAGVYLHILWNIGGLLTTIGCIGSIVWMLSCPPYQEQKRVALLMAAALFEGASIGPLIEFAIDFDPSILVGAFVGCALVFGCFSAAAMVARRREYLYLGGLLSSGLSLLFWLQFASSIFGGSMALFKFELYFGLLVFMGYIVVDTQEIIEKAHYGDLDYAKHALTLFTDFVAVFVRILIIMVN >itb08g00490.t1 pep chromosome:ASM357664v1:8:400530:404079:1 gene:itb08g00490 transcript:itb08g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQKNVGILALEIYFPPSCLQQEALEAHDGASKGKYTIGLGQDCMAFCGEVEDVISMSLTAVSSLLEKYRVDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIVFESKLRGSHMAHVYDFYKPILDSEYPVVDGKLSQTCYLMALDSCYKTLCSKYEKLEGKPFSVADADYFVFHSPYNKLVQKSFARLLFNDFMRNASYINEADKEKLAPFSSLTGDESYSNRDLEKASQQVSKPFYDAKVQPSTLVPKQVGNMYTASIYAAFISLIHNKHSTLPGQRVVMFSYGSGSTATMFSLRIRECQHPFSLSNIAAVLNIAEKLKSRHEFPPEKFVEILKIMEHRYGAKNFVTSKDCSLLAPGTYYLTEVDSKYRRFYAKKETNSAVANGH >itb02g15210.t1 pep chromosome:ASM357664v1:2:11064848:11070708:1 gene:itb02g15210 transcript:itb02g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLSISGMLGFLQSYPFSLSLVGGHGHPLLSPAFLRAISASLHLVLLLLLFVTWLFKKMKSCPDKNAGHMQKQRCLYFKPVLLSSLGLSLFSLVLCFLSLFCWYRNGWSDEKVLFLLDVVIKTISWCVISLLLHTQLNSGEVKYPLVLRVWWGCFFLQSCYCLAVDIVYCKKNGNLPTQFWISDIVSSVLGLFFCLVCFFGRREGGDELLRQPLLNDSARNVAEVKKNSGDETVTPYATANIFSKLTFSWMSPLISSGNKKTLDLEDIPQLAGFDSVRGSFPIFKDRLESSSEGSTKLTTFMLVKALVFTAWKDILLSGFYAGSYTLASYVGPYLIDAFVQFLNGNQEYRNQGYVLVSIFFVAKVVECLSMRHWFFKVQQAGYRARAALVAKVYNKGLTLSCHSKQSHTSGEIINFMTVDAERIGDFGWYMHDPWMVLVQIGLALIILYKSLGLASIAAFVATVMVMLANLPLGKLQEKFQDKLMKSKDSRMKATSEVLRNMRILKLQAWEMKFLSKILELRNTEAGWLKKYVYTASMTTFVFWIAPTFVSVTTFVAASIMGIPLESGKILSALATFRILQESIYNLPDTISMIAQTKVSLDRIASFLSLDDLQPDVIEKLPRSSSDVAIEIVDGNFSWDESSPTPFLKDINLKVHNGMRVAICGTVGSGKSSLLTCILGEMPKISGSIKISGTKAYVSQSPWIPSGKIEENILFGKKMEREKYDRVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGTHLFNECILGLLGSKTVIYVTHQVEFLPAADLIFVMKDGRVTQAGKYNDILKLGSDFMELVSAHREALSAIDYFGGRQAVTSEDSSDLTGIISTQKEEATDGQNGKSNNAMEPKGQLVQEEEREKGSVSLSVYWKYITTAYGGALVPFILLAQILFQALQIGSNYWMAWATPVSETEAPPVGSSTLIIVYVALAIGSSFCILGRSLLLVTAGYKTAAQLFHKMHLCLFRAPMSFFDATPSGRILNRASTDQSAVDLNIPFQIGAFAFTIIQLFSIIAVMSQIAWQVFIVFIPVIAICIYIEQYYIPSARELARLCGVCKSPVIQHFAETLSGSTTIRSFDQEARFRDLSMKLIDGYNRPKFHTAGAMEWLCFRLDILSLITFSFSLVFLISIPVGTIDASVAGLAVTYGLNLNMLQAWVVWNLCSLENKIISVERILQYSSLPSEPPLVIESNRPNPDWPSNGEVDISNIQVRYAPHMPLVLRGITCTLFGGKKTGVVGRTGSGKSTLIQTFFRIVEPAAGEIRVDGVSISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRRKEGKLDSAVTENGENWSVGQRQLVCLGRVLLKKTKILVLDEATASVDTATDNLIQETLKEHFSDSTVITIAHRITSVVDSDMVLLLDHGLIAEYDTPANLLENRSSLFAKLVAEYSTRSSSRHENLSQE >itb01g25420.t1 pep chromosome:ASM357664v1:1:30841051:30842276:1 gene:itb01g25420 transcript:itb01g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKSQTSVFIKLLFITHCFAQDYDFFYFVQQWPGSYCDTSSSCCYPTTGKPASDFGIHGLWPNYNDGTYPSNCDSSNPYDESQISDLISRMQQEWPTLACPSSNGSKFWSHEWEKHGTCSESVLDQHSYFQTTLNLKDQIDLLQILESAGIQADGNSYNLSSIQTAIENAVGYAPWIECNSDASGNIQLYQVYFCVDAWGTDLIKCPVFPTGTCASSIKFPSFDYSGNSFI >itb13g20730.t1 pep chromosome:ASM357664v1:13:27492386:27496100:-1 gene:itb13g20730 transcript:itb13g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair RAD52-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47870) UniProtKB/Swiss-Prot;Acc:Q9FIJ4] MISRCSSWKLPAAEAGGPPLCLLARQGGVSFSRIATRSCPGPGSAPSRALKLRVAAVDRSSNGGAGESGASKKAAASSSSVPNSNYMVPLDKASSCITRPLAEILRDLNKRIPDNIIKDHDSDDPHAIFIPWYHANRMLSFYAPGWCGEIRNVIFSENGTVTVVYRVTILGSDGEAYRESTGTVSSDDEHIKDPVAEAEEIAFCRACARFGLGLYLYHDE >itb06g26080.t1 pep chromosome:ASM357664v1:6:27295911:27299655:1 gene:itb06g26080 transcript:itb06g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVSEVIHDPGRGAPLARVTFRHPFRYQHQKELFIAAEGMYTGQFVYCGKKASLIVGNVLPLRSIPEGAVVCNVEHKVGDRGVFARCSGDYAIVISHNPDNGTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKGA >itb04g26330.t2 pep chromosome:ASM357664v1:4:30649596:30651913:1 gene:itb04g26330 transcript:itb04g26330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMKQVDRSEEAIEAIKSFRNRCPSQAQDSLDNVLLDLYKKCGKMEEQIALLKQKLRQIYEGKTFHGRPTKTARSHGKKFQVSVKQETARILGSLSWAYMQMSNFMAAEVIYRKAQMIDADSNKACNLVYCLIKQSRYDEARSVLEQVSSGGYPGWEDPKIKIRVESLMTQLDAVQPPCFLLQDDLPPGIDLEDDFVNGLDRLIAEWGPPRSKRLPIFQQLTPFTDDEVSEVYMA >itb04g26330.t1 pep chromosome:ASM357664v1:4:30649288:30651913:1 gene:itb04g26330 transcript:itb04g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGASGSHNRSYRFAEGEEGENTKEHMYHVIHKVPCGDGPYVRAKHAQLVEKDPEAAIVWFWKAINAGDKVESALKDMAVVMKQVDRSEEAIEAIKSFRNRCPSQAQDSLDNVLLDLYKKCGKMEEQIALLKQKLRQIYEGKTFHGRPTKTARSHGKKFQVSVKQETARILGSLSWAYMQMSNFMAAEVIYRKAQMIDADSNKACNLVYCLIKQSRYDEARSVLEQVSSGGYPGWEDPKIKIRVESLMTQLDAVQPPCFLLQDDLPPGIDLEDDFVNGLDRLIAEWGPPRSKRLPIFQQLTPFTDDERESPDVDIDKS >itb15g22910.t1 pep chromosome:ASM357664v1:15:25680219:25684915:1 gene:itb15g22910 transcript:itb15g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAVTTLIKIVEQDLMEPKLLSIFDAGGMKVWLLKTIELLSAKLCFLQAFLEEGCEMKMRNRWFFEHKVAGIYDEYEIKYKLRLVYSEAKCGHLLGTLKEMVKEIEEVEERFLMIKEGIDEGVWDTYQSALELENEVIVGFHSDIETIVNCLCYSHFMRSVFTILRNSNIHKFQKYVENPVLKLQVIPLVGEGGIGKTTLAKRVYGHPTTIASFHIRAWVVLSQVPNLKEILIGLLRCISPITSEIYTLDYAQIVEQLCTSLMGKKYLIFLDDIWTIDAWDDIKGYFPDNFNGSRILVTTRCTDVAKYVSAEPYQVKYQSLENLWTLLSRKVFRQSQWVQSVDYRDMLIKLSIAKRIVFGCSGLPLEVVLIAGLLATTKESLEIWRDVEETLVRVDTNDNSERRISKILSLSYNYLPPHLKPCFHYFGVFPEDNVIPVKRLINLWVAERFVMPHENMSLEEVAKSYLHDFINRGLVQINELSIDGKVKSCKVHDRVHEVCVGQAIKGNALCIINDSHAPKASHWLSCQTSHWPITQASYGNCTLYKIFSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSLVKWSRGMPSEITDLVHLRYLSLSTIGSLYELQFLKLKNLLTLIVTSWMEKCPLQLPCDVLDLPQLRHLHIDKRCSQYLPCLVKKNLQTLYWLKVASFDEKPNFGMVPNLMELGIYIEGQLAPSYLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLCYTYLPWKEMNTIAKLRHLEVLKLKDFAFCGPTWEPSEQGFRELKALLISRSNLEHWNASSNHFPVLERLVLRYCWELKQIPINFAEIETLKLIVLECCYSSLVTSANQISSAKSETLKGKEDCPLRVRKVGTKVSL >itb12g23060.t1 pep chromosome:ASM357664v1:12:24914953:24916856:-1 gene:itb12g23060 transcript:itb12g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTYWCYSCNRFVRVASQDSISCPDCDSGFIEEVDSPPPRSSSLSESRRRRFPAAAMYMTPQNSEQNAAGSAGPGSSPALRRSRRNGGDRSPFNPVIVLRGPSDGGSSGGAAAAGVGFQLYYDDGAGSGLRPLPATMSEFLLGSGFDRLLDQLAQIEANGLGRIENPPASKAAIESMPTIEIEDSHVDTESHCAVCKDPFELGNEAREMPCKHLYHSDCILPWLSLRNSCPVCRFELPTDTRDSGEPNTTSPTSSNDQTLNDDETVGLTIWRLPGGGFAVGRFSGGRRGGERELPVVYTEMDGGFNSNGLPRRISWGSRVSVSRPSSRLRRAFRNLFACFGGGVASSASSTSSDSRVTHSSVLPSAIRADSRRRRV >itb09g25780.t1 pep chromosome:ASM357664v1:9:25875044:25879673:-1 gene:itb09g25780 transcript:itb09g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRWPRLLTPTQLSRIIQNQKNPLRALEIFNEAKYRYPKYHHNGSVYATLINFLGSSGRLTEMKHVINQMKEDSCQCHDSIFVSAIKSYAKAKLIDEAVLLFKSLPSFNCVNWTQSFNALLEILIEESKLDLAYHLFLENSSCWEVKSRTFSLNLLMGALCRMKRSDLACHIFQEMNYQSCYPDKGSYRILMSGLCQEKRFNEAIHLLYSMFWRVSQKGSGEDVVIYRTLLEELCDNGGVDEAVNILSKVLHKGLKAPKRHRKHIDLTQCHDGADIDSLKVLINDALIKGLIPSSESYRAMAIDYYNGGKIDEGNKVLSEMCNKGFRPSVEIYEAKVAALFREHRVNEAVEVVDQDMAGNNCAPSIFLYNALIKGLCDERKSDLALKYLEKISRQVGCVANRETYENLVDGLCHEGRYAKASLVMEQMLNNSYWPRVQTFNALIPGLCLVDDLHKAIMLLEEMISQAKIPEPSVWLSLVASICHDTAENPVLYRLMEKLIRP >itb09g25780.t2 pep chromosome:ASM357664v1:9:25875044:25877325:-1 gene:itb09g25780 transcript:itb09g25780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRWPRLLTPTQLSRIIQNQKNPLRALEIFNEAKYRYPKYHHNGSVYATLINFLGSSGRLTEMKHVINQMKEDSCQCHDSIFVSAIKSYAKAKLIDEAVLLFKSLPSFNCVNWTQSFNALLEILIEESKLDLAYHLFLENSSCWEVKSRTFSLNLLMGALCRMKRSDLACHIFQEMNYQSCYPDKGSYRILMSGLCQEKRFNEAIHLLYSMFWRVSQKGSGEDVVIYRTLLEELCDNGGVDEAVNILSKVLHKGLKAPKRHRKHIDLTQCHDGADIDSLKVLINDALIKGLIPSSESYRAMAIDYYNGGKIDEGNKVLSEMCNKGFRPSVEIYEAKVAALFREHRVNEAVEVVDQDMAGNNCAPSIFLYNALIKGLCDERKSDLALKYLEKISRQVGCVANRETYENLVDGLCHEGRYAKASLVMEQMLNNSYWPRVQTFNALIPGLCLVDDLHKAIMLLEEMISQAKIPEPSVWLSLVASICHDTAENPVLYRLMEKLIRP >itb15g21130.t1 pep chromosome:ASM357664v1:15:23813289:23817734:-1 gene:itb15g21130 transcript:itb15g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVASSSVVSRMNLRSFRTNVSSSSFSCPQTRLTPTLNLSYGECQMNCSSHEASVSICSKSAANKSRVFGGLSLHCQLQKREPIGKTFCSVGVCTYPGSVIEAPSHTAEEKIGVLLLNLGGPDTLHDVQPFLFNLFADPDIIRLPRLFQFLQRPLAQLISTLRAPKSKEGYAAIGGGSPLRRITDEQANALKLALKEKEVPANVYVAMRYWHPFTEEAVQQIKRDGITRLVVLPLYPQYSISTTGSSIRVLQNIFGSDPYLARLPVAIIQSWYQRQGYIKSMANLIEEELQKFPEPDEARIFFSAHGVPVSYVEEAGDPYRDQMEECISLIMQELKAREINNDHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGVVNWGRVPALNCTSSFITDLADAVIEALPSAMAMSSSTSNTTTTEETDMDPMRYLIKVVFGSILAFLLLFSPKMLSAFKNQIL >itb09g09050.t1 pep chromosome:ASM357664v1:9:5416411:5418507:1 gene:itb09g09050 transcript:itb09g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQCFYLHHHGLSAPARPSSSQRYVPLLKPNQLVCRAQKQSASAHEDDGASSVAVSRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFLPITGDGFKLQVPSKWNPSKEVEFPGQVLRYEDNFDQTSNVCVLVTPTDKKSITDYGSPEEFLAQVDYLLGKQAYAGKTDSEGGFDPNAVATANILETSTPVVGGKQYYFISVLTRTADGDEGGKHQLITASVSDGKLYICKAQAGDKRWFKGARKFVESTASSFSLA >itb11g21940.t1 pep chromosome:ASM357664v1:11:23733259:23738821:-1 gene:itb11g21940 transcript:itb11g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQALPTDAVESLSLNASSSSSSMSQMSLEQRFSIIRSIGEECIQEDELRNLLANKPQPICYDGFEPSGRMHIAQGVMKAINVNKLISGGCKVKIWIADWFAQLNNKMGGDLKKIQVVGQYLIEIWKAVGMNLESGQVEFLWSSEEINSRAHEYWPLVMDIARRNKLPRILRCCQIMGRSEQEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCEDIKRKNKPIILSHHMLPGLQEGQEKMSKSDPSSSIFMEDEEADVNLKIKKAFCPPKVAEKNPCLEYIKYIIFPWFNEFKVERNPDNGGEKTFKTFEELVADYESGALHPGDLKPALSKALNKILQPVRDHFKNDAKAKDLLKRVKGYRVTK >itb12g03070.t1 pep chromosome:ASM357664v1:12:2044055:2046409:1 gene:itb12g03070 transcript:itb12g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGPAGVSAKTLSGRSAKWPIFATKPELRSHEIFHFSPSNQFHHLCNNNLIVPSASWKPFAVSSRLHPPELSTLSDVCRTKMGRLIDECSRRQTLAIIAALMGVLLIGNGPERALASNSPSAFVQNVIYSNKIAIFSKSYCPYSMRAKHIFEELKETPFVVELDLRDDGHRIQDVLLDLVGRRTVPQVFVNGKHIGGSDDLHSAVKNGQLGTLLAKE >itb05g18430.t1 pep chromosome:ASM357664v1:5:25209955:25219224:-1 gene:itb05g18430 transcript:itb05g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEAPPSNPHLDPSSGPPIVVPQETCLTPSEISSIIDSFKDKFVLERHSYIKKKIADNTQELAGVTRDLYKLSIERRNLKILVGDGSSDLHSKRQKGAVDLQNSIGTGSGNNICSSQENGYASSDLRSSIVLKDAVCPIELPKLEKLPPYTTWAFLHRNQKMTEDQSVIGRRRIYYDKNSGETLICSDSDEEIIEEGEKEFAESEDYILRMTIEKVGLYDTVLDLLANHFSRKPSEVKARYKDLIKEGATSKNVTTNSYLNKDLVAALSSKVGLYDTVLDLLTNHFSRKPSGVKARYKDLIKEGATSKNENTEVTINKYLGEDLDAALSSFNNLFCRRCFVFNCQLHGCSQDLILPKQILVKEELCAHYTPCNCKPYCGKKCPCVVKSIYCEKFCGCPLKCKIRFQGCHCTKNECATDHCPCYASGRECDPDVCCFCWVSCGDGSIGIPLGKVSNHKCQNMKLLLGKRQKVLLGRSDIAGWGVFLKNCVSKNEFIGEYTGELISHIEADKRGKVYDRQNCSFLYNLNDQLVIDAYRMGSKLKFINHSPDPNCCAKIIVVGGEHRLGIFALKDINAGDELLCDYQYDPDTCPAWAKDLQSSSKMDDC >itb10g10790.t1 pep chromosome:ASM357664v1:10:15742316:15752762:-1 gene:itb10g10790 transcript:itb10g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNIIIITRKLSFILIFFSFFYACFELQTSMSGPGLACDPSNGVTRSLPFCRTNLPIQVRVRDLIGRLTLQEKITHLVNNAAPVERLGIRRYEWWNEALHGVSNVGPGTRFGGAFPGATSFPQVITSAAAFNSSLWEAIGRVVSDEARAMYNGGAAGLTFWSPNVNVLRDPRWGRSQETPGEDPHVVAQYAVSYVKGLQGGDNPNNHLKLAACCKHYTAYDLDDWKGIDRYHFNARVSAQDLEDTYNVPFKACVEEGKVASVMCSYNQVNGKPTCADPNLLRGVIRGQWHLNGYIVSDCDSVGVMFEQQHFTETPEDTVAATIKAGLDLDCGPYLAIYTEKAVRTGKLSEGDVNNALSNTLTVQMRLGLFDGAQQPYGYLGPKDVCSPAHQQLALQAAREGIVLLKNTGRSLPLSPQRHRTVAVIGPNSDVTVTMIGNYAGVACGYTTPLHGIGRYAKTVHQPGCSGVACTTNQNFGFAEIAARHSDATVLVMGLDQSIEREAKDRVSLLLPGLQQELVARVARASRGPTILVLMSGGPVDVTFARDDPKISAILWAGYPGQAGGAAIADILFGTVNPGGRLPMTWYPENYVQKVAMTNMEMRAGGGYPGRTYRFYKGPVVFPFGAGMSYTNFKQSLAQAPTTLSVPLLATSANMTTKLNDEVKVAHTNCDSLNLELHVDVKNTGEMDGTHTVMLFSAPPRLAKNTAHPERQLVAFEKVHVMAGAKKRVRLNVNACKHLSVVDEFGVRRIPMGEHSIHIGNDLKHSVSLQTSNLEQIKV >itb10g10790.t2 pep chromosome:ASM357664v1:10:15742316:15749070:-1 gene:itb10g10790 transcript:itb10g10790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGGAAGLTFWSPNVNVLRDPRWGRSQETPGEDPHVVAQYAVSYVKGLQGGDNPNNHLKLAACCKHYTAYDLDDWKGIDRYHFNARVSAQDLEDTYNVPFKACVEEGKVASVMCSYNQVNGKPTCADPNLLRGVIRGQWHLNGYIVSDCDSVGVMFEQQHFTETPEDTVAATIKAGLDLDCGPYLAIYTEKAVRTGKLSEGDVNNALSNTLTVQMRLGLFDGAQQPYGYLGPKDVCSPAHQQLALQAAREGIVLLKNTGRSLPLSPQRHRTVAVIGPNSDVTVTMIGNYAGVACGYTTPLHGIGRYAKTVHQPGCSGVACTTNQNFGFAEIAARHSDATVLVMGLDQSIEREAKDRVSLLLPGLQQELVARVARASRGPTILVLMSGGPVDVTFARDDPKISAILWAGYPGQAGGAAIADILFGTVNPGGRLPMTWYPENYVQKVAMTNMEMRAGGGYPGRTYRFYKGPVVFPFGAGMSYTNFKQSLAQAPTTLSVPLLATSANMTTKLNDEVKVAHTNCDSLNLELHVDVKNTGEMDGTHTVMLFSAPPRLAKNTAHPERQLVAFEKVHVMAGAKKRVRLNVNACKHLSVVDEFGVRRIPMGEHSIHIGNDLKHSVSLQTSNLEQIKV >itb11g08740.t1 pep chromosome:ASM357664v1:11:5735717:5735992:1 gene:itb11g08740 transcript:itb11g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKFFSSTEFPFLPWECSSLERRSTVYICPFCPARFPDLQNRESKQVKFACNISHGMQIPVQYAKSPRKDGRCILGSGRCATKAMEGVV >itb05g12200.t1 pep chromosome:ASM357664v1:5:18695832:18701431:-1 gene:itb05g12200 transcript:itb05g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLMASSSTSQTSDIRRKASPSSSSTTLPAFFHNQQYASKSQGDDSNPDSNLMTLDRFLENVYADDQAAAGGALLSAGIARRDVAGDTEPVGESPANAPVVRKTVEDVWREIVEGKRLQQKEAPTVECKEEEMDEMMTLEDFLVKAGAVDEPAVAAGHGEVKIEPDGERFSGGSFMFDSPILGTPQHSMEDVVGFGNVMEASGSGRAKRRAILEPLDKAALQRQRRMIKNRESAARSRERKQAYQVELESMAVKLEEENEQLLREQAERTKERFKQLMEKVIPVTEKRRPPRALRRVNSM >itb07g06570.t1 pep chromosome:ASM357664v1:7:4720187:4724806:1 gene:itb07g06570 transcript:itb07g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDNSTSSTNTTVCHDGMGNSSDLSPLQKHVAFFDINKDGIIYPWETYQGFRKIGCGVPLSVFASLFINLGLSKKSRPGKWPSPLLPIEVTNIKLAKHTSDSGVYDSHGRFVESKFEEIFKKHARSNANGLTSEELDEMLKANREPKDYGGWVAAMSEWKILYLVGKDKNGILAKETIRGVYDGSLFERMAREHASKKKP >itb14g13530.t1 pep chromosome:ASM357664v1:14:15708211:15709702:1 gene:itb14g13530 transcript:itb14g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPTVDSAFDRLPDDVVLHIFETLRDAKSLSVCTAVCKRFHSLAPQTHSLLLSIPASSASHSHRARARHTNPLLVFATKFLIRPFRSLMRFIESKSPFSSATGCTCREASFTAYNYYSPAYILRNFSDIRRLELRLPIQESCVRDGSALKWTAQFGSELQSCVIVGGTSISPIPSNCSRVTEEEEEEEEEEEEEEEEEEEGSGENEELKLRIFWTISCLIAATSRHSLLLEAVNDHGTVGSVTVTDELGQGRLTMNAKQIEQVRRRYKKTKDRTRVPALKIKMWIAEEQELPEAGCVMKGATLVVIRPAEQEEESAGVSEQAEQELELELGQREGTRLFQEAANKLVKLKKSYTLEMNSF >itb06g23190.t1 pep chromosome:ASM357664v1:6:25261271:25263755:-1 gene:itb06g23190 transcript:itb06g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDSAKTPTLSEQYLLKEKEEKLDAPVEPVEDDASKTTENTSSEEVAPKADESPAVESSAAAEESSVASDAPAVVSDEPSATEAASEESSPSTEDDTSGGQETTDEAADVKLETAPADFRFPTTNQTRHCFTRYVEYHRCIAAKGEGASECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPI >itb02g09100.t1 pep chromosome:ASM357664v1:2:5802286:5803988:-1 gene:itb02g09100 transcript:itb02g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFFTLATMVALLLSMSLVVSSSTFHDQKTYNSHHHNTKTPSTPSGGHHSTTPSVNCDNPPHGGGGGGGGGHHHDPTTPSYSPPSGHHGGGGGYYHSPPTYTPTPTTPTTPITTPPVDPGTPTTPSLPTPSTPYSPDPNTPPFYSPPSGTPPYYTPPSGTPPYPFDPNTPPAGTPPTGFYPTSPPFPCIFWKTHPMQIWGLVGWNGNTIRSMFGMNNNNIPGFSGSVNLLEALSNTRTDGYGALYREGTAAMLNSMITKNFPYTTQQVRDSFGRAALSSNQAAAAQARLFKSANEGHLKPRS >itb05g12030.t1 pep chromosome:ASM357664v1:5:18351826:18352131:1 gene:itb05g12030 transcript:itb05g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWGDGNNGEEYALSEEKYRSVKRAYVVCGEDKSLKEEYQRWLIQMNPTDEVCVIPHADHMPMFSQPHQLCSCLQLISDKYHHSSSSSSSCKSFGNIINL >itb13g20710.t2 pep chromosome:ASM357664v1:13:27481899:27487873:-1 gene:itb13g20710 transcript:itb13g20710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIVISQLATGLSVLAGAVLVKSVMDQKPMVGSGPFPRCPTCNGTGRVSCLCSRWSDGDVGCRTCAGSGRMGCSSCGGSGTSRPIPVQISVRPPNRYIAGGSSLRVVGLQQK >itb13g20710.t1 pep chromosome:ASM357664v1:13:27481899:27487873:-1 gene:itb13g20710 transcript:itb13g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIVISQLATGLSVLAGAVLVKSVMDQKPMVGSGPFPRCPTCNGTGRVSCLCSRWSDGDVGCRTCAGSGRMGCSSCGGSGTSRPIPVQISVRPPNRYIAGGSSLRVVGLQQK >itb04g06500.t1 pep chromosome:ASM357664v1:4:4216254:4219388:1 gene:itb04g06500 transcript:itb04g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNCTSFSYNVFFPYFYLQSISVFLLPFVLLILFTPPCSPVSLPGHFRDSQQLLSFKASIFNQSTLQNWVSSSTNPCSFTGITCKGSRVSSINLTNTMLSIDFGLVSSFLHNLENLESLVLKNTNLSGNIPELSFKVLSYLDLSDNNFSEIFPSFQDCSSLQHLDLSSNSFSGNIDASLSSCYNLSFLNLSNNMFEGGVAKLPSGSLQHLYLGGNDFQGELPVHIGDLCTTLVELDLSSNNFSGMVPETLGDCSTLELLDLSNNTFSGELPVETLLNLTSLKTLVLSFNNFVDGLSESLSSLVNIETLDLSYNNISGLIPSGICKHPKNSLKVLYLQNNLFTGPIPDSLSNCSQLESLDLSFNYLKGKIPSSLGSLLKLKDLMIWFNQLEGEIPLELRYLQSLESLILDFNQLSGSIPESLSNCTNLKWISLSDNLLSGAIPTSLGRLSDLTILELANNSLSGSIPGELGNCSSLLWLDLNTNFLNGSIPPALFKQSAKISLPHASQLFRYIWHDKVEQYHSVSIIIFNHNGSMFFLNLSYNKLGGSIPKELGSMHYLSILNLGHNDLSGPIPQELGGLQNVEDLDLSDNRLNGSIPQTLINLTSLSVIDLSNNQLSGMIPCSGKFATFLREWFANNSGLSYCPHPQYAPEPSPSLSSISKSSGSKQKKHYIIIGCGVIAGVLIWLVTQLLVIRFTRKGRMENDGEEWSMVSFQRLGFNKWDILGGLTDQNLVGNGGSGKVYRVITKKGKKVAVKSIRHEPKQGQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCLHKWLHGKKRGLTTQVLQWETRLKIAIGAAQGLCYMHHNCNPPIVHRDIKSSNILVDSDFNAKIADFGLARMMASGGDPETASAVVGTFGYIAPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVTGNEDMNLAQWAHKHQREGKSAADVLDEEIKEPRYLEAMITVFKLGLACTLSSPSSRPSMKDISQILQRCSVNNHMSPES >itb06g25020.t1 pep chromosome:ASM357664v1:6:26307865:26309103:1 gene:itb06g25020 transcript:itb06g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSLHLILIFLCFSHLLIPMAAAIPLTRSRNLQTQEYEEPSYTHKGKMMMVMRRMDVELNDYPGSGANHRHTPWVPPARACLDC >itb05g06750.t1 pep chromosome:ASM357664v1:5:7569353:7572936:-1 gene:itb05g06750 transcript:itb05g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPRVRPERVTKFLKPYVLRMQFTNKYVNAQVVHTPTATVAAAASTQEKSLRLGMIETNENTRDVAAAAKIGKLLGERLQVKGIPAVSIFFKRDQAYHGKVKAVIDSIRGEGIKLV >itb08g06860.t1 pep chromosome:ASM357664v1:8:5875219:5881609:1 gene:itb08g06860 transcript:itb08g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MAHLLGRFSAPFIVRPTAVSASASASSNNQSSLSYGLDASFIKRAAELADKSAGFTAPHPNFGCVIAADGGAATVGEGYLYAEGTTAAEVQAVEAAGELCRGAVAYLNMEPGDSGSDTTAVSALIQAGISRVVIGIRHPLQHLRGSAIRALRSEGLQVDVLGEDLDSKIFEEALKSCLIVNAPLLYRAACQVPFSVLKYAMTLDGKIAASSGHASWISSKKSRSRVFELRGRSDAVIVGGNTVRKDNPRLTARHGGGHVPRRIVMSQSLNLPDEANIWDVAEVPTIVATQRGARRSFQKFLASKGVEVVEFDILDPRDVMEYLYDRGYLSVLWECGGTLAASAISSGVIHKVHAFVAPKIIGGKNAPTPVSDLGMVEMTQALELIDVCYEQIGPDMLVSGFLHPVPDLTPVIPSVEETSEIDPTISPYESSIIFFYKTWEQYGSFSNFSHHPIQMPDETRDIVTWSSVEHYYQAQKFTGVPDPVAQNCIEDIKNAKSPEEAARMGRRIQRQQPDLVRSDWESIKVDVMYKALKCKFTTYPHLESLLVATAGSVLVEGSPHDLFWGGGRDGEGLNYLGRLLMRLRSEFLDKKSSASYKNSVDHQAMNKPM >itb01g18390.t1 pep chromosome:ASM357664v1:1:23374565:23374978:1 gene:itb01g18390 transcript:itb01g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGFVGLLILLCAVVLALSLIYIWSFCYLAKYRGGGDGGEAAAAEAAKGGREMGLSAAQLERLPRIRGDGLAVGNDCAVCLDGIERDQVARMIPGCKHVFHLRCADTWLALHSACPLCRSKIRPELLDPPEDSPC >itb15g09820.t1 pep chromosome:ASM357664v1:15:7076059:7084579:-1 gene:itb15g09820 transcript:itb15g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVPAGLSHLFMTAFLSCFSAFMVIPAITDITLAAVCPGQDECSLAIYLTGIQQAIIGLGSLVVMPLVGNLSDTYGRKTMLTIPMALSIFPLVILAYSRSKYYFYAYYILRTLIAMVCDGSVQCIALAYVADNVPECRRGSAFGVMSGIVSTAFVCGNLSARFLSTASTFQIAALMAVLALIYMKTFLPESLVKDSTSAKATETDCLLEKAPKKPFQFCRNLPSFNDVLCLLRTSPTILQAAIVSVLTNVAEIGLQASLFYFLKAQFHFDKNQFADLMIIGGVAGAISQLVLMPLLVPAVGEERLLSIGLFFSAIHMFLYSVAWAFWVPYVAALISVLAIFAMPCLRSIASKQIGPNEQGKVQGCISGLCSFANIVSPLAFSPLTATFLSDDAPFHFPGFSIMVAGLAAMLAFVQSMTIRAPQPTNTCSLNTQNSEALPQV >itb12g06440.t1 pep chromosome:ASM357664v1:12:4797681:4799161:1 gene:itb12g06440 transcript:itb12g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPHIIAIPYPAQGHVIPLMELCLCLVKHGCKVTFVNSEFNHKRIIESMSEADDVLINFVSVPDGLAVEEDRNDLKKLTEALFEVVPGKLEALIHNINESDENRVSCVIADENLGWALELAKKLGLQRVAFWPAAATSLTMMFNVPKLIDDGIVGKNGEILKKQSIKLLPHMPAMNTTDLIWNCFSDPGLQRLVFDLAFKNTESVKAAEWLLCNSSQVMESDVFAFYPNLIPIGPLLANNRLGKTSGHFWREDTDCLKWLDQQPLNSVIYVAFGSFTIFDMAEFQELALGLELTNRPFLWVVRQGFIEEAGNPYPEGFIDRTRNRGRLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVSNGLPFLCWPYFADQLFNKSYICDVWKIGLGFDRNENGVIGRQEIENKVEQLFGDENFKARAVDLQAEVLASVKRGGSSYRNFSSFVNWIKAKN >itb06g15550.t1 pep chromosome:ASM357664v1:6:19863717:19868490:1 gene:itb06g15550 transcript:itb06g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMEGQGLYFFCSILLSLFTFCTSVDTITTDHPITDGTTIVSDGGNFELGFFSPGKSKNRYVGIWYSKIPTKDVVWVANRETPLNNTFGKLMLKDNGILVLLDGRNEEIWSSNSSITLKNPVAQLSDTGNLVVREGNDHSSKNSAWQSFDYPGNTLLPGMKLGQNLATGHVWSLTSWKSNDDPAVGEYTEMLDVDGFPQLFLYKGVNKSISFRHGPWNGQIFTGTPNLKNNSYYTIGFFMDQREIYYKYELVNISAPSRIILNSASTIQRLIWIERTQSWTVYLTGQTDNCDSYSFCGAFGKCNINNSPPCDCLKGFIPKYQQEWDGTDWSNGCIRKTQLDCGDGDMFLKYTGIKLPDSRDSWFNRSIGLEEYESRKRILTWQTRFVIATGISRGLLYLHQDSRLKIIHRDLKTSNILLDAELNPKISDFGLARIVEEDDGLAKTKRVIGTYGYMSPEYAIDGNFSIKSDVFSLGVILLELISGRKNRAFHHSDHHHNLLGHAWLLWNDGNTLELMDNCVRDSYVESQVLRCIHVALLCVSKLPEDRPTMASVVFMLENEEVALPKPKEPGFFVERNSTEASSTDEERCCYEIAVITFSTLEDALRRNITWTPLSSSGLQIQNVELNTKISDFGLVRIAGEDDALTRTKRIIGT >itb14g17190.t1 pep chromosome:ASM357664v1:14:20505333:20508112:-1 gene:itb14g17190 transcript:itb14g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALARPSADRAASLFRLSFNFLRNLSTSATPPTAPAAGVKKPKRKKKKNFFEVAQFLPNWGIGYHMAKTHWTDVSYQITKINLYKSGTHGKAWGIAHKDGTPIADAPKKISGVNKRCWRYLPNSKKVEENTPKLEVQSA >itb13g22880.t1 pep chromosome:ASM357664v1:13:29063533:29063883:1 gene:itb13g22880 transcript:itb13g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALQSETPSPRPSRFPTIFYTVKPCAVDGLKSPATVIPSPAHATPVSSVLDSSSVWREDREKEEHRTELKSERRRGEMSWESKCLRV >itb02g09640.t1 pep chromosome:ASM357664v1:2:6190454:6191294:1 gene:itb02g09640 transcript:itb02g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENWASFAGDDEFSNNLVHDFSEVDSALLMSILDDTPQGVVGEDYDDERLRSVIQSLEAEIHGCTAVDDYDHFFTDTHHHHHQSFDDSDNNFKNQHFWESDDLKVMDMEMDMEMEIESSFSIPSEGVVVNSWFVDYPNCENHSTIMDGMVEDGYGYLWQETMPQH >itb13g05300.t1 pep chromosome:ASM357664v1:13:6334789:6336055:1 gene:itb13g05300 transcript:itb13g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSKTPEIIISQTASYPNRQLQAIAATATATTVTAAAVVEDKVIEATTTTTLDTPNLVSPRLAEQGQVAAASSPTGGRGKHPKYRGVRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALRSAEAVLNFPAFAARYPVPVSSDAADIRRAAASAAAMMKPESSGGDGGGGRLERSDDPSVNTEYIDEEELFNMNNLLVDMAGGMMVSPPRMNSSHHADDSPGNSDAETLWNY >itb14g20610.t1 pep chromosome:ASM357664v1:14:22927944:22928747:-1 gene:itb14g20610 transcript:itb14g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGKNVMLKTGFLLVLLVVCHAAESKWMPVMPVIPNRPLCTSQFTLVNHACAFLPYTPTPHHRSPPSPQSSVYSSDVDDHHRRHHSPPEGGGRHHHDGDRNHQDGGRHHQQDGGGRHHHQDGGGRGRRSGEGQHRRHRRRHHHESDVQQDCCRWLKEVDDECVCDLLVHLPPFLSRPLHRYTVRVDDTCTVTFQCGSRLKLP >itb13g24570.t1 pep chromosome:ASM357664v1:13:30217129:30220504:1 gene:itb13g24570 transcript:itb13g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVALDDIIKRLLEVRGRPGKQVQLSESEIRQLCMQSKEIFLHQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPKSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALVDEKILCMHGGLSPDLHDLDQIRSLQRPTDVPETGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGADRVTEFLEKQDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKPKFGFGSTTTAKPGTPASKAKSLLGKIG >itb12g24490.t4 pep chromosome:ASM357664v1:12:25987354:25992333:1 gene:itb12g24490 transcript:itb12g24490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANGSGSESSSSAVPFPSSSATLTPLRFLRFPVSAILEYSGILRVRPESPESVGLMADGAEPQNAADGSSGSGEVSIRIIGAGEQERGGVEAEEEEDEEAAVEDRGVGGAGVVTDDSGDGGGGNGGGRGSSYQRYDIQRIARWIEQVLPFSLLLLVVFIRQHLQGFFVAIWITAFMFKSNDILRKQTALKGERKICVLLGYFLAFILHVVVVYWWYRNDDLFYPLFMVPPKAIPPFWHAISIILVNDTLVRQVAMALKLLLLMYYKNGRGQNFRRQGQMLTLVEYTLLLYRAMLPTPVWSNLSLLLLRHYPGKKYTMDLMQQLNRISDYSPQVNAAGDLCAICQEKMHAPIILCCNHIFCEDCVSEWFERERTCPLCRALRVGNGNLDEQSAETQDSGNTHGALCPSDTIHRHTIKGKPFNSMGDWVLGL >itb12g24490.t1 pep chromosome:ASM357664v1:12:25987354:25991471:1 gene:itb12g24490 transcript:itb12g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANGSGSESSSSAVPFPSSSATLTPLRFLRFPVSAILEYSGILRVRPESPESVGLMADGAEPQNAADGSSGSGEVSIRIIGAGEQERGGVEAEEEEDEEAAVEDRGVGGAGVVTDDSGDGGGGNGGGRGSSYQRYDIQRIARWIEQVLPFSLLLLVVFIRQHLQGFFVAIWITAFMFKSNDILRKQTALKGERKICVLLGYFLAFILHVVVVYWWYRNDDLFYPLFMVPPKAIPPFWHAISIILVNDTLVRQVAMALKLLLLMYYKNGRGQNFRRQGQMLTLVEYTLLLYRAMLPTPVWSNLSLLLLRHYPGKKYTMDLMQQLNR >itb12g24490.t2 pep chromosome:ASM357664v1:12:25987354:25991471:1 gene:itb12g24490 transcript:itb12g24490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANGSGSESSSSAVPFPSSSATLTPLRFLRFPVSAILEYSGILRVRPESPESVGLMADGAEPQNAADGSSGSGEVSIRIIGAGEQERGGVEAEEEEDEEAAVEDRGVGGAGVVTDDSGDGGGGNGGGRGSSYQRYDIQRIARWIEQVLPFSLLLLVVFIRQHLQGFFVAIWITAFMFKSNDILRKQTALKGERKICVLLGYFLAFILHVVVVYWWYRNDDLFYPLFMVPPKAIPPFWHAISIILVNDTLVRQVAMALKLLLLMYYKNGRGQNFRRQGQMLTLVEYTLLLYRAMLPTPVWYRFFLNKDYGNLFSSLITGLYLTFKLTSIVEKVQSFFAAIKALSRKEIHYGSYATTEQVNAAGDLCAICQEKMHAPIILCCNHIFCEDCVSEWFERERTCPLCRALVRPADLRSFGDGSTGLFFQLF >itb12g24490.t3 pep chromosome:ASM357664v1:12:25987354:25990898:1 gene:itb12g24490 transcript:itb12g24490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANGSGSESSSSAVPFPSSSATLTPLRFLRFPVSAILEYSGILRVRPESPESVGLMADGAEPQNAADGSSGSGEVSIRIIGAGEQERGGVEAEEEEDEEAAVEDRGVGGAGVVTDDSGDGGGGNGGGRGSSYQRYDIQRIARWIEQVLPFSLLLLVVFIRQHLQGFFVAIWITAFMFKSNDILRKQTALKGERKICVLLGYFLAFILHVVVVYWWYRNDDLFYPLFMVPPKAIPPFWHAISIILVNDTLVRQVAMALKLLLLMYYKNGRGQNFRRQGQMLTLVEYTLLLYRAMLPTPVWSNLSLLLLRHYPGKKYTMDLMQQLNR >itb06g18430.t1 pep chromosome:ASM357664v1:6:22079103:22086482:-1 gene:itb06g18430 transcript:itb06g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLLQISPTDARGSPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIAKVVSLTFGATQSGFSLSPKPFFSNFGAIVTFAIFGTFIASMVTGVLVYIGGVILLMYKLPFLECLMFGSLISATDPVTVLSIFQELGTDVNLYALVFGESVLNDADDVISEKQYLVWTELFYDHCPIYRDIFWINVSSLNFSYLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKRYTYSNLSDSSQRFVSSFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSILFIGIARAANVFGCAYLVNLVRPANRKIPPKHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIIVLTVLIIGGSTGTMLEALDVVGDDYDSSLGTLGERLEGSNGYIAPSYDEEPSSGSRFKMKLKEFHKSTSSFTAIDRNYLTPFFTTQNGDEDEQEELFSNSRRPRYSGHS >itb04g00520.t1 pep chromosome:ASM357664v1:4:293427:295194:1 gene:itb04g00520 transcript:itb04g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVTSNAWGLCVFLAIFLTATVVPGSQGLGLPFLSPYLKSIGSDYRHGVNFASAEATARLPHSSPFDSWISPFSLAIQFNQFKHFKVIVDEHDSYDVGNTELPPKNIFGKALYTLYIGQNDFTANLTSLGISGVNQFLPEVVGQIPKTIKDIYSQGGRTFLILNLAPIGCYPIFLVEPLPHNASDIDQFGCLISYNNAVVDYNSMLKDTVRKTRQNLKDANVIYVDTHSILLELFQHPTSHGLKYGTKTCCGEGGGDYNYNKKLLCGIAKVVDGVIVRAEACEDPYNYVMWDGLHTTDAANKLVAQAIFSGSYFDPPFSLHHFCDIHPIG >itb05g16250.t1 pep chromosome:ASM357664v1:5:23482072:23485374:-1 gene:itb05g16250 transcript:itb05g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLRLAGSPHRHIPVALLLRTPSHSFSPKHISTIPHRHRPASESRLSLSMYNGLHIGKSSNALPSIPSSPPLSHSQFLSSIFSSDRFARISSNPKGAPFDWHFASDGEKGRGIRGVGNEGPVVTVVLLGWLGSKPKHLRRYVELYNSKGFHAVTFVTSVRDVLSFDLARKLEERVASMARELASWVSQSEEDGRERALIFHTFSNTGWLTYGAILDNWRGRKDLLDKIKGCVVDSGADPHIDPKVWAAGFTVALLKKRSSLVDPGEGNELNSHSSKIQEKKSSFVETLLLDGFEKLFSILLNLPNENRRLVRIISTLMNDQPPCPQLYLYSTSDMVIPYQSIELFIKEQSVRGRKVSSFNFGTSPHVDHYRTFPSTYASLLQNFLEESLVMVKKA >itb14g07840.t2 pep chromosome:ASM357664v1:14:7226854:7232152:-1 gene:itb14g07840 transcript:itb14g07840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAGGSRVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNVEAKKFGMLANWQRECTMEDILSQLRKEMAAPHNRKLVQPPEGTFF >itb14g07840.t1 pep chromosome:ASM357664v1:14:7226854:7232152:-1 gene:itb14g07840 transcript:itb14g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAGGSRVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINLTCVNPETGVVEAKKFGMLANWQRECTMEDILSQLRKEMAAPHNRKLVQPPEGTFF >itb02g18210.t1 pep chromosome:ASM357664v1:2:14644238:14646217:1 gene:itb02g18210 transcript:itb02g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSNAIIAFLNFLTLIVAVVGIGAAVWIQFNPSATLCQKVLQKPFVILGLSLLVVSLLGLIGSCFRVSFFLWIYLTVMFLIILGVLCFLFFTIIITNKRVGTALSGKGYKDARLGDYSHWLQKYVVNAQNWDQIKSCLIDIQLCQHLANGKNADFYKHSLSATQSGCCKPPTYCGFEFHNATYWTTPKAGPAVPHQDCKKWSNVQTELCFECESCKTAVLENLRKQWKKLAIINSCILAFVLVVYSVGCCALKNNSRSRYDKYRGGFYP >itb01g35580.t2 pep chromosome:ASM357664v1:1:37713369:37718023:-1 gene:itb01g35580 transcript:itb01g35580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARAEVRRSRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRRDGLQPQQFPTSTSEKKLESLPRMVEAVWSNSTDLQLEATTNIRKLLSIERSPPIDEVIQSGVVPRFVEFLNSEIPQLQFEAAWALTNIASGTSENTRVVINSGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPGCRDLVLHTGALVPLLAQLNEQAKLSMLRNATWTLSNFCRGKPQPVFEQLRPALSALQCLVHANDEEVLTDACWALSYLSDGTNDKIQAVVEAGVCKRLVELLLHPSPSVLIPALRTVGNIVTGDDQQTQCIIEYGALPCLLSLLIHNHKKSIKKEACWTISNITAGSKTQIQAVIEAGLIGPLVNLLQTAEFDIKKEAAWAISNATSGGTNEQIKFLVSQGCIKPLCDLLVCPDPRIITVCMEGLENILKVGEAEKAETEGFNYYAQLIDDAEGLEKLENLQSHNNIEIYEKAVKILEVYWLGDDDDDEENVPGGDGTQTGFNFGGNGDPHVPPGGFNFG >itb01g35580.t1 pep chromosome:ASM357664v1:1:37713369:37718023:-1 gene:itb01g35580 transcript:itb01g35580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARAEVRRSRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRRDGLQPQQFPTSTSEKKLESLPRMVEAVWSNSTDLQLEATTNIRKLLSIERSPPIDEVIQSGVVPRFVEFLNSEIPQLQFEAAWALTNIASGTSENTRVVINSGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPGCRDLVLHTGALVPLLAQLNEQAKLSMLRNATWTLSNFCRGKPQPVFEQLRPALSALQCLVHANDEEVLTDACWALSYLSDGTNDKIQAVVEAGVCKRLVELLLHPSPSVLIPALRTVGNIVTGDDQQTQCIIEYGALPCLLSLLIHNHKKSIKKEACWTISNITAGSKTQIQAVIEAGLIGPLVNLLQTAEFDIKKEAAWAISNATSGGTNEQIKFLVSQGCIKPLCDLLVCPDPRIITVCMEGLENILKVGEAEKAETEGFNYYAQLIDDAEGLEKLENLQSHNNIEIYEKAVKILEVYWLGDDDDDEENVPGGDGTQTGFNFGGNGDPHVPPGGFNFG >itb14g10270.t1 pep chromosome:ASM357664v1:14:11605516:11607816:1 gene:itb14g10270 transcript:itb14g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVVDDKAAAEADDVQSVSFSSTTTGDINRTTSSASTLNCTTSNASEADSFASHLLSPNSTDNPARDPCWIAIRALSSSSLSLSDLSVLKRLGAGDMGAVYLVKLKNPDPSVSSALFAAKVIDRKEIESRSKEGRLRTEREILEILDHPFVPTLYATIDSPNWSCLLMEFCPGGDLHVLRQRQHAKRFNELAVRFYASEVVAALEYIHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDECSSTTATVVTGESPPDASPQSQDFCNNAPKLPNCMAPAVSCFRLYCKRKKNRRPYSGGRGHAAAPRMVAEPVDVRSMSFVGTHEYLAPEMVSGEGHGNAVDWWTLGIFIFELFYGVTPFKGVDHEHTWTNIVIRALEFPREPAVPAAAKDLISKLLIKDPASRLGSTMGATAVKHHGFFQSVNWALLRRKSPPFIPPPFNPQDLSKESCPDIPVEFY >itb03g26220.t1 pep chromosome:ASM357664v1:3:25711168:25711827:1 gene:itb03g26220 transcript:itb03g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKFQQQYSFLPNDGVVCKVNAAVFPDTWESFYGAAFVSINGDFIAGKRGLLRCTNDLHMTEALAVTEALSWMKNEDWKNVQVEKDCLNVCHLINFSTQDLSYVGTTISLSVFTNAL >itb04g09880.t1 pep chromosome:ASM357664v1:4:9133587:9135304:-1 gene:itb04g09880 transcript:itb04g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKFDGIPVDLPYAQLKVVSIPENVHVFNPFFMRNIDESNWKSLSGIRANRSMDAFTWKHKWMLLPGNLNGCFLTPVLLNINGCFLTPVLFGRVTMAWLITVKERNLQKDHLFHNFSNLCIICLIVFGI >itb11g11260.t1 pep chromosome:ASM357664v1:11:8214224:8217034:-1 gene:itb11g11260 transcript:itb11g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHQPSRINLAELKAQIVKKLGPDGSKQYFHYLNRLLSLKISKTEFNKLCVRILGRDNIPLHNQFIRSILRNACCAKVPPPRNSNEVLVQAKAIGNKAPPSDAIGQNGPRVATNQASYQPGLSNGDILPSSPRKVSKSFHDPRTADNHRAFGPGGKANSTLPQPTTTVPSDFNMIENGDYSTPDLHGAVQHHQGLMQRTEQAHDPAKSSVIKIPQDIPVSVHNKDQFRDDQEEIHARSLLQAPLGVPLCMVSVGGARRTPLATSSKCVSTSSCGALLDSITLRERMNQIATEHGLEGVPMDCANLLNNGLDSYLKGLIRSCVQLIGARSGHGTMKNNAVKHQAYSKPVNGIRPGHRHHLQLSTSKPSELSQEQLPHSRISLQDFRIAMELNPQQLGEDWPLLLEKICTQAFDECV >itb12g20750.t4 pep chromosome:ASM357664v1:12:23235992:23239819:-1 gene:itb12g20750 transcript:itb12g20750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGCNFNSISPNLAFPNQPRLLHFPISQVRFTPSATHTRAIFKMDSNPGIAQKKITIPNKYNEKLVGILHDTGCPDVVVLCHGFRSKKENRIMVGVATALEKEGISAFRFDFAGNGESEGSFRYGHYQREADDLHSVVEHFIGQNFRVTAILGHSKGGNVVLLYASKYHDVCTVVNVSGRDDLNRGIEERLGNDFLERIKKDGFIDVKNTEGEVQYRVTEESLMDRLNTNMDEACRQIDKGCRVLSIHGSSDGIIPMEDALGFDKIIPNHKLHIIEGADHVYTSHQSELISLAVPFIKEGLQQKN >itb12g20750.t3 pep chromosome:ASM357664v1:12:23235992:23239819:-1 gene:itb12g20750 transcript:itb12g20750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPGIAQKKITIPNKYNEKLVGILHDTGCPDVVVLCHGFRSKKENRIMVGVATALEKEGISAFRFDFAGNGESEGSFRYGHYQREADDLHSVVEHFIGQNFRVTAILGHSKGGNVVLLYASKYHDVCTVVNVSGRDDLNRGIEERLGNDFLERIKKDGFIDVKNTEGEVQYRVTEESLMDRLNTNMDEACRQIDKGCRVLSIHGSSDGIIPMEDALGFDKIIPNHKLHIIEGADHVYTSHQSELISLAVPFIKEGLQQKN >itb12g20750.t1 pep chromosome:ASM357664v1:12:23235259:23239815:-1 gene:itb12g20750 transcript:itb12g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPGIAQKKITIPNKYNEKLVGILHDTGCPDVVVLCHGFRSKKENRIMVGVATALEKEGISAFRFDFAGNGESEGSFRYGHYQREADDLHSVVEHFIGQNFRVTAILGHSKGGNVVLLYASKYHDVCTVVNVSGRDDLNRGIEERLGNDFLERIKKDGFIDVKNTEGEVQYRVTEESLMDRLNTNMDEACRQIDKGCRVLSIHGSSDGIIPMEDALGFDKIIPNHKLHIIEGADHVYTSHQSELISLAVPFIKEGLQQKN >itb12g20750.t2 pep chromosome:ASM357664v1:12:23235259:23239719:-1 gene:itb12g20750 transcript:itb12g20750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGCNFNSISPNLAFPNQPRLLHFPISQVRFTPSATHTRAIFKMDSNPGIAQKKITIPNKYNEKLVGILHDTGCPDVVVLCHGFRSKKENRIMVGVATALEKEGISAFRFDFAGNGESEGSFRYGHYQREADDLHSVVEHFIGQNFRVTAILGHSKGGNVVLLYASKYHDVCTVVNVSGRDDLNRGIEERLGNDFLERIKKDGFIDVKNTEGEVQYRVTEESLMDRLNTNMDEACRQIDKGCRVLSIHGSSDGIIPMEDALGFDKIIPNHKLHIIEGADHVYTSHQSELISLAVPFIKEGLQQKN >itb05g04970.t1 pep chromosome:ASM357664v1:5:4635921:4641518:1 gene:itb05g04970 transcript:itb05g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPRGVSLLRSFSSFLCAPPATSPLLHTNKLNIFFSYTTMDKTPQESIKDQLREGYNKETSEYNASDNILPHIFNIYASRATPQDFEIYAPDATFEDPLMCAHGVSQIKSAFYSLGKIFSESRIVEYSLTENEISPGKKEILIDNKQYYKFMGRDINMVSLIKLYTDGGKVVRHEDCWDKKPLRNQNTVTLPLVGRMFELSRRASMLATHAVMGFGRDPPNPVM >itb05g04970.t2 pep chromosome:ASM357664v1:5:4636196:4641478:1 gene:itb05g04970 transcript:itb05g04970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNVKGLFVILCFGSVFAQDSSSSSSESIKDQLREGYNKETSEYNASDNILPHIFNIYASRATPQDFEIYAPDATFEDPLMCAHGVSQIKSAFYSLGKIFSESRIVEYSLTENEISPGKKEILIDNKQYYKFMGRDINMVSLIKLYTDGGKVVRHEDCWDKKPLRNQNTVTLPLVGRMFELSRRASMLATHAVMGFGRDPPNPVM >itb05g04970.t3 pep chromosome:ASM357664v1:5:4635947:4640359:1 gene:itb05g04970 transcript:itb05g04970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPRGVSLLRSFSSFLCAPPATSPLLHTNKLNIFFSYTTMDKTPQESIKDQLREGYNKETSEYNASDNILPHIFNIYASRATPQDFEIYAPDATFEDPLMCAHGVSQIKSAFYSLGKIFSESRIVEYSLTENEISPGKKEILIDNKQYYKFMGRDINMVSLIKLYTDGGKVVRHEDWYTPPRSTLIL >itb12g04980.t1 pep chromosome:ASM357664v1:12:3294688:3300459:1 gene:itb12g04980 transcript:itb12g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMIASAKKDVLVEIVKLAQKREMKGNQGGWKDFLKFYDKKFGSSLSDPAKRSADVLAAFLKTFSQKEDLKIFEKVLQCHSNRDAVLEFQKSSADTESPEQRLVRLTLENPQYPVDYSFPSHEEGWLITKRSKKSKVMQSTEMLAVDCEMALCDDGTEALIRVCAVDRNLKVKLDEFVKPSKAIVDYRTDITGITATDLDGVTCSLADVQRSLKKLLSHGKILVGHSLYNDLRALQIDHPRVIDTAYVFKYRDEPPNRRPSLSNLCKFVLGFELRKKGSPHNCLDDACAAMKLAIEKVEHDVDNVIPFVREKVQEEVQMAKLLVHRVPVVVSSEDLHKVISGEFTVEVKTNKKPRGDRYSAFAIFENQQAATEAFEKLDGILEKDTSGRPQKLVSFELDTGVSGSLCVCKMARHDSCKSNNASTKRPLQDEEPLGESKKSRTDHQGEELEKTNTTATSDQCETHLKEIERLKRELSQRDQEIANLKEIELLKRELSQRDQEIANLNKIVVALTRKQGL >itb01g24670.t1 pep chromosome:ASM357664v1:1:30256858:30261891:1 gene:itb01g24670 transcript:itb01g24670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNPSNQFSRISFAPALLGSRHRRMECRERCCCLADSLMIFVNYSVFPLILPASSEAATANQPTTSPRQAQAHAHSRRTTSAHSGSGFGFVRTIGSLRSLNIFATQQLLGLQQQILRWNVLIGLERI >itb01g36220.t1 pep chromosome:ASM357664v1:1:38090559:38091047:-1 gene:itb01g36220 transcript:itb01g36220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDHKFHLLNGSSIQVPFMSNYVKQSVKAFNGFKVLKLSYNRGNDYKERRSFSMYFFLPDAMDGLPSLLEKATSESGFLERHLPTHMVSVGKFLIPKFQISFQFEGSRVLEELGVVAPFNRTVGGLTEMVDSLGGYELYVSKILHGSFIEVNEGGTEAAGV >itb14g20130.t1 pep chromosome:ASM357664v1:14:22597460:22600516:1 gene:itb14g20130 transcript:itb14g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMLIAAGSLCILPKISQSPKGLAFIGSNVRGTQVPNLSLVSQTRRKNQTLAPKCSIAASRPVSKPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELNDRNLTVVDVGGGTGFTTLGIVKHVDANNVTILDQSPHQLAKAKEKEPLKDCKIIEGDAEDLPFTTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKPGGKACLIGPVHPTFWLSQFFADVWMLFPKESEYFEWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKAESGDSPLQLGPKAEDVSKPVNPVVFLLRFMLGAMAGAYYVLVPIYMWLKDQIVPKDQPI >itb09g28900.t1 pep chromosome:ASM357664v1:9:29560150:29561119:1 gene:itb09g28900 transcript:itb09g28900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFIVFTIAVVALASSFAHASDPSPLQDFCVAVNDSMAAVFVNGKICKNPKQVVANDFLFRGLNMPGNTSNPLGSKVTPVNVNNLPGLNTLGISLARIDFAPNGLNPPHTHPRATEVLVLLEGTLYVGFVLSNPPPGMKNPLFTKTLVAGDVFVFPEGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPPINPQVLTKAFQVGQNVINYLQAQFWYNNS >itb10g15000.t1 pep chromosome:ASM357664v1:10:21314682:21317834:-1 gene:itb10g15000 transcript:itb10g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASSAVDLFRSASGKAASKELERIDQVFYTYANNLSGVIEKMQAEKQGYFTLEEWRKALKALRADTIQKIKKALPELEKEYLQTQSEYKVINMDQWMGFYRFCNEISFPDFSNYDPELAWPLVLDNFVDWVRANQG >itb04g07140.t1 pep chromosome:ASM357664v1:4:4770682:4774942:1 gene:itb04g07140 transcript:itb04g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MASSSGKTILDFFKQPAAKRLRRVSSPPPSPPSAVLVANSFSPTASSSPTDGDADQNDVVLPTTAALTPEQKSRIEFKKSLAKAKRNLKHCAEKVSNSVVKGEGAQYVNLKELLVEESWLQALPGEFEKPYAKNLCKFVESEICSGGVPIYPPQHLIFNALNTTSIDNVKAVIIGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIYKELKQDLGCSIPSHGNLERWAIQGVLLLNAVLTVRQHQANSHANKGWEQFTDAVIRTISQKKKGVVFLLWGNYAQAKGRLIDETKHYVLKSAHPSGLSAHRGFFGCRHFSQTNELMEKMGKSPIDWQL >itb09g11860.t1 pep chromosome:ASM357664v1:9:7413513:7421437:1 gene:itb09g11860 transcript:itb09g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRLLCGNKSLKSVRLSFRIPYYTHWGQHLLVCGSEALLGSWNVKKGLLLRPSHQGDELIWSGSMPVPPGFKSEYSYYVVDDEKNVVRWEVGKKRKLLLPDGLEDGLLVELRDLWQTGSDDIPFKSAFKDVIFRRTWNLDVEMPLGAIQSKLDQEDSALIQFKICCPHVDEGTSVYVIGSSLKLGQWKVQNGIKLNYAGDSFWQADCVMGKYDFPLKYKYCKYGKDGAFSLEHGAYREFFIDFETSQPKYVILSDGMMREFPWRGAGVAIPMFSVRSEANVGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPMYLRVDALSEDIPEDIKQEIKQARVQLDRKDLDYDATMATKLAIAKKIFVLEKDRIFNSSSFQNYFAENQDWLKPYAAFCFLRDFFETSDHSQWGRFSWFSEEKLEKLVSKDSFNYEIICFYYYIQFHLHMQLSEAAEYAKQKGVVLKGDLPIGVSRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAVTGLCGKFRPSIPISQEELESEGLRDFDPLCRPYIRLDLLQEKFGASWTIIASNFLNEYKKDFYEFKEECNTEKTLSSKLKLFLERSMFIESEEKLQRNLFDLLQEVVLIRDREDPRKFYPRFNLEDTSSFKGLDQHSKNVVKRLYYDYYFHRQESLWRQNALKTLPVLMNSSDMLTCGEDLGMIPACVNPVMQELGLIGLRIQRMPSEAGREFGIPSEYSYMTVCAPSCHDCSTLRAWWEEDEGRRRRYFQTVVGSGAMPPDQCTPEIARFVLRKHVESPSMWSIFPLQDLLALKEDYATRPAVEETINDPTNPRHYWRYRMHVTMESLLNDKSLISIIKGLIRGSGRSYPQKELEMKQGSVPVPGNPQISCADK >itb09g11860.t2 pep chromosome:ASM357664v1:9:7413572:7421437:1 gene:itb09g11860 transcript:itb09g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRLLCGNKSLKSVRLSFRIPYYTHWGQHLLVCGSEALLGSWNVKKGLLLRPSHQGDELIWSGSMPVPPGFKSEYSYYVVDDEKNVVRWEVGKKRKLLLPDGLEDGLLVELRDLWQTGSDDIPFKSAFKDVIFRRTWNLDVEMPLGAIQSKLDQEDSALIQFKICCPHVDEGTSVYVIGSSLKLGQWKVQNGIKLNYAGDSFWQADCVMGKYDFPLKYKYCKYGKDGAFSLEHGAYREFFIDFETSQPKYVILSDGMMREFPWRGAGVAIPMFSVRSEANVGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPMYLRVDALSEDIPEDIKQEIKQARVQLDRKDLDYDATMATKLAIAKKIFVLEKDRIFNSSSFQNYFAENQDWLKPYAAFCFLRDFFETSDHSQWGRFSWFSEEKLEKLVSKDSFNYEIICFYYYIQFHLHMQLSEAAEYAKQKGVVLKGDLPIGVSRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAVTGLCGKFRPSIPISQEELESEGLRDFDPLCRPYIRLDLLQEKFGASWTIIASNFLNEYKKDFYEFKEECNTEKTLSSKLKLFLERSMFIESEEKLQRNLFDLLQEVVLIRDREDPRKFYPRFNLEDTSSFKGLDQHSKNVVKRLYYDYYFHRQESLWRQNALKTLPVLMNSSDMLTCGEDLGMIPACVNPVMQELGLIGLRIQRMPSEAGREFGIPSEYSYMTVCAPSCHDCSTLRAWWEEDEGRRRRYFQTVVGSGAMPPDQCTPEIARFVLRKHVESPSMWSIFPLQDLLALKEDYATRPAVEETINDPTNPRHYWRYRMHVTMESLLNDKSLISIIKGLIRGSGRSYPQKELEMKQGSVPVPGNPQISCADK >itb12g07290.t1 pep chromosome:ASM357664v1:12:5441971:5442430:-1 gene:itb12g07290 transcript:itb12g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMVKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDVPGRMVVGTPKESIRHTLLE >itb15g20370.t1 pep chromosome:ASM357664v1:15:22996431:22999807:1 gene:itb15g20370 transcript:itb15g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTRALLRAFKSKNSKRPRRGKPSRSRAPRPSPWASPPEQVPVPRSRHSSVSTTADIPAPGFAAPMGSTFAMPAAPPGWPVSVPPSVSLAEDVMLSPVSPRDEELCRGRSDYRSFIEMPVEETPFCMFFFTKLKYDLNSRRAACIKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPVTPLPDLVTIHSPKEEEAYIPSLIAAPPIMEAPVA >itb14g07480.t1 pep chromosome:ASM357664v1:14:6896124:6907497:-1 gene:itb14g07480 transcript:itb14g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESAQFNQAQLAAIMGSDPAPFETLISHLMSSANEQRSQAEAIFNLVKQNDPNSLALKLAQVLTSSVHVEARAMCAILLRKLLTRDDSYIWPRLAASTQSDIKSVLLTCIQREEVKTIIKKLCDTISELASSILPENQWPEILPFMFQCVTSDSLKLQESAFLIFAQLAQYIGEILVPYIKDLHSVFLQTLNNSPSSDVRIAALNAVINFIQCLSSSTDRDRFQDLLPAMMKTLTEALNCGQEATAQEALELLIELAGTEPRFLRRQLVDVVDAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLSIDDEPAWHSAVVEEEDAGETSNYSFGQECLDRLAIALGGNTIVPVASEQLPVYLAAQEWEKHHAALIALAQIAEGCSKVMIKNLEQVVNMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQFHSRVLPALAASMDDFQNPRIQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNANDKSNRMLRAKAMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMENDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNEIDESDDDSMETITLGDKRIGIKTSVLEEKATACNMLCCYVDELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVAAMPDLLRSAKLAVEKGLAQGRNETYVKQLSDYIIPALVEAVHKEPDTEICANMLEALNECLQITGPLLDEAQVRSIVDEIKQVITASSSRRAERSERAKAEDFDAEENDLLKEENELEEEVFDQVGEILGTLIKTFKVAFLPFFDELSSYIMPMWGKDKTAEERRIAICIFDDIAEQCHEAALKYYDTHLPFLLEACNDESPDVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNVVIGHPNALQPENIMAYDNAVSALGKICQFHRENIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDGELLGPNNQYLPKIMSVFAEILCAGKDLATEQTASRMINLLRQLQQTLPPATLAATWSTLQPQQKMALQSILSS >itb13g23060.t1 pep chromosome:ASM357664v1:13:29187257:29191259:-1 gene:itb13g23060 transcript:itb13g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTRMSKKMAMQRRRRRGLTHSLIRKKKRRQSQIRRKQRERFHNRRAQNPPLEMNLSQNAAATGGAGGNGVILPMSNVASPADSSASATTGSPADDSKQNLNQVIGSIQKTLGMLHQLYLTVSSFNVASQLPFLQRMNALVSELDNMAKLAEKCDIQVPMEVLSLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKGLRKHLLDELEEAFPDEVEAYRMSRATSAAEAKQLAQAQNALPNGDVKVKPEM >itb03g11090.t1 pep chromosome:ASM357664v1:3:8975192:8975770:1 gene:itb03g11090 transcript:itb03g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEWIMSELLRHPQIMKKVQQELERKIGLGRMVEESDLEGLDYLEMVIKESFRLHPAGPLLLPHEAREDCMVDGFHIPKQAQIIVNIWAIGHDPNVWVDPEKFIPERFERSNIDYRGCNFELIPFGSGRRSCPGLQLGITMVRLVVAQLVHCFDWKLPNGMLPIELDMTEEFGLVITRAEHLRAVPNYRLHV >itb10g12300.t1 pep chromosome:ASM357664v1:10:18287883:18290471:-1 gene:itb10g12300 transcript:itb10g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAAVKDQPLRGGRNRWALEDIGNLVIAPVVDSKPQRHISCRPITRRFAAQLLAKAQAAVDENNKALAQVVNGIVAGKNCVGTKAVVASAEKKVTLKEKTKTGKVISPNAKAVVMKKSPLCGRKTKMTGKSYTSVLTARSKAACGIGYKLKEKIVDIDSEDADNELAAMEYAEDIYKFYKLTEADARVNDYMGSQPEISSKNRAILIDWLIEVHKKFKLMPESLYLSINIMDRFLSTKTVPIRDLQLVGISSMLIACKYEEIWAPQVSDFVDVCGNAYAREQILATEKSIMGTLEWYFTTPTIYVFLVRFIKASIPADKEIENMAFFLAELGLLNYSTVIHYCPSKIAASAVYAARCTLSITPPWTATLKHYTGYHENQITDCAKHLAGLHSSLAESELEAVCRKYSSPERCAVALFSPAASLLPATAAPSLSSSLISLILSRK >itb10g08090.t1 pep chromosome:ASM357664v1:10:10251441:10252308:-1 gene:itb10g08090 transcript:itb10g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREDNSKLEMLEGAKSMGAGAATIASAGAAVGIGNVLSSSIHSVARNPSLAKQLFGYAILGFALTEAIASFAPMMAFLISFVFRSKKEGRRLVPENAFGSWGS >itb04g29620.t1 pep chromosome:ASM357664v1:4:32941960:32943093:-1 gene:itb04g29620 transcript:itb04g29620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEVTCRPRRWSPTPEQLMVLQELYRRGLRNPNAFQVRTITSHLALYGKIETKNVFYWFQNHKARDRQRLRKTLKQHLRYRPVFARQQPQQPAGTQVQNGCHQNGFTDFCSAFCHLSPRISTFLHQGGGGEEILNMGVPASCDNAGNSMTTRMFDRDWMMLMETGSSSVPRWVDRTPITLQLFPLQATDIKGESSTISSPVSSC >itb03g16040.t1 pep chromosome:ASM357664v1:3:15171379:15174972:1 gene:itb03g16040 transcript:itb03g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEIAGEVSRFSTAIKIAAFLFVAVVFFYAGTLWSNGQPPQLLFFGSHQNSSSPGAGAVVLSPNSVNSSDTATADDQQEEKVSAAIPPATVNLPPPPSPPTPPPPPPQAVLMTGVVNDDGVMTDDFKVGKIEGDWLDNWEEEDEIKGEKIVVKKFEVCPDNMSEYIPCLDNLEAVKTLNSTEKGEEFERHCPGKGLNCLVPAPADYKPRIPWPQSRDEVWFSNVPHERLVEDKGGQNWITRDNDKFRFPGGGTEFIHGANEYLDQIQKMVPEIAFGNRTRVALDVGCGVASFGAYLLSRNVLPLSISPKDQHENQIQFALERGVPAMVATFATRRLLYPSQAFDLIHCSRCQINWTRDDGILLLEANRMLRAGGYFVWSAQPVYKHETALGVHWEEMVNLTTSICWRLVKKEGTIAIWQKPLNNSCYLSRGEGSQPPLCDSNDDPDKIWYVDLKACITQLPEEGYAANVSTGPERLHYPSDRLKTIQLDAHISREELVRAESRYWRDIVKSYIQRLGWDKFQLRNVLDMRAGFGGFAEALINNKLDCWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHANGLFSIERKRCNVSTIMLEMDRVLRPGGKVYVRDVLRVMDELEEVGKAVGWEVSVEETSEGLHASYRVLTAEKKLK >itb11g08410.t1 pep chromosome:ASM357664v1:11:5522982:5525970:1 gene:itb11g08410 transcript:itb11g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVAYVHAPPQTAEAAAKIGGRRRGNVGVPSIVALIAVWLFSSPAWAVSSTSPPLASRVEREGDAQTLAGAASAAALMEFKNSLVSGPTGASVERVLVSWNLSTSPCTGNVGNWFGVRCFNGDVNGLQLDNLNLAGDIDVEALVPLRFLRILSFEHNNFEGLMPDWKKVGALKSLFLSNNRLSGQIADDAFSSMGSLKKVHLANNKFTGKIPTSLATTARLLELRLENNAFTGQLPEFRAGLNVFNASNNQLEGPIPPALSSLDPSVFSGNKGLCGKPLKSSCKAPPPKPSPRAADAETSSSSSNLSRILMIVAICLLVVAILISLLILSRAGAGGQENSQALDPLCSPYNAAAPASPASGATTTTTRASPSPDKAAGGGTPTTNSSSRAGEAAGASPAVGKLAFMRPDRTQFDLQDLLRASAEVLGTGSLGSSYKAVLMDGQSVVVKRFKHMNLVEREDFHEHMRRIARLEHPNLLPLVAYYYRKEEKLLISDFMSTGSLAAHLHGKASKESGLEWAKRLKIIKGVAKALMYLHRELATLVLPHGHLKSSNVLLDKSFNPIVMDYALVPVVNPDDLPNLLASYKSPEYTNLHRPTRKTDVWTLGVLILETLTATSPAKYQDSQFRTWISSIAARDAEPDDRAETIFDSKMAADAKHSKGQMRKLLKIGVACCYEDPEDRLELKDAAEKIEVLKERDDQ >itb12g14940.t2 pep chromosome:ASM357664v1:12:15308216:15310031:1 gene:itb12g14940 transcript:itb12g14940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALKCYKIAKDSIQSGNRERGLKFLNKAKRLDPNLEIDDLLSKVDGSQAENESPNIPTKEDSSSSGKPPESGARRRSSVNKEAGSSSSSEGVAGYTEEQVTIVREIKRKKDYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKAPGSEEAFKMVSKAFQCLSDEENRKRYDVVGDEAPVYERRPTRRHAGGGMQGFNGFYYEDVDAEEIFRNFFFGGMNPVATTHFSFGPGVGVRVGGHNGSNGFARTLIQLLPVILILLLNFLPSSDPVYSFSRSYPYEQLFTTQRGVNYYVKPGKFEQDYPLSSPRRVKLEEGIEHEYFNSLAYNCRLERQQVLWGYRQATPNCDTLRKFQDVAA >itb12g14940.t3 pep chromosome:ASM357664v1:12:15308216:15310031:1 gene:itb12g14940 transcript:itb12g14940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALKCYKIAKDSIQSGNRERGLKFLNKAKRLDPNLEIDDLLSKVDGSQAENESPNIPTKEDSSSSGKPPESGARRRSSVNKEAGSSSSSEGVAGYTEEQVTIVREIKRKKDYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKAPGSEEAFKMVSKAFQCLSDEENRKRYDVVGDEAPVYERRPTRRHAGGGMQGFNGFYYEDVDAEEIFRNFFFGGMNPVATTHFSFGPGVGVRVGGHNGSNGFARTLIQLLPVILILLLNFLPSSDPVYSFSRSYPYEQLFTTQRGVNYYVKPGKFEQDYPLSSPRRVKLEEGIEHEYFNSLAYNCRLERQQVLWGYRQATPNCDTLRKFQDVAA >itb12g14940.t1 pep chromosome:ASM357664v1:12:15308216:15310089:1 gene:itb12g14940 transcript:itb12g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALKCYKIAKDSIQSGNRERGLKFLNKAKRLDPNLEIDDLLSKVDGSQAENESPNIPTKEDSSSSGKPPESGARRRSSVNKEAGSSSSSEGVAGYTEEQVTIVREIKRKKDYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKAPGSEEAFKMVSKAFQCLSDEENRKRYDVVGDEAPVYERRPTRRHAGGGMQGFNGFYYEDVDAEEIFRNFFFGGMNPVATTHFSFGPGVGVRVGGHNGSNGFARTLIQLLPVILILLLNFLPSSDPVYSFSRSYPYEQLFTTQRGVNYYVKPGKFEQDYPLSSPRRVKLEEGIEHEYFNSLAYNCRLERQQVLWGYRQATPNCDTLRKFQDVAA >itb09g10600.t1 pep chromosome:ASM357664v1:9:6555564:6558049:1 gene:itb09g10600 transcript:itb09g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLAMAPASSWYSTSSSVVVRVEKATSEFLTGPDWPMNIDICDIINSNRWVAKDVLKSVKKRLQNKNPKVQLLSLTMDTHVRDKILVLIDSWQEAFGGPGGKYPQYYWAYQELKLAGVGFPPRSYDTAPIFTPPVSHLVQSPPRIEYGMPSNSSTRHDGNMVSEMEKLSFSAISSMGGIVDLLAEMLQAVNPGDRSAVKDEVIVDLVERCRANQKKLIDMLTATRDNGLLEQGLYLNDILQSVLAKHDAIASGTTLPNPVEDIKHPTTEXMYVKFNND >itb09g03580.t1 pep chromosome:ASM357664v1:9:1978911:1981784:-1 gene:itb09g03580 transcript:itb09g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVSGRDYGNGVLTATKPGVKTDGFEQRGQSWYVATDIPSDLLVQIGDANFHLHKYPLLSRSGKLNRIIYESRDADLSKIVLDDLPGGPEAFELAAKFCYGIAVDLTATNISGLRCTAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKASANPKGIRWQYTGKHAVVSSPKWNEVKDASPSRIQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRHELIGASIMHYAAKWLPGLIKEGPAGGSVDEGSNSNSSTSNGSGSGWKGGGLYMVVAGTREDTPTVHAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMASMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKTETLYDVDLVQRLLEHFLVQEQTESSSPSRNSFSDKHLHDGSQRGSNLNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRIVVQVLFSEQVKISNAIANSSLKDAGDSHYQPLVSNRKTLLEATPQSFQEGWTAAKKDINTLKFELENVKAKYVELQNEMDILQRQFDKLTKPKQASAWTAGWKKLSKLTKMTHLENHDLGPQVPNSEQTRKTPRRWRNSIS >itb09g03580.t2 pep chromosome:ASM357664v1:9:1978911:1981784:-1 gene:itb09g03580 transcript:itb09g03580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKASANPKGIRWQYTGKHAVVSSPKWNEVKDASPSRIQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRHELIGASIMHYAAKWLPGLIKEGPAGGSVDEGSNSNSSTSNGSGSGWKGGGLYMVVAGTREDTPTVHAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMASMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKTETLYDVDLVQRLLEHFLVQEQTESSSPSRNSFSDKHLHDGSQRGSNLNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRIVVQVLFSEQVKISNAIANSSLKDAGDSHYQPLVSNRKTLLEATPQSFQEGWTAAKKDINTLKFELENVKAKYVELQNEMDILQRQFDKLTKPKQASAWTAGWKKLSKLTKMTHLENHDLGPQVPNSEQTRKTPRRWRNSIS >itb09g03280.t1 pep chromosome:ASM357664v1:9:1849406:1851469:1 gene:itb09g03280 transcript:itb09g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTFSVLLTCTLFIPPLIPEASAGLSFSFPSSIPNDDYINYDGSASTSSDGHIQLTSYQYDEDKCNNTGRATYRHPMHLWDNATGSLASFTTNFSFLIKPIPTATSSKSHGPDSSPPIGDGLTFFLASNLFPLSHLNAGGGLGIGINPEQIVHSNTNNSKGFVAVEFDTHQNGWDVETGPHVGVDVNSVISVCSVGWVDGSEIGRGEQKASIVYNGVKKILSVLFTNSSNPGGLGRLEYKIDFRAHLTDHVTIGFSASTGIVTEQNIITSWSFSSSINSSEDSENQSNLIRHHGHHLSKKGLVGLIFGGFFVMVLLLILAGVIQKRREGEKMSSFSVVNSVLYDEIGSGVGPIPMKFSYKQLSRATTNFAETKKLGQGGFGSVYFGFLREMNLHVAIKRVSRTSNQGIKEYASEVKIISKLRHKNLVQLVGWCHEKNDLLLVYELLPNGSLDRFLFSKTDLLTWDLRYKVAMGLASALLYLHEEWEQCVLHRDVKSSNVMLDKGFNAKLGDFGLARLVDHEKGAQTTTLAGTMGYIAPESVLTGKASRETDIYSFGIVALEIACGRKPIEPRAEPNKVLLVEWVWELYGSGTILGAADPKLCSVFDEQEMKSLMIVGLWCAHPDYALRPSIQQVVRVLKFESPPPILPSKMPIATYPASTPVSNASLPTSSFSFSIGATSSFSS >itb15g07800.t2 pep chromosome:ASM357664v1:15:5460714:5463232:-1 gene:itb15g07800 transcript:itb15g07800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAADPTQPPPQPPAQPPRSSFSCDRHPDEQFTGFCPECLCERLTTLDQSATGNNPSSSSRRPSTSSSSAAAAIKSLFSKPSASSAAANCLPPPPPKPSKPSTFFPELRRTKSFSASKNNEALGFSAASFEPQRKSCDVRVRNTLWTLFSLDDETKPSSSQNPHCNESAVDFVNRPVLESKEEEEEEEEVENEEDFNENRNESDGIEEIIELPEPPKSENGFDRGVNLEILEEEGMNEDTLKPMKDHIDLDSQVKKAGGRDLKEIAGSFWSAASVFSKKWHKWRRKQKLKKQNNGESSAPLPAEKPLSRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRSFPRMAPMVSVVEDAPVVHVSRTDTQIPVEEPVMMNCINGDDTVPGGSAQTREYYSDSSSRRRKSLDRSSSIRKTAAAVVAEIDEMKSVSNAKVLPATDYFHGSKVLMGDRDSNSNSLRDDCSETFELAGFRDNASVIGNGEQKRSKKSRRWTWSILGFIYRRGSGNKDEEDDRYSRANGVERSFSESWQEFRRESNGDPRAGLNRKVFRSNSSVSWRNSNYMGGSFGTGRKSSVEVNGHGKKRRDDFVLERNRSARYSPNHIDNGLLRFYLTPLRGSRRGIAGKNRQNGAHSIARSILRLY >itb15g07800.t1 pep chromosome:ASM357664v1:15:5459962:5463216:-1 gene:itb15g07800 transcript:itb15g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAADPTQPPPQPPAQPPRSSFSCDRHPDEQFTGFCPECLCERLTTLDQSATGNNPSSSSRRPSTSSSSAAAAIKSLFSKPSASSAAANCLPPPPPKPSKPSTFFPELRRTKSFSASKNNEALGFSAASFEPQRKSCDVRVRNTLWTLFSLDDETKPSSSQNPHCNESAVDFVNRPVLESKEEEEEEEEVENEEDFNENRNESDGIEEIIELPEPPKSENGFDRGVNLEILEEEGMNEDTLKPMKDHIDLDSQVKKAGGRDLKEIAGSFWSAASVFSKKWHKWRRKQKLKKQNNGESSAPLPAEKPLSRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRSFPRMAPMVSVVEDAPVVHVSRTDTQIPVEEPVMMNCINGDDTVPGGSAQTREYYSDSSSRRRKSLDRSSSIRKTAAAVVAEIDEMKSVSNAKVLPATDYFHGSKVLMGDRDSNSNSLRDDCSETFELAGFRDNASVIGNGEQKRSKKSRRWTWSILGFIYRRGSGNKDEEDDRYSRANGVERSFSESWQEFRRESNGDPRAGLNRKVFRSNSSVSWRNSNYMGGSFGTGRKSSVEVNGHGKKRRDDFVLERNRSARYSPNHIDNGLLRFYLTPLRGSRRGIAGKNRQNGAHSIARSILRLY >itb06g09690.t1 pep chromosome:ASM357664v1:6:13943792:13944439:1 gene:itb06g09690 transcript:itb06g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARYKLRNTRKTFELNYCWRNHNTIFYPDGDVDAFDSKLRAEGRYWSAKYAAVERHKNLKRTRIFSHARPQLKDSVDFIPLIDEGSGGESVSGATVVEESWEDEIFYKTKEFNKMTRERPHDEKIWLDFADFQDKVASMQPHKGARLQILEKKISILEKATELNPDSEDLLLSLMNAYQSRDSIDVLISRWERILLQNSDSYTLWREFLRCSG >itb09g06890.t1 pep chromosome:ASM357664v1:9:3972609:3973406:-1 gene:itb09g06890 transcript:itb09g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVQPNQNPSADEEGANKEEFIEIEGNFKKLQIIRPEVVEDFGPWMFAQRKQRRFGIYNPRTNTGRKHQGNVDNTKNKEVQKKYPPKPVGENSRFNALYDLEENDNEQTEDCEQREVVQLNSREHTAQPSRGLQGKGKRPQIQISAKQIDNDKNQPRQPSNDKPRERAESSKSGGKNGSNQAAAEVEHVVVRGTQKRKTITRTVVETGGVGEKSDSLLSHDAANHHQDPPFHDMGMNFHPASRANHPSDWCLEELDSDGEGRVS >itb05g22620.t1 pep chromosome:ASM357664v1:5:27972801:27974662:1 gene:itb05g22620 transcript:itb05g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPTRGLFKVLSVLLLLLLSFLSQVHCYSSTEFSTVRRILEVDEDDNNEDHHPAAFKIKKKTTPNSSLSPKNKTKILKPSTSSSPKNQTKILKSGSGFGLPKNLTNKVLKTGSGFGSAKNQTKLKLSSSSLSLKNKTKLLKPISESSKVSQKNQFKKLNSTSNSLKLKASNSTKTTKKSSDLTKLSSSSSSSSSSKNKTSNAAVQAKEKPKSELEKKELTKIQDPKSQSTKKTTKPYWIDDEQDDLISEFREMPSKFQQTLLPDLERISKTSKVYLNRANKQITNNFKPLVGNKYAPTVASFISFAFIFIPLIFVSLIFNRFKAYFSLQKLLIFVQIYLAIYFSILCLSSLVTGLEPLKFFYATSQSTYVCIQLLQTLAYVLYLLMLLMYLVLVFSTDTGPSTRMIGLAQTIVGFAVGLHYYMAVFHRAVLRQPPKTSWRVHALYAACFLLICLLTQAERRKKAYLEEGGEEGKKN >itb10g24990.t1 pep chromosome:ASM357664v1:10:28509329:28510756:1 gene:itb10g24990 transcript:itb10g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSQGSGTWTAKQNKAFEEALAVYDKNTPDRWSNVAKAVGGKTADEVKRHYEILVHDVKYIESGRVPFPNYRTTTRRSSD >itb06g15880.t1 pep chromosome:ASM357664v1:6:20135532:20140001:-1 gene:itb06g15880 transcript:itb06g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETLASSSSSSPSGSPSTSINPPPPEDAWINTYQKLIPRWQSTTPSHQSAIPIAISRVNQIDAARLDIEMSAMLKEQLVKIFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNGLMNLRYRDERAIEMRGKVRTGLEGPGLTVAQKILYCIATVGGQYFWARLQSFSAFRRWGDSEQRSLARWIWLLIQHVEGIYKAASFSNLLLFLYTGRYRSLIERALRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSIKNFLRPFSKDKSSNSATDETLCPICQAIPTLPFLAIPCQHRFCYYCLQTRCSAAPSFQCPRCGELVAAMQRHGGSAST >itb04g11910.t1 pep chromosome:ASM357664v1:4:11517300:11521618:1 gene:itb04g11910 transcript:itb04g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDLKSSKPHALMEGMFTRSKSQTYSHLNRSGRVRLDTTRSSPSYQNFQKLHPSPKKRKHPYLQQHEEAPLDVNDSSRNLRVRRVFSTSSVIEQNGESSELGLKSTSPDSSGNGCAEIIGMVLEDLKRDFENGEADLGLENHGFERKVGTDEEPNKVASKEAIENRDTGRETGQPPVKFIRPYESSTTNKAVVKPGLLSRVFKTSSSFSYRRLLPFMMSLEKDDSCASEIEFDDTGLNPEVQNMNNLKPNSSPDNSNCDASKNEPTVAHSDDSKPLARGGIDNLGISSPGKQPEKNCGNLHLTQTEVNSGLHSVDTMRDNTPNGIDDVMGDNISMTPPDPDIFSKFDLNDYKASTDQSAKQIENNILGNPSNGRCRSNIASSSKKSYQNPSERNGSSWKTKMVLNPCSRKKVLKPPSSVMYRRLLPYLMDVANDNKDQPLESKDSIPPNSQASTANNASEVHTSNLSVAMSSSTSDSAIKRPILAPSEDITEPIISHSSEMDGTPQIEHILSDTTKQLKPDLANNDRKENGEVPSSPIANAESLPKVPEISQLETVNCELGDIQAVYHEDNKHVDERDVSDDVQSNTSTFAGIHTVVPTETLLNESSVRMEADCQEGSGNLVKESTSDESSTPIEESNLPVVHTVGHAETFIKDCKLATLQSKHSEENHINYGAMIQTVTINKEPSEVQASNHSVTQTEGTMKGVLRRNPRGCRGRCDCLNCMSFRLHAERAFEFSKHQMQDAEEVALELIKELSGIRKLLENAASNKNNLAAFEPTQVKEARTKALQAEQLAKERLVQMNDELHYHCRITPLQRPRVTFSVSTADRSTSAAKNTTDNKRKRNGNS >itb04g04130.t1 pep chromosome:ASM357664v1:4:2550106:2550525:1 gene:itb04g04130 transcript:itb04g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRTMILPTLLLSATLILSSSPARSAENSGAISYQCNVQEYTQESRFGASLDYVFTDLIRSTPAIAGFNLYVVSPPATGGPPVYGNARCMYGMTASDCASCLRRAKRLVKSICPSRIGGWVFTGYYCLLRYETYNFN >itb13g20510.t1 pep chromosome:ASM357664v1:13:27322131:27323243:1 gene:itb13g20510 transcript:itb13g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTLAAALDEPNPSSLRKQQKHLKNQPAVGIMGSLRVIELQLVAFIMVFSASGLVPLLDLSFPALSSAYLLIISRLAFPSHSRCGPPELFQGGRLFRVYVVFGTTVGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENIISRWSLFSAPVRAFVPLLYTVRRVFVILDWMQDVWVNKSLPATADFKDVVWHWFGRGLAVANLLYFCVNLFGFLIPCYLPRAFERYFRERDEIVAKTGEDYRRSPATTLNNKQPQPQPQPHHHLHTDKAD >itb05g15090.t1 pep chromosome:ASM357664v1:5:22447268:22448949:-1 gene:itb05g15090 transcript:itb05g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRVKKGFCENSKQRSKIDGRNKVVMKRNNNTRLLISVNVPGSAGPLRFVVNEDDKVGGVIDMALKQYAHQGRLPLLGSDPTNFLLLPTTLGLEALGASELIGLCGVRNFILCKKQPELTESRWKAEGMIDQKRKSSSSSWKTWLNKSFAFKIHCH >itb07g17500.t1 pep chromosome:ASM357664v1:7:21633957:21635316:1 gene:itb07g17500 transcript:itb07g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MATSFSFFSSSTFLSTPLRKAPSKLLCLKPPKARVVRAEQATLPILSFDGDKVGSTTLDLKSAPPYTARAVVHRGLVTDLRNKRRGTASTLTRAEVRGGGKKPYAQKKTGRARMGSFRTPLRPGGGVVFGPKPRDWSIKINKKEKRLAISTALASAAENCIVVEEFNDKFEKPKTKELIALMKRLGLDPKEKCMFLMTEASDNVMLSGRNLGKLKMLTPRTLNLFDILDSEKLVFTKSALEFLNERYGNDADWENDEGDEQGAEADENANTSD >itb01g16690.t1 pep chromosome:ASM357664v1:1:21162964:21163317:-1 gene:itb01g16690 transcript:itb01g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTQEKAKKLCYERRDTCIMKKAMELQILCGLKIGVVSISPDRKLESWPPQLNDVKALLHAYKERGPRVKSDNRKEDCREILVSKLQLLNQREELFKGKEIVSTDVMPSLLEDL >itb14g17960.t1 pep chromosome:ASM357664v1:14:21124959:21125989:1 gene:itb14g17960 transcript:itb14g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKIFGASNVSKMLQEVPVKQRGDAVSSLVYEADARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMNHQDPAPPTFPLDHQKSLLFSPETTAATANFGTNLQQYLNFAPSSTAVMQDPLKRESLWT >itb01g09550.t1 pep chromosome:ASM357664v1:1:7812306:7814132:-1 gene:itb01g09550 transcript:itb01g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRSSGPVLPIRSSFQRSLSPSGRFSASMMPDATSFASSTASSFYSSASTGLLNRSTSNDFLHRSTSPTRVSLHGLAPLTPVPSVRFSIGRSISPRRSMVVSSRDQVVRKQKIGNPLSNLPKKTCMCSPTSHPGSFRCSLHKNVNHAPSISYSPSRLNARRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHHQRRRGDFQPRPSRLSRMSKAEDL >itb06g10830.t1 pep chromosome:ASM357664v1:6:15341962:15344833:1 gene:itb06g10830 transcript:itb06g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MEDTLGQLKITVVRGKKLVIRDFKSSDPYVILKLGNQTAKTKVINSCLNPVWNEDFSFSLSEPAGVLKLQVFDKDRFKADDKMGHAHLNLQPLMAAARLRQIVGISSEGSILRKAIPESDNCLAADSSILWVNGEVVQDVWLRLRDVESGEIELKVKLTNLPVPPSK >itb06g10830.t2 pep chromosome:ASM357664v1:6:15342133:15344756:1 gene:itb06g10830 transcript:itb06g10830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MEDTLGQLKITVVRGKKLVIRDFKSSDPYVILKLGNQTAKTKVINSCLNPVWNEDFSFSLSEPAGVLKLQVFDKDRFKADDKMGHAHLNLQPLMAAARLRQIVGISSEGSILRKAIPESDNCLAADSSILWVNGEVVQDVWLRLRDVESGEIELKVKLTNLPVPPSK >itb06g10830.t3 pep chromosome:ASM357664v1:6:15342133:15344756:1 gene:itb06g10830 transcript:itb06g10830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MEDTLGQLKITVVRGKKLVIRDFKSSDPYVILKLGNQTAKTKVINSCLNPVWNEDFSFSLSEPAGVLKLQVFDKDRFKADDKMGHAHLNLQPLMAAARLRQIVGISSEGSILRKAIPESDNCLAADSSILWVNGEVVQDVWLRLRDVESGEIELKVKLTNLPVPPSK >itb11g18470.t1 pep chromosome:ASM357664v1:11:18830988:18833930:-1 gene:itb11g18470 transcript:itb11g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTPNPVMNSLSMAKNGCLTSLSLPSSLFPAAKSHFSLACRPIKLHLSCSFSSSSLPFVFSLRAKNTQPHFPSLLSVVAAQQEGDSTIVVDEQDQGEGDVSEAFNWGDEGEDEGLLEVIGDAGESDDAFGEDDEGGEFQEPPEDAKLFVGNLPYDVDHEGLARLFQQAGTVEIAEVIYNRDTDRSRGFGFVTMNTVEEADKAVEMFNRYDLNGRLLNVNKAAPRGSRPERPPPQSFESSCRIYVGNIPWNVDDARLEQVFSEHGKVVNARVVCDRETGRSRGFGFVTMSNEAEMNDAIADLDGQNLDGRAIRVSVAEGRPRRNF >itb05g10860.t1 pep chromosome:ASM357664v1:5:16762423:16763890:1 gene:itb05g10860 transcript:itb05g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSTAGKLGNNVSEEAVRKAVNALLEWKKLQCKSTTTPREPTEGDEENDDDDDDDSIYLVVSLRRLPPKRLSQVSNPKKKPTKHLSRVSNPKKKPTKHLSQVSNPIKTPRKNRSQVSTPIKIPLPHSLQSFSDICLFVCNKPTQILCNTVKTTLDPEAVLKRMKSLDIPITRVLKLSNLKSNFKSLGAKRKLYDSHDLFLADQKVIRLLRDVLGKRFYKNKKRGPVPVELKADGNWKEEIDAVANGKSTLLRFGKGKSTAVKVGNGNGVMDNQEIVDNVIAAIDAIVSLVPNKWAGITVLYLKLLDSMALPIYDTEGNA >itb07g17090.t1 pep chromosome:ASM357664v1:7:21266293:21266950:1 gene:itb07g17090 transcript:itb07g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLAGGVEQQVKQVLKSGVGRCIACGSRADLVDHEKVLKLFFVPVWRWPADHPSLHCNNCNLFFPESLSPPHPHALSCRFCGREIEPDFRFCPFCGAAI >itb11g20040.t1 pep chromosome:ASM357664v1:11:20987603:20990099:1 gene:itb11g20040 transcript:itb11g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKADVTKELLSDIIGVNPTHVFNAAGATGTPNADWCEFNKPETIRSNVIGALNLADVCQEKGILLLHFGSACIYDYDSEHPMGSGIGFTEQDKSNYDGSFYSKTKGMVEYFGWRIQQHMHP >itb01g23240.t1 pep chromosome:ASM357664v1:1:29321968:29324437:1 gene:itb01g23240 transcript:itb01g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAARDRTVTHGSTSEVLERNVRYSPSWSFRWDNRGRVAGEDASLNWSSDGISGNDRFDFKSRTTVETVYASEEGSPLDSFRSLAWQKSPTSERNGGSMAQPSSDPSTAVNSTEVIESTRLPAVSYRSPAKLPSSVPSVSSLSASPLSSQSQQLPVNLTPSRLPCHSPRHQLLRQVSDSRVLGIRSPTFSISEEASSIMLPGWSNESTRGSHCGSSDSWSIPAFPEFLQTSRRDRWSFDSESFGFLCDKVTRSSGRTSGSPSLDLRTCGICGKLLTEKSSWGGRKVIESNEIAVVSVLTCGHVFHAECLENMTLEINKYDPACPVCTFGEKQVLKMSEKGLKAEMNSKARKRYRNRVVDSDYNENLAMLEHNQKSSGHVGRCPKMSPSSSMKFSSGKPLLGRHFSFVSKGTRTLSESNSTRKRGFFWTRSSKEKASSWKQ >itb10g24610.t1 pep chromosome:ASM357664v1:10:28336000:28337806:-1 gene:itb10g24610 transcript:itb10g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIRQSHQFVLVHGACHGAWSWYKLLGLLRSSGHIVTAVDLAGAGINPKVVGELRGQGDYFRPLMELMASVPAGRKQVILVAHSLGGFAVSKAMEMFPEKISVAVFVTAYMPGPSLNATTVLREDLALASTLLRPIHLYSDEEVSKEMVLSDKKYGSVRRVFIISGQDKVLREEFQEWMIKKNPPDEVKEVLGSDHMVMMSKPFELFLLLQKIAHSYS >itb12g25710.t1 pep chromosome:ASM357664v1:12:26864945:26867813:-1 gene:itb12g25710 transcript:itb12g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAKALPFSFVAHVIALVLAVLVLVWNIHFRGGLAWEDTNKNLIFNLHPVLMLIGFVILGGEAIMSYKSLPLKKEVKKVIHLVLHAIALVLGIVGICAAFKNHNESGLANLYSLHSWIGIGVAVLYGIQWVYGFVVFFYPGGTADIRRESLPWHVLFGTFVYILAVANALLGFLEKLTFLENSGLDKYGSEAFLVNFTAIATVIYGVFVFLTILSQAHTEDDHSYSAI >itb01g21980.t1 pep chromosome:ASM357664v1:1:27950736:27957443:-1 gene:itb01g21980 transcript:itb01g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCGDPYVLVKMESLKRCGISDDTTYVLVARFGASTDEIAAIEKLIKGTQIDLKELKQKASHAQIQKISESLKRCGISDDTTYVLVARFGASTDEIAAIEKLIKGTQIDLKELKQKASHAQIQKVLCCFFPLLTI >itb12g27290.t1 pep chromosome:ASM357664v1:12:27763762:27768103:1 gene:itb12g27290 transcript:itb12g27290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSLTRRELQALCKKNKIHANITNVAMADALQALDLVEGIEDFLKPSESETAISSVELPHKSDISSRSVPSRTARRKTVKNETETSQTSTRTRNSTRRKLAGNEVVETPIVPTVGRSAAAKEKQDVQTPGAVLTSQKREAKEKSSVSQVYTIRRSARLAAKRLKESGKQDNERSDRTITFDNFSEAATEGLEVDFRQCSLHYEDEVEKAGIDLKTASDEGLDSKKAKDGDLSFEFENLNLLNNELKVDMPKSSEELATSDNSNAIIENNVGMLLSNKLGEGEELNDDKAKELDSSLDVEPANFVLAENSINNGLSDGKESHSDECLNVPDSCDVEVGLQDPHAHVTPKSSPGLDQEVAKSDMVPKQKDRANEHNANKISTCEAGDADFSPDGYSESIGISSGKESHCDDGVNLPDLYDGEVGPDSSLQLGQVTVSDGMILPKQLKEPSNEQISVSEVEGADFQCKVQEVVGKDGNIHISDCEVQGADIQCKRPQIVGNDMVHLGNEDDPNTEEDDPNTEENDLQYKVQEAVGKDDNIKHSSDCEVQGADIQSKVQQLFGNDMVQLENEDEPNTEEISDLKDDNADHQCRNQEAVDNHLVLSKQLNNEDETNPKEISYPVGEGPLDEVTSHEDEATSEDEGTTQASSVTSDELEEFRGDENGPKAKGISYYENTTEDIFESSDEISDAELTTEAGSESLDLELEKLTGDEKEPNDEWLLYSDDEDAIGTNSKSLDGEGSLDKLTGDEKETNNEGLSYPEDEDGIDTNSESLDGEGSLEKMRGDEKEPNNEGLSYPEDEDGIDTNSESLDGEGSLEKMRGDEKEPNNEGLSYPEDEDGIDSNSESLDGEGSSEEIRGDEKEPNDEGLSYPEDEDGIDTNSESLDGEGSLEKMRGNEKEPNDEGLSYPEDEDGLDTNSEILDGEGSLEKMRGDEKEPNNEGLSYPEDEDEDANEGLSYPEDEDEDATEARSASSGRKESLNEFAAGDIWQLSNEAESKNIESSELLEDASETNVDAAIGDKNPVQEYVQNSAGKEPSGLQNVTETPFVFLESSVPKGGTPLSAVAFDHKQIPTGSAGANLGHTIQMICSTPVKTSSIKTPTKTPITILSAGVKSVSDDKENVDNSGRKLVRIKEKNKKHHEDCSPREIAKSNANTKEATRPALQQLEENCLVDSSGNTVEV >itb12g06620.t3 pep chromosome:ASM357664v1:12:4923585:4926312:-1 gene:itb12g06620 transcript:itb12g06620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLISLDMGALIAGAKYRGEFEDRLKAVLREVTESEGQIILFIDEMHTVVGAGGTNGSMDAGNLLKPMLGRGELRCIGATTLDEYRKHIEKDPALERRFQQVYVDEPAVEDTISILRGLRQRYELHHGVRISDTALVDAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEFTSKPSALDEINHAVVKLEMERLSLTSDTDKASKDRLSRLEDELSLLKQRQAQLNEQWEHEKSVMTHIQSIKEEIDRVNVEIQHAEREYDLNRAAELKYGSLNSLQHQLETAERELDEYMRSGKSMLREEVTGNDIADIVSKWTGIPVSKLQQSEREKLLHLDEELHKRVIGQDPAVKSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVHRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTLIIMTSNVGSQYILNTDDDSLPREVSYETMKQRVMEAAGAIFRPEFMNRVDEYIVFQPLAREQINSIVRLQLARVQQRLADRKMKLGVTDAVVQLLGNLGYDPNYGARPVRRTIQQNVENELAKGILRGEFRDEDTILVDIEVTKFSNGQPPLQKLVFMRVEEPPDSEETF >itb10g20380.t1 pep chromosome:ASM357664v1:10:25968035:25972050:1 gene:itb10g20380 transcript:itb10g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVLRQLAEIEAMAMSKKVITRDDWEKRLKDVNIRKEDMNKLVMNFLVTEGYVEAAEKFRTESGTAPDIDLATITDRMAIKEAVQRGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNRKVEEALEFAQEELAPRGEENLGFLEELEKTVALLAFEDVASSPVGELLDVSQRLKTASEINAAILTSQSHEKDPKLPVLLKLLTWTQNQLGEKVAYPRINDVSTAVLEDPAV >itb09g02660.t4 pep chromosome:ASM357664v1:9:1508589:1512147:1 gene:itb09g02660 transcript:itb09g02660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAISGAAKIRSRDEAQNEQRRPPLLPSEKDNTNNRRPKARVVASRYMTTPSPSTPSSISRRFPSPLVSRNSTPLSHAPPSSGARRSVSVDRRRSGVSRPLKPDLDLNVGNGSEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKLAPPSPNLRKSTPERRRTGTPVRGDQVENSKPADRWPARSRQGNQLTRSLDCRGTERSKVVGSRNVILSIDNRLSLDLGNAKSFSGAEQGLDESLVNNNNNELSVPSDLTLSDTDSVSSGSTSGVQECGGVAFHGQTPPCGIVSARFWQETNSRLRRLQDSGSLLSASSGSKLVGQPRLRKYPSDVPVSSPIRGGIRPASPSKVMTPLGSSPSRGVLSPSRVRNAVSTITSSFHEAPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFINARTETALNVQTHTAEKDLWNSWIKISDLRDTVTKRRHRLQLLRQKLKLASILKGQVRLLTFLF >itb09g02660.t1 pep chromosome:ASM357664v1:9:1510153:1514030:1 gene:itb09g02660 transcript:itb09g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAISGAAKIRSRDEAQNEQRRPPLLPSEKDNTNNRRPKARVVASRYMTTPSPSTPSSISRRFPSPLVSRNSTPLSHAPPSSGARRSVSVDRRRSGVSRPLKPDLDLNVGNGSEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKLAPPSPNLRKSTPERRRTGTPVRGDQVENSKPADRWPARSRQGNQLTRSLDCRGTERSKVVGSRNVILSIDNRLSLDLGNAKSFSGAEQGLDESLVNNNNNELSVPSDLTLSDTDSVSSGSTSGVQECGGVAFHGQTPPCGIVSARFWQETNSRLRRLQDSGSLLSASSGSKLVGQPRLRKYPSDVPVSSPIRGGIRPASPSKVMTPLGSSPSRGVLSPSRVRNAVSTITSSFHEAPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFINARTETALNVQTHTAEKDLWNSWIKISDLRDTVTKRRHRLQLLRQKLKLASILKGQISYLEDWALLDKEHSVSVLGAIESLKSNTLRLPVVAGAIADAQSLKEAIGSAVDVMQAMGSSIYSLLAKVEEANSSVTELTKTATKERALLEECKDFLSMLAVMQVKDCSLRTHVLQHNHISTA >itb09g02660.t2 pep chromosome:ASM357664v1:9:1508589:1514030:1 gene:itb09g02660 transcript:itb09g02660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAISGAAKIRSRDEAQNEQRRPPLLPSEKDNTNNRRPKARVVASRYMTTPSPSTPSSISRRFPSPLVSRNSTPLSHAPPSSGARRSVSVDRRRSGVSRPLKPDLDLNVGNGSEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKLAPPSPNLRKSTPERRRTGTPVRGDQVENSKPADRWPARSRQGNQLTRSLDCRGTERSKVVGSRNVILSIDNRLSLDLGNAKSFSGAEQGLDESLVNNNNNELSVPSDLTLSDTDSVSSGSTSGVQECGGVAFHGQTPPCGIVSARFWQETNSRLRRLQDSGSLLSASSGSKLVGQPRLRKYPSDVPVSSPIRGGIRPASPSKVMTPLGSSPSRGVLSPSRVRNAVSTITSSFHEAPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFINARTETALNVQTHTAEKDLWNSWIKISDLRDTVTKRRHRLQLLRQWDPRSIHFWQRWRKRIHR >itb09g02660.t3 pep chromosome:ASM357664v1:9:1510235:1514030:1 gene:itb09g02660 transcript:itb09g02660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAISGAAKIRSRDEAQNEQRRPPLLPSEKDNTNNRRPKARVVASRYMTTPSPSTPSSISRRFPSPLVSRNSTPLSHAPPSSGARRSVSVDRRRSGVSRPLKPDLDLNVGNGSEVSAATKLLVTSTRSLSVSFQGEAFSLPISKTKLAPPSPNLRKSTPERRRTGTPVRGDQVENSKPADRWPARSRQGNQLTRSLDCRGTERSKVVGSRNVILSIDNRLSLDLGNAKSFSGAEQGLDESLVNNNNNELSVPSDLTLSDTDSVSSGSTSGVQECGGVAFHGQTPPCGIVSARFWQETNSRLRRLQDSGSLLSASSGSKLVGQPRLRKYPSDVPVSSPIRGGIRPASPSKVMTPLGSSPSRGVLSPSRVRNAVSTITSSFHEAPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFINARTETALNVQTHTAEKDLWNSWIKISDLRDTVTKRRHRLQLLRQKLKLASILKGQISYLEDWALLDKEHSVSVLGAIESLKSNTLRLPVVAGAIADAQSLKEAIGSAVDVMQAMGSSIYSLLAKVEEANSSVTELTKTATKERALLEECKDFLSMLAVMQVNIYT >itb06g15390.t1 pep chromosome:ASM357664v1:6:19758506:19758868:-1 gene:itb06g15390 transcript:itb06g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSQSNFHDLLPLMAEKLGGDALIGELCNGFQLLMDKDRGVITFESLKNNSALLGLQDFTDDDLRSMLREGDSDGDGALNQMEFCVLMFRLSPELMEQSEFLLDQALHDLFRDCNFSF >itb09g10460.t1 pep chromosome:ASM357664v1:9:6480817:6486289:-1 gene:itb09g10460 transcript:itb09g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLLTEFENAAINSRDSPPPFQALSVVLSLVSNPSTTDSSLSVILKTLTLSLQNPNHRDSHHRPILSILHLLSLRHPRLRQDVITAIDSFSLLPSASTRSLADALSILLSLSATDVNNESAFLSLVFRRRISIRYWLLRNVSRFVIRPSVLFTVLFGFTKDPYPNIRSAALDGLSGLCKCILIEDQNLIRGCYFRAVELLFDTEDTVRCSAVRAVSECGRLLVATNLEKSRQEWSDALFVQLCSMVRDMSVNVRIEAFHSLGNVEMVSHDILLQTLSKKASAAMKEKNFPGQLTAKSLKLPASSAAFAFVHGLEDEFREVRSSACRALQRLTILSADFAGGAVNLLMDVLSDDSLDVRLQALETMHCMARFDHLKVQEAHLNKFAGTLLDSDILIRSAARRLLKLTKLRTLALFRLCIDGLIKNLELYPQDEADVFSVLFSVGQKHGKFVVSMMREVSRMIEPSFGGKLSFDNVRTASLLVLAISAPVSLERHICSIQPRIFSYAVTILGRISRGLADVMDQSNLLTYLSHCSRFTFASAAEFFNGEECALPAEEGDAYLIQRDDAILEDCSEKVLELQRANSLQLEFNLGTPDKGGNCITLILQKVTEIWPLLELGLMDGVSNILRGVKAELRRQRSNSRFGDELIFILNYLDAIELLGRLWARFIFPRKFYSYQMGDWRLLFENLDMILKEMRYRFIGLTKEDEFHILELKLVADTAKLCKLEAGCQYNTMKKLHSGVSLAQQLHEEGSISLSSFVTELQKALNEINMSGSATMENPFSLLSSLKQFKLRQLVLPGKLKYIEAEMDVQGTDFQNPLPFIPGLPVGISLAITVRNVSVEKRLWIKMSLEEKMTQFAFLDLLELGGDDEVRKFTIVSPFYKTPRARCFSLRVCIVIECSSDCSQMPRNYGGPKHDIAYICEEKEVFFSMVVK >itb02g17290.t2 pep chromosome:ASM357664v1:2:13253056:13257721:1 gene:itb02g17290 transcript:itb02g17290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMSLQIKSIKAYYRQENSGFVSAFAPRVSGRVSWKSIGMIRNPKPVNSVFASIQPIEVSKRTSFANALPSKEVIDIWQNASAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMSGSVPFEEALAARLSLFNPSLSQVQDFLEKKPPRLSPGIDELVNTLKDRKTDVYLISGGFRQMINPVASILGIPSENIFANQLLFGSSGEFMGFDRNEPTSRSGGKAAAVRQIRKDRAYKSLVMIGDGATDLEARQPGGADLYICYGGVQLRESVAAKADWLVFDFNDLINSL >itb02g17290.t1 pep chromosome:ASM357664v1:2:13252980:13257725:1 gene:itb02g17290 transcript:itb02g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMSLQIKSIKAYYRQENSGFVSAFAPRVSGRVSWKSIGMIRNPKPVNSVFASIQPIEVSKRTSFANALPSKEVIDIWQNASAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMSGSVPFEEALAARLSLFNPSLSQVQDFLEKKPPRLSPGIDELVNTLKDRKTDVYLISGGFRQMINPVASILGIPSENIFANQLLFGSSGEFMGFDRNEPTSRSGGKAAAVRQIRKDRAYKSLVMIGDGATDLEARQPGGADLYICYGGVQLRESVAAKADWLVFDFNDLINSL >itb02g17290.t4 pep chromosome:ASM357664v1:2:13253642:13257719:1 gene:itb02g17290 transcript:itb02g17290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLILQEVIDIWQNASAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMSGSVPFEEALAARLSLFNPSLSQVQDFLEKKPPRLSPGIDELVNTLKDRKTDVYLISGGFRQMINPVASILGIPSENIFANQLLFGSSGEFMGFDRNEPTSRSGGKAAAVRQIRKDRAYKSLVMIGDGATDLEARQPGGADLYICYGGVQLRESVAAKADWLVFDFNDLINSL >itb02g17290.t3 pep chromosome:ASM357664v1:2:13253093:13257721:1 gene:itb02g17290 transcript:itb02g17290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMSLQIKSIKAYYRQENSGFVSAFAPRVSGRVSWKSIGMIRNPKPVNSVFASIQPIEVSKRTSFANALPSKEVIDIWQNASAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMSGSVPFEEALAARLSLFNPSLSQVQDFLEKKPPRLSPGIDELVNTLKDRKTDVYLISGGFRQMINPVASILGIPSENIFANQLLFGSSGEFMGFDRNEPTSRSGGKAAAVRQIRKDRAYKSLVMIGDGATDLEARQPGGADLYICYGGVQLRESVAAKADWLVFDFNDLINSL >itb03g15940.t2 pep chromosome:ASM357664v1:3:15114816:15117714:-1 gene:itb03g15940 transcript:itb03g15940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLSHLSQPCIYGHSVSPRTERKSRFMKWLSKLFKGSSSKGVASGQQPQFLGEENMVWRTPIRPVDERSRAKEREELERAIARSLAEGLKRPSGYRWRADNDDDVTRSLRGNGLNSSTFPSYPPQYAPRDYSPRGYRVCGGCHQEIGFGNYLGCMGTFFHPECFRCHACSYPITEHEFSLSGNKSYHKSCFKELTHPRCEVCHQFIPANGSGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCLECMESAIMDTGDCQPLYHSIRDFYEGMNMRIDQQVPMLLVERQALNEAIEGEKHGFHHMPETRGLCLSEEQTVSSIQRRPRMGGRGFVGMRTQPQRLPRRCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGKHKRVTQRKSPC >itb03g15940.t1 pep chromosome:ASM357664v1:3:15112335:15117750:-1 gene:itb03g15940 transcript:itb03g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLSHLSQPCIYGHSVSPRTERKSRFMKWLSKLFKGSSSKGVASGQQPQFLGEENMVWRTPIRPVDERSRAKEREELERAIARSLAEGLKRPSGYRWRADNDDDVTRSLRGNGLNSSTFPSYPPQYAPRDYSPRGYRVCGGCHQEIGFGNYLGCMGTFFHPECFRCHACSYPITEHEFSLSGNKSYHKSCFKELTHPRCEVCHQFIPANGSGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCLECMESAIMDTGDCQPLYHSIRDFYEGMNMRIDQQVPMLLVERQALNEAIEGEKHGFHHMPETRGLCLSEEQTVSSIQRRPRMGGRGFVGMRTQPQRLPRRCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLNPQVEEGICQVLSHMWLESEVMPTGYRNMPSTSTASSSSAWSLSSSKKGGRSASENKLGEFFKHQILNDASPAYGEGFRAAHVAVNKYGLRSTLEHIRLTGTFPI >itb03g15940.t3 pep chromosome:ASM357664v1:3:15112335:15117750:-1 gene:itb03g15940 transcript:itb03g15940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLSHLSQPCIYGHSVSPRTERKSRFMKWLSKLFKGSSSKGVASGQQPQFLGEENMVWRTPIRPVDERSRAKEREELERAIARSLAEGLKRPSGNNGYRWRADNDDDVTRSLRGNGLNSSTFPSYPPQYAPRDYSPRGYRVCGGCHQEIGFGNYLGCMGTFFHPECFRCHACSYPITEHEFSLSGNKSYHKSCFKELTHPRCEVCHQFIPANGSGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCLECMESAIMDTGDCQPLYHSIRDFYEGMNMRIDQQVPMLLVERQALNEAIEGEKHGFHHMPETRGLCLSEEQTVSSIQRRPRMGGRGFVGMRTQPQRLPRRCEVTAILVLYGLPRLLTGAILAHELMHAWLRLKGYRNLNPQVEEGICQVLSHMWLESEVMPTGYRNMPSTSTASSSSAWSLSSSKKGGRSASENKLGEFFKHQILNDASPAYGEGFRAAHVAVNKYGLRSTLEHIRLTGTFPI >itb11g12780.t1 pep chromosome:ASM357664v1:11:9750819:9753110:-1 gene:itb11g12780 transcript:itb11g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDLRSVSDWLHSISKLSLLRTLSLSSCLLPSPPSSLLHINSSSFLQHLSLQSGNITTFPLLNLWLNQSYFLQYLDLSGNSLVGDDKDIKFLRNLGNLKTLDLSYNSFSFNFSQLILGSEKLIEILKLHDNKIVGSLDDTRKFNSLRELNLENNQLSGSLPDMSKMLSLEIFAIGYNHFNGNLMGSNIGHLSNLKCLDVSSNSLEGAISEISFSNFSKLDVLILSENFLTVNLGTHWIPPFQLRGLGLRSCELGPKFPNWIHTQTKLEDLDISNNEISDLIPQWFTNLSNLDYLSASQNRIRGKLPNIPSTLTQVVDFSNNLLEGPIPKNYSGVSTLNLSKNKLSGTISVLCTNTSTWPNQLQQIDLSNNFFTEKIPECLAKVNYLYSLNLANNSFFGEIPLSIGRLNSMFSLHLRSNRFDGEFPMSLKNCTSLMVLDLGNNYFTGGIPAWIGESLKELKILCLDSNELKGSIPINICQLQSLQIIDLSSNHLSGSIPTCFNSLMTKYDEWMPSRGEFPGFSQQIYGIVDSIEEYLFDYEWLMWKGEEVEYGKNLKFMKLIDLSSNKLVGEIPVEITDLHILNSLNLSRNKLAGFIQNKIGQMSSLENLDLSNNQLSGAIPFSLASISFLARLDLSNNNLSGCIPLGPQLQGFTYEAYQGNPKLRGPPLPTKCHRSEPGNAPQQGRIDEIEDDEHWIIWDFDFFVSMALGFILGFWGVCGTLILKRSWRHAYFQFLENKKEKICTAILVYGAKLKRGMGAS >itb03g20480.t1 pep chromosome:ASM357664v1:3:18378565:18380040:-1 gene:itb03g20480 transcript:itb03g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELEHLIPIDFKNVVQVPDTHTWLINNSSSTDESVPVINHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSPVGYDIIPFSPIFNTLMWMEGLTVSGSSLELARRVWPEDYSPFCTVTEDYQEQMRGLAEKIIFLIFKSLGISREDVEWFEPKSMEAFLHFNSYPNCPDPTRALGMAPHRDTSLITLLYQSSTNRGLQVYGPNLKWVDVEPISNAFVVNVAEMLQMYSNDQFKSVVHRAIVSEAQHRISVVYFYGPNIDIKISSSLKLIKDGDFSIYRAVSLKEYRKIKDVHFDKALEVLRFNSFVVENANALTSGNEAPLDRVDGGKVEALEA >itb02g18200.t1 pep chromosome:ASM357664v1:2:14637226:14641237:1 gene:itb02g18200 transcript:itb02g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MCVLTIRRLFTMNAKSSSIRALYDLSKKTFTPSVTLQPSPQAIHKLSSLLDTIGPEDVGLKDESQEDERGHGLFGINVFNRVDRWAQPITYVDIHEGQSFTMCIFCFPTSAVIPLHDHPGMTVLSKVLYGSLHVKGYDWVEPPCIRKSEQTGQPAVRLAKLSVDRVVTAPCGPSVLYPKSGGNLHCFTAVTPCAVLDILAPPYDEAAGRRCTYYHDYPYSSFGAGNEDAIVNGKEDEYAWLTATDTPDNLYMRSGRYMGPAIQT >itb07g23580.t1 pep chromosome:ASM357664v1:7:27955538:27960084:1 gene:itb07g23580 transcript:itb07g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCWRQLRLTLLVWVLGLGFGFGSAFKVPFRVNDVLPVLPRQISWPVLNSFHSAADLMPIFVGSLAPNNGSIRWKGSCFFENEARVELNGSGDREIGGATIYLSTAAAHSWTCMDLYVFATPYRVTWDYYFQSREHTLDIQSWEETAEMEYVKQHGISVFLMPSGMLGTFLSLLDVLPLFSNTAWGQSANLAFLKNHMGATFEKRPQPWHATINPDDVHSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEFGKLWVAESGYENEKGEEVIGLTRWDEWWEFVLKDSSNPQIALLPLHPEVRARFNSTAAWEYVRGMLGKPYGYHNMIFSWIDTISDNYPPPVDAHLVISVMSMWTRMQPTYAANMWNEALNKRLGTEGLDLYEILAETERRGLTFDQLLTIPELDEWVYSDGKSTTCVAFILAMYKESGVFGSLAESIQVTEFTIRDAYMLKIYENNQTRLPSWCNSGNDKLPFCQILGEYRMELPEYNTLEPYANMNEKCPSLPPSYERPIQC >itb13g01850.t1 pep chromosome:ASM357664v1:13:1673592:1679340:-1 gene:itb13g01850 transcript:itb13g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGLVIGVVVGVALIIGFVKSENSRSKRRAELAATVAAFARMTVEDSRKIFTPDQYPSWVVFSKQQKLKWLNSHLVKIWPSVNEAASELIKMNVEPVLESYRPVVLASLKFSKFTLGTVAPQFTGISIVEDGSDGITMELEMQWDGNPNIVLDIKTYLGVALPVQVKNIAFTGVFRLIFRPLVEELPCFGAVCVSLRQKKKLDFTLKVVGGDLTAIPGIADAIEGTIRDAIEDSIMWPVRKVIPILPGDYSDLELKPVGKLDVKLVQAKGLTNKDLIGKSDPYAVLYVRPVRDRTKKSKTINNDLNPVWNEHFQFVVEDPLTQHLVVKIYDDEGLQASELIGLTHVRLNELEPGKVKDVWLPLVKDLEIQRDKKDRGKVNLELLYCPSGMGNGFTSPFAEKYSMTSLEKVLKSSNESKEAAENGGDTKKREVIVRGVLSVTVISADDLPAADLLGKADPFVVLTLRKAGTKNKTRVVMDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCIITLTRVILEGEYKVTHDLDGAKSGKLNLNLKWTPQPIYRDT >itb06g05830.t3 pep chromosome:ASM357664v1:6:8545316:8550209:1 gene:itb06g05830 transcript:itb06g05830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHNMLSGKLPDLSALLNLHLLDLRENCFDSELPPLPKGLATILLSNNTFSGEIPKQLGELHQLQHLDLSNNFLSGTPPDELFSLPNISYLNLASNLLRGSLSDRISCGDTLGFVDISSNRLVGKLPSCLDPTSEKRIVRSGGNCLSVSAQNQHPESYCVQEKHTNRKKVAVLVGVVGGIAIVVVLLALGFLLIFRQKQHHTQGTLDHHTQATFPKIVQDAPQTGISSELLANARIISQAAKLGSQGSPAYRVFSIEELQDATKYFDQSALLGDGSMGKIYKGRLENGTSVAIRLLALHKRHLIRNLKVRLDFLSKFSHPNLVSLLGHCIDGGGQDDLTVNRVFLVYEFVPNGSFRAHLSETSPGKVLKWSDRLAVLIGVAKAVHFLHTGVIPASFSNRLKTHNILLDEHQIAKLSDYGMSIFMEESDKVEGKGDVSNSWYMTKKEDDVYNFGFILLESLVGPIISGKGEAFLLNEMASFGSQDGRRRIVDPVVLTTSSQESLSVVISITNKCISPESSTRPSFEDVLWNLQYAAQIQATADSEQKSDTTSKS >itb06g05830.t1 pep chromosome:ASM357664v1:6:8545316:8550209:1 gene:itb06g05830 transcript:itb06g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKIPALLMVILWASFISSTHELQSYHRQVLLQLRKHLENPVLLDVWETYNGDLCDYPSSPHMSIACEGDSITELRIIGDKPVKVSEFNGFAVPNQTLSHHFSIDSFVVTLTRLKTLRVLNLVSLGIWGPLPDKIHRLASLEVMDLSWNFMFGSIPFNMSRLVKLHALTLDGNFFNDTVPDWFGSSFKNLTILSLKNNRLKGKFPSSLSKVAALTDVMFSHNMLSGKLPDLSALLNLHLLDLRENCFDSELPPLPKGLATILLSNNTFSGEIPKQLGELHQLQHLDLSNNFLSGTPPDELFSLPNISYLNLASNLLRGSLSDRISCGDTLGFVDISSNRLVGKLPSCLDPTSEKRIVRSGGNCLSVSAQNQHPESYCVQEKHTNRKKVAVLVGVVGGIAIVVVLLALGFLLIFRQKQHHTQGTLDHHTQATFPKIVQDAPQTGISSELLANARIISQAAKLGSQGSPAYRVFSIEELQDATKYFDQSALLGDGSMGKIYKGRLENGTSVAIRLLALHKRHLIRNLKVRLDFLSKFSHPNLVSLLGHCIDGGGQDDLTVNRVFLVYEFVPNGSFRAHLSETSPGKVLKWSDRLAVLIGVAKAVHFLHTGVIPASFSNRLKTHNILLDEHQIAKLSDYGMSIFMEESDKVEGKGDVSNSWYMTKKEDDVYNFGFILLESLVGPIISGKGEAFLLNEMASFGSQDGRRRIVDPVVLTTSSQESLSVVISITNKCISPESSTRPSFEDVLWNLQYAAQIQATADSEQKSDTTSKS >itb06g05830.t2 pep chromosome:ASM357664v1:6:8545740:8547329:1 gene:itb06g05830 transcript:itb06g05830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKIPALLMVILWASFISSTHELQSYHRQVLLQLRKHLENPVLLDVWETYNGDLCDYPSSPHMSIACEGDSITELRIIGDKPVKVSEFNGFAVPNQTLSHHFSIDSFVVTLTRLKTLRVLNLVSLGIWGPLPDKIHRLASLEVMDLSWNFMFGSIPFNMSRLVKLHALTLDGNFFNDTVPDWFGSSFKNLTILSLKNNRLKGKFPSSLSKVAALTDVMFSHNMLSGKLPDLSALLNLHLLDLRENCFDSELPPLPKGLATILLSNNTFSGEIPKQLGELHQLQHLDLSNNFLSGTPPDELFSLPNISYLNLASNLLRGSLSDRISCGDTLGFVDISSNRLVGKLPSCLDPTSEKRIVRSGGNCLSVSAQNQHPESYCVQEKHTNRKKVAVLVGVVGGIAIVVVLLALGFLLIFRQKQHHTQGTLDHHTQATFPKIVQDAPQTGISSELLANARKFLV >itb06g05830.t4 pep chromosome:ASM357664v1:6:8545316:8550209:1 gene:itb06g05830 transcript:itb06g05830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKIPALLMVILWASFISSTHELQSYHRQVLLQLRKHLENPVLLDVWETYNGDLCDYPSSPHMSIACEGDSITELRIIGDKPVKLGELHQLQHLDLSNNFLSGTPPDELFSLPNISYLNLASNLLRGSLSDRISCGDTLGFVDISSNRLVGKLPSCLDPTSEKRIVRSGGNCLSVSAQNQHPESYCVQEKHTNRKKVAVLVGVVGGIAIVVVLLALGFLLIFRQKQHHTQGTLDHHTQATFPKIVQDAPQTGISSELLANARIISQAAKLGSQGSPAYRVFSIEELQDATKYFDQSALLGDGSMGKIYKGRLENGTSVAIRLLALHKRHLIRNLKVRLDFLSKFSHPNLVSLLGHCIDGGGQDDLTVNRVFLVYEFVPNGSFRAHLSETSPGKVLKWSDRLAVLIGVAKAVHFLHTGVIPASFSNRLKTHNILLDEHQIAKLSDYGMSIFMEESDKVEGKGDVSNSWYMTKKEDDVYNFGFILLESLVGPIISGKGEAFLLNEMASFGSQDGRRRIVDPVVLTTSSQESLSVVISITNKCISPESSTRPSFEDVLWNLQYAAQIQATADSEQKSDTTSKS >itb09g08580.t1 pep chromosome:ASM357664v1:9:5102198:5114655:-1 gene:itb09g08580 transcript:itb09g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINQLVMVSVLLHYLCPGVVSDDSIPIPASKDEVNSWFTANVQPPSERKNLDPALAKAETNPKHIRVSKDGKGDFKTINEALDSVPNGNTQRVIISLGPGNYTERLKIVREKPFITLHGDDKDRPSIIYGSTAAQDGTVYSATVIVEADYFMAISVNIINSAPRPDGVRKGAQAVALTQSGDKAAFYSVRLHGFQDTFCDDKGRHFFKDSYIEGTVDFIFGNGKTLYVDTELHVIEGDNMAVITAQARHTKAEETGYSFVHCKVTGVGEGALLGRGWMAFSRVIFAYTVISDAIKPEGWHGVPGKTHYGGNTYFGEYMNSGPGAKMEGRPNFVKKMTQEEVNPYVSLGFIEVVFYPVNVTSDDTVPIPQQKSQVESWFKANVQPLASRKDLDPALTKAEAAAVHIGVGKGGKFKTIQEAVNSIPKKNTKRYIINIAGGTYKERVKVDYDKPFVTFYGDPKSRPLVDAAGTAAQFGTIESATVQVLSDYFMAVNIDFKNSAPRPSGKPGQQAVALTIAGDKAAFYNCKFYGFQDTLCDNINKHFYKDCYVEGTVDFFFGSAKTIILSTEMHVIPGDTMDYVTAHARKSEKEDTGYSFVQCRVTGDAKSRVAYLGRIWYPFAKTVFSYSDISAAIRPEGWLGIHNSRTDGAVTSDDTVPIPQQKSEVEGWFKANVHPLPVRKGLDPALAKAEAAPVHIAVGKGGKFKTIQEAVDSIPPKNTKRTIINIAGGTYKERVRLDVGKHFVTFYGDPKNRPLIDAAATAAEVGTVYSATLYVLSDYFMAVNINVKNSAPRPTGKPNQQAVALTITGDRAAFYNCKFYGFQDTVCDNINKHFYKDCYIEGTVDFFFGDAKTLIVNTELHVISGDNMAMVTAHGRKSANEDTGLSFVQCRVTGDAKSKIAVLGRAWHPFAKTVFAYSDLCGVIRPEGWWAGMSNNVTNPNTFFGEYKNTGPGSSMAKRAKFVKRLTDAEAKHYLTLGFIQASKWLLPPITKAVQDNSTQIRSKVKLKQNILRIQP >itb01g36080.t1 pep chromosome:ASM357664v1:1:37967881:37972978:-1 gene:itb01g36080 transcript:itb01g36080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MVSRSCIGISTMKPCSRILGSYNHSIFWYPFGRCNLQVMDNLSKSQQKIKDVHRMGGGRYRHRHRVVTLTRVINSNRCAFCGSDSNGDQHRDSFCNWLGRSKNRLAFVVPKVASDMKSHSTSTEAQVNDKSFKKFYIQGGMNVKPLVIERTEAQEDVVETYEQKDGGRVEITDDSTVNKNDLNGSSISKLTPEREVSEVEKEAWKLLQGAVVNYCGYPVGTVAANDPADKLPLNYDQVFIRDFIPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTMPLDGRNGEFEDVLDPDFGESAIGRVAPVDSGLWWIILLRAYVKITGDYTLQERVDVQTGIRLILNLCLRDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCTREMLIVNDSTKSLVAAINNRLSSLSFHIREYYWVDMKKINEIYRYKTEEYSMDAINKFNIYPDQIPPWIVNWIPETGGYLVGNLQPAHMDFRFFTLGNLWAIISALGTHEQNESILNFIEGKWDDLIAQMPLKICYPALEGEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAMKAVAVAEERLPVDQWPEYYDTRYGRFVGKQARLNQTWTVAGYLTSKMLLKNPDMASLLVWNEDYELLENCVCGLTFNGRRKCSRFGLRSQIGV >itb01g36080.t2 pep chromosome:ASM357664v1:1:37969782:37972635:-1 gene:itb01g36080 transcript:itb01g36080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MVSRSCIGISTMKPCSRILGSYNHSIFWYPFGRCNLQVMDNLSKSQQKIKDVHRMGGGRYRHRHRVVTLTRVINSNRCAFCGSDSNGDQHRDSFCNWLGRSKNRLAFVVPKVASDMKSHSTSTEAQVNDKSFKKFYIQGGMNVKPLVIERTEAQEDVVETYEQKDGGRVEITDDSTVNKNDLNGSSISKLTPEREVSEVEKEAWKLLQGAVVNYCGYPVGTVAANDPADKLPLNYDQVFIRDFIPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTMPLDGRNGEFEDVLDPDFGESAIGRVAPVDSGLWWIILLRAYVKITGDYTLQERVDVQTGIRLILNLCLRDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCTREMLIVNDSTKSLVAAINNRLSSLSFHIREYYWVDMKKINEIYRYKTEEYSMDAINKFNIYPDQIPPWIVNWIPETGGYLVGNLQPAHMDFRFFTLGNLWAIISALGTHEQNESILNFIEGKWDDLIAQMPLKICYPALEGEDWRIITGSDPKNT >itb04g31550.t1 pep chromosome:ASM357664v1:4:34379854:34381844:-1 gene:itb04g31550 transcript:itb04g31550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRPGEPNSLEASLTQMINVHHYRSLKLRAFTEESKKGAVHSANRVSNLLVDAVNGSVQEAYVIEKKIELEIRALAAAIMRFGKQTDQWLASSHAINTAIKEIGDFENWMKTMEFDCRSISAAIRNIHQS >itb07g09280.t1 pep chromosome:ASM357664v1:7:8332013:8332276:-1 gene:itb07g09280 transcript:itb07g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEFRDTTLNGVVEQMYTEMASRHRVRYHCIQIIKTTTIPAKLCKRESTKQFHNSKIKFPLVFMKIRPPIRKLKTTYKASRPNLFV >itb02g19690.t2 pep chromosome:ASM357664v1:2:16986666:16989799:1 gene:itb02g19690 transcript:itb02g19690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSINPPPKMPTCLTCKIPLHHKKDEDGNIIRRFLRKVGDEDIFTHIFKCGRCGAECPPNMTWEEKMWEAEMERRRWEEAKRVLQNIDPKVEMICPHCGHNKASYKTLTNNRGEDWYVYKCCKCSETWKD >itb02g19690.t1 pep chromosome:ASM357664v1:2:16986666:16989871:1 gene:itb02g19690 transcript:itb02g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSINPPPKMPTCLTCKIPLHHKKDEDGNIIRRFLRKVGDEDIFTHIFKCGRCGAECPPNMTWEEKMWEAEMERRRWEEAKRVLQNIDPKVEMICPHCGHNKASYKTLTNNRGEDWYVYKCCKCSETWKD >itb09g23560.t1 pep chromosome:ASM357664v1:9:22915658:22917404:1 gene:itb09g23560 transcript:itb09g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAQRMTNNFCAGVCASSVHQWNKLCAGNVDEDVRVMTRKSVDDPGEPSGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMHEMAHIAKGQDHGNCVSLLRASAMNSNQSSMLILQETCINAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPASATNGPCNGGPYQRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >itb05g19040.t1 pep chromosome:ASM357664v1:5:25661838:25662230:-1 gene:itb05g19040 transcript:itb05g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFHCSKAVPTFITQNVEDCLVKAFEPYGISDWNSIFWVLHPGGNAIVDGVEETLGLAPEKLRASRDVLSEYGNLTSACVLFILDEVRKKSKKDEQMTTGEGLEWGVVLGFGPGLTIDTIVIRSVPIN >itb09g02600.t1 pep chromosome:ASM357664v1:9:1481456:1482084:-1 gene:itb09g02600 transcript:itb09g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYRCRYILLFFSVELEVFCIRNFSVFSRLHFLFGKNFERASRVVDQRGVKRICGQPSGRSVFQVVGESKKKEEYLCFPEHYCACYSFFYDVVNRGEQLCCKHQLAARLAKSLGACIEVMVSDEELAVMLSQL >itb02g10380.t1 pep chromosome:ASM357664v1:2:6703403:6705964:1 gene:itb02g10380 transcript:itb02g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYSRADDDYDYLCKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVARWLKELRDHTDQNIVIMLVGNKADLRHLRAVSTEDATAFAERESTFFMETSALESLNVENAFTEVLTQIYHVISRKALEVGDDPAALPKGQTISVGGKDDVSAVKKVNCCSV >itb14g01670.t1 pep chromosome:ASM357664v1:14:1352218:1353478:1 gene:itb14g01670 transcript:itb14g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCTLRPCLHWIKSPESQANATVFLAKFYGRAGLINLINAGPDHLRPAIFRSLLYEACGRIINPIYGSVGLMWAGNWDRCQEAVEAVLRGSEIMQVNIEDGGGGSGAESHPIMPFKGCDIRHLSKESSGGVHRIRSRNRFKRTGTAHDKAVDHLQSLAEFMEEDEEAPAKFSITGWAGGGGCETAESVGLERAPSHGSSSLETVEPALVNRDNPVSASMRTADRGSDDELLLDLTLGFNSVERPHVSTVDKHSVGDV >itb04g32630.t3 pep chromosome:ASM357664v1:4:35115943:35125497:1 gene:itb04g32630 transcript:itb04g32630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNQSNFPLRPASTPFSAPRGAMPFQSSAFQPPPPASSQPIPPSYSSGPVVGSDASGFRPMAPGISPPPMSASYGPSATGSFQRFPSPQFSSIAQVPPPHSSFAGPPSFPPSVRPVSAPISSPPVPMVSAPQSMGTLQPSATVPQSPIDLRFAAPITTLQQSSPQTVSSYPLARPALQPGFPGYPSQQPSAITQPPTAQSTVFSSQQGGYISPSPFLSQQGGYVPPSKMPHPGSAPPIPQMHGLAEDFKSLSIGSVPGSYDTGIDSKALPRPLEGNVQQSPLSEMFPMNCSSRYLRLTTSGIPNSQSLSSRWHLSLGAVVCPLAEAPDGEEVPVVNFATTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICQLLNDVPGDYFAHLDASGRRVDFDQRPELTKGSVEFIAPAEYMVRPPMPPLYFFLIDVSISAVKSGMLEVVAKTIKSCLDSLPGFPRTQIGFITFDTTIHFYNMKSSLTQPQMMVVSDLEDIFAPLPDDLLVNLSESRAVIDAFLDSLPSMFQETVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGIGRLKLRGDDPRVYGTDKEHMLRIPEDPFYKQMAADFTKYQIAVNVYTFSDKYTDVATLGTLAKYTGGQVYHYPSFHASVHKDKLTHELARDLTRETAWEAVMRIRCGKGVRFTTYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTSQTVYFQVALLYTSSSGERRIRVHTAAAPVVAELGEMFRLADTGAIISLFSRLATEKTLSSKLEEARSSIQLRIVKALREYRNLYAVQHRLAGRMIYPESLKLLPLYALALSKSTPLRGGYSDVQLDERCAAGFTMMALPVAKLLKLLYPDLTRIDEYLLNTSPSADESGNMLRRLPLTIGSLEDRGLYIYDDGFRFVIWFGRMLSPDIIKTLMGDDFTADFSKVCIREQDNEMSRKLMGLLRKYHQSDQSYYQLCHLVRQGEQPREGFFLLSHLVEDQTGGSNGYMDWIVQLHRQVQQSA >itb04g32630.t1 pep chromosome:ASM357664v1:4:35115943:35125497:1 gene:itb04g32630 transcript:itb04g32630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNQSNFPLRPASTPFSAPRGAMPFQSSAFQPPPPASSQPIPPSYSSGPVVGSDASGFRPMAPGISPPPMSASYGPSATGSFQRFPSPQFSSIAQVPPPHSSFAGPPSFPPSVRPVSAPISSPPVPMVSAPQSMGTLQPSATVPQSPIDLRFAAPITTLQQSSPQTVSSYPLARPALQPGFPGYPSQQPSAITQPPTAQSTVFSSQQGGYISPSPFLSQQGGYVPPSKMPHPGSAPPIPQMHGLAEDFKSLSIGSVPGSYDTGIDSKALPRPLEGNVQQSPLSEMFPMNCSSRYLRLTTSGIPNSQSLSSRWHLSLGAVVCPLAEAPDGEEVPVVNFATTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICQLLNDVPGDYFAHLDASGRRVDFDQRPELTKGSVEFIAPAEYMVRPPMPPLYFFLIDVSISAVKSGMLEVVAKTIKSCLDSLPGFPRTQIGFITFDTTIHFYNMKSSLTQPQMMVVSDLEDIFAPLPDDLLVNLSESRAVIDAFLDSLPSMFQETVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGIGRLKLRGDDPRVYGTDKEHMLRIPEDPFYKQMAADFTKYQIAVNVYTFSDKYTDVATLGTLAKYTGGQVYHYPSFHASVHKDKLTHELARDLTRETAWEAVMRIRCGKGVRFTTYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTSQTVYFQVALLYTSSSGERRIRVHTAAAPVVAELGEMFRLADTGAIISLFSRLATEKTLSSKLEEARSSIQLRIVKALREYRNLYAVQHRLAGRMIYPESLKLLPLYALALSKSTPLRGGYSDVQLDERCAAGFTMMALPVAKLLKLLYPDLTRIDEYLLNTSPSADESGNMLRRLPLTIGSLEDRGLYIYDDGFRFVIWFGRMLSPDIIKTLMGDDFTADFSKVCIREQDNEMSRKLMGLLRKYHQSDQSYYQLCHLVRQGEQPREGFFLLSHLVEDQTGGSNGYMDWIVQLHRQVQQSA >itb04g32630.t2 pep chromosome:ASM357664v1:4:35115943:35125497:1 gene:itb04g32630 transcript:itb04g32630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPNQSNFPLRPASTPFSAPRGAMPFQSSAFQPPPPASSQPIPPSYSSGPVVGSDASGFRPMAPGISPPPMSASYGPSATGSFQRFPSPQFSSIAQVPPPHSSFAGPPSFPPSVRPVSAPISSPPVPMVSAPQSMGTLQPSATVPQSPIDLRFAAPITTLQQSSPQTVSSYPLARPALQPGFPGYPSQQPSAITQPPTAQSTVFSSQQGGYISPSPFLSQQGGYVPPSKMPHPGSAPPIPQMHGLAEDFKSLSIGSVPGSYDTGIDSKALPRPLEGNVQQSPLSEMFPMNCSSRYLRLTTSGIPNSQSLSSRWHLSLGAVVCPLAEAPDGEEVPVVNFATTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICQLLNDVPGDYFAHLDASGRRVDFDQRPELTKGSVEFIAPAEYMVRPPMPPLYFFLIDVSISAVKSGMLEVVAKTIKSCLDSLPGFPRTQIGFITFDTTIHFYNMKSSLTQPQMMVVSDLEDIFAPLPDDLLVNLSESRAVIDAFLDSLPSMFQETVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGIGRLKLRGDDPRVYGTDKEHMLRIPEDPFYKQMAADFTKYQIAVNVYTFSDKYTDVATLGTLAKYTGGQVYHYPSFHASVHKDKLTHELARDLTRETAWEAVMRIRCGKGVRFTTYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTSQTVYFQVALLRIRVHTAAAPVVAELGEMFRLADTGAIISLFSRLATEKTLSSKLEEARSSIQLRIVKALREYRNLYAVQHRLAGRMIYPESLKLLPLYALALSKSTPLRGGYSDVQLDERCAAGFTMMALPVAKLLKLLYPDLTRIDEYLLNTSPSADESGNMLRRLPLTIGSLEDRGLYIYDDGFRFVIWFGRMLSPDIIKTLMGDDFTADFSKVCIREQDNEMSRKLMGLLRKYHQSDQSYYQLCHLVRQGEQPREGFFLLSHLVEDQTGGSNGYMDWIVQLHRQVQQSA >itb15g22610.t1 pep chromosome:ASM357664v1:15:25279457:25280073:1 gene:itb15g22610 transcript:itb15g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKMTRIWSRRKVARRVGLTASPEQNYKEEKEIEIFVETSKTIIVVATLILTMTFAAGFTVPGGYDSNLGKTQGMPILLLKNKAFLAFIIPDIYAFMCSICAIIIHTVMVEEASSSKRYTTILWLYRIQRGFLIHACLGAVIAFLCGMYVTLTLLHPIAIAILVLGFAIFLPIIRAINRLKGETGLLG >itb13g25230.t1 pep chromosome:ASM357664v1:13:30658558:30661703:-1 gene:itb13g25230 transcript:itb13g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69200) UniProtKB/Swiss-Prot;Acc:F4I0K2] MASLSINLFPSLPRLNINRSFYPYMNSVQLHNLGSKIKWVVTAVSEERVTEAIVKGAGDEEADTGKRIRRTSKRTSSRTRKKSVVWTSDDESLPAENGNVTDKEGRIASGPTEDSKKTRKQTRKKVGVLDDSDDDSLSAVNGNVEDEDIIAISGSSDDSKKSRRSTQKKATASSSLENVSTKKKVTKRRGSKKVLDDLEDQDSQIESSSREMDDNNEDELELDKDGGEDISFTYGWPPLVCCFGAAQHAFVPSARQANRLVDHEAHERMKDAIWAPEKFMRAPGGSSSNVAVALACLGGKVAFMGKLGDDDFGQSLLYYLNINKVQTRSVCMDNKKPTAISKMKMSKRGALKMTSLKPCAEDSLSKSEINIDVLKEAKLFYFNTFSLLDPNMRSTTFRAIEIAKKLGGVIFYDLNLPLPLWQSGDDTKAFVQQAWDLADIIEVTKQELEFLCGINPVERFDTRDNNRDKFTHYTPEVIAPLWHENLKVLFVTNGTSKIHYYTKEHNGAVLGMEDAPLTPYTSDMSATGDGIIAGILRMLTVQPHLVTDKGYLEQTIKYAISCGVIDQWLLAQRYGYPPKEGMEDDVVPDPHGIRSVTEKEYRTVVPVS >itb11g19830.t1 pep chromosome:ASM357664v1:11:20659742:20660689:1 gene:itb11g19830 transcript:itb11g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFLYLSLSLLSTMLCSAQTPPAVLDTNGNAVQGGVKYVIVPVQPSQGGGLDLASTGNQNCPKSVVQVGPNVVGNTVTFSPVSPKDVVRNGTDLNVQFSGSNTGCPESTVWQITHDPEDTDTTHYVVSGGVKGNPSSSTARNWFMILKTKNGYKFKFCPVSLCDCNPVCQDIGISVESGHRLLKVDLSLPPLEVNFKKA >itb01g15620.t1 pep chromosome:ASM357664v1:1:18650489:18652342:-1 gene:itb01g15620 transcript:itb01g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGFPVLSPLSMAFPYPHFLESGSFPGPISRAEGFSMPQPLECLQETPIPPFLSKTFDLVEEPSLDPIISWGPKGESFVVWDPVDFARVILPRNFKHNNFSSFVRQLNTYLGSFIYLLQGFRKIDADRWEFANEGFLRGKKHLLKNIQRRKSPGGCSSQQHTGGVEGEVEKLRKEKRVMMQEVIELQQQHCGTVQHMEAVNEKLQAAEQRQKQMVSFLAKVFQNPKVLARLQERRTIAASPERTMKKFVKHQPPEPSMEEGQIVKYKPQLVEEMPFSDELGITQQHLAEEEEGVFGLDPIFKGKNVATSPPPETMPPEYLVSFPCESGKEKNIPELVPAELESILVKEEEIWNMGFEDSAGMPSSSHEFWGNLGSSYTDIAISDMWDIEPLHTATSSGIIEQWLGDDSPLAQPQPRDDNSKKSDP >itb12g18030.t2 pep chromosome:ASM357664v1:12:20282372:20285013:-1 gene:itb12g18030 transcript:itb12g18030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGSTCSSGLVFKNKESSRNGASILQYNGLKPVQTMQLRLGSAASKAKAPRSCRRIRAMASTNVSAPKRETDPKKRIVITGMGLVSVFGSEVDKFYECLLEGQSGISLIDRFDASSYSVRFGGQIRDFSTEGYIDGKNDRRLDDCWRYCLVAGKRALNDANLTQEVLDTMDKSKIGVLVGSGMGGLTVFSNGVEALVQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEANIMVVGGTEAAIIPAGVGGFIACRALSQRNDEPEKASRPWDKNRDGFVIGEGSGVLVMESLEHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCITKSLDDAGVSPEEVNYINAHATSTLAGDLAEVNAVKKVFKDTSEIKMNGTKSMIGHGLGAAGGLEAIATIKAITTGWIHPTINQYVLYIDYQTPRSIYRY >itb12g18030.t1 pep chromosome:ASM357664v1:12:20281802:20285013:-1 gene:itb12g18030 transcript:itb12g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGSTCSSGLVFKNKESSRNGASILQYNGLKPVQTMQLRLGSAASKAKAPRSCRRIRAMASTNVSAPKRETDPKKRIVITGMGLVSVFGSEVDKFYECLLEGQSGISLIDRFDASSYSVRFGGQIRDFSTEGYIDGKNDRRLDDCWRYCLVAGKRALNDANLTQEVLDTMDKSKIGVLVGSGMGGLTVFSNGVEALVQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEANIMVVGGTEAAIIPAGVGGFIACRALSQRNDEPEKASRPWDKNRDGFVIGEGSGVLVMESLEHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCITKSLDDAGVSPEEVNYINAHATSTLAGDLAEVNAVKKVFKDTSEIKMNGTKSMIGHGLGAAGGLEAIATIKAITTGWIHPTINQYDLEPEVTIDTVPNVKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >itb01g25190.t1 pep chromosome:ASM357664v1:1:30676686:30677404:1 gene:itb01g25190 transcript:itb01g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKTQLKRHREETQEEENAKRCKSSLNRIISILEADEEADSKLTQDLSPIFTSLQQELSSGPHDAGPGPGQQLGGPVGPTKEDADADGVSVIRHLLEASDDELGIPSGGMDGGDNSDEKEMDGGDLTFSLCDDGLWEFEDEAADYYSSLQSELFM >itb03g14220.t1 pep chromosome:ASM357664v1:3:14041426:14042679:1 gene:itb03g14220 transcript:itb03g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIKITKSAKQTASAERVSSLDDLLLEILLRLPIRSLIRFKCVSKRWLSLITNPRFSILRNPNPNPAVGLFLRCQVFGTKPDYDYIHLGTHSHTNPPFKKLRFTYEPSGIYILQSCNGLLLCRSFLASQSKRKYYVYNPTTKHFSTLPKTCLVTKTSNAVHEMSPAFNPRQSALYKVVCVSKIIRGMSLAFDPASSPHYKVVCIRDSESARDHYEIEVFPSETGHWRAVGDPFLSNVCFRNSVYWNGTIHWISTWEFTILSFNVYQETRGTMPAPAPPPREGGRVGYFGESCGHLHLVAVYEPPGDRFDVHEMKSDCSEWFVKYRVDAKQVFAALPEMRSDWDDFYAFSIFCLVRGKTGQDNDSFLVLQTPGKAIRFNLVDGSFDKICDLEDSQEHGSLRNLEHAFQYVESLCCV >itb07g00940.t1 pep chromosome:ASM357664v1:7:584530:597250:1 gene:itb07g00940 transcript:itb07g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 3 [Source:Projected from Arabidopsis thaliana (AT2G27170) UniProtKB/Swiss-Prot;Acc:Q56YN8] MYIKQIIIEGFKSYREQVATEPFSSKVNCVVGANGSGKSNFFHAIRFVISDLFHNLRSEERHALLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTVGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLRELDEEKEDLKKYQQLDKQRKSLEYSIFDKELNDARQKLAKVDEDRNKISETSTKAYEQVLAAHDRSKEFEKLSKDLTKEIQILSREKEAIEKQRTEAIKKHTKLELDEKDLREKINANSKAKEEAMKQLHLLEREIQESTDELNNIKPSYEKKVQAEDEITKSIMEREKQLSILYQKQGRATQFANKAARDKWLRKEIDEYERILSSNQMQESKLRDEINQLKKDLEIQDDIIRHRKNEAAERENLISGYRRGYNDYKRQRDEMHDERKSLWARESELISEIAQLRQEVSKAERSLDHATPGDIRRGLTSVRRICREHEIPGVFGPIFELLECEDRFFTAVEVTAGNSLYHVVVENDDISTQIIRHLNAQRGGRVTFIPLNRVKAPQISYPQDNDVIPLLKKLKFSNRFSKAFEQVFARTVICRDLDVATRVARTDGLDCITLEGDQVSKKGSMTGGFYDYRRSKLRFMSTVRLNTISISEKENELEQIDQKINELVAEQQKNDAKLAHDKSELEQFRQDIANAERQKLSISKALEKKEKLLANVLTQIDQVRSSIAMKHEEMGTELVDHLTPEERVSLSRLNPEITDLKDKLIACKADRIETETRKAELEMNLSTNLVRRKQELEAIKVSVDTDVLSSEAELKGQEVVEAHILVENLTQQLTRVSESINDRSKKLKQIKEEKDNLKAMEDNYQGTLQEEKKELEQLLNKRNTYLAKQDEYSKKIRELGPLSSDAFETYKQKNVKELYKLLHKCSEQLQQFSHVNKKALDQYINFTEQREELQRRQAELDAGDEKIKELISVLDMRKDESIERTFKGVAKHFREVFSELVQGGHGFLVMMKKKDGEEDDDDDDDVPRAAEVEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMVRRLADVTSTQFITTTFRQELVKVADKIYGVTHKNRVSRVNIVTKEEALDFIEHDQSHNAE >itb06g04790.t1 pep chromosome:ASM357664v1:6:7591767:7594877:1 gene:itb06g04790 transcript:itb06g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGLNTKANRCPSMVSEESYPNLQLNDLFEANDNNVSEASDSEENNGFFVQSDDLMLEDKAVGENDKVNPSMFHDKQQQDYFDSCSNQMTNKYFYYDRPLSEETGAWIPISLPPMTKMDREEWNEGLYADGGYFPDDDIDMGWDQYILQTKEMTMWDVFRDMLVVAREKVSACTSIDFHRYTGRWISNRVLEQAWEEMAQTLKDANFGNIKSILEAEPPRWLPDSAAAACMLCNSRFHPIMRSRHHCRFCGGIFCNGCSKGRMLLPASFQTSNLQRVCDVCSVRLEPVQSYLIDQISRAVQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKATNTLRACSKAGYLTPDKSIPDAILKQAKGLAILTVAKVGAMVTYNIGTGLVVARRDDRSWSPPSAISSLGVGWGAQIGGEVTDFIIVLRTSSAVQTFSGNAHLSVGAGLSAAVGIVGRTAEADLRAGDGGYAACYTYSCSKGAFVGCALEGSVVTTRTRENSRFYGNPSITTSNILLGSMPRPPAAAILYHALSKLYQKIGN >itb13g26190.t1 pep chromosome:ASM357664v1:13:31545191:31549700:1 gene:itb13g26190 transcript:itb13g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSVLTSNSPQLHEVTRRSANYHPSVWGDHFLAYASHEKKAEAQEWQEHQQLKEKVKNMIVKAPCISSQKLELINKIQRLGVSYQFEKEIEATLQLIFKNYYEFNVEKDENELYVVSLHFRLLRQHGYHVPCSVFEKFTEYDGKFKESLTNNVEAILSLYEASHLRVKGEKILDEALIFTTSRLKSMLPNLTDPLRSQVNEALKRPIYKRLTRIEARRYISIYEANETHDIVLLKFAKLDFNMLQKEHQQELGNLTRWWKTLDVPKNLPFARDRLVECYFWMLGVYFEPQYSLARMFLLKVISITSLMDDIYDVYGTLDELHLFTEAIQRWDAVLVNELPEYMRVCYVALLDVYAEMEKELAVKGESYRINYAKNEMKKLVGAYYEEAKWFHNRCTPKFEEYMKVSLVTGAYMMLSTTSIVGMQGDIVTKEALDWVSKEPLIVQAACIICRLMDDMAGHEFEQQRGHVDSAVECYMKQYGKSKEETFNEFQERVSNAWKDINQECLNPTAFPMPILIRVVNLARVMDLLYKDGDTYTHSSTETKDIITSVLIDPII >itb03g27980.t1 pep chromosome:ASM357664v1:3:28607016:28614970:-1 gene:itb03g27980 transcript:itb03g27980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAILGLSTSYFGRIYVPTLPYLLPDLSVFHKKKRAKRRVRVYMDGCFDMMHYGHANALRQAKALGDELIVGVVSDEEIIVNKGPPVLPMEERLTLVSGLKWVDEVIANAPYEITEEFMHRLFNEHKIDYIIHGDDPCLLPDGSDAYALAKKAGRYKQIKRTEGVSSTDIVGRVLSSMKNMKSQQDCFGSSQSGEVDNVENLNPVKDCAKGGQISHFLPTSRRIVQFSNGKGPGPNERVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIYDDNTVGGVRGTQYPLMNLHERSLSVLASRYVDEVIIGAPWEITKDMITTFNISLVVHGTVSESNSLSKDTCDPYAVPKSMGIFRIIQSPKDITTTSVGQRIIANHEIYVKRNAKKEASEKKYYAEKKYVSGD >itb15g06070.t1 pep chromosome:ASM357664v1:15:3982315:3985882:1 gene:itb15g06070 transcript:itb15g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRDSMIGGGKSFPVAAQLRRGLSVNGASNKDLSDDSLDLFSRTRRSVSVVNSDESDVSVKPGRLSVGSVKLGRNGLDDLLSSTEGGKHDYDWLLTPPETPLVPSLIGNEPQRVQVAPRSSSLARSVSTTKASRLSVSHSESNHNARPARSSSVTRSSVSSTQFSTYSNKSTNILNTSSASVSSYIRSSTPSSRSSSSARNSTPTSRPTLSRPSTPSKASPAPNTTSRPSQNSRPSTPSSRPQIPANLSSPSPRSTSRASTPTRRNTAPSISQSPVISASSRRAVTSGRTVASISRPSSPDPPVRRTPQPIVPPDFPLETPSNLRTTLPNRPLSAGRSRPGAAVSVKANMDTPIAGTLPRRQPSPVSRGRLTESSASGRGLSNGHVADTLDSRRASFPLELTTRKSIKTPTENMGFGRNISKKSLDMAIKHMDIRNGSNGARPLSGSIRFPHSIRSNGAKSHSHSASSPASLNGNMNFSNNGPTSENGSYISRSSENGSEDDRSRFSARLTDTDIYESCRYDMILLKEDVKNTNWLHSIDDKLDGTIFENGFEPLPEPFDHL >itb04g30730.t1 pep chromosome:ASM357664v1:4:33777109:33781499:1 gene:itb04g30730 transcript:itb04g30730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNEFQAKMNQIKADDEMPLWGLQVFGYQKTLPKLDFPELKRCRFLQSFLKNELNSLVELKTDEGQSFLQGLLKDGWLLKLISSHGQLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILLSKTEIDLQHFEIEWLPDYSELIRALDVYGFLLDAPSKSSSSMELDHLDSDSSGPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLSLILDECLHSLISFFSDSEFDVSCVKIAKSLACRLATDISCLRAVDSISVLDARSKRLKSAVAFEFLVACFDSKVSDAEGILKSIIAINLRDESFDLQKMYIYLILVENWLFCDPMLKDNPELIEMWAVCLRNCTCQISSTDLRSYALKVRNKASYLSQGNAKKW >itb04g30730.t2 pep chromosome:ASM357664v1:4:33777109:33781499:1 gene:itb04g30730 transcript:itb04g30730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNEFQAKMNQIKADDEMPLWGLQVFGYQKTLPKLDFPELKRCRFLQSFLKNELNSLVELKTDEGQSFLQGLLKDGWLLKLISSHGQLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILLSKTEIDLQHFEIEWLPDYSELIRALDVYGFLLDAPSKSSSSMELDHLDSDSSGPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLSLILDECLHSLISFFSDSEFDVSCVKIAKSLACRFAFCVVLSFLLHTFYLIKEDSFSSFWPFPFLSFFHYIFA >itb04g30730.t3 pep chromosome:ASM357664v1:4:33777109:33780871:1 gene:itb04g30730 transcript:itb04g30730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNEFQAKMNQIKADDEMPLWGLQVFGYQKTLPKLDFPELKRCRFLQSFLKNELNSLVELKTDEGQSFLQGLLKDGWLLKLISSHGQLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILLSKTEIDLQHFEIEWLPDYSELIRALDVYGFLLDAPSKSSSSMELDHLDSDSSGPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLSLILDECLHSLISFFSDSEFDVSCVKIAKSLACRLATDISCLRAVDSISVLDARSI >itb01g12650.t1 pep chromosome:ASM357664v1:1:12269089:12271638:1 gene:itb01g12650 transcript:itb01g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MRGRCCQISCNLVKLYSYIQTSRCFSIVPSSPLLSPESLHVSPRNRRTQSHQLSQLLLSPPQGADPRLYNKIVHGKVILLGFKLSIFLNNVLINAYSKSGCLDYARLVFDSMPQRNLITWASVISIYFHQGFYEEALLMFSEFCRCSDEGPNEFVLASVIHCCARLGSAGRGAELHGSVLKNGFYHHVNVGTALVDFYSKCSDIGAARLVFDDLPAKNAATWTTIITASARSGKSEVSLQLFFQMREIGIVPDKYAITCALTACSSLGYFEPGKQIHAYVLRWGEGMDASVSNALIDFYMKSGEVKSGWTVFDQLDTKNVISWTTIISGYMQNSFDWQAIEQFGNMNRLGWKPDCFTCCSVLISCGSCEALDQGRQVHAYTLKANLNTDDYVLSSLIGMYSKCYSLVDAQKAFDSMERSDVIAYNAMIEGYYRRDMLYEALDLFAEMRNNSIHPSLLSFVTLLGVSASLLSLVLSRQLHGLITKFGFSGELFAGSSIINAYSQCSSSVDDGRRVFEEMDGEDIVVWNTMLFGYIQQSRNAEALRLFLELQYSSRIKPNAVTFIALLTGSGNLASLPHGLQFHNQIIKAGLDSDPFLGNALVDMYANCGSSDDALNAFDSITQKDVACWNSMISTYAQQGEARDALCMFDRMINEGIRPNGVSFIGVLSACSHAGLVEEGFFYFNSMSRFGIEPEKEHYGCMVSLLGRAGKLGEAVDLIGKMGTQPESTVWGSLVSACRDGENIELGKYAAEMAICLDPKDSGSYILLSNLFASKCMWTDAKRVRERMEKNGVVKETGYSWIEIKNDVHFFISRDGSHPQTHAMYTLIGFLILQMKGLAYVPDVATLTDE >itb08g06910.t1 pep chromosome:ASM357664v1:8:5902277:5917665:1 gene:itb08g06910 transcript:itb08g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQPRGGLFSYSFSSCSCKLNNLLVIMASSKHLLHTSLKSSFIVAPKPVLNSIAPSAPSRLIFSAFASKPVSPARQASRLYYGAHPVPPPVTLEDGPEGKSSRLNLLNQKLKEIGIDSVSCAPGQYSGLHCPMCKGGDNNERSLSLYIDPKGDAALWTCFRGKCGWRGSTKALGDTKSTDGDMKKSLKSNKKYRQLDEQSLRLEPLSSELLAYFSQRMISGETLRRNGVMQHKAYNQIVIAFPYRRNGEIVSCKYRDINKKFWQESDTEKILYGLDDITGASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPASVSKKDLPPEDQDTKYQYLWNCKEFFEKASRIILATDGDPPGQALAEELARRLGRERCWRVKWPKKINGDCKDANEVLMYLGPDELRGVIENAELYPIKGLFNFRDYFDEIDSYYYQTVAFDLGVSTGWRGLNELYNVVPGELTVVTGVPNSGKSEWIDALLCNLNRSVGWKFVLCSMENKVREHARKLLEKHIRKPFFNVRYGNEAERMSVEEFERGKEWLSDTFSLIRCENDSLPNIDWVLKLAKAAVLRHGVNGLVIDPYNELDHQRAPSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPLDLVQVCVRKVRNKVIGTIGDAFLSYSRLTGEFMDIDESPKQKKKS >itb08g09970.t1 pep chromosome:ASM357664v1:8:9382364:9384074:1 gene:itb08g09970 transcript:itb08g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPKGISCENLPNFVSTFVDTFVDFSVSGGLFLPPLPPQSDPPSNPKPNHDAKPNLDSNPLPRLQTVFPAPARLIAVGDLHGDLQKTKQAFRLSGLIDGEDRWCGGSTTVVQVGDVLDRGGEELKILYFLEKLKREAAKDGGIVITMNGNHEIMNVDGDFRYVTKAGLKEFEDWALWHSVGQVLKKRCDGIEDKETLKDPFDGVPFKFPGVKPECLTGIRARIAALRPNGPVATRFLSQNQTVVMVGDSVFAHGGLLPIHVDYGLEKVNEEVRDWIYGVRNTVWRDLVRGKNSIVWLRKFSNELPKDCDCSTLEHVLATIPGAKRMIMGHTIQMSGINGVCQNRAIRIDVGMSKGCVNGLPEVLEIDRESRVRILTSNPLCQNGNEAVMDANEKDGVGLWFPAAQGPRQVKVNA >itb13g26570.t1 pep chromosome:ASM357664v1:13:31801871:31803629:1 gene:itb13g26570 transcript:itb13g26570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTPSSIDESSSSDSMSVALNLPPATKSPESLCRMGSGASVIIDAENGVEAESGRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEEEAARVYDIAAQRFRGRDAVTNFKPLSETTANGDDYEAVFLSSHSKAEIVDMLRKHTYADELEQSRRAFNINNSNNTSARKPEADRSAKSRERLFEKAVTPSDVGKLNRLVIPKQQAEKHFPLQNGAVTSKGVLLNFEDTNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKSLKAGDIVSFHRSTGPEKQLYIDWKPRNGTGSDIPVHPVQVFRLFGVNILSKSSTVVSPMAMAAMDTTNNISSCSGGKRIREVMEFLPLECSKKQRVIDAL >itb11g00900.t2 pep chromosome:ASM357664v1:11:389473:391151:1 gene:itb11g00900 transcript:itb11g00900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGTLEVVLVSAKGLENTDFLCNMDPYAILTCRTQEKKSSVASGKGSNPEWNETFLFNVSDGVSELKIKIMDSDNIGSDDVVGEAVIPLESVLSEGSIPQQSYNVVKEENFCGEIRVALTFRPEQMSRGYEPEEESYGGWKESSRDF >itb11g00900.t1 pep chromosome:ASM357664v1:11:389473:391151:1 gene:itb11g00900 transcript:itb11g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGTLEVVLVSAKGLENTDFLCNMDPYAILTCRTQEKKSSVASGKGSNPEWNETFLFNVSDGVSELKIKIMDSDNIGSDDVVGEAVIPLESVLSEGSIPQQSYNVVKEENFCGEIRVALTFRPEMSRGYEPEEESYGGWKESSRDF >itb04g24790.t1 pep chromosome:ASM357664v1:4:29525421:29527049:1 gene:itb04g24790 transcript:itb04g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCQNPPTLSPSTGVGSVVELGGLKSYVTGSANSNLAILLVSDVFGYESPNLRKIADKVAASGYYVVVPDFLYGDPYVPDSKPFGDWIQAHGTDKGFEDAKSIIAALKSKGISSIGAAGYCWGGKVVVQLAWSGYIQAAVLLHPSFVNVDDIKEVNAPIAILGAEIDKMYPPELIKQFEEILSSKPKVESFVKIFPGVCHGWSVRYSVEDGKAVQSAEEAYQDMLNWFTKFVK >itb14g10150.t1 pep chromosome:ASM357664v1:14:11473488:11474444:-1 gene:itb14g10150 transcript:itb14g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSLPPGKPKFILFNDELMDTAVQMLKKTSYAFRNNPGGFASAKCRRAGRCCETEGFTAIGMQEKPSAVHQYTQSHGERSPAIGEGSSQDLSRFVS >itb06g01920.t1 pep chromosome:ASM357664v1:6:3292309:3293508:1 gene:itb06g01920 transcript:itb06g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEGSVEYSENIQFQISVIQFQICPPKTDIEQSIVASDYAQMGRILISGLVVVIVAATCGGIAFACAGQPIITGYLLAGSIVEPGEFNVVSETVQVETVAQFGVMEKNSINTLHGQFTIGTLILHDCAVGILFALLLVLGGTLGVLQGVMSMTRSGVLMVSLSSQTNELYQLAAVAFCLLVAWSLLSFPKLCVLNLIETPHTEILKRVMESEDTRYGVIYNSFYDLEPDYAEHYKNVIGIRAWSVGPLSLYNRDVEDKAERGKKSSIDEHECLKWLDSKKPNSVVYICFGSTATFAPSQLHEMAMGIEASGVEFVWVIGEMDA >itb10g20650.t1 pep chromosome:ASM357664v1:10:26136029:26137058:-1 gene:itb10g20650 transcript:itb10g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRRRRRFSPILCENKIIQSEKLDLRCILKDASETLEEQQRSRLLHLRREAEARIWNAEEAAFLRKLAAHATQKKQEQEDEEERLMLQKAVNWSYPPSLFLIEATDVKHRVE >itb04g27160.t1 pep chromosome:ASM357664v1:4:31239666:31240835:1 gene:itb04g27160 transcript:itb04g27160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPGGTHSQWEKHGTCSYPVVQNEYDYFLTTLNVFFKYNVTEVLYKAGYVPSNSEKYPLGGIISAIQNAFHATPELTCSRGAVEELRLCFYKNFEPRDCATQSSLNSFKGSSCPKYVSLPAQTSALWLENNEEEASLATL >itb14g19260.t2 pep chromosome:ASM357664v1:14:21962933:21964927:-1 gene:itb14g19260 transcript:itb14g19260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINFFSSTAKIRSEVLSPFRSVRMFFYLAFIASGSLGGFIATSQLIGALANSSRAAQVPEIAQGLAIDIGAVSIFAFLYYRENRAKNAQIAKLSREENLSNLKLRVDEKRILPVSDFRGIARLVILAGPSSFISECFKLSEPFTDALVERGVLVVPFATDGNSPSFEFEENEEMREKNARRKRLWQLSPVYVTDWIKWIDEQKNMANVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVVQLPPVKGLWSGLLDGMDGRVL >itb14g19260.t1 pep chromosome:ASM357664v1:14:21962933:21966156:-1 gene:itb14g19260 transcript:itb14g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATHYCHYIITTVSLPQFRSDRKHLGLCRQFNGCKWSSDVSAVTGLTSRRRGVRRTSYVTCFASNNSAPSSEISSTAKIRSEVLSPFRSVRMFFYLAFIASGSLGGFIATSQLIGALANSSRAAQVPEIAQGLAIDIGAVSIFAFLYYRENRAKNAQIAKLSREENLSNLKLRVDEKRILPVSDFRGIARLVILAGPSSFISECFKLSEPFTDALVERGVLVVPFATDGNSPSFEFEENEEMREKNARRKRLWQLSPVYVTDWIKWIDEQKNMANVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVVQLPPVKGLWSGLLDGMDGRVL >itb07g15000.t1 pep chromosome:ASM357664v1:7:17730975:17734103:1 gene:itb07g15000 transcript:itb07g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLQWLLAALATIFLLATFLRNKEAARRRKLPEPRFAWPVIGHFHLLAGNNRLPHKTIASEHIGYNHADLALCPPNLFLRDARKVVVLELLSNRRLEALRLVRESAVKRFTQEIYRRVNDCSGEVVKLDMSEWFGRLIIGVMLEIMFGHSYEDVGSWVATTLRRNFELLGLSVVGDFLPWLRWLDIGGYEKAIKENTKEMDDVVDCWLQQHRRKLNTKPKEEEDFMDAIISHYDSHKEIPNGYDADTAIKATCTGVLSAAIDTTTTTLIWALCLVLNNGDVLGKIRNELDNHVGRERHVNESDLNNLTYIQAVVNETLRLYPPGPLLVPHEAIEDCVVDGYHISKGTRLLVNVAKIQRDPKFWSDPDAFKPERFLMEHKDVDVRGNHFDLLPFGSGRRMCPAVSLGVQSVQLGLASVIHGFDIRRALDEKIDMTEAAGLSVTKATPLEALLSPRLPLHLYS >itb05g06180.t1 pep chromosome:ASM357664v1:5:6367219:6373131:1 gene:itb05g06180 transcript:itb05g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACKLYEQSRREAAAIKIQKSFRCFVAWKSYSTLRHSAITLQTSMRAMVARDEFRFRKQTKASIEIQRLCILQKSSEGFTYYSMCWRGRVSRRELRKLKMGAGETGALKEAKDKLEKKVEELTWQLRFEKRLRTELEETKAQEIAKLQEALHSMQKQVEEANARVIQEQEAARKAIEEASPVIKETLAIVQETEKLNAVIALLESLKEMSVAVASPKEPESEEKPQKSLNEKQQENQDLLIKCISQDLGFSGGKPVAAYVVYKCLLRWRSFEVGRRSDFDCIIETIASSIEVADNNVLAYWLCNTATLLMLLQQTLNASGAASLTPQQRGSSSASLFGRMSQGLQASPQSAGLSFPNGHVLGRLDDLRQVEAKYPALAALLFEQKLTGFLENIYGLIRDNLKKEISPLLRLCIRAPKSLVKGQSRANAAAQQALIAHWQSIVKCLDSYLKMMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLGELEHWCCYATEEYVGSAWDELKLIRQAVGFLVIHQKPKKTLHEIANELCPVLSIQQLYRISTIYWDDKYGTHSVSSEVISNMSAMMAEDSNNAVRSPFLLDDDSSIPFTVDDIYLKR >itb01g35110.t1 pep chromosome:ASM357664v1:1:37499848:37500462:1 gene:itb01g35110 transcript:itb01g35110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVLLKRSEPKPIFVYHHFLDIAKGALEILSSSGAINHTFLEWSLEYESFLARGTSDFEIIGPNDECDPIAVTYTSGSTSTHPKGFVYSHRGAYLNALASILLNHMAPMPVYLWVVLMFHYVMGGVLLGVWQHRAGPIYICLRSVTTKGIFDNIARHKVSHMGGAPTVLNMIINALPDVKRLVPRKVTGMHRSLLMFCSRWRS >itb07g05540.t1 pep chromosome:ASM357664v1:7:3818900:3822785:-1 gene:itb07g05540 transcript:itb07g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSRRTRSQAAPDWTLQESLTLVNEIKAVEAEAGGTMSSFQKWQLTVEHCNALDVCRSMDQCKRKWESLLDDYRRVKPWEAKYWTASFGDSRRKELGLPEGFDLELFEAVDEYVKMQGMEVGGADTDPDQDSDPEAQPDDSLIFLERASKKQRRRSNTRKRRAEESLQSWRYALSGSVKQEQPSLGEKVEPPKIQHSAMEIEGEPSGLGDKEIEGEPSGLGDKVEEPPENKEGDDIMAEPSTGEDKQEMMALTLQKNAELINAVLEGNFAEDGDDDMMNSVPDPTDLARLQADKLIKYLGKISETLGELCEIVQECK >itb11g23290.t1 pep chromosome:ASM357664v1:11:25232214:25242645:-1 gene:itb11g23290 transcript:itb11g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTIPTTGDADATTTITAFADQPSQAQSPLPSSAVNNAVSVKNTPARSSAAASPWPSPYPHGSSAPGGAPSPLPPGVSPSPARSTPRRFFKRPFPPPSPAKHIKASLARRFGHAKVPREGPIPEDGAAEPDQSLDKNFGYNRNFGAKYELGKEVGRGHFGHTCYALGKKGELKDLPLAVKIISKAKMTTAISIEDVRREVKILKALSGHRHLVKFYDACEDANNVYIVMELCEGGELLDRILSRGGRYSEDDAKLIVVQILSVVAFCHLQGVVHRDLKPENFLFTSRNEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLDADIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFEDLPWPSASQEAKDFVKRLLNKDYRKRMTAAQALMHPWLRSESHPIPLDILIYKLVKSYLNATPLKRAALKALSKALTEDELVYLRAQFMLLEPNKDGRVSIENFRMALSRNATDAMRESRVPDILNAMAPLSYRKMDFEEFCAAAISTYQLEALEGWEQIASTAFEFFEQEGNRVISVEELARELNVGPTAHSILRDWIRSDGKLSLLGYTKFLHGVTLRSTNTRHH >itb13g22890.t1 pep chromosome:ASM357664v1:13:29066456:29068762:1 gene:itb13g22890 transcript:itb13g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREDLGNIMTVRAKPTGSLVLKNNTHHDDEGESRPEPYVGLEFDTAEEAQEFYNLYATKVGFRIRIGQLYRSRVDGSVISRRFVCFKEGFQTNTRVGCPAFIKVQKADSGKWVIATINKEHNHDLELPGEITPARIQRKSLPAPRTTTVLSARTGLRSTDEDGPSGVLDAKRLKREEVGGEPKGEPCKGLEFSSANEAYKFYSTYAANTGFKVRIGQLFRSKLDGSITSRRFVCSKEGHQHPSRVGCGAFMRIQRQESGRWVVDRHQIEHNHDLDRPTDTNRRLSTSVVFKEEASSGLDNLDFIDSNGDLTIVSQTANEFDAAWNVLINKYNLKDNAWLKDMSRMRKSWVPLYIRGTFFAGIPVDGSLKSYFCPMLTPQTPLNEFVLRYEKAIEEGRDEERKEDFNSLNLPTVLHTKDPIEEQCRRLYTTAMFKVFQKELMECYSYVGIKINVEGAISRYLVQKCAPNADERNTVAFNASNLNISCSCRMFEFEGVLCRHALKVFQIMNVRELPSRYILHRWSKSAKYGILRDVDSGGGSQDFRALMLWSLREEANKFIETGATSLERYKLAFEIMQEGNRTLRWQN >itb04g28650.t1 pep chromosome:ASM357664v1:4:32305763:32306119:-1 gene:itb04g28650 transcript:itb04g28650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKPEWFLVDLLCTLIFSTVALSTTIPMLRNIFSILMERTPQEVDISQLKNGLKCIDGVHDVHDLHVWSITVGKTVLACHVVTEPGANPNEVLHRVREYCERKFKIHHVTIQIEQES >itb04g28650.t2 pep chromosome:ASM357664v1:4:32305763:32306914:-1 gene:itb04g28650 transcript:itb04g28650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEDSGLIQQKQEAELYGSPKPLQFSCSPICLFSQQEYNTLDSRNRSKSATKLCGLIIFYAAVMVVEIIGGVEANSLAVLTDAGHLLTDIAGFSISLFTVWVSGWEVTSEHSYGFHRLEVLGALVSVQLIWFISGILIYQALEKIFHKNVKVNGMLMFATAAFGFVINCITVLWLGHDHSHSCHSHHSHHTSGDGHSHHSHRSEDHHNHELEELCPGNGEESKIMMPGSPGQTEILNINVEGAYLHVIVDLIQSVGVMIAGAIMWAKPEWFLVDLLCTLIFSTVALSTTIPMLRNIFSILMERTPQEVDISQLKNGLKCIDGVHDVHDLHVWSITVGKTVLACHVVTEPGANPNEVLHRVREYCERKFKIHHVTIQIEQES >itb02g17980.t1 pep chromosome:ASM357664v1:2:14361062:14362748:1 gene:itb02g17980 transcript:itb02g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSISLLQLLNDDNDDFRRHDVVEDLNSLPYWSHDFDAFDVFASADLSDFQPSDLRPQISTVGRSPVDQSLNGDALEREYMDFLDRENQVNFVMDLFHQRVEQSPSPLEVIMDPNLVEPDPGFVEEMGPGELELDLVQGLGLRGEEITGNGENSGFVVENCDGEEHFMSALRALDVRSDSDSDENANSGLSFNAVVVDDDIIYENESDLRWESFGAEEEHREVNEEDLEWEEVDERGEILGMFLDAQEERVVLGNLEWEVLLNDHHNLDPNPEFQDQDQDEEYNYAAEYEVLFAQFNDAEIAASITTSRPPASKSVIKNLPTVILNKEDDDDDSEKAAVCAVCKDEMRGGEKARQLPCTHKYHDQCILPWLRIRNTCPVCRHELPTDDPEYEERRRTQRV >itb13g17260.t1 pep chromosome:ASM357664v1:13:24260990:24267950:-1 gene:itb13g17260 transcript:itb13g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNSGDVEGNDPSGSRKASPPSAMPWVRNLRRYIGDGAGLGSEALMELETKKILLDIFKEKQQKSAAAGTIPSFYKKKPEDGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKIFFFCNPNRRGKACIKKILLSNCLQELMELHQESEEEVTDAEQAENWFSLASAQRICDMFLALDKDMNGTLSKQELREYADGTLTDIFIERVFDEHVRRGKGGGVNGREMDFESFLDFVLALENKDTPEGLTYLFRCLDLHGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPTDPLQITLADLLSCKQGGTVASMLVDVRGFWAHDNRENLLQEEEEPVEEG >itb03g19620.t1 pep chromosome:ASM357664v1:3:17644883:17647489:-1 gene:itb03g19620 transcript:itb03g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCPCVQGVCRRGKRIRRDKMVTEERVIQKSWSFNFRKMFELPGKKSQSLNGFDNGSCREGEGGRSGSPVENQSSSSILKAWEKVPISRLGKSGNQKEKDKEKEREKEKERDREMQMMREKFAKLLLGEDMSGGGKGVSSALALSNSITNLAASVFGEQSKLEPMSAERKARWRKEIGWLLSVTDYIVELVPSQQKGKDGSTFEIMVTQQRRDLLMNTPALKKLDAMLIDCLDNFSEQNEFWYVSKDADESERGVQKSEKWWLPTVKVPPGGLSDSARKCLQYQKECVTQVLKASMAINAQILSEMEIPECYLEALPKNGRASLGDALYKSITVEFFDPDQFLSIVDLSTEHSVLDLKNRIEASIVIWKRKMNQKDGKSSWGVSLEKREQFEERAETILILLKHRFPGLPQSSLDISKIQFNRDVGHSILECYSRVLESLANTVMSRIEDVLYADSLAQEPSLAAVKRKPPSPPPANGTATPLEETENTETPNSMTLSDFMGWNFEPGPGEPGVGETGIHSTDNLDVSNKSPSVSPKKFSYLEKIEAGQRSPTARH >itb10g25240.t1 pep chromosome:ASM357664v1:10:28627632:28635936:-1 gene:itb10g25240 transcript:itb10g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRGGRSGCFPPMDLFRSEPMQLVQLIIPIESAGLSVAYLGDLGLIQFKDLNADKSPFQRTYAAQIKRSGEMARKLRFFKDQMSKAGVSASAKSDTQDAIKFDDLEVKLGDLEAELIEINANNDKLQRSFNELVEYKLVLQKIGEFFHSARSSAEAQQQEYGSSQSGEESLEAPLLSELDASKQVRLGFITGLVPKEKSMAFERILFRATRGNVLLRQSEVDEPVIDPISGEKVEKNVFLVFFSGERAKNKILKICDAFGANRYPFAEELGKQAQLITEVSGKISELKTAIDAGFLHRGNLLQSIAEEFDRWNVLVRREKSIYHTLNMLSIDVTKKCLVAEGWSPTSATKEIQDALQRAGHDSNSEIGAIFRVLGTRESPPTYFKTNKFTSAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATLYFLIREKKLSSQKLGDITEMTFGGRYVILMMALFSIYTGFIYNEFFSVQFELFGRSAYVCRDPSCSEATTIGLIKARDTYAFGVDPAWHGTRSELPFLNSLKMKLSILLGVAQMNLGIILSYFNAQYFRSGVNIWCQFIPQIIFLNALFGYLSVLIIVKWCTGSQADLYHVMIYMFLGPTDDLGENQLFPGQKTTQLVLVLLALVSVPWMLLPKPFILKSQHNRHQGQSYAPIQDLDESLLVDGSHDSSDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIIILVVGFIIFIFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFNPFSFVLVDEEED >itb12g15550.t3 pep chromosome:ASM357664v1:12:15884373:15887694:1 gene:itb12g15550 transcript:itb12g15550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEDSAAWITQLLALMGGCLGLCESKIHGQLVRKSSISEGFWSTSTYDLESSTILPQRSMTSITVPNLSLSQYSGTGNTTTHEEFVNQGYLWWKQNRLQWRGSKKTENRRLVWKSILDCRL >itb12g15550.t1 pep chromosome:ASM357664v1:12:15884373:15887694:1 gene:itb12g15550 transcript:itb12g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEDSAAWITQLLALMGGCLGLCESKIHGQLVRKSSISEGFWSTSTYDLESSTILPQRSMTSITVPNLSLSQYSGTGNTTTHEEFVNQGYLWWKQNRLQWRGSKKTENRRLVWKSILDWDASYECTAETSKPFPCPIPLSEMVDLLVDTWEEDGLYYE >itb12g15550.t2 pep chromosome:ASM357664v1:12:15884373:15887694:1 gene:itb12g15550 transcript:itb12g15550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEDSAAWITQLLALMGGCLGLCESKIHGQLVRKSSISEGFWSTSTYDLESSTILPQRSMTSITVPNLSLSQYSGTGNTTTHEEFVNQGKFISTFELSSVKRIALSVLCL >itb12g15550.t4 pep chromosome:ASM357664v1:12:15884373:15887694:1 gene:itb12g15550 transcript:itb12g15550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEDSAAWITQLLALMGGCLGLCESKIHGQLVRKSSISEGFWSTSTYDLESSTILPQRSMTSITVPNLSLSQYSGTGNTTTHEEFVNQGYLWWKQNRLQWRGSKKTENRRLVWKSILE >itb14g09560.t1 pep chromosome:ASM357664v1:14:9968663:9969031:1 gene:itb14g09560 transcript:itb14g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCELPGDKGYVVQYKTLVTDDFSGPLTEAVPGAEIWPQPAQVNAAIFDMYEEVDAFDNDVWWVGKITGKIGNRYYVYFETTGDEILYHKDRIRVHLDWVHHSWVLTQIQIQPETRTQPVS >itb13g22970.t1 pep chromosome:ASM357664v1:13:29095402:29100821:-1 gene:itb13g22970 transcript:itb13g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQLLSSSIAMAPSSSLSLSKPLKKPSNSIRSNPNVNSQNCPSSAAAAGGHYLCKHSPSATLDLLILILVLFSGAFLISSYFSYIFHSLSLLAPSFSLAALLSHLRAVDVQIQYVLFSFFFVVFLTAVIAFEICCGNRSRKCGKSGCKGLRKAMEFDLQVQDEECLKVGSESKAVREIDELPWKGGSETNLDYECLRAELRKMAPPNGRAVLLFRAKCGCPIAKLEGWGPKRGRRHKKSLALSGKDHR >itb11g15580.t1 pep chromosome:ASM357664v1:11:13338104:13340613:1 gene:itb11g15580 transcript:itb11g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGNALLDDEADQTGMIDYAWDHAVISDRLRDDIKAACNFSSTTSSAECDAQINNYYAVYNIIDMYSLYTPTCVQTNITATKNSVPVVRGIAPHLFSKMAGWHKKPSGYDPCAPDYTEAYLNKPDVQKALHANVTGISYPWVHCSGIISNWKDSPSTILPLIRKLAAAGFRIWVFSGDTDGRVPVTSTRYSLKKLGFNITEDWTPWYTDNQQVGGWTVAYEGLMYVTIRGAGHEVPAFEPREALQLVNHFLANKKLPSSPY >itb03g19410.t1 pep chromosome:ASM357664v1:3:17495658:17501012:1 gene:itb03g19410 transcript:itb03g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIIPMASSIRPSLSSLRLSGSSRFGVSLFSHNPCRRLASLHLGTAVPQLQSFGLKATYLLRERGSRMAVSAAGNMANASTATTHENVLEWVKQDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGSGFGHFGIAVEDVAKTVDLVKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRAIKFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKSSVMELTYNYGVTEYDKGNAYAQMAIGTDDVYKTAEAIRLCGGKITREPGPLPGINTKIVACVDPDGWKTVFVDNVDFVKELE >itb05g12870.t1 pep chromosome:ASM357664v1:5:19586085:19587539:1 gene:itb05g12870 transcript:itb05g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLKDCFLYLAAFPMILEIDAGKLIHLWMAEGFIPEGELEHTAEKFLNDFVQRNLLIVSKRRADGRIKTVSIHEMLHAICIREAAKQNFIREFDVLGGVSNSTFTKGYRRLSVHSSVLQDLSEIIKPSSIDEQVQSFLYFSSDTLEFPKAPLATIPNSFPNLKVLDIRSVEVPVPKEFYRLQHLAYLGISVYFNLNLLPVEFENLSQLQTLVLHSTTQSALKIEADIWSMPKLRHVLSNTPVHLPTPIRRSTNSSGSAHIRTLSTISPTSCTGEILDKTPNLQKLGIRGNIVEVVESKKGISLFDNLQKLRNLENLKLLVHTINGQASLLRSFPRADKFPRRLRKLTLSNTSFEWKDLCVLGALNELEVLKLEEYAFKGEAWELKNVVFRCLKFLRIGRTNLAHWTTSKSCFPVLKTLIIRHCAGLTAVPSDFADVHSLELLELFYTNKAAVNSARDIEILKHERGNAHSSGFKLSIYPSDH >itb09g03740.t1 pep chromosome:ASM357664v1:9:2057116:2060415:1 gene:itb09g03740 transcript:itb09g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFLVSLIFTKLNPMAHLNKPHAICIPLPAQGHINPIFNLAKLLHSSGFYITFVHTHFNYHRLLKSQDPATLAGVADFRFETISDGLPESCQRGILDLPDLVVSLPIHGLSSFRDLVKTLNAACPEVPPVSCIVSDGVMSFTLEVAQEFGIPELLFFTPSACGMLGYFQFGELVQRGYFPLKDESCLSNGFLDTKVDWVAAMEGARLKHLPTFCRSTNPADPMFNYNKVQISNLMKTRTLILNTFDDLEKPVLDAIRLKIPNIYTIGPLSQLQQQFPAKLGSVDSSSSSLWEEDDKFLEWLDKREPRSVVYVNYGSLVTMNPQQLSEFAWGLANSKYPFLWIIRPDLVEGATEVISNDFLEEIKDRGLLLRWCPQKEVLSHVSVGGFLTHCGWNSILESISEGVPMICWPFFAEQQMNCFYLCNQWGIGMEIESDVKREQVEGVLRELMEGEKGQEMREKAREWKMKVEKATQPGGSSYNNFEMLVTQLKSYAI >itb04g33470.t1 pep chromosome:ASM357664v1:4:35709582:35714905:-1 gene:itb04g33470 transcript:itb04g33470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDASSLIDPSAENLASPGLAEQAQRPPYAASPTRSLFNHGGLTCNPVTESEMSEEGETTSVVHHLQRDSDNHSESDLLLDMAGGMILSPPRPGMNFSDEEALLLTPDFHIDMGGGMMMSMSPPRKNYFSQPIGNFDPFTLSNFGDDSEVQELENRKGMMMRPNCIEEVDAVLGQKNQSLGIWEAENMGGTVQTSDVNNVDERFQLAGGMMNYSLPSDDSLPLGGSFEPYILWDDGAYRVGHELHNRERIMRMTVSRNAVDSELFSHKEFWLNIALQAMNKGLPVAHTDVNLFCKFKYTSFSLIEVEVGIRGAWIEQKSVTTHPPRSGECGVYSRALFEFLEKEFRDTSNSVQPNFKLASLENLDRLFAELEKFVNLERLVAELEKIVNLAGEFKKSHTVRILPALLEAACTMMQLDSPCEPHFPKSSAATNEETKNSSSHKTHTINMWKKHLGIIRQILQQQFVRQLICKSSDAAAKTLTVSTSTFKRAHRDFGITRRCLEDVGTASEENHTAHIWPAAGRGGMLLQQEDSSSEPHIPESSPTNEERNNVVVVELNNSIDAKGGNGSSEKTNTISRWEKDHGITRQVLQQLFGKSRDDAAKTLKVSTSTFKRACRNFGINRWPNHKGKMPNCSLNQKQDVQVVKRHKGIQPCPALPPEEATTTTLQGNSAMSVKATYEDNTIRFPLPSSSTLKYLEEQLETRFKISLENFSIIYQDEEDDWISLTCDSDLMYGIIEEKSGSEGKIPESSTRIEEANNNNNVVGLKRIDDAKGNSSSSSSKKKECSIFRWQEDYGITREVLEQQFDKSRDDAAKTLKVSTSTLKRACRNLGISRWPNRNRKRSNNSSLNQNDVQAGKKHKGGGSQPCPAADAATNITMPVKVTYQNDTVAFPLSSSSLTLKYLEEQLEMRFKIAAHKFCIKYQDEEDEWISIMCDSDLMYCMNVLRSCGKSVIRMRVTPKSIEFNPNPSSSSSSPVRAIQAAAQPPPNAASPTGKGVCREILEAPPILLSEGNNTSVPQIVSDHSVNIEDLNQEELINYLSLPSGEYFEWARQPNDIDSVIEGGLDVEYLERSCSVPKYDIWSYGHGPQGVNLRPPCDDEERSDVSQELQNAEENEIMWLRYDDEESSDVSQELQNREENEIVRQSWFEILTLRLRSAWKAMLRIWRNCCQSTPKG >itb12g08090.t1 pep chromosome:ASM357664v1:12:6255704:6260486:-1 gene:itb12g08090 transcript:itb12g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKHRVSGDYSAVCRSKVSGISGRIDTDITVSEGSMASRKKWKNLNSSTQHGFSVPTNVIRLSKLSLSERKNLVLQLKSELGDVRILLKKLELLETKSVAAPPSNEIVNTHKGPHGQNFKHSSQFGEKSSSLGQKPRWWNRGTSGRFESLKSDLEQSNTNSTILKKCEGLLRKLMTQKGCEWFNQPVDVVKLNIPDYFTIIKQPMDFGTVKKKLTSGHYLSPLDFVADVRLTFSNAMTYNPPGNMVHALADSLSKFFEVRWKPIQKMVHMNLAKPAEENPVLHEEFEMTKTVSSSKKRKLSPVHHTAPLSPVHHTAHLSPVHHTASPEPLKKSMTDEEKQKLNTDLEEFQGDLPDNIIEFLKGQSSNGAEVMEDEIEIDFDVLGDDTLFKLRKLLDDFLQNKQSEYPKAEHCEIELQNDSGFSNSSMQADKGNEPEEEEIDIGGDESPISSYPPVKIERNVGEETNDCVNAEDPTSNSESPCGLDRLHEQGSQQKANSSDSEGQEDGESTQDGRHVSPKRLYKAALLNRFADTILKAREKTLVQDEKGDPEKLKREREELEMQKKKEKARLQAEAKAAEEVLKRAEEEAAAEAKRKRELEREAARQALLKMEKTVEINENSRFLEDLEMLSGVGHPEHLLSSADETSPEHLQDGLGSFKFGGGNNPLEQLGLYMKVDDEDECEALPPPKLPNDQDSLEEGETE >itb11g19050.t1 pep chromosome:ASM357664v1:11:19605286:19614693:-1 gene:itb11g19050 transcript:itb11g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MAISHQTTSAFYFCYAGGDSGRAYCCNAVPFRFGYSVRRRRLVVVSRRRLLATDLRVGVAETTAADRNDAAASSSVVVPSIEIPVTCYQILGVSNKAEKDEIVKSVMHLKNADVEEGYTMDAVISRQNLLMDVRDKLLFEPEYAGNIKEKVPPRPFIRIPWAWLPGALCLLQEVGEEKLVLDIGQKALQHPDSKPYIHDLLLSMALAECAIAKLGFEKNNISQGFEALARAQCLLRSKVSLGKMTLLSQIEESLEELAPACTLELLGMPHRPENAERRLGAISALRELLRQGLDVESSCQVEDWPCFLSQAFSRLMASEIVELLQWDNLALTRKNKKSLESQNQRGVIDFNGFYVVLMAHIALGFSSKQTDLINRAKIICECLIASEGVNLKFEEAFCLFLLGQADEATAAERLRQLEQNSNVGSSEINPIKELANASSENKPLETWLKDAVLSLFPDTRDCSPSLANFFRGEKRTPLFKENKRVSQTSSHMNHRPIAPAISQDRRALGETVPCADSSRHLGQAVKQLAPPNLQGPMIVDKVNGPTNGTPSIQLKRNLGARRHEIQEILNGILGKIIVVACFGCILFVSFKIMNMASWRVGHRTRWRLSTPRVTSSTSWMADGSQNPRSRHAKRSSIARNLKKLLSNLRLSVIQHQEDTVLEGSCHADSLSSSMKAAYKMAMPAEEAESLVKKWQTIKAEALGPDHHVDALFDVLDESMLVQWQGLADAAKDKSCFWRFVLLQLSVLRADILTDETGKEIAEIEALLEEAAELVDESHPPTNPNYYSSYKISYLLKRQDDGTWRFCEGDIQTT >itb11g19050.t2 pep chromosome:ASM357664v1:11:19605302:19614693:-1 gene:itb11g19050 transcript:itb11g19050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MHLKNADVEEGYTMDAVISRQNLLMDVRDKLLFEPEYAGNIKEKVPPRPFIRIPWAWLPGALCLLQEVGEEKLVLDIGQKALQHPDSKPYIHDLLLSMALAECAIAKLGFEKNNISQGFEALARAQCLLRSKVSLGKMTLLSQIEESLEELAPACTLELLGMPHRPENAERRLGAISALRELLRQGLDVESSCQVEDWPCFLSQAFSRLMASEIVELLQWDNLALTRKNKKSLESQNQRGVIDFNGFYVVLMAHIALGFSSKQTDLINRAKIICECLIASEGVNLKFEEAFCLFLLGQADEATAAERLRQLEQNSNVGSSEINPIKELANASSENKPLETWLKDAVLSLFPDTRDCSPSLANFFRGEKRTPLFKENKRVSQTSSHMNHRPIAPAISQDRRALGETVPCADSSRHLGQAVKQLAPPNLQGPMIVDKVNGPTNGTPSIQLKRNLGARRHEIQEILNGILGKIIVVACFGCILFVSFKIMNMASWRVGHRTRWRLSTPRVTSSTSWMADGSQNPRSRHAKRSSIARNLKKLLSNLRLSVIQHQEDTVLEGSCHADSLSSSMKAAYKMAMPAEEAESLVKKWQTIKAEALGPDHHVDALFDVLDESMLVQWQGLADAAKDKSCFWRFVLLQLSVLRADILTDETGKEIAEIEALLEEAAELVDESHPPTNPNYYSSYKISYLLKRQDDGTWRFCEGDIQTT >itb09g21740.t1 pep chromosome:ASM357664v1:9:19812279:19816433:-1 gene:itb09g21740 transcript:itb09g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTNLMKGRSSLQPSICDAFNTRLAHSASSSFAQRLRDLPKDFPATNIKKETSQLIGRTPLVYLNKVTEGCGAYIAAKQEMMQPTASIKDRPAMAMIADAEKKGLITPGKTTLIEPTSGNMGISMAFIAAMKGYKMVLTMPSYTSIERRVTMRVFGADLVLTDPAKGMGGTVKKAYDLLESTPNGFMLQQFSNPANTQIHFETTGPEIWEDTQGNVDIFVMGIGSGGTVSGVGQYLKSQNPNIYGVEPTESNVLNGGKPGPHHITGNGVGFKPDILDMDVMEEVLMVSSEESVNMARELALKEGLMVGISSGANTVAALRLASRPENKGKLIVTVHPSFGERYLSSVLYENLRKEAEAMQPVPVD >itb11g20390.t3 pep chromosome:ASM357664v1:11:21432835:21436831:1 gene:itb11g20390 transcript:itb11g20390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVHKDIDVLLGHLTSGGVDQELKSELMYMRTILKFQQFVFCGYLGDSITAKILEERLFYSPSCRTSKECMVNYVDSLVSFIIQKGRSSSFHTDTSMGDASKFLESLFKNLQSIKKATRRCLSTSTLVPIEILEENLRVLIDSLHFKVSTAQQEYLLTRIQALAESAADLCFTFWVKMSDHFLDTDEISHLLYLRNICKERVPPLEINTASQMVLLDDQILDICKRLELVKGLLMNLPKQYLMQDKTKDLLRQIAALTSKTRYHSLEEDMAVRVNDELHILLKNSKEICLDVRNLLHSGFPLTEDCGMIDSLVMSLNGLLNFKADLANKHEIEKLKTVIELWKKCGSFGKHRERVFKTAYEAAYIIDSMNLNNDPPPYLESYLSDIIAEADIIYNETLDIGFLNVVKSSNPLSSVVNTPIGDNVLGFKKEEEAIKEQLLSGPSKLDVISIVGVSGLGKTTLANKIYNDQNVINHFHVRAWCCVSQEYNMTKLLCEIYSQVTEDELTDEMQRLIKENREAKVTEVDLAEKFSKCVVDKKTRRKVTESDVAEKLQKFLKELKEKRYLIVLDDIWESRAYEEITRCFPTVENGSRIMLTSRFDEVAHKLKLHSDPHNLPFFTKEERWELLQWKVFRNECCYPELLEIGEEISESYRGLPLFIVMVAGLLTSIKKEERLWSEVAKSLSSVASEHEILGLIYEHLPDRWKSCLLFFAAFPKDQEIAVSKLVQLWAAEGFIEKIEGKSLEDVAEDYLSNLLSGGLITVSKRRYDGSIISCRVHNTIHEFCLEKAKKERFLMISSTNDQIPIRGMACHRICFNHQDIECHNPLYSRIQWSPSVRTILCTYRRREPHISKAIDFSQIYRRSRLIRVLDLESITVGNTFFSVIEHLPHLRFIAAHTGYCNFVLPSSLGNPHNLETFKIKTENQSVVLPKAILNMVKLRQLDITDSFQFGIDKDDSCLKNIQALSTVLLPNKQSIDAMIIRFPYLRRLKCIYVGSNESSPESNEFLDLEKLDQLEFLHVSYDGPLERRDLIVFKFPWCLTKLILNGFSLPACEIFKFALIPTLVALKLQNVHFKDHQWDVEECDFPQLNYLKLQNCSIVYWSALTSSFPCLKRLILRRCIILKEVPSCFGNIATLEAVEVYRCNSSLEDSVLKIQSESTNRSSPLVIRILKSDDLQICK >itb11g20390.t1 pep chromosome:ASM357664v1:11:21432835:21441533:1 gene:itb11g20390 transcript:itb11g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVHKDIDVLLGHLTSGGVDQELKSELMYMRTILKFQQFVFCGYLGDSITAKILEERLFYSPSCRTSKECMVNYVDSLVSFIIQKGRSSSFHTDTSMGDASKFLESLFKNLQSIKKATRRCLSTSTLVPIEILEENLRVLIDSLHFKVSTAQQEYLLTRIQALAESAADLCFTFWVKMSDHFLDTDEISHLLYLRNICKERVPPLEINTASQMVLLDDQILDICKRLELVKGLLMNLPKQYLMQDKTKDLLRQIAALTSKTRYHSLEEDMAVRVNDELHILLKNSKEICLDVRNLLHSGFPLTEDCGMIDSLVMSLNGLLNFKADLANKHEIEKLKTVIELWKKCGSFGKHRERVFKTAYEAAYIIDSMNLNNDPPPYLESYLSDIIAEADIIYNETLDIGFLNVVKSSNPLSSVVNTPIGDNVLGFKKEEEAIKEQLLSGPSKLDVISIVGVSGLGKTTLANKIYNDQNVINHFHVRAWCCVSQEYNMTKLLCEIYSQVTEDELTDEMQRLIKENREAKVTEVDLAEKFSKCVVDKKTRRKVTESDVAEKLQKFLKELKEKRYLIVLDDIWESRAYEEITRCFPTVENGSRIMLTSRFDEVAHKLKLHSDPHNLPFFTKEERWELLQWKVFRNECCYPELLEIGEEISESYRGLPLFIVMVAGLLTSIKKEERLWSEVAKSLSSVASEHEILGLIYEHLPDRWKSCLLFFAAFPKDQEIAVSKLVQLWAAEGFIEKIEGKSLEDVAEDYLSNLLSGGLITVSKRRYDGSIISCRVHNTIHEFCLEKAKKERFLMISSTNDQIPIRGMACHRICFNHQDIECHNPLYSRIQWSPSVRTILCTYRRREPHISKAIDFSQIYRRSRLIRVLDLESITVGNTFFSVIEHLPHLRFIAAHTGYCNFVLPSSLGNPHNLETFKIKTENQSVVLPKAILNMVKLRQLDITDSFQFGIDKDDSCLKNIQALSTVLLPNKQSIDAMIIRFPYLRRLKCIYVGSNESSPESNEFLDLEKLDQLEFLHVSYDGPLERRDLIVFKFPWCLTKLILNGFSLPACEIFKFALIPTLVALKLQNVHFKDHQWDVEECDFPQLNYLKLQNCSIVYWSALTSSFPCLKRLILRRCIILKEVPSCFGNIATLEAVEVYRCNSSLEDSVLKIQSESTNRSSPLVIRILKSDDLQIYQGVEGGPRGTRRLVSFPHTVRPCDPDGNSRLLVRCVVKQRRIRRHFRLLCASLKEILFFTVSLRRENFLTHYLIYQILLLL >itb11g20390.t2 pep chromosome:ASM357664v1:11:21432835:21437523:1 gene:itb11g20390 transcript:itb11g20390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVHKDIDVLLGHLTSGGVDQELKSELMYMRTILKFQQFVFCGYLGDSITAKILEERLFYSPSCRTSKECMVNYVDSLVSFIIQKGRSSSFHTDTSMGDASKFLESLFKNLQSIKKATRRCLSTSTLVPIEILEENLRVLIDSLHFKVSTAQQEYLLTRIQALAESAADLCFTFWVKMSDHFLDTDEISHLLYLRNICKERVPPLEINTASQMVLLDDQILDICKRLELVKGLLMNLPKQYLMQDKTKDLLRQIAALTSKTRYHSLEEDMAVRVNDELHILLKNSKEICLDVRNLLHSGFPLTEDCGMIDSLVMSLNGLLNFKADLANKHEIEKLKTVIELWKKCGSFGKHRERVFKTAYEAAYIIDSMNLNNDPPPYLESYLSDIIAEADIIYNETLDIGFLNVVKSSNPLSSVVNTPIGDNVLGFKKEEEAIKEQLLSGPSKLDVISIVGVSGLGKTTLANKIYNDQNVINHFHVRAWCCVSQEYNMTKLLCEIYSQVTEDELTDEMQRLIKENREAKVTEVDLAEKFSKCVVDKKTRRKVTESDVAEKLQKFLKELKEKRYLIVLDDIWESRAYEEITRCFPTVENGSRIMLTSRFDEVAHKLKLHSDPHNLPFFTKEERWELLQWKVFRNECCYPELLEIGEEISESYRGLPLFIVMVAGLLTSIKKEERLWSEVAKSLSSVASEHEILGLIYEHLPDRWKSCLLFFAAFPKDQEIAVSKLVQLWAAEGFIEKIEGKSLEDVAEDYLSNLLSGGLITVSKRRYDGSIISCRVHNTIHEFCLEKAKKERFLMISSTNDQIPIRGMACHRICFNHQDIECHNPLYSRIQWSPSVRTILCTYRRREPHISKAIDFSQIYRRSRLIRVLDLESITVGNTFFSVIEHLPHLRFIAAHTGYCNFVLPSSLGNPHNLETFKIKTENQSVVLPKAILNMVKLRQLDITDSFQFGIDKDDSCLKNIQALSTVLLPNKQSIDAMIIRFPYLRRLKCIYVGSNESSPESNEFLDLEKLDQLEFLHVSYDGPLERRDLIVFKFPWCLTKLILNGFSLPACEIFKFALIPTLVALKLQNVHFKDHQWDVEECDFPQLNYLKLQNCSIVYWSALTSSFPCLKRLILRRCIILKEVPSCFGNIATLEAVEVYRCNSSLEDSVLKIQSESTNRSSPLVIRILKSDDLQIYQGVEGGPRGTRRLVSFPHTVRPCDPDGNSRLLVRCVVKQRRIRRHFRLLCASLKEILFFTVSLRRENFLTHYLIYQILLLL >itb09g00320.t1 pep chromosome:ASM357664v1:9:295695:297202:1 gene:itb09g00320 transcript:itb09g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNFRNSPNKITTTITSLKTLFDIDSSNKHTHHPPGPGRAPNKLQLGAGFGDENDEMLSLIAYCSFTYTWIDPRDSPSLQDLKRLKLIQLFSLIRIHKNPLDPQIILPPLFIMLSANLFRPLPPPNNPLSATTILPDDDDNFMLNPAKAWSHLQIIYDILLRVIITTQPEELRAPGFIDHSFLHNLLVLFQSDDPRERESLKNVFHRIYSKFTFYRSFMRKAMNDVFLHYIFETEQRHPGIGELLEIWGTIINGFSVPLKDEHKLFFTRVLIPLHRPKGMQAYHKQLAYCVFQFVQKEAELAGVVVRGILKYWPLTNCHKEIVLIGELEELVETLLQPSQYRTLALPLCTQITKCLNSWNSQVAERALYVWNSEKFWRMAAESMEQVFPILVRGLEDNLKGHWSTSVKELTQNVKRMLQELDPCLYTNCLLHLEIQQTLLHQQHISRRHKWNTIEEKAAANTQLLPATAINTLPMLC >itb08g04240.t2 pep chromosome:ASM357664v1:8:3355434:3361395:1 gene:itb08g04240 transcript:itb08g04240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLIEKIYSSFSGGLHFVQPISSLRTNEVDLVRGVLQILQGFSSSLFYWDDTGERFCAKKGIYVSHLSQTSLYLILDQFTYAATCLKLVEIIVNKVEKSVRAPPPTLRAFLCSISTWLRRLRDVALQEESKISNTNGGTSPTLLGLSNSLSNLCAGAEYLLQIVHGAIPKVYIEQDSSITAADIAVHILNHLYNKLTEVCLVQGGEEDAYRMTLHVFAGSLLPYIEGLDSWLFEGILDDPFEEMFFYANKAVTVHEAEFWEKSYLLRSKNFEKFDVLCDSLISTRERKDISLRESVSLSGLAKGKETRGTDCLACPLFMKGISRTIVSAGKSLQLIRHAPLSSLVSVSGQGSGQCTTGLTLSEIFCVSLAALIGQGDHISEYFKQENQIRPSFESFNQEIEKNKALDVEMCFNKEWYKLLSHTIAQNRKADSQSAGNYNADSLDLKEDKVTLLGIDGLQRTFVPGNPAMTVSQSCLLGNRDYWDTLNLSKSFFLPPLNDEGLRTAIFSGNAKLWLTPKNTNYAFGSQFGESERIRLEEDAKFLEELFPFPTLLPPFQEDLQLSEVLPFQKNSTLPSRTLSWIGNVEPKCTPLPVVILQECLINSVKKQANCIGRNILSKLLCDWRLLDELGVLRAIYLLGSGDLLQHFLTVIFNKLDKGESLDDEFDLNMILQESIRNSADAILLNTPDSLVVSISRNTTTTEDEQNNLAVPTSTPRISRGQNFGIDGLDSLTFTYKVSWPLELIANLEAIKKYNRVMRFLLKIRRAKFVLDKARRWMLKDRSTATANCKRHWLLEQKLLHFVDAFHQYVMDRVIAYPLLYARYSSGFPVTC >itb08g04240.t3 pep chromosome:ASM357664v1:8:3355437:3361134:1 gene:itb08g04240 transcript:itb08g04240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLIEKIYSSFSGGLHFVQPISSLRTNEVDLVRGVLQILQGFSSSLFYWDDTGERFCAKKGIYVSHLSQTSLYLILDQFTYAATCLKLVEIIVNKVEKSVRAPPPTLRAFLCSISTWLRRLRDVALQEESKISNTNGGTSPTLLGLSNSLSNLCAGAEYLLQIVHGAIPKVYIEQDSSITAADIAVHILNHLYNKLTEVCLVQGGEEDAYRMTLHVFAGSLLPYIEGLDSWLFEGILDDPFEEMFFYANKAVTVHEAEFWEKSYLLRSKNFEKFDVLCDSLISTRERKDISLRESVSLSGLAKGKETRGTDCLACPLFMKGISRTIVSAGKSLQLIRHAPLSSLVSVSGQGSGQCTTGLTLSEIFCVSLAALIGQGDHISEYFKQENQIRPSFESFNQEIEKNKALDVEMCFNKEWYKLLSHTIAQNRKADSQSAGNYNADSLDLKEDKVTLLGIDGLQRTFVPGNPAMTVSQSCLLGNRDYWDTLNLSKSFFLPPLNDEGLRTAIFSGNAKLWLTPKNTNYAFGSQFGESERIRLEEDAKFLEELFPFPTLLPPFQEDLQLSEVLPFQKNSTLPSRTLSWIGNVEPKCTPLPVVILQECLINSVKKQANCIGRNILSKLLCDWRLLDELGVLRAIYLLGSGDLLQHFLTVIFNKLDKGESLDDEFDLNMILQESIRNSADAILLNTPDSLVVSISRNTTTTEDEQNNLAVPTSTPRISRGQNFGIDGLDSLTFTYKVSWPLELIANLEAIKKYNRVMRFLLKIRRAKFVLDKARRWMLKVFLTISSFTGNYV >itb08g04240.t1 pep chromosome:ASM357664v1:8:3355434:3362718:1 gene:itb08g04240 transcript:itb08g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLIEKIYSSFSGGLHFVQPISSLRTNEVDLVRGVLQILQGFSSSLFYWDDTGERFCAKKGIYVSHLSQTSLYLILDQFTYAATCLKLVEIIVNKVEKSVRAPPPTLRAFLCSISTWLRRLRDVALQEESKISNTNGGTSPTLLGLSNSLSNLCAGAEYLLQIVHGAIPKVYIEQDSSITAADIAVHILNHLYNKLTEVCLVQGGEEDAYRMTLHVFAGSLLPYIEGLDSWLFEGILDDPFEEMFFYANKAVTVHEAEFWEKSYLLRSKNFEKFDVLCDSLISTRERKDISLRESVSLSGLAKGKETRGTDCLACPLFMKGISRTIVSAGKSLQLIRHAPLSSLVSVSGQGSGQCTTGLTLSEIFCVSLAALIGQGDHISEYFKQENQIRPSFESFNQEIEKNKALDVEMCFNKEWYKLLSHTIAQNRKADSQSAGNYNADSLDLKEDKVTLLGIDGLQRTFVPGNPAMTVSQSCLLGNRDYWDTLNLSKSFFLPPLNDEGLRTAIFSGNAKLWLTPKNTNYAFGSQFGESERIRLEEDAKFLEELFPFPTLLPPFQEDLQLSEVLPFQKNSTLPSRTLSWIGNVEPKCTPLPVVILQECLINSVKKQANCIGRNILSKLLCDWRLLDELGVLRAIYLLGSGDLLQHFLTVIFNKLDKGESLDDEFDLNMILQESIRNSADAILLNTPDSLVVSISRNTTTTEDEQNNLAVPTSTPRISRGQNFGIDGLDSLTFTYKVSWPLELIANLEAIKKYNRVMRFLLKIRRAKFVLDKARRWMLKDRSTATANCKRHWLLEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAASLDEVIEVHEAYLSSIQRQCFVVPDKLWALIASRINSILGLALDFYSIQQTLNSGGTVSAVKARCEMEVDRIDRQFDDCIAFLLRILSFKLNVGQFPHLADLVTRINYNYYYMSDNGTLVTASPSDSAASKSGKVFQVKKE >itb10g13200.t2 pep chromosome:ASM357664v1:10:19376988:19382098:1 gene:itb10g13200 transcript:itb10g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSRSLIARLAPFFISRIRHNHRLLTSAPSSSSALPVQHQPEFTSPDNSVFMTDNCVRRMKELQAGESNKKMLRLSIEAGGCSGFQYDFSLDDKANTDDRIFEQDGVKLVVDNISFDFVKGATVDYVEELIRSAFQVSNNPSAVGGCGCKSSFMVKQ >itb10g13200.t1 pep chromosome:ASM357664v1:10:19376988:19382098:1 gene:itb10g13200 transcript:itb10g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSRSLIARLAPFFISRIRHNHRLLTSAPSSSSALPVQHQPEFTSPDNSVFMTDNCVRRMKELQAGESNKKMLRLSIEAGGCSGFQYDFSLDDKANTDDRIFEQDGVKLVVDNISFDFVKGATVDYVEELIRSAFQVLVHSSGY >itb07g18290.t2 pep chromosome:ASM357664v1:7:22735588:22739349:1 gene:itb07g18290 transcript:itb07g18290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPAPVDTPVTLSEYTNRVTLKTVFERNDGGMGFAGRRIVIGGWVKSSREIRKDPEPAPEDVGTKDVSCVEVLQSRIPLIRSIMKVFGGGDFRVREKLDSAVQKLPQPSVSFLQLSDGSCVQSLQVVVDSELGRPCQVMPTGTCILAEGILQPVSLKGKHVIELKADKVLHLGTVDQNKYPLTRKRLPLELLRDCCHFRTRTTTVASVMRIRSALTQATHSFFQDNEFLFVQVPVITSTVFEECSKKFLVSTVLPKDGSSTDGISLEAIKASINEKSKQIEELRRSGSNKEAVVAAIQDLKKTTELVSKLEAKGKPKSGTSLEEDKFDLCQDFFSSQMYLTVSGRLHLESQACALGNVYTYGPRFRAEKSESKKSLIEMWMFETEIAFSALEDAVQCAEDLLKFVCKYVLEKSAEDVNFLSKRVDKTIVENLQFMTSGSLEKISYTEAVEILKQVTEKKFESNIEWGVPLSDEHTRYRISLSNPYPGGKINFFFEYY >itb07g18290.t1 pep chromosome:ASM357664v1:7:22735588:22739349:1 gene:itb07g18290 transcript:itb07g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPAPVDTPVTLSEYTNRVTLKTVFERNDGGMGFAGRRIVIGGWVKSSREIRKDPEPAPEDVGTKDVSCVEVLQSRIPLIRSIMKVFGGGDFRVREKLDSAVQKLPQPSVSFLQLSDGSCVQSLQVVVDSELGRPCQVMPTGTCILAEGILQPVSLKGKHVIELKADKVLHLGTVDQNKYPLTRKRLPLELLRDCCHFRTRTTTVASVMRIRSALTQATHSFFQDNEFLFVQVPVITSTVFEECSKKFLVSTVLPKDGSSTDGISLEAIKASINEKSKQIEELRRSGSNKEAVVAAIQDLKKTTELVSKLEAKGKPKSGTSLEEDKFDLCQDFFSSQMYLTVSGRLHLESQACALGNVYTYGPRFRAEKSESKKSLIEMWMFETEIAFSALEDAVQCAEDLLKFVCKYVLEKSAEDVNFLSKRVDKTIVENLQFMTSGSLEKISYTEAVEILKQVTEKKFESNIEWGVPLSDEHTSYLVDEIYKRPVIIYNHPKEAKPFYVRLNDDAKTVAAFDLLLPKVGTLVRGSQSEERLNILSSRIEELGLSKQQYEWYLDLRRHGAVKSSGFSLMLDSLVLFATGLSDIRDVVPFPISPAKPSA >itb06g18660.t2 pep chromosome:ASM357664v1:6:22278730:22279824:-1 gene:itb06g18660 transcript:itb06g18660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METITLQTFSVAENEFFVQSRLFVKGSHPILKWTTRHHSTGRSSKLVERLLPSLKASVLNSSWSRRRDSSIVFALDTGAVPSNGDQDSSNGDNRGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPPSLPTERLQSLVGMVNYWKAGVCLGLFVDAFKLGS >itb06g18660.t1 pep chromosome:ASM357664v1:6:22278730:22281494:-1 gene:itb06g18660 transcript:itb06g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METITLQTFSVAENEFFVQSRLFVKGSHPILKWTTRHHSTGRSSKLVERLLPSLKASVLNSSWSRRRDSSIVFALDTGAVPSNGDQDSSNGDNRGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPPSLPTERLQSLVGMVNYWKAGVCLGLFVDAFKLGS >itb09g12280.t3 pep chromosome:ASM357664v1:9:7752273:7754511:-1 gene:itb09g12280 transcript:itb09g12280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGRLDAAVDKEFAGMRLSDCSGTPTSIFCAPDDTFVGLQPPPDEGSAGGFIRGSPLRIPKIVKKQGETISKGHKSYELMLNLQIGIKYSVGKLGAATSLDLKAAAFDPKEKFWSKISPDGTKSVLPFQSCEFRWKDYCPKVFRALRLLFKVEPDDYINSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLIRMLNAYYNHVLDFDNTLITKYFGLHCLKLNGPAQKKVRFVIMGNLFHTDYTIHRRFDLKGSTFGRLTDKPESEIDSTTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCDLLEQERIMDYSLLVGVHFKEASTNEDNATPSGSHSPTDSGASDSESRADMDKSLQERRGIIKLGINLPARVERTERKNEAGEMQLIGEPTGECYDVILFFSIIDILQDYDITKKLEHAYKSIQYDPNSISAVDPKAYSRRFRDYIFKAFAEDTAKDS >itb09g12280.t1 pep chromosome:ASM357664v1:9:7752002:7755633:-1 gene:itb09g12280 transcript:itb09g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEATVRKTQAVARRRAHTIFGTYGAATVEELNDDDQDVDNVNEAVMHTTTGEVYHADKFLTNGDYYTGQWADEAPHGTGKYWWTDGCMYVGEWFRGKPMGRGTFSWPSGAVYDGNFKSGYLDGEGTYTGPNGDTFRGSWVMNMRHGLGVKEYTNGDSYNGEWSRGLQEGQGRYTWKNGNYYVGEWKNGKISGRGNMYWANGNMYEGFWEDGWPRGNGTYRWADGSYYVGTWNKESGEHTGTHHPAPNSEGNEENSDNDNSNNNHPEWNPTDVYTLELKECKVCPIDKISVFPSQKLADWKTAKTGDNATRQRRMSADGRLDAAVDKEFAGMRLSDCSGTPTSIFCAPDDTFVGLQPPPDEGSAGGFIRGSPLRIPKIVKKQGETISKGHKSYELMLNLQIGIKYSVGKLGAATSLDLKAAAFDPKEKFWSKISPDGTKSVLPFQSCEFRWKDYCPKVFRALRLLFKVEPDDYINSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLIRMLNAYYNHVLDFDNTLITKYFGLHCLKLNGPAQKKVRFVIMGNLFHTDYTIHRRFDLKGSTFGRLTDKPESEIDSTTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCDLLEQERIMDYSLLVGVHFKEASTNEDNATPSGSHSPTAADSGASDSESRADMDKSLQERRGIIKLGINLPARVERTERKNEAGEMQLIGEPTGECYDVILFFSIIDILQDYDITKKLEHAYKSIQYDPNSISAVDPKAYSRRFRDYIFKAFAEDTAKDS >itb09g12280.t2 pep chromosome:ASM357664v1:9:7752002:7755633:-1 gene:itb09g12280 transcript:itb09g12280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWEATVRKTQAVARRRAHTIFGTYGAATVEELNDDDQDVDNVNEAVMHTTTGEVYHADKFLTNGDYYTGQWADEAPHGTGKYWWTDGCMYVGEWFRGKPMGRGTFSWPSGAVYDGNFKSGYLDGEGTYTGPNGDTFRGSWVMNMRHGLGVKEYTNGDSYNGEWSRGLQEGQGRYTWKNGNYYVGEWKNGKISGRGNMYWANGNMYEGFWEDGWPRGNGTYRWADGSYYVGTWNKESGEHTGTHHPAPNSEGNEENSDNDNSNNNHPEWNPTDVYTLELKECKVCPIDKISVFPSQKLADWKTAKTGDNATRQRRMSADGRLDAAVDKEFAGMRLSDCSGTPTSIFCAPDDTFVGLQPPPDEGSAGGFIRGSPLRIPKIVKKQGETISKGHKSYELMLNLQIGIKYSVGKLGAATSLDLKAAAFDPKEKFWSKISPDGTKSVLPFQSCEFRWKDYCPKVFRALRLLFKVEPDDYINSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLIRMLNAYYNHVLDFDNTLITKYFGLHCLKLNGPAQKKVRFVIMGNLFHTDYTIHRRFDLKGSTFGRLTDKPESEIDSTTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCDLLEQERIMDYSLLVGVHFKEASTNEDNATPSGSHSPTG >itb06g06680.t1 pep chromosome:ASM357664v1:6:9281880:9282868:-1 gene:itb06g06680 transcript:itb06g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEFQHTAYATNAYVKVGPLAKQLLQGEKVIKLEVSNKQVGSSNFIKSSKRSRSSGLESMLDELRKELAAIHGSMFPHSVLSTQQMCTISMQKPDSVEELEKIIGKLKVEKYGSRILQEIKSYESKPEAVESVDGEQGASKKLKSGKKALVVIESSEEEL >itb13g01860.t1 pep chromosome:ASM357664v1:13:1724187:1725444:1 gene:itb13g01860 transcript:itb13g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVRLFATASLLVMLFMASGMGIMAAGPRMCSSKSEKFRGACIFANGNCNTVCKSEGFSSGTCTGSFPPICTCTKPCC >itb05g12420.t1 pep chromosome:ASM357664v1:5:18980474:18983518:1 gene:itb05g12420 transcript:itb05g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGVELLKDAKVDAIIGPQKSAQGNFVMDLGGIAKVPVISFSATSPSLRPGSPYFVQTGLSDDAQVGAIAAIVESFKWSQVVLIFEDSEFGHGIVPYLSNAFQEINVRISYKSPLPVSASSDFLLKELYKMMTMQTRVFVVQTSTDLGARLFLKAKEIGMMNEGYAWIITQTLMDVMYLMDSNVVEAMQGVLGVKPLIPKTKRLGTFEMRLKSKLLKDKTKTLDHPGFRRADLSVFGLWAYDTLWALAEAAEKVGRGREAKEVLDSSSDPFAVGVSESGPELLQAILGTRFEGISGKFQLADGKREASSFQILNVVGNGEREVAIWTPSRGINFKTNGTNFYSSSRQIFKSSVIWPGGSNTVPRGWEFPVAGKKLRVGVPVKSGFTDFVRVERDKELNKTQVSGFYIDVFNSVMSALQYAVPYELVPFEKPDGSSAGTYNDLVYQVFLQNFDAAAGDISITVNRSKYADFTLPFAEGGVFGVVPIGYEDVDNIWTFLKPLTKELWLTSIVFFVFTGMAVWILEHRVSSAFRGPPSQHVGMILYFPFSTLVFAHRERIVSNFARLVVVVWMFVVLILNSTYTASLSSRLTVQRLKPAIQDANELIKNGDFVGCPEGSFIPDLLKEKGFQESKIKKYKYPEDFHNALSNGSNNGGISAYFDGAPNIKIFQSKYCGKYTIGPSYRTDGFAFVFPRGSPLVADVSRAVIELTENGRILEIGNQGFGNEETCTGPDSALLGSTSVKLQCFEGLFAITGGITGSCLLVFLVKYVYQNRTCLQTILDSKTSVWSKVVAICRHFDQRDTSADPPRDPKEKIRDAEGGEVPADLLRISSNSSVVVPLNMEEQNNANVRMALEVQYSSPG >itb01g23890.t1 pep chromosome:ASM357664v1:1:29738486:29742037:1 gene:itb01g23890 transcript:itb01g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGEGDKRWIVEERPDGTNVHNWHWAETNCLDWSRTFFTNLLTNQTLIDGEANLCIRTKKLDKLEGEAYVNVRKGKIIPGYELNLVLSWEGEAKDADGKLLSKTDGTVQIPYISDENADEDPEIKITVRDEGPVGRRLKDAFIAKGKPFVLEQVRAWVNAMARGGPAKDELESKTVVKKTTSGSTVAADADAPSDKAKTARKEGVKEKKREGFRTITMTERFICRSKDLYEILMDENRWKGFTQSNARISREVGGEFSIFDGSVSGTNLELQEGKLIVQKWRFGSWPDGIHSTVRLSFDEPEPGVTMIKLIHTDVPEEDRYGNETVVENTEGGWRDLIFHKIRAVFGFGI >itb09g03220.t1 pep chromosome:ASM357664v1:9:1809340:1811429:-1 gene:itb09g03220 transcript:itb09g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVCLLSEVLTESLFLFCIKPPLPATTSDLKSPFYIHGGGSDFVLAEVAADLKLSRLRQTRRRLTEHPTITRRRSFVGIDVLESLTMSRAEKPGVIWNVF >itb14g01180.t3 pep chromosome:ASM357664v1:14:932842:936143:1 gene:itb14g01180 transcript:itb14g01180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVNFGLAVLNILFKIVLSKGMNQLVLATYRQAISAIFLAPIACCMERESYKKLTTFTICALFFSGLMGGTITIYLTLFGLKYTSTSFACGFVNVVPIDTFLMALLFRQEKLNMKCRSGKAKVLGTLICLMGTIVLTLYKGKPLTNNAPSSPLGSIEAHHDTKSWVIGSLFLFAGCLTWSSWFIIQGRVMSDYPYQYSSTSIMSFFGAIQSAVLCIIIDRNTSIWRLKGSMEIWTIIYSGVVGSSICYAVMSWCVKQRGPVFTSTFSPFSQIFAIVFDVSIIHEQIYLGR >itb14g01180.t2 pep chromosome:ASM357664v1:14:931564:937544:1 gene:itb14g01180 transcript:itb14g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVNFGLAVLNILFKIVLSKGMNQLVLATYRQAISAIFLAPIACCMERESYKKLTTFTICALFFSGLMGQEKLNMKCRSGKAKVLGTLICLMGTIVLTLYKGKPLTNNAPSSPLGSIEAHHDTKSWVIGSLFLFAGCLTWSSWFIIQGRVMSDYPYQYSSTSIMSFFGAIQSAVLCIIIDRNTSIWRLKGSMEIWTIIYSGVVGSSICYAVMSWCVKQRGPVFTSTFSPFSQIFAIVFDVSIIHEQIYLGSILGSILVVGGLYALLWGKSKEAQVCKTAPRADKDERTVLPVVTNTPPRT >itb14g01180.t1 pep chromosome:ASM357664v1:14:931564:937544:1 gene:itb14g01180 transcript:itb14g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVNFGLAVLNILFKIVLSKGMNQLVLATYRQAISAIFLAPIACCMERESYKKLTTFTICALFFSGLMGGTITIYLTLFGLKYTSTSFACGFVNVVPIDTFLMALLFRQEKLNMKCRSGKAKVLGTLICLMGTIVLTLYKGKPLTNNAPSSPLGSIEAHHDTKSWVIGSLFLFAGCLTWSSWFIIQGRVMSDYPYQYSSTSIMSFFGAIQSAVLCIIIDRNTSIWRLKGSMEIWTIIYSGVVGSSICYAVMSWCVKQRGPVFTSTFSPFSQIFAIVFDVSIIHEQIYLGSILGSILVVGGLYALLWGKSKEAQVCKTAPRADKDERTVLPVVTNTPPRT >itb04g26650.t1 pep chromosome:ASM357664v1:4:30916028:30917171:1 gene:itb04g26650 transcript:itb04g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAVSDEIEVKAPAAEAWKVYSTLQLAKLIVEMCPDLLEKFEVVEGDGGVGTILKLSFPASTPMFTHSKEKFTVVDDEKRVKEVEVIEGGYLNLGFTLYRVRLEVIEKDERTCITKTTIEYEVKEESAANASFVSIQTFVAIMNAVATYLTTKQV >itb10g02660.t1 pep chromosome:ASM357664v1:10:2258462:2260332:-1 gene:itb10g02660 transcript:itb10g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEERKEEMGRDCSEDLRRSVKKLHFGSWEEKEVAAAEIKRLAKEDLRRRKFMAELGVIPPLVDMLGSEMVARRRLAVQALIVLAHGSYTNKALMVEAGILSKLPEKMDVTEELINQEFLHLVLSVSSLASSQFPVINSSRIIPFVVSILETTASNETRGLCLETLYILSSVLGNSGILVRNGVVGVLLRLCSSREVSEKALAVLGNLIVTQTGKKALEENPWVPEGLIETMAWDEKPKCQELAVYILMILAHQSSVQREKMAKAEIVPILLEVALLGSPLAQKRALKLLQWFKDERQRSMEHHSGPQMRRSSIGSPVHPREADEEGKRLLKKIVKQSLYKNMETITRRANCSGESSRLKTLAINSSSKSLPY >itb09g11950.t2 pep chromosome:ASM357664v1:9:7499430:7501818:-1 gene:itb09g11950 transcript:itb09g11950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAVAEPPAPPSNLNLTTADELEEALPISPLEAAHMFQNDDVVMDDFDFDFSFDDFCLPFGADNSLSQNFLPVSLDQAGCDPVQAQLDVGPTCYVQPGSGCEPIGAFKNSASIFKSSSSELCHFSADQIAGDAMLLNSVSPELSSTSSRVLFVNSPESQQIYGDVSGYLNVPSPESNGSNREASQRSGNENQGSSGDVVLNCPSPESQGSENCGLNVSAAPNLLSTDSDKSVNSSTDFGNNSIKIGVVDQKIKLEELNANINNCSNSMLKRKKQSEDINNVESRSSKYRKPVDDTITENNSENNADITSEEEEKRKTRLMRNRESAQLSRQRKKHYVEELEDKVRTMHSTIQDLNSKISYIMAENASLRQQMGGNGVAVAPPMPPPGMYPPVMYPWMPCPPPYMVKPQGSQVPLVPIPRLKPQQTVSAPKSSKKVESKKNEPKTKKVASVTFLGLLFFIMVFGGLVPTMNVRYGGMREAFTGNTRTGYYEKHPATVLAVNRTGQGESSAHGKHYSDVTHFGTQGHGGGGGKKHSEQDADEFGQSGNGSVPLAASLYVPRNDKLVKIDGNLIIHSVLASEKAMASHVDAEEKGSQETGLAVPENMPPAITAGRHPHMYQGAAERQRALGSDSMSKDNMRSTGADGRLQQWFREGLAGKIQYSESIIIANYLSVFIELMNFFT >itb09g11950.t1 pep chromosome:ASM357664v1:9:7498342:7501818:-1 gene:itb09g11950 transcript:itb09g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAVAEPPAPPSNLNLTTADELEEALPISPLEAAHMFQNDDVVMDDFDFDFSFDDFCLPFGADNSLSQNFLPVSLDQAGCDPVQAQLDVGPTCYVQPGSGCEPIGAFKNSASIFKSSSSELCHFSADQIAGDAMLLNSVSPELSSTSSRVLFVNSPESQQIYGDVSGYLNVPSPESNGSNREASQRSGNENQGSSGDVVLNCPSPESQGSENCGLNVSAAPNLLSTDSDKSVNSSTDFGNNSIKIGVVDQKIKLEELNANINNCSNSMLKRKKQSEDINNVESRSSKYRKPVDDTITENNSENNADITSEEEEKRKTRLMRNRESAQLSRQRKKHYVEELEDKVRTMHSTIQDLNSKISYIMAENASLRQQMGGNGVAVAPPMPPPGMYPPVMYPWMPCPPPYMVKPQGSQVPLVPIPRLKPQQTVSAPKSSKKVESKKNEPKTKKVASVTFLGLLFFIMVFGGLVPTMNVRYGGMREAFTGNTRTGYYEKHPATVLAVNRTGQGESSAHGKHYSDVTHFGTQGHGGGGGKKHSEQDADEFGQSGNGSVPLAASLYVPRNDKLVKIDGNLIIHSVLASEKAMASHVDAEEKGSQETGLAVPENMPPAITAGRHPHMYQGAAERQRALGSDSMSKDNMRSTGADGRLQQWFREGLAGPMLSSGMCSEVFQFDVSSAIVPATSMRNVSLEETRNTTKSKKGRNRRILNGLSVPLPASAHNISEETAEITGNKEKLKGNNNSLSSMVVSVLVDPREGGDGDVDGVMGKKSLSRIFVVVLVDSVKYVTYSCMLPFKGSVPHLVTT >itb14g00800.t1 pep chromosome:ASM357664v1:14:564311:569532:-1 gene:itb14g00800 transcript:itb14g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSMASDLIHHIEATNDHGWQKVSYSKKQKKNQQKPAASDSSRIVANGSAVSGVDNVFKSLEKQSEERRIRIEAQRAAMQFDDEAPVRSAAKHRSDDEDEDSDSWGADRGSGVQNGAVDGKKKEKIKKPKKPKVTVAEAAAKIDATDLSVFLDEVSESYESQQDILLMRFADYFGRAFSAVTASQFPWLKLFRESLVAKIADVPVSHLSEPVYKTSVDWINKRSYEALGPFVLWLLDSILADLAIQQSGSKVSKKGVQQTSSKSQVAIFLVLSMVLRRKPDVLINIMPTLRENTKYQGQDKLPVIVWMIAQACQGDLCVGLYLWAHWILPLVGGKSGSNPQTRDMILQSVERILSFPKARSILVNGAVRKGERLVPPSALDLLLRVTFPASSARVKATERFEKIYPTLKEIALAGSPGSKAMKQVSLQIFSFATKSAGEGIPELSKEGTSIFIWCLTQNAECFKQWDKIYLDNIEASVAALRKLTEEWRVLSANQSSLVVARETLKGFRNKNEKALSEGVNATLQSLLKDADKYCKVLLGRLSRGHACLKSLALIVVLLGVGAAVTSPDIQSWDWDKLNHKLMVLFNAPHRS >itb06g21420.t1 pep chromosome:ASM357664v1:6:24001535:24004225:1 gene:itb06g21420 transcript:itb06g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) UniProtKB/TrEMBL;Acc:Q9SZB3] MGKKLLVTGASGYLGGRLCHALLNNGHHVRAFVRRTSDLSCLPPPTDAASSGGGALEFAFGDVANYESLLEACSGCHVIFHAAALVEPWLPDPSRFTTVNVGGLKNVIQACKESGTVEKIIYTSSFFALGSTDGYIADESQTHPAKFFCTEYEKSKAIADRMALEAAAEGVPIIPVYPGVVYGPGKVTAGNVFARLIIERFSWRLPGYIGQGNEKFSFSHVDDVVHGHISAMDKGRLGERYLLTGENASFKDVFDLAADITLTTKPRFGIPLAIIEVYGWISVFFSRITGKLPLISPPTVQVLRHQWAYSCEKAKAELDYNPRSLKEGLTEVLLWLKNSGLIQY >itb02g25630.t1 pep chromosome:ASM357664v1:2:26386907:26391686:-1 gene:itb02g25630 transcript:itb02g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKGETKLAILVASERENYRKTERDAERGRRPESAVCATTNANGPASLSRRRHRLPQAAPSQRQRRRRPMFLLVTTPAPPPPSQPPLPIERSIITGQRTRHRSRHRRCPPLQPPSPAIEATFAVVLTNRSQHGSPASPLPSRLHRPSPVLPEGEGKQRRRRKTSFTAAPVAVFLCRIVILERR >itb03g08950.t1 pep chromosome:ASM357664v1:3:6792760:6794744:1 gene:itb03g08950 transcript:itb03g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALMRSSLRSALRGGSARVAPASKRNLSSSAHHDEAQEAAKWEKITYAGIVGCTILAIVNLSKGHPHHEEPPAYPYMHIRNKEFPWGPDGLFETKHH >itb06g25870.t1 pep chromosome:ASM357664v1:6:26739853:26747565:-1 gene:itb06g25870 transcript:itb06g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPSNFPILSYVMSKLPSFGSLSVTTASADDMERPTARTRQPQNPHFEITDRMPHLTDPNVVVAMRLAIVDVAQTRSLLKALGDRPDHEMVDTAKAKLAEIDAFLSKQLDDEGKRKEAEKEREMYKAVIELDEMHQAYEVLLKEAERRLGRIYDVAVAGGDVAAALEGREDHMNSGGVKEEEVVNEEIVAILQETLEKGVERVDLSGRQLNLLPEAFGRICSLLVLNLSNNDLKAIPDSISGLKNLLELCLSSNVLESLPNSIGLLFNLKILDMSSNKLTTLPDSICHCRSLVELNVSFNKLSYLPTNMGCELVNLRRLSVPFNKLRSLPTSIGEMKSLSILDVHFNELHGLPLSIGKLTNLEILNLSSNFNGFTHLPDTIGDLINLKELDLSNNQIYELPVTFGRLDNLIEFKMDQNPVVVPPKKVVDEGVEAVKAFMVKKRLGMINMAEERRSMLEQKDRSASWLSDVVSSVSGYLAGAEKPEQDDYLNQQL >itb12g23930.t1 pep chromosome:ASM357664v1:12:25564267:25565191:-1 gene:itb12g23930 transcript:itb12g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD21 [Source:Projected from Arabidopsis thaliana (AT3G11090) UniProtKB/TrEMBL;Acc:A0A178V6L2] MKNHQEPRSSSSCAACKFLKRRCVPNCQFAPYFRSDEPKKFAKVHKVFGASNVSKILNEVPEEQREDTVNSLVYEAEVRLRDPVYGCIGAIASLQRRMAELQNDLVLARARLAFYATANPPTAPASSSSSSSFFNYDPNLPSSYLDFQPSGGFSGNYDHQNSLILDHTGSLNQFGQFPFP >itb12g19070.t2 pep chromosome:ASM357664v1:12:21371959:21381820:1 gene:itb12g19070 transcript:itb12g19070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTVSNSGAAARPNAGGGGNAANALYLNSFRISAAADRLAMHVGPQPKNDVVEFCQLCLSLARGIDCAIASHDVPAKALELPSLIKQVCRRKNDSFIQAAVMVLMISVKCACQSGWFSDKDSEDLCHLANEISSCFCSEPDFKCEPSSYLSIISIIMSRFFPLLQMGQIFAFLEVKPGYGTFINDFHISKSVKTTAEDKIRLLVAQVDNTETSSCLINPPQANFLLNGKGVEKRTNVLMDTGPQIPTIVSHMLKYGTNLLQAVGEFNGNYIVAVAFMSIKPTPDPATLLNYVQPTPASVDPDSEIIEGPSRISLNCPISFKRIKTPVKGQSCKHLQCFDYQNYVDINSRRPSWRCPHCNQQTCFTDIRIDQDMVKVLKEVGENVTDVILSSDGSWKAVMESDDPTGNSPVNKPDISKDETMLPDSNGISSSSADILDLTEIDDAMDVVATGEMEDHKSVQTNCQNQPSTSNPSEVNQASHMDDAFWSGYYFSRLESGTSRPSSSTQIDGVSGPLPTSLMLSPVLTDALIQASVMQGGDSSPNNLQLQQYQFVNSAIGNEYGRMPSIVRHAVNRAPIAVQALPAQMPSPVHQQRPRGTISDVILNVPSATPQATSVSTNAGSSNVERPQQQLSRSNSNMVQASQVSPSTLPNRQLEHSSAPIRPTQQFAAHKNPIHTPSYRASSGFTAELLNRSWQGLANQQTSYTANQSPGLSRSPAPSFSRNNAQGSLQSVAGQARGVASGQHLQPTLAAQRTAQIARPVQLSPPLSANAADSPRPSLIGDQRGSTPGTVPVDLPADQDWRPTGRMRGSLSGRAYNEALEQYIIRPTQQAQAPRPSIPPNISPQLQALMASRSVNASPPLPVNPLPSSTPDVSSAGLPQHSSGMQ >itb12g19070.t1 pep chromosome:ASM357664v1:12:21371564:21381837:1 gene:itb12g19070 transcript:itb12g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLYRAWCVRIHLRSLKARRTIKQRRKMAGQTVSNSGAAARPNAGGGGNAANALYLNSFRISAAADRLAMHVGPQPKNDVVEFCQLCLSLARGIDCAIASHDVPAKALELPSLIKQVCRRKNDSFIQAAVMVLMISVKCACQSGWFSDKDSEDLCHLANEISSCFCSEPDFKCEPSSYLSIISIIMSRFFPLLQMGQIFAFLEVKPGYGTFINDFHISKSVKTTAEDKIRLLVAQVDNTETSSCLINPPQANFLLNGKGVEKRTNVLMDTGPQIPTIVSHMLKYGTNLLQAVGEFNGNYIVAVAFMSIKPTPDPATLLNYVQPTPASVDPDSEIIEGPSRISLNCPISFKRIKTPVKGQSCKHLQCFDYQNYVDINSRRPSWRCPHCNQQTCFTDIRIDQDMVKVLKEVGENVTDVILSSDGSWKAVMESDDPTGNSPVNKPDISKDETMLPDSNGISSSSADILDLTEIDDAMDVVATGEMEDHKSVQTNCQNQPSTSNPSEVNQASHMDDAFWSGYYFSRLESGTSRPSSSTQIDGVSGPLPTSLMLSPVLTDALIQASVMQGGDSSPNNLQLQQYQFVNSAIGNEYGRMPSIVRHAVNRAPIAVQALPAQMPSPVHQQRPRGTISDVILNVPSATPQATSVSTNAGSSNVERPQQQLSRSNSNMVQASQVSPSTLPNRQLEHSSAPIRPTQQFAAHKNPIHTPSYRASSGFTAELLNRSWQGLANQQTSYTANQSPGLSRSPAPSFSRNNAQGSLQSVAGQARGVASGQHLQPTLAAQRTAQIARPVQLSPPLSANAADSPRPSLIGDQRGSTPGTVPVDLPADQDWRPTGRMRGSLSGRAYNEALEQYIIRPTQQAQAPRPSIPPNISPQLQALMASRSVNASPPLPVNPLPSSTPDVSSAGLPQHSSGMQ >itb13g05190.t1 pep chromosome:ASM357664v1:13:6156001:6159832:-1 gene:itb13g05190 transcript:itb13g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGSSSGSYGYGDFLESWVSESSSRNQVEPLSYSFGSLLSSTSTAMLQGNNVQLDYNEVNTTVVNKSLLDSLVPSFCANYVVNDANLLLPSTAFLQIPGIHDSDPGFLSFAPNNNGLLGIGMGDSYPAGLVNSGIGDSYPSGLVNTGMRDSYPGLNKVSSHSNQSHRQGCKRQQLGSMMSFAGAEPAGFDVYQMGIGIETETQLSKKPRLDATKDALLLPEINQHFDKSLLQLQEKNSVLKMLLEQQRQQNELHKQLSLLGTEDALGQQFQPDQWELSQNQAQISSLPCLDASICNRRLTQYLYHMRSRPTNNDMTYWKKFVSEYYAPCAKQRLCFSTCDNVGQQALNLFTEAALESWCCSICASKSSRGFEVIYETLPRLFKTKFESSILDEVLFLGWPREHRFPSGLLLLEYGKVVQESIYENFRIVHEGILRVIFRPDLKIFSWEFCVQRHEELLQYQSVARQIDHFVEAAEKYRSTITNSAGATSEGIQSCCDMFLKAELELARNVDLPLVNALGFSKKHFRCLQIAEIIGSMTDLITISHELGVGPIESLKNYHRLKEMKELSMTQSVVTSTDDGGLAADNSYSRLLRQGPRSSVARTQVLQPSYSTANSSQLEFTANELTVNERMKSIEHTVDKLLSTKEATVRANSLGNAISAGTGSEASGTATSGAWPQISDTIMLANAMNFGENATVLAIAQANLNLIANAQLSGPEMCKAAFNSSSSKVKMSVKVEPRFQEQI >itb01g03080.t2 pep chromosome:ASM357664v1:1:1997898:2002305:1 gene:itb01g03080 transcript:itb01g03080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAEPLTAADFSSYPNDASNVRVAYQGIPGAYGEAAALKAYPSCETVPCEQFEAAFQAVELWLVDKAVLPIENSLGGSLHRNYDLLLRHRLHIVGEVQLVVNHCLLGLPGVRKEELKRVLSHPQALDQCEMTLNKLGVVRVNVNDTAGAAQMIALEGMRDTGAVASARAAEIYGLDILAEYIQDISSNITRYLILAREPIIPGTDRPYKTSIVFTLEEGPGVLFKALACFSLRGINLSKIESRPQRKNPLRVVDASKGSVKYFDYLFYIDFEASMAEPRAQFALGHLQEIARFLRVLGSYPMDTAL >itb01g03080.t1 pep chromosome:ASM357664v1:1:1996808:2002305:1 gene:itb01g03080 transcript:itb01g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAVPIWGCCAKRRQHCSVYAKRSECQAGVSEVGFLNSGVERKWRCSAVLVEKPLTLSGVASLPGGSGVEEEEPQIAKPSGFHRDLQSLPKPLTAADFSSYPNDASNVRVAYQGIPGAYGEAAALKAYPSCETVPCEQFEAAFQAVELWLVDKAVLPIENSLGGSLHRNYDLLLRHRLHIVGEVQLVVNHCLLGLPGVRKEELKRVLSHPQALDQCEMTLNKLGVVRVNVNDTAGAAQMIALEGMRDTGAVASARAAEIYGLDILAEYIQDISSNITRYLILAREPIIPGTDRPYKTSIVFTLEEGPGVLFKALACFSLRGINLSKIESRPQRKNPLRVVDASKGSVKYFDYLFYIDFEASMAEPRAQFALGHLQEIARFLRVLGSYPMDTAL >itb11g19530.t1 pep chromosome:ASM357664v1:11:20315500:20316018:1 gene:itb11g19530 transcript:itb11g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDAYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYITAGGEKGNPGSQTINNWFKIVKTTNAYKLMYCPDVCYYCSYYCRDVGISVEAGQRRLVLSDTPLEINFRKA >itb07g21220.t1 pep chromosome:ASM357664v1:7:25629674:25632192:-1 gene:itb07g21220 transcript:itb07g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSSAAKNLKSSPIQQLSYLAEKCNAINLAEGFPDFPAPAIFKAAAISAINSDYNQYRHVQGLCDLVALRAKEIHGLDVDPLTDIVVCCGQSEAFAATMFAIINQGDEVILLDPVYETYEVCITLAGGVPVYVPLDPPHWTLDQEKLNKSFTSRTKAIVLNSPHNPTGKVFTKAELEIIARACQTHNIIAVTDEVYEHITFDKERHISLATFPGMQHRTIITSSLSKTYSLTGWRIGWAIAPSCIASAIRNIHVKITDSAPAPFQEAALIALRSPSEYFNSLRKDYESKRDFLAELLAKVGFQIQSMPKGSVFVFAELPETCTLSDVEFVEELIKQAGVVAVPGSGFFHSHSYVDKPSQVTSSYARRYIRFAFCKSNETLATAAQKISRLVDSTGRLKLF >itb04g04690.t1 pep chromosome:ASM357664v1:4:2886860:2891521:-1 gene:itb04g04690 transcript:itb04g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKDPSLFVNDGSFMERFKQLQQEKDKEKGKGKTDTELKSSKVASNLSGTSSPKLIINKTSFALKGNESRKPTPAPSGGKLAFSLKQKSKIVAPSVKLGEDEDENEKDAGNPSGDAPSKRQKLDEPVGSVQSLRQFDVAPPPPSDPTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCPDYKYYEYRLGEEEKALSQTTDSQTAQSGGTKTSTSSLSSGSQRSYQQRSNYQIPASALYGTADASREDASTGRYGEPNPPTAADPIAMMEFYMKKAAEEEKLRPFKSSKDEMPPPPSLQVSSGKKGHHMGDYIPPEELAKFMANCNDAAAQKATKEIAERNKIQANNIGHKLLSKMGWREGEGLGSSRSGIADPIAAGDVKSNNLGVGAHNPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKSYY >itb04g04690.t3 pep chromosome:ASM357664v1:4:2886934:2891507:-1 gene:itb04g04690 transcript:itb04g04690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKDPSLFVNDGSFMERFKQLQQEKDKEKGKGKTDTELKSSKVASNLSGTSSPKLIINKTSFALKGNESRKPTPAPSGGKLAFSLKQKSKIVAPSVKLGEDEDENEKDAGNPSGDAPSKRQKLDEPVGSVQSLRQFDVAPPPPSDPTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCPDYKYYEYRLGEEEKALSQTTDSQTAQSGGTKTSTSSLSSGSQRSYQQRSNYQIPASALYGTADASREDASTGRYGEPNPPTAADPIAMMEFYMKKAAEEEKLRPFKSSKDEMPPPPSLQVSSGKKGHHMGDYIPPEELAKFMANCNDAAAQKATKEIAERNKIQANNIGHKLLSKMGWREGEGLGSSRSGIADPIAAGDVKSNNLGVGAHNPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKSYY >itb04g04690.t2 pep chromosome:ASM357664v1:4:2886860:2891521:-1 gene:itb04g04690 transcript:itb04g04690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKDPSLFVNDGSFMERFKQLQQEKDKEKGKGKTDTELKSSKVASNLSGTSSPKLIINKTSFALKGNESRKPTPAPSGGKLAFSLKQKSKIVAPSVKLGEDEDENEKDAGNPSGDAPSKRQKLDEPVGSVQSLRQFDVAPPPPSDPTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCPDYKYYEYRLGEEEKALSQTTDSQTAQSGGTKTSTSSLSSGSQRSYQQRSNYQIPASALYGTADASREDASTGRYGEPNPPTAADPIAMMEFYMKKAAEEEKLRPFKSSKDEMPPPPSLQVSSGKKGHHMGDYIPPEELAKFMANCNDAAAQKATKEIAERNKIQANNIGHKLLSKMGWREGEGLGSSRSGIADPIAAGDVKSNNLGVGAHNPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKSYY >itb13g17140.t4 pep chromosome:ASM357664v1:13:24056336:24060520:1 gene:itb13g17140 transcript:itb13g17140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIVECVYCLACARWAWKKFLYTAGRESENWGLATASEFEPVPRLCRYILSVYEDDLRNPLWAPPGGYGVDLDWIVLRRSYEDTQGKVAPYMIYLDHGSQEIVVAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSAQWVEETDSKVLGELIERYPNYTLTFAGHSLGAGVVTLLAMLAVKNREQFGNVERRRIRCFAIAPARCVSLNLAVRYADIINSVVLQTHALWRRRSLKIQGASMHRVVFITSLPGNPSARQRYHLLSGRLYLLMDGLNTSFSLAT >itb13g17140.t3 pep chromosome:ASM357664v1:13:24056336:24060520:1 gene:itb13g17140 transcript:itb13g17140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIVECVYCLACARWAWKKFLYTAGRESENWGLATASEFEPVPRLCRYILSVYEDDLRNPLWAPPGGYGVDLDWIVLRRSYEDTQGKVAPYMIYLDHGSQEIVVAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSAQWVEETDSKVLGELIERYPNYTLTFAGHSLGAGVVTLLAMLAVKNREQFGNVERRRIRCFAIAPARCVSLNLAVRYADIINSVVLQFPMCALHNVPERHMHYGGEEA >itb13g17140.t2 pep chromosome:ASM357664v1:13:24056408:24060425:1 gene:itb13g17140 transcript:itb13g17140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIVECVYCLACARWAWKKFLYTAGRESENWGLATASEFEPVPRLCRYILSVYEDDLRNPLWAPPGGYGVDLDWIVLRRSYEDTQGKVAPYMIYLDHGSQEIVVAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSAQWVEETDSKVLGELIERYPNYTLTFAGHSLGAGVVTLLAMLAVKNREQFGNVERRRIRCFAIAPARCVSLNLAVRYADIINSVVLQDDFLPRTTVGQENAFKSLFCFPCVLCIMCLKDTCTMEEKKLKDPRRLYAPGRLYHIIARKPFSSTKISPVVRTAIPVDGRFEHIVLSCNMTSDHAIICILTEAQRALDTMLERDHALDIPTQQRMERQASLAKEHREEHRAALQRAVALDVPQAYLPSSYGTFHELEEGENSGKLEEKALLS >itb13g17140.t1 pep chromosome:ASM357664v1:13:24056336:24060520:1 gene:itb13g17140 transcript:itb13g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIVECVYCLACARWAWKKFLYTAGRESENWGLATASEFEPVPRLCRYILSVYEDDLRNPLWAPPGGYGVDLDWIVLRRSYEDTQGKVAPYMIYLDHGSQEIVVAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSAQWVEETDSKVLGELIERYPNYTLTFAGHSLGAGVVTLLAMLAVKNREQFGNVERRRIRCFAIAPARCVSLNLAVRYADIINSVVLQDDFLPRTTVGQENAFKSLFCFPCVLCIMCLKDTCTMEEKKLKDPRRLYAPGRLYHIIARKPFSSTKISPVVRTAIPVDGRFEHIVLSCNMTSDHAIICILTEAQRALDTMLERDHALDIPTQQRMERQASLAKEHREEHRAALQRAVALDVPQAYLPSSYGTFHELEEGENSGKLEEKALLS >itb13g17140.t5 pep chromosome:ASM357664v1:13:24056336:24058993:1 gene:itb13g17140 transcript:itb13g17140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIVECVYCLACARWAWKKFLYTAGRESENWGLATASEFEPVPRLCRYILSVYEDDLRNPLWAPPGGYGVDLDWIVLRRSYEDTQGKVAPYMIYLDHGSQEIVVAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSAQWVEETDSKVLGELIERYPNYTLTFAGHSLGAGVVTLLAMLAVKNREQFGNVERRRIRCFAIAPARCVSLNLAVRYADIINSVVLQDDFLPRTTVGQENAFKSLFW >itb13g17140.t6 pep chromosome:ASM357664v1:13:24056341:24057596:1 gene:itb13g17140 transcript:itb13g17140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIVECVYCLACARWAWKKFLYTAGRESENWGLATASEFEPVPRLCRYILSVYEDDLRNPLWAPPGGYGVDLDWIVLRRSYEDTQGKVAPYMIYLDHGSQEIVVAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSAQWVEETDSKVLGELIERYPNYTLTFAGHSLGAGVVTLLAMLAVKNREQFGNVERRRIRCFAIAPARCVSLNLAVRYADIINSVVLQVTCATSYSDPISFTLATMFSSV >itb01g32940.t1 pep chromosome:ASM357664v1:1:36270012:36272139:1 gene:itb01g32940 transcript:itb01g32940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNFRGMETEVQSNYTYMGRSFSDLSINDDSSAFSDCNSDRSGEFPTASSQSRRLLLACATDNSDELIPQLVSDLDSSSIDAIKQAAMELRLLAKNKPENRIKIARAGAIKPLISLISSTDPQLLEYGVTAILNLSLCDENKQLIAASGAIKPLVRALKVGTPVAKENAACALLRLSQIEESKVAIGRSGAIPPLVSLLENGNLRGKKDASTALYSICSVKENKVRAVQAGIMKPLVELMADFSSNMVDKSAFVVSVLASAAEARAALVEEGGIPVLVEIVEVGSQRQKEIAVAILLQLCEDSVPYRTLVAREGAIPPLVALSQSGTSRAKQKAESLIQLLRQPRTASAAARGASDHLV >itb01g32940.t2 pep chromosome:ASM357664v1:1:36270012:36272139:1 gene:itb01g32940 transcript:itb01g32940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNFRGMETEVQSNYTYMGRSFSDLSINDDSSAFSDCNSDRSGEFPTASSQSRRLLLACATDNSDELIPQLVSDLDSSSIDAIKQAAMELRLLAKNKPENRIKIARAGAIKPLISLISSTDPQLLEYGVTAILNLSLCDENKQLIAASGAIKPLVRALKVGTPVAKENAACALLRLSQIEESKVAIGRSGAIPPLVSLLENGNLRGKKDASTALYSICSVKENKVRAVQAGIMKPLVELMADFSSNMVDKSAFVVSVLASAAEARAALVEEGGIPVLVEIVEVGSQRQKEIAVAILLQLCEDSVPYRTLVAREGAIPPLVALSQSGTSRAKQKVKN >itb03g00120.t1 pep chromosome:ASM357664v1:3:64256:67548:1 gene:itb03g00120 transcript:itb03g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALYSTTFPPPKASPPLAVYFGAKTSNSAVPSLSFGIARPKSRPLRRAFVCRAASVVFRDLDADDFRHPLDRQNTLLLRAIPGLNEVGKALLGTVSEQVMFLENIGTSVLVSENQLPELHQLMVEAAKILSIEAPDLYVRQSPVPNAYTLAIGGKKPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTVANILTLGAYSLPGLGGLIAQRLEEQLFRWLRAAELTCDRAALLVAQDPKRWLSLF >itb03g00120.t3 pep chromosome:ASM357664v1:3:64256:67548:1 gene:itb03g00120 transcript:itb03g00120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALYSTTFPPPKASPPLAVYFGAKTSNSAVPSLSFGIARPKSRPLRRAFVCRAASVVFRDLDADDFRHPLDRQNTLLLRAIPGLNEVGKALLGTVSEQVMFLENIGTSVLVSENQLPELHQLMVEAAKILSIEAPDLYVRQSPVPNAYTLAIGGKKPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTVANILTLGAYSLPGLGGLIAQRLEEQLFRWLRAAELTCDRAALLVAQDPKVVVSVLMKLAGGSPSLADQLNVDAFLEQARSYDQASSTPVGWYIRNAQTRQLSHPLPVLRAREIDEWSKSQEYQYLVKRAMRVNSVQQIQL >itb03g00120.t4 pep chromosome:ASM357664v1:3:64262:65432:1 gene:itb03g00120 transcript:itb03g00120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALYSTTFPPPKASPPLAVYFGAKTSNSAVPSLSFGIARPKSRPLRRAFVCRAASVVFRDLDADDFRHPLDRQNTLLLRAIPGLNEVGKALLGTVSEQVMFLENIGTSVLVSENQLPELHQLMVEAAKILSIEAPDLYVRQSPVPNAYTLAIGGKKPFVVVHTSLVELLTRKELQVM >itb03g00120.t2 pep chromosome:ASM357664v1:3:64256:67543:1 gene:itb03g00120 transcript:itb03g00120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALYSTTFPPPKASPPLAVYFGAKTSNSAVPSLSFGIARPKSRPLRRAFVCRAASVVFRDLDADDFRHPLDRQNTLLLRAIPGLNEVGKALLGTVSEQVMFLENIGTSVLVSENQLPELHQLMVEAAKILSIEAPDLYVRQSPVPNAYTLAIGGKKPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTVANILTLGAYSLPGLGGLIAQRLEEQLFRWLRAAELTCDRAALLVAQDPKVVVSVLMKLAGGSPSLADQLNVDAFLEQARSYDQASSTPVGWYIRNAQTRQLSHPLPVLRAREIDEWSKSQEYQYLVKRAMRVNSVQQIQL >itb07g18080.t1 pep chromosome:ASM357664v1:7:22487450:22489582:1 gene:itb07g18080 transcript:itb07g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSKDDVVQLIKRFGAFLTVRISNLLRSMDTKSVGALAGLALAIVFTWRLLRSPSGTQRRRPKRQAAPSSSSGASSHTNATITTSVVNPSDDSRAQNVIDEFFQPVKPTLGQIVRQKLSEGRKVTFYSSTVLHAILVYKVFLFYCKL >itb10g21240.t1 pep chromosome:ASM357664v1:10:26551369:26553911:1 gene:itb10g21240 transcript:itb10g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFSSSTTIHGSFTGKPKALQMGYFQPLDKAHNQPTAVSFSRRHSAVKPLNANESVVVSAATIAAPEVTEKVGAEGYEKLAKQLENASALEIVDKALETFGDDIAIAFSGAEDVVLIEYARLTGRPFRVFSLDTGRLNPETYRFFDTVEKHYGIRVEYMFPDAVEVQGLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKQLRAWITGQRKDQSPGTRSEIPVVQVDPSFEGLDGGVGSLVKWNPLANVDGKDVWDFLRAMNVPVNSLHSRGYVSIGCEPCTRPVLPGQHEREGRWWWEDAAAKECGLHKANIKDTIPSANTIERDIFNTNNVVTLTRPGIENMLKMADRKEPWVVVLYAPWCRFSQAMEESYTELAGKLAGSGVKAAKFRADGDQKPFAQQELQLVSFPTILFFPKNSSRPIKYASEKRDTDSLLAFVDALR >itb07g03030.t1 pep chromosome:ASM357664v1:7:2064825:2068661:-1 gene:itb07g03030 transcript:itb07g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAHFSGGQWNAVGIALFLLYFCGGLISLSCAGRPPAESRQELQVHKHLKRLNKAPVKSIQSPDGDIIDCVHISKQPAFDHPFLKDHKIQMRPNYHPEGVFDVEKESVGTKERTNPIHQLWHMNGKCPEDTIPVRRTKREDVLRASSVKKYGKKKHKSIAKPRGTDPDLVNESGHQHAIAYVEGERYYGAKATINVWEPKIQRTNEFSLSQIWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSEIAMGASISPVSAYRNSQYDISILIWKDPKEGNWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGKHTNTQMGSGHFPEEGFGKAGYFRNIQVVDSSNNLKSPKGLGTFTEQSNCYDVQTGSNGDWGHFFYFGGPGRNSNCP >itb04g18150.t1 pep chromosome:ASM357664v1:4:21545076:21545441:-1 gene:itb04g18150 transcript:itb04g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYGFSLNNILSLQDYHHLLVPFRILQFLLLHVVCSRNNNSLLVGDAAWPAPNLANMTSPVLQSGFSGWPAIIETMESNKMVHIIDFHAADPTQWCALFQRAVTSPHRRAPRESGDEQRV >itb02g00650.t2 pep chromosome:ASM357664v1:2:391192:392307:1 gene:itb02g00650 transcript:itb02g00650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKIQLLKFPRTYNVTNLLSLVPQNAMVFQIYALLSRAFDKNIPASDPPSYKGVALGGVGTGAIQSLILSPVELVKIRLQLQKNIYKSNVQSVGPVDVARQIFRQEGWKGIYRGFTITILRDAPAHGWYFWTYEYMREQLHPGCRKNGQETFKTMLIAGGFAGVVSWITCYPLDVIKTRLQAQSESTPLRYNGIVDCFRRIVKEEGHKVLWRGLGTAVARAFIVNGAVFTAYETALRCISNSNNHATIYTDNTP >itb02g00650.t1 pep chromosome:ASM357664v1:2:390296:392419:1 gene:itb02g00650 transcript:itb02g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGKEFVAGGFGGTAGIIAGYPLDTIRIRQQQSGAGSAYSILRGVVGSEGPRALYRGMAAPLASVTFQNAMVFQIYALLSRAFDKNIPASDPPSYKGVALGGVGTGAIQSLILSPVELVKIRLQLQKNIYKSNVQSVGPVDVARQIFRQEGWKGIYRGFTITILRDAPAHGWYFWTYEYMREQLHPGCRKNGQETFKTMLIAGGFAGVVSWITCYPLDVIKTRLQAQSESTPLRYNGIVDCFRRIVKEEGHKVLWRGLGTAVARAFIVNGAVFTAYETALRCISNSNNHATIYTDNTP >itb02g03250.t2 pep chromosome:ASM357664v1:2:1864192:1874643:-1 gene:itb02g03250 transcript:itb02g03250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDRTFLDIIGLLKSWIPWRSEPANVSRDFWMPDQSCRVCYDCDSQFTLINRRHHCRHCGRIFCAKCTSNWVPAPSSQPCMLHQDEWEKVRVCNYCFKQWEQGIAASADNGIEVDNLESSTSLSATSFISFKSSRTGDSSSITVASMPYSAAELGLNQAATMESTVDKQGFIIARDSSHLAAADMGVCNQSSDRYAFCTARSDDEEEEYGSYQLGSPRNHSSEGNGYYNHIQFDGIVKEFGSLKIHPDGEAVDTKSISSSSVHNSMDSQTSEEVQQIVKKEGESDIVYECETSSSMYVAENVSADPVDFENNGVLWLPPDPEDEEDEREAFPFDDDDDGDAAGEWATLRTSSSFGSGEYKSKDKSNEEHKKAMKHVVDGHFRALVAQLMQVENLPMGEEDEKDNWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHCRDSVVVKGIICKKNVAHRRMASKIEKPRILILGGALEYQRVSNLLSSVDTLLQQEMDHLKMAVAKIDAHQPDVLLVEKSVSRCAQDYLLAKDISLVLNIKKPLLERIARCTGGQIVPSIDHLSSQKLGYCDMFHVEKFAEEHDVVGQSGKKLMKTLMYFEGCPKPLGCTVLLRGANTDELKKVKHVVQYSVFAAYHLAVETSFLADEGATLPEFPLGSPITVALPDKTSAVGRSISTFPGFTIPSSEKTQGPPTSGEPQRSFSVPTNNLVETANLCVQKMEPADFSTIYAAISTNSIIPSVTSSQQGISNSSSNEPSLCHAPKGRTLTSSRDEPLVGNDILEDLRDHLVSNDSRPSGPLEQSMESKNVQNTCNDMDANQIVSNPTLQQEVKHVHEEPGSSKEGFPPPSSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQGYRCRSCEMPSEAHVQCYTHHQGTLTISVKKLQEIILPGEREGKIWMWHRCLKCPRFNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPSKLDFNYENQDWVQEEVNEVVGRAELLFSEVFNALGNLAEQKCGVMLNSSIKVSKTKHHLTELEGMLQKEKAEFEESLEKVLNKEVKNGQPAIDIFEINRLRRQLLFQSYMWDHRLVYAASLDRVSHCIDGEVVCTSDVDNEKYSDPNIPLEPSNSLYDSDLVPVGEKLDDGGERVTGCHVNQINQGGDVGFDSNNLIGNPNSVSFVINGSESDPLVLRRVLSDGQFPMMENLSDTLDAAWTGESHMSAGTPKDGPCKPLHLATMDSSTNRVTEKLDVEDSGEELSVAKLSVSSLLSFKGLENTEDSVSWLGMPFINFYRSLNKNFLGSAQKLDTLGEYNPVYISSFRGSDAQDGARLLLPVGVNDTVVPIYDDEPTSLISYALVSPDYILQLSDELDRPKESADFTFPLQSFDSGGSFLGFQSLDDIASADESILSLSGSRTLDPLLYTKTMHARVSFANDGPQGKTKYTVTCYYAKRFEALRRVCCPSEMDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENLLFGRKITRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIESMPTSPIFVGNKAKRLLERAVWNDTAFLASVDVMDYSLLVGIDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMIPDQWSSSTLIPSISQTELCDENMPSSTSAE >itb02g03250.t1 pep chromosome:ASM357664v1:2:1864192:1874881:-1 gene:itb02g03250 transcript:itb02g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDRTFLDIIGLLKSWIPWRSEPANVSRDFWMPDQSCRVCYDCDSQFTLINRRHHCRHCGRIFCAKCTSNWVPAPSSQPCMLHQDEWEKVRVCNYCFKQWEQGIAASADNGIEVDNLESSTSLSATSFISFKSSRTGDSSSITVASMPYSAAELGLNQAATMESTVDKQGFIIARDSSHLAAADMGVCNQSSDRYAFCTARSDDEEEEYGSYQLGSPRNHSSEGNGYYNHIQFDGIVKEFGSLKIHPDGEAVDTKSISSSSVHNSMDSQTSEEVQQIVKKEGESDIVYECETSSSMYVAENVSADPVDFENNGVLWLPPDPEDEEDEREAFPFDDDDDGDAAGEWATLRTSSSFGSGEYKSKDKSNEEHKKAMKHVVDGHFRALVAQLMQVENLPMGEEDEKDNWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHCRDSVVVKGIICKKNVAHRRMASKIEKPRILILGGALEYQRVSNLLSSVDTLLQQEMDHLKMAVAKIDAHQPDVLLVEKSVSRCAQDYLLAKDISLVLNIKKPLLERIARCTGGQIVPSIDHLSSQKLGYCDMFHVEKFAEEHDVVGQSGKKLMKTLMYFEGCPKPLGCTVLLRGANTDELKKVKHVVQYSVFAAYHLAVETSFLADEGATLPEFPLGSPITVALPDKTSAVGRSISTFPGFTIPSSEKTQGPPTSGEPQRSFSVPTNNLVETANLCVQKMEPADFSTIYAAISTNSIIPSVTSSQQGISNSSSNEPSLCHAPKGRTLTSSRDEPLVGNDILEDLRDHLVSNDSRPSGPLEQSMESKNVQNTCNDMDANQIVSNPTLQQEVKHVHEEPGSSKEGFPPPSSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQGYRCRSCEMPSEAHVQCYTHHQGTLTISVKKLQEIILPGEREGKIWMWHRCLKCPRFNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPSKLDFNYENQDWVQEEVNEVVGRAELLFSEVFNALGNLAEQKCGVMLNSSIKVSKTKHHLTELEGMLQKEKAEFEESLEKVLNKEVKNGQPAIDIFEINRLRRQLLFQSYMWDHRLVYAASLDRVSHCIDGEVVCTSDVDNEKYSDPNIPLEPSNSLYDSDLVPVGEKLDDGGERVTGCHVNQINQGGDVGFDSNNLIGNPNSVSFVINGSESDPLVLRRVLSDGQFPMMENLSDTLDAAWTGESHMSAGTPKDGPCKPLHLATMDSSTNRVTEKLDVEDSGEELSVAKLSVSSLLSFKGLENTEDSVSWLGMPFINFYRSLNKNFLGSAQKLDTLGEYNPVYISSFRGSDAQDGARLLLPVGVNDTVVPIYDDEPTSLISYALVSPDYILQLSDELDRPKESADFTFPLQSFDSGGSFLGFQSLDDIASADESILSLSGSRTLDPLLYTKTMHARVSFANDGPQGKTKYTVTCYYAKRFEALRRVCCPSEMDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSGSPTCLAKILGIYQVTSKHLKGGKESKMDVLVMENLLFGRKITRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIESMPTSPIFVGNKAKRLLERAVWNDTAFLASVDVMDYSLLVGIDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMIPDQWSSSTLIPSISQTELCDENMPSSTSAE >itb08g05930.t2 pep chromosome:ASM357664v1:8:4902698:4911285:1 gene:itb08g05930 transcript:itb08g05930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLTPSKRPYDRSITETNDRGKWQKSAGLGSEKSPLKTSAPSKFLRVLCPVSRIGFIIGEDGNILPEIGQATGAQVRVEEAIPGCDERVVVVLGSGDKDGAGSEQHEAKVEETDTKDTDNELAENDGNGQSKQSAEVEDSKLRREISAIQEALLCLFERMVKEDLEKDRGDDEGNNSSFLTLRLLIFSSQVNCLMGKGGSVLKQISSESGAEIHILAREKLPLCASSSDDLVQISGQHGAVKKAIESISQQLLESSPLEQDPLPANASGPSSQPFGHRFSSQDSQPRPNNPFNGPRPPYSTGYHDGETGFRGQMNPPQDALTFRLLCPDEKVGGVIGKGGSIIKTIQHETGCEIQVLEGAADSEDRIIAISGSVHPHDRISPPQDAVLRVQSRIFRALPESKDNTMLAKLLVSSNQIGCLLGKGGSIIAEMRKSTGAYIRILGKDQTPNCASENEEVVQVNGEFDTVQEALFQITSRLQEHFFRDAFPSMNRPTNPRFLDHIPLPSYRGRRELSPAGMYPSMGPPFHQFDGIGGLPPRGGFHPHDDRPPFMPNFHRSGVRPPVSERMPSSGPWVPQGLIDGGGPMGMPDYAAGPQRRIGGFGGGSPAIITSTKVEVVVPRSVVPEIYGDGGGCLRQICEISDAKVIINDPKPGATEAMIIISGTPEQTNAAQSLIQAFVMLETEAA >itb08g05930.t1 pep chromosome:ASM357664v1:8:4902698:4911285:1 gene:itb08g05930 transcript:itb08g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLTPSKRPYDRSITETNDRGKWQKSAGLGSEKSPLKTSAPSKFLRVLCPVSRIGFIIGEDGNILPEIGQATGAQVRVEEAIPGCDERVVVVLGSGDKDGAGSEQHEAKVEETDTKDTDNELAENDGNGQSKQSAEVEDSKLRREISAIQEALLCLFERMVKEDLEKDRGDDEGNNSSFLTLRLLIFSSQVNCLMGKGGSVLKQISSESGAEIHILAREKLPLCASSSDDLVQISGQHGAVKKAIESISQQLLESSPLEQDPLPANASGPSSQPFGHRFSSQDSQPRPNNPFNGPRPPYSTGYHDGETGFRGQMNPPQDALTFRLLCPDEKVGGVIGKGGSIIKTIQHETGCEIQVLEGAADSEDRIIAISGSVHPHDRISPPQDAVLRVQSRIFRALPESKDNTMLAKLLVSSNQIGCLLGKGGSIIAEMRKSTGAYIRILGKDQTPNCASENEEVVQVNGEFDTVQEALFQITSRLQEHFFRDAFPSMNRPTNPRFLDHIPLPSYRGRRELSPAGMYPSMGPPFHQFDGIGGLPPRGGFHPHDDRPPFMPNFHRSGVRPPVSERMPSSGPWVPQGLIDGGGPMGMPDYAAGPQRRIGGFGGGSPAIITSTKVEVVVPRSVVPEIYGDGGGCLRQICEISDAKVIINDPKPGATEAMIIISGTPEQTNAAQSLIQAFVMLETEAA >itb01g27540.t1 pep chromosome:ASM357664v1:1:32308479:32310112:-1 gene:itb01g27540 transcript:itb01g27540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFIPTPGMGHLVSAVGTAELLLKTRPHLSITVLIMKLPFAPDAKVNSYIDSLLADEKDNPRLKPIPLPEDLAALKGKTDKISIFRAFLDSQKTKVRDYCVNEIQRSGCVRRLGGFVVDMVCTSMMDVAEEFGVPAYLFFTSGAAILGLLFLAEDHGKDASEFKDSDPDLNISTYSKPFPVKLLPKVGLDTKEFGRRTQQAKGVIVNTFFDLEPHALQSLSKDKRFPPIYPVGPILNLNAHQNNNPELEKQILEWLDNQPASSVVFLCFGSGGAFSEPQVKEIAYALEHSGQRFLWALRKPPSPGSVVLTEYTNLEEVLPEGFLERTKIIGKVIGWAPQTAVLAHPAVGGFVSHCGWNSILESIWFGVPIATWPMCADQQANAFQLVRDIGIAVEIKMDYKTDSIDSKNNIPIVPEIVSAEEIEIGITSLMDHSTSNSIRTKTKELKEKSRKALEDGGSSFNFVESFFKNVMNNLK >itb11g09630.t1 pep chromosome:ASM357664v1:11:6531904:6536971:1 gene:itb11g09630 transcript:itb11g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNSHVWQPVPDKSLSEPLLMQQSDERQTLISHAGFFSKLLFSWMNHLLRLGKTKNLLLEDIPCLGLEDKAELNYEKLSREWGLLQTDKRCDGSANLILRAIARLYWKEMVVGGVYALLRSAAVIVAPLLLYASVEYSNRENKEPSKGVFIVGCLIVAKVVDALSNRHFTFYSKRVGMRIRSALMVAVYQKQLKLSNQRRQRHSTGEVVNYIAIDAYRMGESVMWFHQLWISGLQIFLFIAVLFWVVGHGALLSLVPILICSLLNVPFAKILQKCQFEFMVSQDKRLRCMSEILNSMKIIKLQSWEENFKHIIESYREVEFKWLTEAEYCKALCTLLYWISPTIFSSVIFVGCVILNSAAFNAATVFTVLAALRGMSDPLRTIPDALPAIIQVKVSLDRINSFLQEDDLKQVDLIRSPIFGLENSVRVVNGCFSWESESGNPVLTNINFEVRRGQKIAVCGPVGAGKSSILFAILGEIAKVSGTVNVFGSIAYVSQASWIQSGTICDNILFGKSMDKNRYDEAVRVSALDKDIDSFDYGDLTEIGERGLNMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTASTLFNDCVMSVLAMKTVILVTHQMEFLSAVDHILVLEGGKITSSGSYNELLRTGMAFEKLVSAHKKSEASKTSVNEENGQSSSKEGTQLTEDEEKESTEAMWKIFMDYVLVSKGCFYVILNIVSQTGFVVFQAAAYYWLALTVQSHKISHLVIIGVYSLVSLLSAFFVYLRSLFPALLGLKASKAFFSGFISSVFQAPMLFFDSTPVGRILTRASSDLSVLDFDIPFAYVYTMSGALELVGIIGIMASVTWEVLIVGIIAIIGSKYVQEYYQPSARELMRINGTTKAPIMSYATETSLGVATIRAFDMVDKFYHNYLKLVDVYAKVFFCSTGAMEWLILRTEVLQNLTLLVAAFLLILGSLPPGLVGLSLSCALALSIRQVFLTRWYSSLANYIISAERIKQFMHLTPEPAAVVEDNRPHSSWSSKGRVELVDLKVRYYANAPLVLKGITCTFGEGTRVGVVGRTGSGKTTLISALFRLVEPYSGQIIIDGIDICSIGLKDLRLKLSIIPQEPTLFRGSVRTNLDPLGLYSDDEIWKALEKCQLKDTISKLPHQLDSSVSDEGENWSMGQRQLFCLGRVLLKRNRILVLDEATASIDSATDATLQKIIREEFCNCTVITVAHRVPTVIDSDMVLVLSFGKLVEYDEPSKLMEETNSAFSKLVAEYWSSCTRNSLPEFNH >itb05g03360.t1 pep chromosome:ASM357664v1:5:2814739:2818988:1 gene:itb05g03360 transcript:itb05g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVSELEGTLLKSPDPFSYFMLVAFEASGLLRFALLLMLWPAIRALEFFDRGNLGLRLSVFVASAGVRMSEIEAVARAVLPKFYLDDVDMEAWRVFSSYEKRIVVTKTPRIMVETFVKEHLRADDVFGTELSVNRFGFATGFLKEDFDSVSRRVSCLIGDNNPPAFVLLGRFFSGFSTQQQLRLPPFDSGENQECGLIKPSPVIFHDGRLAKRPTPSTALLILLWIPVGILLAAVRMAVGLTLPIWTVPYLASYFGGKVIVKGNPPPPVSTTNSAGVLFVCTHRSLLDPVVLSSVLRRRIPAVTYSISRFSEILSPIPTVRLTRNRDIDAAKIKRELQNGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKAMDPVFFFMNPRPIYEVTFLNQLPAEATCASGKTPQEVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVTHSSGADDMGELVTMLKKVVGIFKAYIYNARKQIMKE >itb05g25890.t1 pep chromosome:ASM357664v1:5:30045251:30047726:1 gene:itb05g25890 transcript:itb05g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVRRAITKVSSSPAARMTLARAHASEVNASQATASAKMKKFQIYRWSPENPQKPELQEYEIDLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGKNGLACLTKISSEPDSMITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPIPGKEVPQSKADRSKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLEAVDHEFKLYRCHTILNCAKACPKGLNPGKQIQNIKALEVNRRFV >itb02g23490.t1 pep chromosome:ASM357664v1:2:23525109:23526554:1 gene:itb02g23490 transcript:itb02g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRIINEPTAAAIAYGLDKKGSRTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNARALRRLRTACERAKRTLSSTTQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKIDKSQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILGGESDQKVQDLLLLDVTPLSLGIETAGGVMTTLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERPMTKDNNLLGKFELKGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERLVQEAERYKAEDEQIKKKVEAKNALENYAYNMKNTVKDDKLAGKLDPSDKQKIEKAVDETIEWLDRNQLAEVDEFEDKLKELENLCNPIISRLYQGGGGDVPMGGAAGGYGTADSAGNGQGPKIEEVD >itb09g03080.t1 pep chromosome:ASM357664v1:9:1733676:1736670:-1 gene:itb09g03080 transcript:itb09g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIGGYVTYVLGCLIASFLGFFVLFNFRRQVVKKNKASGIKTTPVNGHRRTVKDDESTDIIIVGAGVAGAALAYTLGKDGRRVHVIERDLTQPDRIVGELLQPGGYLKLIELGLEDCLGTIDAQRVYGYALFKDGRSTKLSYPLEKFESDVTGRSFHHGRFVQRMREKAATVPNIRLEQGTVMRLVEEDGTVKGVQYKNKDGQELTSYAPLTIVCDGCSSNLRRSLCDPKVDIPSCFVGLLLENCQLPFVNHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSLASGEMANYLRTKVAPQIPPELHEAFVAAIEKGNIRTMINRSMPANPQPTPGALLMGDAFNMRHPLTGGGMTVALSDVVVLRDLIRPLQDLNDAPTLCKYLESFYTIRKPVASTINTLAGALYKVFCASPDEARQEMREACFDYLSLGGIFSNGPVSLLSGLNPKPLSLVLHFFAVAVYGVGRLLIPFPSPNRLWLGTRLISGASGIILPIIRAEGVRQMFFPATVPAYHRAPPVNLE >itb09g03080.t2 pep chromosome:ASM357664v1:9:1733676:1736670:-1 gene:itb09g03080 transcript:itb09g03080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIGGYVTYVLGCLIASFLGFFVLFNFRRQVVKKNKASGIKTTPVNGHRRTVKDDESTDIIIVGAGVAGAALAYTLGKDGRRVHVIERDLTQPDRIVDCLGTIDAQRVYGYALFKDGRSTKLSYPLEKFESDVTGRSFHHGRFVQRMREKAATVPNIRLEQGTVMRLVEEDGTVKGVQYKNKDGQELTSYAPLTIVCDGCSSNLRRSLCDPKVDIPSCFVGLLLENCQLPFVNHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSLASGEMANYLRTKVAPQIPPELHEAFVAAIEKGNIRTMINRSMPANPQPTPGALLMGDAFNMRHPLTGGGMTVALSDVVVLRDLIRPLQDLNDAPTLCKYLESFYTIRKPVASTINTLAGALYKVFCASPDEARQEMREACFDYLSLGGIFSNGPVSLLSGLNPKPLSLVLHFFAVAVYGVGRLLIPFPSPNRLWLGTRLISGASGIILPIIRAEGVRQMFFPATVPAYHRAPPVNLE >itb11g20600.t1 pep chromosome:ASM357664v1:11:21766973:21768331:1 gene:itb11g20600 transcript:itb11g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYEKEKVRPSSPTPQTLRYHKLSLLDVLAGPFYTPLILFYDSAAGPRRHDYDELRESLSKTLSVLYPLAGRLKDGSIIECNDEGADFVRANVTNYDLGEFLRYPKLEDLRLLLPRDPYPGAIDPAMPMLAVQVTRFRCGGTAVAFCTWHGVVDAIGMAGLFNTWAAINRGEGEATYSGGGLVVDVAAIFSPAIPNISQVMLTAYAGMKKEMRKYTTKRFVFSKQDIERIRSQYSQSEHHRRPSRVEALSAFVWAAVIRATLPDTEFQSYVSSVHVLYESSGCPRSAL >itb05g18470.t1 pep chromosome:ASM357664v1:5:25251813:25253551:-1 gene:itb05g18470 transcript:itb05g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIISVLTASHLFFLFSLPLFLEATISMSSPVENKRAALEIIIGFNPPPGSAPEPTCPPPLPPPPECPPPPPPPKPSPSLPPPPPKLSPSPPRPPPKRPPSPSFGIPFETSELRRIERVIQRFRRTIIHDPNGITKTWTGKDQLCKNSSAYKGFICYTTRKDNRRAVGGIDFNGQDLGNSTNPLSLQNYVEEFKDLVVIHVNSNYFTGTIPPGISVSNLPTLFELDVSNNKLSGPFPKTVLAATNLTYLDLRFNFFHAQLPPQVFTLDLDALFLNNNGFSGPLPDNLGSTPVFFLTLANNYFTGPIPRSIGHASKYLLEVLFLNNSLSGCLPWEIGKLKNATVFDASKNQITGPIPHSFGCLKKLQILNLSTNKMYGTIPESLCALGDLEELSLGSNYFTQVGEQCRKLIARKILDVKNNCILGLSNQRSPQECSDFFSKHMQRCSDEKTMLSHLPPGCRIDLYTSQKHKRHSSQNRKKKTAKTTYAALKVHGP >itb07g05610.t1 pep chromosome:ASM357664v1:7:3849726:3853778:1 gene:itb07g05610 transcript:itb07g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPIARDTTSTPPADHPYFVSTIKSYCLRKSHLYLPLVFARSNGLVAKGKREMILIDDKERSWSVVLGREANHFSLQHGWESFQMANGLKEGDVYKFELIKSGEKPIAKFHSLGTTSSSSAENPHFVATIKPYCLRKSQLYLPLEFARSNGLMAEEKREMILRDDKERSWPVVLGTMGRHVSLLRGWQAFRMAKGLKEGDAYKFELIKSGKKPIAKFHFSTVLHDGRQTPSPPDYVVATKTLSDYRNYLRCRD >itb07g18310.t1 pep chromosome:ASM357664v1:7:22749095:22750788:-1 gene:itb07g18310 transcript:itb07g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDDGALSSVVSLNLRDLKITAALGRGAKGVVFLVQTHDGELLALKAISRASVEKKKLASGGGNGSEYRRIWFERQVLSSFENLLLPKLRGVVSTQKIVGYAIDYCPGGDLNTLRKKQTENIFSGDIIRFYAAELVLALEYLHGLGIVYRDLKLENVMIQENGHIMLIDFDLSTKLSPKSSETPQVVVVKSPSKSEPEKARKKLPSWYMLCRSGISPEEDSVHPYELGLSSSVSSSSRSDSVEKSNSFVGTEEYVAPEVILGNGHDFSVDWWCLGVMLYEMLYGTTPFKGANRKETFYRILSKEPDLVGEPTALRNLIGKLLEKDPDQRISGEEIKGHEFFRGVDWDGIVRMARPPFIPLTASTGDTDGNKQIDVESFVQDAFKVSGDEEVGHKTDNNCNFLKIKEFCDPSHTQNDNFFIF >itb10g00910.t1 pep chromosome:ASM357664v1:10:657935:662060:-1 gene:itb10g00910 transcript:itb10g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTLKPFFLLQSRRSSSSSSSTSSPPALVSCRKTRLFPSTSISVRTSGRGGFIVGAQSFDSSDSDGKDADKNDPNAADYKPPNGTLHKNRRDILLEYVQNVQPDFMELFIKRAPQQVVDAMRQTVTNMMGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFRNAQFRLEMQQSLQLAALPNPETQEKTDLPDYAPGTQKKVTGEVIRWNNVSGPEKIDAVKYIELLEAEVEELTRQIERKAANGQNELLEYLKTLEPQNLKELTSSAGEDVVLAMNTFIKRLLVVSDPSQMKTSVTETSVPELAKLLYWLMVVGYSIRNIEVRFDMERVLGTPPKLAELPPGENI >itb13g20110.t1 pep chromosome:ASM357664v1:13:26938654:26941515:-1 gene:itb13g20110 transcript:itb13g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rap2.6L [Source:Projected from Arabidopsis thaliana (AT5G13330) UniProtKB/TrEMBL;Acc:A0A178UKL8] METSSEKTHDFAGTDDSSSVLTGIVTSASPSPTVAQPVVQEQGNVRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDDAALKFKGSKAKLNFPERVQGKTELRYVSTGAGGTAGGAPSAVDHHISGHHQLPAMPSPETYPYLQQYAQLLSSSDAEFPYLTSALYNQASFSQPSSLPPAATFPPPPTAPSQQQDYFPYFPSQFENFPSSDYQNYYTKGFDDPNNPNPNDD >itb09g20880.t1 pep chromosome:ASM357664v1:9:17921427:17922036:1 gene:itb09g20880 transcript:itb09g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGNSNIVVAVAFRPLQVRVQPLVPAFPCFVNIVASQSDGEEPHFDFGLEFLGGDIMAIPGLYQLVHGNSRLISISSGVICIEAKSVQMQKTSGKIANKYITMAICYN >itb04g25620.t1 pep chromosome:ASM357664v1:4:30183135:30190334:-1 gene:itb04g25620 transcript:itb04g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLSDLSTILPCVLPDDGAYYFDEKLVDAHGHQGPLSASSSIVHGITDLATITGENLNLPGDKILGLAKFFLGIGIPGNEKDLYYQIDALACLEDNRVYIPLILSLPTSVLSMTRKDQLKVHVNTVLGSSAPPLSVRLKQVFVSGSKDASIVDQDLKFDPENKVHLGALPESIDVGSYIFSFEIVLHDPEHKKIYATGGRSKFPIYVTGVVTVDHAEITILDSDLGNVETKKKLNFAGEKSVSLQANHLQKLRLDFQLTTPLGHAFKPHQVFLKLRHESKVEHIFVVVNSGKQFEIILDFLGLVEKLFYLSGKYDIQLTIGDSVMENSLLKSLGYVELDLPDPPEKAARPPPQPIDPSSRYGPKAEIAHIFRAPEKQPPRELSLAFLALVLLPFFGFLVGLFCLRVNLKNFPHAPVPATFASLFHVCLAAVLLLYLLFWLKLNLFTTLKALGILGMFLMFVGHRTLSHLASTSAKVKSA >itb01g07050.t1 pep chromosome:ASM357664v1:1:5257008:5264593:1 gene:itb01g07050 transcript:itb01g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEEQKEEKSKKKKNEEQKVPFYKLFTFADELDLCLMIGGTLGAIGNGLAQPMMTVIFGQLINSFGTTDPSHAVHEVSKICVKYVYLAIGSGIASYLQMSCWMVTGERQAARIRTLYLQTILRQDIAFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQFTSTFLGGFTIAFIKGWRLALVLSSCIPALVIAGGFMALLMSKMSSRGQVAYAQAGNVVEQTIGGIRTVASFTGEKRAIDQYDSKLKIAYKSTVQQGMASGIGLGVILLIVFSTYGLAVWYGGKLILHNGYTGGEVINVLMAIMNGGIALGQTSPSLNAFSSGQAAAYKMFQTIHRKPVIDVYDMSGMELEDMRGEIELKDVYFKYPARKDVQIFAGFSIYIPSGKTAALVGQSGSGKSTIISMLERFYDPDSGEVLLDGVNLKKFKVRWLRQQMGLVSQEPILFATSIKENISYGKENATDAEIRTAIELANAAKFLDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQDALDKVMANRTTVVVAHRLTTIRNADLIAVVQTGKLVEQGTHDELIKDPNGAYTQLVKMQQGSKKEEKKELETSMVDQSSVEDQLTRSSSLMLSVLKRSMSHGSSRHSFTHSYPIPGLIDINESEIADQQEDAEPDAKTLKQRKNVSIGRLASLNKPEIPILFLGVLAACFHGVIFPIFGLLLSTVIKIFFEPPPQLKKDSKFWALMYVLLGIATLLAVPIQNFFFGIAGGKLIQRIRSLTFRKVVYQEISWFDDPANSSGAVGARLSTDASTVRSLVGDALALIVQNIATVVAGVIIAFTANWILALVVLVVVPLLGLQGFMQMRLYAGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMAMYQKKCEEPVKQGVKVGLISGGGLGLGSLVLYLTNSFCFYIGAVLIEHDLATFPEVFKVFFALAMTATGVSQASAMAPDLNKAKDSATSIFDILDRKPRIDSSSEQGTTLTTVRGDIELEHVAFKYPTRPDIQIFKDMCLTMPAGKTCALVGESGSGKSTVIGLVERFYDPDSGEVFLDGVPIRKLKLSWLRQQMGLVSQEPVLFNETIRNNIAYGKHGNVTEEEIIEAAKSSNAHNFISSLPQGYSTPVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTQSEHIVQEALDRVMVNRTTVVVAHRLATIKGADLIAVVKNGVIAEKGKHDELLNIKDGVYASLVALHNSST >itb01g07050.t2 pep chromosome:ASM357664v1:1:5257202:5264593:1 gene:itb01g07050 transcript:itb01g07050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEEQKEEKSKKKKNEEQKVPFYKLFTFADELDLCLMIGGTLGAIGNGLAQPMMTVIFGQLINSFGTTDPSHAVHEVSKICVKYVYLAIGSGIASYLQMSCWMVTGERQAARIRTLYLQTILRQDIAFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQFTSTFLGGFTIAFIKGWRLALVLSSCIPALVIAGGFMALLMSKMSSRGQVAYAQAGNVVEQTIGGIRTQGMASGIGLGVILLIVFSTYGLAVWYGGKLILHNGYTGGEVINVLMAIMNGGIALGQTSPSLNAFSSGQAAAYKMFQTIHRKPVIDVYDMSGMELEDMRGEIELKDVYFKYPARKDVQIFAGFSIYIPSGKTAALVGQSGSGKSTIISMLERFYDPDSGEVLLDGVNLKKFKVRWLRQQMGLVSQEPILFATSIKENISYGKENATDAEIRTAIELANAAKFLDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQDALDKVMANRTTVVVAHRLTTIRNADLIAVVQTGKLVEQGTHDELIKDPNGAYTQLVKMQQGSKKEEKKELETSMVDQSSVEDQLTRSSSLMLSVLKRSMSHGSSRHSFTHSYPIPGLIDINESEIADQQEDAEPDAKTLKQRKNVSIGRLASLNKPEIPILFLGVLAACFHGVIFPIFGLLLSTVIKIFFEPPPQLKKDSKFWALMYVLLGIATLLAVPIQNFFFGIAGGKLIQRIRSLTFRKVVYQEISWFDDPANSSGAVGARLSTDASTVRSLVGDALALIVQNIATVVAGVIIAFTANWILALVVLVVVPLLGLQGFMQMRLYAGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMAMYQKKCEEPVKQGVKVGLISGGGLGLGSLVLYLTNSFCFYIGAVLIEHDLATFPEVFKVFFALAMTATGVSQASAMAPDLNKAKDSATSIFDILDRKPRIDSSSEQGTTLTTVRGDIELEHVAFKYPTRPDIQIFKDMCLTMPAGKVFLNSFTISCHRVLEFNLF >itb03g27380.t1 pep chromosome:ASM357664v1:3:27156211:27159563:1 gene:itb03g27380 transcript:itb03g27380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRTVRTGKVSPKWILLLSILSFASGMLFSSRVWIPPKLNDGRIMFGRRARQEKVDDCDKKLNHQDKESAIGEHSKALESIENSSDNSFAKIQMELPLSKNKSSSDNVETSKSLAANVSSSNNSEETEKRKKKAFMVIGINTAFSSRKRRDSLRQTWVPQGEKLLKLEEEKGIVVRFMIGHSATSNSVLDQAINLEDAQHKDVLRLEHIEGYHELSAKTKSFMSTAFATWDAEFYVKVDDDVHVNLGTLATTLSRHRLKPRIYIGCMKSGPVLYRKNVKYHEPEYWKFGDTGNKYFRHATGQIYAISNDLAKFISDNELLLHKYANEDVSLGAWLIGLDVEHIDDRNMCCGTPPECEWKLQSGNTCVASFDWSCSGICKSVDNIKRVHDKCAEGTEILSNFLF >itb09g00330.t1 pep chromosome:ASM357664v1:9:297301:306736:-1 gene:itb09g00330 transcript:itb09g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWQPLEEGFKEICGLLELQMSPTSDKSQIWQQLQHYSLIPDFNNYLAFIFARAEGKSVDIRQAAGLLLKNNLRTVFKNMPQGNQQYIKSELLPCLGSTDRHIRSTAGTIISVLVQIGGVAGWPELLHTLVKYLDSNDVNLMEGAMDALSKICEDVPQVLDSDISGLSERPINVFLPRFLQLFHSPHASLKKLSMGSVYQFVMLMPTVLYLNMDKYLQGLFLLANDSSVEVRKLVCAAFVQLVEVHPAFLQTHMRNVIEYILKVNEDTDDEVALEACEFWSAYADAQLPPENLREFLPRLIPVLLSNMVYADDDESLIEAEEDGSLPDRDQDLKPRFHSSRFHGSEDADDDDDDDTVNVWNLRKCSAAALDVLSNVFGDEILTSLLPLVQTKLSTTDDESWKEREAAVLAIGAIAEGCINGLYPHLSDIIRFLIVLIDDKFPLIRSISCWTLSRFSKYVVQGTDHQEGCEQFNKILMGLLRRILDDNKRVQEAACSAFATLEEEAAEALVPCLETILQHLVCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPRYLEILMPPLIAKWQQLSNSDKDLFPLLECFTSIAQALGAGFSQFAPPVFQRCINIIQSQQLAKVDPASAGIQYDREFIVCSLDLLSGLAEGLGSSIESLISQSNLTDLLLQCCLDDAPDIRQSAFALLGDLARVCPVHLRRQLSEFLDAAMKQLDTGKLKETVSVANNACWAIGEIAIKVHKEISPIVLAVVSCLVPILQHAEELNKSLVENSAITIGRLAWVCPELVSPHMEHFMQAWCIALSMIRDDIEKEEAFRGLCAMVRANPSGALNALGFMCKAIGSWHEIRSSDLHNEVCQVLQGYKQMLDNGAWEQCMSALEAPLKNKLQKYLV >itb13g19390.t2 pep chromosome:ASM357664v1:13:26381506:26386605:1 gene:itb13g19390 transcript:itb13g19390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPIGCEPVVGSLTPSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATAGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTVSWACSIDGTPFLVAGGLNGIIRVIDSGNEKIHKSFVGHGDSINEIRTQALKPSLVVSASKDESVRLWNVQTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPMFIASIHTNYVDCNRWLGNFILSKSVDNEIVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKFACDFQFKAAAIGKMKTYILFQFESTLPFISACNWLMNHIFRQVNFLLSSFSLNTCANLFL >itb13g19390.t1 pep chromosome:ASM357664v1:13:26381506:26387194:1 gene:itb13g19390 transcript:itb13g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPIGCEPVVGSLTPSKKKEYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATAGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTVSWACSIDGTPFLVAGGLNGIIRVIDSGNEKIHKSFVGHGDSINEIRTQALKPSLVVSASKDESVRLWNVQTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPMFIASIHTNYVDCNRWLGNFILSKSVDNEIVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKFACDFQFKAAAIGNREGKIYLWDLQTSPPVLIARLSHFQSKSAIRQTAVSFDRSTILSCCEDGTIWRWDVVSTS >itb12g07650.t1 pep chromosome:ASM357664v1:12:5880863:5885436:1 gene:itb12g07650 transcript:itb12g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFLYVIVGGGVGAGYAAHEFVKRGVSPGELCIISQETVAPYERPALSKGYLLPEAPARIPAFHCCVGTNEERLTPKWYKEHGIELVLGTRVKSADVKRKTLLTATGETITYKFLIIATGARALKLEEFGVHGSDADNVCYLRDLDDANRLVNVIQSCSGKNAVVIGGGYIGMECAASLAINKINVTMVFPEAHCMGRLFTPKIASYYEEFYESKGVKFTKGTVLTSFDFDDDGKVTAVNLRDGTKLPADMVVVGIGIRPNTSLFEGQLTLEKGGIKVNGRMQSSNSSVYAVGDVAAFPVKIFGETRRLEHVDSARKSARHAVSAILDPENTTDFDYLPFFYSRVFTLSWQFYGDNTGEVVHFGDFSGHSFGAYWVNNGHLVGSFLEGGTKEEYEAISKATRLKPRIEDLAELETEGVGFALTLSHTPPPSQPLDASGSGFIVDKPLYVWHATAGVVLAASIAAFAYWYGTRRRRW >itb15g11380.t1 pep chromosome:ASM357664v1:15:9200140:9202441:1 gene:itb15g11380 transcript:itb15g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLARKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKFEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKGGKKK >itb04g03240.t1 pep chromosome:ASM357664v1:4:2000325:2002258:-1 gene:itb04g03240 transcript:itb04g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAKVNDPILAYIIPKSVNRRNLGFYTFITAASSSSPTHRQQQSLHCSIKMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >itb01g21120.t1 pep chromosome:ASM357664v1:1:27316724:27320500:1 gene:itb01g21120 transcript:itb01g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDHRKKNSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSSREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLTSMRKKQPMPFAQKFPNADPLALCLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQAISKLEFEFERRKVTKEDVRELIFREILEYHPQLRKDYINGERVNFLYPSAVDQFRKQFAHLEENGGKIGPLPAERKHVSLPRSSVIHSTTIPVKRQTVVNMKDQQNGEESCNRNFREPDRGPRAVAVQPQQRSCQAKPGKVVGPILPYEIGSKEAFEQQRNVIRSTIRLPSQTMPSTYCYQRFGAAAKQERPVTEAQKEVVPSSQQHMKPIVHCGMAAKLAPDIAITIDSNPFYMTRGAGGKKPPGDHVSDGISIDTNFLQAKAQYGGIGVAAATAASAAASAAHRKVGTVQFGMSRMY >itb09g26800.t1 pep chromosome:ASM357664v1:9:27448342:27451317:1 gene:itb09g26800 transcript:itb09g26800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFPSLYDDADSCSTSSAVNKGHFTIYTADQKRFVVPLSYLENGIIRQLLSMSEEEFGLPSDGPITLPCDAAFLDYIISLLSRVIRRPLSRARRSRRRLDRRAGRRVSAPPPPPPXLFSFPELIQHHSAVQGSLKSQRLCRRRSHPPLPSPFTSAVVVRRSPLHLLFAVAILCNFVSPSFFVVSL >itb09g18780.t1 pep chromosome:ASM357664v1:9:14849504:14850088:-1 gene:itb09g18780 transcript:itb09g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRMIVLKSSDGEMFEVEEKVALEMHTIKCMIDDDCVETTIPITNISGKILAMVIEYCKRHEPAVKTKTSPEAATSKEISPEAATIKETEEISPEAATIKETKDFDANFVKVDQKTLFDLIMAANFLDIKSLLDLTCQAVANMIENLSPEEVRATFNIQNDFTPEEEEAIRKENAWAFE >itb14g18900.t2 pep chromosome:ASM357664v1:14:21744808:21747517:-1 gene:itb14g18900 transcript:itb14g18900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYMVLYSRKLGWEVVLGRLVERVGLWLFVTLISFHTDPQRLADEVCTALQHAIKPAGVAVVLQCSHLHFPNIMSAFCDTNHQGWAKILVTSGSGVFEDEKADIWTDFLSLLKFRGINVENVHAKGTHRSWCPSQFFSKMGSSNSATTNAVVSILQSLGEDPYRKELVGTPSRFVMWFMNFKNSNLEMKLNGFVRSRFDCLNPKIEIGCDEDRICSEVNLSFCSQCEHHLLPFNGVVHIGYLRSNGANPPGKSLLQSIVHFYGFKLQVQERLTRQIAETASSVLGEDVMVVVEASHTCMISRGIEKVGSNTATIAVLGRFSRDPAIRMQFLQSIPNSCSEAK >itb14g18900.t3 pep chromosome:ASM357664v1:14:21744810:21747394:-1 gene:itb14g18900 transcript:itb14g18900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVEEHYNVELENGVGLGGCIELGFENEPETLAIEDSVRVLLQALGEDINREGLRKTPFRVAKALREGTRGYGQKVNDVVHGALFPEAGLGSGVGQAGGAGGLVVVRDIDLFSYCESCLLPFQIKCHVGYVPSGHCVVGLSKLSRVADVFAKRLQDPQRLADEVCTALQHAIKPAGVAVVLQCSHLHFPNIMSAFCDTNHQGWAKILVTSGSGVFEDEKADIWTDFLSLLKFRGINVENVHAKGTHRSWCPSQFFSKMGSSNSATTNAVVSILQSLGEDPYRKELVGTPSRFVMWFMNFKNSNLEMKLNGFVRSRFDCLNPKIEIGCDEDRICSEVNLSFCSQCEHHLLPFNGVVHIGYLRSNGANPPGKSLLQSIVHFYGFKLQVQERLTRQIAETASSVLGEDVMVVVEASHTCMISRGIEKVGSNTATIAVLGRFSRDPAIRMQFLQSIPNSCSEAK >itb14g18900.t1 pep chromosome:ASM357664v1:14:21744808:21747541:-1 gene:itb14g18900 transcript:itb14g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVEEHYNVELENGVGLGGCIELGFENEPETLAIEDSVRVLLQALGEDINREGLRKTPFRVAKALREGTRGYGQKVNDVVHGALFPEAGLGSGVGQAGGAGGLVVVRDIDLFSYCESCLLPFQIKCHVGYVPSGHCVVGLSKLSRVADVFAKRLQDPQRLADEVCTALQHAIKPAGVAVVLQCSHLHFPNIMSAFCDTNHQGWAKILVTSGSGVFEDEKADIWTDFLSLLKFRGINVENVHAKGTHRSWCPSQFFSKMGSSNSATTNAVVSILQSLGEDPYRKELVGTPSRFVMWFMNFKNSNLEMKLNGFVRSRFDCLNPKIEIGCDEDRICSEVNLSFCSQCEHHLLPFNGVVHIGYLRSNGANPPGKSLLQSIVHFYGFKLQVQERLTRQIAETASSVLGEDVMVVVEASHTCMISRGIEKVGSNTATIAVLGRFSRDPAIRMQFLQSIPNSCSEAK >itb05g20760.t1 pep chromosome:ASM357664v1:5:26773507:26775635:-1 gene:itb05g20760 transcript:itb05g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKDETKGVESPETPLRKLILRYNSPLVQVILIGLVCFCCPGMFNALTGMGGGGQVDPTAANNANTALYTTFSVFGVLGGGIYNILGPHLTLFAGCSTYVLYAGSFLYYNHYKHQAFAIVAGAFLGVGAGLLWAAQGAIMTSYPPQARKGTYISLFWSIFNLGGVVGGLIPFIMNYHRTDAVSVNDGTYIGFMIFMSIGVVLSLTILHPSRVVRDDGSKCTNIKYSSVRVESIEILKLFLNWKMLLLIPASWGSNFFYSYQFTNVNAALFNVRTRGFNNVFYWGAQMVGSVFIGFIMDFSFKSRRIRGLVGITVVAILGTAIWAGGLASQVKYSRNDLPANKLDFKDGSDFAGRFVLYFSYGLLDAMFQSMVYWVIGALADDSEILSRYNGFYKGVQSAGGAVAWQIDARKVPFLTQLIVNWGLTTISYPLLALLVLLAVKDDYKKVEEGGVSKDDGFMSASSNPVP >itb02g04650.t1 pep chromosome:ASM357664v1:2:2766758:2769565:-1 gene:itb02g04650 transcript:itb02g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIAGLSSPATYSGRVFAYPSHRTVSYFLNPSSSSASFFRLYDSSKPSRVSVSASTSKSSPTVVGGDSVETSTYQQSENIGVSPEWQDGSLNTQVGTPAISRSFISAPKLSLSDQAFFLFSFIALTTSVAFTSLVIAAVPTLFAMRRAAISLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSKEIADGVSKSAQAVQAAEAGVRQIGSLAHQRTMTMIEERASLPIISLQPVVAGAAKKTSHAVGRATKSLLNMISRGDHDSNNED >itb07g03640.t1 pep chromosome:ASM357664v1:7:2428451:2428987:-1 gene:itb07g03640 transcript:itb07g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPCNGAAGDSPAAAAAGGVYRGVRRRKWGKWVSEIRAPGTKTRIWLGSYDTPEMAAAAYDVAAFHFKGFAVRLNFPDLVDGFPKPASSSAEDVRLAAQEAAMRFKRPAAAAPAAETPSRSGGPAAVRVGLSDSQIEAINDTPMDSPSAIWMQSGTSREDLEGDNWVEMQSDSIWDS >itb04g02330.t1 pep chromosome:ASM357664v1:4:1382313:1386380:-1 gene:itb04g02330 transcript:itb04g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSVTLSQAILSRSIPRHGSASTTPQLHTPSLSFPTFNGLKATAAARRRAVPSSAPHRSSPVRASATIETLEKTDTALVEKSVNTIRFLSIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDAVREDDLKNFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYVILGDGCQMEGISNEACSIAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVGARFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTMIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRKNLGWPYEPFHVPDDVKSHWSRHTPEGAAIEADWNSKFSEYEKKYPEEAAELKAIITGELPAGWEKALPTYTPESPADATRNLSQQNLNALVKVLPGFLGGSADLASSNMTLLKSFGDFQKNTPEERNLRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNIMMFRPADGNETAGAYKVAVVNRKRPSVLALSRQKLPQLPGTSIEGAAKGGYVISDNSSGKPDVILIGTGSELEIAAKAAEELRKEGKAVRVVSFVCWELFDEQPADYKASVLPSDVTARVSIEAGSTFGWEKIVGAKGKAIGIDKFGASAPAGKIYKEYGITVEAVVAAAKEVC >itb01g36030.t1 pep chromosome:ASM357664v1:1:37908495:37910283:-1 gene:itb01g36030 transcript:itb01g36030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLLTAAPEVGKLIAASCDGKLRFSSLIAAANKKKKADTHSFVPRPDEATGPFPEAILLKEATVKEDGRLLPEFADAEERALFESLNLRLESDLNVERMRHYEVVYLIHEDHKEEVESVNMMVREFLEEKKGKVWRFSDWGMRRLAYKIQKASNAHYILMNFEMEAKWINDFKNLLDKDERVIRHLVMKKDKAETEDCPPPPEYHSLCAGDDDDDDDDDEEEEEDDMDYDDEDEWDDDEVEAELEMYDDDDDGVIYVDDEEEEEDDNRKHRDNKPPTIPRPERRKMQV >itb01g36030.t2 pep chromosome:ASM357664v1:1:37908495:37910283:-1 gene:itb01g36030 transcript:itb01g36030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLLTAAPEVGKLIAASCDGYPQNRNFHSNSNSAKVNLHPSSLSLPFYSRKLRFSSLIAAANKKKKADTHSFVPRPDEATGPFPEAILLKEATVKEDGRLLPEFADAEERALFESLNLRLESDLNVERMRHYEVVYLIHEDHKEEVESVNMMVREFLEEKKGKVWRFSDWGMRRLAYKIQKASNAHYILMNFEMEAKWINDFKNLLDKDERVIRHLVMKKDKAETEDCPPPPEYHSLCAGDDDDDDDDDEEEEEDDMDYDDEDEWDDDEVEAELEMYDDDDDGVIYVDDEEEEEDDNRKHRDNKPPTIPRPERRKMQV >itb06g22060.t1 pep chromosome:ASM357664v1:6:24498352:24500806:1 gene:itb06g22060 transcript:itb06g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGPGLFSSFGKKAKEILTTGYSSHQKFTVASRSRDGVAIVSVLAKKGSLSTGTVEAKYDCKNNSIYVKIDTDSNILTILTFNDILPSTKAIASCRLPDFKSVKCEAQYFHEHARFTMAMRPSKSPAIDVSATIGTPHIAFGTEASYALAVRNFTKYNAGVSLTKPNFSVSVILAEKGDALRATYLHHLDQKKRGTVVGEMERKFSANKSTLTVGCSYAVDAHTTVKAKLNNHGKLGALVQHELKPKSSLTVSGSFDTKAMDKIPKFGVSLSFIP >itb12g25660.t1 pep chromosome:ASM357664v1:12:26833258:26838948:-1 gene:itb12g25660 transcript:itb12g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKSNPPDPSSTSTSQPHATHTNPPTPPPKIAATVSFSPAKRLRTSQLSSPESKPSKIPKLLSSPHKPTQNPNTHSSIPPLSNLSLHQRFLKKLLEPSQELVEPPKATQVSSSKYTPLEQQVVELKAKYPDVLLMIEVGYKYRFFGVDAENAARVLGIYAHMDHNFLTASVPTVRLNVHVRRLVSAGYKVGVVKQTESAAIKAHGSNKSGPFCRGLSALYTKATLEAAEDLGGGEEGCGSCNNYLVCVVEKGIENVDCGVEGGCDVRIGVVGVEVSTGDVVYGEFNDNFMRAGLEAMILNLSPSELLLGRPLSKQTEKFLLAYAGPTSNVRVEDASPDCFRDGGARAEVLSLYEKMNENSSLDVTEDAAVKTQGTNQFTVQGIMDMPDLAILSLALTIHHLKQFGLDRILCLGASFRTFASKMETTLSANALQQLEILKNNSDGSESGSLLQCMNHTLTTFGSRLLRYWVTHPLCDRSMINARLDAVSEIADSIKSSKPSHNFDLDEDGIGVTVVQPEIHHLITSVLSTLGRLPDIQRGITRIFHRTATASEFIAVIQAILVAGKQLQQLHVEEEENNSLGRGTVHSSLLRKLIAAASSSSVMNTAAKLLSTLNKEAADQRDLHNLFIISDGKFPEVAEAQRSVQLANEKLDSLIGVFRKQLQIRNLEYLTVSGVTHLIELPLNVKVPLNWMKVNSTKKTIRYHPPEVLTVLDQLSLAKEELSVVCRAAWDDFLKAFGECYAEFQAIVQALASLDCLNALAILSRNKNYTRPIFVSDDEPVQMHISSGRHPVMETLLQDNFVPNDTKLHVESEYCQIITGPNMGGKSCYIRQVALIAIMAQIGSYVPASSAKLHVLDGIYTRMGASDSIQQGRSTFLEELSETSHILKNCTANSLVIIDELGRGTSTHDGVAIAYATLQYLLDRKKCLVLFVTHYPKILDIKNEFPSSVGSYRVSYLTSQGDSDTGLKSNEKLDNVNNDEDITYLYKLVPGISERSFGFKVAQLAQLPTSCIQRAIFMAGRFETAVRNREQRWLLQDCRKEMMQNDHKDHEAVERVPSPDRQDVGTIEEKEFANSWKDFIVSISSTLVEEPDSGRRLQFLMQAKSLAVELIKTYGA >itb06g16400.t1 pep chromosome:ASM357664v1:6:20571441:20574611:1 gene:itb06g16400 transcript:itb06g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTLQLSFSLLAASVSSPSNFLSRFGCLVLHIIRNRNHHLHLMLALLLLFTFTLSFLAFSLYSKARRAPVHREQADVDKVPELEEIEDERGPDPTRMSHSFLLEILPSSSPKWEKFSDGEGSGAEKNGAGSVARREAVKEKRKKKKRARKKRPDSNGEEDGVEKEKEELVCLYPFTTSSSATQRRIKQHYDELVRCHGSKASSTLKLAQVEQFVNCLIETRNELQHKSEVIQRRFTITKALLYRADRSSLDRLRQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYKKMIEFGAHMETQPKSDEDEEFADISFEELLAQEKKDAFWQRIGNGKSKPCSG >itb06g16400.t2 pep chromosome:ASM357664v1:6:20571441:20574611:1 gene:itb06g16400 transcript:itb06g16400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTLQLSFSLLAASVSSPSNFLSRFGCLVLHIIRNRNHHLHLMLALLLLFTFTLSFLAFSLYSKARRAPVHREQADVDKVPELEEIEDERGPDPTRMSHSFLLEILPSSSPKWEKFSDGEGSGAEKNGAGSVARREAVKEKRKKKKRARKKRPDSNGEEDGVEKEKEELVCLYPFTTSSSATQRRIKQHYDELVRCHGSKASSTLKLAQVEQFVNCLIETRNELQHKSEVIQRRFTITKALLYRADRSSLDRLRQQIDIQARVRTKETGRGCFCI >itb01g23060.t1 pep chromosome:ASM357664v1:1:29138371:29140262:-1 gene:itb01g23060 transcript:itb01g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVTPSNQWDWVPLRAMIEKNEVRNSLLAAPMPTASTSQILGNNECLEPYTSNIYSRRVLRFLCPPDSDCVEIKQRTLVDMAADRGCYIDQSQSLNIHMDQPNSGKLTSMHFYAWSEFISLHISDLICLCVLIDYLTYTDTQGLKTGMYYLDHVQRLMDLFEIPAPAWMNVSLRMKHVPENDNNLINKKALALQVELCYEKNGGMATFLFFSLTMFLKVWPCKEKRSSYALERSTI >itb02g09520.t1 pep chromosome:ASM357664v1:2:6084102:6087631:1 gene:itb02g09520 transcript:itb02g09520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITNVMEYEAIAKKKLPKNAFDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPTAFQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVQWLQTITSLPILVKGVLTAEDARIAVQAGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLREITRNHIVTEWDAPNALPAPRL >itb10g23120.t1 pep chromosome:ASM357664v1:10:27637298:27640635:-1 gene:itb10g23120 transcript:itb10g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSLQMANPVLHSSIPDLPRTTSATTQIFGAQLRFLEIEQTHIRLSSSSRNTLALRLKSPREPMGLTQEIVGDEQKSTVLTQSASDLFTKMKDRFLSFKKDKYLANIEHFKDLANAQEPKFMVIACADSRVCPSAVLGFQPGEAFVIRNIANLVPSYENGPSEVNAALDFAVNTLCVENILVVGHSCCGGIRALMSMEDETNSSSFLHNWVVIGKNARSNTKAIASKLSFDEQCRHCEKESLHHSLLNLLTYPWIKNKVVEGQLLIHGGYYDFVDCTFEKWSLGYTKSSSMVHNQYCIKNREFWS >itb02g08270.t1 pep chromosome:ASM357664v1:2:5212395:5213141:-1 gene:itb02g08270 transcript:itb02g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQENEILHAQTHICNHSLNFINSVSLICAVELGIADIIHSHGRPITLQQLAHALRINNAKADHLARLMRLLIRSGFFLDDKDGYALAPPSCLLLKDHPFCMAPFMKGSYTIFTGPWHRVSEWFHNDDPTPFQTAHGTTFWDSAAQDPELSRYFNQAMASDTLLLMSLMRKHCRGVFEGLDSLVDVGGGTGMAARAIADEFPDMKCTVLDLPHVVAGLEGTKNLTYVAGNMFEAIPPAHAVFLKVTH >itb12g03410.t2 pep chromosome:ASM357664v1:12:2215800:2220039:-1 gene:itb12g03410 transcript:itb12g03410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSELIKFVTRASEILPEIEASRPRCTSGLEALCLLNQAIDKAKLILQQCSESSKIYLALTCDVILARCKKSMKLLERSLGEMQHMVPVMLAAEISQLVVDLRGAKFRLDPSEEEAGKIVKELLHDYASTSCLVGESAPEAIQVAMLRLNISTPKALVIEKRSINKMLQDKVVEGNQSKKKILLFFLNLLNKYGNKILKEKSENSSVQLDDPFPSAGSDGPSTEVESPVKRGAGDADSPPNEFRCPISSRLMYDPVVIASGETYERMWIQRWFNEGNSTCPKSGTRLTHISLTPNNSMKDLISRWCAESGVAPSDPNMQAAVPHPWELSSVSIASLGSSMNDLRLPLDFSNLSLGSSDSNPKITSYPSLLSTNPNGNSRKSRTSSSMNEMDMESLYSLESLSWESQCNLVEKVRNSLQHSNRAPKQTSSENYVQPLMRFLSSAHDIPDENAQILGCQLLLTFLKKCSSNAARFLDDNAYELLVLFLTTKASTEALAILEELSCQSFCQYKIAESGALNLILDMLNSENLDLLEPSIRILCNLSGNDNIRLLFVPSELIPRLIPFFNDASLARYSLAILKNLCNNQDARISVAETDGCVASVAKLLDSDNLEDQEHAVAVLLSLCSQRSQYCDLVMAEGVIPDLVHISLNGNSKGKAMASEMLRILRDECHSIGEPSQPDPNATQYTATANGNDSTERKPSTKPTGILGKLFKGTSSAAKRRR >itb12g03410.t3 pep chromosome:ASM357664v1:12:2215800:2219238:-1 gene:itb12g03410 transcript:itb12g03410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSELIKFVTRASEILPEIEASRPRCTSGLEALCLLNQAIDKAKLILQQCSESSKIYLALTCDVILARCKKSMKLLERSLGEMQHMVPVMLAAEISQLVVDLRGAKFRLDPSEEEAGKIVKELLHDYASTSCLVGESAPEAIQVAMLRLNISTPKALVIEKRSINKMLQDKVVEGNQSKKKILLFFLNLLNKYGNKILKEKSENSSVQLDDPFPSAGSDGPSTEVESPVKRGAGDADSPPNEFRCPISSRLMYDPVVIASGETYERMWIQRWFNEGNSTCPKSGTRLTHISLTPNNSMKDLISRWCAESGVAPSDPNMQAAVPHPWELSSVSIASLGSSMNDLRLPLDFSNLSLGSSDSNPKITSYPSLLSTNPNGNSRKSRTSSSMNEMDMESLYSLESLSWESQCNLVEKVRNSLQHSNRAPKQTSSENYVQPLMRFLSSAHDIPDENAQILGCQLLLTFLKKCSSNAARFLDDNAYELLVLFLTTKASTEALAILEELSCQSFCQYKIAESGALNLILDMLNSENLDLLEPSIRILCNLSGNDNIRLLFVPSELIPRLIPFFNDASLARYSLAILKNLCNNQDARISVAETDGCVASVAKLLDSDNLEDQEHAVAVLLSLCSQRSQYCDLVMAEGVIPDLVHISLNGNSKGKAMASEMLRILRDECHSIGEPSQPDPNATQYTATANGNDSTERKPSTKPTGILGKLFKGTSSAAKRRR >itb12g03410.t1 pep chromosome:ASM357664v1:12:2215799:2220126:-1 gene:itb12g03410 transcript:itb12g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDPTEGAEALPSPRLIKVHLLMCSELIKFVTRASEILPEIEASRPRCTSGLEALCLLNQAIDKAKLILQQCSESSKIYLALTCDVILARCKKSMKLLERSLGEMQHMVPVMLAAEISQLVVDLRGAKFRLDPSEEEAGKIVKELLHDYASTSCLVGESAPEAIQVAMLRLNISTPKALVIEKRSINKMLQDKVVEGNQSKKKILLFFLNLLNKYGNKILKEKSENSSVQLDDPFPSAGSDGPSTEVESPVKRGAGDADSPPNEFRCPISSRLMYDPVVIASGETYERMWIQRWFNEGNSTCPKSGTRLTHISLTPNNSMKDLISRWCAESGVAPSDPNMQAAVPHPWELSSVSIASLGSSMNDLRLPLDFSNLSLGSSDSNPKITSYPSLLSTNPNGNSRKSRTSSSMNEMDMESLYSLESLSWESQCNLVEKVRNSLQHSNRAPKQTSSENYVQPLMRFLSSAHDIPDENAQILGCQLLLTFLKKCSSNAARFLDDNAYELLVLFLTTKASTEALAILEELSCQSFCQYKIAESGALNLILDMLNSENLDLLEPSIRILCNLSGNDNIRLLFVPSELIPRLIPFFNDASLARYSLAILKNLCNNQDARISVAETDGCVASVAKLLDSDNLEDQEHAVAVLLSLCSQRSQYCDLVMAEGVIPDLVHISLNGNSKGKAMASEMLRILRDECHSIGEPSQPDPNATQYTATANGNDSTERKPSTKPTGILGKLFKGTSSAAKRRR >itb03g02120.t1 pep chromosome:ASM357664v1:3:1180603:1182286:1 gene:itb03g02120 transcript:itb03g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSHYRPKSQQRGAGRIKLGPHHLDRRTNENLQARAWKMTKIECRGGGTVGDCMETGGEEFLMDSETTRRLLAGEKKPLSYDQLQKPQPCKADRYGSCLADKVGHKMNCNFYNKSCVK >itb11g18110.t1 pep chromosome:ASM357664v1:11:18284767:18285666:-1 gene:itb11g18110 transcript:itb11g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQANRVGYLDAQSFLCSSSDGGLIICVSRPNGDFAVCNFSTGQHIFLPTLIQNQDCALLLGFDSESKRYKVLMSRRIRAEYKHWVSTVGVDKSWREIKNYCSSPFYPCVGYHYPYYSNTCAYIDGVIYSYNSVTGRNMVPRYHIVAFEVGCESFSVITLPDKVSPPYYFHRNSALLEVGGRPTIVLVRVPELGEGDRLYYINVWTWEKSKEYWEEITMTIPLKWSRMINDAGLLRFATNHDWEIVLLCIYSEKFSVLICNLKSEAWRKFDVSGVEDFPIFYSSEVTLHNVVDHVFPLE >itb10g24260.t1 pep chromosome:ASM357664v1:10:28180183:28181781:1 gene:itb10g24260 transcript:itb10g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSPPLHLYFLPMLAPGHMIPMVDLARQFARQGVKATFLTTPLNAPQISKAIDRERELGSQISLRLLQFPSKESGLPEGIESMSATTTLEQTLCFFNALDHFQGPIERLAAEDRPDCFIAGPMYTWGNDFAAKFGITRLSFWGTGFFPLCVHNSLRRHKPLEKIESDTEEFVIPDLPDTLKTTKQQLPESWKEDSESSLKEKLEKLMKEEEGSYGMVVNSFYELEPAYVKYCREVMGRKSWHIGPVSLCNKEDGEKSQRGQAASIGEEECLNWLNSKTPNSVVYVCFGSMAIFSAAQLREIAAGLEASGQPFIWVVKQNNKEEEMKEWMPEGFEKRMQDRALIIRGWAPQVLILDHEAVGGFVTHCGWNSLLEGVAAGVPMVTWPLSAEQFLNEKMATQILKIGVPVGVQAWTRRTDSRAPINRENIEAAVKELMIGEEAEERRSRAIALGNMAKKAVEPGGSSDADLSSLLEELRINRNKNLHG >itb06g20810.t1 pep chromosome:ASM357664v1:6:23636934:23637300:-1 gene:itb06g20810 transcript:itb06g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSASLLFSDLEFSPLNNPAIKSSKLKESKQKSQHYHRIAAESTIFTAAVDLHHHSIKTYTSHVYYPTTEFGSLEIPRKLNTC >itb12g18460.t1 pep chromosome:ASM357664v1:12:20752332:20757082:-1 gene:itb12g18460 transcript:itb12g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVGCEQDNSFPDGFNANYLKVYYGRLFPYVDMFKWLSYGNDGKHPGCDQSYFGRREFSFTLDNNIYLRFQSFNSASDLENAIKEKCPFKIDIGAVYNVDPAKRHAYAQGDNNFTPLERELVFDIDISDYDDVRYCCSGADVCSNCWPLMTVAIKVIDTSLREDFGFNHILWVYSGRRGVHCWVCDLKARRLNNEQRAAVADYFRVYKGNENSNRKVSLMGPALHPFLVRSYTDVLKDFFENKLLLSQNLFSSQERCEKILEMIPDEHIVSELRAKWQENKRVKDDINVFRWEQLKHLLQSGKQKAQGIRRCVEEIVFSFTYPRLDMEVSRHLNHLLKAPFCVHPQTGRVCIPIDPKECEEFDPADAPTLSRLLGELNTGGFRADMDSEWDGTCLGKSIRYFRQSFLQPLLKSCKDDIESCYSAKVQQGKNSLSW >itb12g18460.t2 pep chromosome:ASM357664v1:12:20752332:20757082:-1 gene:itb12g18460 transcript:itb12g18460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVGCEQDNSFPDGFNANYLKVYYGRLFPYVDMFKWLSYGNDGKHPGCDQSYFGRREFSFTLDNNIYLRFQSFNSASDLENAIKEKCPFKIDIGAVYNVDPAKRHAYAQGDNNFTPLERELVFDIDISDYDDVRYCCSGADVCSNCWPLMTVAIKVIDTSLREDFGFNHILWVYSGRRGVHCWVCDLKARRLNNEQRAAVADYFRVYKGNENSNRKVSLMGPALHPFLVRSYTDVLKDFFENKLLLSQNLFSSQERCEKILEMIPDEHIVSELRAKWQENKRVKDDINVFRWEQLKHLLQSGKQKAQGIRRCVEEIVFSFTYPRLDMEVSRHLNHLLKAPFCVHPQTGRVCIPIDPKECEEFDPADAPTLSRLLGELNTGGFRADMDSEWDGTCLGKSIRYFRQSFLQPLLKSCKDDIESCYSAKVQQGKNSLSW >itb07g15960.t1 pep chromosome:ASM357664v1:7:19105686:19106897:-1 gene:itb07g15960 transcript:itb07g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSKIPNEIILHILLQLPMKAVIRYQCVCKQWRSLIDDSDFKLSYRGERRVILLSLGSKSQDYNWNSKFLVRSTSHDSRLQMHELPFGEAAYPLICASDELPFGEAAYPLIRASGEYPVRSLCSCNGFVLLMAVRDFFFWNPSTRCLRKVLEYPYLEVPVILGGLCYDSCTRDYKVVLSIDPRRLFGIPFVISASLHHKEWRLVHFSYNLNYARGSVEFRNTFHWWANDIKDLHFSGSLIVYFDPVRDEFKILSTPGLRQNFSIVGLGVIDDCLSMSCMVHKEEKFNTTTLQIWIMKEYGIHESWMTAFAIQMPQLPYNFMSSFSLTFYSQKNNAQEVLFLSTMGWSWGKIYVYDRKEDELRVGLLVDFPKNNSVRHSRRISCVSMCFYVESLACLPI >itb12g23270.t1 pep chromosome:ASM357664v1:12:25076571:25077720:-1 gene:itb12g23270 transcript:itb12g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKVDHVYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGKFLTPPRVQGKTVKSQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVHRWLRELRDHADSNIVIMMIGNKSDLNHLRAVPEQDGQGVAEKEGLSFLETSAMEAVNVDKAFQTILLDIYQIISRKALAAQDGAAVPGQGTSIKIGEVNNADLNKRQGCCSN >itb12g23270.t2 pep chromosome:ASM357664v1:12:25076571:25077720:-1 gene:itb12g23270 transcript:itb12g23270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKVDHVYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATKTLQGKTVKSQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVHRWLRELRDHADSNIVIMMIGNKSDLNHLRAVPEQDGQGVAEKEGLSFLETSAMEAVNVDKAFQTILLDIYQIISRKALAAQDGAAVPGQGTSIKIGEVNNADLNKRQGCCSN >itb12g16960.t2 pep chromosome:ASM357664v1:12:18658420:18662675:1 gene:itb12g16960 transcript:itb12g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVNLLVENLTELLYKNFQLISDVKDDVKKLLRYVNGFKSFLKEYRKIRTKNDIVKQLMKDIMDVVYRSENLIDQYVVDAKVHGVLDIPTKRDIATKIKDVLQEIKVIQEKTEYQKVIENIVLPRDHTYSVPQKVPRVEEEYVVGFNEEAKRIISRLREGPNYGVISVFGMPGLGKTTLANKVFKSEEIEYHFMYRVWIYVSQSYKKRDVYLQILKKLQQPHDYDTNVSEEELASKIKQVLGPVKYFFVLDDVWCEDAFNDIQDAFPKSKGSRVLVTTREEKVAMIVNSLGEPHRLKFLERKESWELLEKKVFREERCPDDLKEYGEEIAKKCDGLPLAVVIIAGILLGKSKTRLEWRNVANSFSDFLRINLDSYETLIQLSYDHLPDDLKCCFLYLGAFPNGNHIPAAKLMQLWIAEGFIEEEGVLTMEVIACEKLCSLVSRNLVMTTQRKSNGQIKTCRVHDMLLQFCKKEAKGEGLFNEINEINVRNQQDVCRRLSVHCPFKEFISSKQNPEHVRSLLCFASNRVEMPPEIIQSLRKAFPLLRVLHFAPDESVIFTRCHRDFSRLFHLRYIAISTTLKVLPTEIGNLQNVQTLIVRTTENTLKVKGDIWSMSRLRHFQTNSSAELPSPSAPKTKDDPFPNQNLQTLSKVSPLSCTAAALAKAPNLKKLGIRGTLAKLLETDRNSGSSLFKNLREFKLLENLKLLNDGEELQHLPQKYEFPVNLTKLTLSKTCLHWSELAVLGTLEKLEILKLLEHAAVGECWEPIIGGFLCLQVLHIERTDLRYWKASNKHFPGLKSLVLRHCEKLEEIPPVLADIRNLQEIDLNHTKKAIKSAQNILKRKQQKQDPTEKCTRRFKLTIYPPLDPSQPS >itb12g16960.t1 pep chromosome:ASM357664v1:12:18658407:18662710:1 gene:itb12g16960 transcript:itb12g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVNLLVENLTELLYKNFQLISDVKDDVKKLLRYVNGFKSFLKEYRKIRTKNDIVKQLMKDIMDVVYRSENLIDQYVVDAKVHGVLDIPTKRDIATKIKDVLQEIKVIQEKTEYQKVIENIVLPRDHTYSVPQKVPRVEEEYVVGFNEEAKRIISRLREGPNYGVISVFGMPGLGKTTLANKVFKSEEIEYHFMYRVWIYVSQSYKKRDVYLQILKKLQQPHDYDTNVSEEELASKIKQVLGPVKYFFVLDDVWCEDAFNDIQDAFPKSKGSRVLVTTREEKVAMIVNSLGEPHRLKFLERKESWELLEKKVFREERCPDDLKEYGEEIAKKCDGLPLAVVIIAGILLGKSKTRLEWRNVANSFSDFLRINLDSYETLIQLSYDHLPDDLKCCFLYLGAFPNGNHIPAAKLMQLWIAEGFIEEEGVLTMEVIACEKLCSLVSRNLVMTTQRKSNGQIKTCRVHDMLLQFCKKEAKGEGLFNEINEINVRNQQDVCRRLSVHCPFKEFISSKQNPEHVRSLLCFASNRVEMPPEIIQSLRKAFPLLRVLHFAPDESVIFTRCHRDFSRLFHLRYIAISTTLKVLPTEIGNLQNVQTLIVRTTENTLKVKGDIWSMSRLRHFQTNSSAELPSPSAPKTKDDPFPNQNLQTLSKVSPLSCTAAALAKAPNLKKLGIRGTLAKLLETDRNSGSSLFKNLREFKLLENLKLLNDGEELQHLPQKYEFPVNLTKLTLSKTCLHWSELAVLGTLEKLEILKLLEHAAVGECWEPIIGGFLCLQVLHIERTDLRYWKASNKHFPGLKSLVLRHCEKLEEIPPVLADIRNLQEIDLNHTKKAIKSAQNILKRKQQKQDPTEKCTRRFKLTIYPPLDPSQPS >itb05g24860.t1 pep chromosome:ASM357664v1:5:29534410:29534874:-1 gene:itb05g24860 transcript:itb05g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 11 [Source:Projected from Arabidopsis thaliana (AT4G34590) UniProtKB/Swiss-Prot;Acc:O65683] MACSSGNCSSGSSEVKLESVKSREDSQMIMMMDQRKRKRMISNRESARRSRMKKQKLLDELTAQVNQLEAENSHILANLGVVTRLRLEVEAENSILMAQVAELNHRLRSLNEILNNMSPSNSSSWDYDDDIDDDFFKPWNIIPLIASPNALVEY >itb02g26250.t2 pep chromosome:ASM357664v1:2:27019883:27026747:-1 gene:itb02g26250 transcript:itb02g26250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFVLVFILGALTVVGVEAAAAVFLIRWLSRRLSREVDKAKVSAELSSPPDLDPSYYNKQGIVWILDLEKIPRAPVDKALGQKKSKKDVLEVSPIQKYAKIKDHSLFLLESDGSNTEIQLRGCTIAAVSATVLSSRKWAKRYPIKVESKSSAIYKGSRTFFIYLETSWDKESWCKALRLAACEDKEKLKWFAKLNIEFHNYLTSLNAVYPSFMKPLSRINNELIDKSMKFDGSSSRVRLFLKRLAKKTSKTGVENKANCVSNSSQGASTGKPLDCLTEEIVVHSSLSMPARSSSQSHVPVISEADPDDRICNDEGTLCWNLLLSRLFFDAKQNEGIRTSMQARIQRTLSNIRSPSYIGEITCTAVNLGNLPPYIHGMRVLPSDMNEVWIMEIDLEYSGGAILEVETRIEVQDLDLQEGQGTSTETSDVDEVKSDLLEGFEHLEKHLKLSETIDHLNHRDGDCRGVM >itb02g26250.t3 pep chromosome:ASM357664v1:2:27021160:27026791:-1 gene:itb02g26250 transcript:itb02g26250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFVLVFILGALTVVGVEAAAAVFLIRWLSRRLSREVDKAKVSAELSSPPDLDPSYYNKQGIVWILDLEKIPRAPVDKALGQKKSKKDVLEVSPIQKYAKIKDHSLFLLESDGSNTEIQLRGCTIAAVSATVLSSRKWAKRYPIKVESKSSAIYKGSRTFFIYLETSWDKESWCKALRLAACEDKEKLKWFAKLNIEFHNYLTSLNAVYPSFMKPLSRINNELIDKSMKFDGSSSRVRLFLKRLAKKTSKTGVENKANCVSNSSQGASTGKPLDCLTEEIVVHSSLSMPARSSSQSHVPVISEADPDDRICNDEGTLCWNLLLSRLFFDAKQNEGIRTSMQARIQRTLSNIRSPSYIGEITCTAVNLGNLPPYIHGMRVLPSDMNEVWIMEIDLEYSGGAILEVETRIEVQDLDLQEGQGTSTETSDVDEVKSDLLEGFEHLEKHLKLSETIDHLNHRDGDCRGDEAKSTGSTTSASPQVSRWKAILHSIAKQVSQVPLSLGIRVASLRGTIRLFIKSPPSDQIWFGFTSMPDLDFQLESSVGEHRITSGHIALFLISRFKVIYNWLMSRQICNFLCNHIHEVLLHTHT >itb02g26250.t1 pep chromosome:ASM357664v1:2:27019818:27026791:-1 gene:itb02g26250 transcript:itb02g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFVLVFILGALTVVGVEAAAAVFLIRWLSRRLSREVDKAKVSAELSSPPDLDPSYYNKQGIVWILDLEKIPRAPVDKALGQKKSKKDVLEVSPIQKYAKIKDHSLFLLESDGSNTEIQLRGCTIAAVSATVLSSRKWAKRYPIKVESKSSAIYKGSRTFFIYLETSWDKESWCKALRLAACEDKEKLKWFAKLNIEFHNYLTSLNAVYPSFMKPLSRINNELIDKSMKFDGSSSRVRLFLKRLAKKTSKTGVENKANCVSNSSQGASTGKPLDCLTEEIVVHSSLSMPARSSSQSHVPVISEADPDDRICNDEGTLCWNLLLSRLFFDAKQNEGIRTSMQARIQRTLSNIRSPSYIGEITCTAVNLGNLPPYIHGMRVLPSDMNEVWIMEIDLEYSGGAILEVETRIEVQDLDLQEGQGTSTETSDVDEVKSDLLEGFEHLEKHLKLSETIDHLNHRDGDCRGDEAKSTGSTTSASPQVSRWKAILHSIAKQVSQVPLSLGIRVASLRGTIRLFIKSPPSDQIWFGFTSMPDLDFQLESSVGEHRITSGHIALFLISRFKTAIRETLVLPNCESVNLAWMLAEKEDWVPSKVAPFIWISNQEVPRTEQEEAKHLDEANRETGSSDQEGIQEGSNKTGSPLQQPPNDSLDPPSSSAPKDQSTINSTVKELQAPLLTCEEQQECQRSSSEKLECYSPSRPLEEHHIAEPKRMGSTRAKMLGLSKKMGEKLEEKRRHIEEKGRHIVEKMRGQQ >itb01g28190.t2 pep chromosome:ASM357664v1:1:32709709:32711543:1 gene:itb01g28190 transcript:itb01g28190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRSISRSKLSVYFSTVLLRHLSQTPASAAASKFSSASSSSAAPFLPPFSTFRLDVVREYHDGRPRGSLWRGKKMIGKEALFVIQGLKRFKDDEDKLDKFVKNHVLRLLKLDMIAVLNELERQEQVSLAVKMFMVIQKQDWYTPDVYLYKDLIIALARRRKMEDAMKLWEIMRKEDLFPDSQTFTEVIRGFLRDGSPADAMNIYEDMKKSPYPPEQLPFRILLKGLLPHPLLRNRVKQDFEEIFPDQHVYDPPEEIFGLC >itb01g28190.t1 pep chromosome:ASM357664v1:1:32709709:32712371:1 gene:itb01g28190 transcript:itb01g28190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRSISRSKLSVYFSTVLLRHLSQTPASAAASKFSSASSSSAAPFLPPFSTFRLDVVREYHDGRPRGSLWRGKKMIGKEALFVIQGLKRFKDDEDKLDKFVKNHVLRLLKLDMIAVLNELERQEQVSLAVKMFMVIQKQDWYTPDVYLYKDLIIALARRRKMEDAMKLWEIMRKEDLFPDSQTFTEVIRGFLRDGSPADAMNIYEDMKKSPYPPEQLPFRILLKGLLPHPLLRNRVKQDFEEIFPDQHVYDPPEEIFGLC >itb04g23930.t1 pep chromosome:ASM357664v1:4:28836815:28838800:-1 gene:itb04g23930 transcript:itb04g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERASPLSDRSASSRKSKEVITDEPHAGKLARVVLAGLPEPPLSITCLGIKTRVLPGMLKCFWNETVASLYKETLSPALRKILHSALWFRFSSASFALSSILPKTYYHLRSIMATQQLKHRTTTSEETKQQPTTSTMNGTARTRRQKAKRGLRSLGIAVAFPLSLTLIDISLFGSSQQYRAMEKPFWVPRLWALHLACLGSAFLMGLSAWLVWAEGGFHRYPTAMLLYLSQLALSLAWDPVVFKAGATRAGLVLCVGLLGALVGCSRSFKNVNPIAGDLVKPCLGWALLLSATNFKLAYR >itb13g17130.t1 pep chromosome:ASM357664v1:13:24044693:24051231:1 gene:itb13g17130 transcript:itb13g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGITKLKNILEGQPEAQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWSNHKIMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRNLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDSAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSETKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVEDLSRMYRLFSKIPRGLEPVATIFKQHVTAEGMTLAEKRDVVGIQEQVFVRKVIELHDKYLAYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLYAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPSANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFRDFYQTKTKHRKLTWIYSLGTCNIIGRFEPKTIELVVTTYQASTLLLFNASDRLSYQEIMTQLNLSDDDVVRLLHSLSCAKYKILNKEPSTKTISPTDVFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLEREKDNPNMFKYLA >itb02g15090.t2 pep chromosome:ASM357664v1:2:10963099:10969101:-1 gene:itb02g15090 transcript:itb02g15090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTVSELPDAAANGNAPLEGNTEAAEEKKEEENNGVKDMEEDSKEGDKAEAEKMDVDTKEVKESNEPKEDKIEKEEAEEEEKGDEGNKMEEEKLEAKDEQMEEDQVGEEASKENEDNVEEETDGSKEVEEKEPEQPKVEKKGKKGAKSKSGSGAKDKEKKKEGEGKKEKEPKTPAAPTIDRPVRERKSVERLVASIEKDSVKEFQIEKGRGTALKDIPNVAFKLSRKKSDDTFKLLHTILFGRRGKAAQIKSNILRFSGFVWHENEDKQKMKVKEKLDKCVKEKLLEFCDVLDIPATKATSRKEDVIVKLMDFLEAPHATTSELLAEKEQASKGKRKRVSNKSGSSASGNAKGSAKRQRASSASKKGEKKNTHESEDGSEEESEEEREETKANGGPDKSEDEISEHAESDENNESEDEFEEEKKKEKRSSKKSTSKKEPKDESEEEKPKQKETSKKTSSKKESAGKSKKNVATPKKTPSKTSSQSKVSDGNDESSKVSSRKKNTEVKKVKSSTPKKSASKESTGKKTGKGKEQPKEEKLKPSDDELKEAICEILKEVDFNTATFTDIVKQLSKQFNTELAARKASIKSMIQDEITRLAEADSGDEGDAVKDDRQPSSQGVDTT >itb02g15090.t1 pep chromosome:ASM357664v1:2:10963099:10969101:-1 gene:itb02g15090 transcript:itb02g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTVSELPDAAANGNAPLEGNTEAAEEKKEEENNGVKDMEEDSKEGDKAEAEKMDVDTKEVKESNEPKEDKIEKEEAEEEEKGDEGNKMEEEKLEAKDEQMEEDQVGEEASKENEDNVEEETDGSKEVEEKEPEQPKVEKKGKKGAKSKSGSGAKDKEKKKEGEGKKEKEPKTPAAPTIDRPVRERKSVERLVASIEKDSVKEFQIEKGRGTALKDIPNVAFKLSRKKSDDTFKLLHTILFGRRGKAAQIKSNILRFSGFVWHENEDKQKMKVKEKLDKCVKEKLLEFCDVLDIPATKATSRKEDVIVKLMDFLEAPHATTSELLAEKEQASKGKRKRVSNKSGSSASGNAKGSAKRQRASSASKKGEKKNTHESEDGSEEESEEEREETKANGGPDKSEDEISEHAESDENNESEDEFEEEKKKEKRSSKKSTSKKEPKDESEEEKPKQKETSKKTSSKKESAGKSKKNVATPKKTPSKTSSQSKVSDGNDESSKVSSRKKNTEVKKVKSSTPKKSASKESTGKKTGKGKEQPKEEKLKPSDDELKEAICEILKEVDFNTATFTDIVKQLSKQFNTELAARKASIKSMIQDEITRLAEADSGDEGDAVKDDRQPSSQGVDTT >itb10g17210.t2 pep chromosome:ASM357664v1:10:23430655:23433211:1 gene:itb10g17210 transcript:itb10g17210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQANLEVSGCITKSMIPCQKSYVCGSSLCFPESRCFSNTLPQLSLKMHPSSKRNTSIMCSNQINSSEDKVGGLTYKDAGVDIDAGSELVRRIAKMTPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSKLDVDLAEKVIKGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIVPGDVLIGLPSSGVHSNGFSLVRRLELHDFSFQFCFLV >itb10g17210.t3 pep chromosome:ASM357664v1:10:23430678:23434032:1 gene:itb10g17210 transcript:itb10g17210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQANLEVSGCITKSMIPCQKSYVCGSSLCFPESRCFSNTLPQLSLKMHPSSKRNTSIMCSNQINSSEDKVGGLTYKDAGVDIDAGSELVRRIAKMTPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSKLDVDLAEKVIKGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIVPGDVLIGLPSSGVHSNGFSLVRRVITQSGLSLKDQLPGSPITLGEALMAPTVIYVKQVLDIISKGGVKGVAHITGGGFTDNIPRVFPKGLGAIIHNDSWVVPPLFKWIQEVTPFSRLPWSEESFSIVT >itb10g17210.t1 pep chromosome:ASM357664v1:10:23430655:23434034:1 gene:itb10g17210 transcript:itb10g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQANLEVSGCITKSMIPCQKSYVCGSSLCFPESRCFSNTLPQLSLKMHPSSKRNTSIMCSNQINSSEDKVGGLTYKDAGVDIDAGSELVRRIAKMTPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSKLDVDLAEKVIKGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIVPGDVLIGLPSSGVHSNGFSLVRRVITQSGLSLKDQLPGSPITLGEALMAPTVIYVKQVLDIISKGGVKGVAHITGGGFTDNIPRVFPKGLGAIIHNDSWVVPPLFKWIQEAGKIEDAEMRRTFNMGIGMVLVVSPDTALRILGDVCESSKAFCIGEVVKGDGVSYH >itb13g08880.t1 pep chromosome:ASM357664v1:13:11757622:11758820:-1 gene:itb13g08880 transcript:itb13g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEKLVSIFWCCFSGLKKNEEEEAAKGEELEELLQLRENENEEKGKEQQFQAADEMVDKGVILEEEEEDKKQNNKEKGGEEQKADKRVNNEGDELEENDYEKQNNGEEQQADEMVNKSKELEEDEEKGEKMVNKLGGVVLAFLAENYDNAISNTAMMRRKGCKVIHGVDATDMANHPSLKGLKFDRIVFNFPYAGFFKTKSRTSQLLIHQDLMRQFLENAVRMIGEDGEIHITHKTNGFHGEWDIPGLAYQQGLQLVKAEDFELSDYPGYNTKRGFGGDDNFNCYPSKTYMFRLI >itb05g21520.t1 pep chromosome:ASM357664v1:5:27240253:27241437:-1 gene:itb05g21520 transcript:itb05g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAPTSTRPIVTQSRTSPGRTRSDFSSLSFTGGTETSGPGEAIAVRDRKRRLYTNNKSDEWRGRKSTKWSHVVFKHPSTFKTLAMEPTRKQEIMEELHNFTNSKDYYAKIGKAWKRGYLLHGPPGTGKSSMIAAMANLLQYDVYDLELTAVKDNSELRKLLIETSCKSIIVIEDIDCSLDLTGQRKEKKEEKEEDKEKEEKDPIKKQLLKETEKKKGSEVTLSGLLNVIDGLWSAIGEERIIVFTTNYIEKLDPALIRRGRMDSHIELSYCCFEAFKVLAKNYLDIESHELFPEIRGLLGETKITPADVSENLMPKSGREKADTCLKRLIKSLEAAKEEARLKAEEEERTKAAAAKEKEEATKKAESLVKENGDTKKSDTIIEENGDIKNEN >itb01g17670.t1 pep chromosome:ASM357664v1:1:22462400:22464074:-1 gene:itb01g17670 transcript:itb01g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWHSRVPRRVNFCMIFLHAVRSAISFANQGRRLPTAISFANQERQPPFPFANSSADRHFRSRTRSIDHHIRSGVQSPAATRHCLHLAYSRR >itb05g11500.t1 pep chromosome:ASM357664v1:5:17538256:17538903:1 gene:itb05g11500 transcript:itb05g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNYVIIIVVPVVGCILFVMAAVALCFYMKKRNKKRSVQEIDLKHIDEHMRIQEAIVTDSYGRKKTVVLSVKDDVHVHEDIIRREKEFNNVGTSEVGSGDLEAGQSSSGATVAKIEKS >itb02g11610.t2 pep chromosome:ASM357664v1:2:7749590:7752046:1 gene:itb02g11610 transcript:itb02g11610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTSAIVVLFSVVFLVSVVAGRVPHVINFRSENLFPESFVWDPRSQHFIVGSLRYPKLVSVSDAGVSETLISDESLPANSKILGIALDRSHYRVLAVVHCPPSASEPEPFNALAAYDLISLRRLFLTPLIDDEEDDWNPIESVANDVTTDFSGNAYVTNSGRNLIWKVNFDGKASVLSRSKAFKSYPVDATADYHKCGLNGVVYNSKGYLLAVQSNTGKLFKVDVENGGARTVLLNKDLTAADGIAVRGDGVVVAVSRRKLYFLKSPDSWMEGVVFDETALEEDRHASAVTVGNLDRVYVLYGHIHEGIMVNAERDEFGIMEIESENEKREDNVWIFVLIGLGLAYLMFWRFQMRHLVDSMNKKRL >itb02g11610.t1 pep chromosome:ASM357664v1:2:7749496:7752046:1 gene:itb02g11610 transcript:itb02g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTSAIVVLFSVVFLVSVVAGRVPHVINFRSENLFPESFVWDPRSQHFIVGSLRYPKLVSVSDAGVSETLISDESLPANSKILGIALDRSHYRVLAVVHCPPSASEPEPFNALAAYDLISLRRLFLTPLIDDEEDDWNPIESVANDVTTDFSGNAYVTNSGRNLIWKVNFDGKASVLSRSKAFKSYPVDATADYHKCGLNGVVYNSKGYLLAVQSNTGKLFKVDVENGGARTVLLNKDLTAADGIAVRGDGVVVAVSRRKLYFLKSPDSWMEGVVFDETALEEDRHASAVTVGNLDRVYVLYGHIHEGIMVNAERDEFGIMEIESENEKREDNVWIFVLIGLGLAYLMFWRFQMRHLVDSMNKKRL >itb09g04700.t1 pep chromosome:ASM357664v1:9:2629944:2631978:-1 gene:itb09g04700 transcript:itb09g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILERFSRESGRKTGSKEAEEIYGRERGGEGEEKAIFPEAEERICSGRRKMKEISVRGRSFRLILFFYSILLQFVSGFSDNPPGPKNETKVDAHTTSGNGSGSEILVIFIVIIVFGLLVFFLFKVWQKKKREDQYARLLKLFEEDDELELELGLRD >itb05g21480.t2 pep chromosome:ASM357664v1:5:27213292:27221782:1 gene:itb05g21480 transcript:itb05g21480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKTPFQKHREEEEAKKKRAEDETARLYQEFVESFQVDDVPGSKAFVRGGVINPNEKLKNDSEGGNSNDEGSGLKKGSRYVPSFIPPPMATKSRDYEKKKEEKPREKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDRHTDNSTPSSRFDELPDDFDPSGRPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADAQAAKDEMQGVVVYEYELKIGWGKSVSLPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVVPPDDDHLRHIIDTMALYVLDGGCAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPSAKGIDHEKEGGSTYAAGRSRRVEVERTLTDAQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEVVEVLTESLTLKETQPPTKIARLMLVSDILHNSSAPVKNASAYRTKFEATLPDVIESFNDLYRSITGRMTAEALKERVLKVLQVWTDWFLFSDAYVNGLRATFLRSGNSGVIPFHSLCGDAPELEQKGSSMDTVDGVKVNPDAALAIGKGAAMKELLNLPLPELERRCRHNGLSLVGGREMMVARLLYLEEAEKQRGYELDDELKHGSHSSSGKHSNSRKETSELDQLGLSGWNSYVDESIQQKRNESLQSPPRTQTTQPESIALSNEVKNDPILPSSKWAREDDESDGEEKKSNRDLGLTYSSSGSENAGDGTGKTDEAELTTEASNISHLETGINEEQRQKLRRLEVALIEYRESLEERGIKNTEEIEKKVEIHRRRLQSEYGLLNFNEDASRKSIRSSLERRERRNEPQEASRKRHRSRSRSESPPRKSSSRDRDKESDLNRDRERRRERERVHDVESDKQRDRKSGSRERDDHDRDRARERERERERRRVR >itb05g21480.t1 pep chromosome:ASM357664v1:5:27212435:27221782:1 gene:itb05g21480 transcript:itb05g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKTPFQKHREEEEAKKKRAEDETARLYQEFVESFQVDDVPGSKAFVRGGVINPNEKLKNDSEGGNSNDEGSGLKKGSRYVPSFIPPPMATKSRDYEKKKEEKPREKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDRHTDNSTPSSRFDELPDDFDPSGRPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADAQAAKDEMQGVVVYEYELKIGWGKSVSLPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVVPPDDDHLRHIIDTMALYVLDGGCAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPSLPSAKGIDHEKEGGSTYAAGRSRRVEVERTLTDAQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEVVEVLTESLTLKETQPPTKIARLMLVSDILHNSSAPVKNASAYRTKFEATLPDVIESFNDLYRSITGRMTAEALKERVLKVLQVWTDWFLFSDAYVNGLRATFLRSGNSGVIPFHSLCGDAPELEQKGSSMDTVDGVKVNPDAALAIGKGAAMKELLNLPLPELERRCRHNGLSLVGGREMMVARLLYLEEAEKQRGYELDDELKHGSHSSSGKHSNSRKETSELDQLGLSGWNSYVDESIQQKRNESLQSPPRTQTTQPESIALSNEVKNDPILPSSKWAREDDESDGEEKKSNRDLGLTYSSSGSENAGDGTGKTDEAELTTEASNISHLETGINEEQRQKLRRLEVALIEYRESLEERGIKNTEEIEKKVEIHRRRLQSEYGLLNFNEDASRKSIRSSLERRERRNEPQEASRKRHRSRSRSESPPRKSSSRDRDKESDLNRDRERRRERERVHDVESDKQRDRKSGSRERDDHDRDRARERERERERRRVR >itb09g20270.t1 pep chromosome:ASM357664v1:9:17032924:17036551:1 gene:itb09g20270 transcript:itb09g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTLEQHARKREPALRIRTNITKDRTRPEQRSPYITQRALPQPVAHGQVQQANRGGYRGRGGRGGTPNRATAEPEHTVVRGSTRGKTISTMVVYHNEGGLNPPLTDGLAIVFKEAPPDTDRNSTMRTDQLNEAMDEDQWPGDHHHIGNERFRCPEVLFQPSMIGMEASGIHETTYNSITGHQEGSLLSGGTTIACSLGLPIE >itb06g13350.t1 pep chromosome:ASM357664v1:6:18074030:18086038:1 gene:itb06g13350 transcript:itb06g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRLLGSNGVGVMKCIIVVIGVVTISYLQRFPTARAQATNATLDPSEARILNSIFQQWGIQAKNLQWNLSGELCTGFAVDSTSTQDSSFNPAIKCDCSSNPCHITVLKVYELDVTGAIPNELWNLTSIIDLNLGKNYLTGTLSHSIRNLHRLQYLGLGINALSGELPVELGLLTDLRSFSISTNNFSGPLPLELGNLRKLTELYIDSSGVSGPIPPTFANLLNLEKVGASDTELTGRIPDFIGNWSKLTNLRFEGNSFQGPIPSTFSKLTSMVDLRIIGLLNGSSSLDFIRNMKNLSILVLRNNNISGSIPSNIEEHQSLFLLDLSFNHLTGRIPNELFILSSLKNLFLGSNKLIGPLPAQKSPNLQNIDLSYNELSGSFPSWTSGQDIQLNLVGNNFTIDSSNGSSLPTGLNCLQNNFQCPQGTPIYSSFAINCGGQQITSSNGIVYESDETLGPATYYMTSTGRWAVSNAGLSIDSNRTEYTAFSSSGFTNTVDPPLFQRARLSAGSLRYYGLGLENGNYSVTLWFAESIILNPKPPSWESLGRRIFNIYIQGNLEEKDFDIQKVAGGSFRAVSKKYAVQVSENHMEIHLFWAGKGTCCVPNQGTYGPFISAISATLDSVPTRLPSEQQKNRTGMIVGIVVGVGAMISLSIIVVYCFIQRRIRKDTYDDEEFSRMGVKPYTFSYTELRVGTGDFSPSNKLGEGGFGPVYKGLLNDGRIVAVKQLSVASHQGKSQFVAEIATISAVQHRNLVKLYGCCYERDKRLIVYEFHENKSLDQALFGVSNLYLDWPRRFEICLGIARGLAYLHEESRPRIIHRDIKASNILLDLDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMLGHLTEKADVFSFGVVALEIVSGRPNSDSSLEEDKVYLLELAWRFHETNGEVDLVDKSLVEFNEDEVKRVIGVSLLCTQTSPVSRPSMSRVVAMLLGDTEIAAATTKPSYLTDWRFNDKTSFITNIHDSLAAQDDSSTTTMTTYLNSSPPNASRPLIHEIIGEGQVTEVRSI >itb08g10530.t1 pep chromosome:ASM357664v1:8:10133328:10133714:1 gene:itb08g10530 transcript:itb08g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLVDISLWIGRSLGICPWSIQSGLTLLILLPFLEVVVELISAIWVWTPMGNEKPVASCLEWAEDFFQQAMFWLEDEFKALMKRWSESFDLTNYRNDKLKDFGSNRTPDRPAKQIWMSKEGEGKQKG >itb04g23160.t2 pep chromosome:ASM357664v1:4:28340716:28341571:1 gene:itb04g23160 transcript:itb04g23160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVNYGFSCSFHKSPSNPRPAPAALRLRRPPLVCRASRQQTRTVLPSLSLSLFTSGFFLGPLLDGIHSRVNLVVYQNGAIDVGPLHTNIWVPPLLGLFYCIVGLLNLFLDHANFSSKESEPEGNLQKTAASLVALILFLEVSAEMYKAGVPDNVEAYVLFAAAELVWLLFDRTRLGFALACLVGLGCPLAEIPVME >itb04g23160.t1 pep chromosome:ASM357664v1:4:28340716:28342085:1 gene:itb04g23160 transcript:itb04g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVNYGFSCSFHKSPSNPRPAPAALRLRRPPLVCRASRQQTRTVLPSLSLSLFTSGFFLGPLLDGIHSRVNLVVYQNGAIDVGPLHTNIWVPPLLGLFYCIVGLLNLFLDHANFSSKESEPEGNLQKTAASLVALILFLEVSAEMYKAGVPDNVEAYVLFAAAELVWLLFDRTRLGFALACLVGLGCPLAEIPVMEWFDLWYYPKANVEIFGQGLVTWTITCYFVYTPFLINLSRWLKSITAAAIVEQKSS >itb11g02360.t1 pep chromosome:ASM357664v1:11:1188943:1191997:-1 gene:itb11g02360 transcript:itb11g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthoxin dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G52340) UniProtKB/Swiss-Prot;Acc:Q9C826] MADSAGTEDPLPIQCQRLFGKVALVTGGASGIGESIVRLFHKHGAKVCVADIQDDLGQQVCRSLGGESSVCFVHCDVSLEDDVSRSVDVAVDKFGTLDIIVNNAGLAGPPVADIRDFELSVFEKIIDVNLKGVFLGMKHAARVMIPLKKGSIVSISSVASAIAGVGPHSYAASKHAVLGLTQNVAAELGSHGIRVNCVGPYAVPTPLALAHMPEDERTEEAWEGFRAFAAKNANLQGVNLTARDVANAVLFLASDEARYVSGVNLMVDGGFSCVNHSLRVFRG >itb12g14840.t1 pep chromosome:ASM357664v1:12:15177095:15181063:-1 gene:itb12g14840 transcript:itb12g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPWNGSYSESTRHTRASRVTYLPPHLRNSDTDTLPERFNRSARGGTARGRGHGRGGGQARPRNPVLNAHSDWKFNDLQSEEDVGKGNVNAYDDVPVETSGKDIPKPVRSFSELEFCEALYENINRCKYVKPTPIQRYAIPVAMNGRDLMACAQTGSGKTAAFCFPIINGILSAGRVGFRNSRPASPLALILVPTRELSCQIHEEAKKFSYETGLKIVVAYGGAPISLQLRILEKGVDILVATPGRLVDMMDKSRVSLREIKYLALDEADRMLDMGFERQVRRIVQDTEMPPPGKRQTMLFSATFPAEIQSLASEFLSNHVFLSAGKVGSSTDLIVQKVEFVHESGKRDILMNLLQEQTANGTQGKSLTLVFVETKRGADALERWLLGNGFQAVAIHGDKEQMERERALRSFKSGRTPILVATDVASRGLDIPHVSHVINFDLPRSIDYYVHRIGRTGRAGKSGLATAFFSGKNAPLAKDLVELMQGANQEIPTWLNEYAEKSYCGNGERVKSYGDKKFGGFDYGNTAAYDSFSENWSSQYTETAPPYYPCTADSNPFTYGAPFAAGYYVHPEMGSYSAAPSNYGFEQASITADDWN >itb14g12250.t1 pep chromosome:ASM357664v1:14:13863947:13865213:-1 gene:itb14g12250 transcript:itb14g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDDANSLYDFVVQKGYGVKGLVDFGIASVPESYIQPLKERINKQYATQQLFSTIDLSKLDGPQHNEVVGALVHSAETLGFFQVVNHGVPVELLEGLKEEAHKFFNQTPEKKSIYLKGVSPNPLVKYGTSFVPEKEKALEWKDYVSMVYTNDDEALQHWPKECKEIALEYLKSSTNMVRRLLSILFENLGVTLDKSREEALIHLKMVNMNFYPTCPNPDLTVGVGRHSDMGTFTVLLQDGIGGLYVKLEGNEEDGKEEWVEIPPTPGALVINIGDTLQILSNGRYKSAEHRVRTTSTQSRVSVPLFTIPKPSEKIGPLPELVEKDGVALYQEVIFEEYMKNFFGNAHDGKKSLQFAQKN >itb13g26480.t1 pep chromosome:ASM357664v1:13:31723030:31724067:-1 gene:itb13g26480 transcript:itb13g26480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTTKNPLPFSTETTTTTLLKRPFPFPFPWDHQNPSYPSQEEPPFFLQFPSPFLDDQIMPLNQIFSHHPPGETAPLNSVNKSPPTTKTKKKTLQGSTPRRRTGKKDRHSKICTAQGVRDRRMRLSLQIARKFFDLQDMLGFDKASNTIEWLLSQSKTAIKELKITAASKHNNGSSSESECEAMSTMDENEENSNTVESKNKKVCKKKKESREKARARARERTKEKMMTKTQNLINPFLDQESISNSYTQEQHSSSHEGIETHFASSLGITEKYIFQYHDVHHNIISNAAPISEGTIDPTSNTFMGFLGNWDPFSGNPSGSAYLGATNLHFQPMVQENNFSSNQY >itb09g02620.t3 pep chromosome:ASM357664v1:9:1491374:1493317:-1 gene:itb09g02620 transcript:itb09g02620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALELFHNRRTRLGRSIPVIESDRSPDRISSHDNHINRRRNHRHSHSSSTDRRVDRNDLNGCDNPRRPFNSIHRSSFPERESLWVDLSSTPSSSGNVNNIENEFSIQDRLRITRNERLPSAVLLARDRLLQRLRGVSLSGNRLIPSDIWNFIFSLIFFFSRNCLITCNGGS >itb09g02620.t1 pep chromosome:ASM357664v1:9:1487871:1493317:-1 gene:itb09g02620 transcript:itb09g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALELFHNRRTRLGRSIPVIESDRSPDRISSHDNHINRRRNHRHSHSSSTDRRVDRNDLNGCDNPRRPFNSIHRSSFPERESLWVDLSSTPSSSGNVNNIENEFSIQDRLRITRNERLPSAVLLARDRLLQRLRGVSLSGNREWHGPDMFSNSLRRDKISRPPGLTKEALESLHVKIFCNLDYTNKSVPSIVQECSICLEAFLDGDKLISLPCEHMFHDGCLVPWVQTCGDCPNCRAGISSPSTT >itb09g02620.t2 pep chromosome:ASM357664v1:9:1487871:1493317:-1 gene:itb09g02620 transcript:itb09g02620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALELFHNRRTRLGRSIPVIESDRSPDRISSHDNHINRRRNHRHSHSSSTDRRVDRNDLNGCDNPRRPFNSIHRSSFPERESLWVDLSSTPSSSGNVNNIENEFSIQDRLRITRNERLPSAVLLARDRLLQRLRGVSLSGNRQSNRVSASFHRRDSTIADDFQLTDAIDWETEISREWHGPDMFSNSLRRDKISRPPGLTKEALESLHVKIFCNLDYTNKSVPSIVQECSICLEAFLDGDKLISLPCEHMFHDGCLVPWVQTCGDCPNCRAGISSPSTT >itb02g04370.t1 pep chromosome:ASM357664v1:2:2590558:2591966:1 gene:itb02g04370 transcript:itb02g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSVVMTSSRVDVYKVCIHFVSYININRKYLTKSTIIFQTPPATLDSDLHTQIPTTITTTTEMGYSTDNKRTTTTTTSQLTSSPSSPSSSAVSGGGVGSPASPAAPPSSPPPVILTPCAACKILRRRCVDRCVLAPYFPPTDPLKFTIAHRVFGASNIIKMLQDLAEDQRADAVNSMVYEASARIRDPVYGCAGAICQLQKQMSEVQAELAKAQAEILNLQCQNTNLKTLVCMQMASSSSSSQQQQRHHDNLSSPVQEHLSSYESGSFLLEDCSFSSDILEPMLWG >itb03g29210.t1 pep chromosome:ASM357664v1:3:30023364:30026688:1 gene:itb03g29210 transcript:itb03g29210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNSSLKLLVALALVFATSAYLAASRTLSDSLMVVRHEQWMAQNSEHLGMDTNCLTSAPPIRHLGCCWAFSAVAAMEGITKLSTGNLISLSEQELVDCDIKGTDQGCEGGLMDDAFTFIINNKGLTTESNYPYQGTDGSCKKSKSSNSAAKISGYQDVPANSESALEKAVANQPVSVAIDAGGSDFQFYSSGVFTGECGTELDHGVTAVGYGVAEDGSKYWLVKNSWGTSWGEKGYIRMQKDIEAKEGLCVIIESLLSPCCNCITWCPVRPASPEKVLTLVTIGVKQFAVLPPSVGPPACIAGQLGTPFEWRNAKLCSDSVVGKKELSDCVSGSLSDWF >itb03g09420.t3 pep chromosome:ASM357664v1:3:7266289:7269771:1 gene:itb03g09420 transcript:itb03g09420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDQFQSEGDNIRYVATELATDVVINVGDVRFYLHKFPLLSKSSRLQKLVASSNEDSNDEINIHDIPGGPAAFEICAKYCYGMTVTLNAYNVVAARCAAEYLEMYETVDKGNLIYKVEVFLTSSIFRSWKDSIIVLHTTKSFLPWSEELKIVSHCLDSVAFKASIDPSKVEWSYTYNRKKLPSENRDDSHWNGVQKLHMVPNDWWVEDLCELSIDLYKRVITSIKSKGQTSADIIGEALKAYAYRRLPGFSKGGAVQGSDPVKYRHVVDTITGLLPPEKNSVPCSFLLKLFQASITLDCGETQRRELMRRIGLQLEDATVADLLIQAPKDEQTVYDIGIVHDLVEQFLMQEQRFQVECTADNEFQDMCPVFTSDASKAKVARLVDGYLTEVAKDPNLPLSKFVDLAEMVSGFPRPSHDGIYRAVDMFLKEHPGITKSERKKICRLMDCRKLSVEACMHAVQNERLPLRVVVQVLFFEQVRSATSSDEGSTSTLPGSIRALLPGGSHGSSRTTTTNTEEDWDAVPTAEELKALKGELATLKLRDGAGSNDGQATNDAKTSAEKAATNKVKNLIVSKKIFSKLWSNKDRHSENSSSDTSDSPASTTGDETKSTPSRSRRHSLS >itb03g09420.t1 pep chromosome:ASM357664v1:3:7265609:7269771:1 gene:itb03g09420 transcript:itb03g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDQFQSEGDNIRYVATELATDVVINVGDVRFYLHKFPLLSKSSRLQKLVASSNEDSNDEINIHDIPGGPAAFEICAKYCYGMTVTLNAYNVVAARCAAEYLEMYETVDKGNLIYKVEVFLTSSIFRSWKDSIIVLHTTKSFLPWSEELKIVSHCLDSVAFKASIDPSKVEWSYTYNRKKLPSENRDDSHWNGVQKLHMVPNDWWVEDLCELSIDLYKRVITSIKSKGQTSADIIGEALKAYAYRRLPGFSKGGAVQGSDPVKYRHVVDTITGLLPPEKNSVPCSFLLKLFQASITLDCGETQRRELMRRIGLQLEDATVADLLIQAPKDEQTVYDIGIVHDLVEQFLMQEQRFQVECTADNEFQDMCPVFTSDASKAKVARLVDGYLTEVAKDPNLPLSKFVDLAEMVSGFPRPSHDGIYRAVDMFLKEHPGITKSERKKICRLMDCRKLSVEACMHAVQNERLPLRVVVQVLFFEQVRSATSSDEGSTSTLPGSIRALLPGGSHGSSRTTTTNTEEDWDAVPTAEELKALKGELATLKLRDGAGSNDGQATNDAKTSAEKAATNKVKNLIVSKKIFSKLWSNKDRHSENSSSDTSDSPASTTGDETKSTPSRSRRHSLS >itb03g09420.t2 pep chromosome:ASM357664v1:3:7265900:7269771:1 gene:itb03g09420 transcript:itb03g09420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDQFQSEGDNIRYVATELATDVVINVGDVRFYLHKFPLLSKSSRLQKLVASSNEDSNDEINIHDIPGGPAAFEICAKYCYGMTVTLNAYNVVAARCAAEYLEMYETVDKGNLIYKVEVFLTSSIFRSWKDSIIVLHTTKSFLPWSEELKIVSHCLDSVAFKASIDPSKVEWSYTYNRKKLPSENRDDSHWNGVQKLHMVPNDWWVEDLCELSIDLYKRVITSIKSKGQTSADIIGEALKAYAYRRLPGFSKGGAVQGSDPVKYRHVVDTITGLLPPEKNSVPCSFLLKLFQASITLDCGETQRRELMRRIGLQLEDATVADLLIQAPKDEQTVYDIGIVHDLVEQFLMQEQRFQVECTADNEFQDMCPVFTSDASKAKVARLVDGYLTEVAKDPNLPLSKFVDLAEMVSGFPRPSHDGIYRAVDMFLKEHPGITKSERKKICRLMDCRKLSVEACMHAVQNERLPLRVVVQVLFFEQVRSATSSDEGSTSTLPGSIRALLPGGSHGSSRTTTTNTEEDWDAVPTAEELKALKGELATLKLRDGAGSNDGQATNDAKTSAEKAATNKVKNLIVSKKIFSKLWSNKDRHSENSSSDTSDSPASTTGDETKSTPSRSRRHSLS >itb06g00260.t1 pep chromosome:ASM357664v1:6:736349:743059:1 gene:itb06g00260 transcript:itb06g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVALRLHCLKEIHRLFPLNHAGKSYQLLGGAGSLVPLCLSSSLQKSIGSIGKYDYKSCDYRRGLMSPIIYQRKEVDSMILDNVIKDLKVQDRKTANFMHNMNSPWEKPLEVFKEPYLCFPLRNLFLYFPGCISVDEKGNRVFVSDSNHHRIIVFDANGEILDSIGSSPGFEDGDFENAKLMRPAASFYHAADNCLYIADSENHAIRRADMGRRILDTLHPAMNSNKDSNRLWSWIFGKLWSRKDIEAKSDEFPPKTLLFPWHISKCQNDLFVLNRHLQTLWILDLASGALQEIVEGFSNISEICGHLILEKSNILKQIPNDLLKQLMHTDCSLEGIPYAGLISSIATFQDDLIICNTVGQEVLKFNFKSATLSTFQFSNFSILGLPYWFSFPLDKDALSGLHVDHAELFNLLPGKVDIKLSIEIPKSFELVEPLIESCIWLQARGAATVVSEAERISTSEKVCAAQQWYDELDHRTFWESELESNKEVHSSTESNVEVLSSSPSEVVPEGKVLIDCSINTSPGTSEVIISAALYLKLRKTADTGMDSREQKAAKIADSLDPTRRVSKDLLVSYLLASKRDLEGLIVTRPLQVRLKFECPNHPTSEDNSKEIIITNSSINVSVSLLNP >itb07g15880.t1 pep chromosome:ASM357664v1:7:18932513:18933674:1 gene:itb07g15880 transcript:itb07g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSLECLNIRNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLIGRYYLQSLPLNLCHYSNLKYLCLDDLQNLRLLPQLPPNLEILSAKNCVSLEKIADLSNLKGLVWLDIQNCKSLVEPSGLKSFESLSALGIANCSSLRIPLIEKWFKVHPKDDSVYIYVGVDGQGRISCRIGVLEILVNVIDPSEIDYADDGGNRIDLSVRSKSSGANWILIEPIRRLKRLCFFEVPTTMMGEELEVYVEVHDWQKIFCVAEIHRNREGELRYFPSTRGCIPSYNKEDGERKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKVQIDRGGRSIRQRRL >itb03g02030.t2 pep chromosome:ASM357664v1:3:1140779:1144241:1 gene:itb03g02030 transcript:itb03g02030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTDQIDVWSNILNTPFGEFVNKNIAISCGFSGTPTALDGREWVGDNTLSSTSPLHMSGKSWTSKAEQKASPMIDPIPYQTARTSRHEFSYRFSVKPGQKFIRLHFNPAPYNGFKNSIALFTVKAGPYTLLSNFSPSLAADALGVKYLNKEYCVHVQESKALTITFTPSQETKSSEDIYAFVDGIEIVSMPTGLYFTPEGDLGAHVVGQKHRFYIDTATALQMIQRLNIGGRSIPSIEDVSMFRDWKDDFNYLLNGVGAASIDTTIPIEYADMLTHVAPPKVYQTARSVHPGKRHNLTWIIPVDLGFRYLVRFHFCELELGISARGERQFSILINNKIAEYNADVIKWSGARRVAVYKDYIAMIEGDRKKGAGTLVISLQPNSEFSTKRTEGILNGLEIFKLSNPDNYLVGMNPAPQRKQSSVSEMPRHQRPVFFDRKSAIVTAFTVAVTLLNIAIYYLRCLSEANANMRNTRSRCTDPACRQFSLEEIQLSTSHFSPEFLIGSGGYGKVYKGIIDGGATTVAIKRLKEESRQGENEFWTEIKMLLKVRNEHLVSLIGFCNEGTERVLVYEYMPRGTVADHLHKIDRMGNGNPPLSWKRRLKISIGAARGLHFLHTSQHKVIHRDVKSSNILLDESWVAKISDFGLSKMGPGNESFTHVSTDVKGTFGYLDPEYFFTHRLTTKSDVYAFGVVLLEMLTGRPALDKRLVEEQHNLATWAIDYMRKGKVDDIVDYSLAGQVSQTCLKVFIEIAERCLGRQLHARPDMADVLTKLELVLVLQQKEEVQSEVKSIDGHGAVSEEKKVMTTAERGASNGKGKDILKERVKKKDSNAKSKTVRWWDLLQVLPKQSPMTAPTQTIIKTSPSKVEVSTTKYEGLRQFSVKEILQATDGFNQSSIIGFGEDDVVFMGSLDGGKSTVSIRRAINLVYSDRLDRELQFYHYDLPLPHHRINVVSLIGYCNTDVHKIFVYDYMANGSLRDHLHKPDKDPLPWKQRLKICIDAARGLYYLQQILKQSFLHYEFNSTNIQLDENWVAKVSDFSWSRRKDDFGWPRSKVYSPAAFRCLLTLQATVCMT >itb03g02030.t3 pep chromosome:ASM357664v1:3:1140779:1144324:1 gene:itb03g02030 transcript:itb03g02030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTDQIDVWSNILNTPFGEFVNKNIAISCGFSGTPTALDGREWVGDNTLSSTSPLHMSGKSWTSKAEQKASPMIDPIPYQTARTSRHEFSYRFSVKPGQKFIRLHFNPAPYNGFKNSIALFTVKAGPYTLLSNFSPSLAADALGVKYLNKEYCVHVQESKALTITFTPSQETKSSEDIYAFVDGIEIVSMPTGLYFTPEGDLGAHVVGQKHRFYIDTATALQMIQRLNIGGRSIPSIEDVSMFRDWKDDFNYLLNGVGAASIDTTIPIEYADMLTHVAPPKVYQTARSVHPGKRHNLTWIIPVDLGFRYLVRFHFCELELGISARGERQFSILINNKIAEYNADVIKWSGARRVAVYKDYIAMIEGDRKKGAGTLVISLQPNSEFSTKRTEGILNGLEIFKLSNPDNYLVGMNPAPQRKQSSVSEMPRHQRPVFFDRKSAIVTAFTVAVTLLNIAIYYLRCLSEANANMRNTRSRCTDPACRQFSLEEIQLSTSHFSPEFLIGSGGYGKVYKGIIDGGATTVAIKRLKEESRQGENEFWTEIKMLLKVRNEHLVSLIGFCNEGTERVLVYEYMPRGTVADHLHKIDRMGNGNPPLSWKRRLKISIGAARGLHFLHTSQHKVIHRDVKSSNILLDESWVAKISDFGLSKMGPGNESFTHVSTDVKGTFGYLDPEYFFTHRLTTKSDVYAFGVVLLEMLTGRPALDKRLVEEQHNLATWAIDYMRKGKVDDIVDYSLAGQVSQTCLKVFIEIAERCLGRQLHARPDMADVLTKLELVLVLQQKEEVQSEVKSIDGHGAVSEEKKVMTTAERGASNGKGKDILKERVKKKDSNAKSKTVRWWDLLQVLPKQSPMTAPTQTIIKTSPSKVEVSTTKYEGLRQFSVKEILQATDGFNQSSIIGFGEDDVVFMGSLDGGKSTVSIRRAINLVYSDRLDRELQFYHYDLPLPHHRINVVSLIGYCNTDVHKIFVYDYMANGSLRDHLHKPDKDPLPWKQRLKICIDAARGLYYLQQILKQSFLHYEFNSTNIQLDENWVAKVSDFSWSRRKDDFGWPRSKVYSPVLYCGIILDSDGLRYITPTGKSYAYAFGLLLIEVLCANNELILRMNRDVESIPYWFKSQIRAGSHSRFIDPNLMGEISPGCLQMFVDIASNCLHDLVSKRPLMSEIVTSLEAALKLQEAEDAR >itb03g02030.t1 pep chromosome:ASM357664v1:3:1142867:1144324:1 gene:itb03g02030 transcript:itb03g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRPALDKRLVEEQHNLATWAIDYMRKGKVDDIVDYSLAGQVSQTCLKVFIEIAERCLGRQLHARPDMADVLTKLELVLVLQQKEEVQSEVKSIDGHGAVSEEKKVMTTAERGASNGKGKDILKERVKKKDSNAKSKTVRWWDLLQVLPKQSPMTAPTQTIIKTSPSKVEVSTTKYEGLRQFSVKEILQATDGFNQSSIIGFGEDDVVFMGSLDGGKSTVSIRRAINLVYSDRLDRELQFYHYDLPLPHHRINVVSLIGYCNTDVHKIFVYDYMANGSLRDHLHKPDKDPLPWKQRLKICIDAARGLYYLQQILKQSFLHYEFNSTNIQLDENWVAKVSDFSWSRRKDDFGWPRSKVYSPVLYCGIILDSDGLRYITPTGKSYAYAFGLLLIEVLCANNELILRMNRDVESIPYWFKSQIRAGSHSRFIDPNLMGEISPGCLQMFVDIASNCLHDLVSKRPLMSEIVTSLEAALKLQEAEDAR >itb01g09840.t1 pep chromosome:ASM357664v1:1:8157082:8161809:-1 gene:itb01g09840 transcript:itb01g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRLVQPRETIGLTQEDLHLQGSNGNAQTHRVSAAGDPCLVLTSDPRPRLRWTADLHERFVDAVTQLGGPSKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSQKDFGEASNDGLSAAYSLESPCSNNVPQNLPAPDINEGYEVKEALRVQMEVQSKLHLQVEAEKHLQIRQDAERRYLAMLEAACKILADQIIGDVVPDTEGENFQGLGIKTALNQPVYPSDPANIHTGPGSEEASPRINTQCNDGSTESCLTSHGSPAGLPLDSSSPGGKKRILSMDSAAASFVWREADTRTPGVHVVHMNSLGINKCNEQKLSN >itb12g23770.t1 pep chromosome:ASM357664v1:12:25384400:25387600:-1 gene:itb12g23770 transcript:itb12g23770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPAAQASEVYAPKTLQVWRTLLNWLAFFFQIFVQIIRGTPSLTQLFSSLSPPSPQFKPLPVVELPESQAAPPSAAAQIGAGTVDELRLPRLTVVLDLDETLVCAYETSSLPPVIRTQATEAGLDWFELECISSEKDCDGKPKINYVTVFERPGLHQFLKQLSEFADLVLFTAGLEGYARPLVDKIDTENRFILRLYRPSTTRTEYREHVKDLCSISKDLCRIVIVDNNPFSFLLQPLNGIPCIPFSPGQPHDHQLLEVILPLLNHLSQQKDVRPALYERFHMPEWFKKQGIPDSGLMNAAG >itb03g23650.t1 pep chromosome:ASM357664v1:3:21872922:21875314:-1 gene:itb03g23650 transcript:itb03g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNIPRFYKLVGLPKWLAKAHNIEAFAKVQYDKYHPNEYSEEEPIHPLSTEPIIGDSKCNFWLQKHPSALSSFNGIMSAAKGKQIVVFLDYDGTLSPIVSDPDKAFMSAPMRLAVHEVARHFPTAIISGRSRDKVFGFVELDEVYYAGSHGMDIMGPATQVKSYDAKYQTRTVDKKGNEISVFQPAHDFLPLLEKMLGELKEATCDVKGAFIEDNRFCISVHYRQVLEKDYALLEKKVHAILSNYPKFHVTIGKKVLEIRPSIKWNKGHALLYLLEALGFSDSTNNVFPFYIGDDKTDEDAFKVLKSRAHGCPIIVSSVPKETMASYSLRDPLEVLAFLNRLARWGKINAITSP >itb04g22590.t3 pep chromosome:ASM357664v1:4:27846916:27850606:-1 gene:itb04g22590 transcript:itb04g22590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLSGTAAASEFSAAAEIISRFQAYLRIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSTLPTILLNSHTDVVPSEPHKWSHPPFGAQIDSATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRSVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFKSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADQTSLERRIIEEWAPASRNMTFKLGQFKAKASIYDKFGRPALTSPDSSNIWWALLEEAVKDANGKLGKPEIFPASTDARYFREIGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIRVYESIIRAYASFVEHTRDDESKAEL >itb04g22590.t2 pep chromosome:ASM357664v1:4:27846468:27852377:-1 gene:itb04g22590 transcript:itb04g22590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLSGTAAASEFSAAAEIISRFQAYLRIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSTLPTILLNSHTDVVPSEPHKWSHPPFGAQIDSATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRSVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFKSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADQTSLERRIIEEWAPASRNMTFKFKAKASIYDKFGRPALTSPDSSNIWWALLEEAVKDANGKLGKPEIFPASTDARYFREIGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIRVYESIIRAYASFVEHTRDDESKAEL >itb04g22590.t1 pep chromosome:ASM357664v1:4:27846468:27852377:-1 gene:itb04g22590 transcript:itb04g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLSGTAAASEFSAAAEIISRFQAYLRIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSTLPTILLNSHTDVVPSEPHKWSHPPFGAQIDSATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRSVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFKSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADQTSLERRIIEEWAPASRNMTFKLGQFKAKASIYDKFGRPALTSPDSSNIWWALLEEAVKDANGKLGKPEIFPASTDARYFREIGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIRVYESIIRAYASFVEHTRDDESKAEL >itb14g01120.t4 pep chromosome:ASM357664v1:14:833992:840399:1 gene:itb14g01120 transcript:itb14g01120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPIPYLLLFLSSFGASVFVSAGSHRAILRAISDERAGGSRDYAVELNATNFDAVLSETPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGADAIHPGIILMTRVDCALGINSNLCDKFSVGHYPMLFWGPPSKFVGGSWKPKQEKSDILPIENGRTSSLLLEWINKKLGSSYGLEDEKYQNEQLQTNISDPGQIARAVYDVEEATSTAFDIILQHKMIKSDTRASLIKFLQLLVAHHPSRRCRRGSADILINIDDLCPSGALSANKEEVASCTKDGILGNYQICGKEVPRGYWMFCRGSTNETRGFSCGLWVLLHSLSVRVEDAESEMAFKTTCDFIHNFFVCQECREHFHEMCSRYDAIGGYTFSP >itb14g01120.t1 pep chromosome:ASM357664v1:14:833992:841497:1 gene:itb14g01120 transcript:itb14g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPIPYLLLFLSSFGASVFVSAGSHRAILRAISDERAGGSRDYAVELNATNFDAVLSETPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGADAIHPGIILMTRVDCALGINSNLCDKFSVGHYPMLFWGPPSKFVGGSWKPKQEKSDILPIENGRTSSLLLEWINKKLGSSYGLEDEKYQNEQLQTNISDPGQIARAVYDVEEATSTAFDIILQHKMIKSDTRASLIKFLQLLVAHHPSRRCRRGSADILINIDDLCPSGALSANKEEVASCTKDGILGNYQICGKEVPRGYWMFCRGSTNETRGFSCGLWVLLHSLSVRVEDAESEMAFKTTCDFIHNFFVCQECREHFHEMCSSVSTPFKNARDFTLWLWSAHNKVNDRLMKAEASLETADPKFPKVIWPPKQLCESCYRQSKKGNESSEIDWDHDEVFKFLVSYYGKTLVTLYKDKELGGGSEKSTVDELVASTSAVVVPVGAAFAIAVASCAFGALAWFWRSRQKNRKPKRSWN >itb14g01120.t2 pep chromosome:ASM357664v1:14:833992:841497:1 gene:itb14g01120 transcript:itb14g01120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPIPYLLLFLSSFGASVFVSAGSHRAILRAISDERAGGSRDYAVELNATNFDAVLSETPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGADAIHPGIILMTRVDCALGINSNLCDKFSVGHYPMLFWGPPSKFVGGSWKPKQEKSDILPIENGRTSSLLLEWINKKLGSSYGLEDEKYQNEQLQTNISDPGQIARAVYDVEEATSTAFDIILQHKMIKSDTRASLIKFLQLLVAHHPSRRCRRGSADILINIDDLCPSGALSANKEEVASCTKDGILGNYQICGKEVPRGYWMFCRGSTNETRGFSCGLWVLLHSLSVRVEDAESEMAFKTTCDFIHNFFVCQECREHFHEMCSSVSTPFKNARDFTLWLWSAHNKVNDRLMKAEASLETADPKFPKVIWPPKQLCESCYRQSKKGNESSEIDWDHDEVFKFLVSYYGKTLVTLYKDKELGGGSEKSTVDELVASTSAVVVPVGAAFAIAVASCAFGALAWFWRSRQKNRKYKYLHSLKST >itb14g01120.t3 pep chromosome:ASM357664v1:14:834009:841497:1 gene:itb14g01120 transcript:itb14g01120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIPIPYLLLFLSSFGASVFVSAGSHRAILRAISDERAGGSRDYAVELNATNFDAVLSETPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGADAIHPGIILMTRVDCALGINSNLCDKFSVGHYPMLFWGPPSKFVGGSWKPKQEKSDILPIENGRTSSLLLEWINKKLGSSYGLEDEKYQNEQLQTNISDPGQIARAVYDVEEATSTAFDIILQHKMIKSDTRASLIKFLQLLVAHHPSRRCRRGSADILINIDDLCPSGALSANKEEVASCTKDGILGNYQICGKEVPRGYWVTSERVLNGALYSKLRFFIDIQYVLSWKYK >itb02g16880.t1 pep chromosome:ASM357664v1:2:12907296:12912904:-1 gene:itb02g16880 transcript:itb02g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCPHCYETLLHLSGPHNIMLPHLLPPRDIHHLHRVIPLNLEMLDSHSPNGFLTFAQYAEFSGSRLFFPIFRSLPPTANRDRKLEAVAIDCEPRPQTRGRCRRRRTRGVFNRARSSTSWSQGDTKFLAAEDKEERKRGRLLMYQRQTQRIELIRMLQKMLWCIILAR >itb01g10920.t1 pep chromosome:ASM357664v1:1:9570893:9575860:1 gene:itb01g10920 transcript:itb01g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTKPDYNSISGWKVKGKGGFRASCFIYGFGAMDNMGFVANMVSMVLYFMLKMHFDLSGSANTLTNFMGSSFLLSIVGGLISDTLLTRFHTCLIFGMLEILGLILMTIQARFESLQAEACGKSSCVEGGIALLFYVSLCVLALGTGGVRGALPALGADQFDQKDPTESKALGSYFNYMLLTSTTGAAFGVTLVVWVSTNKGWWLGFLISTIGTIFGFVFLAAGCPFYRLQSPGDSPILRIIQVIVVSIKNRKLKLPENPSTELYEVNDKGTVSTETKVAHTDQFRFLDKAAIPPKNSEPTPWTVCTVTQVEEVKVLTRMLPIIGSTIIMNTCLAQLQTFSVQQGYRMNRLLGSFEVPSSSVPVIPLIFMVILIPLYDRVFVPFAAKLTGRPSGVTQLQRVGVGLVLSAVSMAVAAMVEVKRKQHSLGNPLKPIHVMWLAFQYGIFGVADMFTLVGLLEFYYKEAPAGMRSLSTSFTWISLSFGYFLSSAFVGLINAITKALAPSKKGWLEGQDLDKNNLALFYWFLAILSCFNFANYLYWALWYKYKSPNNPNPKPSSDPDTLPKSLSLSRVPFLKASGDDNPSQNMVKSQSQSTPQ >itb13g19470.t1 pep chromosome:ASM357664v1:13:26474443:26474975:-1 gene:itb13g19470 transcript:itb13g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSSSSSNNGNEFYEFGERFPIIHCKCGHQLKIRTSWTNENPGRRFWLCSAGISRLGCGFVDWYDPPMCSRSKRIIPGLLKRINRQDEEIRVLNMKLQGQDEEIRVLNMKLQGEKQQEKGKVFNKIVGILVVIFVGFVASICFA >itb03g29860.t1 pep chromosome:ASM357664v1:3:31137392:31141476:1 gene:itb03g29860 transcript:itb03g29860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLPLEKQRPPYTSISRVVDREAPVLDNRKREAEGFAAPAIYKRPKGGKNVSNSKPYHEPRWSFKTCHVPSSDELREKERVARGCFLAPSIKMMKVVLKLDYKDEKTKQKAMKKVSSLDGVESISIDKDQKLTVTGSIDAVSIVGKLRKICNTDIVSVGPKEAEKKKDDGKKDEGKKDDKKGGGDDKKKDDNKKGGGEDKKKDEAAAKPAVPVPQQYYYYHQQQHPYYHQQQQHPYYNQQYPQPYSHPAAYYNNSYGYNNRTVEEDPNACVIC >itb07g08390.t1 pep chromosome:ASM357664v1:7:6599676:6602965:1 gene:itb07g08390 transcript:itb07g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKLQKTRAYFKRFQVQFKRRREGKTDYRARVRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIILAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKTLELDDEYEGNVEATGEDFSVEPGESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDSKQLDAELHRKYIYGGHVAGYMKTLMEDEPEKYQSHFSEYVKKGIEADNVEEVYKKVHAAIRADPTAKKSEKPQPKERKRFNLKKLTYDERRARLIERLNALNAAAGQDEDEDEDDE >itb05g19950.t1 pep chromosome:ASM357664v1:5:26211768:26213816:-1 gene:itb05g19950 transcript:itb05g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLADLIRLSRCFSTSNRKTKRLLSTLQQNPRVNSSLHTGDVYSRILDKCPDIKIIEKVHSRIIFDPDLCLNTSIGIKLMRAYAACGQTNITRQLFDKIPVKNVVVYNVMIRSYVNNRSYKDALLLFKIMHMDNIQPDRYTLPCVLKACSVSENMWLGLQIHAPIVKNGLDSNLYTGNGLVAMYGKCGFLAEARQALDEMPRRDVISWNSMVAGYAQNGSFDDALEVCKEMNFLGLKLDAGTMASLLPAVTNTCMENVAFVKNIFLDMDKKDLVPWNVMMAVYVKNSMPKEAVEIYLQMEACSIEPDAISFATILPACGDLSAVLLGRRIHEMVERRGLQPNLSLENALIDMYARCGCLLEARKLFDAMKFRDIVSWTSLIYAYGMSGQGQNAVALFSRMLESGLKPDSIAFVSILSACSHAGLLQAGEYYYKLMTEEYKIVPRIEHYACMVDLRARAGQIEKGYTFIKQMPMDASERIWGALLSACRVYNNMDIGVVAADHLFMLAPKQSGYYVLLSNIYAKAGRWQDVTKIRLVMNERGIKKIPGVSNVELHNQVHTFLAGDRLHPQSGKIYEELDTLIGKIKEVGYVPVTDGALHDVEEEDKENHLVVHSEKLAIAFAIMNTEAGTPIRITKNLRVCGDCHIAAKLISKITKRLIVVRDTRRYHHFQNGVCSCGDYW >itb15g14280.t1 pep chromosome:ASM357664v1:15:12533265:12540399:-1 gene:itb15g14280 transcript:itb15g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSTRADARKKSYKTGVDADEARRRREDNMVEIRKNKREDNLLKKRREGLLLQSQQLPDATQSPAAIEKRLESIPLMVQGVWSEDPSVQIDATTQFRKLLSIERSPPIDEVIRAGVVPRFVQFLGRNDLPQLQFEAAWALTNVASGTSEHTRVVIDQGAVPMFVQLLSSPSDDVREQAVWALGNVAGDSPTCRDLVLSQGALLPLLAQLNENSKLSMLRNATWTLSNFCRGKPATPFEQVKPALPVLQQLIHLNDEEVLTDACWALSYLSDGPNEKIQAVIEAGVCPRLVELLLHHSPSVLIPALRTVGNIVTGDDTQTQYVIDNQVLMCLYRLLTENHKKSIKKEACWTISNITAGNKAQIKAVIESNIILPLVCLLQHAEFDIKKEAAWAISNATSGGSNEQIRFLANQGCIKPLCDLLICPDPRIVTVCLEGLDNILKVGEADKKLGMNDGINIYAQMIDECEGLDKIENLQTHDNTEIYEKAVKILEKYWGDEDEEQNLPDGVDENQQGFTFGNSQPNVPEGGFKFG >itb04g20330.t1 pep chromosome:ASM357664v1:4:25000159:25001959:1 gene:itb04g20330 transcript:itb04g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQQFSKSPVTTVVDLISIVLNRRIGDHHCHVWQPGKLRVFKKANESLFWQRASGFWSCGVLEKSLEC >itb10g04420.t1 pep chromosome:ASM357664v1:10:4205648:4209047:1 gene:itb10g04420 transcript:itb10g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFCTLISSKNHSVILKPNTNPLLKGDSVPLPKHLSFGRRRKSSTPPRALLSSTKEAVLKEFHQKRALKIISGLQNLNKENVAAVVTAADKGGATHVDIACDPELVKLATALTSLPVCVSSVDPAAFPAAVEAGALMVEIGNYDSFYEMGLIFSPEQILNLTKETKRILPSIALSVTVPHTLSLPDQIKLAEILEQEGADIIQTEGGKCSNPSKPGILGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAINKLNDTIAMIAEVKTIADSIGLSTKKQDVLEESRFRL >itb04g32590.t1 pep chromosome:ASM357664v1:4:35068710:35070305:-1 gene:itb04g32590 transcript:itb04g32590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQQNPKKRDREEEDDGFSRKKDFPPPLTAAAAGEGNLKVKLRIGKSPPVAGEEESPEKKDHVCVECNKRFSSGKALGGHMSSAHVQANRDYSYKKKKQVVRGGAGGSSKGSDEDGGEEEDEEEGRREYYRGKDGEIRCPHCFKKFPSRKSLFGHMRCHPDRYWRGMEPPPIPGSSTNKSEDKDEDEDEDKDKDGKISPERVDLQGYLSSWGSKAKRGRSPIKQRSSSTSISDDEELQEAVHHLVCLGNAQNPNPEINKNNHMIGKGKEKLIDEEDGLDNHSEDDEDHGITKWINPKNIDCPNPNGNASAAALSNDNNKKRRIIVENTMRPLMESEMELTKELFKCSTCNKCFSSHQALGGHRSSHNKFRLSVQNTIDDEQTNTTSAPATIPVPSPVQNPQTVANTNENINDVPNATSKYQCGVCNKVFATGKALGGHKKCHSVSQSTLLQPAPPLSSPERSSTPPDDDATGKALGGHKKCHSVSQSTLLQPVPPNSPERSSNPPDDDDDDDEEPKRLDFDLNEIPDDE >itb07g08530.t1 pep chromosome:ASM357664v1:7:6746527:6749440:-1 gene:itb07g08530 transcript:itb07g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSNKQVIFKNYVTGFPTESDMEIRTTTVKLELPAGSTAVLVKNLYLSCDPYMLTRMKLSDGGYLGVNSAFKPGSPLEGLGVARILQSGHPDFKKGDLVWGLTGWEEYSVISTATLFKIQYTDVPLSYYTGILGMPGATAYFGFNELASPRKGEFVFVSAASGAVGQLVGQFAKLLGCRVVGTARTEEKVDLLKNKFGFDDAFNYKEEPDLNATLKRYFPEGIDIYFENVGGKMLDAVLLNMKLHGRIMVCGMISQYNNVGGSEGIHNLMNLISKRIRMEGFLVSDYYHLYPKFLETVVSYIREGKIVYVEDIAEGLESAPNALVKIFTGENVGKQLVVVSRE >itb04g09820.t1 pep chromosome:ASM357664v1:4:9068444:9072959:-1 gene:itb04g09820 transcript:itb04g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRKKPGMASVKDMPLLQDGPPPGGFAPVRFARRIPNTGPSALAIFLTAFGAFSWGMYQVGQGNKKRRAIKEEKYAARRAILPMLQAEEDERFVKEWRKYLEEEARIMKDVPGWKVGESVYNSGRWMPPATGELRPDVCSSSSSSSISMGEVWDLKLKGVEYEYIEEDIPNKSHKLLLYNPVHKKVHVLDHAGRPIAESLVILEYIEKKWSQFTNPTFAH >itb07g04270.t1 pep chromosome:ASM357664v1:7:2878695:2883713:-1 gene:itb07g04270 transcript:itb07g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIEKDRGNQTAVKPPPQTQSQCQLGFESAAAVRPVGFGSKPSFSNAQVASLATSDSDLAVSHDRDDNVVDLRETKVYSSGNGGACVAGVLYKWVNYGKGWRARWFVLEDGVLSYYKVHGPDKIVMSPGREKGLKVIGEESWRYMRKANGSNHRLSGSSRQWKPFGEIHLKVSSVRSSKSDDKRLSIFTGTKTLHLRCLSREDRTTWIDALLVAKDQFPRMLTSGDFALSEDFVVSTEKLRTRLLQEGIGEAVIKDCEAILECELGDLQRKLKGLHLNHMMLLETLRQLEAEKIELETTVVDETKERESCCGQGNRRFSDFYSIMSEGSATDSDADNESRYGVDVETDEEDITFFDTNDFLSSEAMRSASYRSREARLSLTNDDESFFSGRIREVGMEIKAIKYPYVKRRDSLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSHLVDQALEWGKQGDDLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGILTLQFEDGETFRWSKVTTSIYNIIIGKIYCDHYGTMRIQGSSKYSCMLKFKEQSIIDRNRHQVHGFVQDNRTGEKVAMLLGKWDEALYYVLGDPTTKSKGYDPMEDAILLWERDKSLTKTRYNLTPFAISLNELTAGLREKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRRARKLQERGWQPRWFRKDEDGHYQYVGGYWETREKHNWEGIPDIFGQTVDLPPGTDEHNNAC >itb12g25300.t1 pep chromosome:ASM357664v1:12:26567506:26567929:-1 gene:itb12g25300 transcript:itb12g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLCTLSFFVHSRFCCSEDRDDSEDEATVSPQLHLRAVRRRHRYLLNLFNITVSETREMERERARDKDEEWA >itb07g22650.t1 pep chromosome:ASM357664v1:7:27202188:27202966:1 gene:itb07g22650 transcript:itb07g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTQTQPKRQRGEAIEEEEEASKRHKYSYNHLMSILEEEEEEDNDKPVQDLSAILTALQQELCSPSSSSSPAMAAGEAEPPAGVTASSEEDENGDRFSVIRHLLEASDDELGIPAGGGVDGAGAGAGAGADLPAISLSDGLWQLEDESANYYSLLHSQLFM >itb12g05540.t1 pep chromosome:ASM357664v1:12:4072569:4073032:1 gene:itb12g05540 transcript:itb12g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNREIEDNQMKKNRGRVPLAAVNTMKNGGQGNNPNPAMATTKQTVPSVLAFPEEASQHISLERDNHNHGKQRNRIQPFSDISEGVFHSNHPRKNGQLLMM >itb03g15030.t1 pep chromosome:ASM357664v1:3:14502293:14505407:-1 gene:itb03g15030 transcript:itb03g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGHRIGEKCDSGEGNRGFFRRVMITEESGVRNKRGKKGGERRVGQSDGRKIQWGELTGDSLGSYYYCRSPAKPKTPKNIRTLEGSPVPCMVNAEEMNSPAKDAEATKE >itb07g11090.t1 pep chromosome:ASM357664v1:7:12514266:12516001:-1 gene:itb07g11090 transcript:itb07g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSCQNIFYFNITARKLCGLTIKCCSSNVPDKFLRVNLGLKSIVTFELEGSLQVFSWIFFIGLLFIYNFFLGTDVSFFILILKEFDKEFTKLGFQLNVEYLKLSCFSYKSDVISSALVPLLRLCPKLCKLEINLSQLEVVTFDCVDAWLKLLERLHSATQTNKMLQALKVTSFTGSKIELLFIAKLLASFSTLKKVVIVHKY >itb13g23470.t1 pep chromosome:ASM357664v1:13:29458285:29459432:1 gene:itb13g23470 transcript:itb13g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGMISGFSALLAISLVALPLNAQINSPCTASMLTSFTPCLNYITNSSPTGGSPSADCCNIMKSVMGNGVGCLCFIAAGGIPFRVPINRNLTLSLPRACQTPGVSVQCKASGTPTAASSPAAAVSPAPGPSRGPGGDDVLKPLSPGLAPESDATPTLTPPSPTTNTGSRVSPTPSAAPSRCPSPLLMLAVLGGALVFKLY >itb12g22300.t1 pep chromosome:ASM357664v1:12:24422765:24425327:1 gene:itb12g22300 transcript:itb12g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSKLHIAMFPWLAFGHFIPFLQLANDLAKRGHRISLLLPTNALLKLKHMNLHPQLITFCSLNLPPGVETTADTNNNLDGSLEVAFDGLRDEVKTILVQMEKPNLVFYDLAYWIPELVLEMGCKTVRFTVVSPTISALSLAMWAIKGKGRAVTAADLMEGFPCDSKVVLREHEAYQVSRFANAPSNRIKVFDRVGEALKRCDAIAMRACNEMEGHYCNYIGTKLGKRVYCTGPLLPEQPREEEPLDDEIARWLENFEPNSVIFCVFGSEVTLAKEQFQELVLGLELTGLPFLVAIRPPEGSCSIEEALPEGFQERVKGKAIVRGGWVQQSMILRHKAVGYFVNHGGSASMWESLASHCRIILAPANRFDFALNARLMAEELEAAVEVQTDENGRFFKENLCNAIKSVMGESRQKENHKKWRDLLLNHDFADNYIQNFIHNLFTEQQFLKGLKLLWDHGIKKVVIECDAKRVVDWISADIGSDIPNGNVANIINECKC >itb12g22300.t2 pep chromosome:ASM357664v1:12:24422765:24424487:1 gene:itb12g22300 transcript:itb12g22300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSKLHIAMFPWLAFGHFIPFLQLANDLAKRGHRISLLLPTNALLKLKHMNLHPQLITFCSLNLPPGVETTADTNNNLDGSLEVAFDGLRDEVKTILVQMEKPNLVFYDLAYWIPELVLEMGCKTVRFTVVSPTISALSLAMWAIKGKGRAVTAADLMEGFPCDSKVVLREHEAYQVSRFANAPSNRIKVFDRVGEALKRCDAIAMRACNEMEGHYCNYIGTKLGKRVYCTGPLLPEQPREEEPLDDEIARWLENFEPNSVIFCVFGSEVTLAKEQFQELVLGLELTGLPFLVAIRPPEGSCSIEEALPEGFQERVKGKAIVRGGWVQQSMILRHKAVGYFVNHGGSASMWESLASHCRIILAPANRFDFALNARLMAEELEAAVEVQTDENGRFFKENLCNAIKSVMGESRQKENHKKWRDLLLNHDFADNYIQNFIHNLYELLTE >itb06g19950.t1 pep chromosome:ASM357664v1:6:23110697:23112605:-1 gene:itb06g19950 transcript:itb06g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGDAPSETQSALSDETPVVVDSHIELTTNLNEGAPGESSVGSKRLQQQWSSLVAHEGFRRYLASLQPLFELEDMEIEEDCED >itb03g07870.t1 pep chromosome:ASM357664v1:3:5869148:5870831:-1 gene:itb03g07870 transcript:itb03g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVGEGHGGINKYALGCSLVASMISIIFGYDTGVMSGAMIYVRDEFHIGDGKTEVLAGILNLCALVGSLCAGRTADAIGRRYTIVLAAVIFLLGSLLMGYGPNYGVLLGGRCTAGIGVGFALMIAPVYSAEVSSPSTRGFLTSLPEIGISTGILLGYLSNYIFSRLPLKLGWRVMLGIPAIPSLFLAIGILKMPESPRWLMLQGRLGEATKIMYKVSNGAEEAERRLSDMKQNVGIDDTCKDEVVKIQATTTKGVSVWRELIIRPTPTVRWMLMAAIGIHFFEHATGIEAVILYSHHIVEGAGIKDKRKKILFSVGVGLTKFIFIVVSTFLVDRVGRRKLLLTSVTGMIFSLLGLGTCLTIVHQHYGERIVWALVLSIITTYTFVMFFNIGLAPVTWVYSTEIFPMRLRAQGASIGVAVNRLMNATVSMTFLTICNAITIGGAIFMFAGISVLAWVFVFLCLPETKGKSLEEMEKLFTPKSSSTNTNNSR >itb04g19740.t1 pep chromosome:ASM357664v1:4:24197715:24198364:-1 gene:itb04g19740 transcript:itb04g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTPLLSPPPTPSPTTRTAPGPYNTASSKDLHPVYVGKSRCQYLVGFDIIDHPMFQELAKRSGSYSDEYIAIGCQVVMFEHLLWILE >itb07g13990.t1 pep chromosome:ASM357664v1:7:16319600:16323630:1 gene:itb07g13990 transcript:itb07g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNLLIASVVLCFLVFHGTTGNAIALRAQDGSEAWGYVEVRPKAHMFWWYYRSPSRAEDPNQPWPIILWLQGGPGASGVGIGNFEEVGPLDINLKPRNSTWLNKADLLFVDCPVGTGYSYVEDTKQFVKTDWEAATDLTTLLIEVFNSNESLQKSPLYIVAESYGGKFAVTLGLSALEAIEAGRLKLKLGGVALGDSWISPEDFVFSWGPLLKDVSRLDENGFQQSNSLALKIKQKLEAGEFEEATDLWGDLENAISASSNSVDFYNFMLDADMDPLSVAASELSQALALKRYSRYLQSRSSSLGSDADLSKLMNGPIRKKLGIIPENVLWGEESGLVFENMYGDFMKPRINEVDELLAKGVNVTIYSGQLDVICATKGTEAWIQKLKWEGLKTFLSLERSPLYCGNDKTTRGFTKSYRNLHFYWILGAGHFVPVDQPCVALDMVGSITQSLASSK >itb07g13990.t2 pep chromosome:ASM357664v1:7:16319600:16323630:1 gene:itb07g13990 transcript:itb07g13990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNLLIASVVLCFLVFHGTTGNAIALRAQDGSEAWGYVEVRPKAHMFWWYYRSPSRAEDPNQPWPIILWLQGGPGASGVGIGNFEEVGPLDINLKPRNSTWLNKADLLFVDCPVGTGYSYVEDTKQFVKTDWEAATDLTTLLIEVFNSNESLQKSPLYIVAESYGGKFAVTLGLSALEAIEAGRLKLKLGGVALGDSWISPEDFVFSWGPLLKDVSRLDENGFQQSNSLALKIKQKLEAGEFEEATDLWGDLENAISASSNSVDFYNFMLDADMDPLSVAASELSQALALKRYSRYLQSRSSSLGSDADLSKLMNGPIRKKLGIIPENVLWGEESGLVFENMYGDFMKPRINEVDELLAKGVNVTIYSGQVRLRSMAFLHRMRTKLQTNQ >itb15g23070.t1 pep chromosome:ASM357664v1:15:25832033:25835660:1 gene:itb15g23070 transcript:itb15g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGDTRARTLYSDIRYEVIRIFGMYKVSKQRLFYSKDYWGGNAAVKHCEVTHHRWKRIVRDTEQIKDRILEEKRRAALEAEEQNITIWDTYQNALKTENEVIVGFDRDIEKIVNRLCYSYFMRSVLTILRNSNIDKLRRYVENLVLKLQVIPLVGEGGIGKTTLAKRVYGHPITIASFHIRAWVVVSKVHNLKEMLIGLLRCISPITSDIYNIDEAQIAEKLSTSLMGQKYLIFLDDIWTTAAWDAIQGYFPENFNGSRILVTTRFKEVSEYLSTNPYQVKYLTVLDRLELFSRKVFGQSQYVPREYEPIVERIVLGCGGLPLVVVLVSGLLATTKESLEIWRDVVRSLDGIGRYDNNKRISKIVLLSYKYLRSHLKACFHYFGVFPEDSDILVKKLINLWIAEGFIKPHNNMSLEEVGECYLHDLINTSLVQINELSIDGKVKSCNIHDRVHEVCVREAIDGNTLCIINDNHAPKPCQWLSCQTSHWPITRASYGNCNPDEIHSVLWFGKDVYHSKCRLVYPCLKSLRVLDLSLVKCLRGMPREITDLVHLRYLALSTIGSLYKLQFLKLKNLQTLLVTSWIEKYPLQLPCDILGLRQLRHLHIDKRCSQYLPCLVKKNLQTLYWLKVVSSDRKPNFRMVPNLKELGIYIEGQLEPSYLGNLVHSHLLEKLKFEVGRVERFYLPIGFPPNLKKLTLRYTYLPWKEMDMIGKLSHLEVLKLKDFAFCGSKWEPSKHGFRKLKALLISRSNLKHWNASSINFPVLERIVLRYCWELKQVPLEFAKIGTLKLIVLECCYSSLVTSALQISSANKPVQSSRLKKDVHHHLAFGRKQTES >itb12g09250.t2 pep chromosome:ASM357664v1:12:7272432:7277127:1 gene:itb12g09250 transcript:itb12g09250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPMCNSSSPLSSSSTKSWLVHALVAGAAVAVAFGAHRYFLRSGKFRSRVIGIIPARFASTRFPGKPLVDILGKPMIQRTWERAKLARALDQVVVATDDEKIAECCRGFGAEVIMTSESCRNGTERCNEALQKLEKKYDIVVNIQGDEPLIEPEIIDGIVEALQAAPDAVFSTAVTSLKPEDAFDTNRVKCVVDNRGYAIYFSRGLIPYNKSGKVNPDFPYLLHLGIQSYDTKFLKIYPELQPTPLQLQEDLEQLKVLENGYRMKVLVSFFLVFDILERF >itb12g09250.t1 pep chromosome:ASM357664v1:12:7272432:7277127:1 gene:itb12g09250 transcript:itb12g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPMCNSSSPLSSSSTKSWLVHALVAGAAVAVAFGAHRYFLRSGKFRSRVIGIIPARFASTRFPGKPLVDILGKPMIQRTWERAKLARALDQVVVATDDEKIAECCRGFGAEVIMTSESCRNGTERCNEALQKLEKKYDIVVNIQGDEPLIEPEIIDGIVEALQAAPDAVFSTAVTSLKPEDAFDTNRVKCVVDNRGYAIYFSRGLIPYNKSGKVNPDFPYLLHLGIQSYDTKFLKIYPELQPTPLQLQEDLEQLKVLENGYRMKVIKVDHEAHGVDAPEDVDKIVEFMRERNLS >itb12g26340.t1 pep chromosome:ASM357664v1:12:27294462:27297865:-1 gene:itb12g26340 transcript:itb12g26340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRSSFLGVWKHNRWKRNYCTVCAGPSKSAIILTNSIITKRAKSGQLEEARQLFDGMRERSVVSWNAMISGYAEWGKYSEVFSLVWSMHRSNTKLNESTFSSVLSVCAHSGSSSEGKQVHGLVLKSGCESFKLVGSALLYFYSSSCEIEEARRVFDELHERNELLWSLMLVGYVQCNLLNDASRFFNKMPSHDVIAWTTLISGYSKTEKGAQKALELFILMRKSGDITPNEFTLDCVIRACGRQGVLRVGKALHGLVVRGGFELDSSICGALIDFYCNCLVMDDAKREYSQLVNPCLNDSNVLIAGLVMAGKIEEAELIFNGLINRNAVSYNLMIKGYALCGRVEESNRVFSEMPERTLTSTNTMISVYSRNRETEKALELFEETKGKRNSVTWNSMISGYIHNDQHENALKLYLEMRRVPISQTRSTFSALFHACSCLGSLQQGQLIHAHLIKTPFETNVYVGTALVDMYSKCGTIADAQASFAGISCPNVAAWTALINAYAHHGLGFKAISLFEHMLEQGVHPNAATLVAVLSACTHTGMVSEGMRIFHEMEARFGITPTLEHFTCIVDLLGRTGHLREAEELVNEMPVEPDKVLLIALLNACWFWADMEVGERVAEKMFSFDPNPVSGCIIMSNMYAGSGRWREKMQARKALKGLEAKKPPGCSWIE >itb12g26340.t2 pep chromosome:ASM357664v1:12:27295677:27297865:-1 gene:itb12g26340 transcript:itb12g26340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRSSFLGVWKHNRWKRNYCTVCAGPSKSAIILTNSIITKRAKSGQLEEARQLFDGMRERSVVSWNAMISGYAEWGKYSEVFSLVWSMHRSNTKLNESTFSSVLSVCAHSGSSSEGKQVHGLVLKSGCESFKLVGSALLYFYSSSCEIEEARRVFDELHERNELLWSLMLVGYVQCNLLNDASRFFNKMPSHDVIAWTTLISGYSKTEKGAQKALELFILMRKSGDITPNEFTLDCVIRACGRQGVLRVGKALHGLVVRGGFELDSSICGALIDFYCNCLVMDDAKREYSQLVNPCLNDSNVLIAGLVMAGKIEEAELIFNGLINRNAVSYNLMIKGYALCGRVEESNRVFSEMPERTLTSTNTMISVYSRNRETEKALELFEETKGKRNSVTWNSMISGYIHNDQHENALKLYLEMRRVPISQTRSTFSALFHACSCLGSLQQGQLIHAHLIKTPFETNVYVGTALVDMYSKCGTIADAQASFAGISCPNVAAWTALINAYAHHGLGFKAISLFEHMLEQGVHPNAATLVAVLSACTHTGMVSEGMRIFHEMEARFGITPTLEHFTCIVDLLGRTGHLREAEELVNEMPVEPDKVLLIALLNACWFWADMEVGERVAEKMFSFDPNPVSGCIIMSNMYAGSGRWREKMQARKALKGLEAKKPPGCSWIEVNNRIHVFVIDDRIHPCCHTVYSTLKHLTANVNSSNFFDFNSSQLTRHELYVT >itb03g12610.t1 pep chromosome:ASM357664v1:3:12121879:12126028:-1 gene:itb03g12610 transcript:itb03g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLLFYLFSAAIYTAAAAAQQISLGSSLTPTGKSSSWVSPNGHFAFGFYQQGSNGYAVGIFVAAIPERTPVWTANRDTTPIFPTNSTLVLKSDGRLVVEVRQDTINIIPTDGLPISSASMLDNGNFVVYASENDDSYGIFTIAMQDDGNLVQYPLQGPYIPQNAYYASNTDRTGNNITLNLDEDGSLYLINSTINIIKNLTPSRGNPEEKTIYIAKIDVDGIFRVYSHPFGHGNWSVSWSTTDDKCAPIGVCRLNAYCTMMDKDAECRCLPGFEFVIPGNWSSGCSRNFSTRSCKATGGGINYEMRAVDNTVWQSNSYAVLKTSTKEECMQACLEDCNCDAAQFKDGACGKERLPLIYGRRSVDNSNVALIKVGFLANIGGGGLVDHSPESKKQKHKDSILVVGISLLAFAILVLAISGMLIHKSRIWRYREISERDNNGQFCKDVGLRAFTYAELQRVTNDFKEELGRGAFGTVFKGVQAEPQKLVAVKRLEKVLEDGEIEFQNEMKAIGKTHHRNLVKLLGYCIDGPKRLLVYEYMSNGSLADTLFTPQKQPCWEWEDRIGIARDIARGLLYLHEDCETQIIHCDIKPQNILLDDRCVAKISDFGLAKHMKQDQSRTYTGVRGTRGYAAPEWHRKMAVTVKADVYSFGIVLLELITRRKSVDWSLCEDEAVLEEWVYNCFEAGEEWTRDEANSLTLG >itb05g02690.t1 pep chromosome:ASM357664v1:5:2165761:2172039:-1 gene:itb05g02690 transcript:itb05g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MAARFLWRAQTKLLFGATAVGGGAAAAAVANSDDPATALKLCTLVPLRLYRDSVTAAAIAFDYEYSLWGLPEGSAERQKVKHEVHLRSARRLEELCFKNGGIYIKFGQHLGQLEYLVPDEYVHIMRESMLNRCPQSSYEQVCEVVSKELGGTPDQIFKEFDPVPIASASLAQVHIAHTHDGQKVAVKVQHTHMTDTAAADYATVGLVVNTLHRFFPDVDYRWLVDEVRESVPKELDFLVEAKNSVKCMDNFRKLSPHIADYVYAPRVYWTLSTSKLLTMEFMDAAQINDVKTIQTLGIRPSDVAKLVSQAFAEMMFKHGFVHCDPHAANMLVRPMPSGQRTLFGKRKPQLILLDHGLYKELDSSTRMSYAALWKALVSSDAKGIKENSVKLGAGEDLYVLFAGILTMRPWNKVVDPSVDHLVIQGTDNERSELQMYASAYLPQITELLRRLPRVILLMLKTNDCLRAVNRTLVQGSSLESFYIIGKVSSEAVLDSVWEKRSLLSWINFWLQKILLEARLCGMQLAVWLLQLQKVLTIGNH >itb05g02690.t2 pep chromosome:ASM357664v1:5:2165761:2172021:-1 gene:itb05g02690 transcript:itb05g02690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MRESMLNRCPQSSYEQVCEVVSKELGGTPDQIFKEFDPVPIASASLAQVHIAHTHDGQKVAVKVQHTHMTDTAAADYATVGLVVNTLHRFFPDVDYRWLVDEVRESVPKELDFLVEAKNSVKCMDNFRKLSPHIADYVYAPRVYWTLSTSKLLTMEFMDAAQINDVKTIQTLGIRPSDVAKLVSQAFAEMMFKHGFVHCDPHAANMLVRPMPSGQRTLFGKRKPQLILLDHGLYKELDSSTRMSYAALWKALVSSDAKGIKENSVKLGAGEDLYVLFAGILTMRPWNKVVDPSVDHLVIQGTDNERSELQMYASAYLPQITELLRRLPRVILLMLKTNDCLRAVNRTLVQGSSLESFYIIGKVSSEAVLDSVWEKRSLLSWINFWLQKILLEARLCGMQLAVWLLQLQKVLTIGNH >itb05g14680.t1 pep chromosome:ASM357664v1:5:21917558:21921028:1 gene:itb05g14680 transcript:itb05g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAYCLFCGCVGQANVGVVEKWGRFQKLAQPGLHFFNPLAGECLAGILSTRICSLDVNIETKTKDNVFVQMHCSIQYRVIKENADDAFYELQNPREQITAYVFDVVRAHVPKMNLDELFEQKDEVAKAVLEELEKVMGAYGYNIEHILMVDIVPDASVRKAMNDINAAQRMQLASVYKGEAEKILQVKKAEAEAESKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFLPHGPGHVRDIGEQIRNGMMEAASAKVNVE >itb11g21180.t1 pep chromosome:ASM357664v1:11:22656473:22656761:1 gene:itb11g21180 transcript:itb11g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKHDHKERDGERSYKGEENKLSEIAMFRLSRKKKGLQGGGEDDDEGEERERKIGMSGGEDKGKG >itb04g09430.t2 pep chromosome:ASM357664v1:4:8722031:8727207:-1 gene:itb04g09430 transcript:itb04g09430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >itb04g09430.t1 pep chromosome:ASM357664v1:4:8721797:8727253:-1 gene:itb04g09430 transcript:itb04g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >itb07g03160.t1 pep chromosome:ASM357664v1:7:2148954:2151509:1 gene:itb07g03160 transcript:itb07g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANADSGVFGEKRPKTKIVCTLGPASRSIPMAEKLLRAGMNVARFNFSHGSHEYHQETLNNLRQAMENTGIICAVMLDTKGPEIRTGFLKDGKPIQLTQGQEITISTDYTIQGDENMICMSYKKLAEDVKPQSAILCADGTITFTVLSCDREKGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKDDILKWGVPNQIDMIALSFVRKGSDLVEVRKVLGNNAKNILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPEVAVRTMAKICIEAESTIDYGDVFKRIMTNAPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGLPILSVVVPEIQTDSFDWSCSDETPARHSLIYRGLVPVLCAGSARASHEESTEEALEFALQHAKTKGFCKEGDSAVALHRIGTASVIKIVTVK >itb02g03530.t1 pep chromosome:ASM357664v1:2:2045042:2047992:1 gene:itb02g03530 transcript:itb02g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMPPIFPFMNIHSALTSQAIHSTMSGAHRLVTSMAVPSRVSSLLFIFLCFVSVTVAAVSSEGRETFIVHVSKSEKPHAFSTHHHWYSSIIRALSPLSHHPSELLYSYGRAAHGFSARLTPFQASQLRSFPGVISVLPDTVRQLHTTHTPQFLGLAESFGLWPNSDYADDVIVGVLDTGIWPERPSFSDEGLSPVPSGWKGKCEVSTDFPEGSCNRKIIGARAFYKGYEAGSGSPIDESAESKSPRDTEGHGTHTASTAAGSIVPNASLFEYAPGEARGMAIKARIAVYKICWSSGCYDSDILAAMDQAVEDGVHVISLSVGASGYAPQYYRDSIAIGAFGAAEHGVLVSCSAGNSGPDPYTAVNIAPWILTVGASTIDREFPADVILGDDRIFNGVSLYSGKSLGDNKLPVVYGGDCGSRYCYSGALDTSKVTGKIVLCDRGGNARVAKGEAVKEAGGAGMILANLADSGEELVADAHLIPTSMVGQTDGDKIRDYVRSDPSPTATIVFRGTVISSSPSAPRVAAFSSRGPNIVTSEILKPDVIAPGVNILAGWTGAIGPTDLDVDTRRVNFNIISGTSMSCPHVSGLAALLRKAYPNWTPAAIKSALMTTAYNVDNSGSNITDLATGSESSPFVHGSGHVDPNRALDPGLVYDLEMSNYVDFLCTIGYDTSKISVFVREPSAVDCSSRSLGTPGALNYPSFSVVFKSLNNQVNYKRTVKNVGKEKDVVYEVKVNAPIGVEVSVSPTKLVFSENTDTLSYEVTFTSVGSESLNSVKSTFGSIEWADGVHLVRSPIAVVWSLGSQPAVSM >itb12g03760.t1 pep chromosome:ASM357664v1:12:2463763:2466243:-1 gene:itb12g03760 transcript:itb12g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVFLKSQHTNLRDRAFQLGSLCERDFKAYKGFGSREDIIHRIEKEVNAFELDNTKLKYGYLIDRGEHVNVFDGTYMDKPVAIKVMKDFPNAKERFEREIQLISAVDCEDIVKFIGFTREPSMAIVSEMMKGGSLQMHLWNIRPYTPDLRDTFVILIRIARAMAYLHDNGIIHRDLKPSSILLSESKKSAKLGDIGLWREGLEGDFSADVGSIQGMAPEVYSRGEEQYEITSLTTRYNYKVDVYSFAIIFWEMLTNRTPYHGQTNTAITQAVIQNERPSVEGFGIPKDILDILNMCWADDPLKRPDFWEVSEMIEDLLNLIDPGWDDLPAARTSGPDQATKLCDPSSISTNLCSCCYLSVQPNPSCSCSSCSCPSCSCPSCSCFPSCFS >itb01g25960.t1 pep chromosome:ASM357664v1:1:31222039:31226216:-1 gene:itb01g25960 transcript:itb01g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MKTPKEDEKNPSISKTTSAIIVEEWNGTTSTKLTKTATISVSSSSSSLSIQKSSNPFTHISGKILQAFIPEGFPNSVTPDYVPFQVWDLLQGLSTYVRMMLSTQALLSAIGVGEKSATVIGATFQWFLRDLSGMVGGILFTCYQGSNLDSNAKMWRLIADFMNDLGMLMDLLSPLLPSAFLFILCLGSLSRSFTGVASGATRAALTQHFALQDNAADISAKEGSQETVATMIGMAFGMLLARITNGHAIAIWISFLSLTIFHMYANYKAVSCLSLTTLNCERSSILLSHFFKTGQVLSPKQVSTMEHILPLWMTSWSPKSTLHKNVSLGVRITSLKFHEMEELLKSAGSHYRKAKYLLLEKNGIISIVVHKDSTAADILQSFIHALVMSKLAGEKRDVHMESEMWIEKHYKIFISKLQSSGWKTERLLSPSIGWRANWLLEPSDDKAD >itb06g14740.t1 pep chromosome:ASM357664v1:6:19221517:19227164:-1 gene:itb06g14740 transcript:itb06g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKQPNHFCFLFFVDADRRGRGIKNPPSENRNNRSEELLTGEKGFAAKIARNQKPLDLVLCSSARNFFSSPSLTVNSEQVVEKSTMKQLSVLFIGKKQEADDGDVNKEDERQIFLRHPPVVCGSAVASLVVAPMRGGAGVGGWPKNWCLPEEMVEKTIVVLSAVEVASLRSEINDLEERKSHLFFWSAVGLALKAGFGLLSTGLPVPSGELCCGFSAKAGGFTALRLEGTALGWLHRAAKTSSSLQWEGLRAGAHSVH >itb01g34090.t1 pep chromosome:ASM357664v1:1:36954088:36956212:-1 gene:itb01g34090 transcript:itb01g34090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLQNLNTSLIVLAIAFSIILHYLAQNTKHRKQKSPPEAGGAWPILGHFLTFSRPKKLPHVALGEMADKYGPAFTIRLGVHEVLVISDWKMAKELCTSHDAHISSRPKFRAAKHLGYNYAMLPFTPYGPYWREIRKLTSTELLSKKRLEQLKHIRVSEIETSVKELYKVWTEKRFSGRVLVDMKKWFSDLTFNVLLQMVAGKRYEVGDEKEGRLCQRVIRDFVRLLGVIVPADALPFLGWLDIGGYEKAMKEVAKEMDSLFEEWLQEHRLKKEGMGGEEDFIDAMLSRIEGIDLNGHDAGIVIKSACISLIAGGADTTLVMLTWALSLMMNNPHVLKMAQEELDRIVGKERKVNESDINHLMYLQAIVKETFRLYPASPLGVQRIFTKDCTVSDFHVSKDT >itb01g33790.t3 pep chromosome:ASM357664v1:1:36768869:36773575:-1 gene:itb01g33790 transcript:itb01g33790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIFDDRFCIYLEYVHPGSLNKYLKEYGGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGLAKHLCEHAIDLSLKGSPYWMAPEVLQAVLRKDANPELALAVDIWSLGCTVIEMFTGQPPWSELNGVQAMFSVLHKSPPIPETLSSEGKDFLRCCFQRKPADRPSALMLLNHPFLRTSHDQHVAGSSADFSGIKHVRSRFLLLIFLLFARILILQSL >itb01g33790.t2 pep chromosome:ASM357664v1:1:36768769:36773575:-1 gene:itb01g33790 transcript:itb01g33790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIFDDRFCIYLEYVHPGSLNKYLKEYGGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGLAKHLCEHAIDLSLKGSPYWMAPEVLQAVLRKDANPELALAVDIWSLGCTVIEMFTGQPPWSELNGVQAMFSVLHKSPPIPETLSSEGKDFLRCCFQRKPADRPSALMLLNHPFLRTSHDQHVAGSSADFSGIKHENTPQSPRDLIKQQPELTTSSPRTPVRPIKLSRSR >itb01g33790.t5 pep chromosome:ASM357664v1:1:36768769:36773575:-1 gene:itb01g33790 transcript:itb01g33790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIFDDRFCIYLEYVHPGSLNKYLKEYGGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGLAKHLCEHAIDLSLKGSPYWMAPEVFHSLLTNYLPTDSCFLYFLISYLYLFFTGPAGCLA >itb01g33790.t1 pep chromosome:ASM357664v1:1:36768769:36773575:-1 gene:itb01g33790 transcript:itb01g33790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIFDDRFCIYLEYVHPGSLNKYLKEYGGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGLAKHLCEHAIDLSLKGSPYWMAPEVLQAVLRKDANPELALAVDIWSLGCTVIEMFTGQPPWSELNGVQAMFSVLHKSPPIPETLSSEGKDFLRCCFQRKPADRPSALMLLNHPFLRTSHDQHVAGSSADFSGIKHENTPQSPRDLIKQQPELTTSSPRTPVRPIKLSRSSETALLPNHETSDVSAAPRHSPRSTLEVFPCIFSAELN >itb01g33790.t7 pep chromosome:ASM357664v1:1:36770969:36773559:-1 gene:itb01g33790 transcript:itb01g33790.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIVRTFFTLVD >itb01g33790.t4 pep chromosome:ASM357664v1:1:36768769:36773575:-1 gene:itb01g33790 transcript:itb01g33790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIFDDRFCIYLEYVHPGSLNKYLKEYGGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGLAKHLCEHAIDLSLKGSPYWMAPEVLQAVLRKDANPELALAVDIWSLGCTVIEMFTGQPPWSELNGVRKLLDFMHSSHP >itb01g33790.t6 pep chromosome:ASM357664v1:1:36770619:36773559:-1 gene:itb01g33790 transcript:itb01g33790.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLWKAFTHSSFNSQSARANSSAASSSSSASESPVAHSARHVFAGGGGRRLMRQRKLRHATDDQLGLKFGDFEGQSKSKSLPVSPDSGSRLLRKACHWSKSALPQPLPLPKQYGESFPAEVPSSAFTSNPSSDSLRKAVNPTRRASTPTYRRRGFHQDPNAKDGQDGFRLNVPPRSAPTSGFNSPVLSPERFSTVDLFNPRFQLSSPADRVAGHPTQLSPTRSMDHSPCTSPALLNPSNSARNHTGVAALTHHKSLPESPVAWPDSNNINGHPLPLPPGVLRQSQSCPIRQNLEKSDTPLLKGLWQKGKCIGRGTYGTVYVATNRETGALCAMKEVLVAPDDSKAIECVKQLEQEIEVLRQLKHPNIVQYYGSEIFDDRFCIYLEYVHPGSLNKYLKEYGGAMTESIVRNFTRHIVSGLAYLHSTKTIHR >itb03g29930.t1 pep chromosome:ASM357664v1:3:31189167:31189463:1 gene:itb03g29930 transcript:itb03g29930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLQTSYLFRMEGRKGPNLSSLTSSVVSLQRITGSALALTRSGDLKEPTATRLAGAEGFHLDPSAASPKSHVAHRPNLDWVCSLRSWKWEAKRERMV >itb09g24790.t1 pep chromosome:ASM357664v1:9:24633061:24636245:-1 gene:itb09g24790 transcript:itb09g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPFDLLGDDDAEDPTQLVAKVAPAKKAQVSTAQKPQQQAKPPAKLPSKPLPPAEAVREGKTEPGRGGRGGRGRGRGRGGGAGFDKENTNNWSTFGSREFSGGSAPPEEADSGKPSERRGGGYGGPRGAFRGGRRGGFGDGDTADGERPRRVFDRRSGTGRGSELKREGSGRANWGTETDELAVMTEVNEVEKTQNVEKPSREEEETEANKEPPSTEAEEKEPEDKEMTLEEYQKVLEEKRKALQALKPEERSERKVDASEFDSMQQISKKKNTDDIFIKLGSEKDKRKESLEKEEKAKKSVSINEFLKPAEGERYYGGRGRGRGRGRGYRGGDAMNNMDAPSIEDPGQFPTLGGK >itb02g24220.t1 pep chromosome:ASM357664v1:2:24568294:24571764:1 gene:itb02g24220 transcript:itb02g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRISLEAIKKENVDLEKVPLEEVFQQLHCTREGLTNEEAQQRLTIFGPNKLEEKKESKFLKFLGFMWNPLSWVMESAAIIAIALANGQGRPPDWQDFVGITSLLIINSTVSFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEKDAAILVPGDIISIKLGDIIPADGRLLDGDPLKIDQSALTGESLPVTKQPGEEVYSGSTCKQGEIETVVIATGLHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSILVGIVIEIVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFTKDMDKDTVMLLAARSSRVENQDAIDTCMVGMLADPKEARAGIKEVHFLPFNPVDKRTAITYIDSNGNWHRVSKGAPEQIIELCDLKPDVKKKVNGIIEKFADRGLRSLGVAQQTVPEKNKDAKGGPWVFVGLLPLFDPPRHDSGETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTSLLGEDKDANVANIPVEELIEKADGFAGVFPEHKYEIVRKLQEMKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALLWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPLPDSWKLKEIFATGVVLGTYLAVMTVIFFWAAYQSDFFTVRLSFSPSYSYHFKIFHLLNKTFFHILQEHFGVRSIRHSYEELNSALYLQVSIVSQALIFVTRSRGWSFVERPGLLLLGAFFIAQLIATVIAVYANWEFARIKGIGWGWAGVIWLYSVIFYIPLDILKFGIKYALSNKAWNNMIDNKTAFTSKKDYGKEEREAQWASAQRTLHGLQAPTSNSGFADGSFKELSEIAEQARRRAEISRLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >itb05g19190.t2 pep chromosome:ASM357664v1:5:25754199:25766887:-1 gene:itb05g19190 transcript:itb05g19190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDWEPSAEELDSLERDAMRQIAERNSSSYAATTSVQHTPASPHRPERSSASVKNAQSPPRRILPSFGANKATENLPRQQQQQQQQKRSVKLFLHASGDIAAKFVYDQLLIDALRKVPKASWNAKERLWMFPLSSLSSAEKILNGISCSNVEVQNLDPLVQRAIVAANEVCDIQDLYESIPDSIKTKLMPFQRDGVRFALQHGARVLLADEMGLGKTIQAIAVSSCVRESWPVLVLTPSSLRLHWASMIQQWLDISPSDILVVLSQLSGSNRAGFKIVPSNAKRSIQLDGIFNIISYDTVPKLQDTLMASEFKVVIADESHFLKNAQAKRTNASLPILQKAKYAILLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGIFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLNLEEKEMKCINALFCELEIIKSKIKSSQSKDEAESLKLTMNNLINKIYVASAKGKIPSVLDYLGTVIEADCKFLIFAHHAEMIDAIHQYLLKKKVGCIRIDGGTPAASRQALVTDFQEKASIKAAVLSIKAGGVGLTLTAASTVIFAELCWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVKNKLENLGQMLDGQEKSLEVLEDNSSKSPMKQKTLDSFMKRCNTSSEHEPMPKYSRH >itb05g19190.t1 pep chromosome:ASM357664v1:5:25754199:25766887:-1 gene:itb05g19190 transcript:itb05g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDWEPSAEELDSLERDAMRQIAERNSSSYAATTSVQHTPASPHRPERSSASVKNAQSPPRRILPSFGANKATENLPRQQQQQQQQKRSVKLFLHASGDIAAKFVYDQLLIDALRKVPKASWNAKERLWMFPLSSLSSAEKILNGISCSNVEVQNLDPLVQRAIVAANEVCDIQDLYESIPDSIKTKLMPFQRDGVRFALQHGARVLLADEMGLGKTIQAIAVSSCVRESWPVLVLTPSSLRLHWASMIQQWLDISPSDILVVLSQLSGSNRAGFKIVPSNAKRSIQLDGIFNIISYDTVPKLQDTLMASEFKVVIADESHFLKNAQAKRTNASLPILQKAKYAILLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGIFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLNLEEKEMKCINALFCELEIIKSKIKSSQSKDEAESLKLTMNNLINKIYVASAKGKIPSVLDYLGTVIEADCKFLIFAHHAEMIDAIHQYLLKKKVGCIRIDGGTPAASRQALVTDFQEKASIKAAVLSIKAGGVGLTLTAASTVIFAELCWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVKNKLENLGQMLDGQEKSLEVLEDNSSKSPMKQKTLDSFMKRCNTSSEHEPMPKYSRH >itb05g19190.t4 pep chromosome:ASM357664v1:5:25754199:25766887:-1 gene:itb05g19190 transcript:itb05g19190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDWEPSAEELDSLERDAMRQIAERNSSSYAATTSVQHTPASPHRPERSSASVKNAQSPPRRILPSFGANKATENLPRQQQQQQQQKRSVKLFLHASGDIAAKFVYDQLLIDALRKVPKASWNAKERLWMFPLSSLSSAEKILNGISCSNVEVQNLDPLVQRAIVAANEVCDIQDLYESIPDSIKTKLMPFQRDGVRFALQHGARVLLADEMGLGKTIQAIAVSSCVRESWPVLVLTPSSLRLHWASMIQQWLDISPSDILVVLSQLSGSNRAGFKIVPSNAKRSIQLDGIFNIISYDTVPKLQDTLMASEFKVVIADESHFLKNAQAKRTNASLPILQLEALYPDVYKNVHEYGNRYCKGGIFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLNLEEKEMKCINALFCELEIIKSKIKSSQSKDEAESLKLTMNNLINKIYVASAKGKIPSVLDYLGTVIEADCKFLIFAHHAEMIDAIHQYLLKKKVGCIRIDGGTPAASRQALVTDFQEKASIKAAVLSIKAGGVGLTLTAASTVIFAELCWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVKNKLENLGQMLDGQEKSLEVLEDNSSKSPMKQKTLDSFMKRCNTSSEHEPMPKYSRH >itb05g19190.t3 pep chromosome:ASM357664v1:5:25754199:25766887:-1 gene:itb05g19190 transcript:itb05g19190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDWEPSAEELDSLERDAMRQIAERNSSSYAATTSVQHTPASPHRPERSSASVKNAQSPPRRILPSFGANKATENLPRQQQQQQQQKRSVKLFLHASGDIAAKFVYDQLLIDALRKVPKASWNAKERLWMFPLSSLSSAEKILNGISCSNVEVQNLDPLVQRAIVAANEVCDIQDLYESIPDSIKTKLMPFQRDGVRFALQHGARVLLADEMGLGKTIQAIAVSSCVRESWPVLVLTPSSLRLHWASMIQQWLDISPSDILVVLSQLSGSNRAGFKIVPSNAKRSIQLDGIFNIISYDTVPKLQDTLMASEFKVVIADESHFLKNAQAKRTNASLPILQLEALYPDVYKNVHEYGNRYCKGGIFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLNLEEKEMKCINALFCELEIIKSKIKSSQSKDEAESLKLTMNNLINKIYVASAKGKIPSVLDYLGTVIEADCKFLIFAHHAEMIDAIHQYLLKKKVGCIRIDGGTPAASRQALVTDFQEKASIKAAVLSIKAGGVGLTLTAASTVIFAELCWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVKNKLENLGQMLDGQEKSLEVLEDNSSKSPMKQKTLDSFMKRCNTSSEHEPMPKYSRH >itb01g31180.t1 pep chromosome:ASM357664v1:1:35000155:35003778:-1 gene:itb01g31180 transcript:itb01g31180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVVARLLAIFSLHSFLLLLSSNVIPASSNEEAKGLLKWKSTFNHPNNTLDSSWTISENGGSPCNNWYGVHCVAGSVNRLNLTTSKINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLSKLVYLDMSVNYFTGTIPPQIGLLTRLKTLHMFANILHGPIPDEIGNLTSLTELALLGNSLNGSIPASIGNLKHLSSLQLYSNSLSGSIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDDNQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGKLSESFGIYPDLQFMWLSQNQFHGEISKNWGISRNLTNLQMAENNLTGRIPPEFQNLTQLGILNLSSNKLGGEIPAELGSLSSLLSLYLGDNNLSGQLPQELASLKKLNVLDLSKNQFSGPIPSFIGDYEYMHELDLSHNNFSQHLPVELSKISHLTTLDLSNNSLSGEIPHLFNSLVDLVNVDLSYNQLTGPIPDTMGFKQAFLKGNKGLCGDNKDLPPCSSTPTEMSSVEKKSGHKKQILSIVLPIVGALVLVSVFAVVLFTCGGKGDRGPDEEQCNSLRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMFRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEITDRKGFFNEITALTTIRHRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb01g31550.t1 pep chromosome:ASM357664v1:1:35242291:35244432:-1 gene:itb01g31550 transcript:itb01g31550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAICIPFPAQGHINPMLQLAKLLHHKGFHITFVNTQFNHNRLLRSRGSKAMEGLPSFRFEAIPDGLPVSNPDTTQEMASLAVSSTNYCLDPFRELVKRLNDPSSESPPVTCIVSDGSMSFTHKVAHQLRIPILLFWTCSASGLDAYAHYRQLAEKNLTPIDGSDCLDTEIDWIPGLKGIKFKDLPSFIRNTGHHDDPNYIMFNFIIQEVERLPQASAMILNTFDMLETDATEALRSKFPAVYTVGPLHLLCDQFPMEGELKSIGCNLWKEDNAQYMKWLDTKEVGSVVYVNFGSITVMTAKQVIEFAWGLANSKFTFLWIIRPDLVNGESAILPEEFVTETKERSFLAGWCPQEQVLNHPSIGCFLTHCGWNSTLESISVGVPMLCWPFFAEQRTNCWNSCTRLGVGMEIDSNGDRNVIGDMVREMMVGEKGKEIKEKALELKKLAQVAVAASPAGQSYLNFEEVVSNVLMSPPSK >itb01g31550.t2 pep chromosome:ASM357664v1:1:35242221:35244468:-1 gene:itb01g31550 transcript:itb01g31550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAICIPFPAQGHINPMLQLAKLLHHKGFHITFVNTQFNHNRLLRSRGSKAMEGLPSFRFEAIPDGLPVSNPDTTQEMASLAVSSTNYCLDPFRELVKRLNDPSSESPPVTCIVSDGSMSFTHKVAHQLRIPILLFWTCSASGLDAYAHYRQLAEKNLTPIDDCLDTEIDWIPGLKGIKFKDLPSFIRNTGHHDDPNYIMFNFIIQEVERLPQASAMILNTFDMLETDATEALRSKFPAVYTVGPLHLLCDQFPMEGELKSIGCNLWKEDNAQYMKWLDTKEVGSVVYVNFGSITVMTAKQVIEFAWGLANSKFTFLWIIRPDLVNGESAILPEEFVTETKERSFLAGWCPQEQVLNHPSIGCFLTHCGWNSTLESISVGVPMLCWPFFAEQRTNCWNSCTRLGVGMEIDSNGDRNVIGDMVREMMVGEKGKEIKEKALELKKLAQVAVAASPAGQSYLNFEEVVSNVLMSPPSK >itb01g10570.t1 pep chromosome:ASM357664v1:1:9189705:9194261:-1 gene:itb01g10570 transcript:itb01g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIHHTPRLLRFPLKVKCLHTIPLSSAATPTLPPASQILTIRKSLLAGDTSAVELAHTFLSRLRRTEPHLKSFLHVSDTALREAEEIDRKISNNEEVGPLAGVFVAVKDNICTADMPSTAGSKILENYRPAFDATAVRKVRQCGGIVIGKTNLDEFGMGSTTEGSAYQVTANPWDLTRVPGGSSGGSAAAVSARQCTVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCFGSSVADAGLLLHAISGHDKFDATSSKREIPDFTSQFISQDNLESKPLKGLRVGVIRETIEEGVDPEVISSIRGAASHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDSIRYGNQVVADELNSLYGGSRAKGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRALVRESFREALENNDILISPAAPSAAYKIGEKKDDPLAMYAGDIMTVNVNLAGLPALVLPCGFVDGGSVGLPVGVQMIGAAFDEGKLLRMGHIFEQTLQGCSFIPPLVADEFSS >itb01g10570.t2 pep chromosome:ASM357664v1:1:9191197:9194261:-1 gene:itb01g10570 transcript:itb01g10570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIHHTPRLLRFPLKVKCLHTIPLSSAATPTLPPASQILTIRKSLLAGDTSAVELAHTFLSRLRRTEPHLKSFLHVSDTALREAEEIDRKISNNEEVGPLAGVFVAVKDNICTADMPSTAGSKILENYRPAFDATAVRKVRQCGGIVIGKTNLDEFGMGSTTEGSAYQVTANPWDLTRVPGGSSGGSAAAVSARQCTVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCFGSSVADAGLLLHAISGHDKFDATSSKREIPDFTSQFISQDNLESKPLKGLRVGVIRETIEEGVDPEVISSIRGAASHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDSIRYGNQVVADELNSLYGGSRAKGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVLQLTCQLFC >itb10g18830.t1 pep chromosome:ASM357664v1:10:24636645:24642913:1 gene:itb10g18830 transcript:itb10g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDGEKSRKTFDQKGAEDQSVPLFKLFSFADRFDVSVMIIGTGASMANGLTQPFMSIIFGELINSFAKVDQSHVVHTVSKVCFDFVCLSIYAGIASVLQMLCWTIIGERQAARMRGLYLKAILRQDIAFFDTEMSTGEVIGRMSGDIILIQQAMGVKVGKFIQYISTFLGSFIIAFIKGRLLSLVLSCCLSAYAIPGGIMALINSKVSSRMRVVYSRAANVVGQTIGAIRMVASFTGEKQAIDKYNNNIKIAYRYKILQGLASGGGAGALLLVVFSTYGLAFWYGSRLILDKGYNGRDVVSALAAIMIGGMALGQISSYLSAFAAGQLAAYKIFETINRTPQIDASDMRGIELDDMIGEIELRDVYFRYPARPDVQIFSGLSMHIPNCQTVALVGQSGSGKSSVISLLERFYDPNAGEVLIDGINIKRFKLKWLREKMGLVSQEPILFATTLKENIAYGKENATDLEIRTALQIANAANFIDELPKGLDTMVGEHGMQLSDEQKQRVAIARAILKNPKILLLDEATSALDLESEQMVQDALNNLISNRTTVVIAHRLTTIRNADLIAVLQSGKLVEQGTHDELMQDSNGAYTKLVQMQQESKQKHNTQQVVSLERGRTMMDSDEFTWSSSQRISAAMRRSVSFSSSRHSITFGYVIPGLINIWEPETRNGCENEEEAYENSREEQKITSIKRLAALNRPELPCLVLGVIVACIQGAIYPVFGFIISMAIKALFEPPLKMIKDSRFWALMCLSLGLVTFLVLPIQNFFFGIAGGKLIQRIQSLAFKKVIYQDISWFDDPTNSSDAVWARLSTDASTVRCLVGDALALLVQSIAAVLSALIIAFEANWALALIIVCVLPLMSADLLVQTWLSNGSSVDPKVMYKEASQIASEAIGGIRTVASFCAEEKVMAMYLKKCEVPVTQGVHAGIISGVGFAFGSLAFYLANAFFFYIGAVLVQHDKATFSEVLKVFYAMTTLGLGVSQAYEMAPDVNKAKDSAASIFAMLDRRPKIDSSSKQGKILPIVWGEIEFEHVSFKYPTCPDIQIFKDLNLKIPAGKVCALVGESGSGKSTVISLIERFYDPESGVVLLDGVPLRELKLSWLRQQMGLVSRESVLFNESVRDNIAYGKQGTVTEDEIVKAAKMANAHRFICSLPRSYDTRVGERGTQLSDGQKQRIAIARAILKNPEILLLDDVTSGLEPVCEDMVQEALDRVMVSRTTVMVAHRLATVRRAHSIAVIKNGVIAEEGSHEVLMNIENGVYASLVSHHIGTT >itb10g21530.t1 pep chromosome:ASM357664v1:10:26720938:26723910:1 gene:itb10g21530 transcript:itb10g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MDKFGKRFEGKVAIVTASTQGIGFGIAERLGLEGASVVISSRKQKNVDEAVENLRSKGIEALGLVCHVSNALHRKNLIDKTIQRYGRIDVIVSNAAANPSVEPILNTKESVLDKLWEINVKASILLLQDAAPHLQKGSSVIFISSIAGFNPPPAMSMYGVTKTALFGLTKAIAAEMAPNTRVNCIAPGFVPTNFASFITSNKEMRKALEEKTLLNRLGEVGDMAAAAAFLASDDAAYITGETLVVAGGQPSRL >itb10g04810.t2 pep chromosome:ASM357664v1:10:4722213:4725661:1 gene:itb10g04810 transcript:itb10g04810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTPVHRKNEKQLKKPAKTSEQTGGVVSMSLMIKESGIDVLSIPPARLGEEEAVNYEAVTTAVRKAVRLNRALQARDGHWPAQNAGPMFFTPPLLIALYISGAIETILTSEHKKELVRYIYNHQNGDGGWGFYVDGHSTMIGSALSYVALRLLGEEADEGDGPIARGRKWIVDNGGATGIPSWGKLYLSVLGVYEWDGCNPIPPEFWLFPSDFIFHPVLAWIAVRRTCTTPIVSYKICYGTPFNIALSHS >itb10g04810.t1 pep chromosome:ASM357664v1:10:4722087:4725771:1 gene:itb10g04810 transcript:itb10g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGGRYMYSTNNYVGRQIWEYDPNAGTPEEREAVEKARQDFRTNRRSGFHVSGDLLMRMQMIKESGIDVLSIPPARLGEEEAVNYEAVTTAVRKAVRLNRALQARDGHWPAQNAGPMFFTPPLLIALYISGAIETILTSEHKKELVRYIYNHQNGDGGWGFYVDGHSTMIGSALSYVALRLLGEEADEGDGPIARGRKWIVDNGGATGIPSWGKLYLSVLGVYEWDGCNPIPPEFWLFPSDFIFHPVLAWIAVRRTCTTPIVSYKICYGTPFNIALSHS >itb07g01640.t1 pep chromosome:ASM357664v1:7:996541:996903:1 gene:itb07g01640 transcript:itb07g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTGPPVLPASNELILPELREMRKRSTSPNYLQTIPQNPTHSRVQSLPSHTAFVYQPISQSIAPITSSAASELKRRNQHHFLNSQGSDRTTEKQQMLMMATVMIAGAPIAEQPSTPKQ >itb04g09390.t2 pep chromosome:ASM357664v1:4:8702361:8705538:1 gene:itb04g09390 transcript:itb04g09390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRYMVGRKPKPKMKPIELKTPPEQTQTITRVIFDILKEHGPLSIADTWERVKEVGVRGLTSKRHMKIVLRWMRERQKLKLICNHVGPNKQFLYSTWFTKPDIKPTTPGRGTSQAKLP >itb04g09390.t4 pep chromosome:ASM357664v1:4:8704154:8705550:1 gene:itb04g09390 transcript:itb04g09390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRYMVGRKPKPKMKPIELKTPPEQTQTITRVIFDILKEHGPLSIADTWERVKEVGVRGLTSKRHMKIVLRWMRERQKLKLICNHVGPNKQFLYSTWFTKPDIKPTTPGRGTSQAKLP >itb04g09390.t1 pep chromosome:ASM357664v1:4:8702361:8705550:1 gene:itb04g09390 transcript:itb04g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRYMVGRKPKPKMKPIELKTPPEQTQTITRVIFDILKEHGPLSIADTWERVKEVGVRGLTSKRHMKIVLRWMRERQKLKLICNHVGPNKQFLYSTWFTKPDIKPTTPGRGTSQAKLP >itb04g09390.t3 pep chromosome:ASM357664v1:4:8702361:8705538:1 gene:itb04g09390 transcript:itb04g09390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTAIRYMVGRKPKPKMKPIELKTPPEQTQTITRVIFDILKEHGPLSIADTWERVKEVGVRGLTSKRHMKIVLRWMRERQKLKLICNHVGPNKQFLYSTWFTKPDIKPTTPGRGTSQAKLP >itb13g14030.t1 pep chromosome:ASM357664v1:13:20586879:20590010:1 gene:itb13g14030 transcript:itb13g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNIGAGNKDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPASYPTKFFGCELGAQSKFDEKTGTSHVNGAHDTAKLAGLLETFIKKYVQCYGCGNPETEVLITKSQMIQLKCAACGFISDVDMRDKLTTFILKNPPEQKKGSKDKKAMRRAEKERLKEGEMADEERKKHKKEAAKKKGSSNNLKNGASKISKKKANVSDEERSPSGSQADENEAEIDNGSDDDVQWQTDTSMAAAQQRIQEQLNSVTASMVMLSTSDEKQKSEKSSRVHEERPELNGYESNNSHDTLVNEIKDGLKRSASSGQLKSLLGSLSGSNDEIFDAFFEALFDGVGKGFSKAVIKKKNYLAAVTREEEGTQPSLLHAIESFCGRASPEAVKEVALVLKALYDNDILEEKFILEWYEKGLNSHNTGSHIWKNVKPFVEWLQSAESESES >itb06g20970.t1 pep chromosome:ASM357664v1:6:23739990:23740310:-1 gene:itb06g20970 transcript:itb06g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAEIMTGPLFHVRLSDNATVSDLKKEICSEQLPQDRLILILDGGGGGAVPAANYVMCENEAPLAEYGVRDGSHVYIFFTLPESADEINDDHGSLSFAPPPLHT >itb01g08440.t1 pep chromosome:ASM357664v1:1:6790079:6790345:1 gene:itb01g08440 transcript:itb01g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRLHVLSLFRSLLRTARDFPDYNIREYTKRRTMDAFRQNKALSDSSLVAAALSDGKAQLQIAKRQAFVYGLYAPKIKSVMELKH >itb01g21000.t1 pep chromosome:ASM357664v1:1:27169817:27172226:1 gene:itb01g21000 transcript:itb01g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSAEEEVEVWDDDVITMTLEGEKVIVKEMINKEDESESESHPYAFHVSGPRNVSSPNWRDLINSSWKDANYKRTVIACFIQAIYLLELDRQENRKEENALAPKWWIPFKYRLVETLIDERDGSIFGAILEWDRAAALADLVFIRPSGAPRAVLALRGTLLKGPTMRRDIEDDLRFLAWESLKGSVRFSCAMQALKSLVGKFGSNNVCIAGHSLGAGFALQVGKSLAKEGIYVEAHLFNPPSVSLAMSLRNIGEKAGFVWKRFKSMLPSGSEAQTSSEEIATKPFQFGSLKQWVPHLYINNSDYICCSYTDPDEAQNKNQADKENAKPSNGQVAAKLFLSSKGKQKFLEAHGIEQWWSDNLELQMALNSSRLISQQLKSLYSLPPTSRQTAAKPLKS >itb15g16400.t3 pep chromosome:ASM357664v1:15:15988636:15990992:-1 gene:itb15g16400 transcript:itb15g16400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb15g16400.t1 pep chromosome:ASM357664v1:15:15988636:15990992:-1 gene:itb15g16400 transcript:itb15g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb15g16400.t5 pep chromosome:ASM357664v1:15:15988636:15990992:-1 gene:itb15g16400 transcript:itb15g16400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb15g16400.t6 pep chromosome:ASM357664v1:15:15988636:15990992:-1 gene:itb15g16400 transcript:itb15g16400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb15g16400.t2 pep chromosome:ASM357664v1:15:15988613:15990992:-1 gene:itb15g16400 transcript:itb15g16400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPIGHKWGKALNAVASRNVKVIVVGNPCNTNALICLKNAPGIPAKNFHALTKLDENQAKCQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb15g16400.t4 pep chromosome:ASM357664v1:15:15988636:15990992:-1 gene:itb15g16400 transcript:itb15g16400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQNARYDKVSNVTIWGNHSTTQVPDSLNAKINGLPVKEVIKEILSGLRNSLQKRSRRLKIWGV >itb05g09190.t1 pep chromosome:ASM357664v1:5:13328789:13332387:-1 gene:itb05g09190 transcript:itb05g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLERQISLTNLNLSHLDINQSNAIQGPMVDENISTVVNSYNGKRFNTAKAKCTHCGMTGHTVDKCYKKHGYPPGWVPGYKTKGKQQLTTNSDIGITPEQLQKLLSLLQTQTGANRAQSSASSSSGTTVAMALIPNFDEQLDGGKYSVNSFSLYLGYHRLPLFSTPSPHHHHTSADAVSTRHSPPHVAALLRRPALPSSVAVQHHCPPTPSNAVSLGRVHPVASSSVAVVSLYLSKADGLEPPLLNSTAVVSLCLLG >itb12g26260.t1 pep chromosome:ASM357664v1:12:27255085:27256936:-1 gene:itb12g26260 transcript:itb12g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMEVEEKEIEVVLEKIWDLHDKFSDAIHSISRAHFLSSVKSRAKTDDFHFPLRSTKKPEAADSDQSAHNNEFRNDGNKASGFVFVKEFRVGDEDDSAAVREAKSLSAIRTALENLEDQLEFLHTMQTQQRAERDVVLARIEQSRILLALRLAEHQGKRYKCIEEAQALVCDVKDASHFVSPESLSGNAHCEDFVARDGKRSNVFLNVLFSSFNFVKQSLRLDHVGGVLGNAALVAISMLALMHLQQAGCKEKFVLALPQRQEDVIYNRNMTKVSQPTGSSSSRLSELNVLSARG >itb13g21040.t1 pep chromosome:ASM357664v1:13:27712948:27716826:1 gene:itb13g21040 transcript:itb13g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSQVSSLTDFHHTKPSKPDEAAETKDSLKSAIRWETGTGFDDDSSDDDVSSLTDFHHTKPSKPDEAAETKDSLKSAIRWETGTGFDDDSSDDDGVCPQEDEELSELCDFALSFCETQHPCPQEDEELAELCDFALSLCETQHVSSVTESDYTKLKLDDGDKDEGPRRRKTL >itb01g11160.t1 pep chromosome:ASM357664v1:1:9837309:9844577:1 gene:itb01g11160 transcript:itb01g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTASRDITDIELPSRDISDVSIPPSQPRDNCASRHRHVSRAASHETSLMSDVSTGRSTRIFFGLMLVMMQVEGQFAENGNLYTCWGGCYNQCVLLGRSSAVAGGGNFPCYIGCLSSCVPQSAAQYQNYCQIGCSLQLCFPSITRSTGSGTTSSARPCPWAYRTCSTTSDTVTCMRPFFDHRWQTDQQSHMWPRVGLLPFPGWLYRISRSHMQIWPG >itb02g14950.t1 pep chromosome:ASM357664v1:2:10846646:10850091:1 gene:itb02g14950 transcript:itb02g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEEKQSVELPLFETKARKGNTVYKLFSLTVFLAICSIWFYRFTHIPTTGRWAWIGMFISEVLFGIYWIFTQSARWDVAYTFTFKERLSLRYEEELPGVDVFVCTADPILEPPTLVINTVLSVLSYNYPPHKLSVYLSDDGGSEFTFYALLEASKFSKYWIPFCKKFKVEPRSPEVYFSKNIDGHDQMFAEEWSNTKKLYEEMRNRIEVAMESGRISDEIRNQHKGFSEWNSKTTKQDHQSIVKILIDGRNSKAVDAYGNQLPTLVYLSREKKPGKPHNFKAGSMNALIRVSAEVSNAPIILNLDCDMYSNNADAIREALCFFMDEKRGHEISFVQHPQRYANITKNDLYGNVARATHEIELACLGSNGAALFCGTGCFHRRASLCGKEYFKEHKYGLNGGQEKMNDGSIEELEEASKVVANCSYEEGTQWGKGMGLVYGCPVEDIITGLTIQCRGWKSVYYNPKRYAFLGVVPTTLEVALVQHKRWSEGMFQIFFSKYNPFTYGYRKIKLAAQMGYCIYLLWAPISIPTLCYVILPSLCLLHNISLFPKVTSLWFLTFAYVYAARNGYGLAEALSSGETVKSWWNLQRMWMIRRTTAYFFAFFDTVSRQLGFSETALFTVTGKVMDSDVEKRYQQEIMEFGSSSSLMFTITSTLALLNLLSFIWGAMKLGFAPEFLPQVSLSGVIVMVNMPVYEALFIRKDSGSLPSSVLIRSLLALSVVCLMPAFFPGNA >itb02g14950.t2 pep chromosome:ASM357664v1:2:10846682:10850091:1 gene:itb02g14950 transcript:itb02g14950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFISEVLFGIYWIFTQSARWDVAYTFTFKERLSLRYEEELPGVDVFVCTADPILEPPTLVINTVLSVLSYNYPPHKLSVYLSDDGGSEFTFYALLEASKFSKYWIPFCKKFKVEPRSPEVYFSKNIDGHDQMFAEEWSNTKKLYEEMRNRIEVAMESGRISDEIRNQHKGFSEWNSKTTKQDHQSIVKILIDGRNSKAVDAYGNQLPTLVYLSREKKPGKPHNFKAGSMNALIRVSAEVSNAPIILNLDCDMYSNNADAIREALCFFMDEKRGHEISFVQHPQRYANITKNDLYGNVARATHEIELACLGSNGAALFCGTGCFHRRASLCGKEYFKEHKYGLNGGQEKMNDGSIEELEEASKVVANCSYEEGTQWGKGMGLVYGCPVEDIITGLTIQCRGWKSVYYNPKRYAFLGVVPTTLEVALVQHKRWSEGMFQIFFSKYNPFTYGYRKIKLAAQMGYCIYLLWAPISIPTLCYVILPSLCLLHNISLFPKPGMGMD >itb02g14950.t3 pep chromosome:ASM357664v1:2:10847107:10850091:1 gene:itb02g14950 transcript:itb02g14950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTCCRYEEELPGVDVFVCTADPILEPPTLVINTVLSVLSYNYPPHKLSVYLSDDGGSEFTFYALLEASKFSKYWIPFCKKFKVEPRSPEVYFSKNIDGHDQMFAEEWSNTKKLYEEMRNRIEVAMESGRISDEIRNQHKGFSEWNSKTTKQDHQSIVKILIDGRNSKAVDAYGNQLPTLVYLSREKKPGKPHNFKAGSMNALIRVSAEVSNAPIILNLDCDMYSNNADAIREALCFFMDEKRGHEISFVQHPQRYANITKNDLYGNVARATHEIELACLGSNGAALFCGTGCFHRRASLCGKEYFKEHKYGLNGGQEKMNDGSIEELEEASKVVANCSYEEGTQWGKGMGLVYGCPVEDIITGLTIQCRGWKSVYYNPKRYAFLGVVPTTLEVALVQHKRWSEGMFQIFFSKYNPFTYGYRKIKLAAQMGYCIYLLWAPISIPTLCYVILPSLCLLHNISLFPKPGMGMD >itb02g14950.t4 pep chromosome:ASM357664v1:2:10847107:10850091:1 gene:itb02g14950 transcript:itb02g14950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHTCCRYEEELPGVDVFVCTADPILEPPTLVINTVLSVLSYNYPPHKLSVYLSDDGGSEFTFYALLEASKFSKYWIPFCKKFKVEPRSPEVYFSKNIDGHDQMFAEEWSNTKKLYEEMRNRIEVAMESGRISDEIRNQHKGFSEWNSKTTKQDHQSIVKILIDGRNSKAVDAYGNQLPTLVYLSREKKPGKPHNFKAGSMNALIRVSAEVSNAPIILNLDCDMYSNNADAIREALCFFMDEKRGHEISFVQHPQRYANITKNDLYGNVARATHEIELACLGSNGAALFCGTGCFHRRASLCGKEYFKEHKYGLNGGQEKMNDGSIEELEEASKVVANCSYEEGTQWGKGMGLVYGCPVEDIITGLTIQCRGWKSVYYNPKRYAFLGVVPTTLEVALVQHKRWSEGMFQIFFSKYNPFTYGYRKIKLAAQMGYCIYLLWAPISIPTLCYVILPSLCLLHNISLFPKVTSLWFLTFAYVYAARNGYGLAEALSSGETVKSWWNLQRMWMIRRTTAYFFAFFDTVSRQLGFSETALFTVTGKVMDSDVEKRYQQEIMEFGSSSSLMFTITSTLALLNLLSFIWGAMKLGFAPEFLPQVSLSGVIVMVNMPVYEALFIRKDSGSLPSSVLIRSLLALSVVCLMPAFFPGNA >itb12g16820.t1 pep chromosome:ASM357664v1:12:18306088:18309926:-1 gene:itb12g16820 transcript:itb12g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKTKLQGDMTPNVSHINGRPVLHPNCNRVPLLERRNSLKKPSTTTKPPSSAALQPLSPPSATKLRPKPSAASPPVSPKIKSPRQPAVKRSGNDPNGLNSSLDKALSPKATAKSADLLLAKKFKKGGAGAETESSLITEAPGSIAAARREQVAIMQVQRKMRIAHYGRTKSGKFEDGKIGAADSHPPAATSPRQEKRCSFITANSDPIYVAYHDEEWGVPVHDDNMLFELLVLTGAQVGSDWTTVLKKRQDFREAFSGFDAEIVSKYTEKKINSTSAEYGMELSLVRGAVDNSIRIMEMKKQFGSFDKYLWRFVNNKPIATQYKACNKIPVKTSKSESISKDMVKRGFRYVGPTVIHSFMQAAGLTNDHLTTCLRHLNCNSTAPAH >itb05g05950.t1 pep chromosome:ASM357664v1:5:5927499:5933468:-1 gene:itb05g05950 transcript:itb05g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVGEEGSNMEKEFDSKVKLQNSSSTNSQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLPEDEARFYTAEVVDSLEYIHSMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSDEARDLIDRLLDIDPSRRPGAGPDGYASLKSHPFFRGVDWENLRSQTPPRLVMEPKSHSSNSGGDDQESWNPSHVGDGSARTNDGNGGASSSEAAGSITRLASIDSFDSKWQQFLEPGESVLMISMVKKIQKLTNKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNPNDLNIQVISPSNFKICTPKKVLTFEDAKQRALQWKKAIEALQNR >itb05g05950.t4 pep chromosome:ASM357664v1:5:5927537:5933376:-1 gene:itb05g05950 transcript:itb05g05950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVGEEGSNMEKEFDSKVKLQNSSSTNSQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLPEDEARFYTAEVVDSLEYIHSMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSDEARDLIDRLLDIDPSRRPGAGPDGYASLKSHPFFRGVDWENLRSQTPPRLVMEPKSHSSNSGGDDQESWNPSHVGDGSARTNDGNGGASSSEAAGSITRLASIDSFDSKWYVFMLSS >itb05g05950.t3 pep chromosome:ASM357664v1:5:5927537:5933460:-1 gene:itb05g05950 transcript:itb05g05950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVGEEGSNMEKEFDSKVKLQNSSSTNSQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLPEDEARFYTAEVVDSLEYIHSMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSDEARDLIDRLLDIDPSRRPGAGPDGYASLKSHPFFRGVDWENLRSQTPPRLVMEPKSHSSNSGGDDQESWNPSHVGDGSARTNDGNGGASSSEAAGSITRLASIDSFDSKWQQFLEPGESVLMISMVKKIQKLTNKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNPNDLNIQVISPSNFKICTVSSLVISRLPSI >itb05g05950.t2 pep chromosome:ASM357664v1:5:5927499:5933468:-1 gene:itb05g05950 transcript:itb05g05950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVGEEGSNMEKEFDSKVKLQNSSSTNSQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLPEDEARFYTAEVVDSLEYIHSMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSDEARDLIDRLLDIDPSRRPGAGPDGYASLKSHPFFRGVDWENLRSQTPPRLVMEPKSHSSNSGGDDQESWNPSHVGDGSARTNDGNGGASSSEAAGSITRLASIDSFDSKWYVFMLSS >itb11g01560.t4 pep chromosome:ASM357664v1:11:762666:768140:-1 gene:itb11g01560 transcript:itb11g01560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPGKKDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDSRFGRSSLGYSGSRSSISSQDSRGLYNSRHGMGYGGGSYSRSDAGGVYSSSGYGGNYVSRGGDVGGSSYSSLYSSRGMGSSGYMGSGGSGSYY >itb11g01560.t7 pep chromosome:ASM357664v1:11:762666:768140:-1 gene:itb11g01560 transcript:itb11g01560.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPGKKDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDSRFGRSSLGYSGSRSSISSQDSRGLYNSRHGSYSRSDAGGVYSSSGYGGNYVSRGGDVGGSSYSSLYSSRGMGSSGYMGSGGSGSYY >itb11g01560.t3 pep chromosome:ASM357664v1:11:762746:768182:-1 gene:itb11g01560 transcript:itb11g01560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPGKKDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDRFGRSSLGYSGSRSSISSQDSRGLYNSRHGMGYGGGSYSRSDAGGVYSSSGYGGNYVSRGGDVGGSSYSSLYSSRGMGSSGYMGSGGSGSYY >itb11g01560.t2 pep chromosome:ASM357664v1:11:762647:768110:-1 gene:itb11g01560 transcript:itb11g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPEDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDSRFGRSSLGYSGSRSSISSQDSRGLYNSRHGMGYGGGSYSRSDAGGVYSSSGYGGNYVSRGGDVGGSSYSSLYSSRGMGSSGYMGSGGSGSYY >itb11g01560.t6 pep chromosome:ASM357664v1:11:762647:768182:-1 gene:itb11g01560 transcript:itb11g01560.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPGKKDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPGMHGFFFLLLTGFVSPLALITCAFAILVAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDSRFGRSSLGYSGSRSSISSQDSRGLYNSRHGMGYGGGSYSRSDAGGVYSSSGSVGVPIHHFILVVAWAVVVIWGVVGLDLIIEVSY >itb11g01560.t1 pep chromosome:ASM357664v1:11:762647:768110:-1 gene:itb11g01560 transcript:itb11g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPEDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDSRFGRSSLGYSGSRSSISSQDSRGLYNSRHGMGYGGGSYSRSDAGGVYSSSGSVGVPIHHFILVVAWAVVVIWGVVGLDLIIEVSY >itb11g01560.t5 pep chromosome:ASM357664v1:11:763448:768140:-1 gene:itb11g01560 transcript:itb11g01560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARKTAAGTGAKRGGRPIRGASRVQPLPAEEPLKTVKVEEEEKPSPAAKLEPKVEEKPLAVKEKSVTPPKIEPELEKREVEKKHENRSGPGKKDEVKESVDEYEKDERLDLEDNEPEYETEEYTAVDYDERGTDQEDIEEECVEVVEEIEEGDFGEEEDGNMGEEEVEDVHEDVEVEEDNDHHAEMVDAAEEEEHHELVKERMKRKEFEIFVGGLDKDATEDDLKKVFSQVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQARRACKEMKHPVVNGKECGVTPSQDSDTLFLGNICKTWTEKALKDKLKHYGVENINDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKCLQKRNVVFGVDKPAKVSFSDSFIDPGDKIMAQVKTVFVDGLSASWDENHVHNLLKKYGKIEKIELACNMPSAKRKDFGFVTFDSHDAAVTCAKMINNEELGEGDNKAKVRARLSRPLSRARGKYGGRGDVRHRHGPVRVPRAPWGRPVPPSLPVRGPRTGMRAQPVVDRSFRRPVGYRDSRPVMSIPPRSRPVAPPLARRSYDRRQPAPAYPKNSFKRDYERREELAPPRSRDSTAYAHRLSSDKRTLYREEYSSRGPGYPDLPIETSRTSARRAYVDDNYGPMIERQPPAYYREGRGHEYESISGSKRSYSALDDVPPRYDDPGVRHSRARLSYDVAGGNASQYGDAYGDRFGRSSLGYSGSRSSISSQDSRGLYNSRHGMGYGGGVLRTLSM >itb11g15510.t1 pep chromosome:ASM357664v1:11:13187515:13193868:1 gene:itb11g15510 transcript:itb11g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQASRLIANLSKKLNPNAPLPASSPLWQHQQNRCFGSPTPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALKKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVADPQTEGIVLIGEIGGTAEEDAAAFIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLKEAGVTVCESPAQIGVTMLDVFKQRGLV >itb05g25350.t1 pep chromosome:ASM357664v1:5:29791780:29792258:-1 gene:itb05g25350 transcript:itb05g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPPAQVSEGPCSIHHARLELPYPPRFHREWQGDRRRRRNTPELGKVVGMMGFCICPLESPARLLWSTSFFRHKLMLF >itb09g12740.t1 pep chromosome:ASM357664v1:9:8046754:8048391:-1 gene:itb09g12740 transcript:itb09g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNFHVVGYKKSFNDVWRICGICLLDLCCFHGVPGFSKKLILSLTFIPIYKEGALQFSSSHRMKKMASAHNHSCSRMLLFALLVFTILDFSGAQTGVCYGRLGSGLPSAAEVVALCKQNNIQRMRIYDPHQPTLLALRGSNIQLILGVPNSDLQYIAASQANADTWVQNNVRNHPNVNFRYIAVGNEVSPVNGNSRFVAFLLPALRNMQAAISGAGLGDRIKVSTAVETGLLGVGYPPSHGEFRPDVRAFTDPIITFLVNNHAPLLVNIYPYIAYIGDTKNIKLEYALFTSPGIVVNDGPGYQNLFDALLDAMYSALERAGGSSLEIIVSESGWPSAGGDAASIDNARTYNNNLIRHVKGGSPKRPQKAIETYIFDLFDEDQKDPPESERHFGLFFPNRQPKYPISFN >itb11g22620.t1 pep chromosome:ASM357664v1:11:24568461:24570131:1 gene:itb11g22620 transcript:itb11g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGANGVSFEPTENMAAWLLGVGNLKIQPFNLPPLGPHDVRVSMKAIGICGSDVHYLKHGRCGDYIVKEPMVIGHECAGIIEEVGSEVKNLVSGDRVALEPGVSCWRCSHCKEGSYNLCDEMKFFATPPIHGSLANQIVHPADLCFKLPENVSLEEGAMCEPLSVGVYACRRANIGPETNVLVLGAGPIGLVSMLSARAFGAPRVAVVDVDDQRLAFAKKLGADVIIKVSHKIEDVESEVENLKAAMGVGIDVSLDCAGFNKTMSTALRATRSGGKVCLVGMGHCEMTVPLTPAAAREVDIVGIFRYKNTWPQCIEFLRIGKIDVKPLITHRFGFTQKDVEEAFETSARGGNAIKVMFNL >itb04g27030.t1 pep chromosome:ASM357664v1:4:31180934:31184395:1 gene:itb04g27030 transcript:itb04g27030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSYVWREDNKYAVANIVQTSAQRRLFCTAISETAFRSNSGGSNYGKWGIKQVTKSNFSETLNEIETRITESDFIAVSLQTTGAYSSPWQRLLPFDTAEIAYLKAKRAAERYQILQFAVCPFSLRESKLIASPYNFHLFPRDELKTGMPSYSFSCQSSYLISIAREGFDFNACINDGISYLSRAQELAAKDHIRSPSAGSCTVPSPSSRSVADSIFINRIKSRVKHWRNACTDQSKKTEDVLISSLRKMIAATEVHGSRPCLNIDICSEQQVQLVLETLKEFVDVVPLLIPANGGGTQTVRVVLTSSQEDKDLLEKELQELENKHNKRVRGFREVVDLISCSQKPVVAHNSLNDFTSIHSKFIAPLPSTLDEFRHSLGRVFPHILDVKHLMKELGSQKNLNNIYVATSYLNSRFFAPVYVELPHQAISTKADSVKSHGHNVLKISELFAKVCSILKVDPKAPEAIEHRGQLPPALECYANIFDPCSTSYQDPPINEEDVRVRAKSCKRVSSKNVVFLWGFQSGISTGQVKSLLYGKHEVFSSEFDIQLVDESCAVLVFSSPGLSAVLLEALDSGSLKEMVSEGIRAARYDAYVKVCKLGLWKANLADSLELALEEESECLSEAHIAKDLSVIHWNSDEMINLDDL >itb14g12190.t1 pep chromosome:ASM357664v1:14:13809499:13813197:1 gene:itb14g12190 transcript:itb14g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLGFFY >itb12g05980.t2 pep chromosome:ASM357664v1:12:4457973:4459576:-1 gene:itb12g05980 transcript:itb12g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNGAHSSLPKIGEVALNLVSKPPHRILEEKFISLLQSCKTTRNLKQIQAQITIHGLNQNEYTTPHFLLKCFELNQVHHARHLFDQIPYPSSSLWNTMFKGYLQKDQHREVLVLFRRMRNKDERPSCYTFPIILKCCGKLYALREGEEVHCVVIKIGLKSNTFVGTTLIDLYSRARKVESAHRVFSEMVLRNVVSWTSMINGYVENGDLASARRFFDLAPERDIVLWNSMIVAYIGCRDMVEAQRLFNVMPNKDLMSWNTLLNGYANSGDVEGCERVFEAMQERNIFSWNGLIGGYAHNGHFLEVLGAFKRMLTESDVQPNDATLVNVLSACARLGALDMGKWVHAYAESIGYIDNIYVGNGLIDMYAKCGVVRNAIDVFKSMDKKDLISWNTIINGVAVHGQGADALNLFSQMRNAGVRPDGITFIETNRARPKQPCKLCYAC >itb12g05980.t1 pep chromosome:ASM357664v1:12:4457732:4459576:-1 gene:itb12g05980 transcript:itb12g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNGAHSSLPKIGEVALNLVSKPPHRILEEKFISLLQSCKTTRNLKQIQAQITIHGLNQNEYTTPHFLLKCFELNQVHHARHLFDQIPYPSSSLWNTMFKGYLQKDQHREVLVLFRRMRNKDERPSCYTFPIILKCCGKLYALREGEEVHCVVIKIGLKSNTFVGTTLIDLYSRARKVESAHRVFSEMVLRNVVSWTSMINGYVENGDLASARRFFDLAPERDIVLWNSMIVAYIGCRDMVEAQRLFNVMPNKDLMSWNTLLNGYANSGDVEGCERVFEAMQERNIFSWNGLIGGYAHNGHFLEVLGAFKRMLTESDVQPNDATLVNVLSACARLGALDMGKWVHAYAESIGYIDNIYVGNGLIDMYAKCGVVRNAIDVFKSMDKKDLISWNTIINGVAVHGQGADALNLFSQMRNAGVRPDGITFIGVLCACSHMGLVSEGFHYFQSMINEYLIVPQIEHYGCMVDLLARAGHFDQAVDFVHKMPMPADSVIWTSLLGACRIYKNIDVAILALQKLIELDPNNPANYVMLANIYGDAKRWKDVAKQKVAMRDTGFKKLPGCSLVEVDDEVAEFYCYDERHPKTQAIYGALKGLMKISMSSGYFLDLMETDQ >itb07g13140.t1 pep chromosome:ASM357664v1:7:15269586:15273803:-1 gene:itb07g13140 transcript:itb07g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGEMKELGDKCLDPQLWHACAGGMVQMPPVNSKVYYFPQGHGEHTLTNVDFSNLTKVSPMILCRVGTVKYLADPETDEVYAKLKLVPIGREHGFDDDVVLGSGANNTAPETAEKSNSFAKTLTQSDANNGGGFSVPRYCAETIFPPLDYSADPPVQTVTAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRANNGELFVGIRRAKKGCIGGSDAPQGWSSVAGNYAAYPSFLREDDNKTIRSSSTPKLSSSGGRLRERGRVKSESVLEAARLAGSGKPFEVVYYPRASTPEFCVRASSVHSAMRIQWCARMRFKMAFETEDSSRISWFMGTVSSVRVNDPIRWPNSPWRLLQVTWDEPDLLQNVKNVSPWMVELVSNMPIIHMSPFSPPRKKLCLPQDFSLDSQFQIPSFSGNALGASSPFYCLTDVAAGLQGARHPQSGQPSLDLHLNNKLNLGLSPPSLRQVNTDCRIPDGCFIKGQNDNSENISCLLTMGNSTQKSDTSDEVMTPRFLLFGQPILTEQQMSQNCPTGPVSQIREVQNSPGGKEVNTAELGIDTGHCKVFMESEDVSQILDLSVVDSFEELYKKLAHMFGIESSEVMNHVLYHDAAGAVKQIGDDPFSEFVKKAKRLTILRKSGSSSSERIGTSRVPEGDDRRGKMPVIS >itb12g13430.t3 pep chromosome:ASM357664v1:12:12339206:12344924:1 gene:itb12g13430 transcript:itb12g13430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPAPQPTGQEENLALPTQNRTRRRGGGGRGRGNAAAVAKGPSTGVRGRPAAAGRGRGVRLIDLDPEPPFEAPQAVAVGAREPAFNRIEGAADKDIAMDGGSADKIMGVEEEGNTTPVPERVQVGNSPQYKTERKLGKGGFGQVYVGRRLSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTPDEKKLYLIDLGLASRWKDSTSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTSLRPIRIDGALKVGQKRGRLLINLEEDEQPRKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLQQHVEKGNEDGLYISCVASAANLWALIMDAGTGFSSQVYDLSSVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >itb12g13430.t4 pep chromosome:ASM357664v1:12:12339082:12344978:1 gene:itb12g13430 transcript:itb12g13430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPAPQPTGQEENLALPTQNRTRRRGGGGRGRGNAAAVAKGPSTGVRGRPAAAGRGRGVRLIDLDPEPPFEAPQAVAVGAREPAFNRIEGAADKDIAMDGGSADKIMGVEEEGNTTPVPERVQVGNSPQYKTERKLGKGGFGQVYVGRRLSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTPDEKKLYLIDLGLASRWKDSTSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTSLRPIRIDGALKVGQKRGRLLINLEEDEQPRKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLQQHVEKGNEDGLYISCVASAANLWALIMDAGTGFSSQVYDLSSVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >itb12g13430.t1 pep chromosome:ASM357664v1:12:12339079:12345050:1 gene:itb12g13430 transcript:itb12g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPAPQPTGQEENLALPTQNRTRRRGGGGRGRGNAAAVAKGPSTGVRGRPAAAGRGRGVRLIDLDPEPPFEAPQAVAVGAREPAFNRIEGAADKDIAMDGGSADKIMGVEEEGNTTPVPERVQVGNSPQYKTERKLGKGGFGQVYVGRRLSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSSCNSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTPDEKKLYLIDLGLASRWKDSTSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTSLRPIRIDGALKVGQKRGRLLINLEEDEQPRKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLQQHVEKGNEDGLYISCVASAANLWALIMDAGTGFSSQVYDLSSVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >itb12g13430.t2 pep chromosome:ASM357664v1:12:12339079:12345050:1 gene:itb12g13430 transcript:itb12g13430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPAPQPTGQEENLALPTQNRTRRRGGGGRGRGNAAAVAKGPSTGVRGRPAAAGRGRGVRLIDLDPEPPFEAPQAVAVGAREPAFNRIEGAADKDIAMDGGSADKIMGVEEEGNTTPVPERVQVGNSPQYKTERKLGKGGFGQVYVGRRLSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSSCNSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTPDEKKLYLIDLGLASRWKDSTSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTSLRPIRIDGALKVGQKRGRLLINLEEDEQPRKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLQQHVEKGNEDGLYISCVASAANLWALIMDAGTGFSSQVYDLSSVFLHKDWIMEQWEKNFYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSEQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPRRKMMDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >itb02g05990.t1 pep chromosome:ASM357664v1:2:3729856:3732828:-1 gene:itb02g05990 transcript:itb02g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGSNPDQTREMPAESAGSRDTRPASGIRFSKLEVGSDKVENGVYEQSVVHRLSSSNKVSLLDIARERVDVVSERMYLLPDEYLEEIKGSLRVMLEGNGGSLQRDEFMVLQRLVQSRSDLTADALIRAHRVQLEVLVALSMGIQAFLHPSVSLSQTSLVEIFTYKRCRNIACQSQLPAEECICEICNNRRGFCSLCMCLICHKFDFEVNTCRWIGCDSCSHWTHTDCAIRDKQIGMGHSAIGGTGSAEMLFRCRACNQTSELLGWVKDVFQHCAPTWDRESLLRELDFVSRIFHGSEDTKGRRLFMKCKELIDRLREGVGEATACKVILMFFQELEMDSSKKFEAQNSGRMIPAQEACGQISEVVQEAVRKLEMVAEEKLRMLKKAQLAYESCDQELEERKKEAAELKLERQQKRQQIDDLESIVRLKEAEADMFQTKADEARREAERIQRIALSKYEKSEEEYACRYLKLRLSEAEAERQYLFEKMKLQDNLNKKQ >itb06g18380.t1 pep chromosome:ASM357664v1:6:22053379:22059106:1 gene:itb06g18380 transcript:itb06g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPGKDRRARSSGSSDEASVDLGAESHSESGDSNGSECGSPPHSAVDDDSTDPVTPSAQHDKTETGRQHSLTLLMSRFFDENVSIRKKHKLLEKLTTIQDDGTVQFEVPGDIKPEHFDVDTGIVDNGTTEEHANDGEDIQDLHPLQIVMLIVGTRGDVQPFVAIGKKLQEYGHRVRLATHSNFKEFVLDSGLEFYPLGGDPKVLAAYMVKNKGFLPSGTSEIHIQRNQIKDIVFSLLPACTDPDPESNAPFKVDAIIANPPAYGHTHVAMALKVPLHIFFTMPWTPTSEFPHPLSRVKQSVGYKLSYQIVDSLIWLGIRDVINDFRRKKLKLRRFTYLTNSFSSPETPYGYIWSPNLVHKPKDWGPRTDVVGFCFLDLASNYVPPESLVKWLENGQKPIYIGFGSLPVEEPEKMTQIIVEALEITGQRGIINKGWGGLGNLKEPKDNVYLLDNCPHDWLFLQCAAVVHHGGAGTTAAGLRAACPTTVVPFFGDQPFWGDRVHARGVGPAPIPVDEFSLDKLVAAIQFMLDPKVKERAIQLSNAMKNEDGVTGAVKAFYKHFPRKNLEPETKIVPHRRHHSMFSIRQCFTPPTGSVKE >itb04g11240.t1 pep chromosome:ASM357664v1:4:10792633:10795627:-1 gene:itb04g11240 transcript:itb04g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSESAEGLIYNVKLSSVGPGSVTGQDVVYEPSNLDLAMKLHYLRGVYYFESPAFEGLSVIDIKEPLFTLFNVYYMTCGRFRRADSSGRPYIKCNDCGVRFVEAYCDKSLEEWVEMRDAALEKLLTPNQVIGPELFFSPALLIQYNKFKCGGVALGLTWAHVLGDVFSATEFMNMFGKVVAGTKPARPMSLAQTLTKATAHQELPKVLEDPLSIKKVGPVGDHWTVTNNCTMERFTFFVGPSQLGQMRSTIGDYGPFELLSAIIWQAVARHRNGPEPKVVTVCRKSPQAHIKAGNVGNSQAVSTVKLGGDSIGESNLAELARLLKHEGADERRKIDEAMEKERGDADVVFYGANLTFVDMEDADFYGFEWRGQKPVKVSYQIDGVGDDGCVLVLPAPRHVGEDGAKGRLVTVILPENEVKELKSDLKKEWCIA >itb05g09410.t3 pep chromosome:ASM357664v1:5:13720910:13727539:-1 gene:itb05g09410 transcript:itb05g09410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLNVGTSGDAYEKLRSLHLSSLEDEEDEDEVLIDDNVHDDLYDDEDDQDKVPVALGFVEKKRSSWSLLRQLFPSKAGGTPAWLDPINLPSGRSCLCDFCGEPLQFMLQVYAPLTEKDSTFHRTVFVFMCPSMSCLLQDQHEQWKRHPGATLRSVKVFRCQMPRLNSFYSSEPPKNDGTDKPSGDGAALCNWCGTWRGDKVCSGCKTVRYCSEKHQAVHWQSGHKKNCFSNISLTESNSNGTAAWMLKGKFNLQTVASKSLWPEYEISNEDECDEVSDDHAHSTSLVSASQADETYNSLLDSFEGDDDKKSWASFQERILRAPDQVLRYCRYAKAKPLLPMSSGQPSNSDIPKCSYCGGPRAFEFQILPQLLYYFNVGNDVNSLDWATVVVYTCEASCEGSMAYKEEFAWVQVASQSNT >itb05g09410.t1 pep chromosome:ASM357664v1:5:13720987:13727585:-1 gene:itb05g09410 transcript:itb05g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLNVGTSGDAYEKLRSLHLSSLEDEEDEDEVLIDDNVHDDLYDDEDDQDKVPVALGFVEKKRSSWSLLRQLFPSKAGGTPAWLDPINLPSGRSCLCDFCGEPLQFMLQVYAPLTEKDSTFHRTVFVFMCPSMSCLLQDQHEQWKRHPGATLRSVKVFRCQMPRLNSFYSSEPPKNDGTDKPSGDGAALCNWCGTWRGDKVCSGCKTVRYCSEKHQAVHWQSGHKKNCFSNISLTESNSNGTAAWMLKVASKSLWPEYEISNEDECDEVSDDHAHSTSLVSASQADETYNSLLDSFEGDDDKKSWASFQERILRAPDQVLRYCRYAKAKPLLPMSSGQPSNSDIPKCSYCGGPRAFEFQILPQLLYYFNVGNDVNSLDWATVVVYTCEASCEGSMAYKEEFAWVQVASQSNT >itb05g09410.t2 pep chromosome:ASM357664v1:5:13720910:13727539:-1 gene:itb05g09410 transcript:itb05g09410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLNVGTSGDAYEKLRSLHLSSLEDEEDEDEVLIDDNVHDDLYDDEDDQDKVPVALGFVEKKRSSWSLLRQLFPSKAGGTPAWLDPINLPSGRSCLCDFCGEPLQFMLQVYAPLTEKDSTFHRTVFVFMCPSMSCLLQDQHEQWKRHPGATLRSVKVFRCQMPRLNSFYSSEPPKNDGTDKPSGDGAALCNWCGTWRGDKVCSGCKTVRYCSEKHQAVHWQSGHKKNCFSNISLTESNSNGTAAWMLKVASKSLWPEYEISNEDECDEVSDDHAHSTSLVSASQADETYNSLLDSFEGDDDKKSWASFQERILRAPDQVLRYCRYAKAKPLLPMSSGQPSNSDIPKCSYCGGPRAFEFQILPQLLYYFNVGNDVNSLDWATVVVYTCEASCEGSMAYKEEFAWVQVASQSNT >itb12g10140.t1 pep chromosome:ASM357664v1:12:8099925:8100653:1 gene:itb12g10140 transcript:itb12g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVNSTDLKNKIELLKTEVAKAGKTPDEESKAKILALKQEIKQCMADAISFSELKEKHEKLRSEVSESIKMSGGSDGNSLKGNAEYDKLMPDDSNIEVNFEPNRSFA >itb13g19740.t1 pep chromosome:ASM357664v1:13:26672179:26674993:1 gene:itb13g19740 transcript:itb13g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVTVAEDPLLPNAIVEHAVDYKGRPVGRASSGGWRSASFIIGVEVAERFAYYGISSNLITYLTGPLGQSTATAAENVNAWSGMAMLLPLLGAFVADSFLGRFRTIIVSSLLYILGLAFLTLSAVLPFSNSDCQTGADIKACSPPQLQIIFFFFALYLVALAQGGHKPCVQAFGADQFDVHDSRECKQKSSFFNWWYFGICFGAFVTILMMSYIQDNLSWGLGFGIPCIVMVLALIIFLLGTTTYRFSANHKMKNPFMRIGKVFVKAVKNWWIPQSVICIEEESHGIPPCSLQYRFLNKAMLAPNDSQDGEVCTISDVGEAKAVLSLVPIWISCLVFGIVYSQSETLFTKQGFTMDRSIGTNLEVPAAALQCFIAFTSLFLVPFYDCIFVPITRSISGKPSGITTLQRVGVGLFLSIVTMVIAALVESRRLRVSQAYQLVDLPEATVPMSVCWLIPQYVLYGIFELFASIGMQEFFYDQVPNDLKSIGLALFLSVFGVGSFLSSLLISVIQKATINGEGSEGWISGNLNNGHLDYFYWLLALLSTVAFTIYLYFSRSYMYTQKCVDVWRG >itb01g26470.t1 pep chromosome:ASM357664v1:1:31642207:31651439:-1 gene:itb01g26470 transcript:itb01g26470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQFPIDGDVKTEEKEVNQGQISTSVQLNCRFKIITSGPSPPTATSIIFLSLSSRPVSLAALSDSSITLVLFLFISLSLTLSLSLSSTMKPILQPRNAISRENHEASVTSPNPSSVKQLQKWPTPPLPNSSGSSRKHRFPKENAPPPDPYSSPSAAAKHKSPLPPRPPNSNPLKRKLIVEPAGAETAVSGSSDSGVKVIVRMRPPTNDEEDGDMTVQKISSNSVTITGHTFTFDSVADTQSTQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEDNLSSDQQGLTPRVFQHLFTRIEQEQIKHADKELKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKTGVYVENLTEECVSTMKDVKHLLLKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSMADGLSCRKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKPRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPSQSCKNETFSTLRFAQRAKAIKNKAVVNEEMQDDVNFLRQVIRQLKEELIQMKANQKDQNGNYSTGWNARRSLNLLKFSLNRSLAVPFVDDDGDTLMEIVEEAERLDLQSNAVNVETGNACAEEIAHEDMDEEPTPEKSPNSSSNLTIVPCDIPKSPTPSVSPRVNTGSRKSLRTSSMLTASEKNLIENELDASNSSLTKPSSSTCLNTQSTRSRNCFKSTEHLAASLHRGLEIVSAHRQSAALRKSSFRFSYKPAEIRAIVPVTKVDVGVQTVLNDNESFQEGSLYLCSKCKTKNSLQELKDASDSLNLQLVPVDGSQSCDKFKVQVPKAVEKVLAGAIRREMALEEICSKQSSEIMQLNRLVQQYKHERECNAVISQTREDKIARLESLMDGVLTTEDFMEDEMLSLTHEHKILKEKYENHPELLSTKIELRQVQDELERYRNFFDLGEREVLLEEIQDLRSQLQFYVESSPKASKKRPLLQLTYSCQQSVGTPLSAIPEPVEDSAEHRSLSAIPEPTEDSAEQILERERLQWTETESKWISLVEEVRLELEASRALAQKQKIELEMEKKCSEELKEAMQIAMQGHARMLEQYADLEEKHMQLLARHRRIQDGIEDVKKAAAKAGVRGAESRFINALAAEISALKVEREKERRYFIDENKGLQAQLRDTAEAVEAAGELLVRLKEADEAVQVAEKRATGAEQEASKAYKQIEKLKKKHEYEINSLKQLLEESRVHKETEVVYDSVEACSAGKYDAATQTQSSGDQQWREEFESFYQTEEELAKLEPTSWFSGYDRCNI >itb02g15660.t1 pep chromosome:ASM357664v1:2:11411762:11418033:1 gene:itb02g15660 transcript:itb02g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSYLKPTRLGLLTETSASRVRLRRTASRNLHQICAFASHSLTHPFDFSLHRLAVFLAQRKTTRSESPPPLVATLSPSLTSPCPSTTSPPPQLRFSDARNHRHSRCRHCHSSLSRSPSPLPPYRRRLLRLRPSHRRPLSPRTAR >itb15g14110.t2 pep chromosome:ASM357664v1:15:12242964:12244882:1 gene:itb15g14110 transcript:itb15g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSSAPVGVGGSSFIGLKSTLLPSNYSISWSRKTVSNGSKTLCMKVGRQQRENSRMPGYYDGRYWTLWKLPMFGCTDSSQVLKEMEECKNTYPNAYIRCLAFDNVKKAQCMSFIIHKPTAASPSASYE >itb15g14110.t1 pep chromosome:ASM357664v1:15:12242964:12244882:1 gene:itb15g14110 transcript:itb15g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSSAPVGVGGSSFIGLKSTLLPSNYSISWSRKTVSNGSKTLCMKTWNPIDNKRFETLSYLPPLSQESIAKEVDYIINKGWIPCLEFDQVGRQQRENSRMPGYYDGRYWTLWKLPMFGCTDSSQVLKEMEECKNTYPNAYIRCLAFDNVKKAQCMSFIIHKPTAASPSASYE >itb08g17620.t2 pep chromosome:ASM357664v1:8:20317231:20323733:1 gene:itb08g17620 transcript:itb08g17620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVLSLGPKIILSPFPCQTRTLAPIPTRTHFRNLPPHKPFLRGTLGVARFGLGQVPFPDPEDAEVVMKNLYARVEGALYTIADAAVSGSPDTVSAAATAETAKQNSDWLSGITNTMEAVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAVTFPLTKKQVESAMAMRSLAPQIKAIQERYAGDQEKVQLETARLYKLAGINPLAGCLPTLATIPIWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQTGSGTSWLFPFVDGHPPLGWSDTLAYLVLPVLLVISQYISIQIMQPPQSNDPNLKNSQAITKFLPLMIGYFSLSVPSGLSLYWLTNNILSTGQQVWLQKLGGAKNPMKELRDDTVRKEQPEVLNSLSEAPIAQKKDENVTSGGPRPGERF >itb08g17620.t1 pep chromosome:ASM357664v1:8:20317227:20323733:1 gene:itb08g17620 transcript:itb08g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVLSLGPKIILSPFPCQTRTLAPIPTRTHFRNLPPHKPFLRGTLGVARFGLGQVPFPDPEDAEVVMKNLYARVEGALYTIADAAVSGSPDTVSAAATAETAKQNSDWLSGITNTMEAVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAVTFPLTKKQVESAMAMRSLAPQIKAIQERYAGDQEKVQLETARLYKLAGINPLAGCLPTLATIPIWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQTGSGTSWLFPFVDGHPPLGWSDTLAYLVLPVLLVISQYISIQIMQPPQSNDPNLKNSQAITKFLPLMIGYFSLSVPSGLSLYWLTNNILSTGQQVWLQKLGGAKNPMKELRDDTVRKEQPEVLNSLSEAPIAQKKDENVTSGGPRPGERFKQLKEEEARRRRQREEAKRIEEEAARRETNLTAGEDKEEASLVEREVTSRAVSHNGDSVSNNSNSTHSENCRVVNGETTSLKSSSEHYSRNDQHLDETMEKGEAVATEMIDKELSSKVQDNSHEH >itb07g20200.t1 pep chromosome:ASM357664v1:7:24626088:24626505:-1 gene:itb07g20200 transcript:itb07g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFPHYGVVKDDYILIKGCCVGPKKIVVTLRQTLLNQTSRVALEEIKLKLIDASSKFGHGGGAQPVIFRREDAANKEIMNGVGLGSSASLGASASPPVSQLEAPTFSV >itb07g19790.t3 pep chromosome:ASM357664v1:7:24233050:24235344:-1 gene:itb07g19790 transcript:itb07g19790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPIDFTSPKEPSGYEPVKPVAKSRFKRLFERQFPALLRTLSGEKPAGCEEPSCNKDASDEFEPSSVCLAKMVQNFIEESEEKHRCSRNRCYCLNKNCTDSEEDAADSCNCFGESSNNSSSADACEILKQSLVACVIVSERNLLADTTKIIEKKKICKRKDHVCRKIIVDGLIALGYDASICQSKWEKSACIPAGDYEYIDVVIQGERLVIDIEFRSEFEIARSTKTYKSVLQALPNIFVGKCDRLQKIISIVSEAAKQSLKKKGMPVPPWRQAEYVKAKWFSPYTRIDLTSSKAETCLKVEEESVCLCEANLKVGKPKPSSRKEDDESCSEFEVLMFGEGTSKSKPYGEDDDKEMGVGEAQETKVNGGKKMTGLSSLIEEKA >itb07g19790.t1 pep chromosome:ASM357664v1:7:24233004:24235349:-1 gene:itb07g19790 transcript:itb07g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPIDFTSPKEPSGYEPVKPVAKSRFKRLFERQFPALLRTLSGEKPAGCEEPSCNKDASDEFEPSSVCLAKMVQNFIEESEEKHRCSRNRCYCLNKNCTDSEEDAADSCNCFGESSNNSSSADACEILKSLVACVIVSERNLLADTTKIIEKKKICKRKDHVCRKIIVDGLIALGYDASICQSKWEKSACIPAGDYEYIDVVIQGERLVIDIEFRSEFEIARSTKTYKSVLQALPNIFVGKCDRLQKIISIVSEAAKQSLKKKGMPVPPWRQAEYVKAKWFSPYTRIDLTSSKAETCLKVEEESVCLCEANLKVGKPKPSSRKEDDESCSEFEVLMFGEGTSKSKPYGEDDDKEMGVGEAQETKVNGGKKMTGLSSLIEEKA >itb07g19790.t2 pep chromosome:ASM357664v1:7:24233004:24235349:-1 gene:itb07g19790 transcript:itb07g19790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPIDFTSPKEPSGYEPVKPVAKSRFKRLFERQFPALLRTLSGEKPAGCEEPSCNKDASDEFEPSSVCLAKMVQNFIEESEEKHRCSRNRCYCLNKNCTDSEEDAADSCNCFGESSNNSSSADACEILKQSLVACVIVSERNLLADTTKIIEKKKICKRKDHVCRKIIVDGLIALGYDASICQSKWEKSACIPAGDYEYIDVVIQGERLVIDIEFRSEFEIARSTKTYKSVLQALPNIFVGKCDRLQKIISIVSEAAKQSLKKKGMPVPPWRQAEYVKAKWFSPYTRIDLTSSKAETCLKVEEESVCLCEANLKVGKPKPSSRKEDDESCSEFEVLMFGEGTSKSKPYGEDDDKEMGVGEAQETKVNGGKKMTGLSSLIEEKA >itb07g19790.t4 pep chromosome:ASM357664v1:7:24233050:24235344:-1 gene:itb07g19790 transcript:itb07g19790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPIDFTSPKEPSGYEPVKPVAKSRFKRLFERQFPALLRTLSGEKPAGCEEPSCNKDASDEFEPSSVCLAKMVQNFIEESEEKHRCSRNRCYCLNKNCTDSEEDAADSCNCFGESSNNSSSADACEILKSLVACVIVSERNLLADTTKIIEKKKICKRKDHVCRKIIVDGLIALGYDASICQSKWEKSACIPAGDYEYIDVVIQGERLVIDIEFRSEFEIARSTKTYKSVLQALPNIFVGKCDRLQKIISIVSEAAKQSLKKKGMPVPPWRQAEYVKAKWFSPYTRIDLTSSKAETCLKVEEESVCLCEANLKVGKPKPSSRKEDDESCSEFEVLMFGEGTSKSKPYGEDDDKEMGVGEAQETKVNGGKKMTGLSSLIEEKA >itb02g05190.t2 pep chromosome:ASM357664v1:2:3119036:3121591:-1 gene:itb02g05190 transcript:itb02g05190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFIPAPLVGHIVSIVELAKLLLDRDDRLSITVLVIKQPADPIANTVVQQVVSADSRIRCFNLPEMEPPPEEMILKVPENYLSAYIKSHRSHAKHAIVNNVLSSDSGESPALGGIVFDLFSSSMVDVANDLGVPSYLFYTSGAGFLGVNLYLVIRERLGGREYTLADPDSVVSTFASPVPARVMPTFAFIEEGYRVFVEHGRKLRETKGMIVNTFAELEPYAVKALGSDPDLPPVYTVGPLLAPQKEHAGKEEIINWLSEQPPSSVVFLCFGSQGGFEAPQIHQIATALERSGHRFLWSIRRPWSLTSSERAGDFTSFDPILPPGFAGRTRNRGKVCGWAPQVEVLAHRATGAFVSHCGWNSTLESMWHGVPMVTWPIYAEQQTNAFQLVKELGLAVELTVDYRRAQGSENVVMAEEIERAIRSVMEAENPVRKRAKEMGEMSRKALKEGSFSPSV >itb02g05190.t1 pep chromosome:ASM357664v1:2:3119036:3121591:-1 gene:itb02g05190 transcript:itb02g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAELVFIPAPLVGHIVSIVELAKLLLDRDDRLSITVLVIKQPADPIANTVVQQVVSADSRIRCFNLPEMEPPPEEMILKVPENYLSAYIKSHRSHAKHAIVNNVLSSDSGESPALGGIVFDLFSSSMVDVANDLGVPSYLFYTSGAGFLGVNLYLVIRERLGGREYTLADPDSVVSTFASPVPARVMPTFAFIEEGYRVFVEHGRKLRETKGMIVNTFAELEPYAVKALGSDPDLPPVYTVGPLLAPQKEHAGKEEIINWLSEQPPSSVVFLCFGSQGGFEAPQIHQIATALERSGHRFLWSIRRPWSLTSSERAGDFTSFDPILPPGFAGRTRNRGKVCGWAPQVEVLAHRATGAFVSHCGWNSTLESMWHGVPMVTWPIYAEQQTNAFQLVKELGLAVELTVDYRRAQGSENVVMAEEIERAIRSVMEAENPVRKRAKEMGEMSRKALKEGGSSFISLQRLIDDIIHNIKLC >itb01g03340.t2 pep chromosome:ASM357664v1:1:2221416:2224258:1 gene:itb01g03340 transcript:itb01g03340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAEVPLRRTASKASSPSPSLPSEHAAEVKSFKSDLKWLFLDQSTVFSAALSWSLFFIFTIAIPLVSHLIYACHGCDTVHQRPFDGIVQLSLSGIATLSFVSLSVAARKYGIRRFLFLDKLCDQSEKVRDGYTNQIHQSVKILAAFVLPIFVADCAYKIWWFASGGTQIPYFYNHYLSNAIVCILLLCSWLYRTTISFFVCVLFRLICYLQILRLEDYSRVFEKESDVSAILIEHLRIRRTLRVISHRFRLFIMMALILVTISQFMSLLVTMESSTNTNLSTAGELALCSITLVTGLFICLRSAAKITHRAHALSSLAAKWHACATLSSFEFDPETPLARTETPQMIFSASLANWDSENDEGYEDELDDTNMMAVNAHTISYQKRQALGESREHYYYT >itb01g03340.t1 pep chromosome:ASM357664v1:1:2221397:2224484:1 gene:itb01g03340 transcript:itb01g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAEVPLRRTASKASSPSPSLPSEHAAEVKSFKSDLKWLFLDQSTVFSAALSWSLFFIFTIAIPLVSHLIYACHGCDTVHQRPFDGIVQLSLSGIATLSFVSLSVAARKYGIRRFLFLDKLCDQSEKVRDGYTNQIHQSVKILAAFVLPIFVADCAYKIWWFASGGTQIPYFYNHYLSNAIVCILLLCSWLYRTTISFFVCVLFRLICYLQILRLEDYSRVFEKESDVSAILIEHLRIRRTLRVISHRFRLFIMMALILVTISQFMSLLVTMESSTNTNLSTAGELALCSITLVTGLFICLRSAAKITHRAHALSSLAAKWHACATLSSFEFDPETPLARTETPQMIFSASLANWDSENDEGYEDELDDTNMMAVNAHTISYQKRQALVTYFEHNRAGITVYGFMLDRSWLHTIFAIQLSLTLWILNKTIGIS >itb01g02800.t3 pep chromosome:ASM357664v1:1:1810767:1811489:-1 gene:itb01g02800 transcript:itb01g02800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSMEEMAKLPSEEEVGFLRVMGLSSGTAVMMVSKAAIELGVFEIIAKAGEGAKLSAKQIADCLPTQNPNAPVMLDRMLKFLANQSILKCTLTEDHQCSYSLTPVSKNFVPNEDGVSLSAMVQLLADKVFVNPWYELFSDLPSVV >itb01g02800.t2 pep chromosome:ASM357664v1:1:1810030:1811489:-1 gene:itb01g02800 transcript:itb01g02800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSMEEMAKLPSEEEVGFLRVMGLSSGTAVMMVSKAAIELGVFEIIAKAGEGAKLSAKQIADCLPTQNPNAPVMLDRMLKFLANQSILKCTLTEDHQCSYSLTPVSKNFVPNEDGVSLSAMVQLLADKVFVNPWYALKDAVLEGGVPFNRTHGMHAFEYPGKDSRFNEVFNRAMHDHSAIAMKRVLECYKGFEGAKEVVDVGGGYGSTLSCIISKYPNIKGINFDLPHVIKEAPAIPGMYPKLELFLFLNS >itb01g02800.t1 pep chromosome:ASM357664v1:1:1809039:1811501:-1 gene:itb01g02800 transcript:itb01g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSMEEMAKLPSEEEVGFLRVMGLSSGTAVMMVSKAAIELGVFEIIAKAGEGAKLSAKQIADCLPTQNPNAPVMLDRMLKFLANQSILKCTLTEDHQCSYSLTPVSKNFVPNEDGVSLSAMVQLLADKVFVNPWYALKDAVLEGGVPFNRTHGMHAFEYPGKDSRFNEVFNRAMHDHSAIAMKRVLECYKGFEGAKEVVDVGGGYGSTLSCIISKYPNIKGINFDLPHVIKEAPAIPGVEHIPGDMFESVPCGEIIFMKWILHDWDDEHCLKLLKNCWKALPESGKVVLVEAILPEHPEKDVGYGCPFYADVLMMTMNPGGKERTQRQFEALAKEAGFAALKVICPVNTEWVIELYK >itb02g00250.t1 pep chromosome:ASM357664v1:2:208233:211766:1 gene:itb02g00250 transcript:itb02g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAIPSSSPLVSTTIKQCILIPIRQFCPPPSYCEPSIRPRNLATRIKILSCLPTTPHAHNPPPTTKLFVSGLSFRTTEDSLRNAFKNFGNLIQVNVVMDRVANRPRGFAFLRYATEEESNKAIQGMHGKFLDGRVIFVEVAKPRSDQKSAKPKGKTGSTDTIA >itb11g00670.t2 pep chromosome:ASM357664v1:11:289194:294984:-1 gene:itb11g00670 transcript:itb11g00670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMREIIERYRRHTADVQAENPSVEQEQDMQHLQHETECLAKKIDYLEASKRRLLGEDLGACAMEELQQIEQQLERSVNIIRARKMEVYAEQIKRLRDKEESLKAENAVLWDKYNGLQPQQVSNEGNEKESAEGSEKSDVETELFIGLPESRAKPVLSNER >itb11g00670.t5 pep chromosome:ASM357664v1:11:289194:294984:-1 gene:itb11g00670 transcript:itb11g00670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMREIIERYRRHTADVQAENPSVEQEQDMQHLQHETECLAKKIDYLEASKRRLLGEDLGACAMEELQQIEQQLERSVNIIRARKMEVYAEQIKRLRDKEESLKAENAVLWDKVNNTNLSISA >itb11g00670.t1 pep chromosome:ASM357664v1:11:289194:294984:-1 gene:itb11g00670 transcript:itb11g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMREIIERYRRHTADVQAENPSVEQEQDMQHLQHETECLAKKIDYLEASKRRLLGEDLGACAMEELQQIEQQLERSVNIIRARKMEVYAEQIKRLRDKEESLKAENAVLWDKYNGLQPQQVSNEGNEKESAEGSEKSDVETELFIGLPESRAKPVLSNER >itb11g00670.t3 pep chromosome:ASM357664v1:11:289235:294953:-1 gene:itb11g00670 transcript:itb11g00670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMREIIERYRRHTADVQAENPSVEQEQDMQHLQHETECLAKKIDYLEASKRRLLGEDLGACAMEELQQIEQQLERSVNIIRARKMEVYAEQIKRLRDKEESLKAENAVLWDKYNGLQPQQVSNEGNEKESAEGSEKSDVETELFIGLPESRAKPVLSNER >itb11g00670.t4 pep chromosome:ASM357664v1:11:289235:290930:-1 gene:itb11g00670 transcript:itb11g00670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIGFSMREIIERYRRHTADVQAENPSVEQEQDMQHLQHETECLAKKIDYLEASKRRLLGEDLGACAMEELQQIEQQLERSVNIIRARKMEVYAEQIKRLRDKEESLKAENAVLWDKYNGLQPQQVSNEGNEKESAEGSEKSDVETELFIGLPESRAKPVLSNER >itb04g24890.t1 pep chromosome:ASM357664v1:4:29615634:29616984:-1 gene:itb04g24890 transcript:itb04g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPVPKKRNITVRYDIASALSQADAAVTCRQKKLRRLPHIFAKVLELPFRSDADVLIEETRDFFRFIVPTDDVSGDNIRAHTIQIYPGVTKIVIRGDGLVDSPLTELELDLWRFRLPASTLPGMASAAFSDGELVVTVPKGPPHEEDGEDEDAGDIGAERLVFVQ >itb07g14640.t1 pep chromosome:ASM357664v1:7:17340400:17345100:-1 gene:itb07g14640 transcript:itb07g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSSSAPRSGASPDSYIGSLISLTSKSEIRYEGVLYNINTDESSIGLRNVRSFGTEGRKKDGPQVLASDKVYEYILFRGSDIKDLQVKASPPVQSTPQINNDPAIIQSHYPRPPTTSSSLPPVSSAPAVDPNSHPSQLGHPGAASQGALPLYQPGGNLGSWGPSHPPQNAGGSGLAMPMYWQGFYGAPNGLPQLPQQSLLRPPPGLSMPPSMPQMQYSTFNSSMPTGGLSLPGSNLPEYPPSLIPNTASLTSSSLPASSLPSSVQPPQPTPALSSSALPLQPAPALPSSALPLQPAPALLSNVLPLQPAPALPSSVLPLQHLAPSSETVSSNLSNKTSLTAVSTATFSSTLPALPPTTTPGATTVAPHITNIPNPLPGPSQSKIAVGAMNTGPVETSTPSLLTPGHLLQSGPATVLPTQSVQTVQKDVEVVQVSPSQSSEPSAAVKSETQPPILPTPQQTHAQRPNGAQFHMRYNNYRGRGGRGTGISRPVTNFTEEFDFMAMNEKFNKDEVWGHLGKSSKSDSRDKEGNANGNDENNYHEEEDAGHPRVEVKPVYNKDDFFDSLSSNALDNESNQVRPRFSEQRKIDAETFGGEYARYRGGRGRGPYRGGRSRGYYGGYGGRGYGYGGRGRGRGSSD >itb05g01920.t4 pep chromosome:ASM357664v1:5:1487477:1490318:-1 gene:itb05g01920 transcript:itb05g01920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQAERYGMENVGVVAEHTHEPLDLIATVGASSVAVSSSSAACVDEEARREGLCKMDRMGHESDSGKPRGLGLDLNAEDVSSSINHAAFCYPHKDGGCGKPSDDFECASSVGPMEERDSLKVWNEMKQNGFLSYSHGGVPIPKAHGKKNKNDGMKKKIELAKKERVDRFAKIAAPTGLLNGLNPGIINHVRNSKQVHSIIEALVRSEKHENRRAGGKHASQPKSGERKEVELENQCGAGINRSSSCYQEGYSNTLSSSGHIGGYSASLNRSISLNSEFTGAGGDLCMTEAKVSERTNYLPKACTDSKDDVLALKLSSATAIVSDNTSSLSNDESANLSSVNSLSVKAANVASQWLELLHQDIKGRLAALKRSRKRVRAVIQTEFPCLVSREFSSNQENEPYSSQGSIVGQFDKPTADAHHARWSSLFDQMDRALSEEERQLECWQKEVREMQLQCEKGLVKYNMPCVLPQIAALQNDFRLEKDAERDLAVRAAAASIYSTCSFLSSMENTPCF >itb05g01920.t2 pep chromosome:ASM357664v1:5:1487444:1490883:-1 gene:itb05g01920 transcript:itb05g01920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQKRGIAEVEERSQLSNRKVKMRDLESVFRSEERYGMENVGVVAEHTHEPLDLIATVGASSVAVSSSSAACVDEEARREGLCKMDRMGHESDSGKPRGLGLDLNAEDVSSSINHAAFCYPHKDGGCGKPSDDFECASSVGPMEERDSLKVWNEMKQNGFLSYSHGGVPIPKAHGKKNKNDGMKKKIELAKKERVDRFAKIAAPTGLLNGLNPGIINHVRNSKQVHSIIEALVRSEKHENRRAGGKHASQPKSGERKEVELENQCGAGINRSSSCYQEGYSNTLSSSGHIGGYSASLNRSISLNSEFTGAGGDLCMTEAKVSERTNYLPKACTDSKDDVLALKLSSATAIVSDNTSSLSNDESANLSSVNSLSVKAANVASQWLELLHQDIKGRLAALKRSRKRVRAVIQTEFPCLVSREFSSNQENEPYSSQGSIVGQFDKPTADAHHARWSSLFDQMDRALSEEERQLECWQKEVREMQLQCEKGLVKYNMPCVLPQIAALQNDFRLEKDAERDLAVRAAAASIYSTCSFLSSMENTPCF >itb05g01920.t3 pep chromosome:ASM357664v1:5:1487444:1490820:-1 gene:itb05g01920 transcript:itb05g01920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKCGIADMSPRVMVGQKRGIAEVEERSQLSNRKVKMRDLESVFRSEGVVAEHTHEPLDLIATVGASSVAVSSSSAACVDEEARREGLCKMDRMGHESDSGKPRGLGLDLNAEDVSSSINHAAFCYPHKDGGCGKPSDDFECASSVGPMEERDSLKVWNEMKQNGFLSYSHGGVPIPKAHGKKNKNDGMKKKIELAKKERVDRFAKIAAPTGLLNGLNPGIINHVRNSKQVHSIIEALVRSEKHENRRAGGKHASQPKSGERKEVELENQCGAGINRSSSCYQEGYSNTLSSSGHIGGYSASLNRSISLNSEFTGAGGDLCMTEAKVSERTNYLPKACTDSKDDVLALKLSSATAIVSDNTSSLSNDESANLSSVNSLSVKAANVASQWLELLHQDIKGRLAALKRSRKRVRAVIQTEFPCLVSREFSSNQENEPYSSQGSIVGQFDKPTADAHHARWSSLFDQMDRALSEEERQLECWQKEVREMQLQCEKGLVKYNMPCVLPQIAALQNDFRLEKDAERDLAVRAAAASIYSTCSFLSSMENTPCF >itb05g01920.t1 pep chromosome:ASM357664v1:5:1487444:1490883:-1 gene:itb05g01920 transcript:itb05g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKCGIADMSPRVMVGQKRGIAEVEERSQLSNRKVKMRDLESVFRSEERYGMENVGVVAEHTHEPLDLIATVGASSVAVSSSSAACVDEEARREGLCKMDRMGHESDSGKPRGLGLDLNAEDVSSSINHAAFCYPHKDGGCGKPSDDFECASSVGPMEERDSLKVWNEMKQNGFLSYSHGGVPIPKAHGKKNKNDGMKKKIELAKKERVDRFAKIAAPTGLLNGLNPGIINHVRNSKQVHSIIEALVRSEKHENRRAGGKHASQPKSGERKEVELENQCGAGINRSSSCYQEGYSNTLSSSGHIGGYSASLNRSISLNSEFTGAGGDLCMTEAKVSERTNYLPKACTDSKDDVLALKLSSATAIVSDNTSSLSNDESANLSSVNSLSVKAANVASQWLELLHQDIKGRLAALKRSRKRVRAVIQTEFPCLVSREFSSNQENEPYSSQGSIVGQFDKPTADAHHARWSSLFDQMDRALSEEERQLECWQKEVREMQLQCEKGLVKYNMPCVLPQIAALQNDFRLEKDAERDLAVRAAAASIYSTCSFLSSMENTPCF >itb10g06100.t1 pep chromosome:ASM357664v1:10:6685767:6689494:1 gene:itb10g06100 transcript:itb10g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARESEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >itb05g22410.t1 pep chromosome:ASM357664v1:5:27832220:27841541:-1 gene:itb05g22410 transcript:itb05g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAFNEEILADKLSKLNSTQQCIETLSHWCIFHRSKAEQVVATWEKQFHSSEMVHKVPLLYLANDILQNSKRKGNEFVTEFWKVLPSALKDVGEKGDDHGKNVISRLVNIWEERRVFGSQAKSLKDVMLGKELPTPLQFGRKRSRSVRIVKRDSRSIKTKLTIGGPAEKIVSAFHAVLSEHTNEEEEMNKCKSTVHRVKKMEKDVDMALTTANDPNRKTLSKELEEEENALKQCIQKLKVVEANRAVLVSQLKEALNEQESELENVRTQIQVAQAQAEESSNMRKHLDDEDYVADPQPLTTTATSVEVSAKAGGQTQKKTAAAIAAEVADKLTASTSSQYIMSSVLSTFAAEEAKNAGLTKPSVASTSFPSTPINAANYSMTKPERPLSDPSVLMPAQAVNPPPSNPYQSVMVMHPTMQGQFSNSQAQYHSLPNPPSQQYLQPSGGIVGSYGYGGIAPLPPGPSPAPPYLGPLVPLAQPPLQMSQQQQQQQQLTMPHQLALPQHPPPNFRPLPATQPPQPGMVYYSQPNRSQ >itb05g22410.t2 pep chromosome:ASM357664v1:5:27832251:27835665:-1 gene:itb05g22410 transcript:itb05g22410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVPLLYLANDILQNSKRKGNEFVTEFWKVLPSALKDVGEKGDDHGKNVISRLVNIWEERRVFGSQAKSLKDVMLGKELPTPLQFGRKRSRSVRIVKRDSRSIKTKLTIGGPAEKIVSAFHAVLSEHTNEEEEMNKCKSTVHRVKKMEKDVDMALTTANDPNRKTLSKELEEEENALKQCIQKLKVVEANRAVLVSQLKEALNEQESELENVRTQIQVAQAQAEESSNMRKHLDDEDYVADPQPLTTTATSVEVSAKAGGQTQKKTAAAIAAEVADKLTASTSSQYIMSSVLSTFAAEEAKNAGLTKPSVASTSFPSTPINAANYSMTKPERPLSDPSVLMPAQAVNPPPSNPYQSVMVMHPTMQGQFSNSQAQYHSLPNPPSQQYLQPSGGIVGSYGYGGIAPLPPGPSPAPPYLGPLVPLAQPPLQMSQQQQQQQQLTMPHQLALPQHPPPNFRPLPATQPPQPGMVYYSQPNRSQ >itb11g04610.t1 pep chromosome:ASM357664v1:11:2436100:2437373:-1 gene:itb11g04610 transcript:itb11g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYTGKHPRDVYGRIRIAQDCWQKFSKEAAFALYTPFIVSLASGNLNVESFRQFVAQDVYLLNIFAHAFELAETYLQDDDTKHEINELRKTIINFHDSFVQEWGSDGFKDITLNPATSKCKDFLLATASGNIDGVKAIGKLSTPIEKTKLSAYTLGAIASFMSLYAYIGKELKGFVDRNRYKKWIENYSSDRFQDFSLQTGYLLNRLSDSFTAEELDIIETLYSQGFKHEIDFFLAQPLIQKAVVPLSGEHNLEERQLMIFSEFNFSLASVDSCLLG >itb14g16190.t3 pep chromosome:ASM357664v1:14:19489858:19495229:-1 gene:itb14g16190 transcript:itb14g16190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MSLVKPLKKLVSCVILDLDGTLLNTDGIVSDVLKVALVNYGKQWDGREAHKIVGKTPVEAAAVVVEDYEIPLTKEEFLTQITPMFSERWSNIKALPGANRLINHLKSHGVLMALASNSPKSNIETKISYHQGWKESFSTIVGGDEVTAGKPNPEIFLEVAKRLGVNPSSCLVIEDSLPGVAAGKAAEMEVVAVPSLPKQSHLYTSADEVINSLLDLHPEKWGLPAFQDWVEGTLPIETWYIGGPVVRGFGRGSKVLGIPTANLSTTGYSDLLTEYPSGVYFGWAGLSNHGIYKMVMSIGWNPYFNNTEKTIEPWLLHDFSEDFYGEELHLVIVGYIRPEANFSSLESLIAKIHEDRRVAENALELPLYSKNRDDPYLKSPQLQNNL >itb14g16190.t4 pep chromosome:ASM357664v1:14:19489858:19495136:-1 gene:itb14g16190 transcript:itb14g16190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MSLVKPLKKLVSCVILDLDGTLLNTDGIVSDVLKVALVNYGKQWDGREAHKIVGKTPVEAAAVVVEDYEIPLTKEEFLTQITPMFSERWSNIKALPGANRLINHLKSHGVLMALASNSPKSNIETKISYHQGWKESFSTIVGGDEVTAGKPNPEIFLEVAKRLGVNPSSCLVIEDSLPGVAAGKAAEMEVVAVPSLPKQSHLYTSADEVINSLLDLHPEKWGLPAFQDWVEGTLPIETWYIGGPVVRGFGRGSKVLGIPTANLSTTGYSDLLTEYPSGVYFGWAGLSNHGIYKMVMSIGWNPYFNNTEKTIEPWLLHDFSEDFYGEELHLVIVGYIRPEANFSSLESLIAKIHEDRRVAENALELPLYSKNRDDPYLKSPQLQNNL >itb14g16190.t1 pep chromosome:ASM357664v1:14:19489858:19495229:-1 gene:itb14g16190 transcript:itb14g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MSLVKPLKKLVSCVILDLDGTLLNTDGIVSDVLKVALVNYGKQWDGREAHKIVGKTPVEAAAVVVEDYEIPLTKEEFLTQITPMFSERWSNIKALPGANRLINHLKSHGVLMALASNSPKSNIETKISYHQGWKESFSTIVGGDEVTAGKPNPEIFLEVAKRLGVNPSSCLVIEDSLPGVAAGKAAEMEVVAVPSLPKQSHLYTSADEVINSLLDLHPEKWGLPAFQDWVEGTLPIETWYIGGPVVRGFGRGSKVLGIPTANLSTTGYSDLLTEYPSGVYFGWAGLSNHGIYKMVMSIGWNPYFNNTEKTIEPWLLHDFSEDFYGEELHLVIVGYIRPEANFSSLESLIAKIHEDRRVAENALELPLYSKNRDDPYLKSPQLQNNL >itb14g16190.t2 pep chromosome:ASM357664v1:14:19489858:19495229:-1 gene:itb14g16190 transcript:itb14g16190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MSLVKPLKKLVSCVILDLDGTLLNTDGIVSDVLKVALVNYGKQWDGREAHKIVGKTPVEAAAVVVEDYEIPLTKEEFLTQITPMFSERWSNIKALPGANRLINHLKSHGVLMALASNSPKSNIETKISYHQGWKESFSTIVGGDEVTAGKPNPEIFLEVAKRLGVNPSSCLVIEDSLPGVAAGKAAEMEVVAVPSLPKQSHLYTSADEVINSLLDLHPEKWGLPAFQDWVEGTLPIETWYIGGPVVRGFGRGSKVLGIPTANLSTTGYSDLLTEYPSGVYFGWAGLSNHGIYKMVMSIGWNPYFNNTEKTIEPWLLHDFSEDFYGEELHLVIVGYIRPEANFSSLESLIAKIHEDRRVAENALELPLYSKNRDDPYLKSPQLQNNL >itb13g11580.t1 pep chromosome:ASM357664v1:13:16254963:16258142:1 gene:itb13g11580 transcript:itb13g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGNEGMSTIPGFNQIQFEGFWRFIDQGLTEELSKFPKMEDTDQEIEFQLFVETYQLAEPLIKEKDAVYESLTYSSELYVSAGLIWKTRREMQEQTILIGNIPLMNSLGTFIVNGIYRIVINQILQSPGIYYRSELDHNGISVYTGTIISDWGGRSELEIDRKARIWARVSRKQKISILVLSSAMGSNLREILDNVCYPEIFLSFLNDKEKKKIGSKENAILEFYQQFACVGGDPVFSESLCKDLQKKFFQQRCELGRIGRRNMNRRLNLDIPENNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKHKRIRSVADLLQDQFGLALVRLENVVRGTISGAIRHKLIPTPQNLVTSTPLTTTFESFFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGPWGSLESPYYEISERSKRVQMLYLSPSRDEYYMLASGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHFRSIFSFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSQSEKCIVGTGLERQVALDSGVLAIAEHEGKIIYTNTDKIVLLGNGNTVSIPLVMYQRSNKNTCMHQKPQIPRGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTYVTSQGPEKVTSEIPHLEAHLLRNLDKNGIVRLGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIHVYISQKREIKVGDKVAGRHGNKGIISRILLRQDMPYLQDGRPVDMIFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTANPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGNPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKARQEVLGTTIIGGTIPNPEDAPESFRLLVRELRSLXFYHQLWVQI >itb06g16770.t2 pep chromosome:ASM357664v1:6:20802404:20806513:-1 gene:itb06g16770 transcript:itb06g16770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSASSTIEEVASSSNAVRFFQIYVFKRRDITELMVRRAVKNGFKAIILTVDTPRLGRREADIKNKFISPPLKNFEGLISTTVVPDSGSGLEAYASTTLDSSLSWKDIAWLKSITNLPILLKGILTHEDAIKALEVGVAGIIVSNHGARQLDYTPATISVLEEVVLAVKGKVPVLFDGGVRRGTDIFKALALGAQAVLIGRPVIFGLAAKGQNGVRQVIEMLKNELELTMALSGCCTIKDITRSHVRTENESLACRL >itb06g16770.t1 pep chromosome:ASM357664v1:6:20802404:20806531:-1 gene:itb06g16770 transcript:itb06g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVNVNEFQELARQALPKMYYDFYVGGAEDEHTLKDNEKAFQRIIIRPRVLIDVSRIDMSTTILGYKTSAPIMVGPTAMHKLAHPDGEVATARAAAASNVIMGLSFSASSTIEEVASSSNAVRFFQIYVFKRRDITELMVRRAVKNGFKAIILTVDTPRLGRREADIKNKFISPPLKNFEGLISTTVVPDSGSGLEAYASTTLDSSLSWKDIAWLKSITNLPILLKGILTHEDAIKALEVGVAGIIVSNHGARQLDYTPATISVLEEVVLAVKGKVPVLFDGGVRRGTDIFKALALGAQAVLIGRPVIFGLAAKGQNGVRQVIEMLKNELELTMALSGCCTIKDITRSHVRTENESLACRL >itb15g13310.t2 pep chromosome:ASM357664v1:15:11292511:11295928:1 gene:itb15g13310 transcript:itb15g13310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPERVTKFLKPYVLRMHFTNKYVNAQVVHTPTATVAAAASTQEKGLRLGMIEAKENTRDVAAAAKIGKLLGERLRVKGVPAVSILFKRDQRYHGKVKAVIDSIRGEGIELV >itb15g13310.t3 pep chromosome:ASM357664v1:15:11292511:11295928:1 gene:itb15g13310 transcript:itb15g13310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPERVTKFLKPYVLRMHFTNKYVNAQVVHTPTATVAAAASTQEKGLRLGMIEAKENTRDVAAAAKIGKLLGERLRVKGVPAVSILFKRDQRYHGKVKAVIDSIRGEGIELV >itb15g13310.t1 pep chromosome:ASM357664v1:15:11292511:11295928:1 gene:itb15g13310 transcript:itb15g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPERVTKFLKPYVLRMHFTNKYVNAQVVHTPTATVAAAASTQEKGLRLGMIEAKENTRDVAAAAKIGKLLGERLRVKGVPAVSILFKRDQRYHGKVKAVIDSIRGEGIELV >itb15g13310.t4 pep chromosome:ASM357664v1:15:11292511:11295928:1 gene:itb15g13310 transcript:itb15g13310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPERVTKFLKPYVLRMHFTNKYVNAQVVHTPTATVAAAASTQEKGLRLGMIEAKENTRDVAAAAKIGKLLGERLRVKGVPAVSILFKRDQRYHGKVKAVIDSIRGEGIELV >itb01g15080.t1 pep chromosome:ASM357664v1:1:17370237:17374085:1 gene:itb01g15080 transcript:itb01g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSIPRDNDGLHQEASLGTSISPSVAVYTRNTPEILLEHQEELVVERKQKKRREREEGDQLSLLAVLVTVFRKSLVSSCKSIEEHLSSSPSSSSSSSSSFCSSSASAMEISWPSNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASTRVFGVSTECMQLSFDSRGNCVPTILLMMQGRLYTQGGLQAEGIFRINAENGQEEMVREQLNSGIVPNDIDVHCLAGLIKAWFRELPRGVLDSLSPEEVMRAQSEEECVRLVRLLPPTEASLLDWAINLMADVAEMEQLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKILIERTLQDREECIIEAGPAPDLEPFDENGHHSTAHPTTQEENESDHEEEQNVQPKKTSSDGATEEEAAASGFLSSIENILPGGNGNNIRDDHPGEILNQSDEARDGSEGWNAVTTSVRVQSKPRRAKSTQSSSSNPKKASNKRIITELQAVKNAEKNKEVSILDRLNSQTERIEAWR >itb10g03050.t1 pep chromosome:ASM357664v1:10:2719056:2722484:-1 gene:itb10g03050 transcript:itb10g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISTVVEQLINILKHQAQELKRALGVEKEIANLSSKLENIRKVLDDAEKRSFKENGIELWIENIKDFSYQVDDVLDEWRTRSLRQQIESPEASRSSFLPSRSKFKRFVMHRDIANKIKELDSTLDRITKEKDQFKFDYASSITHTSAASHSDQELMRVTTAFDVDASQIQDLGYCSQFSRLPDKIGDLSQLRYINLSWSEVETLPDTICSLENLQTLVLERCEHFSRLPEGIGNLINLRHLNIRYTNRLEMMPQGIAKLTQLCSLSEFKVGKESSILEYMEKLNQLKGELSIFFLCDLNNATDVEEAEKAELRNKKHIKTLCLNFSDGVDVGIDVMEALNPPPELQTLKLNEYRGIHFPSWITLSLNLRILEINGCVNCSSLPPLGKLPSLETLSIAGMKELRYVVSEFLGVAEVGGVAFPKLKNLKFYNCPEWEEWEDLKEEATIIIMPCIRELQLKYCRKLKTVPHHLLSRLESLEIKDCPRVSVGIDALKPPPKLQTLELNGYGGIHLPSWILLSLDNLRILEIRRCVNCSSLPPLGKLPSLETLFISEMKELRYVGSDFLGVTEVGGVAFPKLKKLEFSYCEEWEEWEDLKEEATIIIMPCIRELLLFHCEKLKTVPHHLLSRLQESLKIYFCPRLKVE >itb04g21920.t1 pep chromosome:ASM357664v1:4:27027330:27033092:-1 gene:itb04g21920 transcript:itb04g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVVVAPTSVANSDNHKRKLEDLEQSAPGESVLKEEEAEPDSVNKADEEEEVEGGEGEDEAQADGSEAKRPRLEEKTDELGAENGHTEEKNGDESKEDDTEEKPDCLDNNEQLDNGQGVENDAPTTTSEQPAPIDDLQTEKPQTDNVPEEPSKGEDQEPSTTDIPQQGDAPNEQENTASETQTMSRKMEVPNNKVGVLIGKGGETIRHLQYNSGAKIQITRDAESDPRSSTRSVELIGTLENIEKAERLIKDVIAEADAGGSPSLVAKGFNPVQSVIGDQIEMQVPNEKVGLIIGKGGETIKSLQTRSGARIQLVQLPEGEQSKERTVRVTGDRKQIERAREMIQEVMDQHVRSSPLSMGYGQQQNFRPRGPVAPQWGPHGPHPGQHPGYDYRQRGPYPSHSPQYPAPAYGNYPPQPAPRSGFGPSWEQRPPMQGPPPQANYNYGQPHGPDYGQSHPYHQPPHGQNYGPGYNDMKYSSQMPTQNQYGGQGMSQPMAYPQGGSHQGYSGHDQYGKPPSYGMHPQASHVQHYNQPRANQPGEVPYQAPPMASTQGYGMNMPPQQQYPYAATGPMQQQTYPPYGSAPASDGYTHPQPPATASGPVYPQQGAQPVSGYGQPVVQQPPAYAQVGQQPAAYGSYPSQPADNSAGYGYQAPADPSYATTQSTATYNAQSTGQPGYAQPAPTQTGYDQSVAQPGGYVSQPTQAAAGYGNSV >itb04g21920.t2 pep chromosome:ASM357664v1:4:27027755:27032910:-1 gene:itb04g21920 transcript:itb04g21920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVVVAPTSVANSDNHKRKLEDLEQSAPGESVLKEEEAEPDSVNKADEEEEVEGGEGEDEAQADGSEAKRPRLEEKTDELGAENGHTEEKNGDESKEDDTEEKPDCLDNNEQLDNGQGVENDAPTTTSEQPAPIDDLQTEKPQTDNVPEEPSKGEDQEPSTTDIPQQGDAPNEQENTASETQTMSRKMEVPNNKVGVLIGKGGETIRHLQYNSGAKIQITRDAESDPRSSTRSVELIGTLENIEKAERLIKDVIAEADAGGSPSLVAKGFNPVQSVIGDQIEMQVPNEKVGLIIGKGGETIKSLQTRSGARIQLVQLPEGEQSKERTVRVTGDRKQIERAREMIQEVMDQIWILLFTSGQHCLLRVAIDNYYSLSSTEERSSPLSMGYGQQQNFRPRGPVAPQWGPHGPHPGQHPGYDYRQRGPYPSHSPQYPAPAYGNYPPQPAPRSGFGPSWEQRPPMQGPPPQANYNYGQPHGPDYGQSHPYHQPPHGQNYGPGYNDMKYSSQMPTQNQYGGQGMSQPMAYPQGGSHQGYSGHDQYGKPPSYGMHPQASHVQHYNQPRANQPGEVPYQAPPMASTQGYGMNMPPQQQYPYAATGPMQQQTYPPYGSAPASDGYTHPQPPATASGPVYPQQGAQPVSGYGQPVVQQPPAYAQVGQQPAAYGSYPSQPADNSAGYGYQAPADPSYATTQSTATYNAQSTGQPGYAQPAPTQTGYDQSVAQPGGYVSQPTQAAAGYGNSV >itb06g03490.t2 pep chromosome:ASM357664v1:6:5883956:5885941:-1 gene:itb06g03490 transcript:itb06g03490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAACWIAKLSTPCLINALGNYPYYKLKKLLLHEYFKSVGEGFKSGVCLNLETKRMSMKWRDTKNKVDCGVYVMGLRSS >itb06g03490.t1 pep chromosome:ASM357664v1:6:5883956:5885941:-1 gene:itb06g03490 transcript:itb06g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGGRRQTKRSGEAFEAPRRGYAHCGMVVAACWIAKLSTPCLINALGNYPYYKLKKLLLHEYFKSVGEGFKSGVCLNLETKRMSMKWRDTKNKVDCGVYVMGLRSS >itb10g03160.t1 pep chromosome:ASM357664v1:10:2864016:2868364:1 gene:itb10g03160 transcript:itb10g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSYTNLLDLASGNFPAMGREKRRLPRVMTVAGVISELDDDQASSVASDAPSSLIVDRVIIVANQLPVKAKRRPDNKGWSFSWDEDSLLLHIKDGLPDEMEVIYVGSLRVEVDVNEQDDVSQLLLDRFKCVPAFLPPDILAKYYHGFCKQHLWPLFHYMLPFSASHGGRFDRSWWEAYVAANKIFSQKVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYYGRTIGIKIMPVGIHMGQIESVLQLADKEWRVEELKQQFEGKTVVLGVDDMDIFKGIDLKLLAMEQMLLQHPKWQGRAVLVQIANPARGKGKDLEEIQAEIKASIERINNKFRLPGYEPIVFIDEPVSLGERAAYYTVAECVAVTAVRDGMNLTPYEYIVCRQGIPGSVSNSESSAPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEALHEAISMVDAEKELRHEKHYKYVSTHDVAYWSRSFFQDLERSCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSIDAITSAYSRAKNRAILLDYDGTLMPQTCINKVPNNQIISIVSALCDDAKNTVFLVSGRGKDSLGEWFSPCKKLGIAAEHGYYLRWSVDKEWETCGQSTDFGWKQIAEPVMQLYTESTDGSYIEPKDSALVWHHRDADLGFGSSQAKEMLDHLESVLANEPVEVKSGQFIVEVKPQGVSKGLVAERIFSSMAENGRQADFMLCIGDDRSDEDMFEIIGSAINSGTLSSNSSVYACTVGQKPSKAKYYVDDTSEVLTMLEALVEESRSLCLEIPAGSSD >itb10g03160.t2 pep chromosome:ASM357664v1:10:2864016:2868364:1 gene:itb10g03160 transcript:itb10g03160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSYTNLLDLASGNFPAMGREKRRLPRVMTVAGVISELDDDQASSVASDAPSSLIVDRVIIVANQLPVKAKRRPDNKGWSFSWDEDSLLLHIKDGLPDEMEVIYVGSLRVEVDVNEQDDVSQLLLDRFKCVPAFLPPDILAKYYHGFCKQHLWPLFHYMLPFSASHGGRFDRSWWEAYVAANKIFSQKVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYYGRTIGIKIMPVGIHMGQIESVLQLADKEWRVEELKQQFEGKTVVLGVDDMDIFKGIDLKLLAMEQMLLQHPKWQGRAVLVQIANPARGKGKDLEEIQAEIKASIERINNKFRLPGYEPIVFIDEPVSLGERAAYYTVAECVAVTAVRDGMNLTPYEYIVCRQGIPGSVSNSESSAPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEALHEAISMVDAEKELRHEKHYKYVSTHDVAYWSRSFFQDLERSCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSIDAITSAYSRAKNRAILLDYDGTLMPQTCINKVPNNQIISIVSALCDDAKNTVFLVSGRGKDSLGEWFSPCKKLGIAAEHGYYLRWSVDKEWETCGQSTDFGWKQIAEPVMQLYTESTDGSYIEPKDSALVWHHRDADLGFGSSQAKEMLDHLESVLANEPVEVKSGQFIVEVKPQGVSKGLVAERIFSSMAENGRQADFMLCIGDDRSDEDMFEIIGSAINSGTLSSNSSVYACTVGQKPSKAKYYVDDTSEVLTMLEALVEESRSLCLEIPAGSSD >itb09g04800.t1 pep chromosome:ASM357664v1:9:2699581:2707800:1 gene:itb09g04800 transcript:itb09g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIETTESVVVNRKRQRKPSVKVSEAAAASSNGSPETSRRRGRKSARVESSEEDKGKETVPSTAASESTVVEQGEDANSRRSAVTTTRLVARIWGEYFSTESSKKVDDEKWMPYYQSPRKPTSSTSRNEVSWIGRTTRVTSDGNNVLYCGVSINGVSVFPGSVVLVNQPREGRDSTICFVEYMIQSSDGTKIVHGRVMVGAFETVLGNNAHKRELCLIEDCLDFHPCNVTKSLDVKIQPKPWPMKEQEEGRETEYFCRNLYCPDKGGFFSLKTDSIGLGNGHCQSCKTRKSHEEKNTFSYCDSPISFTYQGIHYNALDFLYIPPSHLEESNNGNKSVIEDEDDSMPKAYVICQLVSVDTPSSPAQLNPEDVSIIVRRFYRPEDLNAMDAYLSDIHTVYWSDKTTTVPVTAVKGKCAVWTRKDFVFMDFPYSHEHNFFCEYVVADPDNRPKLLPLLEGCLELKETSRKIEEEKEDEPGSKCVVPRSPLATLDIFSGCGGLSEGLEKSGAAVTKWAIESDQKASEAFKLNHPHAFTVVQNCNVVLRDVMMANGDAHDCIPSTEASSSASKGFSVLNRYKKNSLSDAKRTMILAFLSYVDYFRPKYVLVENVRNLVSFDNMQPFQLTLNSFLEMGYQVRFGVLEAGVYGVAQSRKRVFIWAAAPEETLPEWPEPMHAFPTQNLRIKLDLGANSHYTAVPSTRAGAPLRALTVRDTIGDLPALIDGDNIGTMPYKSDPISWFQKRVRGNHQNILTDHITKKLTETNLIQCLYLSAGQDWRDLPDKKIELPSTGEFVDLKPKWLVKLRDKGYKSNGVLGRLHWEKKFPTAITNPQPGGKVGRWFHPVEHRIISAREYARSQGFPDWYKFVGPLKNMYQQIGILKDKYYQVINIVLPRPLEFAPGKKPKAAIEANNS >itb14g02340.t1 pep chromosome:ASM357664v1:14:1961336:1964537:1 gene:itb14g02340 transcript:itb14g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKFRDLSFVVTVLLLMVVCCNGDDDEGSLMEKSEQEALYYAIQGFVGKHWNGSDLYPDPCGWTPIQGVSCDLYDGLWYVTDLSIGPIHDNSLECAQNAEFSPHLFTLKHLRTLSFFGCFVSPRDHPISIAAQDWELLAGSLESLEFRSNPGVIGQVPSAIGDLKRLQSLVLVGNGLSGDVPGNIGRLVRLRRLVISGNKFITGRIPDSFGGFGSLLIFDLSRNFLSGPLPSSLGNLSSLLKLDLSKNQLSGKIPEEIGNLKNLTLLDLSNNNFSSGLTKSLQEMRSLEELVMSNNPIGGTLLGLEWAHLRATLTVLDLSNTRLSGGIPESITWLERLRFLGLNDNNLSGQVSPRLAALPNVSAIYLNGNNLTGELAFSQGFYGKMGRRLGLWNNPNLCYPFATPTSHVPFGVKSCQQQQITLANTQIGLDANSRLGNGNRNQNGNGNEDSYVVSLGFSTHFDLLRTLVAQLFIALLLLNLEMRIFGV >itb09g08750.t2 pep chromosome:ASM357664v1:9:5209876:5215605:-1 gene:itb09g08750 transcript:itb09g08750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEFCGEQRSIVYCRSDAASLCLSCDRNVHSANALSRRHSRTLVCERCNSQPAVVRCTEERISLCQNCDWMGHSNSGTGSTHKRQAVSCYSGCPSAAELSSIWSFLLDFPSVGDSTCEQGMGSMSITDNHRRDKGTEGKNSSRDLSTIAEGSDEPTENLSTNWMGSSMETLDKRLDNIELPTVSTHPTSPKVCYPGTEDPSIYEDNAFYGDFNMDEVDLSIENYEELFGMSLNDPEHLFENQGIDGLFETEDMSGANSGCKGANAIEGSSIGRVNANQPACSNAASADSVMSCKTEPNPCFARQGQSNISFSNITGESSGGDYQDCGASSMFLMGEPPWGPSGPENSLPSSSRTDAVLRYREKKKTRKFDKRVRYASRKARADVRRRVKGRFVKPGDAYDYDPLNQTRSI >itb09g08750.t3 pep chromosome:ASM357664v1:9:5209876:5215585:-1 gene:itb09g08750 transcript:itb09g08750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEFCGEQRSIVYCRSDAASLCLSCDRNVHSANALSRRHSRTLVCERCNSQPAVVRCTEERISLCQNCDWMGHSNSGTGSTHKRQAVSCYSGCPSAAELSSIWSFLLDFPSVGDSTCEQGMGSMSITDNHRRDKGTEGKNSSRDLSTIAEGSDEPTENLSTNWMGSSMETLDKRLDNIELPTVSTHPTSPKVCYPGTEDPSIYEDNAFYGDFNMDEVDLSIENYEELFGMSLNDPEHLFENQGIDGLFETEDMSGANSGCKGANAIEGSSIGRVNANQPACSNAASADSVMSCKTEPNPCFARQGQSNISFSNITGESSGGDYQDCGASSMFLMGEPPWGPSGPENSLPSSSRTDAVLRYREKKKTRKFDKRVRYASRKARADVRRRVKGRFVKPGDAYDYDPLNQTRSI >itb09g08750.t4 pep chromosome:ASM357664v1:9:5209876:5215603:-1 gene:itb09g08750 transcript:itb09g08750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEFCGEQRSIVYCRSDAASLCLSCDRNVHSANALSRRHSRTLVCERCNSQPAVVRCTEERISLCQNCDWMGHSNSGTGSTHKRQAVSCYSGCPSAAELSSIWSFLLDFPSVGDSTCEQGMGSMSITDNHRRDKGTEGKNSSRDLSTIAEGSDEPTENLSTNWMGSSMETLDKRLDNIELPTVSTHPTSPKVCYPGTEDPSIYEDNAFYGDFNMDEVDLSIENYEELFGMSLNDPEHLFENQGIDGLFETEDMSGANSGCKGANAIEGSSIGRVNANQPACSNAASADSVMSCKTEPNPCFARQGQSNISFSNITGESSGGDYQDCGASSMFLMGEPPWGPSGPENSLPSSSRTDAVLRYREKKKTRKFDKRVRYASRKARADVRRRVKGRFVKPGDAYDYDPLNQTRSI >itb09g08750.t5 pep chromosome:ASM357664v1:9:5209893:5214709:-1 gene:itb09g08750 transcript:itb09g08750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEFCGEQRSIVYCRSDAASLCLSCDRNVHSANALSRRHSRTLVCERCNSQPAVVRCTEERISLCQNCDWMGHSNSGTGSTHKRQAVSCYSGCPSAAELSSIWSFLLDFPSVGDSTCEQGMGSMSITDNHRRDKGTEGKNSSRDLSTIAEGSDEPTENLSTNWMGSSMETLDKRLDNIELPTVSTHPTSPKVCYPGTEDPSIYEDNAFYGDFNMDEVDLSIENYEELFGMSLNDPEHLFENQGIDGLFETEDMSGANSGCKGANAIEGSSIGRVNANQPACSNAASADSVMSCKTEPNPCFARQGQSNISFSNITGESSGGDYQDCGASSMFLMGEPPWGPSGPENSLPSSSRTDAVLRYREKKKTRKFDKRVRYASRKARADVRRRVKGRFVKPGDAYDYDPLNQTRSI >itb09g08750.t1 pep chromosome:ASM357664v1:9:5209876:5215605:-1 gene:itb09g08750 transcript:itb09g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEFCGEQRSIVYCRSDAASLCLSCDRNVHSANALSRRHSRTLVCERCNSQPAVVRCTEERISLCQNCDWMGHSNSGTGSTHKRQAVSCYSGCPSAAELSSIWSFLLDFPSVGDSTCEQGMGSMSITDNHRRDKGTEGKNSSRDLSTIAEGSDEPTENLSTNWMGSSMETLDKRLDNIELPTVSTHPTSPKVCYPGTEDPSIYEDNAFYGDFNMDEVDLSIENYEELFGMSLNDPEHLFENQGIDGLFETEDMSGANSGCKGANAIEGSSIGRVNANQPACSNAASADSVMSCKTEPNPCFARQGQSNISFSNITGESSGGDYQDCGASSMFLMGEPPWGPSGPENSLPSSSRTDAVLRYREKKKTRKFDKRVRYASRKARADVRRRVKGRFVKPGDAYDYDPLNQTRSI >itb05g08710.t3 pep chromosome:ASM357664v1:5:12281396:12289919:1 gene:itb05g08710 transcript:itb05g08710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t8 pep chromosome:ASM357664v1:5:12285011:12289264:1 gene:itb05g08710 transcript:itb05g08710.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t7 pep chromosome:ASM357664v1:5:12284524:12289931:1 gene:itb05g08710 transcript:itb05g08710.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t2 pep chromosome:ASM357664v1:5:12281395:12289931:1 gene:itb05g08710 transcript:itb05g08710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t1 pep chromosome:ASM357664v1:5:12281396:12289919:1 gene:itb05g08710 transcript:itb05g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t4 pep chromosome:ASM357664v1:5:12281395:12289931:1 gene:itb05g08710 transcript:itb05g08710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t6 pep chromosome:ASM357664v1:5:12281352:12289264:1 gene:itb05g08710 transcript:itb05g08710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb05g08710.t5 pep chromosome:ASM357664v1:5:12283360:12289919:1 gene:itb05g08710 transcript:itb05g08710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSELDMAKPSQNAFHFPPPHRRRPLMGETYCTLIHILSHCPAGCEPQPSQQKQESGKETTAHGGLVDQHTEAEIKLVSDIDHEKNKSDAIWQEMGDISDGQIKDCIDLLDHIDDAMEVNGEVPQKNGGNNGISSSLVDHNQASTLVLQLYKEQEDKSNAPEVHVGESDRVQLQNMDKKISVYEACATIESCFMDEDNEVSLLVQGSEEKSHAAEKNLLEGMEHRLQLKEMELQNLISASGAANSSVHDTVVEEIEEGEISGDAEVSDESDSNMFEDTVSLEQGHAHVSITAEKEFILDVLDTKLQEKHVQTSFSNDSANGCSNVCKELLGKKSEVEKSDFEMVVCQKDVETKKIDGFDNVIHSEIVNGQVDGRNVDSFAACLPLKAVSLENATKSTGSVTDTELEVANEGKRKKKRGPITNERRAKKKCKERIKRAQKNIKLGVKRLKLPPIVKPKTVSYCRHYQKGRCQEGEKCKFSHDIIPLTKSKPCCHFARHSCMKGDDCPFDHQLSKYPCNNFVSNGFCSRGVDCLFLHKVPAKTESSATSIVSKAELKSPLLPSSLSQKMQVNAHGSSQHFPGKNTGHTVGETVQKLAVRAPKGVSFLTHEKLPVGETNDKELGSSLKEGDSGKFNREEIHSKLDNTRKPNDVIKGPTSRIPQGINFLSFGRAPLADPSSKTIIPNLLNRDYRIGKLQLGEFSKGKEDTASSPSSGDSCRNEMTTGTPPKSMPRGLSLLSFDKVSSDVTSSTEQAIICNKEYKIGSPVVQGIQGSLSRLGGSREIQFMFASSSFPSNQLLGQSAVEHSEGLPNSSKTTFLANIPSSAQRSLLSTLAFAAKFESGIKLDQSIGGASVASSNIKEHR >itb13g19980.t1 pep chromosome:ASM357664v1:13:26847076:26848002:-1 gene:itb13g19980 transcript:itb13g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTNKSKSSSSSSGKSRKSASGQQAEPSGCRYLGVRRRPWGRYAAEIRDPNTKERHWLGTFDTAEEAALAYDRAARSMRGKSRARTNFVYSDMPPGSSVTSIISPDEPQYAVYNNDFPHFPGGLFSAPAQNVEQHYQSGNGNHTGADQFLLFGADQSGGGADLLDIGGINGGCEFFQDYYCNGNDNGVDESNNAAAMAMAAELPPLPPDITTSCSGGYFGSGFGGEATSSGDNAAYTTVMDGAVSASAGWDYYGYGGGGSTEMAMQPQAPAELQMNYGSSESDGINMFTTNSYSFSTSNNYGGYWL >itb02g00660.t1 pep chromosome:ASM357664v1:2:392155:393692:-1 gene:itb02g00660 transcript:itb02g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase-like subunit PDX1.2 [Source:Projected from Arabidopsis thaliana (AT3G16050) UniProtKB/Swiss-Prot;Acc:Q9ZNR6] MAEDGAVTVYSGSAITDAKKNPFSIKVGIAQMLRGGAIVEVTTVDQAKIAESAGACCVIVSEPDSRGISRMPDPALIKEIKRAVSIPVMAKSRVGHFVEAQILETVGVDYVDESELLAIADEDHFINKHNFRVPFVCGARDLGEALRRVREGAAMIRTQGDLTGSGNIVDTIRSVRKVMGDIRVLNNMDEDEVFTFSKKIAAPYDIVVQTKQMARLPVVHFAAGGIVTPADAAIMMQLGCDGIFLGPEVFNCSDPYKKVWAIVQAVRNYNDPHVLAKASSGLEDAMAGLNIVRAGSGN >itb06g20360.t1 pep chromosome:ASM357664v1:6:23349941:23352263:-1 gene:itb06g20360 transcript:itb06g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPHPTVQFISECYITPKPSSEAPKKPVHFSVWDLAMLSVHYIQKGLLFVKPPSFDLTSFLHSLKHSLSLTLVHFYPLAGRLSTAKGEDGAGYTVFIDCENSSGARFVQASVDLTVADILSPRDVPRIVQSFFDHDRAINHDGHGRSLLTIQVTELRDGVFLGCSMNHMVGDGTSFWNFLNTFSEIFRVNNHQNPISRQPIHDRWFPENHGPVLNLPFTHHDQFISRHEAPPLRERVFHFSPESLAKLKARANQECNATNSKISAEFGPSSLQSLSAHVWRCITRARNFPATTITNCRMSINNRSRLNPPLSNHYFGNCAQTVRGTAAAGELLEHGLGWAAWKLHRAVASHDDAVIREWVNTWFESAFVYQLGDFFDPCSVMMGSSPRFNMYGVEFGLGKAVAIRSGYANKFDGKVSLYPGIEGGGSMDLEICLSPQSMGALESDMEFMDTVG >itb06g20360.t2 pep chromosome:ASM357664v1:6:23349941:23351650:-1 gene:itb06g20360 transcript:itb06g20360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLISNDRAINHDGHGRSLLTIQVTELRDGVFLGCSMNHMVGDGTSFWNFLNTFSEIFRVNNHQNPISRQPIHDRWFPENHGPVLNLPFTHHDQFISRHEAPPLRERVFHFSPESLAKLKARANQECNATNSKISAEFGPSSLQSLSAHVWRCITRARNFPATTITNCRMSINNRSRLNPPLSNHYFGNCAQTVRGTAAAGELLEHGLGWAAWKLHRAVASHDDAVIREWVNTWFESAFVYQLGDFFDPCSVMMGSSPRFNMYGVEFGLGKAVAIRSGYANKFDGKVSLYPGIEGGGSMDLEICLSPQSMGALESDMEFMDTVG >itb11g05670.t1 pep chromosome:ASM357664v1:11:3348785:3353696:-1 gene:itb11g05670 transcript:itb11g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLLAVLVLGLLTVTVKCERPAVVNVGAVFTFNSVTGRAAKTAMEIAVSDVNGDPTILNGTKLNLIKVDANSSAFMGSIGAFQVIDKQAVAIIGPESSAIVHMISFISTGLEVPLISFSASDPSLSASQFPFFVRMTQSDSVQMKALADLIRYYEWKEVIAIFVDDEYGRNGISYLSDELSKGMSKIHFKFPLSVNFDLDEVTRVLNRSKFLGPQVFVVHMYTDAKLRFFEVAQKQNMMSSNYVWFVTHWLSSSLESSSPGNQSSLRVLEGVVGLRPYIPMSVQKTAFVSRWKQMQQKNFVHSELTTQAMYAYDTVWAVALAIDKLLHEGNNLTFSFNNNLHDMGRTNTQIDRFKVFEGGENLLKFLTQINFPGLTGQVHFDALQNLIGSGFEVFNVVEGQIRTVGYWSEFSRLSTSPPPRNPPKSNQTTKSELDQKLGKVRWPGGESTRPRGWLLANKEKPYKIVVPRRTGFTEFVRVNASNGIEGYCVDVFNEATNLLPYDIHFKFEPYGNGVSNPTYNDLVKMVVDDKYDAAVGDITIVTNRTRIVDFTQPFVDSGLVIVAPIDNSKSSTWVFLKPFTAEMWIVTGLSFLVIAVVIWILEHRVNDDFRGPPKRQLKTMLLFSFSTLFKTNSENTISTLGRMVMLVWLFLLLVVTSSYTASLTSILTVQQLSSSITGMDSLTASNSPIGYQVGSFVYAYMRDNFNIPPSRLVQLRSPEEYESALRLGPGKRGGVAAIIDELPYIELFLANRTDFGIIGQPFAKKGWGFVFQKDSPIAVDLSTSILKLSESKKLYEIYKKWFCRPDCPSERARTPEPDELQLSSFWGLYLLCGAITVIALLIFLLKTVRQYIRYKRTQMDPSSPSDMGFSKVVYNFFDFIDEKEEAIKNFFSQQDSS >itb13g02180.t1 pep chromosome:ASM357664v1:13:2014528:2020893:1 gene:itb13g02180 transcript:itb13g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFTPTTPETPIDNNELVVHSEMMADHEILPDEMMLNNEIVPMEMQENSLGTPLNGSEMQPKKRRKKKSMVWEFFTIEAVGNGCRRACCKQCKQSFAYSQGCKVAGTSHLKRHIAKGTCAAVLRNQLNNNPYSAPAKMRGYRGTTTTAATTTTTDTPKRRYRTATTPFIAFDSDRCRQEISRMTIMHDYPLHMVEHPGFVAFVQSLQPRFDMVSFNTVQGDCVATYLREKQAIHKVIEGMPGRICLTLDMWSSHLRVGYMFITGQFIDSDWKIHRKVLNVIMEPYPDSDTAFSHAIAVCLSDWSIEGKLFSVTINQPLTDSAIDNVRALLSVKNPLILNGQLLVGNCLARTLSSIAVDALNFAHEAIKRVRDSVKYVKTSESNEERFLELKQQLQVPTTKNLSLDDQTQWNTTFEMLIAAYELKEVFSCLDTSDPDYKGGPSAEDWKQVETLYNYLKILFDTANLLSAPTIPTTNTFFHEAWKIQLELARAAVSEDPFVSGLTKSMQEKFDKYWKSCCLILSIAVIVDPRFKMKLVEFSFSKIYGDDAATYVRIVDEGIHELFQDYVALPLPLTPTYIEEANGGAVNTQDAQELSTNELGLTDFDAFIMETSSQQVSLHDDRAPIKSFFEALSSLREFLEIFHFRGAAIEDLEIKIRDFALKSEDDIEMQLSDFLLSRDTDHREEASQKLCQTLLEAAENAAELLKRRENASRKLHQTLQQVAENAAELLNIINSTTNNVKGDGSLRCSPKFEDRMVGRQNDVRTIKNQLFSGFGGLKVIPIIGMLGIGKTTLARRIFEDQLVASHFQVKCWITIPQKYNDSQMLRDILLSIIPAKPHESRKGITPGELAELVRECLMHRRYLIVLDDIWSTQHWEDIKRCFPSSENGSRVLLTTRFYEVADYACSIKGNHHVMSLLDSNESWDLFRNIFPLEKYSAPRFENFRSHLFHVVEKCDGLPQTIVVVADRLSKCKNNIQHELKKIEKELESLGILGHSALTIICSQLPEELKVCFLYLGVFPKRSEIQVKTLLRLWIAEGFVKPSKHEELEKNAYGYLEGLDDVVSTNLNLQTLVVSGSELQLGAPTRHLPSTIWESPQLRHLELGTLYTVDPPSVVKENLQTLSWVGPIHCRKDVYLKFPNIKKLKIFCKEDLEPSHTCGSCSNPIILGNLDYLVELEMLKISVPVGSSVTLPEQSVFPLQLKKLRLSGINLSEKDLTVIGTLQWLEVLKLENAFYGEVWEVVEGGFYRLRFLFLEAKKLERLKVYIDSFPCLERLVLKSCSCLEEIPSSFGEIFTLQSIELDRHSHPSVIASAKDIQAHLLEYYGKGNFEVRNHG >itb08g04800.t1 pep chromosome:ASM357664v1:8:3977903:3979625:1 gene:itb08g04800 transcript:itb08g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMSQKKDVDRIRGPWSPEEDELLTRLVEKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTAEEDETIIRAHAKFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCGSGSEDSGFEPPRKRSASVGAAGVNVSGFYVSPGSPSGSDLSDSSLPQVFRPVARAGGVFTTPVPQIETDSDPPTSLTLSLPGSDSSETPTSQLDSPHIVQPHAPPSQSHAPPPPPPPISFSPVVASSPSQPPPPPPAPQSFEFLPAPPPQTAEKPLFSPEFLAVLQDMIRKEVRTYMSGVEKNGLCMPTEAIRNAVVKRISLSRIE >itb09g07530.t2 pep chromosome:ASM357664v1:9:4416636:4423694:1 gene:itb09g07530 transcript:itb09g07530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNSATGDQPPLQIIPSDDDNAAVATSRIRSVTCPSCGHSIQLESQGIHDLPGLPAGVKFDPSDQEILEHLQAKSVSDSRKLHPLIDEFIPTIEGENGICYTHPEKLPGVNKDGQVRHFFHRPSKAYTTGTRKRRKVHTDADGGETRWHKTGKTRAVFGEANLRGYKKILVLYTNYGRQRKPEKTNWVMHQYHLGHDEEEKDGELVVSKVFYQTQPRQCGSSSSSSSKQPLNKTSSVSFSRRDDNNFVDYYNPPFISSYHVGSQNPDAQPHQLIPSLVVHADTSSFINLSSNSSKDK >itb09g07530.t1 pep chromosome:ASM357664v1:9:4416636:4423694:1 gene:itb09g07530 transcript:itb09g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNSATGDQPPLQIIPSDDDNAAVATSRIRSVTCPSCGHSIQLESQGIHDLPGLPAGVKFDPSDQEILEHLQAKSVSDSRKLHPLIDEFIPTIEGENGICYTHPEKLPGVNKDGQVRHFFHRPSKAYTTGTRKRRKVHTDADGGETRWHKTGKTRAVFGEANLRGYKKILVLYTNYGRQRKPEKTNWVMHQYHLGHDEEEKDGELVVSKVFYQTQPRQCGSSSSSSSKQPLNKTSSVSFSRRDDNNFVDYYNPPFISSYHVGSQNPDAQPHQLIPSLVVHADTSSFINLSSNSSKDK >itb12g17410.t1 pep chromosome:ASM357664v1:12:19487014:19489287:-1 gene:itb12g17410 transcript:itb12g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >itb12g13650.t1 pep chromosome:ASM357664v1:12:12652375:12654446:1 gene:itb12g13650 transcript:itb12g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRSCSQSVVPLNHARLCVIQVELAEGQVLLPSQLQRKPLELLDLATNNSLFLECVMGEVPCQISLCLQQGQQAQRQGGRRGAGSGQQAQLPMPLMQQQMLPRGRMYRFPPSSFSSFVKEIHHELKDGLPHEGTVTSMEPNEGTFILRSEIAKRKTKPVHVVDVPGHSRLRQKLDEFLPQAAAIVFVVDAVEFLPNCRAASDNSGTIVSNHVLLQFVASATSDLSLCIENDSVTGFALQELM >itb12g16050.t1 pep chromosome:ASM357664v1:12:16555434:16555721:-1 gene:itb12g16050 transcript:itb12g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWCWFRFDKGRMPGRRSSTVGSRRVRFGSRARTINRRCTILAATYVFLDLTDSSTLKGGPQARGSTKQKVSALRNFLGLAVKERARHSFIHEYS >itb10g06510.t1 pep chromosome:ASM357664v1:10:7340667:7350251:1 gene:itb10g06510 transcript:itb10g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNGGDTETPKWLYDVFLSFRGEDTRKGFVDHLYATLHEKGIHTFRDDEELKRGKSISPELDNAIKGSKFVVVIFSPNYANSSWCLGELVKAVEYAEKQKPAKTLLPVFYGVDPSDVRKQRGTYKEAFDKHIEAKFPEEEIEKWRNALFTVANTSGFDVNNMEDGHESRCIRDIAVKILNQLGSRFSVSADTIGIEPQVENVMSLLTTGPDDDVRIIGIWGMGGIGKSTIARAVFNQICQSFEGSCYLDSVREVSAKTGLDSLQEKLLSETLKEGREKLHTGIDLLMARLSHKKVLIVLDDVDKDEQLEKLAPDYNRFGKGSRIIITTRNSQLLLAHGINPIYDVNLLGAETASKLFNKFAFKDGSPTEEFKEVSLQVVNYAGGLPLAIKVLGSFLHGREKNEWESELERLKAIPRDDIIGKLKLSFDALHDLEKEIFLDIACFYKGKRTQDVWRKLNSFGFQPDIGVKVLIQKSLLYVSDEKFQMHDLVQEMAWYIVRKDHSREPWKFSRLWIPEDICEVLSKESGTETIESIVLDFPQRTKVKINARAFVHMDRLRQLEIHNAKQSLMSKGPSFLPQELQWLSWHKYRSEFLPESFQGEKLVGLELCRSSITKLWQEDKYLDKLKYLNLSYSDKLICTPDFSKIPNLERLDLSNCTSLATVHESIGALKKLVYLNLSHCVEVKSLPSTIHLESLETLLLWECTKLENFPEIVGSMPKLSELHLEGTAIEELPSSIINLSNLVLINLSNCTNLSSLTYSICGLKCLKTLNLRRCSSLEKLPETLGQVDSLEELLVDGTAITQLPPSTTLMKNLKVLSLGSHVKAKGKKTKGKKSDSQTWGIKALSIGNVLPIPKLSLTNKKDPEPPIRPSLTGLSSLRKLDLSYYNKLEEIASDISCLFTLEELNLSGNEFEDFPTTISRLNRLKILKLEKCTNLVSLPDLPLNIALIDADECESLQSVANLSAEHANLWKVSLFGCSKLCEANKNTADLLLNSLLQGNSTRNRRFSILIPGGKIPEWFSDQKMGRSVSIPLPPDWHANFVGFAISVVLDPMAQNSRIGITFKLISQNHREYTTDSISSATMTGEICESGHVWMGFLSFRLFQLLFPDIGVDDWTKIFGCLTISVRNEPWNKPKRCGIRLVYKEDIVKETKTVSTKDNEQSGNLALVVYTGKPGGTEKTKEDEEDISVLTSGVNELGWEVDPIEDDVTQLDNLRRHLPFKIQKTISFDC >itb10g06510.t2 pep chromosome:ASM357664v1:10:7347356:7350215:1 gene:itb10g06510 transcript:itb10g06510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSELHLEGTAIEELPSSIINLSNLVLINLSNCTNLSSLTYSICGLKCLKTLNLRRCSSLEKLPETLGQVDSLEELLVDGTAITQLPPSTTLMKNLKVLSLGSHVKAKGKKTKGKKSDSQTWGIKALSIGNVLPIPKLSLTNKKDPEPPIRPSLTGLSSLRKLDLSYYNKLEEIASDISCLFTLEELNLSGNEFEDFPTTISRLNRLKILKLEKCTNLVSLPDLPLNIALIDADECESLQSVANLSAEHANLWKVSLFGCSKLCEANKNTADLLLNSLLQGNSTRNRRFSILIPGGKIPEWFSDQKMGRSVSIPLPPDWHANFVGFAISVVLDPMAQNSRIGITFKLISQNHREYTTDSISSATMTGEICESGHVWMGFLSFRLFQLLFPDIGVDDWTKIFGCLTISVRNEPWNKPKRCGIRLVYKEDIVKETKTVSTKDNEQSGNLALVVYTGKPGGTEKTKEDEEDISVLTSGVNELGWEVDPIEDDVTQLDNLRRHLPFKIQKTISFDC >itb13g22220.t2 pep chromosome:ASM357664v1:13:28551706:28557735:1 gene:itb13g22220 transcript:itb13g22220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNSAATALYDHPSNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGVDNRLLPNLLMQGYGAQSVEEKQRIFRLMRNLNFNGESGSEPYTPTAQNSGGIPVSEGLYSPEFRGDFGAGLLDLHAMDDTELLTDNVISEPFEPSPFMPAVNKAFDNDFDVPNSLPQKSQIDSDVSTSVPGSEKENNTRENNVAKIKVVVRKRPLNKKEVSRKEDDIVTVSDNSCLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNNEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHHPMYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHKEVKESRRNNDGNESKNGKVVGKLSFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNTKKEPSTSTSTLPSLNKEISSAPSLAISAEEEDLIEQIQESKIPFLSRRVVEKESSSYNISNGSDRQPASFPSNSTFNGQEGGGATSGVNGSDRLEAKNAYGGPSSTEERVQKVSPPRRKANRDEKTEIPERPGNSLKKDASNLDLSSKGYKELNLSSLNIRSIGSKQDSPSQDDNVNEILEEEEALIAAHRREIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLNFVLSRKAASLVSLQARLARFQHRLKEHEILSRKRPPPR >itb13g22220.t1 pep chromosome:ASM357664v1:13:28551706:28557735:1 gene:itb13g22220 transcript:itb13g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNSAATALYDHPSNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGVDNRLLPNLLMQGYGAQSVEEKQRIFRLMRNLNFNGESGSEPYTPTAQNSGGIPVSEGLYSPEFRGDFGAGLLDLHAMDDTELLTDNVISEPFEPSPFMPAVNKAFDNDFDVPNSLPQKSQIDSDVSTSVPGSEKENNTRENNVAKIKVVVRKRPLNKKEVSRKEDDIVTVSDNSCLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNNEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHHPMYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHKEVKESRRNNDGNESKNGKVVGKLSFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNTKKEPSTSTSTLPSLNKEISSAPSLAISAEEEDLIEQIQESKIPFLSRRVVEKESSSYNISNGSDRQPASFPSNSTFNGQEGGGATSGVNGSDRLEAKNAYGGPSSTEERVQKVSPPRRKANRDEKTEIPERPGNSLKKDASNLDLSSKGYKELNLSSLNIRSIGSKQDSPSQDDNVNEILEEEEALIAAHRREIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLNFVLSRKAASLVSLQARLARFQHRLKEHEILSRKRPPPR >itb02g17790.t1 pep chromosome:ASM357664v1:2:13999494:14002630:-1 gene:itb02g17790 transcript:itb02g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKAEDVKKPEEGPPAADGDKKTEAAEESKDAPPPPPPPLPQEIVLKVYMHCEGCARKVRRCLKGFDGVEDVVTDCKSHKVVVKGEKADPLKVVARVQKKSHRQVELISPIPPPPADEAKKPPEEAVKPEEKKEEPQVITVVLNVHMHCEACAQEIKRRIQRMKGVENVEPDFKGSLVTVKGVFEPPSLVEYVAKRTGKRAAIVKVEPEKKAEEAAAGEAGAEEKPKEGKEEKAAAGEGEKEEKKGEGDEAGPKEKKEGGGEGGGGAEEAEAALAKAGATVVEVEEDPKLEMKKNELFYYYYPPQNYYHHNPAPLSFAQEMYGYGYGSGSGSGYGYPPHPPQMFSDENPNACSVM >itb10g24230.t1 pep chromosome:ASM357664v1:10:28169780:28171415:-1 gene:itb10g24230 transcript:itb10g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSPPLHVYFLPLLAPGHMIPMVDLARQFARQGVKATFLTTPLNAPQISKSIDRERELGSQITIRLLEFPSKESGLPEGIENLSATTSLEQTVSFFNAHDYFQGPIENLASEDRPDCIITGPMYTWSNDFAAKFGITRLSFWGTGFFPMCAHNSIRRHKPHEKIESDTEEFVIPDLPDTLKITKKQIPEPLKGDPENSVNELQEKLMKGEEGSYGMIVNSFYELEPAYVKHFREVIGKKSWHVGPVSLCNKEDGEKSQRGQAASIGEEECLNWLNSKTPNSVVYVCFGSMSIFSAAQLREIAAGLEASGQPFIWVVKQNTEWLPEGFEKRMQDRGLIIRGWAPQVLILDHVAVGGFVTHCGWNSLLEGVTAGVPMVTWPLSSEQFFNEKMATQILKIGVPVGAQAWTRRTDSSAPINRENIEIAVKELMVGEEAEERRSRAIALGNMAKKAVEPGGSSDTDFSSLLEELRKNRNKN >itb04g32360.t1 pep chromosome:ASM357664v1:4:34958544:34961197:1 gene:itb04g32360 transcript:itb04g32360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVYSSRNSPSDSSSSELEKMKALTDNKKAVNRGSPPPKKSKVMFKAKSEVVKKEYMCVDEKSLAMRRAQELQANLSPEFPSVVKFMLHSHTSGGFWLGLPKKFCTSHLPNHNAAIVLVDENGEEMETRYLVEKSGLSAGWRGFSQAHKLIAGDVVVFQLIEPLKMKVYIVRANVLTDVAGAISLLNLSQSAQPIANEALLQQSEDNKDNVVRICDAADQITRIHRQGNDQPREISTSDHCPESNVSGNDIDNSSPQTSSNGLRFAEAVVDFAQVEDFEGFNIVVNNVIIDSEIPEAHRLKYYELCCSRKAYLHERLLDGVNYKLIAGAITETVNIADAIRAAKLTTGRDVLEVWDVTLKGIEYFGIQVGFLRDRISKLVRLSGDNVVASKKKEKALAEVEMMDLRGKMWRVREVINNLDAEILAYSSKDKVEELFKDAANAAW >itb09g30440.t1 pep chromosome:ASM357664v1:9:31066472:31070467:-1 gene:itb09g30440 transcript:itb09g30440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESVIRMSSSSSSTASSLCSFRRSRAPISRPIPSQHQRRRCSAARGQPLASEFFGSVRLSSAAAPRNLTLGKHSRKNGFSVFAMAADEEKRTVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQIPIGAEDNFKGVVDLVQMKAIVWSGEELGAKFAYEDIPADLKDQAEEYRALMIETIVEEDDDAMEKYLEGVEPDEATIKTLIRKGTISGSFVPVLCGTAFKNKGVQPLLDAVVDYLPSPVDLPPMKGSDPENPEVIIERAASDDEPFTGLAFKIMSDPFVGSLTFVRVYSGKLSAGSYVLNANKGRKERIGRLLEMHANSREDIKTALTGDIIALAGLKETITGETLCDPDTAVVLERMDFPDPVIKVAIEPKTKADIDKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKLSEVKYTHKKQSGGSGQFADITVRFEPMEAGGGYEFKSEIKGGAVPKEYIPGVMKGLEECMSNGVLAGFPVVDVRATLVDGSYHDVDSSVLAFQLAARGAFREGMRKAAPRLLEPVMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLATKEEAVSA >itb03g22820.t2 pep chromosome:ASM357664v1:3:20940921:20944713:-1 gene:itb03g22820 transcript:itb03g22820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLRSIAKSFEQQLLKQSKPYISSQLITHLSSLNSNSQVQRWQFQNRRTLILEPVTSQSIKLEQLSDSDSGILELKLDRPDRKNAIGKAMLRELRHVFDRINNERLANVLMISSSVQTAFCAGADLKERKTMTPLEVQEFVNTLRSTFSMLEALSIPTIAAIDGAALGGGLEMALCCDLRVCGEAAVLGLPETGLAIIPGAGGSQRLPRLVGKSVAKDLIFTARKIDGKEALLMGLSYFGIWLYFCLVPRFPVLTKTIFHAGLVNYCVPAGEARLKALEIAQEINQKGPLAIKMAKRAIDKGFEVDLESGLALEEDCYDQLLNTKDRLEGLAAFAERRKPRYTGE >itb03g22820.t1 pep chromosome:ASM357664v1:3:20940921:20944713:-1 gene:itb03g22820 transcript:itb03g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLRSIAKSFEQQLLKQSKPYISSQLITHLSSLNSNSQVQRWQFQNRRTLILEPVTSQSIKLEQLSDSDSGILELKLDRPDRKNAIGKAMLRELRHVFDRINNERLANVLMISSSVQTAFCAGADLKERKTMTPLEVQEFVNTLRSTFSMLEALSIPTIAAIDGAALGGGLEMALCCDLRVCGEAAVLGLPETGLAIIPGAGGSQRLPRLVGKSVAKDLIFTARKIDGKEALLMGLVNYCVPAGEARLKALEIAQEINQKGPLAIKMAKRAIDKGFEVDLESGLALEEDCYDQLLNTKDRLEGLAAFAERRKPRYTGE >itb15g02600.t1 pep chromosome:ASM357664v1:15:1600656:1608346:1 gene:itb15g02600 transcript:itb15g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCIAVSLIVVAVFSFGGCAAVKFTSDFGRQCLVCSNNGLRKDVLAFNRSIRSLRQLPVLTSYRLIENGVRGLMLDMYDFSNDIWLCRSSCGKCIKHILPVITVLREIKVFLEANPSEIVTVMIEDYVTKPNGITNVFRAAGLGPFWFPVSLMPKSGEEWLTVDEMIEINARLVVFSSESTKESSEGIAYKWRYFVENEYGDYGMISGSCTKRAESSPLNTRTMSLVLMNYFPSAPNPDQACKHNSKPLKSMMYTCFEAAGKRWPNFIVVDFYKRSFGRGAAEAVDEANGQLLCGCANITHCKDNMTFGTCELPQPGVPSQPGVPSQPGVPPQPGVADTFIIARERERERVELWDMSRLAPLSEVPNGDEAEEMSTNCSKKSQTWRNWLRTHLSLFSMHKKSDLRILLSVLACPLFPVSVLPKLPISQVSSSAEYIIQHFAAATGCRKLEGMLKNMYVSGKVAMSMVDELDSTSSSVTEKGCFVMWQMFPDKWLIELAVGGHKIVAGSDGNVAWRHTPWLGAHAAKGGVRPLRRAFQGLDPMAISAVFSEAEYIGEKHIMGTDCFVLKLYANHEDLAERSDNTAEMIKHVMFGYFSQRSGLLVCLEDSYLTRIQYPGSSPTYWETTMCTRMEDYRGVEGVMIAHSGYSSVIITRFGDNLRLGPAVTRMEESYVIDDLAFNVPGLSFDCFIPPEHLQKDYPEEHIEFWRSPWHQ >itb15g01520.t1 pep chromosome:ASM357664v1:15:907841:908640:-1 gene:itb15g01520 transcript:itb15g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQANVIRVMKTDGKILEYKAPMKVYQVLSEFANHAISDSLPVVQHLRADTEMVGGRLYYLLPLPVPRPKPAKKKVKFADQVEEADQQQGTGVVRVKIIISKQELQALLSKDQISVDDMVRHLQKEKSSNELNRCAADEEANCKGWKPMLGSIPELD >itb06g04150.t1 pep chromosome:ASM357664v1:6:6722818:6725119:1 gene:itb06g04150 transcript:itb06g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHVIAEPLPVLDEELEDEAQINVEIEAAKIKPGAMVVVSISEDNLVATLHKISRLEDHIASKFAANEDPAPAASLVVVGSAAKKEEWLVDGNKGWRSDGAKSRHSKDGDKS >itb09g14080.t1 pep chromosome:ASM357664v1:9:9295229:9298060:-1 gene:itb09g14080 transcript:itb09g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTATSTALISSKPRATISPNSFANHSHTLAVPSSFTALRHCKPFVSRVPRLLSSRSAQPKHRSFVVRASSELPLVGNQAPDFEAEAVFDQEFINVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFQKLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSYNVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAVL >itb04g10580.t1 pep chromosome:ASM357664v1:4:10148742:10154708:1 gene:itb04g10580 transcript:itb04g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSNKDGNGVKEVAKKESFKDGSAAQPNHVNKLSAEKSKSKNSNDSKKEPAIAKDGSTANIAAQTFTFRELAVATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAQGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAASAQSNRVGGPSTPRSREDRRTMSDGVGVHSPDDPGHGGYHGSPSIHKNSPDYRRRDSGRDYNNSGAELRRIETGGGSARKWGLDESERPDSQRDSPMSAGRGKDTPRNRDLERERAVALAKVWGENWRDRKKGNNAMGSFDGTND >itb01g07520.t2 pep chromosome:ASM357664v1:1:5938729:5943791:-1 gene:itb01g07520 transcript:itb01g07520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASDDSADIEKLYEFNERLSSAKDKSEYGKDYESIIVAANASVKAKQLAAQLIPKFFKYFPQLAEQAFESHWYLCEDEELAVRIQAIRGLPFLCKDTPEYVPKVVDILAQFLGAGENVERDAVQKALMTLLRQDVKTCLTALFKHIGNTEDRSAEELSTWESIREKVIHFIRDKVFPLKVELLKPRDEMERHITNLIKLNLQDVTAEEFNMFLNFLKSLTLFGQNATAECVQELVEIIEGQADLDAQFEVSDADHIMRFISCLCMAIPFFKRGASSSKFLNYLNKQIFPVFDKLSEERKLDLLKDVADCSPYASPQDSRQLLPSIVELLKKCMVRRKIEEINFTYIECLLYAFHHLAHKTPNATNSLCGYKIVTGQPSDRLGEDFSEQYRDFTERYYLLVSASFKYINF >itb01g07520.t1 pep chromosome:ASM357664v1:1:5937082:5943791:-1 gene:itb01g07520 transcript:itb01g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASDDSADIEKLYEFNERLSSAKDKSEYGKDYESIIVAANASVKAKQLAAQLIPKFFKYFPQLAEQAFESHWYLCEDEELAVRIQAIRGLPFLCKDTPEYVPKVVDILAQFLGAGENVERDAVQKALMTLLRQDVKTCLTALFKHIGNTEDRSAEELSTWESIREKVIHFIRDKVFPLKVELLKPRDEMERHITNLIKLNLQDVTAEEFNMFLNFLKSLTLFGQNATAECVQELVEIIEGQADLDAQFEVSDADHIMRFISCLCMAIPFFKRGASSSKFLNYLNKQIFPVFDKLSEERKLDLLKDVADCSPYASPQDSRQLLPSIVELLKKCMVRRKIEEINFTYIECLLYAFHHLAHKTPNATNSLCGYKIVTGQPSDRLGEDFSEQYRDFTERLSTTEDLARAMNKKLTQGMAEHNKAMAAAKTEEEKDAIKRKKQEATSSLRACNNILTMCSPLHIKTPKFIGDQKLNLSWREVSKPSGPPVSTVAGQKRSAAANGSSSIMAKKGRGGGMQNPLLSKAFEGLHDGGRGGGRGGRGWHSYGRGRGRGRGSFR >itb07g16870.t1 pep chromosome:ASM357664v1:7:20824319:20825687:-1 gene:itb07g16870 transcript:itb07g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITKTHESTDQMLSSKRSFLNGKVAVITGGARGIGAETAKLLAGNGVDVIIADILDELGAPLAESIGGRYVHCDVSKESDVESAVKLAVEWRGKLDIMFNNAGIAGPGGSIADLKMDQLASLVAINLNGVVHGIKHAARAMIAGGKGGAIICSSSSAAIMGGLASHSYSLSKSAILGLVRSAACELGQHGIRVNCVSPHGVPSEMLVSAYRMILGKTDVMADEVGKIVGERGSLLRGRGGRMEDVAQAVLFLASEDSGFVTGHNLILDGGYTSAVSQMSFIYQD >itb01g25680.t1 pep chromosome:ASM357664v1:1:31044564:31046685:-1 gene:itb01g25680 transcript:itb01g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDENLLSQIKDGFADGKDLVVSVMSAMGEEQICALKDIGPKS >itb14g06500.t1 pep chromosome:ASM357664v1:14:5737386:5740127:-1 gene:itb14g06500 transcript:itb14g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAEPTMEETILVGDDLMMGPPSPIIPPEIASHVLEGVEMCDGILRNLFLCLQINEIEPFCQDEIILYQQCAEKRDKELRRRIQDSEHKLGLSMPLDLAKERAAQLESEVTSLDRRLILASGVEGMEGFRQRWSLHGRLMDTKKRLESLKQGMESRKEDEPTRNSPTRKKWFFW >itb11g07880.t1 pep chromosome:ASM357664v1:11:4970180:4972301:-1 gene:itb11g07880 transcript:itb11g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKESGCNSRYEYSFKILLIGDSGVGKSSLLLSFISHPYFSHHLSPTIGVDFKIRLLNVGDKRVKFTIWDTAGQERFGTLISSYYRGAHGIILVYDVTRPETFANLTKIWAKEIERYSTNQDCIKILVANKVDRDDERVVATSEGMAFAREHKCIFYECSAKTSFNVQQCFQELTLKILEVPSLLEKGSAVVKNHILKEKQDCQAQQYNKSNCCS >itb13g19890.t1 pep chromosome:ASM357664v1:13:26789244:26795271:-1 gene:itb13g19890 transcript:itb13g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] MAGEGDRRPSDPPLSPNDGNGNPASTPDSPTSVGFNTDQLPYNTSHASEPYSDEDEASVDPEIIRDEPDDVVDVEEEGEDLFHDNFLDDYQRMDEQDQYESLGLDDSVEDERGFDQIMADRRAAEVELDTRDARVSHRKLPQLLHDQDTDDENYRPSKRTRADFRPPTTPRSADDSDAVQSSPGGGGARWRGGGSSPGDVPMTDQTDDDPYEDEDNDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFLRTYVNPKTMQEDYLKQINEMVSVNKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVANKVVFDLHPNYKQIHQKIHVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKFDCNKCGSVLGPFFQNSYSEVKVGSCPECQSKGPFTVNVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVIEANYVTKKHDLFSVYKLTQEDKEEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAISLALFGGQEKIVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIITSLQARCSVIAAANPIGGRYDSSKTFSQNVELTDPIISRFDIMCVVKDVVDPVIDEMLATFVVDSHFKSQPKGANLDDKSMNNSQDDLQSNVSLDPEIIPQDLLKKYLTYAKLNVFPKLHDADLDKLTQVYAELRRESSHGQGVPIAVRHLESMIRMSEAHARMHLRQHVTQEDVDMAIRVLLESFISTQKFGVQKALQRSFRRYMTFKRDFNAIVLHLLRGLVKDAIHMEEIMSGSAANLSHITVKVDELQSKALDYGISDLREFFTSAEFSRANFELDEARGVIRHRLSS >itb15g17650.t1 pep chromosome:ASM357664v1:15:18656718:18660379:1 gene:itb15g17650 transcript:itb15g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MSGAGEKQNDGERCPVEEVALVVPETDDPTLPVLTFRAWFLGIISCIILIFLNTFFIYRTQPLSISAILMQISVLPIGKFMAATLPERKFTLFGRWSFTLNPGPFNIKEHVIITVMANCGVSPGGGDAYSIGAITIMKAYYKQAVSFLCGIIIVLTTQLIGYGWAGVLRRYLVDPVDMWWPSNLAQVSLFRALHETEVKSRGMTRMRFFLIVMGASFLYYTFPGYLFPILTFFSWVCWVWPRSITAQQIGSAYHGLGVGGFTLDWAGISAYHGSPLVSPWSSILNVAVGFVMFIYIIVPLCYWKYNTFDARKFPIFSNQLFTSTGHKYDTTKVLTPQFDLNVAAYESYSKLYLSPLFALSIGSGFARFTATLTHVALFNGSDIWKQTRSAAQNVKMDIHTKLMKTYKQVPEWWFLILLLGSTCLSLVMCFVWKETVQIPWWALLFAFALAFVVSLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLLFKIYGRISTIHTLSFLADLKLGHYMKIPPRCMYVAQLVGTLVSGVVNLAVCWWMLGSIENICDIEALHPDSPWTCPKFRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFLIGAVLPVPVWVLSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIGSWIVTGLIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMAVLLFFALQNEHKDLKWWGSELDHCPLATCPTAPGIVVDGCPVFK >itb11g10810.t1 pep chromosome:ASM357664v1:11:7720263:7724959:-1 gene:itb11g10810 transcript:itb11g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGINKTERGTKVCILVIGKRAVAELQVWGFEETKEMASTACFMIVSRNDIPIYEAEVGTAPKKEDAAHQHQFILHAALDIVQDLAWTTSAMFLKAIDRFNDSAVSVYVTAGHILHIIQLTGWMQNIEF >itb15g09220.t1 pep chromosome:ASM357664v1:15:6571330:6571945:-1 gene:itb15g09220 transcript:itb15g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPKKLIKLAKKWQTFAAIRRRRISFPKQNKGTDGCSTSSVVSKGHFVLYTADQKRFVVPLAFLDNEIIRQLLMMSEEEFGLPSDGPITLPCDAIFLEYIISLLSHGARDELQNALLLSVTSSRCSSVLYQEGRNQQLAVF >itb12g23300.t1 pep chromosome:ASM357664v1:12:25089238:25090152:-1 gene:itb12g23300 transcript:itb12g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGVPRLGTAIHITALDGIIHVNSLFSLAVFVGLSWNPRDPNNRLTDDPKCLPDPKIAEDLVAFHVYSFACFLFSSLIALCLKQALRLSTRAHHHTIFTFTFDLAHINKTALRVGYLVSAAGSVSGCICLMLALINVVEVKLGTLGCGSRHSYGAVIPLVTFVPLGLLLYVCIVVYAFTR >itb06g17390.t1 pep chromosome:ASM357664v1:6:21236224:21242011:-1 gene:itb06g17390 transcript:itb06g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTPPLSKISRRTPSRAAVNRTPQCTPGKAKVSEEKILVTIRVRPLSPKEQASYDLVAWDFPSDHTIVSKNLNHERHSGSYSFDFVFGPICSTRKVYEEGARDVALSALSGINATIFAYGQTSSGKTFTMRGITENVVKDIYEHIKNTVERDFVLKFSALEIYNETVIDLLNNESGSLRLLDDPEKGTIVEKLIEEIVEDDQHLRHLIKICEAQRQVGETALNDKSSRSHQIIRLTIDSSIRENSGQVKSLLATLNLVDLAGSERASQTSAGGTRLKEGSHINRSLLTLTTVIRKLSGGRRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPALSHVEQSRNTLSFATSAKEVTNSAQVNMVVAEKQLVKKLQKEVARLEAELRTPDSCASPCLKSLLLEKETKIQQMEQEINELKRQRDNAQSQLEQERSARKEIKGSDDQGPSHQVVRCLSFSTENEKGFNGPISRTQRSHKLFGRNAAVRHSAGSTDLSMLVNEIRKLEMRLRQIGEEATHALELLHKEFASHRIGSQGATETIAKLLSDIKGTHRVNSVPEKIQIKDKASLKEEIARLKSQESSFKALEMKLESVQRSIDKLVMHLPSGDETPESRTPSRKKKVLSNTGNMPNLIRSPCSPMSSTRDVVYNEVENKAPEDSDEFHDQRSKESSYISSAKGTPDSKQSNSVSVKKMQRMFKKATEDNIRNIKAYVTELKERVVKLQYQKQLLVCQVLELEANDAAIEQTEFVDQSPASWHLIFEDQRKQIIMLWHLCHVPLVHRTQFYLLFKGDPSDQIYMEVELRRLTWLEQHLAELGNASPALLGDDPASYVSSSIKALKQEREYLARRVNTKLSAEEREMLYIKWDIPVDGKQKRRLLFVNKLWSDPLSMENVQESAQIVAKLVGFWETEEPVSKEMFELNFVSPCDKKTWLGWSLISNLLHL >itb06g25010.t3 pep chromosome:ASM357664v1:6:26304235:26306086:1 gene:itb06g25010 transcript:itb06g25010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGKEAKRRNRNATTPTRARPDSPSGSTHRRRLSPTPAAGSLIDREIVTEELSLFENLQISSEFEAPNPRSFPYSVKQQCWDKAEKVKGRDPDRWRRDALGNTVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQVYPCYYLM >itb06g25010.t1 pep chromosome:ASM357664v1:6:26304235:26306805:1 gene:itb06g25010 transcript:itb06g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGKEAKRRNRNATTPTRARPDSPSGSTHRRRLSPTPAAGSLIDREIVTEELSLFENLQISSEFEAPNPRSFPYSVKQQCWDKAEKVKGRDPDRWRRDALGNTVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQARVNRSKGNRTEISKAELIQKSSYCRVSGRDMDLLELTSYGNVKRGQDSGGCNIQ >itb06g25010.t2 pep chromosome:ASM357664v1:6:26304235:26306805:1 gene:itb06g25010 transcript:itb06g25010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGKEAKRRNRNATTPTRARPDSPSGSTHRRRLSPTPAAGSLIDREIVTEELSLFENLQISSEFEAPNPRSFPYSVKQQCWDKAEKVKGRDPDRWRRDALGNTVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQARVNRSKGNRTEISKAELIQKSSYCRVSGSPSFVPNVLPLLFVLLG >itb08g09810.t1 pep chromosome:ASM357664v1:8:9165062:9168420:1 gene:itb08g09810 transcript:itb08g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLEKNIVKPSSPTPTSLRTHSLSYIDQALSSMYLPFAFFYSKPQPNNISQLMQTSLSKTLTAYYPYAGMLRDNASVECNDTGVEFSEVRIHCPMSDVLENVENTQSIVFPEKLPWRNWYGKLAVAQVSHFECGGIAVSAALCHKIGDGFTVTKFINDWAATTRDPHVKPFVHFVRDSVIPPPEKSPPLPAPVKAKTGIQNPTRTEVVSAFLYKCIVSAVKTREVSHPLRPSQLFHYANIRPLALPQSLAATSAGNLLSTFCISTTHDDEMDVAKLVAKLRKGKQGLYAKDMTRENELALEIYESTKKGDKPYEEDGFDKYFFSSVCNFPLYDVDFGWGRPKHVSVPMGPFKNFILLSGNKSMDGVDAWVTMEEGHMAALEQYEELEEFAGMVLSR >itb15g16830.t2 pep chromosome:ASM357664v1:15:16861725:16867977:-1 gene:itb15g16830 transcript:itb15g16830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKLKPPSNSASQTLTATTSSHDARLLVRETLRISANLASAPPSSVPTEPLLLDTSSRLLCCEEIDGRMWKYFPDGSVSCGAMQPKKKSSIRAVGLHSPQTPIDDLMAFVRSYVVPEGFPDSVMPSYVPYMSWRALKYFCGGAMSVFTTQTLLGSVGVSKNRATQSAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFTGDLLMQLGAAVELATSAFPQLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVANLADLLGTGMSIMISKRNPSLVTTFSILSFGYLFSSYQEVKSVVLCTLNRARFTVAVESFLKTGGVPTLKEGNSMEKVCNFPWKNQRPIVLGPRFKEAFQDPDSYLAVKPIFEREQYIVTYNPSKRNVYALLKNQAKSDDVLKAAFHAHILLHFINSSNYQSSSGKEMQGDLSFSLASSADLHAHVAESYEMVSALYAPFKSKAKEQGWVMSESLLNPGRARLCDLAE >itb15g16830.t1 pep chromosome:ASM357664v1:15:16861725:16867977:-1 gene:itb15g16830 transcript:itb15g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKLKPPSNSASQTLTATTSSHDARLLVRETLRISANLASAPPSSVPTEPLLLDTSSRLLCCEEIDGRMWKYFPDGSVSCGAMQPKKKSSIRAVGLHSPQTPIDDLMAFVRSYVVPEGFPDSVMPSYVPYMSWRALKYFCGGAMSVFTTQTLLGSVGVSKNRATQSAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFTGDLLMQLGAAVELATSAFPQLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVANLADLLGTGMSIMISKRNPSLVTTFSILSFGYLFSSYQEVKSVVLCTLNRARFTVAVESFLKTGGVPTLKEGNSMEKVCNFPWKNQRPIVLGPRFKEAFQDPDSYLAVKPIFEREQYIVTYNPSKRNVYALLKNQAKSDDVLKAAFHAHILLHFINSSNYQSSSGKEMQGDLSFSLASSADLHAHVAESYEMVSALYAPFKSKAKEQGWVMSESLLNPGRARLCDLAE >itb15g16830.t3 pep chromosome:ASM357664v1:15:16862757:16867977:-1 gene:itb15g16830 transcript:itb15g16830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MKLKPPSNSASQTLTATTSSHDARLLVRETLRISANLASAPPSSVPTEPLLLDTSSRLLCCEEIDGRMWKYFPDGSVSCGAMQPKKKSSIRAVGLHSPQTPIDDLMAFVRSYVVPEGFPDSVMPSYVPYMSWRALKYFCGGAMSVFTTQTLLGSVGVSKNRATQSAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFTGDLLMQLGAAVELATSAFPQLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVANLADLLGTGMSIMISKRNPSLVTTFSILSFGYLFSSYQEVKSVVLCTLNRARFTVAVESFLKTGGVPTLKEGNSMEKVCNFPWKNQRPIVLGPRFKEAFQDPDSYLAVKPIFEREQYIVTYNPSKRNVYALLKNQAKSDDVLKAAFHAHILLHFINSSNYQSSSGKEMQGDLSFSLASSADLHAHVAESYEMVSALYAPFKSKAKEQVRETLNPWVFFGIVFYFYFF >itb09g03950.t2 pep chromosome:ASM357664v1:9:2173355:2175689:-1 gene:itb09g03950 transcript:itb09g03950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MSHHIVPSSSYSSSEQQRADRTKPFMMMAQLHMMILKEPMMSSPPSFKVHKESFVTAYRTKVARNANLEKLRTNYLFPEICERELQHINKYPNAKVIRLGVGDTTEPIPSPVTLSMATYALGLSTPEGYRGYGLEQGNKELRKALAETFYKDMGIKDTEVFVSDGAQADITRIQLLLGSDVSVAVQDPSFPAYIDSSVILGQSGDLEGNSGRYQNLEYMKCDSQNNFFPDLSKTPRTDVIFFCSPNNPTGHAATREQLQQLVDFARENGSIIVYDAAYAAYISDSSPKSIYEIPGARKKFPRSPSLRASLVSVLAGALCLMSSPTLMALPL >itb09g03950.t1 pep chromosome:ASM357664v1:9:2172555:2175689:-1 gene:itb09g03950 transcript:itb09g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MSHHIVPSSSYSSSEQQRADRTKPFMMMAQLHMMILKEPMMSSPPSFKVHKESFVTAYRTKVARNANLEKLRTNYLFPEICERELQHINKYPNAKVIRLGVGDTTEPIPSPVTLSMATYALGLSTPEGYRGYGLEQGNKELRKALAETFYKDMGIKDTEVFVSDGAQADITRIQLLLGSDVSVAVQDPSFPAYIDSSVILGQSGDLEGNSGRYQNLEYMKCDSQNNFFPDLSKTPRTDVIFFCSPNNPTGHAATREQLQQLVDFARENGSIIVYDAAYAAYISDSSPKSIYEIPGARKVAIEVSSFSKFAGFTGVRLGWSVVPDELTYADGSPVINDFNRIVCTCFNGASSVVQAGGLTCLSTEGFKKVKSVVDYYKENANILVETFTSLGLNVCGGRNAPYVWVHFPGSRSWDVFNEILEKTHILTVPGTGFGPGGEEYIRVSAFGHRQNIIEASKRLEGLLS >itb03g29180.t1 pep chromosome:ASM357664v1:3:29947767:29951976:1 gene:itb03g29180 transcript:itb03g29180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLSLSLDNSFESKKLNNFRVNSLQLTDRCCFPPFLGYINLTGAFVVKPICNLNPIRVCRTFTDVSDKSELVLEDNVIGSTSEDLGNEGSALESSNSKSDFRKGRFDIWKKRFKSAKKVSNDLNMRRSFRRKDCELGNELHVRIESPECEVNSENVIDFDVNDIRLDLSLEECNAELKLLEKCSDRKTLKFFEWMKINGKLKQNITAYDLIFRVLGRREDWDGVEAVIKEMFSESGCEINYQVFNTLIYSCQKKGLVELGAKWFHMMLENGVRPNAATFGILMSLYQKRWNIEEAEFAFSKMRDLKITCQSAYSAMITIYTRSGLYSKAEDVIGFLREDEVALNQENWLVLLNTYSQQGKMHKAEQILALMFKAGFSPNIIAYNTLITGYGKASNMDTAQCLFEDLKRFGVDPDETTYRSMIEGWGRANNYKQAERYFAELKSIGYKPNSSNLYTMLNLQARHGGEEGAIRIIDEMVMIGCQKSSILGILLQIYEKVEHVDKVPLVLKGSLYDHVLKNQTSCSTLLMAYVKNGMITDALKILNEKLWDDSEFEDNLYHLLICLCKDFGHPENAVKIFTCMPKSDMPNLHIICTMIDIYSSKNLFTEAENLYLELNTSGIALDMIAFSVVVRMYVKSGALEKACAVLDIMDKQKNIVPDVYLLRYMLRIYQRCNLNDKLADLYYRILKSGVVWDQEMYNCVINCCARALPVDELSRLFFEMIHQGYSPNTVTFNVMLDVLGKSGLFGKARKVFNMAQKRGLVDAISYNTLIAAYGKGKDLDNMALTVRKMHFRGFTVTLEAYNCMLDAYGKEGQMEKFRDALQRMKESGCASDHYTYNIMINIYGTQGWIDEVSGVLMELKDSGFGPDLCSYNTLIKAYGIAGMVEDAVALIKEMRENGIEPDQITYTNLITALQRNDMFLEAVRWSLWMKQIGV >itb09g06270.t1 pep chromosome:ASM357664v1:9:3591066:3591418:1 gene:itb09g06270 transcript:itb09g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIASVLVLGHGASTRTIDIIEDIITSVGAILRLLDDLEAAQGEKQEENDASYVEYYIKEHQGLSLSDGKQHVMNMVSEQ >itb04g33480.t1 pep chromosome:ASM357664v1:4:35717076:35719440:-1 gene:itb04g33480 transcript:itb04g33480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVDASSFIDPSAENLQSPGLAPPYAASPSPSPSPTRWLFNHGGLTWNPGTEMGTAEDAAAAALTESEMSEKGETSVVHHLQRDSDNHSVNTESDFLFDMAGGMIMSPPRPGMNFSGEEALFLSPNFHADMGMGGGMMISMSPPRMNYFSPPSGDSIGNSDPFSLCNFADHSEAQELENRKGIMMLQQPCIQEVDAVLGQKNQTLGIWAAASRGGTVRQSCLEIGDDYSVNNVDGWFHNHMPTQLASHDSLPLRGSFYPYRFLDEGAYSAELHNRETITKFKVSWIDVDSQLFSHKEFWFNIAVQAMEKGLPVEHTDVNLSFKLKYTTFSIIEVEVGIPQNSVTHPPRSAQFGVRCSAVYEFLEKEFGDTSNSMQPIMTRFNMAPQELQNADSLKRQLQDNLERLVAELEKCDWKLNPICEDHIPKSSATNEERSNSVELDNSIDDAKGGNSSSDKTHTISRWEKDHGITRQVLQRLFGKSRDDAAETLKVSTSTFKRACRDFGINRWPNHKGKRPNCSLNLKQDVQAFKKHKGIQPCPALPPLQATNTSQCNSTMSVKVTYKNDTIRFPLSSSSTIKYLEEHLETKLKISLENFSIKYQDEEDEWITLTCDSELMHGMEALRSCGKTVIRMMVTPKFD >itb11g02840.t1 pep chromosome:ASM357664v1:11:1453953:1456269:1 gene:itb11g02840 transcript:itb11g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAAKINSAAAILLLLLLVAAAPWPRATAAGVDSLVYDIDYRGPETHSNNIPPPNRGGGRHNFRRRPIAAARHKSKGVRASKGGRNGKKK >itb13g20660.t1 pep chromosome:ASM357664v1:13:27432708:27436128:-1 gene:itb13g20660 transcript:itb13g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKSENLKMLEPGKPPPKKRARKGRSRGKSTEIMEQHIWKEFPEDLFETVVARLPIATFFRFRSVCRKWNSMLNSQSFSQQCAEVPRLHPWFYTLTRGNVNTGAMYDPMSKKWHHPMIPAFLNKLIVAPVASAGGLVCFLDFRRRSFYVCNPLTKSCRELPSRPVKVWSRMAVGMSVNEKSSGYKIIWVNSDGEYEVYDSRDDRWSPGSVSSNIKLPLALPLALNCESQTVSVGSTLYFMHSDPDGILSHDMATGEWKQYVIPAPLHLSNHVLAECGGRIILVGLLTKNAAACVCIWELQKMTLLWKEVDRMPNVWCLEFYGKQVGMSCLGNKGLLMLSLRSRQMNRLVTYDFSTKEWVKVPGCVVPPGRKRQWVACGTAFYPSFTALP >itb13g05220.t1 pep chromosome:ASM357664v1:13:6196215:6197100:-1 gene:itb13g05220 transcript:itb13g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLQSPLTTEAQPVSCTYLRPRSRFCFLIPLIHSASLRPRPRSVAPPGSPADDLPEAQELGLTTSALSQSLSRPFFTISVSTVVSPAPNFTVFTQSAGQQLTAVRRPLPDTCSSLVAHSLPLAVDANRLPLAAACPSPTHDAHRRRYIPESRPFEN >itb06g25240.t1 pep chromosome:ASM357664v1:6:26383257:26388686:-1 gene:itb06g25240 transcript:itb06g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALLYRLSCFLVLAVLLTGSFCDASEEVGIYEIKRCNFSVKITNYGATVISVILPDKNGKLGDVVLGFDSVDDYKNDTAYFGAIVGRVANRIGGASFKLNGVEYRLPANDHGNTLHGGFRGFSDVIWTVEDYKKDSHLTLSYNSYDGEQGFPGDLSVSVTYMFIGKNKLGIRMKAKALNKATPVNLASHSYWNLGGHDSGDILSHTIQLFGSKITPVDEKLIPTGALAPVKGTAYDFLQPRAIGSELNELPGGYDINYVLDSHGPKHLGKAALVVDSKSGRKMELWTNKPGVQFYTSNMLTDTKGKGGYVYKKHAALCLETQGFPDSVNHPNFPSQIVNPGETYDHVMVYRFTTHDASHTGQ >itb06g25240.t2 pep chromosome:ASM357664v1:6:26383240:26385345:-1 gene:itb06g25240 transcript:itb06g25240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYRLLSFLVLAVFLTGSFCDASEEVGIYEIKRGSFSVKITNYGAIVISVILPDKNGKLGDVVLGFDSVDDYKNDTAYFGAIVGRVANRIGGASFKLNGVEYRLPANDHGNTLHGGFRGFSDVIWTVEDYKKDSHLTLSYNSYDGEQGFPGDLSVSVTYMFIGKNKLGIRMKAKALNKATPVNLASHSYWNLGGHDSGDILSHTIQLFGSKITPVDEKLIPTGALAPVKGTAYDFLQPRAIGSELNELPGGYDINYVLDSHGPKHLGKAALVVDSKSGRKMELWTNKPGVQFYTSNMLTDTKGKGGYVYKKHAALCLETQGFPDSVNHPNFPSQIVNPGETYDHVMVYRFTTHDASHTGQ >itb02g04030.t1 pep chromosome:ASM357664v1:2:2404414:2404907:-1 gene:itb02g04030 transcript:itb02g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKYRTMEATPTWAIAVVCFVLIAISIAIDQLFHHLGALLLRKQKKALHESLDKIKTDGADAYGVHITVTDNISRPSV >itb09g10800.t1 pep chromosome:ASM357664v1:9:6671291:6672838:1 gene:itb09g10800 transcript:itb09g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAVKVACMLVVCMVVAAPSAEAAITCGSMVAKLSSCLNYVSGKVSGSPPTTCCSAIKSINSVATTTPDRQAACKCLKQMAGSYSGVNMGLVSGLPNKCGVNIGYPISTSVDCAKVH >itb01g31320.t1 pep chromosome:ASM357664v1:1:35104394:35105561:1 gene:itb01g31320 transcript:itb01g31320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVGIACLIFGMMLSAVPCLSTVYTVGDSSGWALSVDYATWSTTKTFKVGDSLVFNYPSGHTVDEVSPSDYQSCTVGNSISTDSSGATTIPLKTAGKHYFICSVMGHCGGGMKLEVTVDGGADATTPISPGTTTSPPTVTSGGAAGIPTTTTAAPGIPTATDNTLPRGAVPIPDSAGTLSPLLAMLMGWVVVMIHVALS >itb05g07090.t1 pep chromosome:ASM357664v1:5:8427452:8428051:-1 gene:itb05g07090 transcript:itb05g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTPCGHNFCQKCFQKWIGQGKRTCAKCRRKFPPDMVNQPRINSTLVAAIRMAKVSRTIATRVPQSVYHYICNQDRPDNPFTTDRAKKNGMANASSGRTFVTVPKDHFGPIPAENDPERNQGVLVGESWKFRVECCQWGIHRPPVARIAGQSKYGSQSVVLSGGYEDNEDHGEWFLYTGRPVLCDYYTSLVCFRLDLQ >itb04g21580.t1 pep chromosome:ASM357664v1:4:26665480:26670423:1 gene:itb04g21580 transcript:itb04g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKENPPARLPNGDDEEQFGNGNSSSKFSLQDDCDDNCVAVDDDDDEAEAAGNESQSSMVRSLYWESQEALLQEILENYKLSGTKVREGIYKIIERVTTTNNCCECPLPRLESCAKCLRLRAVNELRNKGFTATLCTSKWNQTEKKLGGRHEYIEVMVSTQGRKKQVPLVIELNLRDEFKMGKSCEEYCRMVEQLPQVYIGKGEYLNAIVRAVCDAAKKSASEQRIHMGPWRKTNFMLMKWSPAASVEKTTSLGAAHQSSSTQLVIMSPRQWHPSITSGLQPVTGTTVKVA >itb09g26960.t1 pep chromosome:ASM357664v1:9:27520467:27521255:1 gene:itb09g26960 transcript:itb09g26960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGLRRSSSRTNRENEVPKGHFAVYVGESKKKRFVIPISYLKDPSFQDLLSQAEEEFGFDYLMGGITIPCLEDTFLDIISCSSRY >itb13g23420.t1 pep chromosome:ASM357664v1:13:29422037:29423635:-1 gene:itb13g23420 transcript:itb13g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVSTPPSSTKSSTTVESETRDSCYFPGCRKDANCNCSICIASMNATLDLMTESVQRSTLTKLSAVKRRQVSAFKHPRSPVFFNSSFSTPDSSGGRSGSVSSGLDSGARGEFHEKKKRKERGFGCGVLMVRVLFGLGLVYWLEYGVSPMISGVLDSELSMDVVRNLGGKSWGLNGLKGLNDWNERLGFLRNELQGLVDEEVLNCSSGNSVWKVKQDGLLLTSQCTLYKSITEEVSIWGWPLQTAGLLTAEYSSRSFTLLSGRLTEWKNGEFGYSVRGVNSSWTQGRWSSSAVQLDPNTWILEYSESPIRENAKLVSAAIEFLKFKLAREFEKLKMEFWSLSAFGSEFSDLRTESFCVPT >itb05g10470.t5 pep chromosome:ASM357664v1:5:15985798:15995005:-1 gene:itb05g10470 transcript:itb05g10470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSAPNTIGKPIKCKAAVCRGAGEALVIEEIEVAPPKPWEVRIKIVCSSLCHSDLTFWKFKSGPSSLFPRILGHEAAGMVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t3 pep chromosome:ASM357664v1:5:15985043:15994886:-1 gene:itb05g10470 transcript:itb05g10470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t13 pep chromosome:ASM357664v1:5:15985798:15992532:-1 gene:itb05g10470 transcript:itb05g10470.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t7 pep chromosome:ASM357664v1:5:15985294:15994919:-1 gene:itb05g10470 transcript:itb05g10470.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKVILP >itb05g10470.t11 pep chromosome:ASM357664v1:5:15985798:15994886:-1 gene:itb05g10470 transcript:itb05g10470.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t4 pep chromosome:ASM357664v1:5:15985043:15995005:-1 gene:itb05g10470 transcript:itb05g10470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSAPNTIGKPIKCKAAVCRGAGEALVIEEIEVAPPKPWEVRIKIVCSSLCHSDLTFWKFKSGPSSLFPRILGHEAAGMVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKVILP >itb05g10470.t6 pep chromosome:ASM357664v1:5:15985828:15994919:-1 gene:itb05g10470 transcript:itb05g10470.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t2 pep chromosome:ASM357664v1:5:15985043:15994886:-1 gene:itb05g10470 transcript:itb05g10470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t10 pep chromosome:ASM357664v1:5:15985043:15994886:-1 gene:itb05g10470 transcript:itb05g10470.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKVILP >itb05g10470.t8 pep chromosome:ASM357664v1:5:15985798:15994886:-1 gene:itb05g10470 transcript:itb05g10470.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb05g10470.t9 pep chromosome:ASM357664v1:5:15985043:15994886:-1 gene:itb05g10470 transcript:itb05g10470.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKVILP >itb05g10470.t12 pep chromosome:ASM357664v1:5:15985043:15992532:-1 gene:itb05g10470 transcript:itb05g10470.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKVILP >itb05g10470.t1 pep chromosome:ASM357664v1:5:15985043:15995005:-1 gene:itb05g10470 transcript:itb05g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSAPNTIGKPIKCKAAVCRGAGEALVIEEIEVAPPKPWEVRIKIVCSSLCHSDLTFWKFKSGPSSLFPRILGHEAAGMVESVGENVDEVATGDMVIPVFRRNCGECRDCRFGKGNVCTKFAVRYPGGMPRDGTSRFKDKDGQSIHHLLSVSSFSQYTVVDITHVVKMNPDFPIDMACLLSCGVTTGIGASWKVAKIEEGSTVAIFGLGAVGLAVAEGARLRGASKVIGVDLIPEKFELGKKFGLTDFINPTTCGESSVAEVIQKITNGGADYCFECIGLASLMQDAFASSRQGGYTIILGVEMHGKPISINPYELLEGRTIIGCMFGGIKAKVDIPKLAQKYMQKELNLEGFKTHEVKFQDINQAFDLLEQKKSLRCIIWMDA >itb14g19480.t1 pep chromosome:ASM357664v1:14:22112164:22116107:-1 gene:itb14g19480 transcript:itb14g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFGRIYAAALIFLVLPCFFAVETTASARTEAEALLKWKTTLSSSSPSPLNSWSISNLRSLCNWTGIVCNGGRTVSEINLPNADLSGTLEHLNFTSFPSLTGFNISGNSFNGSIPVSIGDLSTLVFLDLSNNLFDGIIPSQIGNLRELQYFSLNNNNLNGNVPFQIGNLQKVWFLDLGSNYLEAPDWSKVQSFPVLRHLSFNLNELRSGFPGFILGCQSLSFLDLGLNHLNGSIPEALFTGLGKLEYLDLSTNKFSGQLSPNISRLSKLKHLNLLNNSFQGEIPSSIGQLKDLEYLDISVNSLNSSIPSEIGLCRNLSFLALAGNSLSGELPLSLSFLTELAELGISDNLLSGAISPSFFSNWTKLVSFQLQNNSFNGSIPSEIGLLKNLNILFLYNNQFSGTIPPQIGNLNNLAQLDLSQNQLSGPIPPTIGNLTALTLLSLFTNDLTGALPPEIGNLKSLISLDVSSNRLSGELPDTLSGLSSLETLSVFTNAFTGNVPRDLGRNSPKLSNVSFSNNRFSGELPPELCSGFALQELTVNWNHFTGELPSCLKNCSDLSRIRLEGNSFSGKISEAFGVHPNLDFVSLNGNQFSGELSSDWGQCKSLTNLGISGNKISGPIPPGLGDLSQLHVLTLDENELTGEIPSELGNLSRLLTLNLSKNHLTGEIPRSIGDLTNLQNLDLSANSLNGTVPEELGKCEKLLSLSLRRNTLSGVIPPQLGNIPGLSIVLDLSDNELSGTIPSSLGKLITLENLNLSHNHLSGRIPSALSDMVSLQAMDFSYNTLSGPIPTGGKFQGAKPEAFVGNPGLCGNAQGLTSCNQAERSDSGNKKRKVLIAVLVPILSLILLAVAAGFLVLRRRKKKLDEEMRISKKYEASESLIWEREGKFTFGDIVKATEGFSEKFCIGRGGFGTVYKAGLSTGQIVAVKKLNTTDSNDIPLANRASFENEIRTLTEVRHRNIVKLFGFCSKNDSMYLVYEYVEKGSLAKALNDDATALQLGWGTRVKIVQGIAHALSYLHHDCSPPIVHRDVSVNNILLESEFEPRLSDFGTAKLLSSDSSNWTTVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGRHPGDLLTSLSEASELSADTLLKDIFDPRLPPPTAHPAEEVVAVITLALACTRAMPETRPSMRFVAQELSAKSQACLPEPLGTMTVARLTSIDK >itb02g07180.t1 pep chromosome:ASM357664v1:2:4453126:4456627:-1 gene:itb02g07180 transcript:itb02g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVVFLGLCLIIFNSPISLGEDTLSATQNLSLTTTLVSSNGRFQMGFFTPDKSANYYAGIWYAQIEPQTVIWVANRDTPIPSVDMDSTSLKVLDGNLVLVNNAAGEFLWSTNSTRSNNSVRATLLDTGNLVLSHGPYSNSTPPVWQSFDHPSNTFMPNAKLGYNKHTGEKQSLRSWKSGIDPSPGLFSLEMNEEIGEYVLKWNGTEQYWSSGSWNGTLFNSIPGLRSNTIYNFTYVNNENETYYAYYFYNSAVISRFIIDISGQIRHYTWLDSSKTWNIFFRQPEKQCDVYAYCGPFGMCVENSSSFCDCLPGFRHKSDEDWGLKDFSGGCVRKAELQCGNGSIAADSQDRFRRINNMRLPRYPRNVTVGSVTECESACLGNCSCNAYAYDNRNGCSHWDGELLNLSEEEINGSTIYLRLAASEFLDAVQDHQNGSKKSGKLKVILPVTVAALLLILASIFTYIYYRRRNIRKEEIVDNNQVMPQSGDNDIEANYLVEEHDEKHIDVPFFSFESILAATDNFSKQNKLGQGGFGPVYKGEFQGGQEIAVKRLSSESTQGINEFKNEVELIAKLQHRNLVRLLGYCIKGKEQILLYEYMQNKSLDTFIFDEERSAVLDWEKRFEIIRGIARGLMYLHHDSRLRIIHRDMKTSNILLDEEMNPRISDFGLARIVEGKRTEAINTNRVAGTYGYMSPEYALDGLFSTKSDVFSFGVVMLEIITGRRSSGFYDHDGSSNLLGYAWRLWNEGKIRELVQKSLLPIEAKHESEVFKCINVGLLCVQEQAGDRPSMPNALVMMLSTESTNLPRPNQPAFITRTGMSPATSTSASSGLPQNTHSRNELSVTVFEGR >itb04g33410.t1 pep chromosome:ASM357664v1:4:35660646:35664823:-1 gene:itb04g33410 transcript:itb04g33410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMKTVCVTGASGYIASWIVKFLLQRGYIVKATVRYPDDPKKTEHLLSLEGAKERLHLFKADLLEEGSFDSVVEGCEGVFHTASPFYHAVTDPQAELIDPAVKGTLNVLGSCAKTPSVQKVVVTSSIAAVAYNGKPRTPEVVVDETWWSGADFCREMKMWYVLSKTLAEDAAWKFVKEKGINMVTINPAMVIGPLLQPTLNTSSGAILNLINGAETYSNASFGWINVKDVANAHILALENPSANGRYCMVERVAHNSEVVKKLHELYPTLRLPEKCADDKPFVPTYQVSKEKAKSLGVVFTPLEESLKETVESLKEKGFFVPSAAL >itb01g33350.t1 pep chromosome:ASM357664v1:1:36502677:36507317:1 gene:itb01g33350 transcript:itb01g33350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQHQSPVALANGVQAPGAVGLSAPPAAAGAGNQLSTTSLYVGDLDPTVTDSQLYDLFNQVGQVVSVRVCRDISTRRSLGYGYVNYSSPQDAARAMEVLNFTPVNSKSIRIMHSHRDPSLRKSGTANIFIKNLDKSIDNKALHDTFSSFGNILSCKIATDPNGQSKGHGFVQFDNEESAQSAIDKLNGMLINDKQVYVGPFLRKQERDTATNKTKFYNVFVKNISESVTDEDLTKTFGEFGNITSAVVMREDDGKSKCFGFVNFENADDAARAVDALNGKKFDDKEWYVGKAQKKSEREQELKNKFEQTAKEAVDKYQGLNLYVKNLDDSIDDDKLKELFTEFGTITSFKVMRDPSGISRGSGFVAFSTSEEASRALSEMNGKMIVSKPLYVALAQRKEDRRAKLQAQFSEMRPVAMTPSLAPRIPMYPPGAPGMPQQLFYGQGPPAIIPPQAGFGYQQQLVPGMRPGGGHMPNFFVPMVQQGQQAPRPGGRRGAGPVQQTQQPIPMMQQQMLPRGRMYRYPGGRNVSDGPMPGVGGMVSLPYDMGGMLPRDAAPVPITALASALANAPPEQQRTMLGENLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEALEVLRNVQAKSPSDQLASLSLNDNLVA >itb11g12520.t1 pep chromosome:ASM357664v1:11:9426230:9430461:1 gene:itb11g12520 transcript:itb11g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLVMGAAVGSAALGARYLIRAWQAFKVAPRARRFYPGGFEPVMTRREAALILGVRERAAIEKIKEAHRRVMVANHPDAGGSHYIASKINEAKEVLLGKTKSHSAF >itb11g12520.t2 pep chromosome:ASM357664v1:11:9426471:9428782:1 gene:itb11g12520 transcript:itb11g12520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLVMGAAVGSAALGARYLIRAWQAFKVAPRARRFYPGGFEPVMTRREAALILGVRERAAIEKIKEAHRRVMVANHPDAGGSHYIASKINEAKEVLLGKTKSHSAF >itb07g09100.t1 pep chromosome:ASM357664v1:7:7970250:7970645:-1 gene:itb07g09100 transcript:itb07g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSGEFRSFFLPAMVMLDGEGGRTVTVELSDGGGFQRWIFRKVAATLQTSNSGGDATQPPLQRDFSSGGNGGRFFSISGEQAWWWMCCVVDVDVDDDDDDRWWLTAVMAVPSSVDGERTTGDGVAVDVS >itb01g26000.t1 pep chromosome:ASM357664v1:1:31245532:31247010:1 gene:itb01g26000 transcript:itb01g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANIELVFVPAPSIGHFVSAVGTAKLLLQRRPQLCITVLIMKMPLSPDAKINSYIDSLIADEKDMNPRLKLILLPEDLDALKGHTDRISFFHAFLDSQKTRVRDYCVNEFHKSSSARRLAGFVVDVFCTDLIMDVGEEFGVPTYVFYALGAAMLGLHLHFRSLTDDHGIDASGFKDSDPDLNIPTYFKPFPVKLLPNLPFFDLSTRIREVKGIIVNTFFDLEPHAIESLSNHNSFPPVYSVGPILNLNPPHKKKKNNQESEEKQIFKWLDDQPASSVVFLCFGSGGTFPEPQVKEIAYALERSGQRFLWALRKPPYPGSVLPTEYSNPEEVLPKGFLERTKSIGKIIGWAPQSAVLAHPAVGGFVSHCGWNSTLESVWFGVPIATWPMSVDQQANAFQLVREIGTAVDIKMDYRVDSRNPKINSPIVPEIVSAKEIEIGITSLMNQYSTPTSVRTKAKEVQEKSRKALEEGGSSFNFIESFFENVMNNLK >itb06g15680.t1 pep chromosome:ASM357664v1:6:19986828:19989215:1 gene:itb06g15680 transcript:itb06g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRAWIVAASVGAVEALKDQGFARWNHALRMIQQHAKSNLRPYSQATRLSSPSSALVSGKMREEKLKKSEESLRTVIRRRSIMSSGSRAWIVAASVGAVEALKDQGFARWNHALRIIQQHAKSNLRPYSQATRLSSPSSALVSGKMRDEKLKKSEESLRTVMYLSCWGPN >itb05g02330.t2 pep chromosome:ASM357664v1:5:1868540:1870607:-1 gene:itb05g02330 transcript:itb05g02330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGIVGFGPFAQFLAKTMIKQGHSITASSRSDYSEVCKQLGVASFYRDMAAFFESDNEVILICTSILSLKQVVKSMPFHCLRRPTLFVDVLSVKEHPRDVLLQVLPEDSDLLCTHPMFGPESGRDGWKDLAFMYDRVRIRDEALCSSFLQIFSSEVIKQISTSSSFHENLPVQSSKQYSNELCRAAECWK >itb05g02330.t1 pep chromosome:ASM357664v1:5:1868540:1870607:-1 gene:itb05g02330 transcript:itb05g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGIVGFGPFAQFLAKTMIKQGHSITASSRSDYSEVCKQLGVASFYRDMAAFFESDNEVILICTSILSLKQVVKSMPFHCLRRPTLFVDVLSVKEHPRDVLLQVLPEDSDLLCTHPMFGPESGRDGWKDLAFMYDRVRIRDEALCSSFLQIFSSEGCRMLEMTCEEHDKQAARSQFITHTIGRILSEMEVESTPIDTRGFQKLVQVKETTSKHSFDLFSGLFIHNRFARQQMESLELAFEKTKQKLQERLKEEQDMSTINLH >itb11g06820.t1 pep chromosome:ASM357664v1:11:4130756:4131342:1 gene:itb11g06820 transcript:itb11g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETRDPAALGSSIALLQERFRQLQRAREQREVRELKLLFEPQVMSPARSFEAGKKPVFVQESGLVPFGEGDRDPLTLGLNLSSRQADFQAMKKRALLWGDQKISVSTGYRKMENCDVDTSLHL >itb01g23520.t1 pep chromosome:ASM357664v1:1:29489580:29493006:-1 gene:itb01g23520 transcript:itb01g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYCSDCKKNTEVVFDHAAGDTVCSECGLVLESRSIDETSEWRTFADDSGDHDPNRVGGPVNPLLGDVGLSTVISKGPNSNGDASVARLQNRGGDPDRALVMAFKAIANMADRLSLVATIKDRASEIYKRLEDQKCTRGRNLDALVAACIYIACRQEGKPRTVKEICSIANGATKKEIGRAKEFIVKQLKVEMGESMEMGTIHAGDYLRRFCSNLGMSNEEVKAVQETVQKSEEFDIRRSPISIAAAIIYMITQLSDSKKPLRDISLATTVAEGTIKNAYKDLYPHATKILPEWYTKDKDTKNLCSPKA >itb01g04700.t1 pep chromosome:ASM357664v1:1:3193905:3196987:-1 gene:itb01g04700 transcript:itb01g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFLALVVFQVCQTSAAAIDRVQKLCSFTTDPSQCQQLLRPDPRAANATYPQLGKVSFELAMGATVSAQELSRSLLEQEKDPKVKPRLAGCVEAYQTAESRLSGECANEIVNGELYCLLALFAGVQNCDAMFEAPSEEPTALKNVGDKVKLLGDMVCQTSAAVDRVQKLCSFTTDPSQCLQLLRPDPRTANATYPLLGNVSFELTVGATVSAQELSRSLLEQEKDPKVKPRFAGCLEAYKNAEASLLGECAKEIVKGEPYCLLTMFADVRSCREMFVAPPVEPTALKNVGDKVELLGNMVNLGITLKDY >itb09g27050.t1 pep chromosome:ASM357664v1:9:27542651:27542941:-1 gene:itb09g27050 transcript:itb09g27050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMTRFLHAKQVFRRSSSRTNRESEVPKGHFAVYVGESEKKRFVISVSYLKDPSFQDLLSQAEEEFGFDHLMGGLTIPCPEDIFLDIISSLSRS >itb07g08460.t1 pep chromosome:ASM357664v1:7:6657246:6660682:1 gene:itb07g08460 transcript:itb07g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKRAHILAIPYPSQGHINPMLQFCKRLVSKGLKTTLAITTFISNSMKPVSDAVAIDTISDGCDAAGFLQAQSVHDYLNRFQVAGSKTLSDLIEKYKNSDSPVDCVVYDSFMPWALDVAKSHGLFAACFFTQACAVNYVYYCAHHGKLELPVTSPPVRIPGLPPLELRDMPSFIYVHGSYPAYFELVLHQFLNVDKADCVFVNTFYKLEAQVVDTMSKMSPVITIGPTIPSLYLDNGVKNDSEYGINLYHMDQSKSIDWLKTKPEASVIYVAFGSMASVDQKQMEELAWGFKNTNTYFLWVVRDSELQKLPENFLDDVGPTDKALIVNWSPQLKVLSSKAVGCFFTHGGWNSTIEALSLGVPMVVMPQWTDQTMNAKLIEDVWKVGKRVRVGEDGVVSRGEIEGCVREVLGEGGKGKEMKENAMKWSHLAKEAVSEGGTSDKVIDEFVSTLTSLSHV >itb13g05540.t1 pep chromosome:ASM357664v1:13:6640425:6642211:1 gene:itb13g05540 transcript:itb13g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLSATLTLLFLASAATLSTTRARAQAIQSSRLLDLVIRDYTFRSYNHRAFKTGKLHPIHLPANLTGIDVDSVRFRCGSLGRYGARIKEFRISVGVTFQRCVERLLIVRQNLGSNWSNIYYDNYEMSGYQLISPVLGLLAYNAAGDDISIGAGTPFEVGIQTGGNPITIDFSNTTRIVNSTSSPGMIPLCASFGRDGKVTLSNMASRHVCVTRKQGHFGLVVESPLMPLRKKESRWKIVIGSAIGAALGAFLIGLLLVAIFVKVKNKQARMEDMVRRAYEEEALQVSMVGHVRAPTAPATRTVPTIEHEYTSPHHHHPS >itb12g01220.t1 pep chromosome:ASM357664v1:12:890906:892615:-1 gene:itb12g01220 transcript:itb12g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYQSQVANKSTKHKTCSNRAQTPPSMADQDCKLHIVMFPWLAFGHMIPFLELTKLIAQKGHKVSFVSSPRNIDRLPKLPPALSSLINFVKLPLPPIPNLPENAEATIDLPYDDVKYLKKAHDGLREAMAKFFQEYRPDWVLFDFDAYWVPEVASEFGVSTAFFSICIAAFLGFLGPGPSDVKYEKRTAPEEFTVLPEWVKFTSPVTFRLHEILRIFSDGVAGDEDNVSDLFRLLSSAENCDVLAVRSCSEFEPEWLNVLEEIHRKRVFPVGQLPTTGYDGGDDVTHESWSEWLDSQASGSVVYVAFGSEAKPSQLELTELALGLELSGLPFFWVLRTKRGETDSDSVELPEGFEERTRNRGVVCTSWAPQLRILSHDSVGGFLTHSGWTSVVEAIQFEKPLILLTFLSDQGINSRVIEEKKMGYPIPRDPRDGSFTRDSVADSVRLVVAEEGGRIYRDKIKEMKGLFCDKDRQDAYVERFLCHLQTHRNPKNSSISS >itb06g03070.t1 pep chromosome:ASM357664v1:6:5207989:5210985:1 gene:itb06g03070 transcript:itb06g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLLASCFIFFAKMAFSLSDNSYILALHNDVRREVGVGPLVWDSSLENYAKNLLLHSRNQCYPDVQSNRYGMNLGRSSSARSGFINDDYADYFSENFDLENSTLGSWIDEKDVYGIESNSCVAKQECMHYTQMVWHSSDRLGCAAVSCKSSETTRQVTLLCLYDPPGNAAGEYPYAFQCHFAFNSSSWKNNLSIEQRNWVFTDGSTYRPILLTANGPDNPPFGFGFFTDGSKGDTFYLVVFSLKISSAGLNANKNLSSINLLDPPVIFWSANRDNPVRENATLDFTSKGDLLLKDSDGSLVWSTNTTGFSVVKMSLTAQGNLVLENSIGHTVWQSFDHPTDTLLPNQVLSVGKTLVARNSSSSLASGQFFLTATPSGIEAFIGLSSPQQYRAYQLSTFLKLQNLSNDIAIIERLLNGLRLNVSADTKLGLPYVVLEPNGHLTMYQPSLSHKFNISFGIQGDFLEDRRLGECSYPTSCGDLGICSNGQCSCPGGNAGYFVYSNDSLPTKGCKQVKPLSCEDVKQHTFLELSNVTYFNFVPQQYNVNKESCKEACLRSCSCKAAIFHYWNNMSFGNCSLESQIYSFRTLGNEGSGSSYALIRVQRLKRVEDEKKSSFVRPLVIALSLSFLVVIIFSGACYRYKLHKKSNKDADDQVNEEEDIDNLVIGAFRRFRFSELKSATRDFQTRLGRGGFGSVFEGVLENGTKIAVKRLDSMSQGLKEFLAEVNTIGNIHHFNLVKLIGYCREKSMRLLVYEHMCNGSLDKWIFSQQQITTNSLTWEMRKKIIVGLAKGLEYLHEHCNPKIIHFDIKPQNILLDEDFNAKIADFGLAKLIARDQSQVLTVLKGTPGYVAPELFKGTNISEKIDVYSFGIVMIETIFRRRNCDHRQSQPLIDIVKERAEQDRLFDFIDQHFEDEHSYKEDAEKMMKIALCCLQAHNRRPPMSLIVKVLEGGLGLEFITTNGLLNITEVEAPLTVSSRAVISSYTPTASVLSGPR >itb05g22200.t1 pep chromosome:ASM357664v1:5:27682391:27686719:-1 gene:itb05g22200 transcript:itb05g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRPQTRSEVGAVEDEDEKRGAALEKALSSSTKYARHQKRKKSSTPEENEEETCVVAKKATVRTRRRDQKSEELFGGNITEGRDCCYESELQQLQPSEKIKLQLFPINESTRLRLEKDGYNPFLELTLSAKKRIWSVIKHINTKWGNENGKWGKALLFPYDVNLEKLASYRRWSMEDTEISAREVYMSVNSPAVFRLRYGWFLDHQLEDFKMPLLSTHSDSRRQSEVSSQALNIQVNQMKESKIENKYFEKIKSTNEASDAVKEQMPSVEQVECVGNQLKADGIYEPLVPWEDNLTNLSIGGLLSEVSLLGKIIKSDTESASQMQPILAVSDFSVGGLLSEVSLQGKMNSGGLNSVKELDRQPLKLVSGVDTGCLLSQATLQGEIHDYIPPTQEFEMPRTMAGNNAQQQFPWDDSLTALSIGGLLSEASMHGKINNVNPNLSGNKSSLPQSSSTADSLGAFVATQLNSHLPDSKLQLDAPCTSFFDAEETCHAFPVRKVSPLSGMAMTASEAGSSMECQEGVRLKSEATAQDHDVQQSKTKLLPRSQGIVDEDSCNLALKGINWNDSLGPFDLGQPVWSPLTVGDSHFVK >itb05g22200.t2 pep chromosome:ASM357664v1:5:27682397:27686719:-1 gene:itb05g22200 transcript:itb05g22200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRPQTRSEVGAVEDEDEKRGAALEKALSSSTKYARHQKRKKSSTPEENEEETCVVAKKATVRTRRRDQKSEGKNESILPRKDLNVLPSGTSELFGGNITEGRDCCYESELQQLQPSEKIKLQLFPINESTRLRLEKDGYNPFLELTLSAKKRIWSVIKHINTKWGNENGKWGKALLFPYDVNLEKLASYRRWSMEDTEISAREVYMSVNSPAVFRLRYGWFLDHQLEDFKMPLLSTHSDSRRQSEVSSQALNIQVNQMKESKIENKYFEKIKSTNEASDAVKEQMPSVEQVECVGNQLKADGIYEPLVPWEDNLTNLSIGGLLSEVSLLGKIIKSDTESASQMQPILAVSDFSVGGLLSEVSLQGKMNSGGLNSVKELDRQPLKLVSGVDTGCLLSQATLQGEIHDYIPPTQEFEMPRTMAGNNAQQQFPWDDSLTALSIGGLLSEASMHGKINNVNPNLSGNKSSLPQSSSTADSLGAFVATQLNSHLPDSKLQLDAPCTSFFDAEETCHAFPVRKVSPLSGMAMTASEAGSSMECQEGVRLKSEATAQDHDVQQSKTKLLPRSQGIVDEDSCNLALKGINWNDSLGPFDLGQPVWSPLTVGDSHFVK >itb05g22200.t3 pep chromosome:ASM357664v1:5:27682397:27685773:-1 gene:itb05g22200 transcript:itb05g22200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEISAREVYMSVNSPAVFRLRYGWFLDHQLEDFKMPLLSTHSDSRRQSEVSSQALNIQVNQMKESKIENKYFEKIKSTNEASDAVKEQMPSVEQVECVGNQLKADGIYEPLVPWEDNLTNLSIGGLLSEVSLLGKIIKSDTESASQMQPILAVSDFSVGGLLSEVSLQGKMNSGGLNSVKELDRQPLKLVSGVDTGCLLSQATLQGEIHDYIPPTQEFEMPRTMAGNNAQQQFPWDDSLTALSIGGLLSEASMHGKINNVNPNLSGNKSSLPQSSSTADSLGAFVATQLNSHLPDSKLQLDAPCTSFFDAEETCHAFPVRKVSPLSGMAMTASEAGSSMECQEGVRLKSEATAQDHDVQQSKTKLLPRSQGIVDEDSCNLALKGINWNDSLGPFDLGQPVWSPLTVGDSHFVK >itb02g16200.t1 pep chromosome:ASM357664v1:2:12035218:12040696:-1 gene:itb02g16200 transcript:itb02g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKQKVEEKEENHIDKELVLSIEKLQEIQDELEKINEEASDKVLEVEQKYSEIRKPVYQKRNDIIKAIPDFWLTAFLSHPVLSELLTEEDQKIFKFLSSIEVEDSKDVKSGYSIIFNFKSNPYFEDTKLMKSYAFQEEGATQITATPIKWKEGMQIPNGVANEKKGNKRPPPEESFFTWFSETQQKDEDMDDIHDEVAELIREDLWPDPLSYFNNEADDEEFEGDDEEKGSDGSEDDEEQDGGDDDDEDGDEDED >itb02g16200.t2 pep chromosome:ASM357664v1:2:12035218:12040696:-1 gene:itb02g16200 transcript:itb02g16200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKQKVEEKEENHIDKELVLSIEKLQEIQDELEKINEEASDKVLEVEQKYSEIRKPVYQKRNDIIKAIPDFWLTAFLSHPVLSELLTEEDQKIFKFLSSIEVEDSKDVKSGYSIIFNFKSNPYFEDTKLMKSYAFQEEGATQITATPIKWKEGMQIPNGVANEKKGNKRPPPEESFFTWFSETQQKDEDMDDIHDEVAELIREDLWPDPLSYFNNQEADDEEFEGDDEEKGSDGSEDDEEQDGGDDDDEDGDEDED >itb04g07400.t1 pep chromosome:ASM357664v1:4:4996786:5001884:1 gene:itb04g07400 transcript:itb04g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTLPCIAALSCLLLLLSSFSQCQAQLSPTFYNNTCPNALNIIRTSVRQAVSTERRMAASLVRLHFHDCFVQGCDASILLDESPTIKSEKTALPNLGSVRGYDVIEAAKSELEKACPGIVSCADVLSVATRDATVAVGGPSWTVKLGRRDSTMASHTIDLPSPFDNLDRLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNRTDIDAGFANTRRRNCPKETGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYARSPQAFQTDFASAMIKLSEVQPLTRQNGIIRKLSFSQCQAQLSPTYDNTCPNALNIIRTVVRQAVSTERRMAASLVRLHFHDCFVQGCDASILLDESPTIMSEKTALPNLGSVRGYDVIEAAKGELEKVCPGIVSCADVLSVATRDATVVVGGPSWTVKLGRRDSTMASHTIDLPSPFDNLDRLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNRTDIDAGFANTRRRNCPKETGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDNIVSEYARSPQAFQAYFASAMVKLSEIQPLTGQNGIIRKVCSALN >itb06g17150.t1 pep chromosome:ASM357664v1:6:21083523:21089722:-1 gene:itb06g17150 transcript:itb06g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVSNDSQADDSSEREPILTHSNAVEESDESSPAIEITVSGDCSTNSDDSSSFEADGSQLLLNIEQPQCRICLDTEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECKAKFILRANVPPGRWWLRLKFQFLVARDHAFIFLVVQLIVAILAVLVYKFYGDELREMFGYEEHPYGFYIMAVLSIVVVGLLYGFFIAIICGQRINERHYHVLAKPELTKEFVVEDRESLNKNVPELDPSHVSELRMLGLY >itb09g03090.t2 pep chromosome:ASM357664v1:9:1738675:1743748:-1 gene:itb09g03090 transcript:itb09g03090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTAFHSKILLHFHSPPATPPRKYSPLSFHQPIFSFHHTNLNLRSGLYLILFKFILISSYTTDKPIFYSIVVDHQKGRRNLVLRSQNLDFKVDEELMDLKKDSLELNRNLYPAIEPYSTGFLKVSDLHSIYWEQSGNPSGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVADIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIFPDAWEPFRDLIPENERNCLVEAYHKRLNSDDPETQNAAAKAWTKWEMMTAHLLPNEENVKRGDDDNFSLAFARIENHYFINKGFFPSDSFLLDNLEKIQHIKTMIVQGRYDVCCPMMSAWDLHKAWPEAELTVVRDAGHSANEPGIAAELVAANEKLKNILKGIA >itb09g03090.t1 pep chromosome:ASM357664v1:9:1738675:1743748:-1 gene:itb09g03090 transcript:itb09g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTAFHSKILLHFHSPPATPPRKYSPLSFHQPIFSFHHTNLNLRSGRRNLVLRSQNLDFKVDEELMDLKKDSLELNRNLYPAIEPYSTGFLKVSDLHSIYWEQSGNPSGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVADIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIFPDAWEPFRDLIPENERNCLVEAYHKRLNSDDPETQNAAAKAWTKWEMMTAHLLPNEENVKRGDDDNFSLAFARIENHYFINKGFFPSDSFLLDNLEKIQHIKTMIVQGRYDVCCPMMSAWDLHKAWPEAELTVVRDAGHSANEPGIAAELVAANEKLKNILKGIA >itb13g08310.t1 pep chromosome:ASM357664v1:13:10529251:10531317:-1 gene:itb13g08310 transcript:itb13g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHKTSRLTHLSSAILPSNARIYPCKPLVSTSTPSQSHRIVASLRSAAAAADSTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECKTGDVVSVELGENKLINHTTGKEYKLKPIGDAGPVVEAGGIFAYARKTGMIPSRQA >itb06g21530.t1 pep chromosome:ASM357664v1:6:24140904:24145393:1 gene:itb06g21530 transcript:itb06g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYSPASSLQVDFLHGNLTSCHQLLPPASFSSPCWSHFQFRPFAAGLKIGAEGGGNRSSRDAYSSELLRRPVIEPLLDTAAEEPAKEESDNEVERKSVDGWVDWEDVILEETAPLVGLVRMILHSGKYEAGDRLSPKHESTILERILPHHPEYEMKIGCGVDYITIGYHPIFGNSRCLFIVRRDGESVDFSYQKCIKALIRKNYPLYADSFILRHFRGRNKFRHD >itb06g21530.t3 pep chromosome:ASM357664v1:6:24140904:24145393:1 gene:itb06g21530 transcript:itb06g21530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYSPASSLQVDFLHGNLTSCHQLLPPASFSSPCWSHFQFRPFAAGLKIGAEGGGNRSSRDAYSSELLRRPVIEPLLDTAAEEPAKEESDNEVERKSVDGWVDWEDVILEETAPLVGLVRMILHSGKYEAGDRLSPKHESTILERILPHHPEYEMKIGCGVDYITIGYHPIFGNSRCLFIVRRDGESVDFSYQKCIKALIRKNYPLYADSFILRHFRGRNKFRHD >itb06g21530.t2 pep chromosome:ASM357664v1:6:24140904:24145393:1 gene:itb06g21530 transcript:itb06g21530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYSPASSLQVDFLHGNLTSCHQLLPPASFSSPCWSHFQFRPFAAGLKIGAEGGGNRSSRDAYSSELLRRPVIEPLLDTAAEEPAKEESDNEVERKSVDGWVDWEDVILEETAPLVGLVRMILHSGKYEAGDRLSPKHESTILERILPHHPEYEMKIGCGVDYITIGYHPIFGNSRCLFIVRRDGESVDFSYQKCIKALIRKNYPLYADSFILRHFRGRNKFRHD >itb12g02180.t1 pep chromosome:ASM357664v1:12:1457372:1458882:-1 gene:itb12g02180 transcript:itb12g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTREENVYMAKLAEQAERYEEMVDFMEKVVTAAGGAEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVASIKEYRSKIEAELTSICKGILNLLDSTLIDSASSSDSKIFYLKMKGDYHRYLAEFKTGADRKDAAENTLSAYKAAQDIAVAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEAPKQDKEE >itb11g15030.t1 pep chromosome:ASM357664v1:11:12384860:12386165:1 gene:itb11g15030 transcript:itb11g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNWRTAQAQGQVANGAVETSDWRARLQPGSRHRIVNKIMETLKKHRHLFGRQARHELRKIAVRFEEKVYTAAVSQSDYLRKISLKMLSVETKSQTLMANSLQSNNTTSAQNPQLPGEITGASSICSALNNM >itb11g06440.t1 pep chromosome:ASM357664v1:11:3857733:3859834:1 gene:itb11g06440 transcript:itb11g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MAVRTKYAKNTSSFIALNPSFFSHLLSLSPFNSMQISPRKASNSTSSRRSTSYLSLILLFLLVSAAFLAICTLTFATLWRNSISCSAISSLGAREYDPFFAISTSAAAAMVPLPPQRIGVAGNVSGEEREFWQQPEDEGYRPCLHFSYQYRKAAAAIAREKRRFLVVVVSGGLNQQRNQIVDAVVIARILEASLVVPVLQVNRIWRDESEFSEIFDVEHFKKVLKADVRIVSSLPSSHLMSKQTIENQIPFHVSPIWIRARFFRQLNREGLLILKGLESKLSKNLPPDLQKLRCKVAFHALRFAGPIQDLSNRIARRMWDEGPYIAIHLRLEKDVWIRSGCPAGLGAGYDRIISKERESHPEFLTGKLNITNAQRRLAGLCPLNASEMARLLKALGAPRNARVYVAGGEPFGGKLALQALQEEFPNLMSKHTLAREDELTPYLSKASVLAAIDYIVSLNSDVFVTSHGGNMARALEGHRAYLGHRKYIKPNKRMMFPYLDYAFISDTEFSSMMRKLHKKCQGQPQPRTNKRDRDVLAYPVPECMCK >itb07g06350.t1 pep chromosome:ASM357664v1:7:4495414:4500961:-1 gene:itb07g06350 transcript:itb07g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVQVSGFPDLVTAEEAKTCIERHTGAGSVCALEVKMCRNGQRSYAKVQFRNNRSVDQIISLATHRRLYFGTSYLRAFEMDTRIVQDRSYTHEMENLTLYFGCQTSEKRFYSIWGGGIVSIKFGYGLKKMHLFLSYQSTEYKLQLSYEHIWEIKLYRPHRRNVKFLVIQLFAGPRIYKKAEGSIYNFYADTPDEQWVRTTDFTRNCIGQSSSLCLEIPAGVTLPKFHDHFVYYSETDWQLSVVFGLPFSHNLDLVPILRSPQEVNLPFKVLFKICSLVQQGCLPGPALNTNFFRLVDPRTINIGCVEYALEKMLSLKKCCYDPVRWLTEQYEQYHKFKQPPKSAAISLDNGLVYVRRVLLTPTRVYFSGPEVNQSNRVLRHYSDDIDNFLRVSFVDEDWEQIHSIDLSRRASSPSVISKTDIYDRILKVLKDGIVIGDKRFEFLAFSSSQLKENSVWMFASRVGLSAADIRAWMGDFRKIKNVAKYAARLGQSFGSSRETLSVARHEIEMIPDIKIQRQGTEYNFSDGIGKISADFAQRVALKCGLEDFAPSAFQIRYGGFKGVVAIDPSSSKKLSLRSSMLKYESDNIKLDVLGWSKYQPCYLNRQLVTLLSTLGVRDEVFERKQSEEVAQLNAILTDPLKAYEALELMAPGENTSILKEMLTCGYKPDVEPFLSMMLQTFRASKLHDLRTKTRIFVPKARWMMGCLDETRTLNYGEVFVQYSGAGQRQLPVGPQFYESRDYNYIVRGKVVVAKNPCLHPGDVRVLRAVNVPALHHMVDCVVFPQKGERPHPNECSGSDLDGDVYFVCWDQELIPRSMELPMDYTPAPSMDVYHDVTIEIKNR >itb07g23990.t1 pep chromosome:ASM357664v1:7:28284928:28287184:1 gene:itb07g23990 transcript:itb07g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MTMTITMASSSPSALHCSLAFNFSSRTPPLFASRLSITPSLSTKKPALNLSSSHSISTSAPLVLSRVPSPAQKAPQPFTVVCAKGYKMKTHKASAKRFRVSGSGKIMRRRAGKQHLLRKKNTKRRLRLSKMVQVSRTDYNNVIGALPYLKVNRDN >itb07g23990.t2 pep chromosome:ASM357664v1:7:28284928:28287184:1 gene:itb07g23990 transcript:itb07g23990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MTMTITMASSSPSALHCSLAFNFSSRTPPLFASRLSITPSLSTKKPALNLSSSHSISTSAPLVLSRVPSPAQKAPQPFTVVCAKGYKMKTHKASAKRFRVSGSGKIMRRRAGKQHLLRKKNTKRRLRLSKMVTLSLS >itb06g14240.t1 pep chromosome:ASM357664v1:6:18837410:18839565:-1 gene:itb06g14240 transcript:itb06g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G13120) UniProtKB/Swiss-Prot;Acc:Q9LK61] MAISSSSLSASVFPVCNCNSSAISAKPKLSFTSLPPNCAKFHPLKTSRLSTSRILAAPEVLDSSADVTATQDEAAATSFPDVSFDSDKVAPKHKIRIKLRSYWVPLIEDSCKQIMDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVDKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >itb02g06410.t1 pep chromosome:ASM357664v1:2:3991633:3993718:1 gene:itb02g06410 transcript:itb02g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPFMASQPSLAFTVTRQKPELVAPAEPTPREIKYLSDIDDQQGLRFQIPAIQFYRNEPSMSGKDPVQVIRQALAKALVFFYPFAGRLREGAGRKLMVDCTGEGVMFIEADADATLQHFAIGGEVKPPFPCFDQLLYNVPGSTEILNCPLFLIQVTRLRCGGFIFALRMNHTMGDGYGLFHFMNTIAEIARGVETPTFQPVWQREYFSARNPPRITCTHYEYEEEPTDSMVTNIPLDNLVHRSFFFGPKEISALRSSLPPHLRKCSTVEILTALLWRCRTNALGFNPDEEVRVLLLINARFRFKDTPLPSGYTGNAFAYPGAKTTAGKLTKNPLGYAVELVSSIKRCFSEEYMQSVVDLMVLKGRPPFHVAGSFIMSDLTRYKVLDVDYGWGKAVYNGPPHGGATDVPGVATFHVPHKNNKGENGTLIPMCLPAFAMDKFVNELANTFIRAAL >itb15g03840.t1 pep chromosome:ASM357664v1:15:2418524:2421166:-1 gene:itb15g03840 transcript:itb15g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-3 [Source:Projected from Arabidopsis thaliana (AT2G41690) UniProtKB/Swiss-Prot;Acc:O22230] MLGPECDRQHQKSLLEYVRKSSPPPFLLKTYMLVEDPATDDVISWNDDGTAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVTTNRWEFSNEMFRKGERDLLCDIRRRKAWSNKPNNNNNNNNNAQSQDPPKNNKEANDEDQRSSSTSSSSEYITLVDENKRLKEENGVLSSELTTMKRKCRDLLDLVSMYAASSEKEEEEEEEEEEEVMRPPLMLFGVRLEVQEEAERKRKRAEINQTASLFLSQLCK >itb01g25160.t1 pep chromosome:ASM357664v1:1:30640198:30642360:-1 gene:itb01g25160 transcript:itb01g25160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDTSVYLSLNEGNSPRLENLRKVSIVPLVFLIFYEVSGGPFGVEDSVQAAGPLLALVGFLIFPLVWSIPEALITAEMGTMFPENGGYVVWVTSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALADGLPRIIAVLALTIALTYLNFRGLTVVGWVAILLGVFSLLPFLVMGVVAIPQLEPSRWLVVDMNNVDWSLYLNTLFWNLNYWDSISTLAGEVENPDRTLPKALFYALLLVVSGYFFPLLIGTGAVAVDRDVWSDGYFSDIAKIVGGVWLRSWIQGASAVSNMGMFLAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSAMGVVLLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRMKYPAASRPYKIPLGTGGSILMCIPPTLLILVVLALASLKVMVISLLAVLIGMVLQPCLVYIEKKRWLRFSISCELPDLHHN >itb01g25160.t2 pep chromosome:ASM357664v1:1:30640197:30642218:-1 gene:itb01g25160 transcript:itb01g25160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDTSVYLSLNEGNSPRLENLRKVSIVPLVFLIFYEVSGGPFGVEDSVQAAGPLLALVGFLIFPLVWSIPEALITAEMGTMFPENGGYVVWVTSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALADGLPRIIAVLALTIALTYLNFRGLTVVGWVAILLGVFSLLPFLVMGVVAIPQLEPSRWLVVDMNNVDWSLYLNTLFWNLNYWDSISTLAGEVENPDRTLPKALFYALLLVVSGYFFPLLIGTGAVAVDRDVWSDGYFSDIAKIVGGVWLRSWIQGASAVSNMGMFLAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSAMGVVLLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRMKYPAASRPYKIPLGTGGSILMCIPPTLLILVVLALASLKVMVISLLAVLIGMVLQPCLVYIEKKRWLRFSISCELPDLHHN >itb04g11890.t1 pep chromosome:ASM357664v1:4:11507545:11508669:-1 gene:itb04g11890 transcript:itb04g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKCHVLLAVFPAQGQLNPSLQFAKRLVKMGVKVTFSTSSSAINSMSNRPEIQGLELAPYSDGFDGQFQGVSVNDFWASVKTKGTDALADLIAAKNNEAKPFTRIIYTTAMAWAGLVARRFQLPFTLLWIQPARCFFTHCGWNSMLECLTSGVPVVACPVWNDQLSNAKLVQDVWKSGVRVGVNEEGVVTADEFKRCIECVMGGGENGEELRKNAKKWKDLAKAATKEDGSSYLNLKAYIDEMLRSP >itb03g13430.t11 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t11 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITVSSLYMLLA >itb03g13430.t1 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPARGQIVGEDGVRVHSLVLPGLPSSTTVYFSRPGEVYTLKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFL >itb03g13430.t3 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMVRYRPQAYVTYIHYSPFISISLALKPNLITYLLPSTYCTTHAGLACIDVLPTNYQLPITIQGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPARGQIVGEDGVRVHSLVLPGLPSSTTVYFSRPGEVYTLKHDITDVQCLMPGLLLAIRKVVRLKNLVYGLEKFL >itb03g13430.t10 pep chromosome:ASM357664v1:3:13579035:13581717:-1 gene:itb03g13430 transcript:itb03g13430.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPVCNLTCPPHTHLGK >itb03g13430.t5 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMVRYRPQAYVTYIHYSPFISISLALKPNLITYLLPSTYCTTHAGLACIDVLPTNYQLPITIQGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPARGQIVGEDGVRVHSLVLPGLPSSTTVYFSRPGEVSIILYCMHGSYRTN >itb03g13430.t9 pep chromosome:ASM357664v1:3:13579035:13581717:-1 gene:itb03g13430 transcript:itb03g13430.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPARGQIVGEDGVRVHSLVLPGLPSSTTVYFSRPGEVSIILYCMHGSYRTN >itb03g13430.t8 pep chromosome:ASM357664v1:3:13579035:13581717:-1 gene:itb03g13430 transcript:itb03g13430.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPARGQIVGEDGVRVHSLVLPGLPSSTTVYFSRPGEVSIILYCMHGSYRTN >itb03g13430.t4 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPVCNLTCPPHTHLGK >itb03g13430.t6 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMVRYRPQAYVTYIHYSPFISISLALKPNLITYLLPSTYCTTHAGLACIDVLPTNYQLPITIQGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPVCNLTCPPHTHLGK >itb03g13430.t2 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPVCNLTCPPHTHLGK >itb03g13430.t7 pep chromosome:ASM357664v1:3:13579043:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITDFPSQDAVQIANNISNLGQMYNREDISAETPLGKGPNCGGRWSACA >itb03g13430.t12 pep chromosome:ASM357664v1:3:13579035:13581719:-1 gene:itb03g13430 transcript:itb03g13430.t12 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAGAALSGEFHIWYSCKNGEITRVKGGGRAGSIKCGMAAQQPSQTQSNNNNNIKVIINGAAKVIGRAAVVAVNKARGMEVAGAVDSHLVGEDIGKVCGLEEPLEVPIINDLTMVLASISQSNATGVVLDFTDPSTVYENVKQAAAFGMRSVVHVPRIKEDTVMGLSAFCEKASMGCLVAPTLSIGSILVQQAAISASFHYNNVEIVESRSTAAITVSSLYMLLA >itb12g09340.t1 pep chromosome:ASM357664v1:12:7348245:7350124:-1 gene:itb12g09340 transcript:itb12g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPLPLLYTEKEIQQWREDRRKNYPSKANIEKKSQKLAGTEDNANAAKLRRQQLKEILAKQAELGCEIAEIPSSYLSDLERPGHERENRRPFRKNEKFQYKSNKRGRFGQKNQFSKKQKFEDPSSSNIQEQNDNNSREQKLEKSGSASQSKRKPTLLQKLLGADIRRDKQHLLQVFRFMVMNSFFTECPEKPLKFPSVIVKESENQNVASEQASPPLKSPSLIVREPGNQNVAIEQASPPLKSPSLIARESGNQNVAIEQAFPIEEPFNDDNDEDKKFKELDDLLYQNVGHTEEEENQEEGEIID >itb01g35910.t1 pep chromosome:ASM357664v1:1:37850849:37851866:1 gene:itb01g35910 transcript:itb01g35910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVHLQTPQNLANRKSKSIHDHSKKTLKNAKKNLNSEFAAFVEGAALHESPNDTADFSLISEAVDDYQFGDSTEKFVFPLLPEVSPSSDNGGLSDLTSVSSGIASDIYSTGISSTYQCQNSEAKISVDTEMAIKHLRQVRNQVMNSTDVDLQSKRLLDAVIDVVVQEFCGFPEKKKCSDSPILKKCLLVSLTFLLWILAVFFGSLFSSSGTQPFLGPLPT >itb14g18450.t1 pep chromosome:ASM357664v1:14:21472258:21472575:-1 gene:itb14g18450 transcript:itb14g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNNSPNVGFSHRRGTVRLSFQVRRSSEIDPSFVAIEVSLKFKHIFLGIGGEDGYSYQRSLGESTISFPLSHSSSGLHLDAYLGNQFHRWMPALSPRVCGILS >itb10g06520.t1 pep chromosome:ASM357664v1:10:7353140:7353496:1 gene:itb10g06520 transcript:itb10g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKRRKKLDHSLPLSAVSRLCLSASQVSPSLASLRRESGRRPDGDSSLLQPQVAAYSRHSPPLVLRRHRPTPAACQGRHRPPADARSLIGSSLHRQLPISIAVFPSAHLHCSRTPFS >itb12g01530.t1 pep chromosome:ASM357664v1:12:1037983:1041370:-1 gene:itb12g01530 transcript:itb12g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRFQFVFCFAAAIISISIFFSTADLAGDRAALLALRSAVGGRALLWNLSEPNACSWPGVQCSSDRKFVAELHLPGMGLSGKIPPGSIGNLTGLQTLSLRYNALSGPLPPDLFSSLRDLRNVYLQHNFFSGPIPGAVFSLPNLIRLNLAHNNFSGSLPASINNLTRLATLYLQENQFSGSIPELHLPGLIQFNASDNQFSGPIPAELSGRDKSEFQGNSLCGPPLDLCGGGGQSKSKKKLSGGAIAGIVIGCVVGFLLILLLLFFLCRKRAENEPRSAAAANKQSEIEIPQEKPAGTLGGGLAALGAREKEKGKADAIAGGNGKHLVFFTKTPRSFDLDDLLKASAEVLGKGTFGTAYKAVLEMGLTMVVKRLRDVSVPENEFKEKIEEVGKMNNENLVPLRAYYYSRDEKLLVYDYIAMGSLSALLHGNKGAGRTPLNWETRASIALNAARGISYLHSQGPSTSHGNIKSSNILLTKSYEGRVSDFGLAQLIGPSSTPNRVDGYRAPEVTEPRKVSQKADVYSFGVLLLELLTGKAPTHSLTNEEGIDLPRWVQSIVREEWSAEVFDLELLRYQNVEEDMVQLLQLAVDCTAQYPDQRPSMAEVTSQIEDLCRSSFQDSHGDIIDDIEQPNA >itb09g16370.t1 pep chromosome:ASM357664v1:9:11575143:11578437:1 gene:itb09g16370 transcript:itb09g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRDTLRLISYEKEIVNGEPIFVSSNCLPIKAQRFEPAGHSFHSAALRLAGCFEEENVEDNNQDVPTDKEESNFYSSESYSSKSKKKSSAEAKQQDHYALLGLSHLRYLATEDQIRKSYREAALKHHPDKQAILLLAEETEAAKQTKKEEIENHFKAIQEAYEVLIDPIRRRVFDSTDEFDDEIPTECAPQDFFKVFGPAFLRNGRWSVSQPVPLLGNDNTPYKEVDSFYDFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLTEKARKEEYARIRMLVDNAYKRDPRIVRRKEEEKAEKQRKKEAKVLAKKLQEEEAARIAEEERRRKEEEEKSAAEAALQQKKLKEKEKKLLRKERTRLRTISAPLLSQNLLGLTNDDVEHLCTALNIDQLKNLCDGIEGREGVAKAELLREAFGRDHNSKDEKPKKDEKLNEKKNTQQNGSLAANGQNPFSSTEKKEREWTKEEIDLLRKGMQKYPKGTSRRWEVISEYIGTGRTVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAQTIASPLTTREEVEGTSNANKNENNTGSKVPNSQSSPSQSSSCQNPTDDLAANVAEGDVWSVVQERALVQALKTFPKEASQRWERVAAAVPGKTVNQCKKKFTLLKESFRNKKNAA >itb06g21290.t1 pep chromosome:ASM357664v1:6:23923719:23927932:-1 gene:itb06g21290 transcript:itb06g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVWANLRSKKVASIKDEKDKVEIQSSKRMDSGKTNTNRRKAIRERKSTLLHDVDKLKKKLTHEENVHRALERAFTRPLGVLPRLPPYLPSSTLELLAEVAVLEEEVVRLEEQVVHFRQGLYMEAVYMSNVDELNNPCKSSNIEKSELSPQTELNSVLDDRPLKENQLRVAIKSKQKSVNSKSRTKVKRSVEDGLDAQKMQLEGKIMERENADERSSVARDTKTLSGDDNPNKISESIVKCLLVIFFRMSSKKSRSSTETLSSLSMASEFSDPYRICSKFGKRDIGPYKHLFAVEAASINPNKSTISVFLVRRLKLLLEKLASVKLDGLTHQEKLAFWINIYNSCMMNAFLENGIPESPEMVVALMNKATINVGGHFLSAITIEHFILRLPYHSKHHQSCTKGTKNDEMTARSIFGLEFSEPSVTFALSCGSWSSPAVRVYTALRIETELEVAKREYLQASVGVLRSKKLVGIPKLLDWYLLDFAEDFGSLIDWICLQLPRELGREAISCLSRRDDNDNEPLSQVLQVMPYDFSFRYLLHM >itb10g01520.t1 pep chromosome:ASM357664v1:10:1153963:1156778:1 gene:itb10g01520 transcript:itb10g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVNKKTGKRNLIRSWIGRDDPQPGKLSWGMDPKGSPQFLIWKDDKPYYRSNLYLLTVGFKPKSQRDWDKGNYGGGCVRRKDLQCDGNDRFMRLPRMKWPDHSTSLGNMTFEECEIACSRNCSCSAFAYANISTDSTVNCLNWFGDLVDLTHNNSAGLNGFGQDLYVRVHSSELDGSSGNEHSAHKNKGLVAIIVASVSAFFLVAVLAYILNRKYFRRKEGYSVAVLFQGFLSEFGMVAIKRLSKRSSQGLEEFMNELKLIAKLQHTNLVSLLTKLGQKQSMWLEHNGSGGNEHSAHKNKGLVAIILGDDGNLQLLNGRKNIIWSTNISGSELAGNPVEAYLQNNGNLILKQGDSPIWESFDDAGGDTLMPGMKLKVNTKHYQILD >itb06g13740.t1 pep chromosome:ASM357664v1:6:18413630:18414506:-1 gene:itb06g13740 transcript:itb06g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTLNLSASVSPGAVRSNRKLQFAAAKLRRPAISSAMAQAAPAVEMRGAGSLYEVLRVKQNASPREIKTAYRTLAKIYHPDAAARFEESSSDGHLFMEIQNAYATLSDPESRAVYDLKLRVGSRRRNGLSGYSSRRWESDQCW >itb02g09500.t1 pep chromosome:ASM357664v1:2:6068628:6073949:-1 gene:itb02g09500 transcript:itb02g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATKQLVREEEPRQCSLSVAVGLQFERETWGRWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSLETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLHCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTYENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCNDVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGXTKRRLDFSQLSGDSIDDSQASSPPNDKGKKPKIG >itb02g08080.t1 pep chromosome:ASM357664v1:2:5065730:5067805:1 gene:itb02g08080 transcript:itb02g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSDSGEDRPARLFAHNSGGNNNSVTINEITRRSGMRACPSCGHPIKCEQKAGIHNLPGLPAGVKFDPSDQEILEHLEAKVSLDSHKLHPLIDEFIHTLEGEDGICYTHPEKLPGVTKDGLVRHFFHRPSKAYTTGTRKRRKVHTDVDGNETRWHKTGKTRPVAAKGMVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGDDEDEKEGELVVSKVFFQTQPRQCGSLLRDSPPLDLPQAGRKAGSHLRESAATMVESFNPTLISFDQFDHNRPTSRLLPSFNMHDTSFIP >itb05g27560.t1 pep chromosome:ASM357664v1:5:30873637:30876735:1 gene:itb05g27560 transcript:itb05g27560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFASVTLISAFLLCNCYELRDQHGKITYTHGSYLDAVQSILGNPLQCDISKQNLRSNGVRNFASQFREKSSSSIHRRSLDPTATAGGKVLQSARKGNKNAWFCRFFVSINSIKLGIVYTITFALSIRAIGKSNCYHNEGHKAECQYSNTKYMIIFGVVQVLLSQIPDFRSTKWLCVVAAFMSLTYSLIGSGLSLEKVIDNGEIKGGIGGWPSPNAVKKVWPVAQALGNIAFAFPFSIIILEIQDTLKEPTEKATMKKASIMAVCISTFFYLCCGGLGYAAFGTETPENLLAGFGFYEPYWLLDFANVCIAVQLCGGYQVFSQPLYAITEKLLLKKLPQNHFFVRDYNLKLNKHLPAFRFSFLRVIFRTTYVAIITGIAILFPYFNQVVGVAGAITFWPIVVYFPVEMYLKQKRIESWTTKKILLRTYSYVCLVVILFALVGSIRGLIIARLS >itb08g10880.t1 pep chromosome:ASM357664v1:8:10547852:10552474:-1 gene:itb08g10880 transcript:itb08g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYEDKVCDYWHNDGRWKWEIMPPMPLEIKQKLEVTTVQEGEGNDESYWKKEASGNFSVNSAFLLTHALETNVQERFWNKLWRLKVPNKIKTFLWTAMHDRVMGNVERKRRGLTLDDGCVECPRVAETTAHILWSCEKAMELWRAFAGATRRRRWRRLQFKDWIMDNINNDHGEPGDTDWPNRFAIIVWWIWRWRCDKIFNDRGVTTQHKISWIKEAVHETATAFARVKTSSTTERRTRTTWLKWRASTDHCFTLNIDGSVKSGIQKAGIGGVMWNARGEMLCVILLHFVCYVQGYRVLYDSMWCAIVKQFYKPASHKTHGNLKVEFAIHMSSIAECLHTRSSFLA >itb03g01930.t1 pep chromosome:ASM357664v1:3:1091567:1093357:1 gene:itb03g01930 transcript:itb03g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITREVDFCNAGTRQEGDNSSDVKVNLHGKTVTVAGFSASRPTTSSSTSTTSWTRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLDAAKGDIDQLPPLQIPPRIFDPFLAAPPSTAVSAVSTPPPFTSFLRWDPSPPPPPTKPELDHFHTFNNAVLSSPSLPPSAAVSSQVLVCPPPGLQPYSFLHHPATSPQELDLKQIINFQMLMSSTSQPPFSTSLQPQPPPLVIKPMHFLHSHHAGAPPDKNEDP >itb02g02390.t1 pep chromosome:ASM357664v1:2:1363623:1364342:-1 gene:itb02g02390 transcript:itb02g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLTKIKSAVLKKMQSFKLSRGTGGSSVVVAATNSFSDDDEHFSGAASSKDLHPVYVGKSRRRYLVGADIIDHPLFRELAERSGSYSDESIAVGCEVVLFEHLLWMLENADPQPESLDELVDFYAC >itb04g21000.t1 pep chromosome:ASM357664v1:4:26027473:26028453:-1 gene:itb04g21000 transcript:itb04g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAGKEIVLATMLMMSFIVMLTLAAEYEISWEFGNNYYDSYRESGIPMVPKSCRGTCGNISIFYPFRIGPDEDCYLNKWFLVNCVKSSHGLEKPFLSSFSDDKDGVREIVSISYESQVLVMKEWFSPLCQTTTNLSVMRNTKLSGTPFFYSSKNNRFMFYGCGSAVLTTPPPPVPEFLQSSCKSSCSNNTTTPAPKFANDCNGINCCHLSFHNDVNAYQINIAANSSIVNASCNYAFFPAIYSARGFSLQTISKLLPEDKLVVPVFWTWAVTIHDLMTRLPHYSRDCGPYNNMFPQELRRTYATCGCKYPLDGNAYLPNGCQGT >itb10g04990.t1 pep chromosome:ASM357664v1:10:4974110:4976196:-1 gene:itb10g04990 transcript:itb10g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYLKNEVEELEELEKEKQKYYASENKEMDEFMEQVENFVLECQVQIEELRCHANELKLIFSELKGNINHSNNSEIAAAERRKSELLTVKESVDRDLALNYQLREQLRRQLLNLLINQNPQRD >itb15g11790.t1 pep chromosome:ASM357664v1:15:9624430:9630845:1 gene:itb15g11790 transcript:itb15g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLSSFLESSDLFTSDHASVVSMNLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLLSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAIGTLISCSIISFGAVKIFKKLNIDFLDFGDYLAIGAIFAATDSVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDMTSFDPKIGLHFIGNFFYLFISSTFLGVAIGLLCAYVIKKLYFGRHSTDREVALMMLMSYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTRHSFATLSFVAETFIFLYVGMDALDIDKWTFVKNSPGTSVAVSSILVGLILVGRAAFVFPLSFLSNLAKKNSSDKISFRQQIIIWWAGLMRGAVSIALAYNKFTTSGHTSLHENAIMITSTVTVVLFSTVVFGLMTQPLINLLLPPHKQLSSGHSSMTTSEPNSPKHFAVPLLDNQQDSESDPIAGPEVTRPTALRMLLRTPTHTVHRYWRKFDDSFMRPVFGGRGFVPFVASSPAEQSPR >itb15g06300.t1 pep chromosome:ASM357664v1:15:4124480:4125634:-1 gene:itb15g06300 transcript:itb15g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLVIAVLCMVVVAPHAEAAISCGTVAGSLGGCFNYITGKGQLTPACCDGVKKLAAAAKTTADRQTACGCLKNLASKLPGLNPNLAAGIPGKCHVNVGYPISTSTDCSKVH >itb09g30250.t1 pep chromosome:ASM357664v1:9:30876438:30878660:1 gene:itb09g30250 transcript:itb09g30250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLPPPLKCMPDDDSPMPIIGVYIAAASLVCSIAMFLNSCLTFINSFPKFNVRFSGNFFPLNATWLTLLAVATKLATDLTTPKLSYLDNLVKLTNMVFLIVAMSNFFTSLGSMNNVDIVTNLTALSILVITVVVDLYIQLSFRVFDDPLSSPIIIIIQIAFLLCTWMTIVSSALAVPAIKKRAESKYQKLASDERQMEAGQHYRVEELRLSITKYWVMAASGSPQLLTKRVDKLVEMGKKQGPHNLFNLLNQSFSFGGVVEFDSNRVPSLLSGEPPNCWTLPVVTLTSIAIAIPNIASRHVDWLVSSANEGLRYASLIDVLDEKCRLKSIKNAADVVWVGVELHRKWLDMDLKRKTGEISSVKDIIQDLNDVSERIVMEFSSMENIMIVENPLYWPANVLAANSMYRITRTILLYYEDGECQAEELFRKLICMIANILAACLTNLPHMIYTKCIGSAIEERLESVRDAAIIFGETEDILKLFEERKLSSIGPSQPLCIDEWRGWIEQQTTTISSSATSNGASSVESNEHVVLQMQA >itb10g13140.t1 pep chromosome:ASM357664v1:10:19270062:19275906:1 gene:itb10g13140 transcript:itb10g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFRKSPKLVTCKLPSLNGVYIAVAAQAQSQPPSSVARSPVSASPSSVTASHRRLARFSLPWPIRLSPSGSQRRNTKPNTANTLHYHRWGTLSRMAIVLDVKDAREWSYRGEGAVNLVLSYRGTSPHFLGKVLRIQKVPTNGSENENGHPGLTMHEIILWKDVKELASAPTREIAEHFFVQHVMCPLLGSEHVDAGIRILVSREFLEEVEKNVLRKRPTWRVDAAKVNLRSDSILLMSDHSIFPHVTPQEELCICVEIKPKCGFLPVSEFIIESNALKRRTTRFKMHQALKFHQGKISYISGYDPLDMFSRSKDRLDKAIKDLFMTPQNNFRVFVNGGLIFGGFGGGAESTNSKAGQAFEDALKNIIFAKEEGMRTEFFLELISEAVFSSGLLNRLLEVQKLDIFDIEGAIHVYYNVISQPCMVCRDLGNDLSKRFNTLHSISMEESWKILRDYLIAATAKDLSMMISFRPREDGKRESSYSLVSLKSTNQNFDYKASFIDLDLKPLKKMEYYYELDKKIVSSYVKMVNSGKGMACSY >itb11g09510.t1 pep chromosome:ASM357664v1:11:6352672:6354361:1 gene:itb11g09510 transcript:itb11g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLKWGPYSTTYVPNLFNNSIRVLCNANTSEGFNPRKDVSLPEINLKTGEIFGLLGGPSPSRRSILAFFAGGLHGHIRHLLLDRWKGKDEDILVYEKLPGGGPSYESMLKNSRYCLCPSGYEVASPRIVEAIYAECVPVLISDGYVPPFSDVLNWKAFSVTVEVKDIENLKTILMGISQRQYLRMHRRVKQVQRHFVINGPPKRFDLFHMIVHSIWLRRLNVRVHDR >itb12g02840.t1 pep chromosome:ASM357664v1:12:1862753:1869785:-1 gene:itb12g02840 transcript:itb12g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSSKVSGSNSNAASSGANAANTRKTITKPPPITTTKREVPHCSQQKAKEIAQKHQQQQQPRNSNVKASSRKGGVIPCGKRTDFGYDKDFGQRYSLGKLLGHGQFGYTYVATDKSNGDRVAVKRIEKSKMLLPIAVEDVRREVKILKALAGHENVVQFHNAFEDENYVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKMDSPLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNSAKDFVKKLLVKDPRVRLTAAQALSHPWVREGGNASDIPLDISVLSNMRQFVKYGHLKQFALRALATTLQEEELADLKDQFAAIDVDKNGVISLEEMRQALAKDLPWKMKESRVLEILQAIDSNTDGLVDFQEFVAATLHVNQMEEHNSENWQQRSQAAFEKFDIDKDGYITPEELKLHTGLRGSIDPLLEEADIDKDGKISLSEFRKLLRTASMGSRTVTSPSARRGSRL >itb12g02840.t3 pep chromosome:ASM357664v1:12:1862753:1869748:-1 gene:itb12g02840 transcript:itb12g02840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSSKVSGSNSNAASSGANAANTRKTITKPPPITTTKREVPHCSQQKAKEIAQKHQQQQQPRNSNVKASSRKGGVIPCGKRTDFGYDKDFGQRYSLGKLLGHGQFGYTYVATDKSNGDRVAVKRIEKSKMLLPIAVEDVRREVKILKALAGHENVVQFHNAFEDENYVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKMDSPLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNSAKDFVKKLLVKDPRVRLTAAQALSHPWVREGGNASDIPLDISVLSNMRQFVKYGHLKQFALRALATTLQEEELADLKDQFAAIDVDKNGVISLEEMRQALAKDLPWKMKESRVLEILQAIDSNTDGLVDFQEFVAATLHVNQMEEHNSENWQQRSQAAFEKFDIDKDGYITPEELKLVSISSFPTF >itb12g02840.t2 pep chromosome:ASM357664v1:12:1862878:1869785:-1 gene:itb12g02840 transcript:itb12g02840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSSKVSGSNSNAASSGANAANTRKTITKPPPITTTKREVPHCSQQKAKEIAQKHQQQQQPRNSNVKASSRKGGVIPCGKRTDFGYDKDFGQRYSLGKLLGHGQFGYTYVATDKSNGDRVAVKRIEKSKMLLPIAVEDVRREVKILKALAGHENVVQFHNAFEDENYVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKMDSPLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNSAKDFVKKLLVKDPRVRLTAAQALSHPWVREGGNASDIPLDISVLSNMRQFVKYGHLKQFALRALATTLQEEELADLKDQFAAIDVDKNGVISLEEMRQALAKDLPWKMKESRVLEILQAIDSNTDGLVDFQEFVAATLHVNQMEEHNSENWQQRSQAAFEKFDIDKDGYITPEELKLVSISSFPTF >itb12g02840.t4 pep chromosome:ASM357664v1:12:1862753:1869785:-1 gene:itb12g02840 transcript:itb12g02840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSSKVSGSNSNAASSGANAANTRKTITKPPPITTTKREVPHCSQQKAKEIAQKHQQQQQPRNSNVKASSRKGGVIPCGKRTDFGYDKDFGQRYSLGKLLGHGQFGYTYVATDKSNGDRVAVKRIEKSKMLLPIAVEDVRREVKILKALAGHENVVQFHNAFEDENYVYIVMELCEGGELLDRILAKKDSRYSEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSPKMDSPLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNSAKDFVKKLLVKDPRVRLTAAQALSHPWVREGGNASDIPLDISVLSNMRQFVKYGHLKQFALRALATTLQEEELADLKDQFAAIDVDKNGVISLEEMRQVYFFHMSHNALLT >itb14g17180.t1 pep chromosome:ASM357664v1:14:20501290:20504751:1 gene:itb14g17180 transcript:itb14g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVWNSVSIVFMISLAFVYFCSKSLTPYYWILESIHGSNNLEIQVQAVCNVSNGGNQPILKKTKCNWFKGTWVWDDSYPMYDSMSCPFIRKEFHCIKYGRTNLEYLKYRWQPHGCELPRFDGEDFLRRMKGKKIMYVGDSLSLNNFESLLCLLHGTVPGVKYKEEFTPLNVTVTFQDYDVEVILFHSEFLVDIEKEGIGRVVKMNSVKNGEIWKHINVLIFNSWWDFIEQVDGKVVKDMNRVEAFQMALQTWAKWVDTEVDLTKTKVFFQGETAAHYRGDLWGKTAKTNCVNEFMPINETVISTAASPVTNITKKILSEIRKPVFFVDLATLSRFRVDAHIMKYNGFHGTDCTHWCIAGVPDTWNLLLYAALLQDT >itb09g28180.t1 pep chromosome:ASM357664v1:9:28837705:28838016:-1 gene:itb09g28180 transcript:itb09g28180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKLATRRLACRGGVKRISGLIYEETRSILKVFLENIIRDAFTYTEHAHRKTVTAMDVVYALKRQGRTLYGFGG >itb13g21860.t1 pep chromosome:ASM357664v1:13:28365399:28365826:-1 gene:itb13g21860 transcript:itb13g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPCPTCLPKAPFSSFPHITVVPNSVSPLLRTLPSPLHRKHGSRAVVSFNSVRAIIIARIYAVNRVVVVSG >itb07g23650.t1 pep chromosome:ASM357664v1:7:28028814:28029707:1 gene:itb07g23650 transcript:itb07g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLLLLLSFFLFCCLSQQVLSDVELEDHQTHQVVKGPNRRLLPFVDCGGLCKNRCSVHSRPNVCTRACGTCCVRCKCVPPGTSGNREACGKCYTDMTTHGNRLKCP >itb03g27850.t1 pep chromosome:ASM357664v1:3:28111517:28115051:1 gene:itb03g27850 transcript:itb03g27850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSSIPSLGSQFPTRSCALSSTPWPPVSTDWEFFKGTRGHHASSHHAGCLYCCAQTLQCYYTFMASYDSGRTITQKKPTSAVAALLDVAERRGSATLSLSNTRRIRENYCSETGIYRSKHPSVHLPSEPFLDISSFILSRNHGGLSALIDSQSGFSISYPELRSLVNSMAAGLHRLGVFQGDVALIFLTNSIYFPVILLDLLSVGVVAATMHPLTTQAVSTAVLKLFNATIPSWPHMIPGAQ >itb09g27520.t1 pep chromosome:ASM357664v1:9:27776072:27776498:-1 gene:itb09g27520 transcript:itb09g27520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIQRKRISFPSLYDDADSCSISSAVNTGHFTIYTADQKRFVFPLSYLENEIIRQLLTEVYLENLRVHCSSQLLHIGVHQLRYTKKGGEIRNW >itb04g11860.t1 pep chromosome:ASM357664v1:4:11491235:11492472:1 gene:itb04g11860 transcript:itb04g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLYTQRTTLTREADGTTMMKAISVEDYKSKSVIMVAESSGYGMNRVVIDLSQGQGTLGVPRVVIDLTQDNSDDEIQILEAMPSPARIKPECSNSKPVYIDVEYTMETSASLFICEICCDAKQISDIFRIKACKHSYYSDCISKFLASKLQQNVPKINCPVSGCTGVVEPHNCRSILPPQDCSALLIDEKIEVVESECHECRRLFCAKCKVPWHAGIVCFEFQKLHENEREEEDILLLNIANQKQWMRCPNCKVYVERVSGCAFMMCRWTQDGSMKLRQSGDGDNNNRSAPDFVTPALRRERERELREGSRKNVEISIEGEN >itb14g16600.t1 pep chromosome:ASM357664v1:14:19929825:19931390:-1 gene:itb14g16600 transcript:itb14g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIDQLFCSSPASTAICSSTVQHGVVPHGGGVRPTIDRRRVHRLGGHPPKNRAPAPCSSHLPFDPIPHYQKARKSTSSAVKPGELSRKSSADVGDLRAATAATSPPGSSRYLLGDSPFIDLLSSSNANLARKSLVKRQPSNELPVFRSSSARSPLESPVYKPPSLTYVDDSNVKSSPSTPSHHNHQVVELRVSIHCKGCEGKVRKHLSRMEGVTSFSIDLYSKKVTVIGDVTPVGVLASLSKVKNAQLWPSPATVMSSSSPRVSLTN >itb04g10950.t1 pep chromosome:ASM357664v1:4:10490047:10492885:-1 gene:itb04g10950 transcript:itb04g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDGSPHDKSVFNNIGGLKHLKVISLSGCGLSGSLPSQGWCELKSLQELRLSNNKFNGVLPPCLGNLTSLRLIDLSSNQFFGNIATSPLSRLTSLEALTIPNNHFQIPISFESFSNHSNLKCIFAPNNEVISETNSFKSPIIPSFKLECLSLSNCVGQYPRLPSFLLHQNQLRIIDLSSNNVGGDFPAWLFENNTKLEGFYMGGNAFKGILKFPQKSNFHLTTINISENHICGQIPENINIDFPNIEALTMSWNNLVGSLPSSFGDLKSLGYLDLSNNNLTGELPQKLATNCHSLYLLKLSNNMFQGQIFLGALHTFELIVLQLDNNNFVGKIPDSLSTNILFHLEAIDLSNNHLHGELPKWIGNMTNLAHLFLSGNQLEGPFPIEFCDLEEIELLDLSDNKLSGSIPSCFNPDTLRHLHLSNNWFGGKLTRAFRNSSSLLTLDLSDNNFRGTIPKWIGNLEGLSILLLKGNHFEGVIPSQLCQLRDLSILDLSSNNLTGSIPRCLGGMTSDGLGSYNTDVTWIVIGNPMPDATISYLQTSRFAKTFHLPLAIIYNEENNFIDNHNIRAEFTTKLQAHSFQGIVLEYMLGIDLSCNQLTGNIPLDLGNLSKIYALNLSHNNLTGSIPITLSGLAKVESLDLSYNMLKGRIPAQLIALNFLEVFSVAHNNLSGPTPDRKAQFATFDASSYEGNALLCGPPLSNLCTHKELPPPQVLLHENDKEESNFIDMKSFYISFLIAYTVMLVTVVAVLCINPYWRRTWFNFIEFCAMSCYDYVWIAFLNFKLRMRSIRARFM >itb08g12310.t1 pep chromosome:ASM357664v1:8:12448336:12448827:-1 gene:itb08g12310 transcript:itb08g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALLRVSPFDCERRETVSVAGWLLADGDLHSLEATIVGVSSMALSTYSTSEGHRLSQSSGDGSPIAVYSSQQAIGLRNWNWPVGQESKTRPGWFLRMAIEGDLCSQSNGGVCLHRSSNRASPRQQGKAGVLNPTVIDGVSVLPKNITTWRLRLRAALRLVF >itb01g09320.t9 pep chromosome:ASM357664v1:1:7549452:7551971:1 gene:itb01g09320 transcript:itb01g09320.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLVVA >itb01g09320.t4 pep chromosome:ASM357664v1:1:7549452:7551971:1 gene:itb01g09320 transcript:itb01g09320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGVEARGFMFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLVVA >itb01g09320.t7 pep chromosome:ASM357664v1:1:7550417:7552442:1 gene:itb01g09320 transcript:itb01g09320.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYKIEPRTGYGN >itb01g09320.t3 pep chromosome:ASM357664v1:1:7549452:7552390:1 gene:itb01g09320 transcript:itb01g09320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGVEARGFMFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLVVA >itb01g09320.t1 pep chromosome:ASM357664v1:1:7549385:7552442:1 gene:itb01g09320 transcript:itb01g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGVEARGFMFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYKIEPRTGYGN >itb01g09320.t5 pep chromosome:ASM357664v1:1:7549452:7551971:1 gene:itb01g09320 transcript:itb01g09320.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGVEARGFMFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYKIEPRTGYDQSIILSM >itb01g09320.t8 pep chromosome:ASM357664v1:1:7550417:7552442:1 gene:itb01g09320 transcript:itb01g09320.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYGN >itb01g09320.t10 pep chromosome:ASM357664v1:1:7549452:7551971:1 gene:itb01g09320 transcript:itb01g09320.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYKIEPRTGYDQSIILSM >itb01g09320.t2 pep chromosome:ASM357664v1:1:7549385:7552442:1 gene:itb01g09320 transcript:itb01g09320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGVEARGFMFGPPIALAIGAKFVPLRKPGKLPGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYGN >itb01g09320.t6 pep chromosome:ASM357664v1:1:7549452:7552390:1 gene:itb01g09320 transcript:itb01g09320.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQDITKAIRVIPNFPKQGIMFQDITTLLLNPKVFKDTVEIFVDRYKDMGISVVAGEVISEAYELEYGRDCLEMHVGAVEKGDRVVVIDDLIATGGTLSAAINLLERMGAEVVECGCVIGLPEVEGQNRLKGRPVYMLVEPRELDDSDLFEISRYGN >itb05g17820.t1 pep chromosome:ASM357664v1:5:24722293:24725357:-1 gene:itb05g17820 transcript:itb05g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKPTSKGQAWFCTTGLPSDVIIEADDMTFHLHKFPLMSKSRKLHELITEQETKPSGARVQKPDGDGDGDGEIEEEGVEDEGVEEEELQCHISLPNFPGGSETFEAAAKFCYGVKIDLSASNVAPLRCAGEYLEMTEEYSEENLISKTERFLSQTVLKSIKDSIKTLNSCEKLLPLAETLGIVQRCIDAIAARASSADPSLFGWPMSDGAANRKAILNQPLWNGVEANTRRKGTSRGGATDSWCEELGHLSLPLFQRLILAMKGRDLNPEVIETCLMYYAKKYIPGLSRSSRKTSSSSIPSENEQRELLETIIANLPTEKSSRASSATRILFGLLRTANILNASENCRSALERKIGSQLEQATLDDLLIPSYSYLNETLYDVDCVERILGYFLSGLEEGSVNRIEGEDEHDSVRSAAVMLVGKLIDGYLSEIASDSNLKPEKFCELAVSLPDQARLFDDGLYRAVDVYLKAHPWISEAERERICGVMDCQKLTLEACTHAAQNDRLPLRAVVQVLFFEQLQLRHAIAGTLLAADVPQGEIGRPSDIDIEGEEEEEEAGTGGGVVAAAAARGQEGSSTWRETVRQNQVLRLDMDSMRTRVQDLERECSTMKRAIEKIDKAGSNGRGRGDDDRAQKGGGGGWRKKFGCKFKTQVCDSHEPTVAEARRGRNQRHQ >itb04g11530.t1 pep chromosome:ASM357664v1:4:11193423:11197646:1 gene:itb04g11530 transcript:itb04g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFSPPSSPVFFKHSNLQNLLSSVTPTVQSQAFKQEDGDTIEYFTLGDLWDCYEEWSAYGVEVPILLNGESVTQYYAPYLSAMQIYTNKPQNTSGSLKETEDVASVKGETLCKRIESDVSSKGSTDCSHNPSVEAEPHAHLYIKFCDTCSPYWRIPFAEKIAELAEVYPGLLTLKNIDLSPASWISVAWYPIYQIPTKGTWKDRLSTCFLTYHSLFKKNHSLSPSLQGVNPNVDENDEKGKKSLGMVKGEEGEEIDKSGEALYPFGMATYRLDDEIWINSHTYDDYEKIIDLYNAAESWLKKLKFWHHDFNFFTSQFSMEGLSM >itb11g08870.t1 pep chromosome:ASM357664v1:11:5805225:5807610:-1 gene:itb11g08870 transcript:itb11g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKQLLSDGKSSGRAPEKSNFVQTCNLLSQFLKGRVSIRDLSHGIGVANTEASGKFDMSHGTTMDFLANIEKSSQEDQEMAPIDPNPQNTVVEEGTNAAASLSKEAPKEAPKAAQLTIFYSGKVMVFDEFPADKARAVMLLASKGSPQSARGLFHTAGVDKLDQPSDGAAVVATSAPVGPPKPVASPAPLPPPVPPTQPPAAASGGSDLPIARRSSLHRFLEKRKDRATARAPYQVHNNPLVPSKNEGPSSKNEASPSKNEESSSKNEASSSKTRVQIDLNFKL >itb15g01100.t1 pep chromosome:ASM357664v1:15:631416:632601:-1 gene:itb15g01100 transcript:itb15g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISLICLCSLLAFLLISSQHSTSARRLPPYQDEKMTEVAGEMGHATPTLNPQQEEDDMATLMGLEECKENDEACFNRRMVAEAHLDYIYTQKNKPKP >itb15g00990.t1 pep chromosome:ASM357664v1:15:559895:561957:1 gene:itb15g00990 transcript:itb15g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEWKYLIWSAIFLIPGLVFLFARKKSSCSYRLPPGPPGLPVFGNIFDLGALPHQTIAEMKNKYGSVIWLRIGSVSTMAILSAKAAAELFKNHDVSFADRKVIDAMKVQGYHKGSLAIAPYGSYWRLLRRIGTVELFVHKRITETVPVRRKCIDDMLLWIEKEASSVQKGTGVKVAHFVFLSAFNLLGNLFLSCDLVDPMSDKASKFFDALKGITQWLGTPNISDIFPGLRWLDLQGLRRKADRDVRTTLEIISTLAKERMNEDRQESGKRKDFLDVLLEFEGNGKDEPAKLSEHEINVFVTEMFFAGTETTSSSVEWALSELLCNPKVMAKVKEEIYEVVGPNRRFEESDIDNLHYMQAVVKETLRLHPPLAFLIPRRAIQDTKFMEYDIPKDTQVFVNVWAIGRDPESWDDPLSFKPERFLGSNIDFKGQNFEFLPFGAGRRICVGLPLGNRMLHFILGSLLHAFDWELECNVTSQSLDMKERIGIAVSKSEPLKAIPRRIVA >itb13g19130.t1 pep chromosome:ASM357664v1:13:26138399:26148437:-1 gene:itb13g19130 transcript:itb13g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRRATAEGASAGGLSHVNGHLNFGAGMVYQSRGLPTQVNANPTQSRAVESLDKHPNDSPFSFPEIDAISRGAEMDDINDGIPRLSRTLSQKSRSTRSKQVAMAKVSEVSSLLGRAGGKAYDVLDTLGSSMTNLNLSGGFASSMATKGNKISILAFEVANTIVKGAKLMNSLSSENIKNLKEVVLPSEGVQRLISKDMDELLRIAAADKRDELKIFSGEVIRFGNRCKDPQWHNLDRYFEKLESELTPNEQLKVEAEGVMQQLMTMVQYTAELYHELHALDRFEQDYRRKAQEDDNSSAAQRGDGLAILRAELKSQKKHVKSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHATSGSADGGKPIKNHHLRLGSAGLALHYANVITQIDTLVTRSGSVPPNTRDALYQGLPPNIKSALRFKLQSFQLKEELTVSQIKSEMEKTLEWLVPMATNTTKAHHGFGWVGEWANTGPEMNRKSAGQTDLLRIETLYHADKEKTETYILELVVWLHHLVSQSRSSPNGSVRSPVKSPIRSPNQKTIQLSTQKPNSPSPTLTVEDQEMLRDVSKRKLTPGISKSQEFDTARTRLSKHHRLTKSSSHSPSTSEIKKDPFPIRRPSSVPVINFDKDRTKALDIIDRVDTIHSI >itb01g17690.t1 pep chromosome:ASM357664v1:1:22471184:22474164:-1 gene:itb01g17690 transcript:itb01g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGCGLITAKAWSSNVHSSEILDRSHVQLVVADSDSANPNLTLIQKACDNTNDHKLCVDYLSKSPQVASATQKSPLALAAAIAEAGLADARRMRGYASEKSVSSPAVKSAYAECAKSVDDTVAQLVMAVDILNHPARVAEEGGSDDASYSLMVSVDGLTACSQTLASVKVEDRYIKTSVKRVQVCSVAANSILTHL >itb13g21270.t1 pep chromosome:ASM357664v1:13:27849746:27857384:-1 gene:itb13g21270 transcript:itb13g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb [Source:Projected from Arabidopsis thaliana (AT5G49930) UniProtKB/TrEMBL;Acc:Q9LTX7] MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDISPKTYVFKLSNSSGMTESGESEKVLLLMESGVRLHTTAYLREKNNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAYYVILELYAQGNILLTDSDFMVLTLLRSHRDDDKGLAIMSRHNYPVEICRSFNRTTSEKLQAALLFSAESTEKESIENTEHKTDTSDAHQGKAARKGKKTNESTKNDGTRAKQATLKSVLGEALGYGPALSEHMILDAGLIPNTKVGKDFKLECDALNSLMEAVRKFEDWLEDIISGEKVPEGYILMQQKTLAKKDSPISETEPSEKIYDEFCPLLLNQFKSRVSTKFETFDASLDEFYTKIESQRVEQQIKAKESSAMQKLSKIRTDQENRVLTLKNEVEHCVKMAELIEYNLEDVDAAILAVRVALAKGMSWEDLARMVKEEKKSGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTQPVDKVEVDLSLSAHANARRWYEMKKKQENKQEKTVTAYDKAFKAAEKKTRQQLSQEKTVAAISHIRKVHWFEKFNWFISSENYLVISGRDAHQNEMIVKRYMSKGDLYVHADLHGASSTVIKNHKPDFPIPPLTLNQAGCYTVCYSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLEESSLGSHLNERRVRGEEEGVNDADKDEPYQEVPGSESDEEVSADKVAVDSKDTLDLSRNKSSFEGLSSETQSGNGLNVSDDKPSDSHNSGIDNGDETNYDVSASAGKTVSSVTPELEDLIDRALEIGSSTKNQGFPSSSESREQHNNEEKKVTVREKPFVSKAERRKLKKGQKDGTEGQPAERGKEAEEQLGTENHNNANHAKPVGSKISRGQKSKLKKIKEKYADQDEEERSIWMALLAPAGKGQKNEKALEDEKAAKEVVTKPKQATDDAPKICYKCKKAGHLSKDCKELPDEAVQSTANGGDHSQIGVRNTAHKRDTIPMEEDDIHEIGEEEKEKLNDVDYLTGIPLADDILLYAVPVCGPYNALQSYKYRVKLVPGSVKKGKAAKTAMNLFYHMPEATSREKELMKACTDPELVAAIIGNVKITSAGLTQLKQKQKKIKKSNKGES >itb12g27940.t1 pep chromosome:ASM357664v1:12:28092437:28097334:1 gene:itb12g27940 transcript:itb12g27940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVKVLRKKSERLERKRLQKYVVISEKIPAIEDLFDSVYRALRPNPSDYELRRALVFVFNELAKDIYGPGSDAPVVEEFGSFVMDLFTAKSDLDLSVNFGNKGVEFTREKKIQRLRKFAKKLYALQRLGHVYGVHPITTANVPILKVVDRGTGIECDISVENRDGVSKSKIVHMICSIDERFGKLSFLMKAWAKAQNINSSKFKTLNSLSIILLVAFHLQTRNPPILPPFSALSEEGAGPEVVAKSVLKFANYGKSNKESVAELFVTLLIKLLSVENLWCKGICASTYEGCWISKTWDFKVGCISVEDFTDRSQNVARAVGVKEVKKIYKCIHVSSQHISAFVEGRIEGSALKEYLFGRSGDSTLVDEGPKNKKRKNDGNGPKTEEVAAKASQQTNPLKPVLAVRNSAKEGWDASPSGNLVKSATKVWGGSPSGSWGKSVLDGWGGSPSGSWGKSTTDGWGGSPSGSLEKSAAKGWGGSPSRSWGKPAVDLWGESKVVGSKGWGGGSPSRNWEKPAVDLWGGSRKSDHKPVSKKRKWSSGESQERTSTGRSGASSVNGCAGSQQTTSYNPKKKFKPFNNWRSKHAGGWDSTPQQPPAVGGGGDGWGKWGRG >itb12g27940.t2 pep chromosome:ASM357664v1:12:28092447:28097152:1 gene:itb12g27940 transcript:itb12g27940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVKVLRKKSERLERKRLQKYVVISEKIPAIEDLFDSVYRALRPNPSDYELRRALVFVFNELAKDIYGPGSDAPVVEEFGSFVMDLFTAKSDLDLSVNFGNKGVEFTREKKIQRLRKFAKKLYALQRLGHVYGVHPITTANVPILKVVDRGTGIECDISVENRDGVSKSKIVHMICSIDERFGKLSFLMKAWAKAQNINSSKFKTLNSLSIILLVAFHLQTRNPPILPPFSALSEGAGPEVVAKSVLKFANYGKSNKESVAELFVTLLIKLLSVENLWCKGICASTYEGCWISKTWDFKVGCISVEDFTDRSQNVARAVGVKEVKKIYKCIHVSSQHISAFVEGRIEGSALKEYLFGRSGDSTLVDEGPKNKKRKNDGNGPKTEEVAAKASQQTNPLKPVLAVRNSAKEGWDASPSGNLVKSATKVWGGSPSGSWGKSVLDGWGGSPSGSWGKSTTDGWGGSPSGSLEKSAAKGWGGSPSRSWGKPAVDLWGESKVVGSKGWGGGSSSQSWGKPAVDLWGESKVVGSKGWGGGSPSRNWEKPAVDLWGGSRKSDHKPVSKKRKWSSGESQERTSTGRSGASSVNGCAGSQQTTSYNPKKKFKPFNNWRSKHAGGWDSTPQQPPAVGGGGDGWGKWGRG >itb14g00540.t2 pep chromosome:ASM357664v1:14:372826:382526:1 gene:itb14g00540 transcript:itb14g00540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MHLVGKELHYVEFFQRYAERGHQWMSLCFFGGLISVGLAKAKPGIFVEAIQYLLVLATPVELNLVGVCCSGSSDGIDPYSEVSLQSLPEYTIPSDGVTMSCIACTDSGHIFLAGRDGHIYGLQYTTGSGWQKRCRKVCLTAGLGSVISRWIVPNVFKFGAVDPIVEMVVDNERNILYARTEEMKLQIYLFCPDGVGPLKKVAEERNLINQRDPYVGRQPSAPRTPRSSRTSIVTISPLSILESKWLHVVAVLSDGRRMYLSTSSGGNSGSVGGLGVLNNQKPNCLKVVATRPAPPLGAGGGLSFGAISLASRSQSEDLSLKIESAYYSTGTLVLSDSSPSTASSLLIVNRDSSAQSTSTTFGAGARSSRALRESVSSLPIEGRMLFVADVPPPPDTAATVGSLYLQPEFTGESCEKTFGKVWARGDLSTQHILPRRRVIVFSTMGLMEIVFNRPVDLLRRMLESNSPRSLLEDFFNRFGAGEAASMSLMLAAKIIYSDTLISNAVAERAAEAFEDPRLVGIPQLEGSGAFSNTRTPAGGFSMGQVVQEAEPVFSGAYEGLCLSSSRLLLPLWELPVFIMKGNPASSDAPENGIMVCRLSPGPMQVLEDKIRSLEKFLRSRRNQRRGLYGSVAGLGDLTGSILIGTSEDLGSGDRGMVRNLFGSYSRNVDSSDVGMSNKRQRLPYSSAELAAMEVRAMECIRQLLLRCSEALFLLQLLSQHHLTRLVQNFDANMKLALLQLTFHQLVCSEEGDRLATRFISVLMEFYTGPDGRGTVDDISARLCDGCPSYYKESDYKFFLAVECLERAAAASDAGERESLAREAFNYLSKVPESADLRTVCKRFEDLRYYEAVVRLPLQKAQSVDPAGDAFNEQIDPMIRGHALTQREQCYEIVANALRALKGEVFQREFGSPIRPVAQSVLDQASRKKYISQIVQLGVQSPDRLFHEYLYRTLIDLGLDDELLEYGGPDLVPFLQSAGRESLHEVRAVSAVTPPISPLGHSRVAVPSNQTKYFELLARYYVLKQQHILAAHVLVRLAERRATDSGDAPSLEQRRQYLSNAVLQAKSASETNGLSDSGRSALDNGLLDLLQGKLAVLQFQIKIKEQLEAMASRLEASPSTSESVATEAVPNGGQPVDNNFLLSLKEKAKELSMDLKSITQLYNDYAVPFELWEICLEMLYFASYSGEADSSIARETWARLIDQALSNGGIAEACAVLKRVGSNIYPGDGAVLPLDTLCLHLEKAALERVARGVESIGDEDVPRALLAACKGAIEPVLNTYDQLLSSGAVLPTPNLRLRLLRSVLVVLREWTISVFAQRMDTSVAGASLILGGTFSLGQTAAFSQGVRDKITSAANRYMTEVRRLSLPQSQTEAVYRGFRELEESLLSPAPFDRFC >itb14g00540.t1 pep chromosome:ASM357664v1:14:372826:382586:1 gene:itb14g00540 transcript:itb14g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MSDIVLRDVSNAGLVVSDRIGRDVAAQTDLEEALEASRYASHPYTTHPREWPPSVEVVDSWELPPVLIERYNASGGEGTALCGIFPEIRRAWASVDESLFLWRFDKWDGQCSEYSVDEQAICAVGLAKAKPGIFVEAIQYLLVLATPVELNLVGVCCSGSSDGIDPYSEVSLQSLPEYTIPSDGVTMSCIACTDSGHIFLAGRDGHIYGLQYTTGSGWQKRCRKVCLTAGLGSVISRWIVPNVFKFGAVDPIVEMVVDNERNILYARTEEMKLQIYLFCPDGVGPLKKVAEERNLINQRDPYVGRQPSAPRTPRSSRTSIVTISPLSILESKWLHVVAVLSDGRRMYLSTSSGGNSGSVGGLGVLNNQKPNCLKVVATRPAPPLGAGGGLSFGAISLASRSQSEDLSLKIESAYYSTGTLVLSDSSPSTASSLLIVNRDSSAQSTSTTFGAGARSSRALRESVSSLPIEGRMLFVADVPPPPDTAATVGSLYLQPEFTGESCEKTFGKVWARGDLSTQHILPRRRVIVFSTMGLMEIVFNRPVDLLRRMLESNSPRSLLEDFFNRFGAGEAASMSLMLAAKIIYSDTLISNAVAERAAEAFEDPRLVGIPQLEGSGAFSNTRTPAGGFSMGQVVQEAEPVFSGAYEGLCLSSSRLLLPLWELPVFIMKGNPASSDAPENGIMVCRLSPGPMQVLEDKIRSLEKFLRSRRNQRRGLYGSVAGLGDLTGSILIGTSEDLGSGDRGMVRNLFGSYSRNVDSSDVGMSNKRQRLPYSSAELAAMEVRAMECIRQLLLRCSEALFLLQLLSQHHLTRLVQNFDANMKLALLQLTFHQLVCSEEGDRLATRFISVLMEFYTGPDGRGTVDDISARLCDGCPSYYKESDYKFFLAVECLERAAAASDAGERESLAREAFNYLSKVPESADLRTVCKRFEDLRYYEAVVRLPLQKAQSVDPAGDAFNEQIDPMIRGHALTQREQCYEIVANALRALKGEVFQREFGSPIRPVAQSVLDQASRKKYISQIVQLGVQSPDRLFHEYLYRTLIDLGLDDELLEYGGPDLVPFLQSAGRESLHEVRAVSAVTPPISPLGHSRVAVPSNQTKYFELLARYYVLKQQHILAAHVLVRLAERRATDSGDAPSLEQRRQYLSNAVLQAKSASETNGLSDSGRSALDNGLLDLLQGKLAVLQFQIKIKEQLEAMASRLEASPSTSESVATEAVPNGGQPVDNNFLLSLKEKAKELSMDLKSITQLYNDYAVPFELWEICLEMLYFASYSGEADSSIARETWARLIDQALSNGGIAEACAVLKRVGSNIYPGDGAVLPLDTLCLHLEKAALERVARGVESIGDEDVPRALLAACKGAIEPVLNTYDQLLSSGAVLPTPNLRLRLLRSVLVVLREWTISVFAQRMDTSVAGASLILGGTFSLGQTAAFSQGVRDKITSAANRYMTEVRRLSLPQSQTEAVYRGFRELEESLLSPAPFDRFC >itb06g05760.t1 pep chromosome:ASM357664v1:6:8467507:8470542:-1 gene:itb06g05760 transcript:itb06g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQCVAEIVCAREKTSPYARLYDMFQSVADEIEECIKKLELDTPLTVRENINLAGSPDRRHTLELEPDEEDLIMVGRAKELTQIREMLLQRSSSSYQEQRQVMSVVGMGGIGKTTFATSIYNDPSIQNHFDLLGWTTVSKDHSLRKVLCDLCRSVMQMPEDEILMASDADLAKRLRQRLIGHRYLIVVDDIWSTKAWDDVQRCFPEDLNGSRILLTTRLKEVVDYTGSSGKYVLNLPFLNSDESWKLFYEKVCSEEEERLPLELEEVGKRIVRKCNGLPLALVVVAGLLSKTNKSLEKWESIASMIINLQVTSDFHEQCSNILTFSYNNLPYPLKACFLYFGVFPEDSEILIKDLIRLWIAEGFIKVDSSQRNLEELVAKDYLQDLIDRNLVLICKQSWGGNMKTCRIHDLLYDLCLRETKNHMLVSTIQSDCSLSANSGRWLRVEFTNRFFIQASVYSNQTFNKSRTISSFSSHHPRAFFYDYCFLNNHSFKMLRVLDLRSIAFNYVPQFDITDLILLRYLGLDSIKFIKVIEHRSNLQTLIVNRGRSRNMDDAASEWLLGIWKSQKLRHVKFPHPFPEEDLVQVNLLTVCWLPDFQCTAEFFWRTPNVKSLGIRCGFNDWQCIGVKWWDNLHYLTNLQKLTVEYFSYTCLSYNNNNYNTSCCYLPSVYSFPRTIKSIKLVGTILPWRAITIMSMLPNLEKLKISQANSMGEEWETSEGGFLRLKMLFISGAILKHWYSRGEHFPVLERLSLHFCLFLEEIPTGFTDVLTLQFIELNKCHSSLVESARKIQVEQADMYGRDDLLILDYNTCLEGAATTKVVDVVRLFYSHGA >itb01g31170.t1 pep chromosome:ASM357664v1:1:34985420:34985707:-1 gene:itb01g31170 transcript:itb01g31170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTEKCDVYSFGVLTLEIIKGKHPGDYIDLLTSPSPATIELQELLDPRLPHPENEAVEEALVLIAKMARSCLLSNPKTRPTMQIIAEMLAIAPQ >itb05g21790.t1 pep chromosome:ASM357664v1:5:27421137:27423484:-1 gene:itb05g21790 transcript:itb05g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-pyruvate monooxygenase YUCCA10 [Source:Projected from Arabidopsis thaliana (AT1G48910) UniProtKB/Swiss-Prot;Acc:Q9FVQ0] MEETAVIIVGAGPAGLATAACLKKLSIPHIVLEKEECLASLWRNRSYDRLHLHLAKEFCALPYAPHSAASPTYIPKDAFIRYLDDYALRFGISPRYRRCVEAARFDDAEGKWHVVARREGSGEVEEYTAPFLVVASGDNAEGYVPVIPGKEVFGGEIVHSREYKSGKKYEGKEVLVIGCGNSGMEIAYDVSNYGGHASIVVRSPVHVFTRDMIRAGMTLLNYLPLYMVDMAISWCGKLKYGDLSSYGLRSPADGPFYLKAVTGKSPVIDVGTIHKIKTGEIKVVPAIHEIKERSVVFEDGREQNFDAIVFATGYMSSAAKWLKVGGKNGYGENGNGNAKWKGEEKGLYMAGFSKKGILGIKMDAEAIASDIEMTIKKLGM >itb13g20250.t1 pep chromosome:ASM357664v1:13:27066701:27068641:-1 gene:itb13g20250 transcript:itb13g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRFGGLVFVLLCLTAITARSNTEDPNGALKSWDPTLVNPCTYFHVTCNFDNSVTRLDIESAGLSGPLIPQLGNLPNLQYIELYNNSISGAIPVELGKLNKLVSLDLYMNKLSGPIPSSLGNLTSLRFLRLNNNKLSGIIPIEVLGLISYGNLQIMNYKDDNNPRRKSTLGGEMLVMKWANYSLSSSYPCYVI >itb02g17380.t1 pep chromosome:ASM357664v1:2:13305213:13305512:1 gene:itb02g17380 transcript:itb02g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALHDKILGNAERKRRGLTMNGECVACHGQEETTAHILRDFYHAEEVWTRMIDSDRWRRWRQLNTRQWLEQNIMDNKHSDKYHEWARMFVISSWWIW >itb09g14690.t2 pep chromosome:ASM357664v1:9:9936832:9943348:1 gene:itb09g14690 transcript:itb09g14690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPTDIDVEAPPAEGLKRYKRTRRRKEQQNAPAEQRQQMEAPPLPLPEVPAEDVSGQEAPPPVQLSLFDDSVENHFRAIDTISQLCGEPDIDDSTDQAELRRYGSSITFLSEWRYFKYKPRSIRFACESESGKGKDVNGETILPQFSAASVPKGASMNEKATSPQSCKDVVFYVGGSVWGIDWCPRAYVDSETLIKSEFVAIAAHPPGSSYHKIGAPHTGRGIIQIWCLLDSQLKEDVPSQVKKKSGQNSRKCAKVKEKSSLPQRPRGRPKKKPLNVSSLDDKNPDECAETPLAVEYPKEPSTLHSTAMACENISMAQEDSRREKEISNQPASIDTTASKRRRLGNNARTRGQTCDSGLPLLTLDANGEPSSIASSQTSGSLALISNKSSDNDAALMQTIPKDVALPRMMLCLAHNGKVAWDIKWRPKDFSCCESRHRMGYLAVLLGSGALEVWEVPFPRIIKRLYSSDKEGTDPRFMKLEPVFRCSMLKCGDRQSIPLTVEWSISSSRDMILAGCHDGVVALWKFSITNSSKDTRPLLCFSADTVPIRSLAWAPFESSPESENVIITVSHKCLKFWDLRDPFHHLREYNPGQGVSIYSLDWLPDPRCIFVSCDDGSLRIQSLVKAANDFPITGKPLTISKQQGFHTYERSLFAIWSLHTSRLTGMVAYCGADGTIAYFQLIKQFENDPVRNRNPHFLCGSFVEEESVLTVITPKHDTPFRMMKTTTKWSDLLKTTRTSAPEPNQEKRANGEQASDTELVSASFIFQRRSRR >itb09g14690.t1 pep chromosome:ASM357664v1:9:9936966:9943348:1 gene:itb09g14690 transcript:itb09g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGASMNEKATSPQSCKDVVFYVGGSVWGIDWCPRAYVDSETLIKSEFVAIAAHPPGSSYHKIGAPHTGRGIIQIWCLLDSQLKEDVPSQVKKKSGQNSRKCAKVKEKSSLPQRPRGRPKKKPLNVSSLDDKNPDECAETPLAVEYPKEPSTLHSTAMACENISMAQEDSRREKEISNQPASIDTTASKRRRLGNNARTRGQTCDSGLPLLTLDANGEPSSIASSQTSGSLALISNKSSDNDAALMQTIPKDVALPRMMLCLAHNGKVAWDIKWRPKDFSCCESRHRMGYLAVLLGSGALEVWEVPFPRIIKRLYSSDKEGTDPRFMKLEPVFRCSMLKCGDRQSIPLTVEWSISSSRDMILAGCHDGVVALWKFSITNSSKDTRPLLCFSADTVPIRSLAWAPFESSPESENVIITVSHKCLKFWDLRDPFHHLREYNPGQGVSIYSLDWLPDPRCIFVSCDDGSLRIQSLVKAANDFPITGKPLTISKQQGFHTYERSLFAIWSLHTSRLTGMVAYCGADGTIAYFQLIKQFENDPVRNRNPHFLCGSFVEEESVLTVITPKHDTPFRMMKTTTKWSDLLKTTRTSAPEPNQEKRANGEQASDTELALYSNEDPDAEAGSDDDQSAGQKKKQAPKSKTNSKKKPKPDEQDEGNQAVQAADGTELPPKSVAIHRVRWNLNSGSEKWLCYGGAAGIVRCQWMDTPPFD >itb09g14690.t5 pep chromosome:ASM357664v1:9:9936832:9942166:1 gene:itb09g14690 transcript:itb09g14690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPTDIDVEAPPAEGLKRYKRTRRRKEQQNAPAEQRQQMEAPPLPLPEVPAEDVSGQEAPPPVQLSLFDDSVENHFRAIDTISQLCGEPDIDDSTDQAELRRYGSSITFLSEWRYFKYKPRSIRFACESESGKGKDVNGETILPQFSAASVPKGASMNEKATSPQSCKDVVFYVGGSVWGIDWCPRAYVDSETLIKSEFVAIAAHPPGSSYHKIGAPHTGRGIIQIWCLLDSQLKEDVPSQVKKKSGQNSRKCAKVKEKSSLPQRPRGRPKKKPLNVSSLDDKNPDECAETPLAVEYPKEPSTLHSTAMACENISMAQEDSRREKEISNQPASIDTTASKRRRLGNNARTRGQTCDSGLPLLTLDANGEPSSIASSQTSGSLALISNKSSDNDAALMQTIPKDVALPRMMLCLAHNGKVAWDIKWRPKDFSCCESRHRMGYLAVLLGSGALEVWEVPFPRIIKRLYSSDKEGTDPRFMKLEPVFRCSMLKCGDRQSIPLTVEWSISSSRDMILAGCHDGVVALWKFSITNSSKDTRPLLCFSADTVPIRSLAWAPFESSPESENVIITVSHKCLKFWDLRDPFHHLREYNPGQGVSIYSLDWLPDPRCIFVSCDDGSLRIQSLVKAANDFPITGKPLTISKQQGFHTYERSLFAIWSLHTSRLTGMVAYCGADGTIAYFQVHHFS >itb09g14690.t3 pep chromosome:ASM357664v1:9:9936938:9943348:1 gene:itb09g14690 transcript:itb09g14690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPTDIDVEAPPAEGLKRYKRTRRRKEQQNAPAEQRQQMEAPPLPLPEVPAEDVSGQEAPPPVQLSLFDDSVENHFRAIDTISQLCGEPDIDDSTDQAELRRYGSSITFLSEWRYFKYKPRSIRFACESESGKGKDVNGETILPQFSAASVPKGASMNEKATSPQSCKDVVFYVGGSVWGIDWCPRAYVDSETLIKSEFVAIAAHPPGSSYHKIGAPHTGRGIIQIWCLLDSQLKEDVPSQVKKKSGQNSRKCAKVKEKSSLPQRPRGRPKKKPLNVSSLDDKNPDECAETPLAVEYPKEPSTLHSTAMACENISMAQEDSRREKEISNQPASIDTTASKRRRLGNNARTRGQTCDSGLPLLTLDANGEPSSIASSQTSGSLALISNKSSDNDAALMQTIPKDVALPRMMLCLAHNGKVAWDIKWRPKDFSCCESRHRMGYLAVLLGSGALEVWEVPFPRIIKRLYSSDKEGTDPRFMKLEPVFRCSMLKCGDRQSIPLTVEWSISSSRDMILAGCHDGVVALWKFSITNSSKDTRPLLCFSADTVPIRSLAWAPFESSPESENVIITVSHKCLKFWDLRDPFHHLREYNPGQGVSIYSLDWLPDPRCIFVSCDDGSLRIQSLVKAANDFPITGKPLTISKQQGFHTYERSLFAIWSLHTSRLTGMVAYCGADGTIAYFQLIKQFENDPVRNRNPHFLCGSFVEEESVLTVITPKHDTPFRMMKTTTKWSDLLKTTRTSAPEPNQEKRANGEQASDTELALYSNEDPDAEAGSDDDQSAGQKKKQAPKSKTNSKKKPKPDEQDEGNQAVQAADGTELPPKSVAIHRVRWNLNSGSEKWLCYGGAAGIVRCQWMDTPPFD >itb09g14690.t4 pep chromosome:ASM357664v1:9:9936938:9943348:1 gene:itb09g14690 transcript:itb09g14690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGASMNEKATSPQSCKDVVFYVGGSVWGIDWCPRAYVDSETLIKSEFVAIAAHPPGSSYHKIGAPHTGRGIIQIWCLLDSQLKEDVPSQVKKKSGQNSRKCAKVKEKSSLPQRPRGRPKKKPLNVSSLDDKNPDECAETPLAVEYPKEPSTLHSTAMACENISMAQEDSRREKEISNQPASIDTTASKRRRLGNNARTRGQTCDSGLPLLTLDANGEPSSIASSQTSGSLALISNKSSDNDAALMQTIPKDVALPRMMLCLAHNGKVAWDIKWRPKDFSCCESRHRMGYLAVLLGSGALEVWEVPFPRIIKRLYSSDKEGTDPRFMKLEPVFRCSMLKCGDRQSIPLTVEWSISSSRDMILAGCHDGVVALWKFSITNSSKDTRPLLCFSADTVPIRSLAWAPFESSPESENVIITVSHKCLKFWDLRDPFHHLREYNPGQGVSIYSLDWLPDPRCIFVSCDDGSLRIQSLVKAANDFPITGKPLTISKQQGFHTYERSLFAIWSLHTSRLTGMVAYCGADGTIAYFQLIKQFENDPVRNRNPHFLCGSFVEEESVLTVITPKHDTPFRMMKTTTKWSDLLKTTRTSAPEPNQEKRANGEQASDTELALYSNEDPDAEAGSDDDQSAGQKKKQAPKSKTNSKKKPKPDEQDEGNQAVQAADGTELPPKSVAIHRVRWNLNSGSEKWLCYGGAAGIVRCQWMDTPPFD >itb12g00330.t3 pep chromosome:ASM357664v1:12:310939:315246:-1 gene:itb12g00330 transcript:itb12g00330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTKQTFKPAIAIPKPNSASAFSGRVLSFRSDFGSEPLKIHTGGRDFCYRLFLVDRSGGEMELVRKLSRGCFSDPPKNDQEVELEPVEGGEDVFDAAAADSKVQPEHLVIMVNGLIGSADDWRYTAKQFVRRLPDRVVVHCSECNHSRLTFDGVDRMGERLAEEVVEVVKRWPGLHKISFVAHSLGGLVARYAIGRLYGSSTKVEVKDFNGFCSSVDTDGGVREKFDDERIAGLEPMNFITVATPHLGSRGHKQLPLLCGLPLLEKGASQTAHWIVGRSGKHLFLTDNDNGKPPLLLRMAYDSDDLKFISALRSFKRRVAYANANYDHVVGWSTSSIRRQNELPKSNFLVNDLKYPHIVHVEQESAEEVKHKASSILGTETIDLEEA >itb12g00330.t2 pep chromosome:ASM357664v1:12:310809:315246:-1 gene:itb12g00330 transcript:itb12g00330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTKQTFKPAIAIPKPNSASAFSGRVLSFRSDFGSEPLKIHTGGRDFCYRLFLVDRSGGEMELVRKLSRGCFSDPPKNDQEVELEPVEGGEDVFDAAAADSKVQPEHLVIMVNGLIGSADDWRYTAKQFVRRLPDRVVVHCSECNHSRLTFDGVDRMGERLAEEVVEVVKRWPGLHKISFVAHSLGGLVARYAIGRLYGSSTKVEVKDFNGFCSSVDTDGGVREKFDDERIAGLEPMNFITVATPHLGSRGHKQLPLLCGLPLLEKGASQTAHWIVGRSGKHLFLTDNDNGKPPLLLRMAYDSDDLKFISALRSFKRRVAYANANYDHVVGWSTSSIRRQNELPKSNFLVNDLKYPHIVHVEQESAEEVKHKASSILGTETIDLEEEMIRGLTQVPWERVDVSFHKSKQRYVAHNTIQVLINLYKDVVPKNLGLNLHHR >itb12g00330.t5 pep chromosome:ASM357664v1:12:310776:315413:-1 gene:itb12g00330 transcript:itb12g00330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTKQTFKPAIAIPKPNSASAFSGRVLSFRSDFGSEPLKIHTGGRDFCYRLFLVDRSGGEMELVRKLSRGCFSDPPKNDQEVELEPVEGGEDVFDAAAADSKVQPEHLVIMVNGLIGSADDWRYTAKQFVRRLPDRVVVHCSECNHSRLTFDGVDRMGERLAEEVVEVVKRWPGLHKISFVAHSLGGLVARYAIGRLYGSSTKVEVKDFNGFCSSVDTDGGVREKFDDERIAGLEPMNFITVATPHLGSRGHKQLPLLCGLPLLEKGASQTAHWIVGRSGKHLFLTDNDNGKPPLLLRMAYDSDDLKFISALRSFKRRVAYANANYDRILLLDSN >itb12g00330.t1 pep chromosome:ASM357664v1:12:310405:315559:-1 gene:itb12g00330 transcript:itb12g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTKQTFKPAIAIPKPNSASAFSGRVLSFRSDFGSEPLKIHTGGRDFCYRLFLVDRSGGEMELVRKLSRGCFSDPPKNDQEVELEPVEGGEDVFDAAAADSKVQPEHLVIMVNGLIGSADDWRYTAKQFVRRLPDRVVVHCSECNHSRLTFDGVDRMGERLAEEVVEVVKRWPGLHKISFVAHSLGGLVARYAIGRLYGSSTKVEVKDFNGFCSSVDTDGGVREKFDDERIAGLEPMNFITVATPHLGSRGHKQLPLLCGLPLLEKGASQTAHWIVGRSGKHLFLTDNDNGKPPLLLRMAYDSDDLKFISALRSFKRRVAYANANYDHVVGWSTSSIRRQNELPKSNFLVNDLKYPHIVHVEQESAEEVKHKASSILGTETIDLEEEMIRGLTQVPWERVDVSFHKSKQRYVAHNTIQVKSYWMNSDGADVIKHLIDNFLL >itb12g00330.t6 pep chromosome:ASM357664v1:12:311099:315413:-1 gene:itb12g00330 transcript:itb12g00330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTKQTFKPAIAIPKPNSASAFSGRVLSFRSDFGSEPLKIHTGGRDFCYRLFLVDRSGGEMELVRKLSRGCFSDPPKNDQEVELEPVEGGEDVFDAAAADSKVQPEHLVIMVNGLIGSADDWRYTAKQFVRRLPDRVVVHCSECNHSRLTFDGVDRMGERLAEEVVEVVKRWPGLHKISFVAHSLGGLVARYAIGRLYGSSTKVEVKDFNGFCSSVDTDGGVREKFDDERIAGLEPMNFITVATPHLGSRGHKQLPLLCGLPLLEKGASQTAHWIVGRSGKHLFLTDNDNGKPPLLLRMAYDSDDLKFISALRSFKRRVAYANANYDRILLLDSN >itb12g00330.t4 pep chromosome:ASM357664v1:12:310768:315277:-1 gene:itb12g00330 transcript:itb12g00330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTKQTFKPAIAIPKPNSASAFSGRVLSFRSDFGSEPLKIHTGGRDFCYRLFLVDRSGGEMELVRKLSRGCFSDPPKNDQEVELEPVEGGEDVFDAAAADSKVQPEHLVIMVNGLIGSADDWRYTAKQFVRRLPDRVVVHCSECNHSRLTFDGVDRMGERLAEEVVEVVKRWPGLHKISFVAHSLGGLVARYAIGRLYGSSTKVEVKDFNGFCSSVDTDGGVREKFDDERIAGLEPMNFITVATPHLGSRGHKQLPLLCGLPLLEKGASQTAHWIVGRSGKHLFLTDNDNGKPPLLLRMAYDSDDLKFISALRSFKRRVAYANANYDHVVGWSTSSIRRQNELPKSNFLVNDLKYPHIVHVEQESAEEVKHKASSILGTETIDLEGFVKSFHLQPESPTLSFP >itb06g00770.t1 pep chromosome:ASM357664v1:6:1851595:1852029:1 gene:itb06g00770 transcript:itb06g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINNRGYVIDIRRGRVNNRGYVIDIRRGRAYYLPVFFFFHVKRTAEKERERERPEVQWSLPRRRQPTQGGSDELWTPATLRTSKSVGDATQPPPPARQLGVVVVAGSRMAVRSDRWWLASSTVAVSSSVDGEQTSDGGAVDVG >itb06g06540.t2 pep chromosome:ASM357664v1:6:9164134:9175632:1 gene:itb06g06540 transcript:itb06g06540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRSARIQMLEKKAPPPPTDSSDPELEEGVDDGSRRKVYKRRRTDIAPTSKPKPKTKPKPKLPNDGSTASDSISPAAVSSGAGYYLAPTIDGNEKPPSLKVTETIRLFNKHYLHFVQEEDLRCKKLEADKATKNSKKNNAVGEDDAKRPSKRPDLKAVSKMMQNKEIINATKRFGAIPGIEVGHQFFSRCEMVVIGFHSHWLNGIDYIGQSKQREFSGYRMPLATAIVLSGQYEDDQDNYEEVVYTGQGGNDLLGNKRQIKDQVMQRGNLALKNCMEQSVPVRVVRGHRCPNSYVGKVYTYDGLYQVIKFWAEKGISGFTVFKFRLKRIEGQPPLTSNQVHFVRGRIPNSVSEIRGLVCDDISGGLEDIPIPATNLVDDEPIPPPGFTYTKTLIWPKDIAIPPTGSGCNCRGTCFDPNTCACAKLNGSDFPYAERDGGRLIEPRAVVFECGPNCKCGGTCVNRTSQRGLKYRLEVFRTPNKGWGVRSWDYIPSGAPICEYIGKLIKTDQIDPSSETNYVFDIDCLQTMKGLDGRERRLRDVSLPEYVDNGDEKALDAAPEFCIDGFSTGVDI >itb06g06540.t3 pep chromosome:ASM357664v1:6:9164105:9174913:1 gene:itb06g06540 transcript:itb06g06540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRSARIQMLEKKAPPPPTDSSDPELEEGVDDGSRRKVYKRRRTDIAPTSKPKPKTKPKPKLPNDGSTASDSISPAAVSSGAGYYLAPTIDGNEKPPSLKVTETIRLFNKHYLHFVQEEDLRCKKLEADKATKNSKKNNAVGEDDAKRPSKRPDLKAVSKMMQNKEIINATKRFGAIPGIEVGHQFFSRCEMVVIGFHSHWLNGIDYIGQSKQREFSGYRMPLATAIVLSGQYEDDQDNYEEVVYTGQGGNDLLGNKRQIKDQVMQRGNLALKNCMEQSVPVRVVRGHRCPNSYVGKVYTYDGLYQVIKFWAEKGISGFTVFKFRLKRIEGQPPLTSNQVHFVRGRIPNSVSEIRGLVCDDISGGLEDIPIPATNLVDDEPIPPPGFTYTKTLIWPKDIAIPPTGSGCNCRGTCFDPNTCACAKLNGSDFPYAERDGGRLIEPRAVVFECGPNCKCGGTCVNRTSQRGLKYRLEVFRTPNKGWGVRSWDYIPSGAPICEYIGKLIKTDQIDPSSETNYVFDIDCLQTMKGLDGRERRLRDVSLPEYVDNGDEKALDAAPEFCIDGFSTGNISRFINHSCQPNLFVQCVLSNHHDIKLARVVLMAADNIPPLQVFNSLL >itb06g06540.t1 pep chromosome:ASM357664v1:6:9164105:9175632:1 gene:itb06g06540 transcript:itb06g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRSARIQMLEKKAPPPPTDSSDPELEEGVDDGSRRKVYKRRRTDIAPTSKPKPKTKPKPKLPNDGSTASDSISPAAVSSGAGYYLAPTIDGNEKPPSLKVTETIRLFNKHYLHFVQEEDLRCKKLEADKATKNSKKNNAVGEDDAKRPSKRPDLKAVSKMMQNKEIINATKRFGAIPGIEVGHQFFSRCEMVVIGFHSHWLNGIDYIGQSKQREFSGYRMPLATAIVLSGQYEDDQDNYEEVVYTGQGGNDLLGNKRQIKDQVMQRGNLALKNCMEQSVPVRVVRGHRCPNSYVGKVYTYDGLYQVIKFWAEKGISGFTVFKFRLKRIEGQPPLTSNQVHFVRGRIPNSVSEIRGLVCDDISGGLEDIPIPATNLVDDEPIPPPGFTYTKTLIWPKDIAIPPTGSGCNCRGTCFDPNTCACAKLNGSDFPYAERDGGRLIEPRAVVFECGPNCKCGGTCVNRTSQRGLKYRLEVFRTPNKGWGVRSWDYIPSGAPICEYIGKLIKTDQIDPSSETNYVFDIDCLQTMKGLDGRERRLRDVSLPEYVDNGDEKALDAAPEFCIDGFSTGNISRFINHSCQPNLFVQCVLSNHHDIKLARVVLMAADNIPPLQELTYDYGYGIDSVLGPDGKIKRMPCYCGAPECRKRLY >itb15g08300.t1 pep chromosome:ASM357664v1:15:5816628:5817942:-1 gene:itb15g08300 transcript:itb15g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINALRRLFLPCFTPAAANHSLPITAAAATPKKRLSTSLRDDLDDLKARGRGSSYSNVGEDYSDPDSPTCGAASVSSYSAAAAAAQPRSSKTMVVGTIFGHRRGGHVWFCVQHDRLNTKPYLLLELSITATTLTQEMGGGLVRIALEFKDDDNGGGGAESELSRCPLHAIPLWTLFCNGRKLGFAVRRKPTQQTRLMLKTMQSISVGAGVIPSGSGFGPGDDPEELMYMRANYECIIGGADSESFHLINPDEGPGQEFSIYLLRSR >itb12g21740.t1 pep chromosome:ASM357664v1:12:23998022:24001828:1 gene:itb12g21740 transcript:itb12g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGVKKDKEPPPSIQDASDRINKRGDTVDDKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMSALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYSVPEDIDEEELMGELDALEADMETEGDSVPSYLQPDKEPDLDSELNLPAAPTGHAPVPNQAEDELGLPAVPRASLRG >itb09g02670.t1 pep chromosome:ASM357664v1:9:1515105:1516262:-1 gene:itb09g02670 transcript:itb09g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCNDNCILRQSLQGIESPQAQANATVFVAKFFGRAGLMSFLSSVPESQRPALFQSLLLEACGRTVNPVHGAVGLLWTGNWHVCQAAVEAVLRGGTPPRPLPEFTIPTPSQESDEAAGDLDLGLTTAARMTGNRRVEKKRRADTPSEESEISTLESGFAYHQSSETNLLRLFF >itb15g14230.t1 pep chromosome:ASM357664v1:15:12494270:12494664:-1 gene:itb15g14230 transcript:itb15g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCGLADSETYTVGDNGRWEFGVGDWPNGKSFNSGDVLVFNYDPSVHNVVIVSQENYDSGTASGTTLNSGHDSVTLSSETSYYICGVNAHCQGGMKMAVTAS >itb10g13280.t1 pep chromosome:ASM357664v1:10:19489512:19492206:1 gene:itb10g13280 transcript:itb10g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKKRVQFLIAVVVIAVLSITAEKCREVVGKEAASKSGDFNILNCLDGGSGTLACVVKEGVKLYFYNIRGSHIERARNIAIESALADAISQGMVAKEAAKLAQKEGAKAAKLAKRQAKRIIGPIISSGWDFFEALYLGGTMTEAFLRSSGTLVGTYYVGFLGEQSLGRIGYLVGSELGSWVGGRVGLMLYDLVHGMEYVLEALNLKEPEASEPVYETPASESKETEASATSSVEVIKQVYETASQIIEDFNNNETPPDEAEVLKQVYDTASKILENLNNDETPTEVDSEASEESSASEEF >itb04g20360.t1 pep chromosome:ASM357664v1:4:25021867:25023851:-1 gene:itb04g20360 transcript:itb04g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHSSEVFKTSLLGEKMAVFCSAAANKFLFSNEGKLVTFWWPRSITKPLICPSDEKDPEKKLALMNHAFVHEILRPEHLKQYIPVMDAMARKHVAAEWAPFHDVKAYDLSKKYTFALGCKLLVSVEDPDHVKKLSDRYVMVTSGMFSVPVDFPGTAYHRALKGGRMVCEEILKIIAARKKENRDDERGHRDLLSRLMSATNENGVFLKDTEICNNLVGLLVASYDTTSAALSFTIKFLAELPHIYDKVYKEQMEIAKSKGPNELLNWEDIQKMKYTWCVACEALRLVPPGLGAFREALTDFTYAGFTIPKGWKIFWSVFATNKNPKYYPDPEKFDPSRFEGSGPPPYSFVPFGGGPRMCPGREYGRLELLVFIHNLVTKFKLEKLVPDEKIIYHASPVPTHGIPVRLLPHHN >itb03g24300.t1 pep chromosome:ASM357664v1:3:22989745:22992602:-1 gene:itb03g24300 transcript:itb03g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24400) UniProtKB/Swiss-Prot;Acc:Q84WW2] MHIAASPRLLSSSNVRTLPTGFSSFRRSSPSVPLTFASVSATPPSCSVWRKSLSLTDKDIKVFGSRAKASMAEAVTGKGKAKVEVFDSEEELKASVAKYTADLSEKVCKERASFSVVVSGGSLIKCLSKLLEPPYINSVDWSKWHVFWVDERVVPKDHPDSNYLLAYDGFLSKVPIPAGNVYAINDALSAEGAAEDYETCLKHLVERKIVSTSEASGFPQFDLMLLGMGPDGHVASLFPGHPLLQEKEKWVAFIKDSPKPPPERITFTFPVINSSTNIALVVPGASKADAVYTALGSSQNPISLPVQMVSPEKELVWFLDKGAASKL >itb09g17130.t1 pep chromosome:ASM357664v1:9:12359803:12363212:1 gene:itb09g17130 transcript:itb09g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAGNRVLGLAPRVAAAAGLPRLYHERVVDHYNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDDESGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRAKLSPTEQAVALEKAAEFA >itb06g20060.t1 pep chromosome:ASM357664v1:6:23160561:23163926:-1 gene:itb06g20060 transcript:itb06g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVMAFGYAYPAYECFKAVELNKPDIQQLRFWCQYWIIVATMTVCERIADTFISWVPMYSEAKLAFYIYLWFPKTKGTTYVYDAFFRPLVLRHEPEIDRNLLELKTWAGDAVFLYWQKAASYGQTRIFDVLQYIASQSTPSPPPAQPRRQGRPPAPPNQKPESATTEPQTEDRPPAAPGESATELEDGAPPKTAVPTASLNAQKTTPTKPLAETSKAPSSSEAQAMQIDPVHSVADESTKPPTDTVMVEGAARVTRARSRKTQLAASNQ >itb10g20210.t1 pep chromosome:ASM357664v1:10:25840204:25847007:1 gene:itb10g20210 transcript:itb10g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRGYSDGGEGFEDGDSPQESPEDVYNFTFSSQDSGHWASSLNNSDPYGIGSSQECPGLTILPSGKEGDGDGDFEDRNGHCWKSKKKMKVFDWDPYSLNSSQESDEVMILPPRSERDSGDFGGAFGKPKKAKTGKKGKENGVLQKKKMSKKVKQEESASIAATLMETQEYGEMMENVDEVNFALDGLRKGQPVRIRRASLLSLLSICGSIQQRRLLRAHGMAQTIVDAVVGLSSDDSSSNLAAAALFYIFTSDGRDDHLLDSPSCICFLIKLLKPVKSDVSVAKPQTIGSKLLAIRLDADISQDLAKGADSTTNSIMLKVQEVLVNCKEMKPMSDNNRAQKPELNPKWISLLTMEKACLSTISIEDTSGSVRKSGGTFKEKLRELGGLDAVFEVARNCHSVLEGCLEEKSSSFLDSKGTTGLESLVLLLKCLKIMENATFLSMDNQNHLLQMKGKLDSLRSPRSFTKLILSVIRILSGASLRRRSSESFQDEKISELCDRIGNASKSSSIVDDKDSKEIFSIISSMSTSEGAFSSKWFTESQTDEQLMSDQLGSSKSILEGTTTSTTDSWWLKTRIDSSTSGSCSGSSGHINSGKNKNMAKVNTQDPFAFDGSIKEQKLELMDDTQDPFAFDEDDVEPSKWDVLSGKKKVSRAQNGRATVHVREDRPTQSLLVLSQQESSNNNTSSSCEASCSSVADEEMSNLLADCLLTAVKVLMNLTNDNPIGCEQIAACGGLETLSCLIAGHFPSFSSNVECGFSSKSDIKQIESTLSDQELDFLVAILGLLVNLVEKDARNRSRLAAASVSLPGMEGLKENCTDVIPLLCSIFLANQGAGEAAGEGQLTWDDEEALLKGEKEAEKMIVEAYAALLLAFLSTESKSTRNSIAKCLPDRSLAVLVPVLERFVDFHLSLNMISPETHSAVLEVIESCRIP >itb06g11100.t1 pep chromosome:ASM357664v1:6:15614621:15617316:-1 gene:itb06g11100 transcript:itb06g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRKLLINIHGKLQFFCKNSNFTFCSCAFGDKCASNNFNGNGSETENEWENLLKPYDLKQLRKSLNKISPYQLNKLLALPLDVPTSMELFERAGKQTGYSHTYDVYYTLIDKLGVAKDFKVIDRLLLQMKEEGVVFRESLFIMIMRHYGRAGFPGQSTRLLLDMRSTFSCQPTFTSYNVVIDILVVGNCPKVAQNVFYEMLNKGISPTVHTFARVIQSFCMVNEVDTACSLLRDMMKHGCVPNSVIYQMLIHSLSHANRVNEGLQLLEEMFLMGCIPDVNTFNDVIHGLCHADRIHEAAKLVDRMIARGFAPDAITYGVLMHALCRCGKVDEARTLLNKVPDPNNVLFNTLINGYVINGQLDEAKALLSKSMLPIGCKPDVYTYNILIRGHCKNGDLSSALEALKEMSISASKPNVVTYTILIDGFCKQGKLKEAYKVINEMSANSLSLNTVGYNCLISAFCKEGNIQEAFEILSDMRSKGCKPDIFTYNALILGLCKIDKMDEALGMYREIFEEGVIANTVTFNTLIHSFLRKGATQNALKLVNDMLFRGCALDEITYNGLIKALCRDGEVEKALALFEEMLSKGFQPNSISCNILINGYCRVGKVQNAMEFLREMVHRGLTPDIVTYNSLLNGLCKMGKVREAQNLFEKLQFEGVSPDAVSYNTLISTFCKMGMLDDAYMLLVRGISNGFIPNDITWHVLVNNFVVEGLYFSRSIS >itb15g13320.t1 pep chromosome:ASM357664v1:15:11308032:11313180:-1 gene:itb15g13320 transcript:itb15g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIKSKYVVALKIIFKEQIEKYMLHHQLRRELETQSSLCHPNVLRLFGWFHDDERIFLILEYAYGGELYKELHKSGTFSEEQAANITVAFDETTIVDIFACGKPVPFSAQSIAPELWDDTVAGPPPENGLGKLRKFSTFNFRPNSGKESEGQNPNPNTRSLTVDTSDDAIKVTRSIMILKSPRNQNKDSPPASPSPSPAGSTPPVSPFSGAGGREAYRFRRRSASFAYENARGIGPRNAPPPYDV >itb09g08480.t1 pep chromosome:ASM357664v1:9:5061505:5063855:-1 gene:itb09g08480 transcript:itb09g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVITEFTEKRRRLLVERLPEFLLPPTGQATLQQNMRRRDAKNPQLKTKNSMKRVAMDPYMWHKIAAISGVAALGLGTYGAHAFKPKNPAYKEVWQTASLYHLVHTAALLASPITKRPHIFGGLLTAGICAFSGTCYAVAYLEDRKYSKLAPFGGFAFIAAWGSLLV >itb06g18330.t2 pep chromosome:ASM357664v1:6:22024906:22029228:1 gene:itb06g18330 transcript:itb06g18330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAPPRPPPLQPPPPAASFHLLPPAPPSSTGFNPPPPATNSAPRIFFSPGFHIPPAAAAASDIPAALSALTSLLRLSQTTLDSLSSILPAASAPSAATLIPCPFNPTHRLPPPSLFHHTLHCLPSSSSASLDSLIQSRRYPHTLRSSAQGNDVQFTHPLHDPQAELCFSLESYFDHDQDSFFYANCPGVVSFPGKDPSPPMLILPGFLLSHCSNSAENCSIDLKELPVGRTQLLPSEIYAIRVEVHSWNDYPCSYSYRILRAILRLEMSSLCSLPAWLIANSPKYGVVIDEAMSNHIVLLCKLCLKAIVSESVGLANATGKQEGDKESASSDRRLECPILVRVLMWLASQLSVLYGEMNGRLFAINILRQCILDYALKSCLFSGLQQATLVRELNEVNKEHEEPLESSKSHESRKENKRNDVEGGTLGKSMVSVSQVAAAVAALHERSILEGKIRKLQDLRPVSVSQRNVEHAYVAKRADEERQKRPNYNAVIDHDGLLWQRSHNNQETNKMKTREELLAEERDYKRRRMSYRGKKVKRSTTQVMRDIIEEYMEDIRQAGGVDCLTKGEEAQASISGNSSMQGLYRDDGKSNKNKVDSSLMREQSHHYMKGFHSHREAQSSDFRDDSSKESTRDRSRHSHGTVVADRSVGKNGRSRRDYSRSPDRLQSSTYTSKQASVRRKHDDREAYKEDFSHSSSRKHQKSHDRKSPHRERIERNLDPGKRRRRETYQDHRRNEFEDRYNPSESHDIYEDNV >itb06g18330.t1 pep chromosome:ASM357664v1:6:22024906:22034664:1 gene:itb06g18330 transcript:itb06g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAPPRPPPLQPPPPAASFHLLPPAPPSSTGFNPPPPATNSAPRIFFSPGFHIPPAAAAASDIPAALSALTSLLRLSQTTLDSLSSILPAASAPSAATLIPCPFNPTHRLPPPSLFHHTLHCLPSSSSASLDSLIQSRRYPHTLRSSAQGNDVQFTHPLHDPQAELCFSLESYFDHDQDSFFYANCPGVVSFPGKDPSPPMLILPGFLLSHCSNSAENCSIDLKELPVGRTQLLPSEIYAIRVEVHSWNDYPCSYSYRILRAILRLEMSSLCSLPAWLIANSPKYGVVIDEAMSNHIVLLCKLCLKAIVSESVGLANATGKQEGDKESASSDRRLECPILVRVLMWLASQLSVLYGEMNGRLFAINILRQCILDYALKSCLFSGLQQATLVRELNEVNKEHEEPLESSKSHESRKENKRNDVEGGTLGKSMVSVSQVAAAVAALHERSILEGKIRKLQDLRPVSVSQRNVEHAYVAKRADEERQKRPNYNAVIDHDGLLWQRSHNNQETNKMKTREELLAEERDYKRRRMSYRGKKVKRSTTQVMRDIIEEYMEDIRQAGGVDCLTKGEEAQASISGNSSMQGLYRDDGKSNKNKVDSSLMREQSHHYMKGFHSHREAQSSDFRDDSSKESTRDRSRHSHGTVVADRSVGKNGRSRRDYSRSPDRLQSSTYTSKQASVRRKHDDREAYKEDFSHSSSRKHQKSHDRKSPHRERIERNLDPGKRRRRETYQDHRRNEFEDRYNPSESHDIYEDNV >itb06g18330.t3 pep chromosome:ASM357664v1:6:22024906:22029228:1 gene:itb06g18330 transcript:itb06g18330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAPPRPPPLQPPPPAASFHLLPPAPPSSTGFNPPPPATNSAPRIFFSPGFHIPPAAAAASDIPAALSALTSLLRLSQTTLDSLSSILPAASAPSAATLIPCPFNPTHRLPPPSLFHHTLHCLPSSSSASLDSLIQSRRYPHTLRSSAQGNDVQFTHPLHDPQAELCFSLESYFDHDQDSFFYANCPGVVSFPGKDPSPPMLILPGFLLSHCSNSAENCSIDLKELPVGRTQLLPSEIYAIRVEVHSWNDYPCSYSYRILRAILRLEMSSLCSLPAWLIANSPKYGVVIDEAMSNHIVLLCKLCLKAIVSESVGLANATGKQEGDKESASSDRRLECPILVRVLMWLASQLSVLYGEMNGRLFAINILRQCILDYALKSCLFSGLQQATLVRELNEVNKEHEEPLESSKSHESRKENKRNDVEGGTLGKSMVSVSQVAAAVAALHERSILEGKIRKLQDLRPVSVSQRNVEHAYVAKRADEERQKRPNYNAVIDHDGLLWQRSHNNQETNKMKTREELLAEERDYKRRRMSYRGKKVKRSTTQVMRDIIEEYMEDIRQAGGVDCLTKGEEAQASISGNSSMQGLYRDDGKSNKNKVDSSLMREQSHHYMKGFHSHREAQSSDFRDDSSKESTRDRSRHSHGTVVADRSVGKNGRSRRDYSRSPDRLQSSTYTSKQASVRRKHDDREAYKEDFSHSSSRKHQKSHDRKSPHRERIERNLDPGKRRRRETYQDHRRNEFEDRYNPSESHDIYEDNV >itb12g20360.t1 pep chromosome:ASM357664v1:12:22844911:22846772:1 gene:itb12g20360 transcript:itb12g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEKGLLHSEKLYQYVLETSVYPREPEPLKELRTMNADHPSGLMATAPDAAQLISMLLNLLNAKRTIEIGVLTGYSLLLTALNIPDDGKIIAIDRDREAYEYGLPVIKRAGVDHKITFVESDALTALDHLLEDSSNMESVDYAFVDADKVSYKGYHEKLLQLIKVGGIIVYDNTLWGGSVVMPEDSVIDWMKPGRQCTIEFNAFLASDDRVHISHVPVGDGITICKRLK >itb13g18360.t1 pep chromosome:ASM357664v1:13:25315264:25316842:1 gene:itb13g18360 transcript:itb13g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLANRWWAGTVGLPGIDLPASCSPALTKQVVSVNESNGKSSNNGDFERAISGDNNQDQDEPKEGAVEVGTKRPRGRPAGSKNKPKPPVLVARDSPNAIRSHVMEVAGGSDVAESIAQFARRHQRGVCVLSGNGSVTNVTLRQPAGSGLVLPGRFELLSLTGAFLPGPAPQAANGLTVYLAGAQGQVLGGSVVGPLLAVGPVMVIAATFTNATYERLPLEKEEDDHGGRPAAQILARGSPPPPPAIQMPIIPSSMSSPLYNLTPNSVPNLSGDAYVWGHPRPQF >itb03g09580.t1 pep chromosome:ASM357664v1:3:7376652:7376906:1 gene:itb03g09580 transcript:itb03g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAEEKGKLEGLPVENSPYTQYKDLEDYKKQGYGTEGHQQPVIGRGAASSTDAPTNTPAVGIAGPTQPQQLSPANASRHGVP >itb12g04660.t1 pep chromosome:ASM357664v1:12:3090185:3093578:1 gene:itb12g04660 transcript:itb12g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGLVGIEGMLSGADPAAHGSGKKIKQERSGLGEETWRLSKAPRISSEEDEVDLNGVNPTSLLLRSGDNNGRGHTTMLSFSSSSKSEEIPFLSVNGEDSSASISIPFFQSQPKQGLPSGNVSVYAPGGVNEGTPGGFSLFRGPFTPSQWMELEHQALIYKHLVTNVPIPSSLLVSLKKSLSPYTFSGLSSRSYASNWGWGPFHLGFAGNTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQTGRAASGIAASKAVPVASSSSSTPVIPSGGASNSFSAVQHQFKNMQQCSANPSTDHLTSNRTQGLSVISPSFNLKSKDSAITIQKQQNPLEESAHSEFGFLSSDSLLNLSQKSHVNSKNSGTLDFNCHVANEQHPVHHFTDSWTKEQSGCASVSWPELKPDWTQLSMSIPMTASNFSSSSSSPRQEKHSLSPANLSCQLDPTQAGGIGELMQKTSNWLPVSWGNSMGGPLGEVLNSTSGGSGGTGKNSYNLNLMTEAWDSNPHFGSPPSADLQKSDLCLTLKQQFGKQSQDDKKTGAAAALEDGMGDEAIGSSLVSSVYSINVCL >itb04g03280.t1 pep chromosome:ASM357664v1:4:2019348:2022802:1 gene:itb04g03280 transcript:itb04g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKHRRHSGGDSNKQVQIQNPSSAISELVWFYLAAACFKLLLAPAYHSTDFEVHRNWLAITHSLPLSQWYTDETSPWTLDYPPLFAHFERFLSFFAPFFDPIMLDLTRGLNHKSPATILFQRLSVIFSDAVLVYAVYRLTRKLELKERILIWVLVVWSPGLIIVDHLHFQYNGFLLGLLLLSLSALEEGRDLLGGFLFAILLCFKHLFAVAAPVYFVYLLRHYCRGGLFKGFGRLVLMGSVVVAVFAAAFGPFIYYGQIQQVLRRMFPFGRGLCHAYWAPNFWVFYIILDKVLAFILVKLGFNIQAPTASFTGGLVGDSSPFAVLPTVTPLITLGMVLLALVPCLLKAWKNPKPGMITRWVAYAYSCGFLFGWHVHEKASLHFVIPLAVVALKSVEDAKHYFFLSIVSCYSLFPLLFEAQEYPIKVLLLLLHAVLMWLGFSSHFSNNAPKRATQRREDYEVETSGASRNAGFLVGFLGKTYLLGLLAVETWGLFLHPLILGEKLPFLPLMMISIYCSLGIAYSWIWQVRRIIQSN >itb09g24740.t1 pep chromosome:ASM357664v1:9:24532943:24534626:-1 gene:itb09g24740 transcript:itb09g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSPSNQDLSATPPENPLSIVTVAAAGSGAGAASTRRLPPPCWSHEETIALIDAYRDKWYSLRRGNLRANHWQEVADDVAVRCPVDSPKTAVQCRHKMEKLRKRYRAEIQRAAPYGGVRSHRYCSSWVLFKLMDAMERGTNAAAAASPPPDEEELEDDAEDIKQNSVKHIGDIYSQNNLNSNKRSSFQGSMTNGGTGVRIRIPTLPMAKPYSKFDEILTQNPNPNPNTSYGSSRMLKGDCFGKKSADLGKRVSGEEEVGEKRKADPIAEMVTAIKALGDGFLKMERMKMELARELEEMKMEMEMKRTEMILESQQRIVEAFANALTEKNNNNKKTKRMPTPECQMPHS >itb01g05320.t1 pep chromosome:ASM357664v1:1:3660397:3664263:-1 gene:itb01g05320 transcript:itb01g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWAPPCNNQCTHKYATLMQIPWRVFCKKGCDSDGDTWEECLGECDEMCYKDPVLKDQQWSSYIDRSPGAVGYSEECFRACVAGCGYKFDIPPEEVKKIHPSRPPPPLPAEKPPRPAAETRPPTEPRSTTEDVPGTSA >itb06g03230.t1 pep chromosome:ASM357664v1:6:5512779:5516234:-1 gene:itb06g03230 transcript:itb06g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDENLLSQIKDGFGEGKDLVVSVMSAMGEEQICALKDIGPKN >itb01g23940.t1 pep chromosome:ASM357664v1:1:29771829:29774032:-1 gene:itb01g23940 transcript:itb01g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETGNTTMNLDLNLGPVDHPHDDPFLGSSPPRITLEEFIVWERRQRRQSRWRSMQREIPVLPETRSLALELIGGSGSQAGEASFNPEVLKTCDDSNGYSNDEASRKKEENEKANSDEISFFDCNICLDLAQEPVVTCCGHLFCWPCLYRWLHLHSDAKECPVCKGEVTMKTMTPIYGRGRSARVGGDTSAKIPFRPQARRFESWRQTIQRTAFTFPMEEMIRRLGNRFDLTEVQHPNPEDSHESPERRNSLLARILTSRGMRREQNPAPTTSEDAVDLTDPTHPSNSDAWDSGRLPSLLRRISNSSRDRAPSISSLASAFSSAERLVETYFRSNPFERTQEQPPPVDDRDSVSSIAAMIHSESQTVDTTIEIDSTVSLTASSSGGRRNDAGRVADVDSGDSRPPRRRRLY >itb01g23940.t2 pep chromosome:ASM357664v1:1:29771829:29774030:-1 gene:itb01g23940 transcript:itb01g23940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETGNTTMNLDLNLGPVDHPHDDPFLGSSPPRITLEEFIVWERRQRRQSRWRSMQREIPVLPETRSLALELIGGSGSQAGEASFNPEVLKTCDDSNGYSNDEASRKKEENEKANSDEISFFDCNICLDLAQEPVVTCCGHLFCWPCLYRWLHLHSDAKECPVCKGEVTMKTMTPIYGRGRSARVGGDTSAKIPFRPQARRFESWRQTIQRTAFTFPMEEMIRRLGNRFDLTEVQHPNPEDSHESPERRNSLLARILTSRGMRREQNPAPTTSEDAVDLTDPTHPSNSDAWDSGRLPSLLRRISNSSRDRAPSISSLASAFSSAERLVETYFRSNPFERTQEQPPPVDDRDSVSSIAAMIHSESQTVDTTIEIDSTVSLTASSSGGRRNDAGRVADVDSGDSRPPRRRRLY >itb10g06080.t1 pep chromosome:ASM357664v1:10:6633402:6642454:-1 gene:itb10g06080 transcript:itb10g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGGLSFDFEGGLDSGPTHPTASVPGIQSSDRNAVPGANNLSTLASAHADAAQDVGTGVNRRSFRQTVCRHWLRGLCMKGDACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHTRVPGPPPPVEEVLQKIQQLASHNFGYSNRFFQNRNANFAPQVEKTQIGLGPNVVNQATKATMKGSPISQQQRQQQVQQPQQGSQAPNLLNAPENQANRSAIPLPQGTSRYFLVKSRNCENLELSAQQGVWATQRSNEAKLKEASDSVENVFLIFSVNGTKHFSIGGTANGGNWKHEHGTAHYGRNFSVKWLKLCELSFQKTSHLRNPYMKNLPVKISRDCQELEASVGQQLASLLYLEPDSELMSISLEAESKREEEKANGVDPECGNGNPDIVPVEDNEDEEEDEGFDQSFGAAALGRGSGRGMAWPSMMPLRCGARPVPGMQGYTSGMMADGFSYGALTQGGFAMRPRHFGLYRPRFSGDTMFHKRPLASGFAMMMGAGRSPFVGAAGLPRAGQLPVSIGQFPPPSQLPPNFQAKRDLRAPVSDRNDRIIGQETVWYRSR >itb09g07030.t1 pep chromosome:ASM357664v1:9:4073280:4077638:1 gene:itb09g07030 transcript:itb09g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEVLCGGAQLMIPTRFSAAKEAEDVFLEKTTKVVSKKSSRKVKGSKFRMSPVAANFIIMTELRNKVLTFRDLLDLSPCIGSASVNQLLVLTLKDLYKLYPSIKPRIRESEIEEAQMHQALNFFCDALKSIGEMWTHDDEWMDKCRDDLFKRDPNPNNMELYALGLLEEIVKVASERVFDMSDLSDENDDSDSSNESEEEALSDSCSDWIYPVSSSPNTPTSVLPEIPNTGIKAHSSSSFFMPLRVEAMGKLKPIDLKRLSFQMLPHVATQESNYVIRIANTNSQFIEEEEEEAKTEEKLDNSAVKVVMPPPPAPPPPPPPCRNMATPPAPPPSPMAKGGSAPPPPPGSPAARNLLTKKPTKLKRSSQMGNLYRLLKGKIEGSSLDGKSGRKGNVGSGSGGKQGMADALAEMTKRSAYFQQIQEDVKNHATAIMELKTSITTFQTSDMSELIKFHKHVESHLEKLTDESQVLARFEDFPTKKLEALRMASTLHSKLDAIASTLQNWPIVSPVNQLLQKAEDYFSKIKLDLEKLEQTKDEEAKKLANHKITFEFSILVRIKELMVDVSSNCMELALKETREGKEREVAEGSISSPCRGGQQKESAGKLLWKAFQFAYRVYTFAGGHDDRADKLTREVAREIETEPQ >itb09g07030.t2 pep chromosome:ASM357664v1:9:4073280:4077638:1 gene:itb09g07030 transcript:itb09g07030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEVLCGGAQLMIPTRFSAAKEAEDVFLEKTTKVVSKKSSRKVKGSKFRMSPVAANFIIMTELRNKVLTFRDLLDLSPCIGSASVNQLLVLTLKDLYKLYPSIKPRIRESEIEEAQMHQALNFFCDALKSIGEMWTHDDEWMDKCRDDLFKRDPNPNNMELYALGLLEEIVKVASERVFDMSDLSDENDDSDSSNESEEEALSDSCSDWIYPVSSSPNTPTSVLPEIPNTGIKAHSSSSFFMPLRVEAMGKLKPIDLKRLSFQMLPHVATQESNYVIRIANTNSQFIEEEEEEAKTEEKLDNSAVKVVMPPPPAPPPPPPPCRNMATPPAPPPSPMAKGGSAPPPPPGSPAARNLLTKKPTKLKRSSQMGNLYRLLKGKIEGSSLDGKSGRKGNVGSGSGGKQGMADALAEMTKRSAYFQQIQEDVKNHATAIMELKTSITTFQTSDMSELIKFHKHVESHLEKLTDESQFLTFPSTGASKI >itb05g19210.t1 pep chromosome:ASM357664v1:5:25802883:25807090:-1 gene:itb05g19210 transcript:itb05g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLLLFLLLQFHLRHSTGAGAGKPPGVTEQAALLSIKAAFTADSQSTLSSWNISTSHCTWQRLTCDSLLHVVSLDLSGLNLSGVLSPEVSQLPSLVNLSVAANQLTGPVPPEISAISTLRFLNLSNNIFGSTFPHQLAQLANLQVLDLYNNNLTGDLPVEVYKMTGLRHLHLGGNYFTGRIPPEYGRFPNLEYLAVSGNGLSGVIPSEIGNLTKLKELYIGYFNAFSGGIPAEIGNLSQLIRFDAANCGLTGEIPGEIGKLNNLDTLFLQVNDLTGSLTPEIGFLKNLKSLDLSNNAFSGEIPESFAELKNLTLLNLFRNKLHGSIPDFIGDFPELEVLQLWENNFTGSIPQQLGENGKLQFVDISSNKLTGNIPPNLCTGNKLQTLITLGNFLFGPIPESLGQCQSLNRIRMGENYLNGSIPKGLLSLPKLSQVELQDNLLTGTFPEISSISSSLGQISLSNNNLTGPLPSSIGNFAGVQKLLLDGNKFSGHIPAEIGKLQQLSKMDFSDNNLSGTIAPEISQCKLLTFVDLSGNQLSGEIPTEITGMKILNYLNLSRNDLSGSIPESMAAMQSLTSVDFSYNNLSGLVPGTGQFSYFNSTSFVGNPDLCGPYLGPCKNGIVNGSNESHQKGGLSPSLKLILVVVLLVCSIVFAVAAIIKARSLKKASDARAWKLTAFQRLDFTCDDILDCLKEDNIIGKGGAGIVYKGAMPNGEQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNYETNLLVYEYMPNGSLGEVLHGKKGGHLRWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDTNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVCGKKPVGEFGDGVDIVQWVRKMTDGKKEGVLKILDSRLSTVPIHEAMHMFYVAMLCVEEQAVERPKMREVVQMLTELPKQPPGTKPEGTTTCESSALEPPGTGTSDAKDHQQPPPDLLSI >itb12g10750.t1 pep chromosome:ASM357664v1:12:8856886:8858461:1 gene:itb12g10750 transcript:itb12g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGILELVQEVSPLAIKANSYVAKKLFDQWLSLPDTTSLVGTISVNGKREIGELIAKAMKKVGKKGVITIQVLASILRWKDIIK >itb13g19020.t1 pep chromosome:ASM357664v1:13:26053715:26058263:-1 gene:itb13g19020 transcript:itb13g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNIKGLVLAISSSFFIGSSFIVKKKGLKKAGSGSGLRAGAGGYSYLLEPLWWAGMVTMIVGEIANFAAYAFAPAILVTPLGALSIIISAILAHMILGERLHIFGIIGCVLCIVGSTIIVLHAPQEREIENVQELWDLATEPGFLLYGTMVVAAVVIIIVYFLPKYGQTHIIAYVGVCSLVGSIGVMSVRALGIALKLTFGGTNQLVYPQTWAFAVIVATCLLTQVNYLNKALDTFNSSVVSPIYYVMFTTLTIVASVIMFKDWNGQYATQIVTELGGFVTILSGTFLLHKTKDLNEVSSPSFAFRNPKYEEADGINLESIPLKRQESMR >itb13g19020.t2 pep chromosome:ASM357664v1:13:26053715:26058263:-1 gene:itb13g19020 transcript:itb13g19020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMIVGEIANFAAYAFAPAILVTPLGALSIIISAILAHMILGERLHIFGIIGCVLCIVGSTIIVLHAPQEREIENVQELWDLATEPGFLLYGTMVVAAVVIIIVYFLPKYGQTHIIAYVGVCSLVGSIGVMSVRALGIALKLTFGGTNQLVYPQTWAFAVIVATCLLTQVNYLNKALDTFNSSVVSPIYYVMFTTLTIVASVIMFKDWNGQYATQIVTELGGFVTILSGTFLLHKTKDLNEVSSPSFAFRNPKYEEADGINLESIPLKRQESMR >itb01g02040.t1 pep chromosome:ASM357664v1:1:1310067:1318722:1 gene:itb01g02040 transcript:itb01g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYHAHIARLFFSELITRSTMESTLLAAPATMSLVALSKSVNSNSVLSVCHFRRRFATNRASQIVLLSRIARAQLRSGGDPSRFGSVSLRNSLKSGDLQPVRREVRSVSGSAASFAAGGGGNDGLSGNGGGGGGGGAAEGGKENPSVIAAAGEDASVVSSDVIILDVGGMTCGGCAASVKRILESQPRVSSASVNLTTETAVVWPVSEAKVVPNWQQKLGEELAKHLTSCGFKANVRDSRRENLFETFEKKMNAKRAQLQASGRGLAVSWALCAVCLVGHLSHFFGAKASWIHLLHSTGFHMSMCLFTLLVPGRQLIIDGLKSLLKGAPNMNTLVGLGALSSFAVSSMAAFIPKLGWKAFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLNILPSKARLVVNSDMGEQTSTVEVPCSNLSVGDQIIVLPGDRIPADGIVRAGRSTVDESSFTGEPLPVTKLPGAQVAAGSINLNGMLTVEVQRPGGETAIGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMALSAATFMFWNLFGTRVLPATLHQGSLASLALQLSCSVLVVACPCALGLATPTAVMVGTSLGATRGLLLRGGSVLESFSMVNTIVFDKTGTLTIGRPTVTEVVPQGHNDETNAKRDSTSTGEWSEVDVLTLAAGVESNTNHPIGKAIVEAAKSRNCRNIKAEDGTFIEEPGSGAMAVIEEKKVSVGTLDWVRRHGVGETVSVQEFDDFKNQSVVYVGVDGVLAGLIYVEDQLREDARHVVESLSKQGITTYLLSGDKKNAAEYVASVVGIPRENVFYGVKPDEKSKFVSGLQKDQKVVAMVGDGINDAAALASSHVGVAIGGGVGAASDVSSVVLMQNRLSQLLDALELSRLTMKTVKQNLWWAFGYNIVGLPVAAGILLPFTGTMLTPSIAGALMGLSSIGVMTNSLLLRLKFASKQKEIQGPSVTIQIPSHSDDSDNNHKQTLRQPYTESR >itb01g02040.t2 pep chromosome:ASM357664v1:1:1313879:1318722:1 gene:itb01g02040 transcript:itb01g02040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKRAQLQASGRGLAVSWALCAVCLVGHLSHFFGAKASWIHLLHSTGFHMSMCLFTLLVPGRQLIIDGLKSLLKGAPNMNTLVGLGALSSFAVSSMAAFIPKLGWKAFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLNILPSKARLVVNSDMGEQTSTVEVPCSNLSVGDQIIVLPGDRIPADGIVRAGRSTVDESSFTGEPLPVTKLPGAQVAAGSINLNGMLTVEVQRPGGETAIGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMALSAATFMFWNLFGTRVLPATLHQGSLASLALQLSCSVLVVACPCALGLATPTAVMVGTSLGATRGLLLRGGSVLESFSMVNTIVFDKTGTLTIGRPTVTEVVPQGHNDETNAKRDSTSTGEWSEVDVLTLAAGVESNTNHPIGKAIVEAAKSRNCRNIKAEDGTFIEEPGSGAMAVIEEKKVSVGTLDWVRRHGVGETVSVQEFDDFKNQSVVYVGVDGVLAGLIYVEDQLREDARHVVESLSKQGITTYLLSGDKKNAAEYVASVVGIPRENVFYGVKPDEKSKFVSGLQKDQKVVAMVGDGINDAAALASSHVGVAIGGGVGAASDVSSVVLMQNRLSQLLDALELSRLTMKTVKQNLWWAFGYNIVGLPVAAGILLPFTGTMLTPSIAGALMGLSSIGVMTNSLLLRLKFASKQKEIQGPSVTIQIPSHSDDSDNNHKQTLRQPYTESR >itb04g02650.t1 pep chromosome:ASM357664v1:4:1589683:1590565:-1 gene:itb04g02650 transcript:itb04g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGKSNQLRAAGELKIQYKRAECHHRGKTLTFRVDPGSNPFYFAAVIQYAQGDGNIVAVQLKQGNSGVWTDMKQSWGAMWKLNSRSRLNAPFSLKVTGDSGKSVVAGNVIPAGWRPGGTYRSG >itb12g07560.t3 pep chromosome:ASM357664v1:12:5670998:5674661:1 gene:itb12g07560 transcript:itb12g07560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSELNDDIVRSMTVGAVFSDFGGKISSLDFHRTADLLITASEDDSVRLFDIANAKLLKTTYHKQHGADQVCFTHHPSSVICSSRHNIDTHEESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGIMHLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLMTTSNNIYILDAYCGEKVVFVITARWI >itb12g07560.t2 pep chromosome:ASM357664v1:12:5670998:5674666:1 gene:itb12g07560 transcript:itb12g07560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSELNDDIVRSMTVGAVFSDFGGKISSLDFHRTADLLITASEDDSVRLFDIANAKLLKTTYHKQHGADQVCFTHHPSSVICSSRHNIDTHEESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGIMHLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLMTTSNNIYILDAYCGEKVVFVITGFCLYMIVFTFVL >itb12g07560.t1 pep chromosome:ASM357664v1:12:5670998:5674666:1 gene:itb12g07560 transcript:itb12g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSELNDDIVRSMTVGAVFSDFGGKISSLDFHRTADLLITASEDDSVRLFDIANAKLLKTTYHKQHGADQVCFTHHPSSVICSSRHNIDTHEESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGIMHLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLMTTSNNIYILDAYCGEKRGGFSVDPSPNTTVEATFTPDGQYVLSGSGDGNLHAWNIKNRNKVASWDSYVGVASCVKWAPRRVMFAAASSVLTLWIPDYSKRPTDSGTAHPETAAHTEEIIQ >itb11g03890.t1 pep chromosome:ASM357664v1:11:2094041:2094433:1 gene:itb11g03890 transcript:itb11g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRRLVNNPKAAGMLVPGELIGPHKQTPQPPQPAEFFGDMSVKAIAANVEGNQERQVSYFSSNGSSETHTRENQGCHSIMPAAAGNADPTAVRAIFRPILCQDPAGIQQQPRLERYKCSLVCQTTSPV >itb04g30240.t1 pep chromosome:ASM357664v1:4:33403854:33404723:1 gene:itb04g30240 transcript:itb04g30240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVETPTSLIERGKNEEGLRTLKKIRGVENVEKEYLDILRSTEMAKKIKTPFRNLMKRSSWPQLFCGTILQVFQQLTGINVIMFYAPVLFQTMGLGANASLLSALITGSVNSLSTVGAIFGADYFGRRALLIEGAIQMLVAQGVVGGILAAYLQATNMIPKFAAVIVLVFICVFVMGFAWSWGPLGWLIASEIYPLETRTAGFFFAVSTNMIFTFIVAQAFLTMLCTMKSAIFFMFASFVLVMGLFVVFLLPETKGIPIDEMNERVWKKHWLWKRCFDENENANIDSAN >itb04g15440.t1 pep chromosome:ASM357664v1:4:16665042:16667340:1 gene:itb04g15440 transcript:itb04g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLTFSLRQTSAFVPPPSPKLHNPIKPKPILLRHSKPKPSILVSTPKSGRLRATQDPESNLEDPPQSTTIDAADSGGGGDEASRLGSEIRKAMEEREQKEASFWDGVAEEIREIEWPVFGKVLGTTGVVLGVIAGSSVVLLTVNAVFAELSDRVFAGKGVQDFFS >itb04g03200.t1 pep chromosome:ASM357664v1:4:1983175:1986827:-1 gene:itb04g03200 transcript:itb04g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIKTDRHLHAKRYSFSSLAMLSLFLAALICICTSTPFFSVSFLTQHQFNNPPVTVRDYLGEALERAASATSKTVIITMINKAYVEPVNEHNPSMLDLFLEGFWEGEETRPLVNRLLVVAMDRTAYQRCVFRRLHCYRLRTNGVDYASEKLYLSKGFVSMMWRRTLFLTDVLRRGYNFIFTDTDVLWLRNPFRRLSPNVTEDLQISTDEFNGDPWSAWNPINTGFYYVRSNNRTIALFEAWYGSRKIYPSRMKEQDVLVKMKRQGTLEELGLVVRCLDVLYFSGFCSHSRDVTAVATVHANCCKTIRAKVADLRNVLRDWRSYRSASAYSSREEIVENFEWSEHVSCKNSWRVPNSTAAAQGPPAESSFHDY >itb01g14570.t1 pep chromosome:ASM357664v1:1:16442117:16451454:1 gene:itb01g14570 transcript:itb01g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPLRPKTPAELVKAVKESLMALDSKTIAEIKAREKALEEAEKNIMSMKVMLLGDGESEPNPDQVSQLTIEVCNEDAIALFFHQLPILGWEARKNLVHCWSIMLKQKVDDSLCCVRYMENHLELLDFLVVCYDNKEIALHCGNMLRECIKVPSLAKYILESPCFELFFKFVELPNFDIASDAFSTFKDLLTKHASAVSEFLTAHYDEFFEHYEKLLMSSNYVTRRQSLKLLSEFLLESPNSHIMKRYIAEVRHLKVMMTLLKDSSKNIQISAFHIFKVFVANPNKPKEIKVILAKNHERLLDLLNSLSTGKGGEEDEQFEEEKELIIKEIERVAQLSNPRS >itb13g23170.t1 pep chromosome:ASM357664v1:13:29279203:29282190:1 gene:itb13g23170 transcript:itb13g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSEATSGAVGALVSTTILYPLDTCKTKYQAELRLPGQAKYRNTTDVFLEAIATRRIPSLYQGLGTKNLQSFISQFIYFYGYSFFKRLYLKKSGSKSIGTKVNLIIAAAAGACTVIITQPLDTASSRMQTSDFGKSKGLWKMLTERTWSEAFDALGISLLLTSNPSIQYTVFDQLKQRLLKEKMKNKSGADSSPEALSAFSAFVLGAVSKCVATCITYPAIRCKVMIQSAESEEDLKDPAYKDQAQARKTVSGALSAIWKREGLLGFYKGLRPQILKTVLSSALLLMTKEKILKTTWVVMLALQRYLVALPRARLKNA >itb06g22180.t1 pep chromosome:ASM357664v1:6:24571180:24573445:1 gene:itb06g22180 transcript:itb06g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNQREGLGQVLDLKDGKKVSMGKMIEELDSIEVPWVFICPISLEPMQDPVTLCTGQTYERSNILKWLSLGHFTCPTTMQELWDDSITPNRTLHHLILTWFSHKYMALKKRSEDVEGRVLEILERLKKVKGQIRVQALKELRQVVNAHDSAKKAVVKNDGVKLISSLLGAFTMHTVASEAIGILVHLDLSSDAKANLAQPSKVSLVVDTLNEGSLCTKINCMKLLEMLMEGKESDSRIVSSLSLFVGLLRLVKDKNHPEAVLSSLRLLKTITSHESVRTSIVCVGSIPQLVDALPNLNAECLESALVILDVLSTLPEGSLALKDCPRTIPNMVKLLMKVSEKCTQLALSILWAVCKLAPERCASVAVEAGLAAQLLLVIQSGCNPALKQRSAELLKLCSLNYTATIFISKCKLTKTIQ >itb06g22180.t2 pep chromosome:ASM357664v1:6:24571180:24573381:1 gene:itb06g22180 transcript:itb06g22180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNQREGLGQVLDLKDGKKVSMGKMIEELDSIEVPWVFICPISLEPMQDPVTLCTGQTYERSNILKWLSLGHFTCPTTMQELWDDSITPNRTLHHLILTWFSHKYMALKKRSEDVEGRVLEILERLKKVKGQIRVQALKELRQVVNAHDSAKKAVVKNDGVKLISSLLGAFTMHTVASEAIGILVHLDLSSDAKANLAQPSKVSLVVDTLNEGSLCTKINCMKLLEMLMEGKESDSRIVSSLSLFVGLLRLVKDKNHPEAVLSSLRLLKTITSHESVRTSIVCVGSIPQLVDALPNLNAECLESALVILDVLSTLPEGSLALKDCPRTIPNMVKLLMKVSEKCTQLALSILWAVCKLAPERCASVAVEAGLAAQLLLVIQSGCNPALKQRSAELLKLCSLNYTATIFISKCKLTKTIQ >itb04g24980.t1 pep chromosome:ASM357664v1:4:29660720:29667538:1 gene:itb04g24980 transcript:itb04g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSHADSRRMYSWWWDSHISPKNSKWLQENLTDMDAKVKTMIKLIEEDADSFARRAEMYYKKRPELMKMVEDFYRAYRALAERYDHATGVIRHAHRTMSEAFPNQVPMGFADDSPTSTDSYTPKSTPVRSLFESDEMSKDYSSDAKRNGGAFTEDSGSVTKGRGLKQVNDLFGSVGNAKFADGKVRKGLNFHESGDKEHSLQSNESNSFEAQSRPDLDQAVESEEALRKALARVEAEKEAGRIQYQQALEKLSELNSQISRAQEDSRGLGERASKAEAEIQTLKEALSKSETEKEANLHEYRQCLEKISEMENTLSCSQEDTVELNQKATKAELEAQSLKDDLAKIATEKDKALDQYMRSLETIAKLENKLQCAEEDARTLNERVERAENEVESLKQAISELIREKEAAALQYQKCLETIATLEAKLSSVQDETQRLNSEINNGVAKLKDAEEQRLLLERSNQSLQSKVEALTQKMGNQTQELTEKQKELGRLWTCIQEERLRFVEAETAFQTLQHLHAQVQEELRSLTAELQNRVQLFRELETNNQTLQDEIVKVKEENKNLEELNVSSSMTMRNMQDEISSLSETKGKLEQEVELRVDQRNALQQEIYCLKEELNDLNKKHQSILEQVHAIGFNPECLESSVKELQDENTNLKGMCQKERSEKEVLLEKLKLFDQLVEKNSVLENSLSDLSAELEAIRGKIKALENSCQSLIAENSTLLSEKETLTTELHVTNENLEKLSAKNTVLENSLSDAHDELQSLKEKSKGLEDSCQILMNEKVDLLGEQGRLVDQLQTALLRLENLEKMHGDIEQRYSVLEKEKQAALGKIEELQISLDVEKNEHTSSIQMSNKQLSAMESEMHLLREDLQCRTREYEEELDKALDSQIQIFILQKSAQDLQGQSFSLLTEYHKLLEASALSEKLILDLEQRNLEQKTEAKSLSDQASTLRKGMCKLLKDLDIVIDHAGNDRIAKDQAYLHHIQSRVEGIKESLIRTEEENQQNIVENFVLVALLRQLELAAENLHLEKTTVEREYRDKSDRFCTLQSEAVKLQETNEEMKSKIKEKDHKEKLLEVQVENLGSRLMNLQGDYNNLEREHLELQEEKALMTKTISVLEERSRSLEEENYVICGEMLSLESLFMVLKNCVNEKSLELKMLAAELNKLNEINGEKGEKLSLTEKMLEELKLENLNLMKTLQKSEDELNTIQLVKNQLDHDIEIKNNSLLEKEHKLQEVEEKLSLTDKEKLELHESLQNLIREHGEAKRTTEDLAKQIISISTESDNLRTENGNLHEATRLLEDGLWHLREENGKMKEQNDALHSELQKQTSEICTLESEMEELFSELQISTFCHRLYEHKASELMKVSEGLEAESTSKDIDIKLLEERVSSLDADNEGLKAQLATQESDAEELFGELQSSTICHRFYEQKVHELIKVSESFEAKIKSKDVDNKLLKERVSILDTANEGLKTQLATWQSDAEELFGELQSSTICHSLYGEKVRELMKVNESFRAKIISKDFDNKLLKERVSILDAENEGRRTQLATWESDAEELFGELQISTICHGLYEHKVHELMTVSESFEAESTSKDVDIKLLKERVSILGAENEGRKTQLATWESDAEELFGELLISTICNSVYEQKVHELVKLSKTFEAEITSKDADIKLLNAENKGLTTQLATYGPAIASLSQCISSLEKHTDGKLQNPENEGIEVAKCHNEDNLGEMKNATVMDAFLDLQDMVARVQAVERTLVKIEQLAAEDNVSMNGKLQAAIKQIEELKTESSMLGKNSKRMSEVLEADNGILTKDIVLDQISECSSYDRAKREHYEADNQILELWETTNPDGDIRLNDGQTKQKVPLTAQKYGEFHHVKSMKKPKSERPGSDALSEKELGVDKLQISKRSSDLREGNKKKVLERLNSDVLKLTNLHITVKDLKSKLEITEKSRRGKAVLEYESLMGQLNEAEADVLKLFDQSGKLMKNFETGSFSSDSMSTLGLEENESISRRRISEHAQRISEKIGRLQLAVQKMQFVLLKLDGDKETKGKSRVSETKRRVLLRDFLYGRPNHRQKKKPFCACVQPPTQGD >itb06g10680.t1 pep chromosome:ASM357664v1:6:15181051:15184179:-1 gene:itb06g10680 transcript:itb06g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGASSKLKKAAKKILVQTCGSFSRRHRTPVLDSAAAAAATAFPDSPIIEELISNSCQIKPSYQLDSTAKSASNKNLCAICLDPLNYGSSSSPAQAIFTAQCSHAFHFDCISSNIRHGSVTCPICRSLWTRLPRNLHTHCSLHRNQADPILQILDDSIATFRVHRRSFLRSARYDDDDPIEPDHSTDLPRLHFSLMPVPVVHSSYNLCSNQKSGARLCHESQHFSSPSLLLESPVAGRNLMLYNSLSANRAYLSITLAHHPATDLVLVASPNGPHLRLMKQAMALVVFALRPMDRLAIVTYSSAAARIFPLKRMTSYGKRTALQVIDRLFYMGQADPVEGLRKGVKILQDRTYQNPHSFMLHLSDSPTRSYHPFDAELPITSHKFHVGLGFGTSNGFVMHEFEGFLARILGGAVREVQLRIGEDSRTVRLGELRAGEERRVPLILGESGQVHIEYSYEDAMFDDSVKTGEVAVGIDDKRESTEGSIDSVPSILGRPSSVETWEYHDPFMARRWAKHLHGYRG >itb06g24500.t1 pep chromosome:ASM357664v1:6:26021288:26024615:-1 gene:itb06g24500 transcript:itb06g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLFEFCSSSSMMKTLEKYHRCNYASLEANQSNTQDNYHEYLKLKAKVELLQRSQRNLLGEDLGPLSIKELGQLEHQVESSLSQIRSTKTQSMMNQLVELQQKELALVDANNLLKMKLEERTAATIHQQPAVGFFQPVGVNSTTSQIGFHHVGSDEINAEVSSAHNINGFIPGWML >itb12g02370.t1 pep chromosome:ASM357664v1:12:1560550:1567276:-1 gene:itb12g02370 transcript:itb12g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNHEPKLYSKMRLWEFPDQYVIEPSDGSSGSRLAISRTDASMNLIDEIPQCSSLRVPKIKKIFGIVGMLKLVAGSYLIVITERECVGSYIGHPIYKVTSLKVLPCDHSLKNSPLEQKKMEAEFYSLLNVAEKTHGLYFSYDVNITMSAQRLYDLGESKMLPLWRQADPRFIWNNYIMEALIDSKLDPFLLPVVQGSFNNFQAAIGKDIIDITLIARRCNRRTGTRLWRRGADSDGYVANFVESEQIMQINGFTASSVQIRGSIPLLWDQIVDLTYKPKFELVRQEEVPRVIERHFTDLRKKYGNVIAIDLVNKHGAEGRLSEKFNGAMQHIASDEVKYLHFDFHHVCGHVHFENLSILYDQIETFITKNRYFLLNEKGEKLEAQLGVVRTNCIDCLDRTNVSQSMVGRKLLEFQLRRLGVFNAEETISTHPNLDENFKVLWANHGDDISTQYSGTPALKGDFVRFGKRTFQGILTDGYNAMMRYYLNNFRDGTKQDAIDLVQGHYIVSVARDSLKASQRAGLQAVASFPLAFGLIITGLFFTLLSLRRVREDPWNLLFSMVWAGISMGIAAFVKANGRVFCNRPRLHQPRR >itb12g02370.t2 pep chromosome:ASM357664v1:12:1560550:1567276:-1 gene:itb12g02370 transcript:itb12g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNHEPKLYSKMRLWEFPDQYVIEPSDGSSGSRLAISRTDASMNLIDEIPQCSSLRVPKIKKIFGIVGMLKLVAGSYLIVITERECVGSYIGHPIYKVTSLKVLPCDHSLKNSPLEQKKMEAEFYSLLNVAEKTHGLYFSYDVNITMSAQRLYDLGESKMLPLWRQADPRFIWNNYIMEALIDSKLDPFLLPVVQGSFNNFQAAIGKDIIDITLIARRCNRRTGTRLWRRGADSDGYVANFVESEQIMQINGFTASSVQIRGSIPLLWDQIVDLTYKPKFELVRQEEVPRVIERHFTDLRKKYGNVIAIDLVNKHGAEGRLSEKFNGAMQHIASDEVKYLHFDFHHVCGHVHFENLSILYDQIETFITKNRYFLLNEKGEKLEAQLGVVRTNCIDCLDRTNVSQSMVGRKLLEFQLRRLGVFNAEETISTHPNLDENFKVLWANHGDDISTQYSGTPALKGDFVRFGKRTFQGILTDGYNAMMRYYLNNFRDGTKQDAIDLVQGHYIVSVARDSLKASQRAGLQAVASFPLAFGLIITGLFFTLLSLRRVREDPWNLLFSMVWAGISMGIAAFVKANGRVFCNRPRLHQPRR >itb15g06610.t2 pep chromosome:ASM357664v1:15:4408464:4410796:-1 gene:itb15g06610 transcript:itb15g06610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYILVFLVQWLACGGCTSDVHVGYQVTVAVPIEYSRGFVGRAFLMETDQAVLNFKAAISVEAVDEKYTCSLDVFLGDVKVWSSGHLSQFYTTENCILELTQNGDLRLKGQRGSVGWRTGTFGQGVKRLNLLRTGNLVLVDSQNMIKWQSFNFPTNIMLWGQRLSSKTRLTAFPSNSSLFYSLEIHDDKLALYLNSGKWKYSYWEFRPSNNRTITYVELTSEGLEIYNGIQWRIAQLRSKIQEPPKFLALGNNSGNLGLYYYSADKGVFEVSYKAINSTCDLPLVCKPYGICTFSSDCSCIRLIKRGDGLLSDCSEEITGGICNTSRVEMLELRGVTSVLSSNSKKVNVKKEVCANLCLDNCTCVAALYSQIGDDANLGECYLYGLVRGVKQIERDSKWSYMVKVPKEVDQGHGKHSGLKKWVSVVVGVVDGFVILIVLGGIGYYVVQKRKTSTQSTEDTN >itb15g06610.t1 pep chromosome:ASM357664v1:15:4408464:4410796:-1 gene:itb15g06610 transcript:itb15g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYILVFLVQWLACGGCTSDVHVGYQVTVAVPIEYSRGFVGRAFLMETDQAVLNFKAAISVEAVDEKYTCSLDVFLGDVKVWSSGHLSQFYTTENCILELTQNGDLRLKGQRGSVGWRTGTFGQGVKRLNLLRTGNLVLVDSQNMIKWQSFNFPTNIMLWGQRLSSKTRLTAFPSNSSLFYSLEIHDDKLALYLNSGKWKYSYWEFRPSNNRTITYVELTSEGLEIYNGIQWRIAQLRSKIQEPPKFLALGNNSGNLGLYYYSADKGVFEVSYKAINSTCDLPLVCKPYGICTFSSDCSCIRLIKRGDGLLSDCSEEITGGICNTSRVEMLELRGVTSVLSSNSKKVNVKKEVCANLCLDNCTCVAALYSQIGDDANLGECYLYGLVRGVKQIERDSKWSYMVKVPKEVDQGHGKHSGLKKWVSVVVGVVDGFVILIVLGGIGYYVVQKRKTSTQSTEDTN >itb12g15050.t1 pep chromosome:ASM357664v1:12:15455520:15459406:1 gene:itb12g15050 transcript:itb12g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEVTNPLKKKTKWLLPLVFALLISSVLILFTLFSANPFHQSPEKPPKVPVFVESKLRLPDTRPSSRLPRLAYLISGSSGDGESLKRTLKALYHPLNQYVVHLDLEAPAEERVELMKFVKSEPLFAEVGNVRVIVRSNLVTYRGPTMVTNTLHGAAILLKEGGEWDWFINLSASDYPLVTQDDMLHTLSTIPRDLNFIEHTSDIGWKEYHRAKPLIIDPALYSLKKSDVFWVSEKRSVPSAYKLFTGSAWMMLSRPFIEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHYLTVNDYQRMVDSNAPFARKFGRNETVLDKIDSELLGRKADGYVPGSWFDGGDTNSTSSPYTITNITSLKPGPGAKRLHNLINNGLLSDKDFDTKHCI >itb03g13780.t1 pep chromosome:ASM357664v1:3:13772499:13773741:1 gene:itb03g13780 transcript:itb03g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWSAENATKAYIRTMNMGENAKELNGAEFISALAAGNNAQLIVVACAGAADAITLALAAASQQTGGRVVCILPGLEELCCSKLTLGTKTNAGHVDFIVGNPQELLSKHYKEADFLAIDCNLENHEEILRSVQGSARYNNTVILGYNAFCKQSWRSSSLRISLLPIGEGLLLTRIAAAKNTNINRPGMQKRGHWIVKVDKCTGEEHVFRVRSPQRRVIEA >itb15g07850.t2 pep chromosome:ASM357664v1:15:5506449:5518813:-1 gene:itb15g07850 transcript:itb15g07850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASMDLNASPQPEDDDEIFGHQLEEESEEHIVQQINEHTDYVESAVEISRREREERIQRLKRQRPDDRPTYASHQRLNDEIFQEKKHKASKELPPGWLDCPGFGQEIGCIIPSKVPLSEAFNTYVPPGKRYSFRQVLHQQRVLGRKLGLVIDLTNTNRYYSTEEFRKDGIKHVKIKCKGRDSVPENESVNLFVNEVSQFLARQKHSKKFILVHCTHGHNRTGYMIVHYLMRTLPISVTQAIQIFADARPPGIYKPDYIDALYSFYHEKKPEAVVCPPTPEWKRSCEFDLNGDAMPDEDDDGVAVTPSHNSQETTQAVMTNDDILGDSIPSDQQESFRLFCYQALKLPPPGGRGILKFPGSHPVSLDRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRKFEFRRVQMRFPCRAPSGGLPEKTHHFTLLDGEMIIDTLPDTQKQERRYLIYDMMALNHMPIVDRPFYERWKMIEKEIIEPRNSERQRIIQSRNPYYRYELEPFRVRRKDFWLLSAVTKLLKEFIPKLSHDADGLVFQGWDDPYVPRTHEGLLKWKYAEMNSVDFLFEIRDDRELLFLNDGKNLKLMDGNTVVFPDCSDPSVYSGKIIECSWNSDNQEWVCMRVRIDKGTPNDYHTYRKVLKSITDNITEDVLLNEIHEIIQLPMYADRIQSDSKARQHSSSARRR >itb15g07850.t3 pep chromosome:ASM357664v1:15:5506457:5518769:-1 gene:itb15g07850 transcript:itb15g07850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIASMDLNASPQPEDDDEIFGHQLEEESEEHIVQQINEHTDYVESAVEISRREREERIQRLKRQRPDDRPTYASHQRLNDEIFQEKKHKASKELPPGWLDCPGFGQEIGCIIPSKVPLSEAFNTYVPPGKRYSFRQVLHQQRVLGRKLGLVIDLTNTNRYYSTEEFRKDGIKHVKIKCKGRDSVPENESVNLFVNEVSQFLARQKHSKKFILVHCTHGHNRTGYMIVHYLMRTLPISVTQAIQIFADARPPGIYKPDYIDALYSFYHEKKPEAVVCPPTPEWKRSCEFDLNGDAMPDEDDDGVAVTPSHNSQETTQAVMTNDDILGDSIPSDQQESFRLFCYQALKLPPPGGRGILKFPGSHPVSLDRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRKFEFRRVQMRFPCRAPSGVCIHPPSFEDFFLISLYTYNINIFRFSISCLLHPLSLFPQGLPEKTHHFTLLDGEMIIDTLPDTQKQERRYLIYDMMALNHMPIVDRPFYERWKMIEKEIIEPRNSERQRIIQSRNPYYRYELEPFRVRRKDFWLLSAVTKLLKEFIPKLSHDADGLVFQGWDDPYVPRTHEGLLKWKYAEMNSVDFLFEIRDDRELLFLNDGKNLKLMDGNTVVFPDCSDPSVYSGKIIECSWNSDNQEWVCMRVRIDKGTPNDYHTYRKVLKSITDNITEDVLLNEIHEIIQLPMYADRIQSDSKARQHSSSARRR >itb15g07850.t4 pep chromosome:ASM357664v1:15:5506457:5518769:-1 gene:itb15g07850 transcript:itb15g07850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPQPEDDDEIFGHQLEEESEEHIVQQINEHTDYVESAVEISRREREERIQRLKRQRPDDRPTYASHQRLNDEIFQEKKHKASKELPPGWLDCPGFGQEIGCIIPSKVPLSEAFNTYVPPGKRYSFRQVLHQQRVLGRKLGLVIDLTNTNRYYSTEEFRKDGIKHVKIKCKGRDSVPENESVNLFVNEVSQFLARQKHSKKFILVHCTHGHNRTGYMIVHYLMRTLPISVTQAIQIFADARPPGIYKPDYIDALYSFYHEKKPEAVVCPPTPEWKRSCEFDLNGDAMPDEDDDGVAVTPSHNSQETTQAVMTNDDILGDSIPSDQQESFRLFCYQALKLPPPGGRGILKFPGSHPVSLDRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRKFEFRRVQMRFPCRAPSGVCIHPPSFEDFFLISLYTYNINIFRFSISCLLHPLSLFPQGLPEKTHHFTLLDGEMIIDTLPDTQKQERRYLIYDMMALNHMPIVDRPFYERWKMIEKEIIEPRNSERQRIIQSRNPYYRYELEPFRVRRKDFWLLSAVTKLLKEFIPKLSHDADGLVFQGWDDPYVPRTHEGLLKWKYAEMNSVDFLFEIRDDRELLFLNDGKNLKLMDGNTVVFPDCSDPSVYSGKIIECSWNSDNQEWVCMRVRIDKGTPNDYHTYRKVLKSITDNITEDVLLNEIHEIIQLPMYADRIQSDSKARQHSSSARRR >itb15g07850.t1 pep chromosome:ASM357664v1:15:5506449:5518813:-1 gene:itb15g07850 transcript:itb15g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPQPEDDDEIFGHQLEEESEEHIVQQINEHTDYVESAVEISRREREERIQRLKRQRPDDRPTYASHQRLNDEIFQEKKHKASKELPPGWLDCPGFGQEIGCIIPSKVPLSEAFNTYVPPGKRYSFRQVLHQQRVLGRKLGLVIDLTNTNRYYSTEEFRKDGIKHVKIKCKGRDSVPENESVNLFVNEVSQFLARQKHSKKFILVHCTHGHNRTGYMIVHYLMRTLPISVTQAIQIFADARPPGIYKPDYIDALYSFYHEKKPEAVVCPPTPEWKRSCEFDLNGDAMPDEDDDGVAVTPSHNSQETTQAVMTNDDILGDSIPSDQQESFRLFCYQALKLPPPGGRGILKFPGSHPVSLDRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRKFEFRRVQMRFPCRAPSGGLPEKTHHFTLLDGEMIIDTLPDTQKQERRYLIYDMMALNHMPIVDRPFYERWKMIEKEIIEPRNSERQRIIQSRNPYYRYELEPFRVRRKDFWLLSAVTKLLKEFIPKLSHDADGLVFQGWDDPYVPRTHEGLLKWKYAEMNSVDFLFEIRDDRELLFLNDGKNLKLMDGNTVVFPDCSDPSVYSGKIIECSWNSDNQEWVCMRVRIDKGTPNDYHTYRKVLKSITDNITEDVLLNEIHEIIQLPMYADRIQSDSKARQHSSSARRR >itb06g13630.t1 pep chromosome:ASM357664v1:6:18333182:18337482:-1 gene:itb06g13630 transcript:itb06g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHRSPANAYNRSSSMGMGGMGATSRVSPEGSMRNRGMYNSEYKSYNRGGYGRGQLRQFEPRQPSPQKSDVFMEAGRMAAEYLVSKGLLPRSALLGKLQNGSLKNHSGYSHGFRMLDGDNMQLSMEGRTSALSRLGNVVDGEGPGRKRYPNDYSPMASKGYARGRRRHGSYKDSEWDQEFGRSTTWSDRARASTDMDGHTNAFSGRQDEKQAIKDSNNELQNSPAAELNPETEGIRKLVGDSESILNRNQSVEDTSAIDTEKDILSSYKVDRTEKSDDMETSNAEVGEVENGNDNDNDGMEVTAVKEDKQISLCAEKDNLTSKKGTDLLSLCRFENVPRRIRSSLATRCPKVGANTMAEKEETQESELPKEFDAQGEDMSMHVSSDDISLHQNHDTKALDSENSEALATEEVPCVSFVIIEENTTGPSSFADHPLIKEDEESDGVNMFGRCSSVERGKKRSLEDIDNNEGIKKPRELALSDETEPEDVFVYSISMAKQQNSDDQNTTNGEPAMLSPDHKKLVDVPLFSSDVPTIEFSEKQLFPSSYKTCDLNYIATCDVNHNCDADSVLMFPGTTDSGKQTVPVNIGLPMNENYDLTSKYSQCGFGGRDIEVIDLENDSEQDNKPFNNPQSSETGFTAQNGFPNDANNANDIADVQDGYGLMFSELLGNDIPNCSSVPGDLNTLHNDMGLHNGEGILGDDDSIYMSLEEIPISGFLRSWQQPPQEFGKPF >itb12g20260.t1 pep chromosome:ASM357664v1:12:22738536:22740412:1 gene:itb12g20260 transcript:itb12g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMQCLVICIFVLISGGAAATSRIEPIIELPSGVGEDNWYCESWKLAVENNNAGAWDRETCDDFANSYMTDYRYLSDSIAVASYARAYANSVKVTPRDAWIFDIDDTLLSLVSYCDQSLVKSVGHKDCKNSSSGDDDLDLPSLPASLQLYKTIQKLGFKIFLISERKQSQLNNTIENLCRVGYNNWDKLILRDTCDEDKSSLVFKSEKRIEVVEEGYIIQGNCGDQWSDLLGYATAVRSFKFPNLMYYTK >itb06g20190.t1 pep chromosome:ASM357664v1:6:23276091:23280045:-1 gene:itb06g20190 transcript:itb06g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQNSNAPIHQNMGLGGSLARSLSQPTAFANNSLPPLSPSPHSGPPMPSSIYSLKDAIIEDADGACQGRENVLRGSDGLPPRKGHRRSNSDVLFGISPSFQYPPQLMMPMHGQGDVVRAAYDGDCQGRDAPILLQKQEVNVGSGDKSHAKGMGEKKFEGGVIHELFSSYMNLGNLEAFYSSRNEDRSKDSMVSGSKQISSGDNSNETESASKASGAKIHTAGAGEKVKRSAAGDTVPVTRHFRSLSMDSAFPNLSSVDESQKLPTSLGNQVGQLSPSNSLNERLPKLNFDLISVEFSEAELKKIMADERLAEVATTDPKQAKRILANRHSAARSKERKMRYISELEHKVHTLQTEATTLSAQITTLQKDISGLKSENNELQFRLQAMGHQAQLRDALHESLSAEIQCLKLAMGELREEGKLSNHTPREISEKHHMFQMQRQQPGQVQVSYCQ >itb06g16630.t1 pep chromosome:ASM357664v1:6:20721386:20723127:1 gene:itb06g16630 transcript:itb06g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDEPAEPRPFTDQILEWFDSSFLDCPYDSNDHFFGDSWWGDQGQSLEILHKSDDNGGGVSTSLNSFSSVTTAVEAPVVLDHPAAQPPVDRKRKGREEGEAEVEVEQAAAPVRKGGGNKKGGNKGGGNSNSNCNKDGRWAEQLLNPCAAAITAGNMNRVQHLLYVLSELASLTGDANHRLAAHGLQALTHHLGSGSSFAGVTNFASTTKKFFRESLMIFNDINPWFRIPNSFANSSILQALAEQQDRPRCLHILDIGVSHGIQWPTLLEELTHRPGGPPPLVRLTVVTPTVDNQQSSFNTPFLIPPSGYDFSPNLLGFAKAININLQINILDNLPLQNLIAHAQSIKSSQDEILIVCAQFRLHNLNHHAPDERTEFLKSLRNLAPKRVVLSENNAECSCSNCGDFAAGFSRKVEYLWRFLESTSMAFKGRWNEERRMMEGEAAKALINTGEMNERKEKWCERMRGVGFAREEFGEDAIDGARMLLRKYDNNWEMKVEEKDGCVDLWWKGQPLSFTSLWKMDPNHGFN >itb03g15990.t1 pep chromosome:ASM357664v1:3:15153936:15155508:-1 gene:itb03g15990 transcript:itb03g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNIVRRTAVQIAPVAARFIGRAQRYNHHCSSPLFSSSAANRVGVSGSFFRSAVPSGLHHFSTKSDEALLKVIESEIGCAVETEGIDKVEDVPAGFPFKIEDNPGYQTITLTREYQGETICVEVHMPDLVTGEENQEVASGDEDNEQGSESQIPLVVRVSKKNGPALEFGCTAYPDEISIDSLSIRDPNSAEDQIAYEGPDFTDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMINKDGREYLMWLKNLKKFVEA >itb04g02890.t2 pep chromosome:ASM357664v1:4:1793226:1797039:1 gene:itb04g02890 transcript:itb04g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEELKEGETGSEEEPCSDCEGAVVASDVKRVLVGAGARALFYPTLLYNVLRNKVQAEFRWWDRVDEFILLGAVPFPADVPHLKALGVIGVVTLNEPYETLVPTSLYRDHGINHLVIPTRDYLFAPSFLNISQAVDFIQGNASSGKTTYVHCKAGRGRSTTIVLCYLVKHKQMTPEAAYDYVRSIRPRVSLASSQWQAVQEYYYRVRKTESSACVVDSSLEKALSRPSKGDLAAFDDDSLVLVTESDLDGYDENLECDLGGNSVLAELNLACRVQFAGQAAIARLSCLWLRCNPGQKTSGKKLEGSVTQNQLESIGVDIRVY >itb04g02890.t1 pep chromosome:ASM357664v1:4:1793226:1797039:1 gene:itb04g02890 transcript:itb04g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEELKEGETGSEEEPCSDCEGAVVASDVKRVLVGAGARALFYPTLLYNVLRNKVQAEFRWWDRVDEFILLGAVPFPADVPHLKALGVIGVVTLNEPYETLVPTSLYRDHGINHLVIPTRDYLFAPSFLNISQAVDFIQGNASSGKTTYVHCKAGRGRSTTIVLCYLVKHKQMTPEAAYDYVRSIRPRVSLASSQWQAVQEYYYRVRKTESSACVVDSSLEKALSRPSKGDLAAFDDDSLVLVTESDLDGYDENLECDLGGNSVLAELNLACRVQFAGQAAIARLSCLWLRCNPGQKTSGKKLEGSVTQNQLESIGVDIRVY >itb01g20550.t1 pep chromosome:ASM357664v1:1:26825208:26827882:1 gene:itb01g20550 transcript:itb01g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRKTLSSALSFFNDINLGRRSRVAPTTGISTSSVSSLRSFQSLPETVPSFSSNQNYLLSSNFPKFRNNYDDAIDADNALNLFRQMAAACPLPSIIEFNKLLSRILKMRHYSLVVSLYQEMRNTGIPISAYTLSILIDACCRSNRVDCGFCVLGIHFKCGIEFNVVAFTTLIKGLCLENKIVDAVELFRKLGREKVCEINEVTCGTLINGLCKTGHTQTALELLGVMQKEGPKPNTVVYNTIINALCKDRMVDEALDLLSEMIGRGIPPNTITYAPLINGLCLDNRILEAVELFRKLVRENVCKINQVTCGILITGLCEMGHSQTALDLLGVMQKEGLEPNTRVYNIVIDALCKDRMVKEALDLLSVMIGRGIPLNTVTYAPLINGLCLDNRVGEAVELFRKLVRENVCKINQVTCGILITGLCKTGCTQTALDLLGVMQKEGLEPNTTVYNIIIDALCKDRMIDDALDLLTQMIGRGILPDKFTYASLIQGLCNFSRWKEVTKLMNEMVLRNLHPDAHIFTILVDAFSKEGKLNDAEAIIQIMIQRNTYPDVVTYSTLIEGYCLQGQMDEARKAFSRMVDRGLQPNVRSYNILIYGYCRIKEMDEAMDLFRELPQNGLCPGVVTYTIILQGLFLVGRCSTALKLFQEMQVAGHKPNFHTSCVLLKGLCENGHVEKAMSIYSKLDKYGNGSHVFGNIMIDAFCKTGLLNIARGIFINLSKVSCTNVNTYTIMINGFFREGLTDEALDLLRKMKENDILPDTVTYNVILQEFVRKKMCNEANMVLDEMVAGVEAMSCRMLLIMVKLLLAAGLLLSIKWEVLLVGEALKLIFIVILV >itb06g18810.t1 pep chromosome:ASM357664v1:6:22381229:22381864:1 gene:itb06g18810 transcript:itb06g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVSQRVEEQYVSSSLFTGGYNSATLAHLMDKVIDLEANHPQMAGAKGSYCAIPGCGGKVMSDERGEDILPCRCDLKICQDCYAEAVKTDGICPGCKEQYKNSDQNFVDQDRQPLSLASNGGQSRMERGLSLVKLSRGLMRNHTGDFDNTRWLFEAKGSYGYGDAVQPKEEGLGDHDKDDGEYSELLNKEWRPLTHKLKIPAIVLTPYR >itb11g05140.t1 pep chromosome:ASM357664v1:11:2997295:2998836:1 gene:itb11g05140 transcript:itb11g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVHRLIFYQHPISRTHFLETLIPAMKHSLSLTLRHYTPLAGRVIVSADNSILPEIRYEEGDTVLLVLAESEGDFDHLTSDHAKSCTDFHPLVPALPQVSRAPDGSAVVPVLALQVTLFPDVGICVGVTNHHATGDANSIFRFMKAWEFFSNLADKKTSSVSLPPEFLPSYDRTVIRDPKELESLFWDNIKNIKIEDTHVHRLPLITNRARLTFILTRDEIQRLKNHILAHRPELKHVSSFTVICSYVWTCLVKSRYGAETDNIDDDEDELFCCAAECRARLDPPAPENYFGNCLTAVTEFVKTKQLRGEKALVDISAIIGDKIRGQLYDKESGVFKGAEDWFAFLSAVKPERLLSVAGSPKFDYYELDFGWGRPKKFEFASIDLSGAFSLSKARDIEGGLEVGLSVLVTQIDSFSTIFTHGLKAL >itb01g08710.t1 pep chromosome:ASM357664v1:1:6966445:6968967:1 gene:itb01g08710 transcript:itb01g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPCAGRSSESATAHGATGGGVASPPPLPPPSTLTEEDPGTCKLYAFVSQSYPAKSELCLLVITTFRWRNEHILWSNDSILNLVMRNKQVIMPIVVSALERNSQSHWSKPDTKREKSALRDG >itb02g13570.t1 pep chromosome:ASM357664v1:2:9749542:9752254:-1 gene:itb02g13570 transcript:itb02g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSFINNHPLICFCSKEDRGTELPHDEELLPPAEDRNLSFHTSTKARQEILLMDNLVDSLNSAYLEFVAAAANVLEAKESSGAQKIAVTDAALENFKQHWELFRVACDQAEEFVESVKQRIGSECLVDEATGSVSGKPGQPATSGLQPISAVRLEQMSKAVRWLVIELQHGSGTGGASSHPHPSAPFDARFSEDAAQ >itb14g18590.t1 pep chromosome:ASM357664v1:14:21549831:21551668:1 gene:itb14g18590 transcript:itb14g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSIHLPAGLALCLSVLLCCLSCLAVCESSIYEVLKAHGLPMGLLPKGVKNFTVDNSGKFVVHLDQACNAKFENEIHYDMDVYGNLSYGLIGGLSGISAQDLFLWFPVKEIRVDVPSSGLIYFDVGVVSKQFSLSSFETPRDCIAVQLTDLGDGQHIAESASKNTFGVGELQYKVENKDSGRAVL >itb14g11110.t1 pep chromosome:ASM357664v1:14:12649816:12651481:1 gene:itb14g11110 transcript:itb14g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPAFSSSPTTTLQPPTPPQQRTPSFHAQPNLESTVSWTSSISRYCRNGRLAEAVNEFTRMRLSGVEPNHATFISLLSCCAHFPSQSRPFGAAMHAYARKLSLDTQNVKVGTAVVDMYSKFGQVGLARLSFDHTSVRNRVTWNTMIDGYMRNGKFDDAVELFDEMPQRDAVSWTALIGGFVKNSRFQEALEWFQEMLLSGVEPDYVTMISALSACANLGTLSLGLWLHCYILQHKFNDNVRVNNTLIDMYCRCGCVELALQVFKRMPEKSIVSWNSIIVGLAANGHAEEALKNFNLMQKKGFKPDGVSYTGALTACSHAGLVKQGLNFFKMMVELHRISPRIEHYGCIVDLYSRAGRVEDALSMIKNMPMKPNEVILGSVMAACRSLGDVRLAEKLMNYISELDPGGDSNHVLLSNIYAALGSWRGASKVRRRMKELGIQKKPGISSIEVSGAISEFVAGDKSHEDTQFIYAMLDLLSQEIRVSGYVPDGDANQSYESW >itb04g31280.t1 pep chromosome:ASM357664v1:4:34181641:34182960:1 gene:itb04g31280 transcript:itb04g31280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCPVLAGSKVLPFGVFLPNGNAVSFRHCHKHLEGTFPAKNLHSNACLKLSKTHLSSSLSLRRPSERFSLLPLKCSGSHSTAKTDDGNTFGNSLRNLSVESMKSNFSELTPFLNSLRNHSVESIKSSFSQMALFLESLKNHSGELIKSNFSQLTPFDLCKWSVVIAVAIAVPKWIVSVVLNPFFWMYFSWTWLFWPWMAAIGIAVYGFYCLNKHLRGDANAFEQLAIVTSAFTWLTLVPPAHFNGFLEGWPFVFFFVYHYFFFFNVSVRKRLYGDFFPRDHDPKWDISLPNWQKLLFCAGVAVGHWIAAFEGPELHLIPGGWSNAGVWALIIMTLFMQYHSTLYLANYSEKVVVPTAVVQFGPYRFVRHPIYASTMLLFATYCVALRAPLSTLFTIAVCLVYYGQKAKLEEELMVETFGERYLEYSRKVKYRFFPFVY >itb03g15620.t1 pep chromosome:ASM357664v1:3:14909205:14910911:-1 gene:itb03g15620 transcript:itb03g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLKSSTTLIAKWTVFPAEKSTLPDLKLSVSDLPMLSTHYIQKGGLFTRPPFSISHLISLLKKSLSQTLTNFPPLAGRLVTDSEGYVYVACNDAGADFVHAGATDVYVRDVIGGVDVPEEVTEFFPFDRTVSFQGHFRPILGVQVTELADGVFIGCAVNHAVADGTSFWNFFNTFAEVTRGVKRITRQPEFSRNSVLISPAVLKLPAGGPKVTFALDAPLRERIFSFSRESILKLKAKTNSQKFDVNGGIDVVELMGKESNDPLKISNGKVTPLNWIINTVTKPEKPGEEISSFQSLCALLWRAVTRARHLPPSKTTTFRMAVNCRHRLDPKLDPLYFGNAIQSIPTYAPAGDVLSRDLRWCAEQLNKNVKSHDDVMVRNYVGAWESDPRCFPLGNFDGAMLTMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGREGGGTVDLEVILTPETMAGLESDPEFMQYVSGY >itb12g03950.t1 pep chromosome:ASM357664v1:12:2600174:2602542:-1 gene:itb12g03950 transcript:itb12g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTPTDSFILIVVGFILLHIPVYFCEDDHRYVACGKAFRCANIENISYPFWGGDRPEYCGYPGFELDCNSEDAPVISIQSTKYKVVCIDSSERRITVARQDLDRDICLTNPQNSNLDFNLFNYVSDDKNITIFHDCSSVPNVIPLLNQFNCSSSAKTFGFYLVGVVDIPLGITCDSRIMATVNQTGAQVLASPTGDPREVLRETLASGFSLQWTAYNAFCDRCTQSGGRCGSSSNQSSTRFACYCVDGSHSISCNDKNNNNNSRQTTLGIGLGIAGAVLAGIGIGWIMFLYRQRRKQRGAQAHQPPPTDLPTPSSNGPSDPSYHSSRSQTVFGTESFYFGAQLFSYAELQDATNNFDQSRELGDGGFGTVYYGELQDGREVAVKRLYENNFRRVEQFMNEVEILTRLRHKNLVTLYGCTSRQSRDLLLVYEYIPNGTVADHLHGKRAKSGVLSWSIRLNIAIETAEALAYLHRSDIIHRDVKTNNILLDNDFHVKVADFGLSRLFPIGVTHVSTAPQGTPGYVDPEYYQVYQLTEKSDVYSFGVVLVELISSLQAVDTSRHRHDINLANMAVNKVQNDTLHELVDQNLGFTTNRSVRRMITQVAQLAFRCLLGDRDMRPSMQEVVDALKGLQDEEFNPEKVEVLDVVVQGGDVGLKSNATTPPSSDSSVTDKGIGSSTTSCSW >itb08g16310.t1 pep chromosome:ASM357664v1:8:18500069:18500485:1 gene:itb08g16310 transcript:itb08g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDPPRLIPKSNFPLLFGYTTSTQPSDAATQGRVDDGPTATTSSYLWQLASISGERHNRLVVYTDTLTFSLILCRDWRNGCCGLRRALACCDCCGLASCGGGRRLRSAALSLSLSLSFPNEDQPAALFFKCEFVIL >itb05g25110.t1 pep chromosome:ASM357664v1:5:29683254:29683673:-1 gene:itb05g25110 transcript:itb05g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGSSTVKASLAAVLFVSFLWFLFVGVLANNQATKADIVEATMIPPRNFDIWKLIRSKRRHSLHHTSDFNYVSKRRVPNGPDPIHNRRAGEYRQPPLQH >itb08g14920.t3 pep chromosome:ASM357664v1:8:16824717:16835012:1 gene:itb08g14920 transcript:itb08g14920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEFMLFFDSLTFNRDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINAAVSLLKEKHHGVLITGVQLCTDLCKVSTDALEYFRKKCTDSLVKVLKDVVNSPYAPEYDVSGITDPFLHIRLLRLLSVLGQGDADASDSMNDILAQVATKTESNKNSGNAILYECVATIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTVDSQAVQRHRATILECVKESDPSIRKRALELVYLLVNESNVKPLTKELIEYLEVSDLEFRGDLTAKICSIVEKFSPEKIWYIDQMLKVLSEAGSYVKDEVWHALIVVITNASNLHGYAVRSLFRLVQTVEQETLVRVAVWCIGEYGEMLVSCTGMLDIEEPITVTESDAVDIVETAMKTHSFDLTTKAMCLIALLKLSSRFPPCSQRINDIIVQYKGNLVLELQQRAIEFNSIIQKHQNMRSTLLERMPVLDEATYSGRKAGSVPPGISTSQGPSLPNGVAKPAAAPLVDLLDLGSDDTPAPSSSGGDFLQDLLGVDLSPAASQPGTNQVQKSGTDLLLDLLSIGTPPAQSSSTSTVEVLPSSQDNKSSLDLLDSLSSPTVPPAQVSSRTSSVGSSPMMDLLDGISTSPSTPATEDNGPAYPSVVAFESNSIKLTFNFSKQPGNPQTTVIEANFTNKLQDVITDFVFQAAVPKFLQLHLDPASGNTLPASGNESITQKLRVTNSQHGKKSLVMRTRIGYKVNNKDVLEEGQISNFPRGL >itb08g14920.t2 pep chromosome:ASM357664v1:8:16822724:16834916:1 gene:itb08g14920 transcript:itb08g14920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHMLGYPTHFGQMECLKSIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSIKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINAAVSLLKEKHHGVLITGVQLCTDLCKVSTDALEYFRKKCTDSLVKVLKDVVNSPYAPEYDVSGITDPFLHIRLLRLLSVLGQGDADASDSMNDILAQVATKTESNKNSGNAILYECVATIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTVDSQAVQRHRATILECVKESDPSIRKRALELVYLLVNESNVKPLTKELIEYLEVSDLEFRGDLTAKICSIVEKFSPEKIWYIDQMLKVLSEAGSYVKDEVWHALIVVITNASNLHGYAVRSLFRLVQTVEQETLVRVAVWCIGEYGEMLVSCTGMLDIEEPITVTESDAVDIVETAMKTHSFDLTTKAMCLIALLKLSSRFPPCSQRINDIIVQYKGNLVLELQQRAIEFNSIIQKHQNMRSTLLERMPVLDEATYSGRKAGSVPPGISTSQGPSLPNGVAKPAAAPLVDLLDLGSDDTPAPSSSGGDFLQDLLGVDLSPAASQPGTNQVQKSGTDLLLDLLSIGTPPAQSSSTSTVEVLPSSQDNKSSLDLLDSLSSPTVPPAQVSSRTSSVGSSPMMDLLDGISTSPSTPATEDNGPAYPSVVAFESNSIKLTFNFSKQPGNPQTTVIEANFTNKLQDVITDFVFQAAVPKFLQLHLDPASGNTLPASGNESITQKLRVTNSQHGKKSLVMRTRIGYKVNNKDVLEEGQISNFPRGL >itb08g14920.t1 pep chromosome:ASM357664v1:8:16822384:16835012:1 gene:itb08g14920 transcript:itb08g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRDAISENDQDYTHRNLAKLMFIHMLGYPTHFGQMECLKSIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSIKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINAAVSLLKEKHHGVLITGVQLCTDLCKVSTDALEYFRKKCTDSLVKVLKDVVNSPYAPEYDVSGITDPFLHIRLLRLLSVLGQGDADASDSMNDILAQVATKTESNKNSGNAILYECVATIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVTVDSQAVQRHRATILECVKESDPSIRKRALELVYLLVNESNVKPLTKELIEYLEVSDLEFRGDLTAKICSIVEKFSPEKIWYIDQMLKVLSEAGSYVKDEVWHALIVVITNASNLHGYAVRSLFRLVQTVEQETLVRVAVWCIGEYGEMLVSCTGMLDIEEPITVTESDAVDIVETAMKTHSFDLTTKAMCLIALLKLSSRFPPCSQRINDIIVQYKGNLVLELQQRAIEFNSIIQKHQNMRSTLLERMPVLDEATYSGRKAGSVPPGISTSQGPSLPNGVAKPAAAPLVDLLDLGSDDTPAPSSSGGDFLQDLLGVDLSPAASQPGTNQVQKSGTDLLLDLLSIGTPPAQSSSTSTVEVLPSSQDNKSSLDLLDSLSSPTVPPAQVSSRTSSVGSSPMMDLLDGISTSPSTPATEDNGPAYPSVVAFESNSIKLTFNFSKQPGNPQTTVIEANFTNKLQDVITDFVFQAAVPKFLQLHLDPASGNTLPASGNESITQKLRVTNSQHGKKSLVMRTRIGYKVNNKDVLEEGQISNFPRGL >itb04g10870.t1 pep chromosome:ASM357664v1:4:10433556:10435234:-1 gene:itb04g10870 transcript:itb04g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCVAWYPVMLMLAIDFAFAITNVLLKKIIIDGMNHLVFITYRQSISTIFLAPVAFFVERNTRPKLTPRILCHLFLCAIVGASVTQYLFLIGVEYTSATFACAFLNIVPVVTFLMALPFGLEKISVRSSSGRAKVIGTLICLGGALLLTFYKGLPLVKFPPSEAVAVAETIRRVSSQRRERWIYGSLALFAGTLFWSSWFLLQSSVSKSYPCQYSSTVIMNFFSAIQSAVLTLCTDRSASIWIPAKKIDMANVVYTGLVSSGLCFVGMSWCVKKRGPVFTAAFSPLVQVMAAMVDVPVLHEQLHLGSVIGSVVVIIGLYILLWGKNKEIHKVGQEREDEKDTESAFQGVVEDNNCESRIP >itb01g24390.t1 pep chromosome:ASM357664v1:1:30041863:30060657:-1 gene:itb01g24390 transcript:itb01g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSVSRVPPQLLFANGQLAGNRDRGGLAFVDFVGLYGKSSQRSRRRIGAATWSDRIVPRSLVTRNWNSIQAALDLERERVAANAPAHQSADFAPKVATLDDIISERGACGVGFIANLDNKGSHEIVRDALIALGCMEHRGGCGADNDSGDGSGVMTSIPWDLFNKWAETQGMPAFDKLHTGVGMVFLPKDDGQMNEAKTVIANVFEEEGLEVLGWRPVRVEASVVGYYAKETMPNIQQVFVRIVKDENADDIERELYICRKLIERAAAKSETWGNELYFCSLSSQTIVYKGMLRSEVLGKFYYDLQNDLYISPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTVQGNLNWMQSRETYLKSPVWRGRENDLRPFGNSKASDSANLDSAAELLIRSGRNPDEALMILVPEAYQNHPTLTIKYPEVVSFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTIDNVVYVASEVGVLPMDESKVTMKGRLGPGMMITADLTSGQVFENTEVKKRVALSNPYGKWVKENLRPLKPVNFLSTMVMESETILRRQQAYGYSSEDVQMVIESMAAQGKEPTFCMGDDIPLAVLSSKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNLGKRGNILEVGPENVSQVNLSSPVLNEGELESLLKDPHLKPQVLPTFFDVGKGVDGSLEKALHKLCEAADEAVRNGSQLLVLSDRADELEATRPAIPILLAVGAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQKNFCKAIKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDISFCGSKSSIGGLTVDELARETLSFWVKAFSEDTAKRLENYGFIQSRPGGEYHGNNPEMSKLLHKAVRQKSESAYSVYQQHLANRPVNVLRDLLELKSDRSPIPVGKVEPASSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWKPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPVSSIKHAGGPWELGLTETHQTLIANGLRERVVLRVDGGFKSGFDVIMAAVMGADEFGFGSIAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGTLAQLGYEKLDDVIGRTELLKPRDISLMKTRHLDLDYMLSNVGMPKWSSTMIRNQEVHSNGPVLDEVLLSDPELSDAIENEKVVNKTIQIYNIDRATCGRIAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKGMAGGEIVVTPVENTGFCPEDATIVGNTCLYGATGGQIFVRGRAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKIQRVVAPVGQMLLKSLIEAHVEKTGSSKGSAILKEWDKYLPLFWQLVPPSEEDTPEACAEYEQAATGQVSLQSAA >itb10g12040.t1 pep chromosome:ASM357664v1:10:17925961:17926376:1 gene:itb10g12040 transcript:itb10g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPWLTTRGSGAVEFSRALPSDQCSYELDSEECTKLQRSLVLSFRQEVPSCVAAWWSTAANGSGGVGSFLAPSFFDPLFPNFLFPSATPSAQQRYSASSKGDSPSPALRRC >itb15g10920.t1 pep chromosome:ASM357664v1:15:8687430:8694631:1 gene:itb15g10920 transcript:itb15g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPNLKADEASPEWMNKGDNAWQLTAATLVGLQCVPGLVILYGGMVKKKWAINSAFMALYAFAAVLICWVGWGYRMSFGEKLVGFLGRPAVAVDENFLLGQPFLGYFPTATMVLFQFVFAAITPVLIGGALLGRMNFVAWMMFVPLWHTFSYTVGAFSIWCPDGWLAKLGVIDFAGGFVIHLSSGVAGFTAAHWVGPRLDKDRERCPPNNILMMLAGAGMVWMGWSGFNGGAPYAASSIASLAVLNTHVCTATSLLTWIILDFFAYGKPSVLGAVQGIITGLCWVAILMGLISGSVPWYTMTVLHNKVKLLRQVDDTFAVFHTHAIAGALGGILTGFFAVPKLSRLFYLVPDWEKYIGLTYGLQTGRTSAGLRQMGAQLAGAAFIICLNIVTTSLICLVIRLVVPLRLGEEELQVGDEAVHGEVAYALWDGGERFEKSKLNSMYDADDDEYPLYIQREKGMMDEVLTVLDGTQLSAVDLSPPLCDGNGSTLTGARVLELAESKISSSIFGLALPETVKSSALKRLGIADDQVLKSS >itb04g12430.t1 pep chromosome:ASM357664v1:4:12141032:12145429:1 gene:itb04g12430 transcript:itb04g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAMTSTSGTSTLASSTLDFPVSFSSSPKQTKKILPTSISFPSRTHQNFPSQFLISLGTSHHNKFPKPNFSVFSSLKQGPSVDDAAKTNAPQIEVKTSMWQWRGYSIYYQYSGNAGPALVLIHGFGANSDHWRKNIPVLAQSHRVFSIDLIGYGYSDKPNPRELVDPFYTFETWASQLNDFCNDVVRDKAFFICNSIGGVVGLQAAVMEPQFCKGIVLLNISLRMLHIKKQPWYGRPFIRSFQNLLRNTEIGKFFFKAVATPKSVRSILCQCYHDTSQVTDELVEAILRPGLEPGAVDVFLEFICYSGGPLPEELLPQVKCPVLVAWGDKDPWEPLELGRAYGKFKTVEDFIVLPNVGHCPQDEAPHLVNPLVESFVSRHAASVEE >itb10g03850.t1 pep chromosome:ASM357664v1:10:3617995:3624392:1 gene:itb10g03850 transcript:itb10g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNISPELGGSFPVPCVQELVKASPEIIPPSYLRDDIEPTAPAQNQIPVISMANLLKGDNEELKKLDTASKEWGFFQLINHGVSSSLVEKVKTDTKDFFNLPMEEKKKFWQEPGDLQGFGHAFVHSEEQKLDWSDMFYVITSPSCLRKSNLFPNLPLPFRETVGIYAEVLRNLALTIIDNLAKALGIEQEHVRGLFEEGMQSMRMNYYPPCPQPDKVIGLNPHSDATGLTILLQLNEMEGLQIKKDGMWVPVKPLPDAFIVNIGDIMEILSNGIYSSVEHRAVVNSAKDRLSIATFMNPRLDAELGPAPSLITPQNPPKFRKVILADYIKGFFGRRLDALVFVRKVSKLDIMEKIISPKLGSSLPVPCVQELAKESPEIIPPRYVREDLEPPAPAVKEIPVINMANLLKGDNEELKKMDIASKEWGFFQLINHGVSSSLVEKVKSDTKDFFHLPMEEKKKFWQEPGDLQGFGHAFVISEEQKLDWSDMFYIMTLPTYLRKSDLFPNLPLPFRETVEMYAEELRNLAMKIIEYLAKALGIEREHIRGLFEGGMQAMRMNHYPRCPQPDKVIGLCPHSDAVGLTILLQLNEKEGLQIRKNGMWIPVKPLPDAFVVNIGDILEILSNGIYRSIEHRAVVNSEEERLSIATFFNPRLDAELGPAPSLITPHNPANFRTVGVEDYFKGLFARKLDGKAYIDVMRIQMEDDPDSYSVN >itb03g21370.t1 pep chromosome:ASM357664v1:3:19242018:19243496:-1 gene:itb03g21370 transcript:itb03g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQLPYDLKSCFLYFGAFPRGNEIPAGKLIRLWIAEGFIEEQAQLLTLEDIAHEKLSDLVNRNLVMAMQRKSNGQIKTCRVHDMLHEFCKNEAKEESLFEEINQSRQLDTCRRLCVQCNVSEFLSSNPSAEHIRSLLCFSSSKYVLTSEIQCIRKTFPLLRVLHTAPDESIILTRFPRDMTNLFHLRYIAISTTLNVLPPGIDSLWNMQTLIVRTMQSTLDIKGDIWKMTRLRHVQTNSSAQLPPPSPSKDPFANRNLQTLSRISPESCTAVALAKAPNLNNLGIQGNLAKLFETNKDTGSSLFKNLGELKLLEKLKLLNHGGNVQRLPQKYEFPQKIKKLTLSNTHLEWTELSILGSLEYLEVLKLTENAFKGDEWEPSKGGFPCLQFLRIERTDLKSWKASSLHFPLLKSLILRQCLNLEEVPPSLADIDNLQEMELNRTNPRAAQSAWNILQIKQVKEKELKEKGGSPRRFKLNIYPPDELEQIQQI >itb09g15160.t1 pep chromosome:ASM357664v1:9:10392529:10398503:1 gene:itb09g15160 transcript:itb09g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMANPVNAPPKNHQNQLNNRKGGDLLRRQNIEKQRKSLPIATVEKRLVEEVHNNDTLIIVGETGSGKTTQLPQYLYHGGFCNDGRIIGVTQPRRVAAITVAKRVAEESGVELGQRVGYAIRFEDVTSNLTKIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTVHTDVLLGLLKNVQKARSKNVGGGVNIDSMKTKNELLEDKKEANSDGIFKKFHAKKYTPLKLVIMSASLDARVFSEYFGGARAVHVQGRQYPVDIFYTLKPETDYIDAALITIFQIHMEEGPGDILVFLTGQEEIESAERLVHDHLQHLPEGNRKLLTFPIFSSLPSEKQMKVFMPAPAGYRKVILATNIAETSVTIPGIKYVIDPGLVKARTYDADMGIDSLIVVKTSKAQALQRSGRAGREGPGKCYRLYPENEFEKLDDSTIPEIKRCNLSNVVLQLKALGIDNIVDFDFIEKPKRIALVKSMETLFLLGAITENNELSDPTGHQMARLPLEPMHSKALILAGEFGCLEEMLISVAMLSVESIFYAPREKLEESRNALKSFASLEGDHLTLLNVYRASNEFFEKSKITNGELKAEKNLRKWCKDNFINSRSLRHARDVHSQIRRNVEQMGLRISSCGDDMLIFRRCLAASFFLNAALKQPDGTYRVLSSGSTVQIHPSSVLFRAKPECIVFNELVQTNYTYVRNISRIDYLWLAELAPHFYALQD >itb06g14130.t1 pep chromosome:ASM357664v1:6:18748463:18749942:1 gene:itb06g14130 transcript:itb06g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHKDGHGGDISQREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKIYLQRFRDMEGEKSAMAGRQEKDGGGGGNGSFANMGNSGGGYVGGGDSGVFASGGMGSHAMMMGQHHGHLYGSGVYNQMGGGGGSGKPGSGYIGSGPPAAGRPR >itb03g25390.t2 pep chromosome:ASM357664v1:3:24410642:24414620:1 gene:itb03g25390 transcript:itb03g25390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETEEGILDCGAGCIVWVRRRNGSWWPGKILGPDELSTSHVMTPRSGTAVKLLGRDDASVDWYNLEKSKRVKAFRCGEFDDCIERAEASLGMPPKKREKYARREDAIIHALELERQLAGGKNGKTSYSCNDKKSPDDNVRRDVVTSPECFEYQNQEQLSLKSHKASESSGLQLKDENGVSTLCGDVGPKGNQLSGDNYSILPPRKRGLHDFGLSTAPSEHKPPVDSSGTNKPFTESTALPDESPNKVGLINANKESLLDEKAVSKKRDRHHLVQVSKSTEKLPVSYPQPDRSNVLTSVSGEEQFGVVCHAKKGRYTYGADDSRDGFNGKINLPTETDISTSKLEGSDYPHPDESCEEKTSESTECTETDSCRSDSQESDSDDDIATLAEGAASIELRPKYLGRSEAHADHGSTSSEDSDDFSGADSHPYLNNTVSASVGVSKWLLKGKRNNRGVVKRSLDTYEEYHLKRPSYMTNPVEKRSYGGTEANSLMKSRAKMAGYGSRVAGTWNAVNLGDLSWNDQHTKGDWANSGEYLDPLYNSHHLGGPRMLIDVDLKVQAGYKRQPVPLISLTSKKSGQAIVGHPVKIEVLENGAFESLYGAVDDICPETLDDASHQPMWRTARRTAGARVPRPHLSSIERDRNVSKASSTGFGQKASPVRKSTSQPPLEKAFSKRPHRNGTMSSNQKIRTLSSIASQQKQSSDMKRSFNSFQVNGLLKQESMPTVACIPVKLVFSRLNEELVGRHP >itb03g25390.t1 pep chromosome:ASM357664v1:3:24410642:24414620:1 gene:itb03g25390 transcript:itb03g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETEEGILDCGAGCIVWVRRRNGSWWPGKILGPDELSTSHVMTPRSGTAVKLLGRDDASVDWYNLEKSKRVKAFRCGEFDDCIERAEASLGMPPKKREKYARREDAIIHALELERQLAGGKNGKTSYSCNDKKSPDDNVRRDVVTSPECFEYQNQEQLSLKSHKASESSGLQLKDENGVSTLCGDVGPKGNQLSGDNYSILPPRKRGLHDFGLSTAPSEHKPPVDSSGTNKPFTESTALPDESPNKVGLINANKESLLDEKAVSKKRDRHHLVQVSKSTEKLPVSYPQPDRSNVLTSVSGEEQFGVVCHAKKGRYTYGADDSRDGFNGKINLPTETDISTSKLEGSDYPHPDESCEEKTSESTECTETDSCRSDSQESDSDDDIATLAEGAASIELRPKYLGRSEAHADHGSTSSEDSDDFSGADSHPYLNNTVSASVGVSKWLLKGKRNNRGVVKRSLDTYEEYHLKRPSYMTNPVEKRSYGGTEANSLMKSRAKMAGYGSRVAGTWNAVNLGDLSWNDQHTKGDWANSGEYLDPLYNSHHLGGPRMLIDVDLKVQAGYKRQPVPLISLTSKKSGQAIVGHPVKIEVLENGAFESLYGAVDDICPETLDDASHQPMWRTARRTAGARVPRPHLSSIERDRNVSKASSTGFGQKASPVRKSTSQPPLEKAFSKRPHRNGTMSSNQKIRTLSSIASQQKQSSDMKRSFNSFQVNGLLKQESMPTVACIPVKLVFSRLNEELVGRHP >itb01g34130.t1 pep chromosome:ASM357664v1:1:36977994:36979972:1 gene:itb01g34130 transcript:itb01g34130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLQDLNTSIIVVAIALLILFHYLSRKNRSWKQKSPPEAGGAWPILGHLYLFRGSKLPHVALGDMADKYGPIFTIRIGVHKVLVISDWKLAKELSTSHDVHISSRPKFRAAQHLGYNYLMVSFAPYGPYWREMRKLTSSELLSNRRIEQLKYIRVAEIETSVKELYKVWTENKNSDHSVHSGRVLVEMKKWFGDLTFNVFLQMVAGERFFGTAGDEKQGRRCQRVLRDFFHFLGVFVPADALPFLGWLDIGGHEKVMKDVAEEMDTLVDEWLQEHRRRKEAAADGFMNGGEEDFMDTMLSRIEGIDLNGCSGDTVIKAICMNMMAGGADSVLIMLTWALSLMMNNPHVLKMAQEELDMVVGKEKKVDESDINNLVYLQAIVKETFRIYPGAPLGGPRIFANDCTMSGFHVPKDTWLFINVWKLQRDSQVWSSPLEFKPERFINHHKDLDVFGQDFELIPFGAGRRICPGITFGLQMLHLVLANLLQSFELSNVSNEGIDMTETAGLTNIKATPLEILIAPRLSHYLY >itb10g03920.t1 pep chromosome:ASM357664v1:10:3727800:3729936:1 gene:itb10g03920 transcript:itb10g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFPVLIKEFKFRPLYFAIPTLSRSLTSDAKHSNAVPRTCYSILVNFLLNRKIDEAERLFGKIPSPSIYLCTKMIGGYAENGRLNDALNLFDRMPVKDVVMWNSIIKGCVECGNVEMGMKLLDEMSERNAVSYTTVINGLLRFGRVEKAEGLFREMPEKDVAAWNAMLYGYFENDRVEEALRLFEMMPRRNVISWTSMVSGLDQHGMSEEALVTFRKMLGFGIKPNSNTFASAITACATMEDLVLGSEIHGTSLKAGFGFDAYVAASLITFYANCMKIDDSWRVFNEKMHMNVVVWTSLLTGYGLNHKHEDALTLFADMIRIGVCPNQSSFTSALNSSSEVESVDLGKEIHGVAVKLGFNTDAYVGNSLVVLYSKCGNMDDGLLIFKEIAEKNTVSWNSVIVGCAQHGHGKWSLALFAQMVRTGVGIDDITFTGLLSACSHSGMLHKGRMLFHYLCENMSMQMKIEHYACMADILCRGGKLDEAENLVKTMMPMKPNLSIWLALLSGCRKHSNIEIAERAAENIFRLDPNCSAAYVLLSNMYAATSRWNDVARIRVKMKRRGNIKQPGCSWVNLKGTRHEFVSGDRSHPLCDQIYEKLEWLGKKLKEIGYVSDQRFALHDVEDEQKEAMLSHHSERLAICFALITTVEGSTIIVMKNLRVCGDCHSAIKLISKIVCREIVLRDSTRFHHFRDGFCSCSDYW >itb14g01030.t2 pep chromosome:ASM357664v1:14:778643:781642:-1 gene:itb14g01030 transcript:itb14g01030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKHLKIQTCVLKVNIHCDGCKQKVKKILQRIEGVYQVSIDDEQQKVSVAGSVEAPTLIKKLVRAGKHAELWGSQKGTQNQKQKPSNGNDSKNNSNQNQNSAQKQQQKLMKDLEALKSQQGNKFPFLQELEYEMGEDEEEEFECGEEEFRFLNSKFAQQQQQLALLRQQTAEVNNAKNLAGGNGGVRLNNGNCGNKKSGISIPNQNVGMKGNSPGGIDEKTMAALRMNLGGEGGKIGGNDINAMMNLAGFHGNNGGNNVNPTVLLPQGNNPNPTSGFHHQLLQPNQTNLIQGQNGNSPLQGQNGNFPPSLAHHNLMNMNGYPLHHPQASVMMNMQNRHAAMQQAQMMYNRSPFIPPSTGYYHYNNYGQSPVPPYPTYVDHPSTADHSATHMFSDENPSSCSVM >itb14g01030.t1 pep chromosome:ASM357664v1:14:778641:781642:-1 gene:itb14g01030 transcript:itb14g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKHLKIQTCVLKVNIHCDGCKQKVKKILQRIEGVYQVSIDDEQQKVSVAGSVEAPTLIKKLVRAGKHAELWGSQKGTQNQKQKPSNGNDSKNNSNQNQNSAQKQQQKLMKDLEALKSQQGNKFPFLQELEYEMGEDEEEEFECGEEEFRFLNSKFAQQQQQLALLRQQTAEVNNAKNLAGGNGGVRLNNGNCGNKKSGISIPNQNVGMKGNSPGGIDEKTMAALRMNLGGEGGKIGGNDINAMMNLAGFHGNNGGNNVNPTVLLPQGNNPNPTSGFHHQLLQPNQTNLIQGQNGNSPLQGQNGNFPPSLAHHNLMNMNGYPLHHPQASVMMNMQNRHAAMQQAQMMYNRSPFIPPSTGYYHYNNYGQSPVPPYPTYVDHPSTADHSATHMFSDENPSSCSVM >itb04g32610.t1 pep chromosome:ASM357664v1:4:35095159:35097959:-1 gene:itb04g32610 transcript:itb04g32610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDISEKLLENEGNLVEEVKIGEKIWCEMKKMSVVALPAIFNRFTTFGISVISMAFIGHIDPIDLAAYALVQTVLLRFCNGALLGMASGLETLLGQAFGAKQYNMMGIYLQRAWIVMSATTTSLLPLYFFTTTIFKALGQEEEIARVTGIISKWLIPVAYAFIPSYTCQMFLQAQSKNVIIAYLAAATLAIHIFMSWLLTVKLEFGLAGAMISTILAFWIPNCGQLLFVMCGGCKETWTGFSFLAFKDLVPVIKLSFSAGAMVCLELWYNSILVLLTGNLENAKVQIDALSICLNISGWEMMISLGFMAAACVRVANELGKGSAKAAKFSINVVVLTSFSIGVVLFLFFLFLRGNLAYLFTTSYDVVEAVDQMSPLLAFSILLNSVQPVLSGVAVGAGWQSTVAYVNLGCYYLIGIPVGVVLGYVFKFQVKGVWVGMLFGTFVQTIVLLVITFKTDWDKQVADAQKRVRNWVVEPEPEDANR >itb03g20080.t1 pep chromosome:ASM357664v1:3:17980046:17981044:1 gene:itb03g20080 transcript:itb03g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPYKTANYLVIAQISLNPQTNTNLALKPTHHDAVFILLPVVGFSPEGSPGIQPPQTVLDHGQTRENEVLYSQTLHHDAPLLAKPFHSRLTVLCFVFDRETTKDAAEEEDEV >itb06g25950.t2 pep chromosome:ASM357664v1:6:26949992:26951503:-1 gene:itb06g25950 transcript:itb06g25950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFFPLLFAVVLFISSVTTANACRHCKQHSTTSHNNKHKHKKPKLSDPSVPIVKPCPPPPPPSCPVDTLKLGACVDLLGGLVHIGLGDPLVNQCCPLLKGLVEVEAAACLCTTLKIKALNLNIYVPIALQLLVTCGKPPPPGYTCSI >itb06g25950.t1 pep chromosome:ASM357664v1:6:26949992:26951503:-1 gene:itb06g25950 transcript:itb06g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFFPLLFAVVLFISSVTTANACRHCKQHSTTSHNNKHKHKKPKLSDPSVPIVKPCPPPPPPSCPVDTLKLGACVDLLGGLVHIGLGDPLVNQCCPLLKGLVEVEAAACLCTTLKIKALNLNIYVPIALQLLVTCGKPPPPGYTCSI >itb11g07980.t1 pep chromosome:ASM357664v1:11:5060726:5065036:-1 gene:itb11g07980 transcript:itb11g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIKGAWSMPTIALATCKDSGGKKTRIRRSKEERKSMVETFIKTYQKSNDGSFPSLRLTHKEVGGSFYTVREIVREIIQENRVLGPVKLNSEEQTDQISLEQHPLGSLCTESHPSLSSTGEIHVITDAAPNRYQGTIENDVLDSNSHFHGADNSLDNGKTVSARSGRSKGNEGCEEPATADFVHDYNENIQEQLLHSNREFPVSYDQKFRNEETVGCTNTFDKDENSSKSGTVNSSSLHQQDATENQVHYLGVNITEACNQSLDEQIIDGSSPRAEKDQACGESVYIESLITQIPDKKVDGIEKLEESKVGITQKTSDVVVEKFSPHAMSTTIDHRDGKLSETGDASHRTGREPDGGNFSENCSGLMSEQVDVAKRETLLESGESSLQISTSSPNEIAGDTEFKADDSSAIIKTSNGSSTNSSQTTFVPEESRAINNTPSVQRNSIHQKGPNPPVSRPNLETRKTATVTPNKSEPNPLLALFKAFVTAFVKFWTEE >itb11g07980.t2 pep chromosome:ASM357664v1:11:5060726:5064903:-1 gene:itb11g07980 transcript:itb11g07980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIKGAWSMPTIALATCKDSGGKKTRIRRSKEERKSMVETFIKTYQKSNDGSFPSLRLTHKEVGGSFYTVREIVREIIQENRVLGPVKLNSEEQTDQISLEQHPLGSLCTESHPSLSSTGEIHVITDAAPNRYQGTIENDVLDSNSHFHGADNSLDNGKTVSARSGRSKGNEGCEEPATADFVHDYNENIQEQLLHSNREFPVSYDQKFRNEETVGCTNTFDKDENSSKSGTVNSSSLHQQDATENQVHYLGVNITEACNQSLDEQIIDGSSPRAEKDQACGESVYIESLITQIPDKKVDGIEKLEESKVGITQKTSDVVVEKFSPHAMSTTIDHRDGKLSETGDASHRTGREPDGGNFSENCSGLMSEQVDVAKRETLLESGESSLQISTSSPNEIAGDTEFKADDSSAIIKTSNGSSTNSSQTTFVPEESRAINNTPSVQRNSIHQKGPNPPVSRPNLETRKTATVTPNKSEPNPLLALFKAFVTAFVKFWTEE >itb04g28030.t1 pep chromosome:ASM357664v1:4:31887590:31890265:1 gene:itb04g28030 transcript:itb04g28030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MALCATDTARALLCRDGKAAAHLKLISIFVIFFTSVVGISSPVVLARLFQGKPVYDKAILIIKCFAAGVILSTSLVHVLPDAFDALADCQLASRHPWKDFPFSGLVTLIGVLTALLVDLTATSHVESHGHGHGHGHKVTKDYTRIGESEELGVVSKKLGQREKEAELGIEVSAAGEEGRGESEELVRLKQKLVSQVLEIGIIFHSVIIGVTMGMSQNQCTIKPLVAALAFHQIFEGMGLGGCIAQAGFSFGTTAYMSFMFSVTTPMGIVLGMIVFSVTGYDDSSPNALILEGLLGSLSSGILIYMALVDLIALDFFHNKLMSSEPLLKKASFAALVLGSTSMSILALWA >itb07g01860.t1 pep chromosome:ASM357664v1:7:1121278:1125681:1 gene:itb07g01860 transcript:itb07g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAAGFLSPPRSLSPPLPRLLTHRAAICAAVARPASISVSLNRALSPSRRTFLLLPLAAAGGGGDVNGGSFSGGGGGDGDAEGGDQSGNNKKEALMVLAEAGRSLESLPKDLKAAIENGRIPGSIVLRFLELEKSAWLKWLLGFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPIALNAGRLAKFFYNCPENAFQVALPGTTYSLLQRLGAIARNGAKLFAVGTTSSLVGTLVTNALINARKTVDKSSAEAVENVPVLSTSFAYGVYMAVSSNLRYQVLAGIIEQRMLEPLLHQHKLVLSALCFAVRTGNTFLGSLLWVDYARWIGIQKAEETES >itb12g24080.t7 pep chromosome:ASM357664v1:12:25741655:25743685:-1 gene:itb12g24080 transcript:itb12g24080.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSSARPLKIGIIGFGNFGQFLSKTMIKQGHSLRATSRSDHSEVCKELGIPFYRYLHSKNRKSIFKLNVMTNSVFSHRDMGAFLESENEVVLICTSILSLSEVVKPMPFDSVKRPTLFVDVLSVKEYPRDLLLQVCKFNHFLSHGGSSVSWP >itb12g24080.t2 pep chromosome:ASM357664v1:12:25741655:25743685:-1 gene:itb12g24080 transcript:itb12g24080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSSARPLKIGIIGFGNFGQFLSKTMIKQGHSLRATSRSDHSEVCKELGIPFYRDMGAFLESENEVVLICTSILSLSEVVKPMPFDSVKRPTLFVDVLSVKEYPRDLLLQVLPENMDLLCTHPMFGPESGKHGWQDLSFMYDRVRIRDEGLCSSFIHIFSSEGCRMLEMTCEEHDKLAARSQFLTHTIGRILSEMDVEPTPLDTKGFQKLVEVKESTVKDSFDLFSGLFIHNRFARQQVQSLEEALEKTKQKLQERMESST >itb12g24080.t1 pep chromosome:ASM357664v1:12:25741655:25743685:-1 gene:itb12g24080 transcript:itb12g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSSARPLKIGIIGFGNFGQFLSKTMIKQGHSLRATSRSDHSEVCKELGIPFYRDMGAFLESENEVVLICTSILSLSEVVKPMPFDSVKRPTLFVDVLSVKEYPRDLLLQVLPENMDLLCTHPMFGPESGKHGWQDLSFMYDRVRIRDEGLCSSFIHIFSSEGCRMLEMTCEEHDKLAARSQFLTHTIGRILSEMDVEPTPLDTKGFQKLVEVVGATTLPINMVFKAFNALGVQSKTNSILHMMN >itb12g24080.t8 pep chromosome:ASM357664v1:12:25741655:25743685:-1 gene:itb12g24080 transcript:itb12g24080.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSSARPLKIGIIGFGNFGQFLSKTMIKQGHSLRATSRSDHSEVCKELGIPFYRDMGAFLESENEVVLICTSILSLSEVVKPMPFDSVKRPTLFVDVLSVKEYPRDLLLQVLPENMDLLCTHPMFGPESGKHGWQDLSFMYDRVRIRDEGLCSSFIHIFSSEGCRMLEMTCEEHDKLAARSQFLTHTIGR >itb12g24080.t5 pep chromosome:ASM357664v1:12:25741716:25743672:-1 gene:itb12g24080 transcript:itb12g24080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHINPVSIRSSEANAIRFRETAYALCRCPLSKRIPQRPSSAGMQIQPFFITWREFCFMAISLLYVLCKVLPENMDLLCTHPMFGPESGKHGWQDLSFMYDRVRIRDEGLCSSFIHIFSSEGCRMLEMTCEEHDKLAARSQFLTHTIGRILSEMDVEPTPLDTKGFQKLVEVKESTVKDSFDLFSGLFIHNRFARQQVQSLEEALEKTKQKLQERMESST >itb12g24080.t4 pep chromosome:ASM357664v1:12:25741732:25743672:-1 gene:itb12g24080 transcript:itb12g24080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSSARPLKIGIIGFGNFGQFLSKTMIKQGHSLRATSRSDHSEVCKELGIPFYRDMGAFLESENEVVLICTSILSLSEVVKPMPFDSVKRPTLFVDVLSVKEYPRDLLLQGCRMLEMTCEEHDKLAARSQFLTHTIGRILSEMDVEPTPLDTKGFQKLVEVKESTVKDSFDLFSGLFIHNRFARQQVQSLEEALEKTKQKLQERMESST >itb12g24080.t3 pep chromosome:ASM357664v1:12:25741655:25743685:-1 gene:itb12g24080 transcript:itb12g24080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSSSARPLKIGIIGFGNFGQFLSKTMIKQGHSLRATSRSDHSEVCKELGIPFYRDMGAFLESENEVVLICTSILSLSEVVKPMPFDSVKRPTLFVDVLSVKEYPRDLLLQVLPENMDLLCTHPMFGPESGKHGWQDLSFMYDRVRIRDEGLCSSFIHIFSSEGCRMLEMTCEEHDKLAARSQFLTHTIGRILSEMDVEPTPLDTKGFQKLVEVVGATTLPINMVFKAFNALGVQSKTNSILHMMN >itb12g24080.t6 pep chromosome:ASM357664v1:12:25741716:25743348:-1 gene:itb12g24080 transcript:itb12g24080.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MHINPVSIRSSEANAIRFRETAYALCRCPLSKRIPQRPSSAGMQIQPFFITWREFCFMAISLLYVLCKVLPENMDLLCTHPMFGPESGKHGWQDLSFMYDRVRIRDEGLCSSFIHIFSSEGCRMLEMTCEEHDKLAARSQFLTHTIGRILSEMDVEPTPLDTKGFQKLVEVKESTVKDSFDLFSGLFIHNRFARQQVQSLEEALEKTKQKLQERMESST >itb02g12080.t1 pep chromosome:ASM357664v1:2:8145794:8149016:-1 gene:itb02g12080 transcript:itb02g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDDCEYWLPPQFLTEDDLLMDFKKGASKGNEKGMGLGRDFGVPQELGYGGFGAFLSSTSDLSSPVESLTETESDEDDFITGLTRKMAQSTLHDSVYDLENTKAWRLSGSPQSTLCGVLGGGCGCSPNYPSRVSSPPTPEMARNADDGAWDLLYAAAGEVARMKMMEEAAAALYQSKNGVWAPPRRPSPVTVPSTPQNPQLSTYQQLQLAQFQRLKQLQMVKNQQGGSIGPYQAVNQSRIAKNGGGGVGFRPSAWPTQQQSQLPGSGMRAVFLGNPGGGAKRECSGTGVFIPRRTGNPSESRKKPVCSTVLLPDRVVQALNLNVADSHSHPHHPPQGLQPRFNANAAVFTHDYDGAGAGLRNHRSNGAGLAQPKKHNPQGMANHYNHHHHHHHELRLPQEWTY >itb07g18050.t1 pep chromosome:ASM357664v1:7:22448089:22450154:1 gene:itb07g18050 transcript:itb07g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNAIDVDEFYSNDDDEEYSPHDDDDDPSSPIRNSPHILYDLDPSSLDSTTRPKRERHGPSHSPLDNSLIELIRPQLDPQELVDAQVVTVRDAIDGDVVDCKMSHLFDLSPVNTCDVSHASMEDVLVKDITKTENE >itb05g28050.t1 pep chromosome:ASM357664v1:5:31196705:31199192:-1 gene:itb05g28050 transcript:itb05g28050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPFRSDILQGKVALLTGGGSGIGFEISNQFGKHGASIAIMGRRSSVVQSAVSTLQSLGIPAVGFQGDVRKQEDAKRVVESTVKHFGKLDILVNAAAGNFLVSPEDLSPNGFRTVLDIDSVGTFTMCHEALKYLKKGGPGRSPSSSGGTILNISATLHYTASWYQIHVAAAKAAVDAVTRNLALEWGTDYDIRVNGIAPGPIGDTTGLRKLLPQEMDGSNRDSVPLYKVGEKWDIAMAALYISSDAGKYVNGTTLIVDGGLWLSHPRYLHKDAVKELSRTVEKKSRDAPVGVPSSKL >itb08g05740.t1 pep chromosome:ASM357664v1:8:4723981:4726092:-1 gene:itb08g05740 transcript:itb08g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICDNYAKCGANGICDIAGSPICGCLENFVNNTRGGWDYWSDGCHRRIPLKCKNGTDGFKKYSGIKLPDNTNSWFNTTMNLKECEHKCLSNCSCTAYSSLDISKGGSGCLLWFNDLFDIRLLSENGQDIYIRLDSSEIPAEDRPIMSYVVTILSNDSTLSEAKEPGFFTEQRINKGDCSSSTPGTGSRNECTITVLDPR >itb03g20530.t1 pep chromosome:ASM357664v1:3:18430065:18439837:-1 gene:itb03g20530 transcript:itb03g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENLSVRSTSFREDGDDEEALRWAALERLPTYARVRRGIFRNIVGDVKEIDVDKLENEERKVLLGRLFDSVDDDWERFFNRMRRRFERVDLEFPKVEVRFQNLKIETFVHVGSRALPTIPNFIFNMTEGLLRTLKIYSAKRRKLVILDNVSGIIRPSRLTLLLGPPSSGKTTLLMALAGRLKSGLQVTGKVTYNGHGLNEFVPQRTSAYVNQQDWHAAEMTVRETLDLSARCQGVGFKHDMLLELSRREKIGGIIPDEDLDIFIKALALEGKETGLVVEYILKILGLEICADTLVGDEMLKGISGGQKKRLTTGELLVGPTRVLFMDEISTGLDSSSTYQIIRYLRHSTRALDGTAIISLLQPAPETFELFDDIILLSEGQIVYQGPREDVLDFFSSMGFHCPERKNVADFLQEVVSKKDQQQYWNVADRPFRYTPVAKFAEAFRSYRIGKSLSEELGIPFDRRYNHPAALSTSKYGVSRRELLRTSFDWQLLLMKRNSFIYVFKFIQLLLVALITMSVFFRTTLHRNTIDDGGLFLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPCWAYTLPSWILSIPTSLIESGFWVLVTYYVVGYDPNIIRFFRQFLLFFFLHQMSLALFRLMGALGRNMIVANTFGSFAMLIVMVLGGYIVSRDRIPSWWIWGFWISPLMYAQDAASVNEFLGHSWDKRFSNNSNLNLGEAVLKARSLFPQSYWYWIGLGALLGYTILFNSLFTFFLAYLDPMVKHQAVLAEEDVQDSNIARKDEPVIIQLREYLKFSGSLARKSFKQKGMVLPFQPLSMSFSNINYYVDVPLELKQQGILEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGNIHISGHPKKQETFARISGYCEQNDIHSPCLTVVESLLFSAWLRLASDVDLETQKAFVEEVMELVELTPLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLLMKRGGELIYAGVLGPKSCKLIEYFEAIDGVPKIRPGYNPATWMLEVTSSIEEARLGVDFADIYRKSNLFQYNKVLVERLSRPSQDSKDLSFPTKYSKSYFHQFMACLWKQNLSYWRNPQYTAVRFFYTLIISLMLGTICWRFGSERETQQDIFNAMGSIYAAVLFIGITNATAVQPVVSVERFVSYRERAAGMYSALPFAFAQVAIEFPYVFGQTLIYSAIFYSMAAFEWSATKFIWYIFFMYFTVLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWSLYGLVASQYSDSEKPIKVSDGVESIPLKLLVKVVFGYRHDFIGIAGFMVVGFCILFAVIFAYAIKSFNFQKR >itb04g14130.t2 pep chromosome:ASM357664v1:4:14445382:14450965:-1 gene:itb04g14130 transcript:itb04g14130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRISEFLSHVNLGERTVKGCLEPYSCKHTGTDKKLSLSLENEILDYLGKSSDTDSSSPVDYLCCRSSRKTLIYLLLTLNHMYPDYDFSAVNAHQFFTEENWDSFKQIFDTYMFEASKEWLETNEDSPLLETLYKALDEVVKVTECEIYSYNPEADADPLHEKGTLWSYHFFFYNRRLKRIVSFRFSCVSNLALVDGLNHEEDGEIFDGMDM >itb04g14130.t1 pep chromosome:ASM357664v1:4:14445208:14450965:-1 gene:itb04g14130 transcript:itb04g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRISEFLSHVNLGERTVKGCLEPYSCKHTGTDKKLSLSLENEILDYLGKSSDTDSSSPVDYLCCRSSRKTLIYLLLTLNHMYPDYDFSAVNAHQFFTEENWDSFKQIFDTYMFEASKEWLETNEDSPLLETLYKALDEVVKVTECEIYSYNPEADADPLHEKGTLWSYHFFFYNRRLKRIVSFRFSCVSNLALVDGLNHEEDGEIFDGMDM >itb04g14130.t3 pep chromosome:ASM357664v1:4:14445208:14450702:-1 gene:itb04g14130 transcript:itb04g14130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRISEFLSHVNLGERTVKGCLEPYSCKHTGTDKKLSLSLENEILDYLGKSSDTDSSSPVDYLCCRSSRKTLIYLLLTLNHMYPDYDFSAVNAHQFFTEENWDSFKQIFDTYMFEASKEWLETNEDSPLLETLYKALDEVVKVTECEIYSYNPEADADPLHEKGTLWSYHFFFYNRRLKRIVSFRFSCVSNLALVDGLNHEEDGEIFDGMDM >itb09g17670.t2 pep chromosome:ASM357664v1:9:13022845:13028802:-1 gene:itb09g17670 transcript:itb09g17670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDRTFRVNFSGEGVAQLREHVNEKLKEFMGDYTDDTLVEYVIVLLKNGRHKEEARNELDVFLGDDSDSFVSWLWDHLGSNLNLYVQQRENPLDDVITTRPASDEKAGKNDTCQFGSESNKVGSDKSRPRHRRGWKGLVRDTDQHPPLRSVVVDISHREEGKHKKPGRIKRSISPQPEVQRKRSRHDYQPKVKREAISQATIAAPRRLLQFAVRDAVATSRPSHLATEPSLKRIRSVVSTSLEDSSIEKYLHRKQSVARGPNATMTAIKAVAEAAKDLTKVRSSVNVFDRLGHAANTMDTSALVEESREDIAEDTEDETFVDVMEVPPTYHQRNYTGQYARKLHGNTGMAFDPGSDDGGYNDINVVGQEDLGATGTFVGKTGVSPLMVDYRVVNTADGIMPKALKNQDQPTSIPNASRKMMTGSLNVNTWKSTQYQEARKALRVEACESTENSGVVAAKPRMPFLKKNSDPVTVENRSLKPSADTEKESQKIHSVPGLYSTGPAPEDTDSRTIFVNNVINYNLIFQLAVWIRSALLPYHY >itb09g17670.t1 pep chromosome:ASM357664v1:9:13021353:13028802:-1 gene:itb09g17670 transcript:itb09g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDRTFRVNFSGEGVAQLREHVNEKLKEFMGDYTDDTLVEYVIVLLKNGRHKEEARNELDVFLGDDSDSFVSWLWDHLGSNLNLYVQQRENPLDDVITTRPASDEKAGKNDTCQFGSESNKVGSDKSRPRHRRGWKGLVRDTDQHPPLRSVVVDISHREEGKHKKPGRIKRSISPQPEVQRKRSRHDYQPKVKREAISQATIAAPRRLLQFAVRDAVATSRPSHLATEPSLKRIRSVVSTSLEDSSIEKYLHRKQSVARGPNATMTAIKAVAEAAKDLTKVRSSVNVFDRLGHAANTMDTSALVEESREDIAEDTEDETFVDVMEVPPTYHQRNYTGQYARKLHGNTGMAFDPGSDDGGYNDINVVGQEDLGATGTFVGKTGVSPLMVDYRVVNTADGIMPKALKNQDQPTSIPNASRKMMTGSLNVNTWKSTQYQEARKALRVEACESTENSGVVAAKPRMPFLKKNSDPVTVENRSLKPSADTEKESQKIHSVPGLYSTGPAPEDTDSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAATRQPKGSAYVEFMRKESAERALSLDGTSFMSRILKVVKKGSAPPEATSVMTWPRVVRGGPFTASRFGRVPFPRGTPNVYRAQLPIKPGARSMQWKRDAKLTSTENVGRAVASNNAMSPMTARSMTYVRAEQKTN >itb06g19990.t1 pep chromosome:ASM357664v1:6:23123666:23125391:-1 gene:itb06g19990 transcript:itb06g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSTSRETASSPILEGDEIEVCIILEDLKDIIRQSEFQSISKLLVGWSGRRKRSKRVFTVASGAVVDSPSRSPPRVSHGDNENQTAERKVKKQGAASSPATPLSLSLTESDEKQKQRSSRKRTKKKSREEWLSNIEELKQSKETLTQELENVMIYYNSLKAYNLKLKAMKEGELRRTSHEREEPKMEMTRRSVSSFGPSNLAQYNPQEQQPVALEMRSGLGACQPFMCELGQLDQRHSSYGLGMANHGVGPLGIPDLNLPAEQISGGTVDSPHPYDLQRLWADPKARYAEARRNRKILNSIKIRKASGLPTLALANRR >itb05g08040.t1 pep chromosome:ASM357664v1:5:10802128:10803841:1 gene:itb05g08040 transcript:itb05g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSIHRAGNSLNLTLRSPSHAHYPSQLSFKPLCTSATPNGTTSGRTAHSRRTTTQYSPPSRLPRTYKDTYRKPTNTFEQDTVSLPTDVKNPLGVRIDLIGLCNEGKIEEAIEYISRGLAASFRVFEVLLNYCVESGSFELGKRVHELLSRSDNSKNLELSGKLVEMYVKGGDVRSACKVFDKMRERKLELWNLMINGYAENGEGENGLLLFEQMRKLEVGKPTGDTFSAVFSACASEGAVEEGLLYLDLMKNEYGIVPGIEHYLGVIDILGKAGHLNEAVEFVENMTVEPTIEVWEAMLNFARIHGDMELEDRAEELILGFDGSRSMTDKVPVPLQKRCSEFNMLEGKERVGDFKNPSPYREEAYDKLKGLSGQLRDAGYVPDTRYVLHDIDEEAKERALMYHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFRDGKCSCNDYW >itb02g23530.t1 pep chromosome:ASM357664v1:2:23567606:23570757:1 gene:itb02g23530 transcript:itb02g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATVSCFFILIFAHQLLSGSALELTHALIDTSLDISRDSNMGQERNNGGSRSSVDSKTDDIGEDFESMELMRKAQKGTGAYGGANVVHHPPGTRNLGSPTLISSSRSFVNMKSDDNDVEDSQSRHAMVLKKSQGHRGSSGGAPNIVHRPPDTRSLGPPTLIISTYYVVCFSFILLLLLVA >itb02g23530.t2 pep chromosome:ASM357664v1:2:23567607:23570608:1 gene:itb02g23530 transcript:itb02g23530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATVSCFFILIFAHQLLSGSALELTHALIDTSLDISRDSNMGQERNNGSRSSVDSKTDDIGEDFESMELMRKAQKGTGAYGGANVVHHPPGTRNLGSPTLISSSRSFVNMKSDDNDVEDSQSRHAMVLKKSQGHRGSSGGAPNIVHRPPDTRSLGPPTLIISTYYVVCFSFILLLLLVA >itb11g11400.t1 pep chromosome:ASM357664v1:11:8341409:8341873:-1 gene:itb11g11400 transcript:itb11g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQYCVLQLLQDLQATSFLKIFGFAITLILNFVQLKYEAQDEKTPFQTHPKTMHVSVASLLIYCLLPLYRSSAPIVSRAMLFFALLSLLSATSLLFSESVRGILYALCILLSVGDSLQAFFLRIKDRFDRRDDNNIMELMHFPSLQHSRRLPV >itb13g22460.t1 pep chromosome:ASM357664v1:13:28715812:28716841:-1 gene:itb13g22460 transcript:itb13g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSYEKRWEAKIEVEATPSIFLVTKELKETSAEALPHRSETGPGGIPSSPRRRHAKTRSSEGDYRPKFFSDLNNLLEEMKDLEPDVLKLENQIPAVAITLAGLFLDHDNNGDFFYDFCRAHSPLKLAGLRENLKSKHLLAHLYDLIVNNQGVEGVCKSSSFANYIFKKEEEEKTKIEEIQIPSASELSKKFNVDFKPTRGGIRGINFQTPEEGGGEANTLYLPEIKLESGSEVVLRNLVAYELKLHYSPPHIHLDPN >itb15g04810.t2 pep chromosome:ASM357664v1:15:3111343:3115047:-1 gene:itb15g04810 transcript:itb15g04810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKISDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNYRFIAADALQKVIVLVVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFLFEYRGARMLIAEQFPDTAGSIISFKVDSDVISLDGKEPLETQAEIGEDGKLHVTVRKSASSRSEIFSRRSHGPNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKAANLSPRQSNFGNLGFDEERGNGVYGQGNSGSGYPAPAGAGLFSPVTGPASAKKKGNGIESGGKDLHMFVWSSSASPVSEGGIHVFKGGDYGHELGVGAHPKDYDDFGREEFSFDNRQNPNGNDKEGPVLSKLGSSSTTELHPKAAMETKPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSYRWHIVMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGKTIASFSMAVRFLTGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTG >itb15g04810.t1 pep chromosome:ASM357664v1:15:3111292:3115047:-1 gene:itb15g04810 transcript:itb15g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKISDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNYRFIAADALQKVIVLVVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFLFEYRGARMLIAEQFPDTAGSIISFKVDSDVISLDGKEPLETQAEIGEDGKLHVTVRKSASSRSEIFSRRSHGPNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKAANLSPRQSNFGNLGFDEERGNGVYGQGNSGSGYPAPAGAGLFSPVTGPASAKKKGNGIESGGKDLHMFVWSSSASPVSEGGIHVFKGGDYGHELGVGAHPKDYDDFGREEFSFDNRQNPNGNDKEGPVLSKLGSSSTTELHPKAAMETKPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSYRWHIVMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGKTIASFSMAVRFLTGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITMVYYILLGL >itb12g03860.t1 pep chromosome:ASM357664v1:12:2539589:2541970:1 gene:itb12g03860 transcript:itb12g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTTPPYTNSVKLKYVKLGYQYLVNHFLTLLLVPVMAGIAIEVLRLGPEEILGVWDSLLQLDLIKVLCSCFLVVFVATVYFMSKPRSIYLVDYACYKPPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMEAARKEAEVVIFSAIDSLMQRTGLKAKDIDILIVNCSLFSPTPSLSAMVVNKYKLRSNIKSYNLSGMGCSAGLISIDLARDLLQVHPNSYALVVSTEIITPNYYKGSERAMLLPNCLFRMGGAAILLSNKPRDSRRSKYRLMHVVRTHKGSDDKAYRCVYEQEDPQGKVGINLSKDLMVIAGEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKPYIPDFKQAFDHFCIHAGGRAVIDELQKSLQLSAEHVEASRMTLHRFGNTSSSSLWYELGYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNRTIKAPITDGPWQDCIDRYPVHIPEIVKL >itb09g10270.t1 pep chromosome:ASM357664v1:9:6397587:6400415:1 gene:itb09g10270 transcript:itb09g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MMNCSNSEPVSNGGEEIAAWDLRPGGMIVQKRDDGSSGPTAATVTVKLSYGSQQLDLTVPSDSTFGQVKDIIAQVIGLEPKVQKLLFRGKEKEDQEYLSVAGVKDNSKLLLMEDTTSNEKTPEEVKENSVISRGGEAVAEVRAEVDKLSEQVDALQAVIDSGTKVDDKDIIYLTEMLMRQLLKLDGIEAEGDGKVQRKMEVRRVQSLVDKMDVLKGKNSNPFSDSSNSVSVQTQWETFESGVGSLNPPPPRSPSSTVVTQNWEQFE >itb04g22640.t1 pep chromosome:ASM357664v1:4:27882031:27893572:1 gene:itb04g22640 transcript:itb04g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDRQAGRFGHVFKNAAANYDSEPFRRCALLDAGDPASHTAALHALLNECQNLQLSKSPTCPPTVCCRVPTTTAAVNRRRVLHLPCDSRQRRPPTAAQLLRFGLRPPPAATLYQPSPTARAGFLNGVPVFLAKPQTYMNLSGESSGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLHPKGGHHSHNGYDHYPKGGHHSHNGLKSVIYHFRGNKEFPRLRIGIGRPPGQMDPKAFLLQKFNATARERVDVALQEGVDALKQLLSKGLTESARTSSNVVSQVLIGVDQDSLKSPSSLQSTLLCRNGETAVVVQDHDPET >itb04g22640.t2 pep chromosome:ASM357664v1:4:27882031:27893572:1 gene:itb04g22640 transcript:itb04g22640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDRQAGRFGHVFKNAAANYDSEPFRRCALLDAGDPASHTAALHALLNECQNLQLSKSPTCPPTVCCRVPTTTAAVNRRRVLHLPCDSRQRRPPTAAQLLRFGLRPPPAATLYQPSPTARAGFLNGVPVFLAKPQTYMNLSGESSGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLHPKGGHHSHNGLKSVIYHFRGNKEFPRLRIGIGRPPGQMDPKAFLLQKFNATARERVDVALQEGVDALKQLLSKGLTESARTSSNVVSQVLIGVDQDSLKSPSSLQSTLLCRNGETAVVVQDHDPET >itb11g14520.t1 pep chromosome:ASM357664v1:11:11688002:11702554:1 gene:itb11g14520 transcript:itb11g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIFQNINLLVNGHDEREWGSGTLNPSNPPVTNSSTIAAIVTSLGGPPAAVGIVRLSGPSTVSIVGRLFRSLKKKKKKKRRRRKDDTSEWRPKSHVVEYGVVSDSRGNYSFCQPRPKPFPSFSLPLRALAISPPPSPPLATSRHVSPPHSYQEAETPLQPLRSQPPRDWNRSAETPPSLHATRTGRGVTASSHRVAQSPCVSLLLHFSMEPRATKESNMERTPAASAMQWSIELEKGLRSKQPGKSSEAVLQIGPRLEWWNREPNLAVAEYKMFGLIPGEDKLFADTILLRLAEAFKSGDKLTRMCIVKIFMSELRQRRRWGCQDRKDNRGIISKDKLDSYVELLTRVKSVFDAGDAEERALALVLFGCWAGFAKENADIQYIILSSLVSNNILEASGVYLQVKASLFAAGCFCELADDFAPVLLEMFENLMSPETSKTLRLAAGRAFAKMWFPILLADRAYKIGLKLMLESPEEEFSKVMLISLSKISSKWTSLIPVQVDLLISFISKERASCLQATALKCLNFILSRGVFPFPESADTIQKFLNVLNESKFSPDLQCVALKILYKVLLYNLHKTPHVEVLNIFSRFIAIVQFISESPIIPERVLAIRVLADISDKLVGRAEDASVRIGSTVATQVISFAMDRSTYLVMSNKDIHQHNSMLEQEIKCLFGLLLNLFEKNQELGALILDKICIFIRDLVNMLNGITTKPIVCKNIDNFIEPDQENFMEIQAGQSVIVESMICAPKVILNCFQNQGNLNSVSPQVFDTVKLLVKHVCGCRSFHIYIRVIYNILLHSCMEYRCMWHELGKIMNSTRDFSLCYGSVSWDRSFEYETSAIEYVNIILGRNENWLSYKIGKYATCQGAWLTANLIFEQLRTIVQSEVCCSWLESLAQFSRMERQFQLFNFDLSEVTTGKSSIGCYIETTLGACNDLRSSVCRLDASTSGLAFCFQRWFLTLRVKVIEAILDSIKLVIAYSSVQGGARNNECLETSLLHSLGQVSYRMKSLVQEFDLFASSFIGIDGKSRIMISTLALSCSLLAFTTGFTFLFANLHASEDISGQVVKPLDGQLYAMLSHDLFGRLWHIDNETSKKLWLLSKFLQTSGNTLLPQFRNQISNSGCEAIAVAKLCRYAVTIIHNLQNEVTGSHDHNIKSRILSDTSKLLFNVLSLWIRIPFRAPKHFFQLRPCVGSELFLMGEDGEKLDSLFVMSGFLLRVNLCLQLKNLSSSLPVRFSKFYCVLHTRPSYRISNRNREDKQRKALSSQEEVDNIIYLNRKLLEHTSGSSDCSSMHSIDKTTGVLAADSFVCFEPNEKAQGFSTCLLDVSGFPVGCYEIKWHSCGVDNEGSYWSFIPLNVGAVFTVKEGSL >itb15g08290.t1 pep chromosome:ASM357664v1:15:5801455:5804183:1 gene:itb15g08290 transcript:itb15g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGMSGRGGAVVDGGPGSFLPQHHHQRQKYHHFHTQQQQQPQNPQTQIGTVPQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVAMLSKPSICREALRIVNEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKILKSIPGLDGNQGNASADVFVHFVGGGLAGMTAASATYPLDLVRTRLAAQRSAMYYQGISHAVRTICRDEGFFGLYKGLGATLLGVGPCIAISFSVYESLRSYWLAHRPDDPTILVSLTCGSLSGIASSTATFPLDLVRRRMQLEGAGGRARVYNTGLLGAFRHIVRTEGFRGFYRGIMPEYYKVVPGVGIVFMTYETLKKLLSESPFN >itb03g28090.t1 pep chromosome:ASM357664v1:3:28729661:28734804:1 gene:itb03g28090 transcript:itb03g28090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSKCSQFQASRLPTFESSHNPHHQLLHITQKLLLYLQQCVSAKQIQQIHTQFLIRSIYKPNFLLRKIIHLQDFNYANLFFSHIPSPNEYGFNIMIRGLATTWQRFDFALQSYCKMKSLGLKPDNFTYPFVFISCGSLPAAQLGKSTHCEVVKNGLCLDFHVRHSVITMYSRFGKLGYARKVFDEMTERDLVSWNSMISGYSQMGYAREAVELFGEMRNQGVEPNEMTLVSVLGACGGLGDLNLGRCVESYVLEKNFELNSFIGSALINMYGKCGDLASAKWIFDGLRKKDVIIWNAMITGFAQNGFSDETISLFNTMKEEGVNPNKITLVVVLSACASIGALDIGKWIDEYASTRGLKHDIYVGTALIDMYAKCGSLDSAYQIFENMSMKNRVSWNAMISALAFHGRAFEALSLFDRMSAEGDVNLPDDITFVGVLSACVHAGLVDEGYRIFSLMKSHGLVPKIEHYSCMVDLLSRAGCVEEAWDLIEKMPEKPDEVLLGAVLGACRKVFFAPPSSQPTPVGKACVDQSNSKASRTPAMEERSRHCHAKPSPLSSSHQATAIGIVALS >itb03g28670.t1 pep chromosome:ASM357664v1:3:29372842:29373231:-1 gene:itb03g28670 transcript:itb03g28670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYSSPSSTILTLFIICGAIAVSVSSAHKLPDDADKYLKGLPEETRRLVEKCTEKLSWECAKEVGGALYTDHRKVSKSCCKKITRLGKKCSAALLNVLINVPELKDKKDVILANTKKLINKCLNWKP >itb11g19400.t1 pep chromosome:ASM357664v1:11:20165834:20166382:-1 gene:itb11g19400 transcript:itb11g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNYRCFEVETPFVVCSLRHPSPEDGEEIVWLTGKQAYVELELNFSSEKKINDVSLWLALEPKRTNSPLNQKQGDKKHKGTQSYAPLSTTTLEANGVMSIKVTYKNDTIRFPLSSSSTMKYLEEQLETKFKISLENMSIKYQDEEDEWITLTYDSELRHGLDVLRSCGKTVIRMMVTPKFD >itb13g00150.t1 pep chromosome:ASM357664v1:13:131052:135470:1 gene:itb13g00150 transcript:itb13g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREVSSLSHCFAAFNTPRTNRNSLVCRASSVRVHGNPSLTHQSWNLAHLLNPITSSDNSVFDPLGINSSRSSHLNTAWESAVGFVLKAFESTSGTRKEKTSSARRVAAAIEDTSIDFGDFFKGPLPGKFLKLLGYLALSRVGIYVPLGGVNRDAFVGNLDQNSILSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLGQIYPKLQELQKREGEAGRKKVLQYTRYASVGFAIVQAIGQALYLRPYVDDFSTQWVLSTVVLLTLGSVFTTYIGERISDLKLGNGTSLLIFTNIISYLPASFGRTVAQAYQDGNYVGLGGIIVSFFLLVLSIVYVQEAERKIPLNYASRYTSKTGGLQKSAYLPFKVNSSGVMPIIFSTSSLAIPGTLARFTGVGVLRNVAVALNPGGSFYLPTNVLLIAFFNYYYTFLQLDPDDMSEQLKRQGASIPLVRPGKSTAAFIKMVLSRISVLGSVFLALLAAGPAVIEQATHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDKYVP >itb13g00150.t3 pep chromosome:ASM357664v1:13:131052:134668:1 gene:itb13g00150 transcript:itb13g00150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREVSSLSHCFAAFNTPRTNRNSLVCRASSVRVHGNPSLTHQSWNLAHLLNPITSSDNSVFDPLGINSSRSSHLNTAWESAVGFVLKAFESTSGTRKEKTSSARRVAAAIEDTSIDFGDFFKGPLPGKFLKLLGYLALSRVGIYVPLGGVNRDAFVGNLDQNSILSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLGQIYPKLQELQKREGEAGRKKVLQYTRYASVGFAIVQAIGQALYLRPYVDDFSTQWVLSTVVLLTLGSVFTTYIGERISDLKLGNGTSLLIFTNIISYLPASFGRTVAQAYQDGNYVGLGGIIVSFFLLVLSIVYVQEAERKIPLNYASRYTSKTGGLQKSAYLPFKVNSSGVMPIIFSTSSLAIPGTLARFTGVGVLRNVAVALNPGGEYNECHCISGRN >itb13g00150.t2 pep chromosome:ASM357664v1:13:131052:135470:1 gene:itb13g00150 transcript:itb13g00150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREVSSLSHCFAAFNTPRTNRNSLVCRASSVRVHGNPSLTHQSWNLAHLLNPITRSSHLNTAWESAVGFVLKAFESTSGTRKEKTSSARRVAAAIEDTSIDFGDFFKGPLPGKFLKLLGYLALSRVGIYVPLGGVNRDAFVGNLDQNSILSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLGQIYPKLQELQKREGEAGRKKVLQYTRYASVGFAIVQAIGQALYLRPYVDDFSTQWVLSTVVLLTLGSVFTTYIGERISDLKLGNGTSLLIFTNIISYLPASFGRTVAQAYQDGNYVGLGGIIVSFFLLVLSIVYVQEAERKIPLNYASRYTSKTGGLQKSAYLPFKVNSSGVMPIIFSTSSLAIPGTLARFTGVGVLRNVAVALNPGGSFYLPTNVLLIAFFNYYYTFLQLDPDDMSEQLKRQGASIPLVRPGKSTAAFIKMVLSRISVLGSVFLALLAAGPAVIEQATHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDKYVP >itb08g12060.t1 pep chromosome:ASM357664v1:8:12114925:12117959:-1 gene:itb08g12060 transcript:itb08g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIQMKEMSALERKAKFVPQVCIFGGKAKCVQYCRNRGQWNQQYEICLSVVEEFKDIVFAYGVSDEYSFVLKKDSLLYERHASEIVSAIVSLFSCIYMMKWKEFFPQKDLKYPPYFDGRSVCYPSSKILRDYLAWRQVDCHINNQYNTCFWMLVKSGKSKTESQNCLKGTQTREKNEMLSQFGIDYHNLPAIFRQGSSVFWNKTETKSDCSTEGAIEKCRKKVVVEHCNIIDTSFWKAHPTILEEDTHCVQALVPQLVANAAFDTCSEVDQ >itb14g17940.t1 pep chromosome:ASM357664v1:14:21110811:21113784:-1 gene:itb14g17940 transcript:itb14g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRNHPPPNAWSLRGEPPEFDDGRRNIRRVGAHPEEEELMMMEDPRIASQQRHIETLYHENERLAVSCFRLKRELAAANQELRRLSATASAVKAEADAQVSEVYEKSIIMEVDIRAADKLRTQWAQVTADVEKLNAHRTELVAQMKEIGDEMMRILPEVKQSQAIKAEIETVQNEVQRGRAAVENERKMYATHLQQSQVMEKHLASMEAELQSLHIQVEHATMRERAAAAYAGLPVLLRVPDMLRVDHVV >itb03g06560.t1 pep chromosome:ASM357664v1:3:4770942:4775353:1 gene:itb03g06560 transcript:itb03g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKSSRLPPRKTTLSWATVFTALIMVAFFVLIILALGILSTSRESPRAHDLSTIARSAMEREDDGDKGDRWVEVVSWEPRAFIYHNFLSKDECEYLISLAKPSMKNSTVVDNETGKSKDSRVRTSTGTFLTRGGDQVITNIEKRISHFTHIPVENGESLQVLHYEVGQKYEPHYDYFADEFNIKNGGQRIATLLMYLSDVEEGGETVFPDAKGNVSAVPWWDELSECGKSGLSIKPKMGDALLFWSMKPDASPDPSSLHGGCPVIKGNKWSSTKWMRFHEYEL >itb03g06560.t2 pep chromosome:ASM357664v1:3:4770942:4775353:1 gene:itb03g06560 transcript:itb03g06560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKSSRLPPRKTTLSWATVFTALIMVAFFVLIILALGILSTSRESPRAHDLSTIARSAMEREDDGDKGDRWVEVVSWEPRAFIYHNFLSKDECEYLISLAKPSMKNSTVVDNETGKSKDSRVRTSTGTFLTRGGDQVITNIEKRISHFTHIPVENGESLQVLHYEVGQKYEPHYDYFADEFNIKNGGQRIATLLMYLSDVEEGGETVFPDAKGNVSAVPWWDELSECGKSGLSIKPKMGDALLFWSMKPDASPDPSSLHGGCPVIKGNKWSSTKWMRFHEYEL >itb03g06560.t3 pep chromosome:ASM357664v1:3:4770939:4775365:1 gene:itb03g06560 transcript:itb03g06560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKSSRLPPRKTTLSWATVFTALIMVAFFVLIILALGILSTSRESPRAHDLSTIARSAMEREDDGDKGDRWVEVVSWEPRAFIYHNFLSKDECEYLISLAKPSMKNSTVVDNETGKSKDSRVRTSTGTFLTRGGDQVITNIEKRISHFTHIPVENGESLQVLHYEVGQKYEPHYDYFADEFNIKNGGQRIATLLMYLSDVEEGGETVFPDAKGNVSAVPWWDELSECGKSGLSIKPKMGDALLFWSMKPDASPDPSSLHGGCPVIKGNKWSSTKWMRFHEYEL >itb03g06560.t4 pep chromosome:ASM357664v1:3:4770942:4775365:1 gene:itb03g06560 transcript:itb03g06560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKSSRLPPRKTTLSWATVFTALIMVAFFVLIILALGILSTSRESPRAHDLSTIARSAMEREDDGDKGDRWVEVVSWEPRAFIYHNFLSKDECEYLISLAKPSMKNSTVVDNETGKSKDSRVRTSTGTFLTRGGDQVITNIEKRISHFTHIPVENGESLQVLHYEVGQKYEPHYDYFADEFNIKNGGQRIATLLMYLSDVEEGGETVFPDAKGNVSAVPWWDELSECGKSGLSIKPKMGDALLFWSMKPDASPDPSSLHGGCPVIKGNKWSSTKWMRFHEYEL >itb01g01690.t3 pep chromosome:ASM357664v1:1:1004676:1008340:-1 gene:itb01g01690 transcript:itb01g01690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLCCFGSLAGGRASQGSGKGKSHHSTAKYGFSLVKGKASHPMEDYHVAKFVQIQRHEVGLFAIYDGHLGDTVPAYLQKHLLPNILKESEFWTDPHRAILKAYERTDQAILSHNPDLGRGGSTAVTAILIDGQKLWVANVGDSRAVLSRQGQAIQLSIDHEPNKERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVRSAEIDRDTELLILASDGLWKVMSNQDAVDIVKKIKDPQKAAKQLAMEAVNRDSKDDISCIVVKF >itb01g01690.t4 pep chromosome:ASM357664v1:1:1004551:1008340:-1 gene:itb01g01690 transcript:itb01g01690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFVQIQRHEVGLFAIYDGHLGDTVPAYLQKHLLPNILKESEFWTDPHRAILKAYERTDQAILSHNPDLGRGGSTAVTAILIDGQKLWVANVGDSRAVLSRQGQAIQLSIDHEPNKERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVRSAEIDRDTELLILASDGLWKVMSNQDAVDIVKKIKDPQKAAKQLAMEAVNRDSKDDISCIVVKF >itb01g01690.t1 pep chromosome:ASM357664v1:1:1004551:1008340:-1 gene:itb01g01690 transcript:itb01g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLCCFGSLAGGRASQGSGKGKSHHSTAKYGFSLVKGKASHPMEDYHVAKFVQIQRHEVGLFAIYDGHLGDTVPAYLQKHLLPNILKESEFWTDPHRAILKAYERTDQAILSHNPDLGRGGSTAVTAILIDGQKLWVANVGDSRAVLSRQGQAIQLSIDHEPNKERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVRSAEIDRDTELLILASDGLWKVMSNQDAVDIVKKIKDPQKAAKQLAMEAVNRDSKDDISCIVVKF >itb01g01690.t2 pep chromosome:ASM357664v1:1:1004676:1008340:-1 gene:itb01g01690 transcript:itb01g01690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLCCFGSLAGGRASQGSGKGKSHHSTAKYGFSLVKGKASHPMEDYHVAKFVQIQRHEVGLFAIYDGHLGDTVPAYLQKHLLPNILKESEFWTDPHRAILKAYERTDQAILSHNPDLGRGGSTAVTAILIDGQKLWVANVGDSRAVLSRQGQAIQLSIDHEPNKERGSIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVRSAEIDRDTELLILASDGLWKVMSNQDAVDIVKKIKDPQKAAKQLAMEAVNRDSKDDISCIVVKF >itb15g18530.t1 pep chromosome:ASM357664v1:15:20217828:20222019:-1 gene:itb15g18530 transcript:itb15g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRASRRSLIACGTTINASFSQPQRFAPGAGGFSRALASQSLPQTVRKSPFDSNILRILHNEIDYLSVYAPPNPHVTKFNEFTVEDHPGEQWITLRSKFQQNEEIKIEATMFDGSISVPKSGDETSENVRLHISLLVDIWKKEGGETLEFVCSAWPDSLEVQNVYVFRREGLQSSQPYMGPNIKDLNSKLHDALYKFLKRRGINDELSVFLHNYMMNKDRAEIIQWLRKIKSFIEE >itb04g14010.t1 pep chromosome:ASM357664v1:4:14221575:14224815:1 gene:itb04g14010 transcript:itb04g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEDIQSSLHALRQLYGLLKQDEDGLPNVTSETLDNKARLLLKSLLDSATERVLKAHSRIVAGQVDASLKCTSGQPQRLKDSVKEQHLALSNALKQRWEKQSVSSETGGLKLEGVVKPATEVGVIEMPNLVFSATESENRRKRCRVCQRPKTVKQFKPIGKAKFSDHGRPSSIRVTTLQDKPSNQSSHFCFTSGSNERGNENGVFLGGVSSGIGSVASSSNRPEFDFVKTEVRHEFTPETEGIPEDNSCLSKEVSDAIKQIEMHISALQLEAKSGTNVIESELRDTVADCFLQMGISSAIKRTKGLVASSDPSQAGAVVNDSHYHLDRQCSVEPIITPSNSLYQGPIRMMNQLTDSTSPNLAANQAKMTREHVRNAGLVSKHNVSGRGEEPIDDNEMQILKGNWLALQDIKTILNKLESAREPNSSGHDFANRTIPDCKGYHISQGQNKTVNALSALPAINRDQDLVPLNPNPRKPRIPKSTVQLKESEKDLQHHKTIRRTWLDSKHGHLIEARKNLYKSLKDLNQFGLSRKEKFTNYPKRRYLLEQEPEATVSSSYSSQPRSTYGSHTEDYSESGHISDQNYTDAPISSSCSDSELYPIRRKSRYIIDSSSGENEVYSSSLPDSASFLRRSSTHSGESEASSQFSQPVSSYSRDSSSKTCSPVRAYKSANVEKTKKQVGRWTKFKDKLGIIFHHHHHHHHHHNNNKKDENTTNHRTKVNHETPLPKHKGKSPCPPRKHEVHEEQQAVQKLGKPVVCDQRGKSQHGSHFHALVQGLKNSKSLKHGNLQSKKGKHGNKKAVKTSHLWQLLRQHGRFKKPPALLESSVTKNPAREFSKTK >itb08g16290.t1 pep chromosome:ASM357664v1:8:18469680:18475506:1 gene:itb08g16290 transcript:itb08g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MRAFKALRVLSLSVSTQPSLPRFNLSVFYRFYSAQPQEADNSSASALPSSSSSEEEEFSSSDVFDSSEYALGSDLTGNSESNTSGVPTWDDKYRDEVRRRVFGEDIPQASSSRVFVKEEEKRRRAAALAKSLLEVAIGRSENEGEVQEDGRLVKEEDQKSVSVGIIGAPNAGKSSLTNSMVGTKVAAVSRKMNTTTDEVLGVLTKGNTQICFFDTPGLMLKKTGFPYRDMKVRVHSAWSSVSLYDVLLVIFDVHRHLTKPDSRVVKLIERMGSEVDKNQKRVLCMNKVDLVKKKKDLLKVTEEFKHLHGYDRHFMISGLKGSGVKDLTQYLMEQAVERPWDEIPFVFSEETMKNISMEIVREKLLDNVHQEVPYGIEHRLIDWRELRDGSLRIEQHLITPKISQRKILVGKKGSKIGKIGIDANEELRSIFKRNVHLVLMVRVKQ >itb09g07910.t1 pep chromosome:ASM357664v1:9:4741370:4743352:1 gene:itb09g07910 transcript:itb09g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MAAVAIGTWLCTGVRQRPANSASVSLAAGSRTTTAASVSLKSTAPGFLRCSFVSASSPLSSISSSSFSGSSLGLSFNINTGVSTGRRHVLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTSGRALLKRRRDKGRKDLCPKSNPYSGKRS >itb04g24600.t1 pep chromosome:ASM357664v1:4:29403497:29403880:-1 gene:itb04g24600 transcript:itb04g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRNTWIYVDPDYNECYQLTYYKIDVYSFGVVLIELLSSMPALDMQTRSIFAKLAMSKILTAGAFDELIDSSLEYEKDTEINRMATSVAALAFQCLQPDKDMRPTMELVLESLKEIQGYEFSNDI >itb05g09020.t1 pep chromosome:ASM357664v1:5:12916019:12916583:-1 gene:itb05g09020 transcript:itb05g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISCIPTPVCTCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMNNTRRGARIAGRKMSQGAFKKVLEKLAAERYNFANPIDLRTHWAKHGTNKFVTISARGSPISSSLARFAAGGGSEECGRYEETTQEVYRKHRLYAYYNWFTLSKLVLLGL >itb07g19960.t1 pep chromosome:ASM357664v1:7:24425938:24426177:-1 gene:itb07g19960 transcript:itb07g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQMRKMVAAVFLIWLVALSGMDAKKDMSGQSKVYKQCFETCQNDCMQGGRTYTDCEMKCDTDCSAAELQAKLGEF >itb07g19440.t1 pep chromosome:ASM357664v1:7:23860752:23863435:1 gene:itb07g19440 transcript:itb07g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G06210) UniProtKB/Swiss-Prot;Acc:Q9LNC6] MDKFDKLKLASSSLGERLKTGGAQMSRMLSTKVKEMLQAPTPESKMVEEATLETMEEPNWGLNLRICAMISSEEFNGTEVVKAIKRKLQQQGKSVVTQRLSLDLLETCTSNCDKVFSEVASEKVLEDMMRMIDDPKTDHGNRVRAMQLIRAWGESEDLKYLPVFRQTYMNLKTRIPLEVQDGNASPMQYPLESYVNQPPISPPGNYPFPEAGLQNQEHVSSIDYGGGSVEEKREFLVIARNNLDIFSSILNSEVEPKPIKDDLAVSMLENCKQSLAVIRRIIESTSDDEGMLFEALNLHDEIQKVISKYTEMEAALDSGGELPKTGDVESEATKDASDSGGDVGRKVSSDGDVKEPHIQLPVQATSTADGVYSSEETRFGHEADKPDFPGNNKV >itb01g18590.t1 pep chromosome:ASM357664v1:1:24117143:24124851:-1 gene:itb01g18590 transcript:itb01g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKASGDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTRLGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARIRNEKARRYLSSMRRKKPIPFSHKFPNADPLALRLLERMLAFDPKDRPTAEEALADPYFRNLARVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYIEGTEPTSFMYPSAVDKFKKQFAYLEEHYGKGGVVAPPERQQASSLPRPSVLYSDNSVQNPAEVANDLSKCSIKEGDKSIMDRNSGIPMSRLPLQVPQGDAARPGRIVSSVMRYNGGSAVTASEAIEQRRTLRNPTTMPQYAISNPSYSRRHPSCKNERGEDGNEGSNTVPKPDQYMARKVAAAPGGSGNQWY >itb01g18590.t2 pep chromosome:ASM357664v1:1:24117143:24124851:-1 gene:itb01g18590 transcript:itb01g18590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKASGDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTRLGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARIRNEKARRYLSSMRRKKPIPFSHKFPNADPLALRLLERMLAFDPKDRPTAEEALADPYFRNLARVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYIEGTEPTSFMYPSAVDKFKKQFAYLEEHYGKGGVVAPPERQQASSLPRPSVLYSDNSVQNPAEVANDLSKCSIKEGDKSIMDRNSGIPMSRLPLQVPQGDAARPGRIVSSVMRYNGGSAVTASEAIEQRRTLRNPTTMPQYAISNPSYSRRHPSCKNERGEDGNEGSNTVPKPDQYMARKVAAAPGGSGNQ >itb09g08090.t3 pep chromosome:ASM357664v1:9:4862957:4867605:1 gene:itb09g08090 transcript:itb09g08090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTVKGTNKVVRPGDCVLMRPSDSNNLPYVARVEKIEADHRNNVKVRVRWYYRPEESKGGRRQFHGAKELFLSDHYDLQSAQTIEGKCIVHSFKNYTKLDNVGAEDYFSRFEYKTATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCTDWFHPSCMGMTIDEIKKLEHFVCSDCSGEEEAKEQMDSFRVPREGETKRRKRTG >itb09g08090.t1 pep chromosome:ASM357664v1:9:4862886:4867605:1 gene:itb09g08090 transcript:itb09g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTVKGTNKVVRPGDCVLMRPSDSNNLPYVARVEKIEADHRNNVKVRVRWYYRPEESKGGRRQFHGAKELFLSDHYDLQSAQTIEGKCIVHSFKNYTKLDNVGAEDYFSRFEYKTATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCTDWFHPSCMGMTIDEIKKLEHFVCSDCSGEEEAKEQMDSFRVPREGETKRRKRTG >itb09g08090.t2 pep chromosome:ASM357664v1:9:4863039:4867605:1 gene:itb09g08090 transcript:itb09g08090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTVKGTNKVVRPGDCVLMRPSDSNNLPYVARVEKIEADHRNNVKVRVRWYYRPEESKGGRRQFHGAKELFLSDHYDLQSAQTIEGKCIVHSFKNYTKLDNVGAEDYFSRFEYKTATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCTDWFHPSCMGMTIDEIKKLEHFVCSDCSGEEEAKEQMDSFRVPREGETKRRKRTG >itb12g23890.t1 pep chromosome:ASM357664v1:12:25517116:25529250:1 gene:itb12g23890 transcript:itb12g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEALTLSSIGVNPQFVTFTNVTMESDKYICVRETSPQNSVVIIDMSMPMQPLRRPITADSAIMNPNSRILALKAQVPGTTQDHLQIFNIEAKQKVKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWPIEGDSEPVKMFDRAANLTNNQIINYRCDPAEKWLVLIGIAPGSPEKPQLVKGNMQLFSVDQQRSQALEAHSASFASFRVAGNDKDSVLISFATKTSNAGQVTSKLHIIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISQRYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNNLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAHLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLINLKGNLQIIVQVAKEYCEQLGLDACIKLFEQFKSYDGLYFFLGSYLSLSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELIHVTNKNSLFKLQARYVVERMDADLWEKVLNPENEFRRQLIDQVVSTALSESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRDINRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRAEDATHFLEVIRAAEDTEVYHDLVKYLLMVRQKTKEPKVDSELIFAYAKIDRLGEIEEFILMPNVANLPNVGDRLFDEGLYEAAKIIFAFISNWGKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIIVKVANVELYYKAVHFYLQEHPDLINDVLNVLALKVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYERLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKSKLEAQNEAKAKENEEKDVIKQQNMYAQLLPLALPAPPMPGMGGPGVGGSFAPPPPMGGMGMGMGMGPMPPFGMPPMGSYS >itb03g15380.t2 pep chromosome:ASM357664v1:3:14739798:14743421:-1 gene:itb03g15380 transcript:itb03g15380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 8 [Source:Projected from Arabidopsis thaliana (AT2G40130) UniProtKB/Swiss-Prot;Acc:F4IGZ2] MPTPVAIARQCLTPEAVRALDEAVAGARRRGHAQTTSVHMVSSLLSLPSSALREACARTRNNAYSARVQFKALELCLGVSLDRLPSSPNRTGGEDEPPVSNSLMAAIKRSQANQRRQPENFSFYQQQQQSSCSSAPVVKVELQNMILSILDDPAVSRVLGEAGFRSCDIKIAILRPVHQFFRYSRYKGPPLFLCNSELGRRGFTFPFLGFSDGDQNCRRIIEVFLKPKQKNPMLVGVCAHEALRSFLETVKRDGGGLIPSHLSGLTVICIEPELSGYVNGDKLRQKLEEVNRMVDQCIGPGVVLNFGDLKLLAGDEPSAESLQPVILGLGRLIEACAGKLWVIGAVARYEVYLKVLNRFPSIEKDWDLQLLTITSPGPFPGESYPKSSLMESFVPFGGLFADIKSPLSSSSLPAVESAFVAAKQQQDGVDEVQAKDDNVVLNAKIAGLQRKWDSLCQRLHYNQPFSRTSTAQLDSRVPSIVGFQVVEDSNKDRAVPSNALSGVSAASKESSPLGVLSDGNNNVNLLSIFSETPLKSDDDAHTSPTSVTSVTMDLGLRICSNSPARESADKPRIPQTCIGLIPDVPANISKNAQFDPKDFKVLYEALAERVGWQEEAVRVISQRIARCRTMDRESHPRATRGDIWFHFTGPDSMGKKKLVVALSEILHGSTHSLICVDLSLEENPRACIKSLFDLQALNKYDVKLRGKNVVDYIAEKLADKPMSVIFLEHVEKADLLVQNSLSHAVKTGKFSDSHGREISTSNAIFVTTSGSSQGYSEEEILAVKGWPIQIQIGVDLGIDTNPNSNSSTLKPILLNKRKTIGTVENEERFGFGIPEITKRAHWTSNPSLDLNLPAEEPDTTALENSRPWLDEFLRQVDETVTFKPFNLGSLAEKMLKEIEHCFHKIIGPECLLEIESKVMEQILAAACLTNNKKVEDWIHSVLVRGFVEAQEKYSLTAHSVVKLVTSRYAEEHKPGIVLPGKIRMN >itb03g15380.t1 pep chromosome:ASM357664v1:3:14739513:14743713:-1 gene:itb03g15380 transcript:itb03g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 8 [Source:Projected from Arabidopsis thaliana (AT2G40130) UniProtKB/Swiss-Prot;Acc:F4IGZ2] MPTPVAIARQCLTPEAVRALDEAVAGARRRGHAQTTSVHMVSSLLSLPSSALREACARTRNNAYSARVQFKALELCLGVSLDRLPSSPNRTGGEDEPPVSNSLMAAIKRSQANQRRQPENFSFYQQQQQSSCSSAPVVKVELQNMILSILDDPAVSRVLGEAGFRSCDIKIAILRPVHQFFRYSRYKGPPLFLCNSELGRRGFTFPFLGFSDGDQNCRRIIEVFLKPKQKNPMLVGVCAHEALRSFLETVKRDGGGLIPSHLSGLTVICIEPELSGYVNGDKLRQKLEEVNRMVDQCIGPGVVLNFGDLKLLAGDEPSAESLQPVILGLGRLIEACAGKLWVIGAVARYEVYLKVLNRFPSIEKDWDLQLLTITSPGPFPGESYPKSSLMESFVPFGGLFADIKSPLSSSYPSVSRCCLCSEKCRQEINALSSGGFSSSVADHYQQSSLPSWLQSSNKMELMKAKDDNVVLNAKIAGLQRKWDSLCQRLHYNQPFSRTSTAQLDSRVPSIVGFQVVEDSNKDRAVPSNALSGVSAASKESSPLGVLSDGNNNVNLLSIFSETPLKSDDDAHTSPTSVTSVTMDLGLRICSNSPARESADKPRIPQTCIGLIPDVPANISKNAQFDPKDFKVLYEALAERVGWQEEAVRVISQRIARCRTMDRESHPRATRGDIWFHFTGPDSMGKKKLVVALSEILHGSTHSLICVDLSLEENPRACIKSLFDLQALNKYDVKLRGKNVVDYIAEKLADKPMSVIFLEHVEKADLLVQNSLSHAVKTGKFSDSHGREISTSNAIFVTTSGSSQGYSEEEILAVKGWPIQIQIGVDLGIDTNPNSNSSTLKPILLNKRKTIGTVENEERFGFGIPEITKRAHWTSNPSLDLNLPAEEPDTTALENSRPWLDEFLRQVDETVTFKPFNLGSLAEKMLKEIEHCFHKIIGPECLLEIESKVMEQILAAACLTNNKKVEDWIHSVLVRGFVEAQEKYSLTAHSVVKLVTSRYAEEHKPGIVLPGKIRMN >itb14g05140.t1 pep chromosome:ASM357664v1:14:4545645:4547444:1 gene:itb14g05140 transcript:itb14g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARQVYEDPSVSIHFDVRAWVVASQLHNKRQMLVGLLNSISKQDILENSTDEDLALKLYQFLKHQRYMVVIDDVWSREAWDDVHNCFPDDGNWSRVLLTTRLAEVANYTSFDNDFSHHMQLLDQVDSWNFFCEKAGKSRGAEFEIIWRPIVEKCKGLPLAIIVVAGLFSKLNTLNEWENIAKALDSSTTTIAATCLKILSLSYNHLPHHLKACFLYLGVFPEDHKIKANELSRLWSAEGLVKASENENFDVVAERLEVLKLRKVAKNGSYQRMTNSAQLIYLEIFSTHLKDWKATGDHFPDPPTPLP >itb04g33610.t1 pep chromosome:ASM357664v1:4:35802499:35805119:-1 gene:itb04g33610 transcript:itb04g33610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLISRFASSLTRSTATTNAAVIHHFTATAASTLPKSNPLISLLQFQSHPFISPSRLHPYSTLTLRSFSTATRPSRPHRPARPDIGARARQMQNRRLWTYALTFSCVAGFIIIVLNQFQDQLVFYVTPSDAISKYTENPTKAKFRLGGLVLEGSVAQMASSPEMEFVITDLITDILVKFEGSLPDLFREGHSVVVEGFIKPFTEEMKKTNEVILKENKFRITEKARSGVCYFEATEVLAKHDEKYMPQEVAAALEKNKKLLEQQKIEESGTETAIAKP >itb05g24120.t5 pep chromosome:ASM357664v1:5:29026574:29028826:-1 gene:itb05g24120 transcript:itb05g24120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCFLVTGPPGVGKTTLIIKVFESLRSSNPNLKLQGFYTREIREGTQRVGFEVVTLDGRKGLLASNKISSPESHRWPTVGRYRVDVASFESLALPELQVTLTIKFYSCKLLSFLIITYKRTSYVPLVNINTITASYAYLP >itb05g24120.t1 pep chromosome:ASM357664v1:5:29026574:29028826:-1 gene:itb05g24120 transcript:itb05g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCFLVTGPPGVGKTTLIIKVFESLRSSNPNLKLQGFYTREIREGTQRVGFEVVTLDGRKGLLASNKISSPESHRWPTVGRYRVDVASFESLALPELQVKEDTDLFIIDEVGKMELYSSSFFPAVLKILETGVPLLASVPIPKAGRDIPGVARLKNHPGAAIFTLNPSNRDAIKERICSLLSDQLQKSIRCGGV >itb05g24120.t2 pep chromosome:ASM357664v1:5:29026574:29028795:-1 gene:itb05g24120 transcript:itb05g24120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCFLVTGPPGVGKTTLIIKVFESLRSSNPNLKLQGFYTREIREGTQRVGFEVVTLDGRKGLLASNKISSPESHRWPTVGRYRVDVASFESLALPELQVKEDTDLFIIDEVGKMELYSSSFFPAVLKILETGVPLLASVPIPKAGRDIPGVLLGHLKLFSEFDSGETEKPSGCCNFYAEP >itb05g24120.t4 pep chromosome:ASM357664v1:5:29026574:29028826:-1 gene:itb05g24120 transcript:itb05g24120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCFLVTGPPGVGKTTLIIKVFESLRSSNPNLKLQGFYTREIREGTQRVGFEVVTLDGRKGLLASNKISSPESHRWPTVGRYRVDVASFESLALPELQVTLTIKFYSCKLLSFLIITYKRTSYVPLVNINTITASYAYLP >itb05g24120.t3 pep chromosome:ASM357664v1:5:29026574:29028795:-1 gene:itb05g24120 transcript:itb05g24120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCFLVTGPPGVGKTTLIIKVFESLRSSNPNLKLQGFYTREIREGTQRVGFEVVTLDGRKGLLASNKISSPESHRWPTVGRYRVDVASFESLALPELQVKEDTDLFIIDEVGKMELYSSSFFPAVLKILETGVPLLASVPIPKAGRDIPGGTCVAYPDRCIIYTFALSLSFLSSHVRLILSFPAQCCWGT >itb12g11860.t1 pep chromosome:ASM357664v1:12:10316991:10318199:-1 gene:itb12g11860 transcript:itb12g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTFFYLHALLLISLATVAFSHPLSPSFYNHVCPQALPTIRCIVEDAIKQERRMGGSLLRLHFHDCFVNGCDASILLDSTPTIDSEKNAGANNNSARGFEVIDRIKMEVDRVCGRPVVSCADILAVAARDSVVALGGPTWEVKLGRRDSTTASKDAANKNLPNPFMDLSRLTDNFKNQGLNIKDLVALSGGHTLGFAQCFTFRQRIYGDKNIDSKFARQRQANCPMNGGNSSLASLDPTPAYFDAKYFKNLVKNKGLLVSDQALFTGGQTDDLVKLYSTNHGAFSNDFANSMIKMGDIKPLTGNNGQIRVNCRRVNYY >itb01g18240.t2 pep chromosome:ASM357664v1:1:23221828:23225278:1 gene:itb01g18240 transcript:itb01g18240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNPYSSISPWLRCYRRLHCRTLSTSSLPPSQDPILTTDPSVTPSDSPEKTKSFSDPSNSSSKPNSENSQLMETRVVETVLGQRGDPDSAFEQFKLAMERCAFQRWTGEPFHVLLHILVSSSEHHHIARSLILKHVLGDSGPPSALFDGLVDSAKRFSFELCPVVFNSLLDSLVKARRVRDAIDCFNAMMDHGILIWPFLIGKVSKVLVKNKMITEAKGLYTGVVCRGIKCSTSTVRLMMRACLKEGEAEEAERYFLEAKASGIQHDPPTYSVAIYAACTLGKVEAAISLLNEMKGKNWVPSKGTYVNVISACVKQRNMVDALRLKEEMVNCGHSKDLVVMTSLMKGYCVRGDVNDALGLLNDIVECGLSPNKVTYAVLIEGCCNKGRMGKAQELYAQMKRAGIKPSVYIENSLLRGFLKANLLDEATKQFEQVVMTDVANVFTYNSMLAWFCRNGKMKEAESIWDKMVNKGIVPTIVSYNSMILLSCRNGNMDKALSLYSELLQRNMEVNAVTYSILIDGHFGKGDAEQALETFDKMLAYGIAPTDFTYNSIINGLSKVGRTSEAKTMLHNFREDGLIPSCLTYNFIINGFLKEGSVSSALAAYTEMCDNGISPNVVTYTCLIYGFCQSNNIDLAVKLLNEMRAKGIQLDIVTYGVLIDVFCKRRDMENAMELFEELLGVGLSPNAILYNTMISGFRNVNNMEAALALHERMVNEGISCDLETYTTLIDGLLKDGKILPASNMYSEMLSKGITPDDIAYTVLVQGLSNKKQVNNAQKVLDEMCKNKVTPNVLIYNTLIAGYFKEGNIQEAFRLHDEMLDRGLVPDDTTYDILVRGTREGSLAMGTSSI >itb01g18240.t1 pep chromosome:ASM357664v1:1:23221828:23225735:1 gene:itb01g18240 transcript:itb01g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNPYSSISPWLRCYRRLHCRTLSTSSLPPSQDPILTTDPSVTPSDSPEKTKSFSDPSNSSSKPNSENSQLMETRVVETVLGQRGDPDSAFEQFKLAMERCAFQRWTGEPFHVLLHILVSSSEHHHIARSLILKHVLGDSGPPSALFDGLVDSAKRFSFELCPVVFNSLLDSLVKARRVRDAIDCFNAMMDHGILIWPFLIGKVSKVLVKNKMITEAKGLYTGVVCRGIKCSTSTVRLMMRACLKEGEAEEAERYFLEAKASGIQHDPPTYSVAIYAACTLGKVEAAISLLNEMKGKNWVPSKGTYVNVISACVKQRNMVDALRLKEEMVNCGHSKDLVVMTSLMKGYCVRGDVNDALGLLNDIVECGLSPNKVTYAVLIEGCCNKGRMGKAQELYAQMKRAGIKPSVYIENSLLRGFLKANLLDEATKQFEQVVMTDVANVFTYNSMLAWFCRNGKMKEAESIWDKMVNKGIVPTIVSYNSMILLSCRNGNMDKALSLYSELLQRNMEVNAVTYSILIDGHFGKGDAEQALETFDKMLAYGIAPTDFTYNSIINGLSKVGRTSEAKTMLHNFREDGLIPSCLTYNFIINGFLKEGSVSSALAAYTEMCDNGISPNVVTYTCLIYGFCQSNNIDLAVKLLNEMRAKGIQLDIVTYGVLIDVFCKRRDMENAMELFEELLGVGLSPNAILYNTMISGFRNVNNMEAALALHERMVNEGISCDLETYTTLIDGLLKDGKILPASNMYSEMLSKGITPDDIAYTVLVQGLSNKKQVNNAQKVLDEMCKNKVTPNVLIYNTLIAGYFKEGNIQEAFRLHDEMLDRGLVPDDTTYDILVRGTREGSLAMGTSSI >itb01g17850.t1 pep chromosome:ASM357664v1:1:22673515:22674153:1 gene:itb01g17850 transcript:itb01g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIGIVEYVNGFHDIYNVHFNGECIRTLVTPEPRKVIEWISEIEYIHRHRLNRLIVGLDVEWRPSYSKRRNPVATLQLCVGRRCLVFQLLYCGPRIPDRLVRFLGNPGYSFVGVGIKDDLKKLEEDHRVLVTNAVELRALAAYETGDASLKNVGLKYLVGVYLGAEMEKPRRVRMGEWDREVLNTEQIQYACIDAFVCFEIGRILKASAH >itb06g13850.t1 pep chromosome:ASM357664v1:6:18511509:18514491:-1 gene:itb06g13850 transcript:itb06g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQQQECEEKWLMHYSSLHQILVVGDGDFSFSLCLANSFGSAFNILASSLDSYDMVTKKYKNAKSNLEKLRHLGATILHGVDAIKMKLHTDLRMRKFDRIIFNFPHAGFHGKEDNVRLIQMHRTLIHGFFRNASGMLRAYGEIHVSHKTTAPFCHWNLAELASKSSLVLIDCIDFNSADYPGYNQKRGDSARCDEPFPLGKCSTFKFIFSPSAKKIPNSLHHNGLAQRHPRVSVTMPQLPTTLPRVLEAPCPIPQLSTPFDAPIAPYSFSAHTVSNGSSHCAGLAQSHPRVSVTMPQLSTTLPRVLEAPCPIPQFSTTFDAPYSFNAHTVMNGSSHWAGFPQTSEKENLQIFGGYFNHARETFGKVEYNIESSVCKQYIMHA >itb04g14330.t1 pep chromosome:ASM357664v1:4:14781742:14788113:1 gene:itb04g14330 transcript:itb04g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVDTERVCLRLKLQLGLIAIVSGVVGSWAGAPILNPSKLEMFVDKLPDMPRIKGYDVVNGVPVPKSLKIGMFLKKWKFHRDLPPTPVFSYGTSRRRATVPGPTIEALHGVDTYVTWKNRLPSKHILPWDRTIPTAVPKKGIPTVVHLHGAIGEPESDGNSKAWFTAGFRERGPTWTKKKYHYHNVQHPGTLWYHDHAMGLTRVNLLAGLIGAYIIRQQDLEIPLGLPYNSEFEKVLVVFDRSFRTDGSIYMNPTGNNPSVHPQWQPEYFGDAIIVNGKAWPHMTVRRRKYRFRIINASNARFFKFYFSNNLTFTHVASDSAYNEAPVVLHNILLAPSEVADVVVDFSESESESAILGNNAVYPYPSGDPVDEVNGKVMKFIIKLGKEVDTSHIPKKLIKYPSPSLSHVSSARYIAMYEYTSKIDEPTHLYINGKSYDEAATETPEVGSSEIWNIINLTDDNHPLHIHLGLFKVLEQTEIVEEDEFKECMLKLNNAVKCKVEEHARGKKVKVAPHEKGWKNVYKMMPGYVTTIFVRFSFIHSNASYPFDATAQPGYVYHCHILDHEDNVMMRPLKLVH >itb04g02020.t1 pep chromosome:ASM357664v1:4:1249625:1252034:-1 gene:itb04g02020 transcript:itb04g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLFAWLLSFFLLVAILGLLLYQLMCLADLEYDYINPYDSASRINKVVVPSFIAEGMLCILHLIAGHWFMCLLCLPYLYYNFKVYTHGRHLLDVTEIFNQLPWEKKIRLYKLGYLVLLLAFSITWMVWTIVDD >itb04g02020.t3 pep chromosome:ASM357664v1:4:1249945:1252034:-1 gene:itb04g02020 transcript:itb04g02020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLFAWLLSFFLLVAILGLLLYQLMCLADLEYDYINPYDSASRINKVVVPSFIAEGMLCILHLIAGHWFMCLLCLPYLYYNFKVYTHGRHLLDVTEIFNQLPWEKKIRLYKLGYLVLLLAFSITWMVWTIVDD >itb04g02020.t2 pep chromosome:ASM357664v1:4:1249625:1250886:-1 gene:itb04g02020 transcript:itb04g02020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLADLEYDYINPYDSASRINKVVVPSFIAEGMLCILHLIAGHWFMCLLCLPYLYYNFKVYTHGRHLLDVTEIFNQLPWEKKIRLYKLGYLVLLLAFSITWMVWTIVDD >itb05g19810.t1 pep chromosome:ASM357664v1:5:26139707:26141367:1 gene:itb05g19810 transcript:itb05g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEGDDTKVRDSVVEGEARAPIAQIVAVDVPTSRVKEEVTEIPVNVNVQTRSIPREPATTAADVTSESMDIDADAEIAAEMQMGETQPGSIVGGDSACIDEELLAEYMRVKAWQKWRISDFATIAAGISFMEPEEEFSLKWLNTSYIPEATSFALIDDVYSKKLAAREAEKGKYKAADEESQSTTPKDAEKAFQKDFRRAMQQSRLEQEMEGPGSTSGVGTRRPIREITPEQPTRSPDAREVAVLSSFQTKDVTQTLQCAEEAMKKMWGLVTRMANKVELMEEQAAAVQKKLSKIDEKMDDVYDKVGAIPAMNDKIDVITDGVINLYDKLSVFIDDAKKGEGSRRPPRRFGSAQQRASGSTSTSQDIVPFPDESYSLQNLLDYAKTWNMIHFKNEEIRKQKQEDEREREDEERKKRQRIMEQQTEKEILAKSAKYKEGTRLDSD >itb09g00630.t1 pep chromosome:ASM357664v1:9:445209:447404:-1 gene:itb09g00630 transcript:itb09g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQWLLVLLAVVVVVSGWQNSNSCHAFRIEEATIAELRAAFKHNQLSSRQLVEFYLEEIKKLNPVLKGVIEVNPDALVQADRADYERKANKAGSNSGLHGIPILVKDNIGTKDKLNTTAGSFALLGSVVPRDAGVVMKLRKAGAIILGKASLSEWANFRSVNAPSGWSARGGQGKNPYVLSAQPCGSSSGSAISVATNMAAVSLGTETDGSILCPSSFNAVVGIKPTIGLTSRAGVIPISPRQDTVGPICRTVSDAAHVLDAIVGFDYNDAEATRAAEKFIPAEIANLNTILEANSSGESTALLAEFKIAINAYLQGLLHSPVRSLADLIAFNNKYSDLEKIKEFGQLAFLVAEQTNGIGDAERKALKNMKKLTEEGFVKTVMENKVDALVTSASFVSPVLAIGGFPGITVPAAYNSHGVPVGICFSGLKGSEPTLIEIGYAFEQATKARKLPTFLPS >itb07g03240.t2 pep chromosome:ASM357664v1:7:2197515:2201829:-1 gene:itb07g03240 transcript:itb07g03240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVATLLATVLVVAVQYLPGLALPSTVPSFLWSPHQDGTLTPKELAKSVMSEGGWSNLLCSDNGAQQRLDIGLIFVGKELHSHDLSRPRKADSSLVDLLKGSFVSSNFSLAFPYIAASEEGHSVENSLVSEFTDTCGHDLETSKVAFLESCSVGGGNFEKLPDILSVQNYLVSRMEKRSKGHSDLIVLCHSGSEGSEEQASEGQVLTDLISHMEHLGAKYTVLYVSDPLRSIQYPSHRALERFLAEGGVNSGSGNQTCDEVCKLKATFLESILVAITLLIILISGLCCMMGIDTPTRFEAPAES >itb07g03240.t1 pep chromosome:ASM357664v1:7:2197507:2201961:-1 gene:itb07g03240 transcript:itb07g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVATLLATVLVVAVQYLPGLALPSTVPSFLWSPHQDGFPTSETVNYRTLTPKELAKSVMSEGGWSNLLCSDNGAQQRLDIGLIFVGKELHSHDLSRPRKADSSLVDLLKGSFVSSNFSLAFPYIAASEEGHSVENSLVSEFTDTCGHDLETSKVAFLESCSVGGGNFEKLPDILSVQNYLVSRMEKRSKGHSDLIVLCHSGSEGSEEQASEGQVLTDLISHMEHLGAKYTVLYVSDPLRSIQYPSHRALERFLAEGGVNSGSGNQTCDEVCKLKATFLESILVAITLLIILISGLCCMMGIDTPTRFEAPAES >itb01g30020.t1 pep chromosome:ASM357664v1:1:34116312:34117644:-1 gene:itb01g30020 transcript:itb01g30020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESTVTKPLEQLELIDALQRLGLSRHFTHEINNILASMHVMITSKNDKPMNDSLYETALQFRLLRQEGFQIAQEMFNSFMSEEGSFDVKLCGDIKGVLSLYEASYLSMEDEDVLEKARDLAWKMKMKMKMKMKMKILNQTYLVEAKWFNEGVKPRLKEFLENAVVSVAGPLLLVQAYISITKPINKEHLHQLLTDDHPIHFPSLISRLTDDLGTSTDELERGDIPKSIQCYMQEKGCSEEDARKYIHKMVETTWKKMNKHFILTDDSNFTKDYNRTAMNLARISQCMYQYGDGYGRPDGITKDRIKSLFFHPIPLPPFSIA >itb15g21600.t1 pep chromosome:ASM357664v1:15:24316559:24321005:-1 gene:itb15g21600 transcript:itb15g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLKGMGGYDESVLDLNDKAGVGGGVGDIYGEDKATEDQCITPWTFSVASGYSLMRDPHYNKGLAFTDQERDAYYLRGLLPPTVMSQELQLKKVLQNLRSYEVPLQRYVAMMELQERNRRLFYKLLIDNVEELLPIVYTPTVGEACQKYGGIFNRPQGLFISLKEKGRILEVFKNWPEKGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSVCLPITIDVGTNNQKLLDDEFYIGLKQKRATGQEYAELLEEFMSAVKQNYGEKILIQFEDFANHNAFDLLAKYRTTHLVFNDDIQGTASVVLAGLVSALKVIGGSLKDQTFLFLGAGEAGTGIAELIALEISKKSGTPIDEARKKIWLVDSKGLIVSSRLESLQQFKRPWAHEHEPAKDLIEAVNAVKPTVLIGTSGAGRAFTQEIVETMASLNERPLIMALSNPTSQAECTAEEAYTWTKGAAIFASGSPFQPVEYEGKKFVPGQANNAYIFPGFGLGLIMSGTVRVHDDMLLTASEALAAEVTEENYAAGLIYPPFTKIRKISAHIAANVAAKSYELGLATHLPRPKDLVKYAESCMYAPVYRNYR >itb14g09700.t1 pep chromosome:ASM357664v1:14:10231674:10232311:-1 gene:itb14g09700 transcript:itb14g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGDSSTWVPVVEEFTFAANSAPFNNCHASTIVEVNKDHFLVAYFGGTVEGAPDVKIWAQTYKDGNWHPPIVADDELNVSMWTPVLFKLPSSELLLX >itb11g10660.t1 pep chromosome:ASM357664v1:11:7618852:7622359:-1 gene:itb11g10660 transcript:itb11g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVNKFSFARGLVCDCGGYMFLGRFYKISGSLSHLTLQLRHFSGTKDVNKVALYLERARLIDSIRLSLRSDSAECLSSLLRSPALDSFVVTNALRSAPSPDSALFFIESLKAIPHFSHTTNTLYALAKILAKSGQTGKLRALINGINTGKFTNVARVSYMDRMHWYAAAGDLDEVYNVWDEWRGTLQKHPCTESYNIVIDLCAKKGKDSDAVRVFDRMINDGALPNSRTYTVIIDHLVKSGKFDSATEVFRILPQMRIKRTLKQYSIMVGAFISIDQLDAVKDLFDEMRADGILPGRAMQWSLQRMQEAGYDESVDELIREMLPDRRIKSIAYSMDANEDDEEEEEEENADCVSEDAGDQLQLKPWLDPAALTSALQHWEPEEVSALEDAKFVWTTRLVCKMIRNFNSAETAWQFFCWVAYQPGFTHDCYTMSRMITKLARHGCVHLVDKLLSKMEREGFRLSFSTLRLIIDFYGISGNGDAALKVFHNVKTICGPISKSSQLILYSSLLRTLAKCKRNSDVITVLEEMILLGISPDRQTYSGLMHHFALQGDIKTVQRLFGMVRQGGLEPDAFMFKVLIHAYCKCERASLALRIFEDMWSCGQLPDVTTKDLLVKSLWKEGKLREAAAVEERSEELNNALPLALPGHIFTMNSSDLRRVYEIYSNSFATMNTLDIYMDQV >itb11g10660.t2 pep chromosome:ASM357664v1:11:7618852:7622359:-1 gene:itb11g10660 transcript:itb11g10660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHWYAAAGDLDEVYNVWDEWRGTLQKHPCTESYNIVIDLCAKKGKDSDAVRVFDRMINDGALPNSRTYTVIIDHLVKSGKFDSATEVFRILPQMRIKRTLKQYSIMVGAFISIDQLDAVKDLFDEMRADGILPGRAMQWSLQRMQEAGYDESVDELIREMLPDRRIKSIAYSMDANEDDEEEEEEENADCVSEDAGDQLQLKPWLDPAALTSALQHWEPEEVSALEDAKFVWTTRLVCKMIRNFNSAETAWQFFCWVAYQPGFTHDCYTMSRMITKLARHGCVHLVDKLLSKMEREGFRLSFSTLRLIIDFYGISGNGDAALKVFHNVKTICGPISKSSQLILYSSLLRTLAKCKRNSDVITVLEEMILLGISPDRQTYSGLMHHFALQGDIKTVQRLFGMVRQGGLEPDAFMFKVLIHAYCKCERASLALRIFEDMWSCGQLPDVTTKDLLVKSLWKEGKLREAAAVEERSEELNNALPLALPGHIFTMNSSDLRRVYEIYSNSFATMNTLDIYMDQV >itb11g10660.t3 pep chromosome:ASM357664v1:11:7618879:7621308:-1 gene:itb11g10660 transcript:itb11g10660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVNKFSFARGLVCDCGGYMFLGRFYKISGSLSHLTLQLRHFSGTKDVNKVALYLERARLIDSIRLSLRSDSAECLSSLLRSPALDSFVVTNALRSAPSPDSALFFIESLKAIPHFSHTTNTLYALAKILAKSGQTGKLRALINGINTGKFTNVARVSYMDRMHWYAAAGDLDEVYNVWDEWRGTLQKHPCTESYNIVIDLCAKKGKDSDAVRVFDRMINDGALPNSRTYTVIIDHLVKSGKFDSATEVFRILPQMRIKRTLKQYSIMVGAFISIDQLDAVKDLFDEMRADGILPGRAMQWSLQRMQEAGYDESVDELIREMLPDRRIKSIAYSMDANEDDEEEEEEENADCVSEDAGDQLQLKPWLDPAALTSALQHWEPEEVSALEDAKFVWTTRLVCKMIRNFNSAETAWQFFCWVAYQPGFTHDCYTMSRMITKLARHGCVHLVDKLLSKMEREGFRLSFSTLRLIIDFYGISGNGDAALKVFHNVKTICGPISKSSQLILYSSLLRTLAKCKRNSDVITVLEEMILLGISPDRQTYSGLMHHFALQGDIKTVQRLFGMVRQGGLEPDAFMFKVLIHAYCKCERASLALRIFEDMWSCGQLPDVTTKDLLVKSLWKEGKLREAAAVEERSEELNNALPLALPGHIFTMNSSDLRRVYEIYSNSFATMNTLDIYMDQV >itb02g21880.t2 pep chromosome:ASM357664v1:2:20827164:20830506:1 gene:itb02g21880 transcript:itb02g21880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAMAMAALFCVVVVCFVEIGCALAPHPDKIIELPGQPEVGFQQFSGYVTVDKKEERSLFYYFVEAQVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQFLVKNAHSWNLEANMLYLESPIGVGFSYANHTSSYETVNDKVTARDNLVFLMRWFHKFPQYIKSNLFITGESYAGHYVPQLAKLMIEFNKKKPLFNLKGVALGNPVLEFATDFNSRAEYFWSHGLISDSTYRLFSEVCNYSRYVSEYYRDSVSPACSKVMRLVSKETSKFVDKYDVTLDVCISSVLSQSKRISPQENVEKIDVCVEDETVNYLNRKDVQKALGARLVGVRSWSVCSTILDYELLNIEIPTISIVGLLVKQGIPVLIYRY >itb02g21880.t3 pep chromosome:ASM357664v1:2:20827180:20829984:1 gene:itb02g21880 transcript:itb02g21880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAMAMAALFCVVVVCFVEIGCALAPHPDKIIELPGQPEVGFQQFSGYVTVDKKEERSLFYYFVEAQVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQFLVKNAHSWNLEANMLYLESPIGVGFSYANHTSSYETVNDKVTARDNLVFLMRWFHKFPQYIKSNLFITGESYAGHYVPQLAKLMIEFNKKKPLFNLKGVALGNPVLEFATDFNSRAEYFWSHGLISDSTYRLFSEVCNYSRYVSEYYRDSVSPACSKVMRLVSKETSKFVDKYDVTLDVCISSVLSQSKRISPQENVEKIDVCVEDETVNYLNRKDVQKALGARLVGVRSWSVCSTILDYELLNIEIPTISIVGLLVKQGIPVLIYRY >itb02g21880.t1 pep chromosome:ASM357664v1:2:20827153:20830530:1 gene:itb02g21880 transcript:itb02g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAMAMAALFCVVVVCFVEIGCALAPHPDKIIELPGQPEVGFQQFSGYVTVDKKEERSLFYYFVEAQVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQFLVKNAHSWNLEANMLYLESPIGVGFSYANHTSSYETVNDKVTARDNLVFLMRWFHKFPQYIKSNLFITGESYAGHYVPQLAKLMIEFNKKKPLFNLKGVALGNPVLEFATDFNSRAEYFWSHGLISDSTYRLFSEVCNYSRYVSEYYRDSVSPACSKVMRLVSKETSKFVDKYDVTLDVCISSVLSQSKRISPQENVEKIDVCVEDETVNYLNRKDVQKALGARLVGVRSWSVCSTILDYELLNIEIPTISIVGLLVKQGIPVLIYSGDQDSVIPLTGSRTLVHGLARQLRMNTTVPYRVWFAGQQVGGWTEVYDNVLSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPQVF >itb06g18360.t1 pep chromosome:ASM357664v1:6:22041085:22041459:-1 gene:itb06g18360 transcript:itb06g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALIMSASSPFTSNSISKFPSFPLVLRNGVFRAQFWGKSSRFRASSNGLDKKAVEDMEEGLGDSAETKVEDEQEIKGANSISNGAASTTINPALDKELKKVSLSKAVSPVVVGFFWSATIPTN >itb07g00690.t2 pep chromosome:ASM357664v1:7:423876:429289:-1 gene:itb07g00690 transcript:itb07g00690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEALKRQQLPFAAVKPPFGDYHRFSADPRHRTSQEAEGIVVKTPPLKRKNETMNHNQVSGDENPGFVYSLNSPLQTPVSGKAGKTQKMPRTSKANRSASQSAANAGSPSGNNTTPVGPCRYDSSLGLLTKKFINLIKHAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRTGEPDESVLSLQTEVESLTLEERRIDEHIREMQERLRDLSEDENNQRWLFVTEDDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEDINAVEAPPNIPSTSGANENATTTIETESRENEVGVQENATERMSSDVGTSQDFVSGIMKIVPDVDNEADYWLLSDAPDVSITDMWTECILY >itb07g00690.t1 pep chromosome:ASM357664v1:7:423876:429289:-1 gene:itb07g00690 transcript:itb07g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEALKRQQLPFAAVKPPFGDYHRFSADPRHRTSQEAEGIVVKTPPLKRKNETMNHNQVSGDENPGFVYSLNSPLQTPVSGKAGKTQKMPRTSKANRSASQSAANAGSPSGNNTTPVGPCRYDSSLGLLTKKFINLIKHAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRTGEPDESVLSLQTEVESLTLEERRIDEHIREMQERLRDLSEDENNQRWLFVTEDDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEDINAVEAPPNIPSTSGANENATTTIETESRENEVGVQENATERMSSDVGTSQDFVSGIMKIVPDVDNEADYWLLSDAPDVSITDMWTESGIDWNDLGTLPEDYTMATVSTPPAQPPPPPSVTKPPSTANTSNS >itb05g00820.t1 pep chromosome:ASM357664v1:5:701735:709163:1 gene:itb05g00820 transcript:itb05g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC40 [Source:Projected from Arabidopsis thaliana (AT5G16620) UniProtKB/TrEMBL;Acc:A0A178UEV6] MVSLAMAASSSCCPQLVLGLSPNNGKPIFGLPVLPRKPTKMIGITRLRTSFSTVSYFQDPRITKTILMKRLGRDCFASTSSGSQQTSSVGVSPQFTASPPSSNLGSPLFWIGVGVALSALFSWAARTLKNYAMQQAFKTMMGQMNTQNNQFGNAPFSPGSPFPFPPPPPPTSGPASSFPSPPTASSQATPSPSSVSQPVTVDVSATKVDEPPTPDVKDEQKVEEKPKKTAFVDVSPEGTFKKSDFENFKDITVTEPSNFNKAAENGTASASASASGSGASQSSSPGKPNPPMTVEALEKMMEDPTVQKMVYPYLPDEMRNPETFKWMLQNPHYRQQLQDMLNNMGGSPEWDNRMMDTLKNFDLSSPEVKQQFDQIGLTPEEVISKIMANPDIAMAFQNPRIQAAIMDVSQNPYNIGKYQADKEVMDVFNKIAELFPGATP >itb06g23380.t2 pep chromosome:ASM357664v1:6:25361898:25365419:-1 gene:itb06g23380 transcript:itb06g23380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQLKQMRKEIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELISVRLPIIEAQRECPLDLKEAISSICFAAPRCADLPELLQVQLAFTGKYGKEFVAAATELRPECGVNRQVTQFIITYHQYTQYCELHFMHRWLLLITSLYMQLIELLSIRAPAPDVKLKVLKEIAEEHELEWDPSASETELLKHHEDLLNGPTQLVSGSKVPLPEEKHDSASEVLDKPSDDSDGEYDILDFPEVPRQPLHSNTAAASTPEMLPFPASALSDFDHEVEKSSEVNGLASNKPEMEHEEVLLERSAANEHKLTDVSPAPNEEKQFLPFMAPPPKSSSSVKQSDLPPPLPKTLSETNIDLQDVLAAAQAAAETAERAAAAARSAASLAQLRISELTKKKDDAGKGPFHVDEQKDDSSEKPHLEHESSFSSSDAASISESPKLNDQSRHHQPQRLPSIDDETYFSYPNLFTRQGSNLSSNAQSFNSRPPHEQ >itb06g23380.t1 pep chromosome:ASM357664v1:6:25361895:25365419:-1 gene:itb06g23380 transcript:itb06g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQLKQMRKEIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELISVRLPIIEAQRECPLDLKEAISSICFAAPRCADLPELLQVQLAFTGKYGKEFVAAATELRPECGVNRQLIELLSIRAPAPDVKLKVLKEIAEEHELEWDPSASETELLKHHEDLLNGPTQLVSGSKVPLPEEKHDSASEVLDKPSDDSDGEYDILDFPEVPRQPLHSNTAAASTPEMLPFPASALSDFDHEVEKSSEVNGLASNKPEMEHEEVLLERSAANEHKLTDVSPAPNEEKQFLPFMAPPPKSSSSVKQSDLPPPLPKTLSETNIDLQDVLAAAQAAAETAERAAAAARSAASLAQLRISELTKKKDDAGKGPFHVDEQKDDSSEKPHLEHESSFSSSDAASISESPKLNDQSRHHQPQRLPSIDDETYFSYPNLFTRQGSNLSSNAQSFNSRPPHEQ >itb06g21390.t1 pep chromosome:ASM357664v1:6:23983002:23986738:-1 gene:itb06g21390 transcript:itb06g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEWLGGVYRSGRRGKWCSYKWATIIVCSINTVVAFFVLHSLFTSLYMYNDYQKDFRYSPDQIRTMEDSIRIRKGSEPTELIKLVTQIKDKLLVEEKVVEAPHSMKQKITDEIIATLRGLEGVVNATLQTEAVENWRKAKVEEANKVIHGNKSNSSIAPEEAVALARALEIDWFELSEEIGLHIPVEVINKEHYDKPDGAEFESEIIAGKKLPPECHAELHTDYDGDAVRWGLTHLKESAYDCCMACLDQAKHAKPGEKKCNIWVYCPSETGCYSPDIYQHKHQECWLKSSENPRLNFKARYSESFRNVNPNAPLVVPWMSGVVSV >itb06g21390.t2 pep chromosome:ASM357664v1:6:23983271:23986700:-1 gene:itb06g21390 transcript:itb06g21390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEWLGGVYRSGRRGKWCSYKWATIIVCSINTVVAFFVLHSLFTSLYMYNDYQKDFRYSPDQIRTMEDSIRIRKGSEPTELIKLVTQIKDKLLVEEKVVEAPHSMKQKITDEIIATLRGLEGVVNATLQTEAVENWRKAKVEEANKVIHGNKSNSSIAPEEAVALARALEIDWFELSEEIGLHIPVEVINKEHYDKPDGAEFESEIIAGKKLPPECHAELHTDYDGDAVRWGLTHLKESAYDCCMACLDQAKHAKPGEKKCNIWVYCPSETGCYSPDIYQHKHQECWLKSLFFFFFFFGVIRKCSQRIPD >itb06g21390.t4 pep chromosome:ASM357664v1:6:23983271:23986738:-1 gene:itb06g21390 transcript:itb06g21390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEWLGGVYRSGRRGKWCSYKWATIIVCSINTVVAFFVLHSLFTSLYMYNDYQKDFRYSPDQIRTMEDSIRIRKGSEPTELIKLVTQIKDKLLVEEKVVEAPHSMKQKITDEIIATLRGLEGVVNATLQTEAVENWRKAKVEEANKVIHGNKSNSSIAPEEAVALARALEIDWFELSEEIGLHIPVEVINKEHYDKPDGAEFESEIIAGKKLPPECHAELHTDYDGDAVRWGLTHLKESAYDCCMACLDQAKHAKPGEKKCNIWVYCPSETGCYSPDIYQHKHQECWLKSLFFFFFFFGVIRKCSQRIPD >itb06g21390.t3 pep chromosome:ASM357664v1:6:23983002:23986732:-1 gene:itb06g21390 transcript:itb06g21390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGEWLGGVYRSGRRGKWCSYKWATIIVCSINTVVAFFVLHSLFTSLYMYNDYQKDFRYSPDQIRTMEDSIRIRKGSEPTELIKLVTQIKDKLLVEEKVVEAPHSMKQKITDEIIATLRGLEGVVNATLQTEAVENWRKAKVEEANKVIHGNKSNSSIAPEEAVALARALEIDWFELSEEIGLHIPVEVINKEHYDKPDGAEFESEIIAGKKLPPECHAELHTDYDGDAVRWGLTHLKESAYDCCMACLDQAKHAKPGEKKCNIWVYCPSETGCYSPDIYQHKHQECWLKSSENPRLNFKARYSESFRNVNPNAPLVVPWMSGVVSV >itb09g27900.t1 pep chromosome:ASM357664v1:9:28518099:28521643:1 gene:itb09g27900 transcript:itb09g27900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAASSMKFVSENQSFSGNLVKDLHHCAYNSLEDFDASDIGDHLTKFLNIKEDEESSDEIKPNSYKDDGSENNYSQSSNLDAEKCLIKFATFPCSSKSKSPSEFIDRKEERNDDVTADIGVLNNDESSANRLLSHSTSLPTPLKFISAMKGSREKLGTPPKKLSVKWAPDVYDPIPTSVSHVPKNKPRYRSDGKKKGKNKQKNSAKSSSRGNKGKDKKQVRKHGSSSNSKRDFPSLLDESSSSSSILVASSEVQTSIVDFDIGSPPVAFCGSSFLKKSVPKLHFAVAEAT >itb03g20550.t1 pep chromosome:ASM357664v1:3:18467320:18469243:1 gene:itb03g20550 transcript:itb03g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTATTIIPVIDMLDPAASDQIVKVCHEFGFFKVVNHGVPLEAMTKLEHPGSDVLNILLRDEKSDCWFRVNHYLPCPELQTGMNDGDLIEFGEHTDPQVISVVRSNNTTGLQISVGDGTWLSVPPDHH >itb13g20350.t1 pep chromosome:ASM357664v1:13:27164234:27169661:1 gene:itb13g20350 transcript:itb13g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MEEECNTAGRAVGGDVEEGEISDSASVEEISEEVFIKQLDTPPSTTSSTTTSSTSTAKVNPNANVSSDPTKPARVWTMRDLYNNYPISRGYARGLYNLAWAQAVQNKPLDELFVMKKAETDASSKKDGTVEDSVNDKVVIDVEDDVKEEGELEEGEIDLDSEVVENGDLKEVNSEAMAMAVDEMGNKVNFIREGLRSVTVVEAEKYFVEVCSKLQRLLDSLHEIVSENSLLPEKDALIELFLTAIRTAITVFCSMKQSEKEKHKDYLSRVLIGASNKKQPPLFSVLQSNEVGKLILSLDLPSVPLRTEDGVEDSEMRLVQESNVNDSDISDTDAFQGPTFVKRQDLESVSANFIESNLSIESSRLGVANSKPRGLSLPLLDLHKDHDVDSLPSPTRESKPSFPIDKAGVLKPEFPLPRGALQTGNSVTHPYETDALKAVSSYQQKFSRSSFFMADRLPSPTPSEDGDKGDTDIGEEISSSITPNCNYLNASGPRQPVVSSLPNMNPVGGQELSNVNSAGSIGVVATPVSRSSPVKIKDPRLRALNSDAPAQSFNPCLLPVSNTETRMAGFGEMVGSRKQKTFDDPVVGGPSMKRQRSEQTDSVIVSDIPSYTGTGGLLGGRGDGGFPITNSNLVLKSIKDASKLEQVATAVTTTTTVPSVPINAVENLPATNASVAATLQSLLKDIVVNPSIWMNRIKMEQLKSMEPSKVTTQPLSSSNCISGGILPVNIAPPKASVPDPRQGGILQATPQMAIPKDDLGKVRMKPRDPRRALHNPPIQKGDQSTTGVPNTQGITGSSSVQRQQEQLDKKSSLPNSSVPPDISHQFTKNLKNIADLISVSQPSISSLLASQTPSTQPVLAHQGKLEGKRVVLESSQPLASEVASVVPSRPQNSWGDVEHLFEGFDDQQKAAIQRERARRIDEQKKMFAARKLCLVLDLDHTLLNSAKFIEVDPVHDEILRKKEELDREKPQRHLFRFPHMGMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKLLDPKGDFFAGRVISRGDDTDLIDGDERVPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLASSLAVIERIHQIFFAHPSLDEADVRNILATEQRKILAGCRILFSRVFPVGEANPHLHPLWQSAEQFGAVCTNQIDDKVTHVVANSLGTDKVNWAFSTGRFVVHPGWVEASTLLYRRANEIDFAIKQ >itb15g05670.t1 pep chromosome:ASM357664v1:15:3664762:3668796:1 gene:itb15g05670 transcript:itb15g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVDFGDAGVRGGGGTLSELFQSSRRLLLKTRDGLEKLERFEYSSSSVAFSSSSNAASLGLDSSDHLFDAVRKDITQIQSLCSEMDRLWRSIPAKSQRDLWKRKVEQVAEEADSLKDSLDKYYLRNQRRIQEARERAELLGRANGNSSHVLKIFDEEAQAMQSVRSSSKMMEETLATGAAILSKYSEQRDRLKRAQRKALDVLNTLGLSNSLLRLIERRNRTDKWIKYAGMVVTIIILVVIWRWTR >itb01g01870.t1 pep chromosome:ASM357664v1:1:1101589:1102652:-1 gene:itb01g01870 transcript:itb01g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTLLFALSLYLLPNPTHSTRNPIRLPTAASGTPVLDNEGDALLPGRPYMLRSWKWTHGGVRLVSLDGATTLCPSDVIIANKLDYGSPVMFTPADPNAPVVLESAFLNIKFAIPTVRLCVSNVSWEVEYDASSGQRFVKAGDVLSYRFKIGSLGSSLNAYSISYCDSDDCYEVGSHYDQYTRLALSTDSPYAVTFMKAGVV >itb02g25790.t1 pep chromosome:ASM357664v1:2:26560715:26561862:-1 gene:itb02g25790 transcript:itb02g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVWGSTCKIASPATGAASGGGLLFLRKCQTKRNGFRCRMRRGLIVRADSSDSECNAEECAPEKEVGQVSMEWVAEEKTKVVGTFPPMARKSGWTGYVEKDTAGQTNIYSVEPAVYVAETAFSSGSAGSSSDGSDNNLAIAAGIGLISLAAASSILLQVGKNAPPPIQTATEYSGPSLSYYVNKFKADELVQAASVPAVESTETSLQTEESPVPAPEDSPALESTPALT >itb01g14520.t1 pep chromosome:ASM357664v1:1:16364739:16371042:-1 gene:itb01g14520 transcript:itb01g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADNAGNAQREADNAENRQTEGQDAENAQREGQEAENARTEGQEAENARTEGQEEIPIHTQPDFEYETQVESGNTSLFEIPVESDRSLIEGLAEQDDNTQPMDMNMSSSSVNVKFGAPSTKRVDQHQNGLLLMKPKGKKKKSFSATKEENHHEEKRGWRVGQADLSKSLLGQEGSVGPTSRRSSVADLSNEVVKEVAKLKKSTVDLMVSTQQTLGSPLGRPPGHPNDKNKSKDRICHDLADPSAGLSWPNPSAGSSWRNPSVGSSWLTPSRGHLYRPFRGVNLAKPLRGVILADLSARPQALELIRSGVLVFAFDEVGPQALELISRCSSYGVRVKKSIMESSSRRAHLISQDKELKGRGSR >itb04g30700.t2 pep chromosome:ASM357664v1:4:33747419:33751334:1 gene:itb04g30700 transcript:itb04g30700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTNTLGYWLNWRFLVCAIWVFAAMVVSALIVWRYEGSPKSRNQHREDRQEKIGTLHKDEVWKTCHKSIHPVCLLTYRILAFLVLLALLTADAVVHGGGIFYYYTQWTFTLVTIYFGLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWWPYSFLDLSSQYAPLWYFGVGALHVPCYVIFALIIRMKKYFLSRFVQTPIEI >itb04g30700.t7 pep chromosome:ASM357664v1:4:33749349:33751486:1 gene:itb04g30700 transcript:itb04g30700.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWWPYSFLDLSSQYAPLWYFGVGALHVPCYVIFALIIRMKKYFLSRFVQTPIEI >itb04g30700.t4 pep chromosome:ASM357664v1:4:33747768:33751486:1 gene:itb04g30700 transcript:itb04g30700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSIGFSNHTWWPIMTSDTNTLGYWLNWRFLVCAIWVFAAMVVSALIVWRYEGSPKSRNQHREDRQEKIGTLHKDEVWKTCHKSIHPVCLLTYRILAFLVLLALLTADAVVHGGGIFYYYTQWTFTLVTIYFGLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWWPYSFLDLSSQYAPLWYFGVGALHVPCYVIFALIIRMKKYFLSRFVQTPIEI >itb04g30700.t6 pep chromosome:ASM357664v1:4:33747768:33751486:1 gene:itb04g30700 transcript:itb04g30700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSIGFSNHTWWPIMTSDTNTLGYWLNWRFLVCAIWVFAAMVVSALIVWRYEGSPKSRNQHREDRQEKIGTLHKDEVWKTCHKSIHPVCLLTYRILAFLVLLALLTADAVVHGGGIFYYYTQWTFTLVTIYFGLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWWPYSFLDLSSQYAPLWYVLLYFRQFIILYILLNLSLYFGFKS >itb04g30700.t3 pep chromosome:ASM357664v1:4:33747419:33751486:1 gene:itb04g30700 transcript:itb04g30700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIHPSCLSTYLQDTCFSGASCIAHCRCCRPWWWHILLLHSLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWWPYSFLDLSSQYAPLWYFGVGALHVPCYVIFALIIRMKKYFLSRFVQTPIEI >itb04g30700.t5 pep chromosome:ASM357664v1:4:33747768:33751328:1 gene:itb04g30700 transcript:itb04g30700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSIGFSNHTWWPIMTSDTNTLGYWLNWRFLVCAIWVFAAMVVSALIVWRYEGSPKSRNQHREDRQEKIGTLHKDEVWKTCHKSIHPVCLLTYRILAFLVLLALLTADAVVHGGGIFYYYTQWTFTLVTIYFGLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWYAYIFNVITIDYSNSLHLFEVETFFF >itb04g30700.t1 pep chromosome:ASM357664v1:4:33747419:33751486:1 gene:itb04g30700 transcript:itb04g30700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTNTLGYWLNWRFLVCAIWVFAAMVVSALIVWRYEGSPKSRNQHREDRQEKIGTLHKDEVWKTCHKSIHPVCLLTYRILAFLVLLALLTADAVVHGGGIFYYYTQWTFTLVTIYFGLGSVLSIYGLKSRKEISAERVNFVSSDAERGTYVAPALGDNASAPCIPSSLNPQDEPPLLDAAGFWDYALQIIFQMCAGAVVLTDFVYWLIIYPFLTTNDYKLNFLVICMHSVNAVCLLGDVMLNRLRFPFFRIAYFILWTCVFVTFQWIIHMCVSLWWPYSFLDLSSQYAPLWYFGVGALHVPCYVIFALIIRMKKYFLSRFVQTPIEI >itb15g12880.t1 pep chromosome:ASM357664v1:15:10819838:10821214:1 gene:itb15g12880 transcript:itb15g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQVMLGGLVIIVSISSLFRFYSAGFFLHNEDICRQFYGFKDEYVVGFDIKALTARVEEVLSKMESLQDKLESTVQQMEKNRDELNNDNIPRLEHKRFLEEEVIRPLYAAHIALRQIRLPRNEGIENGATTIREYPLINSFVIEEIRKYITPKENRQGKINIYGTEKVHNTIGHACVVMKKELEEFMDYDIGSYCKDDWNLAQKLMIHGCDPLPRRRCLTRASKLYQKPYPINESLWRIPDGRNVRWSNYQCRNFECLSSKNPRRGYTKCTGCFEMEKEKLKWVGNNTSLAVDFLIRDVLGTKPGEIRIGIDFGVGTGSFAARMREQNVTIVSTALNLGAPFNEMIALRGLVPLYLTLNQRLPFFDNTMDLIHTAGFLDGWIDLQLLDFILFDWDRVLRPGGLLWIDKFFCNRKELDDYMYMFLQFRYKKHKWAIAPKSKDEVYLSALLEKPPRSL >itb15g01230.t2 pep chromosome:ASM357664v1:15:746762:751580:-1 gene:itb15g01230 transcript:itb15g01230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTRVSEIVESKEELKLVPPSGKSRQARTAERDWKPPVSKKGQNNKYLEDDINRLFEAIDLRTSKSLDLSDRPRRDASKRPMRGGGGGNHSPGIGFSEPVSLKQALRGLCISQASEMAAMKRLSKAPGSPSVSEAGRFTHSYRSVDDGRGEISPVSEEGSSSFSSRVPHFLHESNLRSVRSAQSSPRHFMNPTIKTAESSVYRSARIVPAESTSSFSEKVPRYCQESKLKSPNQSALSSPRLVGEPTSKITGSIVQQKERREPAETISSPFDEVPQHIRETKTKSPKRSTPLRSVDQPISKDAESSLPHPDPKKSPKKNNSSPQLVDKQAMKSRDSNRVQCEAEPSCAPLDIQSLETVVNDEDFHTHTPVPSVPICSSVYGELEPEKGNTAPIKATRNSSRSGGTSVKGVHRAAPKLKRKGRLQSVPLSNAAKSSKDLKSTRSTSRAIKPAIRNKNNIKKKPKPETLQALDISHLSDENGDTVSSDKAICQRCHCALKDAKGDSSNHPATAVSAYVPPEVSPGTANCGASKPDQIGYNCDNSSTPVSKLNKTSKYREGDFSQSSKSSIGDYSSSTTLSEESNLSAPCSGIRPHMSKDARWEAINQVKKQHGFLGLGHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKTPRAQTEREILRILDHPFLPTLYAQFTSDNLSCLVMEFCPGGDLHVLRQKQPNRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENIMVREDGHIMLTDFDLSLRCSVNPTLLKSSSWALEPARISGPCAGSNCIDPFCAGPSCKVSCFSPRILPATTRVRKLKAEAAAFNRSLPQLVVEPVEARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLYELLYGRTPFKGAGNEETLANVVLQSLRFPDGPMVSFQARDLIRGLLVKEPENRLGSETGAAEIKRHPFFDGLNWALIRCAIPPQVPDLCDLEVPNMAFHAKNKKFLECSGTGEHIEFDLF >itb15g01230.t1 pep chromosome:ASM357664v1:15:746762:751587:-1 gene:itb15g01230 transcript:itb15g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTRVSEIVESKEELKLVPPSGKSRQARTAERDWKPPVSKKGQNNKYLEDDINRLFEAIDLRTSKSLDLSDRPRRDASKRPMRGGGGGNHSPGIGFSEPVSLKQALRGLCISQASEMAAMKRLSKAPGSPSVSEAGRFTHSYRSVDDGRGEISPVSEEGSSSFSSRVPHFLHESNLRSVRSAQSSPRHFMNPTIKTAESSVYRSARIVPAESTSSFSEKVPRYCQESKLKSPNQSALSSPRLVGEPTSKITGSIVQQKERREPAETISSPFDEVPQHIRETKTKSPKRSTPLRSVDQPISKDAESSLPHPDPKKSPKKNNSSPQLVDKQAMKSRDSNRVQCEAEPSCAPLDIQSLETVVNDEDFHTHTPVPSVPICSSVYGELEPEKGNTAPIKATRNSSRSGGTSVKGVHRAAPKLKRKGRLQSVPLSNAAKSSKDLKSTRSTSRAIKPAIRNKNNIKKKPKPETLQALDISHLSDENGDTVSSDKAICQRCHCALKDAKGDSSNHPATAVSAYVPPEVSPGTANCGASKPDQIGYNCDNSSTPVSKLNKTSKYREGDFSQSSKSSIGDYSSSTTLSEESNLSAPCSGIRPHMSKDARWEAINQVKKQHGFLGLGHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKTPRAQTEREILRILDHPFLPTLYAQFTSDNLSCLVMEFCPGGDLHVLRQKQPNRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENIMVREDGHIMLTDFDLSLRCSVNPTLLKSSSWALEPARISGPCAGSNCIDPFCAGPSCKVSCFSPRILPATTRVRKLKAEAAAFNRSLPQLVVEPVEARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLYELLYGRTPFKGAGNEETLANVVLQSLRFPDGPMVSFQARDLIRGLLVKEPENRLGSETGAAEIKRHPFFDGLNWALIRCAIPPQVPDLCDLEVPNMAFHAKNKKFLECSGTGEHIEFDLF >itb13g13380.t1 pep chromosome:ASM357664v1:13:19875068:19876918:-1 gene:itb13g13380 transcript:itb13g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKRIITSKQFRWTKPMEGTFLKILAEEAKNPNNPTNNFRASSFNHVASAISEQFNVDCENKHVENHLRTVKNIWMMICKLKDMSGLGWDDNLKMITCDPASCMERISATPKYEMLLHKKIEYYEEMYIVAGRDCAIGRFAKSFADVTLDGPNDEMLLITTMKLCPNKLKKKVGEEHLHQLLRPKNPDQKLVCPDLCLRVANHAYFAPRGGTSHLRSKFQKVSLTRDATAHVTCAPRSRAIIHVSLRSPSAESTPSSNAT >itb12g13220.t1 pep chromosome:ASM357664v1:12:12035724:12038953:1 gene:itb12g13220 transcript:itb12g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLETGKEALVGQGDENERVDKDDLLGLPPGFRFHPTDEEIILHYLLEKVANNAFVAIAIGEVDLNKVEPWDLPKKAKMGEKEWWFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFKGKSNILIGMKKTLVFYRGRAPKGEKSNWVMHEYRLDGQFSYSKTIRDAEWVVSRVFHKSAGVVRGIPMENNDNHQMDSFVDNLIHSPSATTTTLPSLRDLSPASNQNYFLENDDDNQDFKPVMAASSHGKSPYFPITYPHSQILPAAAAAASVFNNGLFYSPFLPNSPALKMEQTSYNNVSGSQETGLSMEVMMPANTETTSALSKLKQIIATDDQTSPRPFDDLDADPSYHDGDMDDPLDPYSFWDDYGPADP >itb12g13220.t2 pep chromosome:ASM357664v1:12:12036222:12038678:1 gene:itb12g13220 transcript:itb12g13220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEKAKMGEKEWWFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFKGKSNILIGMKKTLVFYRGRAPKGEKSNWVMHEYRLDGQFSYSKTIRDAEWVVSRVFHKSAGVVRGIPMENNDNHQMDSFVDNLIHSPSATTTTLPSLRDLSPASNQNYFLENDDDNQDFKPVMAASSHGKSPYFPITYPHSQILPAAAAAASVFNNGLFYSPFLPNSPALKMEQTSYNNVSGSQETGLSMEVMMPANTETTSALSKLKQIIATDDQTSPRPFDDLDADPSYHDGDMDDPLDPYSFWDDYGPADP >itb11g12510.t2 pep chromosome:ASM357664v1:11:9383406:9388395:-1 gene:itb11g12510 transcript:itb11g12510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGGHGIPGGPSLDLDLLPGSSAAAIPGFPFPALSLSDMDRSLMADIAGNAMEELIRLLQGNESLWTKSLDGRDLLNLESYERVFPRASNHMKNPNMRIEASRDSGVVIMNALALVDMFMDANKWVELFPTIVSKATTLEVISSGVLGSRSGTLQLMYEELQVLSPLVPTRQFYFLRFCQQIEQGSWAIVDVSYDVPQDNYQSPTSCKVHRLPSGCLIQDMPNGYSNVTWVEHVEVEDKVVLHKLYRDVIQSGIAFGAERWLTVLQRMCERFVCLMVSGSSSRDLGGVIPSAEGKRSMMKLAQRMVNNFCGSVNPSNGHHWTTLSGANEFEVRATLQKSTDPGQPNGVVISAATTIWLPIPPQLVFNFFRDERTRPQWDVLSNQNPVQEVAHIANGSHPGNCISVLRAYNTSQNNMLILQESCIDSSGCLVVYSPVDLPAINMAMSGEDPTYIPLLPSGFTISPDGRSERGNSSKINIDQASSSTSNPASGTSTAAGGEGGSLITVVFQILVSSLPSAKMSPESVATVNSLIGNTVHQIKSALNCSAVPTSAS >itb11g12510.t1 pep chromosome:ASM357664v1:11:9383406:9388558:-1 gene:itb11g12510 transcript:itb11g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGGGGGGAGGSSGSGSGDHHDPSDRNRNKKRYHRHTANQIQRLESIFKECPHPDEKTRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALKNVICPSCGGPPVREDSYFDEHKLRMENMALKEELDKISSIAAKYIGRPISQLPPVQPIHLSSLDLSMSSYGGHGIPGGPSLDLDLLPGSSAAAIPGFPFPALSLSDMDRSLMADIAGNAMEELIRLLQGNESLWTKSLDGRDLLNLESYERVFPRASNHMKNPNMRIEASRDSGVVIMNALALVDMFMDANKWVELFPTIVSKATTLEVISSGVLGSRSGTLQLMYEELQVLSPLVPTRQFYFLRFCQQIEQGSWAIVDVSYDVPQDNYQSPTSCKVHRLPSGCLIQDMPNGYSNVTWVEHVEVEDKVVLHKLYRDVIQSGIAFGAERWLTVLQRMCERFVCLMVSGSSSRDLGGVIPSAEGKRSMMKLAQRMVNNFCGSVNPSNGHHWTTLSGANEFEVRATLQKSTDPGQPNGVVISAATTIWLPIPPQLVFNFFRDERTRPQWDVLSNQNPVQEVAHIANGSHPGNCISVLRAYNTSQNNMLILQESCIDSSGCLVVYSPVDLPAINMAMSGEDPTYIPLLPSGFTISPDGRSERGNSSKINIDQASSSTSNPASGTSTAAGGEGGSLITVVFQILVSSLPSAKMSPESVATVNSLIGNTVHQIKSALNCSAVPTSAS >itb01g04520.t1 pep chromosome:ASM357664v1:1:3058579:3060400:1 gene:itb01g04520 transcript:itb01g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRPEPAKSVDSEEEESEQEEEEASSDENGGSDSEPETPLTQVSTKKPSASAPKKPQPSSQPKRVSSSEESGSESDDDSDSNPNVKPIASKPMDAPSAAATPTKKPRSKPAAPRPTSPTKSAAGTKRPAAADGEAKESKKSKKKPNPEVESSVKKSVTEDSKKKPSVEVESSAKKSLSEDSKKQLFQRLWSEEDEIAVLKGMIAYTEEKNADPVADLNAFHDFIRESLHIDVTRTQLQDKIRRLKKKYENNARTSQKKGKERTFSKIHEQNGYDLSKKIWGGHKAQNGSVVKAAESSKASNASARKDRIKNAVIPVKDSTVAAAAEVVDHVLDEEKEANTNMDVDINVEASKSLNLSGGSLFGKSVNELAEWIEKNKAGLSEEKLIEMEGKWKALKVAELELFLKKTALIQEQGRLVLDALK >itb10g21490.t1 pep chromosome:ASM357664v1:10:26696409:26698469:1 gene:itb10g21490 transcript:itb10g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINSPHKFPSPHHSSHKHCSERTKHNQPSQREMEAFPIIDLQKLNTAERSATMEKIKDACENWGFFELVNHGIPVELMDTVERLNKEHYKKFMEQKFKEMVADKGLDAVQTEISDADWESTFFLKHLPVSNISEIPDLEHDFRKAMKEFAVGLENLAENILDLLCENLGLEKGYLKKAFYGSEGPNFGTKVSNYPPCPTPELVKGLRAHTDAGGLILLFQDDKVGGLQLLKDGRWIDVPPMHHSIVINLGDQLEVISNGKYKSVVHRVIAQTDGSRMSVASFYNPGNDAVIYPAPELVEENAEDKKYPKFVFDDYMKLYAGLKFQEKEPRFEAMKAMESRAGSLGPIPIA >itb03g02460.t2 pep chromosome:ASM357664v1:3:1402403:1404568:-1 gene:itb03g02460 transcript:itb03g02460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEEKGYGSSLDLDVNKWARVCDVCRSATCTVYCRTDSAYLCGGCDAHIHRTAAATAHERVWVCEACERAPAAFLCKADAASLCAACDADVHSANPLARRHHRVPILPIYGPPPATAGVASVMIRPAAGDTPDDDEFLTHDAGETAIDEDDEDEAASWLLLNPTPAKNNDNINQERGNNNIKEESENACIELLEYSSCQENQFNDHHYSLNQPHHYSVLEKNMSYGGDSVVPNKSHLQYYHTHNQTHHGSFQLQGMEYETSTPGYGYPAFSHSVSVSSMDVGVVPESTTSDVSISHSRPPKGTIDLFSGPPVPMPSQLAPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDAEGEVDQMFFTPSMAESGYGIVPLL >itb03g02460.t1 pep chromosome:ASM357664v1:3:1402403:1404568:-1 gene:itb03g02460 transcript:itb03g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEEKGYGSSLDLDVNKWARVCDVCRSATCTVYCRTDSAYLCGGCDAHIHRTAAATAHERVWVCEACERAPAAFLCKADAASLCAACDADVHSANPLARRHHRVPILPIYGPPPATAGVASVMIRPAAGDTPDDDEFLTHDAGETAIDEDDEDEAASWLLLNPTPAKNNDNINQERGNNNIKEESENACIELLEYSSCQENQFNDHHYSLNQPHHYSVLEKNMSYGGDSVVPNKSHLQYYHTHNQTHHGSFQLQGMEYETSTPGFRCPRWMLELFRSQLQAMFRSRIQGRQRAPLISSPVLQFRCHRSWLRWTGKPEF >itb15g09030.t3 pep chromosome:ASM357664v1:15:6408979:6413904:-1 gene:itb15g09030 transcript:itb15g09030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNSTVEPKRSHQWFMDGIEPELLPNKKQAFDVTNNHSFTALLNSNISPWMNASSFHSLPGQYSERLLDGDIGRPVNYDDRNVMSIGFGGRSMARKSVEDSFGTDSSFGLSIAEGLEDPRLSLNYGGIRKVKVSQVKDTDKFMPVTMVDNYTMGVNSTVPTDHGFCKVDGTTVSMGLSFNRVDDNVMPLGDTFNREDSSFISMSQPLNKMNANELTGSHSFKERDCYLSVNHSFDKDDTSIATHGQTFSRDDSNSISVGNSIKDNSPAFMGQSFRNDDSSNTPLGQNFNKTTDSTALMANCYGRVNESAISLMQTYNEVDSNNVSMGHSFGREGNIISFGGFDNDDDVNASGRLLSSYDLLMAQSSGKRSENPCEKGLVESNADKLTNASLISASGGAVTKKDEQKANKKPAPNSFPSNVRSLLSTGIFDGVPVKYIAWSREKELRGIIKGTGYLCGCQSCIFSKTINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRNTRQDLLFEVIQTISGSPINQKSFRIWKESFLAATRELQRIYAKDEGKLLS >itb15g09030.t2 pep chromosome:ASM357664v1:15:6408193:6413916:-1 gene:itb15g09030 transcript:itb15g09030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNSTVEPKRSHQWFMDGIEPELLPNKKQAFDVTNNHSFTALLNSNISPWMNASSFHSLPGQYSERLLDGDIGRPVNYDDRNVMSIGFGGRSMARKSVEDSFGTDSSFGLSIAEGLEDPRLSLNYGGIRKVKVSQVKDTDKFMPVTMVDNYTMGVNSTVPTDHGFCKVDGTTVSMGLSFNRVDDNVMPLGDTFNREDSSFISMSQPLNKMNANELTGSHSFKERDCYLSVNHSFDKDDTSIATHGQTFSRDDSNSISVGNSIKDNSPAFMGQSFRNDDSSNTPLGQNFNKTTDSTALMANCYGRVNESAISLMQTYNEVDSNNVSMGHSFGREGNIISFGGFDNDDDVNASVVELLLRRMSKKQIKSLLQTASLQMLGVYCQLVYLMEFLSSTLHGHGRYILLLISSSN >itb15g09030.t4 pep chromosome:ASM357664v1:15:6408979:6413916:-1 gene:itb15g09030 transcript:itb15g09030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNSTVEPKRSHQWFMDGIEPELLPNKKQAFDVTNNHSFTALLNSNISPWMNASSFHSLPGQYSERLLDGDIGRPVNYDDRNVMSIGFGGRSMARKSVEDSFGTDSSFGLSIAEGLEDPRLSLNYGGIRKVKVSQVKDTDKFMPVTMVDNYTMGVNSTVPTDHGFCKVDGTTVSMGLSFNRVDDNVMPLGDTFNREDSSFISMSQPLNKMNANELTGSHSFKERDCYLSVNHSFDKDDTSIATHGQTFSRDDSNSISVGNSIKDNSPAFMGQSFRNDDSSNTPLGQNFNKTTDSTALMANCYGRVNESAISLMQTYNEVDSNNVSMGHSFGREGNIISFGGFDNDDDVNASGRLLSSYDLLMAQSSGKRSENPCEKGLVESNADKLTNASLISASGGAVTKKDEQKANKKPAPNSFPSNVRSLLSTGIFDGVPVKYIAWSREKELRGIIKGTGYLCGCQSCIFSKTINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRNTRQDLLFEVIQTISGSPINQKSFRIWKESFLAATRELQRIYAKDEGKLLS >itb15g09030.t1 pep chromosome:ASM357664v1:15:6407656:6413953:-1 gene:itb15g09030 transcript:itb15g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNSTVEPKRSHQWFMDGIEPELLPNKKQAFDVTNNHSFTALLNSNISPWMNASSFHSLPGQYSERLLDGDIGRPVNYDDRNVMSIGFGGRSMARKSVEDSFGTDSSFGLSIAEGLEDPRLSLNYGGIRKVKVSQVKDTDKFMPVTMVDNYTMGVNSTVPTDHGFCKVDGTTVSMGLSFNRVDDNVMPLGDTFNREDSSFISMSQPLNKMNANELTGSHSFKERDCYLSVNHSFDKDDTSIATHGQTFSRDDSNSISVGNSIKDNSPAFMGQSFRNDDSSNTPLGQNFNKTTDSTALMANCYGRVNESAISLMQTYNEVDSNNVSMGHSFGREGNIISFGGFDNDDDVNASGRLLSSYDLLMAQSSGKRSENPCEKGLVESNADKLTNASLISASGGAVTKKDEQKANKKPAPNSFPSNVRSLLSTGIFDGVPVKYIAWSREKELRGIIKGTGYLCGCQSCIFSKTINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRNTRQDLLFEVIQTISGSPINQKSFRIWKESFLAATRELQRIYAKDEGKLLS >itb15g09030.t5 pep chromosome:ASM357664v1:15:6408979:6412988:-1 gene:itb15g09030 transcript:itb15g09030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNSTVEPKRSHQWFMDGIEPELLPNKKQAFDVTNNHSFTALLNSNISPWMNASSFHSLPGQYSERLLDGDIGRPVNYDDRNVMSIGFGGRSMARKSVEDSFGTDSSFGLSIAEGLEDPRLSLNYGGIRKVKVSQVKDTDKFMPVTMVDNYTMGVNSTVPTDHGFCKVDGTTVSMGLSFNRVDDNVMPLGDTFNREDSSFISMSQPLNKMNANELTGSHSFKERDCYLSVNHSFDKDDTSIATHGQTFSRDDSNSISVGNSIKDNSPAFMGQSFRNDDSSNTPLGQNFNKTTDSTALMANCYGRVNESAISLMQTYNEVDSNNVSMGHSFGREGNIISFGGFDNDDDVNASGRLLSSYDLLMAQSSGKRSENPCEKGLVESNADKLTNASLISASGGAVTKKDEQKANKKPAPNSFPSNVRSLLSTGIFDGVPVKYIAWSREKELRGIIKGTGYLCGCQSCIFSKTINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRNTRQDLLFEVIQTISGSPINQKSFRIWKESFLAATRELQRIYAKDEGKLLS >itb11g20750.t1 pep chromosome:ASM357664v1:11:22126474:22129351:1 gene:itb11g20750 transcript:itb11g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNSPAMIVAVALAALFMFSVASVTASDGPFILAHKKVSLTKLSSSTERVSVSIDIYNRGSKTAYDVTLEDSSWDQEIFYFVTGNTSKSWEKLDVGSVVSHSFELESRVKTTYYGAPALITYHVASKPKLQIAYSTPIIPLAILSEKVVESKLVLSLWGKYGSHVCVVTIVVLFANAIATPSKLSGKKRH >itb13g25140.t1 pep chromosome:ASM357664v1:13:30578694:30580668:-1 gene:itb13g25140 transcript:itb13g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTRAAKHYFGAEGYEKYKQQAYQTGESIEELYIRVAKISIRKCNACRAILAGFYFCCIECEFYNGYTLCVNCFYDGTVKHQHDSFADNHSILTMVKKGSRNPPCEIHKMKLSNFRGMETEIQSNYTYMGRSFSDLSINDDSSAFSDCNIDRSGEFPTASSQSRRLLLACAIDNSDELIPQLVSDLDSSSIDAIKQATMELRLLVKNKPESKIKIARAGAIKPLNSLISSTDPQLLEYGVTAILNLSLCDENKQLIAAFGAIKPLVRALKVGTPVAKENAACALLRLSQIKESKVEIVQSGAIPPLVSLLENRNLRGKKDASTALYSICSVKENKFRVVQAGIMKPLVELMADFSSNMVDKSAFVVSVLASAAKARVTLVEEGRIPILVLVEIVEFRFRSRKEKVFNRSKSNKQKNW >itb10g12560.t1 pep chromosome:ASM357664v1:10:18604850:18610480:-1 gene:itb10g12560 transcript:itb10g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNVIVMVVCSVLLLAMVVALTVGFGRYEYKERKEVKSSEKAIKSICQPTTYQETCIKSLEKSPASNTTDPKELIQASLHITIHRLRNAMNKSRHLEELHKDPRSKQALDDCQVLAKRAVKDLKRTFAQFSEFDLSDLDNWLADLKTWLSGSLTYQETCLDGFQGTPGDHKEKMKKLLRTSMQLTSNALAMVTEISSALSELGVQGVSSSNKRRLLGHESLVWAEMATPEWVDMGRHRLLTAKRSEIKPNLVLAKDGSGKYMTINEALEDIPRNKNKTFVLYIKEGVYEEHVAFFRKMTHLMIMGDGPTKTKITGSKNYIDGVSTFHTATVAAMGDFFMARDIGFENSAGPEKHQAVALRVGADRSIFYNCQMDGYQDTLYTHTYRQFYRDCIISGTIDFIFGNAAVVLQNCTFMVRKPMENQQCIVTAQGRIDPRQPTGLVLQNCSFEADPEYYPVRSKLKSYLGRPWKEYSRTIIMESFIDDLIQKDGWLPWNGDFALDTLFYTEYNNRGPSSSKGERVKWKGVKELPLNRIERFTAARFIQGDVWIKRTRLPYNSGFIYPPPKHSPEVKYSPVMPDEIKDMGAKEKAIYDPPKASPPPSPPSPSQFSFSSIFGGGGGGGGGGGSPPTKEPADSSNQTSPKTSTPVHPSPESTSGSSSASVQTPASSPGPVQAPYQFSTIPISVTPVSAPVQGEPPTQASESTFGLGSPGPVQASPQSSTAPVSSPVQASASTPSPVQAPAQSPTTAPVSAPAKAEASTPASASVPGPVQASAPAPVSTPSSTPALAPAQPSTPVSAPTQASTSASSPAPLAPTQVPVAAPLGNSATQVPTTAPPGNSTTHVPAAAPPGNSAPTHVPAAAPPGNSATEVPVAAPSGNLDTHVKVATSSENLIATPSIQTKTALGPSVEAGVKTPSPSRNQPPKSSGWTFGIF >itb09g13210.t1 pep chromosome:ASM357664v1:9:8564537:8568334:-1 gene:itb09g13210 transcript:itb09g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPQEDFMLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKARDLPPKDVTGSLDPYVEVRLGNYKGTTRHFEKKNNPEWNQVFAFSKERIQASMLEVIVKDKDFVKDDFVGRVVFDLHEIPKRVPPDSPLAPQWYRLEDKKGDKIKGGELMLAVWMGTQADEAFPDAWHSDSAAVSGHDGLANIRSKVYLSPKLWYLRVNVIEAQDLVPNDKSRFPEVFVKAILGNQALRTRVSMNKTINPMWNEDLMFVAAEPFEEQLILSVEDRVAPNKDEVLGRCAIPLQYVDRRFDHRPVNSRWFNLEKHIIIEGEKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSNIGVLELGILNAHGLSPMKTKDGRATTDAYCVAKYGTKWVRTRTIIDSFTPKWSEQYTWEVFDPCTVITIGVFDNCHLQGGDKSAGARDSRIGKVRIRLSTLETGRVYTHIYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQVDNLRHQATQIVSVRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMSVLGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTVFLYLFLIGIWYYRWRPRNPPHMDTRISCADNAHPDELDEEFDTFPTSRPPDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYMLRHPRFRHKLPSVPLNFFRRLPARTDCML >itb09g13210.t3 pep chromosome:ASM357664v1:9:8564541:8568294:-1 gene:itb09g13210 transcript:itb09g13210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPQEDFMLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKARDLPPKDVTGSLDPYVEVRLGNYKGTTRHFEKKNNPEWNQVFAFSKERIQASMLEVIVKDKDFVKDDFVGRVVFDLHEIPKRVPPDSPLAPQWYRLEDKKGDKIKGGELMLAVWMGTQADEAFPDAWHSDSAAVSGHDGLANIRSKVYLSPKLWYLRVNVIEAQDLVPNDKSRFPEVFVKAILGNQALRTRVSMNKTINPMWNEDLMFVAAEPFEEQLILSVEDRVAPNKDEVLGRCAIPLQYVDRRFDHRPVNSRWFNLEKHIIIEGEKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSNIGVLELGILNAHGLSPMKTKDGRATTDAYCVAKYGTKWVRTRTIIDSFTPKWSEQYTWEVFDPCTVITIGVFDNCHLQGGDKSAGARDSRIGKVRIRLSTLETGRVYTHIYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQVDNLRHQATQIVSVRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMSVLGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTVFLYLFLIGIWYYRWRPRNPPHMDTRISCADNAHPDELDEEFDTFPTSRPPDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYMLRHPRFRHKLPSVPLNFFRRLPARTDCML >itb09g13210.t2 pep chromosome:ASM357664v1:9:8564541:8568294:-1 gene:itb09g13210 transcript:itb09g13210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPQEDFMLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKARDLPPKDVTGSLDPYVEVRLGNYKGTTRHFEKKNNPEWNQVFAFSKERIQASMLEVIVKDKDFVKDDFVGRVVFDLHEIPKRVPPDSPLAPQWYRLEDKKGDKIKGGELMLAVWMGTQADEAFPDAWHSDSAAVSGHDGLANIRSKVYLSPKLWYLRVNVIEAQDLVPNDKSRFPEVFVKAILGNQALRTRVSMNKTINPMWNEDLMFVAAEPFEEQLILSVEDRVAPNKDEVLGRCAIPLQYVDRRFDHRPVNSRWFNLEKHIIIEGEKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSNIGVLELGILNAHGLSPMKTKDGRATTDAYCVAKYGTKWVRTRTIIDSFTPKWSEQYTWEVFDPCTVITIGVFDNCHLQGGDKSAGARDSRIGKVRIRLSTLETGRVYTHIYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQVDNLRHQATQIVSVRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMSVLGGLIAVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTVFLYLFLIGIWYYRWRPRNPPHMDTRISCADNAHPDELDEEFDTFPTSRPPDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLAGFYMLRHPRFRHKLPSVPLNFFRRLPARTDCML >itb04g26160.t1 pep chromosome:ASM357664v1:4:30548691:30552469:1 gene:itb04g26160 transcript:itb04g26160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQLPGDRKNDDKKEKKFEPAAPPARVGRKQRKQKGSEAAARLPAVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTARMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >itb12g06100.t1 pep chromosome:ASM357664v1:12:4550449:4553545:1 gene:itb12g06100 transcript:itb12g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGDLVLIGVSVGVAVGILIASLVFFGVRWYKLRAHRRRCANEQSQAALPIRTNGFDISIESSASININRPQYPAKSSQQSWWTRPSKDQFTSASGIPRYAYKDIQKATENFTTVLGQGSFGPVYKATMPSGGVVAVKVLGINSKQGEKEFQTEVTLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLANLLYDEERTLSWEERLQIALDISHGIEYLHDGAVPPVIHRDLKSANILLDHAMRAKVADFGLSKEEVYDGSISGLKGTYGYIDPMYISTNKFTTKSDVYSFGIILFEIITAIHPHQNLMEYVNLASMSADGIDEIVDNRIVGTCNSEEVRNLAAIAHKCLKKAPRKRPSIGEISHAISKIKQRGLVREDTMSFAQDDISRMASRIERQQTELRSMASISEREGE >itb01g12560.t1 pep chromosome:ASM357664v1:1:12112283:12117224:-1 gene:itb01g12560 transcript:itb01g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICPTTKNGAFHSLFWWYRPEGEVAVTKKKISSGDNTLSAAKEREDAVLPAQEKPPEVVRMEEKDLQKPDVVVKEEERKGVETTKRDNMVEKGNADVFLPAQQMVRPEEVILKTGNERVKPAGAAQSRKPHNAKRVLSAGLKVESVLRTKTGHLKEFYNLGEKLGNGQFGTTFLCTEKTTGKKYACKSIAKRKLLTQEDVDDVRREIEIMHHLSGQPNIISIKGSYEDAVAVHVVMELCKGGELFDRIVKRGHYSERKAAELTRTIVGVIEACHSLGVMHRDLKPENFLFVDDEEDSPIKTIDFGLSTFFKPGEIFNEVVGSPYYVAPEVLQKRYSHKADIWSAGVIVYILLSGAPPFCGGHPWVQINGVAPDEPLGSAVLTRLTQFSAMNKLKKMALRVIAENLPEEEIGGLKEMFRTIDTDDSGHITFEELKAGLKRSGADLNESEIQDLMKAADVSNSGSIDYVEFVAAMLNSNKIDEEDHLYTAFSYFDKDGSGYITADEIQRACDEFGLQDVHLEEMILEADQNNDGRIDYNEFVAMMRRGNADLGKKQLPDNFNIAAGYREAMEVC >itb12g16540.t1 pep chromosome:ASM357664v1:12:17825808:17827649:1 gene:itb12g16540 transcript:itb12g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKSKVAPKIKKFFEKNGTKKAAAAELCKTFQDSKEEYGNDFEEKKTELQPRVVEIYEACSTEMKALVKDPKDAGLKKNSAAIHKFLDELAKIDFPGSKPVSEACSKVGAAYLSAPVFFIFEKVSSLLPEEKKEEAAPPAPEVSEKEAAATEEDTKKDGEEPKAEASAPVAEPPAAVEPPKPEEKPAEAAAKA >itb02g07430.t2 pep chromosome:ASM357664v1:2:4604950:4608285:1 gene:itb02g07430 transcript:itb02g07430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQSLKPRKPKFREVSSRFLSPTSNSSSANQINAMSSPNHVLSPVKQKPRSSTDSRKHKGLEKSGFMAKLWPSSAPSSSKVDTLGNHLGHERLKELEEDKNGDRADNNPMFLNRQNSCLEFSRFEREKDKGAKENHRPSGGSMSMRYTGKFMLPGRSSTSSSSSSSSSSKSSNLLEDYSDRDRILPGRFSVDENTLQGKKRSDFLSDLQAYSESESTEFFSSNNFGSPVVGKNNIPSYMAPTLSSRKAGIEVPSKYMQDLPSKSRRWSSDSGAQNAAVSAEYSPKKASLKTAIKRTNSLGTTSSKCSASPGRSNSPPVLAENKGKLAGISTSKPPTSPSRVKGVGSFLSMGLELLKVKKSSSSSSASSPLGPGTSESVHHMRLFHNRLMQWRYANARSDVVNDNIIRQAKNNSIYAWDGLVKLHHSVMQKKLQLQKEKMEMKLTYILHSQIKLLEAWGDMDRQHLSAISMTKDSLNSVVCSVPLVQGAKVEPQSTSIALRHASEVAASIKLMLSAFSPGAEKTSEVLKEIAEVVTQEKLLLEECLELFKTISALEIQERSLKCNIVQLRLVEDEYQFQHQELSWKTYLQHSNNHYYYY >itb02g07430.t1 pep chromosome:ASM357664v1:2:4604950:4608285:1 gene:itb02g07430 transcript:itb02g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQSLKPRKPKFREVSSRFLSPTSNSSSANQINAMSSPNHVLSPVKQKPRSSTDSRKHKGLEKSGFMAKLWPSSAPSSSKVDTLGNHLGHERLKELEEDKNGDRADNNPMFLNRQNSCLEFSRFEREKDKGAKENHRPSGGSMSMRYTGKFMLPGRSSTSSSSSSSSSSKSSNLLEDYSDRDRILPGRFSVDENTLQGKKRSDFLSDLQAYSESESTEFFSSNNFGSPVVGKNNIPSYMAPTLSSRKAGIEVPSKYMQDLPSKSRRWSSDSGAQNAAVSAEYSPKKASLKTAIKRTNSLGTTSSKCSASPGRSNSPPVLAENKGKLAGISTSKPPTSPSRVKGVGSFLSMGLELLKVKKSSSSSSASSPLGPGTSESVHHMRLFHNRLMQWRYANARSDVVNDNIIRQAKNNSIYAWDGLVKLHHSVMQKKLQLQKEKMEMKLTYILHSQIKLLEAWGDMDRQHLSAISMTKDSLNSVVCSVPLVQGAKVEPQSTSIALRHASEVAASIKLMLSAFSPGAEKTSEVLKEIAEVVTQEKLLLEECLELFKTISALEIQERSLKCNIVQLRLVEDEYQFQHQELSWKTYLQHSNNHYYYY >itb05g26370.t1 pep chromosome:ASM357664v1:5:30300147:30302716:1 gene:itb05g26370 transcript:itb05g26370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQENTNWLYDYGFEDISVADANFSVSGSGFSWSAQTLNGSASVSAELDGSCGDNDGSKETGSKKRSRTELCASSSSKACREKLRRDRLNDRFTELSALLEPGKLPKTDKSAILVDAVRVVTQLRNEAQKLKDSNLNLQEKIKELKAEKIELRDEKQRLKAEKEKLEQQLKTMNAQPGFLPPAIPAAFAAQGQVAGSKLVPVISYPGVAMWQFLPPAAVDTSQDHVLRPPVA >itb02g09300.t2 pep chromosome:ASM357664v1:2:5943065:5943384:-1 gene:itb02g09300 transcript:itb02g09300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKANESAASVQPQAFIASSRLSPSLTSGSCFPPDRRASDPVCCHRPSFTAFNQSAAVVAHTRSLQP >itb02g09300.t1 pep chromosome:ASM357664v1:2:5942723:5943489:-1 gene:itb02g09300 transcript:itb02g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANESAASVQPQAFIASSRLSPSLTSGSCFPPDRRASDPVCCHRPSFTAFNQSAAVVAHTRSLQP >itb09g09740.t1 pep chromosome:ASM357664v1:9:5980031:5980934:-1 gene:itb09g09740 transcript:itb09g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRKVGVAMDFSKSSEAALKWAIDNLADKGDTFYIIHINTHASDGSRNKLWSHSGCPLIPLSEFREPDLMKKYNVQPNIEVLDLLDTATRQKEITVVAKLYWGDAREKLCDSIEDLKLNSLVMGSRGLSPLKRVIMGSVTDYLLINATCPVTVLKHPDFHKH >itb09g26250.t2 pep chromosome:ASM357664v1:9:26665535:26671680:-1 gene:itb09g26250 transcript:itb09g26250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MGRRDGKKHSGFPADNSAEYLSGGKTADGNFKAQKSSKHQKGTSYTPFVRNQIDPETEKYFAEITNVIEGKEIDLEERSVICGNALEETRGKEVELATDYVISHTLQILLEGCSLDHLCGFLHSCAKNFSRIATDRSGSHVAETALKSLAVHLQDCEQLSLIEETLRKICEEIIVNPVDIMCNCYGSHVLRSLLCLLNGVPLEQFHSTKSAVVLAERMNFKAPRSEGNKLSYSNQSFPDLLKYLVSEMLNTTREDISNLSMDQYCSLALQTALKLLVGNEPELLHIIQILLGCNMEMDGNFIGRPRLQEILKQVEEPAYSHLFEALASHAKCSEHIDLIWGELGTKFKDLLEMGRSGVVASLVAATQKLHHCEEECCQALASAVCVENEPLKCIIPRILFLDNYFRSEDKSNWSWPNGTRIHVVGSLILQSIFRFPSELIKAFISGITSLEEHQLLEASKDPSGSRVIEAFLNSNVSAKHKRKLLIKLQGHFGELSVHPLGSFMIEKCFNASNLPLREKIISEMLPLQAELSRTKQGPYLLRRFDIDGYAKQPDIWKSRQASQQSARQEFYAEFGATGTKSRKDSFLADTHKSQPEKLKEMRKEIESSFASSRTSSTPFLAYQGSKAKTQKSAKKQPSESGGFAKLSVDDDCLKGKNKKRRTEKGNDGIDRTHAGGSEYDEPSKSGDKNGKKRQRREEKEKRKRKDENSKSSKKKKNVEG >itb09g26250.t5 pep chromosome:ASM357664v1:9:26665535:26671680:-1 gene:itb09g26250 transcript:itb09g26250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MCNCYGSHVLRSLLCLLNGVPLEQFHSTKSAVVLAERMNFKAPRSEGNKLSYSNQSFPDLLKYLVSEMLNTTREDISNLSMDQYCSLALQTALKLLVGNEPELLHIIQILLGCNMEMDGNFIGRPRLQEILKQVEEPAYSHLFEALASHAKCSEHIDLIWGELGTKFKDLLEMGRSGVVASLVAATQKLHHCEEECCQALASAVCVENEPLKCIIPRILFLDNYFRSEDKSNWSWPNGTRIHVVGSLILQSIFRFPSELIKAFISGITSLEEHQLLEASKDPSGSRVIEAFLNSNVSAKHKRKLLIKLQGHFGELSVHPLGSFMIEKCFNASNLPLREKIISEMLPLQAELSRTKQGPYLLRRFDIDGYAKQPDIWKSRQASQQSARQEFYAEFGATGTKSRKDSFLADTHKSQPEKLKEMRKEIESSFASSRTSSTPFLAYQGSKAKTQKSAKKQPSESGGFAKLSVDDDCLKGKNKKRRTEKGNDGIDRTHAGGSEYDEPSKSGDKNGKKRQRREEKEKRKRKDENSKSSKKKKNVEG >itb09g26250.t1 pep chromosome:ASM357664v1:9:26665477:26671709:-1 gene:itb09g26250 transcript:itb09g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MVSIGRGALLLRNNKAHNLTEEGLTLQDDISFNQYKKKRNMGRRDGKKHSGFPADNSAEYLSGGKTADGNFKAQKSSKHQKGTSYTPFVRNQIDPETEKYFAEITNVIEGKEIDLEERSVICGNALEETRGKEVELATDYVISHTLQILLEGCSLDHLCGFLHSCAKNFSRIATDRSGSHVAETALKSLAVHLQDCEQLSLIEETLRKICEEIIVNPVDIMCNCYGSHVLRSLLCLLNGVPLEQFHSTKSAVVLAERMNFKAPRSEGNKLSYSNQSFPDLLKYLVSEMLNTTREDISNLSMDQYCSLALQTALKLLVGNEPELLHIIQILLGCNMEMDGNFIGRPRLQEILKQVEEPAYSHLFEVIIEVAPETIYKELLQRVFKNSLFQMSSHHCGNFVVQALASHAKCSEHIDLIWGELGTKFKDLLEMGRSGVVASLVAATQKLHHCEEECCQALASAVCVENEPLKCIIPRILFLDNYFRSEDKSNWSWPNGTRIHVVGSLILQSIFRFPSELIKAFISGITSLEEHQLLEASKDPSGSRVIEAFLNSNVSAKHKRKLLIKLQGHFGELSVHPLGSFMIEKCFNASNLPLREKIISEMLPLQAELSRTKQGPYLLRRFDIDGYAKQPDIWKSRQASQQSARQEFYAEFGATGTKSRKDSFLADTHKSQPEKLKEMRKEIESSFASSRTSSTPFLAYQGSKAKTQKSAKKQPSESGGFAKLSVDDDCLKGKNKKRRTEKGNDGIDRTHAGGSEYDEPSKSGDKNGKKRQRREEKEKRKRKDENSKSSKKKKNVEG >itb09g26250.t4 pep chromosome:ASM357664v1:9:26665535:26671680:-1 gene:itb09g26250 transcript:itb09g26250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MCNCYGSHVLRSLLCLLNGVPLEQFHSTKSAVVLAERMNFKAPRSEGNKLSYSNQSFPDLLKYLVSEMLNTTREDISNLSMDQYCSLALQTALKLLVGNEPELLHIIQILLGCNMEMDGNFIGRPRLQEILKQVEEPAYSHLFEVIIEVAPETIYKELLQRVFKNSLFQMSSHHCGNFVVQALASHAKCSEHIDLIWGELGTKFKDLLEMGRSGVVASLVAATQKLHHCEEECCQALASAVCVENEPLKCIIPRILFLDNYFRSEDKSNWSWPNGTRIHVVGSLILQSIFRFPSELIKAFISGITSLEEHQLLEASKDPSGSRVIEAFLNSNVSAKHKRKLLIKLQGHFGELSVHPLGSFMIEKCFNASNLPLREKIISEMLPLQAELSRTKQGPYLLRRFDIDGYAKQPDIWKSRQASQQSARQEFYAEFGATGTKSRKDSFLADTHKSQPEKLKEMRKEIESSFASSRTSSTPFLAYQGSKAKTQKSAKKQPSESGGFAKLSVDDDCLKGKNKKRRTEKGNDGIDRTHAGGSEYDEPSKSGDKNGKKRQRREEKEKRKRKDENSKSSKKKKNVEG >itb09g26250.t3 pep chromosome:ASM357664v1:9:26665535:26671680:-1 gene:itb09g26250 transcript:itb09g26250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MGRRDGKKHSGFPADNSAEYLSGGKTADGNFKAQKSSKHQKGTSYTPFVRNQIDPETEKYFAEITNVIEGKEIDLEERSVICGNALEETRGKEVELATDYVISHTLQILLEGCSLDHLCGFLHSCAKNFSRIATDRSGSHVAETALKSLAVHLQDCEQLSLIEETLRKICEEIIVNPVDIMCNCYGSHVLRSLLCLLNGVPLEQFHSTKSAVVLAERMNFKAPRSEGNKLSYSNQSFPDLLKYLVSEMLNTTREDISNLSMDQYCSLALQTALKLLVGNEPELLHIIQILLGCNMEMDGNFIGRPRLQEILKQVEEPAYSHLFEVIIEVAPETIYKELLQRVFKNSLFQMSSHHCGNFVVQALASHAKCSEHIDLIWGELGTKFKDLLEMGRSGVVASLVAATQKLHHCEEECCQALASAVCVENEPLKCIIPRILFLDNYFRSEDKSNWSWPNGTRIHVVGSLILQSIFRFPSELIKAFISGITSLEEHQLLEASKDPSGSRVIEAFLNSNVSAKHKRKLLIKLQGHFGELSVHPLGSFMIEKCFNASNLPLREKIISEMLPLQAELSRTKQGPYLLRRFDIDGYAKQPDIWKSRQASQQSARQEFYAEFGATGTKSRKDSFLADTHKSQPEKLKEMRKEIESSFASSRTSSTPFLAYQGSKAKTQKSAKKQPSESGGFAKLSVDDDCLKGKNKKRRTEKGNDGIDRTHAGGSEYDEPSKSGDKNGKKRQRREEKEKRKRKDENSKSSKKKKNVEG >itb05g17300.t1 pep chromosome:ASM357664v1:5:24286027:24288949:-1 gene:itb05g17300 transcript:itb05g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAMMNHSDEDYDMEFSSRSVDGSSQKVKVEGMSGDRKANAVRSKHSETEQRRRSKINERFQILRDLIPENDNKRDKASFLLEVIQYIQFLHGRIQMYEGGCQDWSQEPSKLMPWRSSSGAVGHSELIRNGSAHEDNGVVDQKILANTHESIESDPSAEALYKTMDDLQRLTNEAISFGMQMQPSFFEGSSVQLSPGSSSIEHLASQSQLFYWPDEQNETQRAAPGCSQNDQEEPETGGGEAGISNEFSQRLMNTLNQTLASLGVDMLQANVSVQLDIGKHTDTSTGADLTRLSSRTTWDQPHKKLRKEQS >itb11g10400.t1 pep chromosome:ASM357664v1:11:7322712:7323686:-1 gene:itb11g10400 transcript:itb11g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPGLPNDVAFECLIRISFDQFPKAASVSKAWNAVIKQPEFHRRRKVSGLTGPVIVMAQPMDGLIKSNLLPVYRLTLFEPVKRRWRNFPPVPEMVEGMPLFCQVVGIGPELLVIGGINPVTCRVQNSVFIYNFLSATWRGGADMPGNKDRRFFGCAASEEDGTVVVAGGNNWYNSLKSTLAYDVARDTWTKLPDMSIGRNNCGCVFHRGKFHVLGGFNMDTQDDSKLPVETLDLVTRQWQVINDYAIISPQATYVEIGGVIYTIKGKRDVVALEDATWVFVSRVPNDTQRVVYVTGWQGKIMVIIENAKNGRAFFFFLKTKW >itb05g10260.t1 pep chromosome:ASM357664v1:5:15385140:15386540:-1 gene:itb05g10260 transcript:itb05g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILNLQHPPPLISWGTPHSSQSKNRVIAFTTPQNYAARLSDLIHLKGWTPLWCPTVIVEPTPQTISSIRSHISPNPNPLLEDFSAIAFTSRTGISAFSEALSSIGTYPLTPTGEILVISALGKDLELLDESFISRICENPERIRVVAPRIATPTGLVEALGDGEGKKKVLCPVPLVVGLEEPPVVPAFLKDLAKKGWVPVRVNAYETRWGGAACAAEVVRRSGEECGIDAMVFSSTGEVEGLLKSLKEYGLDWETVRRMYPGLVVAAHGPVTASGAERLGVGIDVVSSRFDSFDGVVHALAHQWEYLDS >itb05g10260.t2 pep chromosome:ASM357664v1:5:15385140:15386540:-1 gene:itb05g10260 transcript:itb05g10260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIILNLQHPPPLISWGTPHSSQSKNRVIAFTTPQNYAARLSDLIHLKGWTPLWCPTVIVEPTPQTISSIRSHISPNPNPLLEDFSAIAFTSRTGISAFSEALSSIGTYPLTPTGEILVISALGKDLELLDESFISRICENPERIRVVAPRIATPTGLVEALGDGEGKKKVLCPVPLVVGLEEPPVVPAFLKDLAKKGWVPVRVNAYETRWGGAACAAEVVRRSGEECGIDAMVFSSTGEVEGLLKSLKEYGLDWETVRRMYPGLVVAAHGPVTASGAERLGVGIDVKHYFFPTYE >itb03g07760.t1 pep chromosome:ASM357664v1:3:5786739:5789286:1 gene:itb03g07760 transcript:itb03g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSEKERELECLIPVVGSDLDSPPSSKFSDSPAASSSHSAGREAFSKVIRSWAWKKFMTGCVILFPIAITFYITWWFIYFVDSFFSPIYTHLGINVFGLGFVTSITFIFLVGVFMSSWLGTSLLGIGEWMIKKMPLVSYVYSASKQISGAISPDQNTNAFKEVAIIKHPRVGEYALGFITSTLMLQKSSGAEELCCVYVPTNHLYLGDIFLVNSNNIMRPNLSVREAIEIVISGGLSIPKVLTIVDLQYMLSTRVGKFSIPEV >itb03g07760.t2 pep chromosome:ASM357664v1:3:5787738:5789286:1 gene:itb03g07760 transcript:itb03g07760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIMVSRGILDTTLHHFRKVPFGTLALSTSDRFLTLFCSVILFPIAITFYITWWFIYFVDSFFSPIYTHLGINVFGLGFVTSITFIFLVGVFMSSWLGTSLLGIGEWMIKKMPLVSYVYSASKQISGAISPDQNTNAFKEVAIIKHPRVGEYALGFITSTLMLQKSSGAEELCCVYVPTNHLYLGDIFLVNSNNIMRPNLSVREAIEIVISGGLSIPKVLTIVDLQYMLSTRVGKFSIPEV >itb03g16770.t1 pep chromosome:ASM357664v1:3:15598937:15602002:1 gene:itb03g16770 transcript:itb03g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWLFTLICTLSVLFFLKSLIALFFSGKPNKKLPPGPFTVPLIGNFLWLRRSFFLEPILRDLKSKYGPLITLKVGARPAIFIANHSLAHQALVQNGAVFSDRPESAPATRLLNSNQRNISSAAYGPTWRLLRRNLTSEILHPSRVKSYSNARQWVLGVLVKKLSNDSSESGVKVIDHFQYAMFCLLVLMCFGDKLEEQQIRQIEDIQRKMILSFPRFNILNFWPSIGKILFRNRWKELKQMALDRENTLTPFIKARIDAKERAMEGENEGSEVLAYVDTLIKLELPEEKRKLSYGEMATLCGEFLNAGTDTTSTALQWIMANLVKHPSIQAKLYEEILGVIGAAEEEGVKEEDLQRMPYLKAVVLEGLRRHPPAHFVLPHSVTEEVELEGYVVPKNAVINFMVADLGWDPKVWEDPMEFKPERFLSESSGGEAFDITGSREIKMMPFGAGRRICPGLALALLHLEYFVANLVWFFEWKGVEGDDVDLAEKQEFTIVMKHPLRAHLNPRNKLI >itb03g16770.t2 pep chromosome:ASM357664v1:3:15598937:15602002:1 gene:itb03g16770 transcript:itb03g16770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENWLFTLICTLSVLFFLKSLIALFFSGKPNKKLPPGPFTVPLIGNFLWLRRSFFLEPILRDLKSKYGPLITLKVGARPAIFIANHSLAHQALVQNGAVFSDRPESAPATRLLNSNQRNISSAAYGPTWRLLRRNLTSEILHPSRVKSYSNARQWVLGVLVKKLSNDSSESGVKVIDHFQYAMFCLLVLMCFGDKLEEQQIRQIEDIQRKMILSFPRFNILNFWPSIGKILFRNRWKELKQMALDRENTLTPFIKARIDAKERAMEGENEGDNATLGSEVLAYVDTLIKLELPEEKRKLSYGEMATLCGEFLNAGTDTTSTALQWIMANLVKHPSIQAKLYEEILGVIGAAEEEGVKEEDLQRMPYLKAVVLEGLRRHPPAHFVLPHSVTEEVELEGYVVPKNAVINFMVADLGWDPKVWEDPMEFKPERFLSESSGGEAFDITGSREIKMMPFGAGRRICPGLALALLHLEYFVANLVWFFEWKGVEGDDVDLAEKQEFTIVMKHPLRAHLNPRNKLI >itb03g16770.t3 pep chromosome:ASM357664v1:3:15598937:15602002:1 gene:itb03g16770 transcript:itb03g16770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENWLFTLICTLSVLFFLKSLIALFFSGKPNKKLPPGPFTVPLIGNFLWLRRSFFLEPILRDLKSKYGPLITLKVGARPAIFIANHSLAHQALVQNGAVFSDRPESAPATRLLNSNQRNISSAAYGPTWRLLRRNLTSEILHPSRVKSYSNARQWVLGVLVKKLSNDSSESGVKVIDHFQYAMFCLLVLMCFGDKLEEQQIRQIEDIQRKMILSFPRFNILNFWPSIGKILFRNRWKELKQMALDRENTLTPFIKARIDAKERAMEGENEGDNATLEVLAYVDTLIKLELPEEKRKLSYGEMATLCGEFLNAGTDTTSTALQWIMANLVKHPSIQAKLYEEILGVIGAAEEEGVKEEDLQRMPYLKAVVLEGLRRHPPAHFVLPHSVTEEVELEGYVVPKNAVINFMVADLGWDPKVWEDPMEFKPERFLSESSGGEAFDITGSREIKMMPFGAGRRICPGLALALLHLEYFVANLVWFFEWKGVEGDDVDLAEKQEFTIVMKHPLRAHLNPRNKLI >itb02g11250.t1 pep chromosome:ASM357664v1:2:7490367:7492795:1 gene:itb02g11250 transcript:itb02g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKSIPKVTDYNDAAERNRKTLQFIEEVTTNADEVQRKVLSEILSRNAHVEYLQRHGLFGHTDRDTFKKTMPVITYEDIQPDITRIANGDKSPILCSHPITEFLTSSGTSGGERKLMPTIADEMGRRSLLYSLQMPIMSQFLPGLEKGKGMYFLFVKSEAKTPGGLLARPVLTSYYKSSHFTNRTPDPYTNYTSPNETILCSDSYQSMYSQMLCGLCLHKEVVRVGAVFASGFIRAIRFLEKHWAPLCHDIRSGTLSPEITDPSVREAVMKVLLKPDPDLADFVQGECKKECWKGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSDGLPLVCAMYASSECYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPVHRNNEKEQQELVDLTDVKLGQEYELVVTTYTGLYRYRVGDVLRVAGFKNKSPQFNFVCRKNVVLSIDSDKTDEVELQNAVKNAVTHLIPFDARLTEYTSYADTATIPGHYVLFWELSVSACGSTPVPPSVFEDCCLTVEESLNSVYRQGRVSDKSIGALEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRVVRSYFSPKCPKWVPGQKQWSNKMD >itb04g10650.t2 pep chromosome:ASM357664v1:4:10188495:10190663:-1 gene:itb04g10650 transcript:itb04g10650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGDPRSWHFSSQSTPFGIELRIALSTTYKEHSPRALITVGLLDASSAGLPIYMALVNLLAADFTGPKMYRSIKLQIKSLVVVLLGTGGMFFMAKWA >itb04g10650.t1 pep chromosome:ASM357664v1:4:10188495:10191646:-1 gene:itb04g10650 transcript:itb04g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANKSGSLEKDVQGTDGDGVTFVEEEIDVAFDENSTPFGIELRIALSTTYKEHSPRALITVGLLDASSAGLPIYMALVNLLAADFTGPKMYRSIKLQIKSLVVVLLGTGGMFFMAKWA >itb12g25610.t2 pep chromosome:ASM357664v1:12:26808427:26810274:-1 gene:itb12g25610 transcript:itb12g25610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSFB2A [Source:Projected from Arabidopsis thaliana (AT5G62020) UniProtKB/TrEMBL;Acc:A0A384KDZ5] MAPPLPPVERNGGETTAGGEAQRSVPTPFLTKTFQLVDDQSIDDVISWNEDGSSFIVWNPTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLCDIQRRKVAAPAVAVPCTAVTAAPVTVTVTATPVAAVTPQPPKTVSPSNSGEEQVVSSNSSPGTAAMDTSQGGSTAELIGENERLRKENIELNKELSQMKSLCNNIYVLMSNYSGSNANSSNQSAESSLQSLKPLDLMPATGCGGENQPDPEETRARLFGVSIGSKRVREGEDGSAEQDHDLRLQQPGTDVKSEPSDPENGEDNEESPWLRQCHRQNQRVCN >itb12g25610.t1 pep chromosome:ASM357664v1:12:26808427:26810274:-1 gene:itb12g25610 transcript:itb12g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSFB2A [Source:Projected from Arabidopsis thaliana (AT5G62020) UniProtKB/TrEMBL;Acc:A0A384KDZ5] MAPPLPPVERNGGETTAGGEAQRSVPTPFLTKTFQLVDDQSIDDVISWNEDGSSFIVWNPTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLCDIQRRKVAAPAVAVPCTAVTAAPVTVTVTATPVAAVTPQPPKTVSPSNSGEEQVVSSNSSPGTAAMDTSQGGSTAELIGENERLRKENIELNKELSQMKSLCNNIYVLMSNYSGSNANSSNQSAESSLQSLKPLDLMPATGCGGENQPDPEETRARLFGVSIGSKRVREGEDGSAEQDHDLRLQQPGTDVKSEPSDPENGEDNEESPWLRQCHRQNQRVCN >itb05g00270.t1 pep chromosome:ASM357664v1:5:202653:203735:-1 gene:itb05g00270 transcript:itb05g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQVPYEAPGPGYEEPPPTHVHHHHHHQYPQAEEGPPPPGYYEPSPPPPPLVEAPEDDEHHGFCSCLKRCLSCLLCCWCC >itb07g03950.t1 pep chromosome:ASM357664v1:7:2651271:2655918:-1 gene:itb07g03950 transcript:itb07g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGVRFVVFVLYVFWTRIDGGDSLSTDGLSLLSLKSAVDGGGGAAFSDWNENDDSPCRWTGVSCMNVSGSSEPRVVGISVSGQNLRGYIPSELGSLVYLRRLNLHGNNFYGSIPEPLFNATSLHSVFLYDNNISGTLPPSICNLPRLQNLDLSNNSISGGFYRDLRNCRQLQRLILAKNKLSGEIPAGVFPELANLEQLDLSSNSFTGPIPDDIGELKSLSGTLNLSFNHFTGKIPKSLGDLPLTVSFALRDNNLTGEIPQTGSFANQGPTAFLNNPMLCGFPLQKPCKNGSENSSGSHGSSQENPKSHSRKGLRAGSIILICLADAAAVAFIGLVIVYLYWKKKDTGGCSCTGKEKFGGGEKRSSLCAFPCVGGIPSNDSEVESEKGPANGGSGPEGDLVAIDKGFTFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEQRYKEFVAEIQAIGRVKHPNIVKLRAYYWAPDEKLLISDFISNGSLASALHGRNGQPLPNLTWSTRLKIAKGTARGLAYLHECSPRKFVHGDIKPSNILLDRDFQPYISDFALNRLINITGNNPSSSGGFMGGALPYLKPAAQPDRTNNYQAPEARAQPATRAAQKWDVYSFGVVMLELLTGKSPDLSPSTTSTSAEIPNLVKWVRKGFEEENPLSDMVDPMLLQEVHAKKEVLAMFHVALACTEEDPEIRPRMKTVSENLEKIGA >itb02g16270.t1 pep chromosome:ASM357664v1:2:12110535:12114766:1 gene:itb02g16270 transcript:itb02g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVLPKHVAAVIRCQKNPLKALEMFNAVKKDDGFKHNLFTYKCMIEKLGCHGEFEAMEHLVEEMRKISDNGLLEGVYISVIKSYGKKGRIQEAVDVFERMDFYSCEPSVQSYNAIMNILVEYGYFNQAHKVYMRMRDKGITPDVYTFTIRMKSFCRTNRPHVALRLLHNMLSQGCVFNAVAYCTVIGGFYDVNCQVEANELFEEMLGIGIIPNVTTFNKLIHTLCKKGDVQESGRLLNKVFKRGVFPNLFTFNIFIQGLCRNGKLDEAVKMLDSAERGGFTPDVVTYNTIICGLCKSLKVVEAESFLFKMVNSGFKPDAFAYNTIIDGYCKLGMIQNADKVLNYAAYKGFVPDQFTYISLIYGSCENGDTDRAISLFHEATSKGIKPNVILYNTLIKGLSRHGLILEAFQFMNEMPEKGCNPDTWTYNTIINGLCKMGCVSDATDVMNIAMNKGILPDIFTFNTLIDGYCKLSKLADAIEILNTMWEHGIAPDVITYNTVLNGLCKASSSDEVMEMFKVMVEKGCDPNIITYNILMESLCRSRKFTRAFDLLEEIESKGLSPDVVIFGTLINGFCENGDLDGGYKLFRRMERQYKLSQTTATYNIMINAFSEKLEMDMTELLFSEMSKTGCVPNNYTYRCMIDGFCKKGDCDSSYRFLLDNIEKELLPSLPTFGQVINCLCMKHRLREAVSTIRLMVHKGIVPDAVHTIFEADKKNVAAPKIVLEDLLKNNHINYYAYELLYDAIRDKKLMKPRHKRSKFAAANDELLDYRERVHL >itb01g31540.t1 pep chromosome:ASM357664v1:1:35239944:35242039:1 gene:itb01g31540 transcript:itb01g31540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISGEGLKPHAVCIPFPAQGHINPMLKLAKILNHKGFHITFVNSEFNHRRLLRSRGPESVKGLPSFRFETIPDGLPPSDVDATQDIASLCEAVTQNCLGPFKDLIARLNESGPPVSCIVSDGGMFFTLDAAEEFGIPEILFWSCGAGGFLGFVLYRLVIDKGYSPLKDSSYLENGYLETVLDWAKGMPGVRLRDLPSFIRTTNPDDPIVKYIVLITERAKSASAIMVNTFDALEQEALNGLQSMLPPVYAAGPFQFFEQQVEDSGVRALGLSLWKEDTSCVEWLNTNDPNSVVYVNFGSITVMTADQLVEFAWGLANSKKPFLWIIRPDLVSGEEAVLPREFVEETRERGMLASWCPQEQVISHPAVGGFLTHSGWNSTLESICSGVPMICWPFFADQQTNCWYCCTQWGIGMEIDTDVKRHEVERLVRELMGGEKGHEMRRKAMELKKLAEDAATAPTGSSYVNMVEVINKFLSPN >itb10g01510.t1 pep chromosome:ASM357664v1:10:1153253:1153954:1 gene:itb10g01510 transcript:itb10g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVQPACPDRIKPHNQFPVSLCKAISAARSPSFPSSSALLVQIVVIVASIRLSLPFLHLWVLPGKAKFAERSGESVTPNRTLVSTGGNFALGFFRPGNSSSSFLGIWYNTDNNTVIWVANRDSPLPRDSEALLKLGDDGNLVLLGGRGNTNTIWSTNISGGGFAGNSSVALLLDSGDLIVKQGVGRALMVTVMH >itb04g06550.t1 pep chromosome:ASM357664v1:4:4250251:4255449:1 gene:itb04g06550 transcript:itb04g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQHPASPGGGSHDSGEHSPGPRSNIREQDRFLPIANISRIMKKALPASGKIAKDAKETVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREGDTKGSAKASDGSSRDAVPNPNSQGQHIAVPMRGME >itb14g19280.t1 pep chromosome:ASM357664v1:14:21981128:21982740:-1 gene:itb14g19280 transcript:itb14g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSFSSENQDLFARRCVWVNGPVIVGAGPSGLAVGACLKEQGVPFVILERADCIASLWQNRTYDRLKLHLPKQFCQLPKFPFPDHYPEYPDKKQFVRYLESYAKNFDLKPQFNECVMSAKFDEACKVWRVKTTAAAAGGEEVEYICQWLVVATGENAERVVPEIDGLKDFDGEVIHACDYKSGEKFRGRKVLVVGCGNSGMEVSLDLCNHDAKPAMVCRSSVHVLPREIMGKSTFELAVLMMKWIPLWLVDKILLVLAWIILGNIEKYGLKQPKTGPLELKNTQGKTPVLDIGALEKIRSGKVQVVPGIKNFSAGTVELVTGERLEIDSVVLATGYRSNVPYWLQESEFFSKNGYPNAPFPNGWKGKSGLYAVGFTRRGLSGASADAVKIAQDISKVYKEDLKQKKQKVPTHRRCISTF >itb11g01750.t1 pep chromosome:ASM357664v1:11:878727:879332:1 gene:itb11g01750 transcript:itb11g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRRLTSAIDSYGDCPERVCTSSCLQWCINILPPPSPDEISGDDSGPNFSPLIITIIVILATTLLVVTFYTVFTKYCRRRLRTASSADVEANRGDAPPRDQRRVASSAVAVKNFKVFKYRKGVGDVEGSECSVCLGEFLEGESLRLLNNCSHAFHLHCIDTWLKSKPSCPICRANVSLDPPPPPPAARPPAPTARNNTD >itb03g26850.t1 pep chromosome:ASM357664v1:3:26487302:26489709:-1 gene:itb03g26850 transcript:itb03g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSTSTFTSVPTSSNLCPKLSSIGTHPDTVCRLLFTGVDKKSKYNIQKAPSFVSLSVKSSQSFSKTRKGREVGSNSRKAGGGYGKLLSEGRDEDEKYGQVCPGCGIFMQDEDPNLPGYYKKRTVGHLTIDVEDNEGFLDDEDDEEFGYIEGEVQFGDYIEGTMEEMDSGNEAGIDWDSEEWESELETEDDDLEELDGFAPAGLGYGNITEEILERRKKKRVSKAERKMLAREAARMEKEEVTVCARCYSLRNYGQVKNQVAENLIPDFDFERLITTRLMKPTGNADATVVVMVVDCIDFDGSFPKRAANSLFKALERSKEGLKQSKKLPKLVLVATKVDLLPSQVSPTRLDKWVRHRAKANGAPKLSGVYLVSARKDLGVRNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKKEGVKATKLTEAPVPGTTLGILRIGGVLSAKAKMYDTPGLLHPYLLSMRLNRDEQKMVEIRKELQPRSYRIKPGQTVHVGGLVRLDLNSASVSTIYVTVWASSSVSLHLGKTENADDIWNKHCGIRLQVNTLVL >itb05g05530.t1 pep chromosome:ASM357664v1:5:5267967:5272322:-1 gene:itb05g05530 transcript:itb05g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVSQRVVEAVPPRRQVAERRPSFGKRLETIEEEESDVQYYSHHQSYGNQKTPFLFQSSSSSSSAKENFGDIFAKLQELEDKVHALEEGLDHVKRCLETGRSNDNETGRSNDNETRRSNDDETRMSSNDNVTESNHRSPANQSCQCNLPKHLRLQLLYLNPTLNHFPHTTIALKPNLEANHEHIITFLKLLLSFHVGQHVPKDVDGGVPEYVQRHRCWL >itb04g03220.t3 pep chromosome:ASM357664v1:4:1992050:1994978:1 gene:itb04g03220 transcript:itb04g03220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGGGASLRTDIAEGPLDRKRINDALDKHLEKSSPSTSRALKDKAVSNATGKSLLLQQQQLLDARSSSTLTTKNKCSDDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDIFTEEQNELVESAAEMLYGLIHVRYILTTKGLGAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCSRCEDIYYPRSKYQGSILLMHWLFMFIVIVVIIIINFFLCLCFVF >itb04g03220.t2 pep chromosome:ASM357664v1:4:1992817:1994978:1 gene:itb04g03220 transcript:itb04g03220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFADESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDIFTEEQNELVESAAEMLYGLIHVRYILTTKGLGAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCSRCEDIYYPRSKYQGNIDGAYFGTTFSHLFLMTYGHLKPQKPSQSYIPKVFGFKLHKP >itb04g03220.t1 pep chromosome:ASM357664v1:4:1992035:1994985:1 gene:itb04g03220 transcript:itb04g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGGGASLRTDIAEGPLDRKRINDALDKHLEKSSPSTSRALKDKAVSNATGKSLLLQQQQLLDARSSSTLTTKNKCSDDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDIFTEEQNELVESAAEMLYGLIHVRYILTTKGLGAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCSRCEDIYYPRSKYQGNIDGAYFGTTFSHLFLMTYGHLKPQKPSQSYIPKVFGFKLHKP >itb03g16910.t1 pep chromosome:ASM357664v1:3:15670147:15672758:1 gene:itb03g16910 transcript:itb03g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMNNITMYYYFFLVLCLIVFILCKFIQRWRNHGCYILHYECFKPSDDRKIDTQISGDIIQRNKYLGPEEYRFLLRAVVNSGIGEETYAPRNIVEGRENCATLVDGIEEMEECFFDTLEKLFAKSEVSPAEIDVLVVNVSMLSPAPSLCSRIVRRFAMREDVRAFNLSGMGCSASLISVNLVENMFKCRRNIFAIVVTSESIAPFWYSGNEKSMMLANCLFRSGGCSILLTNRHNLRSKSIMKLKCSERTHLGENHEAFNCCMQKEDDNGRLGFYLSKTLPRAASRAFAENLRNLAPRILPFRQIVEHLARTCFHTLLTKTNISKKAIEGKVINFKSGVDHFCLHPGGSALIEGIKKNLGLSEYDVEPSKMTLSRFGNTSASSIWYVLGYMEAKKRLKKGDRVLMVSLGAGFKCNSILWEVMRDLGNDNNNDTIWKGFIDDYPPRGNTINPYLKNLGWLCQENPPQFRSIK >itb02g04550.t1 pep chromosome:ASM357664v1:2:2698576:2701746:1 gene:itb02g04550 transcript:itb02g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRSGSKQPPASSSSKAPPASSSSKTPPANSSSSSKPSPASSSSKPSLASSSSKPRTPASSSSKPRTPASSSSKTPVPASSSSKPRPPRPASPTSMPPPASSSSKLPAVGNIPKPPSELDGVKGTAKTCWVKFRKEATFALYTPFIVSLASGNLNSETFKQCIAQSIHFLKPFAQAFKLAERYAEDDDAKHGINELRKTALKKLNMHDYFVEEWDSDVDKDTPPNPATSKCTDFLLATASGKIDGMKAILSTPFEKTRLSGYILGAMTSCIRLYAYIGKELQGVVDHESYHRYRKFKNYSSGSFQDFSVQAEDLLDMLSVSFTLDELDIVERLYSRGIKHEINFFLAQPLTQKVVVPLSGEHNRDKRQLMIFSDFDLTCTFADSSAVLAELAILTARKSDQSQTENQIASHSDNLKKLWKLISEKYTDGYNQCIKNMLATEKVAAEKLNYEGLRKALEQLSDFEKEANFRVSKCRVLKGLKLENIQRAGELWTLQDGCLNFFQTIKNKENLNADVYMLSYCWCGYLIRSVLSKGGLNDLKVDANELEFEASLSTGVIVEKMQSPFDKVQAFGKILESCREGNDKKKPLTVYIGHALGDLLCLLEADVGIVVGSSPGLRSVGEHFGVRFIPLFNGVIDKQKEFGEVEEADTSSSSTWKGLSGILYTVSTWAEIHAFVIGS >itb06g20950.t1 pep chromosome:ASM357664v1:6:23714667:23730599:1 gene:itb06g20950 transcript:itb06g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRGGGGGQLSSETGIPRGLNRIKTRRLEPQDRPSSRAVLDSSQSPSYGASRPHLKRNQRAAAAKTRVRISSPREGFCKGRKIAGWLSSYFFKESDRPVRVFEGYRKLKENDKEGLSRNVDKMKQNTTGMESSVAGRWSKPSKGFKSFSHELGPKGGIQSMHPRAHSYSDLKELLGSLHSRFDAAKEVVNTELGHFSLEVVEILQKNDPLPQNETKIAKGLLILSQECIQMTCLEFRSKCEAIVQDLTGKRQQCQTGLLKWLLTRMLFILTRCTRLLRFVKDSEPVDETSLTKFKECLNRIPSVKMNWVVDGGIADLDNGNILNVNSDGKSKFDAENHSCILPLKNQLGSKVLVHENGTALEREYMANHQTSPSQDCNFEQLHQTDGRSLCEPVNKYTHDSFQEQQQGSDDSNFVMCRICEELVPTIHLEPHSYICAYADKCESKSLDVDERLLKFAELLEQLLESRSSSIHALPEWTENPKLQTTNFATASEGYSPKISEWRMKGVDGMFEDLHEMDTAGIEDSPLTNFLNLKGHLSSKLNHCGPPSSTGSMTSASSTNTPRTVNFDFFWLDHNNLSELEDIQQIFDLSDIARSVASTDISEEGCHEFLIACMQDLQEILQCSKLKALVVDTFGGRIENLLREKYILACDLVGRKNDIRNPEHLNLPPDDTFVNSLKSTPIHSLHRERTSIDDFEIIKPISRGAFGRVFLARKRTTGDLFAIKVLKKLDLLRKNDIERILAERNILITVRNPFVVRFFYSFTSRDYLYLVMEYLNGGDLYSLLRKVGCLEEDVARIYIAELVLALDYLHSLGIVHRDLKPDNILISQDGHIKLTDFGLSKIGLMNSTDDLSGPNMKEVPPSVSNSQLNPDTLDKTQRSAVGTPDYLAPEILLGTEHGSAADWWSVGIILFELITGVPPFTAEHPEIIFDNILNKEIPWPSIPGEMSFEAQDLIDRLLVHDPSQRLGANGASEVKEHRFFKGVDWDNLALQKAAFVPQPDSIDDTSYFVSRYNSDGARDDDDYDDSTSVISEPFSNSSLEKMDECGDLTEFDASPLDLSLMNFSFKNLSQLASINHEMLVQSGKDSSSTQTHFPHSHRHHHHLNREKRQLVLHRLQSSLDCDLLNFPTKSPETANSPMENTGNHQEIMVPPVEGVAGGGTAYGWTDSEVHDPRPLRGAIDPSKVPSSELVHVWCMPSTANVGQQDMPQRLEPISLLAARNERESIQVAIRPKVSWGGSGFAGTVQVQCTDLCSSSGDRLVVGQSLTLKRVVPVLGVPEALVPLDMPVSQLNLFPGETTSIWVSIDVPIAQPPGQYEGEIIISAIKAYTESTAQSLSKAEKHQLYRELRNCLDIVEPTEGKPMDEVVERVKSATSSLRSVLLSPTFSDLFSDNGPLDMMDEDAISNLSVRLKLSLTVWEFILPVTPSIPAVIGISDTVIEDRFGVEHGSNEWYEMLDQHFKWLLQYRISPYFCRWGDNMRVLTYTCPWQADHPKSDEYFSDPRLAAYAVPHSPVVSCGDTAKDYLQREVEILRTKPHWRKAYFYLWDEPLNLEQYDAVRNMASDIHAYAPDARILTTYYCGPSDAPLASNNFEAFLKVPEFLRPHTQIYCTSEWVIGNREDLAKDIIAEIQPENGEEWWTYVCLGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGQVFSNSQQPVASLRLERLLSGLQDIEYLKLYSSRFGREEALSLLEKTGTYLGPERYTLEHTPIDVMRAEIFRTCRS >itb06g20950.t2 pep chromosome:ASM357664v1:6:23714667:23730599:1 gene:itb06g20950 transcript:itb06g20950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRGGGGGQLSSETGIPRGLNRIKTRRLEPQDRPSSRAVLDSSQSPSYGASRPHLKRNQRAAAAKTRVRISSPREGFCKGRKIAGWLSSYFFKESDRPVRVFEGYRKLKENDKEGLSRNVDKMKQNTTGMESSVAGRWSKPSKGFKSFSHELGPKGGIQSMHPRAHSYSDLKELLGSLHSRFDAAKEVVNTELGHFSLEVVEILQKNDPLPQNETKIAKGLLILSQECIQMTCLEFRSKCEAIVQDLTGKRQQCQTGLLKWLLTRMLFILTRCTRLLRFVKDSEPVDETSLTKFKECLNRIPSVKMNWVVDGGIADLDNGNILNVNSDGKSKFDAENHSCILPLKNQLGSKVLVHENGTALEREYMANHQTSPSQDCNFEQLHQTDGRSLCEPVNKYTHDSFQEQQQGSDDSNFVMCRICEELVPTIHLEPHSYICAYADKCESKSLDVDERLLKFAELLEQLLESRSSSIHALPEWTENPKLQTTNFATASEGYSPKISEWRMKGVDGMFEDLHEMDTAGIEDSPLTNFLNLKGHLSSKLNHCGPPSSTGSMTSASSTNTPRTVNFDFFWLDHNNLSELEDIQQIFDLSDIARSVASTDISEEGCHEFLIACMQDLQEILQCSKLKALVVDTFGGRIENLLREKYILACDLVGRKNDIRNPEHLNLPPDDTFVNSLKSTPIHSLHRERTSIDDFEIIKPISRGAFGRVFLARKRTTGDLFAIKVLKKLDLLRKNDIERILAERNILITVRNPFVVRFFYSFTSRDYLYLVMEYLNGGDLYSLLRKVGCLEEDVARIYIAELVLALDYLHSLGIVHRDLKPDNILISQDGHIKLTDFGLSKIGLMNSTDDLSGPNMKEVPPSVSNSQLNPDTLDKTQRSAVGTPDYLAPEILLGTEHGSAADWWSVGIILFELITGVPPFTAEHPEIIFDNILNKEIPWPSIPGEMSFEAQDLIDRLLVHDPSQRLGANGASEVKEHRFFKGVDWDNLALQKAAFVPQPDSIDDTSYFVSRYNSDGARDDDDYDDSTSVISEPFSNSSLEMDECGDLTEFDASPLDLSLMNFSFKNLSQLASINHEMLVQSGKDSSSTQTHFPHSHRHHHHLNREKRQLVLHRLQSSLDCDLLNFPTKSPETANSPMENTGNHQEIMVPPVEGVAGGGTAYGWTDSEVHDPRPLRGAIDPSKVPSSELVHVWCMPSTANVGQQDMPQRLEPISLLAARNERESIQVAIRPKVSWGGSGFAGTVQVQCTDLCSSSGDRLVVGQSLTLKRVVPVLGVPEALVPLDMPVSQLNLFPGETTSIWVSIDVPIAQPPGQYEGEIIISAIKAYTESTAQSLSKAEKHQLYRELRNCLDIVEPTEGKPMDEVVERVKSATSSLRSVLLSPTFSDLFSDNGPLDMMDEDAISNLSVRLKLSLTVWEFILPVTPSIPAVIGISDTVIEDRFGVEHGSNEWYEMLDQHFKWLLQYRISPYFCRWGDNMRVLTYTCPWQADHPKSDEYFSDPRLAAYAVPHSPVVSCGDTAKDYLQREVEILRTKPHWRKAYFYLWDEPLNLEQYDAVRNMASDIHAYAPDARILTTYYCGPSDAPLASNNFEAFLKVPEFLRPHTQIYCTSEWVIGNREDLAKDIIAEIQPENGEEWWTYVCLGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGQVFSNSQQPVASLRLERLLSGLQDIEYLKLYSSRFGREEALSLLEKTGTYLGPERYTLEHTPIDVMRAEIFRTCRS >itb07g10940.t1 pep chromosome:ASM357664v1:7:12329220:12331564:1 gene:itb07g10940 transcript:itb07g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLIKTTVADASRDLISPLPVEIKHRILECLPTRDATRTALLSTHWNDVWLQHVRLAFDWEFSYSVEQGHYDKGKTLVDIINNILLSRAGPVKKFTLHIDEDYYRQSDLDSWCLFLSRNGVEELIIKFFNTGEDEYKLPFCILSCRTIKQLSVEVDFSSSIVGLEVPEAKSMNKEKPRYSNHITAIKPNTTKLRPSIVADTETLLDEKSHAHVPYAVSYMLVSPVDDVGSKVDYEIETRFSESHVKFLPKMEDRSKKECLP >itb09g13780.t1 pep chromosome:ASM357664v1:9:9020427:9021834:1 gene:itb09g13780 transcript:itb09g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGQIGQIVLPPPAILQKRPTYVVVEEDGTSLRVVQGPGHNRRASFGEVAGGTTADLAAVCCCCPCVLVNLVVLAVYKVPKGVARKALGSKRRRRLLRKAGRKPESQVSSSDDDSELQIYHIASSAASAVSGSLVSGSLVSSNLVSSTMDSDKDVVELEKEMWEKFYGAGFWRSYSQRSDITELSR >itb08g03430.t1 pep chromosome:ASM357664v1:8:2821317:2826495:-1 gene:itb08g03430 transcript:itb08g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLNPKRVTWASDVNLCQVRLFLSEESPSQVGLGAQDHLQAKSSWTSQTSGVTSDDNLPPGFEGAQASNLWRTKLAQIPLVKWELPTRFILEPNWRVVAGEESQEVEVQKQREMRVLEAIYPRPSAIPLNPSVPAENFVHNDQQTPLIPITPIEEEDGGDTSQDAVAPNANLMEAGAASSHGPSNNLPVNGITATGAAPSLEPDVLAVAQAALSAIMANDQGNLIDRELLMKILGDPQIIGQLVRHHGGGGGGGGGGGGTLQSMPAAATTQARPATSVPSMPAMGVHNMPATAMQNMPNMRPPGFGFSTPTPPPINRVDPSSAHGNRPDLVPPSIPGTSTAPFNPPPGRIGSIPNMRPPMPDVLSTSTSSVRAPLQVTPSTSSSSGAPAKDINYYKSLIQQHGGERQDAPLPPPFSNRSNHHIDSVQEQPINSRSRDSKPKIMKPCIYFNSSRGCRHGVNCAYLHDGAPSQQRVANMPEVQNAKRMKMDREITGT >itb11g09780.t1 pep chromosome:ASM357664v1:11:6639119:6640471:1 gene:itb11g09780 transcript:itb11g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPIIPLFFLVAVTAWAVTATEQSKNIRQVVEIHRLRPQTGSAGHRVPQIDCLSWRLGVETNNIRDWKLVPSECENYVGHYMLGQQYRDDCEVVAEAAIEYANGLTLSGDGKDIWVFDIDETTLSNLPYYARSDVAFGAVPYNDTKFDEWVNEGKAPAIPASLRLYNTVLSLGIKPVFLTGTKEAFREVRTANLKEAGYYNWEKLILKGVNDTGSAVEYKSGKRTELVNAGYKIVGNIGDQWSDLLGVDVGDRTFKLPDPMYYIG >itb02g06210.t1 pep chromosome:ASM357664v1:2:3865153:3867415:-1 gene:itb02g06210 transcript:itb02g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKKAIGAVKDQTSISIAKVYGNNSSNLEVAVLKATTHDDDAVDERYVYEVLHLVSANKVYAAACARAIGKRIGRTRNWVVALKSLMLVLRIFQDGDPYFPREVLHAMKRGAKILNLSSFRDDSHCSPWDFTSFVRTFALYLDERLDCFLTGKLQRRYTYRPEKEDSMGRSRKVNVPVRELKPAMLLDRISYWQRLLERAIATRPTGAAKTNGLVKKALYAVVQESFDLYKDVSDGLALILDSFFHLQYQSCVIAFQTCMKAAKQFEELGAFYTLCKTMEVGRSSEYPSVHSISPELIETLQEFLKDPNAKPPSNRSGLLLSEPQTPSSARSIRHDSKFELSSTSERWPERTSTEEHSTQRCTSLEDLLSATETNAKTIPAISIDLEAYSDQFEKQSQQDESFRLSNSGSTRSLPVSNSMADLLFLDDWNADTDQENIAMEDPDDDSSKGWELVLAETITSSSSNTFNEEQETPAEENGSSTGWELVLFETPPPHRPETLQPSNNLNLLSLNSLYNQYPFPVSHRYNPFLEDELPIIPTGFPGDIFSSSAPPPTFHATPTFSAQNPTETQYENDPFATFSNNHQGFGGPNMAQQNYLHEQQLWLQHQNKIIAKHMA >itb15g20640.t1 pep chromosome:ASM357664v1:15:23315209:23320531:-1 gene:itb15g20640 transcript:itb15g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLSKGSSRGVQKSHPKKKQKKDEACLSKKVEKENLLSDAAEITDEELEHETSEGEEEELGGESDSDMYSEDDAMADDILGGSDDDEGYDDAAEEDSDEGSDSDVSDIEKKARIIDKEREKVEEEGEAELQLNIREEADEFRLPTSEELEEETQRPPDLSSLQRRVKEIVRVLSNLKSLRQEGVTRKDYVDQLQRDLASYYGYNDFMIETLVEMFPVVELMELIEAFEKPRPICLRTNTLKTRRRDLAGVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGHYMLQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYVAALMKNGGIVYANEMKESRLKSLAANLQRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGIISKDASVKTSKTADDIQNCARLQKELILAAIDMVDANSKSGGYVVYSTCSIMVDENEAVIDYALKKRDVKLVPCGIDFGRPGFIRFRQHRFHTSLEKTRRFYPHVNNMDGFFVAKLKKMSNSKRTQTPGEASEETEGNELIDNTEQNAKGDTEKHLDKEGDGKMKYSSTEEVKVKGQSTTKKRKRPDQKHSLKNFDGKENGKLKASSTVNRKVDNQMAKKGRKERAKPPSREEITKSREEKRQAQREAKRKAATERKVKNSKGSKA >itb01g10760.t1 pep chromosome:ASM357664v1:1:9358595:9364937:1 gene:itb01g10760 transcript:itb01g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFSKDSHLIKMFLYQILCGISYCHSHRVLHRDLKPQNLLIDRRSNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVMGTPNEDTWPGVTSLPDFKSAFPKWPPKDLATMVPNLDAAGLDLLCKMLCLDPSKRITARSALEHEYFKDIEFVS >itb01g10760.t2 pep chromosome:ASM357664v1:1:9358652:9364926:1 gene:itb01g10760 transcript:itb01g10760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFSKDSHLIKMFLYQILCGISYCHSHRVLHRDLKPQNLLIDRRSNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVMGTPNEDTWPGVTSLPDFKSAFPKWPPKDLATMVPNLDAAGLDLLCKMLCLDPSKRITARSALEHEYFKDIEFVS >itb01g10760.t3 pep chromosome:ASM357664v1:1:9358595:9364937:1 gene:itb01g10760 transcript:itb01g10760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFSKDSHLIKMFLYQILCGISYCHSHRVLHRDLKPQNLLIDRRSNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRWSPWPTSLPLFSHVQSQRPPLILI >itb07g13010.t1 pep chromosome:ASM357664v1:7:15086767:15087570:-1 gene:itb07g13010 transcript:itb07g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIMASSVYCMLFLFLLSGFHSIVGAQDLRAPHGLAYESPAADGGAVSPEAYAFFNPAAASKNSTAAAQFPNAAASSLESNLARDSVAKTEGGGGGGGGMVGILIGFLFVVVLVLGVFFVVTTRKRNWTKANATADMPQA >itb01g29340.t3 pep chromosome:ASM357664v1:1:33587363:33589951:-1 gene:itb01g29340 transcript:itb01g29340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILCVSPSASEEEIRKAYYLKARQVHPDKNPNDPQAAQRFQILGEAYQVLSDPVQREAYDRNGKSCVSMETMLDPTAVFALLFGSELFEDYIGHLAVASMASSDLVDNPDKVHGRLKAVQKEREQNLATILKGFLQQYVRGDKIGFIQHAESEAERLSHAACGADILNTIGYIYTRQAAQELGKKVVYLGVPFLAEWVRNKGHFWKSQLTAAKGAFQLLQLQEDVRRQFKMDGSGPENDVESHLRLNKDALMSSLWKMNVVDIEVTLLHVCQMVLQESNTRREELKKRAVALKILGKIFQVQLTN >itb01g29340.t2 pep chromosome:ASM357664v1:1:33586768:33589560:-1 gene:itb01g29340 transcript:itb01g29340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQARQVHPDKNPNDPQAAQRFQILGEAYQVLSDPVQREAYDRNGKSCVSMETMLDPTAVFALLFGSELFEDYIGHLAVASMASSDLVDNPDKVHGRLKAVQKEREQNLATILKGFLQQYVRGDKIGFIQHAESEAERLSHAACGADILNTIGYIYTRQAAQELGKKVVYLGVPFLAEWVRNKGHFWKSQLTAAKGAFQLLQLQEDVRRQFKMDGSGPENDVESHLRLNKDALMSSLWKMNVVDIEVTLLHVCQMVLQESNTRREELKKRAVALKILGKIFQREKLAQSAGTSKKKSMADKYAADESSSSDSSDDEEDSSSPTPLNYRTPLVTQGIGRLFRCLCNPAFDVDDEEIVYKGR >itb01g29340.t4 pep chromosome:ASM357664v1:1:33586768:33588702:-1 gene:itb01g29340 transcript:itb01g29340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFACCLSERAVQKEREQNLATILKGFLQQYVRGDKIGFIQHAESEAERLSHAACGADILNTIGYIYTRQAAQELGKKVVYLGVPFLAEWVRNKGHFWKSQLTAAKGAFQLLQLQEDVRRQFKMDGSGPENDVESHLRLNKDALMSSLWKMNVVDIEVTLLHVCQMVLQESNTRREELKKRAVALKILGKIFQREKLAQSAGTSKKKSMADKYAADESSSSDSSDDEEDSSSPTPLNYRTPLVTQGIGRLFRCLCNPAFDVDDEEIVYKGR >itb01g29340.t1 pep chromosome:ASM357664v1:1:33586768:33590142:-1 gene:itb01g29340 transcript:itb01g29340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILCVSPSASEEEIRKAYYLKARQVHPDKNPNDPQAAQRFQILGEAYQVLSDPVQREAYDRNGKSCVSMETMLDPTAVFALLFGSELFEDYIGHLAVASMASSDLVDNPDKVHGRLKAVQKEREQNLATILKGFLQQYVRGDKIGFIQHAESEAERLSHAACGADILNTIGYIYTRQAAQELGKKVVYLGVPFLAEWVRNKGHFWKSQLTAAKGAFQLLQLQEDVRRQFKMDGSGPENDVESHLRLNKDALMSSLWKMNVVDIEVTLLHVCQMVLQESNTRREELKKRAVALKILGKIFQREKLAQSAGTSKKKSMADKYAADESSSSDSSDDEEDSSSPTPLNYRTPLVTQGIGRLFRCLCNPAFDVDDEEIVYKGR >itb12g04620.t2 pep chromosome:ASM357664v1:12:3062505:3066094:-1 gene:itb12g04620 transcript:itb12g04620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHHYDRTGGGMALSLDSMYYHNQNPENEATHSQDFLTHLQQKSTHHHHQQQIEAQQFQDFTLRGPEIYQQGKAQCCSLHQVPSMEDNSQMKNWDSRSFTAGGGHALEQQKMMGCIGADSGAITAMGYGDFHSLSLSMSPGSQSSCVNPSQQHQHQIPPTVADCMPMETKKRGLEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDNEEKAARAYDLAALKYWGPSTHINFSSENYQQELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVDRIMASTNLLAGEQARRNKDAGPPPNNVEEVVNKNGGDHEDNANAAAPDWRIALYQSTAAEAAAVVAAPPQSSSACFGMGESAARMMSAHLSNASSLVTSVESSRECSPDKMNTVGTGSAATSSWISSAQLRPHVPVFAAWTDNII >itb12g04620.t3 pep chromosome:ASM357664v1:12:3062097:3066765:-1 gene:itb12g04620 transcript:itb12g04620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSNDGNSNWLGFSLSPQMNNMEGSHGHDLQQHNTQPCSSVSSASVPLSFSQAAPSHINYSNTTGSCYYGGESENAAFLSSLSVMPLKSDGSLCIMEAFNNRSHPQGLAPKLEDFFGDAAMGTHHYDRTGGGMALSLDSMYYHNQNPENEATHSQDFLTHLQQKSTHHHHQQQIEAQQFQDFTLRGPEIYQQGKAQCCSLHQVPSMEDNSQMKNWDSRSFTAGGGHALEQQKMMGCIGADSGAITAMGYGDFHSLSLSMSPGSQSSCVNPSQQHQHQIPPTVADCMPMETKKRGLEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDNEEKAARAYDLAALKYWGPSTHINFSSENYQQELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVDRIMASTNLLAGEQARRNKDAGPPPNNVEEVVNKNGGDHEDNANAAAPDWRIALYQSTAAEAAAVVAAPPQSSSACFGMGESAARMMSAHLSNASSLVTSVESSRECSPDKMNTVGTGSAATSSWISSAQLRPHVPVFAAWTDNII >itb12g04620.t1 pep chromosome:ASM357664v1:12:3062097:3066765:-1 gene:itb12g04620 transcript:itb12g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSNDGNSNWLGFSLSPQMNNMEGSHGHDLQQHNTQPCSSVSSASVPLSFSQAAPSHINYSNTTGSCYYGGESENAAFLSSLSVMPLKSDGSLCIMEAFNNRSHPQGLAPKLEDFFGDAAMGTHHYDRTGGGMALSLDSMYYHNQNPENEATHSQDFLTHLQQKSTHHHHQQQIEAQQFQDFTLRGPEIYQQGKAQCCSLHQVPSMEDNSQMKNWDSRSFTAGGGHALEQQKMMGCIGADSGAITAMGYGDFHSLSLSMSPGSQSSCVNPSQQHQHQIPPTVADCMPMETKKRGLEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDNEEKAARAYDLAALKYWGPSTHINFSSENYQQELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVDRIMASTNLLAGEQARRNKDAGPPPNNVEEVVNKNGGDHEDNANAAAPDWRIALYQSTAAEAAAVVAAPPQSSSACFGMGESAARMMSAHLSNASSLVTSVESSRECSPDKMNTVGTGSAATSSWISSAQLRPHVPVFAAWTDNII >itb02g18370.t1 pep chromosome:ASM357664v1:2:14760959:14762806:1 gene:itb02g18370 transcript:itb02g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNASEFNFLTSSSIFREFFYADSHYKKNTQSKDQRQQSSSPFADSMEGSLGASSNCFGSSTAGRKCYLYEECISVEVCGCGQEMILRTSWTNENPGRRYWECSRHKDGFMRWYDPPMCPRSKRIIPGLLRRINKIEEENVKLKSKLRSLGEDTEIKYIRRSGKCTSMRILAVVGMILVIISYFISTVGRNGSKNVYMLS >itb04g30960.t1 pep chromosome:ASM357664v1:4:33938869:33941077:-1 gene:itb04g30960 transcript:itb04g30960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLGALKILCSHLTTATEATSHSQPAFSLGGILFQRAWLQGVLVSKPSPSNDAGRFLLDDGTGVIELKLSGDYLQNDAWELGMYVMVVGGYAVRKNGTSFIKVHKIVDLSPVPDREAMWYLEVVEAFRLFYQPLIEEYNSE >itb09g00010.t1 pep chromosome:ASM357664v1:9:15698:16619:-1 gene:itb09g00010 transcript:itb09g00010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNRNEMLMMHHPMGGALDVLQTAHSMQGQGLSLSLGTQMPAGIQVASSQCQNPSQGFTSFLSPPSIPGEGNGGNRSFGDIDDSHAKQSRAMEYLLPGFPSSYESMKMDCSPYGMPSIGRAFPDSKFLKAAQQLLDEVVNVKKAVKERGSTKVEANGTNEVDADSNCKSSDPPVTGSSSNPQEPNELSANDKQELQNKLAKLFSMQDELVKGHLNLTQLLHF >itb14g12750.t1 pep chromosome:ASM357664v1:14:14495038:14496444:-1 gene:itb14g12750 transcript:itb14g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKIGPHFPHWLRTQRNVVYLFMSNASISSAIPDWFEKFFWNSYALDLSKNQISGALPLKPHVEGYMRMNHLTLSNNYLSGGIPKPLCSLKDLEILALSTNQLYGEIPPCLGKLQNLKVLDLGNNNLSGNIPKSLGSLQRLSSFHLQNNELDGKLPSSMQNLTSLKILDLSENKIMDVIPSWIGEKLLSLKYLVFYRNKFYGDIPLQVCQLHDLQLLNLANNNISGYIPQCFGNFTAMAFDGNQTHLEYTAYYDNMYEDEIDEVIKGLALQYTKNLQFLRSIDLSGNHIVGKIPVEIMSLHALQNLNVSRNDLSGTIPETIGNLSKIESLDLSRNELSGPIPLSLSSLNFLSHLNLSFNNLYGRIPIGRQLQTLNDPSIYMGNAGLCGAPLPKDCPGDVPSFANQSTKTSSDDDHEFFMWFYAGLGLGFFVGFIGVLSILLFARSWSYVYFKFLKMAYNKILYYFY >itb03g18110.t1 pep chromosome:ASM357664v1:3:16488644:16495339:-1 gene:itb03g18110 transcript:itb03g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIQSYDDFIKVHGVLLASSGIPQKLHPLLFQKLAAEAFDAGHHFQIEPVEDGNQRRLLFTSDFMAKESNLFLVDHAWTFRLSDAYKQLQEVPGLAERMAAIMCVDTDLSDTVEEGDTGEDNTKLSAEEIVTSEVIKAKEGDAARWLELDELGIDDDMLSSLDLPHKFPSLLALSLCGNNLKNIETVVREVTQLKSLKALWLNDNPILKENGDGCMEDAILQGCSSLEIYNSRFTPHFGEWALGFCAEIYNKDSPGYAYQGDQPLQCVSSLDLSNRCINNLFNKAFSPTEMPSLSHLNIRGNPLDQNSANDLLELLNQFSCLSALEVDIPGPLGENAVRIVEALPNLSVLNGVSTSKISESGKSVVDSMLLPRLPEWTAGEPIADRIINAMWLYLMTYRLADEEKIDETSVWYVMDELGSSLRHSDEPNFRVSPFLYMPDGELASAVSYSILWPTEDIQRNDECTRDFLFGIGEDKQRSARLTAWFHTPRNYFIKEYESYRQKLQSTKFTSPLQESSVTSTLYRADGSALRVYTDIPHVEEFLNRPEFVITSEAKDADIIWTSMQVDEELKRAAGLNDQQYINQFPFEACIVMKHHLAETVQKAHGLPEWIQPTYNLETQLAQMIGDYLVRERDGLDNLWILKPWNMARTIDTTITGNLSAIIRLMETGPKVCQKYIEHPALFQGRKFDLRYIVLVRSLNPLEIFLADIFWTRLSNNAYTLDKHSLAEYETHFTVMNYRGRLNHLNTPEFVKEFELEHKVKWMDIHSRVRSMIRSVFESAASVHPEMHHPKSRAMYGVDVMLDSNFQPKLLEVTYCPDCKRACTYDTEAVVKGGETVKGRDFYNYVFGCLFLDETNHVSPL >itb13g07040.t1 pep chromosome:ASM357664v1:13:8609649:8610050:1 gene:itb13g07040 transcript:itb13g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSTRAFALFLVINILFFTTVSACNTCPSPKPKPKPKPTPTPSSKGKCPTDALKLGVCANVLNGLLNITIGNPPKKPCCSLIENLVDLEAAVCLCTAIKANILGINLNIPLSLSLLLNVCSKKVPKGFTCP >itb11g00820.t1 pep chromosome:ASM357664v1:11:358334:361071:-1 gene:itb11g00820 transcript:itb11g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGMNLITTVIGFGMSATFIVFVCTRLICGRLRRRQSRQMFEIESRIDLELTEHRNNGLDPVVVAAIPTLKFHPEAFSSSEDTQCTICLAEYQEKEVLRIMPKCGHTFHLSCIDIWLRKQSTCPVCRLSVRNSSERKQRHPAMLGQDQSFDSSEVSMGHSRQLLLLPSAEGSQADASNARDSESVAIEIEPAGSAEAAAPRS >itb10g20850.t1 pep chromosome:ASM357664v1:10:26285365:26286254:-1 gene:itb10g20850 transcript:itb10g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTPVPTNQEQLDWAKRVHIIEGIAQGLLYLHQYSRLRIVHRDLKASNILLDGEMNPKISDFGLARIFGANELQANTDRIVGTYGYMSPEYAMEGLFSVKSDVFAFGVLLLEIISGKKNTGFYGADCLSLLGHAWELWTNDKVLELLDPVLQVHGSSASPDRYIAIGLLCVQERPADRPAMSEVVAMLSNDQATLASPKQPAFTAGRTVVVNAAGEVQICSANKLTISVMAPR >itb10g16330.t1 pep chromosome:ASM357664v1:10:22566782:22571207:1 gene:itb10g16330 transcript:itb10g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNILVTGGAGYIGSHTVLQLLLSGYRAVVVDNLDNSSSVAISRVKELAGEHASNLDFHKIDLRDKPALEKLFASMKFYAVIHFAGLKAVGESVQKPLMYYDNNITGTIILLEVMVAHGCKNLVFSSSATVYGSPKEVPCTEELPLSALNPYGRTKLYIEEICRDVHQSDPEWKIILLRYFNPVGAHPSGHIGEDPCGIPNNLMPFVQQVAVGRLPSLKVFGNDYKTKDGTGVRDYIHVIDLADGHIAAVKKLADPSVGCEVYNLGTGKGTSVLEMVTAFEKVSGKKIPLVMAARRPGDAEIVYAANGKAERELKWMAKYGIEEMCRDQWNWASKNPYVARRRKKGGRSPLLVVAATPVLITLPANTKRGRKVAVWRRELLTRERREGDGDMAAARSSLTAGAASQREVAAATASPRRSTGASRR >itb04g06690.t1 pep chromosome:ASM357664v1:4:4375658:4377426:1 gene:itb04g06690 transcript:itb04g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEDSGQPEENNDRKSSVSAARSSSSSEEEEKPSTATVLAAPAPPPTADKQPPAAVPMGLPMGHGVMGEAVLRRAEWDTPLCACLGRNDEFCSSDIEVCVLGGVAPCVLYGSNVERIVPAPGTFSAHCLPYTALYLIGDSCFGWNCLAPWFSFRSRTAIRRKFNLEGGCETMARSFGRSPEFLEDELKREQYESACDLATHVICHPCAICQEGRQVRRRIPHPGFNTQQILVMLPPREQTMGR >itb01g01020.t1 pep chromosome:ASM357664v1:1:492336:494135:-1 gene:itb01g01020 transcript:itb01g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKLAHEYPDLARHRTLNNETSPLEAIVKYDYPIFKPKKVAMLYLKLEAAMLVECLCDKLKILNETQVASLAKEAIIQAAYLDIEEVVKNIVEACPNTAYYKDKSGRNILHIATENHSTNVFKYVRGNSMLMHDLVDERDKNGNNIVHLAGKLTPPHKLNVNAALQMQQDLQWVKEVQKIAPPYFSSLRNKDEKTAKMVFTDEHKELRKEGEKWMKDTASACSVVAALIVTVMFAAAITVPGGNSDGVLTQGFNGTIVAMGSNNNNVVPNMINGTIKGPIDETPPDEGLPVFRRRRAFKIFYVNNGISLFCSVTSLMVFLSIITSHYREEDFLIILPLNLIVGLLALVFSVFFMIASSIGTIYLVIGLVISPRRVILIVLGLTFCLIVLLLYQFPLVLDFARSTLIRPVNLKKLGATAHRLF >itb06g05740.t1 pep chromosome:ASM357664v1:6:8435060:8436152:1 gene:itb06g05740 transcript:itb06g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSHMPPPSHKQLPSHKQLQSHRPPPSHRPSPLHSPPPSHSRSQPSVSLLSASSPGTSNRPPPSHSRSHATTVALQISRHHRRTPDLTPPPSHTEPPLHDSSVVRLHLAVTTSPDHF >itb05g15980.t1 pep chromosome:ASM357664v1:5:23303465:23304511:1 gene:itb05g15980 transcript:itb05g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDIIREKALPFLPAKSLFRFLAVCRDWKLHISTPSFHHNQSLCCRNISGLFCQTYENAPVFIPINPKSCGVPDPSLSFLPEPVFVKASSNGVLCCQGQNDRYYYLCNPVTKQWKKLPKPTAWHGYNPKLVLIFEPPLLNFVPEYKLICVFQSTDFGDASEFEIYTSKNNSWNVSGEIHFGVPIFFLGSGVHVNSVVYWPEGYNRILSFDLTKDRSQLLKNDSENADCLLGTFDGRLCKVEIQLPWYQVFVSVLVNTHANTMPLDDDINMWETIQVLHPNETDIPLHYIDTKVVAVSRDIVVVESMSKFYSYDFEHQETKTLLSPPQPAESFYMRWAPYVNSLVSF >itb12g20170.t1 pep chromosome:ASM357664v1:12:22648022:22649023:1 gene:itb12g20170 transcript:itb12g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSAFHFVKGLYNSPNGARMIGATQAVRMNAPRIGGSFAVWGGLFSVFDCSMVYVRQKEDPWNSIVAGAATGGVLSLRQGLGASARSAAFGGVLLALIEGAGIMLNKLTSIPQDAPIYVDDPLAPPGGFQQAAPESSPSPIASSWFGGLFGGNKEEENKNGVKTEILESFDSPIPPTFELK >itb13g19550.t1 pep chromosome:ASM357664v1:13:26534901:26540757:-1 gene:itb13g19550 transcript:itb13g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLAGEVLFIFRCYLGHLWPCDIIRITAHSITSLLCDAGKFIPNINYLIVLPLRAGNIVEIVGPSPSAKTQILIQASINCILPKEWKGVHYGGLERPVMFIDLDCRFDVLSLSASLKQRILKANGKSMQCLKEADAEYDKELFAESMRRFLYIRCYDSIQFLDTLKYWSFSLDGSCFCIYAAREQQQSFVSHRMLVRPSVKRKLIHLLQKYEGKFILEKSKIVKENW >itb13g19550.t2 pep chromosome:ASM357664v1:13:26534901:26540757:-1 gene:itb13g19550 transcript:itb13g19550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLAGEVLFIFRCYLGHLWPCDIIRITAHSITSLLCDAGKFIPNINYLIVLPLRAGNIVEIVGPSPSAKTQILIQASINCILPKEWKGVHYGGLERPVMFIDLDCRFDVLSLSASLKQRILKANGKSMQCLKEADAEYDKELFAESMRRFLYIRCYDSIQFLDTLKYWSFSLDGSCFCIYAAREQQQSFVSHRMLVRPSGLYKNIWPVIISWSVKWALLLLENGLIMF >itb03g04710.t1 pep chromosome:ASM357664v1:3:3020731:3025991:-1 gene:itb03g04710 transcript:itb03g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRFGVKISALLLLWVFPSVFLYAESQADDDDASVMLALKKSLNPPSELGWSDPDPCKWDHVGCQDKRVIRIQIGHQGLQGTLSSELSKLTQLERLELQWNNISGPLPSLSGLGSLQVLMLSNNQFTSVPADFFAGMSSLQSVEIDNNPFAAWEIPEDLRNASALQNFSANSANIKGKIPGFLDSDGFPGLINLHLALNSLEGELPLGFSSLPIESLWLNGQKLSGTIDVLQNMTFLEEVWLHSNGFTGPLPNLSGLKALEVLSLRDNSFVGPVPSSLVNLESLKVVNFSNNLLQGPMPKFKDSVSVDMVKDTNSFCLPQPGDCDPRINTLLSIAESMDYPAKFAGNWKGNNPCADWFGITCNNGNITIINFQNMMLTGSISPEFASLKSLQRLVLADNNLTGVIPEELTTMTSLTELDVSNNQLYGKVPVFKKNVMLKTNGNPDIGKDKSEVSSPGKTSPGTSGSPNSDEGKNLRNGNKKSKSWIGIVVFSVIGGVFVLCLIGLVAFCLYKGKQKRFSRVQSPNAMVIHPRRSGGSDNDSVKITVAGSSVSVGAASETHTVSTSETSDIQMVEAGNMVISIQVLKSVTNNFSEENILGQGGFGTVYKGELHDGTKIAVKRMESGVIAGKGLAEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVFEYMPQGTLSKHVFNWAEEGVKPLGWTRRLTIALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRMHLNKDTFHKAIDPTIDLNEETLASISTVAELAGHCCAREPYQRPDMGHVVNVLSSLVELWRPSEQSSSDDIYGTDLDMSLPQALKKWQAYEGTSQLDSSSSSSYLPSLDNTQTSIPTRPYGFADSFTSSDGR >itb03g19290.t1 pep chromosome:ASM357664v1:3:17389493:17390890:-1 gene:itb03g19290 transcript:itb03g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVQFTSQFKYLTPSSRLTNSLSAIKTTQTSTAATLCHHHDYGATVATQVSTCTTLQHLTQIHSKIIQTRFLDFYPAPFYWNNIMRSYTRLNSPKKAIQVFIAMSRAGVSADEYTLPIMLKATSQVSDYSLAKQIHAHALKIGLSTNVYCESGFISLYAKACEFEDAHKVFDESGERALGSWNAMISGLAQGGRAKEALEMFLEMKKTGLHPDDVTMVSVTSACGNLGDLKLGLQLHKCVFQVRVADKYGILIMKNSLIDMYGKCGRMDLAHRVFSSMDERNVSSWTSMIVGYALHGHAMKALEFFHHMREAGVKPNHVTFVGVLSACVHGGKVEEGKHYFNMMENEYRIAPKLQHYGCMVDLLGRAGLFEEAREMVEGMPMKPNVVVWGCLMGACEKHRNVKMGEWVAKHLQEMEPWNDGVYVVLSNIYASNGVWEEVERMREIMKLRKLAKIPAFSSPTTSQ >itb07g19940.t2 pep chromosome:ASM357664v1:7:24400891:24405860:1 gene:itb07g19940 transcript:itb07g19940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G63250) UniProtKB/Swiss-Prot;Acc:Q9M1W4] MGPLDVHTTTTTTPSSSLMADFLRQSGGVAVIDGGLATELERHGADLNDPLWSAKCLLDSPHLIRAVHLDYLEAGAGIIITASYQGTIQGFKAKGFSQEESEALLKKSVEIACEARNLYYEKLQKSSSDYTTDGKVLKHRPILVAASVGSYGAYLADGSEYSGDYGDVMNLEFLKDFHRRRVQVLADAGADIMAFETVPNKIEAQAFVQLLEEEDIKIPAWLSFNSKDGINVVSGDSLLECATIAESCKKVVAVGINCTPPRFISDLILSVKKVTTKPILIYPNSGETYDAYRKEWVQNTGVSNEGFVSYVNKWCEDGASLVGGCCRTTPDTIRAICSALSNEKATTS >itb07g19940.t3 pep chromosome:ASM357664v1:7:24400895:24405484:1 gene:itb07g19940 transcript:itb07g19940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G63250) UniProtKB/Swiss-Prot;Acc:Q9M1W4] MGPLDVHTTTTTTPSSSLMADFLRQSGGVAVIDGGLATELERHGADLNDPLWSAKCLLDSPHLIRAVHLDYLEAGAGIIITASYQGTIQGFKAKGFSQEESEALLKKSVEIACEARNLYYEKLQKSSSDYTTDGKVLKHRPILVAASVGSYGAYLADGSEYSGDYGDVMNLEFLKDFHRRRVQVLADAGADIMAFETVPNKIEAQAFVQLLEEEDIKIPAWLSFNSKDGINVVSGDSLLECATIAESCKKVVAVGINCTPPRFISDLILSVKKVTTKPILIYPNSGETYDAYRKEWVQNTGVSNEGFVSYVNKWCEDGASLVGGCCRTTPDTIRAICSALSNEKATTS >itb07g19940.t1 pep chromosome:ASM357664v1:7:24400891:24405860:1 gene:itb07g19940 transcript:itb07g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G63250) UniProtKB/Swiss-Prot;Acc:Q9M1W4] MGPLDVHTTTTTTPSSSLMADFLRQSGGVAVIDGGLATELERHGADLNDPLWSAKCLLDSPHLIRAVHLDYLEAGAGIIITASYQGTIQGFKAKGFSQEESEALLKKSVEIACEARNLYYEKLQKSSSDYTTDGKVLKHRPILVAASVGSYGAYLADGSEYSGDYGDVMNLEFLKDFHRRRVQVLADAGADIMAFETVPNKIEAQAFVQLLEEEDIKIPAWLSFNSKDGINVVSGDSLLECATIAESCKKVVAVGINCTPPRFISDLILSVKKVTTKPILIYPNSGETYDAYRKEWQNTGVSNEGFVSYVNKWCEDGASLVGGCCRTTPDTIRAICSALSNEKATTS >itb15g07110.t1 pep chromosome:ASM357664v1:15:4782248:4785685:-1 gene:itb15g07110 transcript:itb15g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPLHSWFFLVFLLQIIFGIHILVSGQCQSDQRSMLLQLKSSLKFDSTVSTKLARWNQSRECCVWPGVECDTSGHVTGLILDNEAITGGIENSRALVSLQYLERLNLAFNSFNSTAIPVQIYKLANLTYLNLSNAGFGGQIPNGISGLTRLVTLDLSTLFAIGPPLKLENPKLKKFFENSIEVRELYLDGVDLSAQRKDWCQALSSSLPNLTILSMRNCQVSGPIDPSLLTLRHLSIIYLDQNDLSSTVPRFLAKFSNLRTLSLSSCNLHGAFPGEIFQTKSLEELMIQNNQNLSGSFPSFPENGSLRAVSVSYTQFSGSVPPSISNLSNLSRIEITNCKFSGPIPSTMAQLTSLIYVDFSFNNFTGSIPHFQLSKNLTYIDFSRNGLDGPLSSKHFEGLSKIVNIDLTSNLVSGRIPPYLFSLPSLQKLVLSNNKFDGQVDEYRNVSASQMDTLDLSSNRLNGSIPEHFFEFPKLNVLLLSSNSFSGRIQFESLQKLKNLTRFELSHNNLSVDVSSSSSASSFFPKLTTLKMASCRLQSFPDLRTQSSMIHLDLSDNEIRGEIPNWIWNVGNGSLAHLNLSCNFLDGLEKPYTIPSTLSVLDLHSNQLQGQLPMASTSGAIYLDYSNNFFNSNIPSDLGSYASFASFLSLSNNSFTGTIPESICNASYLQVLDLSNNKLIGILPSCLFSNFGILGVLNLEENQISGSIPDSFPSLGYGIGASVSMAALFFWKAGRTSSDKHLKELLKLIIPSYRFPYIRYNGGRVQAEEIIEDASPDDTDDSDEDEDEMEGKAYRGSYCVFCSKLDIHRKKAIHNPDCTCSHHHSSPLPPSITSSSTSLLVIYQKVI >itb12g12330.t1 pep chromosome:ASM357664v1:12:11042668:11051598:-1 gene:itb12g12330 transcript:itb12g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSAHGDDYNGVIFSQLSTSVKETKTCIDQRTSVHTPASSSTATPSVHSVATSARRDVADSSPPLRQSDILLSSTSPTSATSTHRQPPPSGDLQPPTTWTLWRLQAFHLKSLRNDEDSLLIDELPSDDEGLVGENDEDAPHLCVDDFNVDVFEGEPSQATQTQPISSSSKKASASAKGSSKGNEKLMLIDEDEWEDIDYDGGSGNKDDEPIDYNDFSDEVSL >itb02g04840.t1 pep chromosome:ASM357664v1:2:2852460:2855972:1 gene:itb02g04840 transcript:itb02g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFSVAASSAQSLHTHCSFFHGSANRHSKPLSSPSPTIHHPARFSIRVANDSERGKLSSDVITSERSDADKLVDGMDFGELCNEFECISSPSVEATARLLVKNIIELREGNSAIGTYAVSVKYKDPVRSFTGREKYNRPLWITDALENPTASVQEMVMLSTSVLNIKWTIRGKPKSVLAGIAGDVIIKVDSKFTLNQISGQVVEHEEVWDLSSSSVVARTYFWTSRRLYASIEAGKDTADFVKDVTRRFTTENKNMEVYPDSYSDPTKFFQRDDDFQRDFYQIALFLAVVYLVIQFLRTTL >itb02g04840.t2 pep chromosome:ASM357664v1:2:2852871:2855603:1 gene:itb02g04840 transcript:itb02g04840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFSVAASSAQSLHTHCSFFHGSANRHSKPLSSPSPTIHHPARFSIRVANDSERGKLSSDVITSERSDADKLVDGMDFGELCNEFECISSPSVEATARLLVKNIIELREGNSAIGTYAVSVKYKDPVRSFTGREKYNRPLWITDALENPTASVQEMVMLSTSVLNIKWTIRGKPKSVLAGIAGDVIIKVDSKFTLNQISGQVVEHEEVWDLSSSSVVARTYFWTSRRLYASIEAGKDTADFVKDVTRRFTTENKNMEVYPDSYSDPTKFFN >itb08g02790.t2 pep chromosome:ASM357664v1:8:2377736:2380772:1 gene:itb08g02790 transcript:itb08g02790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATHSVTCDFPMAYPAQAPVQTSSVAPSSLMPLNFTPNLASQQYLVPSPYYQPLPSPISSSCESRNTENPAVCKSGPDPIPVLPSKSLLYPMFSSVNNLVSPSLEQPPSLPTPDQLPQGRLSVLSPAVTSYPDQKDIEVMSSETLNSLHSNVAAVQAPLLPLPSVNKLKSTDFTEEFDFVAMNEKFNKDELWGYLGKAKTRDKIELHKDKALVSANMENDSVWILDADPRPAYSKDDFFDNISRNTVTRGVRNGQNRFNERVKLDNETFGNFQQRKYPGYGGYGAGQGQNHDSFGWGRGYNYRGRERGVYM >itb08g02790.t1 pep chromosome:ASM357664v1:8:2377736:2380874:1 gene:itb08g02790 transcript:itb08g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSGNGKAASSSDAPADSYIGSFISLTSVSEFRYEGVLYYLNPQTSVLGLKNVRSYGTEGRKKDGPQVPASDKIYDYIMFSGSDIKDLQVKSQLEVLEEAIPHDPAIIQSQCVGDAAASSCHYVPPYPIPPMTATHSVTCDFPMAYPAQAPVQTSSVAPSSLMPLNFTPNLASQQYLVPSPYYQPLPSPISSSCESRNTENPAVCKSGPDPIPVLPSKSLLYPMFSSVNNLVSPSLEQPPSLPTPDQLPQGRLSVLSPAVTSYPDQKDIEVMSSETLNSLHSNVAAVQAPLLPLPSVNKLKSTDFTEEFDFVAMNEKFNKDELWGYLGKAKTRDKIELHKDKALVSANMENDSVWILDADPRPAYSKDDFFDNISRNTVTRGVRNGQNRFNERVKLDNETFGNFQQRKYPGYGGYGAGQGQNHDSFGWGRGYNYRGRERGVYM >itb01g10770.t1 pep chromosome:ASM357664v1:1:9370131:9383404:-1 gene:itb01g10770 transcript:itb01g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLFHFLTLLLLLALGSAHEESGEWHCDSDEESRIVAEFRPGRVTLDGHADDWADIDGFDFPLLPALDPDNDHQFGGGKMTVKALHDGKDVYFMLQVDGDYAYTKGDDYKCPSVALMYQVGESATYHNMGGCKESPDSCNDKSCRGYEVDIMHFSIGNAIPGRLYGGNPIDNRDGNGDDRFGHLVDLYAWNPHCLNLDGVSRTGNDSTAQNDWKGAWWHSSFSVHSGFSVGDSPYGSSGKKGRYYFEFARPLRTMDRLQQDVQFRIGQSSKFSAAFWYPVDGNAWHGSGHYTISCDWVGLDVAYPQHGSSASSDSYWDVSSAFAFLISLLALGVSIFVAYWVHNKNTIPFTRVDVDPL >itb01g10770.t3 pep chromosome:ASM357664v1:1:9370131:9383187:-1 gene:itb01g10770 transcript:itb01g10770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLFHFLTLLLLLALGSAHEESGEWHCDSDEESRIVAEFRPGRVTLDGHADDWADIDGFDFPLLPALDPDNDHQFGGGKMTVKALHDGKDVYFMLQVDGDYAYTKGDDYKCPSVALMYQVGESATYHNMGGCKESPDSCNDKSCRGYEVDIMHFSIGNAIPGRLYGGNPIDNRDGNGDDRFGHLVDLYAWNPHCLNLDGVSRTGNDSTAQNDWKGAWWHSSFSVHSGNDSTAQNDWKGAWWHSSFSVHSGFSVGDSPYGSSGKKGRYYFEFARPLRTMDRLQQDVQFRIGQSSKFSAAFWYPVDGNAWHGSGHYTISCDWVGLDVAYPQHGSSASSDSYWDVSSAFAFLISLLALGVSIFVAYWVHNKNTIPFTRVDVDPL >itb01g10770.t2 pep chromosome:ASM357664v1:1:9370131:9383404:-1 gene:itb01g10770 transcript:itb01g10770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVDGDYAYTKGDDYKCPSVALMYQVGESATYHNMGGCKESPDSCNDKSCRGYEVDIMHFSIGNAIPGRLYGGNPIDNRDGNGDDRFGHLVDLYAWNPHCLNLDGVSRTGNDSTAQNDWKGAWWHSSFSVHSGFSVGDSPYGSSGKKGRYYFEFARPLRTMDRLQQDVQFRIGQSSKFSAAFWYPVDGNAWHGSGHYTISCDWVGLDVAYPQHGSSASSDSYWDVSSAFAFLISLLALGVSIFVAYWVHNKNTIPFTRVDVDPL >itb10g13290.t1 pep chromosome:ASM357664v1:10:19492848:19493982:-1 gene:itb10g13290 transcript:itb10g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFSLIMGRVPHLELGSPMFYRELVAGFALGLCAAFAWKNKHKDMQKKTKSIYQQLDTGDISVVAQDY >itb06g17890.t2 pep chromosome:ASM357664v1:6:21646333:21653627:-1 gene:itb06g17890 transcript:itb06g17890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSLFFLTTTPHSHSCFLAPKPSPSLLKPQQSSLSYSPRHLNYKFRPIKPPFLQIRASIDVATTSDIKPGAAVESDKLPSDIRKRAMDAIDSLGGRVTVGDVASKAGLQLNEAQKALQALATDTNGFLEVSDEGDVLYVFPKSYRTNLSAKSFKMKIEPLLEKAKMASEYLIRVSFGTALIASIVIVYTAIIAVLSSRSDEDNRGRRGRSYDTGFTFYLSPTDLFWYWDPYYYRRRKIREDGGGMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVTAEELAPYLDVETSNKTDDESYVLPVLLRFDGQPEIDEEGNILYRFPSLQRTASRQSSRRKEYVGKRWADWVGDAEKFLKENKWQFSKTSLSERAMVIGLGGLNLFGVIVLGAMLQNMSVKPSSFISFVSDIFPLLQIYAGSFFTIPLIRWFFVQNKNAQIESRNRAREKYARALERPELSLRRKV >itb06g17890.t1 pep chromosome:ASM357664v1:6:21645618:21653649:-1 gene:itb06g17890 transcript:itb06g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSLFFLTTTPHSHSCFLAPKPSPSLLKPQQSSLSYSPRHLNYKFRPIKPPFLQIRASIDVATTSDIKPGAAVESDKLPSDIRKRAMDAIDSLGGRVTVGDVASKAGLQLNEAQKALQALATDTNGFLEVSDEGDVLYVFPKSYRTNLSAKSFKMKIEPLLEKAKMASEYLIRVSFGTALIASIVIVYTAIIAVLSSRSDEDNRGRRGRSYDTGFTFYLSPTDLFWYWDPYYYRRRKIREDGGGMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVTAEELAPYLDVETSNKTDDESYVLPVLLRFDGQPEIDEEGNILYRFPSLQRTASRQSSRRKEYVGKRWADWVGDAEKFLKENKWQFSKTSLSERAMVIGLGGLNLFGVIVLGAMLQNMSVKPSSFISFVSDIFPLLQIYAGSFFTIPLIRWFFVQNKNAQIESRNRAREKYARALERPELSLRRKLLSARDMSQRTFIGQDRIVYSTDRDLYEQDYDAKQWDQRFREIEKSD >itb05g10030.t1 pep chromosome:ASM357664v1:5:14936935:14943241:-1 gene:itb05g10030 transcript:itb05g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDMLPNGGDSNGDSDDYSHGDEDEEEEGNSVSDDGGEEEESNYESHDTGEEEDDEDEDEEGDDEREGWKQNEEQKNAEMEELENEYRKLQHKEKDLLSNIISHKDEDILKGEAVKNQKVLWDKTLELRFLLQKAFSNSNRLPQEPIKSSFCDYGDEVSEAYSDLIGSSKKTLDSILELQEALLEKNPSITQSTDGSEVSEASHQSIGKSDEEWLQITQMHSRMSAFRDKSVDKWQRRTQVTSGAAAVKGKLHAFNQSISQQVGNYMRDPSKMIKGMQLSRSAVALFGTPGSRSYSYTRGGHKC >itb14g05150.t1 pep chromosome:ASM357664v1:14:4552521:4555054:1 gene:itb14g05150 transcript:itb14g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEAFKRVETKLRDLAFRVEDEIEILVAHLYDSEEEEVKIADLVFKEKKPQRDTEGEKTQPYCVKLHQVLQSAMKEIEAIKEELVKIKAEAGRKTAHDVLQRSQLLSSHHASHSKEKMVGKKDEFEIIKKLLTELGSKEKKVVSIIGMGGIGKTTLARRVYEDPSIFLHFDVRAWVVVSQLHNKRQMLVSLLNSISKQDDIEQSSDEELALKLYQCLKRQRYMVVVDDVWTGEAWDDVSNCFPDDGNGSRVLLTTRLAEVANYTSFDNDFSHHMQLLDQTDSWNLFCEKASKSRDAKFEIIGRPIVEKYKGLPLAIFVVAGLFSKLNTLNEWENIAKALESSTTTIAATCSKVLSLSYNHLPHHLKACFLYLGIFPEDYEINANELSRLWSAEGLAKASENENFDVVAERYIQELMDRNLILVRKWSCCGRRIKLFGVHDLLHAFCVKEAQKENLLYVVRENGSDFPQRCFRWINSHIVLLKNLKRLRLEANCFEWNEINVLCKLPKLEVLKLYSHACVGKLWELLEDDKFCQLIVLHISQTDLKDWKVTGDHFPKLEHLFLISCGILKEIPSKFAEISGLKSIYLRNCPTSVVVSAQEIKEEQLDYLNNIVDVVVEE >itb01g03480.t1 pep chromosome:ASM357664v1:1:2293736:2295246:1 gene:itb01g03480 transcript:itb01g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQQKKKSPRNPELIRGVGKYSRSKIYHRRGLWAIKAKNGGAFPRHDKKPAAAAAVEKPPKFYPADDVKKPLVNKHKPKPAKLRASITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDVCGVNVDKIDDKYFAKQAEKKKKKTEGEFFESEKEEKNVISQEKKDEQKAVDAALIKAIEAVPDLKAYLGARFSLKAGMKPHELVF >itb07g01050.t1 pep chromosome:ASM357664v1:7:658902:664628:-1 gene:itb07g01050 transcript:itb07g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFAVMFSSLSSLSLSITSPLSFRHSSNARPATFLRFKFRFRAMASHAVGYPRMGPKRELKFALESFWDGKSSSEDLEKVAADLRVSIWKQMVDAGIKYIPSNTFSYYDQVLDATTMLGAVPPRYGWKGGEIGFDVYFSMARGNSTVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVNEYKEAKSLGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLNLIDSVLPVYKEVVTELKAAGASWIQFDEPSLVMDLSSDQLQAFSHAYSQLESSVTGLNVLIETYFADVPAEAFKMMTTLKGVTAFGFDLVRGSKTVDLIKDRFPSGKYLFAGVVDGRNIWANDLATSLSILQGLENIVGKDKLVVSTSCSLLHTAVDLVNETRMDEEIKSWLAFAAQKLIEVNALAKALKGQKDEAFFSANAAAHASRKYSPRVINEDVQKAAAALRGTDHRRATGASARLESQKRKLNLPLLPTTTLGSFPQTLELRKIRREYKANIISEEEYVKAITEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSVAQSMTKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKDEVEDLEKAGIGAIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVISIENSRSDQKLLSVFQEGVRYAAGIGPGVYDIHSPRIPSTDEISEKINKMLTVFDTNILWVNPDCGLKTRKYTEVKPALSNMVAAAKVLRAQLTSDK >itb07g01050.t3 pep chromosome:ASM357664v1:7:658902:664628:-1 gene:itb07g01050 transcript:itb07g01050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFAVMFSSLSSLSLSITSPLSFRHSSNARPATFLRFKFRFRAMASHAVGYPRMGPKRELKFALESFWDGKSSSEDLEKVAADLRVSIWKQMVDAGIKYIPSNTFSYYDQVLDATTMLGAVPPRYGWKGGEIGFDVYFSMARGNSTVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVNEYKEAKSLGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLNLIDSVLPVYKEVVTELKAAGASWIQFDEPSLVMDLSSDQLQAFSHAYSQLESSVTGLNVLIETYFADVPAEAFKMMTTLKGVTAFGFDLVRGSKTVDLIKDRFPSGKYLFAGVVDGRNIWANDLATSLSILQGLENIVGKDKLVVSTSCSLLHTAVDLVNETRMDEEIKSWLAFAAQKLIEVNALAKALKGQKDEAFFSANAAAHASRKYSPRVINEDVQKAAAALRGTDHRRATGASARLESQKRKLNLPLLPTTTLGSFPQTLELRKIRREYKANIISEEEYVKAITEEINKVVKLQEELDIDVLVHGEPEVMNFFACVI >itb07g01050.t2 pep chromosome:ASM357664v1:7:658902:664628:-1 gene:itb07g01050 transcript:itb07g01050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFAVMFSSLSSLSLSITSPLSFRHSSNARPATFLRFKFRFRAMASHAVGYPRMGPKRELKFALESFWDGKSSSEDLEKVAADLRVSIWKQMVDAGIKYIPSNTFSYYDQVLDATTMLGAVPPRYGWKGGEIGFDVYFSMARGNSTVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVNEYKEAKSLGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLNLIDSVLPVYKEVVTELKAAGASWIQFDEPSLVMDLSSDQLQAFSHAYSQLESSVTGLNVLIETYFADVPAEAFKMMTTLKGVTAFGFDLVRGSKTVDLIKDRFPSGKYLFAGVVDGRNIWANDLATSLSILQGLENIVGKDKLVVSTSCSLLHTAVDLVNETRMDEEIKSWLAFAAQKLIEVNALAKALKGQKDEAFFSANAAAHASRKYSPRVINEDVQKAAAALRGTDHRRATGASARLESQKRKLNLPLLPTTTLGSFPQTLELRKIRREYKANIISEEEYVKAITEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSVAQSMTKRPMKGMLTGPVTILNWSFVRDDQPRCDLYDVCFAHSSTAFDNTF >itb12g21830.t1 pep chromosome:ASM357664v1:12:24042884:24047162:-1 gene:itb12g21830 transcript:itb12g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSETNQLLLPPSSSSLPRRHYYDVFLSFRGEDTRKSFTDHLYAALYRAGVNTFRDAEELRKGEDISTDLIKAIQESKISIIVFSKTYASSRWCLEEVVKIVECKENANQVVFPVFYDVNPSEVRKQTGGFADAFSQHQQRFKPQKISQWKTALTKVANFSGWDLQNDVDWYESKFIDKIVENVLQVVNRTYLNVAKYPVGIHARIRNILSFLQSEANDDVRMIGIYGLGGAGKTTLANAVFNQIYRTFDGSCFLGDVGKEYANEGDVGLKRLQEQLLCKILRKSFKVDHVAEGINLIKERLGLKKVLIVVDDVDLESQLDALVGDRNWFGSGSAIIVTTRNVNLLNGLGKDCEKYNVAMLSDEESLQLFSWHAFKNPNPLKPFIELSNMIVSCAGGLPLALTVLGSHFRARSSVHEWSNDFEKLRRIPHNDILKILKISYDALDDDTQRIFLDIACFFIGGFYEEYIVMVLNHSNFYAQSGIRTLIDKCLLAEDLSMHDLVRDMGREVVRKEAPTQPEKRSRLTLADDVFDVLKGDKGTEAIETMIVYLQKNVNLNTKVFSKMTRLRLLKILSMNARGSLKYLSNELRLLYWKNCPLRCISSDLCLGKLVILEIQGDNIEEFQPNLQHFTCLEVLVLALCKNLKRAPNFGGAHSLKKLSLWGCSKLVELPQSIEDLKNLVQLDLGACKNLRALPSSICELKSLKDLNLRGCTKIKELPAKLGKLEQLGLLNAIDTSVSHLPFSCGSLRYLKTLLLPPFLEGYRSVKPIGHLTSSYANLCSLETLRAPYQSLQHLSLLANLDLQTGRGNLSLLKDLDLRYSCFHSLPLNLCHLAQLQVLRLDNCLNLQVIKDLPPCLENLFAVNCPLLESVRDLPPSLKDLLLDDCPLLEDIQDLSGLLGLHYLYFYNCSNLVELRGLENLVELREIDLRNCSTLSSKSWFVNLFKALLQNPNCREWLKMSVSKDMVSKYLCSNNEVAGCSSNYSLPLFLKKKGIFIVVEILCLFRLQFLDYKMIGTELIYPDDDMEVRCTVYNLFTEPNKFQEVEELIELHSSSEQRWKKRSILQTCIVYEEEGEVYFIPMNPNVVIKFHPESIDNEITGMEREQQNEGSCIWDALCLHPLFRCLGFSD >itb12g21830.t2 pep chromosome:ASM357664v1:12:24042884:24047162:-1 gene:itb12g21830 transcript:itb12g21830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSETNQLLLPPSSSSLPRRHYYDVFLSFRGEDTRKSFTDHLYAALYRAGVNTFRDAEELRKGEDISTDLIKAIQESKISIIVFSKTYASSRWCLEEVVKIVECKENANQVVFPVFYDVNPSEVRKQTGGFADAFSQHQQRFKPQKISQWKTALTKVANFSGWDLQNDVDWYESKFIDKIVENVLQVVNRTYLNVAKYPVGIHARIRNILSFLQSEANDDVRMIGIYGLGGAGKTTLANAVFNQIYRTFDGSCFLGDVGKEYANEGDVGLKRLQEQLLCKILRKSFKVDHVAEGINLIKERLGLKKVLIVVDDVDLESQLDALVGDRNWFGSGSAIIVTTRNVNLLNGLGKDCEKYNVAMLSDEESLQLFSWHAFKNPNPLKPFIELSNMIVSCAGGLPLALTVLGSHFRARSSVHEWSNDFEKLRRIPHNDILKILKISYDALDDDTQRIFLDIACFFIGGFYEEYIVMVLNHSNFYAQSGIRTLIDKCLLAEDLSMHDLVRDMGREVVRKEAPTQPEKRSRLTLADDVFDVLKGDKLQVLRLDNCLNLQVIKDLPPCLENLFAVNCPLLESVRDLPPSLKDLLLDDCPLLEDIQDLSGLLGLHYLYFYNCSNLVELRGLENLVELREIDLRNCSTLSSKSWFVNLFKALLQNPNCREWLKMSVSKDMVSKYLCSNNEVAGCSSNYSLPLFLKKKGIFIVVEILCLFRLQFLDYKMIGTELIYPDDDMEVRCTVYNLFTEPNKFQEVEELIELHSSSEQRWKKRSILQTCIVYEEEGEVYFIPMNPNVVIKFHPESIDNEITGMEREQQNEGSCIWDALCLHPLFRCLGFSD >itb10g18370.t1 pep chromosome:ASM357664v1:10:24370034:24374026:1 gene:itb10g18370 transcript:itb10g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRGNSRRRRNAAAVVLLNFLVVLQLYCSSMVPVVMAAKGSKVEHIPGLEYDGPLPFEIETGYIGVGENEEVQVFYYFIKSQRDPTTDPFIFWKVGGPGCSALFAIILEMGPISLEIVEYTGGIPKLKLNPYSWTKVANILYVDLPARAGFTYATTKKANVSSNMQESEQAYEFLRKWFNDYPEYLTNPFYVGGDSFSGLNVPIITRKIADGNEAGTKPLINLKGYILGNPVTSLNNLHEYTIPTAYGMNLIPTDLYKSLEKNCEGNYDHFSNELCARDMGTLTQLLMGINPTHVLEDFCIRVGAGSDGLRRILSRRIPPLPPGEFCWQTFWYLRTDMWANIAAVQDAFHVRRGTIGRWCACNYTNIGYISDMTDALPYHANLSARGLRALVYSGDHDLVVPTMATEAWITLLNYSTVDNWRPWVVDDGIIAGYTRSYSNNMTFATLKGSGHIAPFFTPYECLTMFERWTSGQKL >itb02g04820.t1 pep chromosome:ASM357664v1:2:2843550:2845945:1 gene:itb02g04820 transcript:itb02g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRSLISKLYVNGDGDDLRSGLADADHTPPCNPAGVPSFPYSLWFRWTDAERDSTLWCRSCGLARGTVERRRRGLENWQEIYSSTQNLKSRKVSGLNSIAFTTNKPLSTSLSPHLSFSLPLYLSQSFSVSSSKELTLPRVHPAFPRSLSVCLFASADREAAK >itb03g29540.t1 pep chromosome:ASM357664v1:3:30466754:30467225:-1 gene:itb03g29540 transcript:itb03g29540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLPKMIYSSPITFSNMAKAGEDLCPKKKNGRLPGRTDNEIKNCRSTNLIKKLKSSGIDPPPIKNRRFQEKSYEIIVPKKPGDNRKEIKLNP >itb07g02340.t1 pep chromosome:ASM357664v1:7:1498013:1500444:1 gene:itb07g02340 transcript:itb07g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGKRNKTDKESIDYISSLPESVIHRILSLLPFKQIVSTSVLSKTWNRFWSNYPNIDLTLDFGFHYCPRPQFLSIFEGIMDQCLFRKDCIQKLDLNINFPVLEELVPFLDRSLGAAVVRNVSELVIKIHCGKERDGTNSLYSIPEEVFTGSLKVLEMERCKFEGRHACIELPCLQKFTLNCCTFFGENLLNKILCGCPELEFLDVSFCEGVGDCLSVVSKPRLKYFNVCHLKEIARIEVFAPSLETFKCTTVTPCAIDLARCSALKCLELGGADLSADYVPIQDLLSKLLYIEKLQLSNCRVADKIEISSSCLKKLVIIDYINFPGAEIDLPNLLDLDFSVTGPCNSRSNFSSWNLPKVEEIHMGFSVQSFRALCRAGLKGFLMKLHNYENLNLLIECKGLQMQNFIVFEKLHAISFSSLNTFLKKAMPQFIVISSRRVENLSGRMLIPISRPISLSLICSSRRSIELLYRNLSSDKSMQFACSDFLLVSTEEIEDEMDPAWKSFIKMHSTGYETATIILRGRNWLGDLV >itb07g02340.t2 pep chromosome:ASM357664v1:7:1498069:1500444:1 gene:itb07g02340 transcript:itb07g02340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGKRNKTDKESIDYISSLPESVIHRILSLLPFKQIVSTSVLSKTWNRFWSNYPNIDLTLDFGFHYCPRPQFLSIFEGIMDQCLFRKDCIQKLDLNINFPVLEELVPFLDRSLGAAVVRNVSELVIKIHCGKERDGTNSLYSIPEEVFTGSLKVLEMERCKFEGRHACIELPCLQKFTLNCCTFFGENLLNKILCGCPELEFLDVSFCEGVGDCLSVVSKPRLKYFNVCHLKEIARIEVFAPSLETFKCTTVTPCAIDLARCSALKCLELGGADLSADYVPIQDLLSKLLYIEKLQLSNCRVADKIEISSSCLKKLVIIDYINFPGAEIDLPNLLDLDFSVTGPCNSRSNFSSWNLPKVEEIHMGFSVQSFRALCRAGLKGFLMKLHNYENLNLLIECKGLQMQNFIVFEKLHAISFSSLNTFLKKAMPQFIVISSRRVENLSGRMLIPISRPISLSLICSSRRSIEVLFLFSWPCI >itb11g08990.t1 pep chromosome:ASM357664v1:11:5931655:5931966:1 gene:itb11g08990 transcript:itb11g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPESTSCTTIPTSHGHVQYGPYWRQARRMFIIELFSAKRLDSYEHIRSHELKSMLHQLYNKSLAHNHVLLKDYLFTLNLNVISRMVLGKSYLNESDGAILSS >itb15g23220.t1 pep chromosome:ASM357664v1:15:25969438:25971774:1 gene:itb15g23220 transcript:itb15g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTPPQLTARSPLEPGGGVRRPTAAALVRRVSGNLVFRSKWAELNGAMGDLGTYIPIVLALTLAKNLDLGTTLIFTGVYNFVTGAVYGVPMPVQPMKSIAAAAISSPSFDIPEVMAAGICTGGILFLLGVTGLMQLVYKLIPLSVVRGIQLAQGLSFAMTAVKYVRNEQNFSKSKSTGDRPWLGLDGLLLAIICAGFIILVNGSGEDQENEQEEEEEESPRTKKNVTKIIALLPSAVLIFLLGLILAIIRDPSAVKRFKFGPSSIKVVKISKHSWKEGFVKGTIPQLPLSILNSVVAVCKLSTDLFPEKEVSATSVSVTVGLMNLVGCWFGGMPCCHGAGGLAGQYKFGGRSGGCVAALGVVKVVLGVVLGSSIVKILVQFPVGVLGVLLLFAGIELGMCARDMSSKEESFVLLVCTAVSLVGSSAALGFLCGIVAHLLLKFRKLTGINQRKVSCSIPIP >itb06g00840.t1 pep chromosome:ASM357664v1:6:1989523:1992536:1 gene:itb06g00840 transcript:itb06g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEROXIN-4 [Source:Projected from Arabidopsis thaliana (AT5G25760) UniProtKB/Swiss-Prot;Acc:Q8LGF7] MQASRARLFKEYKEVQREKAADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >itb01g27820.t1 pep chromosome:ASM357664v1:1:32455522:32458642:1 gene:itb01g27820 transcript:itb01g27820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQDVIAVPFPFQGHLNPMLQFCSYLSARGLKVTLLLTHGVAKSMQFPLSHCRVEFISDGTDVGDPPRTFQEYQARLRAAVSDGVAAIIEKQKAKVLVHDAVMPWLLEVGRADGLGVATFFTQPASVCAVYYHMLHGHIQSHTHDSKLRLPSLPELEFPDLPSFSYFADIVEEVTEFNISQASNMPKADCFLINTFHSLEDQVGRADMEERQDVIAVPFPFQGHLNPMLQFCSYLSARGLKVTLLLTHGVAKSMQFPLSHCRVEFISDGTDVGDPPRTFQEYQARLRAAVSDGVAAIIEKQKAKVLVHDAVMPWLLEVGRADGLGVATFFTQPASVCAVYYHMLHGHIQSHTHDSKLRLPSLPELEFPDLPSFSYFADIVEEVTEFNISQASNMPKADCFLINTFHSLEDQVVEWMADKWAVKCVGPLVPVFHKTLHDEKQDRINLFELDDESCIQWLDNREPKSVVYVSFGSIVVLTEEQMEHIAWALAQSNKYFIWIVRVPEQVKLPQNFKVKTSEMGIIMKWCPQIEILSHKAIACFVTHCGWNSILEALCLGVPMVGMPHVADQPTNAKLIEDVWKVGVRVKVDAEGISTRQEIEGCIKQVTGVRAEEFRRNVTKWKGLAKEATSEGGSSYENIKDFVAFAKSYSD >itb03g06720.t2 pep chromosome:ASM357664v1:3:4873449:4875983:1 gene:itb03g06720 transcript:itb03g06720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRDKQSRIHKQKRRTRSSKNKYLKPGALAQLRYSKVSAAKSCTDLGKKRIAVMDADEPSVDGELESEIIAKSPLILSPVGFRFGSVCSPFDMSKVNNLQKTPKTPGAEVCESESRLESLPMDLLVKLLCHLHHDQLKAVFHVSQKIRKAVIQARQFHFNYTTPDRTRQEMLRIMTPLPTDHWPFVSKGDGKDLVPSPHTPKAPRHGPRPPSRLKHTEMRQIAAVLFQESAFPSSRCLVPSVLSKPLCKSLGSNRVLFYEDELCQAVAQNKLL >itb03g06720.t1 pep chromosome:ASM357664v1:3:4873449:4875983:1 gene:itb03g06720 transcript:itb03g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRDKQSRIHKQKRRTRSSKNKYLKPGALAQLRYSKVSAAKSCTDLGKKRIAVMDADEPSVDGELESEIIAKSPLILSPVGFRFGSVCSPFDMSKVNNLQKTPKTPGAEVCESESRLESLPMDLLVKLLCHLHHDQLKAVFHVSQKIRKAVIQARQFHFNYTTPDRTRQEMLRIMTPLPTDHWPFVSKGDGKDLVPSPHTPKAPRHGPRPPSRLKHTEMRQIAAVLFQESAFPSSRCLVPSVLSKPLCKSLGSNRVLFYEDELCQAVAQNKLL >itb01g25310.t1 pep chromosome:ASM357664v1:1:30781294:30784961:-1 gene:itb01g25310 transcript:itb01g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNYSLGINLFSLALHQIRDFIPLKLPNPLFFSEMGEHLLVYVDRIVKPDEARSIQPVQEANLYENRAEMVGPSSSSSDKEEDEMMEDEGAPLIGIAECRICQEEESINNLEIPCSCSGSLKYAHRKCVQHWCNEKGDTICEICHQPYQPGYTAPPRSPPEDTIIDIGGGWQISGVPLDLHDPRFLALAEAERQILEAEYDDYHATSASGAAFCRSVALILMALLLLRHAMSVTDGEGGEEEDPSAMFSLFLLRVVGFLLPCYIMVWAISILQQRRQREEAAALAATQFAFVVQSAQRNGMQFTIASAAPAMPAVQERL >itb01g25310.t4 pep chromosome:ASM357664v1:1:30782447:30784926:-1 gene:itb01g25310 transcript:itb01g25310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNYSLGINLFSLALHQIRDFIPLKLPNPLFFSEMGEHLLVYVDRIVKPDEARSIQPVQEANLYENRAEMVGPSSSSSDKEEDEMMEDEGAPLIGIAECRICQEEESINNLEIPCSCSGSLKYAHRKCVQHWCNEKGDTICEICHQPYQPGYTAPPRSPPEDTIIDIGGGWQISGVPLDLHDPRFLALAEAERQILEAEYDDYHATSASGAAFCRSVALIVSSSKFILHFSFSST >itb01g25310.t5 pep chromosome:ASM357664v1:1:30782447:30784926:-1 gene:itb01g25310 transcript:itb01g25310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLLVYVDRIVKPDEARSIQPVQEANLYENRAEMVGPSSSSSDKEEDEMMEDEGAPLIGIAECRICQEEESINNLEIPCSCSGSLKYAHRKCVQHWCNEKGDTICEICHQPYQPGYTAPPRSPPEDTIIDIGGGWQISGVPLDLHDPRFLALAEAERQILEAEYDDYHATSASGAAFCRSVALIVSSSKFILHFSFSST >itb01g25310.t3 pep chromosome:ASM357664v1:1:30781327:30784687:-1 gene:itb01g25310 transcript:itb01g25310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLLVYVDRIVKPDEARSIQPVQEANLYENRAEMVGPSSSSSDKEEDEMMEDEGAPLIGIAECRICQEEESINNLEIPCSCSGSLKYAHRKCVQHWCNEKGDTICEICHQPYQPGYTAPPRSPPEDTIIDIGGGWQISGVPLDLHDPRFLALAEAERQILEAEYDDYHATSASGAAFCRSVALILMALLLLRHAMSVTDGEGGEEEDPSAMFSLFLLRVVGFLLPCYIMVWAISILQQRRQREEAAALAATQFAFVVQSAQRNGMQFTIASAAPAMPAVQERL >itb01g25310.t2 pep chromosome:ASM357664v1:1:30781294:30784961:-1 gene:itb01g25310 transcript:itb01g25310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLLVYVDRIVKPDEARSIQPVQEANLYENRAEMVGPSSSSSDKEEDEMMEDEGAPLIGIAECRICQEEESINNLEIPCSCSGSLKYAHRKCVQHWCNEKGDTICEICHQPYQPGYTAPPRSPPEDTIIDIGGGWQISGVPLDLHDPRFLALAEAERQILEAEYDDYHATSASGAAFCRSVALILMALLLLRHAMSVTDGEGGEEEDPSAMFSLFLLRVVGFLLPCYIMVWAISILQQRRQREEAAALAATQFAFVVQSAQRNGMQFTIASAAPAMPAVQERL >itb12g09450.t1 pep chromosome:ASM357664v1:12:7415815:7420882:1 gene:itb12g09450 transcript:itb12g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGTPNLQSPVMPPEKLLTAAVAPPSDGSNWVECLGWIYRTVHREKRGHALVACSRIPSPLQQGFLHVPTPLSLKIQICRGGGREVLGGFHAPLSVFPEAGAALGAQAVPEKNGDEAIRESPCLCQWNIFDGIQVLILQFCYGCAFFLENALPDVYIPRGVFCSGTLGIWEFQPKKLGEGDLLTGGDLYASSATPCDTSSGCHGQYSLKDTVLELEFQGVKKQYTMLQTWSVCTPRPVAEKLAADTPLLTGQCRALSKYSNSDTVVYVVGKEEMKWQSFPALSCEFASVDVSDVLGPKPSWEKVANIIQERYEL >itb12g09450.t3 pep chromosome:ASM357664v1:12:7417594:7424176:1 gene:itb12g09450 transcript:itb12g09450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPFARFGHENLVFSFKCLCQWNIFDGIQVLILQFCYGCAFFLENALPDVYIPRGVFCSGTLGIWEFQPKKLGEGDLLTGGDLYASSATPCDTSSGCHGQYSLKDTVLELEFQGVKKQYTMLQTWSVCTPRPVAEKLAADTPLLTGQCRALSKYSNSDTVVYVVGKEEMKWQSFPALSCEFASVDVSDVLGPKPSWEKVANIIQERNGWSNSFGKKVDCTEDDLCRRYLMESSLFIREYTGKVDELIKDKIDAQNEAKAKENEEKDVLKQQQAEPPPPPVEDGGLVTYLSLESDRKKHKAGREEADSRG >itb15g04260.t1 pep chromosome:ASM357664v1:15:2696930:2698818:1 gene:itb15g04260 transcript:itb15g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKHYCLALVFLVIMIFAPLLDCQNIISVSPAPQPSPSFHMYGSTPGSLHPQECLPKCTYRCSNTQYRKPCMFFCQKCCAKCLCVPPGTYGNKQFCPCYNNWKTKRGGPKCP >itb13g21290.t1 pep chromosome:ASM357664v1:13:27906653:27908661:1 gene:itb13g21290 transcript:itb13g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVKGGGAYKSVAQQQAEDDADLRRGPWTVEEDFTLINYIAHHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLMERIQAAAANAAVSSSTTTSANSDGAAAYIHNPLDISATSDPAPPGMQQHPNTVAPTIPDYNAAAAAAVNFPSDNSSTAASSDSFSDLTDCCGYNFHVTQGANHDYYQPNNNNHFGYGESLTSPTGFFNPNLDFQMMDNNNNNNQWMDGADVVSDNLWNVEDMWFFQQQFNNNNNNSHP >itb02g02300.t1 pep chromosome:ASM357664v1:2:1281225:1287405:-1 gene:itb02g02300 transcript:itb02g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSNGYIANTGEGERKVINSELWHACAGPLVSLPAVGSLVVYFPQGHSEQVAASMQKETDGIPNYPNLPSKLICMLHNVTLHADPESDEVYAQMTLQPVNKYDQEALLISDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDYSMQPPAQEIVSRDLHDQTWTFRHIYRGQPKRHLLTTGWSVFVSSKRLCAGDAVLFIRDEKSQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPCEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPTRVSIWDIEPVVTPFYICPPPFFRPKFPRQPGFMGDESDMENVFRRGMPWIGDEFGGLKDASSSMFPGLSLVQWMSMQQNNQVPAQSGLNQTALQGNLGVDNHSRLLNFQSPTFAAQNLQFNKANQQVSQLQQTPSPTWPQQQQQMQLQHSLQPSLPQPQQQQQQQCQLQPQQNMHSSSLSNGAVPSQIPNQSLPQSAMHSQLQQQQQQLMAGNVQLPQNPPAASKPTFPQTSLPQESPFQQQIDPQSVLLHKPQQQQTQLQQAPLQLLQQSLMQRPQAHQSSQQNLSEHQLQLQMLQKLQQQQQQQLLSPVGSNLEQLPQQVLQQNRQSQPPPLPQQQLGNNSFSTSPLLQSPKYPINQPQGQQKPPITIKAHSGLTDGDTPLRSASPSTNNCPVFPSNFLNRNQQPPTILLDDSIVDPSSNLVQELRTKPDLPIKHELPISNRTEQPKYKGNGTDNLDATSSATSYCLDASGLQQNFSLPGFCLDGDVQSHSRNSMPSAANIDALAPDALLSRGYDSGKDMQNLFSNYGNSPRDIETELSTAGINSQTFGVPDMSFKAGCSNDVTINEPGVLNGGLWPNQTPRMRTYTKVQKRGSVGRTIDVTRYKGYEELRQDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWDEFVSCVQSVKILSAAEVQQMSLDGDLGPGPNQACSGTDSGGAWR >itb04g06650.t1 pep chromosome:ASM357664v1:4:4339444:4344635:-1 gene:itb04g06650 transcript:itb04g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MDRDASFLFAGTNFNRKKFTDVFDRFKGKKESDEAEEKLNLIDNGSTQKEEHTSLRVSKKRKRKGAVSDPVEGFSVFTSSKSKTEADGEGKEHIGSEILEGKKEYYRQLERDAIFRKKHNIHVSGSNVPSCLQSFTELKSRFGCKSYLLRNLAKLGFKEPTPIQMQAIPVILSGRECFACAQTGSGKTFAFVCPILMKLKQTSKDGVRAVILCPTRELAAQTARECKKLTKRKEFHIKLMTKQLAKSADFSVLHCDILISTPFRLQYAINKRKLDLSRVEFLVLDEADKLFELGLMEQVDSVFKACSNPSILHSLFSATLPDEVEKIARTIMHDAVRVIIGRKNSASETIKQKLVFVGSEEGKFLALRQTFSESLNPPVLIFVQNKERAKELYNEVKFDDIRADVIHSDLSQKQRENAVDNFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSSSAYIHRIGRSGRAGRSGEAITFYTEADVQFLRNIANVITASGGEVPDWIMSMSKKKWRKHRPQRESISTRPQDD >itb04g33590.t1 pep chromosome:ASM357664v1:4:35788360:35791505:1 gene:itb04g33590 transcript:itb04g33590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYTNYFHGCFNNFNPFHHYPPSSSSSPPSSFHFPPSFPQYHPPPSPPLKQALPLLSLSPTRPQDSSSFSAMEVDGSAKNQEDSFEGDEEEEESTVSVALHLGLPGDADLISRLSAYSNSEDNNNNKGEDQEVVTVVGTNHQYWCSSSSSSSSSSSPSTSTLHKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGNGHAAYGIDCFDEEDEPASEIEQDNEHDDQINQP >itb05g17360.t1 pep chromosome:ASM357664v1:5:24325464:24338986:1 gene:itb05g17360 transcript:itb05g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMIARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRPAHRRKSELHHALCNMLSNILAPLADGGKGQWPPSGVESALTLWYDAVALIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPIVFLSNFGPHMEQLYKHLKDKNLRFMALDCSHRVMRFYLSVHGDSQPKNRVWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIADHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPNSEHIGLEILHVRGCGHYVPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAIMRGMANFILRLPDEFPLLIQTQLGRLLDLMRFWRVCLSDSKIDSDTPEAKPAQRTEGFKKSSFHHPQEAIERASEIDAVGLIFLSSVDSQIRHTAWELLRFVRALRNDIQELSIHDTSDSDRIMRNEADPIFIIDVLEEHGDDIVQSCYWDSGRPFDMRRESDPIPPDVTLQSILFESPDKNRWARCLSELVKYASELCPSSVQEAKLEVIQRLAHITPVELGGKAHQSQDTDNKLDQWLMYAMFACSCPSDSREGGGSAAMKELFHVIFPSLKSGSEAHVHAATMALGRSHLEICEVMFSELASFIDEVSLETEGKPKWKSQKNRREELRIHIANIYRTVAENIWPGMLARKPVFRLHYLKFIEETTRQILTAPPENFQEIQPLRYALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLLSWTDDTGSTWSQESVSDYRREVERYKSSQHSRSKDSIDKLSFDKELSEQVEAIQWQSMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRVQFGYSPADPRTPSHSKYTGEGGRGANGRDRHRGGHLRVSLAKQALKNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGNYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDVIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRRGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDTVEPLRPSANKGDGNGVVLEFSQGPSIAQVANVVDSQPHMSPLLVRGSFDGPLRNASGSLSWRTAGVGGRSASGPLSPMPPEMNIVPVSAGRSGQLLPALVNMSGPLIGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHSATGMLGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVESSDGENKQQVVSLIKYVQSKQGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALRWAMECTSRHLACRSHQIYRALRPRVTNDACVSLLRCLHRCLGNPVPAVLGFIMEIVLTLQVMVENMEPEKVILYPQLFWGCVAMMQTEFVHVYCQVLELFSRIVGRLSFRDRTTENVLLSSMPRDELDSSASDSSDFQRLETRNASTPSPNSKKVPVFEGVQPLVLKGLMSTVSHGVSIEVLSLITVPSCDSIFGDAETRLLMHIIGLLPWLCLHLSQDAVGGPATPFQQQHQKASSVATNIAGWCRAKSLDELAAVFISYSRGEIRSILNLLACVSPLLCNEWFPKHSALAFGHLLKLLERGPPEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSMPGSHPHEPGTFENGLGGIEEKILAPQTSFKARSGPLQFPMGTATGTGSAQAGQANTTESGLTPRDIALKNTRLMLGRVLDCSPLGRRRDYRRLVPFVTSTGNP >itb05g17360.t4 pep chromosome:ASM357664v1:5:24327480:24338982:1 gene:itb05g17360 transcript:itb05g17360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLECWICGKKEINHSFDFNELNISQCRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRPAHRRKSELHHALCNMLSNILAPLADGGKGQWPPSGVESALTLWYDAVALIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPIVFLSNFGPHMEQLYKHLKDKNLRFMALDCSHRVMRFYLSVHGDSQPKNRVWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIADHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPNSEHIGLEILHVRGCGHYVPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAIMRGMANFILRLPDEFPLLIQTQLGRLLDLMRFWRVCLSDSKIDSDTPEAKPAQRTEGFKKSSFHHPQEAIERASEIDAVGLIFLSSVDSQIRHTAWELLRFVRALRNDIQELSIHDTSDSDRIMRNEADPIFIIDVLEEHGDDIVQSCYWDSGRPFDMRRESDPIPPDVTLQSILFESPDKNRWARCLSELVKYASELCPSSVQEAKLEVIQRLAHITPVELGGKAHQSQDTDNKLDQWLMYAMFACSCPSDSREGGGSAAMKELFHVIFPSLKSGSEAHVHAATMALGRSHLEICEVMFSELASFIDEVSLETEGKPKWKSQKNRREELRIHIANIYRTVAENIWPGMLARKPVFRLHYLKFIEETTRQILTAPPENFQEIQPLRYALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLLSWTDDTGSTWSQESVSDYRREVERYKSSQHSRSKDSIDKLSFDKELSEQVEAIQWQSMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRVQFGYSPADPRTPSHSKYTGEGGRGANGRDRHRGGHLRVSLAKQALKNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGNYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDVIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRRGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDTVEPLRPSANKGDGNGVVLEFSQGPSIAQVANVVDSQPHMSPLLVRGSFDGPLRNASGSLSWRTAGVGGRSASGPLSPMPPEMNIVPVSAGRSGQLLPALVNMSGPLIGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHSATGMLGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVESSDGENKQQVVSLIKYVQSKQGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALRWAMECTSRHLACRSHQIYRALRPRVTNDACVSLLRCLHRCLGNPVPAVLGFIMEIVLTLQVMVENMEPEKVILYPQLFWGCVAMMQTEFVHVYCQVLELFSRIVGRLSFRDRTTENVLLSSMPRDELDSSASDSSDFQRLETRNASTPSPNSKKVPVFEGVQPLVLKGLMSTVSHGVSIEVLSLITVPSCDSIFGDAETRLLMHIIGLLPWLCLHLSQDAVGGPATPFQQQHQKASSVATNIAGWCRAKSLDELAAVFISYSRGEIRSILNLLACVSPLLCNEWFPKHSALAFGHLLKLLERGPPEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSMPGSHPHEPGTFENGLGGIEEKILAPQTSFKARSGPLQFPMGTATGTGSAQAGQANTTESGLTPRDIALKNTRLMLGRVLDCSPLGRRRDYRRLVPFVTSTGNP >itb05g17360.t3 pep chromosome:ASM357664v1:5:24325767:24338986:1 gene:itb05g17360 transcript:itb05g17360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMIARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRPAHRRKSELHHALCNMLSNILAPLADGGKGQWPPSGVESALTLWYDAVALIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPIVFLSNFGPHMEQLYKHLKDKNLRFMALDCSHRVMRFYLSVHGDSQPKNRVWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIADHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPNSEHIGLEILHVRGCGHYVPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAIMRGMANFILRLPDEFPLLIQTQLGRLLDLMRFWRVCLSDSKIDSDTPEAKPAQRTEGFKKSSFHHPQEAIERASEIDAVGLIFLSSVDSQIRHTAWELLRFVRALRNDIQELSIHDTSDSDRIMRNEADPIFIIDVLEEHGDDIVQSCYWDSGRPFDMRRESDPIPPDVTLQSILFESPDKNRWARCLSELVKYASELCPSSVQEAKLEVIQRLAHITPVELGGKAHQSQDTDNKLDQWLMYAMFACSCPSDSREGGGSAAMKELFHVIFPSLKSGSEAHVHAATMALGRSHLEICEVMFSELASFIDEVSLETEGKPKWKSQKNRREELRIHIANIYRTVAENIWPGMLARKPVFRLHYLKFIEETTRQILTAPPENFQEIQPLRYALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLLSWTDDTGSTWSQESVSDYRREVERYKSSQHSRSKDSIDKLSFDKELSEQVEAIQWQSMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRVQFGYSPADPRTPSHSKYTGEGGRGANGRDRHRGGHLRVSLAKQALKNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGNYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDVIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRRGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDTVEPLRPSANKGDGNGVVLEFSQGPSIAQVANVVDSQPHMSPLLVRGSFDGPLRNASGSLSWRTAGVGGRSASGPLSPMPPEMNIVPVSAGRSGQLLPALVNMSGPLIGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHSATGMLGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVESSDGENKQQVVSLIKYVQSKQGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALRWAMECTSRHLACRSHQIYRALRPRVTNDACVSLLRCLHRCLGNPVPAVLGFIMEIVLTLQVMVENMEPEKVILYPQLFWGCVAMMQTEFVHVYCQVLELFSRIVGRLSFRDRTTENVLLSSMPRDELDSSASDSSDFQRLETRNASTPSPNSKKVPVFEGVQPLVLKGLMSTVSHGVSIEVLSLITVPSCDSIFGDAETRLLMHIIGLLPWLCLHLSQDAVGGPATPFQQQHQKASSVATNIAGWCRAKSLDELAAVFISYSRGEIRSILNLLACVSPLLCNEWFPKHSALAFGHLLKLLERGPPEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSMPGSHPHEPGTFENGLGGIEEKILAPQTSFKARSGPLQFPMGTATGTGSAQAGQANTTESGLTPRDIALKNTRLMLGRVLDCSPLGRRRDYRRLVPFVTSTGNP >itb05g17360.t2 pep chromosome:ASM357664v1:5:24325464:24338986:1 gene:itb05g17360 transcript:itb05g17360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMIARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRPAHRRKSELHHALCNMLSNILAPLADGGKGQWPPSGVESALTLWYDAVALIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPIVFLSNFGPHMEQLYKHLKDKNLRFMALDCSHRVMRFYLSVHGDSQPKNRVWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIADHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPNSEHIGLEILHVRGCGHYVPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRTDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAIMRGMANFILRLPDEFPLLIQTQLGRLLDLMRFWRVCLSDSKIDSDTPEAKPAQRTEGFKKSSFHHPQEAIERASEIDAVGLIFLSSVDSQIRHTAWELLRFVRALRNDIQELSIHDTSDSDRIMRNEADPIFIIDVLEEHGDDIVQSCYWDSGRPFDMRRESDPIPPDVTLQSILFESPDKNRWARCLSELVKYASELCPSSVQEAKLEVIQRLAHITPVELGGKAHQSQDTDNKLDQWLMYAMFACSCPSDSREGGGSAAMKELFHVIFPSLKSGSEAHVHAATMALGRSHLEICEVMFSELASFIDEVSLETEGKPKWKSQKNRREELRIHIANIYRTVAENIWPGMLARKPVFRLHYLKFIEETTRQILTAPPENFQEIQPLRYALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLLSWTDDTGSTWSQESVSDYRREVERYKSSQHSRSKDSIDKLSFDKELSEQVEAIQWQSMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRVQFGYSPADPRTPSHSKYTGEGGRGANGRDRHRGGHLRVSLAKQALKNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIEGSGNYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDVIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRRGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDTVEPLRPSANKGDGNGVVLEFSQGPSIAQVANVVDSQPHMSPLLVRGSFDGPLRNASGSLSWRTAGVGGRSASGPLSPMPPEMNIVPVSAGRSGQLLPALVNMSGPLIGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHSATGMLGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVESSDGENKQQVVSLIKYVQSKQGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALRWAMECTSRHLACRSHQIYRALRPRVTNDACVSLLRCLHRCLGNPVPAVLGFIMEIVLTLQVMVENMEPEKVILYPQLFWGCVAMMQTEFVHVYCQVLELFSRIVGRLSFRDRTTENVLLSSMPRDELDSSASDSSDFQRLETRNASTPSPNSKKVPVFEGVQPLVLKGLMSTVSHGVSIEVLSLITVPSCDSIFGDAETRLLMHIIGLLPWLCLHLSQDAVGGPATPFQQQHQKASSVATNIAGWCRAKSLDELAAVFISYSRGEIRSILNLLACVSPLLCNEWFPKHSALAFGHLLKLLERGPPEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSMPGSHPHEPGTFENGLGGIEEKILAPQTSFKARSGPLQFPMGTATGTGSAQAGQANTTESGLTPRDIALKNTRLMLGRVLDCSPLGRRRDYRRLVPFVTSTGNP >itb15g08210.t1 pep chromosome:ASM357664v1:15:5735029:5735874:-1 gene:itb15g08210 transcript:itb15g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGHWASAFSVNVEIALKLKGVEYEFILEDLPNKSPLLLKSNPVHKKIPVLLHNGNPLPESLVMLLHNGNPLPESLVILLHNGNPLPESLVIIEYIDETFPGTPILPKHPYEKAMARFWAKFIDDKFFSGAKKALFIRGEEEEKGKEEVCEALKILDSELKNKKFFGGEMIGLADLAASFIALWMGVFEEVIGVDLGVTQEKFPHLCCWKQDFLNCDVIKETLPPRDKLFAFHNKRYNPEATSTATQ >itb02g11000.t1 pep chromosome:ASM357664v1:2:7194681:7195896:-1 gene:itb02g11000 transcript:itb02g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVNCKSLLLILLVHFAGLVEIHAQKPEKVACLRRGLRCFLKSMTCPQECPSRNPKVPKAKACFINYRVPNCNGVGAACYDPRFIGGDGRVFYFHGKSNEHFSLVSDPNLQINARFIGRRPAGRARDYTWIQALGILFGTHTLSLSATKAAEWDDTIDHLKFSYNGEHIFLPETPFSVWKSPENDVKVERISSKNSVTISVSEIAEIAVNVVPVTKEDDRVHNYQIPRDDCFVHLELQFRFFGLSPEVEGLLGRTYRPDFQNPAKPGVAMPVVGGNDKYRVTSLLSTDCLYCLFNPVGITAHKEYAIL >itb06g18510.t1 pep chromosome:ASM357664v1:6:22138357:22148858:1 gene:itb06g18510 transcript:itb06g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNTERQFQASIADFPTSPASVQAFIASSQSAAPPSLTPRSRCPPASWIQRVEGVLFLKLFVTSTDDRSEMGSDLKNWVSDNLMSLLGYSQSTLVNYVIGLAKKASSPNELVSRLIELGVSSNHKTQAFALEIFSRVEHKAAGPNLYQRQEREAAILARKQKTYALLDDDDDEDGDGDVSGTVGSNAGPVSSQTRREEGHGKRFRRRAGTDADEDEDEEKIKEAEEARRVRRRTSEEDDDGADSSESEEERLRDQREREELERHIRERDAASTKKLTEPKLSRKEEEEAIRRSDALERDEIGTLRKVSRQEYLKKREQKKLEELRDEIEDEQYLFDGVKLTEAEYKEMRYKKELYDLIKKRTEEADNTNEYRMPDAYDVEGGINQEKRFAVALQRYRDPEANDKMNPFAEQEAWEDHQISKATLKFGSKDTKAKSEDYQFVFEDQIDFIKAAVMEGVNVDQEYAVDELETSVAKSAFEKLQADRKTLPIYPYRDELLQAINDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGMVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLLKYMTDGMLLREFLGEPDLASYSVIMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVEIHYTKAPEADYLDAAIVTALQIHVTQPPGDGDILVFLTGQEEIETAEEIIKHRVKGLGTKIAELIICPIYANLPTELQSKIFEPTPERARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPVSKASANQRAGRSGRTGPGKCFRLYTAYNYYNDLEDNTVPEIQRTNLANVVLSLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASEKYKCSDEIITIAAMLSIGNSIFYRPKDKQVHADNARLNFHMGNVGDHIALMKVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELTSNLNDLEPIKKCITSGFFPHSAKLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVVYHELVLTSKEYMRQVTELKPEWLVEIAPHYYQLKDVEDNVSKKMPRGEGRAS >itb06g07370.t1 pep chromosome:ASM357664v1:6:10883108:10888792:1 gene:itb06g07370 transcript:itb06g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRDRTFGHSKRDSFSSTASAAAAAIISSASSRFSGTTTTSSALSPLPSPFGDLTPTLTAADIRETAYEIFVAACRTSTGKALTYIPSSADGSPSPSSNSNSSSSSPSMQRSLTSTAASKMKKALGLRSSSSSASKRADGSPGSGGKPKKPMTIGELMRVQMRVSETVDSRIRRGLLRISASQLGRRIESAVLPLELLQQFKSSDFTDQAEYEMWQKRNLKILEAGLLLHPHMPLDKSNPAAQRLKQIIQAALDRPMETGRNNESMQVLRTAVVALASRSSGGSVLESSHWADGFPLNLRLYEILLEAIFDVNDETSIIEEVDELMELIKKTWGILGLNQMLHNVCFTWVLFNRFVATGQGENDLLDAADVQLAEVAKDAKATKDPAYSKVLSSTLTAMLGWAEKRLLAYHETFDGGNIESMQTIVSIGVSAAKILVEDISNEYRRRRKGEVDVARSRIDTYIRSSLRTAFAQRMEKADSNRRASRNQLNPLPVLVILAKDVGELATKEKEVFSPILKRWHPFAAGVAVATLHVCYGNELKQFVSGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPFEAEGIIANMVKDWIKTRTDRLKEWVDRSLQQEVWNPRANEYAPSAIDLLRVMDETMDAFFQLPIPMHPALLPDLMAGLDRCLQYYVTKTKSGCGSRNTYIPTMPALTRCTTGTKFQGVWKKKDKSVNTQKRNSQVATMNGDSSFAMPQLVVRINTLQKIRTELEGLEKRIITLLRNSESAHIEDISNGLGKKFEITPAACVEAIQQLSEAMAYKIVFHDLSHVLWDGLYVGEPSSSRVESFLQELEQKLTIISNTVNERVRTRIIADIMKASFDGFLLVLLAGGPCRAFTRQDSQIIEDDFKSLKDLFWANGDGLPTDIINKYSTTVRDVLPLYRADTESLIERFRRLTLEAYGSSAKSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEAATKFLKKTYNLPKKL >itb05g08330.t1 pep chromosome:ASM357664v1:5:11665743:11666060:-1 gene:itb05g08330 transcript:itb05g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYVVGMKREGSCELDGEGVKRAKTMAGFDVVGEGDMMAWLNLDDDTMTELSKLLDPDTTSSQQDVRVKFIHDPYSPTVIFKSSAYTATRSPAARLSPTRSPP >itb15g17200.t1 pep chromosome:ASM357664v1:15:17562306:17563116:1 gene:itb15g17200 transcript:itb15g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLNSLAGIEIGRNAIVEEGGIAALVEAIEDSSDKGEEFSVLTLLQLCIHSVRNRGMLVREGGIPPLVALSQNGTAKAKHKAETLLGYLREPRQEASTSTP >itb13g01370.t3 pep chromosome:ASM357664v1:13:1305562:1309326:1 gene:itb13g01370 transcript:itb13g01370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPAASPSIRISHRSSLFPNCPSVSWKSQYRPHSVVLSSRIRASSSLAVEPELSTQAQTSTDVELFACPVCYEPLIRKGPSGFNLPAIYRSGFKCKKCNKTYSSKNIYVDLTVTAGTKEYNEFKPARTELFRSPLVSFLYERGWRQNFNRSGFPGPDEEFQMAQEYFKAVQGGILVDVSCGSGLFSRKFAKSGDYSRVIALDFSENMLRQCYDFIKNDESILNSYVTPATTSLYETKVAFSEILLRPNLQESCTCEGRCFPITFLIWIS >itb13g01370.t2 pep chromosome:ASM357664v1:13:1305562:1309349:1 gene:itb13g01370 transcript:itb13g01370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPAASPSIRISHRSSLFPNCPSVSWKSQYRPHSVVLSSRIRASSSLAVEPELSTQAQTSTDVELFACPVCYEPLIRKGPSGFNLPAIYRSGFKCKKCNKTYSSKNIYVDLTVTAGTKEYNEFKPARTELFRSPLVSFLYERGWRQNFNRSGFPGPDEEFQMAQEYFKAVQGGILVDVSCGSGLFSRKFAKSGDYSRVIALDFSENMLRQCYDFIKNDESILNSNLALVRADVSRLPFSSGSVDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRFRQSTPAILRPLREVSHSSFILFV >itb13g01370.t4 pep chromosome:ASM357664v1:13:1305562:1309349:1 gene:itb13g01370 transcript:itb13g01370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPAASPSIRISHRSSLFPNCPSVSWKSQYRPHSVVLSSRIRASSSLAVEPELSTQAQTSTDVELFACPVCYEPLIRKGPSGFNLPAIYRSGFKCKKCNKTYSSKNIYVDLTVTAGTKEYNEFKPARTELFRSPLVSFLYERGWRQNFNRSGFPGPDEEFQMAQEYFKAVQGGILVDVSCGSGLFSRKFAKSGDYSRVIALDFSENMLRQCYDFIKNDESILNSYVTPATTSLYETKVAFSEILLRPNLQESCTCEGRCFPITFLIWIS >itb13g01370.t1 pep chromosome:ASM357664v1:13:1305562:1309349:1 gene:itb13g01370 transcript:itb13g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPAASPSIRISHRSSLFPNCPSVSWKSQYRPHSVVLSSRIRASSSLAVEPELSTQAQTSTDVELFACPVCYEPLIRKGPSGFNLPAIYRSGFKCKKCNKTYSSKNIYVDLTVTAGTKEYNEFKPARTELFRSPLVSFLYERGWRQNFNRSGFPGPDEEFQMAQEYFKAVQGGILVDVSCGSGLFSRKFAKSGDYSRVIALDFSENMLRQCYDFIKNDESILNSNLALVRADVSRLPFSSGSVDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRFRQSTPAILRPLRERALQGYSYLTEEEIEDLCKSCGLVNFSKKVQESFIMFSAQKP >itb02g17420.t3 pep chromosome:ASM357664v1:2:13363167:13370447:-1 gene:itb02g17420 transcript:itb02g17420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTECPASTVPSAQIVGNAFVEQYYHILHHSPELVYKFYHDSSILSRQEPNGMISSVTTMEAINEKILSLDSKNHKAEIKTADAQDSHQAGVILLVTGCLTGKDNVSKKFTQTFFLAPQEKGYFVLNDIFRYVEENESLENNSTSVNGINDAPPAVLPSDPEPVHVPDHSTFDPATTAPSEEQNGAEVCDPSDNEEGSVIEEEVVNEPQAHQSQNETSAVDCSDPSAAQDEKKSYASIVKVTKAATSTIIPYAPTRSTHVGPAKSDQQAVGSEKPSAAQDSLPPNDNVPESYDAHEEGYSIYVRNLPSNATPAQLEEVFKKFGLIKRNGIQVRSNKQGFCFGFVEFESSSSMQNAIEASPITIGGRQAVVEEKRTTTRVVSSSRGRYPSGRGGFRNENFRGRGNFGGGGGRGYGRNEFRNQAEFSIRSKGPGGRNVETYRRVDQNENGRQGGMNKNAVSA >itb02g17420.t1 pep chromosome:ASM357664v1:2:13363167:13370447:-1 gene:itb02g17420 transcript:itb02g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTECPASTVPSAQIVGNAFVEQYYHILHHSPELVYKFYHDSSILSRQEPNGMISSVTTMEAINEKILSLDSKNHKAEIKTADAQDSHQAGVILLVTGCLTGKDNVSKKFTQTFFLAPQEKGYFVLNDIFRYVEENESLENNSTSVNGINDAPPAVLPSDPEPVHVPDHSTFDPATTAPSEEQNGAEVCDPSDNEEGSVIEEEVVNEPQAHQSQNETSAVDCSDPSAAQDEKKSYASIVKVTKAATSTIIPYAPTRSTHVGPAKSDQQAVGSEKPSAAQDSLPPNDNVPESYDAHEEGYSIYVRNLPSNATPAQLEEVFKKFGLIKRNGIQVRSNKQQGFCFGFVEFESSSSMQNAIEASPITIGGRQAVVEEKRTTTRVVSSSRGRYPSGRGGFRNENFRGRGNFGGGGGRGYGRNEFRNQAEFSIRSKGPGGRNVETYRRVDQNENGRQGGMNKNAVSA >itb02g17420.t2 pep chromosome:ASM357664v1:2:13363167:13370447:-1 gene:itb02g17420 transcript:itb02g17420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTECPASTVPSAQIVGNAFVEQYYHILHHSPELVYKFYHDSSILSRQEPNGMISSVTTMEAINEKILSLDSKNHKAEIKTADAQDSHQAGVILLVTGCLTGKDNVSKKFTQTFFLAPQEKGYFVLNDIFRYVEENESLENNSTSVNGINDAPPAVLPSDPEPVHVPDHSTFDPATTAPSEEQNGAEVCDPSDNEEGSVIEEEVVNEPQAHQSQNETSAVDCSDPSAAQDEKKSYASIVKVTKAATSTIIPYAPTRSTHVGPAKSDQQAVGSEKPSAAQDSLPPNDNVPESYDAHEEGYSIYVRNLPSNATPAQLEEVFKKFGLIKRNGIQVRSNKQQGFCFGFVEFESSSSMQNAIEASPITIGGRQAVVEEKRTTTRVVSSSRGRYPSGRGGFRNENFRGRGNFGGGGGRGYGRNEFRNQAEFSIRSKGPGGRNVETYRRVDQNENGRQGGMNKNAVSA >itb02g17420.t4 pep chromosome:ASM357664v1:2:13363167:13368611:-1 gene:itb02g17420 transcript:itb02g17420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTECPASTVPSAQIVGNAFVEQYYHILHHSPELVYKFYHDSSILSRQEPNGMISSVTTMEAINEKILSLDSKNHKAEIKTADAQDSHQAGVILLVTGCLTGKDNVSKKFTQTFFLAPQEKGYFVLNDIFRYVEENESLENNSTSVNGINDAPPAVLPSDPEPVHVPDHSTFDPATTAPSEEQNGAEVCDPSDNEEGSVIEEEVVNEPQAHQSQNETSAVDCSDPSAAQDEKKSYASIVKVTKAATSTIIPYAPTRSTHVGPAKSDQQAVGSEKPSAAQDSLPPNDNVPESYDAHEEGYSIYVRNLPSNATPAQLEEVFKKFGLIKRNGIQVRSNKQQGFCFGFVEFESSSSMQNAIEASPITIGGRQAVVEEKRTTTRVVSSSRGRYPSGRGGFRNENFRGRGNFGGGGGRGYGRNEFRNQAEFSIRSKGPGGRNVETYRRVDQNENGRQGGMNKNAVSA >itb03g28800.t1 pep chromosome:ASM357664v1:3:29507333:29510886:-1 gene:itb03g28800 transcript:itb03g28800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLSGGGRAYKLEVEIIKSPLSSSSSSTCWASRLSSSSPSPTLSESTNSSSLALSTRKPRTPRKRPNQTYHEAAALLSTAYPAVFTSKFAPPENPAFSSFSDLLFSETHPTRNDDDDTFLLQYSPVDERIQPAALPCKLLAGWEIHDSNSRPISFDYCEDFQDGFDAAGSILDGEIEEGGIDSIMGKLGGIGGDDSALKEGEWMGATSYGFPIGMGFKAAIKNNGDYWWRFPSVNVVDITPNLEKSAPAPEKMKNKKKKKKKKVETLGEFRIAQEEERSLSSSSAQSKEESSNIPNPKSTLLLKLNHHSVLTEWMDRPLPFSDETLCSGATGTDVQARLAQIDLFSESGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFMKSPNSPDDSEQG >itb14g04590.t1 pep chromosome:ASM357664v1:14:4084425:4086344:-1 gene:itb14g04590 transcript:itb14g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKKSLGVIGMASILFLMAFVVGATATESGSCAPSDTKGTCEVQSIEHQPQDVTKEGTFAVKSTEHRPEEVMKEGFVAVQGIEHRPKEVMKEGFVAVQGIEHRPKEVMKEGFSLDSIADAIGKSEHLQESVKALCASTDFKELCEKSLARANHSRDPKKLLNAAFSVAWENLSESMSKSELLKRAHKDPRTHEALEICKEVLDHSISDLKRSARKVEKSTTINAEHGNDLKVWLSAAITFEETCLDAFENTTGDTGEKMRHLLKTAMELTSNALAMLTKLTDLLKTLEIPGISRRLLEDVNSTDEFPHFVDAPTRRLLTAPPSSIKPDMVVSKDGSGKFNSINSALATIPPKSNRTVVILIKAGVYSEYVIVPRKVNNVVFVGEGPGKTVITGNKNFIDGVGTYKTATVAVEGDGFICRDLTIENRAGAAKHQAVALRVSADMVVIHNCNIEAYQDTLYAHSYRQFYRGCTITGTIDFIFGDGSAVFQNCKMIVRKPMENQACMVTAQGRKDRRGVSGIVLQACEILPDPALKGVTPPVKVYLGRPWKEFSRTIIMSSFIDGFIAPEGWSPWQGNFALDTCWYAEYGNRGPGANLASRVKWAGYKRNISPEIAKQFSPSVYLDGDAWIKRTGVPYTP >itb10g15950.t1 pep chromosome:ASM357664v1:10:22200711:22203531:1 gene:itb10g15950 transcript:itb10g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSSFWVAMVLGIFVLSETSKSLVENDKQALMEFVKNVPHSRPLNWDEKLSVCKNWSGVTCSEDGSRVIGLRLPGAGFHGPIPENTLSRLSALQVLSLRSNGISGKFPSDFGNLKNLSYLYFQNNHFSGPLPLDLGVWENLTIVNLSNNGFNGSIPDSISGLIRLTHLDLSSNSFSGEIPDMNLPSLQFLNLSDNNLSGVLPVSLQRFPRSVFFGNNNVSFLDYSVSNSAGAGELSERALLGIILSVSVIGILGFGFGVLLLICCLRRKQQEEAFQGEMEKGEMSPEEKAISLQTQETMSNRLVFFEGFNYAFDLEDLLGASAEVLGKGTYGMAYKVILEEATTTVVVKRLKELGVGKRGFEQQMQVVGSIKHENVIELRAYYFSKEEKLIVYDYFTHGSVSAMLHGRNGESKRHLDWKTRLRIAIGAARGIARIHEENGGKLVHGNIKSSNIFLNSRQYGCVSDVGISTIMSPLTLPVARSAGYCAPEAMDNRRAAQPSDVYSFGVVLLELLTGKSPLHATRGGDEIVHLVRWVHSVVREEWTAEVFDSELMKFPDAQEEMVEMLQIALSCVVRVPDQRPQIHQVVKMIENLQHIDMEKTERQSFENAASKPLTPAQGELLVILDSQDQITIY >itb03g19950.t1 pep chromosome:ASM357664v1:3:17884271:17885298:1 gene:itb03g19950 transcript:itb03g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDNKKISQKDFLLKLQKLIIQKAFLIQESHYHYKLFLQIDETARSEQPRVWWHETINSYLRISLWYQSRGVVATPQQPLAPESPAREQIRPPLLIDRQFECHQ >itb13g20540.t2 pep chromosome:ASM357664v1:13:27349043:27355030:1 gene:itb13g20540 transcript:itb13g20540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKVAFLFGTGVVVSALAKESSFGDYFSGAFKIIFKQIKQDNSKSPNPKPHSDALLKQVNSLREELQLLASNRSVTIITSGGSGSSGKYGMIVVVVVVGYGYLWWKGWKLPDLMFATRRGLTDACSTVTKQLEGVYTSIAATKRHLSSRIDRVDSKIDECGDNTAAIKEEVSEFRGEVRTIGDDLQSVHLVVQNLETKISRIEGRQNETNFGLGKLVAVAKNLENRRAMEQIEASASSSTRLSLELPEVTPSTVPSQVEPLSPNSPVEPPSPSASNGFQKRSPHGAAPSSPSGAKIHRGISDVVGMASGNSPQILNAASTSERSGLFGRTLSASAAFITRSRSAVQTFK >itb13g20540.t1 pep chromosome:ASM357664v1:13:27349043:27355030:1 gene:itb13g20540 transcript:itb13g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKVAFLFGTGVVVSALAKESSFGDYFSGAFKIIFKQIKQDNSKSPNPKPHSDALLKQVNSLREELQLLASNRSVTIITSGGSGSSGKYGMIVVVVVVGYGYLWWKGWKLPDLMFATRRGLTDACSTVTKQLEGVYTSIAATKRHLSSRIDRVDSKIDECGDNTAAIKEEVSEFRGEVRTIGDDLQSVHLVVQNLETKISRIEGRQNETNFGLGKLVAVAKNLENRRAMEQIEASASSSTRLSLELPEVTPSTVVEPLSPNSPVEPPSPSASNGFQKRSPHGAAPSSPSGAKIHRGISDVVGMASGNSPQILNAASTSERSGLFGRTLSASAAFITRSRSAVQTFK >itb04g31720.t1 pep chromosome:ASM357664v1:4:34466836:34471000:1 gene:itb04g31720 transcript:itb04g31720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSAKQVLQQSNTGDPNSISNLSLTHKALSDVSCLVEFENIQRLDLSFNNLISIEGLKSCVNLKWLSVAQNKLQSLKGIESFSKLTVLNASKNKLKSMDELSSLVSLGALILNDNEITSICKLDQMKDLNTLVLSRNPIRGIGLFLAKKNSLSKLSLSNCQLECIDSSLKSCTELKELRLSHNEIKTLPSELACNTKLLNLDIGNNEIMRWSDLKVLSSLVNLKNLNLLGNPIAEKEGLAKKIKKLVPTVVTFNAKPIDKILNEGVHPDSIGDWDGKESKPKKQKRSETQEEETLNHKDNIALFNEKSKKKLKLNKNIADKVEVISQDPDVTKESKQKNKKKNENAKEKTSDREMQQLENKKHTNNDIEALSDTRKESKQKKPKKSELVTEKTSNQKVGVAKIEKELGKEQKEAKQSKNAVIDDGETPFMDLFMADMSANSISSGMMETDQGAIHTVDEIAGVVTFNKKKKKNKKQNIDPGALQLSTAADEVGLGGPSTWDA >itb06g13300.t1 pep chromosome:ASM357664v1:6:18012459:18014655:-1 gene:itb06g13300 transcript:itb06g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHNTSRLTHLSSAILPSNVRIHSCKPLVSTSTPSQSHRILTSLRSAAAAADSTTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIVGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECKTGDVVSVELGENKLINHTTGKEYKLKPIGDAGPVVEADGIFAYARKTGMIPSRQA >itb06g13300.t3 pep chromosome:ASM357664v1:6:18012459:18014656:-1 gene:itb06g13300 transcript:itb06g13300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHNTSRLTHLSSAILPSNVRIHSCKPLVSTSTPSQSHRILTSLRSAAAAADSTTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIVGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECKTGDVVSVELGENKLINHTTGKEYKLKPIGDAGPVVEADGIFAYARKTGMIPSRQA >itb06g13300.t2 pep chromosome:ASM357664v1:6:18012459:18014656:-1 gene:itb06g13300 transcript:itb06g13300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHNTSRLTHLSSAILPSNVRIHSCKPLVSTSTPSQSHRILTSLRSAAAAADSTTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIVGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECKTGDVVSVELGENKLINHTTGKEYKLKPIGDAGPVVEADGIFAYARKTGMIPSRQA >itb07g19500.t1 pep chromosome:ASM357664v1:7:23934913:23935552:1 gene:itb07g19500 transcript:itb07g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGAGSPCGACKFLRRRCVPGCVFVPYFCSDDGPAIFAAIHKVFGASNVSKLLLQLPVQQRFPAVFSIGIEAQARMEDPIYGCVSHIIALQQQVLNLRAQVMEARALQAQYLLNSMNAPTMVGGQAPFPAADLNATNPSTVDGGFWALEMEAVRFPAEESSMQRASPSDVDELQALALRMTKPEPRF >itb10g24460.t1 pep chromosome:ASM357664v1:10:28274407:28275739:-1 gene:itb10g24460 transcript:itb10g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDSSEILHDFFPLMRVYKDGRVERFSGEEVVPADVDAETGVKCKDVEISPDPKVSARLYLPKGAGPGRKLPVLVYFHGGGFIVESAFSPTYMKHLCLVAAEANAVIVSVNYRLAPEHPLPAAYDDSWAALKWVAAHSTGGGDEEWLKECVDFDRVFLGGDSAGGTIAHSMAMRVGLEGLNGVKIDGVILNCPFFWGKDPIPVEGDDEGVSIFVENLWRFVNPKTTGLDDPQLCPDKDPEYAKLGCKRVLVYVAEQDPLRHRGRRYTAELAKQGWQGESEVVEAKGENHVFNLFTPTSDNAMAMVKKLATFFNPSSSIF >itb04g21360.t1 pep chromosome:ASM357664v1:4:26505424:26507733:1 gene:itb04g21360 transcript:itb04g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGLRRAVLFGVVVMMFLLINNGVMGQDAVGNERKALVPAMFIFGDSLIDNGNNNNLPSFAKANYFPYGIDFDGGPTGRFSNGFTMVDEIAQLLGLPLIPAYSEANGDRDRMRFGVNYASAAAGILDITGRNFVGRIPFNQQITNFESTLDQITASLGAPDVAQALSHCIFFVGMGSNDYLNNYLLPNYPTKNQYNAQQFADLLVQNYNQQLTRLYNLGARKFVIAGLGMMGCIPSILAQSETGQCSEAVNELVVPFTTNVKTMLNNLNTNLPGSRFAYIDVRNMFQDLLKNYAQYGFSVVNQGCCGIGRNSGQITCLPFQMPCAERDQYVFWDAFHPTSAVNILFGRKAFTGGTDVVYPINIHQLATL >itb11g09370.t1 pep chromosome:ASM357664v1:11:6228201:6233390:-1 gene:itb11g09370 transcript:itb11g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFSFGGREDIYKDKRSGRNAIDCTTYCRWTPSTSSPSEAQTPSHRRRNADRLADHTSNSALLSSQQQRPPFSEIHAEGVLEFISYRAINEIHCVGEDGDGLKCDLTQLADLGELEPPQESFTTRNSSLGVIFNLFVLSLPLQQLKTKTGWRTQLKGREVGMKPCIRPSSSVLYEWCVV >itb10g11110.t1 pep chromosome:ASM357664v1:10:16526635:16526958:-1 gene:itb10g11110 transcript:itb10g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEEGRRRLWLQIRQKWKENGCGFRSVVSDLEERKWLWLQICGFRLGGEEMVVASDLWPQTWRRGMEGKWLWSQTWRKGNGSGDRILRSEKKKNKATQHCSFNF >itb07g00110.t1 pep chromosome:ASM357664v1:7:97356:102393:1 gene:itb07g00110 transcript:itb07g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEKLSSTGCAGLKSSDIDAAKLLPTAAARTVRSSSPFGVGRARSLSPPVDDFAVESSQISERPSPSHSRIDYGLNGVMAGDDETIDWHRNLLHDDSSQRLENSVAYSVKKGVDLQGPRALIDAYGIDEREKALYHHHKLGQPDANGIGKRVGVKTWQNTEEEEFNWEDMSPTLGDPSRRNDFSSSMPPSGRFITGPRVGSLQAVSAINDPRRSLSDQAQHSLVKSGRGVTSKIPGFYEEASLIPAPSYSQESQFLPQDFPQQSHHRIRVEGGGRGPSMRLSTKVGEQKIHLVGNLTTADGKFWKPPSVASRVNPGFNSSVQDVQAVNTGLSTGAWPTISRHNSQLLNSTSRIPPQKQIRDQFDAMNTVANHGLNERRIDNIDLKPQFELPRLPVQHPGAAPLNHQRSGQISLSQPQLPCRDVLQNKVPPVAMVVSTHTLMPPLNYGYTPQGQGVSGVQSTLPMVNIPNTSLQFTGAALPPIARVPTPGAPQMMPTFQPSGQGTQSAPQGGTFSNLINTLVAQGLVSLSNQAPPEDSVGTEFNMEVLKERHESTITALYSALPRQCTTCGLRFKSQDAHSNHMDWHVTKNRLSKNRKHNPSRKWFVSLSMWLSSAEALGTDAVPGFLPLEDVVEKKDDDELAVPADDEQNACALCGEPFDDFYSDETEEWMFRGAVYMNAPTGSTTGMDRSQLGPIVHAKCRSDSSSSCIEASKKHDEGYTDDGGLRKRMRS >itb07g00110.t2 pep chromosome:ASM357664v1:7:97356:102393:1 gene:itb07g00110 transcript:itb07g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRLQNPRAAIGGSFANSKQMLNDTSAGASKSAPPSILDRFKAMLKQRDEEVRVSSEDGVISPPTMDEVVKLYEIVLSDLTFNSKPIITELTIIAGEQREHGQGIANAICARILEAPVEQKLPSLYLLDSIVKNIGREYIRHLSARLPEVFCEAYRQVQPNMFAALRHLFGTWSTVFPSSVLHKIEACLQFSPSTSHQSSGLTNLRASESPRPGHGIHVNPKYLEARRQLVGHSTINAVGAEKLSSTGCAGLKSSDIDAAKLLPTAAARTVRSSSPFGVGRARSLSPPVDDFAVESSQISERPSPSHSRIDYGLNGVMAGDDETIDWHRNLLHDDSSQRLENSVAYSVKKGVDLQGPRALIDAYGIDEREKALYHHHKLGQPDANGIGKRVGVKTWQNTEEEEFNWEDMSPTLGDPSRRNDFSSSMPPSGRFITGPRVGSLQAVSAINDPRRSLSDQAQHSLVKSGRGVTSKIPGFYEEASLIPAPSYSQESQFLPQDFPQQSHHRIRVEGGGRGPSMRLSTKVGEQKIHLVGNLTTADGKFWKPPSVASRVNPGFNSSVQDVQAVNTGLSTGAWPTISRHNSQLLNSTSRIPPQKQIRDQFDAMNTVANHGLNERRIDNIDLKPQFELPRLPVQHPGAAPLNHQRSGQISLSQPQLPCRDVLQNKVPPVAMVVSTHTLMPPLNYGYTPQGQGVSGVQSTLPMVNIPNTSLQFTGAALPPIARVPTPGAPQMMPTFQPSGQGTQSAPQGGTFSNLINTLVAQGLVSLSNQAPPEDSVGTEFNMEVLKERHESTITALYSALPRQCTTCGLRFKSQDAHSNHMDWHVTKNRLSKNRKHNPSRKWFVSLSMWLSSAEALGTDAVPGFLPLEDVVEKKDDDELAVPADDEQNACALCGEPFDDFYSDETEEWMFRGAVYMNAPTGSTTGMDRSQLGPIVHAKCRSDSSSSCIEASKKHDEGYTDDGGLRKRMRS >itb07g00110.t4 pep chromosome:ASM357664v1:7:97390:102393:1 gene:itb07g00110 transcript:itb07g00110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFAALRHLFGTWSTVFPSSVLHKIEACLQFSPSTSHQSSGLTNLRASESPRPGHGIHVNPKYLEARRQLVGHSTINAVGAEKLSSTGCAGLKSSDIDAAKLLPTAAARTVRSSSPFGVGRARSLSPPVDDFAVESSQISERPSPSHSRIDYGLNGVMAGDDETIDWHRNLLHDDSSQRLENSVAYSVKKGVDLQGPRALIDAYGIDEREKALYHHHKLGQPDANGIGKRVGVKTWQNTEEEEFNWEDMSPTLGDPSRRNDFSSSMPPSGRFITGPRVGSLQAVSAINDPRRSLSDQAQHSLVKSGRGVTSKIPGFYEEASLIPAPSYSQESQFLPQDFPQQSHHRIRVEGGGRGPSMRLSTKVGEQKIHLVGNLTTADGKFWKPPSVASRVNPGFNSSVQDVQAVNTGLSTGAWPTISRHNSQLLNSTSRIPPQKQIRDQFDAMNTVANHGLNERRIDNIDLKPQFELPRLPVQHPGAAPLNHQRSGQISLSQPQLPCRDVLQNKVPPVAMVVSTHTLMPPLNYGYTPQGQGVSGVQSTLPMVNIPNTSLQFTGAALPPIARVPTPGAPQMMPTFQPSGQGTQSAPQGGTFSNLINTLVAQGLVSLSNQAPPEDSVGTEFNMEVLKERHESTITALYSALPRQCTTCGLRFKSQDAHSNHMDWHVTKNRLSKNRKHNPSRKWFVSLSMWLSSAEALGTDAVPGFLPLEDVVEKKDDDELAVPADDEQNACALCGEPFDDFYSDETEEWMFRGAVYMNAPTGSTTGMDRSQLGPIVHAKCRSDSSSSCIEASKKHDEGYTDDGGLRKRMRS >itb07g00110.t3 pep chromosome:ASM357664v1:7:97390:102393:1 gene:itb07g00110 transcript:itb07g00110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEKLSSTGCAGLKSSDIDAAKLLPTAAARTVRSSSPFGVGRARSLSPPVDDFAVESSQISERPSPSHSRIDYGLNGVMAGDDETIDWHRNLLHDDSSQRLENSVAYSVKKGVDLQGPRALIDAYGIDEREKALYHHHKLGQPDANGIGKRVGVKTWQNTEEEEFNWEDMSPTLGDPSRRNDFSSSMPPSGRFITGPRVGSLQAVSAINDPRRSLSDQAQHSLVKSGRGVTSKIPGFYEEASLIPAPSYSQESQFLPQDFPQQSHHRIRVEGGGRGPSMRLSTKVGEQKIHLVGNLTTADGKFWKPPSVASRVNPGFNSSVQDVQAVNTGLSTGAWPTISRHNSQLLNSTSRIPPQKQIRDQFDAMNTVANHGLNERRIDNIDLKPQFELPRLPVQHPGAAPLNHQRSGQISLSQPQLPCRDVLQNKVPPVAMVVSTHTLMPPLNYGYTPQGQGVSGVQSTLPMVNIPNTSLQFTGAALPPIARVPTPGAPQMMPTFQPSGQGTQSAPQGGTFSNLINTLVAQGLVSLSNQAPPEDSVGTEFNMEVLKERHESTITALYSALPRQCTTCGLRFKSQDAHSNHMDWHVTKNRLSKNRKHNPSRKWFVSLSMWLSSAEALGTDAVPGFLPLEDVVEKKDDDELAVPADDEQNACALCGEPFDDFYSDETEEWMFRGAVYMNAPTGSTTGMDRSQLGPIVHAKCRSDSSSSCIEASKKHDEGYTDDGGLRKRMRS >itb06g19970.t2 pep chromosome:ASM357664v1:6:23114391:23118785:1 gene:itb06g19970 transcript:itb06g19970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSVPPLIAAQLNYLVSHCPFPIKVEQMWGGGKNPGLLDRFTLVIPFCLDNIKWDVIYNAVYPLMAPDIIFGPEDENFQPYHSLGDEADTKNALTDWNNKDPSRLLSLILELRELYMAYQRKRVGEVDDDRLKFEFSTMLPREGIEYFLSSGIDKPEEVKFSIPLLDLDLNKMVAGSTWRHQQKIYLQQVIFPVGRKYSAPRLKLVSSPEVKALFSVEDFRLPSWVDGMCTAEYLPALEVNLATQIKDAVSSIEIRRKFIVALAPLLGRPLEADPVFCRKASFLSHSGVFNFLVHFTIPLQFPKQQPSLVLQSAQHFNSLNIPIKSGVITEYPWSPRWEASEMAERIFDFLLEECLNFKKYCNETMFQQR >itb06g19970.t1 pep chromosome:ASM357664v1:6:23114359:23118863:1 gene:itb06g19970 transcript:itb06g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSVPPLIAAQLNYLVSHCPFPIKVEQMWGGGKNPGLLDRFTLVIPFCLDNIKWDVIYNAVYPLMAPDIIFGPEDENFQPYHSLGDEADTKNALTDWNNKDPSRLLSLILELRELYMAYQRKRVGEVDDDRLKFEFSTMLPREGIEYFLSSGIDKPEEVKFSIPLLDLDLNKMVAGSTWRHQQKIYLQVIFPVGRKYSAPRLKLVSSPEVKALFSVEDFRLPSWVDGMCTAEYLPALEVNLATQIKDAVSSIEIRRKFIVALAPLLGRPLEADPVFCRKASFLSHSGVFNFLVHFTIPLQFPKQQPSLVLQSAQHFNSLNIPIKSGVITEYPWSPRWEASEMAERIFDFLLEECLNFKKYCNETMFQQR >itb10g11250.t1 pep chromosome:ASM357664v1:10:16700100:16703823:-1 gene:itb10g11250 transcript:itb10g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDCWRLEAPTWTLDRVVSGSLGAEHVYSDGSSKEEDDVVLLLLGETQRGCGYRAPAKGGDGYILDVAWSAGFHFDFPQFAFQHLAPPLNALTLLTHSLLQSLCRSVQTLLYRTTVFFIGSH >itb08g09670.t1 pep chromosome:ASM357664v1:8:8967902:8969020:-1 gene:itb08g09670 transcript:itb08g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKTKERFFKRNGGFLLQKQKPTSNRGSVLEMKIFKTEELEKATDNFNQSRILGKGGLGTVYKGMLSDGRIVAVKKSNKVNEGQVGQFINEISILSQINHRNIVKVLGCCLETEVPLVVYEYISNGTLSQHLNNNNTNSSASPLSWTHRLRIGAEIAGALAYLHSCASTAIFHRDIKSSNILLDENYRAVISDFGLSRSVHIDRTHLTTVVGGTFGYLDPEYFRSGHLNDKSDVYAFGVVLAELLTGQKVISSNTSDEGLAIRFKTSLKQKDGLFEILDRAVANEGGEEEIFVVAKLAKRCIKLNARKRSCMMEVATELQRLARTNGKDLQRRPNGFEDSYFSSGVMSFDYSSGPISFDYNLDLSIDKTM >itb03g17320.t1 pep chromosome:ASM357664v1:3:15965611:15967418:1 gene:itb03g17320 transcript:itb03g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESLRLKYQLCEEIGRGRFGTVYRCFSPVTGESYACKTIEKNLLLDSTDRECLEKEPKILQFLAGDSNILRLVDIYEDDNYLHVVTELCAGGDLYERVVARGALPEAEAAGIFRQLMSAIGRCHVTGIAHRDVKPDNVLFDSEGNLKLADFGSAEWFGGYEDRMMNGVVGTPYYVAPEVLMGRDYNEKVDVWSAGVILYIMLAGAPPFFGETPAETFEAVLRANLRFPTRLFRSVSPEAKDLLRKMMCKDPYRRLSAEQVLRHPWVMSGGETR >itb02g10060.t1 pep chromosome:ASM357664v1:2:6473697:6474718:-1 gene:itb02g10060 transcript:itb02g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSADHRELGEGSSSSTLRPPPPPAAAAAAAQPVQLSRYEAQKRRDWNTFGQYLKNQRPPVPLPRCNYNHVLDFLRYLDQFGKTKVHLQGCVYFGQPEPAGPCTCPLRQAWGSLDALIGRLRAAFEENGGLPESNPFAGSAIRLYLREVRDAQSKARGIPYKKKKKKRNNNNNNTNNNVVPKPNNDETPSFQIQSS >itb05g24370.t2 pep chromosome:ASM357664v1:5:29191344:29198960:-1 gene:itb05g24370 transcript:itb05g24370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKSCWRSSSNSHVRKGFDSTCRQDGLLWYKDIGQHLLGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPYGTFVGVYDGHGGPETSRFINDHLFQHLKRFTTEQKSMSVDVIRKAFQATEEGFLSLVAKQWPINPQIAAVGSCCLVGIICHRTLYIANLGDSRAVLGRLVKATGEVLGIQLSTEHNANIESIRQELQAMHPDDPQIVFLKRNVWRVKGLIQISRSIGDVYLKKAEYNREPLYAKFRLKEPFNSPILSSEPSILVHEIEQHDQFLIFASDGLWEHLSNQEAVDIVQSGPPNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDMTIIVVFLDSNLVSRASAPKGPTLSLRGGGAHLPAKTLAPV >itb05g24370.t1 pep chromosome:ASM357664v1:5:29191344:29198960:-1 gene:itb05g24370 transcript:itb05g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKSCWRSSSNSHVRKGFDSTCRQDGLLWYKDIGQHLLGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPYGTFVGVYDGHGGPETSRFINDHLFQHLKRFTTEQKSMSVDVIRKAFQATEEGFLSLVAKQWPINPQIAAVGSCCLVGIICHRTLYIANLGDSRAVLGRLVKATGEVLGIQLSTEHNANIESIRQELQAMHPDDPQIVFLKRNVWRVKGLIQISRSIGDVYLKKAEYNREPLYAKFRLKEPFNSPILSSEPSILVHEIEQHDQFLIFASDGLWEHLSNQEAVDIVQSGPPNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDMTIIVVFLDSNLVSRASAPKGPTLSLRGGGAHLPAKTLAPV >itb05g24370.t4 pep chromosome:ASM357664v1:5:29191411:29198564:-1 gene:itb05g24370 transcript:itb05g24370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKSCWRSSSNSHVRKGFDSTCRQDGLLWYKDIGQHLLGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPYGTFVGVYDGHGGPETSRFINDHLFQHLKRFTTEQKSMSVDVIRKAFQATEEGFLSLVAKQWPINPQIAAVGSCCLVGIICHRTLYIANLGDSRAVLGRLVKATGEVLGIQLSTEHNANIESIRQELQAMHPDDPQIVFLKRNVWRVKGLIQISRSIGDVYLKKAEYNREPLYAKFRLKEPFNSPILSSEPSILVHEIEQHDQFLIFASDGLWEHLSNQEAVDIVQSGPPNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDMTIIVVFLDSNLVSRASAPKGPTLSLRGGGAHLPAKTLAPV >itb05g24370.t3 pep chromosome:ASM357664v1:5:29191344:29198960:-1 gene:itb05g24370 transcript:itb05g24370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKSCWRSSSNSHVRKGFDSTCRQDGLLWYKDIGQHLLGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPYGTFVGVYDGHGGPETSRFINDHLFQHLKRFTTEQKSMSVDVIRKAFQATEEGFLSLVAKQWPINPQIAAVGSCCLVGIICHRTLYIANLGDSRAVLGRLVKATGEVLGIQLSTEHNANIESIRQELQAMHPDDPQIVFLKRNVWRVKGLIQISRSIGDVYLKKAEYNREPLYAKFRLKEPFNSPILSSEPSILVHEIEQHDQFLIFASDGLWEHLSNQEAVDIVQSGPPNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDMTIIVVFLDSNLVSRASAPKGPTLSLRGGGAHLPAKTLAPV >itb14g21180.t1 pep chromosome:ASM357664v1:14:23304639:23306231:-1 gene:itb14g21180 transcript:itb14g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKSWASSMHRDHSEFAKHRNDHSKSPASQHRRQHIRPMWHVREHMAFLIKNLQFYIQVDVIESQWNVLQSHIQNSHDFTELVGFHQEYLAALISQSFLDIGSVSRILDGIMKLCLQFCWKMENQEDSGNTDELEHIAEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNSFFEVTARGVLNVVRPRPHLQ >itb07g22080.t2 pep chromosome:ASM357664v1:7:26548169:26552004:-1 gene:itb07g22080 transcript:itb07g22080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF12 [Source:Projected from Arabidopsis thaliana (AT1G26480) UniProtKB/TrEMBL;Acc:A0A178WJF9] MESLTSQKKFPEPDSSNYSTFNLSDSNAHAIPHLPLSSPIPFSHQKEKSEKNIHFHLCPENTKRNNHQKLPSPITESQNNAKMLSEKERENHVYLAKLSEQAERYDEMVERMKQIAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIRAYRQKVEDELSKICNDILEIIEKHLVPSSKSGEATVFFYKMKGDYFRYLAEFKNDSEKKEAAEQSLKGYEAAFAAANTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISEIDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDTAKSEESKAAESQVSVLTLNVCRSPTPPMLISLQLKLLFFFS >itb07g22080.t1 pep chromosome:ASM357664v1:7:26548169:26552004:-1 gene:itb07g22080 transcript:itb07g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF12 [Source:Projected from Arabidopsis thaliana (AT1G26480) UniProtKB/TrEMBL;Acc:A0A178WJF9] MESLTSQKKFPEPDSSNYSTFNLSDSNAHAIPHLPLSSPIPFSHQKEKSEKNIHFHLCPENTKRNNHQKLPSPITESQNNAKMLSEKERENHVYLAKLSEQAERYDEMVERMKQIAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIRAYRQKVEDELSKICNDILEIIEKHLVPSSKSGEATVFFYKMKGDYFRYLAEFKNDSEKKEAAEQSLKGYEAAFAAANTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISEIDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDTAKSEESKAAESQKQ >itb09g13390.t2 pep chromosome:ASM357664v1:9:8714948:8717217:1 gene:itb09g13390 transcript:itb09g13390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTPNSMNLDLNLGPVDHPNGEVVPEPGPLPTQNINLEEIFVRERRQFDPESFPIENINLEEFLDGRVREAVRQRRQRRQRWRSMWREFPVPPETRNIALELIGGSRLQTGEASIAAEERPAEVTKTCDTNNSCLNDEVSGKKEENEKGNSDEGSFFDCNICLDLAKEPVVTCCGHLFCWPCLYRWLHVHSDAKECPVCKGEVTMKNVTPIYGRGGSARETEEDSALKVPHRPQARRVESWRQTIQRAAAFTIPMEEMIRRLGSRFDLSQMQPQSLDGSHESPERSNSLLNRILTSRGRREQNPVLPSDDVVNLAGPTDSDILESLENPENRRLSSLLLRRSNLHRAASVANLNTAERLLETYFRSTDRSQEQALPVDDRDSVSSIAAVIHSESQTVDTAVEIDSTVSLSTSSSRRRNDASRISDVDSGDSRPLRRRRLH >itb09g13390.t1 pep chromosome:ASM357664v1:9:8714918:8717224:1 gene:itb09g13390 transcript:itb09g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTPNSMNLDLNLGPVDHPNGEVVPEPGPLPTQNINLEEIFVRERRQFDPESFPIENINLEEFLDGRVREAVRQRRQRRQRWRSMWREFPVPPETRNIALELIGGSRLQTGEASIAAEERPAEVTKTCDTNNSCLNDEVSGKKEENEKGNSDEGSFFDCNICLDLAKEPVVTCCGHLFCWPCLYRWLHVHSDAKECPVCKGEVTMKNVTPIYGRGGSARETEEDSALKVPHRPQARRVESWRQTIQRAAAFTIPMEEMIRRLGSRFDLSQMQPQSLDGSHESPERSNSLLNRILTSRGRREQNPVLPSDDVVNLAGPTDSDILESLENPENRRLSSLLLRRSNLHRAASVANLNTAERLLETYFRSTDRSQEQALPVDDRDSVSSIAAVIHSESQTVDTAVEIDSTVSLSTSSSRRRNDASRISDVDSGDSRPLRRRRLH >itb05g23520.t1 pep chromosome:ASM357664v1:5:28608998:28610426:1 gene:itb05g23520 transcript:itb05g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSHSQIKTLLDEDDSYPFFDFLNNLFSEDEAVRRPADSLFNAAMKEFPNALSNKLAFPNAAVKLIVYLAIPNDFFSIRLNCIEILRKCSETKRKEMDLMSSPERKLQNICRSVLRCTEVFGGCELTEAGDRELKLPIEHRRYSESGVMKIRRPQNCALGYSNSLSARRSSMSKCLKV >itb11g19920.t2 pep chromosome:ASM357664v1:11:20811281:20813642:-1 gene:itb11g19920 transcript:itb11g19920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDESSIEAAAAARRERLRALRAAQELLETPDDDKEASQDQEENDENFQMKFRNYLPQDKHLQEGKLAPPVLPKFDDPMANLTPPEDKKEKSEDPFLNIVPKKPNWDLRRDVQKKLDKLEKRTLKALHQLGEEEEKRRLAEEQGTNS >itb11g19920.t1 pep chromosome:ASM357664v1:11:20809922:20813642:-1 gene:itb11g19920 transcript:itb11g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDESSIEAAAAARRERLRALRAAQELLETPDDDKEASQDQEENDENFQMKFRNYLPQDKHLQEGKLAPPVLPKFDDPMANLTPPEDKKEKSEDPFLNIVPKKPNWDLRRDVQKKLDKLEKRTLKALHQLGEEEEKRRLAEEQGTNS >itb12g25530.t2 pep chromosome:ASM357664v1:12:26770669:26774504:-1 gene:itb12g25530 transcript:itb12g25530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEWYDHLLRGVFQPEFAEDLIKCYPEDGGLSHEEIRIQQESLYLAFQKHGENRSTSSEHGETSSSNPFTVQERASFGADVASQLALDEALARSLEMGDGFDDIHTLAGASGSQHQAPPVRAEISNTAGDGIDPDDMSYEELQSLGDAVGHESKGLSDDIISRLPTFKYKSWLSFWRKNSNDECVICCEQYAFRDKLASLLCAHTFHYNCIKRWLKEKKNCPICNMEVADG >itb12g25530.t1 pep chromosome:ASM357664v1:12:26770669:26774670:-1 gene:itb12g25530 transcript:itb12g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEWYDHLLRGVFQPEFAEDLIKCYPEDGGLSHEEIRIQQESLYLAFQKHGENRSTSSEHGETSSSNPFTVQERASFGADVASQLALDEALARSLEMGDGFDDIHTLAGASGSQHQAPPVRAEISNTAGDGIDPDDMSYEELQSLGDAVGHESKGLSDDIISRLPTFKYKSWLSFWRKNSNDECVICCEQYAFRDKLASLLCAHTFHYNCIKRWLKEKKNCPICNMEVADG >itb12g25530.t3 pep chromosome:ASM357664v1:12:26771377:26774504:-1 gene:itb12g25530 transcript:itb12g25530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEWYDHLLRGVFQPEFAEDLIKCYPEDGGLSHEEIRIQQESLYLAFQKHGENRSTSSEHGETSSSNPFTVQERASFGADVASQLALDEALARSLEMGDGFDDIHTLAGASGSQHQAPPVRAEISNTAGDGIDPDDMSYEELQSLGDAVGHESKGLSDDIISRLPTFKYKSWLSFWRKNSNDEYVQSFLFYFLLGCI >itb12g25530.t4 pep chromosome:ASM357664v1:12:26771377:26774670:-1 gene:itb12g25530 transcript:itb12g25530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEWYDHLLRGVFQPEFAEDLIKCYPEDGGLSHEEIRIQQESLYLAFQKHGENRSTSSEHGETSSSNPFTVQERASFGADVASQLALDEALARSLEMGDGFDDIHTLAGASGSQHQAPPVRAEISNTAGDGIDPDDMSYEELQSLGDAVGHESKGLSDDIISRLPTFKYKSWLSFWRKNSNDEYVQSFLFYFLLGCI >itb10g09100.t1 pep chromosome:ASM357664v1:10:12017893:12026323:1 gene:itb10g09100 transcript:itb10g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQVSSSASSSSSSFDDAASRPPNGVGNHTRAEGNHSPGFTPQTSRHWRDVFWLVIFLLHLIVVGMALGVFGLNRFREKDRLKIDRYTMPFLDNREGLTEDYWPLYAVAAGVGTALGWAWLLLLGSQANQMMKFSVHILTTYLAVISVLCFWWTQIFWGIAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMGVSCVFMVVMLSWLVLWSFGVAGIVALSIGDSGRWWLLVVFCVSLFWTGAVFCNIIHVIVSGMVFFVLYHGGRAEASMPPKPLLNSLRYAVTTSFGSICYGSLFTAAIRALRWKIRGVRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKNFNHSAKDAWELFQSTGVEALIAYDCSGAVLLMGTLLGGLISGTCAGVWTRIKHPDRVMMVGSTAMLIGMILVGLATVVVESAVTAIYICYAEDPSLVRRWDAEFFNKMSETLHQRLQHRSARARQVLTNRFDSQMQEAVPI >itb11g02140.t1 pep chromosome:ASM357664v1:11:1066631:1068919:1 gene:itb11g02140 transcript:itb11g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSESNKMKVQNKKRKSKLEFLGWGSKPLIEFLDSIGKESRQYSQEEVDAIMKKYVQNKKRKSKLEFLGWGSKPLIEFLDSIDKESSQYSQEEVDAIMKKYVSSNSLIDPINKRRIMCDEKLEKLFKKKTVLRKNVYHLLEVHFRENHGPEQEGKIQIGVSWMGFEAIDRISRFNWQRIQAIFSRRG >itb08g05180.t1 pep chromosome:ASM357664v1:8:4217554:4223793:-1 gene:itb08g05180 transcript:itb08g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAT32 [Source:Projected from Arabidopsis thaliana (AT1G27760) UniProtKB/TrEMBL;Acc:A0A178WAC4] MGKRSSQRRNAAMLDSSDTDSVSSSSTARSEMLVSSGEEVQLDKDTVLDQCLDALYEKRGATREKALASLIDVFNSNMQHEYVEKKFATLLHQCLNSIKKGSSREIALASHFIGLLALTTGSGSKAQEILEESITPISEALKSRSDASRISSLLECLAIITFIGGEEPEETEKAMQLMWQIIHPKMGPNVSSAKPSSPIITAVVSAWTFLLTTMNGWTLNPKSWQESISYFSSLLDKDDRSVRIAAGEALALIFEVGNLEKFAGEAKGSGDGSTDEGSKSRELVHIQGLRSKVLNQVRSLSIEAGGKGSAKKDLNSQRNTFRDIQEFLEYGYSPETSMKIAGESLDTTTWGELIQLNFLKHFLGGGFVKHMQENEFLQDVFSFTPKKKIFSGAEHRVSGTEKRLYKSPNSVLNKARTQYMNKQRMLSQDRNVGYYTAGDEA >itb01g12900.t4 pep chromosome:ASM357664v1:1:12571516:12581765:-1 gene:itb01g12900 transcript:itb01g12900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEFAECSEGPSELPSKLNSMSIESSPVNSESAEEDAVEERVGVPVKGGLYEVYLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWRRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDASGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGDFRHVTASLAERHLSPYQLGTQRVLFIPCQVNLIFIIAYCSCVIYCSAIFPLISLYNDL >itb01g12900.t6 pep chromosome:ASM357664v1:1:12575716:12581765:-1 gene:itb01g12900 transcript:itb01g12900.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEFAECSEGPSELPSKLNSMSIESSPVNSESAEEDAVEERVGVPVKGGLYEVYLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWRRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDASGFSGVLRFGGNGVKLRRGYASSQSPKPTQVLFFTLSLLLMTYIIYVHF >itb01g12900.t1 pep chromosome:ASM357664v1:1:12570162:12581767:-1 gene:itb01g12900 transcript:itb01g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEFAECSEGPSELPSKLNSMSIESSPVNSESAEEDAVEERVGVPVKGGLYEVYLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWRRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDASGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGDFRHVTASLAERHLSPYQLGTQRVLFIPCQSSLFPLN >itb01g12900.t5 pep chromosome:ASM357664v1:1:12573477:12581767:-1 gene:itb01g12900 transcript:itb01g12900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEFAECSEGPSELPSKLNSMSIESSPVNSESAEEDAVEERVGVPVKGGLYEVYLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWRRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDASGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVWLSEYCSKTFLKRGCSVINLIFIQKFMFVQARQKLFLALLASA >itb01g12900.t3 pep chromosome:ASM357664v1:1:12571516:12581756:-1 gene:itb01g12900 transcript:itb01g12900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEFAECSEGPSELPSKLNSMSIESSPVNSESAEEDAVEERVGVPVKGGLYEVYLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWRRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDASGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGDFRHVTASLAERHLSPYQLGTQRVLFIPCQVNLIFIIAYCSCVIYCSAIFPLISLYNDL >itb01g12900.t2 pep chromosome:ASM357664v1:1:12570190:12581756:-1 gene:itb01g12900 transcript:itb01g12900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESASGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEFAECSEGPSELPSKLNSMSIESSPVNSESAEEDAVEERVGVPVKGGLYEVYLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWRRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDASGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGDFRHVTASLAERHLSPYQLGTQRVLFIPCQSSLFPLN >itb06g22720.t1 pep chromosome:ASM357664v1:6:24953537:24957973:1 gene:itb06g22720 transcript:itb06g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVELVEAPKIHDGEINEDEVSPIEQVRLTVPNTDDPTLPVWTFRMWVLGVLSCVLLSFLNQFFAYRKEPLTITQITVQVATLPIGRFMAATLPATKFRIPGFGSREFSLNPGPFNMKEHVLISIFGNAGFAFGDGTAYGVGIVTIIIAFYQRKISLFTGWLLVLTTQVLGYGWAGLLRKYVVEPAQMWWPSTLVQISLFRTLHEKENEDEDEDDGKRYMSRAKFFVIALTCSFCWYLFPGYLFQTLQSISWVCWAFPKSVTAQQIGSGMNGLGLGAFTLDWATIASFLFSPLISPFFAIANIFVGYVSVMYVVIPICYWGFNVFNAKNFPIYSSDLFTAQGQEYNIAAIVNDQFELDKAQYAKEGRIHLSIMFSILTYGFGFATIASTISHVALFYGRGIYDQYRAASKEKMDIHTRLMRKYKDIPSWWFYTLLLVTIIVSLALCIFMKNEVQMPYWGLLLACVIAFTFTLPISIITATTNITPGLNIITEYIMGVIYPGRPIANVCFKTYGYMSMSQAISFLSDFKLGHYMKIPPRSMFLVQFFGTIIAATTNIIVAWWLLHSVDHICHQDKFSNSPWTCPGDHVFFDASVIWGLVGPKRIFGSLGNYSSLNWFFLGGILGPFLVWLLHKAFPSQTWITLINLPVLLGATSQIPPATALNYNSWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVFLYFTVGLENKNVSWWGTTDYEHCNLATCPTAKGISIDGCPIF >itb09g26840.t1 pep chromosome:ASM357664v1:9:27462087:27463210:1 gene:itb09g26840 transcript:itb09g26840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFSRQNDDADSCSTSAVTKGHFAIYTADQKRFVVPLSYLENDIIRQLLNMSEEEFGIPSDGPITLPCDAAFLDYIISLLSRGLSKELENALLISVTSYRCSLGSLHQEGLRNQELLVC >itb11g14330.t1 pep chromosome:ASM357664v1:11:11398751:11401570:-1 gene:itb11g14330 transcript:itb11g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTITQTSFAQPACESDCIKEFLTVHNAAREIVGVPPVKWNSTLAEYAESYAAERSVDCALKHSEGPYGENIALAGLKSSVADSVKGWMDEKPNFDQASNSCTGGECRHYTQVVWRGTTSIGCARATCTTWMFVICNYYPPGNYVGEHPY >itb04g25710.t1 pep chromosome:ASM357664v1:4:30241097:30242733:-1 gene:itb04g25710 transcript:itb04g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLTLTDITIDIKRVPKKKTLIAAMEAADVKNKWENSSWGRKLIVQKRRASLNDFDRFKIMLAKIKKAGIVRQELAKLKKESTA >itb15g09680.t1 pep chromosome:ASM357664v1:15:6931838:6938525:-1 gene:itb15g09680 transcript:itb15g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGLKTEIINKFLFRRLTKSIRKQPFNFLSLGLPSTQFFTLHRRAPRQLTTLLRPAPSPPSVSPPRSMGSLTEAFIEPLKYPVARRDDSVVDNYHGVLVPDPYRWLEDPDSEETKEFVGRQVELTDSLLNSCDTREKLREKLTKLYDYPKYEVPFVAGDKYFYFHDTGLQPQKVLYVQDSLDGKAEVLLDPNRLSDDGTVALSVCSVSEDAKYLAYAISSSGSDWVTIKVMRIEDKNVLPDTISWVKFSGISWTHDGKGFFYSRYPTPKDGDNLDAGTETNANLHHLLYYHFLGTDQSEDILCWKDPDNPKHTRSALVTEDGKYVLQSIFENCDPVNKVYYCDLSALPNGLECYKGTNEMLPFIKLIDTFDASYEFVANDDTVFTFLSNKDAPKYKLVRVDVKESGSWCDVIQEDERDVLESAVAVNKNQLVVSYLSDVKNVLQLRNLDTGILEHHLPIDIGSVSGISARRKDSIIFIGFTNFLVPGIIYQCNLQAGAPEMKIFREILVPGFDRTQFHVNQVFVPSKDGTQIPMFIVAGKDISLDGSHPCLLYGYGGFNINITPYFSVARLVIAKHLGVVFCIANIRGGGEYGEEWHKAGALAKKQNCFDDFISAAEYLVSTGYTQPSKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSEKEEEFHWLFKYSPLHNVKRPWEQTSSKASQYPSTMLLTADHDDRVVPLHTLKLLATMQYVLCTSPEKSPQVNPIIGRIERKAGHGAGLPTQKMIDEAVDRYAFMAKVMGATWIE >itb08g02530.t1 pep chromosome:ASM357664v1:8:1985070:1987757:-1 gene:itb08g02530 transcript:itb08g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGKERSVAAAMVTIMSFIVMLTLASEADMSYEADQYKRDGVPMVPKGCPDMCGNVSIYYPFGIGPNKDCYMNKWFIIDCIKSSSHGAHKSYLRVFSDGKSGSLREILGISLVDQTITIQESISPLIPESGGNNTKNNSFSLMGGNTNLSATPFFYSSSFNKLMLFGCGNALLTSASPSHTKLGGCTSWCDGKTTRLDQPCNGINCCESYLGDDVKMHQLNFTNLFVNASNYAFIVDQNWFAESSPGNRQKEIVVPVVWRWSILHDYLHLPPSSSYTCDNLTYTSSTTYWYCYCNSPKKGNPYLANGCQDDGKLTVKQLSTIIGVSTSFGFLLVLSACFILYKAIKKRKMKKMRQRFFKRNGGLLLQQQLLVKEGTIEKTKIFTANELDKATDHFNANRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENQLESFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFIPNGTLFSLIHDNFGDELIPLSWDTRLRIASETANALAYLHSATSIPIYHRDIKSSNILLDEKFRAKVSDFGTSRSISIDQTHLTTIVKGTFGYLDPEYFRSSQFTDKSDVYSFGVVLAELLTGQKPISFEVNDDEDRSLVIRFLLSMEENRIMEILDMEVSKQGKKEDVMAIALLAHRCLNFNGKKRPTMKDVATELDAIIASHPCLPSAETLEIESDLLS >itb07g00810.t1 pep chromosome:ASM357664v1:7:520486:526124:1 gene:itb07g00810 transcript:itb07g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSHDGTKIRKRIRTNKVLVDGGARGFGADQGTAGVTRKPNKEILEHDRKRQIQLKLLVLEEKLSEQGYTDSEIAEKLEETRRTLEAAAASEAAGGPTAPAGSSSERVSETQTHQIAALKEKQMEKLKAALRIGAENENQKKQPDPTLALDSVLSDDEDDRAKNHKSDGGKDTRRGKDEPKHHKKKEKKGDHEDSSDSDSGKESIERMKKKKSKKGHRESDSEIDVKKRSKKATRKQSKSRRYDSYSDSESESSSGSSSESDYEDKRPKSKKRHDSDDDAQMKRRKSDKNHSSDDDASDKRQKYKVQKGKLPESEIDSDRVGKKYQLASKINSSEKSKGYLVDKDGSIADDTKRSAKEKQNRSQRKYESESDSGYEREARKKNEKMGSNRNDSDENRYDDDHERKSQRTQKVKRHDSEDEYDSDHVVEERKFQRSRRHEYDSDRDVEEKKFQRSRRHEYDGDRDVEEKKFQRSRRHEYDGDRDVEEKKFQRGRRHEYDSDDDVKEKKFQRSRRHDSSDDEHDRKYHKTRRAERHDSEDESDSDSDVKVKKVQRSRRHDSSDDDHDRKYHKAQMVERRDSDNHDRKYHKTQRVERHDSEDGSDSGSDVKEKKIQKSKRHDSSDNDSDASSDHLIRSNSESDSDNKSNYRKHGQTDRIKSKEKSGSGHVSDSGGRGEPRRERGHALEDDKKKEVDSGLDTFKKLEQLRQSRADITDGSGSGDQELSRGKRKLDANQFDEQLKAKSRKTESTKEEEFYSAKVESEKQHTDQDYYSKDSRSARYDGGHRGGNGDQDGTTRRNESQRESRGGDRDFSRYGDDRRESRNDDDRQGKKHKRDEEEQWLAKHEKHGREREEESGSRRRERDREYDSSKRARYEDSRSTGRRRHDDERYDDRRSRR >itb08g00870.t1 pep chromosome:ASM357664v1:8:626171:630511:1 gene:itb08g00870 transcript:itb08g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAESVIRTSLASTASSFCNFHGSRTPARISNAAGSQHRRRRCSSRVQSLTSASLSEFFGSFQHRCALASKAPTFYQKRSQKSSFSIFAMAAADDGKRTVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTIDMIVTNLGAKPLVIQIPIGSEDNFRGVVDLVRMKAIVWSGEELGAKFAYGDIPSELQDQAEEYRAEMIEAIVELDDEVMENYLEGVEPDEAAIKKLIRKGTISSTFVPVLCGSAFKNKGVQPLLDAVVDYLPSPIDLPPMKGSDPENPEVTIEKPASDDEPFTGLAFKIMADPFVGSLTFIRVYSGKLAAGSYVLNANKGKKERIGRLLEMHANSREDIKSAFTGDIVALAGLKDTITGETLCDPDSPVVLERMDFPDPVIKVAIEPKTKADIDRMAAGLVKLAQEDPSFHFSRDEETNQTVIEGMGELHLEIIVDRLRREFKVEANVGAPQVNYRESISKVSEVRYIHKKQSGGQGQFADITVRFEPLEAGSGYEFTSEIKGGVVPKEYIPGVMKGLEECMCNGVLAGYPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGMRKAGPQLLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINNFGDKPGGLKVVDALVPLAEMFQYVSSLRGMTKGRASYTMQLAKFDVVPQHIQNKLATKQEAVAA >itb03g19800.t1 pep chromosome:ASM357664v1:3:17794730:17795772:1 gene:itb03g19800 transcript:itb03g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSKIIMGATLTMLLSLAIVLALVLLLLAQLYCSLLLRRRHLRKTTTTSAAAAPHPQPHPTAPTLSTFYAQGVLHAPRNFLFPAVFPHTSNNINNPDLETQNYSELPKKPHEVGLMFSSTPPSPAAAFIPMASPKLVHGEDGGGAGREDCVYICNPIYDLEANNVACGAVDDTPFETPDSSPSRLSGGSDENGGQSACCSPASSVALTPMKKLPAKACSVSLKDASSLGTSRSDSIISNNDAVSSSSSCSPSTSSW >itb15g03040.t3 pep chromosome:ASM357664v1:15:1917347:1920639:1 gene:itb15g03040 transcript:itb15g03040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNRGISALINSHHPNPFHISVSFFHSTPILERRRRQWDPSFNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDEHDSSSSQGSSWFQRDFRSYAPNSSRSRNKGHRKWRRFDFTEDLDFEVESFFRTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWQFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAYRSCALKWHPDRHHGPSKVFSGFYDLLSVFPFHVNTFSCESINKNKTSPCLSTISESRLILVM >itb15g03040.t2 pep chromosome:ASM357664v1:15:1917347:1921682:1 gene:itb15g03040 transcript:itb15g03040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNRGISALINSHHPNPFHISVSFFHSTPILERRRRQWDPSFNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDEHDSSSSQGSSWFQRDFRSYAPNSSRSRNKGHRKWRRFDFTEDLDFEVESFFRTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWQFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAYRSCALKWHPDRHHGPSKVVAEEKFKVCSAAYQLLCDKMALN >itb15g03040.t1 pep chromosome:ASM357664v1:15:1917343:1923388:1 gene:itb15g03040 transcript:itb15g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNRGISALINSHHPNPFHISVSFFHSTPILERRRRQWDPSFNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDEHDSSSSQGSSWFQRDFRSYAPNSSRSRNKGHRKWRRFDFTEDLDFEVESFFRTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWQFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAYRSCALKWHPDRHHGPSKVVAEEKFKVCSAAYQLLCDKMALN >itb03g16290.t2 pep chromosome:ASM357664v1:3:15294145:15297614:-1 gene:itb03g16290 transcript:itb03g16290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRNFGDFYQDTFGGKSGDDSLQFFLDQEAISGLSVNDPYIDVNVGKNSKDGGESNVLDPNLVSNGGVGETVVGQNEITENVDAVTPPSIPNPNSNNGLGIGGGSLEDRGELAFPPLQSNPGLDVVAPSSEGDGHEDYDFSDVVLKYISQMLMEEEMGEKACMFQESAALQAAEKSLYEVIGEEYPPNSLDQNGNCGDGNNGDSGLVYPNWDPDPSESENSTGQHAPVGVTLRTNSQSSYSSSSSSGTVNDGHLDSPVSTLRIPDVHVDSPLSTLRIPEIFNSTESIMQFKKGVEEASKFLPNANSFFVDVGYSGLGKGQSTDGKDMAVKGKKNNENQLSREGSRRKKNPHYEDEDLDEGRSHKQSAISSESSVKLEMFDKVLLCSGGKNESALRQSWQNVSSKNSMDNDLPRGSNGKKSRGKKQGGKSEVVDLRTLLTLCAQAVAADDRRTAHEFLKQIRQHSSQTGDGMQRVAHYFADGLEARMAGSGTQIYKALITMPTSAADVLKAYQLYLAACPFRKISNFFSNKTIMNVAKDATSVHIIDFGILYGFQWPCFIQRLSCRPGGPPKLRITGIDFPQPGFRPAERVEETGRRLANYAERFNVPFEFNAIAQKWETVKIEDLGINGDEVLVVNCLYRFRNLLDETVVVDSPRDIVLSLIRKLNPAVFITGCVNGSYNAPFFISRFREALFHYSSLFDMLEANIPREIHERMLLEKTIFGREAMNVIACEGAERIERPEIYRQCQVRHMRAGFRQLPLNDEIMQMSRDRVKAYHKDFIIDQDGKWLLQGWKGRVIYALSTWKAAY >itb03g16290.t1 pep chromosome:ASM357664v1:3:15294145:15297614:-1 gene:itb03g16290 transcript:itb03g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRNFGDFYQDTFGGKSGDDSLQFFLDQEAISGLSVNDPYIDVNVGKNSKDGGESNVLDPNLVSNGGVGETVVGQNEITENVDAVTPPSIPNPNSNNGLGIGGGSLEDRGELAFPPLQSNPGLDVVAPSSEGDGHEDYDFSDVVLKYISQMLMEEEMGEKACMFQESAALQAAEKSLYEVIGEEYPPNSLDQNGNCGDGNNGDSGLVYPNWDPDPSESENSTGQHAPVGVTLRTNSQSSYSSSSSSGTVNDGHLDSPVSTLRIPDVHVDSPLSTLRIPEIFNSTESIMQFKKGVEEASKFLPNANSFFVDVGYSGLGKGQSTDGKDMAVKGKKNNENQLSREGSRRKKNPHYEDEDLDEGRSHKQSAISSESSVKLEMFDKVLLCSGGKNESALRQSWQNVSSKNSMDNDLPRGSNGKKSRGKKQGGKSEVVDLRTLLTLCAQAVAADDRRTAHEFLKQIRQHSSQTGDGMQRVAHYFADGLEARMAGSGTQIYKALITMPTSAADVLKAYQLYLAACPFRKISNFFSNKTIMNVAKDATSVHIIDFGILYGFQWPCFIQRLSCRPGGPPKLRITGIDFPQPGFRPAERVEETGRRLANYAERFNVPFEFNAIAQKWETVKIEDLGINGDEVLVVNCLYRFRNLLDETVVVDSPRDIVLSLIRKLNPAVFITGCVNGSYNAPFFISRFREALFHYSSLFDMLEANIPREIHERMLLEKTIFGREAMNVIACEGAERIERPEIYRQCQVRHMRAGFRQLPLNDEIMQMSRDRVKAYHKDFIIDQDGKWLLQGWKGRVIYALSTWKAAY >itb10g00760.t1 pep chromosome:ASM357664v1:10:547060:547569:-1 gene:itb10g00760 transcript:itb10g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSAAVAIPSFTGLKAAGASSSRVCTAVKLAPAPTTPKLAIKASLKQVGAAVIATAASALLATNALAAEIKLGADDGGLAFVPSDISVPSGETIVFKNNAGFPHNVIFDEDEIPSGVDAAKISMSEEDLLNGPGETYSVTLTEKGTYSYYCSPHQGAGMVGKITVN >itb13g14280.t3 pep chromosome:ASM357664v1:13:20827925:20831514:1 gene:itb13g14280 transcript:itb13g14280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDPTEPKPRPIIRIGLLLVSHSLLVSVVCCTAGVLALLLLPVLAKNTYISENALMPGSASPMLSHDDVSQASRFVNNILSSNLGSAGSGIGIPGIIAQHIKDLGGEVNFHKFQPQVDKFQPLRFFSSPDPEIVQENYSCSTYGINTVGIIRAPRGDGKEAIVLVTPYNSMKVTAGEALSLGIGYSVFSLLTRVTWLAKDIIWLAADSQLGDYAAVASWLRDYHTPSFGDLGKRHSEMCYQRSLFESSTNKMTGKEAFDGFRRAGTMAAALVIKFADASEEVEKDALYIYPEASNGQMPNLDLINIVNYLAVHGQGFRVKVEKLWSLLDSGWLKSLGKLIESLGKVAKTLNPQWNFAIPVAEYVEGTATLASSLYSQALGIPTGPHGAFRDFQVDAITMKVSPKHSSYEVRQNELLLRGGRLVEGVIRCVNNLLEKFHQSFFLYLLTSPNRFVSVGVYMIAFALLISPLPLVAASLFINAGKLDSNVEKTGLVSLPASANETAFTFKSWKWLNAAKTVFVVHLWGAIVTLLPQFFPVLPDSSIPTKLLIWVSLSLVSLLILQVIIGSSVSFTHDNQAQRTEWALLKSVTIAAAFIGLCLMSVINFATAEIGAVILVPMCLMARPLRLDARAKTLKAFTRAACNLFLLLLGFPPVAFIVFKGLLEGFNNLVVSDLWNWVESLWMWGSATYIYICMVHIPCWVLCIRTLLHNF >itb13g14280.t2 pep chromosome:ASM357664v1:13:20826964:20831514:1 gene:itb13g14280 transcript:itb13g14280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDPTEPKPRPIIRIGLLLVSHSLLVSVVCCTAGVLALLLLPVLAKNTYISENALMPGSASPMLSHDDVSQASRFVNNILSSNLGSAGSGIGIPGIIAQHIKDLGGEVNFHKFQPQVDKFQPLRFFSSPDPEIVQENYSCSTYGINTVGIIRAPRGDGKEAIVLVTPYNSMKVTAGEALSLGIGYSVFSLLTRVTWLAKDIIWLAADSQLGDYAAVASWLRDYHTPSFGDLGKRHSEMCYQRSLFESSTNKMTGKEAFDGFRRAGTMAAALVIKFADASEEVEKDALYIYPEASNGQMPNLDLINIVNYLAVHGQGFRVKVEKLWSLLDSGWLKSLGKLIESLGKVAKTLNPQWNFAIPVAEYVEGTATLASSLYSQALGIPTGPHGAFRDFQVDAITMKVSPKHSSYEVRQNELLLRGGRLVEGVIRCVNNLLEKFHQSFFLYLLTSPNRFVSVGVYMIAFALLISPLPLVAASLFINAGKLDSNVEKTGLVSLPASANETAFTFKSWKWLNAAKTVFVVHLWGAIVTLLPQFFPVLPDSSIPTKLLIWVSLSLVSLLILQVIIGSSVSFTHDNQAQRTEWALLKSVTIAAAFIGLCLMSVINFATAEIGAVILVPMCLMARPLRLDARAKTLKAFTRAACNLFLLLLGFPPVAFIVFKGLLEGFNNLVVSDLWNWVESLWMWGSATYIYICMVHIPCWVLCIRTLLHNF >itb13g14280.t1 pep chromosome:ASM357664v1:13:20826964:20831514:1 gene:itb13g14280 transcript:itb13g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDPTEPKPRPIIRIGLLLVSHSLLVSVVCCTAGVLALLLLPVLAKNTYISENALMPGSASPMLSHDDVSQASRFVNNILSSNLGSAGSGIGIPGIIAQHIKDLGGEVNFHKFQPQVDKFQPLRFFSSPDPEIVQENYSCSTYGINTVGIIRAPRGDGKEAIVLVTPYNSMKVTAGEALSLGIGYSVFSLLTRVTWLAKDIIWLAADSQLGDYAAVASWLRDYHTPSFGDLGKRHSEMCYQRSLFESSTNKMTGKEAFDGFRRAGTMAAALVIKFADASEEVEKDALYIYPEASNGQMPNLDLINIVNYLAVHGQGFRVKVEKLWSLLDSGWLKSLGKLIESLGKVAKTLNPQWNFAIPVAEYVEGTATLASSLYSQALGIPTGPHGAFRDFQVDAITMKVSPKHSSYEVRQNELLLRGGRLVEGVIRCVNNLLEKFHQSFFLYLLTSPNRFVSVGVYMIAFALLISPLPLVAASLFINAGKLDSNVEKTGLVSLPASANETAFTFKSWKWLNAAKTVFVVHLWGAIVTLLPQFFPVLPDSSIPTKLLIWVSLSLVSLLILQVIIGSSVSFTHDNQAQRTEWALLKSVTIAAAFIGLCLMSVINFATAEIGAVILVPMCLMARPLRLDARAKTLKAFTRAACNLFLLLLGFPPVAFIVFKGLLEGFNNLVVSDLWNWVESLWMWGSATYIYICMVHIPCWVLCIRTLLHNF >itb12g00170.t1 pep chromosome:ASM357664v1:12:184525:192094:1 gene:itb12g00170 transcript:itb12g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSITLEQIKNETVDLEKIPIEEVFEQLKCSREGLTSDEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGEGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQEAAILVPGDIVSIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKSPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMAAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDAQGNWHRVSKGAPEQILALCNAREDLKRKVHSVIDKYAERGLRSLAVARQEVPEKTKESPGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSAFMVLIIAILNDGTIMTISKDRVKASPMPDSWKLNEIFATGVVLGGYLAMMTVIFFWAMHDTDFFSDKFGVRSLRNSDDEMMAALYLQVSIVSQALIFVTRSRGWSFLERPGLLLVTAFFIAQLVATLIAVYADWGFARIKGCGWGWAGVVWLYSVVFYVPLDLMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPEASNLFSEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTL >itb07g02750.t1 pep chromosome:ASM357664v1:7:1784827:1787134:1 gene:itb07g02750 transcript:itb07g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHREFRTTVFILLLFFTVNAFTFSSADTPSESTVYEVLTNYSLPIGLLPDCVTSYTLSDDGSFEVFLEKACYVQFDYLVYYEEKITGKLGIGSITDLDGIQVKRFWFWFDVDEIRVDLPPSDSIYFQVGIINKQLDVDQFQTVHTCQDSAFTLCGVSPRKFLQPAVYDLPMLLTE >itb01g32780.t1 pep chromosome:ASM357664v1:1:36177798:36184331:-1 gene:itb01g32780 transcript:itb01g32780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNGGGGQTPSRFELLSMVKKHSKFLGKTIVEEEDSSDVEMDPEFWHEVLDLYFVRGKESRGRQEDDLIFFVRKMSLQGYESNGNSEDNSPYFVRRWSPKLDDLLGENSVGVDWRRSYYLNLIAHTTFSVTVAICSHEVLKTYQTGKDKPLSPIYKVVKTVYASPSRINFHLDSRKEVETTPAYPDICFAVDDFDSTFDAVVLTDVDHCYCVILNANDGAAFPSDSSQSETSGGKTQSSKITLFSGFVSYQMVRDAYDAGRTGFGSLLSLHSSGKTDRIYMKGPGGRGEVEVAVSGVVDQSKEEYSHHSPKKGLSFSAVVRRAASVASVAAKHAYAAASATRSSDEEMIPLKCCLMSISLPWEHIAHDLLLKGSPPVNL >itb12g04940.t1 pep chromosome:ASM357664v1:12:3259475:3261534:-1 gene:itb12g04940 transcript:itb12g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLTHLQESRDLQPQNQIFSLDGLFCDEERFEDDLGGWSCFESEVYPKINVKKPLSFFESDLFWEDDELLTLLSKEKQTHFGSGSLDLDGSLMVARKEALDWMFKVIGHYGFNALTAVLAVNYFDRLISGVSFQKDKPWMSQLAAVACLSIAAKVEEIEVPLLLDFQVADSKYMFEAKTIQRMELLVLSTLQWRMNPVTPISFLDHIIRRFGLKGNLHYEFLRSCEHIILSVIADSRLLHYLPSVIATATMLIAIKEIESCNAAEYENELVALLNVSKEEVDECYNLILEVTGHHGKQHCRSLKRKYDSVPGSPNGVIDAYFSCESSNDSWALAPSVGSSPELLYKRSRSRDQQMRLAPLSSVRGCG >itb03g21020.t1 pep chromosome:ASM357664v1:3:18836469:18839837:-1 gene:itb03g21020 transcript:itb03g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRKSLYPMELFLLILILGLASSRIDCQIIFGHGESFNVLDYGAIGDGTTNDTQAFLNAWRDACGSRVESPLLIIPQNFIFLVYPVTFWGPCNSQKIYFMISGEIIAPSSLGTWKGRDASEWVVFRDVKGLTIDGSGTIDGQGKAWWDNSCRYHPSLKGCTTLAPTALKFVSCNETSVSNMYVNNSPQTHILVLDCHGFNLHNIFINSPADSANTDGIHIQSSHNFSITHSKISTGDDCVSIGDYTSNVEINNIACGPGHGISIGSLGKSGNIVQVESINVSNVFFSGTTNGARIKTWQVGRGIVRDVIFENLLFNYVENPIIIDQHYCNVPDACKDTGSGVNISNIVYRDIFGTSSTDVAINLNCSKSVPCTNIVMQFVQLSSATPGKIVSADCENAYGQEYGVGPTSCLLQS >itb03g21020.t3 pep chromosome:ASM357664v1:3:18836469:18839253:-1 gene:itb03g21020 transcript:itb03g21020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRKSLYPMELFLLILILGLASSRIDCQIIFGHGESFNVLDYGAIGDGTTNDTQAFLNAWRDACGSRVESPLLIIPQNFIFLVYPVTFWGPCNSQKIYFMISGEIIAPSSLGTWKGRDASEWVVFRDVKGLTIDGSGTIDGQGKAWWDNSCRYHPSLKGCTTLAPTALKFVSCNETSVSNMYVNNSPQTHILVLDCHGFNLHNIFINSPADSANTDGIHIQSSHNFSITHSKISTGDDCVSIGDYTSNVEINNIACGPGHGISIGSLGKSGNIVQVESINVSNVFFSGTTNGARIKTWQVGRGIVRDVIFENLLFNYVENPIIIDQHYCNVPDACKDTGSGVNISNIVYRDIFGTSSTDVAINLNCSKSVPCTNIVMQFVQLSSATPGKIVSADCENAYGQEYGVGPTSCLLQS >itb03g21020.t2 pep chromosome:ASM357664v1:3:18836469:18839837:-1 gene:itb03g21020 transcript:itb03g21020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGEIIAPSSLGTWKGRDASEWVVFRDVKGLTIDGSGTIDGQGKAWWDNSCRYHPSLKGCTTLAPTALKFVSCNETSVSNMYVNNSPQTHILVLDCHGFNLHNIFINSPADSANTDGIHIQSSHNFSITHSKISTGDDCVSIGDYTSNVEINNIACGPGHGISIGSLGKSGNIVQVESINVSNVFFSGTTNGARIKTWQVGRGIVRDVIFENLLFNYVENPIIIDQHYCNVPDACKDTGSGVNISNIVYRDIFGTSSTDVAINLNCSKSVPCTNIVMQFVQLSSATPGKIVSADCENAYGQEYGVGPTSCLLQS >itb08g10720.t1 pep chromosome:ASM357664v1:8:10357046:10359936:-1 gene:itb08g10720 transcript:itb08g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNFGSVLLAVIALWVGLAGLSSAQSCGCGVDTCCSQWGYCGTGDTYCGAGCQQGPCYGWPVSSIVSDSFFNGIANQSDPSCEGKGFYTRTSFLQATNSYTEFGTVGTAIVARQEIAAFFAHFTHETGHMCYINEINGTSRNYCDTTTTQWPCTPGKNYYGRGPLQLSWNFNYGPAGLSIGFDGLNNPDIVATDSVTSFKAALWFWMNNCHSLITSGQGFGATIQAINGLLECNGANPAAVNARVQYYKDYCQQFGVNPGNNLTC >itb15g20450.t1 pep chromosome:ASM357664v1:15:23092715:23096796:1 gene:itb15g20450 transcript:itb15g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNGDGISRNASGSSNWWASFHRDILAGALMGGVVHTIVAPIERAKLLLQTQDSNIAILAGPHRKFKGMLDCMVRTVREEGFLSLWRGNGSSVIRYYPSVALNFSLKDLYRNILHSNFKEGHFLAGPSANFIAGAGAGCTTLVIIYPLDIAHTRLAADLGRTDARQFRGICHFLRTIHEKEGIRGVYRGLPASLQGMIVHRGLYFGGFDTIKDMMSEKSKPDVALWKRWLVAQGVTTSAGLISYPLDTVRRRMMMQSGLEKPMYRNTFDCWRKIYKTEGAASFYRGALSNIFRSTGAAAVLVLYDEIKKFMNWTGL >itb10g23370.t1 pep chromosome:ASM357664v1:10:27765341:27767392:1 gene:itb10g23370 transcript:itb10g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMDISPFVFLVLPSLVCSLSFDLPSISPKDTNVHINVEGDATITSQGIQLTPYERELALTDKAGRATYVESLHLWDKASGDLADFTTHFTFTVDSDGNPNYADGLAFFLANFSAPINGTLTDGGGIGLMNETLPIALDPFVAVVFDTFSYSDYRSMTNVSINIKSMLNPVNIKPWFNNVTQGMDNNASITYSASSKVLRVVFTGFWNGESMTESLSYQVDLREYLPEFVSVGFSASTGRLFEKNGVSSWQFNSTSLRSAKEKNKKGIEVLGLSICVPVLVAVLLALAIFIICLKKTRAKVIGNNDNHEIILLGQAMDTEFQMASTGPKKFSYTELKTATSSFSEEHNKLGEGGFGGVYKGFLKSLNLDVAVKRVSSGSKQGVNEYASEVKIISRLRHRNLVPLHGWCHEKGELLLVYEYMPQGSLESHLFKGMSVLDWGFRYRIAQGLASALFYLHEEWEKCVLHRDIKSSNVLLDSSFNAKLGDFGLAWLVDHENAPQKTIAGGTPWYVAPECIFTFKTSKESDVYSFGIVALEIATGQRAIIVNQPEGVKTLVEWVWDLYGMGKLFEAIDPKLCGNFDEQEMKQLMMVGLWCAHPDSTCRPKISQALHCLKFLVQLPTLPPKMPKPVYSTSLSRVHYWQILEGHRSSSNNSCPSRSTSSSIMDAASSSSSASHSHTQ >itb12g10290.t1 pep chromosome:ASM357664v1:12:8357893:8360023:1 gene:itb12g10290 transcript:itb12g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLVICVVISAALQCFAVLSEGFGFTYNGFQSANLSLDGLAEIKSNGLLQLTNETKLGYGRALYPIPIRFKNSSNDDSVFSFSTTFVIAIVPKIARLTGHGLAFAISPVGGLPGALPGTYLGLFNDTNNGNANNHVVAVELDTHQSGEFNDVNDNHVGIDINGLKSIVAEPAGYYDDKNGNLFHNLSLSSGKPIQVWVEYDGRAKQMNVTLAPLYMAKPKMPILSLPYDLSPAISEIASIGFSASTGAVLTTHYVLGWSFKMNGNAQELDPSHFPELSRVGPKWKQTVLVLKIGLPIVLFVIISSGVVVYVYYVLRKRKFAVSHEEWELEYGPHKFKYKELCKATKRFSEKEVLGTGGFGRVYRGIVANSKRQVAVKKVSHDTRQGLKAFTAEIVSLGRLCHRNLVPLLGYCRRQGELLLVYEFMPNGSLDSYLFDNPKCSLSWEQRFHVIKGVASALFYLHEGWEQVVIHRDIKASNVMLDGEMNGRLGDFGLARYYGHGANPQTTYAAGTLGYIAPEHVRTGKTTTSTDVFAFGAFLLEVACGRRPIESKAPYEDQTLIEWVFFWWSKGEIVHAVDHKLGGNYLENEVELVLKLGLLCSLLDPMSRPTMRQVLSYLEGSISPPNLSSLSLSVGGLTVTQSGGIDDIVVLGEQCSSSVTNSILSEGR >itb11g03620.t1 pep chromosome:ASM357664v1:11:1969641:1974199:1 gene:itb11g03620 transcript:itb11g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDRGKDLAEGSPGDNSPATPSRYESQKRRDWNTFGQYLRNQRPPVALSQCNSNHVLEFLRYLDQFGKTKVHLQGCIFYGQPEPPAPCTCPLKQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVKECQAKARGIPYKKKKKKPAGMAAADDDSSTSSSPFS >itb09g30580.t2 pep chromosome:ASM357664v1:9:31198987:31203130:-1 gene:itb09g30580 transcript:itb09g30580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFDKYCVLDGSPTTVLPAPRHCPKVDKRTPRGKPKYGREVLRINEDFTEINFHRYRSISCKDFPSRITYSEGDKVLKRGSVYQSSKELKCFQKTDNVEERAKIEFSRGSVTAFSFGIVDVLCSSDEDSSQVEENRSSSMSMNSDLSTGSSKKPHADFFSLPFHPIPEIGATPDGFAEISSNSDHRENRNSHNAVSQSAQDSKISARLPKSLSAKLALPHSPARSENDFSRASSPKARFSPVKRMFDPFGKSKSHRSPLGNPVESGKDKQSELVSVNGNRTFQKSQLHDFSQPVKNESCNSLLQGSPAHLQGFLKLERKNGAPFFEFSLPFPEDVFVAETWKVDNTLNWVYAFRSLHNRKKSSVNRYGSKDSTKESSLVGQMQISFYFCTELKDAGVCDNSIVMEFVLYDTSHLRKSGSSHESSCSSPDVSKGPKVPAENLPGVNIESGEVPKTKTKQKSKNAHGTGCFGSSAPHPLAPEELHPELEIAAMVIELPFEKRESLKYTSRDNEYDQPLPNLLDFSFDEKKIEGACNNLSPVKMNAVIPSGNHSLPTTESQGPFPLLDRWRLGGGCDCGGWDMACPLNVFGNKNTQIDDDYPLMSNQRPFKLFVQGKKDETPALTMMLTEDGQYAVNFHAQLSALQAFSICVSVLHTMEASAAVGHDEKGKSSEQSSPRMFAEDDFRSLIEAVKQQEKQKVNKKVPYFVLNPPFSPIARV >itb09g30580.t1 pep chromosome:ASM357664v1:9:31198987:31203130:-1 gene:itb09g30580 transcript:itb09g30580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFDKYCVLDGSPTTVLPAPRHCPKVDKRTPRGKPKYGREVLRINEDFTEINFHRYRSISCKDFPSRITYSEGDKVLKRGSVYQSSKELKCFQKTDNVEERAKIEFSRGSVTAFSFGIVDVLCSSDEDSSQVEENRSSSMSMNSDLSTGSSKKPHADFFSLPFHPIPEIGATPDGFAEISSNSDHRENRNSHNAVSQSAQDSKISARLPKSLSAKLALPHSPARSENDFSRASSPKARFSPVKRMFDPFGKSKSHRSPLGNPVESGKDKQSELVSVNGNRTFQKSQLHDFSQPVKNESCNSLLQGSPAHLQGFLKLERKNGAPFFEFSLPFPEDVFVAETWKVDNTLNWVYAFRSLHNRKKSSVNRYGSKDSTKESSLVGQMQISFYFCTELKDAGVCDNSIVMEFVLYDTSHLRKSGSSHESSCSSPDVSKGPKVPAENLPGVNIESGEVPKTKTKQKSKNAHGTGCFGSSAPHPLAPEELHPELEIAAMVIELPFEKRESLKYTSRDNEYDQPLPNLLDFSFDEKKIEGACNNLSPVKMNAVIPSGNHSLPTTESQGPFPLLDRWRLGGGCDCGGWDMACPLNVFGNKNTQIDDDYPLMSNQRPFKLFVQGKKDETPALTMMLTEDGQYAVNFHAQLSALQAFSICVSVLHTMEASAAVGHDEKGKSSEQSSPRMFAEDDFRSLIEAVKQQEKQKVNKKVPYFVLNPPFSPIARV >itb10g03930.t1 pep chromosome:ASM357664v1:10:3736253:3737648:-1 gene:itb10g03930 transcript:itb10g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKSKKSKKNKKSKKNKKEFPNERSFARYGDDEDRRHRLDEIQTMMDYLVEMYGDPERQKQALRECAATIEAYTGYAEAITGDQYIFNLQSTYSSDENLQSAYSSDETVAVDTFVDESMVASESADPKTFANLFEEFVDKLSDMAGLLPVSKNGKAKAKEGDDVNAKVKERTTLLIKSGSDAKGSGTGEKVGAFRNIDEEESDEDLVGIAEQLLKMRYLSLHQNNRYLQL >itb04g30530.t1 pep chromosome:ASM357664v1:4:33639342:33643060:-1 gene:itb04g30530 transcript:itb04g30530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGGDGIYRSLRPPLLLPSDQNLSMLSFLFRNVSQYPDKPALVDANSGRTLTFSQLKSQVSKVSHGLMHQLGLRKNDVVLIFSPNSVEFPLCFFAIVAIGAVATMANPSYTVSELSKQLKDCSPKLIVTVPSLLDKVTGFNLPVLLLRPENANLNISKSRARAGITFFHDLVRNSGSLDLGRVSIKQSDEAALLYSSGTTGISKGVVLTHRNFIAAANMAASDQDAAGEIHNVFLCVLPLFHVFGLSVITYSQLARGNAVVIMEKFDLNKTLKNVEKYEVTHLRVVPPILLAMAKNSLVKKYNLQSLRLIGSGAAPLGKELMEECAKNFPHAIVTQGYGMTETCGVISSENPNIGPRHTGSSGMLQSGIEAQIVSVSELKPLPPGQIGEVWVRGPNVMRGYYNNPQATSLVIDKQGWVHTGDLGYFDEEGLLYIVDRIKELIKYKGFQVAPAELESLLISHPEIVDAVVIPFPDAEAGEIPAAYVVRSLNSSLTEENVQKFIAHQVAPFKRLRRVTFINSVPKSASGKILRRLLIEKARSRI >itb07g05580.t2 pep chromosome:ASM357664v1:7:3840461:3841318:1 gene:itb07g05580 transcript:itb07g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLKEGDAYKFELIKIGEKPIAKLHSLNTTSSSSAENPHFYATIKPYSLRRSSRLYLPREFSRSNGLLVEGTREMILRDDKERSWPVVLGKVGKHATLMRGWPAFQMAKGLKEGDAYKFELIKSGKKPIAKFHRKCRFSSIFLAVLKAKTCVFLEHMAMGGNGDCSRSLFWRRRLKEAVAGMF >itb07g05580.t1 pep chromosome:ASM357664v1:7:3837967:3841318:1 gene:itb07g05580 transcript:itb07g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTKYYQSVSPNSYHLTTSLISSTLNYGSKLNALSSKCFAAMKTIPPKNPHFFKPIHQGFKNGVSIPTAFLAKYMKGQGPKFAILRRGDRSWRVKMSGGRILGDGWEKFAAENGLSVGDVVVFRQEGDTVFDVSVFEPSLCERDWPLPHGARDTTSTSPADHPYFISTIKPYCLRKYSHLHLPLGFARSNGLMAEEKHEMILRDDKERSWPVVLGRKDHHLSLRQGWQAFQMANGLKEGDAYKFELIKIGEKPIAKLHSLNTTSSSSAENPHFYATIKPYSLRRSSRLYLPREFSRSNGLLVEGTREMILRDDKERSWPVVLGKVGKHATLMRGWPAFQMAKGLKEGDAYKFELIKSGKKPIAKFHRKCRFSSIFLAVLKAKTCVFLEHMAMGGNGDCSRSLFWRRRLKEAVAGMF >itb01g12850.t1 pep chromosome:ASM357664v1:1:12539442:12540597:1 gene:itb01g12850 transcript:itb01g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALECRCCHIGLLEVSKCVKPLKEEEEPLLNGRRRQHTDEARSRRRRRFPAKPTTKLEAAAAAVATHPCDSSNPRCRHQSATVAGV >itb13g19930.t1 pep chromosome:ASM357664v1:13:26808381:26811482:-1 gene:itb13g19930 transcript:itb13g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNTAIHGRVNSLNLEAGRVSFAPSNHHGSSRENWQRTLHLAFQCIGVIYGDIGTSPLYVYASTFTKIDNKNDILGVLSLIIYTIILVPMLKYVFIVLWANDNGDGGTFALYSVMCRHTGVSLRPNEEAEDRELSNYRLETPSNELRRAQMIKQKLESSMVAKTVLFLVTILGTSMVIGDGVLTPCISVLSAVSGIKALGEETVMWVSIAILVLLFSVQRFGTDKVGYAFAPGLCVWFSFIIGTGLYNVFKHDITVLRAFNPKYIVDYFRKNGKEAWVSLGGAILCITGSEAMFADLGHFNVRAIQVITTCMVTLIMLVIWKTNIWLVALFFLVFIAIDGLYLSAALYKFMQGGYLPLLLSLVLMIVMGIWHYVYKERYAFELKNKISSEYVRDLAKNPDVKRVPGIMLLYSELVQGIPPIFPHFVSNIQSLHSVVVLVSIKNLPISKVVPEERFLFRQFEPREYRVFRCVVRYGYNDKIGEHKEFEGQLVSHLMEFIRHEHFVAPEQPSPHMKSASFDETLQNVSGRLSSTSILSINAAGAGWEEEVQFVQHAMEQGGVFYLLGEAAVEAKQDSWFFKKLVVNYVYSFLRKNFRQEEKVFAIPQNRLLRVGMTYEI >itb05g01440.t1 pep chromosome:ASM357664v1:5:1223374:1225948:1 gene:itb05g01440 transcript:itb05g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE63 [Source:Projected from Arabidopsis thaliana (AT1G02140) UniProtKB/TrEMBL;Acc:A0A178WA48] MEESDEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIVADSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQTSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >itb01g33010.t1 pep chromosome:ASM357664v1:1:36306719:36312978:1 gene:itb01g33010 transcript:itb01g33010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFTAALNRHIEGGDTSTSQPADSGGVLSQGNSHTSNQFVDWQITSHGENIMPQSLQDSKDTLQKSYNSSEMELKRQGQDTDNQQQIDLSQEMNSLPLQHLSSKDGQPSQTEQPMLRPMDVQSSDKNAIPTQEHNRTQNPEPQHLNLHGISTQQSMSTAMTGQQSMSVGTSSQQPVTTGMINQTEMASATGSQSTGNALKQGKQVPFAMLFPHIQPQLDKDRAMQLQTLYHKLRKNEITKEGFVKHMRSLIGDQMLKMAVYKFQSQAARNSQSGPNQFPPQSQASTPQHLQAPSNDSSNLAIDSSAQKLHEVEHQADLHGVPGNQVSSSSLSAIKQEREHPPFPPLQGLNNHQQKHLHFSQASIPPYANMGNNYHPYSATNTSSMPTPLKPQPQDPHMRPISAHQSIGANQLGSGTQTMNMINTPKFDQRQNSYAEPRRSITHMTSSSIAQQGSVHWQSSSNKERRSILPPPMMNVKAEPTDQLHDQQHKSQLSSPSFTPVQNEPGASTLGTSNDESFDMPSTSMGFLTAGHRVAQNLPSNPMPTQVDTSNSLNSSTLTVTSPSGVGNNVKTPSKKPSVGQKKPLDTLGSSPPPSGKKQKVSGALADQSIEQLNDVTAVSGVNLREEEEQLFSGPKEESRVSEASRRVVQEEEEKLILQKIPLQKKLTEIMGKCGLKNMSNDVERCLSLCVEERMRALISTLIRLSKQRADFEKTRHRTIVTSDVRQQIMAMNRKAREEWERKQAEADKVQKTNEPDGNAAADGDKDKDDGQAKSVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQAKQKRDAASGSHPGKDMPQKPSSSSSRNTRDNQEAEKRSSSAASITPGDDRNVGRNQLVPQTRVGCSITIKDVIAVLEREPQTSKSTLIYRLYERICSDGLSE >itb01g33010.t2 pep chromosome:ASM357664v1:1:36306747:36311871:1 gene:itb01g33010 transcript:itb01g33010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFTAALNRHIEGGDTSTSQPADSGGVLSQGNSHTSNQFVDWQITSHGENIMPQSLQDSKDTLQKSYNSSEMELKRQGQDTDNQQQIDLSQEMNSLPLQHLSSKDGQPSQTEQPMLRPMDVQSSDKNAIPTQEHNRTQNPEPQHLNLHGISTQQSMSTAMTGQQSMSVGTSSQQPVTTGMINQTEMASATGSQSTGNALKQGKQVPFAMLFPHIQPQLDKDRAMQLQTLYHKLRKNEITKEGFVKHMRSLIGDQMLKMAVYKFQSQAARNSQSGPNQFPPQSQASTPQHLQAPSNDSSNLAIDSSAQKLHEVEHQADLHGVPGNQVSSSSLSAIKQEREHPPFPPLQGLNNHQQKHLHFSQASIPPYANMGNNYHPYSATNTSSMPTPLKPQPQDPHMRPISAHQSIGANQLGSGTQTMNMINTPKFDQRQNSYAEPRRSITHMTSSSIAQQGSVHWQSSSNKERRSILPPPMMNVKAEPTDQLHDQQHKSQLSSPSFTPVQNEPGASTLGTSNDESFDMPSTSMGFLTAGHRVAQNLPSNPMPTQVDTSNSLNSSTLTVTSPSGVGNNVKTPSKKPSVGQKKPLDTLGSSPPPSGKKQKVSGALADQSIEQLNDVTAVSGVNLREEEEQLFSGPKEESRVSEASRRVVQEEEEKLILQKIPLQKKLTEIMGKCGLKNMSNDVERCLSLCVEERMRALISTLIRLSKQRADFEKTRHRTIVTSDVRQQIMAMNRKAREEWERKQAEADKVQKTNEPDGNAAADGDKDKDDGQAKSVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQAKQKRDAASGSHPGKDMPQKPSSSSSRNTRDNQEAEKRSSSAASITPGMFGFDFGIGLH >itb01g33010.t4 pep chromosome:ASM357664v1:1:36306717:36310815:1 gene:itb01g33010 transcript:itb01g33010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFTAALNRHIEGGDTSTSQPADSGGVLSQGNSHTSNQFVDWQITSHGENIMPQSLQDSKDTLQKSYNSSEMELKRQGQDTDNQQQIDLSQEMNSLPLQHLSSKDGQPSQTEQPMLRPMDVQSSDKNAIPTQEHNRTQNPEPQHLNLHGISTQQSMSTAMTGQQSMSVGTSSQQPVTTGMINQTEMASATGSQSTGNALKQGKQVPFAMLFPHIQPQLDKDRAMQLQTLYHKLRKNEITKEGFVKHMRSLIGDQMLKMAVYKFQSQAARNSQSGPNQFPPQSQASTPQHLQAPSNGSILFFKLHSLLFLMRNFQLAYFLKFHFFFAMQISIDSSNLAIDSSAQKLHEVEHQADLHGVPGNQVSSSSLSAIKQEREHPPFPPLQGLNNHQQKHLHFSQASIPPYANMGNNYHPYSATNTSSMPTPLKPQPQDPHMRPISAHQSIGANQLGSGTQTMNMINTPKFDQRQNSYAEPRRSITHMTSSSIAQQGSVHWQSSSNKERRSILPPPMMNVKAEPTDQLHDQQHKSQLSSPSFTPVQNEPGASTLGTSNDESFDMPSTSMGFLTAGHRVAQNLPSNPMPTQVDTSNSLNSSTLTVTSPSGVGNNVKTPSKKPSVGQKKPLDTLGSSPPPSGKKQKVSGALADQSIEQLNDVTAVSGVNLREEEEQLFSGPKEESRVSEASRRVVQEEEEKLILQKIPLQKKLTEIS >itb01g33010.t3 pep chromosome:ASM357664v1:1:36306717:36312875:1 gene:itb01g33010 transcript:itb01g33010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFTAALNRHIEGGDTSTSQPADSGGVLSQGNSHTSNQFVDWQITSHGENIMPQSLQDSKDTLQKSYNSSEMELKRQGQDTDNQQQIDLSQEMNSLPLQHLSSKDGQPSQTEQPMLRPMDVQSSDKNAIPTQEHNRTQNPEPQHLNLHGISTQQSMSTAMTGQQSMSVGTSSQQPVTTGMINQTEMASATGSQSTGNALKQGKQVPFAMLFPHIQPQLDKDRAMQLQTLYHKLRKNEITKEGFVKHMRSLIGDQMLKMAVYKFQSQAARNSQSGPNQFPPQSQASTPQHLQAPSNGSILFFKLHSLLFLMRNFQLAYFLKFHFFFAMQISIDSSNLAIDSSAQKLHEVEHQADLHGVPGNQVSSSSLSAIKQEREHPPFPPLQGLNNHQQKHLHFSQASIPPYANMGNNYHPYSATNTSSMPTPLKPQPQDPHMRPISAHQSIGANQLGSGTQTMNMINTPKFDQRQNSYAEPRRSITHMTSSSIAQQGSVHWQSSSNKERRSILPPPMMNVKAEPTDQLHDQQHKSQLSSPSFTPVQNEPGASTLGTSNDESFDMPSTSMGFLTAGHRVAQNLPSNPMPTQVDTSNSLNSSTLTVTSPSGVGNNVKTPSKKPSVGQKKPLDTLGSSPPPSGKKQKVSGALADQSIEQLNDVTAVSGVNLREEEEQLFSGPKEESRVSEASRRVVQEEEEKLILQKIPLQKKLTEIMGKCGLKNMSNDVERCLSLCVEERMRALISTLIRLSKQRADFEKTRHRTIVTSDVRQQIMAMNRKAREEWERKQAEADKVQKTNEPDGNAAADGDKDKDDGQAKSVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQAKQKRDAASGSHPGKDMPQKPSSSSSRNTRDNQEAEKRSSSAASITPGDDRNVGRNQLVPQTRVGCSITIKDVIAVLEREPQTSKSTLIYRLYERICSDGLSE >itb04g30900.t1 pep chromosome:ASM357664v1:4:33885786:33889701:-1 gene:itb04g30900 transcript:itb04g30900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETINEVELMMPELRGPGGDMAAQMRLLWDLVKAPLIVPVLRLAVYVCLTMSMMLFVERLYMGIVIILVKIFCGKPEKRYKWEPMREDYEIGTSVFPSVLIQIPMFNEKEVYKISIGAVCNFAWPSDRLVVQVLDDSTDHNIKEMVEKECLRWASKGINITYQTRVTRGGYKAGALKEGLTHDYVQDCEYVAIFDADFRPEPDFLLQSIPFLIHNPEIALIQARWRFVNADECLLTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTGGIWRIAAINEAGGWKDRTTVEDMDLAVRAGLKGWKFLYLGDLHVKSELPSTFKAFRFQQHRWSCGPANLFRKMFIEIVRNKRVNVWKKVYVIYSFFLVRKITAHMVTFFFYCVVLPLTILVPEVEVPKWGAIYIPCIITILNSVGTPRSIHLLFYWILFENVMSFHRTKATLIGLLEFKRANEWVVTEKLGDAINNNKSNSKPAPKKTKSIFRDRILLHELGFAVFLFVCGVYDFLHGKNHYYIYLFLQVITFTIAGVGWVGTIVPS >itb12g05700.t1 pep chromosome:ASM357664v1:12:4252526:4253332:1 gene:itb12g05700 transcript:itb12g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLIGGAVLSVAFAKLFDAVADAGRRVAAFRSDFESLSSTLLQIKPIFEDIEKLNKLTGTQKDETEALIKQLKDGEALIEECLKIKRHHLCKKWRCSKKLTKLEKSLVRFFSMDVQIQSFRDSKNTLAMANGVDQKMDEALAYLKNLGTTS >itb09g10130.t1 pep chromosome:ASM357664v1:9:6287025:6288487:-1 gene:itb09g10130 transcript:itb09g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWSEWSEPIVPVQCLSESGIQTIPERYMKRVPGAEVENEGVNIPLIDLKDLDSSDVSVRDGVLVRISEACREWGFFQVVGHGVDHGLMADMQATWREFFRLPLEEKQEYANNPTTYEGYGCRQGVEKGAKLDWNDYFFLYFLPNSVMNPCKWPHLPVSCRELTAKYCGEVANLGEKLTRILSVNMGLKEDRIHEAFGGYEERGACLRVNFYPKCPQPDLALGLAPHSDPGGLTCLLADADVAGLQVFHDGKWITVKPLPNAFIINIGDQIQVMTNGIYKSVEHRVMTNSEKERLSMAFFYSPGGNVMVKPLEEVVSKDNPAMYPTMTYDQYRAFILTKGLQGKSQLESLKKSKS >itb14g00660.t1 pep chromosome:ASM357664v1:14:453513:457654:1 gene:itb14g00660 transcript:itb14g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILRHFNLHYAFFLLLLLQLPNFGCSSTNITTDQSALLAIKSHITTDPNNILSNWSTATPVCDWIGVFCNRRHKRVFALDLSFKGLAGSLPSQIGNLSFLVKLNLLNNSFSGHLPEGLSNLRRLKYLNAGYNNFVGNIPAWLGSLKKLTYLGLYGCRFSGALPVSLSNLTELQYFYLHENGAIAGNIPNEYSSLRKMKHFFLRSNGLSGSFPPCVLNFSMLQRLDLGYNSISGHIPLDICSHLPSISGIYLSHNQLKGKIFPSNISGCSWLLDLALSFNKLEGPIPRSFGNSTSLQNLFLDFNNLMGEIPDRIGNLRQLETLSVANANLFVELCHLLNLGELDLAKHRLSGKIPDCLGNITSLRYFYLNSNNLTSTIPASLWSLKDLLELNLSSNSINGSLSFEIGNLKSLILMDLSANQIKGNIPSTLGALQMLQNLSLAHNRLQGLIPKSLDNMLNLEELDLSLNNLLGEIPKSFEKLLHLKHFNVSYNKLSGEVPSGGPFANFTNQSFMSNQALCGTPWFHQCTTTHHNKVKRKYIFISVFAFLSISTMAVVVIIVFERRKKRTNKSLEIDLPPRCIPPRISYYDLQRMTHGLSDSNLLGKGSFSSVYKGILSSGTSCAIKVFDMEIQEYGSQGLVSIRSDVYSYGVVLMETFTRMKPSDNIFSGDSTLKRLVESSLLPNAIAQVIDLNLLPQDQIEISTKYVECVTSIML >itb10g25960.t1 pep chromosome:ASM357664v1:10:29171069:29175321:1 gene:itb10g25960 transcript:itb10g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MSLPRGKQSSLLSLEEDLLIKKILLTHDPNATHLDSALLLLGVQNIVSHIMSKDSDPTDTITPCNVGVAGLEETLGQTIYKISHEIFSICSNGIDEHAKTMLLFELLSHLRWDAKVVLALSALTSTYGELLLVLQQLPHSPLAALVAALKQLPRNLTALKIQFKALNLLINTMVELAKIIVRFEGLPLQLVLLDHQAIAATKSKICIATYWILRSSLSCFSLLADLRTVKDEQVHSNRTAIASWALFSLVYKLSGLYHELREQVDVCCQQIETRFPEELLNVFKLNHLDNQEVLQMLFALRDNLPLKNYSKEMCGILELKGKEVMFLISKPEIFSMEKVFFLMQQTYDHPHRKNLPGSYVILWVPIATSDVWSHADEITFQFWTNSFPWFSIRQPRLLNSDVVQFIRREWNYNEEPIMVILNSDGVITNLNALDMVWIWGAKAFPFSTSKERELWENQKWSLQFITDGIDPLLSHWVEEGEKYICIYGSDDIDWIRNFNSRMDKIKRTGVQLEVIYVGWRNPTENIIDAIRQENSSVVFLSFAKMQLFWLRMDSIRNSVMRLGIDSNVKGEVMGLLDAVENRKQWGVIGKGSSSPEIITLHDRKLQECFDLFPEWGESVGKLGLLGAIRTAMESPLPSKPCYHSVTLPYQKEVSQETVFCSECRRPMEKYVVYKCDVTDSTVE >itb10g25960.t2 pep chromosome:ASM357664v1:10:29172111:29175321:1 gene:itb10g25960 transcript:itb10g25960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MLLFELLSHLRWDAKVVLALSALTSTYGELLLVLQQLPHSPLAALVAALKQLPRNLTALKIQFKALNLLINTMVELAKIIVRFEGLPLQLVLLDHQAIAATKSKICIATYWILRSSLSCFSLLADLRTVKDEQVHSNRTAIASWALFSLVYKLSGLYHELREQVDVCCQQIETRFPEELLNVFKLNHLDNQEVLQMLFALRDNLPLKNYSKEMCGILELKGKEVMFLISKPEIFSMEKVFFLMQQTYDHPHRKNLPGSYVILWVPIATSDVWSHADEITFQFWTNSFPWFSIRQPRLLNSDVVQFIRREWNYNEEPIMVILNSDGVITNLNALDMVWIWGAKAFPFSTSKERELWENQKWSLQFITDGIDPLLSHWVEEGEKYICIYGSDDIDWIRNFNSRMDKIKRTGVQLEVIYVGWRNPTENIIDAIRQENSSVVFLSFAKMQLFWLRMDSIRNSVMRLGIDSNVKGEVMGLLDAVENRKQWGVIGKGSSSPEIITLHDRKLQECFDLFPEWGESVGKLGLLGAIRTAMESPLPSKPCYHSVTLPYQKEVSQETVFCSECRRPMEKYVVYKCDVTDSTVE >itb01g27150.t1 pep chromosome:ASM357664v1:1:32077796:32080326:-1 gene:itb01g27150 transcript:itb01g27150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPCGDDDPEWIKRVRSEGPVPYLDPDNCSTGWASPSGDIFMVRGPEYFSNKVKIPGGEYLLKPLGFDWIRGPSKLSDLLHNPKNRIRKALEEEFPSGPKPFVWAFNLQVPTKENYSAVAYFVAVGSIVEGSLMDQFMKGDLEFRTSRLKLVANIFKGPWIVKKAVGEQAICVIGRALNCSYCAGDNFIEIDVDIGSSVVANAIVHLAFNYLTKLTVDLAFLIESQTESELPERLLGAIRFSELNPESAIPVEIPPQRKLERTKSSFARLWKSLGSSLSRLRKGQESETSSGTSGESHTNGVVDGEKSDEMHVQERGASSGETHTNGVVDGEKSDEMPAQESGASSGESXYNDSSCCICFRFILGSAF >itb01g27150.t2 pep chromosome:ASM357664v1:1:32077796:32080326:-1 gene:itb01g27150 transcript:itb01g27150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPCGDDDPEWIKRVRSEGPVPYLDPDNCSTGWASPSGDIFMVRGPEYFSNKVKIPGGEYLLKPLGFDWIRGPSKLSDLLHNPKNRIRKALEEEFPSGPKPFVWAFNLQVPTKENYSAVAYFVAVGSIVEGSLMDQFMKGDLEFRTSRLKLVANIFKGPWIVKKAVGEQAICVIGRALNCSYCAGDNFIEIDVDIGSSVVANAIVHLAFNYLTKLTVDLAFLIESQTESELPERLLGAIRFSELNPESAIPVEIPPQRKLERTKSSFARLWKSLGSSLSRLRKGQESETSSGTSGESHTNGVVDGEKSDEMHVQERGASSGETHTNGVVDGEKSDEMPAQESGASSGESXYNDSSCCICFRFILGSAF >itb03g16030.t1 pep chromosome:ASM357664v1:3:15170583:15170891:1 gene:itb03g16030 transcript:itb03g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHMLIYPTFQLTNQKKDKLKRAMEKEKKSSCVNTYFEMPLHYPKYTMEDYKEMPEWKVDKLLADYGLPSNGDLDYKRAFAMGAFLWPPTSQNKKKKNVGH >itb06g18420.t1 pep chromosome:ASM357664v1:6:22076353:22078253:1 gene:itb06g18420 transcript:itb06g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYNVVVAVVPLYVALLLGYASVKWWRIFRTAEQCDAVTRFVSYFTLPLFTFEFTVHVDPYQMNYKFAAADAVSKAIIIALVAVWARSSSKGSFSWSITSFSLSTLTNGLVVGVPLIKAMYGPRAVDLVVQASVIQAVVWLTLLLFVLELRQTRNNFSSGGGGAAAGENGGEDLEGNRKAVVENSDRAAPSFWRASMKAVWSKLAMNPNTYGCFGGIIWAFISKRWHFEMPILMEGSILILSKAGTGTAMFSMGLFMALQEKVVACGAGVTAIGMALKFIAGPAAMAVASFAVGLRGDILRVAIIQAALPQSITSFIFAVEYGLHANVLSTAVILGMIVSLPVLVGYYAILEFVQ >itb10g17400.t2 pep chromosome:ASM357664v1:10:23610449:23614116:-1 gene:itb10g17400 transcript:itb10g17400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLFYGLWKYAFSKTEFHVLILGIDKAGKTTLLEKLKSQFSNSEGLPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVMFVVDAACPSRFEDAKSALEKVLRHEDLQGAPLLILANKQDLGGSVSAEELGRYLDLKKLDERVYTFQAVSAYEGVGIKESVNWLVDVMERSKRTEVLKLRADSPNF >itb10g17400.t1 pep chromosome:ASM357664v1:10:23610431:23614116:-1 gene:itb10g17400 transcript:itb10g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLFYGLWKYAFSKTEFHVLILGIDKAGKTTLLEKLKSQFSNSEGLPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVMFVVDAACPSRFEDAKSALEKVLRHEDLQGAPLLILANKQDLGGSVSAEELGRYLDLKKLDERVYTFQAVSAYEGVGIKESVNWLVDVMERSKRTEVLKLRADSPNF >itb01g04850.t1 pep chromosome:ASM357664v1:1:3302033:3303245:1 gene:itb01g04850 transcript:itb01g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALKLYKLGNQHALLSWSYSAASRVPRTYTVLAPPLKRPRNNLRVKASSAGAGGEGQNSSRRTFLTLEEAGLVEISGLDAHERFLCRLTISSLNLLKVISEQEGCPIEELNAGRICDWFLKDKLKREQNLESAVLQWDSSEFQF >itb12g26620.t1 pep chromosome:ASM357664v1:12:27433862:27436993:-1 gene:itb12g26620 transcript:itb12g26620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGELKVEEMSLSALFEQARKVHSMATESTADQDTVKKGCELLRKCEEMISKLGLFSANETKDEISTVNLKFLLVPYYLGELMEKISGGDRLQILKASQAMLKEFIAFCEAMELVPEDELETSIPTGPNAFADRRAKKIARFKRQKAAESKLLELKERKERRGRSTKASALSTPVEVGEEDVLDEDGEEEREVWLTTISLALCKAIDLLEMLKKEEEMLSAIKEKQLQEGETLISQAILDERTKKAEAWHRDAAARSQYINPAMPITCATFAQDVIEGRANVSQVHEHKHQPLIFGPASLVGRNPTTERERMAAQVFQPNYRLPTMSIEEAGLKEMEIMNKWQERTAKFMEEANSSWHTENRTSRPGEDDEEDDDAAEERARAWDDWKDDNPRGAGNKKLTPCG >itb12g11780.t1 pep chromosome:ASM357664v1:12:10261576:10265963:1 gene:itb12g11780 transcript:itb12g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRGRVPRECCRGVESLHRLAKTAADRRAACQCLKSAAQHVPGGGNVIDRAAKIPDKCGVRLPFKISSSTNCYSREEDQISVSPPRFTPPPFHSSISPVEEMRDRRPSYNRRCQVQAAGGGARRKHDSATLEQLPHHQAPPPPPASLSSCRSFIVVDVVGS >itb04g07640.t1 pep chromosome:ASM357664v1:4:5225069:5227288:-1 gene:itb04g07640 transcript:itb04g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVNVDLHWPSDDFQWCDEAGEVNGAQRRVKMKKGIHPQMQWISYVTQSGRLMPVMMTKIHQVGKVYHFRARRQMAESIGQIAKFKRRYEKKEEETETEKEK >itb02g15450.t1 pep chromosome:ASM357664v1:2:11244302:11245087:-1 gene:itb02g15450 transcript:itb02g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLHLHPCISLQKHPIFSPPSLFFNSHNKASVSAFGVGRWEALKKSRRRGQGPPLRAAEKDSDYEVDPDKAREALRKLDEQLQSLAQKKPDPPPKIRASDVNGAPSRMTQVPEAKEITSSHLVNLAILLFVLTILYNVFFLAVIKPAVDGPEDIAPEMTSVLETQQDSSPFQGT >itb09g12430.t1 pep chromosome:ASM357664v1:9:7873047:7873502:-1 gene:itb09g12430 transcript:itb09g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVISYLLLLLVHSTFTTAFSDASDHDAKNLAIRVEESSDSPSYLAIQFLNLGDRTEIVAVDVAQVGSLNWNFMSRKNEGESGPAIWETNRVPSGALQFRVVVTAGFDGKWYWASKVMPEDWKSGEVYDTELCITDIDIAMETDYSFEL >itb13g08050.t1 pep chromosome:ASM357664v1:13:10342384:10342650:1 gene:itb13g08050 transcript:itb13g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSAPRPAPRRAPPPAPVNHAPPPAPVQSSGGGSMLGNIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTVQHEMVGGPAPDA >itb03g18150.t1 pep chromosome:ASM357664v1:3:16514254:16515375:1 gene:itb03g18150 transcript:itb03g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLRQSCSFPILLVSFLNFILFILSLASIAPMLLLKMPPTSLGYAFLMVSSISLFSSLIGFYSQFCFTTHVTLLLGSSLAQFLAILTLFTRENPSLAMLKSPRDPREAKVLVRLECGLMMVMFVMQLGVLVVSYVVQRCWVRRREDQSAEAEKEAWAQKKREWMVKVHENEGTVPITTKIGEVKGVELDEKMKNKCGQRV >itb07g21960.t2 pep chromosome:ASM357664v1:7:26455047:26457520:-1 gene:itb07g21960 transcript:itb07g21960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFACFSQNQQKSAAKTRPPAPAPVPLPPSLSISGSRKSQKVVPSSSSSMKERFDEAYIKEQAMTAVLLLRQHHQQQNGGVSQFDRSVSLRDALSSSSSRRQKKIPRSSSSRPTSSLFDSLPQSLHPLQQDPNTEDTEETRHFVLVHGGGFGAWCWYKTTTFLKESGYQVDAIDLTASGAHPHDSDSITTLAQYIKPLTYFLENLPRDRKVILVGHDIGGACISYAMELHKSKISKAIFVAAAMLKNEQSILDMFSQKPGMDDLFQRAQIFMYANGKKHPPTAINFDRSLVKDTFFNQTPAKVYIIVLPQTAKDLKNLLCLWFPCIQNSKGFVLFLIPQDVELASVSMRPVPFAPLTEKLSLSATNYGSIPRFYIKTQEDFAIPLPLQEAMIHSNPPQQVFLLKGSDHSPFLSRPQALHKVLVEISHILPK >itb07g21960.t1 pep chromosome:ASM357664v1:7:26455047:26457520:-1 gene:itb07g21960 transcript:itb07g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFACFSQNQQKSAAKTRPPAPAPVPLPPSLSISGSRKSQKVVPSSSSSMKERFDEAYIKEQAMTAVLLLRQHHQQQNGGVSQFDRSVSLRDALSSSSSRRQKKIPRSSSSRPTSSLFDSLPQSLHPLQQDPNTEDTEETRHFVLVHGGGFGAWCWYKTTTFLKESGYQVDAIDLTASGAHPHDSDSITTLAQYIKPLTYFLENLPRDRKVILVGHDIGGACISYAMELHKSKISKAIFVAAAMLKNEQSILDMFSQKPGMDDLFQRAQIFMYANGKKHPPTAINFDRSLVKDTFFNQTPAKDVELASVSMRPVPFAPLTEKLSLSATNYGSIPRFYIKTQEDFAIPLPLQEAMIHSNPPQQVFLLKGSDHSPFLSRPQALHKVLVEISHILPK >itb05g22010.t3 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKPPPIPISHVYPPLPNTADTMRAHTPLFSPTHCISKKKSSRFGQRVATSSIKSAQQSVGMSGSDFLTVSQIKDGLFDAFRGMNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSVKPLICSFLLCFSFLGNPCLATQPDKEPQRDKPA >itb05g22010.t10 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSVKPLICSFLLCFSFLGNPCLATQPDKEPQRDKPA >itb05g22010.t8 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSVKPLICSFLLCFSFLGNPCLATQPDKEPQRDKPA >itb05g22010.t1 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKPPPIPISHVYPPLPNTADTMRAHTPLFSPTHCISKKKSSRFGQRVATSSIKSAQQSVGMSGSDFLTVSQIKDGLFDAFRGMNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSRVDISYNSSTITPDKLMNVFRKNYDLLLGIFNPDGWLEITYLDDNLRIGRDDKGNTFILERSEEETT >itb05g22010.t4 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSRVDISYNSSTITPDKLMNVFRKNYDLLLGIFNPDGWLEITYLDDNLRIGRDDKGNTFILERSEEETT >itb05g22010.t6 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSVKPLICSFLLCFSFLGNPCLATQPDKEPQRDKPA >itb05g22010.t2 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKPPPIPISHVYPPLPNTADTMRAHTPLFSPTHCISKKKSSRFGQRVATSSIKSAQQSVGMSGSDFLTVSQIKDGLFDAFRGMNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSRVDISYNSSTITPDKLMNVFRKNYDLLLGIFNPDGWLEITYPFSL >itb05g22010.t5 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKPPPIPISHVYPPLPNTADTMRAHTPLFSPTHCISKKKSSRFGQRVATSSIKSAQQSVGMSGSDFLTVSQIKDGLFDAFRGMNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSVKPLICSFLLCFSFLGNPCLATQPDKEPQRDKPA >itb05g22010.t9 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKPPPIPISHVYPPLPNTADTMRAHTPLFSPTHCISKKKSSRFGQRVATSSIKSAQQSVGMSGSDFLTVSQIKDGLFDAFRGMNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSVKPLICSFLLCFSFLGNPCLATQPDKEPQRDKPA >itb05g22010.t7 pep chromosome:ASM357664v1:5:27567490:27569520:-1 gene:itb05g22010 transcript:itb05g22010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIFGVPSEKKNRIEELVMLLESQNPTPEPTLCLDKVAGSWKLVYSTITILGSRRTKLGLRDLISLGDFFQNIDVAQGKAVNVIEFSARGLNFLSGQLRIEASFNIASNSRVDISYNSSTITPDKLMNVFRKNYDLLLGIFNPDGWLEITYPFSL >itb14g00580.t1 pep chromosome:ASM357664v1:14:410641:410970:1 gene:itb14g00580 transcript:itb14g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKATWTWDEERMFQIALSTYVEGTPNRWKEIAKLIPGKSAKDVKEHYPILKANIEAMESSFTQLQTNTNNDASSSQRREENEEVGSIWDLDELVSCSQVYHVLNKK >itb04g12050.t1 pep chromosome:ASM357664v1:4:11767014:11767878:1 gene:itb04g12050 transcript:itb04g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTLNLFLFLLLSLLAIALSGAQVNALGRKVGGRTEIEDVKTNKEVQQLGRYCVEEYNKGLKQKKQGTGRLLSFSEVVKAEKQVVNGIKYYLRISASTAVGEANTFDAVVVVKPWAKSKELLSFAPATPSK >itb05g13390.t1 pep chromosome:ASM357664v1:5:20343170:20343994:-1 gene:itb05g13390 transcript:itb05g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSNIPNEIILHILLQLPMKAVIRCQCVCKQWRLQRLDLPFGEAAYPLIRASDECPVRSLCSYNGFVLLVTKTDILLWNPSTRCLMKVLHIFRGLDYKVVLSIHPRGTTDYKVVVLSIHPLIGPLGDPFVISASLNHKEWRPMQFPYNLNSARGGVEFCNTFYWWASDIKDLNSPRGGVEFYWWASDIKDLNSARGGVEFCNTFYWLGGQVTSKITCQEEIEIRSYILIMCLMNSESYPPPN >itb01g20980.t1 pep chromosome:ASM357664v1:1:27140089:27140433:-1 gene:itb01g20980 transcript:itb01g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPRWLSVGTTASSLQAIRQRYSLAEAHLAYHQSLHAIGASLHHFFDQDLGAFPRPWLSPLSSHRKGGGDHNLLHSLLTNHPLQFPLIFTLAPIPTFTSSPIPKVKMTPPLYL >itb05g07510.t1 pep chromosome:ASM357664v1:5:9783416:9784518:-1 gene:itb05g07510 transcript:itb05g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTESDTVLLNVTLSSIALKTRGGSNVDGARINIDFAILVRIVLTTMRGIKPEFSLSARNNSTEQCVFEYGFLESRWLKPEGCLLIANEGLLIFRLLLDQFMDTLVEILLVAVVKLLMLGWYNKEEGKIPKNEVCCITIGGSAMRMVGYALPEWKILKDDKRGVLMVGISFECYTYWGISKEMNNTLSLILYPLQRLLILQLKFTPQYGRIHRAQTEMELTSSPRVCDPFGSVDETSQEEFEASYQPKTELLKAFAILAAAITGALIFVSIFKALTDLPPYIGMLLCRGGLWILTDDIRYGESERQHWKEPQALSRISIQRVLNTSKMKMVCSVLHE >itb13g19670.t1 pep chromosome:ASM357664v1:13:26616541:26622954:-1 gene:itb13g19670 transcript:itb13g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAAVSLLSLVRKHEFNRTAIQQLHSHLIFCTSTPIILTLWNSVLRHYSLGSFPQEALFLFQHLQRRLRPKFFFDSFAYSFLIKAAANLTQPSIGKQVHCLSFKSGFHSHVYVQTALVDMYVNCGCLVESQNVFDEMPSRNSVTWNVLITGLIKWGELGFAQAVFNAMPEKNVVSWTGIIDGYTRTGKFNEALLLFHKIVVREGIKPSEVTLLAIFPVVWNLGHLKSCQMLHAYGEKSGINSFDIRIMNSLVDAYSKCGSINYASKVFDDISDERRNLVSWTSIISGFAMHGMAKEASNGFRMMQSANVKPNQITFLSVLHACSHGGLVDEGVEFFGKMVHDFALQPNIKHYGSLIDMLGRAGRLEEAERMALEIPNEISNVVIWRTLLGACSFNGNAELGQRVMQKILELERTYGGDYVLLSNIFVDNAGKKRERRGREVRAQLLSSERVLLCCLTGEYCNKFVYDRIKIRMSGRKETVLDLAKFVDKGVQVKLTGGRQVVGTLKGYDQLLNLVLDEAIEYLRDSDDPLKTTDQTRRLGLIVCRGTAVMLVAPTDGTDEIANPFLQPDGA >itb02g20680.t1 pep chromosome:ASM357664v1:2:18784907:18789369:1 gene:itb02g20680 transcript:itb02g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVSDSSRVAAEHNHSRYRSQPIAEADPNPHLISSSRSKSTISALLLAPFSPTSPTHDPPITLTPKKKNFTTFRGLGCTASSQVSVPAVIRSSADWDSSRRVKKKKKLRSKKKALDVGGNNVNNPPADVWCGPGIGFATDAASVSRRTASRRGKVDGDTVRPRERSACTLRRMVIPEDNPFLDSDTASGMTRSRMDVLGSRHHRYSRCGFPEGLAEVVMLQSRLMEGGSEGPDRYRDWRLDVDHMSYEELLELGDRIGYVSTGLKEDEIDRYVRKTKLPLSAKLSSHFLAETERKCSVCQEEYESDDEMGKLVCGHFYHIHCIKQWLLHKNACPICKSTASRV >itb10g09210.t1 pep chromosome:ASM357664v1:10:12278254:12279553:-1 gene:itb10g09210 transcript:itb10g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYWVGFWILCAKLNTAVLFEKIDRLKVARFVLFEKIDRLKVARFPESLGADFALGPYRTWDDVHLLFFPILQLNHFYLLCVDFKTERLEIIDNSASTEATRLKYGDTLENVKLLLTEYFTSVGEKFKSIICENLKCIRMPMKWRDTGNEVDCGVYLMRHMESYVGERVSKWDCGLTRGDRLQFQMLRLRYMKELCTVDINAHRTSNVARALRFLSGQ >itb04g31060.t1 pep chromosome:ASM357664v1:4:34031001:34036265:-1 gene:itb04g31060 transcript:itb04g31060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNVVVYVLVSFLLSSPLFHLGGAGAKTAGDGSEAWGYVQVRPKAHMFWWHYKSPYRVEDPNKPWPIILWLQGGPGASGVGIGNFQEIGPLDVNLEPRNSTWLKKADLLFVDCPVGTGYSFVEDTKLLVKTDVEAATDLTTLLIKVFNKDVNLQKSPLYIVAESYGGKFAVTAGLSALKAIEAGKLKLKLGGVALGDSWISPEDFVLSWGPLLKDVSRIDENGLELSNSIANQIKKKLVGGQFEEATTLWSKLEDVISAYSNSVDFYNFMLDSDEELLSTTSQSLVMKRYKSYLGSLKASPGSGGDLDSLMNGAIKKKLKIIPPHFEWGEQSGSVFDTMAGDFMRPRINEVDELLSKGVNVTIYNGQVHL >itb04g31060.t2 pep chromosome:ASM357664v1:4:34031001:34036265:-1 gene:itb04g31060 transcript:itb04g31060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNVVVYVLVSFLLSSPLFHLGGAGAKTAGDGSEAWGYVQVRPKAHMFWWHYKSPYRVEDPNKPWPIILWLQGGPGASGVGIGNFQEIGPLDVNLEPRNSTWLKKADLLFVDCPVGTGYSFVEDTKLLVKTDVEAATDLTTLLIKVFNKDVNLQKSPLYIVAESYGGKFAVTAGLSALKAIEAGKLKLKLGGVALGDSWISPEDFVLSWGPLLKDVSRIDENGLELSNSIANQIKKKLVGGQFEEATTLWSKLEDVISAYSNSVDFYNFMLDSDEELLSTTSQSLVMKRYKSYLGSLKASPGSGGDLDSLMNGAIKKKLKIIPPHFEWGEQSGSVFDTMAGDFMRPRINEVDELLSKGVNVTIYNGQVDLICATKGTEAWVQKLKWEGLKTFKSIDRTPMYCGNDQTTRGFTKSYKNLHFYWILGAGHFVPVDQPCVSLDMVGNITQSPATSH >itb09g13340.t1 pep chromosome:ASM357664v1:9:8688628:8689269:1 gene:itb09g13340 transcript:itb09g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNSLFLIVAALALSCLVAGQDFCVRDPSGAPPCKDPSLVQEEDFLFSGLHLPGDASLNRLKFNVTAVTVAQIPGLNTLGLSMARIDFGPQGGVPPHVHPTATEMFSVVEGIFVVGFVTSDPEHRLFARSLRKGDVFVFPAGVIHFVRNVKYQRAVGISAFNSENPRTATIADAVFGSYLPIGDGILGPAFQIDSSLVFEIQAKFNNQSKF >itb03g13490.t1 pep chromosome:ASM357664v1:3:13611397:13612552:1 gene:itb03g13490 transcript:itb03g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF025 [Source:Projected from Arabidopsis thaliana (AT5G52020) UniProtKB/Swiss-Prot;Acc:Q9FJ90] MANPFDYYNNNVNVPPNPTPSLQIPDPSHHNTTAATATVTAFQDHPSPSGGRGSPRRHHLYRGIRWRNGKWVSEIREPKKTSRIWLGTYLTPEMAAAAYDVAALALKGPDAPLNFPDSVVSYPIPRSHSAADIAAAAARAAASRAPAPSSTGHGGSSSEVAGASSTTVVPQKAEEEEFVDEEALFDMPNLMVDMAGGMMVSPPRMKPSPPHGDDSPDYSLWSYP >itb07g09880.t4 pep chromosome:ASM357664v1:7:9677457:9680940:1 gene:itb07g09880 transcript:itb07g09880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKVQVALVVLLFGVGIATVTDLQLNLLGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNKNVFAFNYTPKVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGIFIAVVGMILYSYYCTIENQQKSSEASVQVSQVLNYLHFTPSII >itb07g09880.t2 pep chromosome:ASM357664v1:7:9677459:9680940:1 gene:itb07g09880 transcript:itb07g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKVQVALVVLLFGVGIATVTDLQLNLLGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNKNVFAFNYTPKVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGIFIAVVGMILYSYYCTIENQQKSSEASVQVSQAKESESDPLISAEKGGGHLVESGIAKSSPGWNSNKDLQA >itb07g09880.t5 pep chromosome:ASM357664v1:7:9677457:9680940:1 gene:itb07g09880 transcript:itb07g09880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKVQVALVVLLFGVGIATVTDLQLNLLGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNKNVFAFNYTPKVLVRINSFSLVC >itb07g09880.t6 pep chromosome:ASM357664v1:7:9677457:9680940:1 gene:itb07g09880 transcript:itb07g09880.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKVQVALVVLLFGVGIATVTDLQLNLLGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNKNVFAFNYTPKVLVRINSFSLVC >itb07g09880.t1 pep chromosome:ASM357664v1:7:9677457:9680940:1 gene:itb07g09880 transcript:itb07g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKVQVALVVLLFGVGIATVTDLQLNLLGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNKNVFAFNYTPKVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGIFIAVVGMILYSYYCTIENQQKSSEASVQVSQAKESESDPLISAEKGGGHLVESGIAKSSPGWNSNKDLQA >itb07g09880.t3 pep chromosome:ASM357664v1:7:9677459:9680940:1 gene:itb07g09880 transcript:itb07g09880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRAVMGFGILNGTSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKVQVALVVLLFGVGIATVTDLQLNLLGSILSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNKNVFAFNYTPKVLAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGIFIAVVGMILYSYYCTIENQQKSSEASVQVSQAKESESDPLISAEKGGGHLVESGIAKSSPGWNSNKDLQA >itb08g17240.t1 pep chromosome:ASM357664v1:8:19663662:19665692:1 gene:itb08g17240 transcript:itb08g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, Nitrate transpor [Source: Projected from Oryza sativa (Os01g0704100)] MEIDSGDRKFALPVDSEHKATEFRVYSVAWPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAVFARITMGTACDLFGPRLASAALTLLTAPAVYCFAVANSPVSFLLVRFFTGFSLATFVSTQFWMSSMFTAKVVGTANGVAGGWGNLGGGATQLIMPLVFGLIKNIGATKFTAWRIAFFIPALFQTISAYAIFFLGQDMPDGNYAELHKSGDKYKDKFKDVFYNATTNYRGWILALTYGYCFGVELTVDNIIAQYFYDRFNVNLHTAGIIAASFGLANLFSRPGGGMLSDIVAKRYGMRGRLWALWIVQTIGGLLCVLLGRVGSLSASVAVMVVFSVFVQAACGLTFGVVPFVSRRSLGIISGMAGGGGNVGAVITQVIFFRGSRYKTETGITLMGIMIICCTLPILFMYFPQWGGMFFGPSKGTTEEDYYGAEWSEAEKEKGFHKPCMKFANNSKSERGKRVASAATPVDGTPPSGVVYV >itb01g10560.t1 pep chromosome:ASM357664v1:1:9181292:9188642:1 gene:itb01g10560 transcript:itb01g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGEEKIMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFDNGVLPLNPNNASNLIPPSPGQGNGHERRMFLPMHLPPSTYSAEKLHSSDSNTPLTQFESRKKTDEDDFRVPVFVNSNISQSIGKFYKNSEREKVSPSSPAFLGRSTKDAKHTRGGNLRHQESSRQLPTTREQSVKASLSSPSTDKKEHCIKHTDMDFPLHSKQRDGPANNSDLLHKSGINLQPESIVDSKVGDANDDAVVSGPIKGVEIGDSSAPINCFQTEEQIINVVNDVESQENQTFRSLQTQNVDRGDNSSETSMVECISGMDISPDDVVGIIGQKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAESPNLLLEDTAYLGKPLKVSSAKRLPQFEYIKAPQNVPKHKNDSEKPHLRMECSAENTVGKASLSSVQNATQAPSCKPSPGNPPLAAVSNDSNMGPWCFHQPSGQQWLIPVMTPSEGLVYKPYPGPGFTSPGCGGCGPPPPASTPVMGNFFAPAYGVPTSQYHYQGMGDPFAPPVGHGYFPPYGMPVMNSAVSTSAVEQMNPFTRTGSQAQQVSGGGVNLNAQHQNSCNMPSQKNGDADRMHACKDNEVQASCASSPSVRAPGVTVSGPMEGRSVLPLFPTSPVVNVDASQPPHTAHPARVIRVVPHNARSASESAARIFQSIQKERKQYD >itb04g33140.t3 pep chromosome:ASM357664v1:4:35464912:35472069:-1 gene:itb04g33140 transcript:itb04g33140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFGFNSPAMGGPAPFGSAPSRLAKPRFTKKKYTPPNLSIGVENDDRSFNPFRPISPPEDTSGVVNPGPSDSNADVYRNVGFSFGASKASTNGNPFPSTFASNPFSPKVDTNSHGIIEPDKDLVGEMRNLRIESQQQHINDPGNMNGKESGNSETKGIDELLASKLPEEVENKLKIKSEGNTVSSQKGDSLKFKLSGSSKLHDSFVSGDNVDGGAERMELLNEMNKLNIKDGATDQLKNPAFEGVESFGRTSDNELHDRLKNLHLKETMNPNLGNDNVNVDANSSNHSKFNFGGCSDDINNSQHGGLSDQVQKDLPPFTKTANEMETEIFGSKNSIFSCSVPPELNFQAERSEVSSSGQSSIMLSCSPKPAIFGPESPFMDRTGKTVEFSFSGMMQHVEFKTPNSKGSLNRKIETKRDPTKDTKLKKKKWKHRKSISISIPMNIGQGYFRTDSWEENVESSESYSPMDISPYQETPAENSRETSVTSDEALSLNDNYASSESHPMVSNDIADEDLIDATRDLNINENNVKYNEKEKVEPLHFHPSVCVEEGYISGAETESFLSATDQLDRCTDSFVTAAENEVSSSSTVERQDINGGNTNLEDTCQSKFIFAASPTAQCQSPLVTRHQKKKNHARYGSDLINSVSSAKVPYSPFSLSSFQVSGASSLSLNKTKKVDMPAFSRQSPGKSQPVNEKEVKLEANSTTAQSMAALEACEKWRLRGNQAYASGDLSRAEDYYTQGANCISQRETSRNALQALTLCYSNRAATRMSLGRMKEALDDCLTAIRLDPNFLKAQLRAANCYLSLGETENASRHFMKCLEMGSKSCVERKVLEDASEGLEKALKVSECMRQSATLLGRRTSNDAVCALAVIADALVISPCSEKLLEMKADALLMLRKYEEVIQLCELTLASADLNAFRTDVDLKMLDASKIQNTASFRLWCCSVTVKAYFYLGKLEEAVNFLNKEEKSMPSMESGGTFALESSIPLAATIRELLRLKAAGNEAFQSGKHAEAIEHYSAAISCNVESRPFAAICFCNRAAAYRAVGQILDAIADCSLSIALDGNYVKV >itb04g33140.t1 pep chromosome:ASM357664v1:4:35461781:35472085:-1 gene:itb04g33140 transcript:itb04g33140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFGFNSPAMGGPAPFGSAPSRLAKPRFTKKKYTPPNLSIGVENDDRSFNPFRPISPPEDTSGVVNPGPSDSNADVYRNVGFSFGASKASTNGNPFPSTFASNPFSPKVDTNSHGIIEPDKDLVGEMRNLRIESQQQHINDPGNMNGKESGNSETKGIDELLASKLPEEVENKLKIKSEGNTVSSQKGDSLKFKLSGSSKLHDSFVSGDNVDGGAERMELLNEMNKLNIKDGATDQLKNPAFEGVESFGRTSDNELHDRLKNLHLKETMNPNLGNDNVNVDANSSNHSKFNFGGCSDDINNSQHGGLSDQVQKDLPPFTKTANEMETEIFGSKNSIFSCSVPPELNFQAERSEVSSSGQSSIMLSCSPKPAIFGPESPFMDRTGKTVEFSFSGMMQHVEFKTPNSKGSLNRKIETKRDPTKDTKLKKKKWKHRKSISISIPMNIGQGYFRTDSWEENVESSESYSPMDISPYQETPAENSRETSVTSDEALSLNDNYASSESHPMVSNDIADEDLIDATRDLNINENNVKYNEKEKVEPLHFHPSVCVEEGYISGAETESFLSATDQLDRCTDSFVTAAENEVSSSSTVERQDINGGNTNLEDTCQSKFIFAASPTAQCQSPLVTRHQKKKNHARYGSDLINSVSSAKVPYSPFSLSSFQVSGASSLSLNKTKKVDMPAFSRQSPGKSQPVNEKEVKLEANSTTAQSMAALEACEKWRLRGNQAYASGDLSRAEDYYTQGANCISQRETSRNALQALTLCYSNRAATRMSLGRMKEALDDCLTAIRLDPNFLKAQLRAANCYLSLGETENASRHFMKCLEMGSKSCVERKVLEDASEGLEKALKVSECMRQSATLLGRRTSNDAVCALAVIADALVISPCSEKLLEMKADALLMLRKYEEVIQLCELTLASADLNAFRTDVDLKMLDASKIQNTASFRLWCCSVTVKAYFYLGKLEEAVNFLNKEEKSMPSMESGGTFALESSIPLAATIRELLRLKAAGNEAFQSGKHAEAIEHYSAAISCNVESRPFAAICFCNRAAAYRAVGQILDAIADCSLSIALDGNYVKAISRRASLLEMIRDYGQAASDLRRLISLLTRQMENKINQSDKSFFMSEIRQRQQKLLTMEEEDRKEIPLNMYLILGVDPSASSSEIKRAYRKAALKHHPDKAAQLLSKNDNADDGIWKEIAEEVSRDADRLFKMIGEAYALLSDPAKRSRYDLEEETRNSLNRGNRGGNMMKTHMDSHNYQFERGVNRWQRSDIWRAFGNYQPRESDRSHSNWYS >itb04g33140.t2 pep chromosome:ASM357664v1:4:35461781:35472069:-1 gene:itb04g33140 transcript:itb04g33140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFGFNSPAMGGPAPFGSAPSRLAKPRFTKKKYTPPNLSIGVENDDRSFNPFRPISPPEDTSGVVNPGPSDSNADVYRNVGFSFGASKASTNGNPFPSTFASNPFSPKVDTNSHGIIEPDKDLVGEMRNLRIESQQQHINDPGNMNGKESGNSETKGIDELLASKLPEEVENKLKIKSEGNTVSSQKGDSLKFKLSGSSKLHDSFVSGDNVDGGAERMELLNEMNKLNIKDGATDQLKNPAFEGVESFGRTSDNELHDRLKNLHLKETMNPNLGNDNVNVDANSSNHSKFNFGGCSDDINNSQHGGLSDQVQKDLPPFTKTANEMETEIFGSKNSIFSCSVPPELNFQAERSEVSSSGQSSIMLSCSPKPAIFGPESPFMDRTGKTVEFSFSGMMQHVEFKTPNSKGSLNRKIETKRDPTKDTKLKKKKWKHRKSISISIPMNIGQGYFRTDSWEENVESSESYSPMDISPYQETPAENSRETSVTSDEALSLNDNYASSESHPMVSNDIADEDLIDATRDLNINENNVKYNEKEKVEPLHFHPSVCVEEGYISGAETESFLSATDQLDRCTDSFVTAAENEVSSSSTVERQDINGGNTNLEDTCQSKFIFAASPTAQCQSPLVTRHQKKKNHARYGSDLINSVSSAKVPYSPFSLSSFQVSGASSLSLNKTKKVDMPAFSRQSPGKSQPVNEKEVKLEANSTTAQSMAALEACEKWRLRGNQAYASGDLSRAEDYYTQGANCISQRETSRNALQALTLCYSNRAATRMSLGRMKEALDDCLTAIRLDPNFLKAQLRAANCYLSLGETENASRHFMKCLEMGSKSCVERKVLEDASEGLEKALKVSECMRQSATLLGRRTSNDAVCALAVIADALVISPCSEKLLEMKADALLMLRKYEEVIQLCELTLASADLNAFRTDVDLKMLDASKIQNTASFRLWCCSVTVKAYFYLGKLEEAVNFLNKEEKSMPSMESGGTFALESSIPLAATIRELLRLKAAGNEAFQSGKHAEAIEHYSAAISCNVESRPFAAICFCNRAAAYRAVGQILDAIADCSLSIALDGNYVKAISRRASLLEMIRDYGQAASDLRRLISLLTRQMENKINQSDKSFFMSEIRQRQQKLLTMEEEDRKEIPLNMYLILGVDPSASSSEIKRAYRKAALKHHPDKMMEFGKK >itb03g04720.t1 pep chromosome:ASM357664v1:3:3040643:3041311:1 gene:itb03g04720 transcript:itb03g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKPLARSAMEFSSSTPRLTSSDDKLRAEKRYRGVRKRPWGKYAAEIRDSTRNGTRVWLGTFDTAEEAALAYDQAALSTRGKSALLNFPTEKVQESLDNMKYWCEEGSSPVATLKATNKMTMRRRKLQRKKKKKKKVMNVDDESGSVLVFEDLGAELLEELLTSSSMAAA >itb05g18620.t1 pep chromosome:ASM357664v1:5:25347317:25351511:1 gene:itb05g18620 transcript:itb05g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIWIRHHIIRAGDKKPTLGGDFRRRLAQQRKSRASSGASRFQFLYNRLTAKSVEESLKFEKKKKMVSSKDESATDSDKSSGEVPDSNSSLYSEGEKVLAYHGPRIYEAKVQKAELRENEWRYFVHYLIAMNLEYVQKQALDKKLGVEKNTKLGRSAQAKPKASVDPKIEKDDTKNSDLNADKEETKTNVPKGKKRKGDSTTEKDNASAEKLIKIQIPSTLKKQLVDDWEFITQQNMLVKLPRSPSVDDILTNYLEHWSKKDGMMAESVGEILNGIRSYFDKSLPVILLYKKERQQYHDSVSDNVSPSSVYGAEHLLRLFVKLPELLACVKIEEETLTSLQQKLLDFLKFLQKNQGTYFLSSYEASKASEGSSKAKDS >itb06g12660.t1 pep chromosome:ASM357664v1:6:17292819:17293178:1 gene:itb06g12660 transcript:itb06g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRGRQRIEMVKIERKSNLEVTFSKRRIGLFKKASVLSTLCCADIAIIVFSPAGNKVFSFGHPTVEAVVERFLGEDNPAPVNETGGGALATEQFVEAQRNARVQELNVELTGGRI >itb11g19620.t1 pep chromosome:ASM357664v1:11:20376909:20377427:-1 gene:itb11g19620 transcript:itb11g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKIVRVGVKYYVVPVHQDQGGGLDLASTGSQSCPQSVVQDDRYWWGNTIQFYPVDPKKGVVREWNDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYITAGGEKGNPGAQTINNWFKILKTRNNYRLLSCPDVCNYCSYICRDVGISVEGGQRRLVLSDTPLEINFRKA >itb09g09000.t1 pep chromosome:ASM357664v1:9:5391511:5395496:1 gene:itb09g09000 transcript:itb09g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLRKSSSSLPSKKLALVALSRVFSPSLSISETVISRNGCSIPQFHNFATASNFPAVGSSSAAAAAPPSPRQIVRGLHISRPLAAGVAVARLPEEDEGLEISKLGISQEVVSALARRGITQLFPIQRAVLEPAMQGIDMIGRARTGTGKTLAFGIPIMDKIIRYNEKHGKGRNPLALILAPTRELAKQVDKEFYESAPNLDTLCVYGGVPIGKQMGALDRGVDVVVGTPGRIIDLLKRGSLDLSELQFVVLDEADQMLNVGFAEDVERILQNAPKNRQTMMFSATMPGWILKLTQQFLKNPVEIDLVGNSDQKLADGISLYSIACEMHQKPAVLGPLITEHAKGGKCIVFTQTKRDADRLACAMQRNFKCEALHGDISQNQRERTLSGFREGHFNVLVATDVAARGLDVPNVDLVIHYELPNSSEIFVHRSGRTGRAGKKGSAILMYSNQQARDVKGIEREVGCRFIELPRIEVDAGAANMFSDIGLGNSRFGSNGGFGSGRSGGGRFGGSSQGSSYGQVGGFGRSGGYGGGRSGNFGDFGNRQSGNFGNRNSGGFEGMNSSNLSGGFGNSRGPAKHGGGFSSFGNSGSSNNSFGSGEFGSSRPSGFGGFGDNKESNNQSYGRKPF >itb04g20460.t1 pep chromosome:ASM357664v1:4:25161681:25164562:1 gene:itb04g20460 transcript:itb04g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSVVLPILLTVVPFSLLLLFLFLIVRPRPVRIPIKNRHVFITGGSSGIGLALAHQAASEGARQVSILARNTSKLEDAKREIRLATGREVVAFSADVRDYEAVKKAVEEAGPIDVLICNHGVFIPQELDTQELEEVKFMIDVNLIGTFNLIKAALPGMKNRAERGPGSIAIMSSQAGQVGIYGYSAYSASKFGLRGMAEALQQETIGDDIHVSLIFPPDTETPGFAEENKRRPELTSILAASSGAMKAEEVAKKSVDGIKSGSFIVPCNFEGFLLSIATAGLSPQRSFLMAFVEVIGAGLLRIAALCFQWNWYQCIQKFNRKR >itb14g10170.t1 pep chromosome:ASM357664v1:14:11481665:11482231:1 gene:itb14g10170 transcript:itb14g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSIGAVFALFAGFHYWVGKIFGRTYPETLRQIHFWITFFGVNLTFFPMHLLGLSGMPRRILDYPDAYAGWNALSSFGSYISVVGICHFFVVVTITSSSGKNKRCAPSPWAVEQNPTTPEWMVQSPPPFHTFGELPAIKETKSYVK >itb04g06460.t1 pep chromosome:ASM357664v1:4:4194135:4197357:1 gene:itb04g06460 transcript:itb04g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNCTSFSYNVFFPYFYLQSISVFLLPFVLLIFFTPPCSPVSLPGHFRDSQQLLSFKASIFNQSPLQNWVSSSTNPCSFTGITCKGSRVSSINLTNTMLSIDFGLVSSFLHNLENLESLVLKNTNLSGNIPELSFKVLSYLDLSDNNFTENFPSFQDCSSLQHLDLSSNSFSGNIDASLSSCYKLRFLNLSNNMFGGGVAKLPSGSLQYLYLGGNHYRGELPGHIGDLCTTLVELDLSSNEFSGMAPETLGDCLALELLDLSNNTFSGELPVETLLNLTSLKTLVLSFNNFVGGLSQSLSSLVNLETLDLSSNNISGLIPSGICKHPKNSLKMVYLKNNLFTGPIPESLSNCSQLESLDLSFNYLKGKIPSSLGSLLKLKDLMIWFNQLEGEIPLELRHLQSLESLILDFNQLSGSIPESLSNCTNLKWISLSNNLLSGAIPTSLGGLSYLTTVKLAKNSISGNIPAELGNCSSLLWLDLNTNFLNGSIPPALFKQSANISLPLLTGKLFWYIIWHDGSEQYHKGNLLEYFGIAHDRLDRILTRNHCKGIRMPPIFHHSRSMIFLDLSYNKLEGSIPKELGSMQYLFFLYLGHNDLSGPIPQELGGLQNVEDLDLSDNRLNGSIPQTLINLTSLSVIDLSNNQLSGMIPCSGQFASFLRESFANNSGLSYCPHPQDAPEPSPSLSSISKSSGTKQKKHYIIIGCGVIAGVLIWLVTQLLVIRLTRKGRMENDGEEWSMVSFQRLGFNKWDILGGLTDQNLVGNGGSGKVYRVITKKGKKVAVKSIRHEQKERQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCVYKWLHGKKRGLTTQVLQWERRLKIAIGASQGLCYMHHSCDPPIIHRDIKSSNILVDSNFNAKIADFGLAKMMASEGDPETASAVVGTFGYIAPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVTGNEDMNLAQWAHKHQREGKSAADVLDEEIKEPRYLEAMITVFKLGLACTLSSPSSRPSMKDVSQILQRCSENNHMSPES >itb15g01840.t1 pep chromosome:ASM357664v1:15:1087267:1089447:-1 gene:itb15g01840 transcript:itb15g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRRLDGKVAIITGGASGFGESTARLFVRHGAKVVIADVQDELGQNLCGELGENAALYVHCDVTCDAEVKNVVEVAISTFGKLDIMFNNAGISGKVEPSILGSDNENFKKVLEVNVLGAFLGAKHAAAVMVPARKGAILFTSSVSSVISGEAPHAYAVSKHALVGLTKNLCVELGQYGIRVNCLSPCAVATPLLVKAMGVEKSVVERIISESATLKGAVPTAEDVAAAALFLASDESNYASKHLFVCLPGSQARREGHDSGGERRRDPLHLQRRLRHGGGDAASKHAIVGLTKNLCVELGQYGIRVNCIFPGAVATPFAVKEIISASANLKGVVPAAEDVAEAALFLGSDESRVVSGLNLVVDGGYSTTNQAFITTMKQMLY >itb14g12910.t1 pep chromosome:ASM357664v1:14:14761708:14764182:-1 gene:itb14g12910 transcript:itb14g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLGVRSYDGSHIVVFNPGVTMWEMAIKRRRVGQVDKPIVIVSDVVLRPSSGGYFIYQKQTIWIIALGVAVGDTTAITVAAPTFGSAAMAGRRQRGWVSESGSEPPSVRLGGYGEQPSAVLVDVVNGGRRVDDGRQSIGGDHRLSLCWLLQETMMMG >itb12g27390.t1 pep chromosome:ASM357664v1:12:27795809:27798851:-1 gene:itb12g27390 transcript:itb12g27390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTVKVSNASLGASEQDIKEFFSFSGDIEYVEMKSADERSQIAYVTFKEPQGAETAVLLSGATIVDQSVTITLDPEYKLPPQALSTPIVTESQNVGADGSGSGSAIQKAEDVVSSMLAKGFILGKDAVNKAKTFDEKHQFTSTATAKVAALDQKIGFTEKIGMGANIVNEKVKEVDQKFQVSEKTKSAIAAAEQTVSNAGSAIMKNRYVLTGATWVTGAFNKVTKAAGEVGQKTKEKMAEEQQVKNAAEGYTQLHASESPKASTAEEPNKSTVQGLVL >itb10g20190.t1 pep chromosome:ASM357664v1:10:25820256:25830945:-1 gene:itb10g20190 transcript:itb10g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTNPIAPPAPADATKDSEEDSSSATSNAVLEASKSPDKVEIAEDGVGAAAVTTDKDTAMATAVVAATAADDPMEEDPVGPATVFCIRLKQPRSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECTVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPANLVRDASCWQKEYEWRQDIAVVTKWLSGVSPYRWLSSRSGNASKSIFEEKFLSQQPQPPAGWPNFLCVCSVFSSGSVQLHWSQWPPNQSGEPSKWFCTSKWLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGSGNGFQATQKASVSNGVPPSLNPLSWDGFSPLAAYLFSWQEFLLQEAKQGKKHTEQDYSDMVVLHCSPVSNFSAYVSPEAAAQSVATTTWGSGVTAVAFDPTRGGYVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVEDVVLHQIFGNPTSSFGGQAPKQTVWVSKVIKCIAASNDFKCPRAAGAIPVSGVQNVSDSAVEMAKGVSFDPFDLPSDIRTLARIVYSAHGGEIAVAFLRGGVHVFSGPSFTPVDSYHINVGSAIAAPAFSSTSCCSASVWHDTSKDCSILKIIRVLPPAVPSNQVKSNSATWERAVAERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTHHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALFPEPWQASSETLFGIDPEAMAVDPLLVPSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGGSRNMVSSPIQSSAPPPTTQGAQGGTASSTGSTQMQAWVQGAIAKISSTADSVPSSAPNPISGPSTLMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFFFRRTQLPQYIQKKAGSQLGSGGKGSEDGLSNRSRIGSGNAGQGYTYDEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSNIQIRLHYIDGNYTVLPEVVEASLGPHMQQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDPEDNGSLDNSRLSPSRDLLECSSSEYCDVHYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAIWKTGLEGVWYKCIRCLRQTSAFTPPGATPGNHNERETWWISRWAYGCPMCGGTWVRVV >itb10g20190.t2 pep chromosome:ASM357664v1:10:25820289:25830992:-1 gene:itb10g20190 transcript:itb10g20190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTNPIAPPAPADATKDSEEDSSSATSNAVLEASKSPDKVEIAEDGVGAAAVTTDKDTAMATAVVAATAADDPMEEDPVGPATVFCIRLKQPRSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECTVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPANLVRDASCWQKEYEWRQDIAVVTKWLSGVSPYRWLSSRSGNASKSIFEEKFLSQQPQPPAGWPNFLCVCSVFSSGSVQLHWSQWPPNQSGEPSKWFCTSKWLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGSGNGFQATQKASVSNGVPPSLNPLSWDGFSPLAAYLFSWQEFLLQEAKQGKKHTEQDYSDMVVLHCSPVSNFSAYVSPEAAAQSVATTTWGSGVTAVAFDPTRGGYVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVEDVVLHQIFGNPTSSFGGQAPKQTVWVSKVIKCIAASNDFKCPRAAGAIPVSGVQNVSDSAVEMAKGVSFDPFDLPSDIRTLARIVYSAHGGEIAVAFLRGGVHVFSGPSFTPVDSYHINVGSAIAAPAFSSTSCCSASVWHDTSKDCSILKIIRVLPPAVPSNQVKSNSATWERAVAERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTHHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALFPEPWQASSETLFGIDPEAMAVDPLLVPSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGGSRNMVSSPIQSSAPPPTTQGAQGGTASSTGSTQMQAWVQGAIAKISSTADSVPSSAPNPISGPSTLMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFFFRRTQLPQYIQKKGDSSMQKPQPISLAKVEESNSVSKPTAGSQLGSGGKGSEDGLSNRSRIGSGNAGQGYTYDEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSNIQIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDPEDNGSLDNSRLSPSRDLLECSSSEYCDVHYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAIWKTGLEGVWYKCIRCLRQTSAFTPPGATPGNHNERETWWISRWAYGCPMCGGTWVRVV >itb10g20190.t4 pep chromosome:ASM357664v1:10:25820283:25827383:-1 gene:itb10g20190 transcript:itb10g20190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGSGNGFQATQKASVSNGVPPSLNPLSWDGFSPLAAYLFSWQEFLLQEAKQGKKHTEQDYSDMVVLHCSPVSNFSAYVSPEAAAQSVATTTWGSGVTAVAFDPTRGGYVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVEDVVLHQIFGNPTSSFGGQAPKQTVWVSKVIKCIAASNDFKCPRAAGAIPVSGVQNVSDSAVEMAKGVSFDPFDLPSDIRTLARIVYSAHGGEIAVAFLRGGVHVFSGPSFTPVDSYHINVGSAIAAPAFSSTSCCSASVWHDTSKDCSILKIIRVLPPAVPSNQVKSNSATWERAVAERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTHHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALFPEPWQASSETLFGIDPEAMAVDPLLVPSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGGSRNMVSSPIQSSAPPPTTQGAQGGTASSTGSTQMQAWVQGAIAKISSTADSVPSSAPNPISGPSTLMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFFFRRTQLPQYIQKKGDSSMQKPQPISLAKVEESNSVSKPTAGSQLGSGGKGSEDGLSNRSRIGSGNAGQGYTYDEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSNIQIRLHYIDGNYTVLPEVVEASLGPHMQQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDPEDNGSLDNSRLSPSRDLLECSSSEYCDVHYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAIWKTGLEGVWYKCIRCLRQTSAFTPPGATPGNHNERETWWISRWAYGCPMCGGTWVRVV >itb10g20190.t3 pep chromosome:ASM357664v1:10:25820256:25830945:-1 gene:itb10g20190 transcript:itb10g20190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTNPIAPPAPADATKDSEEDSSSATSNAVLEASKSPDKVEIAEDGVGAAAVTTDKDTAMATAVVAATAADDPMEEDPVGPATVFCIRLKQPRSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECTVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPANLVRDASCWQKEYEWRQDIAVVTKWLSGVSPYRWLSSRSGNASKSIFEEKFLSQQPQPPAGWPNFLCVCSVFSSGSVQLHWSQWPPNQSGEPSKWFCTSKWLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGSGNGFQATQKASVSNGVPPSLNPLSWDGFSPLAAYLFSWQEFLLQEAKQGKKHTEQDYSDMVVLHCSPVSNFSAYVSPEAAAQSVATTTWGSGVTAVAFDPTRGGYVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVEDVVLHQIFGNPTSSFGGQAPKQTVWVSKVIKCIAASNDFKCPRAAGAIPVSGVQNVSDSAVEMAKGVSFDPFDLPSDIRTLARIVYSAHGGEIAVAFLRGGVHVFSGPSFTPVDSYHINVGSAIAAPAFSSTSCCSASVWHDTSKDCSILKIIRVLPPAVPSNQVKSNSATWERAVAERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTHHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALFPEPWQASSETLFGIDPEAMAVDPLLVPSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGGSRNMVSSPIQSSAPPPTTQGAQGGTASSTGSTQMQAWVQGAIAKISSTADSVPSSAPNPISGPSTLMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFFFRRTQLPQYIQKKGDSSMQKPQPISLAKVEESNSVSKPTAGSQLGSGGKGSEDGLSNRSRIGSGNAGQGYTYDEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSNIQIRLHYIDGNYTVLPEVVEASLGPHMQQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDPEDNGSLDNSRLSPSRDLLECSSSEYCDVHYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAIWKTGLEGVWYKCIRCLRQTSAFTPPGATPGNHNERETWWISRWAYGCPMCGGTWVRVV >itb01g32890.t1 pep chromosome:ASM357664v1:1:36242399:36245305:1 gene:itb01g32890 transcript:itb01g32890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEDYYLSDHPTTSTDDVKVEEDDDQTLARLQQACSDLKILLEASANVKASLGEIDKKFDAMQENLITASKRVAPLQSLSIANKALDARINRAISPSLALLDSFKLSESLQRGLLDLSAKISGEKSGKKRLRKLIKYMECVDSLNEAIKSISQECEPAIQKLQEVVEFLSRTKATDQYRTVRLRETLVTLKALCEKEVDAMKFDGLLDEALINLQDEYESLLHKLKHQNITGGVQDDYGEEEVVDLGSELEIEVLRRISETLAANDCLDICIDIFVKVRYKRAAKTLMRLNPDYLKTYIPEDIDEMEWESLEMAIGLWIQHFELAVKNVFVSEKRLCSQVLGTIVDGAIWAECFVKIADKIMAVFFRFGEGVARSRKEPQKLFKLLDMFESLENLKPELSEIFEGEPGAGIFFRFRELEKLLVHSSTKVFWELGLQIEGSHDVLPPQDGSVPKLVRYAINYLKYLATEAYSATMAEVLRTEQMWKSGVLSKPETDENLLRDAVSNVMEAIQRNVETKKSSYKDKVLPHIFSMNTYWYIYMRTRNCELGVLLGEQYMRKRYKVVAEESAYQYQKQAWGSLIKFLETEELKRVNKEGIGAMARGRMEAFMKKFEDISQRHKSSYRIPDADLREQIREATVDLVVPAYSEFLNSFSYLLQVKAYMSPESLQGLLEQIFEDDNQMAGGRLRRRESRERFEGKKSSFSVEQSYENQEF >itb12g04050.t1 pep chromosome:ASM357664v1:12:2666620:2667225:-1 gene:itb12g04050 transcript:itb12g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDHYAVLGLPPGEVGAKLSDKEIAKAYRIKALELHPDKRPNDPNAQTCFQKLKASYEILKDEKARKQFDDLLRRTTKRKSTNDAKREKMMSDLERAEMMSDHEKTGKEEEEERIWKKLREEIAEIRAKHKRKQEEELASMYAKRRRAAATPPMPPRWKEENEDGPWKSLERFQAYEDLVLRNMRRAAERQKMSTNGNGN >itb14g16260.t1 pep chromosome:ASM357664v1:14:19578111:19580613:-1 gene:itb14g16260 transcript:itb14g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIQPMDYEAAAAAGGPPSPGHFRRRKQGGWITFPFIQATMAGLTLAAGGVVNNLIVYLIQEFSMKSISAAKVYNAVNGCITIFPILGAIIADSFLGCFSVIWISSLISLLATVLIVLTASIDSLRPAECDGSSGCIKPTTVQYAVLYAGLALMCIGVAGTRFTIATMGADQFDTPKQRQVSINWYVFTLYTSSVIATTAIVFVEDNVSWAWGFGICVAFNVVGLAVFLSGKRFYRRVMAQGSPFIGLARVLVAALRKRGEPVLSEGAVYYHDPQVEAIKMASPTPTEFLKVLNYAALITEGDAKLDGSIAKPWRLCTVEQVEDLKSLFKLFPLWASGLCLSTPLVIQASLAVLQALKMDRQMGPHIKIPSGSVVVFILISTSITITFIDRVLYPLMAKYTTRSLTPLQRIGVGHALILLSMAISALVEARRLKVADSNNLQVQSNGGVVPMSVFWLVPPLALAGVGEAFTFPGNVAFYYQEFPESLKSTSTAVVAMFIGICYYLGNAVMDLLQRVTGWLPDNINDGRVDNVFWACSVLVAANFGYYMVCACMYKYKDTDGKQNEGNE >itb15g05790.t1 pep chromosome:ASM357664v1:15:3731161:3732963:1 gene:itb15g05790 transcript:itb15g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRELLIEILARLPVKSLMRFKSFCKFFYSLIKSDNHFQHKHYEISRVRRDYVIFQREIGIREFPLRDEVFGLVCKELDSDEIGCVDLVMPKLGKVKCIDGILCLIAHRTTDGTRNIWIWNPSTREIKALPPVSAPHNFFSDQMREVVGFGFCNNMTGKVIIFWSSYDGCVCKVMVCNQVDNLWGWREINQYPHFDSLWATSDYDIYLKGKYYWYLCAYPGDEIKQYLLWFDMSAESFGTISLPFNPPEQYGNGGKAFIRCMVSVMNDTIALIGYREQRSEVWLMIENDSDVNWHKYACFDNGNDYWQPMQIWNQDRHLLICRTGAEEDDREPHLVSIDLVTGEKKKRLNNIDTFSEKCFLAYSESLKMF >itb15g05790.t2 pep chromosome:ASM357664v1:15:3731161:3732963:1 gene:itb15g05790 transcript:itb15g05790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRELLIEILARLPVKSLMRFKSFCKFFYSLIKSDNHFQHKHYEISRVRRDYVIFQREIGIREFPLRDEVFGLVCKELDSDEIGCVDLVMPKLGKVKCIDGILCLIAHRTTDGTRNIWIWNPSTREIKALPPVSAPHNFFSDQMREVVGFGFCNNMTGKVIIFWSSYDGCVCKVMVCNQVDNLWGWREINQYPHFDSLWATSDYDIYLKGKYYWYLCAYPGDEIKQYLLWFDMSAESFGTISLPFNPPEQYGNGGKAFIRCMVSVMNDTIALIGYREQRSEPMQIWNQDRHLLICRTGAEEDDREPHLVSIDLVTGEKKKRLNNIDTFSEKCFLAYSESLKMF >itb11g07070.t1 pep chromosome:ASM357664v1:11:4279522:4282104:-1 gene:itb11g07070 transcript:itb11g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSNNVNHNNNGVHHHQSFGDTTLTKVFVGGLAWETPLEAMREHFEKYGEILEAVIISDKLTGRSKGYGFVTFKDAESARKACADPSPLINGRRANCNLASLGARRPXPPPQGHNTGARATSTPPTNYVQWYYPPGAPPQAAAGSPFHHRRHLNHQAVPFYRYSPAYIPSNVNYNHKVNYGGANMNGHYSQLYPGQPVIGANLMLPVYPFYHFHGPAVGLQAHMYPSATVGPIATDPALLMKPKSVAPQAVGC >itb03g05620.t3 pep chromosome:ASM357664v1:3:3984026:3988807:1 gene:itb03g05620 transcript:itb03g05620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDVVPRTFRALAESAEKKFARVRDLPAYGTGAPTGHYFQKVFKAYMRLWKFQQQNRGKLVESGLQRWEIGEIASRIGQLYFSQYMRTSEARFLFESYIFYEAILNRKYFEGSGKDRGVRFKELRFYARFLTVSLILNRSEMVKLLVDRFKSLVDDSKSTFADTNFKEWKLVVQELVRFTKADFSSLIVRPLRYCAFFDSYPLSRPYVARFHANKVLKFQDALLTSYHKNEVKFAELTLDTFRMMQCLEWEPSGSFYQKTQAESREDGLLADQSLTSGLIDINLAADMMDPNLPPNPKKAVLYRPSVPHLIAVIAKIIEELPSESVILLYLSASGSVGQTSSSLMGSSTSSRKFVKPTALSQTSQKQNSSLHENHISGKGDSTGYLENYLWLGPSRTGGLNNLYPGDLIPFTRRPLFIIIDSDNSHAFKAGSPRYRKRRKMCFTSISFETII >itb03g05620.t4 pep chromosome:ASM357664v1:3:3984017:3988818:1 gene:itb03g05620 transcript:itb03g05620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDVVPRTFRALAESAEKKFARVRDLPAYGTGAPTGHYFQKVFKAYMRLWKFQQQNRGKLVESGLQRWEIGEIASRIGQLYFSQYMRTSEARFLFESYIFYEAILNRKYFEGSGKDRGVRFKELRFYARFLTVSLILNRSEMVKLLVDRFKSLVDDSKSTFADTNFKEWKLVVQELVRFTKADFSSLIVRPLRYCAFFDSYPLSRPYVARFHANKVLKFQDALLTSYHKNEVKFAELTLDTFRMMQCLEWEPSGSFYQKTQAESREDGLLADQSLTSGLIDINLAADMMDPNLPPNPKKAVLYRPSVPHLIAVIAKIIEELPSESVILLYLSASGSVGQTSSSLMGSSTSSRKFVKPTALSQTSQKQNSSLHENHISGKGDSTGYLENYLWLGPSRTGGLNNLYPGDLIPFTRRPLFIIIDSDNSHAFKVLQGTERGERCALLLSPLRPLFKKPSSGAMRNGSQFTFFLAAPLQAFCELVGINPIDDDLVS >itb03g05620.t1 pep chromosome:ASM357664v1:3:3984017:3988818:1 gene:itb03g05620 transcript:itb03g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDVVPRTFRALAESAEKKFARVRDLPAYGTGAPTGHYFQKVFKAYMRLWKFQQQNRGKLVESGLQRWEIGEIASRIGQLYFSQYMRTSEARFLFESYIFYEAILNRKYFEGSGKDRGVRFKELRFYARFLTVSLILNRSEMVKLLVDRFKSLVDDSKSTFADTNFKEWKLVVQELVRFTKADFSSLIVRPLRYCAFFDSYPLSRPYVARFHANKVLKFQDALLTSYHKNEVKFAELTLDTFRMMQCLEWEPSGSFYQKTQAESREDGLLADQSLTSGLIDINLAADMMDPNLPPNPKKAVLYRPSVPHLIAVIAKIIEELPSESVILLYLSASGSVGQTSSSLMGSSTSSRKFVKPTALSQTSQKQNSSLHENHISGKGDSTGYLENYLWLGPSRTGGLNNLYPGDLIPFTRRPLFIIIDSDNSHAFKVLQGTERGERCALLLSPLRPLFKKPSSGAMRNGSQFTFFLAAPLQAFCELVGINPIDDDLEHYNDAESIISTAFSEWEIMLCTTTSLDLVWAQVLSDPFLRRLLLRFIFCRAVFTLFCLREDSDQYLPVCLPELPDSFSPNSISVQPVIRRLANHLKVANCFRVR >itb03g05620.t2 pep chromosome:ASM357664v1:3:3984017:3988818:1 gene:itb03g05620 transcript:itb03g05620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDVVPRTFRALAESAEKKFARVRDLPAYGTGAPTGHYFQKVFKAYMRLWKFQQQNRGKLVESGLQRWEIGEIASRIGQLYFSQYMRTSEARFLFESYIFYEAILNRKYFEGSGKDRGVRFKELRFYARFLTVSLILNRSEMVKLLVDRFKSLVDDSKSTFAVKFAELTLDTFRMMQCLEWEPSGSFYQKTQAESREDGLLADQSLTSGLIDINLAADMMDPNLPPNPKKAVLYRPSVPHLIAVIAKIIEELPSESVILLYLSASGSVGQTSSSLMGSSTSSRKFVKPTALSQTSQKQNSSLHENHISGKGDSTGYLENYLWLGPSRTGGLNNLYPGDLIPFTRRPLFIIIDSDNSHAFKVLQGTERGERCALLLSPLRPLFKKPSSGAMRNGSQFTFFLAAPLQAFCELVGINPIDDDLEHYNDAESIISTAFSEWEIMLCTTTSLDLVWAQVLSDPFLRRLLLRFIFCRAVFTLFCLREDSDQYLPVCLPELPDSFSPNSISVQPVIRRLANHLKVANCFRVR >itb11g15040.t1 pep chromosome:ASM357664v1:11:12386583:12387205:-1 gene:itb11g15040 transcript:itb11g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDFVVVSVGVAAYEIASKIAAASSFDCINAGGKEFVVKSEIVVAAAASSFDCINTAAEELVVVAAASPIDCVNAAAEEFVSSFDCINAAAGKEFVVKSGNWLPSLGPWCLPLSFETPIVVLFEIDWSP >itb15g19300.t1 pep chromosome:ASM357664v1:15:21560845:21563727:1 gene:itb15g19300 transcript:itb15g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRIIENNLQGAIPNSLGRLKNLWSIELCTNNLSGTIPISMSNLSLVDFDLQHNQLEGELPLNMSDAMEFFSVGNNRFVGHVPLWLSNAPGLQVIQLNRNNFTGEVPNLGGLKHLQRLLLGGNQYLGSGNSGDLKFVAPLTNCTDLQVLGLQSCNFGGDFPPHIGNISNLTVFSIGNNLITGKIPIEIGQLVNLRELWLMGNHLSGIIPNTIGNIPRLYNLGLGWNQLSGEIPTSLQNLTMLSSLSLASNNLQGFIPSGLANCKFLLTVDLYANNLSGYIPKEIFHVGSELVHVDISHNTLTVNSPRERMDACTAMNELRSIKASLLRTMQH >itb13g05400.t2 pep chromosome:ASM357664v1:13:6441186:6443209:1 gene:itb13g05400 transcript:itb13g05400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREASALLSKLLKLPPLKALSLFESSIQQGLQHTHQSVSALVSHLLSFDSLAQTQSLIQQLLAGKITSPHFTVSSLLHYLIAQTRFHSDALCETIINAHVRAQLPDQAIFFFNHMKVPNSSTLNSLLDFLVKNGNFAKALRVFEESKRKGLGLDVYSFGIVIKGCCENGDLKRGFEFLGQLEDMGWCPNVVIYTTLIDGCCKNGDIQKAKELFHRMSELGLVPNQFTYTVLINGFFKNGLERDGFELYERMKIDGAYPDTHTYSSMINVHCSECQIDTAFRLFDEMRDRGVVCNVVTYNTLIRGLCRQTRMSDAEKLVGRMKSDGLTPNLITYNILIDGYSKAGKIEKAFSLFNQLKSCGLTPSLITYNALIAGFSKAENPLRVLDLVREMEERGISPSKVTYTILIHAFARSNNMEKALEVLSCMDKAGLVADMHTYGVLIHGWCSQGNMKEASKLFRSLQLEANSVIYNTMIYGYCKEGSSYRALRLLKEMVENGMVPNVASYHLTIEVLCNDGKWNDAEVVTNSMIKSGLKPTTSLNEMIIKARSINMEECF >itb13g05400.t1 pep chromosome:ASM357664v1:13:6441186:6445699:1 gene:itb13g05400 transcript:itb13g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREASALLSKLLKLPPLKALSLFESSIQQGLQHTHQSVSALVSHLLSFDSLAQTQSLIQQLLAGKITSPHFTVSSLLHYLIAQTRFHSDALCETIINAHVRAQLPDQAIFFFNHMKVPNSSTLNSLLDFLVKNGNFAKALRVFEESKRKGLGLDVYSFGIVIKGCCENGDLKRGFEFLGQLEDMGWCPNVVIYTTLIDGCCKNGDIQKAKELFHRMSELGLVPNQFTYTVLINGFFKNGLERDGFELYERMKIDGAYPDTHTYSSMINVHCSECQIDTAFRLFDEMRDRGVVCNVVTYNTLIRGLCRQTRMSDAEKLVGRMKSDGLTPNLITYNILIDGYSKAGKIEKAFSLFNQLKSCGLTPSLITYNALIAGFSKAENPLRVLDLVREMEERGISPSKVTYTILIHAFARSNNMEKALEVLSCMDKAGLVADMHTYGVLIHGWCSQGNMKEASKLFRSLQLEANSVIYNTMIYGYCKEGSSYRALRLLKEMVENGMVPNVASYHLTIEVLCNDGKWNDAEVVTNSMIKSGLKPTTSLNEMIIKARSINMEECF >itb02g01370.t1 pep chromosome:ASM357664v1:2:755761:758656:1 gene:itb02g01370 transcript:itb02g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGSSSSSWRRRGSSSLNLCTAVFTPFIALVRAFFFSLAGCFNFRHHSDKLCYSLNHLARLSRNSPFSVNEIEALYELYKKLSSSVFDDGRIHKEAFQLALFNTNTRENIMLDRVFDLFDEKRNGVIEFDEFVHTLSIFHPNTPMEKKIDFAFELYDLRQTGYIGPDEVRKMVVAILKETGVSLSSDALEAIIEKTFEDADSDMDGKINKDDWRTLVARHPTLLKNMTLSQLKDVTTVFPSFIFNTEVDD >itb02g01370.t2 pep chromosome:ASM357664v1:2:755761:758656:1 gene:itb02g01370 transcript:itb02g01370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGSSSSSWRRRGSSSLNLCTAVFTPFIALVRAFFFSLAGCFNFRHHSDKLCYSLNHLARLSLSVNEIEALYELYKKLSSSVFDDGRIHKEAFQLALFNTNTRENIMLDRVFDLFDEKRNGVIEFDEFVHTLSIFHPNTPMEKKIDFAFELYDLRQTGYIGPDEVRKMVVAILKETGVSLSSDALEAIIEKTFEDADSDMDGKINKDDWRTLVARHPTLLKNMTLSQLKDVTTVFPSFIFNTEVDD >itb02g01370.t3 pep chromosome:ASM357664v1:2:755761:758656:1 gene:itb02g01370 transcript:itb02g01370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGSSSSSWRRRGSSSLNLCTAVFTPFIALVRAFFFSLAGCFNFRHHSDKLCYSLNHLARLSRNSPFSVNEIEALYELYKKLSSSVFDDGRIHKEAFQLALFNTNTRENIMLDRVFDLFDEKRNGVIEFDEFVHTLSIFHPNTPMEKKIDFAFELYDLRQTGYIGPDEVRKMVVAILKETGVSLSSDALEAIIEKTFEDADSDMDGKINKDDWRTLVARHPTLLKNMTLSQLK >itb02g01370.t4 pep chromosome:ASM357664v1:2:755761:758656:1 gene:itb02g01370 transcript:itb02g01370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGSSSSSWRRRGSSSLNLCTAVFTPFIALVRAFFFSLAGCFNFRHHSDKLCYSLNHLARLSLSVNEIEALYELYKKLSSSVFDDGRIHKEAFQLALFNTNTRENIMLDRVFDLFDEKRNGVIEFDEFVHTLSIFHPNTPMEKKIDFAFELYDLRQTGYIGPDEVRKMVVAILKETGVSLSSDALEAIIEKTFEDADSDMDGKINKDDWRTLVARHPTLLKNMTLSQLK >itb09g10440.t1 pep chromosome:ASM357664v1:9:6476097:6476990:-1 gene:itb09g10440 transcript:itb09g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAVAPTSNRQPTTSVAASDAIAAAFVPNPAFRTFLNQITENIRNGLSQRRPWHELVDRSAISKPESLSEATLRIRKNYAYFRTNYYVLVAAVIGLSLLSNPFTLCLLLGLLAAWLFLYVFRPIDQPLVLFGRVFSDFEILACLILSTIFVLFLTSVGSLLITSLLVSVAIVGAHSAFRVPEDFYFEEQQAQAQAPTPASSIISLLTGATTKAAAAPPTAVRV >itb11g15020.t1 pep chromosome:ASM357664v1:11:12375856:12379849:1 gene:itb11g15020 transcript:itb11g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEARAAWQRTANRCFVQEDAKRAPKLACCPPASPSAKEVDTGPASAANAQDAERACFLPFNWNSSYSNLSPNSRWWLHLKPNYGYQGGLAGEQLLSLDEMQGSSSFGNSTSKLSEYEIEYVDEFTVDKSLLDSQVKMSASVKNDLGTEDKFDTAFASDSLDDNSLKLESIKDPTSFRMTESVDCPVSKPASELSFDSSCPWISDKKSEPWWRTADTEELAFLVAQRSHDLIENCDLPQPQTTSAKGDRCVRLGWVDHKRGNALFLDPKARSSGQKNINTQTQRNTLSKSVYQKQWEEHLQLGTNKPLSDCNQRPMPETNANDNDSNKAQLLEALRHSQTRARQAEIAAKRACAEKERVVELIFRQASQLFAYKQWFHLLQLENLYFQIKNNRSQPISGIFPVTLPWLPQKTTKQRKNWHKFARGKREKRGCPKYDFGKYALMFALGLSLVGVGVLLGWTIGWMFPSF >itb11g15020.t2 pep chromosome:ASM357664v1:11:12376217:12379848:1 gene:itb11g15020 transcript:itb11g15020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEARAAWQRTANRCFVQEDAKRAPKLACCPPASPSAKEVDTGPASAANAQDAERACFLPFNWNSSYSNLSPNSRWWLHLKPNYGYQGGLAGEQLLSLDEMQGSSSFGNSTSKLSEYEIEYVDEFTVDKSLLDSQVKMSASVKNDLGTEDKFDTAFASDSLDDNSLKLESIKDPTSFRMTESVDCPVSKPASELSFDSSCPWISDKKSEPWWRTADTEELAFLVAQRSHDLIENCDLPQPQTTSAKGDRCVRLGWVDHKRGNALFLDPKARSSGQKNINTQTQRNTLSKSVYQKQWEEHLQLGTNKPLSDCNQRPMPETNANDNDSNKAQLLEALRHSQTRARQAEIAAKRACAEKERVVELIFRQASQLFAYKQWFHLLQLENLYFQIKNNRSQPISGIFPVTLPWLPQKTTKQRKNWHKFARGKREKRGCPKYDFGKYALMFALGLSLVGVGVLLGWTIGWMFPSF >itb02g22270.t1 pep chromosome:ASM357664v1:2:21446631:21447833:-1 gene:itb02g22270 transcript:itb02g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLKSICFSILLLCRCRGLSASEDPVPAPWPPQFHAVTVMNYTGGVRMVDLWYDWPNKRYFHINHYQLGETLYDVEWNNHTSFVFTLNSNRECTVLQFPVGILPPDWLAGANYLGHRYKDGFLCNVWEKVDFIWYYEDVDTKIPVYWEFYDGLTEHVMKFEVGRVLEDSKWQAPEYCFGDAVDKKKSLVPNLGRHHLSRVGKSYKQYTLLFS >itb12g18240.t4 pep chromosome:ASM357664v1:12:20495511:20498730:-1 gene:itb12g18240 transcript:itb12g18240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLSLRRLPWVADTDGQEKVVLSAVEVASLRSEINDLEERESHLKAQLEHVDEILRSARLSGYLNMRMRWAALPGELPPLDDAEVDDWVPRFVVLHGSCLYLYMLSTDLSPQDSTMLSDIVEVGSLPCLTREGEEAQHCFYILTRQGLRYECSSASRIQVLSQHTSCFLDQYLMELFFISSITHE >itb12g18240.t2 pep chromosome:ASM357664v1:12:20495511:20498730:-1 gene:itb12g18240 transcript:itb12g18240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPRGIEVFPDHFQASMPGADSPQSPTVSTPLKNSYDNSFRSCSGSWTRSKLKSTVSMLRLLSLRRLPWVADTDGQEKVVLSAVEVASLRSEINDLEERESHLKAQLEHVDEILRSARLSGYLNMRMRWAALPGELPPLDDAEVDDWVPRFVVLHGSCLYLYMLSTDLSPQDSTMLSDIVEVGSLPCLTREGEEAQHCFYILTRQGLRYECSSASRIQVLSQHTSCFLDQYLMELFFISSITHE >itb12g18240.t1 pep chromosome:ASM357664v1:12:20495511:20498730:-1 gene:itb12g18240 transcript:itb12g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPRGIEVFPDHFQASMPGADSPQSPTVSTPLKNSYDNSFRSCSGSWTRSKLKSTVSMLRLLSLRRLPWVADTDGQEKVVLSAVEVASLRSEINDLEERESHLKAQLEHVDEILRSARLSGYLNMRMRWAALPGELPPLDDAEVDDWVPRFVVLHGSCLYLYMLSTDLSPQDSTMLSDIVEVGSLPCLTREGEEAQHCFYILTRQGLRYECSSASRIQVLSQHTSCFLDQYLMELFFISSITHE >itb12g18240.t5 pep chromosome:ASM357664v1:12:20495511:20498730:-1 gene:itb12g18240 transcript:itb12g18240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLSLRRLPWVADTDGQEKVVLSAVEVASLRSEINDLEERESHLKAQLEHVDEILRSARLSGYLNMRMRWAALPGELPPLDDAEVDDWVPRFVVLHGSCLYLYMLSTDLSPQDSTMLSDIVEVGSLPCLTREGEEAQHCFYILTRQGLRYECSSASRIQVLSQHTSCFLDQYLMELFFISSITHE >itb12g18240.t3 pep chromosome:ASM357664v1:12:20495511:20498730:-1 gene:itb12g18240 transcript:itb12g18240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPRGIEVFPDHFQASMPGADSPQSPTVSTPLKNSYDNSFRSCSGSWTRSKLKSTVSMLRLLSLRRLPWVADTDGQEKVVLSAVEVASLRSEINDLEERESHLKAQLEHVDEILRSARLSGYLNMRMRWAALPGELPPLDDAEVDDWVPRFVVLHGSCLYLYMLSTDLSPQDSTMLSDIVEVGSLPCLTREGEEAQHCFYILTRQGLRYECSSASRIQVLSQHTSCFLDQYLMELFFISSITHE >itb04g23310.t1 pep chromosome:ASM357664v1:4:28430445:28432849:1 gene:itb04g23310 transcript:itb04g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPCVAGGEKKQHWWLTNRKIADKYVKDAKVLIASQEPSAMASALNVLEAALILSPRLELALELKARTLLFLRRFKDVADMLQEYIPSLKVAPDESSSCSSSSESSDGSSRPLSRERVKLLSSGDESEPSFKCFSVSDLKKKIMAGLCKSCEKDGLWRYLVLGQACCHLGLMEDAMALLQTGKRIATDALRRQSICRSDDSFSFARFPLSGVDNSHPPKTESESISQMLSHIKMLLRRKTSAIAALDAGLYPEAIRHFSKIVDGRRGAPQGFLAECYTLRASAYQSAGRIADAIADCNRTLALEPSCIEALSTRAALFEAIRCLPDSLHDLEHLKLLYNTMLRDRILPGPLWKRQNVQYREIPGKLCSLATKIQELKQRVASGETGNTIDYYALMGLRRGCSKSELERANLLLNIRHKPDKSMSFVERCEFTDDQDIDSVRDRAKMTALLLYRLIQRAYTSLTKTLIEEEATEKQRKKSAASAAMQVEPEMSGNSTMIENKVTAATKSSAFQGVFCRDLAIVGSLLSQASFNRPIPMKYEALSC >itb12g06050.t1 pep chromosome:ASM357664v1:12:4508857:4509792:-1 gene:itb12g06050 transcript:itb12g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIEITELNYLLPSNQSLYPTHFGMSHNTPEFQLSRLCNPPYYLQIAPNVQELNSKATCFSSNSTSDEADEQQLSLIIERKQRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHQLLEKLNHVSERHDQVIQENGQLKEENSELRQMITELQLNSPYPSLKDLDGDHFHD >itb11g01050.t1 pep chromosome:ASM357664v1:11:474340:480037:1 gene:itb11g01050 transcript:itb11g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENMQRHYYCRFCVFLVLIVVLLKLESCHKHAVEAACGLPVNFLPGFDGPLPFQLQTGYIGVQNEEIQLFYYFVKSDSNPQEDPLILWITGGKTCSALRTIFQQIGPLLVEPVEYNGSLPRLRPFPYSWTKFASIIFLDSPAGTGFSYATKSVQNSDLSAASNAYEFLQKWLIDHPEFLSNPFYVAGHSYAGLIVPIITEMISNGIESGVKPLIQLQGYILGSPRAFEAQDNYRVPFAHGMGLITDQLYESLKKNCKEDYMNTNSTSLHCQRDLQTFNQLTESINHKHILEPICLSGSDSTMSSLIKLPVQKPLHHDLYTKLKIDPFFLCGLQCRDEWSELTPYWANDYHVQMALHVRQGTKEKWELCSDSVPYTSSIGNAIPYHMRLSKKGYRSLIYSGDHEMMSTCLSNEAWIKLLNYSIIDDWRSWMIEGQVAGYTTTYANNMTFVTVKGGGHVAPDYRPFESQVMFKRWISYENL >itb01g04550.t1 pep chromosome:ASM357664v1:1:3069865:3074051:1 gene:itb01g04550 transcript:itb01g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKATMTLKDFHGGSIPSDLPLPSAPGVSVRPLDRNGFDRQTPWGSTGGRTDHKLRPGSAGASRNFDEKTPFLNHNAHIGRNFDEDERKPLDGVSVPRRMVSDESLRAQPSRVEPRKDNTSDGRARSRPVTAPVSQISSGTTSGSYASRFAEANYAGVNTQTFGGNSRVNVTSSNVGAVGGQAVIGSYSNAWGLKKEATVVKEPVATGWSALDTASKLAHASALEKVSSGRWHSKQQMNFPTEVEVLKHQEVKRESYYRGGESYDRNAYSVSDAVDGMDYNNKSLAMHAERNLALGDGIHVASNKELPAHERVHSPVFMEGPEKRASATINGVQRSQYAVKHGEPEPQSSVPSEALERPKLKLLPRSKPLGNYEPPTEYKQGHQQQSEPVRLEYGATVPESCNPHKHGVVGYEGGDRAVERPKLNLKPRSQPLEHLNDDEKGKRNTVFGGALPREVVLKARGVDDAGTNDHDRQPFSRNKQDASRTETVQVHASRYNGRAENIVTDNRTAGNTDRRDPRFNGQRSDMQRRNRQSENPRNGRDREIERYHHQQPQAQDRPTSPETWRKPVDHPKPSSDAPVRYGKVTSAIELATAFSKSVSDPSADCFSGQRNLTSRNQAPFSRLMGPPTRPQAQINSY >itb01g04550.t2 pep chromosome:ASM357664v1:1:3069871:3073935:1 gene:itb01g04550 transcript:itb01g04550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKATMTLKDFHGGSIPSDLPLPSAPGVVRPLDRNGFDRQTPWGSTGGRTDHKLRPGSAGASRNFDEKTPFLNHNAHIGRNFDEDERKPLDGVSVPRRMVSDESLRAQPSRVEPRKDNTSDGRARSRPVTAPVSQISSGTTSGSYASRFAEANYAGVNTQTFGGNSRVNVTSSNVGAVGGQAVIGSYSNAWGLKKEATVVKEPVATGWSALDTASKLAHASALEKVSSGRWHSKQQMNFPTEVEVLKHQEVKRESYYRGGESYDRNAYSVSDAVDGMDYNNKSLAMHAERNLALGDGIHVASNKELPAHERVHSPVFMEGPEKRASATINGVQRSQYAVKHGEPEPQSSVPSEALERPKLKLLPRSKPLGNYEPPTEYKQGHQQQSEPVRLEYGATVPESCNPHKHGVVGYEGGDRAVERPKLNLKPRSQPLEHLNDDEKGKRNTVFGGALPREVVLKARGVDDAGTNDHDRQPFSRNKQDASRTETVQVHASRYNGRAENIVTDNRTAGNTDRRDPRFNGQRSDMQRRNRQSENPRNGRDREIERYHHQQPQAQDRPTSPETWRKPVDHPKPSSDAPVRYGKVTSAIELATAFSKSVSDPSADCFSGQRNLTSRNQAPFSRLMGPPTRPQAQINSY >itb11g22560.t2 pep chromosome:ASM357664v1:11:24525090:24531124:1 gene:itb11g22560 transcript:itb11g22560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MLEKLEEFVDKDESLSQEDSVDGVGSSLLSGSLSMALCYIQRVFRSGPLHPQPRILCIHGSPDGPGQYVAIMNSIFSAQRSMVPIDSCAIGAQHSAFLQQASYITNGVYLKPQILDGLFQYLSTVFATDLHSRAFLHLPRPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHQAQCSTCGSVFGKARGQEPAP >itb11g22560.t1 pep chromosome:ASM357664v1:11:24525036:24531321:1 gene:itb11g22560 transcript:itb11g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MAPASSKLYTDDVSLLMVLIDTNPFFWSSMKNNDSFTFSKFLSHVISFLNSILLLSQLNQVVVIATGHNSCDYIFDSSDSPNQRAECMLEKLEEFVDKDESLSQEDSVDGVGSSLLSGSLSMALCYIQRVFRSGPLHPQPRILCIHGSPDGPGQYVAIMNSIFSAQRSMVPIDSCAIGAQHSAFLQQASYITNGVYLKPQILDGLFQYLSTVFATDLHSRAFLHLPRPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHQAQCSTCGSVFGKARGQEPAP >itb10g02310.t1 pep chromosome:ASM357664v1:10:1951952:1953705:-1 gene:itb10g02310 transcript:itb10g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFHNKLVVLAALFLLGLSALASQATARTLEATSLRLRHEKWMVRYRRSYKNDAEKAKRFQIFKENLEFIQSFNKAGNQSYKLGTNKFTDLTKEEFQATMLNKEKSFPRPKTSKPASFVNENLAQVPDSLDWREYGAVTGIKNQGRCGCCWAFSVAAAVEGITKIKTGQLISLSEQQLLDCDYNDDGDGCGGGIMTEAFKFIKENGGLVTESDYPYEGAQKSCNTQNLGTPAATISGYEVVEASESALLAAVANQPVSVGITLDGQLFQSYSSNTGIFAGYNDSGDCGSGQSHAVTIIGYGTSDDGRDYWLVKNSWGTDWGENGYMKMARGISADGVCGINLAASYPTA >itb11g13080.t1 pep chromosome:ASM357664v1:11:10007473:10007874:-1 gene:itb11g13080 transcript:itb11g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPSDVILDLYRRGRRTIDWRMEVGDVVNKHRCTVFPIQEPNKPELSLRTRMRSLPSNVDPDLYRQTIGYKREVGGVVHKHRCAVDALQTGGRRCPSSLAQAASLRGGGEEGRDGWYKGFGEDCSSLISFY >itb09g18090.t1 pep chromosome:ASM357664v1:9:13531341:13534138:-1 gene:itb09g18090 transcript:itb09g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTNTLPSLCLGTQSLILATTTTSILSPMPRLIFPPMVKHFSRIPPAGGVTVVPCQILLFAKLPLIPAYFETQQRGIVNGVNFASAGSGCLAETFPGLVIDLHTQVQYFKNVTKQLKGKLGDKESNQLLSNAVYMFSTGNNDYFSVFSPLSNNSAFFNSFTHDQYVNLVIGNFTTAIKEIYKEGGRKFVVFSTVPLGCVPSTKVLNLQLTNTSGCFKELQELANMHNKALLKELINLEKTLQGFKYTYFDFYSAITDVIAHPSRYGVKNATTACCGSGPWRGLPSCGGKRSQLTEYELCENAGDYLFFDHGHPTEKCNRQFATLLWNGVPKVVRPYSVKSLFE >itb09g18090.t2 pep chromosome:ASM357664v1:9:13531341:13534150:-1 gene:itb09g18090 transcript:itb09g18090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYPFVYAFLALLGSLATPLHCLAHNDHNKHVALFVFGDSIFDPGNNNYINTITDAQANFPPYGETFFKNPTGRWCDGRTVPDFIAQFAKLPLIPAYFETQQRGIVNGVNFASAGSGCLAETFPGLVIDLHTQVQYFKNVTKQLKGKLGDKESNQLLSNAVYMFSTGNNDYFSVFSPLSNNSAFFNSFTHDQYVNLVIGNFTTAIKEIYKEGGRKFVVFSTVPLGCVPSTKVLNLQLTNTSGCFKELQELANMHNKALLKELINLEKTLQGFKYTYFDFYSAITDVIAHPSRYGVKNATTACCGSGPWRGLPSCGGKRSQLTEYELCENAGDYLFFDHGHPTEKCNRQFATLLWNGVPKVVRPYSVKSLFE >itb05g14650.t1 pep chromosome:ASM357664v1:5:21887756:21889861:-1 gene:itb05g14650 transcript:itb05g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQAVKPAKAGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >itb13g18300.t1 pep chromosome:ASM357664v1:13:25267845:25272421:-1 gene:itb13g18300 transcript:itb13g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASTGGKAEAFSGGYDRKSELKAFDDMKTGVKGLVDSGITKIPRIFIHDQIKKEESGNLKPASTFKVPIIDMEGVYTDTNRRCEIIKEMRDACQSWGFFQILNHGIPSEVLDEMIEGVREFHELDSEVKKQFYTRDLTRKVVYNTNFDFHTSPAATWRDTLYFIMAPHPPTPEELPQVCRDVLIKYTNSVQKLGLCLLELFSEALGLDKNYLEKLGCAVGLSIFGQYYPACPEPELTFALRDHSDSGFFTVLLQDTKIGGLQVFHENQWVDVPPMHGALVVNVADLLQLITNDKFKSVLHRVIAQKIGPRVSVASFFRTRPGDVDAEKVYGPIKELISDENPPLYRETTTEEYLMHFYNKGLDGTSGLLKFKLQKEGN >itb04g09610.t1 pep chromosome:ASM357664v1:4:8897097:8900994:-1 gene:itb04g09610 transcript:itb04g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRQIAEAYYEASESEIQSKVQDFFKTLDTDRNGRINKTEFISFMREEGHHRMSNPSFFSLIDTDRDGSLDFSEVLTLLYIVRSGRPFCDCCGSFIPTTYFTCAHCHAVNLCISCYRNRRYSHDHPGQPARFLDNYAQLFHAKKSSGGCSMNTNNTTSTHESHSRDIRTVPGAKYWTKGKVTGRPRDSVRRRRLRPKGNEVEAELGVEHGVLKLR >itb05g11180.t1 pep chromosome:ASM357664v1:5:17147270:17148149:-1 gene:itb05g11180 transcript:itb05g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGCTGSGRYFDDEYVIGFGYFTPHLDVNITYTELTSNYSAPEIVYTTSRTITNYSNAVNWTFAVDSGFLYLFRLYFCEFAIEIDGGNERVFSVEIANETAESHADVFLMAGGSKIPIFRDYLVNVRDNDGRRGKQNVSLAITESSQHIQQNVQLSGEAICTSVNLLVKNVPRHRTSKHKKGIITISGEEKRRRYNRRRRWRRVWWDSGDRDRGILDFPATEEESGKLGWEHYQDNLGPTVRRATIHA >itb05g03040.t1 pep chromosome:ASM357664v1:5:2449009:2449787:-1 gene:itb05g03040 transcript:itb05g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNVFDPFSLEIWDPFYDFPFSNAAVSSPEVSGEAARFASARIDWKETPEAHVFTADLPGLKKEEVKVEVEGGRVLQISGERSREQEEKTDTWHRMERSSGRFLRRFRLPENVKMDGIKASMENGVLSVRVPKEEAKKADVKAIDISG >itb11g03830.t1 pep chromosome:ASM357664v1:11:2064941:2067500:1 gene:itb11g03830 transcript:itb11g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGSSSGRSKKERESSRSGRDRRRRRRAEDSDLSESDSGSPAAATDSDSPSRDSSPPSRSSNRRRRSRSRRRKSDDSDSEEEEEDERRKSKKKRKITDEEIAEYMAKKAERRLKKAAKKLNAKQKLSSYSNDSNPFGDSNLNEKFIWEKKIHRDISRGVPLESFSLKAEKERQKERIAEIEKVKKRREERAIEKAQREEEMAMLARERARAEFQDWEKKEEEFHFDQSKTRTKIRIQQGRIKPIDVLIKQLDPSDDFDIEINEPYMVFKGLTMKEIEELQEDIKLHLDLDRATETHVQYWEALLVVCNWELAEARKRDAMDRARVRGEHLPPELQAEERGLHSSIETDVKLLLQGKSYGELEALQSQIESQMQSGTAKVVEYWEAVLKRLQIFKAKACLKEIHAKMLRKYLERLETPSERKDDEKVFEFSHDEEEDMDYTQKEDDRAVSAEPVLNEDTKEQEMEYEKENDDDDDDADEDEDDNDGSYSPVLVQDDEKEEAIDPEEDRAMLEKNRMAVLEERRLQDMIPKPKPPEDNNFEKKALKAMGAMEEGDAVFGSNDEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYVIEKDGDSVDTCIIRFHAGPPYEDIAFRIVNREWEYSHKKGFKCIFDRGILHLYFNFKRYRYRR >itb04g15280.t1 pep chromosome:ASM357664v1:4:16517035:16519877:-1 gene:itb04g15280 transcript:itb04g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAIVSVGGPALGRHFKAPASKSTAALFSIKKQQEELGFIASQLSGIKISYNHCQIPTPISAPLRPSLQPIARRVCPFTGKKANKANKVSHSNHKTKRLQFVNLQYKKLWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKE >itb13g02030.t2 pep chromosome:ASM357664v1:13:1861165:1865780:-1 gene:itb13g02030 transcript:itb13g02030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVALTSLIETIELQFLRSTPRVSLHDRAPIKSLFENLSSLQAFLQKESNGDDDGAVRLRDLEIQIRDFALKAEDDIEIQLSNILLAHLEDKEYREKASHELHQTLREAAKNSIELLKIIATEKEWCVSETEMAIIALTILITKFKHHFLQPFPTVHLGDEEALAVTGSFLEKLSSLRACLLPGKSIGGAAIEIRNFALKAENDIEIQLSNFLQAKHTEHEEEEASQQLRQTLQEAAEITAELLGNIYIEEQHEKERETACDILTNLMSRVSKYEDDDFDKPGNKLDLLVKLSSLKSYLLFKKSNGCATINDFETKIRIFALKAAEDKQTWEKVTENANELLLMLLMSGKETEIAYVALSYLMGTIEEFHDYEEFLSDVGKESFLEKISSLQAFLRKESSGGAVMKDLETKIKDFALKAKEDIQIQVNHFLQAKYTVCEQKVTQESRHTMLEAAESSAELLKIINEVDVYKQKVTQELRHTMLEAAESSGLLKIFNEVDVYEQKVTQEPRHTMLEAAKSSGELLKIINEVDVYEQKVTQELRHTMLEAAESAAELLKIINEVEDANKIQPSHTWLKHGTASKSANVDSDGSSHCFLKPEGRMVGRHHDCTVIKDQLFSSCSAVPKIISIVGMVGIGKTTLARNVYEDPAVASHFDLRGWVTIPQHYNKSRMLSSLLQSITPEELNVIKKGSTPHELEMQVRKCLRGRKYLIVLDNIMSNQAWTDITQCVPNDIAGICILLTTSYFNRYISWNNYIHNMTLLDPNESWELFGNILSIEEHLALKFEKIRNRVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGILDRNAIAVSYNPLPHHLKVCFLYFGVFPKRNKILVPMLIRLWIAEGFVMNPLEHKELEDQAYEYLLELIDRSLILIENRSFDGKIKTCRMHSAMHSFCVGEAQKEGILCAVNTQQHLGLSLDAFTNSCRWLSLYPHSFDYYVIFGTNIPRSIFFFHKSHKWFVPLKLLRVLAFDTSIFLQKLPLHLGDLVFLRYLSITQWFQELDDVMSNNPNLQTLVVSGNGAPTVHLPSSIWKPPHLRHLKIGNLYMVYPPHMDKKNLQTLSWVVRPIHCRKEVYNKFPDIKKLKIFLKDDIEPSHIGGCCRNPIILDHFDYLKRLEKLSISVSIGCSAALPEQCMYPSGLKKLKLSGTNISEKDLNVIAMLPRLMVLKLENAFHGTVWNAVANGGFPLLIFLLLEAKELEQWVVSFHDFPMLRHLVLRSCNCLEQVPMRFSWLKSIELEGCRSSLVASAKQLQQMRRSMHFKDDLEIKILGPEYDDSQNTYRQVSM >itb13g02030.t1 pep chromosome:ASM357664v1:13:1861165:1865780:-1 gene:itb13g02030 transcript:itb13g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVALTSLIETIELQFLRSTPRVSLHDRAPIKSLFENLSSLQAFLQKESNGDDDGAVRLRDLEIQIRDFALKAEDDIEIQLSNILLAHLEDKEYREKASHELHQTLREAAKNSIELLKIIATEKEWCVSETEMAIIALTILITKFKHHFLQPFPTVHLGDEEALAVTGSFLEKLSSLRACLLPGKSIGGAAIEIRNFALKAENDIEIQLSNFLQAKHTEHEEEEASQQLRQTLQEAAEITAELLGNIYIEEQHEKERETACDILTNLMSRVSKYEDDDFDKPGNKLDLLVKLSSLKSYLLFKKSNGCATINDFETKIRIFALKAAEDKQTWEKVTENANELLLMLLMSGKETEIAYVALSYLMGTIEEFHDYEEFLSDVGKESFLEKISSLQAFLRKESSGGAVMKDLETKIKDFALKAKEDIQIQVNHFLQAKYTVCEQKVTQESRHTMLEAAESSAELLKIINEVDVYKQKVTQELRHTMLEAAESSGLLKIFNEVDVYEQKVTQEPRHTMLEAAKSSGELLKIINEVDVYEQKVTQELRHTMLEAAESAAELLKIINEVEDANKIQPSHTWLKHGTASKSANVDSDGSSHCFLKPEGRMVGRHHDCTVIKDQLFSSCSAVPKIISIVGMVGIGKTTLARNVYEDPAVASHFDLRGWVTIPQHYNKSRMLSSLLQSITPEELNVIKKGSTPHELEMQVRKCLRGRKYLIVLDNIMSNQAWTDITQCVPNDIAGICILLTTSYFNRYISWNNYIHNMTLLDPNESWELFGNILSIEEHLALKFEKIRNRVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGILDRNAIAVSYNPLPHHLKVCFLYFGVFPKRNKILVPMLIRLWIAEGFVMNPLEHKELEDQAYEYLLELIDRSLILIENRSFDGKIKTCRMHSAMHSFCVGEAQKEALPEQCMYPSGLKKLKLSGTNISEKDLNVIAMLPRLMVLKLENAFHGTVWNAVANGGFPLLIFLLLEAKELEQWVVSFHDFPMLRHLVLRSCNCLEQVPMRFSWLKSIELEGCRSSLVASAKQLQQMRRSMHFKDDLEIKILGPEYDDSQNTYRQVSM >itb15g12470.t1 pep chromosome:ASM357664v1:15:10366841:10367855:1 gene:itb15g12470 transcript:itb15g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAIGISGMRSGERALLHVGWELAYGKDGSFSFPNVAPMANVMYEVELIGFDETKEGKARSDMTVEERIGAADRRKMNGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLLKLNRYDEAIAQCSIVLVEEENNVKALFRRGKARAELGQTDAAREDFLKARKFAPQDKAISQELRLLAEHDKAVYQKQKELYKGLFGKSPEPKPEKKSLLLVIWHWLLSLFYYLFRRKAGKTD >itb02g11850.t3 pep chromosome:ASM357664v1:2:7905115:7909770:1 gene:itb02g11850 transcript:itb02g11850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLPWRRCSLVLRISAIPSPFLTSISRFLTHSQSVGEQEGYISDPPFSSTTTKTLKPLKTKKKEKSTKISPSNNSQNSPVSSDLPFDFRYSYSETNSAIEPIGFREPPKFSPFGPGRLDRKWTGTCAPAQSPPDLEKIAEERQAVLGEPLSEEEIAELVEKYRHNNCSRQINLGKGGVTHNMLEDIHNHWKRAEAVRIKCYGVPTLDMDNICFHLEDKSGGKIVYRHINVLLLFRGRNYDPKNRPTIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRTRGLNSLPLTKLTRNGVYINVVEKVRVAFQTAELVRLDCSHVGTSDCKKISVKLRDLVPCIPILVKDEQIILWRGKRDEDHNSGSSTELAK >itb02g11850.t2 pep chromosome:ASM357664v1:2:7905108:7909944:1 gene:itb02g11850 transcript:itb02g11850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLPWRRCSLVLRISAIPSPFLTSISRFLTHSQSVGEQEGYISDPPFSSTTTKTLKPLKTKKKEKSTKISPSNNSQNSPVSSDLPFDFRYSYSETNSAIEPIGFREPPKFSPFGPGRLDRKWTGTCAPAQSPPDLEKIAEERQAVLGEPLSEEEIAELVEKYRHNNCSRQINLGKGGVTHNMLEDIHNHWKRAEAVRIKCYGVPTLDMDNICFHLEDKSGGKIVYRHINVLLLFRGRNYDPKNRPTIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRTRGLNSLPLTKLTRNGVYINVVEKVRVAFQTAELVRLDCSHVGTSDCKKISVKLRDLVPCIPILVKDEQIILWRGKRDEDHNSGSSTELAK >itb02g11850.t1 pep chromosome:ASM357664v1:2:7905108:7909944:1 gene:itb02g11850 transcript:itb02g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLPWRRCSLVLRISAIPSPFLTSISRFLTHSQSVGEQEGYISDPPFSSTTTKTLKPLKTKKKEKSTKISPSNNSQNSPVSSDLPFDFRYSYSETNSAIEPIGFREPPKFSPFGPGRLDRKWTGTCAPAQSPPDLEKIAEERQAVLGEPLSEEEIAELVEKYRHNNCSRQINLGKGGVTHNMLEDIHNHWKRAEAVRIKCYGVPTLDMDNICFHLEDKSGGKIVYRHINVLLLFRGRNYDPKNRPTIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRTRGLNSLPLTKLTRNGVYINVVEKVRVAFQTAELVRLDCSHVGTSDCKKISVKLRDLVPCIPILVKDEQIILWRGKRDEDHNSGSSTELAK >itb02g11850.t4 pep chromosome:ASM357664v1:2:7905115:7907109:1 gene:itb02g11850 transcript:itb02g11850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLPWRRCSLVLRISAIPSPFLTSISRFLTHSQSVGEQEGYISDPPFSSTTTKTLKPLKTKKKEKSTKISPSNNSQNSPVSSDLPFDFRYSYSETNSAIEPIGFREPPKFSPFGPGRLDRKWTGTCAPAQSPPDLEKIAEERQAVLGEPLSEEEIAELVEKYRHNNCSRQINLGKGGVTHNMLEDIHNHWKRAEAVRIKCYGVPTLDMDNICFHLEDKSGGKIVYRHINVLLLFRGRNYDPKNRPTIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRTRGLNSLPLTKLTRNGVYINVVEKVRVAFQTAELVRLDCSHVGTSDCKKISVKLRDLVPCIPILVKDEQIILWRGKRDEDHNSGSSTELAK >itb02g11850.t5 pep chromosome:ASM357664v1:2:7905108:7909770:1 gene:itb02g11850 transcript:itb02g11850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLPWRRCSLVLRISAIPSPFLTSISRFLTHSQSVGEQEGYISDPPFSSTTTKTLKPLKTKKKEKSTKISPSNNSQNSPVSSDLPFDFRYSYSETNSAIEPIGFREPPKFSPFGPGRLDRKWTGTCAPAQSPPDLEKIAEERQAVLGEPLSEEEIAELVEKYRHNNCSRQINLGKGGVTHNMLEDIHNHWKRAEAVRIKCYGVPTLDMDNICFHLEDKSGGKIVYRHINVLLLFRGRNYDPKNRPTIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRTRGLNSLPLTKLTRNGVYINVVEKVRVAFQTAELVRLDCSHVGTSDCKKISVKLRIVPETPAILQEAFESAAGC >itb09g19730.t1 pep chromosome:ASM357664v1:9:16008720:16009417:-1 gene:itb09g19730 transcript:itb09g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPIHCLRTKASLMENHESPPLENRASLLFEKPCRSISRELFRSIPEERCNSDSQKLYKSNYEESFKSNFEEPCKSNSREPCKSNSGEPCKSNSEEPCKSDSVELCKSDSEEPCKLCLRVFVIAFLNHCWDLRLRGFPSFFTRT >itb03g14910.t1 pep chromosome:ASM357664v1:3:14433371:14437491:1 gene:itb03g14910 transcript:itb03g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSICSAMPFILRPPRNTICGACYEGARSIITLTNKLDHAADNKSPDNKSSNVNPSSSSSTSSVKGFANALKWVKEMREMEEELNEKLNFLGGFAAAFRQDIHTDILVKPGTNNGPPIPAHRALLAARSDIFKNMLDSDGCKAAPTNNTITLPELNYEELHALLEFLYAGDLPKQKAEKHVYSLSIAADKYEIPFLQKFCEEQMLGSLNSSNALDVLEISDTCSNQSLKETALNFIVKNMEDVVFSSRFDTFALKNPHLTVQITRASFMDIKSRRAGV >itb04g31750.t1 pep chromosome:ASM357664v1:4:34485016:34488327:1 gene:itb04g31750 transcript:itb04g31750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFMLLILIITSQFEWKQQLVSDVESSPSISQKQQQISQREEAVKEKIILSQEKHIQRLNELVQSLREQLQQCKSNNETMNGGLSSLAENVIELERQQILED >itb04g31750.t2 pep chromosome:ASM357664v1:4:34485016:34488327:1 gene:itb04g31750 transcript:itb04g31750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMLLVFMLLILIITSQFEWKQQLVSDVESSPSISQKQQQISQREEAVKEKIILSQEKHIQRLNELVQSLREQLQQCKSNNETMNGGLSSLAENVIELERQQILED >itb09g20970.t1 pep chromosome:ASM357664v1:9:18163744:18167432:1 gene:itb09g20970 transcript:itb09g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKILSGVGWEDSLKMITCDSATYMELISATPKYETILNRKFEYYDEMSIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKISVSTATSSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKIPPFLSLLSTRSVDLLKIRGVQSLNRLQIQSSINLFCILKMNSPRNPFRKIFPKGSHLSSRLLSLLNTFEESVAEKLKSLRPLDGEDVLSLSWMQRAIAALSVIHSNIKALLTALELPVSDWDEKWIDVYLDNSVRLLDVCTALSSEISRLNQGNLFIRCTLHNVDGGAKKFVGARSSLDGWKQHLNGNNLRLEKCFGVLDSLTESLNLPKIKNSAKGKVLMRALYGVRAVTVSLCSIFVVAFSGSVKKLKDLEIPEDCIWAKAFLDLQAFINKEIRDKYSCGGFKTLKELEGVDISVEKLYPMVKDGVVPTELGALQDARSDLSKKADQLSEGLDLLSKEVDAFFQILLSGRNALLSNLRIGSKITNQMPASSNLKGQIVR >itb09g17700.t1 pep chromosome:ASM357664v1:9:13059746:13061050:1 gene:itb09g17700 transcript:itb09g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTEKEETEFKVVRETIAPCVNNCGVSGNPATGNLCQKCFDAVSTSVSASSVTIPHKFSDKSPRSTVQRSSPETTRPGVKKQEDLRLTGGDDSSPAPPRREVSRCSGCRRKVGLTGFRCRCGELFCGEHRYSDRHDCSYDYKAAGREAIARENPVVKAAKIIKL >itb05g05690.t1 pep chromosome:ASM357664v1:5:5499847:5506513:1 gene:itb05g05690 transcript:itb05g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGINVVMTVIGFVLSAMFIVFVCTRLICARILLHSTRRRSVAIASRSDLSILERGLNGLKPLDISSFPTKKFGDAFFTSKEDAQCTVCLADYDSEDTLRILPLCAHYFHVSCIDIWLQQHSTCPVCRISLLLQGTDKKRVSMQPMFSSAVRSQCGVLSMFSSSRPHGSPATEEWHLCESGRVGGGEDDPVVLARGIQQDRIAQWISAFDFGSKGRGFDSHCGRKLLLPFTAITGRTTASKIQQREPNAEQRLSPMNGFLCRLNRSLSTLTSHYPNYLFQCNNQIQHFFRLGQVGDARRLFDGMPQRDSVTWNSMISGYCDNGRVDDARSLFDAFHGKNVRTWTSMLSGYARAGRIYEAVLIFDAMPERNIVSYNAMLSGYLQNGEVESARKLFDAMSDRNIASWNCMITGYCRMCWMREARQVFDVMPERNEVSYMVMISGYAEVSEYEEAWRLVLDMHRRGEVRPDQQIFLAVLSVISGLDDDVGLITCFLPLPLKMGYSNDVVVGTAILNTFKRIGSLDLAFKFFNDMPEKNDYSWSTMISAFSQCGRLEDAILLYRQCPQKNVGTQTAMMTAYAQNGMMVEARHIFDAIRNPPNVLTWNALLAGYIQNGMVEEAREFFLRMPAKDMASWGTMVSGLVQNGDNEEALEMIGEMHGLGIIPNHSSLTSALSACANIGNIEMGKQIHSLTIKSGCQFNSFIGNGLINMYAKCKNTEDFSRAFDIMKVRDTVSWNSLITGLSENCRLSDAVEVFQRMPNHNVVSWTALISAYVQAEQGEVAFRLFLEMLNNGIKPSEQTVTSLLSASGSLGATKLGEQIHALAYKLGLNLRLFVGNALITMYFKCGSHDGFQVFEDMYERDVITWNAILTGCAQNGLGREAIHIFEKMKREFEVVPNQITFLQLLSACSHSGLVDEGLKYFDSMSRDYGIKPSINHYTAMVDLLGRVGRLSEAESLVNNMPMKPDTVILDALLAACKKHSNMNLGRRIAERLFQMGAKESGAYVLLSNIYASQGMWEKVQKVRRAMLNREVNKEPGFSWIHIKGNLHSFITGSRMVEQVGEISSMLEELYMQFKSTGYVPDTNFVMHDVDEEQKQIELLYHSEKIAVVFGILKTPDGSPIQIMKNLRTCGDCHNFMKFVSKFTQRKIIIRDGNRFHHFQHGLCSCGDYW >itb04g29520.t1 pep chromosome:ASM357664v1:4:32864501:32865523:-1 gene:itb04g29520 transcript:itb04g29520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRIAIGSVGEATSPDALKAAVAEFISMLIFVFAGSGSGMAFNKLTDNGAATPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLLRSVVYWIAQLLGSVVACLLLKFATGGLETPAFGLSAGVAPWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWSWECHWVYWLGPFLGAAIAALVYQVIFICQNTHEQLPTTDY >itb04g07840.t4 pep chromosome:ASM357664v1:4:5416861:5420587:-1 gene:itb04g07840 transcript:itb04g07840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRENSVPLETSLALRRRKGKEKVYSDGNGNSRMSSNEEDSHESVDSCNSMRMFPQGKRQLQLEQPLLVGGKRIKTEMQGHTASTSNVGNDSSFMTWISNMVNGFSKTNREEAPLPLPLAHSLPGNERNRGEIITYGKNHDVERNTTGFQTMFHLLLCPPSATPEPRALKDDPSTGESKEVILPGNDESKHGEIVAYDKNHNSGSENTGFQSMFRSLFRPPSVMPEKRMLKDDPPTRESKEVMLADKMIIEASPISCHRESSGSDEQIFVSKSDKVITSALAHRDGISTKFPIKPISVGPTRDACKSTSTEKKSSGNSSSRKTTEGGNSSGSLRKLKTRNGNNNSSSHPSESKAFNKDLSKTSVSHGHGNLWITRFCVKDHPTATNLDEFKENRDETTQRSPDCKRPNPNAQVSSEYPNNNAKFPSPKLKSSEAMASLFARRLDAIKHIVPSAPQNEVMCSRTTCFFCGRRGHDLRNCSEVTETELESLLRNVSSYDGTEDLHSLCIRCLQLDHWAITCPQESSGKQQKQEHGNSVLNRYCTNNLHIRPADDDENYPNFLAKVQGNPSILSNHIGNGTDADNLIANGKWISFERMVESIKVKENMAPDLVENVVNENNLLPSPSSTFGSNDIGGIPKGAFDAIRRIRVSRGTILKWINSKSSLSHLDGFFLRLRIGKHEAELGRTGYYVARIAGTQKENNPATDSKKFISVSVGSVKCFVGCQYVSNHDFLEDELLAWWSRTSESGGKVPSEDELRLKLEERTKLGF >itb04g07840.t2 pep chromosome:ASM357664v1:4:5416665:5422316:-1 gene:itb04g07840 transcript:itb04g07840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNNEDLDLRLALGYSDQNNASRVKNESGAGVNAGTTVGMTFAASDPLSELVWSPHKGLSLKCTGTGLADKKPFLLWNVGSNNVTHHSPSQSNIFEDEGKDIGKGKLLVTEAMSLLDMEDVQRIHLGKSSSRNVLAPRPSCGNEMGNSSGRERLNTGEGFEIAGTNKIAENVSKKRKKDASPPQHIQITENDKYNNAGPGEERAPKKSLQQVAEDNGSGNQILGLGLTVSSEIRSSRKLGPQVARSLNLVLPQQESNEKAASLGEESGGKREHLEKQESSAENDKQNLVTKEGSEQNEKRVMRENSVPLETSLALRRRKGKEKVYSDGNGNSRMSSNEEDSHESVDSCNSMRMFPQGKRQLQLEQPLLVGGKRIKTEMQGHTASTSNVGNDSSFMTWISNMVNGFSKTNREEAPLPLPLAHSLPGNERNRGEIITYGKNHDVERNTTGFQTMFHLLLCPPSATPEPRALKDDPSTGESKEVILPGNDESKHGEIVAYDKNHNSGSENTGFQSMFRSLFRPPSVMPEKRMLKDDPPTRESKEVMLADKMIIEASPISCHRESSGSDEQIFVSKSDKVITSALAHRDGISTKFPIKPISVGPTRDACKSTSTEKKSSGNSSSRKTTEGGNSSGSLRKLKTRNGNNNSSSHPSESKAFNKDLSKTSVSHGHGNLWITRFCVKDHPTATNLDEFKENRDETTQRSPDCKRPNPNAQVSSEYPNNNAKFPSPKLKSSEAMASLFARRLDAIKHIVPSAPQNEVMCSRTTCFFCGRRGHDLRNCSEVTETELESLLRNVSSYDGTEDLHSLCIRCLQLDHWAITCPQESSGKQQKQEHGNSVLNRYCTNNLHIRPADDDENYPNFLAKVQGNPSILSNHIGNGTDADNLIANGKWISFERMVESIKVKENMAPDLVENVVNENNLLPSPSSTFGSNDIGGIPKGAFDAIRRIRVSRGTILKWINSKSSLSHLDGFFLRLRIGKHEAELGRTGYYVARIAGTQKENNPATDSKKFISVSVGSVKCFVGCQYVSNHDFLEVCM >itb04g07840.t1 pep chromosome:ASM357664v1:4:5416654:5422673:-1 gene:itb04g07840 transcript:itb04g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGNNEDLDLRLALGYSDQNNASRVKNESGAGVNAGTTVGMTFAASDPLSELVWSPHKGLSLKCTGTGLADKKPFLLWNVGSNNVTHHSPSQSNIFEDEGKDIGKGKLLVTEAMSLLDMEDVQRIHLGKSSSRNVLAPRPSCGNEMGNSSGRERLNTGEGFEIAGTNKIAENVSKKRKKDASPPQHIQITENDKYNNAGPGEERAPKKSLQQVAEDNGSGNQILGLGLTVSSEIRSSRKLGPQVARSLNLVLPQQESNEKAASLGEESGGKREHLEKQESSAENDKQNLVTKEGSEQNEKRVMRENSVPLETSLALRRRKGKEKVYSDGNGNSRMSSNEEDSHESVDSCNSMRMFPQGKRQLQLEQPLLVGGKRIKTEMQGHTASTSNVGNDSSFMTWISNMVNGFSKTNREEAPLPLPLAHSLPGNERNRGEIITYGKNHDVERNTTGFQTMFHLLLCPPSATPEPRALKDDPSTGESKEVILPGNDESKHGEIVAYDKNHNSGSENTGFQSMFRSLFRPPSVMPEKRMLKDDPPTRESKEVMLADKMIIEASPISCHRESSGSDEQIFVSKSDKVITSALAHRDGISTKFPIKPISVGPTRDACKSTSTEKKSSGNSSSRKTTEGGNSSGSLRKLKTRNGNNNSSSHPSESKAFNKDLSKTSVSHGHGNLWITRFCVKDHPTATNLDEFKENRDETTQRSPDCKRPNPNAQVSSEYPNNNAKFPSPKLKSSEAMASLFARRLDAIKHIVPSAPQNEVMCSRTTCFFCGRRGHDLRNCSEVTETELESLLRNVSSYDGTEDLHSLCIRCLQLDHWAITCPQESSGKQQKQEHGNSVLNRYCTNNLHIRPADDDENYPNFLAKVQGNPSILSNHIGNGTDADNLIANGKWISFERMVESIKVKENMAPDLVENVVNENNLLPSPSSTFGSNDIGGIPKGAFDAIRRIRVSRGTILKWINSKSSLSHLDGFFLRLRIGKHEAELGRTGYYVARIAGTQKENNPATDSKKFISVSVGSVKCFVGCQYVSNHDFLEDELLAWWSRTSESGGKVPSEDELRLKLEERTKLGF >itb04g07840.t3 pep chromosome:ASM357664v1:4:5416654:5422526:-1 gene:itb04g07840 transcript:itb04g07840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFREFISVNLLPEMFLLPGQAVEMKWVTPFLISSVWVTSPCLNKNCAVIVTNSLLAGNSSGRERLNTGEGFEIAGTNKIAENVSKKRKKDASPPQHIQITENDKYNNAGPGEERAPKKSLQQVAEDNGSGNQILGLGLTVSSEIRSSRKLGPQVARSLNLVLPQQESNEKAASLGEESGGKREHLEKQESSAENDKQNLVTKEGSEQNEKRVMRENSVPLETSLALRRRKGKEKVYSDGNGNSRMSSNEEDSHESVDSCNSMRMFPQGKRQLQLEQPLLVGGKRIKTEMQGHTASTSNVGNDSSFMTWISNMVNGFSKTNREEAPLPLPLAHSLPGNERNRGEIITYGKNHDVERNTTGFQTMFHLLLCPPSATPEPRALKDDPSTGESKEVILPGNDESKHGEIVAYDKNHNSGSENTGFQSMFRSLFRPPSVMPEKRMLKDDPPTRESKEVMLADKMIIEASPISCHRESSGSDEQIFVSKSDKVITSALAHRDGISTKFPIKPISVGPTRDACKSTSTEKKSSGNSSSRKTTEGGNSSGSLRKLKTRNGNNNSSSHPSESKAFNKDLSKTSVSHGHGNLWITRFCVKDHPTATNLDEFKENRDETTQRSPDCKRPNPNAQVSSEYPNNNAKFPSPKLKSSEAMASLFARRLDAIKHIVPSAPQNEVMCSRTTCFFCGRRGHDLRNCSEVTETELESLLRNVSSYDGTEDLHSLCIRCLQLDHWAITCPQESSGKQQKQEHGNSVLNRYCTNNLHIRPADDDENYPNFLAKVQGNPSILSNHIGNGTDADNLIANGKWISFERMVESIKVKENMAPDLVENVVNENNLLPSPSSTFGSNDIGGIPKGAFDAIRRIRVSRGTILKWINSKSSLSHLDGFFLRLRIGKHEAELGRTGYYVARIAGTQKENNPATDSKKFISVSVGSVKCFVGCQYVSNHDFLEDELLAWWSRTSESGGKVPSEDELRLKLEERTKLGF >itb04g07840.t5 pep chromosome:ASM357664v1:4:5416665:5422316:-1 gene:itb04g07840 transcript:itb04g07840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFREFISVNLLPEMFLLPGQAVEMKWVTPFLISSVWVTSPCLNKNCAVIVTNSLLAGNSSGRERLNTGEGFEIAGTNKIAENVSKKRKKDASPPQHIQITENDKYNNAGPGEERAPKKSLQQVAEDNGSGNQILGLGLTVSSEIRSSRKLGPQVARSLNLVLPQQESNEKAASLGEESGGKREHLEKQESSAENDKQNLVTKEGSEQNEKRVMRENSVPLETSLALRRRKGKEKVYSDGNGNSRMSSNEEDSHESVDSCNSMRMFPQGKRQLQLEQPLLVGGKRIKTEMQGHTASTSNVGNDSSFMTWISNMVNGFSKTNREEAPLPLPLAHSLPGNERNRGEIITYGKNHDVERNTTGFQTMFHLLLCPPSATPEPRALKDDPSTGESKEVILPGNDESKHGEIVAYDKNHNSGSENTGFQSMFRSLFRPPSVMPEKRMLKDDPPTRESKEVMLADKMIIEASPISCHRESSGSDEQIFVSKSDKVITSALAHRDGISTKFPIKPISVGPTRDACKSTSTEKKSSGNSSSRKTTEGGNSSGSLRKLKTRNGNNNSSSHPSESKAFNKDLSKTSVSHGHGNLWITRFCVKDHPTATNLDEFKENRDETTQRSPDCKRPNPNAQVSSEYPNNNAKFPSPKLKSSEAMASLFARRLDAIKHIVPSAPQNEVMCSRTTCFFCGRRGHDLRNCSEVTETELESLLRNVSSYDGTEDLHSLCIRCLQLDHWAITCPQESSGKQQKQEHGNSVLNRYCTNNLHIRPADDDENYPNFLAKVQGNPSILSNHIGNGTDADNLIANGKWISFERMVESIKVKENMAPDLVENVVNENNLLPSPSSTFGSNDIGGIPKGAFDAIRRIRVSRGTILKWINSKSSLSHLDGFFLRLRIGKHEAELGRTGYYVARIAGTQKENNPATDSKKFISVSVGSVKCFVGCQYVSNHDFLEVCM >itb14g17690.t1 pep chromosome:ASM357664v1:14:20913932:20916929:1 gene:itb14g17690 transcript:itb14g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSRRKEGVDDLGMEGEAQKQEGFMSGFSVLLEFSAADDLDGFRKAVEEEGCDMNELGLWYGRRIGSKKMGNEERTPLLIASYFGSKRVLSYILEKGCVDVNRACGSDGATALHCAVDGGSAAMPEVVKLLLDASADAGLVDANGKRAADLIAPGVFGHRRKIVEHLLKGKSDVEETGGLIDWDTFEQIEEQKVLNSTVSKDGNEKKEYPIDPSLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKFHYTCVPCPDFRKGTCQRGDSCEYAHGIFECWLHPAQYRTRMCKDESSCNRRVCFFAHRPEELRPLYASTGSAVPSPRSYSLGASPLDIASVSPLALGSPSMMIAPTSTPPLTPSGPSSPRARSLWSNNQLNLATPTLQLPGSRLKTSLHARDSDLDSGLLGLDRRQEQLMDEISVLSSPSSQRKSFSTAATFTAPSGDLNGEMSRHPGLKPANFDDIFETLDPNILAKLQGLSLDAETTHLQSPKGMHLRQNFLSSYPSHHSSSPVRTPSFQRDPSTAALNARSAAFAKRSQSFIDRSAPCHHHHGMISSPMSPATTMPSTLSGWGSPDGKLDWGMQKDELSKLRKSASFGIRSSGTTYTTTGTPFPTPADEPDVSWVQSLVRDTPAVSSRQFNSDDPQYCQGSPEMHPAWGDQQIYLEQEQIMA >itb08g04740.t1 pep chromosome:ASM357664v1:8:3897805:3901394:1 gene:itb08g04740 transcript:itb08g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLILSLFVLAAVSSAAATATDMSIITYDEEHPAKGLSRTDEEVMALYESWLVEHGKSYNGLGGEKDKRFEIFKDNLRYIDEQNSREDRSYKLGLNRFADLTNEEYRSTYLGAKTDARRRIAKTKSDRRYAPKVGGSLPDSIDWREKGAVAEVKDQGSCGSCWAFSTIAAVEGINQIVTGELISLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEADYPYTGRDGRCDQTRKNAKVVSIDGYEDVTPYDEAALKEAVAGQPVSVAIEAGGRDFQLYSSGIFTGSCGTDLDHGVTAVGYGTENGVDYWIVKNSWAASWGEKGYLRMQRNVKDKNGLCGIAIEPSYPTKTGENPPNPGPSPPSPVSPPNMCDNYNECPTSTTCCCVFQYGSYCFAWGCCPLESAVCCEDHYSCCPHDYPVCHVRQGTCTVSKDSPFGVKAMRRTPAKKIRNNGSSS >itb01g03360.t1 pep chromosome:ASM357664v1:1:2224513:2226221:1 gene:itb01g03360 transcript:itb01g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLFCGVSTFHSEIQPVAWYTIQISVSSLSLSLCIPATFLLLHGCSSSFSPWMFHLFLVSSFLAQGSGCHSVNQRAFDAIVQLFVSAIASLVLPGNMGLRNFCFLMNYVMKVRSFDNDFLSLFLRLF >itb01g09640.t1 pep chromosome:ASM357664v1:1:7893315:7894104:1 gene:itb01g09640 transcript:itb01g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKNELVVGPSLQPKDGFSSETERNLSTTAIMERIPSTWHPSSPWKNYRVISGHLGWVRSVAVDHNNSWFCTGSADRTIKIWDLATGTLKLTLTGHIQQIRGLAVSNKKQTHIFSAGHDNTISSVFTRPLDPQVITASHDSTIKFWGRYANTTLATLTHHKKSVRAMALHPKEDNAFASASADNNKKKIQPPQRRIFAQHAFSPENNHQCNGG >itb15g06360.t1 pep chromosome:ASM357664v1:15:4163641:4168057:-1 gene:itb15g06360 transcript:itb15g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 53 [Source:Projected from Arabidopsis thaliana (AT2G38510) UniProtKB/Swiss-Prot;Acc:Q9ZVH5] MCSINDDSAGGGGGNVGTLTTTSDIEAAAVFDEHRAKAREDDAASPSSSSSACLISILRRLPVNEICGEAIPLAKIACPVALTTLLLFSKNIISMLFLGHMGKTELAGGSLAIGFANVTGYSVMKGLCMGMEPICCQAYGAKRFPLLSQTYIKMFLLLLSVSVPIILLWLNVEPLFIRLGQDRVISKVAKGYLVFCIPELLANAHLNPLRAFLRTQGLNSPATLVATCATVLHLPITYFLVTYLNLRVKGIALSSVLYALNVNTGLLIYLVVSKVVIKPWVGASLASVAQGWKPLMSLAVPSLFSVCLEWWWYEIILFLSGLLDNPDSCVAAMGILIQTTGTIYVFPFSLSLSISQRVGHGLGAGQPEQARSAATAGFCIALGVGLLAFGLSVALRSVLGRLYTTDPQVLGLIQIALPLLGLAEVGNSPQTAACGALTGSARPNLGVRINMASFYLVGLPCAAVFAFKLNIGFRGLWLGLVAAQAACLVLMIFTLFQTDWKLQAKRAEELTQTTAAAADKEDAGTNLVT >itb10g16130.t2 pep chromosome:ASM357664v1:10:22391059:22392541:1 gene:itb10g16130 transcript:itb10g16130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRLRRAGCSLLGGLCNNSALFTRASPKIISNDLFTQQLRTFIQMRTNLKVVDNSGAKRVMCIQALKGKKGARLGDTIVCSVKEAQPGGKVKKGEVHYGVVVRAAMPRGRCDGSEVKFDDNAVVLINKHGEPIGTRVFGPVPHELRKKKHIKILSLAEHIA >itb10g16130.t1 pep chromosome:ASM357664v1:10:22391059:22392541:1 gene:itb10g16130 transcript:itb10g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRLRRGCSLLGGLCNNSALFTRASPKIISNDLFTQQLRTFIQMRTNLKVVDNSGAKRVMCIQALKGKKGARLGDTIVCSVKEAQPGGKVKKGEVHYGVVVRAAMPRGRCDGSEVKFDDNAVVLINKHGEPIGTRVFGPVPHELRKKKHIKILSLAEHIA >itb05g03720.t1 pep chromosome:ASM357664v1:5:3181246:3182067:1 gene:itb05g03720 transcript:itb05g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRGRANGNSLAFGSLTCLLRQVGERKTMCTLEKRGNIFILTLTGEADHRLNPTLIDSITAAVRRARSEATAPSALITTAQGKFFSNGYDLKWAKQDMERRGKIMSSKLRLLVAELINLPMPTIAAVTGHASAAGFVLALCHDYILMRRDRGFLYMSELDIGLRLPAWFIALMKCKIGSPVALREVALASAKLTGDIALQKGIVDSVHNNADETLKAAEKLGEELVRRNWDGNTYSGIRTTLFAQVLDSLESDETVGDFGKKEAKKAVSRL >itb05g22270.t2 pep chromosome:ASM357664v1:5:27721819:27726875:1 gene:itb05g22270 transcript:itb05g22270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRETEREDREQNGGPPCGYVRVLVVGDSGVGKTSLVHLILNGSSVARPPQTIGCSVCVKHTTYGNSGSSSSNIKGDSEREFFIELWDISGHERYKDCRSILYSQINGVIFVYDLSQRRTKASLQKWAAEIAAIGTFSAPLASGGPGGLPVPYIVIGNKADIASKKGTRGSSGNLVDVARQWVERQGFLPSSEEIPLTESFPGSEGIVAAAKEARYDKEALMKFFHKLIRRRYFSDDLPGRPWSTSVHKPPQKSHEISSEEDHLYKSSSFSSDPYKYNALLPMHYPLQPEINSIASARSDL >itb05g22270.t1 pep chromosome:ASM357664v1:5:27721817:27726875:1 gene:itb05g22270 transcript:itb05g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRETEREDREQNGGPPCGYVRVLVVGDSGVGKTSLVHLILNGSSVARPPQTIGCSVCVKHTTYGNSGSSSSNIKGDSEREFFIELWDISGHERYKDCRSILYSQINGVIFVYDLSQRRTKASLQKWAAEIAAIGTFSAPLASGGPGGLPVPYIVIGNKADIASKKGTRGSSGNLVDVARQWVERQGFLPSSEEIPLTESFPGSEGIVAAAKEARYDKEALMKFFHKLIRRRYFSDDLPGRPWSTSVHKPPQKSHEISSEEDHLYKSSSFSSDPYKYNALLPMHYPLQPEINSIASARSDL >itb02g15400.t1 pep chromosome:ASM357664v1:2:11209540:11211679:1 gene:itb02g15400 transcript:itb02g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLAPNAKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAAASAKKEGDETEAAPEEKKSNHVLRKLEQRQKDRKIDPHIEEQFGSGRLLAAIASRPGQCGRADGYILEGKELEFYMKKIQRKKGKAAGGGA >itb02g15400.t2 pep chromosome:ASM357664v1:2:11209741:11211622:1 gene:itb02g15400 transcript:itb02g15400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRATGGKQKAWRKKRKYELGRQPANTKLAPNAKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAAASAKKEGDETEAAPEEKKSNHVLRKLEQRQKDRKIDPHIEEQFGSGRLLAAIASRPGQCGRADGYILEGKELEFYMKKIQRKKGKAAGGGA >itb11g03470.t1 pep chromosome:ASM357664v1:11:1843889:1846767:1 gene:itb11g03470 transcript:itb11g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPRCFGAFYVVLLAIFFTVGNGAKPLPARFFNVLDYGAVPDGRTDNVQAFLRAWDDACQFYGRSRVWIPSGVYKLGSVKFSGPCKAYQLAFLIKGTLKSPTERSEFNTDTWIAFNYMNNLVVKGGGYLDGQGHRAWPYNDCSTNSQCGRLPATLTFNFVNNSRVTHLKSINSKNTHFHIFACNGLRFNKVRLTAPKDSPNTDGIKIGLSNDIHITNSVIQTGDDCVAMVAGSRNIRISQVTCGPGHGISIGSIGKNIGDLISGIHVINCTFIGTDNGVRIKTWAPSMSSLVSDVFFGYIHMIDVRNPIVIDQNYCPGGCGDYRSMHSKVQINDVTFNNIWGTSTSKVALALQCSPLVPCKDVNLADINLSYFLPREGPALSSCRNVIGKSKGILSPPGCL >itb11g07400.t1 pep chromosome:ASM357664v1:11:4532654:4535916:-1 gene:itb11g07400 transcript:itb11g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSMNLNDDSSPFQQDIIRCPFLRNINEPTNFSFSSSMAFPLPVRGSKGPIFEDGPNFDMAFRLFHGQDGVVPLSGRSFIQNEKIEPEPAPAQFNPLAAKAATISLSGFGLGGPFGFDAFSKKWNNQKKNSKSSKKDSSSKGGDSKHEALSNEWLQSGSCPIAKSYRAVSKVIPLVAKAIQPPPGVQLKCPPAVVAARAAISKTAFAKNLRPQPLSTKVLVIGAMGMAANIPLGIWREHTEKFSLSWFTAVHAAVPFIGMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAIATKKVALTETSIDGHTREPVPPTKLILSETSVREPDQLTVGVRVGHCGEAGDWNRIAGPSSPARVLS >itb11g07400.t2 pep chromosome:ASM357664v1:11:4532713:4535870:-1 gene:itb11g07400 transcript:itb11g07400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSMNLNDDSSPFQQDIIRCPFLRNINEPTNFSFSSSMAFPLPVRGSKGPIFEDGPNFDMAFRLFHGQDGVVPLSGRSFIQNEKIEPEPAPAQFNPLAAKAATISLSGFGLGGPFGFDAFSKKWNNQKKNSKSSKKDSSSKGGDSKHEALSNEWLQSGSCPIAKSYRAVSKVIPLVAKAIQPPPGVQLKCPPAVVAARAAISKTAFAKNLRPQPLSTKVLVIGAMGMAANIPLGIWREHTEKFSLSWFTAVHAAVPFIGMLRKSVLMPKTAMAFTIAASILGQVIGSRAERYRLKAIATKKVALTETSIDGHTREPVPPTKLILSETSVREPDQLTVGVRVGHCGEAGDWNRIAGPSSPARVLS >itb03g00470.t1 pep chromosome:ASM357664v1:3:231593:234556:-1 gene:itb03g00470 transcript:itb03g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARNLQRHSVRALTFLISSNPTQNAMKWQPIDGNFRPAFRGAKEAGLQFTSSGMWNCFDGARGMSRKSMRSKVEARLIKESGKTLRERRRASKLRKKLMTDEERLLYNLRRAKKKVALLLQKLKKYELPELPSPKHDPELLTPEQLQAYKKIGYKNRNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMASMLARLSGGIVVNIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALDSQKLNIKEYEQKLRKKGINPDDPVAMASIQRVASTFFNAIDKKEGTPYVFQGDKSNQVGASISNAEQQSDEESDQEELDRFIAEIEREADREWAAEEAAEKEELGKIRYWNQEDFGGRFKRSESNGSDESDNEMVGRTRNWNDKRGRKVVHDDSDEDGDGDVSEDDDGWDSDDTRGTSNYDTHNGEYKSHDKSSKQNIQSWPKHKTGKDRGRGQGNSNIYGKPKFDEKMSEEPASDDMLSDLENAMWESDDGDEQSIKESNDYRTKQMNKNERNGGKKRNVRKLKGMDDWDSD >itb04g11520.t1 pep chromosome:ASM357664v1:4:11170767:11179568:-1 gene:itb04g11520 transcript:itb04g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNIKPLPFLVWSSSYDGKHACQDLKMNWQLNYWGLRSRKFGVFCDSTEMQIRKCSPVLEHMLLSGNGLLTSSEWKTVPDIWKTAAEKFGNSVALVDRYHNPPTNMSYKQLEKEIVSFAEGLRVVGLNPDEKIALFADNSCRWLVADQGIMTTGAINVVRGSRSSVEELLQIYNHSDSVALAVDNPEMYSQIAESFQSRANVRFVILLWGEKSSIKSKVTDGLPIYSYTEILDLGNESHMTLIHPQEARHQYVYEPINSDDVATLVYTSGTTGNPKGVMLTHKNLLHQVTSFSDPVPVKPGDRFLSILPPWHVYERACEYFILASGSEQIYTTVKNLKVDLKRYQPHYLVSVPLVFETLYSGILKQINTSSTPRKLIALLFLRISLVYKEAKRIYEGKCLTKDPKQPSYVVSVLDWLWARAIAALLWPLHRLAKKLVYSKIHSNIGISKAGINGGGSLSPHVDKFFEAIGITVQNGYGLTESSPVVSCRRPNYNILGSVGHPIQYTEIKVVDDETGEVLSPGSKGLVKVKGPQVMKGYYKNPMATKQAIDENGWLNTGDLGWIVPHHSVGRSRNSSGVIVLEGRAKDTIVLSSGENVEPSEIEEAAMGSNLIQQIVVIGQDKRRLGAIVVPRKEEVLLAAKQLSVIDSNATEVSQEKTVTILHEELRKWTAGCTFQVGPILIVDEPFTIDNGLLTPTMKVKRDRVADLYKEQIDNLYK >itb04g11520.t3 pep chromosome:ASM357664v1:4:11170767:11179502:-1 gene:itb04g11520 transcript:itb04g11520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNIKPLPFLVWSSSYDGKHACQDLKMNWQLNYWGLRSRKFGVFCDSTLKEMQIRKCSPVLEHMLLSGNGLLTSSEWKTVPDIWKTAAEKFGNSVALVDRYHNPPTNMSYKQLEKEIVSFAEGLRVVGLNPDEKIALFADNSCRWLVADQGIMTTGAINVVRGSRSSVEELLQIYNHSDSVALAVDNPEMYSQIAESFQSRANVRFVILLWGEKSSIKSKVTDGLPIYSYTEILDLGNESHMTLIHPQEARHQYVYEPINSDDVATLVYTSGTTGNPKGVMLTHKNLLHQVTSFSDPVPVKPGDRFLSILPPWHVYERACEYFILASGSEQIYTTVKNLKVDLKRYQPHYLVSVPLVFETLYSGILKQINTSSTPRKLIALLFLRISLVYKEAKRIYEGKCLTKDPKQPSYVVSVLDWLWARAIAALLWPLHRLAKKLVYSKIHSNIGISKAGINGGGSLSPHVDKFFEAIGITVQNGYGLTESSPVVSCRRPNYNILGSVGHPIQYTEIKVVDDETGEVLSPGSKGLVKVKGPQVMKGYYKNPMATKQAIDENGWLNTGDLGWIVPHHSVGRSRNSSGVIVLEGRAKDTIVLSSGENVEPSEIEEAAMGSNLIQQIVVIGQVCTRPFQFPINSFRYHMYTLSCRTNGVLELLSYHAKRRYF >itb04g11520.t2 pep chromosome:ASM357664v1:4:11170814:11179502:-1 gene:itb04g11520 transcript:itb04g11520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNIKPLPFLVWSSSYDGKHACQDLKMNWQLNYWGLRSRKFGVFCDSTLKEMQIRKCSPVLEHMLLSGNGLLTSSEWKTVPDIWKTAAEKFGNSVALVDRYHNPPTNMSYKQLEKEIVSFAEGLRVVGLNPDEKIALFADNSCRWLVADQGIMTTGAINVVRGSRSSVEELLQIYNHSDSVALAVDNPEMYSQIAESFQSRANVRFVILLWGEKSSIKSKVTDGLPIYSYTEILDLGNESHMTLIHPQEARHQYVYEPINSDDVATLVYTSGTTGNPKGVMLTHKNLLHQVTSFSDPVPVKPGDRFLSILPPWHVYERACEYFILASGSEQIYTTVKNLKVDLKRYQPHYLVSVPLVFETLYSGILKQINTSSTPRKLIALLFLRISLVYKEAKRIYEGKCLTKDPKQPSYVVSVLDWLWARAIAALLWPLHRLAKKLVYSKIHSNIGISKAGINGGGSLSPHVDKFFEAIGITVQNGYGLTESSPVVSCRRPNYNILGSVGHPIQYTEIKVVDDETGEVLSPGSKGLVKVKGPQVMKGYYKNPMATKQAIDENGWLNTGDLGWIVPHHSVGRSRNSSGVIVLEGRAKDTIVLSSGENVEPSEIEEAAMGSNLIQQIVVIGQDKRRLGAIVVPRKEEVLLAAKQLSVIDSNATEVSQEKTVTILHEELRKWTAGCTFQVGPILIVDEPFTIDNGLLTPTMKVKRDRVADLYKEQIDNLYK >itb04g25660.t1 pep chromosome:ASM357664v1:4:30203629:30210235:-1 gene:itb04g25660 transcript:itb04g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLGFVIVIALTIVSICGAAIFRPISDSHRSAALELFTPSDGSYGSLEETYEALRTFDVLGIDKKADIKASTCKSVVDILSTPSSHLKDLFQALRVNGILKCELNDGVIARTASRLKEGVNSAKSLLDFYYSIGGLVLIKDLSSEIDVHLKDAKGVFHSIKALSQSDGRWRYNSNNPESSYYAAGIALETLAGVVSLASSKIEYSLIDMLKNDVSKLFDGIERYDDGAYYFDEKLVDAHGHQGPLSASSSIVRGITALATITGENLNLPGEKILGLAKFFLGIGIPGNEKDLYYQIDALACLEDNRVYIPLILSLPTTVLSLTRKDQLKVHVNTVLGSTAPPLSVRLKQVFVSGSKDASIVDQDLKFDPENKVHLGALPESIDVGSYIFSFEIVLHDPEHKKIYATGGRSKFPIYVTGVVTVDHAEITILDSDLGNVETKKKLNFAGEKSVSLQANHLQKLRLDFQLTTPLGHAFKPHQVFLKLRHESKVEHIFVVGNSGKQFELILDFLGLVEKLFYLSGKYDIQLTIGDSVMENSFLKSLGYVELDLPDPPEKAARPPPQPIDPSSRYGPKAEIAHIFRAPEKQPPRELSLAFLALVLLPFFGFLIGLLRLRVNLKNFPHAPVPATFASLFHIGLAAVLLLYLLFWLKLNLFTTLKALGILGMFLMFVGHRTLSHLASTSAKVKSA >itb04g25660.t2 pep chromosome:ASM357664v1:4:30203629:30210173:-1 gene:itb04g25660 transcript:itb04g25660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLGFVIVIALTIVSICGAAIFRPISDSHRSAALELFTPSDGSYGSLEETYEALRTFDVLGIDKKADIKASTCKSVVDILSTPSSHLKDLFQALRVNGILKCELNDGVIARTASRLKEGVNSAKSLLDFYYSIGGLVLIKDLSSEIDVHLKDAKGVFHSIKALSQSDGRWRYNSNNPESSYYAAGIALETLAGVVSLASSKIEYSLIDMLKNDVSKLFDGIERYDDGAYYFDEKLVDAHGHQGPLSASSSIVRGITALATITGENLNLPGEKILGLAKFFLGIGIPGNEKDLYYQIDALACLEDNRVYIPLILSLPTTVLSLTRKDQLKVHVNTVLGSTAPPLSVRLKQVFVSGSKDASIVDQDLKFDPENKVHLGALPESIDVGSYIFSFEIVLHDPEHKKIYATGGRSKFPIYVTGVVTVDHAEITILDSDLGNVETKKKLNFAGEKSVSLQANHLQKLRLDFQLTTPLGHAFKPHQVFLKLRHESKVEHIFVVGNSGKQFELILDFLGLVEKLFYLSGKYDIQLTIGDSVMENSFLKSLGYVELDLPDPPEKAARPPPQPIDPSSRYGPKAEIAHIFRAPEKQPPRELSLAFLALVLLPFFGFLIGLLRLRVNLKNFPHAPVPATFASLFHIGLAAVLLLYLLFWLKLNLFTTLKALGILGMFLMFVGHRTLSHLASTSAKVKSA >itb04g25660.t3 pep chromosome:ASM357664v1:4:30203629:30210235:-1 gene:itb04g25660 transcript:itb04g25660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTGMAIKLGFVIVIALTIVSICGAAIFRPISDSHRSAALELFTPSDGSYGSLEETYEALRTFDVLGIDKKADIKASTCKSVVDILSTPSSHLKDLFQALRVNGILKCELNDGVIARTASRLKEGVNSAKSLLDFYYSIGGLVLIKDLSSEIDVHLKDAKGVFHSIKALSQSDGRWRYNSNNPESSYYAAGIALETLAGVVSLASSKIEYSLIDMLKNDVSKLFDGIERYDDGAYYFDEKLVDAHGHQGPLSASSSIVRGITALATITGENLNLPGEKILGLAKFFLGIGIPGNEKDLYYQIDALACLEDNRVYIPLILSLPTTVLSLTRKDQLKVHVNTVLGSTAPPLSVRLKQVFVSGSKDASIVDQDLKFDPENKVHLGALPESIDVGSYIFSFEIVLHDPEHKKIYATGGRSKFPIYVTGVVTVDHAEITILDSDLGNVETKKKLNFAGEKSVSLQANHLQKLRLDFQLTTPLGHAFKPHQVFLKLRHESKVEHIFVVGNSGKQFELILDFLGLVEKLFYLSGKYDIQLTIGDSVMENSFLKSLGYVELDLPDPPEKAARPPPQPIDPSSRYGPKAEIAHIFRAPEKQPPRELSLAFLALVLLPFFGFLIGLLRLRVNLKNFPHAPVPATFASLFHIGLAAVLLLYLLFWLKLNLFTTLKALGILGMFLMFVGHRTLSHLASTSAKVKSA >itb04g20490.t1 pep chromosome:ASM357664v1:4:25250903:25255098:1 gene:itb04g20490 transcript:itb04g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSLVVDTARLVRDEEKILDLSDSYSSDSPTAKRYKEGKLLLSRWEFAAALGVFMVCLTGLFCIYLTMPAADYGKLKLPRNISDLRMLKDHLAMYADMYPMRFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVLRGLFLVVFNATAGASSCFFLSKLLGRPIVHSLWPDKLRFFQSEIAKRRDKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHIFFLATVIGLIPASFITVRAGLALGDLKSVKDLYDFKTLVVLFLIGSIIILPTLLKRKRIYE >itb12g05090.t1 pep chromosome:ASM357664v1:12:3370675:3374581:1 gene:itb12g05090 transcript:itb12g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQPPRQMGNRRRRRTVSTPPRVLLFLFLMQACCYFPATIGAADDAATASVPLVSSLLPSDAVSLLSFKSKADLNGRLLYTLNERFDYCEWQGVKCAQGRVVRLVLQGFGLRGIFAADTLTRLDQLRVLSLKNNSLSGTIPDLAGLPNLKTLFLDHNHFFGAFPPSILSLHRLLILDLSENNFTGSLPNELTVLDRLNYLRLDSNRFNGSIPALNQTELGVFNVSNNNLNGPVPVTPTLSKFTISSFSLNPNLCGEVVDKPCGASPFFNSPPATPVSPPRPLLQEAQSQGILPPPATQHRHKKTGVILGFVVGILVLIAAIVSLFTLIRRRKEEMEPKSTKLASDTGNHNMEDVMCSPQGGNDEEVKENKMQAPQPPLKSGNLMFSSGEAELYSLEQLMRASAELLGRGTLGTTYKAVMASQLIVSVKRLDAGKTSITGAEAFLQHMEAVGVLRHPNLVPVRAYFQAKQERLIIYDYQPNGSLFNLIHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQASKLIHGNLKSSNVLLASDFEACLTDYCIITLADVSPSDDPDSARYKAPEARKSTRRATSASDVYSYGILLLELLSSKHPSQHPFLSPPDMPEWVRAMREDDGEEDTWLGMLVEVASICSLTSPEQRPTMRQVLKMIQDIKEYNGCQ >itb01g01630.t1 pep chromosome:ASM357664v1:1:963846:964619:-1 gene:itb01g01630 transcript:itb01g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVFLRRSRKLLGNDEINNTPPPPPPPPSQGSFYWPPFPQVPLPPVVYNDFPTLPPPPPKQDSDQSLILTIALPILFATSLLSCGIRLMSLRAMRRSRRRAAGAAQPPQEGGGEIYSGLMVDHPIWYIRTVGLHSSVINAIAVCKYREAEALLGKPAECAVCLNIFQAEDNLRIMPKCNHAFHVSCIDTWLKSHQNCPVCRAVIELVDVQYPGAASPRLPGTPDREQGPETRNLEPRNNVAKDENGSESPQEQVR >itb13g17250.t1 pep chromosome:ASM357664v1:13:24255844:24260863:-1 gene:itb13g17250 transcript:itb13g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MAAASLSATSLSYFSLRCQARKPNPKRVLTNTRRPKSNHRQLKSGEFRPPTPLSTSAGDTATTFTRLPPKDDFCVSPPRNSEEIRLYESSIPFEVKQFRSEVDAEGTEFSEIDESEEHSGFDYGKFELYEVDSDNEFDGSDDEDDDEDDDDDLVIGYGDGVFEGEGAEVKEKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPAGGDGGRGGSVYVEVDGSMNSLLPFRQTVHFRAGRGGHGQGRKQFGAKGEDVVVKVPPGTVVRESGNGGEQGNVLLELLHPGQRALLLRGGRGGRGNASFKTGVNKAPKIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTFLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHSERCSVLVHVVDGSSEQPEYEFDAVRLELEMFSPELAEKPCLVVYNKMDLPEASESWLSFRDSLQSRGFEPFCMSAVKKEGTHEVICAAYELVRKRRTAAEEEVGPENLNYVADTLQKEKNAPISEFEISHDDSSNTWHVVGSGLQRFIQMTNWRYIDSDRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMVWNDGPSNSGPTSRRKWATERIQ >itb13g17250.t2 pep chromosome:ASM357664v1:13:24255844:24260863:-1 gene:itb13g17250 transcript:itb13g17250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MAAASLSATSLSYFSLRCQARKPNPKRVLTNTRRPKSNHRQLKSGEFRPPTPLSTSAGDTATTFTRLPPKDDFCVSPPRNSEEIRLYESSIPFEVKQFRSEVDAEGTEFSEIDESEEHSGFDYGKFELYEVDSDNEFDGSDDEDDDEDDDDDLVIGYGDGVFEGEGAEVKEKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPAGGDGGRGGSVYVEVDGSMNSLLPFRQTVHFRAGRGGHGQGRKQFGAKGEDVVVKVPPGTVVRESGNGGEQGNVLLELLHPGQRALLLRGGRGGRGNASFKTGVNKAPKIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTFLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHSERCSVLVHVVDGSSEQPEYEFDAVRLELEMFSPELAEKPCLVVYNKMDLPEASESWLSFRDSLQSRGFEPFCMSAVKKEGTHEVICAAYELVRKRRTAAEEEDAVGPENLNYVADTLQKEKNAPISEFEISHDDSSNTWHVVGSGLQRFIQMTNWRYIDSDRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMVWNDGPSNSGPTSRRKWATERIQ >itb10g03140.t1 pep chromosome:ASM357664v1:10:2820380:2821545:1 gene:itb10g03140 transcript:itb10g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Precursor of CEP14 [Source:Projected from Arabidopsis thaliana (AT1G29290) UniProtKB/Swiss-Prot;Acc:Q52K95] MARSSSYVFLIAVLLVFSSLVSSIESRKMLSADFHEETKNIPSMADDRLFKNALPKGTVPASSPSKKGHADTVDEKLVARHLAAVDDHRFLRSVPAPGIGN >itb15g13260.t1 pep chromosome:ASM357664v1:15:11226440:11228275:1 gene:itb15g13260 transcript:itb15g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSSGVVKGGKKKGATFFIDCSKPVDDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKNNVRDWLRVIASNKDRSVYELRYFNIAENDAEDED >itb15g04460.t1 pep chromosome:ASM357664v1:15:2821408:2823056:-1 gene:itb15g04460 transcript:itb15g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICGIMEYITFSSIFLPLLILVVVVITRQRLTNRRKKSKLPIPPGPKPWPLVGSFPEIFRSGKPAFRWIHNFMDEMKTEIACIRLGGTYVIPVTSPELAREFLKKQGSVYSSRPVCMSADLISNGYQSSIFLPPGDKWTKMRKILQSHVLSPAALHWLHDERAEEANYLVKYIYKMHAVNVRIVSRQYCGNVISKMVFNKRFFGNGEQDEEEEELLKAMFALLQTLYGLGVSDHIPWLSIFDIDGYKSIINKAMSVMRKHLDTEVDKRVQMWKDGIKTVEEDILDVLLMLKDNAGKPLLSDTEIKTQVLEMMLATTDNPSNAVEWALAEMINQPKLLEKAVKEIDSVVGKERLVEESDLPNLNYIKACIKEGFRLHPVAPFNIPHVSLADTAVGGYFIPKGSQVLLSRVGLGRNAKAWEEPLMFKPERHLKEDGGEVDLNDSELNLLAFSTGRRGCPAVKLGSLMTTMLMARLLQGFTWNLPPTLPCISLTQAKHDLFLENPLVALVKPRLPHNLYL >itb03g08680.t1 pep chromosome:ASM357664v1:3:6514976:6515630:-1 gene:itb03g08680 transcript:itb03g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDPQEVADRDKIFRHFDTNGDGKISSTELGDALRTLGSVTTDEVQRMMDELDTDGDGFISFDEYLTFARANRGLIRDVAKIF >itb01g15110.t1 pep chromosome:ASM357664v1:1:17405079:17406660:1 gene:itb01g15110 transcript:itb01g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGGTFPAADAEIELNPENNKEFQVDSVPSKLSSNAENKEREEAAKKAKEKERKDAAMQTLKTTIIVSAVVVAVAGTIFAITKKLREK >itb01g14310.t1 pep chromosome:ASM357664v1:1:16057183:16058270:-1 gene:itb01g14310 transcript:itb01g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKRPRNLPSGSNSNLFMDIIREQALPLLPAKSPFRFLAVCRDWKLNISAPSFHHNQSLSCRGISGLFCQDSDNCIVFIPFHPKSSGVPDPSLSFLPERVDIRASSNGLLCCQGRNENRYYYLCNPVTKEWKMLQKPTARHGSEAALVLIFEPSLLNSVPEYKLICAFQSADLMMQLNLIYTPPKIILGMFLGSFALELEKLLWGLVFMSMVLFTGLWLYKVYNHDDYVLVRVLVNIHKNTMPLVDSDIWETIHVVYHDDTDIPFDEEDFDSKVVAVRRDILVVDCGNTLYSYDFENKETIPLSEPAEPYYKICVPYVNSLVSL >itb15g24200.t1 pep chromosome:ASM357664v1:15:27050359:27054145:1 gene:itb15g24200 transcript:itb15g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRSTSSSFLFQQFRIRAGGITMVKPSLSLNSKTKKANLSATKNERIKLPTYSNGSQEAFHISKFLSHPSGIEAILNKRALQSLQSLNSNLYRCTLPQIQFLNFEVAPVLILQVTSSSEDCRVEMLSCKFEGSEVVERQNEHFSASMRNYITWETIDSEPFLNIDVKLDLSLEIYTQPLTLLPVSAVERPGNIMMQALVDRLVPLLLQQLLHDYDQWVRTQHLP >itb15g24200.t3 pep chromosome:ASM357664v1:15:27050281:27053441:1 gene:itb15g24200 transcript:itb15g24200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRSTSSSFLFQQFRIRAGGITMVKPSLSLNSKTKKANLSATKNERIKLPTYSNGSQEAFHISKFLSHPSGIEAILNKRALQSLQSLNSNLYRCTLPQIQFLNFEVAPVLILQVTSSSEDCRVEMLSCKFEGSEVVERQNEHFSASMRNYITWETIDSEPFLNIDVKLDLSLEIYTQPLTLLPVSAVERPGNIMMQALVDRLVPLLLQQLLHDYDQWVRTQHLP >itb15g24200.t2 pep chromosome:ASM357664v1:15:27050281:27053400:1 gene:itb15g24200 transcript:itb15g24200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRSTSSSFLFQQFRAGGITMVKPSLSLNSKTKKANLSATKNERIKLPTYSNGSQEAFHISKFLSHPSGIEAILNKRALQSLQSLNSNLYRCTLPQIQFLNFEVAPVLILQVTSSSEDCRVEMLSCKFEGSEVVERQNEHFSASMRNYITWETIDSEPFLNIDVKLDLSLEIYTQPLTLLPVSAVERPGNIMMQALVDRLVPLLLQQLLHDYDQWVRTQHLP >itb06g03670.t1 pep chromosome:ASM357664v1:6:6110823:6111392:1 gene:itb06g03670 transcript:itb06g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPRYYCRTCKRHWTHGGIQRDIPSGGRSKKGKRFTTSHENQRVQLALPSPPQLQPLSLLPNLTPLAFISPMVSPMMTPHDTSGGFLPPMVEKAINQPTQLQAENGYLNWVNPLNIVDQSFLHLTTRHSDSCMATFDLNNSGASSSNILSVDASMGKIPTTDGGTNVSSDSLFVDIDEWLDFSSDLAH >itb07g06330.t1 pep chromosome:ASM357664v1:7:4473666:4479801:-1 gene:itb07g06330 transcript:itb07g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVQVSGFPYLVTAEEAKTCIEKHTGAGSVSALEVKKCRTGQRSYAKVQFGNNRIADQIISLANNRKLYFGTSYLKAFEMDTRIVQDRSYIHEMESLTLYFGCQTSKERFYSIWRGGIVSIKFGYGLKKMHLFLSYLSTEYKLQLSYEHIWEIKLYRPHHRNVKFLVIQLFAAPRIYKKAEDSIYNFYAITPDEQWVRTTDFTRNCIGQSSSLCLEIPAGVTLPKFRDHFVYYSETDSQFSVESGKPFSHNLDLVPILRSPQEVNLPFKVLFKICSLVQQGCLPGPALNTNFFRLVDPFTVNIGCIEYALEKMYYLKECCYDPVRWLTEQYEQYHKFKQPPKSAAISLDDGLVYVRRVLVTPLRVYFSGPEVNQSNRVLRHYPDDIDNFLRVSFVDEDWEKIHSMDLSPRTSSPSGKTNIYDRILKVLRDGIVIGDKRFEFLAFSSSQLRESSVWMFASRVGLTAADIRAWMGDFRKIKNVAKYAARLGQSFGSSRETLSVARHEVEMIPDITIQRQGTEYNFSDGIGKISADFARRVAIKCGLEDFAPSAYQIRYGGFKGVVAVDPCSSKKLSLRNSMLKYESDNIKLDVLAWSKYQPCYLNRQLVTLLSTLGVRDEVFEKKQSEAVAQLNAILTDPLKAYEALELMAPGENTNILKEMLTCEYKPDVEPFLSMMLQTFRASKLQDLRTRTRIFVPQARSMMGCLDETGLLNYGEVFVQYSGSGPRQLPVGPQLYEPRNYNRIFQGTVVVAKNPCLHPGDVRVLRAVNVPALRHMVDCVVFPQKGERPHPNECSGSDLDGDIYFVCWDQELIPRSMEVPMDYTPAPSMDVYHDVTIEEVRKYFVDYILNDSLGIISNAHVAFADKEPLMAKSQPCLKLAQLFSIAVDFPKTGVPAEIPSDLRVKEYPDFMEKPPEKVTYVSTRVIGKLFREVKDITPEVSSIKSFTLEIAMRSYDPDLVVDGFEQYIDEAFYRKNEYDFKLGNLMDYYGIKTEAEVLSGGAIRASKFFDRRRDADAVSFAMKSLRKEARAWFKVGSEYDDQLAKASAWYYVTYHHTYWGLYNEGMNRPHFISFPWCVYDKLIQIKKDKIDKRNKIARALGSLRLG >itb06g03290.t1 pep chromosome:ASM357664v1:6:5568742:5573156:1 gene:itb06g03290 transcript:itb06g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERTGDYSDDCSVSVVAFTSCLSRRRRRRRNGGRRCPGAAAYLSPSWFFARWRKSKDEKKAPAKVLDGKSPLPLQLVDSGGQKEASFNLGIGLGLMYAIAGCRNELNKIADLRLQFETLIQTYKAQNQQLSTPSDVSSLNNLSRFFNSDRHENFKDNGDCCGRHMKCNKKMSRRRNVGSSEMMDELEAELVAELGRLQLDLDSDVTSQHSTRYNEVIIVEENEGRQNGSFGGVEDVEIETTYEEYYEKAVSPYELEIRLHEVLEERQQERIEELESALESAMEKLQQKDEELSWWKDTANLISQHVPNFLRRSATTK >itb03g26900.t3 pep chromosome:ASM357664v1:3:26564542:26568920:1 gene:itb03g26900 transcript:itb03g26900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEAEAEEVERRRKLEEALEVKALRRIISAFINYPEASEEDIKRYERSFRKLPQAHKVILSHLPLKFQKLRWCIAQNSYFIFEMLKAFEPPLDLSQDTDICEDQHLDNITTRCCGLNCKESVKPSSEEHGGGISGTCVAIKEEKIEHSNELNDVSLSSGLKHQNNTNSVGDDWCKEFQDLGKQFPSASCNENISSSSPGWLSPLSQSHVPLVDVDKVRCIIRNIVRDWAAEVFVVLHPFHSSLLLQSLCPIHFV >itb03g26900.t2 pep chromosome:ASM357664v1:3:26564542:26568920:1 gene:itb03g26900 transcript:itb03g26900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEAEAEEVERRRKLEEALEVKALRRIISAFINYPEASEEDIKRYERSFRKLPQAHKVILSHLPLKFQKLRWCIAQNSYFIFEMLKAFEPPLDLSQDTDICEDQHLDNITTRCCGLNCKESVKPSSEEHGGGISGTCVAIKEEKIEHSNELNDVSLSSGLKHQNNTNSVGDDWCKEFQDLGKQFPSASCNENISSSSPGWLSPLSQSHVPLVDVDKVRCIIRNIVRDWAAEVFVVLHPFHSSLLLQSLCPIHFV >itb03g26900.t1 pep chromosome:ASM357664v1:3:26564582:26568920:1 gene:itb03g26900 transcript:itb03g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTEAEAEEVERRRKLEEALEVKALRRIISAFINYPEASEEDIKRYERSFRKLPQAHKVILSHLPLKFQKLRWCIAQNSYFIFEMLKAFEPPLDLSQDTDICEDQHLDNITTRCCGLNCKESVKPSSEEHGGGISGTCVAIKEEKIEHSNELNDVSLSSGLKHQNNTNSVGDDWCKEFQDLGKQFPSASCNENISSSSPGWLSPLSQSHVPLVDVDKVRCIIRNIVRDWAAEVFVVLHPFHSSLLLQSLCPIHFV >itb10g19830.t1 pep chromosome:ASM357664v1:10:25517066:25518524:1 gene:itb10g19830 transcript:itb10g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGKAEGAIEMFEAQAHIYKHACNYVNSMVLATAIQLNIPDIIHTHGKPITIPNLVSALKLPPHKSNAIFRIMRLLTHNGFFDSTKLNEEEDGYVLTASSRLLLKSQIPNLSPFALFAVDPVMLAPWMVLGDWFSRNEESSAFETAHGAPMWEFCDTNSRFNNVFNEGMASDSQMMRLIVKDCEQAFEGVRTLVDVGGGTGMIAKLILEAFPRLKCTVLDLPHVVAKQTECENLGFLGGDMFHSIPSADAIMFRHIMHDWSDEECVKILKKGREAIMANKNDGVKKGKVIIIDMVLGAEEDNERDVTEMKLIFDVLMMVLVTGKERTEKEYENLFTQSGFTHHKITPIFGLTSLIEVFP >itb07g15650.t1 pep chromosome:ASM357664v1:7:18674438:18677725:-1 gene:itb07g15650 transcript:itb07g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTIRFFPKSQFPFSIFFCSPSTSAAALAVAHDEPSNPTASSSQFFPTKNEAVSKLLLFLFSTVSIKAPSITKLRGDPPLEAWVSGVNASEMEGIVELLRRKKPQLALDFFFLSKNKYGFKHSMSCHLIIAHVLAGRQRLRGLKFHLQEMVKEEGSGSAPALCELLQNNFDYWVAHNVVWNMLAFAYSTSEMVADALFVLSKMKDLNLQVSIRTYNSVLQCLTDVYNEIKASQSEYTNSILINGLCRPILVRESGISVVCFNSIMSAFCKMGLVDVATSFFCMMFKCGIHPDVCSYNILIHGLFIDGSMEEGLKLASDMEVHGLEPDLVTYNIIAKGFHLLGMMSEGSNIITHMLQRDILPNIVTYTTLISGHCQIGNVSEAFRLMDEMRSRGIQIPEITYSMLLHSLCKSGFLDDALNLFHEIEADGLEPDIVMYSILIHALCKQGRVHKAVQLYKEMCLKKINPNSFAHRSILFGLCENGLIKEARKYFDVLTNDDLMDDIVLYNIMIDRYVKHGDVREAGRLYEYILKRGITPSTITFNSMIYGLCKARKVRNLLEARELIKNIYSNGLVPSAVTYTTFMDAFYEEGNIKAVFEMLSEMEAKHIEPTCVTYTVIIKSYCKIGRLQKSVQILKDMFAKGLSPDGQSFNTVIQGFSQAGDMKNAFCLHNEMLRHNLQPSHVTHNILINGLCMFWDLRVADTFFSFLQDQNMGLSKQAYTTLIKAHCAKGDAKKAMLLFQQMVENGFEVSIRDYIAVINRLCHEEGISDKTSTAPATLLVKFNAETL >itb13g16740.t1 pep chromosome:ASM357664v1:13:23672040:23677213:1 gene:itb13g16740 transcript:itb13g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGQDGDTGIMVTTFYLESICEKMWRMRDLVKMVLEVADRNWRFDSRALNVVKKDCINTIPVRIFPIWPFCTILRSSACFSTSIATQASPSELSGRILNEIISKIVESPSSGYEICYTYTHKLCSSGNLQGAAMLMQSLHDKNIFLGQSAYNCLIKAAGEQNDIDLLCQIFKHFLVCRKSMDSTSFTTLAKVFTMKNDDAYLLRFVREVSELIFPRNATVMNRIIYAFAQFRQVNKAMLIFGQMKSLQCKPDLVTYNTVLGILGQTGRIGEMLHVFASMKEENLVPDIISFNTLVNGFRKVGRLELCETYFKEMLEKGIEPDLQTYTALIDSFIRSGNTEESLRLFNEMKHKGICPSVQIYQLLISNLKKMGKLKLAEAFSQEMKASLSSLVDPKDFKRKKR >itb03g06290.t1 pep chromosome:ASM357664v1:3:4636027:4636818:1 gene:itb03g06290 transcript:itb03g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNHIRSSSFPSISHPSIQKVEEELNKLKTLHVSSIPEAATICTGLFGLEQLYTCIDDLLILPQTLQAITQYQNKKWVDDLLEKSVRLLDTCSLARDCISQLKEHLRDLQSSLRRRKGDSSTEASITRYTSFIKKMNKDAKKSISALKKMDDEIDGSTALDVNHDISAVIRSLREASAVSISIFQFVLHFLSVPVLKPKPSRWSLVSKLVQSGTVACEYQHDNTCNLETLEAQLEAIENQMESIFRCLIKLRSSLLNIISC >itb09g18920.t1 pep chromosome:ASM357664v1:9:15002482:15003608:1 gene:itb09g18920 transcript:itb09g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSEISDGSTFPIKTVVVLVQENRSFDHMLGWMKSLNPEINGVTGNESNPLPTSGGAQSSTNDVVLFGNKSQYVDPDPGHSIQDIYEQIFGAPWSQDSAGEKLEASMQGFAANAERNEEGLSGAVMNGFVPEAVPVYKELVREFAVCDRWFASVPASTQPNRLFVHSATSHGATSNDTNKLIQGFPQKTIFESMDEAGYSFGIYYQYPPSTLFYRYVRILIN >itb02g05270.t1 pep chromosome:ASM357664v1:2:3159067:3161566:-1 gene:itb02g05270 transcript:itb02g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEKAETNDAVNNEERLGSGDEVGEFQDVNLEPPADMEFESNEEALTFYKEYARTMGFETAIQNSRRSKALGEFIDAKFACSRSGTKRGNGKSAKAPGSSQDPENAEGRSKKTDCNAYMHVKRRSDGRWIIHRFEKEHNHELSPPQAVSESVKNAVKQNEEEFMVKFEECIYRPWTDEEFEERWQNLVDMFELKENELKQDEKGSITYRIKDCEKDQDFMVIVNEDKPEEVSCTCHLFELKGYVCRHVMVVLQIRGISTIPQQYVMKRWTKNAKNRYPLLAESGENKSRVQRYNDLCQRAMLLSEEGSLSQETYTSALHALDEAYGNCVGALTSSTPDLLVEGDNQTSKTNKKKSNPTKKRKVTSEEQDVMIAGGAPDNFAQMDMLTSTPMTLDDYCPQLPQGVQGMLNMMAPNYGSQQTMQEEP >itb13g20850.t2 pep chromosome:ASM357664v1:13:27573938:27579996:1 gene:itb13g20850 transcript:itb13g20850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLELRMNPQMEQIHGEIRDNFRALANGFQKLDKIKDSNRQSKQLEELTGRMRECKRLIKEFDREIKDEESKNPPELNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKLELFDMGAGGSEPTADENVQLASGGRSSLLLPDFYLQLKTIDESDIRRAFLPSHVVCPSEMSNQELINAGMKKMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTEQMGRIVNELDTIQFSIKKATQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPARRLLYVKPGQDFE >itb13g20850.t1 pep chromosome:ASM357664v1:13:27573938:27579996:1 gene:itb13g20850 transcript:itb13g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLELRMNPQMEQIHGEIRDNFRALANGFQKLDKIKDSNRQSKQLEELTGRMRECKRLIKEFDREIKDEESKNPPELNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKLELFDMGAGGSEPTADENVQLASEMSNQELINAGMKKMDETDQAIERSKQVVHQTIEVGTQTATTLKGQTEQMGRIVNELDTIQFSIKKATQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPARRLLYVKPGQDFE >itb14g13120.t2 pep chromosome:ASM357664v1:14:15027313:15031468:1 gene:itb14g13120 transcript:itb14g13120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFALYGTAIANDPKLQLSSSFTGFNLKKSSSNSLLLTKRFRVSPYLSHSVPPITSSIRAVKTPVSPQTSAEPKRSKVEIFKEHSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYDRDERGTRSYSFMLRTKNPAGKVPNKLYLVMDDLADEFGIGTLRLTTRQTFQLHGVLKKDLKTVMGTIIRNMGSTLGACGDLNRNVLAPAAPFTSESYLFAQQTAENIASLLAPQSGFYYDVWVDGERFLSAEPPEVVMARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPGDNSVDLFTNDIGVVVVLDDEGEPQGFNIYVGGGMGRTHRVETTFPHLAEPLGYVPKEDVLYAVKAIVVTQRENGRRDDRKYSRLKYLLSSWGIKKFRTVVEQYYGKKFEPCRALPDWEFKSYLGWHDQGDGTFFCGLHVDSGRVKGEMKKTLREVIEKYNLDVRITPNQNIILCDIQRSWRRPITTALAQGGLLLPRYVDPLNLTAMACPAFPLCPLAITEAERGIPGILKRVRVMFEKVGLKYHESVVIRVTGCPNGCARPYVAELGLVGDGPNSYQIWLGGTTNQTSLAKPFKDKVKVQDLEKVLEPLFYHWKRKRQSRTEAFGDFTNRMGFQTLHKLVDKWDGVPVTSRYGLKLFADKGTYEALDELARLQNKKANELAIEVIRNFVASQQNGKGNIPKP >itb14g13120.t3 pep chromosome:ASM357664v1:14:15027313:15031416:1 gene:itb14g13120 transcript:itb14g13120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKNPAGKVPNKLYLVMDDLADEFGIGTLRLTTRQTFQLHGVLKKDLKTVMGTIIRNMGSTLGACGDLNRNVLAPAAPFTSESYLFAQQTAENIASLLAPQSGFYYDVWVDGERFLSAEPPEVVMARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPGDNSVDLFTNDIGVVVVLDDEGEPQGFNIYVGGGMGRTHRVETTFPHLAEPLGYVPKEDVLYAVKAIVVTQRENGRRDDRKYSRLKYLLSSWGIKKFRTVVEQYYGKKFEPCRALPDWEFKSYLGWHDQGDGTFFCGLHVDSGRVKGEMKKTLREVIEKYNLDVRITPNQNIILCDIQRSWRRPITTALAQGGLLLPRYVDPLNLTAMACPAFPLCPLAITEAERGIPGILKRVRVMFEKVGLKYHESVVIRVTGCPNGCARPYVAELGLVGDGPNSYQVYHFVTISYI >itb14g13120.t1 pep chromosome:ASM357664v1:14:15027313:15031352:1 gene:itb14g13120 transcript:itb14g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKNPAGKVPNKLYLVMDDLADEFGIGTLRLTTRQTFQLHGVLKKDLKTVMGTIIRNMGSTLGACGDLNRNVLAPAAPFTSESYLFAQQTAENIASLLAPQSGFYYDVWVDGERFLSAEPPEVVMARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPGDNSVDLFTNDIGVVVVLDDEGEPQGFNIYVGGGMGRTHRVETTFPHLAEPLGYVPKEDVLYAVKAIVVTQRENGRRDDRKYSRLKYLLSSWGIKKFRTVVEQYYGKKFEPCRALPDWEFKSYLGWHDQGDGTFFCGLHVDSGRVKGEMKKTLREVIEKYNLDVRITPNQNIILCDIQRSWRRPITTALAQGGLLLPRYVDPLNLTAMACPAFPLCPLAITEAERGIPGILKRVRVMFEKVGLKYHESVVIRVTGCPNGCARPYVAELGLVGDGPNSYQIWLGGTTNQTSLAKPFKDKVKVQDLEKVLEPLFYHWKRKRQSRTEAFGDFTNRMGFQTLHKLVDKWDGVPVTSRYGLKLFADKGTYEALDELARLQNKKANELAIEVIRNFVASQQNGKGNIPKP >itb13g18770.t1 pep chromosome:ASM357664v1:13:25674137:25674677:-1 gene:itb13g18770 transcript:itb13g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYHVNPSFLLLFFITLSPAVINMVQTEARNLLEITLPQLPFPEIPTILPKPEIPSLPEIPKPELPSLPKPQLPEIPHPELPSIPKLPEFPEIPKPELPAFPIPSKP >itb02g09760.t1 pep chromosome:ASM357664v1:2:6259274:6261203:-1 gene:itb02g09760 transcript:itb02g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQQFCVVSVLAIAFFNSVISSNQEQFSAIGDPGMRRDGLRIAIEAWNQCNEVGEEAPEMGSPRQADCFDIDNSTSPISLIHRVTERENKLGISDAFYQGLWTTTVDQYAAWKELYLGDKCEVKDEPKPWQFWMIMLKSGNMDTMAALCPKNGRKVFLPTPETGFPCFGKGCMNMPQIYHNYTTIQEGKLKGSFYGTWDLDNDDIEKARTDKNSSYSYYAVTWEKEVEKGSWNFHHYLKTSKKYPWLMLYLRSDATVGFSGGYHYQTRGMLKRVPESPNFKVRFTLEVMHGGGPRSQFYLMDIGSCWKNNGHPCSGDVTSDVTRYSEMILNPSIDSWCNPQSLGSCPPYHTLPNGTRIHRTDKDNFPYEAYHLYCSPGNAEHLEEPYNLCDPYSNPQPQEILQILPHPVWGVYGYPTKRGEGWIGDPRTWELDVGKLSDSLYFYQDPGTSPAKRSWPSIDLGTEIFISENEHAEWRVGDFDIIIWNSDN >itb15g09670.t1 pep chromosome:ASM357664v1:15:6928552:6929543:1 gene:itb15g09670 transcript:itb15g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITRLCSVMHGLLSVFFSAWLPHALKITLLSAIIAASACAHAITDYKYNAMIKKRTSTFVYRQKKQHYYLSRRPAPPGTAEPLECAICITEFSDGEVGMELEKCGHRFHAACMEKWVAHGTGSGSCPLCRAPVAREGAVEEHRKAKSEGRLLSNAFREELGLLLLSGLRGVSCCQGHNITADCKLTASRNW >itb05g02320.t1 pep chromosome:ASM357664v1:5:1863934:1868524:1 gene:itb05g02320 transcript:itb05g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHHAQRGITFRSLNLHHATPLNRRPFHPPEIQHRRDFPRHLRFSVRCGDARTESDSSSEATRRRRRDVLVTPLLVLGASVLRSAVARADEKPQESTAPQSAAEAPSAVPKAEEKEEVITSRIYDATVIGEPLAVGKDKRKVLEKLMSGRIVYLGEAEQVPTRDDKELELQILKILQKRCAEEERPISLALEAFPSNLQEQLNQYMSGRIDEESLRSYVTHWPPERWQEYEPLLTYCRDNGVRLVACGLPLQVQRTVQAEGIRGLPKADRKKYAPPAGSGFISGFTSISRRASIDIKLPNQSTPFESSSYFSTQARVVEEYAMSQTILQAVADDGNTGLLLVVTGATHVMYGSRGTGVPARISRKMQKKNQIVILLDPERQQIRREGEVPIADFLWYSAARPCSRNCFDRAEISRVMSAAGRRREALPQDLQQGLDLGLVSPEMLQNFFDLEQYPFISELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFEEIDYVITDTFRGIVVDFFTVWLPAPTISFLPVTNDVDVPESMEAFKGLLNSIPDNAFQKSLAGKDWGLSHRVASVIIGGFKLAGVGFISSIGAVASSNILFGVRKILNPSLATELRNKRSPILKTALVYSSFLGISANLRYQIIAGVVEHRISDQFSDQTLLVNMLSFVARTINSFWGTQQWIDLARFTGLQARTTEPDPLLKPESSNAAVGCNIAADDTNIDEIKNQ >itb12g13980.t1 pep chromosome:ASM357664v1:12:13247753:13255928:1 gene:itb12g13980 transcript:itb12g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKFSLSGPSKDLWIAVREGSVGEVDSCLTFLKKNGGNINARNPFGLTPLHIATWRNHIPIVNRLLAAGADPNARDGESGWSSLHRALHFGHLAVASVLLQSSASITLEDLKSRTPIDLLSGPVVQAVDKDSSLATEVFSWGSGVNYQLGTGNAHIQKLPCKVDSLHGSVVKLVSAAKFHSVAVTSRGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGARRVKAIAAAKHHTIVATEAGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLKSRVVAVAAANKHTAVVTDLGEVFTWGCNKEGQLGYGTSNSASNYTPRVVEYLKGKVFIEVSAAKYHTIVLGSDGEVFTWGHRLVTPRRIIVGRNVKKVGSTTMKFHRKHVVAIAAGTMHSIVLIDDGALFYWVSADPDLQCRQLYSFCGRDLVSISAGKYWTAAVTVTGDVYMWDGKKDKDKPPSVTRLHGVKKATTVSVGETHLLIISSLYHPVYPSNTMNDPLKLKSRIKCEIDELDEGFMFDDRESNEVLSTVHKEDEKNKCVPSLKSLCEKLAAEYLVEPRSAIQLLEIADSLEADDLRKHCEDIAIRNLDYILTVSGQAFANISLDILVRLEKLLDLKSSEPWSCRRLPTPTAPFPAIMNSEEETSDNESLRMREYSKKRIISKEEGDKRLDNFLQSYDAKEGISKQVRALRKKLQQIEMLEEKQSKGQTLDAQQIAKLQTKSALEHSLSELGVPIETLQTTTSISVTGKPTKELEASRRQRRKNKQKPSPLEVKPSNCEIIAEPSPERGCLAADISQANYKEDKHLEGAASPATDQDANESPFCLKKGLSCSPKGTKASPVVNKKKNRKGGLSMFLSGALDDVPKVEVPPPISPKVEGPAWGGAKIAKGSTSLREIQNEQSKIKQTILVKSQDHLEELSDGSNSGRVQLSSYLSSSPVAVASARTTQVSDVERNTPPWATSGTSPLSRPSLRDIQLQQVRHYHGLSQSPKAKIAGFSLMSGQGSPSDSSGLNRWFKPEVEMPSSIRSIQIEERAIKDLKRFYSSVKVVKNQS >itb12g17810.t1 pep chromosome:ASM357664v1:12:20010795:20018724:-1 gene:itb12g17810 transcript:itb12g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSETVPKFTAEALRAAAKQSEACRVVPLRLRRAIKKYIQEQEDPHMKRNVLRLSESFSHIKEVNLLLPTTTSKEIVEDPLKSVGCSKRWKIQSAYGDIGLRYQEDEAIAYVASRMPAVYSALYRVLSEVRRRVPDFSPAKVLDFGAGTGSAFWAMREVWPRSLQKVNLVEPSQSMQRAGQGLTRGLKNLPLIHSYSSLQALSQSIKKSNRQHDLVIASYVLGEIPSLKDRITVVRQLWDLTGDILVLVEPGTPQGSNIISQVRSHILWMERRRCRKLKESSDKSCKALTTRKSGAFIVAPCPHDGPCPLEKSGKYCHFVQRLERTTSQRAYKRSNGGSLRGFEDEKFSYVVFRRGQRPRQVLVQMYITLFIIVSELL >itb10g19600.t2 pep chromosome:ASM357664v1:10:25414637:25417596:-1 gene:itb10g19600 transcript:itb10g19600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSSLLGVLILLASIGYAAACYSAVFGFGDSLTDAGNLIRLEPDGTVPHMYFPPYGETYFDKPTGRCSDGRLIVDLIAQHYGLPLPPPSIPASLEGDKNRLRAGVNFAVVGSRALDAQFYEQRDIFDTVTNVSMTDQLNWFKELLPSLCSSPHDCKELLDGSLFVLGEFGGNDYTHSLLSGKGINVIRPFIPIVTRAIAQAVHELVELGARTVMIPSVLPLGCAASYLTYYPSPNPDDYDELGCLIWVNEMASYHNQLLQQQLANVREIHPYANIVFADIYNAAMEVYQGPDTYGKFLSSL >itb10g19600.t1 pep chromosome:ASM357664v1:10:25413984:25417624:-1 gene:itb10g19600 transcript:itb10g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSSLLGVLILLASIGYAAACYSAVFGFGDSLTDAGNLIRLEPDGTVPHMYFPPYGETYFDKPTGRCSDGRLIVDLIAQHYGLPLPPPSIPASLEGDKNRLRAGVNFAVVGSRALDAQFYEQRDIFDTVTNVSMTDQLNWFKELLPSLCSSPHDCKELLDGSLFVLGEFGGNDYTHSLLSGKGINVIRPFIPIVTRAIAQAVHELVELGARTVMIPSVLPLGCAASYLTYYPSPNPDDYDELGCLIWVNEMASYHNQLLQQQLANVREIHPYANIVFADIYNAAMEVYQGPDTYGFSGGALRACCGGGGPYNFNTSAQCGNEGATACDNPSSYVNWDGYHLTEAAYQLITTGLLEGPYTYPRMKSLCRFDSSKTSRVAQI >itb01g26120.t1 pep chromosome:ASM357664v1:1:31359204:31362023:1 gene:itb01g26120 transcript:itb01g26120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATELAPKAMCESKGPIFGGAEPIDGDVVDAIQSRVKKKRKKRKKKEESESGTSSNSMDPLEVFGTDIMLMILSHLDARSVALSLLVSRRWNGVASSDTIWGPKCEELWLGKAHIPRISKLRGLAKLAVYSLAVVDGKRARIMRDDLCDHAWEFHFTEAAPEFWRNLDPYWNGTGPPLRRYFHPDGSQTADPHDQLWGGHEACYCVVTSYLANDKIRQHYVRVNRWPQLYVSRKLDWRWEMSNKLCIYTSIPDPDKPDGTGPLFPVF >itb07g23050.t1 pep chromosome:ASM357664v1:7:27525677:27528139:1 gene:itb07g23050 transcript:itb07g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFEKLSSLQAFLQEKSRGSGAAIQDLEIQIRDFALNAEDRIEIQLSNFLLSKNREDQQKAAQKLHQTLIEAAENAAHLLKIINESDDESNECQSLIPWSLDTSLRLQPPKLEGKMVGRRHDYLLVKNQLLYSDQRRVILIVGMNGIGKTTLAASVYEDSSVASHFDVRAWITMSGEYNVRQTLHDLLLTLVEPDHETRKRTTLDDDDDLLAKQVSKCLKGKRYLIVLDNLWNNRVWDDIQGYFPNDNNGSRIVLTTTHFDQGSYTSLDCIHNMALLDSKESWDLFCSDPFLEKHMAPKFEKIRSHVLEKCEGLPLSIVTVAQRLSKCNNIRKEWEKVEKELELLGFLDSSALTLRYNQLPQYLKVCFLYLGVFPKRTVIRVKQLVRLWIAEGVLNPFGNEGLESQAYEYLRGLIDRSLVSIENWSSDGKIKSCKMHSALHSFCVREAQKSSILCAVNTQQYPQGSFNMFANSCRWLSLYKHSFDYYVLFRTNTPRSIFFFQKDDEISVPFKLLRVLASAPSSFFQRVPTQLHDLIFLRYLSVKEWFKGLDYIVSANRNLQTLVVSDSNESQPRGPTLHLPSTIWESPQLQHLELDSSYVIDPPSMIKDNMQTLSWVCPTHCRTEVYRKFPNIKKLKIFGFCDSPIILDDLNYLVRLERLTISVSFGCIVTLPKPSMFPSRLKKLSLNDTSLSKKDLMIIGMLPQLEVLKLKNVFHGEVWEIVEGRRFNQLRFLHLEDKKLKKWMANKESFQCLERLVLRFCCCLEKIPRMMNYVITLKSIELEGCGPFVVVSALHIQEFRNYISDINFEVRTYSVIDS >itb04g16420.t1 pep chromosome:ASM357664v1:4:18050368:18051679:-1 gene:itb04g16420 transcript:itb04g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVWGDDCLEFKPERWLAATGDRFETPKDGYKFVPFNAGPRTCLGKDLAYLQMKSVASVVLLRYRLSLMPGHRLRCCLNQTHQIHRPYSLVPYHIALGSAALATALPSRRCSPVAGTSMPRLSRFSLTVRLRSPRITLVRRSPPSAVRASQTDED >itb02g07490.t1 pep chromosome:ASM357664v1:2:4644785:4649040:1 gene:itb02g07490 transcript:itb02g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFASLAFRSLKSTRHSLYPQSFSSSSSVPPSSPATHQYPQTLEGLRSRLAAESPTLGSFIRLQSDKEYSVEVGTKKKPLPKPKWMKEAIPGGEKYTQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPSNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFTETVQKLKALKPNLLIEALVPDFRGDRSCVEKVAKSRLDVFAHNIETVEELQSVVRDHRANFKQSMDVLMMAKDYAPAGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYQVLGMQMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASLV >itb12g10460.t1 pep chromosome:ASM357664v1:12:8524436:8530629:1 gene:itb12g10460 transcript:itb12g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRGGGGRFAVGDYVVSKQIGMGSFSTVWHARHLVECTEVAIKEIATARLNSKLKESLKSEIVILKKINHCNIIRLHDMIEEPGKIYIVLEYCRGGDLSMYLQKRHGRIPETTAKHFMQQLASGLQVLRENNLIHRDLKPQNLLLSTNDENSILKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNNQIQLLQNIMKSTELQFPSDVNDLSADFKDLCRKLLRRNPVERLTFEEFFNHPFLSQRQPGGHSRIRRPQSETDGSLLSQYNPVTTAEERFVEDLPFTLDDDINAPDSSPFFAGILPERSPYVVSVAAKADRKDSFDTPIASCPEVMDSLESIDKSYVIVPLDLSSTDASKLGNVPLNSSCSQQASGNLNPGSSAPVPNINAATVKIGCAGYMETQMSGPATSQGLMEITDSLEQPSTDCSRRVESLQQCASAITELVNEKIESGRHLEAFSIQLVILAIWKQALHICHTQAASAVEGSRTQENTKTRELLKGEGSPNVQQPVGTCDVLEPKDISSNIQRAFLIEVGKAEELAKLVEPGNTEVPDAMDMIFQSALDFGRKGAVDEYMGRAENAVVFYSKAVHLLVFLQVEAPSLILNPPFSLTNSDRFRLQNYINVLNNRQSVSRSQMVALLKCEDQRFS >itb06g10370.t1 pep chromosome:ASM357664v1:6:14752752:14755697:-1 gene:itb06g10370 transcript:itb06g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSPAGVIPQELGKLHMLKDIAMTYINVNGTIPEGIFNISSLKFIAFSGNNLVGRLPTGIGYGLKYLEEFYLISNFLSGVIPDSISNCSGLSIISFSDNQFSGALPTFLGNLRLLERLYLDGNTLTNSAASRELSIINSLAQCNYLREVDLDDNPLHATLPALSSVGNLSTSLEVFSVAGCGLKGIIPNQFGNLSRVIYLSLQRNSFTGFIPQVLGRVHMVQGLYLDNNKLSGPIPHTLCGLQYLYQLGLSSNQLSGSLPKCFGNSTSLRNLYLDSNRLSSEIPSSLCSLRDLLELDLSFNLLEGFLPIAVEGFKALYSLDLSYNEISGKIPVTIGQLQNMKHLSLAHNRLEGHIVEKISQMVSLEFLDLSLNKLSGSIPMSLEGLEYLKYFNVSFNELSGEIPSVVDPNLLRVEDRDFTAKLQCVSSILELALQCAMESPEMRLNMKDVVARLNKIKLQFLRGDGECYLKLF >itb08g15870.t1 pep chromosome:ASM357664v1:8:18063107:18065576:-1 gene:itb08g15870 transcript:itb08g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.5 [Source:Projected from Arabidopsis thaliana (AT1G69910) UniProtKB/Swiss-Prot;Acc:F4I3V3] MPPPHSAATLSLIFLIAAAAPLAHGCSPPSSAVNATSCPPFTAAPPPYPFSASPGCGHPSFQIHCSSPRPALISINNLSFSLRHYDPASASLLLSPIYPSRKTCSSGSVPERPISLSGSPFRVSDSACARLTALRPCRAVSLPNCSYCPWECKLTKNPARLLRECGSTRPDSQQGCHDDILGFLDGFLKMGVEVEWDQDEDRDPYFSGCKSCRAKNGVCGFNSSHPLKPFLCFPSLSLSPPLIKETSPNRIAMLSLVFLFMCFLIVFSVGAVIFRARNKALDSSSEDPTVVYLRRHRSATHLPPEFTYEELESSTNRFDPKRKIGDGGFGSVYLGQLHDGRIVAVKHLHKHQGAKGFSTKSFCNEILILSSITHPNLVKLHGFCSDPRGLLLVFDYVPNGTLADHLHGTKNLFRKGSLSWSLRVDIAMQIAMTMEYLHFCVVPPVVHRDITTSNIFVEKDMRVKVGDFGLSRLLVCSDPGVQSGHSSGEVWTGPQGTPGYLDPDYYRSFRLNEKSDVYSFGVVLLELITGMKAVDQTREKSEMTLADMVVPKIQMGALHQVLDPVLVVDGEAKEGVGAVAELAFRCVAADKDDRPDAREVAAELRRIRSRARGSGVLRTSNSSNAVVPDGAGLIID >itb07g07690.t1 pep chromosome:ASM357664v1:7:5866815:5872206:1 gene:itb07g07690 transcript:itb07g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKISIGIARGLEYLHRGCNTRILHLDIKTHNILLDEDFSPKISDFGLAKLCTKKESIVPIFGARGTIGYIAPELVCKNIGGVFHKSDVYSYGMMVLEMVGGRKNVDVGVSSNSQQESYCGFPEFNISCDGQGYPILRIPENDYVVDNISYENNSFWVYNAAVSGPEAGCLPDIKNLTVTNGSIGLRLVTESRINILRNCSELLVEQLWRYRVGCNEGKGYNWSFVLFEDSGFLKSALQECKENVLAPVEIGEGDRSSDVVKYDVLLKRGFELRWNVSSCEECAESGGRCGFNATTLYFMCFCPDRPHFAKCKPEPITESKSKGKLILITGGGAALILIFMFILLLCITKKLSFCSSMDLTTEVEEFLKSPGSLAPKRFSYSEVKKITNSFKNELGKGGFGCVYKGKLGDGNLVAVKVLKELNASGEEFINEVASISRTSHVNIVNLIGFCIEGRKRALVYEFMANGSLENFIYDDKSLMSRQLGWNMLYKISIGVARGLEYLHRGCNTRILHLDIKPHNILLDEDFSPKISDFGLAKLCTKQESIVSLLGARGTIGYIAPEVVCKNIGGVSHKSDVYSYGMVVLEMVGGRRNVDNGVSRNSKIYFPHWIYTRLVLDDELGLNGVTNEEENECARKMIIVSLWCIQTDPSTRPSMSRVVEMLEGKVEDLPIPPKPYLYSSTESEEQHSSPKPYIDSLTSSEEQYSSSMFIT >itb13g15140.t1 pep chromosome:ASM357664v1:13:21842324:21845107:-1 gene:itb13g15140 transcript:itb13g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSAPLLFCVTRSKPQLIVPYTSTPHQHKPLSDIDDQRTIRVHIPVLMFYRNSVDRRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENGKLIVNCNGKGVLFVEAKANVKLEQLGDKSIQPPCPYLKQLLNTVPGSKGIIDCPLLLIQVTRFTCGGFALGIRFNHTMMDAQGFIQFVNAVSELVQGASVPSIIPSWERGLLTARPTPTITYEHNEFKDFELSKTTKWWDFEKLLMRTTKFINTQKLASDPLVFFSKNISHSLFIKRSFTFDSRELQAIKDQCPSSTTFEALSACLWKCRTIALRPDPNSMMLLTIIVNIRERLLDLKLPLGYYGNAIVSVTAITTAKLLCSNPISYAAKLIREAKNTVKDDYIKSIIDFMVTRERPRWTVPRNFFITDNSRFGYDEVDFGWGKPVFGGVYGVVYGVGCLVPHTRREDREGKLVALALPPIIMGKFENELRKMTKAQT >itb08g07730.t1 pep chromosome:ASM357664v1:8:6637907:6639397:1 gene:itb08g07730 transcript:itb08g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCGKHKVKRGLWSPEEDEKLIKHLATHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLLAQGLDPNTHNLLSSNQAKINKNNKPINSQHFTIDQNSSPPKDEPHIPLDLKASIAAFTCIPNNEPHKYPLKFKYGPTGGYQYQNPSQNKLVTGFGTSCSSVESTSNNLSSSPSSSLNPAPGLGAMNVENSMWGTGAFEPLFGPDRFVHHQQQQQEMYKVNTEFCSNNVQNMEMVNTFDDSSDFDFDFGDDSALLPFAAVYCDANSMDQLAWDNC >itb01g13590.t1 pep chromosome:ASM357664v1:1:14347472:14348787:1 gene:itb01g13590 transcript:itb01g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYNALVVQGRGNEQTNVTCEVQQLLGNNRVRAVAMSDTDGLMRGMEVIDTGAPISVPVGGSTLGRIFNVLGQPVDNLGPVDTNTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYLEMKESGVINEENIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFIW >itb01g16130.t1 pep chromosome:ASM357664v1:1:19987876:19988526:1 gene:itb01g16130 transcript:itb01g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVIIRWPAGAIMAVKVSWYSAAAAAAVAMVLLLSCFAGGWVTTTESAAANGGRVVVPPFERRCEEIYVVREGETLQTISEKCGDPFIVEENPHIQDPDDVFPGLVIKITPFIYT >itb13g12150.t1 pep chromosome:ASM357664v1:13:18275511:18275820:1 gene:itb13g12150 transcript:itb13g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFICNGKSSWPELVGVDGLVAKATVESENSLVKAVVVFEKCPVMADFRCDRVRIVVDCSNMVIGTPTIG >itb01g02980.t4 pep chromosome:ASM357664v1:1:1947187:1948986:1 gene:itb01g02980 transcript:itb01g02980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIVREVEKPGSKLHKKETCEAVTVIETPPIVVVGVVGYVKTPRGLRCLNTVWAQHLSEEVRRRFYKNWCKSKKKAFSKYSKKLETEEGKKEIQSELEKMKKYCSVIRVLVHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKVYKLGKAGQESHFAITEFDRFAPIPNLLATFHSIFHAHLVFHSFIYYVIQSK >itb01g02980.t2 pep chromosome:ASM357664v1:1:1945480:1948986:1 gene:itb01g02980 transcript:itb01g02980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDQTKPCRLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTVIETPPIVVVGVVGYVKTPRGLRCLNTVWAQHLSEEVRRRFYKNWCKSKKKAFSKYSKKLETEEGKKEIQSELEKMKKYCSVIRVLVHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKVYKLGKAGQESHFAITEFDRFAPIPNLLATFHSIFHAHLVFHSFIYYVIQSK >itb01g02980.t3 pep chromosome:ASM357664v1:1:1947187:1948986:1 gene:itb01g02980 transcript:itb01g02980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIVREVEKPGSKLHKKETCEAVTVIETPPIVVVGVVGYVKTPRGLRCLNTVWAQHLSEEVRRRFYKNWCKSKKKAFSKYSKKLETEEGKKEIQSELEKMKKYCSVIRVLVHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKVYKLGKAGQESHFAITEFDRTEKDITPIGGFPHYGIVKDDFIMIKGCCVGPKKRIVTLRQSLLNQTSRVAMEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRLKA >itb01g02980.t1 pep chromosome:ASM357664v1:1:1945478:1949041:1 gene:itb01g02980 transcript:itb01g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDQTKPCRLTAFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTVIETPPIVVVGVVGYVKTPRGLRCLNTVWAQHLSEEVRRRFYKNWCKSKKKAFSKYSKKLETEEGKKEIQSELEKMKKYCSVIRVLVHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKVYKLGKAGQESHFAITEFDRTEKDITPIGGFPHYGIVKDDFIMIKGCCVGPKKRIVTLRQSLLNQTSRVAMEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRLKA >itb06g19070.t1 pep chromosome:ASM357664v1:6:22530950:22531546:-1 gene:itb06g19070 transcript:itb06g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEETQAPPPIAKHGAWMIVNRKSRGSEKGSVKQTEKMRGESNHNPAYASNGTKAARKNTWFGDTVGRGHTARNSAAQVPMSTMHAGKGKQVNTIPVSNTLSYLQDLKNLQETPVLLDKETVPRGAPKQRNHRKNNKGKKQTTEMQGTSSTLTGLFSRESSATDQLFVFGNITGTPPNPSLGGNWASSSKGISRMVN >itb01g21770.t1 pep chromosome:ASM357664v1:1:27819382:27825412:1 gene:itb01g21770 transcript:itb01g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSILDSLTETIDLNQGLVPSSSSMDRSSPWDNMLDPVGSRFSNSVLAASEGNAGCTSAPSFSGWDQGESSSAADVNGRIYCSDLKAGHMWPSSSSNYAVADQGSEERGFESSNVFANKSFSSSYGGNHPISRPSTHHFNSNHSPGNVNITGAYHNDGGHLVTRTGAPSNAYKFGGTEAELIPAFAASSDNTGTSYSSGYMVGNQDVSGSSWGTWGLSGKRKTLEGSSRQLSMGGSSSSNPRAEDIVQHNVPDCYNSSGSLGISSPSNADFMEQCNSRNGHGSRLGVADGFPPLSINSVAESSTRICPWGNIRNQESVSFGLPPIGAAMGHSTVSSIHMPPRPFSISDSSDMRQPLSAPLNPSHTASQSQSMHGPSFPRGMHSFPWNGSHDSQGGSSGSNIVSGGRSGILRDETRFRSSLRNNVENHRLNSATEARNFVQDTTNWNVDIPSGSGIGPSSLMQASHTTWVPNQNLTSSSHQRLSEFSPWTLFPPAELESASQRGHISSLHSATTSEGPVMPTRRANRHSRPYNRSSMIVEVPGDDHSGWRVLAGDIEGRHRMATEQQIRQVLNAMRRVENLHAEDYMMYGPFINGVAEFHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEESILKVMKQRKYESPRRGGFSSDLEPCCICQEEYVNEDEIGITECGHEFHMNCIKQWLMVKNLCPICKVTALNS >itb01g21770.t2 pep chromosome:ASM357664v1:1:27819382:27825390:1 gene:itb01g21770 transcript:itb01g21770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSILDSLTETIDLNQGLVPSSSSMDRSSPWDNMLDPVGSRFSNSVLAASEGNAGCTSAPSFSGWDQGESSSAADVNGRIYCSDLKAGHMWPSSSSNYAVADQGSEERGFESSNVFANKSFSSSYGGNHPISRPSTHHFNSNHSPGNVNITGAYHNDGGHLVTRTGAPSNAYKFGGTEAELIPAFAASSDNTGTSYSSGYMVGNQDVSGSSWGTWGLSGKRKTLEGSSRQLSMGGSSSSNPRAEDIVQHNVPDCYNSSGSLGISSPSNADFMEQCNSRNGHGSRLGVADGFPPLSINSVAESSTRICPWGNIRNQESVSFGLPPIGAAMGHSTVSSIHMPPRPFSISDSSDMRQPLSAPLNPSHTASQSQSMHGPSFPRGMHSFPWNGSHDSQGGSSGSNIVSGGRSGILRDETRFRSSLRNNVENHRLNSATEARNFVQDTTNWNVDIPSGSGIGPSSLMQASHTTWVPNQNLTSSSHQRLSEFSPWTLFPPAELESASQRGHISSLHSATTSEGPVMPTRRANRHSRPYNRSSMIVEVPGDDHSGWRVLAGDIEGRHRMATEIRQVLNAMRRVENLHAEDYMMYGPFINGVAEFHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEESILKVMKQRKYESPRRGGFSSDLEPCCICQEEYVNEDEIGITECGHEFHMNCIKQWLMVKNLCPICKVTALNS >itb07g04020.t1 pep chromosome:ASM357664v1:7:2709461:2713391:1 gene:itb07g04020 transcript:itb07g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVRVDESSLLEATPLLPPLPQKPGNQEKQGNGEEIEGRDHESTDLDKALQRLEWFLGALGFNQSSVLRILVSWGLFLVLGLAIPVVILELSDCRGCQKGQIKSFEIDIVVSQACLAAVSLLCASHNLRKYGIRKFLFVDRYSGHVERFSEEYIKKISDSIRLLVLWVLPCLGLKTAREIIRVLYVHQESLWHSTAILLAFILSWTYTAAIFLSVCVLFHLVCNLQIIHFDDYANLLERESDVLLLIKEHMRLQYNLSKISHRFRIFLLLEFTIVTGSQFVTLFQTTAYHGIITCVNGGDFAVSSIVQVVGVILCLNAASKISHRAQGIGSLASRWHALATCSSSEVTNAHITSSFYRMSSESDLESVDFMMMPTNTQLASSMTSYQKRQSLGMFSEADFFVFVTLSQHYE >itb07g04020.t2 pep chromosome:ASM357664v1:7:2709710:2713391:1 gene:itb07g04020 transcript:itb07g04020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVRVDESSLLEATPLLPPLPQKPGNQEKQGNGEEIEGRDHESTDLDKALQRLEWFLGALGFNQSSVLRILVSWGLFLVLGLAIPVVILELSDCRGCQKGQIKSFEIDIVVSQACLAAVSLLCASHNLRKYGIRKFLFVDRYSGHVERFSEEYIKKISDSIRLLVLWVLPCLGLKTAREIIRVLYVHQESLWHSTAILLAFILSWTYTAAIFLSVCVLFHLVCNLQIIHFDDYANLLERESDVLLLIKEHMRLQYNLSKISHRFRIFLLLEFTIVTGSQFVTLFQTTAYHGIITCVNGGDFAVSSIVQVVGVILCLNAASKISHRAQGIGSLASRWHALATCSSSEVTNAHITSSFYRMSSESDLESVDFMMMPTNTQLASSMTSYQKRQSLGMFSEADFFVFVTLSQHYE >itb09g29010.t1 pep chromosome:ASM357664v1:9:29618343:29619850:1 gene:itb09g29010 transcript:itb09g29010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEVALKGDVESLKRLLDEDPLALERSMASVYSDTPLHVAAMLGYEDFANEILRRKPQLAKDLNSNQSSPLHLAAAMGHAGVVRCLLLADRGMCKARDRDGLTPFHLAAVKGRVEVLKELMMSDGHEICSNELLSEVIAMDGEKLGESILHMCVKYGQLEALKFVVEMIGDDDADFVNSKDAFGNTVLHLAVEYKQFEAVKFLVQRSRIQVNAENGKGLTAMDTLFQSRNNNNNINVKEMEIGEALVVGRDNNSKESENWVNEMREGLMVAASLLATMAFQAVVSPPGGVLQNDDKPIKYKTKYCLFFSFFYNKNEDCSLEELPQDKWLTPIAGISVLSYYQQTNYTVFMVANTLSFLASVSVILLLISGLPLHRKLFMYIMMITMWVAITAAAVSYIACLQMTTMRFSSAYYILILIWACLFAILAVIIGVGNIIKVTVVVIKWLPKAFKTRRPNKNSTSIMYQP >itb05g26230.t1 pep chromosome:ASM357664v1:5:30229438:30230700:1 gene:itb05g26230 transcript:itb05g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSFGDHFKRTAPEGSRSGGRRKSRGGGSAAAGNEAKKKKTPQRGMGVAQLERLRLQADHQRLKMNSNNNPLSVNVTVPAIVHHAPPIVFSPAAACGGGGAAMINGAAAIPFQFPLSAAAASLGGSHHDLYGFGNYSNLFHAGNVKENSKELSSTPNFTFNPPPSLSDHHHQICNVCHKKKRGNDGNLGYNQWVNIIGEETQEYPNGFNPKQFLHVGFSDKGLMKGSCSSAASKGMKEYEFFPAERNSSEDDDDHDVVMMRASGASESSSPVAVVAAAAMASSGEASFDASNSSTTTTTTIDLSLRLSY >itb07g20350.t2 pep chromosome:ASM357664v1:7:24739683:24740503:1 gene:itb07g20350 transcript:itb07g20350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCSPKYGNCFFVLDSKRPSFSKYGFSSPSSIPRWPSPPPATTICCPTATTAGPAKSTSIPQMFNYELVENCNKLDPWKATGCKVVANGGFGLPAKGLESVVPENLLPAWYWTDMYSAELIYHERMLNHQCRTMDPEEAT >itb07g20350.t1 pep chromosome:ASM357664v1:7:24735551:24740503:1 gene:itb07g20350 transcript:itb07g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVKPDVEELNLHFTRAHKSSATFNLTNLMHTMSVAVSLTTTNPSLFSSSPQFCIVKPLSTACFKVSLARPSDLPPVSSPLDSVLVRSSMLPTGKATQDDLHRIFSRPGPHIFKDAKIPICLVGADVVDFLLSPSSSNSLETNFLLPKAISWCRKPQLNSLLRSAARMGNSHAVSALIEAGADVNSRDFDGVSAMGLAVEAGSIDSVEVLIRSGYTIDNRIDRFLHQAAAIDRVDLMEILCLGYIDIDFNSRDSKTQTALHVAAIQSHIESLQFLVSIGCDPDLQDANKWTPLHHAAKSGQIRAVEILVNSSKLAKNLLTEDGKTPFDVAVERGHSDLYDMLRLGDALHRAARTGDAGGLSKCLAEGANVNGRDQNGWTPLHRAAFKGRLECVKVLLKHGAKVDPVDDCGYMPLHRAIEMGHRPVALYLIAHGAKPNFKGLKPAGAHPSLTRPSFSKYGFSSPSSIPRWPSPPPATTICCPTATTAGPAKSTSIPQMFNYELVENCNKLDPWKATGCKVVANGGFGLPAKGLESVVPENLLPAWYWTDMYSAELIYHERMLNHQCRTMDPEEAT >itb15g09600.t1 pep chromosome:ASM357664v1:15:6861526:6864939:-1 gene:itb15g09600 transcript:itb15g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNLTTSRVDVVIFVIWELVIFACVVFTCVSLYLSHLRLALILICFTFLLFICMRITKQIRLARKKKRRMLLPLSM >itb14g16920.t1 pep chromosome:ASM357664v1:14:20288277:20289930:1 gene:itb14g16920 transcript:itb14g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISMSHFAPRTVVYLLVLSASFFPVFAINITTLLSSFPDLSDFTNLLSTTAVAADLSGRTSITLLAVPNTFLRSSDLGNFPSSSPSETYAGDVIRYHVLLEYLSWPDLRLIPAGGKIVTTLFQTTGRASSAFGSVNITRNPESGAVTVVSPTSNATVLALIKTLPYNISVFSVNSLLVPYGFDLMASDTQPPSGLNITKALIDAANFNVAASMLTASGVEAEFERDEGGAGLTLFMPTDEAFSDLPASLRFQSLPAEKKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEQNGAGSFTLNIGRVNGSVAIDTGIVQATVIRTVIDQNPVAIFGVSKVLLPREFFPMNPIGLNKPSGGGGVGGFSGGVAQPPEISLSPDNSPVLYSPPSHLSSPPGFGDNPSSAAGKKVAARILLGLWCIVFHLLLWQ >itb07g18380.t1 pep chromosome:ASM357664v1:7:22812672:22814029:-1 gene:itb07g18380 transcript:itb07g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFKEKVVTVAGSVEELTVEECNLLSVAYKNVIGARRASWHIISSIEQKEEIRGNEDHVASIKEYRSKIEAELTSICKGILNLLDSTLIDSASSSDSKIFYLKMKGDYHRYLAEFKTGADRKEAAENTLSAYKAAQDIVVAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIVELDTLGEESYKDSTLIMQLLRQTPSQNNSNGAGDADKNGNSKSSAKDNVVADELPKRKFKYIPMHGGGGGCCCRSSAATRGPTSSSIPLVVEVAVAGAIQPLRRRNS >itb15g16770.t1 pep chromosome:ASM357664v1:15:16755617:16757147:1 gene:itb15g16770 transcript:itb15g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPTETLDSSPQAPQISEDATKDNEDQLMTDAPNSNKVPRSDSDTESDSDDDDDAKQNLEIQALETELSSNPSNYDAHVQYIKSLRKQGDIEKLRIAREAMSTMFPLSSEMWKEWIKDEMSLSSGPEAFPAIEKLYERGVSDYLVSCTILCQCCHSFLT >itb03g24530.t1 pep chromosome:ASM357664v1:3:23262127:23263168:1 gene:itb03g24530 transcript:itb03g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGELACTYAALILYDDGIPATAEKIATLVKAANVSVESYWPSLFAKLCEKRNIEDLIMNVGAGGGGGAVAVAAPAAGGAAPAAAAPAAEEKKEEPKEESDDDMGFSLFD >itb03g07770.t2 pep chromosome:ASM357664v1:3:5790264:5792775:-1 gene:itb03g07770 transcript:itb03g07770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHLARELYGSKVRLVTDKNNNKPRGYAFIEYVHTRDMKAAYKQADGKKIDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGNEDLNQRELVQSGGKAQSEEPRIRDDRDREKSRERGRDREREQEKSRERSHERPRDRDYRDERHKDRDRNRDYERDHGRDRDRERDRTRGRDHGRERDRGRDRDRNREKDRGRSRDYDVDEHEHGYSRDRDYDYDHEHGYSRDKDDYDHEHSYYHDRDYDHERDRHDERDRKYDHGETEEDRVWYEQSNHGHKHSKGEHDRGHYDYYDHHKDHGEHDNPDGQGYDESYKD >itb03g07770.t1 pep chromosome:ASM357664v1:3:5789735:5796919:-1 gene:itb03g07770 transcript:itb03g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNDAFMRNNNSAAVQARAKAQNRSNIVQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCLPYTGMAQFVSNFAEPGDPEYAPAIKEVETRAQRRARVHKIRLEEGAKKVAEELEKHDPNNDPNATGDPYKTLFVARLNYETTENRIKREFEAYGPIKRVRLVTDKNNNKPRGYAFIEYVHTRDMKAAYKQADGKKIDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGNEDLNQRELVQSGGKAQSEEPRIRDDRDREKSRERGRDREREQEKSRERSHERPRDRDYRDERHKDRDRNRDYERDHGRDRDRERDRTRGRDHGRERDRGRDRDRNREKDRGRSRDYDVDEHEHGYSRDRDYDYDHEHGYSRDKDDYDHEHSYYHDRDYDHERDRHDERDRKYDHGETEEDRVWYEQSNHGHKHSKGEHDRGHYDYYDHHKDHGEHDNPDGQGYDESYKD >itb05g28600.t1 pep chromosome:ASM357664v1:5:32318584:32318946:1 gene:itb05g28600 transcript:itb05g28600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLIIIFGSRLLAFCLNFSIFYVIHSTIVVTFNVAGNLKVAVAITFSWLIFQNPISALNAFGCGVTLMGCTFYGYVRHMLSQQLPGTPRTPCTPRTPRTPRNKMELLPLESEKLEDKV >itb08g16740.t1 pep chromosome:ASM357664v1:8:18770821:18774858:-1 gene:itb08g16740 transcript:itb08g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTTTTAFCSIRSLFLSLSILCSLSVFFFFFNSSTRSLHLQNLQSPSSSIKVFVADLPRSLNYGLLEQYWSIDSDSRLGSEVDNEIRKTLLHKGSQKFPPYPENPLIKQYSAEYWILGDLMTPPELRNGSFAKRVFDAEEADVILVPFFATLSAEMQLGLNKGAFRKKLGNEDYERQKMAVDTVKQTKAWKRSGGRDHVFVLTDPVAMWHVKAEIAPAILLVVDFGGWYKLDAKAANDSLPDMIQHTQVSLLKDVIVPYIHLLPKLQLSENQKRPMLIYFKGAKHRHRGGLVREKLWDLLVNEPGVVMEEGFPNATGKEQSVKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMTDYSEFSVFVAVSDALQPNWLVSHLRSYSSAQKDRLRRNMAQVQPIFNYDSGHQGGIGPIPHDGAVNSIWKKVHQKLPIIKEAIIREKRKPPGVSVPRRCHCT >itb08g16740.t2 pep chromosome:ASM357664v1:8:18770821:18774843:-1 gene:itb08g16740 transcript:itb08g16740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTTTTAFCSIRSLFLSLSILCSLSVFFFFFNSSTRSLHLQNLQSPSSSIKVFVADLPRSLNYGLLEQYWSIDSDSRLGSEVDNEIRKTLLHKGSQKFPPYPENPLIKQYSAEYWILGDLMTPPELRNGSFAKRVFDAEEADVILVPFFATLSAEMQLGLNKGAFRKKLGNEDYERQKMAVDTVKQTKAWKRSGGRDHVFVLTDPVAMWHVKAEIAPAILLVVDFGGWYKLDAKAANDSLPDMIQHTQVSLLKDVIVPYIHLLPKLQLSENQKRPMLIYFKGAKHRHRGGLVREKLWDLLVNEPGVVMEEGFPNATGKEQSVKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMTDYSEFSVFVAVSDALQPNWLVSHLRSYSSAQKDRLRRNMAQVQPIFNYDSGHQGGIGPIPHDGAVNSIWKKVHQKLPIIKEAIIREKRKPPGVSVPRRCHCT >itb10g24500.t1 pep chromosome:ASM357664v1:10:28286556:28290363:1 gene:itb10g24500 transcript:itb10g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDMNQQQQLQQQQQWMAMQQYQQQWMAMQYPPAAMLMYGQHYVPYYLQHQQAGAAAPPPQYQQQKQSPPRIQSSGEDNRTIWIGDLQQWMDEGYLHSCFAPAGEVLSVKIIRNKLTGQSERYGFVEFSTHAAAEKVLQSYSGTMMPNAEQPFRLNWAAFSTGDKRTPEPSSDLSIFVGDLAADVTDTLLHETFANRYSSVKGAKVVVDSNTGRSKGYGFVRFADENERSRAMTEMNGEYCSSRPMRIGVATPKKPSTQHQHSSQAGGFASNGAQTQGSQSDSDSSNTTIFVGGLDSDVTEEELRQSFIQFGDVVSVKIPAGKGCGFVQFASRSAAEDALEKVNGSVIGKQTVRLSWGRTPSNKQHGRNENNGQWNGAYYGRQNYGGYGYYMSQNRDQNMYTAAGYGNHQQPVS >itb05g01180.t1 pep chromosome:ASM357664v1:5:988151:991635:1 gene:itb05g01180 transcript:itb05g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNKSSGFSTFAHTNGKNPASFYPFVSDSSSYIDSLPVASRGKQRTDEVAGKNYQRSLSDNLLMEEEPFWLEDLLSEPVTMAHICHRRSASDSYAYLGAAAEQLHVNKERDLNGLTARAPFDVSTFVYSKDLNQVSSDTTPNSSDETIHKASYEPNGGGPLSSEKHNKEEPNMQNPESSSEKANGPQQKSVMLKTEAKRAKQQSAHRSRVRKLQHIAELERTVQVMQVEESAMSSELEFLDQQNLILSMENRALRQRLESLSQEFLIKRMEQEMLERELGRLQAMYQLQRQQPVPQQPSLHRQSNSREVLEAQFANLSLKNNEVSSGRAAGSVSRSVRIP >itb03g14930.t1 pep chromosome:ASM357664v1:3:14449273:14453876:-1 gene:itb03g14930 transcript:itb03g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENQEIAAQAPLLSTAAARQSSSSSIESQKAEGGSISGAIFNVSTGMVGAGIMSVPATFKVLGVIPSLFVILVVAYFVEVTVDFLLKYSNCGETDSYGGLMAESFGKVGSMALQICVMITNLGALIIYLIIIGDVLSGNDSDGSLHLGVLQQWFGIHWWTSRAFSVLVVVLFVLLPLLCLRRIDSLRHASAISILLAVLFVAICSGMAIYYVCKGKTGSLRLFPDFANGVSFFDLFTTIPVFATAFGCHVNVHPVRAELGRPSDMTSAVRISLVLCVAIYFAVGFFGYLLFGDAIMADMLVNFDQNSDSFIGTLLNIIVRLSYAVHLMLVFPVMNYSLRVNVDELLFPKGPLLSTETVRFLSLTGVLLAFMYLAAIAIPNIWYFFQFMGTTTVMCLMFIFPSSIILRDVHNISTKWDKMLAVLVIVLSVGTSLTAIYSNVSTYIIKK >itb03g14930.t3 pep chromosome:ASM357664v1:3:14449273:14453825:-1 gene:itb03g14930 transcript:itb03g14930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENQEIAAQAPLLSTAAARQSSSSSIESQKAEGGSISGAIFNVSTGMVGAGIMSVPATFKVLGVIPSLFVILVVAYFVEVTVDFLLKYSNCGETDSYGGLMAESFGKVGSMALQICVMITNLGALIIYLIIIGDVLSGNDSDGSLHLGVLQQWFGIHWWTSRAFSVLVVVLFVLLPLLCLRRIDSLRHASAISILLAVLFVAICSGMAIYYVCKGKTGSLRLFPDFANGVSFFDLFTTIPVFATAFGCHVNVHPVRAELGRPSDMTSAVRISLVLCVAIYFAVGFFGYLLFGDAIMADMLVNFDQNSDSFIGTLLNIIVRLSYAVHLMLVFPVMNYSLRVNVDELLFPKGPLLSTETVRFLSLTGVLLAFMYLAAIAIPNIWYFFQFMGTTTVMCLMFIFPSSIILRDVHNISTKWDKMLAVLVIVLSVGTSLTAIYSNVSTYIIKK >itb03g14930.t4 pep chromosome:ASM357664v1:3:14449295:14453876:-1 gene:itb03g14930 transcript:itb03g14930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENQEIAAQAPLLSTAAARQSSSSSIESQKAEGGSISGAIFNVSTGMVGAGIMSVPATFKVLGVIPSLFVILVVAYFVEVTVDFLLKYSNCGETDSYGGLMAESFGKVGSMALQICVMITNLGALIIYLIIIGDVLSGNDSDGSLHLGVLQQWFGIHWWTSRAFSVLVVVLFVLLPLLCLRRIDSLRHASAISILLAVLFVAICSGMAIYYVCKGKTGSLRLFPDFANGVSFFDLFTTIPVFATAFGCHVNVHPVRAELGRPSDMTSAVRISLVLCVAIYFAVGFFGYLLFGDAIMADMLVNFDQNSDSFIGTLLNIIVRLSYAVHLMLVFPVMNYSLRVNVDELLFPKGPLLSTETVRFLSLTGVLLAFMYLAAIAIPNIWYFFQFMGTTTVMCLMFIFPSSIILRDVHNISTKWDKMLAVLVIVLSVGTSLTAIYSNVSTYIIKK >itb03g14930.t2 pep chromosome:ASM357664v1:3:14449273:14453825:-1 gene:itb03g14930 transcript:itb03g14930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENQEIAAQAPLLSTAAARQSSSSSIESQKAEGGSISGAIFNVSTGMVGAGIMSVPATFKVLGVIPSLFVILVVAYFVEVTVDFLLKYSNCGETDSYGGLMAESFGKVGSMALQICVMITNLGALIIYLIIIGDVLSGNDSDGSLHLGVLQQWFGIHWWTSRAFSVLVVVLFVLLPLLCLRRIDSLRHASAISILLAVLFVAICSGMAIYYVCKGKTGSLRLFPDFANGVSFFDLFTTIPVFATAFGCHVNVHPVRAELGRPSDMTSAVRISLVLCVAIYFAVGFFGYLLFGDAIMADMLVNFDQNSDSFIGTLLNIIVRLSYAVHLMLVFPVMNYSLRVNVDELLFPKGPLLSTETVRFLSLTGVLLAFMYLAAIAIPNIWYFFQFMGTTTVMCLMFIFPSSIILRDVHNISTKWDKMLAVLVIVLSVGTSLTAIYSNVSTYIIKK >itb03g14930.t5 pep chromosome:ASM357664v1:3:14449273:14453825:-1 gene:itb03g14930 transcript:itb03g14930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENQEIAAQAPLLSTAAARQSSSSSIESQKAEGGSISGAIFNVSTGMVGAGIMSVPATFKVLGVIPSLFVILVVAYFVEVTVDFLLKYSNCGETDSYGGLMAESFGKVGSMALQICVMITNLGALIIYLIIIGDVLSGNDSDGSLHLGVLQQWFGIHWWTSRAFSVLVVVLFVLLPLLCLRRIDSLRHASAISILLAVLFVAICSGMAIYYVCKGKTGSLRLFPDFANGVSFFDLFTTIPVFATAFGCHVNVHPVRAELGRPSDMTSAVRISLVLCVAIYFAVGFFGYLLFGDAIMADMLVNFDQNSDSFIGTLLNIIVRLSYAVHLMLVFPVMNYSLRVNVDELLFPKGPLLSTETVRFLSLTGVLLAFMYLAAIAIPNIWYFFQFMGTTTVMCLMFIFPSSIILRDVHNISTKWDKMLAVLVIVLSVGTSLTAIYSNVSTYIIKK >itb02g19110.t1 pep chromosome:ASM357664v1:2:15995581:15996497:1 gene:itb02g19110 transcript:itb02g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHFQGYNQQIIMSRRMNNPALKINPGSRFIKKSSPPPSPPLQPSPPLDGPTAGPRHPVVIYTHSPKIIRTHPKDFMALVQKLTGYSAPASAPPSPPSPPPPMPEPCDEYLITDLANNSDHSTGNNTNKSSGDDDVSARYNWLMMGEDKDLTTMDEKVGSCSIGHGFDPKNNSKFYFDNNNNSEFIKNSNNMSYFDPTSMDFGFPSTTPFDNCGDSLHLMRSSVSSPPPSLVTKGGSTDLGSPESVMDFPDFFG >itb03g17770.t1 pep chromosome:ASM357664v1:3:16230127:16235602:1 gene:itb03g17770 transcript:itb03g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKWVLMVTAQTPTNIAVIKYWGKRDETLILPINDSISVTLDPAHLCTTTTVAVSPAFDQDRMWLNGKEMSLSGVRYQNCLKEIRARACDVEDEKKGIKITKKDWENLHVHIASYNNFPTAAGLASSAAGFACLVFALGKLMNVKEDNSQLSAIARQGSGSACRSLFGGFVKWIMGKDEDGSDSLAVQLVDEKHWDELVIIIAVVSSRQKETSSTSGMRDTVETSPLIQHRAKEVVPKRVVQMEEAIKNRDFPAFAHLSCADSNQFHAVCLDTTPPIFYMNDTSHRIISCVEKWNRSEGTPQVAYTFDAGPNAVMIARNRKAAALLVQRLLFYFPPNSDTDLSSYVIGDKSILGDAGIKDLNDVEALPPPPEIKDNIPTKNKGDVSYFICTRPGRGPVVLTDESQALLNPETGLPK >itb01g24210.t1 pep chromosome:ASM357664v1:1:29909847:29911897:-1 gene:itb01g24210 transcript:itb01g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLTALPILLSLLSRFILIVHSHGFQTNLIHKSRLQIRPGSPFKMALFADLHFGEDAWTDWGPRQDINSVKVMSTVLDQELPDFVVYLGDVITANNIPIKNASLYWDQAISPTRARGIPWASVFGNHDDMPFEWPMEWFSTSGVPPLLCPQNNNVSYRTGWLLATSESEYCSFRGTTRLELMKNEVEHNTLSYSISGPKDLWPSVSNYVLKLVSSSSSDPVAYMYFLDSGGGSYPEIVSYAQAQWFNRTSQQVNPDARVPELIFWHIPSQEYKHAAPKFVLDKNCVGSMFTEKVAAQEAEMGIMELLQGRSSVMAVFVGHNHGLDWCCPHKNLWLCYARHTGYGGYGNWARGARILEISQQPFSLKSWIRMENGSVHSEVVLSSS >itb07g06540.t1 pep chromosome:ASM357664v1:7:4693569:4694012:-1 gene:itb07g06540 transcript:itb07g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASGIEWDLRKIDQYECYDEFAWEVQWQKEGDSLARYLVRIGEMEESIKMIQQALEGIPGGPYENLEIRRFDRVKHPQWNDFEYRFIAKKTSPIFELAKQELYVRVEAPKGELGIFLIGDQSVFPWRWKIRPPGLINLQILPQVV >itb10g15180.t1 pep chromosome:ASM357664v1:10:21447627:21451373:-1 gene:itb10g15180 transcript:itb10g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEYQEKLRNWQLLLEKGCDCNCEAATHRRGGSDRRPLEAVAATAAGGLRLLQACDWRRPTGDGEVISTFVPPKLIS >itb03g00880.t1 pep chromosome:ASM357664v1:3:478105:480592:1 gene:itb03g00880 transcript:itb03g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFLRNEVLPFATMLIVETCEMSIVILGKAAMNAGLNSTVYVVYYNSLGTLLLLPYFLFYRRSRTHALPISFSILHRCFILGLLGICLLQILAYIGINYSSPTLAAGIGNLMPGFTFILAVIFRMERLNLREITSQAKSLGTVVAITGAMVMTLYQGPTLFGSTDSESTQPNLESTQQSLLSQNSNWVLGGSLITLTCLMSSGWNILQTATLKEYPEQPTIVFFFCCFGTIQCIIYSAIAERSVDDWLPLPSIAIIAIVFSAVCGTVFRTNVLTWCLDKKGPLYVAMFKPVGVIIAAIMEIMFLGASLHLGSVIGAIISSAGFYTVLWGQSKENATLKLDDSECGAKSSSNRAPLLQ >itb10g24800.t1 pep chromosome:ASM357664v1:10:28420996:28421910:1 gene:itb10g24800 transcript:itb10g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWSCFRPRGVTAITAKLIHWDGELEEFSFPVRVSSLRAGNEDSEVLIVCDADEMGLGEFVSAVEDLVPGKLYFELPGSWVSRRLRAEVMGSLAVKASTALAAGGGTGERFRCCFIGGEARKVEPLVMVDDDDEIGRFGGRSTAVSAVVDGGGRRVVGNGVACKKGKFTPQMSMIIEE >itb13g24180.t1 pep chromosome:ASM357664v1:13:29920119:29921833:-1 gene:itb13g24180 transcript:itb13g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSQINLWLKKGSTQGTLLAKKALPLHRFMAGSSFLGLKRNGGPTGNLKCRFQLARTITTTLHSFEKAAEDQNPTERRLADSWEEIHGENDWVGLLEPFDSLLRTELIRYGDMAQACYDAYEEDPSSRFGYRIKIEPHMFFKSLGLTKYGYDVTKYIYSSYNLDVPNFFNKPLLSDDWTEGASWIGYVAVSNDEYTKHLGRRDITIAWRGTVTGLEKFADIQDFQTSIEDHNLPTHDPSIKVEDGFLDIYTKNDESNTFCNKSARTQVTEEVQRLVHEYSGEKLSISVTGHSLGSALATINAYDIAEMRQDNGKIPICVFSFSGPRVGNLRFKQRMEELGVKVLRVANIHDAVPKVPGVLLNERLPGFMQKVVEFLPWSYFHVGEKLMLDHTKSPFIKKWIDLAEVHNLELLLHLLDGYQGERREFLRATGRNLALVNKSADLLNDDLRIPPKWREALRKKADNITD >itb03g27610.t1 pep chromosome:ASM357664v1:3:27443939:27445671:1 gene:itb03g27610 transcript:itb03g27610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREASMFEPSEMLSSFLASTPLLMESWRFSCLAAPGTTSFVADHIGDDTSYVAFSGLGISPGIIGRSCGNLAPLETVANGIFSGLRRDQADPPMVHAGFLHLFLSVYNDTNFQSQVRFFFFTASFIFYSISHFG >itb14g08610.t1 pep chromosome:ASM357664v1:14:8197945:8199498:1 gene:itb14g08610 transcript:itb14g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTAPKRLDGRVAIVTGGVGGIGSCIAKLFCEHGAKVILADTRDDESQSICKDLGPDNACFVHCDVASESEIENAVNTAMFMHGRLDIMVNNAGIVGAKVSDIVDCDAADFINVFRVNALGAFLGTKHAARVMKPVQRGSIINTASAAGIIGGCPHAYTCSKHAIVGLTKNTALDLGRYGIRVNCVSPHAVPTRMSKTYLGLGEEDKFDVYSDLKGVEVRPEDVADAFLYLASDESRYVNGHNLLVDGGFTVSNSGLSLYSQ >itb04g01360.t1 pep chromosome:ASM357664v1:4:771172:773295:-1 gene:itb04g01360 transcript:itb04g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRQHKITTLGFFLVILLITAISVQWMDMSILSGAFQRNSNSVFPEVSCSTECPERTVLVPEAAQSSVAEACPEYFRWIHEDLKPWKATGITREMVEKAREVAHIRVLVVSGVLYVEKFKQVFQTRDMVTLWGILQLLTLYPGRVPDLDMMFELGDMPVIKKQAYRAAAPPPLFHYCGDSSSHDIVFPDWSFWGWPELKIRPWEVLKKELQETNEGRKWEDREPFAYWKGNTKLSLARRDLVNCNATDNKEEWNARIYDMDWHREKKQGFNTSDLVNQCTHRYKIYVEGISWSVSQKYILACDSMSLVINPHYYDFFTRSLIPTIHFWPISEKNKCRAIKYAVDWGNAHPKQAKGIGRAGSQYVQEKLIMKYVYDYMFHLLQEYAKLLRYQPSVPEGAVEVCYEALICSVKGLRKRYRLNSMVNNASESSPCSLPLPSNPQNLRAFLEKKRDLIRQVELREAANENSRQAQL >itb07g08970.t1 pep chromosome:ASM357664v1:7:7638235:7638600:-1 gene:itb07g08970 transcript:itb07g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRVTPLPLVHTARRENKEQEGEMLPPIPKLRRRHSRPLEGEHSRAASTETSFSPLAIAAVLLAKSNGDRRLLLPHATRERKTLRWRGYCYAATARSSGKAVRARRCCCRPLPPAATRMA >itb01g07680.t2 pep chromosome:ASM357664v1:1:6076863:6079859:1 gene:itb01g07680 transcript:itb01g07680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLLPWPSPAAKPVFFSLPQTCPLLRQTQTLTTLQSSPSIYTTKLAQNGFFNCEEKYKRLSPIVRFRRPASNDGEDTPQAAKNEQKVEFWTRMAKSLEVAPGSQMVKVLFPLVIWLSLFAPFCFSWDAFGVAFGLYIVTGLAQSERPTNVGDLENQFFYNFIRNTYIIHPIILATLLYAIGGFPYVVWGMGVRIIFFSSITYLVNSVCHIWGNQAWNSGDQSRNNWLLAFFSFGDGWHNNHHAFEYSARHGLEWWQLDITWYTVRALQAFGLATDVKLPTDAQKKKMASTTTQCF >itb01g07680.t1 pep chromosome:ASM357664v1:1:6076837:6079859:1 gene:itb01g07680 transcript:itb01g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLLPWPSPAAKPVFFSLPQTCPLLRQTQTLTTLQSSPSIYTTKLAQNGFFNCEEKYKRLSPIVRFRRPASNDGEDTPQAAKNEQKVEFWTRMAKSLEVAPGSQMVKVLFPLVIWLSLFAPFCFSWDAFGVAFGLYIVTGLGITLSYHRNLSHRSFKLPKWLEYFFAYCGVHALQGDPIGWASHHRYHHQYTDTKKDPHSPIKGFWYSCVGWLYDTKAFIERSERPTNVGDLENQFFYNFIRNTYIIHPIILATLLYAIGGFPYVVWGMGVRIIFFSSITYLVNSVCHIWGNQAWNSGDQSRNNWLLAFFSFGDGWHNNHHAFEYSARHGLEWWQLDITWYTVRALQAFGLATDVKLPTDAQKKKMASTTTQCF >itb15g00170.t2 pep chromosome:ASM357664v1:15:115692:117204:1 gene:itb15g00170 transcript:itb15g00170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSMLNTLDQFLNYSPDLFLKEIKSLHKRMYSFQEFLESRAIIQRRHEKLKIVHQEMQMQMESIIRDAAYRAEDIVDSKVREFHQATTGEEQNKACEDLCRMLELVIKEMESTKDDDDISKKISQDQTNHNSSVPVSLISNGLSIPDLDATNIVGFNQEWERIMDRLTGRKSNLDVVAVVGMGGVGKTTLARRAYNEPRLKDRFDCRAWTTASQQHNVRGMLLDLLSTFIPPSMSLYRNSNEDLAFQLYKRLKGVRYLIVIDDVWSTDAWDGVFRSFPDDKKGSRIILTSRYTEIGAYVNPHCHPHLLNLFDKDESWKLLCKKVFGKGSCPHELVSIGKDIAEKCRGLPLAIVVVAGHLSKINKTVQGWKSVARNIGSILSEDPKQCLDILALSYKHLPQHLKPCFLYLGAFAEDYEIPLRRLIRLWIAEGFLKGSKEKSLEEVAEGCLEDLIQRSLSLEKTTFFMCLRNST >itb15g00170.t1 pep chromosome:ASM357664v1:15:115486:118718:1 gene:itb15g00170 transcript:itb15g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSMLNTLDQFLNYSPDLFLKEIKSLHKRMYSFQEFLESRAIIQRRHEKLKIVHQEMQMQMESIIRDAAYRAEDIVDSKVREFHQATTGEEQNKACEDLCRMLELVIKEMESTKDDDDISKKISQDQTNHNSSVPVSLISNGLSIPDLDATNIVGFNQEWERIMDRLTGRKSNLDVVAVVGMGGVGKTTLARRAYNEPRLKDRFDCRAWTTASQQHNVRGMLLDLLSTFIPPSMSLYRNSNEDLAFQLYKRLKGVRYLIVIDDVWSTDAWDGVFRSFPDDKKGSRIILTSRYTEIGAYVNPHCHPHLLNLFDKDESWKLLCKKVFGKGSCPHELVSIGKDIAEKCRGLPLAIVVVAGHLSKINKTVQGWKSVARNIGSILSEDPKQCLDILALSYKHLPQHLKPCFLYLGAFAEDYEIPLRRLIRLWIAEGFLKGSKEKSLEEVAEGCLEDLIQRSLVMVGRIINGRIKTCRIHDVLRDLCLKESRKDNFLHVLAEQHLEIAPQDIVTQRRVSSHCQNISIKPSSDHVVSLSRSFLAFKVSGGSFLPSMNFKLLRVLDIMSLHHISFPDEIVHLINLRYLALTPSDTEIPASISNLWSLQCLVLCFISDGPTLPPEIWKLQQLRHLHVNTWVSFPHPASKSSPLPNLQTLSKLSLSSCTKDVFSSTSNLKKLGISEIVDSLSSPTQSLWPETFQSSASQSFYEIESIWPETFQSSATENCYQTESLWSECFNNFVHLRQLERLKLVCSSQLFTGEPPLVHHLDAFPTTLKKLSLSFSRLPWEYFKLLGELPNLEVLKLTSYAFTGPKWELVDGHEFRQLRFLLLGLTDLVHWETNSYLFPKLEHLALKQCYALSSIPDAIGEILTLKRLELHECCPEAVSCAIEIRQDQMNIIGKDDLDVIIVDREYQIALLQSTENYATAVQNYVK >itb01g31620.t1 pep chromosome:ASM357664v1:1:35289602:35290117:-1 gene:itb01g31620 transcript:itb01g31620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTAFRPRGKPSCWWSLPASSRRRMFSGCLERNCLVLEVEKWEAKRGEIWNRVLVLGFKSVVVTRFWKLRDLPVRVTAEERRRQKFIGAPPVLNSGELKQKPFYKMSAIFMEEGEKVIC >itb09g19470.t1 pep chromosome:ASM357664v1:9:15700756:15701157:1 gene:itb09g19470 transcript:itb09g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSSEAAAAITLLSVFLGLWGRSEASSLYTCNSAGYGENPDFASALDYTLSLLLDITPQQPDIHNVCTQYPNPPLVFAQAICKYESSQADCALCLAYLRGFLLVNCAGRIGGRAANDICYLRYENYYVCDM >itb12g15530.t1 pep chromosome:ASM357664v1:12:15867906:15868287:-1 gene:itb12g15530 transcript:itb12g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPTDLAAAGLQNIRRSVELLHRAGYSLSPLIAVQKELRRIPKQSDSPIDAVKDVRSLVNDQNGVSPPQVGISTGVAEVYDAMAMASQAKGTTVMAAIKR >itb03g06830.t1 pep chromosome:ASM357664v1:3:4942617:4943818:1 gene:itb03g06830 transcript:itb03g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQLQLNNLSVAKDVGKAFGLLAGLASDRLPTSLILIIGGLEGLIGYGTQWLVVSARIQPLSYWQMCVFLCMGGNSTTWMNTAVLVTCMRNFKNNQGPVSGILKGYLGLSSAIFTDLCTALFSSDPSAFLLILALIPAVVCLIAAFFLRESPPAPTEERQYSHIFLFFNVVAFTVAIYLSIFNFTGSHGQVVSLVFAIALLVLLVAPLVVPIYLMVDELGLSSLDSEGQGKEPLLIKNEDGNVKVVSEVRTRAMIGEDHTRLKHC >itb03g19320.t1 pep chromosome:ASM357664v1:3:17418089:17426295:1 gene:itb03g19320 transcript:itb03g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSDSEAVQGSAATLRQAFGNVFSLLILILIGVMAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWILNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLMAAALLGMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSPRLYIAYAPLVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIINVVALVYYIKGMLSPKMFKVAVTLVVSAGLIVCCAVVAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIVACFLPLSDASSFAVLYLVTSVYFSGVMVRLMLVLAPAACIMSGIALSQAFDVFTRSIKFPSPNASENPQINAGDTANADSKSDVVKAAKNEEPVKVRHSRKSKKEKDNVEKAPSSSRPEKRLLSLPPEFSVIGLLLLALLGAFYVVHSVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFQSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKVFKLTHFEEVFTTHHWMVRIYKLKSPKNRIRGKTKKSKLSKSSSTSNSKRSKTRKKNPWQ >itb06g16960.t1 pep chromosome:ASM357664v1:6:20932326:20935196:1 gene:itb06g16960 transcript:itb06g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSESESDETKEQPLDEDDIAFPNTDDIGPCVEAIDEAEEEIQNLEKKIKDLLPLRINNTALAPPSKWDRDFDMQILIGADKALKVATCTRIINPNSKDTRYLINFHGPRHYVVGLGDTVPPTDIEEGMRVGVDRRTYKIMVPLPPKMNHNVRMMIVEERPNVTYDDIGGCREQIKTLREVVELPMLYPEKFARLGIEPPKGVLCHGLPGTGKTLVARALANRTSACFIRVISSVLIREGPPMVRQLFQMARCKKPCILFFDEVDAIGCSRLKGHGKEIVRTAKRSRFEGHGEDRDIEVQRAILLELLNQLDGIDPRRNIMVLMETNRTDLLDPAFVRVDRHVAFGLPDAPSRTEIFKIHTRTMNCERDIRFEVLARLCPNSTGADIRNVCTEAGMFAIRERRERVSEGDFLAAIHKVIKGYNRFSTTQKYML >itb01g34460.t1 pep chromosome:ASM357664v1:1:37151388:37156013:1 gene:itb01g34460 transcript:itb01g34460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFASASAISDQRQKIEQYKHILSTVLASNDIVQAKRFIDHMLSDDVPLVVSRQLVHTFAQELGKLEPEFQKEIAHYTLNQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLESGMRVVDDNFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSKNEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEIDEAALEQALAAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQQALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPQKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQSLNDVLDSMAKKGLPIPI >itb03g02360.t1 pep chromosome:ASM357664v1:3:1315551:1316478:-1 gene:itb03g02360 transcript:itb03g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRFRVAGLTLLFIVSLLALERLCSANAHAHQASGGKVNGGEQELANCEGFGYGRKGLGGRNGYNSFQQKPETKMPTKLGPGKAVSSRAESRAFLQATYEVYQLMRRDYGGHGRPRRKPPINNHEPTD >itb05g13920.t1 pep chromosome:ASM357664v1:5:20988774:20993002:-1 gene:itb05g13920 transcript:itb05g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRTQAEDESRSRDSRGQVDCDSILYSSSKSSLTSISGGGVSSFIEFQPKVKSGFVRSEKYTKGKAEKKDYYERDIVWAKCGKKCPAWPAIVIDPLWQAPESVLRACVADAISFQAGLWKTINKLQCLKRLKLSAWVFEGIYYPNLHLVQCKCASCGTRKQTLNEWERHTGSRAKKWKLSVKVKGSMITLEKWIRDHSVAAVKLDHQQLFAFLQAKFRERLEPNKDKIPAHIIQVIEELTKLQLLEASSSEFNVTRNYLDWLTALPWGTYSNENFDVLGAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGW >itb12g17370.t1 pep chromosome:ASM357664v1:12:19384390:19385778:-1 gene:itb12g17370 transcript:itb12g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILSSSSSSSIPNSLQKRIQFFLHNRPEWWVYAIFWQATKGGHGRVVLSWGDGHFRGTKGVGPSRSNPLQLQNKFEGEGLVEGNVTEYAEWYYMVSMTKSFAAPDDLIVQTFDSGSYIWLSDSNQVQFYHSDRAKEAHLHGINTLVCFSTSAGVIELGSSDSIHEHWELLQIGRSIFNAQNTSLPQTQSQDLFPFSQDLNQCLSPIDFRLGDPHQEKEKESCRAVDLHGPNSDIKKEVLVINDLSPDSGNSDFFETTLTHQSAMVGSRKRSRKGALTGREMAMNHVEAERQRREKLNHRFYALRSVVPNVSKMDKASLLADAVTYINRLKAKVEDLENKLGTPGENQMSRKRIMEIMHDAQSTTTSTVDHVMGGGCAFGAMDVEVKIIGSEAMIRVHSPDVNYPAARLMNVLREMELKIHHASVSSVRDLMLQDVVIRVPDGLTNEEDALKAVILRRLQA >itb03g19770.t1 pep chromosome:ASM357664v1:3:17769143:17770677:-1 gene:itb03g19770 transcript:itb03g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNNIVAPFVMKTYQMVNDPKLDALIAWGRDNNSFVVVDPLDFSQKILPAFFKHSNFSSFVRQLNTYGFRKVDPDKWEFANEWFLRGQTHLLKNIVRKKHGGIGRISVQHRHEEGEDDDEEIVAEIERLKEEQKALEIELQSMNKRLEATERRPQQMMTFLGKFVDDPEILTRMMLEKERARRLSLSSGEKRRKLAMTSESSSVKSEELEEEVIIRGGGGGGAISSISSPDANFDVDTFCQSSPEPPSPAAVWLSGGGQLVGGGWPMILNVNDLGKNNCAAISTTSLSSGSSESCSYTVATPLDGLPRGTGDSSCYGGGGGGGASLSGEAASPSPPPPYPFSLLGGGF >itb07g18300.t1 pep chromosome:ASM357664v1:7:22740395:22742386:1 gene:itb07g18300 transcript:itb07g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLDKKIVKKRVKKFKRPQSDRYISVKESWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFLVHNVQELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >itb05g11480.t1 pep chromosome:ASM357664v1:5:17525068:17525442:-1 gene:itb05g11480 transcript:itb05g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSAIILLLLNTVIAMAAIGGVATVPNQGPKAVDEWFQRLPHARQKLTKLHFFFHDTVTGKNPTALQIAAANTTASSPTRFNIVIYLFVYLTSTRSWSDGNVNFTLILLYTCFIINNLCVI >itb10g21130.t2 pep chromosome:ASM357664v1:10:26458173:26461169:-1 gene:itb10g21130 transcript:itb10g21130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLEIISPYNLYLNPKLVVKHYEFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFLYMLLFGATVLTGIVLIGGMIPYVSESFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLVYSSTNLKTVGFVLLYCSLSFGVVLKLHGSFWHKVNLVETPLPNASA >itb10g21130.t3 pep chromosome:ASM357664v1:10:26459347:26461169:-1 gene:itb10g21130 transcript:itb10g21130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLEIISPYNLYLNPKLVVKHYEFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFLYMLLFGATVLTGIVLIGGMIPYVSESFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVGDS >itb10g21130.t1 pep chromosome:ASM357664v1:10:26458173:26461169:-1 gene:itb10g21130 transcript:itb10g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAVVTTIGCSLEIISPYNLYLNPKLVVKHYEFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFLYMLLFGATVLTGIVLIGGMIPYVSESFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPQMTGRRPLKTPAFIRSLFAEEPVVMAQPANVRFAPPPIEEDQQN >itb06g14360.t1 pep chromosome:ASM357664v1:6:18931467:18934239:1 gene:itb06g14360 transcript:itb06g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVTTLIFVVVGVIASLCTRICCNRGPSTNLFHLTLIITATVCCWMMWAIVYLAQMNPLIVPILSEGE >itb01g10380.t1 pep chromosome:ASM357664v1:1:8625104:8626285:1 gene:itb01g10380 transcript:itb01g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFPFSLIIITFITLLFLSFNLIKNVTKKSKKSSSVSVGGKVPPGPWKLPFIGSIHHLVGSAPPNHVLRDLARKHGGIMQLQLGEISAVVISTPRAAKQVLKTHDVAFASRPEILASKIMMNNEDIAFAPYGEYWRQMRKICTLELLSVKMVSSFSPIREDEVSKMVQCVKSCSGSGSGSPLNLTEKIFQYTNAVVCRAAFGTKFKDELGVVSLINEGVALAGGFDVADLFPSRRFLHLLTGMEARLRKLRRRTDQIFDQIIEQHRQKRKVDGFCDEDIVDVLLRL >itb07g15240.t1 pep chromosome:ASM357664v1:7:18218051:18218314:1 gene:itb07g15240 transcript:itb07g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTQSKTLMYARKADLISELPADVKERILELLSIRDAARTALLSTHWNHVWLRHGRLVFDADFFQCVSSKGKADKVTARSGEHNK >itb01g05900.t1 pep chromosome:ASM357664v1:1:4102473:4110013:1 gene:itb01g05900 transcript:itb01g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDEAKDIEKLYVYGERLNEAKDKSQHVEDYESILTAAKSNSIKARQLAAQLIPRFFKYFPSLSVSAVDAHLDLCEAEELGIRVQAIRGLPLFCKDTPEHLSKIVDILAQLLTAEENVERDAVHKALLSLLRQDVKASLTALFKHIESIDEQMTDENLRERTLIFIRDKVFPIKTELLKPPEQMERHITDLIKKSLQDVTGAEFKMFMDFLKNLSIFGEKAPPERVQELIEIIEGQADLDAQFNVDDGDHIDRLISCLYMAIPFFERGASNSKFLNYLNKHIFPVFDKLPEDRKVDLLKNLAESSPYTAPQDSRHILPSVVQLLKKYMPRRKAGEEMNFTYVECLLYTFNNLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSECYKDFTERLKCVEDLARATIKKLTQGMAEHNKELAAAKTDEEKAKIKTQKQNATAGLRTCNNILAMTQPLHSKSPSFIGDKKVNLSWREVPKSSAPSTAKAAGAKRSAGAVNGSSNMASKKGRGGGNPHQLVNRAFEGLGYGGGRGGGRGRGRGRGPRGRGRGYR >itb03g15370.t1 pep chromosome:ASM357664v1:3:14733655:14737642:-1 gene:itb03g15370 transcript:itb03g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPSAAQKPRSVEDIFKDFSGRRSAVLDALGRDVEEFFSLCDPDKDNLCLYGLPDGTWEVALPAEEVPPEMPEPVLGINFARDGMRRGDWVALVAMHTDSWLLSVAFYFGARLNQLERERLFRLINDQPTVHEVVTGKRMSKDKPSADSGPKSKPSTKNSKQPKGNPTRKESYEHDDDENEDEDEDEDEDEGGDDESNAICGSCGENYDEKGFWIGCDICERWFHGKCVKITPAKAESIKKYKCPFCSKKRGRE >itb03g17580.t2 pep chromosome:ASM357664v1:3:16144452:16148387:1 gene:itb03g17580 transcript:itb03g17580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAHLPPRCPLQKKTIACPNQGPVSPTLHKDNRFRPQHHKSASQSLIIDEQPAWLEELLCESESNGCGVLHRRSASDSLTLLDGILPLPNLNKLDEAEESGGGCGEPDDCLYGPNSPRGKSKVTFPDNAIVSALSEYVSQNALQCSDWSLSFPETAQQDPAGDTSGSTGENNMEAKPVKRHPGQRSRVRKLQYIAELERTVDTLQTLRSDLAAKVASLLQQHIALSLENSELKQKLIQIQQEKLLVDAQYCSLRKELERQVAAPKLQGKFRARGAETSWQMLDFGKLDLN >itb03g17580.t3 pep chromosome:ASM357664v1:3:16144738:16148387:1 gene:itb03g17580 transcript:itb03g17580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAHLPPRCPLQKKTIACPNQGPVSPTLHKDNRFRPQHHKSASQSLIIDEQPAWLEELLCESESNGCGVLHRRSASDSLTLLDGILPLPNLNKLDEAEESGGGCGEPDDCLYGPNSPRGKSKVTFPDNAIVSALSEYVSQNALQCSDWSLSFPETAQQDPAGDTSGSTGENNMEAKPVKRHPGQRSRVRKLQYIAELERTVDTLQTLRSDLAAKVASLLQQHIALSLENSELKQKLIQIQQEKLLVDAQYCSLRKELERQVAAPKLQGKFRARGAETSWQMLDFGKLDLN >itb03g17580.t1 pep chromosome:ASM357664v1:3:16144399:16148387:1 gene:itb03g17580 transcript:itb03g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAHLPPRCPLQKKTIACPNQGPVSPTLHKDNRFRPQHHKSASQSLIIDEQPAWLEELLCESESNGCGVLHRRSASDSLTLLDGILPLPNLNKLDEAEESGGGCGEPDDCLYGPNSPRGKSKVTFPDNAIVSALSEYVSQNALQCSDWSLSFPETAQQDPAGDTSGSTGENNMEAKPVKRHPGQRSRVRKLQYIAELERTVDTLQTLRSDLAAKVASLLQQHIALSLENSELKQKLIQIQQEKLLVDAQYCSLRKELERQVAAPKLQGKFRARGAETSWQMLDFGKLDLN >itb06g10820.t1 pep chromosome:ASM357664v1:6:15325395:15328182:-1 gene:itb06g10820 transcript:itb06g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKPSSRYAAAKSSLEPRSSARSDPSSSAELTPKSHILLRATSRDSSRALVPANPTRSKSSAHSSSFSAMVKKLVEQKSSSAMAKQKQEYKLALPIEDLKKTARKGTGLGALHKKLFKGSARREEGSEMKALTEIKGNARTLAMVLRSERELLSMNKEQENQISELKLMLDEKNREVEKLKDLCLKQREDIKSLKNAILFPDVMNSQVQETLENQSSELKQARQLIPSLQRQVTSLTGQLQCLAEDLAEVKAEKYSVRGFNDVTSPCTPEYDQEEATNSLEFSYGDQTTPGSPDDMFLKDLNPCLTPYAKTKSKEFDSFVSQDEQNLHRKNSTIHRNTSYNSCGRKVSKSSDGCHCSKSGNKLARRSDESKLTYGKMKHKL >itb13g12850.t1 pep chromosome:ASM357664v1:13:19250492:19252177:1 gene:itb13g12850 transcript:itb13g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHASSSFHSQFIYCSDFDDTSIWDEMNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb12g27880.t1 pep chromosome:ASM357664v1:12:28066706:28067555:-1 gene:itb12g27880 transcript:itb12g27880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNESGGIKRRGLVKAGGIPISMPTFMLLTPYTLTHYNTKLTSSTHSPLSSLSFDSSMEDDERHGNAPNVGLRASPESSTCSKNNNNINNKEQDRFLPIANVGRIMKKAVPANGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDILWAITTLGFEDYVNPLKLYLNKYRELEGEKQLNVPKQQQQPQQNVVVDHQNPLNLGAVYNPCGSNVYTSSNNSPAGLLSHHPSYVSSSDHHLQPFLNLPFPTHQNAIQAQFTKQEHIDSVGHWQ >itb03g25360.t1 pep chromosome:ASM357664v1:3:24374628:24377974:1 gene:itb03g25360 transcript:itb03g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVKIICSIVGFLGLLSAATGFAAEAKRIKDSQVQYTSTSECVYPRSPALGLGLTAAVALLVAQIIINAETGCICRRKDPHQSNTNWTLTLVCFLASWFTFSIACLLLLTGAALNDQHGEKNFYFGYYYCFVVKPGVFAGAAVLSLATVVLGIIYYLSMISAKNMNNQLHPPNQGGIVMGQPQFPTQNTQEPVFVHEDTYMRRQLA >itb10g16760.t1 pep chromosome:ASM357664v1:10:23034400:23040479:1 gene:itb10g16760 transcript:itb10g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSNGLPMPNPQDDWGDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCVRYVKSEKLFACDKCKNKNSRNDSEETEVAQLLVELPTKTLNMENPFNPSFPSQRPFRLWTELPMEERVHVQGVPGGDPALFAGISSIFSPQLWKCTGYVPKKFNFQYREFPCWDTENNVGDDKQRGGNNETTTDNGAGVLLSLSKESNLLAPVSNSVAVKSDAKCDVSSSKQTKRLDGSDSDGIKLHTNVKKDASSVHRITIHSGKRKKEEFGISKDHGGKMRKKAQIVEKEGDFKKKDPHLRTACKILNGEKEMQLEGALPNDRLAEGPQRLDTDCGYYKGTSNSSDQHSKMSSFDASKQNSSSETLLQEQNLNQAASKVQNLQREKDGMAASILDPSQSANLPIKEEDGAGGREASTISAKIELQKSESGTTATVSDDLEVKSLQNCRDLTGDNVTCSSVPETEAKADDVHGDFDIQSSSPCDAMLERTRSLPHHADTSNILVYESVKVNDAPVVNTEGRDHKSQDVDSSNTILGNNKMKNADGLSRDLFQSNQESTISEDTERAKNSSSGLKHGTKPAEDVAKPSSTTISTATAPSQRKVIVTMGKSSSTSSTSLVPKPSASESCVSSNAHNHDINSMQRGKSDNNLSSKMEATSFNVARDEEGHENPKKVVKELPKSSVGSASKAQVTKITYASSSKRTLSDSKDSIPHSSHRTISVRNVSANSGSGESSSSLQSEGASSVQNKPSGTILHQKGDKVNQSGSQPSVKVSATLMHPPTLSSSPAALSDEELALLLHQQLNSSPRVPRVPRMRHAGSLPTLTSPAATSTLMKRTSSGGVKDHGLTSRRRKDSGKDGSISSREGVDEAKKREGLTSPDYRREDTHAKREAEIGSSKSVLSLKKTSPSSTAAGSNGCPSSHEAKEQKLSSRNSQRSTVGDDARVTGRPSHRTLPALIAEIMSKGERMTYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRSEWACLVDRGPKTSTSRKRRKLDNDSHSNELEDNEDGSGRDRSGKDVSSSKSLESPQEEYPKRKRNIRKRRRLALRGRGIKDVRRRHRAGVVSDDEDDETASLSNSSDDSMFSEDDMEGATSPATKEASGSSDDRTMS >itb10g16760.t2 pep chromosome:ASM357664v1:10:23034400:23040479:1 gene:itb10g16760 transcript:itb10g16760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSNGLPMPNPQDDWGDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCVRYVKSEKLFACDKCKNKNSRNDSEETEVAQLLVELPTKTLNMENPFNPSFPSQRPFRLWTELPMEERVHVQGVPGGDPALFAGISSIFSPQLWKCTGYVPKKFNFQYREFPCWDTENNVGDDKQRGGNNETTTDNGAGVLLSLSKESNLLAPVSNSVAVKSDAKCDVSSSKQTKRLDGSDSDGIKLHTNVKKDASSVHRITIHSGKRKKEEFGISKDHGGKMRKKAQIVEKEGDFKKKDPHLRTACKILNGEKEMQLEGALPNDRLAEGPQRLDTDCGYYKGTSNSSDQHSKMSSFDASKQNSSSETLLQEQNLNQAASKVQNLQREKDGMAASILDPSQSANLPIKEEDGAGGREASTISAKIELQKSESGTTATVSDDLEVKSLQNCRDLTGDNVTCSSVPETEAKADDVHGDFDIQSSSPCDAMLERTRSLPHHADTSNILVYESVKVNDAPVVNTEESTISEDTERAKNSSSGLKHGTKPAEDVAKPSSTTISTATAPSQRKVIVTMGKSSSTSSTSLVPKPSASESCVSSNAHNHDINSMQRGKSDNNLSSKMEATSFNVARDEEGHENPKKVVKELPKSSVGSASKAQVTKITYASSSKRTLSDSKDSIPHSSHRTISVRNVSANSGSGESSSSLQSEGASSVQNKPSGTILHQKGDKVNQSGSQPSVKVSATLMHPPTLSSSPAALSDEELALLLHQQLNSSPRVPRVPRMRHAGSLPTLTSPAATSTLMKRTSSGGVKDHGLTSRRRKDSGKDGSISSREGVDEAKKREGLTSPDYRREDTHAKREAEIGSSKSVLSLKKTSPSSTAAGSNGCPSSHEAKEQKLSSRNSQRSTVGDDARVTGRPSHRTLPALIAEIMSKGERMTYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRSEWACLVDRGPKTSTSRKRRKLDNDSHSNELEDNEDGSGRDRSGKDVSSSKSLESPQEEYPKRKRNIRKRRRLALRGRGIKDVRRRHRAGVVSDDEDDETASLSNSSDDSMFSEDDMEGATSPATKEASGSSDDRTMS >itb14g17920.t1 pep chromosome:ASM357664v1:14:21101255:21102880:1 gene:itb14g17920 transcript:itb14g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSRTKSKTGIHRTTSANFSCSSFKDIQTLCADEPVLSSDSPTPTQTKKSTNIFHRVRRANSVLRVFSGPDSQPKPGPGSEPGPDPKPHCLPVQGQKHGADKPEPSISLPGSENRIVVYLTSLRVVRRTFLDCKDVQAILRSFRVSIDERDLSMDGRFMDELRAIMGVREKRKLTLPRVFIGGRYIGGAEEIRQMHEAGELKQYVEGLPPAKPGTCEVCGGHRFLLCADCDGSRKYYSEKAGFRVCKTCNENGLIRCSTCSVAPAPPPI >itb04g07500.t1 pep chromosome:ASM357664v1:4:5117918:5123794:1 gene:itb04g07500 transcript:itb04g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALQFVRTPNSRQYLPKPYETRLIKPLAFPVPSRVTARARGRVRISCGLIEPDGGSLVELFVKEGEREAAREVASGLPQIKLSKIDLQWVHVLSEGWASPLKGFQRESEFLQTLHFNSLRLGDGSVVNMSVPIVLAVDDSQKSRIGESSAVALVDENDRAVAILKDIEIYKHNKEERIARTWGTTAPGLPYVEEALTNAGNWLVGGDLEVIEPIKYNDGLDRFRLSPKELRDEFSRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGYTKADDVPLNWRMKQHEKVLEEGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPIEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQNKMAFFDPSRPQDFLFISGTKMRTLAKNKENPPDGFMCPGGWQVLVEYYDSLSLAENGRAPEPVSV >itb06g16140.t1 pep chromosome:ASM357664v1:6:20345171:20349520:1 gene:itb06g16140 transcript:itb06g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHCDFNDYEPTLMTGDAAGYVLESVPHLSDFIPNLPTYPNPLQDNPSYSFVKQYFVDEDDCVAEKVVVQKTSRRGVHFRRAGPRQKVYFKSDDVLACIVTCGGLCPGSNTVIRELVCGLHYMYGVKNVLGIEMGFKGFYARNTVPLTPEVVNDIHKRGGTILGTSKGGYDTSKIVDSIQDRGFNQVYIIGGDGTQKGASLIFEEIRKRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAIDSAHVEAASAENGIGVVKLMGRYSGFIALYATLASRDVDCCLIPESPFHLEGPGGLFEYIKRRLWENGHMVIVIAEGAGRELLLSESTQDKNQQDQSGNKLLPDVGHWLSQKIKEHFKKQRKLDINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAIHSAMAGYTGFVVGSVNGRHSQIPFHRVIGKQNRVVVTDRMWARVLASTNQPSFFLDLRAQQTKLLDGENGGEENSHTG >itb01g12390.t1 pep chromosome:ASM357664v1:1:11913034:11915270:1 gene:itb01g12390 transcript:itb01g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLDKTFELKEIHKLEGHSDRVWSVAWKPATGTDGVPAVLASCSGDKTVRIWEQSSPSGSFHCEVWNFYLDFSIFSERKKEIRLGHTQDVKMVQWHPSVDILFSCSYDNTIKVWAEEGDSDDWHCIQTLAESSSGHTSTVWALSFNFSGDKMVTCSDDLTLKIWSADIARLQSGGILALSLGSGYHDGRVFSVHWSRHQLSFYLLKRMICVEQLMMMLYAYLLRMKTNRYYFTYLRSKNPHHH >itb05g02630.t1 pep chromosome:ASM357664v1:5:2106563:2107568:1 gene:itb05g02630 transcript:itb05g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA6 [Source:Projected from Arabidopsis thaliana (AT2G40170) UniProtKB/Swiss-Prot;Acc:Q02973] MASQQQNKAELDRRAREGETVVPGGTGGKSLEAQQHLAEGRQKGGQTRKEQLGTEGYQQMGRKGGLSTGDESGGERAEREGIDIDESKFRNT >itb11g05090.t1 pep chromosome:ASM357664v1:11:2961627:2963158:-1 gene:itb11g05090 transcript:itb11g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MMGSYSSNYLRLLSYTKLLTSHVNEARHEKALSLFRHIRATLPLDPFVFPLVLKSCAALRRPFLGAALHAHLHKVNFISNPFVACALVDMYGKCVSIAHAHQLFDEVPVRNIVVWNSMISLYTHAGNMPAALELLRVMDILPNESTFNCIIAGLLDTEDGFSKAIVIYRKMQRMALKPTLITVLALLRASVGIAALNLTKEIHGFSIRNDIDPHPQLRSGLVEAYGRCGCLGNARTVFWSMREKDVVAWSSLISAYALHGEARIALEIFDQMEMANIKPDGITFLVVLKACSHAGLADEARMHFSRMQDRYGVEATSDHYACLVDVLSRAGKLYEAYDVIKQMPVKVTAKAWGALLTACRTYGEVELAEVAAKALFEIESDNPANFVALARIYASKGRYEETQKLRREMKERGVRTAPGSSWVVHQDSV >itb11g05090.t2 pep chromosome:ASM357664v1:11:2959618:2963158:-1 gene:itb11g05090 transcript:itb11g05090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MMGSYSSNYLRLLSYTKLLTSHVNEARHEKALSLFRHIRATLPLDPFVFPLVLKSCAALRRPFLGAALHAHLHKVNFISNPFVACALVDMYGKCVSIAHAHQLFDEVPVRNIVVWNSMISLYTHAGNMPAALELLRVMDILPNESTFNCIIAGLLDTEDGFSKAIVIYRKMQRMALKPTLITVLALLRASVGIAALNLTKEIHGFSIRNDIDPHPQLRSGLVEAYGRCGCLGNARTVFWSMREKDVVAWSSLISAYALHGEARIALEIFDQMEMANIKPDGITFLVVLKACSHAGLADEARMHFSRMQDRYGVEATSDHYACLVDVLSRAGKLYEAYDVIKQMPVKVTAKAWGALLTACRTYGEVELAEVAAKALFEIESDNPANFVALARIYASKGRYEETQKLRREMKERGVRTAPGSSWVVHQDSV >itb06g20170.t1 pep chromosome:ASM357664v1:6:23261741:23265025:1 gene:itb06g20170 transcript:itb06g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTDQLSPEQREAESIAASTGALPTLQKSFSVLSDPQTNSIPIESLKKCFSFTIENSGHEGNGVPKDFPVLLSYLSSCIVDQFFFSDGEVGWVEFLKGYSNCCAMTIGSASFNNLFRLFAMVSSEAGLPAKLQFGSDDDDNKVSGYLLPVDLLMLLWMCWVMWWDCKKLNCGESLGDSGLPDVTNLVWSAVESCAEEGGKLDPWECNVLETFVQLPAGKITMWALKTVPNLTDCLSHFVHARLSHSANLEGKSNQPGPFVFDVSPKENSISNLITCGRAWAISLTLRGTMCDEISKACFPSSADDLNDLILYRSSVHGKGLTRFWSNVEGYNAPLLILIAAYEPKNDERKWIIGALINQGLESKDSFYGTSGSLYAISPVFNVFISSGKEKNIVYSHLHPTVKMYEPRPKPVGLAFGGSLGHERIFLEEDFAGVTIRHHAADRTYQHGSLFAGQGFLTHEASVLDVEVWGLGGKKAKETQTAYKKREELFTEQRRKVDLKTFGNWEDSPEKMMMDMITDPNAVRREDR >itb11g07560.t1 pep chromosome:ASM357664v1:11:4654162:4657757:-1 gene:itb11g07560 transcript:itb11g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWRRAKQGLGLNLCAFVPTTRLDDDSLPSSSDAAPATSASHVIKLSRSISRSSKNTCAICLASMKRGDGHAIFIAECSHSFHFNCISSNVKHGNQVCPICRAKWKDIPLQCPSLDAPPGRVRVNPVDWPQNNALVTAHHSPRPHPNQVPEPSVFDDDESLGHQLDSAQRIESGNRTVEINMFPEVPSFRKFDSCDQFTALVHLKAPNSIPRQNNEVDVVQVTQSPRAPIDLVTVLDISGSMAGTKLALLKRAMGFVIQKLGPNDRLAVIAFSSTACRLFPLLRMSGRGRHKALQAVNSLVANGWTNIAQGLRKGAKIMEDRREKNPVASIILLSDGKDTPTVNLSGGNGSTFKIPVHTFGFGADHDASLMHRVSEVSGGTFSFIETESVIQDAFAQCIAGLLSVVVKELRLSIECVHPGVRVGSLRVGSYSNHIMPDGRMGFIDVGDLYADEERDFLVSINVPNEVSSYETSLLKVRCVYKDPLTREIVEFGSEELRIERPEVGEQASVSIEVDRHRNRLQAAEAMAQAQAAADKGDLVGATSVLENSRKALAMSVSAKSHDRLCIALDAELKEMQERMASRHVYEVSGRAYILSGLSSHSWQRATTRGDSTDESSLIWAYQTPSMIEMVTNSQAALLGSPSAQRLVRPVWSFGLQPNPR >itb11g07560.t2 pep chromosome:ASM357664v1:11:4654162:4656331:-1 gene:itb11g07560 transcript:itb11g07560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGDGHAIFIAECSHSFHFNCISSNVKHGNQVCPICRAKWKDIPLQCPSLDAPPGRVRVNPVDWPQNNALVTAHHSPRPHPNQVPEPSVFDDDESLGHQLDSAQRIESGNRTVEINMFPEVPSFRKFDSCDQFTALVHLKAPNSIPRQNNEVDVVQVTQSPRAPIDLVTVLDISGSMAGTKLALLKRAMGFVIQKLGPNDRLAVIAFSSTACRLFPLLRMSGRGRHKALQAVNSLVANGWTNIAQGLRKGAKIMEDRREKNPVASIILLSDGKDTPTVNLSGGNGSTFKIPVHTFGFGADHDASLMHRVSEVSGGTFSFIETESVIQDAFAQCIAGLLSVVVKELRLSIECVHPGVRVGSLRVGSYSNHIMPDGRMGFIDVGDLYADEERDFLVSINVPNEVSSYETSLLKVRCVYKDPLTREIVEFGSEELRIERPEVGEQASVSIEVDRHRNRLQAAEAMAQAQAAADKGDLVGATSVLENSRKALAMSVSAKSHDRLCIALDAELKEMQERMASRHVYEVSGRAYILSGLSSHSWQRATTRGDSTDESSLIWAYQTPSMIEMVTNSQAALLGSPSAQRLVRPVWSFGLQPNPR >itb01g29580.t3 pep chromosome:ASM357664v1:1:33822922:33826100:1 gene:itb01g29580 transcript:itb01g29580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] MSLVRSSTPFGNRKLYLLNGHGDNSTGLSASMFNPEKREIAYAAESYSSGSYDANYFLDSPSPSSELVHPSAPEALVNSFQHPPPPCPVSSGENSLSSIQSLRKCDTYQINYDSEYISSQSPDPLDFEEGNVRLKLQELERALLDDDNDDDAMFGCTQSMEVDGQWADPICSLFQNDSPKESSSSESNVSTSSSNKLDTHTQQTPKQLLFSCAAAIQHGNLEQASAMINKLRQMVSIQGEPCDRIAAYMVEALAARMDTSGKGLYKALKCKAPPSNDRLSAMQVLFEVCPCFRFGFMAANGAILEALTGEKNVHIIDFDINQGSQYYTLLQTLASMPGKPPHLRVTGIDDPESVQRPTGGLRLIGLRLEKLAEDLKLPFEFRAMPAQSELVAPTMLGCQPGETVIVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLNPKLVTVVEQDVNTNTTPFLPRFAEAYNYYSAVFESLDATLPRDSQERMNVERHCLARDIINIIACEGEERIERYEVAGKWRARMMMAGFNSCPISRNVNDSIRKLIKQYSERYKVKEDAGSLHFGWEDKILIVASAWR >itb01g29580.t2 pep chromosome:ASM357664v1:1:33822636:33826100:1 gene:itb01g29580 transcript:itb01g29580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] MSLVRSSTPFGNRKLYLLNGHGDNSTGLSASMFNPEKREIAYAAESYSSGSYDANYFLDSPSPSSELVHPSAPEALVNSFQHPPPPCPVSSGENSLSSIQSLRKCDTYQINYDSEYISSQSPDPLDFEEGNVRLKLQELERALLDDDNDDDAMFGCTQSMEVDGQWADPICSLFQNDSPKESSSSESNVSTSSSNKLDTHTQQTPKQLLFSCAAAIQHGNLEQASAMINKLRQMVSIQGEPCDRIAAYMVEALAARMDTSGKGLYKALKCKAPPSNDRLSAMQVLFEVCPCFRFGFMAANGAILEALTGEKNVHIIDFDINQGSQYYTLLQTLASMPGKPPHLRVTGIDDPESVQRPTGGLRLIGLRLEKLAEDLKLPFEFRAMPAQSELVAPTMLGCQPGETVIVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLNPKLVTVVEQDVNTNTTPFLPRFAEAYNYYSAVFESLDATLPRDSQERMNVERHCLARDIINIIACEGEERIERYEVAGKWRARMMMAGFNSCPISRNVNDSIRKLIKQYSERYKVKEDAGSLHFGWEDKILIVASAWR >itb01g29580.t1 pep chromosome:ASM357664v1:1:33822555:33826100:1 gene:itb01g29580 transcript:itb01g29580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] MSLVRSSTPFGNRKLYLLNGHGDNSTGLSASMFNPEKREIAYAAESYSSGSYDANYFLDSPSPSSELVHPSAPEALVNSFQHPPPPCPVSSGENSLSSIQSLRKCDTYQINYDSEYISSQSPDPLDFEEGNVRLKLQELERALLDDDNDDDAMFGCTQSMEVDGQWADPICSLFQNDSPKESSSSESNVSTSSSNKLDTHTQQTPKQLLFSCAAAIQHGNLEQASAMINKLRQMVSIQGEPCDRIAAYMVEALAARMDTSGKGLYKALKCKAPPSNDRLSAMQVLFEVCPCFRFGFMAANGAILEALTGEKNVHIIDFDINQGSQYYTLLQTLASMPGKPPHLRVTGIDDPESVQRPTGGLRLIGLRLEKLAEDLKLPFEFRAMPAQSELVAPTMLGCQPGETVIVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLNPKLVTVVEQDVNTNTTPFLPRFAEAYNYYSAVFESLDATLPRDSQERMNVERHCLARDIINIIACEGEERIERYEVAGKWRARMMMAGFNSCPISRNVNDSIRKLIKQYSERYKVKEDAGSLHFGWEDKILIVASAWR >itb11g04630.t2 pep chromosome:ASM357664v1:11:2450193:2454359:-1 gene:itb11g04630 transcript:itb11g04630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRRSKMLARNGMFYPIIVFAAFLAFIYLTFGDLWVSSPEETLKLSFVERNGTQFYADGQVFYVNGWNSYWFMEHAVDVGRRPRVRTMLQAAAKMGLTVCRTWAFNDGAYNALQISPGKFDERVFRALDLVIAEARRHGIRLILCLVNNLKAYGGKTQYVKWAWQEGIGLSSSNDSFFYDPNIRRYFKNYVKTVLTRKNTVTGLEYRDDPTIFAWELINEPRCMTDPTGDTLQVWIEEMSSFVKSIDRNHLLTVGLEGFYGPKDPKRLKINPELWAADLGSDFIRNSNLSTIDFASVHVYPDHWFKNQTFQDKLKFARKWILSHIEDGERVLKKPIMFTEFGLAGENKEVEPYQRDRFFKLILEIMYKSAKHNKSGAGSVFWQFLVERMEEYNDEFGIVPWERPSTYNLITNHSCRLARVQGVLPSQQEHLKSFCFKTQ >itb11g04630.t1 pep chromosome:ASM357664v1:11:2450191:2454390:-1 gene:itb11g04630 transcript:itb11g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRRSKMLARNGMFYPIIVFAAFLAFIYLTFGDLWVSSPEETLKLSFVERNGTQFYADGQVFYVNGWNSYWFMEHAVDVGRRPRVRTMLQAAAKMGLTVCRTWAFNDGAYNALQISPGKFDERVFRALDLVIAEARRHGIRLILCLVNNLKAYGGKTQYVKWAWQEGIGLSSSNDSFFYDPNIRRYFKNYVKTVLTRKNTVTGLEYRDDPTIFAWELINEPRCMTDPTGDTLQVWIEEMSSFVKSIDRNHLLTVGLEGFYGPKDPKRLKINPELWAADLGSDFIRNSNLSTIDFASVHVYPDHWFKNQTFQDKLKFARKWILSHIEDGERVLKKPIMFTEFGLAGENKEVEPYQRDRFFKLILEIMYKSAKHNKSGAGSVFWQFLVERMEEYNDEFGIVPWERPSTYNLITNHSCRLARVQGVLPSQQEHLKSFCFKTQ >itb08g17920.t1 pep chromosome:ASM357664v1:8:20805686:20807688:1 gene:itb08g17920 transcript:itb08g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKILLLSVILSLTFVAAKSIEFTEEDLASEERLWDLYERWRSHHTVSRDLDEKQKRFNVFKANVRYIHKVNKMDRPYKLKLNSFGDMTNFEFRSAYSSKIKHHRMFRGPRAVTGFMYEKAENLPTSIDWRTNGAVTPIKNQGKCGSCWAFSTVVGVEGINMIKTGQLLSLSEQELVDCEKDNEGCNGGLMENAFEFIEKEGGITTEILYPYIAKDENCDSSKMNAPVVMIDGHENVPENDEYALMKAVAHQPVSVAIDASGSDLQFYSEGVFTGDCGKELDHGVAIVGYGTTLDGTKYWTVKNSWGTGWGEKGYIRMQRGVEAEEGLCGIAMEASYPIKNSADNPKHATYKDEL >itb06g21830.t1 pep chromosome:ASM357664v1:6:24355256:24356595:1 gene:itb06g21830 transcript:itb06g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADRIKGPWSPEEDEMLERLVEKYGARNWSLIGNSIPGRSGKSCRLRWCNQLSPEVEHRPFTAEEDETIIRAHAKFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCGSSSADLSFNPPLKRSFSAGTPSDSDFSDIYKSIATTTGNGKLPLPLPLPSVFPVMETPSFSAADPVTSLSLSLPGSNEAPPLINRRNHLVPIPQLPPMSPPAKVYLPPQSFQFAAPPPPQAAEKPFFSPEFLAELQEMIRKEVRNYMAGVEHNGGLCTQAEAIQNAAAAAAIGIWHRQD >itb03g29380.t1 pep chromosome:ASM357664v1:3:30268967:30275045:1 gene:itb03g29380 transcript:itb03g29380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRGAAATVLRDRNLFLSLITKAANLPQLTQTHAQLILNGLSNDLIAVTKLTQRFSDLNAIAHAKLIFNAFNCISPPDLFLYNVILRGFSQNNSPFDSLSMYLRLRGKTKLIPDNFTYSFVVSGVSSSGYEKFGVLLHGHAIVSGYGSDLFVGSALVDMYMNFERIGHACKVFDGITGPDTVVWNTMVSGLARNCCFDDAVRVFRNMVVSGTPFDSTTLSVVLTAVAELQALRLGMMVHCLAVKAGYHFHDYVITGLISAYSKCGDVKTAEMLFEQIRKPDLISYNAMIAGFSCNSEIESSVRLFNKLLLSEQKVKSSTIVGLIPVYFPFGHLDLSCSIHGLCLKTGMITNPSVSTALTTVYCRLNEIESARQLFNESPEKSLASWNAMISGYTQNGLTEMAISLFLEMQKLDIHPNPVTITSILSACAQLGALSIGKWVHELINKENFESNIYVLTALVDMYAKCGSIDKARQLFDAMLEKNVVTWNAMISGYGLHGYGRESLMLFDKMLGSGVHPTGVTFLSLLYACSHAGLVVEGENVFYSMVHNHGIKPLSEHYACMVDLLGRAGKLEKALDFINKMPVEPGPAEWGALLAACMIHKDSNLAQLASEKLFELDTENVGYYVLLSNIYSADRNYPQAASVRQMVKKRKLAKIPGCTLIEVDGHPYVFKSSDQSHPQSSAIYAKLEELMEKMREAGFQAETSIALHDVEEEEKELMVKVHSEKLAISFGLITSEPGTEIRIIKNLRVCIDCHNFTKFISKITGRVIVVRDANRFHHFKDGTCSCGDYW >itb10g04350.t1 pep chromosome:ASM357664v1:10:4130409:4134347:-1 gene:itb10g04350 transcript:itb10g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKVCLALAILSSALLIMVQAGEPSSLEVEVEAMKAFKASILDDPLGSLVDWTDAKGHCNWTGVTCDPLSTRVVNISLIDMQLKGEISPSIGNLSNLQVLDLTLNFFTGKIPPQLGLCSHLLELILFSNFLSGPIPSELGGLGSLESLDFGNNFLNGSIPHALFNCTSLLQVSLIFNNLTGTIPADFGNLVNLELFVGYGNTLVGSIPSSIGRLKAIQAFDLSQNHLSGPIPPAIGNLSSLQILQLHFNFLSGKIPSEIGLCRNLTILNIFSNEFTGSIPPELGNLENLEILRLYNNKLNSSIPTSLFQLKSLTHLGLSQNELTGTIPSEIGSLESLQVLTLHINRFTGHIPSSITNLTTLTYLSIGFNSLTGPIPSDIGLLHNLQNLTMNNNLLEGTIPSSIVNCTRLLVITMSYNRVSGNIPNGLGELGNLTYLSLGGNKMSGEIPDDLFDCSMLQVIDLSYNNFSGKLKPDIGRLSNLRILRAVGNSLDGPIPREIGDLSHLMDLELHENSFSGTIPPELSKLANLQGLSLFNNELEGPIPEKLFDLKQLTNLRLQHNKFIGPIPDSVSKLELLSQLDLSGNMLNGTIPESITNLRRLMMLDLSHNLLTGHVSPSSVASMKSMQFYLNLSHNFLEGIIPDEIGMLEMVQEIDLSSNNFSGRIPRSLKNCRNLFSLDLSGNTLSGQVPADIFTRFSEIMNLNLSHNQLDGELPESLAKMQHLHSLDLSHNNFRGTIPESLAKMHTLEHLNLSFNQLEGHIPETGLFANITSSDLDGNPSLCGKQVLRPCYRVNSHRLSNKTIIILAALASVFILVVLAFATYLLHQHIKKQKVKDAEITGPEYTSLSLRRFSREELETATNCFSEDNIIGASSLSTVYKAELQDGNIVAVKNLNLKQFSAESDKCFDREVKTLSHLRHRNLVKVCGFAWESNRIKALVLEYMENGNLDRIIHNPDSDDSRLTLSKRIEVLVSVASGLSYLHSGYDFPIVHCDLKPSNILLDNNLNAHVSDFGTARMLGIQESSTSSTSAFEGTIGYMAPEFAYMRKVTTKVDVFSFGIIAMELITRRRPTGLTGEDQLPITLRQLVQKAIDNSTGGISEILDPYLAAHASKKQDAMEALLDLALSCTCSDPQDRPNMEEVLSTLSKLSKMA >itb15g19780.t1 pep chromosome:ASM357664v1:15:22272165:22276217:-1 gene:itb15g19780 transcript:itb15g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLEFSGGDDFCPGGYVCPSPMDSILFLSLGHQVDVYFPSRKRSRINAPFVFSGEKVEEKKQASIEVLPDECLFEIFRRLPVGGQERSACAGVSKRWLMLLSSICRDELSTIKSGEASEPEEGGNATAMQIEPIKSDKKDGFVQSNVSEAETEVLDNKEGDGFLSRCLDGKKATDVRLAAIAVGTASRGGLGKLSIRGNNSFRGVTDSGLKAIGRGCPSLKALSLWDISTISDEGLFEVASGCHQLEKLDLCQCPGITDKSLMAIAKSCPNLASVTIESCLKIGNESLQALGKYCPNLKFITVKNCPLVGDQGIASLFSSAGNHLMKVKLQTLNISDMSLAVIGHYGEALTDLALINLQNINERGFWVMGSGLGLKKLRSLVITACQGVTDLGIEAVGKGCPNVKQLCLRKCTFLSDNGMVSFVKAAVSLESLQLEECHRISQAGFFGILVHSAGKLKALAFENCFGMKDLAFGVPALPPCNSLKSLSIRNCNGFGDASLAVLSRLCPNVVHLNLGGLNGVTDYGLLPFVQSCDAGLVKVNLSGCVNVSDKTISALAKLHGETLELLNLNGCGCVTDASLAAVADFCSVLRELDVSKSRVSDFGIAALAGGSAIQISLQILSLSGCVLASDKCLPYLHKLGNNLKGLNIQQCVGISHRGVDLLVERLWWCDILS >itb10g02650.t1 pep chromosome:ASM357664v1:10:2250103:2256189:-1 gene:itb10g02650 transcript:itb10g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADTCLGPPFSIPSLNAFTSFPFSLFHFHPICLSSSPSRSSRDRSLSFSLMASSARGSPTHSSVPTAHQPSLVVFSGGTAFNGVAEELKKLTTCVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTSEALAVRTLLGHRLPLDAQKAKLEWYDIVEGNHNLWKGVSKPYRETIRAFLAYFQDQILRRSEDSFCFSNGSIGNFFFAGARTFFQSLDAAIFLFSRVSDIPTESLVLPVISTNERLTLGCELWDGTIIRGQNEISHPTNGRMEPINKGISSSPALASKIKRVFYMSSEGSNLLHEVFPAVNPTVLEKLRNADCIIFAMGSLFTSICPSLVLLGVGEAIASQSCPKVLLLNGTHDRETSGFTASCFVTAITDALNRTYGDHCNCLKNPPNRYINTLLVPKDGQIPVDVDRLTSQGIHNVVTVDSVHDAKVGAIFDPKSLIQALAGLIIQT >itb10g02650.t4 pep chromosome:ASM357664v1:10:2251872:2256134:-1 gene:itb10g02650 transcript:itb10g02650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADTCLGPPFSIPSLNAFTSFPFSLFHFHPICLSSSPSRSSRDRSLSFSLMASSARGSPTHSSVPTAHQPSLVVFSGGTAFNGVAEELKKLTTCVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTSEALAVRTLLGHRLPLDAQKAKLEWYDIVEGNHNLWKGVSKPYRETIRAFLAYFQDQILRRSEDSFCFSNGSSDLIKPRVTLAALGIFSLQEHGHFFSL >itb10g02650.t3 pep chromosome:ASM357664v1:10:2251872:2256134:-1 gene:itb10g02650 transcript:itb10g02650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADTCLGPPFSIPSLNAFTSFPFSLFHFHPICLSSSPSRSSRDRSLSFSLMASSARGSPTHSSVPTAHQPSLVVFSGGTAFNGVAEELKKLTTCVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTSEALAVRTLLGHRLPLDAQKAKLEWYDIVEGNHNLWKGVSKPYRETIRAFLAYFQDQILRRSEDSFCFSNGSIGNFFFAGARTFFQSLDAAIFLFSRVSDIPTESLVLPVISTNERLTLGCELWDGTIIRGQNEISHPTNGRMEPINKGISSSPALASKIKRVFYMSSEGSNLLHEVNY >itb10g02650.t2 pep chromosome:ASM357664v1:10:2250103:2256134:-1 gene:itb10g02650 transcript:itb10g02650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MADTCLGPPFSIPSLNAFTSFPFSLFHFHPICLSSSPSRSSRDRSLSFSLMASSARGSPTHSSVPTAHQPSLVVFSGGTAFNGVAEELKKLTTCVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTSEALAVRTLLGHRLPLDAQKAKLEWYDIVEGNHNLWKGVSKPYRETIRAFLAYFQDQILRRSEDSFCFSNGSIGNFFFAGARTFFQSLDAAIFLFSRVSDIPTESLVLPVISTNERLTLGCELWDGTIIRGQNEISHPTNGRMEPINKGISSSPALASKIKRVFYMSSEGSNLLHEVFPAVNPTVLEKLRNADCIIFAMGSLFTSICPSLVLLGVGEAIASQSCPKVDLLISMTSYWFLCENDFK >itb10g22680.t2 pep chromosome:ASM357664v1:10:27434936:27439190:1 gene:itb10g22680 transcript:itb10g22680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MLFRRLGYFSPSLSKTSLLFSAFTRNHSSSFSFHSKTLRSLPHIFFKHEGNSKAQSANLCSMNKPYHFSTASAAVVQEPNTTNPGNKLSKKARREAPESVLRFKLDQCSKHGDLEEALRLYHEARLNEVSLNVHHYNVLLYLCSNCSSGGEGEFGDLGIQKGFEIFRQMGFDKVAPNEATFTSAARLASAKEDPDLAFNLVKQMKNCGIPPKLRSYGPALFGFCKKGMADKAYEVDAHMVESGVVAEEEELSALLRLSSETKRDEKVYEMMHRLRASVRQVSEDTASIVEDWFRSETAAGVGMENWNVEKVKEGVVKGGGGWHGHGWLGKGQWEVVRTEMNETGVCHSCGEKLVSIDIDPRETENFANSLAKLASEREAKNNFLQFEEWLKRNGPFDAVVDGANVSLLNHRDFSFLQLKSVVNQLHQMSKSKKLPLVVLHKNRVTGGPAVHPKNKKLLESWKKAGALYATPLGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQIRMTASREHGLNLHMPPPYSIVMQESEEGNWHVPTVTGDDLETPRQWLCASRKRRKALHSLFQ >itb10g22680.t1 pep chromosome:ASM357664v1:10:27434893:27439190:1 gene:itb10g22680 transcript:itb10g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MLFRRLGYFSPSLSKTSLLFSAFTRNHSSSFSFHSKTLRSLPHIFFKHEGNSKAQSANLCSMNKPYHFSTASAAVVQEPNTTNPGNKLSKKARREAPESVLRFKLDQCSKHGDLEEALRLYHEARLNEVSLNVHHYNVLLYLCSNCSSGGEGEFGDLGIQKGFEIFRQMGFDKVAPNEATFTSAARLASAKEDPDLAFNLVKQMKNCGIPPKLRSYGPALFGFCKKGMADKAYEVDAHMVESGVVAEEEELSALLRLSSETKRDEKVYEMMHRLRASVRQVSEDTASIVEDWFRSETAAGVGMENWNVEKVKEGVVKGGGGWHGHGWLGKGQWEVVRTEMNETGVCHSCGEKLVSIDIDPRETENFANSLAKLASEREAKNNFLQFEEWLKRNGPFDAVVDGANVSLLNHRDFSFLQLKSVVNQLHQMSKSKKLPLVVLHKNRVTGGPAVHPKNKKLLESWKKAGALYATPLGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQIRMTASREHGLNLHMPPPYSIVMQESEEGNWHVPTVTGDDLETPRQWLCASRKRRKALHSLFQ >itb07g10060.t1 pep chromosome:ASM357664v1:7:10312175:10315629:-1 gene:itb07g10060 transcript:itb07g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRKVFLPTGSGSFLVLRRCLLQEFSCRRGSSPGPIDSIRPARPSSSNRAYPALSSKITSLVRIFSKDCPLSVGSWSSLLRESRIQQPNLYKGHRSRIGVVEELLGSIPIALQMKTRACFDETLMKAHRRARIKNYDFTYEQFLNILFIRNKKFSLCGDIVFSLPLSIETLDADSSGGPRFCLYQAATAPPALSLDGVPFTLLCEHFIAMPEGRISGFLPDQLFDRLRTVGFSVWWAKKCERSCTIRLFGVRSAAYSPLPLPSLCGSASPTQSTTANDQPPPTGHCLVSPPRLHLRPSPTASPPPSPAAPHHAVNSPRKSIHTVLGRVGVYGFGGSSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGYRASAEAIRDAFKLEFGDEYRVRLKLHF >itb01g23050.t1 pep chromosome:ASM357664v1:1:29135739:29137176:-1 gene:itb01g23050 transcript:itb01g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPLTTTCAHNFCKACLDGAFADAMGLGKTVMTIALILARLGKGNQEFAEDMAITQHSRNRRIKGGTLIVCPMALLGQWKDELEAHSKPDSISVFVHYGGYISKP >itb01g11440.t1 pep chromosome:ASM357664v1:1:10315798:10319759:-1 gene:itb01g11440 transcript:itb01g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSVITCKAAVAWRSGEALKLEEIQVDPPKATEVRIKMLYASLCGTDILCCNGFPRVRSLFFTKASPIALNFFFF >itb12g15580.t1 pep chromosome:ASM357664v1:12:15972592:15973800:-1 gene:itb12g15580 transcript:itb12g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHASSSFHSQFIYCSDFDDTSIWDEMNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSRKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb01g23620.t1 pep chromosome:ASM357664v1:1:29550841:29554054:-1 gene:itb01g23620 transcript:itb01g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKRLLFFFLVFQLITITSNGLFVNPTLVQSDAGAVCLTGKPASYYFFQGFGNGIGNWIVYLPGGAWCATPGFCIQYAADHNITLDPIPFYFGNILDKDEEKNPDFFDWNKVVITYCDGSSFTGDSQTIYNGTNLYFRGARIFDAVMQELLQKGMIMAHNALLFGSSAGGVAATLHCDGFRNLLPYAIRVKCLSDAGYFFPSTRFEGNSFTPTFQGLITLHGSTKSLPKTCTSRLSPHLCFFAQNVQQDIQTPIFFLMSAFDNVQVSWTFKHNATIKNCIVNCTTCSSEIYKVLQDLRLEFLSLLPNQTNSLSKGVLITSLTAHGQEPSRNWDLDMVIEGSNETIAKLFRDWYFDRRGVYIIDKYPYPYLNNCSSVPSNSLT >itb14g20900.t2 pep chromosome:ASM357664v1:14:23157443:23160285:-1 gene:itb14g20900 transcript:itb14g20900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLTGKTMASKKGNRKGFVESASKSTLKKTPKSLKVKEKIVKESLPKKSSRGKEGESSSQVKDRKENGGQGIKDGKKVSDQSQRAEKRPNTQAGNKNERHIDEKHKKLKKRDQQSTVNNSGKDRKENVREEIKDGKKFSSQSQRTEKHPSKQSGSRYERQIDDKRRELKKRDHRSTEGLGGMIFMCSGKTKPDCFRYKVMGITANKQDVVMRIKPGLKLFLYDFDLKLLYGVYEASSTGGMKLEPAAFGGGFPAQVRFTVIEDCLPLPESVFKKAIKDNYDEKRHKFKTDLSVAQVKHLMKLFRPTPWLHGTSKSSLPESVPESVIHHTSAGTLPGDEFQQQVYRESYIISNGAEPLPIGHERSLLTNHHITRNDAPSSSLFLTEKEYRSYGLQQRRQLVPTDSTAGIDHILDRYRPKEGMEQSLRNPALVSGGASSLPRNEAGLPDPYFLSEKEYRNYGLKGYKEATTTVQPSLETDTTMTSTINLGVQNHDSYSCNPYNDSTASLVNRYLSLPMRLPEPLEPYSLTGRESYITESNYSRETGGHPGRVTDERENRHSPYRTYAPSDLSPRYQYPGNVPDYSSNPVLLRQSYAGRSASRY >itb14g20900.t1 pep chromosome:ASM357664v1:14:23157443:23160712:-1 gene:itb14g20900 transcript:itb14g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKGNRKGFVESASKSTLKKTPKSLKVKEKIVKESLPKKSSRGKEGESSSQVKDRKENGGQGIKDGKKVSDQSQRAEKRPNTQAGNKNERHIDEKHKKLKKRDQQSTVNNSGKDRKENVREEIKDGKKFSSQSQRTEKHPSKQSGSRYERQIDDKRRELKKRDHRSTEGLGGMIFMCSGKTKPDCFRYKVMGITANKQDVVMRIKPGLKLFLYDFDLKLLYGVYEASSTGGMKLEPAAFGGGFPAQVRFTVIEDCLPLPESVFKKAIKDNYDEKRHKFKTDLSVAQVKHLMKLFRPTPWLHGTSKSSLPESVPESVIHHTSAGTLPGDEFQQQVYRESYIISNGAEPLPIGHERSLLTNHHITRNDAPSSSLFLTEKEYRSYGLQQRRQLVPTDSTAGIDHILDRYRPKEGMEQSLRNPALVSGGASSLPRNEAGLPDPYFLSEKEYRNYGLKGYKEATTTVQPSLETDTTMTSTINLGVQNHDSYSCNPYNDSTASLVNRYLSLPMRLPEPLEPYSLTGRESYITESNYSRETGGHPGRVTDERENRHSPYRTYAPSDLSPRYQYPGNVPDYSSNPVLLRQSYAGRSASRY >itb04g28090.t1 pep chromosome:ASM357664v1:4:31925784:31929918:-1 gene:itb04g28090 transcript:itb04g28090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MNPTPSPECRGPGVASKRNLNKVPCSTVLTLAYQSLGVVYGDLSTSPLYVYKTIFSGKLNLKEDDEEIYGVLSFIFWTFTIIALFKYIFIVLSADDNGEGGTFALYSLLCRHARLSMLPNQQDTDQKLSAYAIEGSEDTRQTAALKSFFERYPSFRNGLLIFVLLGTCMAIGDGIFTPTISVLSAVSGVQVKLKGLHENYIVVISCIILVALFSIQHHGTHRVAFMFAPIVMAWLLSISSIGVYNIVKWNPHIYQALSPFYMLRFLKVTGREGWVSLGGIVLSITGVETMFADLGHFSTLSVKIAFTSLVYPCLMLAYLGEAAFLSRHHEDIQRSFYKAIPAVTIGLRDTNKIGAAYGLTVTTVMFVTTCLMAMVMVVVWKKKILTAAAFVAFFGSVELLYLSAAIYKIREGAWIPLLLSIIFMGIMFIWNYGTLKKHQFDLENKVSVERILALGPGLGIVRVPGIGLIYTNLVTGIPAIFGHFVTNLPAFHEVLVFVCVKSVQVPYISEEERFLICRVGPKEYSMFRCIVRYGYKNAQQEDYDFEARLVSAIVQFVETEEETKPTQTLASGNSDRLVLEAPEYRLSNLSYTAQSTHDIRVIISGERNAETRAGVKDESRDIMRARESGVAYIFGHSYAKAKKSSTIIKKFAINIVYAFLSKNSRGPDVVLNVPHTSLLEVGMIYYV >itb04g24160.t1 pep chromosome:ASM357664v1:4:28923176:28927984:-1 gene:itb04g24160 transcript:itb04g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MSGLTTSFASRISAVCSMQFPTRRRSSSSCGFRGALPTFIRPSRNQNRYRRIICMAEPYIITKLESAEKTWKELSAKLADPDVVSNPSEYQKLAQSISELDEVVLAYRNFKDCEKQLEETKALAKDEGGDEDMAEMIASELETLTKQIKELEEKLKVLLLPTDPLDSRNIMLEVRAGTGGDEAGIWAGDLVRMYQKYSERNSWKHTLVSCSEADMGGFKTCVLEIKGKRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAIDLFHKPTGIRIFCTEERTQLKNKNRAFQLLRAKLYEIKVREQQELIRNQRKLQVGTGSRAEKIRTYNFKDNRVTDHRLKMNFELTSFLEGDIDAAIQSCTTMEQKELLQELAESVGAMA >itb02g19600.t1 pep chromosome:ASM357664v1:2:16895365:16901494:1 gene:itb02g19600 transcript:itb02g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLGGGGGGGSERDKSNSTTSSATPIAAVATFWKDFDLEKERTILDEQGLRIAENQENSQKNRRKLAESTRDFKKASNEEKLNLFNSLLRGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPVIASISEKDLKLSELESENRKMKVELEEFRMEATHLKNQQATIRRLEERCRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKEREQLLQDQLRQAQESVSNMQKLHELAQSQLFEVRAQSEEEGAAKQSEVNLLMDEVERAQARLLSLEREKGLLRSQLQSANEDNDDGKSDNLDGNSILEKSLSAKEKLVSELNAELHNLENTLSNEREQHMSEMKKLNALIHEKISLLPTLCPYFSDSSTTTIGRKKSASSSSSVSHRPVTRSQTQDHINLVPVAGVMGTIITEDAFKALQKSVRALETCVDKVENLSSTVSSLSATQHQQAATLANLEKMMKQLLIAQGKTPVSEESETVHQIEGDSTIQSETVHQIEGDSTIQAAPSLDMLKWTFHCSLEMKTPLYGCYVVNHISGMPTSGIQIE >itb15g20540.t1 pep chromosome:ASM357664v1:15:23213340:23214688:-1 gene:itb15g20540 transcript:itb15g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFPSDYYHHHHYTAFPLLSVSLFVLSIATTLSIVTALCGFLSRKEEELVVLELEVDTNNGLESPPSNTSEDGAAAEGSEGQSDDGVMSQPLPPPPAMRSASYHYRSSSQASSLLASPAAHKKLVASMSMKVGGQGTRHTSKKDDIFAGGVAMGDKKRDKKLKHEDSVWKKTIILGEKCRVHHDSEEEIVLDEDGNRITPYRPKTSAAPPSMSRQSSAVDVNVPKMDEGDKGI >itb13g15320.t1 pep chromosome:ASM357664v1:13:22120788:22133471:1 gene:itb13g15320 transcript:itb13g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPFPNCLVAVLLLAYCIYFSGAVTNLESDEFALLQFIKTLITSDPENILAKNWSTGTPTCQWTGVVCNGKPRVAAVHLSGLGLSGIISPSLGNLTFLTSLDISDNHFTGQIPGGLSKLRRLKELNFGSNNLVGLVPFWVGSLPHLKKLILYNNNFSGTIPPSLCNISMMEQLDMGSNMLRGNIPQEIGNLSKLESLYLDSNMLTGSLPDRIFNVSSLRILNLKGNGLSGRLPSDMCNNHATNLQELYLYENEFDGQIPSSICNCKEIKLLALYSNKFNGSIPKVIGRFTMLHSLYLSVNNFRAGTLPAEIGNLTQLKTLGLASISLTGQIPSFLFNISSLKRLDLNNNSLSGNLPVDLSYNLHGLEELYIGSNQLVGPIPTKIYRLTNLQKLEVSKNQLTGSLPREFGNLTFLKFLDINANNLTGGLPAELGRLKLEVIGLNENSLSSFIPFEIFNISTLKSIDLTLNHFSGHLPSSFGLWLPNLEELYLGDNQLKGFIPSSISNASKLSTISITSNNFTGSLPNLSNLRQLRRLIAAGNNITGNLKFLSSLANCRYLELIEVSLNQFNGVLPNSLGNLSTSLKRFTAFGCGIRGVIPTSIGNLTGLTEISLDSNQLTGFIPSTLGKLVHLERIYLEYNRLQGHIRTHLCKLSILGDIYVSHNMLSGPIPTCFGDSKSLRRLYLDSNNFNSTIPLKLFQLGDLVALNLSSNSFTGNLPSLVKNLKQATDLDLSSNKLSGHIPSSIGKAQSLAYLSLADNKFEGPIPLSMPNLIGLEHLDLSSNNLSGTIPKSLQDLKHLQFLNVSFNKLEGEIPSGGCFSNFTPQSFLHNQALCGPAWLHVLPCPTNHHKPSLVKYILPQLIVAMLVVVIVILWMRSRNRNQTMEQDAAETTILPPEAFFRKVSYYELVQDTDSFSEANLLGVGGFGSVYRGALNDGTNVAVKVFNLQVEGSMRSFYAECKILGSVRHRNLTKIISCCCNANFKALVLEYMPNGSLEKWLHSHEYYLDILQRLNIAIDVALALEYLHYGYSTSIVHCDLKPSNILLDEDMVAHVSDFGIAKLFEGSESMAQTKTLATLGYMSPEYGMDGIVSKSGDVYSYGITLLEMFTRKKPTDEIFEGEMNLKLMVSRCIERNSIMEVVDSNMIREGDEYFAIKAECVLSICGLAMACLRDSPQQRINTREIVGTLQQLRTSYLAKVRSLPEKSRNLPMLRSFTTGKSP >itb06g20800.t1 pep chromosome:ASM357664v1:6:23633132:23636754:-1 gene:itb06g20800 transcript:itb06g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPKRSVTFCLSVFYPPPDFISSLSRNLRFHSHQFASRGNSIRSLGSSKLHTSAMGGMGMDGNKIQIERESASDAGQLSTWASPGGCKIDIGKQIFCNRSLNMKSIVAVGFDMDYTLAQYKPETFESLAYEGTIKKLVNDLGYPQELLQWSFDPSYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKEMSKEDKVATYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFRDKNPGKIPEEADYSRMYKDVRAAVDLCHRDGTLKQVVAQDPKRYITEDISIVPMLKMLRESGRATFLVTNR >itb07g21320.t1 pep chromosome:ASM357664v1:7:25705491:25708039:-1 gene:itb07g21320 transcript:itb07g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRADKVYVTVLLPDAKNPKVNLEPEGVITFSATAGAEDRLYEFKLELHDKVNVEESKINIGVRNIFCVLEKEEKKWWNKLLRAEGKPPHYVKVDWDKWVDEDEDNGPPSDFDMGGMDFSKFGDMGGMGMPGMGGMGMPGMGGMGMPGMGGMGMPGMGGMGMPGMGMGDDAMGNDFEDSDDEEQATKPEESAPETIVEKPKVEGVPAEGKAEAS >itb15g09200.t1 pep chromosome:ASM357664v1:15:6566782:6569097:1 gene:itb15g09200 transcript:itb15g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHESRATLTEEYEVKDVLGRGGFSVVRRGIRRSGDGEGEQVAIKTLRRFGPCRPPERKLSGLHASSKHVLVSESLLTNELLVMRKIVEDVSPHPNVIHLYNEARAAKVVGQIAKGLEALHNANIIHRDLKPENCLFLSSDESSPLKIMDFGLSSVEDFTDPVVGLFGSIDYVSPEALSRDKITAKSDIWSLGVILYIMLSGYPPFFSHNNRQKQQMIISGEFSFDEKTWKNISSSAKQLISSLLSVNPNMRPTAREIVEHPWVTGALAKQDEMDAEVVSRLQSFNARRKFRAAAMASVLSSSFSLRTKKLKSLVGSYDLKPEELENLSQNFKKICINGENASLSEFEEVLKAMEMSSLVPLAARIFDLFDNNRDGTVDMREIIGGFSSLKYSQGDDALRLCFQMYDTDRSGCISKEEVASMLRALPDDCLPVDITEPGKLDEIFDLMDANSDGKVTFDEFKAAMQRDSSLQDVVLSSLRPN >itb04g09480.t1 pep chromosome:ASM357664v1:4:8772320:8773602:1 gene:itb04g09480 transcript:itb04g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRKPFSSSSFISVFLVVLPILGLISQASSESGYASLIYKGCAKQDLPDPSGVYSQALSGLFGSLIAQSSKAKFYKSTTGSGQATITGLFQCRGDLSNVNCYNCVSRLPILIDKLCGRPAAARIQLFGCYMLYEVAGFPQISGMEMLYKTCSGKNAQGFEERRDTAFNNLVGGIPSANGFYTTSYESVYVLGQCEGDVGNSDCGQCVKNAVQRAQVECGSSISGQIFLHKCFISYSYYPNGLPKGSSPSSFSSPSSSSGQNAGKTAAIVVGGAVGVGFIVILLLFARSLMKKRDDY >itb03g10220.t1 pep chromosome:ASM357664v1:3:8024568:8024994:1 gene:itb03g10220 transcript:itb03g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLIHHWKVLRGDNVMIIRGKDKGETGIVKRVVRTQNRVIVEGKNLVLDLVTGQPCKVGIRYLEDGTKVRVSRGVGASGSIIPKDTPLEDVTERTYDSKTGKCMLDL >itb12g26700.t1 pep chromosome:ASM357664v1:12:27477497:27479379:1 gene:itb12g26700 transcript:itb12g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MAVLTTPITRGFSTLQTFPKIGVKLENPGRVNNFVVLSQKKKSSNSQNEGRGRRVWRRRKLTRVDDKMDYRLERIPFLEEHVRKIRREGKLLTMDIERLLLSEENRFDFVNEIAAEAKEYVENNRDEYGSKKAMLHVLSNRMNDAGFYRPEAYIESDPFKPGPGYMREEL >itb09g14530.t1 pep chromosome:ASM357664v1:9:9794927:9797434:-1 gene:itb09g14530 transcript:itb09g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTSTAALPHFVYLRNFRNLQGTAKSSAMSKLQSDAVREAITQIMGDSKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGMEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLENKSNETKAMVKFQLKKVLCMGVAVGNVAMEEKQVFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGRTVRIF >itb04g21750.t1 pep chromosome:ASM357664v1:4:26851007:26856745:1 gene:itb04g21750 transcript:itb04g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECMKVNGLVVPIFYDVDPSTVRKQKGKFAEAFAEHEMRFEKEKVKKWREVLEEVANLSGRELKTENGDQLESLAGNSYWFGAGSRIIITTKDKHLLLSNNIESLRLYKMSLLREGESSKLFRQHAFKQDLPPKEFEELTTQVVRHAGGLPLALKVLGSSLCGKDIAEWRSEVARLKEIPKDDDDEITKKLKGSFLSLNENAQKIFLDIACFFNGKKKTSIIRKLESFNFHYVIGVKVLLEKCLIVVWEGRVYMHELIQRMGWHIVRQEASEDPKSHSRLWVPEDISQLLTGSEGTDKIEGIALNYQAITGVKVSSQAFTGMKNLRFLKFQNANASHGPNSLPHDLRWLDWHGYPSKSLPGSFKGERLVSLKMQYSRVIQLWKGLQDLYHLKFINLSHSQNLIRTPDFRGTPNLERLVLEECTSLTEIHDSVGCLNNLVILNLKNCINLKKLPKSICLEKLETLILSGCLKLGSFPEICGPMACLLDLYAEATALRELPSSIEFLTCLRLINLSYCKHLTSLPSSICGLKGLKVITLSGCSKFDKLPDELGDMECLEELYCDDTAIQDLPSSISHLKKLNILSFRGCKPQVSGSKYLFFLHWLLPATFQDTKASSLHCLSGLSSLVQLDLRDCSMLDGGIPFDLGALSSLELLNLSNNKFVSIPAEAISRLPKLVELFLVGCEKLETFPQLPSSLATVCLDECAALKGSIDSFIKYQNLAQISFTKCDQLLQDEGNSQMVDSMWQHLLKGLSIVDGDFGLCFPGSMIPEWFTYKNWGPSILVNLPQNWYNNKFVGFALCVVSEMINTSRPILRYPNLGVRNGIVVRQSLITPDGRKAYIGGGIGFMGVKQYVDSNITCLAYYSFDNYWEVYNDWVHSPNEWCQYEVSTEEPNAGWFVHKGFGVRLVYEDDVKQGDQSNSSHSQFGKMGLFPAVFDGSHYVKKKRDSLDSYFPRLPPEAEAEASQHS >itb04g21750.t2 pep chromosome:ASM357664v1:4:26850961:26856745:1 gene:itb04g21750 transcript:itb04g21750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECMKVNGLVVPIFYDVDPSTVRKQKGKFAEAFAEHEMRFEKEKVKKWREVLEEVANLSGRELKTENGHEAKFIEKIIEDIFTKLGPKLPSGDPNLIGMGRPMQKLYSLIGRGSSDVRFIGIFGMSGIGKTAIARALFDNISNEFEGASFIQEVRAEIANHGSAKGLANLQQKLLSDIISDKTLKINDVFQGNKIIQQRLRCKRVVIVLDDVDHRDQLESLAGNSYWFGAGSRIIITTKDKHLLLSNNIESLRLYKMSLLREGESSKLFRQHAFKQDLPPKEFEELTTQVVRHAGGLPLALKVLGSSLCGKDIAEWRSEVARLKEIPKDDDDEITKKLKGSFLSLNENAQKIFLDIACFFNGKKKTSIIRKLESFNFHYVIGVKVLLEKCLIVVWEGRVYMHELIQRMGWHIVRQEASEDPKSHSRLWVPEDISQLLTGSEGTDKIEGIALNYQAITGVKVSSQAFTGMKNLRFLKFQNANASHGPNSLPHDLRWLDWHGYPSKSLPGSFKGERLVSLKMQYSRVIQLWKGLQDLYHLKFINLSHSQNLIRTPDFRGTPNLERLVLEECTSLTEIHDSVGCLNNLVILNLKNCINLKKLPKSICLEKLETLILSGCLKLGSFPEICGPMACLLDLYAEATALRELPSSIEFLTCLRLINLSYCKHLTSLPSSICGLKGLKVITLSGCSKFDKLPDELGDMECLEELYCDDTAIQDLPSSISHLKKLNILSFRGCKPQVSGSKYLFFLHWLLPATFQDTKASSLHCLSGLSSLVQLDLRDCSMLDGGIPFDLGALSSLELLNLSNNKFVSIPAEAISRLPKLVELFLVGCEKLETFPQLPSSLATVCLDECAALKGSIDSFIKYQNLAQISFTKCDQLLQDEGNSQMVDSMWQHLLKGLSIVDGDFGLCFPGSMIPEWFTYKNWGPSILVNLPQNWYNNKFVGFALCVVSEMINTSRPILRYPNLGVRNGIVVRQSLITPDGRKAYIGGGIGFMGVKQYVDSNITCLAYYSFDNYWEVYNDWVHSPNEWCQYEVSTEEPNAGWFVHKGFGVRLVYEDDVKQGDQSNSSHSQFGKMGLFPAVFDGSHYVKKKRDSLDSYFPRLPPEAEAEASQHS >itb14g17280.t1 pep chromosome:ASM357664v1:14:20546215:20553458:1 gene:itb14g17280 transcript:itb14g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 2, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G46970) UniProtKB/Swiss-Prot;Acc:Q9SD76] MDATAKTNGATSATRFDALAKVPPIAHPLAEEPSEIASNISYHVQYSPHFSLFKFDPEQAYCATADSVRDRLIKQWNDTYLQYHKVNPKQTYYLSMEYLQGRALTNAIGNLCIQGAYGDALKKLGHGLEEIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYKHGLFKQRITKAGQEEIAEDWLEKFSPWEVARHDIVFPIRFFGHVEVDPSGSRKWVGGEVIQAVAYDVPIPGYKTKNTISLRLWEAKASAEDLNLSQFNDGQYESATLLHSRAHQICAVLYPGDATESGKLLRLKQQFLLCSASLQDIIFRFKERNDGKGTLDWSTFPTKVAVQLNDTHPTLSIPELMRLLMDDEGLGWDEAWDITTRTIAYTNHTVLPEALEKWSQAVMWKLLPRHMEIIEEIDKRFIAMIQSKKPNLESKISAMCILDHNPQKPVVRMANLCVISSHTVNGVAQLHSDILKDELFIDYVSIWPTKFQNKTNGITPRRWLRFCNPELSDIITKWLKTDEWVTNLDLLANLRKFADDEQLHAQWESAKMASKQRLAQYILRVTGVRVDPNTLFDIQVKRIHEYKRQLLNVLGVVYRYKKLKEMKPEERKNTTARTVMLGGKAFATYTNAKRIIKLVTDVGDVVNSDPEVNSYLKVVFVPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEQNFFLFGARADEVPRLRKERENGQFKPDPRFEEAKQFIRSGAFGSYDYNPLLDSLEGDSGYGRGDYFLVGQDFPSYIDAQAKVDEAYKDRKRWIKMSILSTAGSGKFSSDRTISQYAKEIWNIEKCSVA >itb05g16150.t1 pep chromosome:ASM357664v1:5:23430120:23433742:1 gene:itb05g16150 transcript:itb05g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVDAQKPLLHFVMKLAGVIPHAVEIQPGTVMNFWVPINTVKNPKKRNKATTAPTSNAGVVAVDAKPSKPVVVLVHGFAGEGILTWQFQVGWLKKYAIYVPDLLFFGGSVTDSDDRSPAFQAECLGKGLRKLGVEKCTVVGFSYGGMVAFKMAELFPEMVEALVVSGAILATTEDIITPTVNSLGFSSSSELLLPTSVKGLKALLKVSAYRKLWFPNRLYKDFLEVMYGNRKERSELLEGLVVSNKDITIPAFPQKPLLHFVMKLAGVIPHAVEIQPGTVMNFWVPINTVKNPKKRNKATTAPTSNAGVVAVDAKPSKPVVVLVHGFAGEGILTWQFQVGWLKKYAIYVPDLLFFGGSVTDSDDRSPAFQAECLGKGLRKLGVEKCTVVGFSYGGMVAFKMAELFPEMVEALVVSGAILATTEDIITPTVNSLGFSSSSELLLPTSVKGLKALLKVSAYRKLWFPNRLYKDFLEVMYGNRKERSELLEGLVVSNKDITIPAFPQETRKQDDVSRHKEGGSPGSPRAALRLQQVSQAVPCFPVWR >itb13g25710.t1 pep chromosome:ASM357664v1:13:31027711:31030572:1 gene:itb13g25710 transcript:itb13g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MASKLLLKRHQTLIRLAQWSQAQTQARTLHSGNPISTLYLPLQRLHSLLHSRPYSEISQPTTINSSTVAAKRKSVSSSLNEAELAKFSAIAETWWDSEGPFKPLHKMNPTRLAFIRSTLCRHFGRDPYSAKPFQGLRFVDVGCGGGILSEPLARMGATVMGIDAVDKNIKIARLHADLDPITSSIEYHCTTAEKLVEEQRQFDAVISLEVIEHVANPAEFSKSLSELTVDSGAIVISTINRSLRAYAATIIAAEYILHWLPIGTHQWSSFLTPEELVLILQRCSISVQEMAGFTYNPLTNRWLISDDVGVNFILYGTKMGMHRQENPTSS >itb05g01340.t1 pep chromosome:ASM357664v1:5:1128575:1132884:-1 gene:itb05g01340 transcript:itb05g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMECCVPPGFRFHPTEEELVGYYLNRKVNSLKIDLDVITDVDLYRIEPWDIQERCKLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKEKIIGMRKTLVFYRGRAPNGKKTHWIMHEYRLQSSEHAPPQEEGWVVCRAFKKPIPNSKPSGYEAWNNHNNHCSYYVRDNNNNNNNNSSYTTTLNASNNPPIHHHSPVINPTFNHQIGTNFPQFPFSPPHLNHGGGGYDQINQQLVELPQLDSPTVSTKDGGAAAATASEDYEDVVDKNSYGSGGGDHSWKDLDYKMIAPPPQGVMMNDHPVLPSYSFANMPLLIRDDDRNHFSHLLECFPDL >itb10g01190.t1 pep chromosome:ASM357664v1:10:888139:888819:-1 gene:itb10g01190 transcript:itb10g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQDIGVEAPIGHQHSVNEYDYRTPSLQGEENEKQSFFCFYLHKAPHNGSYPPTLAETGGLSGSLISGLLQT >itb12g08340.t1 pep chromosome:ASM357664v1:12:6512059:6518569:-1 gene:itb12g08340 transcript:itb12g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADWGPVVVAVVMFILLSPGLLFQLPARSRVIEFGNMYTSGISILVHAILYFCIYTILIVAIVERIGSDPSAGIDVNMNGQDENSVDSEEKQSVKSNSLAIGLHGSELERAIVSGNLEKQARLQQYKEHHSD >itb12g22450.t1 pep chromosome:ASM357664v1:12:24549854:24552028:1 gene:itb12g22450 transcript:itb12g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDGGIMEESSVEASEVTLGQLLKYAGDAEKEVTGEETAAAIPANDQVVEVNGSGMEERSLPFVLSFNNLTYSVKSRRRLKLRWGIIPCWNKVSVSATPAAAAGKKLLLNDISGEAREGEILAVLGASGSGKSTLIDALACRISKESLKGAITLNGEPLDFRLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRTLTNSKKKMRVEALIDQLGLRNAAGTIIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFNVVKVLQRIAESGSIVILSIHQPSSRIVGLLNRLIFLSRGETVYSGSPVNLHQFLSDFGHQVPESENRVEFALDLIRELEGSPEGTKSLVEFSRRWSDGRNSEPAVHSLSLKEAINASVSQGKLVSGATNDANNPVSSVPAYANSLWTEMAVLSKRSFRNLRRMPEIIGFRLGIVSITGFILATMFWRLDNSPKGIQERLGFFAFAMSTTFYTCADTLPVFIQERYIFLRETAHNAYRRSSYCLSHALVSIPMLIILAFVFSATTFWAAGLGGGASGFFFYYAIILASFWAGNSFVTFLSSVVPYIMLAYILVVAILAYFLLFSGFFMNRGRIPLYWIWFHYISLIKYPYEAVLQNEFEDPTKCFVRGAQMFDETPLSAVPNALKEKLLAALGDTLKMRITSSTCVTTGADILALQGVTDLSKWNCLWITVAWGFFFRILFYFSLLLGSRNKRR >itb12g27630.t1 pep chromosome:ASM357664v1:12:27914418:27916658:1 gene:itb12g27630 transcript:itb12g27630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMDLESIKKFLEKEGGEYEGIVDSMPLRFLEPFVSHGIKVDLAERGRIICTLKVPLRLVNMGNFLHGGATASLVDIVGSAAVYTMGAPNSGASVEINVSYLDVAFLDEEVEIEAKVLRMGKSIAVVSVELRKKKTGKIIAQGRHTKYLAVSSKL >itb13g07650.t1 pep chromosome:ASM357664v1:13:9603988:9611038:1 gene:itb13g07650 transcript:itb13g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAALSPATTSLRLKPFSAVRLLSLYRKTTKTAAVPNSYLCFLPKPPTHSIPSHSVCRRRSFCSVVCSAVSSGEAVERPLSGDFGTKEVEGSRKIGEFRRRLRIADIKGGPDEGLDRLGETLVVRGWVRTLRVQSSVTFIEVNDGSCLSNMQCVVSQDAEGYDQVENGLIITGASVWIQGTIASSQGSKQKIELKVEKLVLVGKSDPSFPIQKKRVTREFLRTKAHLRPRTNTFGAVARVRNALAFATHKFFQENGFVWVSSPIVTASDCEGAGEQFCVTTLIPNSKEPTNSPVNEIPKTESGSVDWSQDFFGKPAFLTVSGQLNAETYATALNDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLEDDMSCAAAYLQYVVQYILDNCKEDMEFFNTWIEKGIIKRLSDVVEKKVVQLTYTDAIELLLKTKKKFEFPVNWGCDLQSEHERYITEEAFGGCPVIIRDYPKEIKAFYMRENDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEKRLDDLNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRTPGSAEF >itb03g30220.t3 pep chromosome:ASM357664v1:3:31820652:31824794:1 gene:itb03g30220 transcript:itb03g30220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWGRKSAKKITNDPQLNSPKSPSSVKNERIDRSDRPRSIGEVSGMVDARNSPRTSRDFSSARGSSGLSGSASASAFMDTRLPLPQPQPSVSSFGNDHGLGFGFGSGSDSGSSVSSSASCDDHNRIDRAQFTSLRGVGDNKQSPVSQSPVRSRAPTTNSSPRHPHSGGANLDSPTGRTDEWKSECHRLPLPPGSPTLIPGSPTSPSTLSNPRTFFAEGSNGNLSKWKKGRLLGRGTFGHVYLGFNSENGQMCAIKEVRVAADDRTSKECLKQLNQEITLLSQFLHPSIVQYYGSELGEETLSVYLEYVSGGSIHKLLQEYGPFKEAVIQNYVRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHITSCSSMLSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDMPAIPDHLSSEAKCFIRLCLQREPSARPTASQLLEHPFIKDQNTSKVAHHNVINDVQPRSFDGSCTPVTISTF >itb03g30220.t1 pep chromosome:ASM357664v1:3:31820634:31826104:1 gene:itb03g30220 transcript:itb03g30220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWGRKSAKKITNDPQLNSPKSPSSVKNERIDRSDRPRSIGEVSGMVDARNSPRTSRDFSSARGSSGLSGSASASAFMDTRLPLPQPQPSVSSFGNDHGLGFGFGSGSDSGSSVSSSASCDDHNRIDRAQFTSLRGVGDNKQSPVSQSPVRSRAPTTNSSPRHPHSGGANLDSPTGRTDEWKSECHRLPLPPGSPTLIPGSPTSPSTLSNPRTFFAEGSNGNLSKWKKGRLLGRGTFGHVYLGFNSENGQMCAIKEVRVAADDRTSKECLKQLNQEITLLSQFLHPSIVQYYGSELGEETLSVYLEYVSGGSIHKLLQEYGPFKEAVIQNYVRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHITSCSSMLSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDMPAIPDHLSSEAKCFIRLCLQREPSARPTASQLLEHPFIKDQNTSKVAHHNVINDVQPRSFDGSCTPPALELLHPSQRNFASLDGDYAVNPMVTVFRPMISSRDNAKTITSSLPVSPCSSPLRHSEAARRSCYLSPPHPSYHPFATSQFGNNHPEYSSTFAARVNTRNSLDPWLDIPQYRTQTPGRSSTRTMPIL >itb03g30220.t2 pep chromosome:ASM357664v1:3:31820652:31826104:1 gene:itb03g30220 transcript:itb03g30220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWGRKSAKKITNDPQLNSPKSPSSVKNERIDRSDRPRSIGEVSGMVDARNSPRTSRDFSSARGSSGLSGSASASAFMDTRLPLPQPQPSVSSFGNDHGLGFGFGSGSDSGSSVSSSASCDDHNRIDRAQFTSLRGVGDNKQSPVSQSPVRSRAPTTNSSPRHPHSGGANLDSPTGRTDEWKSECHRLPLPPGSPTLIPGSPTSPSTLSNPRTFFAEGSNGNLSKWKKGRLLGRGTFGHVYLGFNSENGQMCAIKEVRVAADDRTSKECLKQLNQEITLLSQFLHPSIVQYYGSELGEETLSVYLEYVSGGSIHKLLQEYGPFKEAVIQNYVRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHVGVNLTFLDYFVFFNAIFQRKSLLDGTRGCDEYKWLQPCSRYMEFGLYNS >itb03g30220.t5 pep chromosome:ASM357664v1:3:31820637:31823161:1 gene:itb03g30220 transcript:itb03g30220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWGRKSAKKITNDPQLNSPKSPSSVKNERIDRSDRPRSIGEVSGMVDARNSPRTSRDFSSARGSSGLSGSASASAFMDTRLPLPQPQPSVSSFGNDHGLGFGFGSGSDSGSSVSSSASCDDHNRIDRAQFTSLRGVGDNKQSPVSQSPVRSRAPTTNSSPRHPHSGGANLDSPTGRTDEWKSECHRLPLPPGSPTLIPGSPTSPSTLSNPRTFFAEGSNGNLSKWKKGRLLGRGTFGHVYLGFNSENGQMCAIKEVRVAADDRTSKECLKQLNQEITLLSQFLHPSIVQYYGSELGEETLSVYLEYVSGGSIHKLLQEYGPFKEAVIQNYVRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHVGVNLTFLGKVLRYAIICYYS >itb03g30220.t4 pep chromosome:ASM357664v1:3:31820637:31823043:1 gene:itb03g30220 transcript:itb03g30220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWGRKSAKKITNDPQLNSPKSPSSVKNERIDRSDRPRSIGEVSGMVDARNSPRTSRDFSSARGSSGLSGSASASAFMDTRLPLPQPQPSVSSFGNDHGLGFGFGSGSDSGSSVSSSASCDDHNRIDRAQFTSLRGVGDNKQSPVSQSPVRSRAPTTNSSPRHPHSGGANLDSPTGRTDEWKSECHRLPLPPGSPTLIPGSPTSPSTLSNPRTFFAEGSNGNLSKWKKGRLLGRGTFGHVYLGFNSENGQMCAIKEVRVAADDRTSKECLKQLNQEITLLSQFLHPSIVQYYGSELGEETLSVYLEYVSGGSIHKLLQEYGPFKEAVIQNYVRQILSGLAYLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHTNSTLGRYGSIVMVYTHRKLLG >itb09g18750.t4 pep chromosome:ASM357664v1:9:14744215:14746861:1 gene:itb09g18750 transcript:itb09g18750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQHSDDRGLFSNMAGYAMGHYIYPPHHNAYPPPAAPYPAYPPPGYPHTGYPPPPTSHPPPAGYPHAGYPPPAYPPPSGYPPGGYLPAGYPAPSASYHHGGHGPSVGPMIAGGAAAAAAVYGAHHLMHGHHVGFGKYKHGKFKHGKFGKRWKHGLFGKHKFKRWK >itb09g18750.t1 pep chromosome:ASM357664v1:9:14744161:14746835:1 gene:itb09g18750 transcript:itb09g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQHSDDRGLFSNMAGYAMGHYIYPPHHNAYPPPAAPYPAYPPPGYPHTGYPPPPTSHPPPAGYPHAGYPPPAYPPPSGYPPGGYLPAGYPAPSASYHHGHGPSVGPMIAGGAAAAAAVYGAHHLMHGHHVGFGKYKHGKFKHGKFGKRWKHGLFGKHKFKRWK >itb09g18750.t3 pep chromosome:ASM357664v1:9:14744215:14746861:1 gene:itb09g18750 transcript:itb09g18750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQHSDDRGLFSNMAGYAMGHYIYPPHHNAYPPPAAPYPAYPPPGYPHTGYPPPPTSHPPPAGYPHAGYPPPAYPPPSGYPPGGYLPAGYPAPSASYHHGGHGPSVGPMIAGGAAAAAAVYGAHHLMHGHHVGFGKYKHGKFKHGKFGKRWKHGLFGKHKFKRWK >itb09g18750.t2 pep chromosome:ASM357664v1:9:14744161:14746835:1 gene:itb09g18750 transcript:itb09g18750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQHSDDRGLFSNMAGYAMGHYIYPPHHNAYPPPAAPYPAYPPPGYPHTGYPPPPTSHPPPAGYPHAGYPPPAYPPPSGYPPGGYLPAGYPAPSASYHHGHGPSVGPMIAGGAAAAAAVYGAHHLMHGHHVGFGKYKHGKFKHGKFGKRWKHGLFGKHKFKRWK >itb12g09120.t1 pep chromosome:ASM357664v1:12:7156899:7160239:-1 gene:itb12g09120 transcript:itb12g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNTDMMHQEKPVKAETLKSSDKNPIISKPSSDLGTEDHATEITDAGSNHSTNSNDLESPIPMTANKPLHMQQLDDHDEEDSCSLASSSAGSVRSTKFKVTVPLGPTFRSEERAAKRKEFHMKLEEKHKALEAEKQEQAARAKEMEEAAIKQLRKSMVYKANPVPSFYHEGPPPKAEVKKSPVTRAKSPNLARRKSCSDVFILYPEEKKGCAKARHSIGAYTEEGSSSNSRSSSKERVGSGGRSGNNGITRVKGRPKSVKEDPNQAKEITVEEEEMKKKESSPMKEIKEENPVEVEEEMMKESSPMKEIKEENPVEVEEEMMEESSPVKEMEQNVNQILNALVT >itb12g09120.t2 pep chromosome:ASM357664v1:12:7156899:7160239:-1 gene:itb12g09120 transcript:itb12g09120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDITGIRISNKPAVANFIPNGADNVSNNISSERVKTKDTKAEDDGTAGGCGEKQEVLSVKRMNSNNTDMMHQEKPVKAETLKSSDKNPIISKPSSDLGTEDHATEITDAGSNHSTNSNDLESPIPMTANKPLHMQQLDDHDEEDSCSLASSSAGSVRSTKFKVTVPLGPTFRSEERAAKRKEFHMKLEEKHKALEAEKQEQAARAKEMEEAAIKQLRKSMVYKANPVPSFYHEGPPPKAEVKKSPVTRAKSPNLARRKSCSDVFILYPEEKKGCAKARHSIGAYTEEGSSSNSRSSSKERVGSGGRSGNNGITRVKGRPKSVKEDPNQAKEITVEEEEMKKKESSPMKEIKEENPVEVEEEMMKESSPMKEIKEENPVEVEEEMMEESSPVKEMEQNVNQILNALVT >itb12g09120.t3 pep chromosome:ASM357664v1:12:7156899:7160239:-1 gene:itb12g09120 transcript:itb12g09120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDITGIRISNKPAVANFIPNGADNVSNNISSERVKTKDTKAEDDGTAGGCGEKQEVLSVKRMNSNNTDMMHQEKPVKAETLKSSDKNPIISKPSSDLGTEDHATEITDAGSNHSTNSNDLESPIPMTANKPLHMQQLDDHDEEDSCSLASSSAGSVRSTKFKVTVPLGPTFRSEERAAKRKEFHMKLEEKHKALEAEKQEQAARAKEMEEAAIKQLRKSMVYKANPVPSFYHEGPPPKAEVKKSPVTRAKSPNLARRKSCSDVFILYPEEKKGCAKARHSIGAYTEEGSSSNSRSSSKERVGSGGRSGNNGITRVKGRPKSVKEDPNQAKEITVEEEEMKKKESSPMKEIKEENPVEVEEEMMKESSPMKEIKEENPVEVEEEMMEESSPVKEMEQNVNQILNALVT >itb09g26510.t2 pep chromosome:ASM357664v1:9:27117342:27123460:-1 gene:itb09g26510 transcript:itb09g26510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCIIWDFETRGISKELRDKDCVAAITSVCWSKYGHRILVSAADKSLTLWDVVNGEKITHMTLQQTPLQARLHPGSSTPTTCLVCPLSSAPMIVDLSTGSTTVLPVSPPDGGNGLAQPSRAKFSDGSAPFTPTAACFNKYGDLVYVGNSKGEILIIDHETVQVLGIVPIQGNAVVKNIVFSRNGQYLLTNSSDRTIRIYENLLPLKDGLKILYDATNELNELEGVEKLKAVGSKSLTLFREFQDSITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDMAGHLVKILEGPKEALIDLAWHPVHPIVVSVSLTGLAYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLVPETEKAKEPDVNEDEEVDIVTVEKDTTFSDSEMSQEEILFLPVDPIPDHLEQQDKCVGSTSKLGDSNQSGSPHSEEAEQNGQTTNHESSPVEAIDNSAAEDTSAKRLRRKRKPSEKVLELQAEKVKKPPQKKTSGQWII >itb09g26510.t1 pep chromosome:ASM357664v1:9:27116670:27123460:-1 gene:itb09g26510 transcript:itb09g26510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCIIWDFETRGISKELRDKDCVAAITSVCWSKYGHRILVSAADKSLTLWDVVNGEKITHMTLQQTPLQARLHPGSSTPTTCLVCPLSSAPMIVDLSTGSTTVLPVSPPDGGNGLAQPSRAKFSDGSAPFTPTAACFNKYGDLVYVGNSKGEILIIDHETVQVLGIVPIQGNAVVKNIVFSRNGQYLLTNSSDRTIRIYENLLPLKDGLKILYDATNELNELEGVEKLKAVGSKSLTLFREFQDSITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDMAGHLVKILEGPKEALIDLAWHPVHPIVVSVSLTGLAYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLVPETEKAKEPDVNEDEEVDIVTVEKDTTFSDSEMSQEEILFLPVDPIPDHLEQQDKCVGSTSKLGDSNQSGSPHSEEAEQNGQTTNHESSPVEAIDNSAAEDTSAKRLRRKRKPSEKVLELQAEKVKKPPQKKTSGQWII >itb09g26510.t3 pep chromosome:ASM357664v1:9:27119803:27123460:-1 gene:itb09g26510 transcript:itb09g26510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCIIWDFETRGISKELRDKDCVAAITSVCWSKYGHRILVSAADKSLTLWDVVNGEKITHMTLQQTPLQARLHPGSSTPTTCLVCPLSSAPMIVDLSTGSTTVLPVSPPDGGNGLAQPSRAKFSDGSAPFTPTAACFNKYGDLVYVGNSKGEILIIDHETVQVLGIVPIQGNAVVKNIVFSRNGQYLLTNSSDRTIRIYENLLPLKDGLKILYDATNELNELEGVEKLKAVGSKSLTLFREFQDSITKVHWKAPCFSGDGEWVVGGSASKGEHKIYIWDMAGHLVKILEGPKEALIDLAWHPVHPIVVSVSLTGLAYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLVPETEKAKEPDVNEDEEVDIVTVEKDTTFSDSEMSQEEILFLPVDPIPDHLEQQDKCVGSTSKLGDSNQSGSPHSEEAEQNGQTTNHESSPVEAIDNSAAEDTSAKRLRRKRKPSEKVLELQAEKVKKPPQKKTSGKRRN >itb15g18520.t1 pep chromosome:ASM357664v1:15:20215287:20216084:1 gene:itb15g18520 transcript:itb15g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLMEKVERDVPEAPPLRHEFTLQNSKDHVSVQGKAGDGDGQKRDMICSSRSSDHRGSSELNLIRHLDMKASGVPTDQNLKVDAAAAPRVFSCNYCQRKFYSSQALGGHQNAHKRERILMKKEQRLGQHFMAAAAAFGRSSIHHRHHYSSIASLPLHGTLHKPLGIQAHSMMIKPSYIHSSSGIIKRPVFSQQPAVGKFIGENNCHITPSASAALLLKEKINRPRIVVSSPPGEATIAEGLWTGSSSNHLETNRENLDLSLRL >itb03g13410.t1 pep chromosome:ASM357664v1:3:13570105:13574400:1 gene:itb03g13410 transcript:itb03g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAELNIHYSTDGIPPEINLKELFPEDGDLSYEEVLQQQETVYLSLQACWKKEDALGEHGQTSNGSQVSAPEDESSHGESIASQLALDEALARSLELGDDLENLCTHQDHDTVAGNEESTHGETPTMAESSNPREDDVDPDNMSYEELRSLGEAVGHENRGLSDDVISQLPTFKYKIGFFSKKQKDECVICYVEYTYGDGLMTLPCAHKYHTKCITRWLKESKNCPLCYEEVQAE >itb09g18160.t1 pep chromosome:ASM357664v1:9:13741844:13744615:-1 gene:itb09g18160 transcript:itb09g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLYSYMYALALLGSLANPLLCLAHHNHVALFVFGDSLFDPGNNNYINTTTDFQANFWPYGHSFFKYPTGRFSDGRLVPDFIAEFGKLPLIPGYFQAEEHCGFINGVNFASGGAGSLVETHSGFVIDLKTQLQYFKNVVKQLKGKLGDKESNKLLSSAVYMFSNGGNDYFSPFTTNSTIFNSHTKEEYVDIVIDSFIDVIKEVYKEGGRKFVIFSAAPLGCLPNSRALKFQQTNRTGCEKKFQVLAEIHNKVLPKKLIKLEKTLQGFKYSYFDFFKAATDIIDHPSRYGFKEAKTACCGSGPFRGLYSCGGKRGQLKEYELCKDVRDYMFFDSFHPTDKSNLHFATLLWNGVPNVVRSYSVKSLFEQM >itb04g15390.t1 pep chromosome:ASM357664v1:4:16622140:16623658:1 gene:itb04g15390 transcript:itb04g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGIKMGLCFAAIMVAGLIGESMAQRPPDPCISAIATMLPCLPFLMADEEKPTAGCCAAYSGVLLSQPNCLCADVNGGAPLPLINLTLAHQLPYSCNVKVNDPDRSPCPSSTPTAPSPTPPSGGTNNRAPSTSQSAGGNTITIIMPHIQLIASFLLVAIQVL >itb11g11190.t1 pep chromosome:ASM357664v1:11:8174260:8177146:-1 gene:itb11g11190 transcript:itb11g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLTADLIWKSPHFFNAIRERELDLRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLLNNNRITRINPKIGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNNITKKPNYRLYVIHKLKSLRLLDFRKVKQKERMEANNLFASQEAEEQAKKESVKTFVPGEVPATPEVPKEEQAPKPTAPTPEQIIAIKAAIVNSQTLEEVARLEQALKSGQLPADLIIGDDDAGSKKDDAKEDKMVTDGEDQANNEQGDSVTEQNDGPTEMEQE >itb15g01030.t1 pep chromosome:ASM357664v1:15:572250:572702:1 gene:itb15g01030 transcript:itb15g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVHETAGDKATIKTECRAGEGRKHVEKVEVDTRNVDTLKYIERKLTEKGVQRLERHPADGLPLKHDPKKGHGGKYTWEGPGNEAENELDPAPPVLDERDPNYIEEEKEEPTGSDSGGLVVGQVEVAKVAEEGVARIEVDPLLKANYE >itb12g22970.t3 pep chromosome:ASM357664v1:12:24859040:24862723:1 gene:itb12g22970 transcript:itb12g22970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMERNVRVICEASGDKLKGKTQSVFLDPNLINSLNISETLADSNGMTSSLCDEGLPNFLDPTVIDSLRISHTLVDRNRCIENLYGESISKFSDTVLIENSRGEQSLHIGNSCHQGIPSFPDPILVGSLNVSQTCSDRNELAGNLNGQAVSDFLDPVLIENLRISQTSVNQNGLTGVLNDDGPPSVMDLHLINGLGVCEDLLDQNQFAFPPLQSDPKLNVVAPSNKGDAREDFDFNDGDLNYIGQMLMEENMEEKVCMFQESTALQDTERSFYEAIGEKYPPPAGRYTVSNSDQNGILVDGNCHKSIKNANSRLLCPKMDPYPSESDISSAQPIQVSVPLQTISQSSYSTSSSSGTVNDGHVDSPVSTLRIPEICNSKELPVDLGYNGKKRSAEKNEVAVNMEKNYENQHSREMSKAKKNLHNEDLEALEGRSNKQSAVSSDSTLKPEVFDMVLLCSGGKNESALRQALQIVSIKNATDNDDSKASNGGKKSRRKKQRGKRDTVDLRTLLTLCAEAVVADDRRNASEFLKQLRQHSSQTGDGMQRLAHYFADGLEARMAGSGTQIYKALITKPTSAADILKAYQLFLAICPFRKISNFFSNKTTMNLAQSATSVHVIDFGILYGFQWPCFIQRLSSRKGGPPRLRITGIDLPQPGFRPAERVEETGKRLANYAERFNVPFEFNAIAKKWETIKIEDIKINKDEVLVVNCLFRLRNLLDETVVVNSPRDIVLKLIRELNPHVFIQGIVNGAYNSPFFITRFREALFHFSSLFDMLDTNVPRNIHERILIEKTIFGQEAKNVIACETAERVERPETYKQWHVRNMRAGFLPLPLNKEIMKMSRDRAKVYNKDFVIDEDGEWLLQGWKGRIVYALSSWRPAS >itb12g22970.t2 pep chromosome:ASM357664v1:12:24858874:24862723:1 gene:itb12g22970 transcript:itb12g22970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMERNVRVICEASGDKLKGKTQSVFLDPNLINSLNISETLADSNGMTSSLCDEGLPNFLDPTVIDSLRISHTLVDRNRCIENLYGESISKFSDTVLIENSRGEQSLHIGNSCHQGIPSFPDPILVGSLNVSQTCSDRNELAGNLNGQAVSDFLDPVLIENLRISQTSVNQNGLTGVLNDDGPPSVMDLHLINGLGVCEDLLDQNQFAFPPLQSDPKLNVVAPSNKGDAREDFDFNDGDLNYIGQMLMEENMEEKVCMFQESTALQDTERSFYEAIGEKYPPPAGRYTVSNSDQNGILVDGNCHKSIKNANSRLLCPKMDPYPSESDISSAQPIQVSVPLQTISQSSYSTSSSSGTVNDGHVDSPVSTLRIPEICNSKELPVDLGYNGKKRSAEKNEVAVNMEKNYENQHSREMSKAKKNLHNEDLEALEGRSNKQSAVSSDSTLKPEVFDMVLLCSGGKNESALRQALQIVSIKNATDNDDSKASNGGKKSRRKKQRGKRDTVDLRTLLTLCAEAVVADDRRNASEFLKQLRQHSSQTGDGMQRLAHYFADGLEARMAGSGTQIYKALITKPTSAADILKAYQLFLAICPFRKISNFFSNKTTMNLAQSATSVHVIDFGILYGFQWPCFIQRLSSRKGGPPRLRITGIDLPQPGFRPAERVEETGKRLANYAERFNVPFEFNAIAKKWETIKIEDIKINKDEVLVVNCLFRLRNLLDETVVVNSPRDIVLKLIRELNPHVFIQGIVNGAYNSPFFITRFREALFHFSSLFDMLDTNVPRNIHERILIEKTIFGQEAKNVIACETAERVERPETYKQWHVRNMRAGFLPLPLNKEIMKMSRDRAKVYNKDFVIDEDGEWLLQGWKGRIVYALSSWRPAS >itb12g22970.t1 pep chromosome:ASM357664v1:12:24858874:24862723:1 gene:itb12g22970 transcript:itb12g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMERNVRVICEASGDKLKGKTQSVFLDPNLINSLNISETLADSNGMTSSLCDEGLPNFLDPTVIDSLRISHTLVDRNRCIENLYGESISKFSDTVLIENSRGEQSLHIGNSCHQGIPSFPDPILVGSLNVSQTCSDRNELAGNLNGQAVSDFLDPVLIENLRISQTSVNQNGLTGVLNDDGPPSVMDLHLINGLGVCEDLLDQNQFAFPPLQSDPKLNVVAPSNKGDAREDFDFNDGDLNYIGQMLMEENMEEKVCMFQESTALQDTERSFYEAIGEKYPPPAGRYTVSNSDQNGILVDGNCHKSIKNANSRLLCPKMDPYPSESDISSAQPIQVSVPLQTISQSSYSTSSSSGTVNDGHVDSPVSTLRIPEICNSKELPVDLGYNGKKRSAEKNEVAVNMEKNYENQHSREMSKAKKNLHNEDLEALEGRSNKQSAVSSDSTLKPEVFDMVLLCSGGKNESALRQALQIVSIKNATDNDDSKASNGGKKSRRKKQRGKRDTVDLRTLLTLCAEAVVADDRRNASEFLKQLRQHSSQTGDGMQRLAHYFADGLEARMAGSGTQIYKALITKPTSAADILKAYQLFLAICPFRKISNFFSNKTTMNLAQSATSVHVIDFGILYGFQWPCFIQRLSSRKGGPPRLRITGIDLPQPGFRPAERVEETGKRLANYAERFNVPFEFNAIAKKWETIKIEDIKINKDEVLVVNCLFRLRNLLDETVVVNSPRDIVLKLIRELNPHVFIQGIVNGAYNSPFFITRFREALFHFSSLFDMLDTNVPRNIHERILIEKTIFGQEAKNVIACETAERVERPETYKQWHVRNMRAGFLPLPLNKEIMKMSRDRAKVYNKDFVIDEDGEWLLQGWKGRIVYALSSWRPAS >itb05g18630.t2 pep chromosome:ASM357664v1:5:25358137:25360662:1 gene:itb05g18630 transcript:itb05g18630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQGFGLHLWWRVLVALLLFAVQSLGLNTDGVLLMSFKYSIVSDPFGVLGGWNAGDEVPCSWKGVDCEVVAANVSGDGRRVTGLALPGFQLVGSIPSSLGMIQHLRNLDLSNNSINGSIPLTLFNASGLERLDFSDNRMSGELPEVVGCLKSLRSLNLSGNAFTGVVPENLTSLRNLTGISLKDNYFSGRLPGGFDSVEVLDLSSNLINGSLPPNFGGSRLGYFNVSFNRLSGEIPPEFSRKIPPNATLDLSFNNLTGEIPDHALFLNQDGGAFSGNRNLCGMPVKILCPIPSAISTPPNATEPITSPPAIAAIPKTVDSTARADSPPGRSRSGLKTGTIIGIVAGDMAALGALALIFVYIYRAKKKRRTEETIKKEAESAKDFDWGSSSEEYNWLRSWTCLKKQRRAGAGTGAGDESSENMNSESDDSEEAEKGHAPPAGQKTGELVTVDGEKELELETLLKASAYILGASGSSIMYKTVLDDGTALAVRRIGESGLYRFREFENQIRVISKLVHPNLVRIRGFYWGADEKLVIYDFVPNGSLANACHSKSSPSLHFLH >itb05g18630.t1 pep chromosome:ASM357664v1:5:25358127:25361609:1 gene:itb05g18630 transcript:itb05g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQGFGLHLWWRVLVALLLFAVQSLGLNTDGVLLMSFKYSIVSDPFGVLGGWNAGDEVPCSWKGVDCEVVAANVSGDGRRVTGLALPGFQLVGSIPSSLGMIQHLRNLDLSNNSINGSIPLTLFNASGLERLDFSDNRMSGELPEVVGCLKSLRSLNLSGNAFTGVVPENLTSLRNLTGISLKDNYFSGRLPGGFDSVEVLDLSSNLINGSLPPNFGGSRLGYFNVSFNRLSGEIPPEFSRKIPPNATLDLSFNNLTGEIPDHALFLNQDGGAFSGNRNLCGMPVKILCPIPSAISTPPNATEPITSPPAIAAIPKTVDSTARADSPPGRSRSGLKTGTIIGIVAGDMAALGALALIFVYIYRAKKKRRTEETIKKEAESAKDFDWGSSSEEYNWLRSWTCLKKQRRAGAGTGAGDESSENMNSESDDSEEAEKGHAPPAGQKTGELVTVDGEKELELETLLKASAYILGASGSSIMYKTVLDDGTALAVRRIGESGLYRFREFENQIRVISKLVHPNLVRIRGFYWGADEKLVIYDFVPNGSLANACHRKGGSSHRHLPWEVRLKIARGVARGLGYIHEKKHVHGNLKPSNILLDADLEPKVGDFGLERLVVAGESSRKVYGSSRNFGSKRSTASRDSFPDSAVSGGPTPSPSPSALAISPYLAPESLRSLKPNPKWDVFSFGVVLLELLTGKIIVSDEMVPGPPVMGVEEKSKVLRMADVAIRADVEGKEEALLALLKLGYNCISPVPQKRPAMKEVVQALDNIPTSSSSSSSYFYSV >itb13g01330.t1 pep chromosome:ASM357664v1:13:1283664:1286666:-1 gene:itb13g01330 transcript:itb13g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGQCLPDQKSVLLQIRTQITYNSSESTKLVLWDEGADCCLWPGLSCNAAGYITSLDLSYDESITGGFNVSLLYKLPSLSVIRLDGVKFSAPFPDFFTDFTNLTILSLKNCNFSGTVPHKVFQVPTLQTIDLSFNHMLGGSLPDFPKNGFLQSLILHYTNFSGNLPESIGNLRLLSHIDLAYCDFSGPIPASFMKLSKLVELSLSGNSLSGPIPASLFFLPSLQTLSLSGNKLSGHINELQNVTSPLESLDLSRNNLEGTIPLFFFNLQNLTSLDLSSNKFFGRLIDLQNVTSPLQILVLSDNNLEGTIPSFFFRLQSLTSLFLLLNNFLGQPIDLQNVTSPLELLDLSYNNLEGTIPLFFFQLQNLTSINLSSNKFFGQMIDLQNVTSPLQYLHLSSNDFEETIPTFLFQLPNLTMLDLSSNKFNGIVHLTKFKSQYIDILDFSHNNLVIETTISTSELPLLPQFGDLNLASCNLRKIPDFLKSQSMLWWLDLSNNTISGEIPNWIWGIGNEKLYGLNLSHNRLTHMKEPMEYGSLTFLDLNSNMLSGQIPRPPPEAQYLDFSNNNFSMIPLHIADQIPYHLYFFSMAKNRVSGKISTSWCRAAYLEVLDLSHNALHATIPSCLVQNNSDLAVVNLRGNHLSEILTFLEILNVSYNHLVGRIPRSTQLDTFDASSFMGNKGLCGFQLNVSCSGIDEPASPIPESEEKESTHHVDIYISIAFGFAAGLGGIFVPLLLSSKWRSYYNKMIDGILLKIFFQRGQERRKKSR >itb01g32740.t2 pep chromosome:ASM357664v1:1:36155519:36165233:1 gene:itb01g32740 transcript:itb01g32740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MFDFGNSSGCLVGELKYCVCAGMAANPPPSGAQPLRPHPGGPMAPHGYGSFPMQFQPGAPAQQGQPFAPPVQMPNIGMGPGQSQAMQFSQPMQQFPPRPGQPGHIPSSQPIQMASMQPNMPIPSASMQPQQMIPSGNNHMPGFAASSFGQTNSGINMSSQIGTPAFTAGGQPWVPPANQSAPMQHTSQQPSAFAPAVVNNAEQSTSDWQEYEVSGRRYYYNKITKQSSWEKPLELMTPLERAEALTGWKEFTTENGRKYYYHKEKKESKWEIPEELKLAREQAEKAAAPGPQGDAGLTSKVAIGAAGTSTEQQFTAVTPVSSSPSTISGVPSSSAPVTPAVSVVNAPSTVVSGSSANSATLHPVITSSISVSSPAATLGSNADPASLASSNQAPTNGVENSSPQVVASSGVSSIQDIEQMKKSTATNIHVTVSDEKAADEEPLVYATKQEAKNAFKALLESANVGSDWTWDQTVRAIANDKRYGALKIHGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESKELTSSTRWSKAVTMFEDDERFKAVEREADREDLFRNYLVDLQKKEKAKAQEDYRKNRLEYRQFLETCGFIKVDTQWRKVQDLLEDDERCSRLEKIDRLEVFQEYIRDLEKEEEEQRKTQKEQLRRAERKNRDAFRNMMADHIAAGTVTAKTLWRDYCPMVKESVAYQAVASNTSGSTPKDLFEDVVEELENQYHEDKTRVKNVLKSEKITFSPLWSFEDFKAAILETIGTPSISEVNLQLIFEDLMERAKDKEEKEAKKRQRLAKDFVEMLSIVDEITAASSWEECKELVEDSSEYKAIGDETTLREIFEEYVTRLQEKAKEKERRREEEKVKKDKEKEEKEKRKDKERKEKDKEKEEQREREKEKGKERSRKDDADSESVDFVEYEHKEDKKRDKDKDRKHRKRHHSSTDDVTSDKDEKEETKKSRRHSGERKKSKKLSADL >itb01g32740.t3 pep chromosome:ASM357664v1:1:36155519:36165249:1 gene:itb01g32740 transcript:itb01g32740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MFDFGNSSGCLVGELKYCVCAGMAANPPPSGAQPLRPHPGGPMAPHGYGSFPMQFQPGAPAQQGQPFAPPVQMPNIGMGPGQSQAMQFSQPMQQFPPRPGQPGHIPSSQPIQMASMQPNMPIPSASMQPQQMIPSGNNHMPGFAASSFGQTNSGINMSSQIGTPAFTAGGQPWVPPANQSAPMQHTSQQPSAFAPAVVNNAEQSTSDWQEYEVSGRRYYYNKITKQSSWEKPLELMTPLERAEALTGWKEFTTENGRKYYYHKEKKESKWEIPEELKLAREQAEKAAAPGPQGDAGLTSKVAIGAAGTSTEQQFTAVTPVSSSPSTISGVPSSSAPVTPAVSVVNAPSTVVSGSSANSATLHPVITSSISVSSPAATLGSNADPASLASSNQAPTNGVENSSPQVVASSGVSSIQDIEQMKKSTATNIHVTVSDEKAADEEPLVYATKQEAKNAFKALLESANVGSDWTWDQTVRAIANDKRYGALKIHGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESKELTSSTRWSKAVTMFEDDERFKAVEREADREDLFRNYLVDLQKKEKAKAQEDYRKNRLEYRQFLETCGFIKVDTQWRKVQDLLEDDERCSRLEKIDRLEVFQEYIRDLEKEEEEQRKTQKEQLRRAERKNRDAFRNMMADHIAAGTVTAKTLWRDYCPMVKESVAYQAVASNTSGSTPKDLFEDVVEELENQYHEDKTRVKNVLKSEKITFSPLWSFEDFKAAILETIGTPSISEVNLQLIFEDLMERAKDKEEKEAKKRQRLAKDFVEMLSIVDEITAASSWEECKELVEDSSEYKAIGDETTLREIFEEYVTRLQEKAKEKERRREEEKVKKDKEKEEKEKRKDKERKEKDKEKEEQREREKEKGKERSRKDDADSESVDFVEYEHKEDKKRDKDKDRKHRKRHHSSTDDVTSDKDEKEETKKSRRHSGERKKSKKVMCKVVCRSVRDEDCDHYVRLV >itb01g32740.t5 pep chromosome:ASM357664v1:1:36155519:36164927:1 gene:itb01g32740 transcript:itb01g32740.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MFDFGNSSGCLVGELKYCVCAGMAANPPPSGAQPLRPHPGGPMAPHGYGSFPMQFQPGAPAQQGQPFAPPVQMPNIGMGPGQSQAMQFSQPMQQFPPRPGQPGHIPSSQPIQMASMQPNMPIPSASMQPQQMIPSGNNHMPGFAASSFGQTNSGINMSSQIGTPAFTAGGQPWVPPANQSAPMQHTSQQPSAFAPAVVAANTQNNAEQSTSDWQEYEVSGRRYYYNKITKQSSWEKPLELMTPLERAEALTGWKEFTTENGRKYYYHKEKKESKWEIPEELKLAREQAEKAAAPGPQGDAGLTSKVAIGAAGTSTEQQFTAVTPVSSSPSTISGVPSSSAPVTPAVSVVNAPSTVVSGSSANSATLHPVITSSISVSSPAATLGSNADPASLASSNQAPTNGVENSSPQVVASSGVSSIQDIEQMKKSTATNIHVTVSDEKAADEEPLVYATKQEAKNAFKALLESANVGSDWTWDQTVRAIANDKRYGALKIHGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESKELTSSTRWSKAVTMFEDDERFKAVEREADREDLFRNYLVDLQKKEKAKAQEDYRKNRLEYRQFLETCGFIKVDTQWRKVQDLLEDDERCSRLEKIDRLEVFQEYIRDLEKEEEEQRKTQKEQLRRAERKNRDAFRNMMADHIAAGTVTAKTLWRDYCPMVKESVAYQAVASNTSGSTPKDLFEDVVEELENQYHEDKTRVKNVLKSEKITFSPLWSFEDFKAAILETIGTPSISEVNLQLIFEDLMERAKDKEEKEAKKRQRLAKDFVEMLSIVDEITAASSWEECKELVEDSSEYKAIGDETTLREIFEEYVTRLQEKAKEKERRREEEKVKKDKEKEEKEKRKDKERKEKDKEKEEQREREKEKGKERSRKDDADSESVDFVEYEHKEDKKRDKDKDRKHRKRHHSSTDDVTSDKDEKEETKKSRRHSGERKKSKKHAHSPESDGESRRKRHKKERDASRRSGGYEELEDGELGEDGEIQ >itb01g32740.t1 pep chromosome:ASM357664v1:1:36155519:36165133:1 gene:itb01g32740 transcript:itb01g32740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MFDFGNSSGCLVGELKYCVCAGMAANPPPSGAQPLRPHPGGPMAPHGYGSFPMQFQPGAPAQQGQPFAPPVQMPNIGMGPGQSQAMQFSQPMQQFPPRPGQPGHIPSSQPIQMASMQPNMPIPSASMQPQQMIPSGNNHMPGFAASSFGQTNSGINMSSQIGTPAFTAGGQPWVPPANQSAPMQHTSQQPSAFAPAVVNNAEQSTSDWQEYEVSGRRYYYNKITKQSSWEKPLELMTPLERAEALTGWKEFTTENGRKYYYHKEKKESKWEIPEELKLAREQAEKAAAPGPQGDAGLTSKVAIGAAGTSTEQQFTAVTPVSSSPSTISGVPSSSAPVTPAVSVVNAPSTVVSGSSANSATLHPVITSSISVSSPAATLGSNADPASLASSNQAPTNGVENSSPQVVASSGVSSIQDIEQMKKSTATNIHVTVSDEKAADEEPLVYATKQEAKNAFKALLESANVGSDWTWDQTVRAIANDKRYGALKIHGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESKELTSSTRWSKAVTMFEDDERFKAVEREADREDLFRNYLVDLQKKEKAKAQEDYRKNRLEYRQFLETCGFIKVDTQWRKVQDLLEDDERCSRLEKIDRLEVFQEYIRDLEKEEEEQRKTQKEQLRRAERKNRDAFRNMMADHIAAGTVTAKTLWRDYCPMVKESVAYQAVASNTSGSTPKDLFEDVVEELENQYHEDKTRVKNVLKSEKITFSPLWSFEDFKAAILETIGTPSISEVNLQLIFEDLMERAKDKEEKEAKKRQRLAKDFVEMLSIVDEITAASSWEECKELVEDSSEYKAIGDETTLREIFEEYVTRLQEKAKEKERRREEEKVKKDKEKEEKEKRKDKERKEKDKEKEEQREREKEKGKERSRKDDADSESVDFVEYEHKEDKKRDKDKDRKHRKRHHSSTDDVTSDKDEKEETKKSRRHSGERKKSKKHAHSPESDGESRRKRHKKERDASRRSGGYEELEDGELGEDGEIQ >itb01g32740.t4 pep chromosome:ASM357664v1:1:36155519:36164927:1 gene:itb01g32740 transcript:itb01g32740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MFDFGNSSGCLVGELKYCVCAGMAANPPPSGAQPLRPHPGGPMAPHGYGSFPMQFQPGAPAQQGQPFAPPVQMPNIGMGPGQSQAMQFSQPMQQFPPRPGQPGHIPSSQPIQMASMQPNMPIPSASMQPQQMIPSGNNHMPGFAASSFGQTNSGINMSSQIGTPAFTAGGQPWVPPANQSAPMQHTSQQPSAFAPAVVAANTQNNAEQSTSDWQEYEVSGRRYYYNKITKQSSWEKPLELMTPLERAEALTGWKEFTTENGRKYYYHKEKKESKWEIPEELKLAREQAEKAAAPGPQGDAGLTSKVAIGAAGTSTEQQFTAVTPVSSSPSTISGVPSSSAPVTPAVSVVNAPSTVVSGSSANSATLHPVITSSISVSSPAATLGSNADPASLASSNQAPTNGVENSSPQVVASSGVSSIQDIEMKKSTATNIHVTVSDEKAADEEPLVYATKQEAKNAFKALLESANVGSDWTWDQTVRAIANDKRYGALKIHGERKQAFNEYLMQRKKLEAEERRLRQRKAKEEFTKMLEESKELTSSTRWSKAVTMFEDDERFKAVEREADREDLFRNYLVDLQKKEKAKAQEDYRKNRLEYRQFLETCGFIKVDTQWRKVQDLLEDDERCSRLEKIDRLEVFQEYIRDLEKEEEEQRKTQKEQLRRAERKNRDAFRNMMADHIAAGTVTAKTLWRDYCPMVKESVAYQAVASNTSGSTPKDLFEDVVEELENQYHEDKTRVKNVLKSEKITFSPLWSFEDFKAAILETIGTPSISEVNLQLIFEDLMERAKDKEEKEAKKRQRLAKDFVEMLSIVDEITAASSWEECKELVEDSSEYKAIGDETTLREIFEEYVTRLQEKAKEKERRREEEKVKKDKEKEEKEKRKDKERKEKDKEKEEQREREKEKGKERSRKDDADSESVDFVEYEHKEDKKRDKDKDRKHRKRHHSSTDDVTSDKDEKEETKKSRRHSGERKKSKKHAHSPESDGESRRKRHKKERDASRRSGGYEELEDGELGEDGEIQ >itb03g06640.t2 pep chromosome:ASM357664v1:3:4829598:4830143:1 gene:itb03g06640 transcript:itb03g06640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHGGSNEVPLCPKPRRLASALPEFLKPLRCSKHSQMSFDGGRSEILNIIGGDPQKVRDGRDSTVCSGCSPSCYSGSPPGRTDNPLIHDVQFVHQMELFSPLTRTKLSDKFGFTSASPA >itb14g19510.t1 pep chromosome:ASM357664v1:14:22127362:22134094:1 gene:itb14g19510 transcript:itb14g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGYVGLAVAFLLVATASGGEELGSSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDTGLSAIAELDPGYITGPLSSVWKEWVKWCIEFGVDAHAIIAVPYDWRLQPSKLEERDLYFHKLKLTFETALKHRGGPSIVFAHSLGNNVFRYFLEWLKLEVAPKMYNQWLDNHIHAYFAVGSPFLGSSEAVKATLSGVTFGLPISEGTARLMSNSFGSSLWMMPFSKYCRADTVYSRHFSGRSRKGHHVFHCDEHEFQVNHSGWPTNIVNIEIPVNKGYEAYPSFPEIPQTNSSGRECGLPTVLSFSAREVADGKFFKAIEDYDPDSERLLHMLKNSYHRDPVLNPLTPWERPPLKNIFCIYGIELKTEVGYYFAPSGKPYPDNWIITDVIYEAEGSLHSRSGNLIEGNPSAASGDETVPYHSLSWCKNWLGPRVNVTRAPQSEHNGKDVQMHMNVEHQHGEDIITNMTRSKKVKYITYYEDSESLPGRRTAVWELDKANHRNIVRSPVLMRELWLEMWHDSQPDKKSQFVTKEKRGPLRDADCYWDYGKARCAWSEHCEYRYVFGDVHLGQSCRLRNTSADLLLHYV >itb14g19510.t2 pep chromosome:ASM357664v1:14:22127362:22132298:1 gene:itb14g19510 transcript:itb14g19510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGYVGLAVAFLLVATASGGEELGSSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDTGLSAIAELDPGYITGPLSSVWKEWVKWCIEFGVDAHAIIAVPYDWRLQPSKLEERDLYFHKLKLTFETALKHRGGPSIVFAHSLGNNVFRYFLEWLKLEVAPKMYNQWLDNHIHAYFAVGSPFLGSSEAVKATLSGVTFGLPISEGTARLMSNSFGSSLWMMPFSKYCRADTVYSRHFSGRSRKGHHVFHCDEHEFQVNHSGWPTNIVNIEIPVNKGYEAYPSFPEIPQTNSSGRECGLPTVLSFSAREVADGKFFKAIEDYDPDSERLLHMLKNSYHRDPVLNPLTPWERPPLKNIFCIYGIELKTEVGYYFAPSGKPYPDNWIITDVIYEAEGSLHSRSGNLIEGNPSAASGDETVRLKTSYSCCSTIKNPSYALCTRNYFC >itb06g22240.t2 pep chromosome:ASM357664v1:6:24608616:24611604:-1 gene:itb06g22240 transcript:itb06g22240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEAGRENGGNPWPQSNELRHNQGPAKSEEDMRLWGILVFGLIGATATTFAVTQLRWTVDFYSQLSRSQSAWKRRGSGSYRTNYQEEAWKRYNRRMREEYEEEMERVERIRRMQNVFNRERNKYKKSYERWAENGGAYHQHFERNDWYWKADTSYRYQGTNFREPHQANTSYSLSHHYSVLGLDRLPLGRRRRSSIPTRIQITKRLLKHSLRK >itb06g22240.t3 pep chromosome:ASM357664v1:6:24608616:24611604:-1 gene:itb06g22240 transcript:itb06g22240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEAGRENGGNPWPQSNELRHNQGPAKSEEDMRLWGILVFGLIGATATTFAVTQLRWTVDFYSQLSRSQSAWKRRGSGSYRTNYQEEAWKRYNRRMREEYEEEMERVERIRRMQNVFNRERNKYKKSYERWAENGGAYHQHFERNDWYWKADTSYRYQGTNFREPHQANTSYSLSHHYSVLGLDRSRTKPYTDDEIKVIYFFPSYLF >itb06g22240.t1 pep chromosome:ASM357664v1:6:24608616:24611604:-1 gene:itb06g22240 transcript:itb06g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEAGRENGGNPWPQSNELRHNQGPAKSEEDMRLWGILVFGLIGATATTFAVTQLRWTVDFYSQLSRSQSAWKRRGSGSYRTNYQEEAWKRYNRRMREEYEEEMERVERIRRMQNVFNRERNKYKKSYERWAENGGAYHQHFERNDWYWKADTSYRYQGTNFREPHQANTSYSLSHHYSVLGLDRSRTKPYTDDEIKIAFRTKAKKFHPDQNPDNKEIAEAQFKEVMKSYEAIKLERKNGIN >itb05g02490.t1 pep chromosome:ASM357664v1:5:2005127:2009512:1 gene:itb05g02490 transcript:itb05g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGPNLECRMYEAKYPEVDQAVMIQVKSMADSGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMNIDLEDLYIHVGWPLYRKYGHAFEAFKLVVNDPDSVLNSLTREVKEVGPDGQEVTKVVPALTEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNKDCPVKIKLVAPPAYVLNTQTLDKEQGIAILDKAIAACTEEIERHKGKLTVKEAPRAVSEREDKLLAEQMAKLGQANEEVSGDEDSEEEEDTGMGEIDVENAGHGITD >itb13g21450.t1 pep chromosome:ASM357664v1:13:28029808:28033002:-1 gene:itb13g21450 transcript:itb13g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIQGILHYANAGLSRKFLSPQVIRTFSTNPGYDVNKLNLSSIESTDDFEQRIFGDNAGKSQTNNSFYRHLDKAERDHNRFWQGSNINSENSSTFLDGLDESFNTLSDGMDNKLKEAARYFEYDPEEVDKEDYSFRKDVTFWPGNTYDIKDLDLRKPGVQKPPKRSGFETTTEEVLQKADFRNVRFLANFITEAGIIIKRNKTKISAKAQRKIAREIKTARAFGLLPFTTMGTKHFVFGRTMEALEDDYSYETTYSPRNFVDTDASQEPLD >itb06g01950.t1 pep chromosome:ASM357664v1:6:3308079:3308626:1 gene:itb06g01950 transcript:itb06g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPNQAASLRRPPSYSPFSRRSSLRSPLAARRSPSSIAAARWCSLSFVQRVAAACGRSLIWEKKHLIGFCVGCI >itb10g23410.t1 pep chromosome:ASM357664v1:10:27792252:27793898:-1 gene:itb10g23410 transcript:itb10g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAALCRFLNNVIWAASIALMLASLVHSLSFDLPSIGPNDANTRIKLEGDASITTPGIQLTPYERDLVLSFKTGRATYIEPLHLWDRASGDLADFTTHFTFIIDSESNTTYADGLAFFLAGFSTPLNRTFTRGGGLALMHEFEPELSPDPFVAVVFDTYSHPMHRPMTNVSINIRSMLKPVNITPWFNNITQAVENWFNNITQAVENKASITYNATSKILQVVFTGFWNGQYLTDNLSYKVDLRNCSLPEFVSFGFSGATGNGFEKNNVISWQFHSTSLKKKNKLLERQVIGLSIGVSVLVAMLGLSIYSRFKKTREAEGYKHIILGRDMDTEFEKEGSGAKKFPYSELARATNSFAEEQKLGEGGFGGVYRGFLRSLKLDVAVKRVSSGSKQGIKEYASEVKIISRLRHRNLVPLHGWCHEKGELLLVYEFMPEGSLDSHLFKKTSPLNWGIRYGIAQGLASALLYLHEGWEKCVLHRDIKSSNVLLDSSFNARLGDFGLAKLVDHEMAPEKTLSGGTPGYIALECHFTFKTSKESDVYSFGIVA >itb03g14470.t2 pep chromosome:ASM357664v1:3:14176497:14180669:-1 gene:itb03g14470 transcript:itb03g14470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPGRGRRVVAKRRRRGGVDGFVNSVKKLQRREISSKLDRAFSMKDAQERFRNIRLQEEYDTHDPKEHCAITLPFLKKRSRIIEIVAAHDIVFALARSGVCAAFSRETNKRICFLNVSPDEVIRSLFYNKNNDSLITVSVYAADNFSSLKCRTTRIEYIKRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKDVQEIKISPGIMLLIFTQASGYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIKATKPDTPSDCSCSPSCRGQSCKSNRVQASRIRSTVAEALEDITALYYDEDRNEIYTGNRLGLVHVWSN >itb03g14470.t1 pep chromosome:ASM357664v1:3:14176497:14180669:-1 gene:itb03g14470 transcript:itb03g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPNPNDPWLKWFKEEYDTHDPKEHCAITLPFLKKRSRIIEIVAAHDIVFALARSGVCAAFSRETNKRICFLNVSPDEVIRSLFYNKNNDSLITVSVYAADNFSSLKCRTTRIEYIKRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKDVQEIKISPGIMLLIFTQASGYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIKATKPDTPSDCSCSPSCRGQSCKSNRVQASRIRSTVAEALEDITALYYDEDRNEIYTGNRLGLVHVWSN >itb12g07760.t1 pep chromosome:ASM357664v1:12:5934860:5936014:-1 gene:itb12g07760 transcript:itb12g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGRQNGMVPWSPRSGPSTSANKLSDSPPTAAGLLLRVSPTRIRSKCGGKAKDKAKAKGTHKLRSRDVVVSSYRLTTWRVADGGPGFKFSGLSATGILDRLAAADYMDYDYDYDHDHDQNHDYMDNDYDYDYDSDHVHEESGSLDYFPPGLIVDLDFAPSPLSLEIEADDYGDLDGGDDVDDDAMSFCEVGFLWEQVEGDEGWCLVEEM >itb09g01710.t1 pep chromosome:ASM357664v1:9:1029823:1031552:1 gene:itb09g01710 transcript:itb09g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPQSGSASPFALSPWNQVSGASPWTQQPAEESAMANDQGGWDTSLIGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKDMKEYSGFKSNSGLVKSIVLGDKIFTGHQDGKIRIWKFADQKKKTYKRLGSLPTTRDYLKSSMNPKTYVEVKKNRNAPWIKHHDAVSCMSLEDEEGLLYSGSWDKTVKVWKLSENKCIESVNAHDDAVNALVVGFDGLVFTGSADGTVKAWRREVNGKTMKHALVDTVLKQDNAVTSLAVNVSAAMVYAGSSDGLVNFWEREKSFMTYGGVLRGHKLAVLCLAAVANLVLSGSADNSICVWRREEGAVHVCMSVLNGHSGPVKCLAIEVDNGEGEGEESASVNSGEMERWRVYSGSLDKSVKVWRIVQPKTTQ >itb09g12630.t1 pep chromosome:ASM357664v1:9:7989525:7990766:-1 gene:itb09g12630 transcript:itb09g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFTSLPFKPLSFLLSSAQQPTILLSHLSLSSFSFAMKLIKSIPRPAKLFKSLRSRSLSRSDDPSSVRSGTTSSSSSASDSESQTGYKKPGGESTPTSVLPALNREISPDEWPGVYAELVQAFKLIDRDDDGKIRKEELEALLSRVGAEPPTREELRLMLSEVDRDGDGCISLEEFSVLSSAFAPPSCDSELRDAFDFFDTDHDGKISAEELFNVFRTIGDSWCTLEECRRMIVGVDNNGDGFVCFEDFCRMMEQQR >itb03g05150.t2 pep chromosome:ASM357664v1:3:3504645:3510731:-1 gene:itb03g05150 transcript:itb03g05150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDDDSSSNTNFFNGTFTSHIARQYNSSPSVIVIGGGISGLAAARVLQNASFKVILLESRDRIGGRIQTDYSFGCPVDMGASWLHGVCNENPLAPMIRRLGLNLYRTSGDNSVLYDHDLESFMLFGIDGKQVPQQTVVEVGDAFRKILKETEKVRDEHSQDMSVQQAISIVLDRYPELRQDGLADEVLQWYICRMEAWFAADANSISLKTWDEETVLSGGHGLMVQGYYPVIQALAKDIDIRLNHRVLRITNGYNKVMVSVEGGRNFIADAAIVTVPLGVLKANLIDFEPKLPEWKVSAIRDLGMGNENKIALRFDNIFWPNVELLGVVAPISYACGYFLNLHKATGHPVLVYMAAGSLAYDLEKLSDEGAVEFAMLHLKMMFPNATEPAQYLVSRWGTDPDSLGCYSYDLVGKPTDVYDRLRAPLGNLFFGGEAVSMEHQGSVHGAYSAGLMAADNCRRHLIKRLGSLEMMQVVSSREEILENAVPLQISRM >itb03g05150.t1 pep chromosome:ASM357664v1:3:3504619:3510731:-1 gene:itb03g05150 transcript:itb03g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDDDSSSNTNFFNGTFTSHIARQYNSSPSVIVIGGGISGLAAARVLQNASFKVILLESRDRIGGRIQTDYSFGCPVDMGASWLHGVCNENPLAPMIRRLGLNLYRTSGDNSVLYDHDLESFMLFGIDGKQVPQQTVVEVGDAFRKILKETEKVRDEHSQDMSVQQAISIVLDRYPELRQDGLADEVLQWYICRMEAWFAADANSISLKTWDEETVLSGGHGLMVQGYYPVIQALAKDIDIRLNHRVLRITNGYNKVMVSVEGGRNFIADAAIVTVPLGVLKANLIDFEPKLPEWKVSAIRDLGMGNENKIALRFDNIFWPNVELLGVVAPISYACGYFLNLHKATGHPVLVYMAAGSLAYDLEKLSDEGAVEFAMLHLKMMFPNATEPAQYLVSRWGTDPDSLGCYSYDLVGKPTDVYDRLRAPLGNLFFGGEAVSMEHQGSVHGAYSAGLMAADNCRRHLIKRLGSLEMMQVVSSREEILENAVPLQISRM >itb03g05150.t3 pep chromosome:ASM357664v1:3:3504784:3510689:-1 gene:itb03g05150 transcript:itb03g05150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSKMTRDQCLQRIGTFTSHIARQYNSSPSVIVIGGGISGLAAARVLQNASFKVILLESRDRIGGRIQTDYSFGCPVDMGASWLHGVCNENPLAPMIRRLGLNLYRTSGDNSVLYDHDLESFMLFGIDGKQVPQQTVVEVGDAFRKILKETEKVRDEHSQDMSVQQAISIVLDRYPELRQDGLADEVLQWYICRMEAWFAADANSISLKTWDEETVLSGGHGLMVQGYYPVIQALAKDIDIRLNHRVLRITNGYNKVMVSVEGGRNFIADAAIVTVPLGVLKANLIDFEPKLPEWKVSAIRDLGMGNENKIALRFDNIFWPNVELLGVVAPISYACGYFLNLHKATGHPVLVYMAAGSLAYDLEKLSDEGAVEFAMLHLKMMFPNATEPAQYLVSRWGTDPDSLGCYSYDLVGKPTDVYDRLRAPLGNLFFGGEAVSMEHQGSVHGAYSAGLMAADNCRRHLIKRLGSLEMMQVVSSREEILENAVPLQISRM >itb07g15410.t5 pep chromosome:ASM357664v1:7:18508566:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVLPDDLKTKLSLDEDLVSSPKDVVLSLASAERLALRNKKFRSGMSHSYSTHRDTNLSKVDEFSSTNQKLDDRALVLPSTLANQVTLMHTTRVEYICLLSLGTLFFLLCSSFYPSSVHYVYWFYISSFVLFC >itb07g15410.t6 pep chromosome:ASM357664v1:7:18508566:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVCCFNNFGAFPRILLFTL >itb07g15410.t9 pep chromosome:ASM357664v1:7:18510682:18514645:-1 gene:itb07g15410 transcript:itb07g15410.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVVFKLYAKEELIWTHVKPRKN >itb07g15410.t4 pep chromosome:ASM357664v1:7:18508566:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVLPDDLKTKLSLDEDLVSSPKDVVLSLASAERLALRNKKFRSGMSHSYSTHRDTNLSKVDEFSSTNQKLDDRALVLPSTLANQVTLMHTTRVEYICLLSLGIYCTAIGTDFYSKR >itb07g15410.t8 pep chromosome:ASM357664v1:7:18508566:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVCCFNNFGAFPRILLFTL >itb07g15410.t2 pep chromosome:ASM357664v1:7:18508548:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVLPDDLKTKLSLDEDLVSSPKDVVLSLASAERLALRNKKFRSGMSHSYSTHRDTNLSKVDEFSSTNQKLDDRALVLPSTLANQGSIAQLSEQISTLNDRMDDFTSRMEELNSNLSNRRSSPSAPNIAQLSETGNGSAPTSHFISSLENGSLTGSIMPHSSSFSHMARDSTLTEELSNIARSQRQIMLQLDNLSTLLRERSGPRSQHKPRTRRHRLISALDPVKVPAISALSVAGLGLGILFFRSFRHRN >itb07g15410.t7 pep chromosome:ASM357664v1:7:18508548:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVLPDDLKTKLSLDEDLVSSPKDVVLSLASAERLALRNKKFRSGMSHSYSTHRDTNLSKVDEFSSTNQKLDDRALVLPSTLANQVTLMHTTRVEYICLLSLGIYCTAIGTDFYSKR >itb07g15410.t10 pep chromosome:ASM357664v1:7:18508548:18514645:-1 gene:itb07g15410 transcript:itb07g15410.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVCCFNNFGAFPRILLFTL >itb07g15410.t1 pep chromosome:ASM357664v1:7:18508548:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVLPDDLKTKLSLDEDLVSSPKDVVLSLASAERLALRNKKFRSGMSHSYSTHRDTNLSKVDEFSSTNQKLDDRALVLPSTLANQVTLMHTTRVEYICLLSLGIYCTAIGTDFYSKR >itb07g15410.t3 pep chromosome:ASM357664v1:7:18508566:18514662:-1 gene:itb07g15410 transcript:itb07g15410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTTNNGSAHQKSGLLKDQVRLVKRKDCDRYEIAPIPDNLSFEKGFFVVIRACQLLAQKNDGITLVGFAGPSGAGKTMFTEKILNFMPTVAVISMDNYNDASRIIDGNFDDPRLTDYDTLLKNIQDLKVGKPVEIPIYDFKSSSRVGYRTLEVPESRIVIIEGIYALNEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRVEQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSKEVNVETIKSLLSEEHTEGMEETYDIYLLPPGEDPESCHSYLRMRNREGRYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTMAAILKRSSHVFRDERVCVKIDWLEQLNRQYVQVQGRDRLVVKSIADQLGLEGSYIPRTYIEQIQLEKLVNEVMVLPDDLKTKLSLDEDLVSSPKDVVLSLASAERLALRNKKFRSGMSHSYSTHRDTNLSKVDEFSSTNQKLDDRALVLPSTLANQGSIAQLSEQISTLNDRMDDFTSRMEELNSNLSNRRSSPSAPNIAQLSETGNGSAPTSHFISSLENGSLTGSIMPHSSSFSHMARDSTLTEELSNIARSQRQIMLQLDNLSTLLRERSGPRSQHKPRTRRHRLISALDPVKVPAISALSVAGLGLGILFFRSFRHRN >itb01g32090.t1 pep chromosome:ASM357664v1:1:35681514:35687214:1 gene:itb01g32090 transcript:itb01g32090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEGSKASAMESALSGFVINPSKYSQLSLEERRQLVHEIVQWSEDAPKVLSSLTRRELLELICAEMGKERKYSGFTKFRMIEHLLKLLSRKSTRSTNTQLDSSPVQSGGGLKRQCIRENLCLPNQCDEEMEDKSEVLCQNLACRAILNAGDAFCRRCSCCICHQYDDNKDPSLWLICYSDYPDEPGSCGLSCHLKCSLSHERSGILLNGPCVKLDGHFYCVSCGKINDLMRTLRKQLVVAKDARRVDVLCLRISLSHKILQYTERYKGLLQVVESAVRALENEVGLLDLASEKMDRSIVNRLSCGTAVHKLCASAVEAFDSLFLDQCFHATKQEPPNCNPYEELGERGNPAVDVAYLRAESMNSSDNKVARTENPSKLGSSLNDNESKSHGRSQVKRVPAMSPISSGPATPCKAGGAKEVPLVGCKRQAKENKYEYSVGVIRRLEHEGHIKTEFRVKFLTWFSLKATTQERRVVSIFIETFIDDPSGLAGQLMHTFMDKVCGEQKVVSHHGLCTRFWH >itb09g05600.t1 pep chromosome:ASM357664v1:9:3176539:3177520:-1 gene:itb09g05600 transcript:itb09g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGSTEKSPNQKLKDAIINVLETINATMSSREQSRKAREEAGTMSYGDISSDSSSDSDDDCYVGIILVGVAYLLLEEEESESESEDSM >itb15g21740.t1 pep chromosome:ASM357664v1:15:24402890:24405895:-1 gene:itb15g21740 transcript:itb15g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQILCFVIHILLLFSLSLETTETSERREGRALLKWKNTLSNIHVLHSWSIVNLDNICWNWTGINCNNVGVVSEITLDNFNLSGTLESFDFVSFPNITRFSLDNNSFTGSIPREIELLTNLRVLNLGENHLYGSIPSTIGNLTSLSSLYLYSNMLQGNIPDTLCKLHSLENLYLSTNNLSGQIPQCLENITSLRYFYLSANIMLEGNILGILCKLYLLEGIDLSKNTLSGVIPPCLGNLTSLRFLFLSNNTLQGNISKTICKVHSLENLDLSWNTLSGLVPQCLGDITTLKYLYLYSNMLQGNIPETLCKLYVLQGLNLSNNTLSGLIPQCLGNLTSLGYLSLSSNKLQGNIPKTLCKFRSLWNLDLSSNCLSGMVPQCLGNVTSLKFLYLKSNMQLHENIPGALCKLHSLQNLDLSNNILGGPIPQCLGNVTSLRYLYLQSKMLQENIPRSLCNLHSLEGLNLANNMLEGMIPLCMGNITSLKYLSLHSNWLKGGIPESLCNLQQSLEVILLSNNNLDSPSPIPPCLGKLKKLTTLSVSQSHLQGTITPTPTIRIGTNQTINSSLTYGMDAWLCNLSSLQFLDLSDNHLHGPLPPCLENFSSDLRVINLARNLFEGICGVSCNSSQFT >itb02g09070.t1 pep chromosome:ASM357664v1:2:5779121:5785408:1 gene:itb02g09070 transcript:itb02g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPNQALEEEESSHRKSGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVRATIDRYKKHHSDSTNTGSVSEANTQFYQQESAKLRRQIREIQTTNKQILGESLGVLTHKELKNLEGKVEKAIGRVRSKKNELLFSEIELMQKREIELQNANMYLRAKIGEFERAQQQMNLMPGSEYQEPMTTSQTYDAHNFLPLTLLEPNQHYSRHDQTALQLV >itb02g09070.t2 pep chromosome:ASM357664v1:2:5779121:5785408:1 gene:itb02g09070 transcript:itb02g09070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPNQALEEEESSHRKSGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVRATIDRYKKHHSDSTNTGSVSEANTQFYQQESAKLRRQIREIQTTNKQILGESLGVLTHKELKNLEGKVEKAIGRVRSKKNELLFSEIELMQKREIELQNANMYLRAKV >itb04g10770.t1 pep chromosome:ASM357664v1:4:10362236:10363231:-1 gene:itb04g10770 transcript:itb04g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNTSPSQHQHHHHHQQPFSLFEPIRTPTGFSWLQRNTAVAQPSEKRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFIYTTDSCYTTNTNNPFDLHPQNSSSSSPPFILTCTPEKKPAAVPPPPVSSSPPLLGQSDNETCSQSSYGSSPQENSLFFSPHEEDNSGYLDCIVPDTILRPPNTNTTISQETNENGNYYTAPQNFPWFWEGQQSWDMMNSCDDLTAILNNNNNNKSSMSYEVRNACMGMENDEKPAIIGSFDKVMMMQGGGEGASSSTTTCSYAYGDANDYGYSLY >itb05g16680.t1 pep chromosome:ASM357664v1:5:23835235:23835657:-1 gene:itb05g16680 transcript:itb05g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKDAAQSPLKVRQSSVRSRWRGKPRLKVACVDLPVARRGRPFAGLIFEEGGVQPHRRKLSHHPRPLRRSPEKLSSSTVLPPSAYCRVKLSSSGQFSGDCLDGQETVLKLLPTFSAGQEAGYPLSLILLRSCYHLSGIP >itb06g24630.t2 pep chromosome:ASM357664v1:6:26107349:26111226:-1 gene:itb06g24630 transcript:itb06g24630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFALLLMAMAGHSSATWCVCKEMGDSALQKTLDYACGAGADCNPIKPNGQCYNPNSVRAHCNYAVNSYFQKRGQAAGACDFASTASVTTSDPSVTGCVYPASASGGGGSGSTTTTSPTTNTPSTGLPSTSTSSTGSPIVTTPTGGSLGGGINNGLGPSGTGMNTDVSHGGISQQGTIILPLITLIFYVVASWWLE >itb06g24630.t1 pep chromosome:ASM357664v1:6:26107349:26111226:-1 gene:itb06g24630 transcript:itb06g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFALLLMAMAGHSSATWCVCKEMGDSALQKTLDYACGAGADCNPIKPNGQCYNPNSVRAHCNYAVNSYFQKRGQAAGACDFASTASVTTSDPSVTGCVYPASASGGGGSGSTTTTSPTTNTPSTGLPSTSTSSTGSPIVTTPTGGSLGGGINNGLGPSGTGMNTDVSHGGISQQGTIILPLITLIFYVVASWWLE >itb09g07850.t1 pep chromosome:ASM357664v1:9:4692008:4694349:1 gene:itb09g07850 transcript:itb09g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMGFSLGTAITYCTVLIVFASTGHVAGCYKAIVSFGDSVTDTGNLIHNSKLLSNGFVKSSVPPYGETFFRYPTGRYSDGRLIIDFIAQSMGLPFVQPYFGGGSNGDRSFVEGVNFAVAGATAMDISFFMERGIINSATNVSLGNELDWFKQMLSSFCQTPSRCKEYLQSSVVLMGEIGGNDYNYAFLQGHPKEEVLSFVPKVITVIASTINELIKYGVQTLIVPGNLPIGCSASYLSYFMTSNASEYDPRTGCINWLNDFAENHNELLQEELDRLRELHPHATIIYADYYNAAMRLYRSPSNYGFNNTLEACCGGGGPYNSNAHVYCGSQLSTVCDSPSSYINWDGSHLTEAAYRWIADGLLKGPFTSPQINGACILSRPKPKILDS >itb03g12740.t2 pep chromosome:ASM357664v1:3:12372939:12384080:1 gene:itb03g12740 transcript:itb03g12740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDGNQQLVAAKRAYQHAKAEGNRQEEARWANVIGDILKNRGEYIKALRWLRIDYDISIKYLPEKQLLPSCQSLGEVYLRLQDYEHALVYQKKHLDLAKDENNLIEQQRATTQLGRTYHEMFLKSDDDHCSLKNAKKYFKLAMELAQTLKHSQLSERFSFVKEYIDAHNNLGMLEMDLDNLEEAKKFLLKGLEICDEEELSENDDGRSRLHHNLGNVYTELRKWDKAREHIRKDIVICNKIGHCQGEAKGYINLGELHYRVQRYDEAMTCYNKALQLAKSMEDEDALIDQINQNMQTVREALKVRAEMEKEEQVLKKMVRKMEMARGTDGERKCLLQQYSSLDHLIEKASIIFAWMKYHAYAKKKKKIASQLYDTEKLGDSFLAIGESYHKLRKFDKALKWYTKSLEKYKLIGNLEGQALAKISIGNTFDSKGDWASALAAYKEGYSISVKANKPATQLAALENMHYSQMIRFDNVEEARRLQLSIDKLKQSQSKDLGVPDLAGDLCSETETDADQSPDARTKPPECSPRSLSKSSSSQTETISRKRTRIILSDDEDENDEVHCSGGIVNPGAEDNHAFSSHDMFHKFAVEDVATSDDFKIGKKQCNHTHEVQVDASPVASKCKISACAAINFEESTCSEKSRTSRLDPKDKKDIRFSSPHRAVENSNFSANGKALHASNVCGDEHCKHILVKIGEDYIHIEQDSCIVGSDLSIEQMKIEVACMYYLQLPAEKRSKGLVPVIQHVKYEGRILESFEAIDILDDHINRKSCIEVSLGVWVPKPLVKLYTDCCKELSESPNMKVLKKLYNQEVSEDEIVVSGCELQDISVAPLVNALNKHQTVASLDLSHNLLGNGTMEKLKKVFTSSHQSYGGLALDLHCNRFGPTALFQVCECHVLYSRLEVLNISRNRLTDACACYLSTILQKCKALYSLNIEQCSITSRTVQKVADSLDSGSALTHLCLGYNHPVSGNAMINVLAKLANLNNFQELNLSGVKLSKPVVDKLCELVKNTSLSDLILGSTSIGNDGALKILESFSEKTRETGTLDLSSCGLTSVYIARFNIEVSLMSSILELNLGGNPIMEEGGNELASLLSNPQCCLRVLILCKCQLGLVNILGILKGLSENCHLEELNLAENAHFDEIHTYTNRLKSKSEPPIHHKVEASEQEICTVNMDYSQLEVADSEDDAEEGASIYKLTGTTTQDPSNSEWELIEELSTAIKMAKHLQQLDLSSNGFCQEAGQRLYSAWTLQPRCGIAQKHIEGNVIHLSVQGTKCCGTKPCCQRV >itb03g12740.t1 pep chromosome:ASM357664v1:3:12372875:12384092:1 gene:itb03g12740 transcript:itb03g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDGNQQLVAAKRAYQHAKAEGNRQEEARWANVIGDILKNRGEYIKALRWLRIDYDISIKYLPEKQLLPSCQSLGEVYLRLQDYEHALVYQKKHLDLAKDENNLIEQQRATTQLGRTYHEMFLKSDDDHCSLKNAKKYFKLAMELAQTLKHSQLSERFSFVKEYIDAHNNLGMLEMDLDNLEEAKKFLLKGLEICDEEELSENDDGRSRLHHNLGNVYTELRKWDKAREHIRKDIVICNKIGHCQGEAKGYINLGELHYRVQRYDEAMTCYNKALQLAKSMEDEDALIDQINQNMQTVREALKVRAEMEKEEQVLKKMVRKMEMARGTDGERKCLLQQYSSLDHLIEKASIIFAWMKYHAYAKKKKKIASQLYDTEKLGDSFLAIGESYHKLRKFDKALKWYTKSLEKYKLIGNLEGQALAKISIGNTFDSKGDWASALAAYKEGYSISVKANKPATQLAALENMHYSQMIRFDNVEEARRLQLSIDKLKQSQSKDLGVPDLAGDLCSETETDADQSPDGESDMSFSPTKSEFGDQKSEFHATADHSYENLPLTSLLDPNKKLAKLKPTSVARTKPPECSPRSLSKSSSSQTETISRKRTRIILSDDEDENDEVHCSGGIVNPGAEDNHAFSSHDMFHKFAVEDVATSDDFKIGKKQCNHTHEVQVDASPVASKCKISACAAINFEESTCSEKSRTSRLDPKDKKDIRFSSPHRAVENSNFSANGKALHASNVCGDEHCKHILVKIGEDYIHIEQDSCIVGSDLSIEQMKIEVACMYYLQLPAEKRSKGLVPVIQHVKYEGRILESFEAIDILDDHINRKSCIEVSLGVWVPKPLVKLYTDCCKELSESPNMKVLKKLYNQEVSEDEIVVSGCELQDISVAPLVNALNKHQTVASLDLSHNLLGNGTMEKLKKVFTSSHQSYGGLALDLHCNRFGPTALFQVCECHVLYSRLEVLNISRNRLTDACACYLSTILQKCKALYSLNIEQCSITSRTVQKVADSLDSGSALTHLCLGYNHPVSGNAMINVLAKLANLNNFQELNLSGVKLSKPVVDKLCELVKNTSLSDLILGSTSIGNDGALKILESFSEKTRETGTLDLSSCGLTSVYIARFNIEVSLMSSILELNLGGNPIMEEGGNELASLLSNPQCCLRVLILCKCQLGLVNILGILKGLSENCHLEELNLAENAHFDEIHTYTNRLKSKSEPPIHHKVEASEQEICTVNMDYSQLEVADSEDDAEEGASIYKLTGTTTQDPSNSEWELIEELSTAIKMAKHLQQLDLSSNGFCQEAGQRLYSAWTLQPRCGIAQKHIEGNVIHLSVQGTKCCGTKPCCQRV >itb02g07880.t1 pep chromosome:ASM357664v1:2:4936564:4938531:-1 gene:itb02g07880 transcript:itb02g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLFSPKRRHLFVRALHLGKQFAHPNTEDVLFRSICVNLRENKWKFMDQICSGLTGSVLSRAFNEFRSSPQVVLEFYKRIGGAKSVLNSLESCCVVVHVMVCCRHFDDALWLMKELMIRKGISPLEILGGLIDSYDVGCGSNAVFDTLVRACTQIRLTDGGYEVIKKLRMEGHMVSVHALNNFLNHLLKLDEVGRFWLVYREMVSCGYMENVYTYNIVIYALCKECKLFEAISVFYRMVKSGIVPNVVSFNMLIDGACRIGELDLAFKLVRNTGIMSGGEVFPNSITYNSLINGCCKLGSLRIAEKFLAEMIEMGFEPNVRTYATLVDGYSKNGKLEEAFMMCHNMISMGLLPNSVIYNTLIHQLYMEGDVNGASGLLSDMIKRNILPDNFTYSILAKGLCRNGQMREILKYYKQIVENNLAEDACSHNILIDYLCRSENTLGAQQIFCSMFVRGLIPDLVTYGTMINGFCQVGNVESAVEVYDDMINTKKNPNLVIYNTIVDGLCKAASVDAAKGLVDALKGTSVYDVITFNTLLNGYCINGEIEKALHLFCSMRKGGLSINTVTYNILINMMCKYGLIQHAKELLSVMITQGISPDAVTYTTLLTSASKISNADEVLQLHDYMVLQGTIPDSDTYKAVVSPLVEANASGFL >itb02g07880.t2 pep chromosome:ASM357664v1:2:4937543:4938850:-1 gene:itb02g07880 transcript:itb02g07880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKPSSGLELQVNGTEGCGRILGNYIVVQCYCFLNVLIQVLNYAAYISMGLFSPKRRHLFVRALHLGKQFAHPNTEDVLFRSICVNLRENKWKFMDQICSGLTGSVLSRAFNEFRSSPQVVLEFYKRIGGAKSVLNSLESCCVVVHVMVCCRHFDDALWLMKELMIRKGISPLEILGGLIDSYDVGCGSNAVFDTLVRACTQIRLTDGGYEVIKKLRMEGHMVSVHALNNFLNHLLKLDEVGRFWLVYREMVSCGYMENVYTYNIVIYALCKECKLFEAISVFYRMVKSGIVPNVVSFNMLIDGACRIGELDLAFKLVRNTGIMSGGEDLCNLS >itb03g04640.t1 pep chromosome:ASM357664v1:3:2967887:2968306:1 gene:itb03g04640 transcript:itb03g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELVVHNGGCHCKRVRWRVRAPSSVVAWVCNCSDCSMRGNTHFIVPSEKFELLGDSEQFLTTYTFGTHTAKHTFCKVCGITSFYIPRSNPDGTAVTFRCVDPGTLSHVEIKYFNGNNWENSYKETGIASCSKVKEGS >itb06g21130.t1 pep chromosome:ASM357664v1:6:23855599:23857170:1 gene:itb06g21130 transcript:itb06g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSVTLQFHLPFQKPSKNRPSTRRLKFQPVCISVSENTPAAVSVSPRPPSPATLPLRKIPGDYGLPFVGPMKDRLDYFYNQGREEFFRQRIQKYQSTVFRTNMPPGPFISSDSKVVCLLDGKSFPTLFDVSVVEKRDVFTGTYMPSTELTGGYRILSYIDPSEPSHAKLKNLMFFLLSHRRHHLIPEFHNSYADMFHSLETQLATNGKAGLNAANDQAAFNFLARSLYGVDPIKTKLRSDAPKLIGKWVLFNLHPVLSLGLPKQIEDPLLHTFRLPPALVKKDYKILYDFFYENSTAILDHGEILGISREEACHNLVFATCFNSFGGMKIFFPNMLKWIGRAGVNLHTKLAKEIRSIVKSNGGKITMAGMEQMELMKSTVYESFRIEPPVPTQYGRAKRDIVIESHDARFQVKEGEMLFGFQPFATKDPKIFDRAQEFVPNRFVGEKGKALLKHVLWSNGRETENPTVNDKQCAGKDFVMLVSRLMLVEFFLRYDSFDIEVGMSPLGASVTLTSLKRATF >itb13g06630.t1 pep chromosome:ASM357664v1:13:7996280:7997378:-1 gene:itb13g06630 transcript:itb13g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARGFRIGRKLVGVFTWVIHRRAKPGGSYRLLEPRSSAADGGALSKLRRSLGGLLKRRRPGPGYVRVGQEAAEEKRGVGVPKGHLAVYVGEKEDGAAAAAAARRVVVPVIYFNHPLFADLLREAETVYGFNHPGGIQIPCRISEFENVQSRIAATGGGDGCNFRRRSWRHRIKW >itb03g10810.t1 pep chromosome:ASM357664v1:3:8689476:8692249:1 gene:itb03g10810 transcript:itb03g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEKPVVLITGCSSGGIGHAMAHEFAVRNCLVVPTARSLASMSDFENDPRFYPQELDVLSEQCVTRVLSDVLHKFGRIDILVNNAGVLCVGPLAEVPLSKIEHTFNTNVFGTMRLIQAVVPHMASRKEGKIVNVGSCIALVSGAWAGAYSSSKAALHSLTDTLRLELKPLGIDVINVVPGAVKSNVGNSALASYNQMPEWKLYKKFEEAIRARALFSQSPKSTPTEEFAKRTVNAVLKRNPPAWFSTGYYSTIAAILYHLPLFIKDFILRKRMMRLK >itb01g00650.t1 pep chromosome:ASM357664v1:1:291247:295385:1 gene:itb01g00650 transcript:itb01g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSLSSTDKVKFRTKVLNRHLNQQSSHPPILESSPCLSYAPPELSEKPAAFDVSEMRKLMDGHNLQERDWLYGIIIQSRLFNPRGRGGKVFVGADYNQSMEHQREMTMKRIGYLLDCGVFQGWLTGKGPEAELRKLALLEVVALFDQSLSVKIGVHFMLWGGAIQFFGTKRHHDKWLSDTENYAVKGCFAMTELGHGSNVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTVVFSQLKIDGKDQGVHAFIAQIRDTNGNICPNVRITDCGHKIGLNGVDNGRIWFDNVRIPRENLLNSVADVSPDGKYLTAIKDPDQRFGAFMAPLTSGRVTISSSATYSAKVGITIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANYLKTIYVKRTPEIYKTIHIVSSGFKAILSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVACKSKGRPFKGLGLEHMNESSPPVIPSKLSSDILRSIQFQNDILCLRERDLLNRFVDEVSQRQAQGESKENALLLSYQLAEDLGRAFTDRAIYHTFLEAEASVNSGSLKNILGLVRSMYAMATLDEDAAFLRYGCLTRDNDGAVRKEITRLCSELRPHALALVTSFGIPDAFLSPIAFNWIDSNAWSSI >itb09g10920.t1 pep chromosome:ASM357664v1:9:6825221:6826712:-1 gene:itb09g10920 transcript:itb09g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARLRYSAVAVLSRNLSPPTRQFFSGRNPIFSGDCTSSFSKQSLLESRAFCSAAGSRSSFRPAAAFLAGWRSRVAADPQFPFKVAMEQLVGVSACVAGDMATRPNFGLNELDFVFSTLIVSSILNFVLMYILAPTPSGTQSLPSIFSNCPRSHMFEPGNYGPVLRLGTFVYKGALFAAVGFCAGLVGTAISNGLVKMRKQMDSGFEPPNKAPPTILNAATWAIQMGVSSNLRYQALNGIEFWMAKAVPPLVFRSSVVVIRCLNNVVGGMSFVMLARLTGSQSVNQEKVTAPQ >itb11g00680.t1 pep chromosome:ASM357664v1:11:297272:302579:-1 gene:itb11g00680 transcript:itb11g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MEQLDGNTLIHTWSKKKSSKDNTTNKNGLLRLFLNHKNRVCASHFPESYTPEFGITTRNSSIITLMSHHTLSTPLLPPATPSDCDGPHTIVAVNDRGAPNLAHQSSNGDGRLGAGLELVDDNPYGFLGAHQFEAPESSTIDPFLNHTAGIEGVYEWVKILVCLPVALIRLALFGICLMIGYVATRIALGGWRDKHNPMPKWRSRLMWVTRISARFILFSFGYQWIKRKGKPAPREIAPIVVSNHISYIDPIFFFYELFPTIVASESHDSMPFVGTIIRAMQVIYVDRFSQSSRKNAVNEIKRKASRDQFPRVLLFPEGTTTNGRSIISFQLGAFIPGFPIQPVVVRYPHVHFDQSWGNISLARLMFRMFTQFHNFMEVEYLPIVSPLENQKENAVHFAKRTSHAIASSLNVVQTSHSYADALLLMKAAESNKENPSLYMTEMAWVKSAFNVSSLEAMDFLDVFLSMNPDSRGQVKIHDFLRVLRLKPCALSQKIFQFVDVQKNGRITFKQFLVASVHILNQPSFSRACELAFEKCDSNRKHYILEQELEESLSLSIPSLSKDEIHDLFNLLDANHDGRISHEDFIPGLRRNPLLIALFVPKLLNENLSGAEEHVLVEIL >itb13g02140.t2 pep chromosome:ASM357664v1:13:1971666:1978707:1 gene:itb13g02140 transcript:itb13g02140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGSSSSMAMSNNREAPSSSAALAATAEDEVALSVASGLAKEAALLFQSGKFKDCIRVLNQLLLNKEGDPKVLHNIVIAENSQDGCSNPKRLIEELNNFKKQNEHVVGPSGDRAEVTGNVGIKTVAGIKGTNSSANPDSSLHSSQAVYTDEFDSSVAMYNLAVSWFHLHEYAKSFSILEALFQNIEPIDEDTAKHICLLLLDVALLSQNARRSMDVIGYVEKVFCNNSLMNQAASGNSTQQPASTIVAKSVSVSNNSTVPDAPASDSAVTAINSEGSLARSLSEERLEDDTLHLISSIEMSGQNPPRQPGIQPSSDLLKNQADESISTNDMRIKLHLCKVRFLLLTRNVKAAKREVKMAMNMARGKDYSMALYLKSQLEYARGNHQKAVRLLMASSNRTEMGISSIYYNNLGCIYYRLGKYHSSAVFFSKALSSSSPLRKEKPLKLSNISQDKSFLIVYNCGMQYLACGKPLQAACCFYKARHVFSNSPLLWLRIAECCLMALEKGLLKSTTSVSSDKSGFEVHVIGKGKWRQLVIDDGLSRIGQGNSIGGEDFALGDGQPNLSMIVARQCLLNALVLLSRSESKDGVTRLPNAVVTEENESREAVLSKTTNYKNASGSDTKASNAAMSGQINANGELKEQKGGNNQNASLLSSISDYEDICRKENQMLEQALLADLAYVHLELGDPLRALSTARSLLKLIECSKIYTFLGNVYAAEALCLLSRPKEAAEHLSMYLSGGKGVDQPFSQEDVEAWRVEKIVDSEESNGGSTTMNESQGFVFLKPEEARGILFANLAAMSAVQGDFEQAQAYAAAAVSIIPRSTKAMLTAIYVDLLQGKTKEAVGKLRQCSSVRFIPNSLPTNGSL >itb13g02140.t1 pep chromosome:ASM357664v1:13:1971716:1978854:1 gene:itb13g02140 transcript:itb13g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGSSSSMAMSNNREAPSSSAALAATAEDEVALSVASGLAKEAALLFQSGKFKDCIRVLNQLLLNKEGDPKVLHNIVIAENSQDGCSNPKRLIEELNNFKQNEHVVGPSGDRAEVTGNVGIKTVAGIKGTNSSANPDSSLHSSQAVYTDEFDSSVAMYNLAVSWFHLHEYAKSFSILEALFQNIEPIDEDTAKHICLLLLDVALLSQNARRSMDVIGYVEKVFCNNSLMNQAASGNSTQQPASTIVAKSVSVSNNSTVPDAPASDSAVTAINSEGSLARSLSEERLEDDTLHLISSIEMSGQNPPRQPGIQPSSDLLKNQADESISTNDMRIKLHLCKVRFLLLTRNVKAAKREVKMAMNMARGKDYSMALYLKSQLEYARGNHQKAVRLLMASSNRTEMGISSIYYNNLGCIYYRLGKYHSSAVFFSKALSSSSPLRKEKPLKLSNISQDKSFLIVYNCGMQYLACGKPLQAACCFYKARHVFSNSPLLWLRIAECCLMALEKGLLKSTTSVSSDKSGFEVHVIGKGKWRQLVIDDGLSRIGQGNSIGGEDFALGDGQPNLSMIVARQCLLNALVLLSRSESKDGVTRLPNAVVTEENESREAVLSKTTNYKNASGSDTKASNAAMSGQINANGELKEQKGGNNQNASLLSSISDYEDICRKENQMLEQALLADLAYVHLELGDPLRALSTARSLLKLIECSKIYTFLGNVYAAEALCLLSRPKEAAEHLSMYLSGGKGVDQPFSQEDVEAWRVEKIVDSEESNGGSTTMNESQGFVFLKPEEARGILFANLAAMSAVQGDFEQAQAYAAAAVSIIPRSTKAMLTAIYVDLLQGKTKEAVGKLRQCSSVRFIPNSLPTNGSL >itb03g00730.t1 pep chromosome:ASM357664v1:3:393608:396080:1 gene:itb03g00730 transcript:itb03g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14780) UniProtKB/TrEMBL;Acc:A0A178UHK7] MAMRRATESAIRAFTSHGNSSVFTRQLHASPGSKKIVGVFYKANEYASLNPKFVGCAENALGIREWLESKGHQYIVTPDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPAHQQVINGEWDVARIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMEPELEKEIGAKFEEDLDAMLSKCDVVVINMPLTDKTRGMFDQHRIAKMKKGVLIVNNARGAIMDTQAVVDACSSGHIAGYSGDVWNPQPAPKDHPWRYMPNQAMTPHISGTTIDGQLRYAAGVKDMLDKYFKGEEFPAQNYIVKDGKLASQYR >itb13g04670.t1 pep chromosome:ASM357664v1:13:5572924:5574767:1 gene:itb13g04670 transcript:itb13g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQDKCAACKKTVYPLEKVTVDGDFYHQNCFKCAHGGCKLTTSSYAALDGLLYCKPHFSQLFKEKGCYNHLTKSTSRKNSAALQLAAEAELAKAQSPRNAAQDVAADQ >itb07g14750.t1 pep chromosome:ASM357664v1:7:17418626:17419529:-1 gene:itb07g14750 transcript:itb07g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKVPKVAVAVFILKGEKVLVGKRLAGAGTSCFSVPSGHLEFGEVFEECAAREVMEEAGLELKNIQTLKVINHVFHNEAKPSHYVVLLIRAELSDPDQIPENVEPDRCEGWDWYEWNHMPKPLTPPLEIILNSGFNPLTSTINF >itb12g18100.t2 pep chromosome:ASM357664v1:12:20348023:20350864:-1 gene:itb12g18100 transcript:itb12g18100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLLFSGDFFVALTATALCCLFAVALFSFRSKSRKQSKSESKASVCSCSCKANGSVETAAYLNGGGSFGPGGSGGGKEMVESGPVALAAAERLTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSVTPVNGWKAYYAATRAIMNTNAEFFKIIKEKSVPAMGRFWLKADYVKCFHANGEFFTGDGKLAGCF >itb12g18100.t1 pep chromosome:ASM357664v1:12:20348023:20350864:-1 gene:itb12g18100 transcript:itb12g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLLFSGDFFVALTATALCCLFAVALFSFRSKSRKQSKSESKASVCSCSCKANGSVETAAYLNGGGSFGPGGSGGGKEMVESGPVALAAAERLTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSVTPVNGWKAYYAATRAIMNTNAEFFKIIKEKSVPAMGRFWLKADYVKCFHANGEFFTGYNAVMESWQGAFNWEQSADFQIRDVRIRVFPDAAWVTMKAYLQHPARPFHMTNVFESHNGRWYMVHHHCSIMLLHGGPEQQIVQG >itb05g17180.t4 pep chromosome:ASM357664v1:5:24199546:24201525:-1 gene:itb05g17180 transcript:itb05g17180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLSSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCGGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERVRYVMATGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISCCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17180.t1 pep chromosome:ASM357664v1:5:24198290:24201525:-1 gene:itb05g17180 transcript:itb05g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLSSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCGGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERVRYVMATGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISCCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17180.t3 pep chromosome:ASM357664v1:5:24198290:24201533:-1 gene:itb05g17180 transcript:itb05g17180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLSSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCGGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERVRYVMATGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISCCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17180.t5 pep chromosome:ASM357664v1:5:24199546:24201525:-1 gene:itb05g17180 transcript:itb05g17180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLSSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCGGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERVRYVMATGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISCCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb05g17180.t2 pep chromosome:ASM357664v1:5:24198290:24201533:-1 gene:itb05g17180 transcript:itb05g17180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLSSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCGGYPHPDANSCGFRRLDLGFLPCPDPNHRIEVKGSCADLILCASIPIYYSPSVSAFYVCNQLTKQWTALPPPPLQFQSSERVRYVMATGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISCCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLRVTCQKGAHLEAAYYIWELEDYDMGKWSLVHKFYITPNIMILSSLDLVHPNLDPKIKDTGFIIKDGIYLYWTNSSGWSAFGGIVHSITQQWWPTPVPPLIRGYTIENNKNTLGNS >itb08g09690.t1 pep chromosome:ASM357664v1:8:8990843:8994916:1 gene:itb08g09690 transcript:itb08g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAIAKGDGLAMPGCKDKCGNLTIAYPFGIGKPGCYLEEPYHFESTATILPKLLRCHIFLQIWPFTTSHPTVSPPRPMDRPCPTTAPPVKTCCAKVKKSICGLNAYCANSTRGEGYLCRCSQGYQGNPYLPRGCQDIDECETQKENTCPKNADCVNIPGSYYCRCRQGYRSDLSKSSPYGYACIPDHKRHMLVMLICAGVGVGISILLLVVIGFWLNRKFKKRKLEKNKERFFKRNGGFLLQKQMSICNRGTVLEMKIFKTEELEKATDNFNQSRILGKGGLGTVYKGMLSDGCIVAVKKSNKVNEGQVSQFINEIFILSQINHRNIVKVLLGCCLETKVPLVVYEYISNGTLSIHLNNNNTNSSASPLSWAHRLRIGAEIAGALAYLHSCASTAIFHRDIKSSNILLDENYRAVISDFGLSRSVPIDKTHLTTVVGGTFGYLDPEYFRSGQLNHKSDVYASGVVLAEILTGQKVISSNKSDEGLVIRFKTSLKQKDGLFEILDHVVANEGEEEEIFAVAKLAKRCIKLNARKRPGMMEVATELQRLARTNEEDLQRKPNNCEDNCFSSGAMSFDHNLDIITDETTVLIDE >itb11g10410.t1 pep chromosome:ASM357664v1:11:7336205:7337266:-1 gene:itb11g10410 transcript:itb11g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPGLPNDVAFECLIRISFDQFPKAASVSRAWNAVIKQPEFHRRREVSGLTRPVIVMAQSMDGLIKSNLFKVYRLTLFEPVKRRWRNFPPIPEMVEGMPVFCGVAGIGPELVVIGGCDPVTCRVQNSVFIYDFISATWRRGADMPGEDRLFFGCATSEEDGTVVVAGGHNGDNMSLKSTLAYDVARDTWTTLPDMSIERNECRCVFHRGKFHILGGYNMDTQEDSKQPVETLDLVTRQWRIINDTVTDYAIISAQATYVEIDGVIYTIKGRREMVALEDATWVFVSRVPNNTSRVAYVTGWQGKMMVIIENAENGRAKRAYVLELKTKKWTKVKIPSEFRGYVESGCCIHI >itb01g11310.t2 pep chromosome:ASM357664v1:1:10019114:10025917:-1 gene:itb01g11310 transcript:itb01g11310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRSRPQTAVAPPRTINVQKFAESRASELESLHSIIKNRLNNDFRSQRSKRRRTTGHDNRVTKSRHRKKRKVGNNDVDKTDSLENDKEAPRRVRRRTELRMNPNSGFLTSGDGTKRLRTHVWHAKRFTMAKLWGFYLPLGLQGRGRGSRALLKKLKDSVLVHDASYSSVIQLEGPEDMLMSILDNMIVPSPSQCENASQDILSGAICGNAMLHHYGVSFSSAIAPVTYMWQPRNVRGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACVQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCSAEKSSLLKKCSVIGCDRESQLVKIFEEDQIPSFGVVSLTVVDPRAFTKEDIANAPDINALGMQAYKEHGIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHVDKELSPPVEESVLCMERHNQRIELFRIGDRISGASNTPNNMQFPTSCPLLLIKNYGQKSSIRRWSILLPLSWVKVFWISLITNGAHAIGLREKQWIACEAELPYFPSDFPDCNSYSCFMKMEAAVADEKVERNPPSMRPFGVPILPPWNSVHLALDKRSFVERNYQVQCKDFSTKERAKNSLVDYSDCRTCSPDADDHCHIPFVGFIARTSYILSQFLDKINGSHLLLFPKFPNRKECISNFIKDEKMVNEHSDKVIYRVNYGPKLCFVRVLLRAYSKGFFEGGAVVCAPHVDDILLWTQRSENSDRELQITESLVRSYFVQQDGKWECQLPTDPSSRDSYRLPIGFVTTGFVQGSKKPVALALCEAINLACLREDQWRVPSIKRRKEIYVLVRNLRSTAYRLAMANIILEQREEDIEYM >itb01g11310.t1 pep chromosome:ASM357664v1:1:10019114:10025946:-1 gene:itb01g11310 transcript:itb01g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRSRPQTAVAPPRTINVQKFAESRASELESLHSIIKNRLNNDFRSQRSKRRRTTGHDNRVTKSRHRKKRKVGNNDVDKTDSLENDKEAPRRVRRRTELRMNPNSGFLTSGDGTKRLRTHVWHAKRFTMAKLWGFYLPLGLQGRGRGSRALLKKLKDSVLVHDASYSSVIQLEGPEDMLMSILDNMIVPSPSQCENASQDILSGAICGNAMLHHYGVSFSSAIAPVTYMWQPRNVRGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACVQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCSAEKSSLLKKCSVIGCDRESQLVKIFEEDQIPSFGVVSLTVVDPRAFTKEDIANAPDINALGMQAYKEHGIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHVDKELSPPVEESVLCMERHNQRIELFRIGDRISGASNTPNNMQFPTSCPLLLIKNYGQKSSIRRWSILLPLSWVKVFWISLITNGAHAIGLREKQWIACEAELPYFPSDFPDCNSYSCFMKMEAAVADEKVERNPPSMRPFGVPILPPWNSVHLALDKRSFVERNYQVQCKDFSTKERAKNSLVDYSDCRTCSPDADDHCHIPFVGFIARTSYILSQFLDKINGSHLLLFPKFPNRKECISNFIKDEKMVNEHSDKVIYRVNYGPKLCFVRVLLRAYSKGFFEGGAVVCAPHVDDILLWTQRSENSDRELQITESLVRSYFVQQDGKWECQLPTDPSSRDSYRLPIGFVTTGFVQGSKKPVALALCEAINLACLREDQWRVPSIKRRKEIYVLVRNLRSTAYRLAMANIILEQREEDIEYM >itb04g29000.t1 pep chromosome:ASM357664v1:4:32543503:32547338:-1 gene:itb04g29000 transcript:itb04g29000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAREFELDKHGKQEWYSSLKDESDDNLYAWIAGKEEYDSNSIVGNYLRRNGDLKTISEIQNESRRENSQLFSNLINELESKYKECEEMEKMISKTEVVMVNVMKEKEEMLAMQNKEWEMICQEACKQVETILSEQETSKQQLDDHKNNLKLREDEIRQREKLNESEKMKLDFQKEMNERAILAQKKAYETMMKLADEQKREMEHALEKIIALERELDRKQALELEIEKLKGSIEVRRHMNEEGDLAAKNKLASIEEELKEKQGELYYLESMNNELIVKNRHDNDQVQEARKEMINGLKDSRANICVKRMGELDSKPFIRAAKLKYPKEEASDKALELCTLWDYHLRDPHWYPIKVIKNGGKDVWVINEEDEKLVGLKNECGDEVYNAVTTALMELNEYNSSRRFPVPELWNTKEKRRAELKEGVDQILKQLRSLKNRQGSSA >itb07g06750.t1 pep chromosome:ASM357664v1:7:4888774:4891209:1 gene:itb07g06750 transcript:itb07g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGNFNRSVQGSFAGAAASGGGGGGGGSGSSSGVSKGKKVWEESEQDAGMDELLAVLGYKVKSSDMAEVAQKLEQLEEVMGSVQGDDLSNFASETVHYNPSDLSTWVDSMISELNPGDPFLSQTESSAITSLPTQIFDDSSFDSDLTAIPGKAAYPQPPSKRFRTASTTSSTSSNMQLGAAAAWGSPSESISPSSESTRSVVLVDSQENGVRLVHTLMACAEAIQKENMKLAEALVKQIGFLAVSQAGAMRKVATYFAEALARRIYRLYPSNLNDSAFSDLLQMHFYESCPYLKFAHFTANQAILEAFANKNRVHVIDFSMKQGMQWPALLQALALRPGGPPSFRLTGIGPPSNDNTDHLQEVGWKLAQLAETINVEFEFRGFVANSLADLDASMFNIQDGETVAVNSIFELHQLLARPAAIEKVLSVIKDMKPEIVTVVEQEANHNGPVFMDRFTESLHYYSTLFDSLEGCAASEGGTVCDQDKVMSEVYLGRQICNVVACEGVDRVERHETLAQWRTRFRSAGFSPVHLGSNAYKQASMLLALFAGGDGYRVEENDGGLTLGWHTRPLIATSAWKLTS >itb09g10360.t2 pep chromosome:ASM357664v1:9:6443050:6445109:-1 gene:itb09g10360 transcript:itb09g10360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVSLCKASCALLPCLTSASPISPASSVSGLAFPRKRSGGFSISAAAETADAPLTGVVFQPFVEVKKDELMVPSGAQVSLARQRYTDECEAAINEQINVEYSASYVYHSMYAYFDRDNVALKGMAKFFKESSEEEREHAEKLMKYQNTRGGRVKLHSILNPPPEFDNNEKGDALYAMELALSLEKLVNEKLLNLHAVREIYAFIIRVIVPIDVIISYSPLPSFRMLGF >itb09g10360.t3 pep chromosome:ASM357664v1:9:6443050:6445109:-1 gene:itb09g10360 transcript:itb09g10360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVSLCKASCALLPCLTSASPISPASSVSGLAFPRKRSGGFSISAAAETADAPLTGVVFQPFVEVKKDELMVPSGAQVSLARQRYTDECEAAINEQINVEYSASYVYHSMYAYFDRDNVALKGMAKFFKESSEEEREHAEKLMKYQNTRGGRVKLHSILNPPPEFDNNEKGDALYAMELALSLEKLVNEKLLNLHAVADKNNDVEMQDFVEREFLVEQVKQQNCVFQVNREIRSYSPVPTHYLRVFTGQTSVIHS >itb09g10360.t1 pep chromosome:ASM357664v1:9:6443050:6445109:-1 gene:itb09g10360 transcript:itb09g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVSLCKASCALLPCLTSASPISPASSVSGLAFPRKRSGGFSISAAAETADAPLTGVVFQPFVEVKKDELMVPSGAQVSLARQRYTDECEAAINEQINVEYSASYVYHSMYAYFDRDNVALKGMAKFFKESSEEEREHAEKLMKYQNTRGGRVKLHSILNPPPEFDNNEKGDALYAMELALSLEKLVNEKLLNLHAVADKNNDVEMQDFVEREFLVEQVEAIKKIAEYVTQLRMVGKGHGVWHFDQRLLHEH >itb09g10360.t6 pep chromosome:ASM357664v1:9:6443050:6445109:-1 gene:itb09g10360 transcript:itb09g10360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVSLCKASCALLPCLTSASPISPASSVSGLAFPRKRSGGFSISAAAETADAPLTGVVFQPFVEVKKDELMVPSGAQVSLARQRYTDECEAAINEQINVEYSASYVYHSMYAYFDRDNVALKGMAKFFKESSEEEREHAEKLMKYQNTRGGRVKLHSILNPPPEFDNNEKGDALYGKFQVPFFFF >itb09g10360.t4 pep chromosome:ASM357664v1:9:6443050:6445109:-1 gene:itb09g10360 transcript:itb09g10360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVSLCKASCALLPCLTSASPISPASSVSGLAFPRKRSGGFSISAAAETADAPLTGVVFQPFVEVKKDELMVPSGAQVSLARQRYTDECEAAINEQINVEYSASYVYHSMYAYFDRDNVALKGMAKFFKESSEEEREHAEKLMKYQNTRGGRVKLHSILNPPPEFDNNEKGDALYAMELALSLEKLVNEKLLNLHAVREIYAFIIRVIVPIDVIISYSPLPSFRMLGF >itb09g10360.t5 pep chromosome:ASM357664v1:9:6443050:6445109:-1 gene:itb09g10360 transcript:itb09g10360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVSLCKASCALLPCLTSASPISPASSVSGLAFPRKRSGGFSISAAAETADAPLTGVVFQPFVEVKKDELMVPSGAQVSLARQRYTDECEAAINEQINVEYSASYVYHSMYAYFDRDNVALKGMAKFFKESSEEEREHAEKLMKYQNTRGGRVKLHSILNPPPEFDNNEKGDALYGKFQVPFFFF >itb02g14100.t1 pep chromosome:ASM357664v1:2:10312673:10315084:1 gene:itb02g14100 transcript:itb02g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGGFVVTYSLLLCCLAATAYGEVLFSSLKKSLEVSVNHKQGQVLKAGDDEITITWSYNSSSPAKTDSSYKNVKVKLCYAPVSQVDRAWRKTEDHLKKDKTCQFNIATKPYNPKPNTLKWTIERDVPTATFFVRVYAYNAEGHEVGYGQNTDAKKTQNLFQIQAISGRHATLDICSVVFSVFSVVSLFGFFFLEKRKAKSAQQK >itb10g10530.t2 pep chromosome:ASM357664v1:10:15244384:15249559:1 gene:itb10g10530 transcript:itb10g10530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLGTAAESEFSAAAAEIISRFQAYLRIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSSLPTILLNSHTDVVPSEPHKWSRPPFGAQIDRATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFTSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADQTSLERRIIEEWAPASRNMTFKFKAKASIYDKFGRPALTSPDSSNIWWALLEEAVKDANGKLGKPEIFPASTDARYFREIGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIRVYESIIRAYASFVEHTRDNVSKEEL >itb10g10530.t1 pep chromosome:ASM357664v1:10:15244384:15249559:1 gene:itb10g10530 transcript:itb10g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLGTAAESEFSAAAAEIISRFQAYLRIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSSLPTILLNSHTDVVPSEPHKWSRPPFGAQIDRATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFTSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADQTSLERRIIEEWAPASRNMTFKFKAKASIYDKFGRPALTSPDSSNIWWALLEEAVKDANGKLGKPEIFPASTDARYFREIGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIRVYESIIRAYASFVEHTRDNVSKEEL >itb10g10530.t3 pep chromosome:ASM357664v1:10:15244384:15249506:1 gene:itb10g10530 transcript:itb10g10530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLGTAAESEFSAAAAEIISRFQAYLRIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSSLPTILLNSHTDVVPSEPHKWSRPPFGAQIDRATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFTSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADQTSLERRIIEEWAPASRNMTFKFKAKASIYDKFGRPALTSPDSSNIWWALLEEAVKDANGKLGKPEIFPASTDARYFREIGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIRVYESIIRAYASFVEHTRDNVSKEEL >itb07g11370.t1 pep chromosome:ASM357664v1:7:12813394:12817909:-1 gene:itb07g11370 transcript:itb07g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDSRRLRNICILAHVDHGKTTLADHLIASYGGGVLHPKQAGRLRFMDYLDEEQRRAITMKSSSIALQYQDNYINLIDSPGHMDFCSEVSTAARLSDGALILVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLISELRLSPMEAYTRLQRIVHEVNGIVSAYKSEKYLSDVDSLLSAQTGDVNDENFEFIEDDEEDTFQPQKGNVVFVCALDGWGFSISDFAEFYASKLGASSSALQKAFWGPRYFNAKTKMIVGKKGVSSGTKARPMFVQFVLEPLWQVYQAALEADGDKGMLEKVIKSFNLSIPPRELQHKDPKAVLQSVMSRWLPLSDTVLSMVVKYMPDPVSAQSFRISRLLPKREDSGGNSDVLGEAEVVRKSVEACDSSPDAPCIAFVSKMFAVSSKMLPRGEIVDDNGNGDSEECFLAFARVFSGVIHSGQKIFVLSALYDPLKVESMQKHVQEAELHGLYLMMGQGLKPVASAKAGNVVAIRGLGQYILKSATLSSTLKCWPFSSMVFQVSPTLKVAIEPSDPAEMGALMKGLKLLNRADPFVEVTVSARGEHVLSAAGEVHLQRCIKDLKERFAKVSLEVSPPLVSYRETIEGDSSNLFENFKLLSQSSSDFVEKTTPNGRCVVRVQVIKLPTALTKLLDESSSLLGGIIGGKSLQACKSLETIRGSIVEDENPIEALKKRMKDAVESDYLSGFAGAETDRIEKFQKMWQKFTKRIWALGPWQVGPNILLTPEKKENDNDSSVLVRGFPYVSEKLGFLDMSTNRNASPESSDADQALLREAENLESSVLSGFQLATAAGPLCDEPMWGLAFVIEASIYPSNGQPSDADSSVHQAEQYGIFSGQVMTAVKDACRAAVLQKKPRIVEAMYFCELNTSTEYLGPMYAVLARRRARIMKEEMQEGSALFTVHAYVPVAESYGFADELRRWTSGGASALLVLSHWEAHPEDPFFVPKTEEELEEFGDGAAVPRNTARKLIDDVRRRKGLPVEEKVVQHATKQRTLARKV >itb04g30050.t1 pep chromosome:ASM357664v1:4:33275087:33280137:1 gene:itb04g30050 transcript:itb04g30050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGKTLEETPTWAVAVVCFVLVAISIVIEYIIHLIGKWLKSKHKRALYEALEKIKSELMLLGFISLLLTVLQGPISNICIPASVGATWHPCSKKEEVKKLKTSSSEEHWELSSEENSRRRLLTAGGGVRRVLAAAAGDDKCAAKGKVSLVSTDGIHQLHIFIFVLAVFHVLYCITTLALGRAKMRRWKAWEEETKTIEYQFAHDPERFRFARETSFGRRHLSFWTKTPILLWIVCFFRQFIRSVPKVDYLTLRHGFIMAHLAPQSQTKFDFQKYIKRSLEEDFKVVVGISPIIWLFAVLFLLFNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVEPGDDLFWFNRPRLILFLINFVLFQNAFQLAFFAWAWYEFGLRSCFHKHVEDIAIRISMGVLIQILCSYVTLPLYALVTQMGSNMKPTIFNERVATALKKWHHTAKKNVKESRHNSAAVTPVSSRPGTPSHGMSPVHLLRGYYRSDQADSAQSTPRRSNYEFEHWDADDHDSPSHTRFHNHGGEGSSSSSYMNQIQLGHIRHDVQPVHDPRSLQMVPVPPQHEIHIEHSDFSFDRMVN >itb01g34010.t1 pep chromosome:ASM357664v1:1:36872822:36875615:1 gene:itb01g34010 transcript:itb01g34010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELQVGWRFFKVMAIGCHTKLTLPPLVCQKLEGDDKLLETATLVTEKGRWEVGIGHGSKGNFYFEKGWDVFVQNHGLRVGEFAVFEYIGGGICFNVSLLDKNGSEKVFSHSEQGQNMASKLNPLTHQISQDEVLLEETVPPCPIEEGEDVNLVAVKLEEDEDMITSHLNARLAPLYAILAEIDNEDLPSCTNIHEEDSNRGHSQSNIPKHDNNKRIADQERYKENSSFDSPAEIDREEACPSEVKIQEQHAIKVPTKFEVDEVEDEVPSQIELRNPGKGRCSVKRKRDDKTVPSQAKSQKHDMNRCSAKKNRDDDKTPSQAKPQKHDMNKCSTKQARDDDDRAPSPAITQKHEKNKSPVKNDKNKSTLKQKNHKDQVPSKPKGCAQDKKGDTERKTELKVNTSSREQSLQFSTLMKPYHVRSRSPYMHIPAEFCVANELYQNARITLKGPSDERQVSLKVCKGGKTKYAIITRGWPEFIAGNNLEEGDTCIFKLPSKRSSSDAAVVLDVEVLRAST >itb12g05000.t1 pep chromosome:ASM357664v1:12:3302085:3302885:-1 gene:itb12g05000 transcript:itb12g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLNQTLDNRLQNAQTPNPQSPQPQINKEHQIAHTNSSVSFRKIDCGQEQEPVTEGPTSPGTEWAISIKDKLEQAKQDDDSGSWSKLSIYRVPLSLRKDDDKAYIPQIVSLGPYHHGKRRLKNMDRHKWRAVYHILKRTSQDVTIYLDAIRDVEEKARGSYEGPIALGSNEFVEMMVLDGCFVLELFRGAAAGFKHLGYARNDPVFAMRGSMHSIQRDMIMLENQIHFSFLIPSMESRPGIPIRRGLWRN >itb02g09010.t1 pep chromosome:ASM357664v1:2:5708226:5709597:-1 gene:itb02g09010 transcript:itb02g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPLGFRFYPTEEELVSFYLRRKLQGATSADINLVIPVVNIYHHSPCHLPQVAGELSRGDSEQWFYFIPGEENRARGGKPNRLTRDGYWKATGSPSFVYSSNNEIIGEKRTMVFHTGRAPGGTRTQWKMNEYKAAAQVVGGQGGASASCDAIADLKLCHEFSLCRLYKKSKCDRSFDRRPPEMAAATGGAPPPAPQHNHLPAALMERSSSSTADDYATPPSAMEYQYYWDTCAPLWDWEDLNINLL >itb15g20280.t1 pep chromosome:ASM357664v1:15:22856067:22857922:-1 gene:itb15g20280 transcript:itb15g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMEWFLPLCILFISASANIHIKVTTDNPADQLVAALNTNRTAKKLSSLYSNPGLACIALQYIKAYEGDCGEVGGSDAKKPRDSAFAETFAPNCGVDAKSLAEITGRFLACQSKYIKPSKAFSEVLIRGQKSLDILYSKNHSEVGAAVSGSDAGGPYFWCVLFSNGKSNSSFALRGGVAKVMKPGCFSGANDECSGGNALSRRLQHLWSLAAVVAVVFACGSIQFNGLSLLF >itb04g14790.t1 pep chromosome:ASM357664v1:4:15490935:15493029:-1 gene:itb04g14790 transcript:itb04g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKPKKSQVGKGGNRLLLNITVLGSAGPIRFVVSEGEHVASVIDTALKSYAREGRLPVLGSDVNNFVLYSPNAGTEALSPWDNIGSFGVRNFVLCKKPQSERRVDGGREAAAYVRKGSGSWKSWFNRSLSRKIVSH >itb13g25580.t1 pep chromosome:ASM357664v1:13:30908837:30909172:1 gene:itb13g25580 transcript:itb13g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTFVRGVYEEKNVANHFDKRAWCTVSQEYDCKDLMNKIYSQVCGSEITMRSSVAEELCKRLMGMRYLIVLDDIWSVEAWEELNRVFPECDNGSRIVLTSRQESMV >itb14g10300.t1 pep chromosome:ASM357664v1:14:11628286:11632461:1 gene:itb14g10300 transcript:itb14g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPCNADGSQQSPAMDADGMPLISGMDALGIPAVSSLELTMDVTFESPKTWIPTVDASFLPKVGQRFTTLGLGIKFYMDYAFAGGFDVRHSTMKRDREGGVTMRYMVCSREGVKGGGKRTVGKADDAGGDSHKQRRRRISNRVECNAKICFRKDHTGEFVVSIFVEDHSHSLCSEPSKDAQMEVLPTQYVVGRWCKQRNVGAYTTRGCHGDTGELGSNRLWAEINASAALVGNNSGRVSRMVQVLQELRGEFTSGDNSAGHVGGNMSAIEAFCGVSRPRSITIKAPAQAKNKGSGK >itb14g10300.t2 pep chromosome:ASM357664v1:14:11628286:11629993:1 gene:itb14g10300 transcript:itb14g10300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPCNADGSQQSPAMDADGMPLISGMDALGIPAVSSLELTMDVTFESPKTWIPTVDASFLPKVGQRFTTLGLGIKFYMDYAFAGGFDVRHSTMKRDREGGVTMRYMVCSREGVKGGGKRTVGKADDAGGDSHKQRRRRISNRVECNAKICFRKDHTGEFVVSIFVEDHSHSLCSEPSKCTTGVAKTVVTT >itb01g34210.t1 pep chromosome:ASM357664v1:1:37012496:37014219:1 gene:itb01g34210 transcript:itb01g34210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQCACALLHNRVSASKVSPFHFHADSPSSSLGKQSKSHVRLRKQTPLLRYELKLNSWPRNGAIVCLSNSSSSEKLSSSETENRSVAANGISGWEPFQGKTGCVSFAGLTHQLVEERKLESAPSGEEAQSFMWVVAPVALISSFLLPPFLVYIAVDGIKNEVFSEILASLISDVMFYIGLGVFLSVTDQVQKPYLEFSAKRWGLITGLPGYLPSAFVVMGIKIALPLIALLVTWPVLGTTALVGIAPFLVGCLVQYAFERYLGQHKSSCWPLVPIIFEVYRLYQLSRAMSFAQDLVIATRTIPNVTEEFIQRTAALFSQIMSFQVLGVVCLWSLLTFLQRLFPSRPVAENY >itb07g04720.t1 pep chromosome:ASM357664v1:7:3174124:3174831:1 gene:itb07g04720 transcript:itb07g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTTSSVDAINIWFEDSQPPTDGRLYIHNRARIIVVPSQPGRSVPFIMMNNSDHEFLFDPMLNFGSPTNASSFMSAVIGGVEPGAGPEVADEIAAVAFSVASHGDWRGVYGVSIRVVLETIDVLVSTTDDDDDDSFDLEEEMEDLFRWFDSSVSLGGMSEQELCMLRTEQFQFNGDNEEGECCICLEGFMEGAVITPLAPCSHRFHHSCILQWLRNNPKCPICRTRSTVVPIL >itb15g10390.t1 pep chromosome:ASM357664v1:15:8196305:8201116:-1 gene:itb15g10390 transcript:itb15g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLLYRLFILILCLALAFGTSLDSEGSDSEAELSSSSEVTNFPLSLTHKHETALVVAPDGMMHLVSSGKILWSFTSGSSIYESFHDLPDNRGGDHNLSTEGQNFYIDFGENDWKLYLYLHGDNLTKVELRQSVDEILRHTPHIFDSGVMVGSKKTTVFLVDSKTGRRIHTSKSDMFGDNEVAENPLMERNDYLDLVGNLLYIKRTDYVFKYECTKTRKVVWYLQFAVFEASLKCESVDNFLGGLSDKVNYVGPRHEGCSTMVPVYRFRSPNASTSQQALLVDISLPLPAADHNLVMPIEQFFKFHQNNKVASQKALLGERFLSLPAADHNPVMPNEGKAVHALPAPLPDEFAIMSLPTGDNQVVIESSFLSGSYFWSFVLFGTLVLLIVAFLFYMASSVKDKWFKLRNQAVDKKLEVMTPKKKKLRKSGINKKSPNIEKGKEKVFTDESSFAHGLPDSGNTENQMQIKLLDRYGNLVDGRKIGKLFVSNKEIAKGSNGTVVLEGIYDGRPVAVKRLVQTHHDIALKEIQNLIASDHHPNIVRWYGVEHDQDFVYLSLERCTCSLYDLVSQYSNSFEMKIYGNDQDSISRSDFNRQGQRASDNNRNVKDFELWKANGYPSPKLLKLLSDIVHGLAHLHELGIIHRDLKPQNVLIVKEKPMRAKISDMGISKSLAGDVSSLSRSVTGYGSSGWQAPEQLRHERQTRAVDLFSLGCVLFFCITGGKHPYGDSFERDVNIVNDRKDLFLIENIPEATDLISRLLHPNPVSRPAAMEIINHPLFWKPETRLAFLRDASDRVELEDREADSDILKALESIKTEALGGQWDAKMDSAFINDIGRYRRYKFDSVRDLLRVIRNKLNHYRELSKEIQELLGQVPEGFDSYFSSRFPRLVMEVYNVIRIYCGEEETFRIYFKNNHI >itb15g13050.t1 pep chromosome:ASM357664v1:15:10963459:10967441:-1 gene:itb15g13050 transcript:itb15g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLIFLGLVFINIGLGVHCMAPRRPVDVPFSTNYVPTWAADHIKYINGGRSVDLVLDRNTGTGFQSKNSYQFGHFSMRMKLVGGDSAGVVTAFYLSSNNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGNREQRIYLWFDPTKGFHSYSVLWNMYCIVFLVDDVPIRIFKNSKDLGLRFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIASYTSFHVDGCMASTPQEVQVCNTVGKRWWDQKAFQDLDAEQYRRLRWVRQKYTVYNYCYDKARYPTVPIECAKYRDI >itb14g02880.t3 pep chromosome:ASM357664v1:14:2610758:2614685:1 gene:itb14g02880 transcript:itb14g02880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPVQTQMAVAVCKSSLGGGYHRNKMMEGKPASWRHVFVQTETGCVVGIELDLSDNVITVKRRLQVALNFPTEESCLTFGDTVLKNDLSAIRNYSPLLLTWNSLHRSSSTPCLSPTGREIQQRDQSGPIEILGKTSRFAKTKQLVKEIAKAMKAGIEPLPVHGGLGGAYYFRNIRGQNTAIIKPTDEEPFAPNNPNGFVGRTLGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVKGNKPQNKKQLVSKIASFQQFIPHDFDANDHGTSSFPVASVHRIGILDIRIFNTDRHAGNILVRKLDGAGRFDQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIDQLDRLCDCELLRSEIPMIHEACLRVLVICTIFLKEAAAYGLCLGEIGKMMSREFHSSEEEPSGLEVLCIQARKLVAEREMFSPKDEVDDEEFQFDIDCEDGHNSTPKLGSLELMTKNHFAFGGGNGCSLLPKLEESVEEEEENEVEEQEDSFISIPVAANYKTISKISKSLKNTFIGEKNLKYPKISGAKQGNGFLATASSGIQSANELLSASVSFVKLADMNEEEWAMFLEKFQELLSPAFAKLKSQTLGHRPLQRLGTSCQF >itb14g02880.t4 pep chromosome:ASM357664v1:14:2610758:2614685:1 gene:itb14g02880 transcript:itb14g02880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPVQTQMAVAVCKSSLGGGYHRNKMMEGKPASWRHVFVQTETGCVVGIELDLSDNVITVKRRLQVALNFPTEESCLTFGDTVLKNDLSAIRNYSPLLLTWNSLHRSSSTPCLSPTGREIQQRDQSGPIEILGKTSRFAKTKQLVKEIAKAMKAGIEPLPVHGGLGGAYYFRNIRGQNTAIIKPTDEEPFAPNNPNGFVGRTLGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVKGNKPQNKKQLVSKIASFQQFIPHDFDANDHGTSSFPVASVHRIGILDIRIFNTDRHAGNILVRKLDGAGRFDQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIDQLDRLCDCELLRSEIPMIHEACLRVLVICTIFLKEAAAYGLCLGEIGKMMSREFHSSEEEPSGLEVLCIQARKLVAEREMFSPKDEVDDEEFQFDIDCEDGHNSTPKLGSLELMTKNHFAFGGGNGCSLLPKLEESVEEEEENEVEEQEDSFISIPVAANYKTISKISKSLKNTFIGEKNLKYPKISGAKQGNGFLATASSGIQSANELLSASVSFVKLADMNEEEWAMFLEKFQELLSPAFAKLKSQTLGHRPLQRLGTSCQF >itb14g02880.t1 pep chromosome:ASM357664v1:14:2610773:2614749:1 gene:itb14g02880 transcript:itb14g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPVQTQMAVAVCKSSLGGGYHRNKMMEGKPASWRHVFVQTETGCVVGIELDLSDNVITVKRRLQVALNFPTEESCLTFGDTVLKNDLSAIRNYSPLLLTWNSLHRSSSTPCLSPTGREIQQRDQSGPIEILGKTSRFAKTKQLVKEIAKAMKAGIEPLPVHGGLGGAYYFRNIRGQNTAIIKPTDEEPFAPNNPNGFVGRTLGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVKGNKPQNKKQLVSKIASFQQFIPHDFDANDHGTSSFPVASVHRIGILDIRIFNTDRHAGNILVRKLDGAGRFDQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIDQLDRLCDCELLRSEIPMIHEACLRVLVICTIFLKEAAAYGLCLGEIGKMMSREFHSSEEEPSGLEVLCIQARKLVAEREMFSPKDEVDDEEFQFDIDCEDGHNSTPKLGSLELMTKNHFAFGGGNGCSLLPKLEESVEEEEENEVEEQEDSFISIPVAANYKTISKISKSLKNTFIGEKNLKYPKISGAKQGNGFLATASSGIQSANELLSASVSFVKLADMNEEEWAMFLEKFQELLSPAFAKLKSQTLGHRPLQRLGTSCQF >itb14g02880.t2 pep chromosome:ASM357664v1:14:2610758:2614685:1 gene:itb14g02880 transcript:itb14g02880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPVQTQMAVAVCKSSLGGGYHRNKMMEGKPASWRHVFVQTETGCVVGIELDLSDNVITVKRRLQVALNFPTEESCLTFGDTVLKNDLSAIRNYSPLLLTWNSLHRSSSTPCLSPTGREIQQRDQSGPIEILGKTSRFAKTKQLVKEIAKAMKAGIEPLPVHGGLGGAYYFRNIRGQNTAIIKPTDEEPFAPNNPNGFVGRTLGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVKGNKPQNKKQLVSKIASFQQFIPHDFDANDHGTSSFPVASVHRIGILDIRIFNTDRHAGNILVRKLDGAGRFDQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIDQLDRLCDCELLRSEIPMIHEACLRVLVICTIFLKEAAAYGLCLGEIGKMMSREFHSSEEEPSGLEVLCIQARKLVAEREMFSPKDEVDDEEFQFDIDCEDGHNSTPKLGSLELMTKNHFAFGGGNGCSLLPKLEESVEEEEENEVEEQEDSFISIPVAANYKTISKISKSLKNTFIGEKNLKYPKISGAKQGNGFLATASSGIQSANELLSASVSFVKLADMNEEEWAMFLEKFQELLSPAFAKLKSQTLGHRPLQRLGTSCQF >itb10g20790.t1 pep chromosome:ASM357664v1:10:26215531:26220921:1 gene:itb10g20790 transcript:itb10g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRDMSTINLLFAVTLSFLVSSLYGLTDTIAFNQTLKDGDLLISGAESFALGFFSPENSSGRRYVGIWYQKIPERTVVWVANRDSPINGTSGILFIDGTGNLVIQDKKTNVFVWNTSLSSPATGIKGYSAQLQDTGNLVLYHYPDKRGINWQSFDYPTNTLLPFMKFGVDKRTGLNRHLTSWKSPDDPGTGEYEFKIELNGTPQVFLNRGPVRVWRTGPWSGVGWSGVPEMSRNYIFNLDYTENEDEVAMSYWIRDPSVHSIFVLNESGTVNRLTWQGDDVNKWVGFWSAPKDQCDAYAHCGAFSKCNTFNPGAFECTCLPGFRPNSSREWYLRDGVHGCRRNNTDVCHNGEGFLLLSHMKVPDTKMARVNRTIGLKQCEELCLKNCSCTGYASANISAGGMGCITWYDDLIDIKEFTNGGQDIYIRVSASDLVKKSKGFRGKRLIVTMVVPIAALILMLCCCLVMKIRKGKRLQSKTSLQSEWSEMGKDMDETAPADVLMYDLNAIRAATDNFSAANKLGEGGFGSVYKGKLQNEQLVAIKRLSKTSGQGMVEFKNEVTLIARLQHRNLVRLLGCCIQQGEKMLVYEYLPNKSLDSFIFENTSGISLDWRKRFEIILGIARGLLYLHQDSRLKIIHRDLKASNVLLDASMQPKISDFGMARIFGGDQMYANTNRVVGTYGYMSPEYAMEGHFSVKSDVFSFGVMLLEIVSGRKNKNQFNENSLNLIGDLSATQYLTIDTTVLRNKRLNVYCTFAGMGFLE >itb14g12480.t1 pep chromosome:ASM357664v1:14:14107564:14111644:-1 gene:itb14g12480 transcript:itb14g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLMLGVVMFSFMCGFVLSKECTNIPTQLSSHTLRYELLSSKNESRRKEMFGHYHLTPTDDSAWSNLLPRKMLKEEDEFDWMMMYKKIKKSGGVKGVGGFLNEVSLNDVRLDPNSIHGRAQQTNLEYLLMLDVDRLVWSFRKTAGLETPGEPYGGWEGATVELRGHFVGHYLSASAQMWASTHNDTLKEKMSAVVSSLSACQEKMGSGYLSAFPSELFDRFEDIKPVWAPYYTIHKVLAGLLDQYTLAGNSQALKMTTWMVDYFYNRVQNVISKYTIERHWLSLNEETGGMNDVLYRLYSITADPKHLLLAHLFDKPCFLGLLAVKADDLSGFHTNTHIPIVIGSQMRYEITGDPIYKEIGTYFMDIVNTSHAYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRNLFRWTKEMAYADYYERALTNGVLSIQRGTDPGVMIYMLPLHPGASKAKSYHGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEQGEVPGIYIIQYISSSLDWKSGQIKLRQSVDPVGSQDNRLRVTITITSKQV >itb15g04000.t1 pep chromosome:ASM357664v1:15:2501820:2511136:-1 gene:itb15g04000 transcript:itb15g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTDMSGWSGLLHSSSKLLEQTAPSAQFPPLQRNLDQLEALSKKLKAKTLRAEAPSQSIAATRLLAREGINAEQLANDLKSFELKTTFEDVFPAEATTVEDYLQQIHEMAMVSAVQEAQKDNLKNFNDYMMTVLEEDWQKEKRNFLQSLSRISTLPRANISESSPGSARQRPIASLTYSPQVSSGPSSMELVPLADKSILEKKADAYREVVKNLNTARERGLPFKPATAFKHAYEGLGLDTSGGKSVSMQKIWHLVLALMGEDATKHNVSRKISLVMGARHHLELGHEKFMMDTIQSHPAQAALGGAVGNLQRIHAFLRIRLRDYGVLDFDATDAFRQPPVDTTWQQIYFCLRTGYYDEAREVALQSRASQQFAPLLREWIANGGLVSAETAAAASEECEKMLRLGDRIGRASYDKKKLLLYAIVSGSRRQVDRLLRELPTLFNTIEDFLWFTLSVVRESPGRSDVLNEGLSPYSLEDLQAYLNKFEPSHYTKNGKDPLVYPYVLLLSIQLLPAVLYLSKDMGNEGYNVDAVHISVVLADYGVLSEAGQKVGIMDAFAEASSIIRQYGSLYLRHGDLASALEYYVQAAAAVGGGQLSWTGRANVDQQRQRNVMLKQLLIELLLRDGGIYLLLGSRGDGDEGQLGIFFTDGKTRQSFLLEAARQCQDAGLFDKCIEIQKRVGAFSAALDTTNKCLSDAICALSRGRLDGESQVSALIYSGNEILETFKYYPDISPQEREKVLEQQTVLRQLEAVLSIHNLARLGNHLDALKEVAKLPFLPLDPRTPGFATDVFQNLSPYVQACVPDLLKVALHCLDNVRDTDGSLRALREKIANFLANNLGRNWPRDLYEKVAQHI >itb04g07740.t1 pep chromosome:ASM357664v1:4:5342296:5344034:1 gene:itb04g07740 transcript:itb04g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MAGSETLSLVSKIPVPKLGSPKPERCQVKHRVFMNGNIKPFSLNLGRKHEGKRPRRLYAGFAEIEPDLNEDPVDQFRTNGISAEDFVYGKYDSHHTYYEAEDIKGGFWETLSAEYNSIGPPTGFQGFIAWMFLPAIAAGMYFNVPGEYLYIGAGVFTIIFCIIEMDKPSEAHNFEPQIYSMERGARDKLISDYNTMDIWDFNEKYGDLWDFTVTTTADDIMKR >itb01g14620.t1 pep chromosome:ASM357664v1:1:16720668:16722180:-1 gene:itb01g14620 transcript:itb01g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVLSTMAKRLEGKVALITGGASGIGKCTAKLFAKHGAKVAIADIQEDLGSAVVDSIGGPTNATYLHCDVTNEEHVRNAVDKTVETFGKLDIMFANAGIADPNKPRIVDNTKSDFERVLSINVTGVFLGMKHAARVMVPARRGCILSTSSVSSTLAGAASHAYAASKHAVFGMTKNLAVELGQFGIRVNCLSPYAMATPLATKFVGVNDEDLENTMNTLANLKGVTLKVDDVASAALFLASDEAKYISGHNLFIDGGFSIHNSAFRIFQYPESN >itb12g15220.t1 pep chromosome:ASM357664v1:12:15634144:15635852:1 gene:itb12g15220 transcript:itb12g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWWRDVLRESTFEGHHTKVVQLGPRYGFILFIVSEVMFFFALFRASSHSSLAPTVEIGGIWPPKGIAVLDPWEIPFLNTLIPPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFSIICGIRQYLGHLTKEHHVGFEAAACGNALQSEVLRLREEMFLVDAGLGTPRICMQDEPTGVPINRATRFENKVGSLDLVAGESLIKEQILERFFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLLPRRFRQNRAWLELNKIWRTNTKVKGFIIEKVKGGSSVAIAGFITFLPFRRRQRRSNDRFAIESINPKKTNIVE >itb01g30200.t1 pep chromosome:ASM357664v1:1:34276971:34280134:-1 gene:itb01g30200 transcript:itb01g30200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYKNWFSGPIPHEIGYLNSLVDLELNSNNLMGEIPISIGNLRNLKILQLHTNQLSGHIPQEIGLLMSLTDLELNSNNLIGQIPTSIGNLKILRILYLSENGLSGSIPATIGNLSNLRTLALFSNHLTGPLPENLCLDKSLTYFSVVYNNFSGNIPKGIKNCTTLQRVRLEHNKLSGDISEDFGIYPNLNYIDLSSNNFHGQLSSNWGLCSNLAALKISRNRISGKIPPELANASHLEFLDLSSNQLVGSIPKSFSTLHSLGVLKLDGNKLSGNITLGIGELSFLTELNLAANRFIGFIPEGLGSCQRLTVLNLNQNMFEGRIPYDIGSLQFLQTLDLSQNMLTGKLPQQFGGLIYLQSLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGTLPDNKAFENATFESLRNNKGLCGNIAGLKPCSSGSPKKKTDQGHNTKTLVLIIVIPIGFVGVVAMVVVVIWLIPLRRCIKEMPRASRENLFTVLNFDGNIAYESIVEATDNFDSRYCIGMGGSGSVFRAELSNGQVFAVKKLNESIQGDGSRDFKSFSNEIRSLSEARHRNVVRLYGFCSHVRHLFLVYEYLEGGSLAHVLSHDEKSIELDWFKRVNAIKAVAKALSYMHHGCFPAIVHRDISSKNILFDHEYEAHISDFGTAKFLNSNSSNWTSFAGTFGYTAPEFAYTMEVNEKCDVFSFGVVALEVIMGGHPGDLVASISSSSLSENQNLVLKEVLDPRPSSPGKHEAEELVLIAKIAFSCLNFNPGSRPTMFQVSTLLSKKMQPSDLFPYITLCQLFGLEIPTP >itb10g22630.t1 pep chromosome:ASM357664v1:10:27398697:27399602:1 gene:itb10g22630 transcript:itb10g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIETQIPEEESFDYSQRAQWLRAAVLGANDGLVSTASLMMGVGAVKKEATAMILTGFAGLMAIGEFVSVYSQRDIQLSQMKRGGNDDEKERLPNPLQAAMASALAFALGAVVPLLAAAFIADHKVRVAVVASAVSLALLVFGGVGAVLGKTPKLKSCVRVVIGGWMAMAITFGLTKLMGSSGMEM >itb09g18010.t1 pep chromosome:ASM357664v1:9:13419337:13421313:1 gene:itb09g18010 transcript:itb09g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSYLYALALIGSLTTAVHCLAHHSHHSHKKHKVALFVFGDSLFDPGNNNYINTTTNFQANFPPYGESYFKHPTGRFSNGRLIPDFIAQFAKLPLIPAYFQADHRGFINGVNFASAGAGSLVETLSGFVIDLKTQLGYFKKVAQQLKKKLGGKESKTLISNAVYMFSIGSNDYAFRFSTNSTILNSYTRQKYVEMVIGNLTTVIKDIYEEGGRKFVILSVGALGCSPSGRAQNLQQTNSSGCLKELNDWAKKHNKALPKKLSKLEKTLQGFKYSYFELFTAATNVIDNPSKNGFKETKTACCGSGPFRGLVSCGGKRGELKEYELCKNVGDYLFFDNGHPTEKSNLMSARLLWNGAHNLVQPYNVKSFFEFV >itb02g09680.t2 pep chromosome:ASM357664v1:2:6212459:6217758:1 gene:itb02g09680 transcript:itb02g09680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLERLCDVCGNLGFSDGGDGFFYCTRCNSQANDFVDTGVDDADLNAFDGGIYSTTQTRARPRQEAIVAEQISQLKASQSQYQEILKTMDDYNDDTVKNGVGPTEPSDFGSAQETIISPDDYYSEIRKRYVMGVQIMVQLQCKALVEKFNVSPLIVGLTGPIWLRYLAYERVMADEWADEVIHESQSQTQGDVGEWPAAKHKAEPHNLLGKRAIPIWHRSLRSKIPLSCSLAISFLVCHMAREAILPTDIVKWTLEGKLPYFAAFLEIQKQLGPSSRACPISASCMFRPTQAISIQKLESFAASIGRRIGLELPAVNFHAIASRYLRELSLPVEKILPQACHVYEWSMPPELYLSDNESRLPSRVYVMSIVIVTIRIMYDLNGGKWEMMLSRPSAEEDFALHNSDHFDNMLDEEEADFDALRLLQILETKYSEHKDIYDYAQDLASYLQYCKDVVFAGINSSCEDREEESVIEELWDFYQKNKDVEPSDGLKKSKELQGGGSNYGTAEDGANSPGDNKSLHDGQYFNESYKDRAIRQLKSNMEENRFCYIPPSKHTQKRNYGYIRYSRKKDGAYVYAVHADYYILLRSCAQIAQVDVRTMHHGVLTFQKRLEQLDNRIDYCLHRKLPGDFCDFCCG >itb02g09680.t1 pep chromosome:ASM357664v1:2:6212459:6217758:1 gene:itb02g09680 transcript:itb02g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLERLCDVCGNLGFSDGGDGFFYCTRCNSQANDFVDTGVDDADLNAFDGGIYSTTQTRARPRQEAIVAEQISQLKASQSQYQEILKTMDDYNDDTVKNGVGPTEPSDFGSAQETIISPDDYYSEIRKRYVMGVQIMVQLQCKALVEKFNVSPLIVGLTGPIWLRYLAYERVMADEWADEVIHESQSQTQGDVGEWPAAKHKAEPHNLLGKRAIPIWHRSLRNEEEADFDALRLLQILETKYSEHKDIYDYAQDLASYLQYCKDVVFAGINSSCEDREEESVIEELWDFYQKNKDVEPSDGLKKSKELQGGGSNYGTAEDGANSPGDNKSLHDGQYFNESYKDRAIRQLKSNMEENRFCYIPPSKHTQKRNYGYIRYSRKKDGAYVYAVHADYYILLRSCAQIAQVDVRTMHHGVLTFQKRLEQLDNRIDYCLHRKLPGDFCDFCCG >itb11g07550.t1 pep chromosome:ASM357664v1:11:4649129:4653088:1 gene:itb11g07550 transcript:itb11g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKLGSKSDLFHLDGQTWLCSTGLPSDVTVEVRETSFHLHKFPLLSRSMLLEKLIQDSSGHGKDEKECVLQLHNIPGGAKAFLFVAKFCYNIKTELTAMNVVSLRCAAEYLQMSEDFGEGNLVAQTENFLNEVLSFWVDTIKALQSCEEVLPSAEELHIVSRCIESLAVKACADPSLLSRNPAGAIFWNGIDSGSKASKKLSLSEDWWYEDVSKLKLHMYKRLILAVTSKGMPADRIAGSVMFYAKKYLPLNGRQPNFKNGNCVALGSTMPVASEAEQITLLEEIVGLLPDQKGVIPTKFLLKLLKTSMILHTSLSCQEILEKRIGVQLDDAALEDILIPNMGYSVETLYDIDCVQRIVDHFMLGDQDETDSISNELVDDGQLIPSSHALTPTTMVANLVDNYLAEVAPDVNLKLTKFLSLASLIPDYSRPIDDGIYRAIDIYLKAHPWLTDSDREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSVAGWFFVSENLDNSQDLSKTIGPGIEGTAQESSGNNPMLTVDDMRNRVSALEKECLDMKQEVEKLVKTKGSWHSIFRMLGLRLKTRSCDHSKTVKTPLSAKVFRNSRKAATNGKEKQDCKIDDKSIQSEC >itb04g22920.t2 pep chromosome:ASM357664v1:4:28159971:28163764:1 gene:itb04g22920 transcript:itb04g22920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTYSSSILLLLQVIIVFSKASDDSSRSAVGDPGMRRDGLRVGLESWNFCNEVGVQEAPPGSQMGSPRAADCFDLSSHNTTKINHLVSEADNRLGVGKPFPGLSPGSLNNPDLYAAEKELYLGSLCQVPDSPRPWQFWMIMLKNGNYDTRSGFCPQNGKKVAPFAPGRFPCFGKGCMNHPLLYHTPTSLSGDGETMRGGFNGTYDLSGGEGDSLSYFEVVWEKKVGEGSWVFTHKLKTSKLYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPNFKVRLSLDVKKGGGAKSQFYLIDIGSCWKNNGDPCDGDVLTDITRYSEMIINPATPAWCTPNHVENCPPYHITPNDTKIYRNDTAHFPYSAYHYYCAPGNAQHLEKPYSICDPYSNPQAQELVQLLPHPIWEEYGYPTKQGHGWVGDGRTWELDVGGLSSRLYFYQVLE >itb04g22920.t1 pep chromosome:ASM357664v1:4:28159960:28163764:1 gene:itb04g22920 transcript:itb04g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTYSSSILLLLQVIIVFSKASDDSSRSAVGDPGMRRDGLRVGLESWNFCNEVGVQEAPPGSQMGSPRAADCFDLSSHNTTKINHLVSEADNRLGVGKPFPGLSPGSLNNPDLYAAEKELYLGSLCQVPDSPRPWQFWMIMLKNGNYDTRSGFCPQNGKKVAPFAPGRFPCFGKGCMNHPLLYHTPTSLSGDGETMRGGFNGTYDLSGGEGDSLSYFEVVWEKKVGEGSWVFTHKLKTSKLYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPNFKVRLSLDVKKGGGAKSQFYLIDIGSCWKNNGDPCDGDVLTDITRYSEMIINPATPAWCTPNHVENCPPYHITPNDTKIYRNDTAHFPYSAYHYYCAPGNAQHLEKPYSICDPYSNPQAQELVQLLPHPIWEEYGYPTKQGHGWVGDGRTWELDVGGLSSRLYFYQDPGTPPARRIWTSLDVGTEIFVSDKDEVAEWTLSDFDVILTSTQNP >itb10g02620.t1 pep chromosome:ASM357664v1:10:2242447:2243668:-1 gene:itb10g02620 transcript:itb10g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSLKLIFALVLVFAMSASLATSRKLPDSSMVERHDQWMSQYGRVYKDEVEKSKRYKIFKENVEYIDAFNKVGTKSYKLGINAFADLTNKEFQASRNGYKLPHECSSNTLFRYENVSAVPSTVDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGITQLSTGKLISLSEQELVDCDVKGEDQGCEGGLMDDAFQFIINNKGLTTESNYPYQGTDGSCQKSKSSNSAAKITGFEDVPANSESALEKAVANQPVSVAIDASGMDFQFYSSGVFTGSCDTQLDHGVTAVGYGKAEDGTKYWLVKNSWGTSWGENGYIRMQKDIDAKEGLCGIAMQASYPTATATA >itb09g16220.t1 pep chromosome:ASM357664v1:9:11446224:11446747:-1 gene:itb09g16220 transcript:itb09g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVERIGSWRIMTAAAQEQPAFEGLDPLDSWLPITESRNGNFFSIASHMLCSGIGLQALLLPVSFISLGWVWGIICLSLLFVWQLYTIWLLVDLHESPTGLASADTSISQL >itb14g18710.t1 pep chromosome:ASM357664v1:14:21640687:21642460:-1 gene:itb14g18710 transcript:itb14g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRHLLLLASFCCTIFTAQSTDPSGLFCNTASKNLNSQTSTNILKLLTNLVPAASKAGYATAAYGGSGNKIYGLGQCRGDVSSKDCSSCIQEAAKEIRKRCPDRVDSRIWYEYCFLRYTDDEFFGEVDTGYGILYANVANVTDPESFNKKLGNLVDGISKEAVVPENRGLGKGKTKLSDFLTLYALVQCTRDLSPVNCAQCLAIAVGNNFPGFCNEKKGCRVLYSSCYVRYELYPFYFPLDPPEKSTGAGWEARNYRSTVVHKRN >itb02g18240.t1 pep chromosome:ASM357664v1:2:14671031:14671407:1 gene:itb02g18240 transcript:itb02g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEANGLVEDDGKPRLPGTRLAASYVNFYIANGSIIAPQFGDKWDDEAVRVLSHAFPDHELSETAITPIE >itb15g01940.t1 pep chromosome:ASM357664v1:15:1151561:1154995:1 gene:itb15g01940 transcript:itb15g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCFFGNKLFRNNYCVPIYTYLILLSYFPLIQTRHESLLVYIAAATYPVAGIPFPICVFAAPISFTDSSMASAATSTALLFSNLKSAISPKSSANPSFAKTLTVQSSFNGLRNAKPLLSRVPRSLSSRAAQAKHRSFVVRASSELPLVGNQAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFKKLNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSYNVLIHDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAV >itb06g18840.t2 pep chromosome:ASM357664v1:6:22388727:22394510:-1 gene:itb06g18840 transcript:itb06g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSERDKSPKEAKEPKTPSSQEQATTTGTVNPEWPGFQAFSPVPPHGFLASSPQAHPYMWGVQHLMPPYGTPPHPYVAMYPHGSIYAHPSMPPGAYPFSPFPVPSPNGIAEASVNTSGNVEVNSKASEGKEKLPIKRSKGSLGSLNMVTGKNNEPGKASANGVYSKSVESASEGSSGGSDANSQNESQTKSGGRQGEAPQNGNAAHGSQNGESNAHAMMNHAMAVVPITAGGTASGVPGPTTNLNIGMDYWGSAASSAIHGIQGKVPSAPVASGMVAAGPREGVQPQLWVQNEKELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSEINRIKSDYEQLLAQNASLKEKLGEAAGKDDPRPSRNEHLGTQSSEAEPLQGGQ >itb06g18840.t4 pep chromosome:ASM357664v1:6:22388663:22394553:-1 gene:itb06g18840 transcript:itb06g18840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVQHLMPPYGTPPHPYVAMYPHGSIYAHPSMPPGAYPFSPFPVPSPNGIAEASVNTSGNVEVNSKASEGKEKLPIKRSKGSLGSLNMVTGKNNEPGKASANGVYSKSVESASEGSSGGSDANSQNESQTKSGGEAPQNGNAAHGSQNGESNAHAMMNHAMAVVPITAGGTASGVPGPTTNLNIGMDYWGSAASSAIHGIQGKVPSAPVASGMVAAGPREGVQPQLWVQNEKELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSEINRIKSDYEQLLAQNASLKEKLGEAAGKDDPRPSRNEHLGTQSSEAEPLQGGQ >itb06g18840.t3 pep chromosome:ASM357664v1:6:22388663:22394553:-1 gene:itb06g18840 transcript:itb06g18840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSERDKSPKEAKEPKTPSSQILQEQATTTGTVNPEWPGFQAFSPVPPHGFLASSPQAHPYMWGVQHLMPPYGTPPHPYVAMYPHGSIYAHPSMPPGAYPFSPFPVPSPNGIAEASVNTSGNVEVNSKASEGKEKLPIKRSKGSLGSLNMVTGKNNEPGKASANGVYSKSVESASEGSSGGSDANSQNESQTKSGGEAPQNGNAAHGSQNGESNAHAMMNHAMAVVPITAGGTASGVPGPTTNLNIGMDYWGSAASSAIHGIQGKVPSAPVASGMVAAGPREGVQPQLWVQNEKELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSEINRIKSDYEQLLAQNASLKEKLGEAAGKDDPRPSRNEHLGTQSSEAEPLQGGQ >itb06g18840.t1 pep chromosome:ASM357664v1:6:22388663:22394553:-1 gene:itb06g18840 transcript:itb06g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSERDKSPKEAKEPKTPSSQEQATTTGTVNPEWPGFQAFSPVPPHGFLASSPQAHPYMWGVQHLMPPYGTPPHPYVAMYPHGSIYAHPSMPPGAYPFSPFPVPSPNGIAEASVNTSGNVEVNSKASEGKEKLPIKRSKGSLGSLNMVTGKNNEPGKASANGVYSKSVESASEGSSGGSDANSQNESQTKSGGEAPQNGNAAHGSQNGESNAHAMMNHAMAVVPITAGGTASGVPGPTTNLNIGMDYWGSAASSAIHGIQGKVPSAPVASGMVAAGPREGVQPQLWVQNEKELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSEINRIKSDYEQLLAQNASLKEKLGEAAGKDDPRPSRNEHLGTQSSEAEPLQGGQ >itb02g21360.t1 pep chromosome:ASM357664v1:2:20160186:20161375:1 gene:itb02g21360 transcript:itb02g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLAVVLIDTKLDDTAFEKQSALFALAISYIVLINMWCHDISHEQVANKPLLKTVFQVMMRLFSLCKTTLLFVIRDKTRTPLENLEPVLREDIQKARHLKLGIKKETT >itb14g17460.t1 pep chromosome:ASM357664v1:14:20690005:20691832:1 gene:itb14g17460 transcript:itb14g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTCLRPLFSTLLSLLGLLLLHLGCFFFSSSAAAVSDHHRPEPPNQNSGRNRKISSSSSSRLKRSTAGAVSASWSFVKRIFSCAAAAGGGTPPPHPSIPSPCSSSRSLTKPIVIPLASPEKLSSDPLSGDICSDQPNIPLRNNIYPCTLCGEIFLAANLLEQHQFIKHAVSELVDGDSGNNIVQIIFKTGWRDTAKNPAIRRILKIHNSPKILARFEEYREHVKSKAARNVAGRRRDERCIADGNELLRFHCATFLCDLGENGSGAASGVCSNQYCSVCGIIKTGFSPKMDGISTQSSGWRAHAAVPEEIEEEFKFMHVKRAMLVCRVIAGRVGSDPDVVDKDDPGFDSLVGRENGVHPRLDEEEELWVFNPRAVLPCFVIVYNV >itb10g17730.t1 pep chromosome:ASM357664v1:10:23858895:23861622:1 gene:itb10g17730 transcript:itb10g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGRYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVDDEEYEEEEEEVCA >itb07g21120.t1 pep chromosome:ASM357664v1:7:25482423:25487066:-1 gene:itb07g21120 transcript:itb07g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1A [Source:Projected from Arabidopsis thaliana (AT2G47580) UniProtKB/TrEMBL;Acc:A0A178VZ67] MFKTSITCIAEIEAIVVLKAMEWAWRKEFRNIEIISDSHDTVKRLNGEQRARGLLNNIIETCKRWMAKDWDITIKHVYRVQNHIADNLAKLACQREDRWSCLREPPTIILRNLEKGALGIPKGVAAKQSKANNNPVMAEANQASGEISPNMTIYINNLNEKIKLDELKKSLNAVFSQFGKILEVLAFKTLKHKGQAWVIFEDVSSATSALRQMQGFPFYDKPMRIQFAKTKSDIIAKADGTFVPREKRKKHEDRGKKKKEQHDSNQAGMGLNPAYVGTYGATPPLSQIPYMGGAIAAVPEAPAPPNKILFVQNLPHQTTPMMLQMLFSQYTGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQALQGFKIMGDNAMLITYAKK >itb01g26770.t1 pep chromosome:ASM357664v1:1:31837824:31839741:1 gene:itb01g26770 transcript:itb01g26770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAAVAVRDFAGTATSATVNGIMDDLQCSSRQHCFKCNSNTPILSRQHCIGMGVGLQLISHLKTPNRQNNNHNKCAQRHSAFRHLTTNNAKSDPFCSKLVYKI >itb14g15160.t1 pep chromosome:ASM357664v1:14:18340405:18340833:-1 gene:itb14g15160 transcript:itb14g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGRDGIYRSLRPPILLPRDPNFSMVSFLFRNISQYPDQPAIHDADTGRTLTFAQLKSQVSKVAHGLKHQLGVRKNDVVLIFSPNSIDVSDGGRGSRRGGGSAKHVLVFLASVSCVRAFNYLVFTAGQGEFCGNYGKI >itb06g25640.t1 pep chromosome:ASM357664v1:6:26602021:26603762:1 gene:itb06g25640 transcript:itb06g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSFNFLPLSADLCLHKLNVETAVVLAIPNSVKISASSSSISMSRISPILLLVIVILAVIFFLFGLFHLLVRYLMKRPSFSSVSQSNRFPETTSGSQALQRQLQQLFRLHDSGLDQAIIDNLPLFLYKDIMGLKEPFDCAVCLCEFSGDDKLRLLPLCSHAFHIHCIDTWLLSNSTCPLCRGVISSSAHPNLVLAPEEWRRRWSRPSSGIHNKTQIMQENNGGDMRVFSVRLGKFRNLNLQGQGGSGSGERGGDEEKESSSRCNIDARRCFSMGSFQYVVGDSDLQVALPSIISNGGATKVVNVEGPSGGSSSLEGKKISARTEGESFSVSKIWLWSKKDNYKFSSHNPLALANH >itb04g02310.t1 pep chromosome:ASM357664v1:4:1370020:1370682:-1 gene:itb04g02310 transcript:itb04g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYIDTVKRARGGQNPGDAEFLAAMAAGYNVKLIVEVRRSNGGGIGTSLGLAVAAGHTGGRHVCVVADEAARREYISAMQEADVALPEIVVVGEAERVVEGLEAVDFLVVQGRSGGGEIFRRAKLSRRGAVLVYKGGSKTATAAASSRFCWGGTVDGSNLRIVKSVSLPLENGLEIAYVGVNDGKTLKSPKRWIRRIDLQSGEEHVFRR >itb06g10470.t2 pep chromosome:ASM357664v1:6:14913270:14923903:-1 gene:itb06g10470 transcript:itb06g10470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSHYFPLSIFFMHCLMVCLTINANTNIATDKHALLSLKSKISFDPYSILANNWSINSISPCHWIGVTCGSRHNRVTTLDLSNMGLVGELTPDLGNLSFLVSLNLSQNNFQNSFPQELYQLRRLKSLCCSFNNFSGKIPSWLGLLSNLKYLYLGNNNFSGFLPTSLFNLSKLEVLHLGVNSLQGSIPREIGNLPQLKLLNLSYNNLDGSFSSSILNLSMLEVLSLTANNLSGDLPVDLGNYLPRLLQFNMPRNKFSGEIPSSLSKCSLLQTISLAYNDFSGDIPSSLSKCSLLQTISLAYNDFSGNIPKFENLTKLEWLELGQNRLTGAIPKEICALSSLRYLYLYNNELSGAIPKEIGNLTTLESLYISNNSIEGAIPKEICALSSLRYLYLYNNNLSGAIPKEIGNLTTLESLYINNNNIEGVIPKEMCASSFLYYLSLGDNKLSGIIPKDIGNLTALQVLYIGNNNIQGN >itb06g10470.t1 pep chromosome:ASM357664v1:6:14913270:14923903:-1 gene:itb06g10470 transcript:itb06g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSHYFPLSIFFMHCLMVCLTINANTNIATDKHALLSLKSKISFDPYSILANNWSINSISPCHWIGVTCGSRHNRVTTLDLSNMGLVGELTPDLGNLSFLVSLNLSQNNFQNSFPQELYQLRRLKSLCCSFNNFSGKIPSWLGLLSNLKYLYLGNNNFSGFLPTSLFNLSKLEVLHLGVNSLQGSIPREIGNLPQLKLLNLSYNNLDGSFSSSILNLSMLEVLSLTANNLSGDLPVDLGNYLPRLLQFNMPRNKFSGEIPSSLSKCSLLQTISLAYNDFSGDIPSSLSKCSLLQTISLAYNDFSGNIPKFENLTKLEWLELGQNRLTGAIPKEICALSSLRYLYLYNNELSGAIPKEIGNLTTLESLYISNNSIEGAIPKEICALSSLRYLYLYNNNLSGAIPKEIGNLTTLESLYINNNNIEGVVPKEMCALSFLYYLYLEDNKLSGIIPMEIGNLTALQALYMQNNNFKGAIPKEICALPSLRYLYLSNNELSGAIPKEVGNLTTLMILIIGKNNIEGVIPKEMCASSFLYYLSLGDNKLSGIIPKDIGNLTALQVLYIGNNNIQGN >itb09g14180.t1 pep chromosome:ASM357664v1:9:9394282:9396373:-1 gene:itb09g14180 transcript:itb09g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRLAGKVAVITGGASGIGECSASLFARHGAKVIIADIQDELGRAVCDEIGDDVSYVHCDVRRESDVENAVNAAVSKHGKLDIMFSNAGVPGKGGESILDSNYDNFRHVFDANVFGAFLCAKHAARVMIPARKGSVIFTSSVASVTYGDVPHEYSASKHAVVGLAKNLGVEMGRYGVRVNCVSPFGVATPMLRGCIGIDEKEKVEEFVCEIANLKEAKMEAKDVAEAALYLASDESKYVSGINLVIDGGYSTTNVALSQSFNKLD >itb08g11960.t1 pep chromosome:ASM357664v1:8:11992035:11992881:1 gene:itb08g11960 transcript:itb08g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEKLSMEDVVREGKTGGKKALIIKTWERCKSLGVLGKNPSLFGLMKSKSWSHGLRMEKRIGVRAAPEGWLWVCVGEEKQRLLIKTERVNHPLFRELLEEAESEYGFRSDGPLIFPCEVDHFLRVLREMESSTCENNNGRGCSFARNRNHHLLTPPRQTPKTLSTDVKL >itb09g18150.t2 pep chromosome:ASM357664v1:9:13712826:13715352:-1 gene:itb09g18150 transcript:itb09g18150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCVHVFALALLASFCNSVHSSPLIKHHVALFVFGDSICEVGNNNYINTTNDFRANFRPYGETSFPNATGRFSNGRLIPDYIADFAKKQCVQPYLAIKNRLNDRFINGVNFASTGAGSLDGTNAGLVIPFKTQLGYFKKVSQQLKQEMGSHGSKQLLSNAVYMFSIGNNDYGTFINNSTLLNSYTQNQYVDMVVGNMSTVFQEIYKEGGRKFVILSVGAIGCVPSARAANFQTGSSECLEILQTLAKLHNEALQKMLNNLATNLPGFKYSYFDYFQSSIDIISNPSSYGKFYLSQ >itb09g18150.t1 pep chromosome:ASM357664v1:9:13712225:13715352:-1 gene:itb09g18150 transcript:itb09g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCVHVFALALLASFCNSVHSSPLIKHHVALFVFGDSICEVGNNNYINTTNDFRANFRPYGETSFPNATGRFSNGRLIPDYIADFAKKQCVQPYLAIKNRLNDRFINGVNFASTGAGSLDGTNAGLVIPFKTQLGYFKKVSQQLKQEMGSHGSKQLLSNAVYMFSIGNNDYGTFINNSTLLNSYTQNQYVDMVVGNMSTVFQEIYKEGGRKFVILSVGAIGCVPSARAANFQTGSSECLEILQTLAKLHNEALQKMLNNLATNLPGFKYSYFDYFQSSIDIISNPSSYGFTEVKVACCGSGPFRGDPSCGGKRGMTFYELCPDVNKFFFWDYNNPTEKANKLSATLMWDTSPYVTPNNVKSFFQS >itb12g11850.t1 pep chromosome:ASM357664v1:12:10306927:10309608:-1 gene:itb12g11850 transcript:itb12g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTFFYLNALLLLSLATVAFSHPLSPSFYNHVCPQALPTIRRVVEDAIKQERRMGGSLLRLHFHDCFVNGCDASILLDSTPTIDSEKNALANNNSARGFEVIDRIKLEVDRVCGRPVISCADILAVAARDSVVALGGPTWEVKLGRRDSTSASKDAANNNLPSPFMDLSQLTDNFKNQGLNIKDLVALSGGHTLGFAQCFTFRQRIYGDKDIDSKFARQRQANCPMNGGNSSLASLDPTPAYFDAKYFKNLVKNKGLLVSDQALFTGGQTDDLVKLYSRNHGAFSNDFANSMIKMGDIKPLTGNNGQIRVNCRRMRGGGDAGSGLAAAEDEAVSGGPSARRIRRKGEEEENNKGKMVLTNELFFPAALENKIP >itb04g30440.t1 pep chromosome:ASM357664v1:4:33550320:33554018:1 gene:itb04g30440 transcript:itb04g30440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAFSTASIISSSSSKQAGLRNRRACQLHGRNYNGKTSSSKGRFVVKANAKEIAFDQRSRTALQAGIDKLADAVGLTLGPRGRNVVLDEYGTPKVVNDGVTIARAIELADAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVQALVDELEKKARPVKGRDDIKAIASISAGNDETIGTMIADAIDKVGPDGVLSIESSSSFETTVHVEEGMEIDRGYISPQFVTNPEKLIVEFENARVLVTDQKISAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGIVNVAAIKAPGFGERRKALLQDIAIVTGAEYQASELGLLVENTQVEALGIARKVTITKDSITIIADAATKDEIQSRIAQLKKELFETESIYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTYVPAIKDTLEDADEKLGADIVQKALVAPASLIAQNAGVEGEVVVEKVKDSEWEIGYNAMTDSYENLVEAGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKPKPKTPAPAAPQGLTV >itb03g24980.t2 pep chromosome:ASM357664v1:3:23882008:23896553:1 gene:itb03g24980 transcript:itb03g24980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDAMAVKSLNKCSGRRRFVFKNFSQRIQDIDIDVYRSLDPLKTEPSEGSSFFRDCLMQYRELNTAEDFISFYEEMLPLVQTLPQIVLQKELILLELLSRLNMKGRLSLEPILRLIAALSRDLVDDFVPFLQRVADSLVVLLKNGADREPEIIEQIFTSWSYIMMYLQKYLTKDVVYVLKVTVKLRYFPKDYVQEFMAESVSFLLRNASVKQLKKVVKKPSLTRKSGISSLLWYAMRGTSSRFHSRADQVLRLLLDNSLYSIGDHFNGGPETVLEVIIGAFPQTCLCMKLAKYPGENELNVFKLLSVYVEEPLAARRFVDVLLPLLSKKSHACDICVDTLQIIKNIARPLGDESIKKIFKSISPLLISGDLDVRGSVCDVLDALARNDSSLLNLVHFRLGISYWCYFEYLFFDPPEDVNSLVYLTPFNHLMQAKRLRELNATSASEMGDLDYDTIISAYGKLNVVFFHNAEEEHALIILSNSIRDMSSEDLILRQSAFRLLSSFVEYSGQILEQEMKPEQGCSGSWVMYIINNFLLKHMGNAMNKEGAAQKLWIGLLREMVLKLHKMVEFKTYTVLCSEDPEQDFFNNIVHLQRHRRARALSRFGNVVGSGSFSEGVMNRVFVPLLFNMLLDVQNGKGENIRNACIEALASISKWMDWNAYYGLLVRCFREMTLKQDKQKVLMRLICTILDQFHFSEANFVHEIEGSMEHMSDPDISKKISAVSSTFISNGDLSKIQICLKKDVLPKVQKFLMSDSENVNVTISLVALKVLKLLPGDIMELQLPSIIHRISNFLKNRLESVRDEARSALAACLKELGLEYLQFIIKVLRGTLKRGFELHVLGYTLNFILTKFLQNPTSLNLDYCLEDLLFVAESDILGDVSEEKEVEKIASKMKETKKQKSYETLKLIAQNITFKTHALKLLLPVTVHLQKQLTPKVKTKLENMLNHISSGIQCNPSVNQKELFVFAYGLIKDGLKDEHFGHEDTLISDEGKQNKDEVRAENTNSNRLISVDRRYSYLITEFAVRILQNYLRNMELDKEDEKLLSMLDPFVRLLGDCLSSKYENIIFAALKSLYSIVRLPLPTLENEANRIKNSLLDIAQGSVNASTPLMESCIKLLTVLLQNTKMTLSEAQLQSLIQFPFFVDLERNPSFVALSLLKAIIKKKLVAPEIYDVVKGVAELMVTSQVESIRRKCSKIFLQFLDCYPISVKRFQQHLDSLLANLRYEHSTGREAVLEMLRAIIVKIPDRIQEQSQTIFMHLVICLANDQDNKVRSMAGVSIKLLAENMKKFGSLTSIIEYSFSWYRGEKQHLWSSAAQVLGLLVEVMGNSFQEYVSDVLSVTKNILQSACAALANRQLGLSDEVVPLWKEVYYSLVLLEKIHHQFTALCFTEELQDLWETICDFLLYPHMWVRSISVRLIDLYFARVTKACNENQALLWSFFLMEPSRLFQIAVSLICQLNIQLVKDADEALITQNLVFAICGIQALLVHGSGHSAFGPEEQSRFLKAFNLLDPKKGRSIFTAFSSYYSAQGNEQQTKHQGSMIVSCLLKRMGKIPIQMEALQTRIVFNCFKSMSIKLLDQSSALSSEDEVDCQSYAYQILLPLYKVCEGQAGKVISDDVKQLAQEVCESIRDVLGMQSFVQVYSQIRKKLKAKRDRRKQEEKLMAVINPMRNAKRKLRIAAKHKANKKRKIMSMKMTR >itb03g24980.t1 pep chromosome:ASM357664v1:3:23882008:23896031:1 gene:itb03g24980 transcript:itb03g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDAMAVKSLNKCSGRRRFVFKNFSQRIQDIDIDVYRSLDPLKTEPSEGSSFFRDCLMQYRELNTAEDFISFYEEMLPLVQTLPQIVLQKELILLELLSRLNMKGRLSLEPILRLIAALSRDLVDDFVPFLQRVADSLVVLLKNGADREPEIIEQIFTSWSYIMMYLQKYLTKDVVYVLKVTVKLRYFPKDYVQEFMAESVSFLLRNASVKQLKKVVKKPSLTRKSGISSLLWYAMRGTSSRFHSRADQVLRLLLDNSLYSIGDHFNGGPETVLEVIIGAFPQTCLCMKLAKYPGENELNVFKLLSVYVEEPLAARRFVDVLLPLLSKKSHACDICVDTLQIIKNIARPLGDESIKKIFKSISPLLISGDLDVRGSVCDVLDALARNDSSLLNLVHFRLGISYWCYFEYLFFDPPEDVNSLVYLTPFNHLMQAKRLRELNATSASEMGDLDYDTIISAYGKLNVVFFHNAEEEHALIILSNSIRDMSSEDLILRQSAFRLLSSFVEYSGQILEQEMKPEQGCSGSWVMYIINNFLLKHMGNAMNKEGAAQKLWIGLLREMVLKLHKMVEFKTYTVLCSEDPEQDFFNNIVHLQRHRRARALSRFGNVVGSGSFSEGVMNRVFVPLLFNMLLDVQNGKGENIRNACIEALASISKWMDWNAYYGLLVRCFREMTLKQDKQKVLMRLICTILDQFHFSEANFVHEIEGSMEHMSDPDISKKISAVSSTFISNGDLSKIQICLKKDVLPKVQKFLMSDSENVNVTISLVALKVLKLLPGDIMELQLPSIIHRISNFLKNRLESVRDEARSALAACLKELGLEYLQFIIKVLRGTLKRGFELHVLGYTLNFILTKFLQNPTSLNLDYCLEDLLFVAESDILGDVSEEKEVEKIASKMKETKKQKSYETLKLIAQNITFKTHALKLLLPVTVHLQKQLTPKVKTKLENMLNHISSGIQCNPSVNQKELFVFAYGLIKDGLKDEHFGHEDTLISDEGKQNKDEVRAENTNSNRLISVDRRYSYLITEFAVRILQNYLRNMELDKEDEKLLSMLDPFVRLLGDCLSSKYENIIFAALKSLYSIVRLPLPTLENEANRIKNSLLDIAQGSVNASTPLMESCIKLLTVLLQNTKMTLSEAQLQSLIQFPFFVDLERNPSFVALSLLKAIIKKKLVAPEIYDVVKGVAELMVTSQVESIRRKCSKIFLQFLDCYPISVKRFQQHLDSLLANLRYEHSTGREAVLEMLRAIIVKIPDRIQEQSQTIFMHLVICLANDQDNKVRSMAGVSIKLLAENMKKFGSLTSIIEYSFSWYRGEKQHLWSSAAQVLGLLVEVMGNSFQEYVSDVLSVTKNILQSACAALANRQLGLSDEVVPLWKEVYYSLVLLEKIHHQFTALCFTEELQDLWETICDFLLYPHMWVRSISVRLIDLYFARVTKACNENQALLWSFFLMEPSRLFQIAVSLICQLNIQLVKDADEALITQNLVFAICGIQALLVHGSGHSAFGPEEQSRFLKAFNLLDPKKGRSIFTAFSSYYSAQGNEQQTKHQGSMIVSCLLKRMGKIPIQMEALQTRIVFNCFKSMSIKLLDQSSALSSEDEVDCQSYAYQILLPLYKVCEGQAGKVISDDVKQLAQEVCESIRDVLGMQSFVQVYSQIRKKLKAKRDRRKQEEKLMAVINPMRNAKRKLRIAXLLFR >itb08g13950.t1 pep chromosome:ASM357664v1:8:15471581:15476531:-1 gene:itb08g13950 transcript:itb08g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEREWFLPFKVLGLGIWMLIATSCSATLSPSGVNYEVVALIAIKTGLHDPYNVLENWDVNSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPGIGNLTNLQSVLLQNNAISGHIPAEIGKLERLQTLDLSNNKFNGDIPSTLGDLRNLNYLRLNNNSLSGQIPESLSKVDGLTLVDVSFNNLSGRPPKLPARTFKVIGNPLICGQSSENNCSVIYPEPLSFPPDAGKGQSDAGAKKHHVAIAFGASFGALFLIIILVSLIWWRYRRNQQIFFDLNDNYDPEVCLGHLRRYTYKELRTATDHFNSKNILGRGGFGIVYKGSLNDGTIVAVKRLKDYNAAGGEIQFQTEVEMISLAVHRNLLRLWGFCSTENERLLVYPYMPNGSVASRLKDHVHGRPVLDWSRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVSTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKAVDFGRGANQKGVILDWVKTLHQEGKLNLMVDKDLKNNFDRVELEEMVQVALLCTQFNPSHRPKMSEVLRMLEGDGLAEKWEASQRNDTPRYRTHENTPQRYSDFIEESSLIVEAMELSGPR >itb08g13950.t2 pep chromosome:ASM357664v1:8:15471581:15476531:-1 gene:itb08g13950 transcript:itb08g13950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MEREWFLPFKVLGLGIWMLIATSCSATLSPSGVNYEVVALIAIKTGLHDPYNVLENWDVNSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPGIGNLTNLQSVLLQNNAISGHIPAEIGKLERLQTLDLSNNKFNGDIPSTLGDLRNLNYLRLNNNSLSGQIPESLSKVDGLTLVDVSFNNLSGRPPKLPARTFKVIGNPLICGQSSENNCSVIYPEPLSFPPDAGKDAGAKKHHVAIAFGASFGALFLIIILVSLIWWRYRRNQQIFFDLNDNYDPEVCLGHLRRYTYKELRTATDHFNSKNILGRGGFGIVYKGSLNDGTIVAVKRLKDYNAAGGEIQFQTEVEMISLAVHRNLLRLWGFCSTENERLLVYPYMPNGSVASRLKDHVHGRPVLDWSRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVSTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKAVDFGRGANQKGVILDWVKTLHQEGKLNLMVDKDLKNNFDRVELEEMVQVALLCTQFNPSHRPKMSEVLRMLEGDGLAEKWEASQRNDTPRYRTHENTPQRYSDFIEESSLIVEAMELSGPR >itb01g26820.t1 pep chromosome:ASM357664v1:1:31881822:31885732:-1 gene:itb01g26820 transcript:itb01g26820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEKGSPESQTLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAAATANIPIINAGDGPGQHPTQALLDVYTIQREIGKLDGIKVALVGDLAYGRTVRSLAYLLAKYQDVKIYFVSPDVVKMKDDIKDYLTSQGVDWEESADLLEVASKCDVLYQTRIQRERFGERIDLHEEARGKYIVDLEVTRAMQKHAVVMHPLPRLDEITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLVGW >itb07g16440.t1 pep chromosome:ASM357664v1:7:20210566:20214903:-1 gene:itb07g16440 transcript:itb07g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSCLTTARMALAIVLVAIFLGSSSAHLSTKFYSKSCPILFGTVKSVVTSAVNKEKRMGASLLRLHFHDCFVQGCDGSILLDDTSYFKGEKIAFPNNNSVRGYNVIDDIKNAVEEVCPGIVSCADIIAIAARDSTVLLGGPTWNVKLGRRDSKTANLNVANTPGVLPSPFSNLSALISSFQNQGLSTRDLVALSGAHTIGKTRCTIFRDRIYKDTNTIAASFAKKRQRKCPRETGSGDDNLAPLDLETPAFFDNYYYKNLINKKGLLHSDQALYSGGSTDSLVKKYSKNPALFNSHFARAMIKMGNIRPLTGSCGEIRKNCRIAN >itb12g17090.t1 pep chromosome:ASM357664v1:12:18950145:18950417:-1 gene:itb12g17090 transcript:itb12g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNNVSKEWLNSIALGIDNNAFITYYSASPQILQVNFTRNLGFGIWTDDNLSFKVDLRDHLPEKVCIGFSAATGSHPASCNGSGPNDG >itb09g03190.t1 pep chromosome:ASM357664v1:9:1784721:1788134:1 gene:itb09g03190 transcript:itb09g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKMLKTPNCRGYAPKTFSPSSPLISDPRFLSKRARSVNVKHISAPAPHLLRISSSLSVAIMESCEGPSIYPLHHCKIIHLVRHAQGIHNVEGDKNYKAYMSPKYFDANVTPLGWQQVDNLRRHVHSSGLFKRIELVVTSPLLRTMQTAVGVFGGGGYTDRMDILPLMVANAGNSDRGAISSLNCPPIVALELCREHLGVHPCDKRRSVSEYQCLFPAVDFSLIESDEDTLWKSDVRETKEEVAARGLELIKWLLTRKETEIAVITHRGFLNHALKAFGSDCHPMVRKEICKPFANCELRSMMIVDRGMIGSDFSTTNYPGNIPIELDPSSEDVVERSMEKENGNSSK >itb09g03190.t2 pep chromosome:ASM357664v1:9:1785228:1788134:1 gene:itb09g03190 transcript:itb09g03190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEGPSIYPLHHCKIIHLVRHAQGIHNVEGDKNYKAYMSPKYFDANVTPLGWQQVDNLRRHVHSSGLFKRIELVVTSPLLRTMQTAVGVFGGGGYTDRMDILPLMVANAGNSDRGAISSLNCPPIVALELCREHLGVHPCDKRRSVSEYQCLFPAVDFSLIESDEDTLWKSDVRETKEEVAARGLELIKWLLTRKETEIAVITHRGFLNHALKAFGSDCHPMVRKEICKPFANCELRSMMIVDRGMIGSDFSTTNYPGNIPIELDPSSEDVVERSMEKENGNSSK >itb04g33870.t1 pep chromosome:ASM357664v1:4:35983087:35984791:-1 gene:itb04g33870 transcript:itb04g33870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G12890) UniProtKB/TrEMBL;Acc:W8Q2U4] MEGKRETIILFPFMAQGHITPFLALSFRIAEKGSYNIILVNTPLNIKKLRSSLPPKYSSIRLLEIPFDGTQHGLPPDAETADILPYYVGLRLLELSPSLEPAFRALLSDVIREQGGLRPLCVVADFFFGWTAAVAREFGIFHAIFSGAGAFGLACFYSMWLSLPHRDSGEVEFTLPDFPEAGIFHVSQLSPSLAVADGADRFSVFQRKNLPTWADSNGLLLNTIAELENNGLVYFRRKLNVPVWAIGPILLSEDDRARIRAGKEFGISPEECTKWLDKKPESSVLYISFGSQSTISATQMMQLAKALDSTDRNFIWVIRPPLGFDPAADFSPEEWLPEGFIERVKVNETGLIVLNWAPQVEILAHSSIAAFLSHCGWNSVLESLCNGVALIGWPMAAEQFFNAKLLGEEVGVCVEVARGTNFEVRWSDIVEKIEMVMGENEKGKEIRRKAMEVKEIIRNAGRDEEGYKGSSVIAMEEFLKAAEMMKERAEIGRL >itb04g33370.t1 pep chromosome:ASM357664v1:4:35638856:35641055:1 gene:itb04g33370 transcript:itb04g33370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSNKVLAYEESRQKRLEENKKRMEELNLNKLAQALRNSPKPSPMKKTKPRAKQPVELTAVRRSSRVADKPAPNYKEMGLETLRIGRRSYGRRDLLNRVYASDGNRLDAIQRAEDLEAGLDNQFPSFIKPMLQSHVTGGFWLGLPVQFCKAHLPKHDEMITLVDENGDESDTKYLAMKTGLSGGWRGFALDHELVDGDALVFQLIEPTRFKVYIIRVNEAEEKGAE >itb15g22330.t1 pep chromosome:ASM357664v1:15:25097022:25101532:-1 gene:itb15g22330 transcript:itb15g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGKWRILRPLVSLSWSFLLASAFVSAERSLKNEALAGRNVSRNPDESYLSTFANFLWQPNESGYEHVWPEMKFGWEIAVGSIIGFMGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAISKCMIMGAAVSTVYYNLKLKHPTLDMPIIDYDLAVLIQPMLMLGISIGVTFNVIFADWMVTVLLIVLFIGTSTKAFLRGVETWKKETLLKKEVAQRLAANGSGGQGDYKLLSSGPSNATQNDTKASSENKVSIIENVYWNEFGLLCLVWVAFLALQIIKNYTPTCSALYWVVNLLQIPVSVGVTLYEAIGLHKGWRKISSKGDPDSNLQVRQLIVYCFFGMVAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYFMLVATVAAFIGQHVVRKLIIVLGRASLIIFILASTIFVSAISLGGVGISNMIGKIHRHEYMGFENLCKYEV >itb15g21000.t1 pep chromosome:ASM357664v1:15:23681911:23686178:-1 gene:itb15g21000 transcript:itb15g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTALGCAATEGILVGIAMVSVHKVWGYVFSTEEEVVNYAGKMLLLLSASHFLDSILCVLSGTARGCGWQNIGAIVNLGAHNLLGMPAGVLLAFVYHVGGKGLWLGITIAIFAEAVLLFIITLRTNWENEVKKASDRVHT >itb15g02630.t1 pep chromosome:ASM357664v1:15:1635471:1636840:-1 gene:itb15g02630 transcript:itb15g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCIIPRDVMIEILAQLPVRSLMRFKCVCKFFYSLIKSDNHFKHKHFEISRVKRDYVVFQHEMPVWGQDRGFGLVYKESDCDEIGCADLIFPTARISEVRYADGMFCLITHFRISVDNYVWYISIWNPSTREIKKLQNIKALDEFDPEYHSIFGFGLSSNMMGKVVMICITPDPIVMVCSQDGNNSCGWKRLSHSLGIFNVNDHSSNLYLKGKYYWLCTIGQNHLLWFDFDDETFEKIELPERHSNCQVLSAMKDTIALISGCIASEQLEIWTMNEHNNVIRWNKYASVEWNIGYTRPLRIWNLGAHLLVHDQTPVRYVKHFSSYDLISQEKKTIYNVSWKICMHPPFVYSESLKSF >itb15g02630.t2 pep chromosome:ASM357664v1:15:1635471:1636830:-1 gene:itb15g02630 transcript:itb15g02630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKVVMICITPDPIVMVCSQDGNNSCGWKRLSHSLGIFNVNDHSSNLYLKGKYYWLCTIGQNHLLWFDFDDETFEKIELPERHSNCQVLSAMKDTIALISGCIASEQLEIWTMNEHNNVIRWNKYASVEWNIGYTRPLRIWNLGAHLLVHDQTPVRYVKHFSSYDLISQEKKTIYNVSWKICMHPPFVYSESLKSF >itb02g10190.t1 pep chromosome:ASM357664v1:2:6575992:6579383:1 gene:itb02g10190 transcript:itb02g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIPHLHCHLTPCLTLSRKFKTPQNLSLLTPSNYRENFKCFSLSGSATISVMASAAKKVLVPVANGTEPIEAVVQIDILRRAGAEVTVASVEKELRVDALHGVKIVADALLSDCADTEFDLISLPGGMPGASTLRDCKTLESIIKKQAESKRLYAAICASPAVALGPWGLLKGLKATCYPSFMEQLSSSANAVESRVQVDGNVVTSRGPGTVMEYGVALVEQLYGKEKAEEVLGPLVMRPNHGDEYTFAELNSVNWTVTNSPQILVPIANGSEEMEALIIVDVLRRAKAQVVVASVDDSLETVASRNVKLVADVLLEDAAKNAYDLIVLPGGLGGAQAFASSEKLVNMLKKQRESNKPYGAICASPALVLEPHGLLKGKKATAFPAMCNKLSDPSEADNRVIVDGYLITSRGPGTTMEFALAIVEKFLGRNKALELAKGMVFVQP >itb02g22250.t1 pep chromosome:ASM357664v1:2:21436411:21439560:-1 gene:itb02g22250 transcript:itb02g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MCTGSDSGLDPKSEENPNGWDRARGVVLKTLVLIGGALLVRRLTKSTTRWDHAGIVAQSLSGEKFSKQQAARDPDSYFNLRWLSCPAADMVDGSKVLYFEQAFWRTPHKPFRQRFCIVKPCPKEMRCDVEVSTYAIRDAEEYKNFCDRPKDQRPQPEEVIGDIAEHLTTIPLKRCERGKRCLYEGSTPADGFPNTWNGASYCTSELAVLKNNEIHSWDRGFDESGNQVWGVKGGPYEFKPAPASSFDDLSALMLSSPSIEKRIEGSFVIQD >itb09g10370.t1 pep chromosome:ASM357664v1:9:6445112:6446274:1 gene:itb09g10370 transcript:itb09g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDYIVAKTKRARRLGFAKAYEMVTRRQSKPNRDFSVIKPYTSHKSFIPALTVKIQDRKADEASGDRGLLRSDPCGKSSAS >itb06g14220.t1 pep chromosome:ASM357664v1:6:18821597:18828725:1 gene:itb06g14220 transcript:itb06g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILRRQPRLFSVISRNNRRFSSNATADPPKEPIISSSTILADQPPPSDASTPKPPPAGAEKKPWSFLKYSLIAALTGGVATAGYATYAYSLDEVDQKTRDFRAAANYTVGDDAPAFDKIKARVYSSAMTVPAKLVELYLDLRRLAEEQVRDYTEPLSDKLLPDLHPMEQHVITLVLDLNETLVYSDWKRDRGWRTFKRPGVDAFLEHLAQFYEIVVYSDQQSMYVDPVIERLDPKHCIRYRLSRGATKYLNGKHYRDLSMLNRDPSKVIYISGHALESSLQPENCLEIKPWTGETEDTALLDLIPFLEYVGKHRPADIRAVLSSYEGRDVAKEFIERSKEHQRRMQEQKQQGRIWRR >itb10g22160.t1 pep chromosome:ASM357664v1:10:27100745:27107584:-1 gene:itb10g22160 transcript:itb10g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALIHHLRTAKTPNVRQLAAVLLRKKITGHWGKLSPQLRQLVKQSLIDSITVEHSAPVRRASANVVSIIAKYAVPAGEWPDLLPFLFQCSQSPQEDHREVALILFSSLTETIGNSFRPYFTDLQSLLLKCLQDETSSRVRVAALKAVGSFLEFTHDQDEVVKFREFIPSILNVSRQCLSSGDEDVAILAFEIFDELIESPAPLLGDSVKAIVQFSLEVCSSPNLEPNTRHQAIQIISWLGKFKHNSLKKYKLVTPILQVMCPLLAESTNRDEDDDLAPDRAAAEVIDTIAINLPKHVFPPVFEFASLSIQSPNPKFREASVTSLGVISEGCSELMKDKLAPVLQVVLGALRDPEQMVRGAASFAMGQFAEHLQPEIVSHYESVLPCILSALEDVSDEVKEKSYYALAAFCENMGEEILPFLDPLMGKLLNALQNSPRNLQETCMSAIGSVASAAEQAFVPYSQRVLELMKNFMVLTNDEDLRSRARATELVGIVAMSAGRARMESILPPFIEAAISGFSLEFSELREYTHGFFSNVAEILDDGFAQYLPHVVHLAFSSCNLDDGSAVDIDDFDEDENIHGFGGVSSDDEAHDEARVRNISIRTGVLDEKAAATQALGLFALHTKKSYAPYLEETLKIMVKHSSYFHEDVRLQAIIGLKHVLTATHAVFQDHNEGAVKANEVLATVMGIYIKAMAEDDDKEVVAQACMGIADITKDFGYATVEPYMAELVEATLVLLKEQSACQLIESDSEVDDDDTAHDEVLMDAVSDLLPAFAKAMGPQFAPVFSKLFDPLMKFARATRPPQDRTMVVASLAEVAQHMGAPIANYIDVVMPLVLKELASPEPTNRRNAAFCAGELCKNGGESVLKYYGQVLHGLYPLFGESEPDNAVRDNAAGAVARMIMVHPESIPLNQVLPVFLKVLPLKEDQEESMAVYSCICNLVLSSNSQILSLVPELVNIFAQVAISPVETPEVKAHVGRAFSHLFTLYGQQLQPLLGNLPPAHANALAAIAPKS >itb09g22720.t1 pep chromosome:ASM357664v1:9:21370731:21372360:1 gene:itb09g22720 transcript:itb09g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIKDQAESQSSSNRKIKIPYGSFEVHDFHISQTIGIDDKEKKLIPTQFVKLLGKWLGEIATLRRPSGHEWRVHVRTERDGTFFSDGWETFYEDNDLDIGEVVFYTYLGDMHFDVTIFNKDGLEKVWDCGVIQNSNEESDHDKPSTTPGTHL >itb15g10370.t1 pep chromosome:ASM357664v1:15:8183136:8185665:-1 gene:itb15g10370 transcript:itb15g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTYLPFAFSLLLLFTFNPLCNVSAVGVNYGTVGNNLPPPKRVAQLLQSTIIDKVKIYDTNPDILEAFANTGIDLIVAVENSHVANISAAASAADQWFAARISPFIPATSIVAIVVGNEYLTDEKLDQNALVRAMQNLHAVLLARGLDRKIKISTPHSMAVLASSFPPSSSTFAATLLPTMTAIVGFLADTGAPFMINAYPYFAYRDNPGMVNLDYALLGNKTGVQDPKGGIYTNMLDAQIDAVRSAISALGFGNRAVGIAVSESGWPSKGDAGESAATASNARTYNSRLIQRAQSSKGTPMRPKEPIEIFVFALFNENKKPGGASERNFGMFNGDGSKAYDVDLSCEFCGGGASNKMGFGGEMGTGMMSRGPSVWCVAKPHAEEKVIQQVLDFCCGAGGVDCREIYENGDCYYPDKIHAHASYAMNAYYQMHGRNYWNCDFKATGLVTFSDPSYGKCRYPQQ >itb03g08700.t2 pep chromosome:ASM357664v1:3:6529604:6532164:1 gene:itb03g08700 transcript:itb03g08700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSDPKAAVISGGAMGEVEKVFRKFDSNGDGKISLSELGAILNALGTKTSAEEVKRIMLEVDTDGDGFIDMEEFAAFHCSSDGGSDSEDKDLRDAFNLYDKDKNGKISASELHSVMKSLGEKCSLKDCRRMISSVDVDGDGCVNFDEFKKMMSRP >itb03g08700.t3 pep chromosome:ASM357664v1:3:6529604:6532149:1 gene:itb03g08700 transcript:itb03g08700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSDPKAAVISGGAMGEVEKVFRKFDSNGDGKISLSELGAILNALGTKTSAEEVKRIMLEVDTDGDGFIDMEEFAAFHCSSDGGSDSEDKDLRDAFNLYDKDKNGKISASELHSVMKSLGEKCSLKDCRRMISSVDVDGDGCVNFDEFKKMMSRP >itb03g08700.t1 pep chromosome:ASM357664v1:3:6529604:6532164:1 gene:itb03g08700 transcript:itb03g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSDPKAAVISGGAMGEVEKVFRKFDSNGDGKISLSELGAILNALGTKTSAEEVKRIMLEVDTDGDGFIDMEEFAAFHCSSDGGSDSEDKDLRDAFNLYDKDKNGKISASELHSVMKSLGEKCSLKDCRRMISSVDVDGDGCVNFDEFKKMMSRP >itb12g02890.t2 pep chromosome:ASM357664v1:12:1890496:1892971:1 gene:itb12g02890 transcript:itb12g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQPEREDKVSLELTEEILQSMEVGVTFRDYNGRISSMDFHKTSPYLVTASDDESIRLYDVASATCLKTINSKKYGVDLVSFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVASLSMCSRKECFISGSLDRTVMLWDQRAEKCQGLLRTQGRPATAYDEQGLVFAVAFGGYIRMFDSRKYEKGPFETFSVGGDVSDANVVKFSSDGRLMLLTTSDGKIHVLDSFRGTLVAVMYVASAN >itb12g02890.t1 pep chromosome:ASM357664v1:12:1890496:1894578:1 gene:itb12g02890 transcript:itb12g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQPEREDKVSLELTEEILQSMEVGVTFRDYNGRISSMDFHKTSPYLVTASDDESIRLYDVASATCLKTINSKKYGVDLVSFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVASLSMCSRKECFISGSLDRTVMLWDQRAEKCQGLLRTQGRPATAYDEQGLVFAVAFGGYIRMFDSRKYEKGPFETFSVGGDVSDANVVKFSSDGRLMLLTTSDGKIHVLDSFRGTLLSTYNVKPVSSDSTLDAVFSPEGMFVISGSGDGSVYAWSVRSGKEVACWMSTDSEPPVIRWAPGSLMYVTGSSELSFWVPDLSKLAAYVGRK >itb02g11310.t1 pep chromosome:ASM357664v1:2:7546402:7548143:-1 gene:itb02g11310 transcript:itb02g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGNSSGRRSVTYHPSVWGDYFLAYDSQLTEIDPLEEREVQQLKEEVRKAFIAAPPASLEKLELIDKIQRLGVDYHFENEIEASIRHIFENYDDCNKEDDENNLYIVALRFRLLRQEGHHISCGVFEKFLDKNGKFKDSLANDVEGMLSLYEAAYLGVHGETILDEALTFTTGHLESMLPGLNKLAAAQVTHALKMPLRRTLPRVGAREYMPIYQQDQTHNEVLLRFAKLDFNLLQKVHQRELSGITRWWKDLDVPKTLPFARDRLAECYFWILGIYFEPQYHFSRRITTQIISLCSILDDLYDVYGTHDELQLFTNAIQRYGVSVRV >itb15g19580.t1 pep chromosome:ASM357664v1:15:21935135:21941125:-1 gene:itb15g19580 transcript:itb15g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMKNPALLPLTTADSAPADRSTEEKLFKGSAMTKRGAYAAISYMSCAVLLVLFNKAALSSYNFPSASVITLCQMICSCCFLYMLRRWKLISFHLGEAPTASDNSKTFVPLKTVIDTLPLAVTYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMIVEYILAKQRYTRPVVGSVALIVFGAFVAGSRDLSFDLYGYLLVFLANVTTAIYLATIARIGKSSGLNSFGLMWCNGIVCGPALLVWTFIRGDLMMTFNFPSLLSPGFLVVMLLSCILAFFLNYSIFLNTTLNSALTQTICGNLKDLFTISLGWVIFGGLPFDMLNVIGQLLGFIGSGLYAYYKLIGK >itb02g01130.t1 pep chromosome:ASM357664v1:2:632826:633518:-1 gene:itb02g01130 transcript:itb02g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNFSLRFVLMAVFVSVIFISIMAANPSQAARVSRELLKANTTAAAAMYCLDDCVGCCEPPPPGSCCLKCGC >itb04g28850.t1 pep chromosome:ASM357664v1:4:32436995:32439907:1 gene:itb04g28850 transcript:itb04g28850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTESSEIRMAKRELSSTLKNLKFMQRAAQKEEKVKKEEDNLPDSKFPASSAPKRCVVIMEGDPHPGARKGRMSFQNFNPSIDKLNEDVPNPSQSEASATSSGRTSETNCNRENGFSQDGPENSKQDDSSTDAKGDYKRKQDDVERDVHFPNKSHKNVHGHEGPSRRSNQKFQKQPKHEKLDWGVLRPPKHQNKKH >itb04g15470.t1 pep chromosome:ASM357664v1:4:16680794:16681559:1 gene:itb04g15470 transcript:itb04g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHFPTTPSFTLTTPRKQVSLPAIRQSSRLPHTTPGRRLTCIPRAYKVLVEHEGNTTELEVEPDESILSKALDSGLSVPHDCKLGVCMTCPAKLLSGKVDQSEGMLSDDVVESGYALLCAAYPRSDCHIRIIPEEELLSLQLATAND >itb12g15400.t1 pep chromosome:ASM357664v1:12:15700646:15706640:1 gene:itb12g15400 transcript:itb12g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLLHGTLHVCVYEVDKIKTDGLHNFFHKIVEGAERVVGFNKTASRLYATIDLEKARVGRTRLLDEHKNPRWYESFHIYCAHMASNVVFSVKVDNPIGAELIGRAYMPAERLLCGEEVDQWLPLLDNDRNPIHGHSKIHVKLHFFDVVRERCWGRGVKAARFPGVPYTFFSQRRGCKVTLYQDAHVPDKFIPRIPLAGGRFYEPHRCWEDIFDAITNAKHLIYITGWSVYTEITLVRDPRRPKPGGDATLGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETGNYFKDTEVNCVLCPRNPDDGRSIIQNIEIGTMFTHHQKIVVVDGEMPNGDTERRRIVSFVGGIDLCDGRYDSPFHPLFRTLNTAHHEDFHQPNFEGASINKGGPREPWHDIHSRLEGPAAWDVLFNFEQRWRKQGGKDLLLDLRDLESIIIPPSPVTFPDDLETWNVQVFRSIDGGAAFGFPDAPEEAAKSGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFSWYSDDIKDEDIEALHLVPKELSLKIVSKIEAGERFTVYVVVPMWPEGYPESGSVQAILDWQRRTMQMMYTDIVQALKAKGIMANPKDYLSFFCLGNRETKKGDEYEPSEKPDNDTDYSRAQEARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLSVRQPARGQVHGFRMALWYEHLGMLDNCFVHPESMECVHKVNQIAENNWNLYSGETLERDMPAHLLCYPIGVTENGQVTELPGFQNFPDTKAPVLGTKSNFLPPILTT >itb09g09230.t1 pep chromosome:ASM357664v1:9:5529484:5531073:1 gene:itb09g09230 transcript:itb09g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMCFAVVAFIFSLICFPAACSSIHAHHQDFVHCLSSKLRNNATSQVLYTPSSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGVSFVSSLPFAILDLIKFRSIEVNVGEKSAWVQTGATLGELYYSIANKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGGGASFGIILAWKLELVPVPETVTVFNISKTLEQNLTNLLYKWQFFANKADRNLYLRVVLQNTNTTGERTVEGLFNAQYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQRSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLGYATGWDEVGQVAAQKHLSWIRKLYAYLTPYVSNNPRESYSNYRDFDLGQNNLVGTTSLDQASSWGYKYFMNNFYRLAKVKALVDPDNFFRNEQSIIPLSYPL >itb09g03910.t1 pep chromosome:ASM357664v1:9:2146055:2151715:1 gene:itb09g03910 transcript:itb09g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLSNSIPNFPLFKPAHTPPKLFHPFSPLSRTSTAGRWSRRRRRKPPQIIFFCKPSSQDLAVISTHEHADGSLIFRFGDPSELAKEEELVASKPEKVGSEDVEEFSVVKVLDGDCEREVIVKNVERKKVRADATVTKISDSVASRSEGSSLLERGIESDDDCFGSSVHQTGLLDCSASEVSTKNDVPLDEIGLEKDGGRVTIELTDTVEVRAEQSDRELSEKSASEVCTTSVVALEEKDWGEASIPLTDNVEVQVEEFDTGSSGESASEVSTENVVPLEKDGGKESVPLIDNVEVQAEQGDKESNVKSAPELSTENVVPLEEIVVEKSDGEALISLTDNGSTSVEVPTDQGNRESSEISASLFSTEKIVTQEEIVIEKDESEVSVPLTDYYSASLEVQAEQGDRESSEKLLEDSVAELQDSSGSEVTKENAIPVDGKFSEEHEAESSVSLRDNDGTSAQVQAAESGGESAIQSISSDVDSSMNVTVNYVDQESSEDDDSFKHFSTDTVEQSSLKDSIEDSGENQVIHLSKEAEDSQEDEVVELIPTSPPTEAEPILDEEVSQIQELHDPDKTEIPLLLNNNSPNLLLQVAEQTEDVESSVPTEASGCGMVEVESTVASITSKGIQTAQLVLSSGAALLPHPSKALTGGEDAYFVTNQNWLGIADGVVQWSLEGIYPGLYSRELMENCEKVILQSGSDSGTDPKIVLKLSVAKVESPGSSTALIAHFDGQAFHVANIGDSGFLIIRNSVIYKKSSPMLHEFNFPMQIGSGDDPSQIVEEYRIELDEGDIVVTGTDGLFDNLYAQEIASIITKSLEANETPKEIAEILATRAQEVGGAASGRTPFSDAAQAAGYVGQTGGKLDDVAVIVSLVQNPTH >itb10g16420.t3 pep chromosome:ASM357664v1:10:22658942:22663697:1 gene:itb10g16420 transcript:itb10g16420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESSHQERSNYDEILMQHRTNFTDSLKELKNLRKQLYSAAEYFEVSYGKDEHKQELVDTMKVYVSKAVISTVDHLGSVAAKLDTLLDEKVSEFSATKLRFSCVEQRSRSCREFIDRNGVEQQSFVTVLPKYLKHYINPGSDEDTESYLGKSNMRTPMMTILYPGRDDMHQYRHQQGEGCRITLHSHNLLQILLHSHLPGLNLAKT >itb10g16420.t4 pep chromosome:ASM357664v1:10:22658942:22663697:1 gene:itb10g16420 transcript:itb10g16420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESSHQERSNYDEILMQHRTNFTDSLKELKNLRKQLYSAAEYFEVSYGKDEHKQELVDTMKVYVSKAVISTVDHLGSVAAKLDTLLDEKVSEFSATKLRFSCVEQRSRSCREFIDRNGVEQQSFVTVLPKYLKHYINPGSDEDTESYLGKSNMRTPMMTILYPGRDDMHQYRHQQGFLATTTKEHPTLPRYIVDEKTMSMSFILICLKLINASHAKHSENKCCRRRLQNNPTFTQPSPNPPAFSFTRAESSKDLGMYIDIIYCY >itb10g16420.t2 pep chromosome:ASM357664v1:10:22658942:22663326:1 gene:itb10g16420 transcript:itb10g16420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESSHQERSNYDEILMQHRTNFTDSLKELKNLRKQLYSAAEYFEVSYGKDEHKQELVDTMKVYVSKAVISTVDHLGSVAAKLDTLLDEKVSEFSATKLRFSCVEQRSRSCREFIDRNGVEQQSFVTVLPKYLKHYINPGSDEDTESYLGKSNMRTPMMTILYPGRDDMHQYRHQQGFLATTTKEHPTLPRRRLQNNPTFTQPSPNPPAFSFTRAESSKDLEHNK >itb10g16420.t1 pep chromosome:ASM357664v1:10:22658942:22663697:1 gene:itb10g16420 transcript:itb10g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESSHQERSNYDEILMQHRTNFTDSLKELKNLRKQLYSAAEYFEVSYGKDEHKQELVDTMKVYVSKAVISTVDHLGSVAAKLDTLLDEKVSEFSATKLRFSCVEQRSRSCREFIDRNGVEQQSFVTVLPKYLKHYINPGSDEDTESYLGKSNMRTPMMTILYPGRDDMHQYRHQQGFLATTTKEHPTLPRRRLQNNPTFTQPSPNPPAFSFTRAESSKDLDSKGKRSVSPLRFLLKRSESYIPKSPPFSTLNLQQCPPQPQRTISLPTKPAKATSLQPNKTKRTLKSLLSLQFTD >itb10g00920.t1 pep chromosome:ASM357664v1:10:663967:669751:1 gene:itb10g00920 transcript:itb10g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRRRHQHHRSRSLIPVIFFVSLILLFLFLFLALLAPPLTDSNRLHYRRRDISAEEGGSNVSGVPVFHIPTSGGIHDRDLWKSKNAKFFYGCSNASSKFANAKTRTNPTWYLSIATSGGLNQQRTGIVDAVAVARILNATLVVPALDKNSFWKDSSDFSDIFDVDWFISYLANDVVIIKELPLRRGKTWIPNNVRVPRKCSEKYYINRFVPILNKKHAVRIPKFDYRLSSSLDTELQKLRCRVNYHALKFVDPILKIGKELVQRMRMKSKHYIALHLRFEPDMLAFSGCYYGGGDEERMAFGKIRKRWKTLHRSNPDKGRRQGRCPLTPEEVGLMLRALGYGNDVHIYVASGEIYGGGDTLAPLKELFPNFHTKDTLATAEELQSFSGFSARMAALDFIVCDESDVFVTNNNGNMAKILSGRRRYFGHKPTIRPNGKKLWRLFLGRDNMTWEEFSSQVNNFQRGFMGDPMEVKSGRGFHEYPSPCICSVSDAKEKLELGPQETRDGGNIQSEKEDDDQNVDDLVDMSELEQEEDLNESLMSEESELDEMLSD >itb09g18260.t1 pep chromosome:ASM357664v1:9:13973988:13974280:-1 gene:itb09g18260 transcript:itb09g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKGQDAKEVATSITAIANEKIKAEKEANAGGKKKTCGKKKQLHVDQAYNDIVVNAYDGYDDEYDFIM >itb06g14900.t1 pep chromosome:ASM357664v1:6:19318697:19321035:-1 gene:itb06g14900 transcript:itb06g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLVLVCGGIVGFAKKGSIASLGGGLGTGFLLILAGYLSLQAFHKRKNSYFALIVETVCAAVLTWVMGQRYMATSKIMPAGVVAGISALMTGFYLFKIATGGNHFPSKNE >itb15g21340.t1 pep chromosome:ASM357664v1:15:24014310:24020799:-1 gene:itb15g21340 transcript:itb15g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLNTNEQDVEQSMEGALSCSATETTCANRKEKRKVAKKDKRKQKRRELAEKLRIEEDACLNDPEEQRRVQLEEEAENQRLERERKEFEERERLFLEELARRKAEEEEEERIRAIEEEERLKQNQAACENEENDDEEWEYVEDGPPEIIWQGNEIIVKKNRVRVKKKDVEHLAVKEDPSRPTSNPLPPQSEAFDEYNNASTLSAQGLLENVAQQTPNFGTEQDKAHCPFHIKTGACRFGSHCSRIHFYPDKSCTLLVKNXSLHVAQCTDEEVEHSYEEFYEDVHTEFLKFGEIVNFKVCRNSSSHLRGNVYVQYKSLDSAVLAYQSINGRYFAGKQCTDEEVEHSYEEFYEDVHTEFLKFGEIVNFKVCRNSSSHLRGNVYVQYKSLDSAVLAYQSINGRYFAGKQVAICGEFMKSRLKACSRGTACNFIHCFRNPGGDYEWADWDKPPPNYWIKTMAALFGYSDESVYDRQFEREDSEHIRHHSGRFQSRESRRSRSREDRSLTIHKERDVRRREQRKQPKGVDDKRCEEERNSRTNQRHKNSSYDDSESDRYWSAKNRDGLDHCDSDRKRSRHSVSERSESQDRRDDGKSRSSSTDSDSDLFGRKREGDAELGHTTKNKRHVKKAPEDLDDLAYSKSRAYSTDRNRESHHNARGRSSSKFQNTVSVSSDDHWDNKTKSPRTNANGDQTAGVKDSQRANTRKSSRCLNEVSLDNNDVQEYKRSDEDHSGYAGSISQSPRYHTGEDIDERGRWEPGKEIAKDRNVESNKRKASDYSHKERKKKHRSHHSRSDKGDS >itb04g25090.t1 pep chromosome:ASM357664v1:4:29743995:29747876:1 gene:itb04g25090 transcript:itb04g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFKHQTLHLLKKYQNSSCSSLSSQAAMFPSDQELSVSGLNPMLLHSAIDEQPQKMKKKRNLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKENRKRVYVCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKFYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANSILLMHPTSTASLTNGAPPNTAQMQPSFHLNPSSLFPNAAVQQHHQPPQRHFANPPSPVMSGGQHISLSSPWDAPPSQNPNHHPLPMIKTEESMGLPPYFQEPPPPPLMAASGSSAVLSATALLQKAASIGATVGHVNSSMAQLDRGGTVGHVNMKMAPQDYLGFATWQKGDPLTRDFLGLTADHESSSARDHDHHRLLSYAAASGGGGGGVDELFPSSSTNNSMYNERDNSLFKHHQLSFGFAAAETASETWGNC >itb12g27090.t1 pep chromosome:ASM357664v1:12:27680570:27682369:1 gene:itb12g27090 transcript:itb12g27090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKVKAKEIEVEGPRGKLVRNFKHLNLDFQLITDGETGKRKLKVDAWFGSRKTTAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNANKSIEIRNFLGEKKVRKVDMLEGVSVVRSEKVKDELVLDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTIVEEE >itb09g14390.t1 pep chromosome:ASM357664v1:9:9656358:9656738:-1 gene:itb09g14390 transcript:itb09g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKISSMKKLAKKACSSQQHHECLLIKQGDDGEGRESPSRRGGTPTGAFAVYVGEERRRFVVPTSYLSHPLFKILLEKAYDEFGFAQSGGLLLPCSVKTFQQVVHAVECCNGQFHFGELVHQFH >itb03g14180.t1 pep chromosome:ASM357664v1:3:14024052:14025104:1 gene:itb03g14180 transcript:itb03g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAEVSALEFIKHHLFDDVFPETFCDNQSPSSSESCVSELKNDEFFTESDLFEIESKPQVIISPPRRFNGRKPNLNIAVPQPQVKVKVQVVQDSGDANRHYRGVRQRPWGKFAAEIRDPNKKGARVWLGTFDTAVEAAKAYDRAAFKLRGSKAILNFPLEVENFRQGNEPPPPPVESGRKRRRDSDADDEVVITKEVKTELSEESDRLYAAVAATPLTPSSWMTVWDYNDINGGVFEMPPLSPLSPNLFNYGIKV >itb02g23970.t1 pep chromosome:ASM357664v1:2:24303010:24307002:1 gene:itb02g23970 transcript:itb02g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAASPAGFAEQELIKQLVDSGNELLQNPPASKEELLGKLERLGILLANVYQEPSGSFHEALQPSIKALFADGLDKKELAPNSASPRDVGTAQNDQVNQNNVDVRNDPSHENRTSAFAAHKSLSPPTRRRGRKPNSLMKPEEGYDHTWILKPTASQSLNTIEDFQTRERRAEEENKPSDVCVSRFYEDSIASFDYFKKKHKVNYDDGDIEDLDLSIERWELIRDVKSAVDEQETVAGVADLSEIKLDHVTNSDSSARSTCKARDGAELPVLKREVEQRKVSRSNSAPSTSERVQFSCHYCVSKI >itb01g32320.t1 pep chromosome:ASM357664v1:1:35844128:35846103:1 gene:itb01g32320 transcript:itb01g32320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYESGFLEELLSLRSDDSWETAESCFPVGMNIVHNDDEVYCNNYNVISGNIIDLDYVGKNPSPLPSSSITASFDHGCSNFPPFDRYTLMSCYSFDGEFYTPLPFGDELSPPLTADSSNTKIDTPPPPPAAAFRSGQEDLSAILDEVTNCFHHQQNLEAMEIGCKVEAVHHQSCGGEGPSDGRMWPEKRSKVRNPHGQPSKNLMAERRRRKRLNDRLSMLRSVVPKISRMDRTSILGDTIDYMKELLEKIKNLQGEMEMGQNQLSQNTIFKDVKPNEMLVRNSPKFDVQRRGESDTKIEICCAGKPSLLLSTVNTLESFGLEIQQCVISCFNEFAMQASCTEAMEHREILSEEDIKQQLFKNAGYGGRCL >itb07g21310.t1 pep chromosome:ASM357664v1:7:25693575:25704217:1 gene:itb07g21310 transcript:itb07g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSSKRPLPSPSSPLPNGKRSKATESLSSTDDMLGEKTPGAVNESGPESAEQEIRSADLDGTSALKSPDAPVPEKSPEAPLGGEPLVSPMILGDSAIDVDKVKGNGSALNRGKKRQLKSNVATAWGKLLSQYPQIPHVPLDQSTFTVGQGRQCDLCVDDPSVSKSLCNLKHIQREKGSSITLLEISGKKGCVQVNGKIYPKNSTVPLNGGDEVIFGSSGKHAYIFQKFTNEDISAASMPPSVSILEAHSGPVKGVNFEARSGDPSTVAVASTLASLSNLKKELLLLPPSVCNDNDIKQGPEMPALPAASGEPDKHDLDCDMKDVSDHNDAPLDEKSGAISPDSGNDNLNLENGAIDSYDGEIGKVTGAPQELRPLIHMLAGSSTPEFDLSGNISRIIDQRRELLKDFDPLISMSTRRQAFKDGLQQTILDSNNIEVSFEDFPYYLSEITKNVLIASTYIHLKCNKFVKCASELPTVCPRILLSGPAGSEIYQETLAKALAKYFAARLLVVDSLVLPGGSAKELDPVKEVSKPERTSVFTKRAAQAAVLRLNKKPASSVEADITGGSAISSHAQTKQEASTASSKNTFKAGDRVKYVGPLPTGFSLQTPARGPAYGYKGKVLLAFEENGFSKIGVRFDRSIPEGNDLGGLCEEDHGFFCAADFLRLDNSSIDDLDKLAINELFEVVSQECKSSPLILFVKDIEKSMVGNPEAFAAFKLKLEKLPENVVVIASYTQTDNRKEKSHPGGFLFTKFGSNQTALLDLAFPDNFGRLHDRSKETPKAIKQLSRLFPNKVMIQIPQDETLLSDWKQQLDRDIETMKSQSNAVNIRNVLNRVEIDCPDIETLCIKDTALTNESVEKIIGWALSHHFMHSSESSTKEGKLVISSESIRYGLSVLQGVQNETKSVKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVVFVDEVDSMLGRRESPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRIILAKEELSPNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILEKEKKEKALAISENRPVPALHNSSDIRPLNMDDLRYAHEQVCASVSSESTNMTELLQWNELYGEGGSRKKKALSYFM >itb01g09930.t1 pep chromosome:ASM357664v1:1:8222329:8222625:-1 gene:itb01g09930 transcript:itb01g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLDFLRQTGSYMMVNCYPFFAYESNSDVIPLDYALFRENPGVVDARNSLRYFNLFDAQIDAVFAAMTALKYDDIKLVIAETGWASKGDSNEDLVK >itb15g13380.t1 pep chromosome:ASM357664v1:15:11381693:11382097:1 gene:itb15g13380 transcript:itb15g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYIPDFIQPEGWLKWNLDFGLKTLFYSEFNNRGPGADKSKRVTWHGIKELPANQIKRFTPGKFITGNSWIKHSGVPYNPVFIFPPPKKDKSIKYSHVDADDLMDIGPKEKEAYVSRPLPPPPLKVPPAGAPV >itb15g13380.t2 pep chromosome:ASM357664v1:15:11380664:11382097:1 gene:itb15g13380 transcript:itb15g13380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMENWLADLKTWISGALTYQETCLTGFEEIPGHEEGLVREVLRESMELTSNALAIVTEMSSLMSTTDDAEAPSPITEVISINERRLLSEHLFPEWVSSGKRRLLKLDLEEIEPDLVVAKDGSGNHTSINDALLSIPRKSDDTFVVYIKEGVYEEQVTFYRNLTNLVVVGDGPTKTKITGWLNFIDGVSTFHTATVVVLGDFFIAKDIWFENSAGPEKHQAVALRVGADKTIFYNCKMDGYQDTVYAHTYRQFYRNCEISGTIDFIFGDSAAVFQNCSIVVRKPMENQQNIVTAQGRKDPHQPTGLVLQNCTFEADPKYGPVRFTLKSYLGRPWKEYSRTIIMESYIPDFIQPEGWLKWNLDFGLKTLFYSEFNNRGPGADKSKRVTWHGIKELPANQIKRFTPGKFITGNSWIKHSGVPYNPVFIFPPPKKDKSIKYSHVDADDLMDIGPKEKEAYVSRPLPPPPLKVPPAGAPV >itb14g05090.t1 pep chromosome:ASM357664v1:14:4515527:4517560:1 gene:itb14g05090 transcript:itb14g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLNLTVKVLSAKTVVPKGNLNRPMRLAECLVGDETGIIIFTARHEQVDLMTEGATLTLRNAKIDMFKGSMRLAVDRWGRIEVTEPASFSVKEDNNLSLIEFEQVNVFG >itb14g05090.t2 pep chromosome:ASM357664v1:14:4515487:4517583:1 gene:itb14g05090 transcript:itb14g05090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKKNEPVFVKVEKLRPMASGLNLTVKVLSAKTVVPKGNLNRPMRLAECLVGDETGIIIFTARHEQVDLMTEGATLTLRNAKIDMFKGSMRLAVDRWGRIEVTEPASFSVKEDNNLSLIEFEQVNVFG >itb14g05090.t3 pep chromosome:ASM357664v1:14:4515694:4517496:1 gene:itb14g05090 transcript:itb14g05090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKKNEPVFVKVEKLRPMASGLNLTVKVLSAKTVVPKGNLNRPMRLAECLVGDETGIIIFTARHEQVDLMTEGATLTLRNAKIDMFKGSMRLAVDRWGRIEVTEPASFSVKEDNNLSLIEFEQVNVFG >itb02g03360.t1 pep chromosome:ASM357664v1:2:1928866:1935417:1 gene:itb02g03360 transcript:itb02g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MANSKKSKKSKVPEDIDNLQNDVASFASSLGLSSLPSSTSGFDDSDFRKPPSSKPLKKPSPKQNSSNQKETDKSNSHNKKFKQNDKPQPRKTPLDYDNNSWKTFDKYKGLPKLPLLKASALGVWYTDAAELEEKVVGNKKVEFGSVEEWKALVQQKKELGERLMAQFAADYESSRGQSGDIKMLAATQRSGTAADKVSAFSVMVADNTVANMRSLDALLGMVTAKVGKRHALTGYEALRELFISSLLPDRKLKTLFQRPLNHIPDTKDGNSLLLLWHWEECLKQRYERFVAALEEASRDVLDILKDKALKTVYMLLRSKSEQERRLLSALVNKLGDPKNKVASNADYHLSKLLGEHPNMKAVVIDEVDNFLFRPHLGLRAKYHAINFLSQIRLSHRGDGPKIAKRLIEVYFALFKVLISEAGGRRKDKKNDGHNLEHSSNDGELNNTSESHVEMDSRLLSALLTGVNRAFPYVSSDEADDIVQVQTPVLFQLVHSRNFNVGVQALMLLDKISSKNQVVSDRFYRALYSKLLLPAAMNSSKEEMFIGLLLRAMKNDINLKRVAAFAKRLLQVAIQQPPQYACGCLFLLSEVLKERPPLWSMMLQSESVDEDLEHFEDVKEDDENQASPATTKTVNVEGVTHSNDELNNGSSSLDEGGNLSSDSEEDVQLDDSLVESDSDEPKKSTPNSNSNKLEPQISSGSLSMPGGYNLQHREPSFCNADRVSWWELMVLASHAHPSVATMARTLLSGATIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGASQIEPAKKLDMNDQLFGEQILSLAETDVPPEDLVFHKFYMNKMKSSKKLKKKKKRNEDDVADELLAGDASDDDGEEEDFAADESDNEEIENILDSTDPALEEDGDYDYDDLDKVADESDDELIGNLSEDEGNIPSDISDGEPDIAEDSDDIAQSDDQEDSFDQRKRKQKSLKRTAASPFANLEDFEHLLEEESPAGKTTPKKKTKKNKKSSR >itb04g12950.t1 pep chromosome:ASM357664v1:4:12853826:12861948:-1 gene:itb04g12950 transcript:itb04g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 11 [Source:Projected from Arabidopsis thaliana (AT2G43210) UniProtKB/Swiss-Prot;Acc:Q9ZW74] MEQSLSSLAFKGSIGEAITEAKQQKKLFVVYISGDNKESNHLETSTWLDSQVAGSLSKYCILLHIVEGSSDAMNFSALYPQKAAPCITVIGYNGIQLWQHEGFVAADVLASSLEKAWLSLHIQETLLTAALASKPELSPGTLNSASPEQGSSSRENVPPPPSSDIEAEAVVPGPYMDSQPKVKEAESLEARPSMDSQTKVKEAESLEARPSMDSQTKVKDMNSIPVDTVSHSLASTVQSGSGESNNSAVPVIAKVEKSFDAAEGTGNFKDGHPILIEGSHLSDQRPPPNNEVSNNGGSKATETEVEEVNVSESSSRTSTDVHLNIKLPDGSSLQVKLSVTDTLRAVKDHIDRNQSIGFSSYDLAVPYPRKVFSDQDLDKTLSELNLFNRQALIVVLHHKGSSSLRDQNILANNTGATSGNSEGYFSLVRRLVSYVNPFSYLGGGASSSDAVEDSQSGTLQYGSNPSLRNNVAGNGRPYGVNSSNDYSPGTSSSNVKNRKQPSSRFGSNIHTLKHDDDSQFRDGNAFWNGNSTQFGGGGNNDSK >itb09g30680.t2 pep chromosome:ASM357664v1:9:31305089:31308775:1 gene:itb09g30680 transcript:itb09g30680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPTPSGFKPLSNPNIAVQSNVGGGGAVSSAFQVENPSTNFARNIDIGMTSNATPGDPVKKKRGRPRKYGPDGSNMSLALSSMSSRPSPGSLTPGPKRSKGRPRGTGWKQQLAPLGDWMNSSAGLAFTPHVIHIGIGEDVAAKILAFAEQRPRALCILSANGTVSAVTLRPPASSGATVTYEVVACSFVHGNTNAKNKTENSARDDMDSAIRSAEKSQTPVITTPIQNRAPNMAVWPSSSSRTDMRSSQKDIDLTRG >itb09g30680.t4 pep chromosome:ASM357664v1:9:31305089:31306167:1 gene:itb09g30680 transcript:itb09g30680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPTPSGFKPLSNPNIAVQSNVGGGGAVSSAFQVENPSTNFARNIDIGMTSNATPGDPVKKKRGRPRKYGPDGSNMSLALSSMSSRPSPGSLTPGPKRSKGRPRGTGWKQQLAPLGDWMNSSAGLAFTPHVIHIGIGEVCDILFVLHN >itb09g30680.t1 pep chromosome:ASM357664v1:9:31305089:31308775:1 gene:itb09g30680 transcript:itb09g30680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPTPSGFKPLSNPNIAVQSNVGGGGAVSSAFQVENPSTNFARNIDIGMTSNATPGDPVKKKRGRPRKYGPDGSNMSLALSSMSSRPSPGSLTPGPKRSKGRPRGTGWKQQLAPLGDWMNSSAGLAFTPHVIHIGIGEDVAAKILAFAEQRPRALCILSANGTVSAVTLRPPASSGATVTYEGRFDILRMSGSYLVAESGGPRNRIGGLSISVSSPDGHVLGGAIGGQLMAASSVQVVACSFVHGNTNAKNKTENSARDDMDSAIRSAEKSQTPVITTPIQNRAPNMAVWPSSSSRTDMRSSQKDIDLTRG >itb09g30680.t3 pep chromosome:ASM357664v1:9:31305089:31306836:1 gene:itb09g30680 transcript:itb09g30680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPTPSGFKPLSNPNIAVQSNVGGGGAVSSAFQVENPSTNFARNIDIGMTSNATPGDPVKKKRGRPRKYGPDGSNMSLALSSMSSRPSPGSLTPGPKRSKGRPRGTGWKQQLAPLGDWMNSSAGLAFTPHVIHIGIGEDVAAKILAFAEQRPRALCILSANGTVSAVTLRPPASSGATVTYEV >itb10g21750.t1 pep chromosome:ASM357664v1:10:26816647:26819563:1 gene:itb10g21750 transcript:itb10g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSSQLPDAKIVKEGQVIIRARNLRASGNLIPAKSFRARKFYYSNEENGLLFVPKGQAQNPQAQMLSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPSKSLGAEKDNLDIVQHDWALPKFEQRAEAVLKKLVS >itb01g19090.t1 pep chromosome:ASM357664v1:1:25096741:25100901:1 gene:itb01g19090 transcript:itb01g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGRKFGRGPRELGGSIDLLNYYKLERHHEFFCKRSLPSSISKTQYLQNVVGETEIRKGEGMELDQLFQKSTSVGCRHKPLHPFQLDVLMDAFQLREATSTDLPYTKKRASDGSNLKGEGREEKKHKKHKHKNRESKKDKHKNIETKMGSNMQSHPRFDASRDKDKEYKRTGDHSFGSDHFLKQQRVSKISTFLPL >itb09g26490.t1 pep chromosome:ASM357664v1:9:27113945:27114839:1 gene:itb09g26490 transcript:itb09g26490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSGSAGPSPPPQVAAGPVYEDFRPAFERKCEEEVEKLLVYLPDFRKENIKVSIEGKNTLRIRGEHLVVGNICNRFQQDFPAPEDCDMTKIRAWFQSGILTITMPTKKLVPHEAKETQKTPTTPPEETQPPPEESQAPPTTSQNTSEGHEKPDLHKPPVEQDSPPKFTSPMHSESEEKDNYEDKAKQKEKDEGQTTSSAGNYMKAAKTLTEPYEKRQLLMSAGVAVLAIVALGTAYISLQCRDYLG >itb11g19550.t1 pep chromosome:ASM357664v1:11:20327940:20328458:-1 gene:itb11g19550 transcript:itb11g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDAYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYIIAGGEKGNPGSQTLNNWFKIVKTTNAYKLMSCPDVCYYCSYYCRDVGISVEAGQRRLVLSDTPLEINFRKA >itb04g20430.t1 pep chromosome:ASM357664v1:4:25133241:25135326:-1 gene:itb04g20430 transcript:itb04g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSIFFIAILLIQGFGEALLMDDFNNSVTKMNEDSRVGALFKKSHHPKINCGHACARRCRKSSRKNVCSRACKTCCRRCNCVPPGTYGNKHLCPCYARLKTHGNKLKCP >itb03g01170.t3 pep chromosome:ASM357664v1:3:612522:625724:1 gene:itb03g01170 transcript:itb03g01170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILDRLQSFGEFEVVHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAKWRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYACVNREVPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSSTIPPTLPWKVNEPVQPSEVLTRQGSGLIGTFGQCEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRALVPRTRPGRESDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKPNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNVYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNQIVTSGSRTTHNNGSSVQPWMVDGAGVPSNAHELLHELVKLTKKVTEQVQLLAKDEDEELAETSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNARKERFDITQIPDVYDSSKYDLLHNSHLNLEGLDELFNVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTTEEAASVAELKNNQDQQSTVSKNGKEETEHHLKPHARSKEETEYHLKPHARTEEPRRTSFTSEKSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCDNALERLFRTKELDYMSYIVLRMFENTEVNLEDPKRFRIEMTFSRGADLSPLENQDPEATSWHQEHTLPIMGPERLQELGSYLTLEKVEKMIRPFAMPAEDFPPPSVPQGFTGYFSKSAAVLERLVNLWPFHKNGSTNGK >itb03g01170.t6 pep chromosome:ASM357664v1:3:612865:625724:1 gene:itb03g01170 transcript:itb03g01170.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILDRLQSFGEFEVVHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAKWRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYACVNREVPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSSTIPPTLPWKVNEPVQPSEVLTRQGSGLIGTFGQCEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRALVPRTRPGRESDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKPNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNVYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNQIVTSGSRTTHNNGSSVQPWMVDGAGVPSNAHELLHELVKLTKKVTEQVQLLAKDEDEELAETSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNARKERFDITQIPDVYDSSKYDLLHNSHLNLEGLDELFNVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTTEEAASVAELKNNQDQQSTVSKNGKEETEHHLKPHARSKEETEYHLKPHARTEEPRRTSFTSEKSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCDNALERLFRTKELDYMSYIVLRMFENTEVNLEDPKRFRIEMTFSRGADLSPLENQDPEATSWHQEHTLPIMGPERLQELGSYLTLEKVEKMIRPFAMPAEDFPPPSVPQGFTGYFSKSAAVLERLVNLWPFHKNGSTNGK >itb03g01170.t1 pep chromosome:ASM357664v1:3:612522:625836:1 gene:itb03g01170 transcript:itb03g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDNVGKKITIGVCVMEKKVFSAPMGEILDRLQSFGEFEVVHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAKWRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYACVNREVPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSSTIPPTLPWKVNEPVQPSEVLTRQGSGLIGTFGQCEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRALVPRTRPGRESDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKPNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNVYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNQIVTSGSRTTHNNGSSVQPWMVDGAGVPSNAHELLHELVKLTKKVTEQVQLLAKDEDEELAETSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNARKERFDITQIPDVYDSSKYDLLHNSHLNLEGLDELFNVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTTEEAASVAELKNNQDQQSTVSKNGKEETEHHLKPHARSKEETEYHLKPHARTEEPRRTSFTSEKSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCDNALERLFRTKELDYMSYIVLRMFENTEVNLEDPKRFRIEMTFSRGADLSPLENQDPEATSWHQEHTLPIMGPERLQELGSYLTLEKVEKMIRPFAMPAEDFPPPSVPQGFTGYFSKSAAVLERLVNLWPFHKNGSTNGK >itb03g01170.t5 pep chromosome:ASM357664v1:3:612552:625836:1 gene:itb03g01170 transcript:itb03g01170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDNVGKKITIGVCVMEKKVFSAPMGEILDRLQSFGEFEVVHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAKWRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYACVNREVPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSSTIPPTLPWKVNEPVQPSEVLTRQGSGLIGTFGQCEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRALVPRTRPGRESDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKPNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNVYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNQIVTSGSRTTHNNGSSVQPWMVDGAGVPSNAHELLHELVKLTKKVTEQVQLLAKDEDEELAETSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNARKERFDITQIPDVYDSSKYDLLHNSHLNLEGLDELFNVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTTEEAASVAELKNNQDQQSTVSKNGKEETEHHLKPHARSKEETEYHLKPHARTEEPRRTSFTSEKSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCDNALERLFRTKELDYMSYIVLRMFENTEVNLEDPKRFRIEMTFSRGADLSPLENQDPEATSWHQEHTLPIMGPERLQELGSYLTLEKVEKMIRPFAMPAEDFPPPSVPQGFTGYFSKSAAVLERLVNLWPFHKNGSTNGK >itb03g01170.t2 pep chromosome:ASM357664v1:3:612552:625836:1 gene:itb03g01170 transcript:itb03g01170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDNVGKKITIGVCVMEKKVKCGSEVFSAPMGEILDRLQSFGEFEVVHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAKWRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYACVNREVPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSSTIPPTLPWKVNEPVQPSEVLTRQGSGLIGTFGQCEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRALVPRTRPGRESDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKPNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNVYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNQIVTSGSRTTHNNGSSVQPWMVDGAGVPSNAHELLHELVKLTKKVTEQVQLLAKDEDEELAETSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNARKERFDITQIPDVYDSSKYDLLHNSHLNLEGLDELFNVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTTEEAASVAELKNNQDQQSTVSKNGKEETEHHLKPHARSKEETEYHLKPHARTEEPRRTSFTSEKSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCDNALERLFRTKELDYMSYIVLRMFENTEVNLEDPKRFRIEMTFSRGADLSPLENQDPEATSWHQEHTLPIMGPERLQELGSYLTLEKVEKMIRPFAMPAEDFPPPSVPQGFTGYFSKSAAVLERLVNLWPFHKNGSTNGK >itb03g01170.t4 pep chromosome:ASM357664v1:3:612552:625836:1 gene:itb03g01170 transcript:itb03g01170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDNVGKKITIGVCVMEKKVKCGSEVFSAPMGEILDRLQSFGEFEVVHFGDKVILEDPIESWPICDCLIAFYSSGYPLKKAEAYAKWRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYACVNREVPYQELDYFVEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMAREVCIAFRQSVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLFLDAKAPHLSSTIPPTLPWKVNEPVQPSEVLTRQGSGLIGTFGQCEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRALVPRTRPGRESDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKPNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNVYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNQIVTSGSRTTHNNGSSVQPWMVDGAGVPSNAHELLHELVKLTKKVTEQVQLLAKDEDEELAETSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNARKERFDITQIPDVYDSSKYDLLHNSHLNLEGLDELFNVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTTEEAASVAELKNNQDQQSTVSKNGKEETEHHLKPHARSKEETEYHLKPHARTEEPRRTSFTSEKSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCDNALERLFRTKELDYMSYIVLRMFENTEVNLEDPKRFRIEMTFSRGADLSPLENQDPEATSWHQEHTLPIMGPERLQELGSYLTLEKVEKMIRPFAMPAEDFPPPSVPQGFTGYFSKSAAVLERLVNLWPFHKNGSTNGK >itb15g15390.t1 pep chromosome:ASM357664v1:15:14302182:14309431:-1 gene:itb15g15390 transcript:itb15g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTKSGNSALTSWQIKGKGGFKAASFLYVLAALDNMGFVANMVSLVLYFHVKLHFDIPTASNTLTNFMGSTFLLSIVGGFISDTYINRLHTSLIFGLLEVLALILMTIQARFTSLQPEVCTNNKGSCMEGNKALLFYVSLCALALGSGGVRGALPALGADQFDHTHPKEAKAFASYFNYLLFSTTAGATIGVTFIVWLTTEKGWWWVGFLISVVGVIVGFAVLAAGKPFYRLQTPGGGPLLRIIQVIFVSIKNRKLRLPENDGELYEMEDKGSSSYVEEEKLLHTDQFRFLDKAAIVTENNTTPWTVCTVTQVEEVKVLIRMLPIIGSTILMNTCLAQLQTFSVLQGYRMDLQLGSIKMAPSSVPVIPLLFMTLLIPLYDRVFVPLARTLTHHPSGITQLQRVGVGLVLSVVSMAVAALVEIKRKRHSLRDPLHPIHVLWLGFQYGIFGIADMFTLVGLLEFFYKEAPSGMRSLSTSFTWISLSFGYFLSSVFVDVINAVTKKVGSTHRGWLESNDLDQNKLNFFYWFLAVLSAINFAVYLLMASWYKYKSEDNNKPITIPLSVSKSGVPFLKDPSKDETPSSISTGQPVEDNANTAEKKDDDK >itb04g29580.t1 pep chromosome:ASM357664v1:4:32916137:32918939:1 gene:itb04g29580 transcript:itb04g29580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEPPVGRKKMTKQLTGKREDTALHSAARAGNIASMKEIFENSGEEELAEVLVKQNFAGETALYVAAEYGYVDLVGEMIKYYDLVAAGIKAKNGFDALHIAAKQGDLDVVKVLMEAHPELSMTVDVSNTTALHTAATQGHIEVVNYLLERESSLAAIAKSNGKTALHSAARNGHLQVVKAILSKEPGLANRVDKKGQTALHMAVKGQNLGLVEELIRSDPSSINTVDNKGNTYLHIATRKGRVKIVKLLLDQRQIDTVMVNRSGETALDTAEKMGQSDVAAMLQEHGVQSAKAIKPKVTNPAKELKQTVSDIKHEVHNQLEHTRQTRRRIQGIAKRLNKMHTEGLNNAINSNTVVAVLIATVAFAAIFTVPGQYADNPHNIPPGLSLGEANIAPQAPFIIFLVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAVINKLMWLACVLISVAFLALSFVVVGKKERWMAIVVTIIGTTILATTLGTMCYWVIMHRIESANKKSIQMNSQHSRSRSFSVSVLSDSEILNNDFRKMYAI >itb04g29580.t2 pep chromosome:ASM357664v1:4:32916170:32918939:1 gene:itb04g29580 transcript:itb04g29580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAEPPVGRKKMTKQLTGKREDTALHSAARAGNIASMKEIFENSGEEELAEVLVKQNFAGETALYVAAEYGYVDLVGEMIKYYDLVAAGIKAKNGFDALHIAAKQGDLDVVKVLMEAHPELSMTVDVSNTTALHTAATQGHIEVVNYLLERESSLAAIAKSNGKTALHSAARNGHLQVVKAILSKEPGLANRVDKKGQTALHMAVKGQNLGLVEELIRSDPSSINTVDNKGNTYLHIATRKGRVKIVKLLLDQRQIDTVMVNRSGETALDTAEKMGQSDVAAMLQEHGVQSAKAIKPKVTNPAKELKQTVSDIKHEVHNQLEHTRQTRRRIQGIAKRLNKMHTEGLNNAINSNTVVAVLIATVAFAAIFTVPGQYADNPHNIPPGLSLGEANIAPQAPFIIFLVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAVINKLMWLACVLISVAFLALSFVVVGKKERWMAIVVTIIGTTILATTLGTMCYWVIMHRIESANKKSIQMNSQHSRSRSFSVSVLSDSEILNNDFRKMYAI >itb09g28020.t1 pep chromosome:ASM357664v1:9:28652265:28652717:-1 gene:itb09g28020 transcript:itb09g28020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLILYTLGFPIFLYFLLIRVKRYHLPLPPGPKPWPLVGNLHHLGQKPHHESIAALAQSYGPLMYLRLGFVHVVVATSAAVAAQFLKVHDANFSSRPPNSGAKHIGYNNQGLVFAPYGPRWRMLRKITSLHLFSAKALDDFCHVRQV >itb12g03280.t1 pep chromosome:ASM357664v1:12:2152368:2154205:-1 gene:itb12g03280 transcript:itb12g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDSLLEPGHSKSMVTARNVPDPRIDKRSSAPNSRYNDRRRSASSTKLDSKSSAPTIGLDQRNSNPAIPIDRQHHRTGISPALYATPQSTPLPDSPSSYPPSPYIINHKRRGPRLLKCMSHDDVAVHQCATDGKEVETAIDAEKHAVTAHGDDGLLPKEDNSVKDYNLLVTADKPGKEDPMSNTSAEENSVLKLDCSSAAENGIRTSMAFDLQRNSEVGNFLDPQDSMSIKSNADSKNNGGLVHSMSSSIHIAEFYDAFEEISSDNEQQPVTRDVEAELSEIKLSLLEEIEKQKQAEEALNHMRMQWQRIREQLLPIGLTLPAVPVTVEEDQHLDDAVKNMIEQLYVVRFVSNSIGRGMAKAEAEEEMEGQIELKNFEIARLWDKLHYYEAVNREMSQRNQEAVDTARRLRQRRKRMVRWIWGSVAASLTIGSAVLAWSYISAGRGSSYSGQCETTSDSGSVTQSN >itb12g12510.t1 pep chromosome:ASM357664v1:12:11209869:11211084:-1 gene:itb12g12510 transcript:itb12g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCRFIPKTAVPISPMLKLNTIIIRCAATTPARNKAKPSPPQTNQLPTTSEQKTVKMNNNNNNDEAAESLIASLSTNNITDNSAAVVNN >itb03g18620.t1 pep chromosome:ASM357664v1:3:16878857:16886897:1 gene:itb03g18620 transcript:itb03g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQQPPRRRGRPKGSSAKSKEDRDREQPHSAAAAATPRMRGPAADKKAAAAAADEKYAQWKSLVPVLYDWFANHNLVWPSLSCRWGPVVEQHSHKNRQRLYLSEQTDQSVPNTLIVANCDVVRPRIAADSHISHFNEESRSPYVKKYKTIIHPGEVNRIRELPQNKNIVATHTDSPEVLIWDVEAQPNRHAVLGAVASRPDLTLIGHSENAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHISTLSTDAQKPAGSSIKAADNPSIQARGIFQGHEDTVEDVQFCPSSSQEFCSVGDDSCLILWDARVCTSPVVKVEKAHNADLHCVDWNPHDGNFIITGSADNSVRLFDRRSLTSNGVGSPVHIFENHKAAVLCVQWCPDRSSVFGSTAEDGRLNIWDYDKVGEKDNETPAPGLFFQHAGHRDKIVDFHWNVADPWTIVSVSDDCDSTGGGGTLQIWRMLDLLYRPEEEALAELQKFKDHVSKCAPKSDS >itb04g26280.t3 pep chromosome:ASM357664v1:4:30625065:30628753:-1 gene:itb04g26280 transcript:itb04g26280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t2 pep chromosome:ASM357664v1:4:30625007:30628842:-1 gene:itb04g26280 transcript:itb04g26280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t5 pep chromosome:ASM357664v1:4:30625455:30628842:-1 gene:itb04g26280 transcript:itb04g26280.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t6 pep chromosome:ASM357664v1:4:30625057:30628826:-1 gene:itb04g26280 transcript:itb04g26280.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t1 pep chromosome:ASM357664v1:4:30625007:30628842:-1 gene:itb04g26280 transcript:itb04g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t8 pep chromosome:ASM357664v1:4:30625455:30628842:-1 gene:itb04g26280 transcript:itb04g26280.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t7 pep chromosome:ASM357664v1:4:30625057:30627455:-1 gene:itb04g26280 transcript:itb04g26280.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb04g26280.t4 pep chromosome:ASM357664v1:4:30625057:30628826:-1 gene:itb04g26280 transcript:itb04g26280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQSMPDEADKHSLHKEWDEASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKVTDEKLYLPSPPTTTARIPSPPRLSSSPNFTFRTSDRNSGSRTLSNSSEAHEEVNISVSNALVTGGVAGGSEANRINLTDNYMERREGTLEARDASPLWTRGPEATDVVCPSEPKLNLKCPLCRGDITGWKVVGEARKYLNLKPRNCSRESCSFVGNYRELRHHARRVHPAARPTDVDPLRQRAWRSLEEQREYDDIISAIRTAMPGAMVFGDYVIENGDRLSSERGTGESGRLMSTLFLFQMIGSMDTISELRSDRSRTLSRHQRSNGSLPRRRFLWGENLLGLQDDDDDDDEDDNEDEEEDEDLDIISDEVPSNPRRRRRLM >itb10g04660.t1 pep chromosome:ASM357664v1:10:4486815:4487762:-1 gene:itb10g04660 transcript:itb10g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQELISTHRENAEIYTDPAVCKEKTLELLREINMPSGLLPLDDILEVGRNPESGFVWLKQKKAKEHKFKKIGKLVWYDSEVTAFVEERRMKHVTGVKSKELLIWVTLSYISIPDPDAGKIMFATPAGLSRSYPVSAFEAEEEANEK >itb12g24190.t1 pep chromosome:ASM357664v1:12:25803564:25805314:1 gene:itb12g24190 transcript:itb12g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVKAMSGVLLCVLVLVGGCSAQLSPGFYTKSCPKLFQTVNSVVRSAIQKEARMGASLLRLFFHDCFVNGCDGSILLDDTSSFTGEKRAAPNFQSARGFEVIDQIKSAVEKVCPGVVSCADILAIASRDSTVTLGGPSWNVKLGRRDARTASQAAANNSIPPPTSNLNRLISSFSAVGLSTNDMVVLSGSHTIGQARCTNFRARIYNESNIDSSFAQSRKGNCPRASGSGDNNLAPLDLQTPIKFDNNYYVNLVNKKGLLHSDQQLFNGVSTDSTVRGYSTNPAKFKSDFAAAMIKMGDIKPLTGNNGEIRKNCRRRN >itb04g21480.t1 pep chromosome:ASM357664v1:4:26585762:26587604:-1 gene:itb04g21480 transcript:itb04g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLFSWVFHVLPWLLIAIAFLFKVTTTHERGKPKTPPGPRPWPIIGNLNLLGSTPHKSLHSLSQKYGDLMLLKFGSKPVLVASSPETAKLFLKTHDAVFASRPPTAAAEYTFNRSDMVFAPHGPFWRHARKILLSEVFSLKKLDSFEHVRVPAFTVLPFLRSRNESELRKADGHSISFKKLQEFLDDWFLLGGVINLGDWIPWLSCFDLQGYVKKMKAFRDEYSKFLDYVIEDHRAIMKAADKNFVPKDIMDVFLQIADDPTLDAEEALTRDRLRGLIHDMLAGSTDTTATSLEWVFQELFRKPWIIEKAKEELDRVIGRERWVEEKDL >itb02g00300.t3 pep chromosome:ASM357664v1:2:229042:236075:1 gene:itb02g00300 transcript:itb02g00300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEDFHAGPENCRRQVEKAITEKVGRVVCSIKDAGHVDQVIAALHSLAVLLFPVNSRSFLGSIDEQFIEQVQSALVPDSDKRSQWQQIFYKGAAFPMLARVLLHDVALDWLACFPTSARVHVYDVFFTNGQFTEVIQALVPCLQGRGLGTHDLNAVCSNAERLLLLSLFENDGIHRMVIEFARDCQSEGSANESVRQIIFRVAQLITSIPDKARAGAPTSLSSPLFFKHITTQILAGAEEWDKNLDETAYFDKNKADSTILFVGEAFARICRRGFADVLLSELIPRILRGVQSLLQPSADMTVSKTFESKPGLRFLLKIMEGVQDPHSVERLSEQLLNQLAAQSVRDAEAYWILWVLFNQIYKQKTSIRSMFREKFLLWKVFPICCSRWILHFAVFECAPSTSLAPKACGVRALMDTVQNLTRVWSKREFVQSAPIEQQAYITAALGLCLEKMSKEDLDATKDALQSILQGVSCRLQSPDHLVRKMASNVALAFSLIIDPKNPLYLDDSCHDEAIDWEFGLVNLGKGSLPMQNCTNEDMSRVKNCSSTIARKEFDDKGSSNNVMDNCKRLSECKLVDPDEIVDPASLITELAPYEDDNDIGNEDSDFSSDSSLQPYDLSDDDADLEIKFSQLVDVIGALRKPDDGKGVEKALDVAEKLVRATPDELKFVAGDLARTLVQVRCSDSTVEGEEESAEDKREKALVALIAMCPNESLNELNKLLYSPSLDVSQRILILDVMTDAAQELANTRTSKPKQHSRFLISSMSDQPWFVPRDIGPPGAGSWKEISTPGTPLNWSYSYERELPSKPSQVKKGKMRRWSLQSPKQENQVEWSLNKFPQYAAAFMLPAMQGFDKKRHGVDFLDRDFIVLGKLIYMLGVCMKCAAMHPEASVLASPLLDLLRSREVSYHKEAYVRRSVLFAASCILISLHPSFITSALTEGNADILKGLEWVRTWALNIAESDTDRECYTLAMTCVQLHSEMALQVSRALDSVENTSRASHTILPSHMVRDAIKIPHSIGI >itb02g00300.t1 pep chromosome:ASM357664v1:2:227371:236075:1 gene:itb02g00300 transcript:itb02g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEDFHAGPENCRRQVEKAITEKVGRVVCSIKDAGHVDQVIAALHSLAVLLFPVNSRSFLGSIDEQFIEQVQSALVPDSDKRSQWQQIFYKGAAFPMLARVLLHDVALDWLACFPTSARVHVYDVFFTNGQFTEVIQALVPCLQGRGLGTHDLNAVCSNAERLLLLSLFENDGIHRMVIEFARDCQSEGSANESVRQIIFRVAQLITSIPDKARAGAPTSLSSPLFFKHITTQILAGAEEWDKNLDETAYFDKNKADSTILFVGEAFARICRRGFADVLLSELIPRILRGVQSLLQPSADMTVSKTFESKPGLRFLLKIMEGVQDPHSVERLSEQLLNQLAAQSVRDAEAYWILWVLFNQIYKQKTSIRSMFREKFLLWKVFPICCSRWILHFAVFECAPSTSLAPKACGVRALMDTVQNLTRVWSKREFVQSAPIEQQAYITAALGLCLEKMSKEDLDATKDALQSILQGVSCRLQSPDHLVRKMASNVALAFSLIIDPKNPLYLDDSCHDEAIDWEFGLVNLGKGSLPMQNCTNEDMSRVKNCSSTIARKEFDDKGSSNNVMDNCKRLSECKLVDPDEIVDPASLITELAPYEDDNDIGNEDSDFSSDSSLQPYDLSDDDADLEIKFSQLVDVIGALRKPDDGKGVEKALDVAEKLVRATPDELKFVAGDLARTLVQVRCSDSTVEGEEESAEDKREKALVALIAMCPNESLNELNKLLYSPSLDVSQRILILDVMTDAAQELANTRTSKPKQHSRFLISSMSDQPWFVPRDIGPPGAGSWKEISTPGTPLNWSYSYERELPSKPSQVKKGKMRRWSLQSPKQENQVEWSLNKFPQYAAAFMLPAMQGFDKKRHGVDFLDRDFIVLGKLIYMLGVCMKCAAMHPEASVLASPLLDLLRSREVSYHKEAYVRRSVLFAASCILISLHPSFITSALTEGNADILKGLEWVRTWALNIAESDTDRECYTLAMTCVQLHSEMALQVSRALDSVENTSRASHTILPSHMVRDAIKIPHSIGI >itb02g00300.t2 pep chromosome:ASM357664v1:2:229042:236075:1 gene:itb02g00300 transcript:itb02g00300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEDFHAGPENCRRQVEKAITEKVGRVVCSIKDAGHVDQVIAALHSLAVLLFPVNSRSFLGSIDEQFIEQVQSALVPDSDKRSQWQQIFYKGAAFPMLARVLLHDVALDWLACFPTSARVHVYDVFFTNGQFTEVIQALVPCLQGRGLGTHDLNAVCSNAERLLLLSLFENDGIHRMVIEFARDCQSEGSANESVRQIIFRVAQLITSIPDKARAGAPTSLSSPLFFKHITTQILAGAEEWDKNLDETAYFDKNKADSTILFVGEAFARICRRGFADVLLSELIPRILRGVQSLLQPSADMTVSKTFESKPGLRFLLKIMEGVQDPHSVERLSEQLLNQLAAQSVRDAEAYWILWVLFNQIYKQKTSIRSMFREKFLLWKVFPICCSRWILHFAVFECAPSTSLAPKACGVRALMDTVQNLTRVWSKREFVQSAPIEQQAYITAALGLCLEKMSKEDLDATKDALQSILQGVSCRLQSPDHLVRKMASNVALAFSLIIDPKNPLYLDDSCHDEAIDWEFGLVNLGKGSLPMQNCTNEDMSRVKNCSSTIARKEFDDKGSSNNVMDNCKRLSECKLVDPDEIVDPASLITELAPYEDDNDIGNEDSDFSSDSSLQPYDLSDDDADLEIKFSQLVDVIGALRKPDDGKGVEKALDVAEKLVRATPDELKFVAGDLARTLVQVRCSDSTVEGEEESAEDKREKALVALIAMCPNESLNELNKLLYSPSLDVSQRILILDVMTDAAQELANTRTSKPKQHSRFLISSMSDQPWFVPRDIGPPGAGSWKEISTPGTPLNWSYSYERELPSKPSQVKKGKMRRWSLQSPKQENQVEWSLNKFPQYAAAFMLPAMQGFDKKRHGVDFLDRDFIVLGKLIYMLGVCMKCAAMHPEASVLASPLLDLLRSREVSYHKEAYVRRSVLFAASCILISLHPSFITSALTEGNADILKGLEWVRTWALNIAESDTDRECYTLAMTCVQLHSEMALQVSRALDSVENTSRASHTILPSHMVRDAIKIPHSIGI >itb02g00300.t5 pep chromosome:ASM357664v1:2:230142:236075:1 gene:itb02g00300 transcript:itb02g00300.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MLARVLLHDVALDWLACFPTSARVHVYDVFFTNGQFTEVIQALVPCLQGRGLGTHDLNAVCSNAERLLLLSLFENDGIHRMVIEFARDCQSEGSANESVRQIIFRVAQLITSIPDKARAGAPTSLSSPLFFKHITTQILAGAEEWDKNLDETAYFDKNKADSTILFVGEAFARICRRGFADVLLSELIPRILRGVQSLLQPSADMTVSKTFESKPGLRFLLKIMEGVQDPHSVERLSEQLLNQLAAQSVRDAEAYWILWVLFNQIYKQKTSIRSMFREKFLLWKVFPICCSRWILHFAVFECAPSTSLAPKACGVRALMDTVQNLTRVWSKREFVQSAPIEQQAYITAALGLCLEKMSKEDLDATKDALQSILQGVSCRLQSPDHLVRKMASNVALAFSLIIDPKNPLYLDDSCHDEAIDWEFGLVNLGKGSLPMQNCTNEDMSRVKNCSSTIARKEFDDKGSSNNVMDNCKRLSECKLVDPDEIVDPASLITELAPYEDDNDIGNEDSDFSSDSSLQPYDLSDDDADLEIKFSQLVDVIGALRKPDDGKGVEKALDVAEKLVRATPDELKFVAGDLARTLVQVRCSDSTVEGEEESAEDKREKALVALIAMCPNESLNELNKLLYSPSLDVSQRILILDVMTDAAQELANTRTSKPKQHSRFLISSMSDQPWFVPRDIGPPGAGSWKEISTPGTPLNWSYSYERELPSKPSQVKKGKMRRWSLQSPKQENQVEWSLNKFPQYAAAFMLPAMQGFDKKRHGVDFLDRDFIVLGKLIYMLGVCMKCAAMHPEASVLASPLLDLLRSREVSYHKEAYVRRSVLFAASCILISLHPSFITSALTEGNADILKGLEWVRTWALNIAESDTDRECYTLAMTCVQLHSEMALQVSRALDSVENTSRASHTILPSHMVRDAIKIPHSIGI >itb02g00300.t4 pep chromosome:ASM357664v1:2:229520:236075:1 gene:itb02g00300 transcript:itb02g00300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEDFHAGPENCRRQVEKAITEKVGRVVCSIKDAGHVDQVIAALHSLAVLLFPVNSRSFLGSIDEQFIEQVQSALVPDSDKRSQWQQIFYKGAAFPMLARVLLHDVALDWLACFPTSARVHVYDVFFTNGQFTEVIQALVPCLQGRGLGTHDLNAVCSNAERLLLLSLFENDGIHRMVIEFARDCQSEGSANESVRQIIFRVAQLITSIPDKARAGAPTSLSSPLFFKHITTQILAGAEEWDKNLDETAYFDKNKADSTILFVGEAFARICRRGFADVLLSELIPRILRGVQSLLQPSADMTVSKTFESKPGLRFLLKIMEGVQDPHSVERLSEQLLNQLAAQSVRDAEAYWILWVLFNQIYKQKTSIRSMFREKFLLWKVFPICCSRWILHFAVFECAPSTSLAPKACGVRALMDTVQNLTRVWSKREFVQSAPIEQQAYITAALGLCLEKMSKEDLDATKDALQSILQGVSCRLQSPDHLVRKMASNVALAFSLIIDPKNPLYLDDSCHDEAIDWEFGLVNLGKGSLPMQNCTNEDMSRVKNCSSTIARKEFDDKGSSNNVMDNCKRLSECKLVDPDEIVDPASLITELAPYEDDNDIGNEDSDFSSDSSLQPYDLSDDDADLEIKFSQLVDVIGALRKPDDGKGVEKALDVAEKLVRATPDELKFVAGDLARTLVQVRCSDSTVEGEEESAEDKREKALVALIAMCPNESLNELNKLLYSPSLDVSQRILILDVMTDAAQELANTRTSKPKQHSRFLISSMSDQPWFVPRDIGPPGAGSWKEISTPGTPLNWSYSYERELPSKPSQVKKGKMRRWSLQSPKQENQVEWSLNKFPQYAAAFMLPAMQGFDKKRHGVDFLDRDFIVLGKLIYMLGVCMKCAAMHPEASVLASPLLDLLRSREVSYHKEAYVRRSVLFAASCILISLHPSFITSALTEGNADILKGLEWVRTWALNIAESDTDRECYTLAMTCVQLHSEMALQVSRALDSVENTSRASHTILPSHMVRDAIKIPHSIGI >itb13g18670.t1 pep chromosome:ASM357664v1:13:25607597:25612032:-1 gene:itb13g18670 transcript:itb13g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREQGKQSGGPGGSAPPPAKRGRPVGSGSNQAAAAAAAAAAAAAGATDPAAPPTLAGPSLQVHSAFVELNYKRIVLALQSGIRRELAWAFNTLTFLSFKDKDEIRTPLAKIPGLLDALLCIIDEWRDIALPRVLVKAPRVRLLGANSFVTGFGNEYEALGSKDSVPQPSSGSGSSNKETSGQKTAAKTRPSGWWYEEDGLFSLDEEGRAEKQQLAVVASNVIRNFSFMADNEIIIAQHRHCMETLFQCLEDYVLEDEELVTNVLETIVNVAHLLDLRIFSSSKPSVLNMREKRAVQAIMGMLGSAVRTWHCGAAELIGRLILNPDNEPFLLPFALQIYNRLVDMMSLQANDAQAAAVGALYNLVEVDMDCRLKLASERWAIDRLLKVIKLPHPISEVCRKAALILECLVTEPQNKPLLLIYETAFTEMLFADGKHSDIFARILSELTSRSGKAPFARGIWGM >itb12g25750.t1 pep chromosome:ASM357664v1:12:26887638:26888548:-1 gene:itb12g25750 transcript:itb12g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRVPTLDCDGCAAKIRKALLKLKGVDDVDIEMEMKKITVRGYGLEERKVVKAIKRAGKVAEPWPYPVGSSHLASFYRYPTQIAAHYYQSMISSSADLAAPAVHAFFHTPALYSVAVAPDEAFASLFSDDNPHACTIM >itb03g29500.t1 pep chromosome:ASM357664v1:3:30378328:30382717:1 gene:itb03g29500 transcript:itb03g29500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAKEKSTNFNRESELICSILWMMPSGRMTSSRETTFAPLTSFSDTMRFSSRTSPASRHRVKFWAHLRSFPDPMDMRQALLIEDEKEGIRRRIIAEEIDRVRILSAELRREPMMPRVGRLPPPPNGAFLMGFEPRFPPQEQQPQHRCVLERMAIPFGERPGVGISSAGMPASREFGCVEMVPFKEQRVELSISEKPFEQRSVTPMISEIPSKNHGVQSRILELKPPSNPEQMSKELNISGVGATTEHDKEKDKLLAKPNVNLSGVKRKGEMAAEAVISSDLPTNGVPKKKIKDGWDCALCQVSVTSERNLNEHLKGRKHKSMERKGNGKSLRTGGFPEEHKPLNLDATPDDPMAEKKLKTGETASIPEDKDAPLLQIEHHADNSKINADSVNDKEEAGKRC >itb04g34190.t1 pep chromosome:ASM357664v1:4:36228651:36232215:1 gene:itb04g34190 transcript:itb04g34190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRMASSDPAVDAQSRLSIPEFLNFLPTEPKLSYSYTRPSSAHLQLFWTHREPETLKEKIKSALQLLDLSYFPTLVQFWAPKIIKDQCHLTTSDQPFGLTVLRKGLCSYRKLCVGYEYFAGEALEGNLGPPGRVFRNGVDEFCPDVRFYNKEDYPLRESALGCGIGGFLALPVFEATGERCIGVLEFVTVWDGSYCLTDYIWRIRDALKAVGLRCLDVCRPFEINGGSERLNDTLDEIEKVLEVVCNKHKMPLAQTWFPSSDGIHTESVMMTTKKGSYVLGKRVSSFQKDCTYFPIRKGQGVVGEAFLSPNLSSCKDLTCLSINEYPFAPGARKVGLTSSFAVCLKSMDSENDVYVIEFFLSDQPACSQSQTSLDSLAVIQTSLDSLAVTINEHFHSFNIAAAENFHNEFSGDVKMSEDEKIEDPPSNEKMAALEGGDTGSDIDDQGVVHLGNDESQGNNMLDAEQKGIGFDSQEKEDSIQPSETGDIAAAKHQDLSEKQDGNANSSDCIPSKRLTSEHESDPSAKKQKIESFPMEQSCVDTTPANGPDSVSSPIPQVTTAKDETPITVKVVHKDDIIKFPLFLSSGIVDFEGEVISRMKLEAKSFLIKCQDKDENWLQMQSDMDLQSYMSTMRTLGRSTVKFFLELITN >itb12g22700.t1 pep chromosome:ASM357664v1:12:24697105:24697422:-1 gene:itb12g22700 transcript:itb12g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGLGGAAKRHRKMLWDNIQGITKSAILRLARRGDVRRISGLIYEETRGVFKIFLEYVIRDAVTYTEHARRKTITTIDVVYALKRQEGRTLYHFGG >itb09g14580.t1 pep chromosome:ASM357664v1:9:9819387:9821988:-1 gene:itb09g14580 transcript:itb09g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSMKLSAPSARSHTRKPKEKTSMFISSGLLRKLFAICCVGFLAWAYREITPPPPITCGSQYGPPVTAHRIKLSDGRHLAYKEHGVPRNRASYKMVFVHGFDCCRHDIAVAVTLSPNLIESLGIYIVSFDRPGYGESDPNPKQKEKSIALDIQELADQLELGSKFYVVGFSMGGQGVWSCLKYIPHRLAGAVLLSPAVNYWWPGFPRNLSKQAYDSQLPQDQWALRVSHYFPWLTYWWNTQKLFPALSYTAYEPSLLNPQDIEVMAEYLSAREKYQDQVNQQGDFESLHRDLIVGFGKWEFDPMDLENPFPNNEGSVHLWQGDEDGLVPVTLQRYIAQKLPWIHYHQLPGAGHMFPYVDAMGDQIVKALLHT >itb13g11390.t1 pep chromosome:ASM357664v1:13:16168173:16174034:-1 gene:itb13g11390 transcript:itb13g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESNRGSRWWRNWIGKRRDSSCKISNETVAGIEISFKEKDIQYLEFPFVYYMDDPIRKDHDWELFDCLSLFLRNVSRENWIWLDNVRLVNKDRFFSKVRNVSSNIQYDFTRSSFVQVTDSSQLKESSDQSRDRSNSISNADSEYHTLINKREIQQLKERSILRDPSFLQTEGTEIESDRFPKCLSGYSSMPRLFTAREKQMIIHLLPEEIEQLLENPTRSIRSFFSGRWSELHLGSNPTERSTRDPQLLKKQQDVSFAPSRQSENKEMVNIFKIIKYLQNTVSIHPISSDPGCDMVPKDELDMDSSDKISFLNKNSFFDLFHLFHDRNRGGYALHHDFESEEKFQEMADLFTLSITDPDLVYHRGFSFSIDSCGLDQKQFLNEVFNSRDESKKKSLLVLSPIFYEENESFYRRIRKKGVRISRNVLNRFFLINRSDRSFEYGIQRDQIGNDTLNHRTIRKYMINQDFSNLKKSQKKWFDPLIFLSRTERFMNRDPDAYRYKWFNGSKNFQEHLEHFVSEQKSRFQVVFDQLRINQYSIDWSEVIDKKDLSKSLRFFLSKSLRFFLSKLLLFLSNSLPFFFVSFGNIPINRSEIRIYELKGISWRILQKKLCLPPWNLISEISSKCLHNLLLPEEMIHRNNESPLIWTHLASPNVREFFYSILFLLFVAGYLVRTHLLFVFRASSELQTEFERVKSLMIPSYMIELRKLLDRYPTSEPNSFWLKNLFLVALEQLGDSLEEIRGSASGDNMLLGGGPGPAYGFKSIRSKKKYLNINLIDILDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKRVNGDWIDDKIESWVASSDSIDDEEREFLVQFSTLTTEKRIDQILLSLTHSDHLSKNDSGYQLIEQPGAIYLRYLVDIHKKYLMNYEFNTSCLAERRVFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGSLIDASDDIDRDLDTELELLTMMNALTMDMMPEIDQFSITLQFELAKAMSPCIIWIPNIHDLDVNESNYLSLGLFVNYLSRDCERGSTRNILVIASTHIPQKVDPALIAPNQLNTCIKIRRLRIPQQRKHFFTLSYTRGFHLEKKMFHTNGFGSITMGSNVRDLVAFINEALSISITQKKSIIDTNTIRSALHRQTWDLRSQVRSVQDHGILFYQIGRAVAQNVLLSNCPIDPISIYLKKKLCNEGDSYLYKWYFELGTSMKKFTILLYLLSCSAGSVAQDLWSLPGPDEKNGITSYGLVENDSDLVHGLLEVEGALVGSSRTEKNCSQFDNDRVTLLLRPEPRNPLDMMQNGSCSILDQIFLYEKYESEFEEGALDPNLDPQQIEEDLFNHIVWAPRIWRPWGFLCIERPNELGFSYWSRSFRGKRIFYDKEDELQENDSEFLQSGTMQYKTRDRSSKEQGFFRISQFIWDPADPLFFLFKDRSPGSVFSRRELFADEEMSKGLLTAQTYQTDEPSTSRSKSTRWFIKNTQEKHFELLINRQRWLRTNSSLSNGSFRSNTLSESYQYLSNLFLSNGTLFDQMTKTLLRKRWLFPDEMKIGFM >itb11g15360.t1 pep chromosome:ASM357664v1:11:12938785:12940101:-1 gene:itb11g15360 transcript:itb11g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEIHQKENVMPFSPTPHSLKNYKLSQIDQIEGTYYISMLFFYNSSAHHHDYDELKQSLSKTLSVLYPLAGRLKDEMTIECNDEGVEFVRANVTNYDLSDFLQICTLDLLQQLLPFDPYPLEFDPSQPMLGIQVNKFRCGGTAVALCIWHGVADASAIGGFVQTWTAINRGEGGRGDLVVDGAAIFPPALNFDFSAPTSAHARLNKTSRGKFSLKRFVFRKEEIERMRDEYMLSDRRRPTSVEALSAFLWAALIRANQNAKMHILVMSSLDKDKEFIARVSCIQTMSSELPTLPSNY >itb11g04240.t1 pep chromosome:ASM357664v1:11:2246634:2247077:-1 gene:itb11g04240 transcript:itb11g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHEKLDGAMAEIEKLRADYKIKAESSENFKSAYNKQLTKIRDASSNVDILTLELSMKEDELSVAKQAYEELKSNLKDKEAAIKFLSSANGRLRADYDSKLKKFEEENRSMAVALDETNAANMEQEQKVQTLRNEIDKLNTNLES >itb07g01380.t1 pep chromosome:ASM357664v1:7:857327:860802:1 gene:itb07g01380 transcript:itb07g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKRRERMMMEE >itb11g11560.t1 pep chromosome:ASM357664v1:11:8468745:8471726:1 gene:itb11g11560 transcript:itb11g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAVSAPSTPMTPGTPGAPLFGGFRHERAGNGRNSLLKSCKCFSVEAWALEEGSLPSVSCTLPAPPVSLARKVGAEFIGTMILIFSGTATAIVNQKTQGTETLLGLAGSTGLAVMIVILSTGHISGAHLNPAVTIAFAALKHFPWKHVPVYVGTQVMASLGAAFILKAVFHPIMGGGVTVPSGNYIQAFALEFIISFILMFVITAVSTDTRAVGELAGIAVGATVMVNILIAGQTTGASMNPVRTLGPAIAAGNYRAIWIYLTAPILGALAGAGVYSAVKLPDEDGENHQKPSVEHSSRR >itb14g01690.t1 pep chromosome:ASM357664v1:14:1386343:1389256:-1 gene:itb14g01690 transcript:itb14g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVMSVLDKLSKLISEKHSVLGGILEDAIVIKDHLDGLMARALRVADEKEEIDPRVQAWLKLVLEVVYNTEDALDEFFFRFGAHRTSGEFYIKIQNRYTPVKNLRAWQRLALELRRIKARFDFISQEVLRLMISSDSTDHNYNKRRYDYQWGAVSLQGWDLVGIEDPKHFLVNLLLAVDDDLRVHSVVGMGGLGKTTLVNTVYFDLKVNAHFQYRVWVVVSQTFRIEELLKSAIRQLVKQTKQDPIQDFEAMNSSQLKEFIKNILYGHRYIIVLDDIWSLDVWTDIKDSFPTQSFGSRIVITTRNSRIGALTSDDTNGVVYSLKSLSREDSWTLFCKKTFRRGSCPQHLVRISEDILMSCVGLPLAIVEIAGVLSTMEQSIQTWKRFQDSLFEKIVYTKNTLSLSYYDLPYYLKSCFLYLSVFREDEIIEKAKVIRLWIGEGFVRENNLQPIKEEVAEGYLNELLHRNLIIQMEQKTSVGRIKSIRLHTILREIILSKSELVLNSAIIATGQHRKLSSRIRHLALDRFDENILRESLSKRHLRSLLIFTHEVVSSLPELLTSDYIPLGVLDLEGVKLKEIPKGVFNLINLKYLSLRSTGLRNIPKSIGSLQNLEILDLKYTNVMELPVETIKLHKLWHLIVGYHWTGGFSAPFNIGKLLSLQKLCYIRANETDGIKVVSEIGKLTRLRKFGVGMLRQEDGKELCSSLEKLINLCSLSLKARINEILGIQHSLSTVPLCLHTLKLDGRLERIPQWFSSLVRLTKLCLCRSSLPEDPLGLLQDLPMLAHLDLYESYNGEGLCFKAEKFPKLKFLRIRSFTVLKSVEVEEAAMPLLEKLHIADCKLFEQVPLGIHHLSKLKSVVFIGLADELMLSLDQNGENYTKISHIPHKFVNNGVIA >itb12g04280.t1 pep chromosome:ASM357664v1:12:2793896:2797081:-1 gene:itb12g04280 transcript:itb12g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSEPPSGVKKGAWTEQEDNLLRKCIHRYGEGKWHLVPVRAGLNRCRKSCRLRWLNYLRPDIKRGDFNLDEIDLIMRLHKLLGNRWSLIAGRIPGRTANDVKNLWNTRLQKKTIANNTPSSGQETWKDKAPKTTENTAVIRPRPRRFAMTSSSRTLPITGKTTIVTSEVVQLPPPAEAAESTSEPRLIENVDPKSMPGETETSDDLGQWLDDFLFDMEFDGDGMACMQEGQIEWCDFHIDSDLLDLLS >itb14g18960.t1 pep chromosome:ASM357664v1:14:21782770:21786175:-1 gene:itb14g18960 transcript:itb14g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKYVAHTKLKNKNLPPEVAGAWPILGHLPILDGQTIPLARILAGWADKYGPVFSIRLGIPRYMVVSSWEAVRDCFTGNDKVFAARPDALAGKYLGYNNARFSFSSYGPYWRKIRKLVVVELLSNRRLEKLKQYRIAEMESSIKELYSSVVSGDGNSPPVVDMDQWIGQLTLNLILKTIAGKRFRYKADDDGAEHEEAQHIINTLKKFFVLVGKTALWDAVPFPLFKWVDFDGHINAMKRLHREVDDILQGWIDEHVQRRKSRSGPADDRDFIDVMLSAIDDEFTSGHGYSRETIIKATVLSMILDGSDTTALHLVWLFSMMLNHGHTIKRAQDEIDAKIGRNRWVEESDIDNLPYLKAVIKESLRLYPPAPLLVPHEAVEDCYVAGYRVPKGTRLIVNAWKLHRDPRFWPEPEKFMPDRFLSTQAKLDASGAHFEYVPFGSGRRSCPGITYATLVAHLTVARLLQGFDFATPANEAVDLSEDVGVTMPKAKPLQVVITPRFTPEFYGF >itb13g13090.t1 pep chromosome:ASM357664v1:13:19563765:19567924:-1 gene:itb13g13090 transcript:itb13g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALSTNELFNLIIYDTFSATPLSHNDASETTNLAAAVGPKPAPQDLGGGLEGCSSMPAWRRRHSVGGEMITPQSRPQQNLAVQGGGRKKRRRRPKICKNKEEAETQRITHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEARKFQLLHGGGGDGGGGATTTADCGGGGEFLANPFSQFFSYPQYTCSPQLPNKYISKSKAAIADIEVTLIETHANIRILSMRRVRQLSKIVATFQNMCLSTLHLNVTTLDSLILYSISAKVEEGCQLNSADEIAGAVHHMLRIIEEEDTLSTCQAV >itb05g22060.t1 pep chromosome:ASM357664v1:5:27605401:27608654:-1 gene:itb05g22060 transcript:itb05g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFISKFAFDVGMNTFVFAFYRQLFGAAAMVPVAFFMERTEKVDLKKMSGIVKVLGVCLCAGGAATIGLYTGPTFKLHHHLFNLHGHDASHRPASSSGNKWIMGVLLTFASSVSGSFWIVIQNGILRRYPSKILSTTIQIATSSVQTFVVAVIFERDFDQWKLGWNFRLLAVAYCGIVVTGLAVYLQTWVVQKKGPLFFSISTPLALIFTMAFSAFLFGSILSLGSILGAVFLIGGLYCVLWGKNKEQKMAANNTINPTVESEKGSVAGSSGYELTVETNTPAPPPHHQRSTSMV >itb02g18620.t1 pep chromosome:ASM357664v1:2:15024417:15025541:1 gene:itb02g18620 transcript:itb02g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLPPPPQPQEQLLPLPSDVIRTRRKPSSRGHPRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAARAYDQAARTLRGANARTNFDLPESDSGEGGCSLLPENLEPFSFEDACRSEEPDGGLVGALKAKLFSSESSRLFIQSNASNATPSTIAKPQDENINNNLKNNDGGDKISSMAAAAAQFSSTNGLIDATSTSYLPNVVGAEKPKFNLDHYTPQTEQNTNIHHNYNIDHISLLTPSDHPMNTPTWLNPSHTIAATSSMMMQWPNNDQTVGWIDTLQTARGGHEMEPCSWLPISGLSSSSYPENSLDILNTPMIVSQIGGGGALPAETQIVQCENEYWNSSSAAAAVAGASGGAWDPFMLSSVLG >itb07g15780.t1 pep chromosome:ASM357664v1:7:18823218:18831357:-1 gene:itb07g15780 transcript:itb07g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISMGYTRSRPFNGENMLRRCSNNTQADNVILIDDDVIVIDSPEYLHKNVQHTSMQSLANRCPQKTVIFLDDDENFENNENNQSTSGRESDFLNRPSSSQRPFPPCKDARKTPDDNGDDCQFVRENKAPVKLSKCKRTYSGRASSRNRYGLTSDSESSSSDSDSELMVDSVGKLQEEWEKASSRRKYDGHNTKSGVNRFDNTSMADLQAERGQTEEHPAFSSQGKASNEKEDMSPFAKGDESSSASSLGNEDGRNPKDFSSFYENFADDLEMDFQDKGKNQSADPCVTRPVSQHGVKSFYYTKDSSLGKGDCLREDPCSSGAQDSDDQCIFTEYGSPYPGHMHSMDKFSPCPGQTSSGRNFSPGVGIGTGKCHYQDNGEASLENPSKSCKHLGAEEEIVSSPSKSQQEEKSEYLLHSHGYEDGQNDDSSIITERERLKETDEYKKALEEELASRQRALQIQAEEAQNLRRLLKRKKAEKMRLLDMERRQKQRVDEMRETQKKDEENMNMKEVIRNQVRSELSKLETTCRDMASVLRGLGIFVGSSPFPQSSEVRAAYKKALLTFHPDRASRDDIRQQKLMSSQGIKGPSYRFLHGNTKEISEARRGITSKPMEDLSHHIFPRILPHIYSWKRLYGANFLYWLGPQPELVVTEPELVKEILSDRKGNYPKIELQGFSKKLLGDGVSSSKGEKWARMRKLANNVFHGESLRGMFPAMIESAETMLEAWKEHEGKEIEAFEEFRLLTSEVISRTAFGSNYLEGKNIFEMLIKLTTLVSKNALNVRFPGISRIMKNSDELESEKLEEGIRNGIIQIIKKREQTKTGEPKGSRRIRSDDFLEKLLEANNQENGDNNQICIQDMVDECKTFYLAGHETTTSLLGWATLLLATHEDWQERARKEVMEVFGQENPTPYGIARLKIMNMILEETLRLYPPVPYIKRKVEKNVVLGNITLPPNIHLYISPLALQHDPEIWGKDVHKFKPERFAEGVVKATNNHPIALLPFGYGPRTCLGLNFAMAEAKVVLSMILQRYSVALSSSYVHSPLQLFMQQLWATVGEEGEWRSHRRRCCGYCGFSHLDGCELFGGSKGDRPTMKAPGRDYRIFRDDFERDPASYFRSLRDK >itb07g14840.t1 pep chromosome:ASM357664v1:7:17508839:17510892:-1 gene:itb07g14840 transcript:itb07g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPFSLFFILFLIATLLISPITCARELSTKDVGDPKRIGYGALSPKPLCNKLRYRSCLEPRPPKKKCPKPYIRGCIP >itb05g17600.t1 pep chromosome:ASM357664v1:5:24461396:24465039:1 gene:itb05g17600 transcript:itb05g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPRDQMPFLFPHSQSTVLPDSSRFFAPRLLSNPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSQSSLTLCYPPQLRGPAFISQTFHADLTISALNNPNPNAAHVVSSFSDLSLILDLPSSNLRFFLVRGSPFLTCNVYTSISISISTIHAILQCSSNDSRTKYTIRLNNNQTWLLYASSPINLSHNLSTITSTTFCGVIRIALLPNSDPKCEAVLDRFSSCYPTAGHADFIRPFCLEYKWDKNGRGDLLMLAHPLHLRLLSNCDCRITVLKEFKYSSIDGDLVGVVGDSWVLKTDPISVTWHSVKGLRQESYAEIISALHTDVQSLDAANIKEESSYFYGKLIARAARLALVAEEVRHLDVIPTIRRFLKDAIQPWLDGTFAANGFLYDSKWGGLVTKKGLTDTGADFGFGIFNDHHYHVGYFVYAIAVLSKIDSAWGRRYRGQAYSLVADFMNLSRQNNSNCPRLRCFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVDIGSTLCALEIQSAQTWWQVREDGNLYAAEFTKENRMVGVVWANKRDRGLWFGGAENKHYRLGIQLLPILPISEALFSDVQFVKQLVRWTLPDLAREREGKGEGWKGFVYALQGIYDKAGALEKVRRLNGHDDGNSLTNLLWWIHSRG >itb15g06520.t1 pep chromosome:ASM357664v1:15:4335352:4336489:-1 gene:itb15g06520 transcript:itb15g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLFLGAILLFSFSTMAFSDSLSPYFYHKVCPQALPTIRHIVFDAVRQERRMGASLLRLHFHDCFVNGCDASILLDSTSNIDSEKNALPNANSARGFEVIDRIKSAVDQACKRPVVSCADILAVAARDSVVALGGPSWEVQLGRRDSTTASKTAANNDIPSPFMDLPALINSFSKQGLNVKDLVALSGGHTLGFAQCRTFRNRIYNETNIDKGFAAQRQATCPRVGGDSNLAPLDPTPAYFDTKYFSNLVRKKGLLHSDQALFNGGQTDSLVKTYSGNIRVFAKDFAKSMVKMGNIKPLTGKNGQIRVNCRKVN >itb08g12880.t1 pep chromosome:ASM357664v1:8:13388341:13394901:-1 gene:itb08g12880 transcript:itb08g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKHRKGSSLQVDFIIHVEEVKPWPPSQSLKSVQSVLFVWENGEQNSGSFVSDVGDTGIEFNESITLPVTLCRDKKAQDKFQKNFLDFYLYEPRKDKGSRGQLLGTCVINLADFGATEEAISICTPVNCKKSSKSVDQPSLFITIQSFHRDSSNSPPKVSLSNEKYIDKDGQTSVADSVNEENDEGCEIATFTDDDDESPHSSHNASFSTLKSSRDPPSHYSKSGVDSMNKSLGRDQVSEPDTTVSFANISGRDLRSSDDNSALMTSESSSLNAENPTNGHSSFAKLSERSMTSVHKKSAHPVIESSSSFTTSRGTNGKSATTKRWFEQLDVEQDEELLDDLQHFSEIKFGTDPSQYAGRKSNLRSDTLNSNKREHVFQGSSVTSVKTKHSKSPQLNNTANRTTLSGGSQTASDKSPHGLPDRKNESKSKVEMLEEELRETAVLEVSLYSVVAEHASSSNKIHAPARRLSRFYLHACKMKSRSKQASAARAAIAGLVLVSKACGNDVPRLTFWLSNSIMLRAIVSRDAAETVKPYIENNAGKSGSAGRSLKQRNDFSSTKDSRKSLKEHTDWEDVKTFVLALEQLEAWIFSRIVESVWWQTLTPHMQSSVAKTSDKSMISNSKKGRKPGLGDQEQGNFSIELWKNAFKDACERLCPVRAGGHECGCLSLLAKLIMEQLVSRLDVAMFNAILRESADEMPTDPVSDPISDAKVLPIPAGKSSFGAGAQLKNAIGNWSRWLSNLFGIEENDSNDIPPDGKQQEPSKPFRLLNELSDLMMLPFDMVADPQTRKEVCATFSPTLIKRILSGFVPDEFYQKRVPQEVFDALDAEDEVDTNGEHVTSFPCSATPIVYSPPSAASVTRSIGEVGSQSLSRTGSSVLKKSYTSDDELDELDSPLTSITADRSRGSSSVKINWSPKGGKGCRNIVRYQLLREVWRDDEQ >itb08g12880.t2 pep chromosome:ASM357664v1:8:13388341:13394901:-1 gene:itb08g12880 transcript:itb08g12880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKHRKGSSLQVDFIIHVEEVKPWPPSQSLKSVQSVLFVWENGEQNSGSFVSDVGDTGIEFNESITLPVTLCRDKKAQDKFQKNFLDFYLYEPRKDKGSRGQLLGTCVINLADFGATEEAISICTPVNCKKSSKSVDQPSLFITIQSFHRDSSNSPPKVSLSNEKYIDKDGQTSVADSVNEENDEGCEIATFTDDDDESPHSSHNASFSTLKSSRDPPSHYSKSGVDSMNKSLGRDQVSEPDTTVSFANISGRDLRSSDDNSALMTSESSSLNAENPTNGHSSFAKLSERSMTSVHKKSAHPVIESSSSFTTSRGTNGKSATTKRWFEQLDVEQDEELLDDLQHFSEIKFGTDPSQYAGRKSNLRSDTLNSNKREHVFQGSSVTSVKTKHSKSPQLNNTANRTTLSGGSQTASDKSPHGLPDRKNESKSKVEMLEEELRETAVLEVSLYSVVAEHASSSNKIHAPARRLSRFYLHACKMKSRSKQASAARAAIAGLVLVSKACGNDVPRLTFWLSNSIMLRAIVSRDAAETVKPYIENNAGKSGSAGRSLKQRNDFSSTKDSRKSLKEHTDWEDVKTFVLALEQLEAWIFSRIVESVWWQTLTPHMQSSVAKTSDKSMISNSKKGRKPGLGDQEQGNFSIELWKNAFKDACERLCPVRAGGHECGCLSLLAKLIMEQLVSRLDVAMFNAILRESADEMPTDPVSDPISDAKVLPIPAGKSSFGAGAQLKNAIGNWSRWLSNLFGIEENDSNDIPPDGKQQEPSKPFRLLNELSDLMMLPFDMVADPQTRKEVCATFSPTLIKRILSGFVPDEFYQKRVPQEVFDALDAEDEVDTNGEHVTSFPCSATPIVYSPPSAASVTRSIGEVGSQSLSRTGSSVLKKSYTSDDELDELDSPLTSITADRSRGSSSVKINWSPKGGKGCRNIVRYQLLREVWRDDEQ >itb01g29520.t1 pep chromosome:ASM357664v1:1:33751779:33752770:-1 gene:itb01g29520 transcript:itb01g29520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFSKRHNACVANLPPPKSQTPTASHTLRRNAHRSVALPPPLSQNFPCPLRRTLCWFVIYWKPPLEATTLVCDLHCPRLQPLEATAGNDRKRPPETPLHAGL >itb06g16150.t1 pep chromosome:ASM357664v1:6:20349771:20357333:-1 gene:itb06g16150 transcript:itb06g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MITQFKRFPGLSRATKPLLSPTVHFSRSLLQFADMRAFRALHFHKHLFNPSSNRRLLNPPITSCKYSPNPRFSRHFGSTPRRMSPEESTAVSHDVSGWGGGPKEQSSIETFYEPTTGRVVTRRGQSNDEASPREAGSSTGNGGSSNSTSSRYEYGSESRVYGEVVGSRGGKRKGKGKSKAVWVCSDCGYTDGQWWGVCKECNAVNTLKRFSQGDDLPTGGLGISENVIRSWLPQRSDNSMPMKLSDVNKGINKINWRIPLSGLFGAEVERVLGGGLVPGSLVLVGGDPGVGKSTLLLQIAAILGEGHGTTESAPVVYVSGEESIEQIGNRADRMRIGTEQLFLYTSTDVEDILEKVQRFSPRALIIDSIQTVYLRGVTGSAGGLTQVKECTEAFLRFAKKTNIPVLLAGHVTKSGEIAGPRVLEHIVDAVLYMEGEKYSSHRLLRSVKNRFGSTDELGVFQMSESGLQAVASPSEMFLSEQHSDSDVLAGLAVTVVLDGSRAFVIEIQALSVAGLSMSRAVQGVQPGRADLIVAVLTKQAGLKLQENGIFLNVISGFKLTETAGDLAIAAAICSSFLECPVPVGFVFIGEIGLGGELRTVPLMDKRVNTVAKLGYKKCVVPKSAAKSLVKLELGDIEIVGCSNLKEMINVVF >itb11g00210.t2 pep chromosome:ASM357664v1:11:94820:97899:-1 gene:itb11g00210 transcript:itb11g00210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQPKPPPNSAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLENLKDYAVRALVNAVDHLGTVAYKLTDLVGQQNLDVSTMELKITCINQQLLTCQAYTEKEGFRQQQLLAIIPRHHKHYTLPNSVNKKVHFSPHIQMDHRQHIQPRGRIFPSGPAAAKTLSWHLASETTSTLKGASCGFVSADDIKNSGRTSGAFNLLGM >itb11g00210.t1 pep chromosome:ASM357664v1:11:94820:97899:-1 gene:itb11g00210 transcript:itb11g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQPKPPPNSAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLENLKDYAVRALVNAVDHLGTVAYKLTDLVGQQNLDVSTMELKITCINQQLLTCQAYTEKEGFRQQQLLAIIPRHHKHYTLPNSVNKKVHFSPHIQMDHRQHIQPRGRIFPSGPAAAKTLSWHLASETTSTLKGASCGFVSADDIKNSGRTSGAFNLLDAAEVGNRTKASPAWSKPPSVGPGSSIATHTLGVMRDALDGSKPMTPYRSFDNPPPPRRETTHVPTRSKSMLSAFFVKQKTPKVNRDRQS >itb03g06630.t1 pep chromosome:ASM357664v1:3:4824500:4826057:1 gene:itb03g06630 transcript:itb03g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPYPDESGEDWYAIPSSNQVQKDGDGFLIPAFHISPRPYSAAVAVDTCIFLLGGLDGDLPPVGQRTCRKSLREVSIIDTRHPQRGLFPGPSLRLPQTAMNCFCFCGHRHDVIYALPRICSSKTPPEVLHCRRYPRLGDNNWRPLGGGVAAVMDDGTATVMAVEYRSFFTLNRGVVSVPHNLFFRSEFSGILHYNLRTNNANPPLTETAGPALSLSRGVCRDGVIYFYVGFNGEVPAVWAYCLTLRKWYPKPGVLGFERSNPNFMLPYPNFNNRPNPLVDLGSGILCFMWICGTNPFSVGVVNSGSGKMRLLNRMEYFGSKRKKKEMKERRKLQSRINSALYTIPEAVEEEEGDEI >itb03g06630.t2 pep chromosome:ASM357664v1:3:4824519:4826057:1 gene:itb03g06630 transcript:itb03g06630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAKTGTPFLPPINAAVAVDTCIFLLGGLDGDLPPVGQRTCRKSLREVSIIDTRHPQRGLFPGPSLRLPQTAMNCFCFCGHRHDVIYALPRICSSKTPPEVLHCRRYPRLGDNNWRPLGGGVAAVMDDGTATVMAVEYRSFFTLNRGVVSVPHNLFFRSEFSGILHYNLRTNNANPPLTETAGPALSLSRGVCRDGVIYFYVGFNGEVPAVWAYCLTLRKWYPKPGVLGFERSNPNFMLPYPNFNNRPNPLVDLGSGILCFMWICGTNPFSVGVVNSGSGKMRLLNRMEYFGSKRKKKEMKERRKLQSRINSALYTIPEAVEEEEGDEI >itb01g17430.t1 pep chromosome:ASM357664v1:1:22156256:22158050:-1 gene:itb01g17430 transcript:itb01g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLPIGWRFFKIMGWGFHTSLDLPPIMCKKLKEKKPEEVVLIGQNGSFKVKIQERKDQKLCFTKGWDDFVGQNGIAFKDFAVFEHMGSFHFSVILFDRTCCQKDLHPNPETSHEGGKNLCTGQENSSCFTTTIKAYNVRRGGPFMYIPKKFSLSNNLLKSTNITLRGPCGKTWPAKLKSRGGKVKSKSYACILDGWKEFAMYYHLKVRDVCSFRFIRLPTSYSFMVDVKKILPVN >itb01g17430.t2 pep chromosome:ASM357664v1:1:22156256:22158050:-1 gene:itb01g17430 transcript:itb01g17430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLPIGWRFFKIMGWGFHTSLDLPPIMCKKLKEKKPEEVVLIGQNGSFKVKIQERKDQKLCFTKGWDDFVGQNGIAFKDFAVFEHMGSFHFSVILFDRTCCQKDLHPNPETSHEGGKNLCTGQENSSCFTTTIKAYNYIPKKFSLSNNLLKSTNITLRGPCGKTWPAKLKSRGGKVKSKSYACILDGWKEFAMYYHLKVRDVCSFRFIRLPTSYSFMVDVKKILPVN >itb05g01760.t1 pep chromosome:ASM357664v1:5:1401928:1405238:-1 gene:itb05g01760 transcript:itb05g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELVELYEVVKKAADAAAGDGAEAEEERCLDALKQLKKFPVNYNILVSSQVGKSLRKLTKHPREKIKTLASDIVEIWKQIIVRETMKNKSGGNEKSEKAEPAIASPDEAKRYQRSNSSTKVEKVSKTDSEKVERTHHSASAKSEKVIKSERPMSEKKVESVDVVKTGKSSASAHVRVEKTTNAGKPSSFGANPAPPKLNALIYCKDADRDKIRELIAQALCKVIDEVDDDLKADVNACDPYRIAVQVETALYEKWGGSKGAQKFKYRSIMFNIKDGKNPDFRRKVLLGEFSPQSVPELTPEDMASDERQQQNEKIKEKALFNSERGGPGVASTNAFKCKKCGKKECTYYQLQTRSADEPMTTFVTCVNCDNRWKF >itb10g07880.t1 pep chromosome:ASM357664v1:10:9551319:9554978:-1 gene:itb10g07880 transcript:itb10g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHGSSFLAVPNRLIIRTLNSIKLTNPCHLLQLPFPFKSSLQMAHSALDEMSTTGAFERTPSTFRNLISRDPNTTFAAEAGRYHLYVSYACPWASRCLAYLKIKGLDKAISFTSVKPIWGRTKDTDEHMGWIFPSSSTEEPGAEPDPLSGAKSIRELYELASSNYVGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNGIAENAALDLYPPHLQSQINSLNEWIYDGINNGVYKCGFAKKQEPYNEAVQKLYEALDKCEHILSKQRYLCGNQVTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNFTKDIFQIPGMSSTINMEHIKKHYYGSHPSINPFGIIPQGPNIDYTSPHDREKLSV >itb10g07880.t2 pep chromosome:ASM357664v1:10:9551319:9554978:-1 gene:itb10g07880 transcript:itb10g07880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIHGSSFLAVPNRLIIRTLNSIKLTNPCHLLQLPFPFKSSLQMAHSALDEMSTTGAFERTPSTFRNLISRDPNTTFAAEAGRYHLYVSYACPWASRCLAYLKIKGLDKAISFTSVKPIWGRTKDTDEHMGWIFPSSSTEEPGAEPDPLSGAKSIRELYELASSNYVGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNGIAENAALDLYPPHLQSQINSLNEWIYDGINNGVYKCGFAKKQEPYNEAVQKLYEALDKCEHILSKQRYLCGNQVTEADIRLFVTLIRFDEISFSSWVIMFTLKSPCWNYCFHQVQKHSDLVLLPELSLELCLLTPPSLLRSTLFTSSAIRSCCANIQIYSISPKTFSRSRA >itb07g23900.t1 pep chromosome:ASM357664v1:7:28236126:28238259:1 gene:itb07g23900 transcript:itb07g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKVEGEQKRYALLLAARDSDYVKKVYGGYLNVFIETLGEEGEIWDLFRVVDGDFPAMDDLHSYEGFVISGSPYDAYGNEPWILQLCLLLQALFSMQKKVLGICFGHQVLCRALGGKVGKAYSGWDIGVRKVEIVKDLCFQFLGDDDDNLEETSPSLSIIEVHQDEVWEVPIGAEVLAFSDKTCVEMFRIGEHILGIQGHPEYT >itb02g03730.t2 pep chromosome:ASM357664v1:2:2199961:2205083:-1 gene:itb02g03730 transcript:itb02g03730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIRLRRLGTIAALSAGAYTLLREPPFSANDHAGKSAFETVQRRIADPFAVLPNRADQEAALIGSTAANPLDILVVGGGATGSGVALDAATRGLRVGLVEREDFASGTSSRSTKLIHGGVRYLEKAFFNLDYGQLKLVFHALEERKQVIDNAPHLCNALPCMTPCFDWFEVIYYWAGLKMYDLVAGRHLLHLSRYYSANESIELFPTLARNGKDKTLKGTVVYYDGQMNDSRLNVSIALSAALAGASVLNHAEVVSLIKDDNSGRIIGARIRDNQSGKEFDTYAKVVVNAGGPFCDFLRKLADTNAKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTIITMLPEPHEDEIEFILDAISDYLNVKVRRTDVLSAWSGIRPLAVDPNAKNTANISRDHVVTEDFPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLIPNNECLTYNLQLIGADGWDPASFTILAQQYVRMKRSHGGKVVPGVMDTAAAKHLSHAYGSLAEKVAAIAQNEHLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAIHALPRVIEILAAEHKWNKSKQNQEFQKGREFLETFKSSKNAQFHDGKHT >itb02g03730.t3 pep chromosome:ASM357664v1:2:2201593:2205083:-1 gene:itb02g03730 transcript:itb02g03730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIRLRRLGTIAALSAGAYTLLREPPFSANDHAGKSAFETVQRRIADPFAVLPNRADQEAALIGSTAANPLDILVVGGGATGSGVALDAATRGLRVGLVEREDFASGTSSRSTKLIHGGVRYLEKAFFNLDYGQLKLVFHALEERKQVIDNAPHLCNALPCMTPCFDWFEVIYYWAGLKMYDLVAGRHLLHLSRYYSANESIELFPTLARNGKDKTLKGTVVYYDGQMNDSRLNVSIALSAALAGASVLNHAEVVSLIKDDNSGRIIGARIRDNQSGKEFDTYAKVVVNAGGPFCDFLRKLADTNAKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTIITMLPEPHEDEIEFILDAISDYLNVKVRRTDVLSAWSGIRPLAVDPNAKNTANISRDHVVTEDFPGLVTITGGKWTTYRRRCS >itb02g03730.t1 pep chromosome:ASM357664v1:2:2199961:2205083:-1 gene:itb02g03730 transcript:itb02g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIRLRRLGTIAALSAGAYTLLREPPFSANDHAGKSAFETVQRRIADPFAVLPNRADQEAALIGSTAANPLDILVVGGGATGSGVALDAATRGLRVGLVEREDFASGTSSRSTKLIHGGVRYLEKAFFNLDYGQLKLVFHALEERKQVIDNAPHLCNALPCMTPCFDWFEVIYYWAGLKMYDLVAGRHLLHLSRYYSANESIELFPTLARNGKDKTLKGTVVYYDGQMNDSRLNVSIALSAALAGASVLNHAEVVSLIKDDNSGRIIGARIRDNQSGKEFDTYAKVVVNAGGPFCDFLRKLADTNAKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTIITMLPEPHEDEIEFILDAISDYLNVKVRRTDVLSAWSGIRPLAVDPNAKNTANISRDHVVTEDFPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLIPNNECLTYNLQLIGADGWDPASFTILAQQYVRMKRSHGGKVVPGVMDTAAAKHLSHAYGSLAEKVAAIAQNEHLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAIHALPRVIEILAAEHKWNKSKQNQEFQKGREFLETFKSSKNAQFHDGKHT >itb09g23880.t1 pep chromosome:ASM357664v1:9:23494163:23500652:1 gene:itb09g23880 transcript:itb09g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKRVCLFVFNEDYICQNLVSEVLQHCSYEVLHIGRAMDALTEIGKRKHGISVVLTNMNRLKTKGAEIIQAIQEELNLRVCLILPGNMEFDDTRGLDCNVSAFIVNFSDTKDMKELWQSAFEKEKARKAAISSQVVGVETTTSENNEPSLDGEPGNDHYNRKAKELSEEQSEESGSETRKKPRLSWNPEMHQRFVEAVNKLGFDKAVPKKIVEFMNEPGLTREHVASHLQKYRMNLRKGQDSSSNFIYGHQKLTNDVTNPFYCSYPSALNLNSSFPFERNNNSVFSTLLGQSSLLNPNISTTLTQQPHMFPTNNLLGALNHIFPPQLSFDAKRVMNQNGEVGHSSGSSSVSMNQQQPTSFLGLRVVNNVLQFGESCGETHRESSSLFTADWTYSSGNNNADSVPFLETSTDQSVNHSETVSPAGTFIPQHQQASFPAAFGSSPYTEINTNDDPASIVSLLPLLGNSENLSSKQIESSSSLSVPPEVIEINSYGGEEDISALLDAADNDTPNNNPEEGLWDDDDFSDILSGFTK >itb01g28350.t1 pep chromosome:ASM357664v1:1:32827042:32830203:1 gene:itb01g28350 transcript:itb01g28350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISSFWGPVTSKEWCEPNYVYSPYIAEFFNTISIVPCILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMVYHATLQRMQQQGDETPMVWEMLLYLYILYSPDWHYRSTMPTFLFIYGAVFAIVHSQVRFVIGFKLHYALLCLLCVPRAYKYYIHTEDRLAKRLAKLYVATLMVGSACCLFERLFCKDISTWSFNPQGHALWHVLMGFNSYFANTFLMYCRAQQLGWNPTIKYLLGFLPYVKIQKPKVQ >itb01g28350.t2 pep chromosome:ASM357664v1:1:32827042:32830203:1 gene:itb01g28350 transcript:itb01g28350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISSFWGPVTSKEWCEPNYVYSPYIAEFFNTISIVPCILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMVYHATLQRMQQQGDETPMVWEMLLYLYILYSPDWHYRSTMPTFLFIYGAVFAIVHSQVRFVIGFKLHYALLCLLCVPRAYKYYIHTEDRLAKRLAKLYVATLMVGSACCLFERLFCKDISTWSFNPQGHALWHVLMGFNSYFANTFLMYCRAQQLGWNPTIKYLLGFLPYVKIQKPKVQ >itb01g28350.t3 pep chromosome:ASM357664v1:1:32828010:32830203:1 gene:itb01g28350 transcript:itb01g28350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISSFWGPVTSKEWCEPNYVYSPYIAEFFNTISIVPCILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMVYHATLQRMQQQGDETPMVWEMLLYLYILYSPDWHYRSTMPTFLFIYGAVFAIVHSQVRFVIGFKLHYALLCLLCVPRAYKYYIHTEDRLAKRLAKLYVATLMVGSACCLFERLFCKDISTWSFNPQGHALWHVLMGFNSYFANTFLMYCRAQQLGWNPTIKYLLGFLPYVKIQKPKVQ >itb04g30940.t1 pep chromosome:ASM357664v1:4:33917988:33918708:-1 gene:itb04g30940 transcript:itb04g30940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVKPILLPGPNFPISAFTIDQKLKNLVVSSVAKKRRRNDGREREREDVGGRVDRRTAARHPHPRPNAAVVVHPPSPAASSAILAVRWKEQQPRHSSRETQLCLRLLASTPPTSVTDVA >itb02g20330.t1 pep chromosome:ASM357664v1:2:18151263:18151541:-1 gene:itb02g20330 transcript:itb02g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNLMILSILLLLLAEAQFSSATCDVQQLSPCLSALTFDTKPSQLCCVRLNQQKPCFCEYVKNPTIKEYVIDSPAAKKAIETCKVSLPKC >itb01g12120.t1 pep chromosome:ASM357664v1:1:11555177:11559245:1 gene:itb01g12120 transcript:itb01g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRAAPGPKPPPSPPINATTPPPSAGTPPPLSPPPQAPPPATPPPRSAPPTPPSTPAPSVSPPPPPATPSAPAPTSEPPPSPPPPSSPSPNSPPSSSPPSPPSESPPSPPSPPSTTPPPPSESPPSPPSRSSPALPPPPPTHRKSPPAPTPPPSPPSPPPSSDSGISAGLVVGIAVGGVLILAVLSLIFICCRKRKRRPVDYYVPPPPPPTKGNEYGGPAQQWQQNAPPPRDLEVAIPPKPSLSPPGASWPPQSSGGTSSNYSGSSNPLLPPSPGMALSMSKSTFTYEELARATDGFSDANLLGQGGFGYVHRGVLTGGREVAVKQLKDGSGQGDREFRAEVEIISRVHHKHLVSLIGYCITGSQRLLVYEFVPNNTMEFHLHGNGRPPLEWHMRLKIALGAAKGLAYLHEDCQPKIIHRDIKSANILLDFNFETKVADFGLAKFTSDANTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPFDSKQTFMDDSLLDWARPILIRALEEGNFDALVDPRLGSDYDRNEMTRMVACVAACVRHSAKLRPRMSQVVRALEGDVSLSDLNEGIAPGHSTTYSSYGSSDYDTVQYNEDMRKFRKMALGSREYGSTGQYSNPTSEFGLNPSSSSSEGQNTGEMVSRLKKESK >itb14g02620.t1 pep chromosome:ASM357664v1:14:2243715:2245135:-1 gene:itb14g02620 transcript:itb14g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQIVPEGLNEWQQIDSHSPFFITTDCSEFPPLDHDQGSGFGNLEAMDSLSSLSGLETSSCGEEGEEAAEIEEEEEERRLTARLGVFGSEFIRAARDLKNHILACVTAGLKTRKNGIAILMTIAASGTVVLVLASFLYVKKMQRKAAPPQLESRDKFAILNVIKEKDERIKQLLLQISQMNELLQATRKVPVIRVG >itb12g10060.t1 pep chromosome:ASM357664v1:12:8043415:8044346:1 gene:itb12g10060 transcript:itb12g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTGRLICLAGRYRFEMERSAMEIDDDGCTTPKNPECRIPEATVCPPAPRKKPIRRRRKQPKKNNGSSSFFNPPDLDSAFNPPARREARAFR >itb07g02900.t1 pep chromosome:ASM357664v1:7:1936933:1938104:1 gene:itb07g02900 transcript:itb07g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLANLDSAQEITKFVINEGHGVKGLADMGIQTLPKQFIQPPRERIATSKLASQLFIPVIDMSNSNEQKVVDMMVDAAQMWGFFQIINHGIPTEVFENVKSATQRFFELPAEEKRKYLKEYSASNCVRFGTSFTPHEEKAFEWKDYLSLFYVSEDEAAALWPPACRDEALEFLRMSESVIRRLLEALMKGLNVKEIDREKELMLMGSKRINLNYYPICPNPELTIGVGRHSDVSTLTILLQDHIGGLYVRKQDDDTWIHVPPIPGALVINIGDALQIMSNGRYKSVEHRVVANESKNRVSVPIFVNPRPNDVIGPLQEVLDSGEKPMYKKVLYSDYVKHFFRKAHDGKETVEFAKI >itb09g07100.t1 pep chromosome:ASM357664v1:9:4122840:4125277:1 gene:itb09g07100 transcript:itb09g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKHNFIQVNGLKIHVAEIGSGTDRVVMFLHGFPEIWYSWRYQMVAVANAGFRAIAPDYRGYGLSDQPPEPEKATISDFVSDLPALLDALAIPRVYVVAKDFSNRIAHVFAILHPKRIAGFITFGVPAAPLNRPRLAEPLPGGAYTSRWMEPGRIEADFARFDVKTVLRKIYILFSKTEIPTAAEDQEILDLVDSSAPLPSWFTEEDLQTYAALYEKSGFRTAAQVPYRSLNEKLPVPSQEVDAPALFIMCGKDFSLKFPGIGDYVRSGQAKNIVPNMETAHLPEGSHFAQEQFPEEANQLIINFLKRHA >itb06g05930.t1 pep chromosome:ASM357664v1:6:8625435:8629139:-1 gene:itb06g05930 transcript:itb06g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPNQYAESAGTIAAMAGQAEEEEEAERDPESNSLHQPLLLKRNRTLSSSPLAMVGAKVSHIESLDYEINENDLFKHDWRSRSRTQVLQYVFLKWSLAFLVGLLTGIIATLINLAVENIAGYKLLAVVDYIDKKRYLMGFAYFAGANFMLTFVASLLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLIVKIIGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDDYRIKWRWLRYFNNDRDRRDLITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRSFMEYCKSSDCGLFGRGGLIMFDVSGVSVRYHVVDLIPIAVIGVIGGLLGSLYNHVLHKVLRLYSLINERGKLHKLLLSLSVSLFTSICLYGLPFLAKCKPCNPSQEESCPTTGGTGNFKQFNCPKGYYNDLATLLLTTNDDAVRNIFSINTPTEFNISSLIIFFVLYCILGLITFGIAVPSGLFLPIILMGSAYGRLLGIAMGRYAYIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLIAKSVGDCFNPSIYEIILELKGLPFLDAHPEPWMRNITVGELADVKPPVVTLRGIEKVGRIVEVLKNTTHNGFPVVDEGVVPPRTGLPIGATELHGLVLRTHLLLVLKKKYFMSERRRTEEAEVREKFTWIDLAERWGKIEDVAVTKDEMEMYVDLHPLTNTTPYTVVESLSVAKALVLFRQVGLRHMIVLPKYQAAGVSPVIGILTRQDLRAHNILSVFPHLANSKGSKKGN >itb09g08730.t3 pep chromosome:ASM357664v1:9:5196748:5200642:-1 gene:itb09g08730 transcript:itb09g08730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILVNTAISISIVKEIVRSILNVIGIHIAPCEEYSMEGPLDTFECRGTPSESYMEEFRSQTPAIRYDSVCIPRHSEKECSVCLTDFAPDAEINRLPCGHVFHRLCLEKWLRCWSVTCPLCRNCMVPHEGDEDTCPITNSTRTQQSCPNRLKPEQILQP >itb09g08730.t4 pep chromosome:ASM357664v1:9:5198208:5200642:-1 gene:itb09g08730 transcript:itb09g08730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILVNTAISISIVKEIVRSILNVIGIHIAPCEEYSMEGPLDTFECRGTPSESYMEEFRSQTPAIRYDSVCIPRHSEKECSVCLTDFAPDAEINRLPCGHVFHRLCLEKWLRCWSVTCPLCRNCMVPHEGDEDTCPM >itb09g08730.t5 pep chromosome:ASM357664v1:9:5196748:5200642:-1 gene:itb09g08730 transcript:itb09g08730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILVNTAISISIVKEIVRSILNVIGIHIAPCEEYSMEGPLDTFECRGTPSESYMEEFRSQTPAIRYDSVCIPRHSEKECSVCLTDFAPDAEINRLPCGHVFHRLCLEKWLRCWSVTCPLCRNCMVPHEGDEDTCPM >itb09g08730.t1 pep chromosome:ASM357664v1:9:5196748:5200638:-1 gene:itb09g08730 transcript:itb09g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILVNTAISISIVKEIVRSILNVIGIHIAPCEEYSMEGPLDTFECRGTPSESYMEEFRSQTPAIRYDSVCIPRHSEKECSVCLTDFAPDAEINRLPCGHVFHRLCLEKWLRCWSVTCPLCRNCMVPHEGDEDTCPITNSTRTQQSCPNRLKPEQILQP >itb09g08730.t2 pep chromosome:ASM357664v1:9:5196748:5200642:-1 gene:itb09g08730 transcript:itb09g08730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYSTPADAGVLCVILVNTAISISIVKEIVRSILNVIGIHIAPCEEYSMEGPLDTFECRGTPSESYMEEFRSQTPAIRYDSVCIPRHSEKECSVCLTDFAPDAEINRLPCGHVFHRLCLEKWLRCWSVTCPLCRNCMVPHEGDEDTCPITNSTRTQQSCPNRLKPEQILQP >itb11g19110.t1 pep chromosome:ASM357664v1:11:19702898:19717108:1 gene:itb11g19110 transcript:itb11g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVRRGGKKEENAPPPENPEVEERRRLKKLALSKRMLSETPVKAATAAGNALQPSKTVIKHHGKDLIRKSQRSKSRFLFSFPGLLAPVSGGKIGELKDLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLQFSRGGKNVVCDDYFDNMIVFSDAWWIGRKDENPNEVRLEFPKELNVNAEYDFKGGAGAACNGKEGINRTGINFAEQESPKHEQEDDSTEDQSNVKEFFDITPIRHSARTAKKTFNFADASSGDDFVVSDAETSDEEEQKKEKLPATVFDVDKDVVILDPCAEKSTPGAKVASTSKELPHSNHSSLVQATISTMFKKVEEKKELTDLKQKIVHKNVASSKKKGKVGEETKAGRGRTTTKKQLEAEDEEIEEFSSTSQDMDMDVSDEDWTA >itb11g00600.t1 pep chromosome:ASM357664v1:11:262946:268124:1 gene:itb11g00600 transcript:itb11g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMLMGILFGIALMAGWRHMMRYRSSKRMAKAVDVKLMGSLDRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPFVAEAAEAIIKMSVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDFRWGGDPSIILAVEALVASIPIQLKDLQVFTVIRVVFQLSEEIPCISAVVVALLSEPKPRIDYVLKAVGGSLTAIPGLSDMIDDTVETIVTDMLQWPHRIVVPIGGAGVDTSDLELKPQGKLRITIIRATNLKNQEMIGKSDPYVVLYIRPLFKVKTKTIDNNLNPVWNETFELIAEDKETQFVILEVFDKDNVGQDERMGVAKLPLKDLEAEKAKEIELRLLPKLDMLKVKDKKDRGTLTIKALYHEFNKEEQQAALEEEKAILEQRKKLKAAGVIGSTMDAIDGAASLVGSGVGAGVGLVGTGIGAGVGVVGSGLGAVGSGLSKAGRFVGRTFTGNSSSSKSQRSGSSTPVNSVQENGGAKPR >itb11g00600.t2 pep chromosome:ASM357664v1:11:262946:268100:1 gene:itb11g00600 transcript:itb11g00600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMLMGILFGIALMAGWRHMMRYRSSKRMAKAVDVKLMGSLDRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPFVAEAAEAIIKMSVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDFRWGGDPSIILAVEALVASIPIQLKDLQVFTVIRVVFQLSEEIPCISAVVVALLSEPKPRIDYVLKAVGGSLTAIPGLSDMIDDTVETIVTDMLQWPHRIVVPIGGAGVDTSDLELKPQGKLRITIIRATNLKNQEMIGKSDPYVVLYIRPLFKVKTKTIDNNLNPVWNETFELIAEDKETQFVILEVFDKDNVGQDERMGVAKLPLKDLEAEKAKEIELRLLPKLDMLKVKDKKDRGTLTIKALYHEFNKEEQQAALEEEKAILEQRKKLKAAGVIGSTMDAIDGAASLVGSGVGAGVGLVGTGIGAGVGVVGSGLGAVGSGLSKAGRFVGRTFTGNSSSSKSQRSGSSTPVNSVQENGGAKPR >itb08g04170.t1 pep chromosome:ASM357664v1:8:3312402:3314273:1 gene:itb08g04170 transcript:itb08g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLAVHFQIPNQKSSLRSPAYLKPSSRRFKICPVSATVSDTPPTVSMSPVPEKLPTRKIPGDYGLPLIGPWKDRLDYFYNQGREEFFRSRVQKYGSTVFRTNMPPGPFISFSPNVVVLLDGKSFPTLFDVGKVEKRDVFTGTFMPSTELTGGYRILSYLDPSEPKHAKLKQLMFFLLSSRRSHVIPEFHKSFTEMFEGLEKEVGSKGKVGLNAANDQAAFNFLARSWLGVDPAGTKLGIDGPNLVGKWVVFNLHPLLVLGLPKGLEEALLHTFRLPAGLVKKDYQRLYDFFYSNATAFFDEAENLGVSREEACHNLLFVTCFNSFGGMKIFFPNMIKWIGRGGARLHAELAREIRSVVKSNGGKVTMAGMEQMPLMKSVVYEALRIEPPVPAQYGRAKRDLIIESHDAAFEVKEGEMLFGFQPFATKDPKIFDRAEEFVPDRFTGENANELLSHVLWSNGPETESPTVNNKQCAGKDFVVLVSRLMVVELFLRYDSFDIEVGTSALGASVTVTSLKRASF >itb12g19150.t1 pep chromosome:ASM357664v1:12:21444260:21446913:-1 gene:itb12g19150 transcript:itb12g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVGLTGDLQQVFLHCKKRNQYTFLRKNLNFMTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSPALVEVGSGTGWTVYPPLSGITSHSGGAVDSAISSLHLSGVSSILGSINFITTIFNMRGPGFFDADGTIGIAMKHQLPQLSIRVTKKLLQDVESYKVVFGGNIYFDSSKNGYYHCMASFPRQMEEVDDIVHLSSIHPLIYISRREKHPEVYILILPGFGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSSSGKNKRCAPSPWAVEQNPTTPEWMVQSPPAFHTFGELPAIKETKSYVK >itb11g08500.t1 pep chromosome:ASM357664v1:11:5590455:5593506:1 gene:itb11g08500 transcript:itb11g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGGSEDGVMQQHPQVHYLPEHDDHHHHGFMSNGNVMEHDEHDDNGGGNGGSGGSECMEGDVAAADPGNLSDNHSPIVVHGGNESSNQLTLSFQGQVYVFDSVSPDKVQAVLLLLGGREVPPTTPMPITNQNYRGLPTAPQKLNVPQRLASLIRFREKRKERNFEKKIRYTVRKEVALRMQRNKGQFTSSKPTQDESATASNWDSSQGWGTNGGGSQIQNQEIFCRHCGISEKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKAVVPPLGHGTSLHRSEENGNVEASQMIRGTENGDDSL >itb11g08500.t2 pep chromosome:ASM357664v1:11:5590455:5593506:1 gene:itb11g08500 transcript:itb11g08500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGGSEDGVMQQHPQVHYLPEHDDHHHHGFMSNGNVMEHDEHDDNGGGNGGSGGSECMEGDVAAADPGNLSDNHSPIVVHGGNESSNQLTLSFQGQVYVFDSVSPDKVQAVLLLLGGREVPPTTPMPITNQNYRGLPTAPQKLNVPQRLASLIRFREKRKERNFEKKIRYTVRKEVALRMQRNKGQFTSSKPTQDESATASNWDSSQGWGTNGGGSQIQNQEIFCRHCGISEKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKAVVPPLGHGTSLHRSEENGNVEASQMIRGTENGDDSL >itb04g33040.t1 pep chromosome:ASM357664v1:4:35389890:35392487:1 gene:itb04g33040 transcript:itb04g33040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDNDGSSSITSLSPRMPAGFGSLCHWFKELKPEERGLYLIHLLLTCANHVAGGALDSANVALDQISHLASPDGDTMQRIASYFAEALADRILRSWPGLYKALHSTRLPVLSDQVTAKKILYDLFPFLKVAFMVTNQAIIEAMEGEKLVHIIDLNAAEPTQWRPLLQDLSARPEGPPHLRITGVHPHREPLDQMARALTDEAEKLDIPFQFTPIVTHLETLDFQQLRVKTGEALAITSSLKLHTLLAHNHEPGKNPNPQRLGDNNFLENHKIKGFLDAIRGLSPKIVVISEQESNHNGETLMQRLSESLHYYAAFFDCLESTLPRTSSDRLKAEKLLLGEEIKNIIACEGIERKERHEKLDKWFWRFGSSGFWNVPLSYYAMLQARRYLQSCRCDGYNVKEENGCVVICWQDRALFSVSCWRCRR >itb07g05280.t1 pep chromosome:ASM357664v1:7:3572316:3575507:-1 gene:itb07g05280 transcript:itb07g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHDYHHQAADGLVSLFTKANHDLNLVSFKLEKEFQQVYSDNTNPMKLVSRINKIQEELASIQEQCRELLAAKQDLIDKARTTLVGNRSLVQKLQASAGVPVIDDSNDPEFANFNQVIDEWTAQVRAGADDEDQEAVSEDINHLLFSAIVPGN >itb03g06230.t1 pep chromosome:ASM357664v1:3:4597542:4599660:-1 gene:itb03g06230 transcript:itb03g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLPGFRFHPTEEELLNFYLRSAVNAKKPRSDIIGFLNIYQHDPWDLPGKASEGQGEREWYFFVARDRKHGGGNGKANRTTGNGFWKATGSDRPIRSNSPSEGRKVLGMKKTLVFYQGRAPRGRRTDWVMNEFRLSDNTTCSLKEDVVLCKVYRKATSFKELEQKSLKEEQGYAVAASPTPASGGYGVHLKDFESTFFHVPTISSDQESNHDKAQKFSALYAKHGFAQQEDALSEEVDSLGNLFQVRDNWSLYAMY >itb05g14660.t1 pep chromosome:ASM357664v1:5:21902135:21904831:-1 gene:itb05g14660 transcript:itb05g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTSSQDVDENICKFAKKGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >itb06g25580.t2 pep chromosome:ASM357664v1:6:26549620:26551517:-1 gene:itb06g25580 transcript:itb06g25580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHAFQTQRTGNGYTNAPRPSLQAPDCGAKMLSCRAHSFPLLHPFSVGTFFKHLSVSPPKPSVLKPISKSLVTVASAAPPGTSHFGALNSHQKQQVHVYIDSLLQWNQKMNLTAVREESEVMERHIEDSLAIIDPIRNSYVSRCGASSENLKIVDVGTGAGLPGLILAIACPDWKVTLLESLNKRCQFLEHVAGETGLSNVEVIRERAENLGQNQNFRESFDVAIARAVAEMRILAEYCLPLVRVGGLFVAAKGHDPQEEVRRAERAIHLMGATLWQTCFGMFLFCFNK >itb06g25580.t1 pep chromosome:ASM357664v1:6:26548929:26551517:-1 gene:itb06g25580 transcript:itb06g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHAFQTQRTGNGYTNAPRPSLQAPDCGAKMLSCRAHSFPLLHPFSVGTFFKHLSVSPPKPSVLKPISKSLVTVASAAPPGTSHFGALNSHQKQQVHVYIDSLLQWNQKMNLTAVREESEVMERHIEDSLAIIDPIRNSYVSRCGASSENLKIVDVGTGAGLPGLILAIACPDWKVTLLESLNKRCQFLEHVAGETGLSNVEVIRERAENLGQNQNFRESFDVAIARAVAEMRILAEYCLPLVRVGGLFVAAKGHDPQEEVRRAERAIHLMGATLWQTCFVDSHSKYGQRTAIVCLKGGPTPKKYPRDPGTPAKLPL >itb04g05430.t2 pep chromosome:ASM357664v1:4:3516362:3519220:1 gene:itb04g05430 transcript:itb04g05430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWCSVLKRLIVLGREARLEKAKRKRPIGRLRIKWLALFSAPPHPKDEHEACREEELGNSATNAADSVHFVPGGAPCCVASSQPAHHHKEEISELSENPHLHAQKHCCSYDIEVQTLASIKIQTAFRGFLARKALRALKGLVRLQAIIRGHAVRRQTIATLKRLQSIVNIQSEVCAQRCNLVNNITEFQENQYQDLRERDIKIDLNSQRRWDNRVLSKAEVNAMCLSKRDAAIRRDRIREYWLSHRVSKLETLETDFSFLQIPTMNTKKCMHFQRSAESEQTSAHTKQRYWLQQWVDAQLAKREDLENLGTVFSASARMKDRFDNQREANAKPNMLKQYKTESLMSPIRAPRRSFNNSIGDDSPVASPAVPTYMAATESAKARARSLSSPRLRPMKFDAYSEINSPYKYKLSPISSINSEVTITSRVLHPTSLSQRSPCLRSAPGPVRSSRSLKNISLEQDL >itb04g05430.t1 pep chromosome:ASM357664v1:4:3516362:3519220:1 gene:itb04g05430 transcript:itb04g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWCSVLKRLIVLGREARLEKAKRKRPIGRLRIKWLALFSAPPHPKDEHEACREEELGNSATNAADSVHFVPGGAPCCVASSQPAHHHKEEISELSENPHLHAQKHCCSYDIEVQTLASIKIQTAFRGFLARKALRALKGLVRLQAIIRGHAVRRQTIATLKRLQSIVNIQSEVCAQRCNLVNNITEFQENQYQDLRERDIKIDLNSQRRWDNRVLSKAEVNAMCLSKRDAAIRRDRIREYWLSHRRSAESEQTSAHTKQRYWLQQWVDAQLAKREDLENLGTVFSASARMKDRFDNQREANAKPNMLKQYKTESLMSPIRAPRRSFNNSIGDDSPVASPAVPTYMAATESAKARARSLSSPRLRPMKFDAYSEINSPYKYKLSPISSINSEVTITSRVLHPTSLSQRSPCLRSAPGPVRSSRSLKNISLEQDL >itb06g13390.t1 pep chromosome:ASM357664v1:6:18126948:18130010:1 gene:itb06g13390 transcript:itb06g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEQGLSIEVIRVVPWVTCKYVDKNNVFSWNSIIADLARSGDSVEALRAFASMRKLSLRPNRSSFPCAIKSCSALCDLTSGRQVHQQVLIFGYGYDLFVSSSLIDMYSKCGKLKDARLLFDEIPQRNVVSWTSMITGYIQSDHAGDALLLFKELLVEESESLGEKEVYVDAVALVSVLSACSRVSGKTMTQGVHGFAIKRGLDEHLGVGNTLIDAYAKCSEVGLSKKVFEEMAEKDVISWNSIIAVYAQNGLSSEAIEMFHLLVKDKEVQYNAVTLSTLLLACAHAGALHAGKCIHDQVIKMSLEDNVYVGTSIIDMYCKCRRLEMARKAFNHMKEKNVKSWSAMIAGYGMHGQAKEALEVFYEMNHDGVTPNYITFVSVLAACGHAGLVNEGWYWFRAMEHRFHIQPGLEHYSCMVDLLGRAVLHDVGEEEKAMVLQVHSEKLAVAFGIMNSVPGATIHVIKNLRICSDCHTTIKLTTKIVDRGIVVRDSKRFHHFKDGLCSCGDYW >itb14g03900.t1 pep chromosome:ASM357664v1:14:3519561:3523310:1 gene:itb14g03900 transcript:itb14g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERFEEKQEEEEEEIWSWGAGTEGQLGTGMLSDEHCPQLLHSLSSFAPISLLACGGAHVVALTSGGRVVTWGRGTSGQLGHGEAVNNLQPKAIEALGGFVITHVSAGWNHSGFVSDNGHLFTCGDGTFGQLGLGDYLSRSSPVEVSYLSAENVKQIACGMRHSLALIKGESGDRVFGFGSGKRGQLGISDDKVKSVAIPQVTLGLENLKINAIIANGDHSAAICADGHLYTWGRSFGSDSDMYTPRCVTVRSSFCSAALGWNHALALTGDGEVFMIGGYKIKQTSEDAGKGEIVKKIDSLDGIKVLQISAGAEHSALVTDDGSVMTWGWGEHGQLGFGDTNDEAGPRVVSLSHEHAKKPSIAKVYCGSGFTFVVKKHAG >itb14g03900.t2 pep chromosome:ASM357664v1:14:3519561:3523166:1 gene:itb14g03900 transcript:itb14g03900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERFEEKQEEEEEEIWSWGAGTEGQLGTGMLSDEHCPQLLHSLSSFAPISLLACGGAHVVALTSGGRVVTWGRGTSGQLGHGEAVNNLQPKAIEALGGFVITHVSAGWNHSGFVSDNGHLFTCGDGTFGQLGLGDYLSRSSPVEVSYLSAENVKQIACGMRHSLALIKGESGDRVFGFGSGKRGQLGISDDKVKSVAIPQVTLGLENLKINAIIANGDHSAAICADGHLYTWGRSFGSDSDMYTPRCVTVRSSFCSAALGWNHALALTGDGEVFMIGGYKIKQTSEDAGKGEIVKKIDSLDGIKVLQISAGAEHSALVTVYRLTYVDDGSVMTWGWGEHGQLGFGDTNDEAGPRVVSLSHEHAKKPSIAKVYCGSGFTFVVKKHAG >itb14g03900.t4 pep chromosome:ASM357664v1:14:3519594:3522000:1 gene:itb14g03900 transcript:itb14g03900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERFEEKQEEEEEEIWSWGAGTEGQLGTGMLSDEHCPQLLHSLSSFAPISLLACGGAHVVALTSGGRVVTWGRGTSGQLGHGEAVNNLQPKAIEALGGFVITHVSAGWNHSGFVSDNGHLFTCGDGTFGQLGLGDYLSRSSPVEVSYLSAENVKQIACGMRHSLALIKGESGDRVFGFGSGKRGQLGISDDKVKSVAIPQVTLGLENLKINAIIANGDHSAAICADGHLYTWGRSFGSDSDMYTPRCVTVRSSFCSAALGWNHALALTESTSCQKSWVRISALLHALLGARQIGLYT >itb14g03900.t3 pep chromosome:ASM357664v1:14:3519594:3523310:1 gene:itb14g03900 transcript:itb14g03900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEENERFEEKQEEEEEEIWSWGAGTEGQLGTGMLSDEHCPQLLHSLSSFAPISLLACGGAHVVALTSGGRVVTWGRGTSGQLGHGEAVNNLQPKAIEALGGFVITHVSAGWNHSGFVSDNGHLFTCGDGTFGQLGLGDYLSRSSPVEVSYLSAENVKQIACGMRHSLALIKGESGDRVFGFGSGKRGQLGISDDKVKSVAIPQVTLGLENLKINAIIANGDHSAAICADGHLYTWGRSFGSDSDMYTPRCVTVRSSFCSAALGWNHALALTGDGEVFMIGGYKIKQTSEDAGSWPSFILIG >itb01g28140.t1 pep chromosome:ASM357664v1:1:32670656:32672532:1 gene:itb01g28140 transcript:itb01g28140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPHILLVTFPAQGHINPSLQFAKRLVRLGIQVTFATSVYAHRLMSKPNAAVPDGLNFAAFSDGYDEGFKQNHDIMHYMSEIRTRGSSSLKDIISDNEAQGRPFTAVVRTILLPWVSEVARDANLPSALLWIQPAAVLDIYYYYFHGYEDEFKNAATDPNCPVQLPGLPPLLSGDLPSFLLPSGSAGEYSFAMPTFKEEIEKLDLQTNPTILVNTFDALETEGLKSISSYNLLGVGPLIPSAFLDGKDPSDTAFGGDLFKKSKDDGSINEWLSSQPESSVVYISFGSLLNPSKTQKEEIARGLLEIKRPFLWVIRDKQEKEKSDHEEEEDDKLSCMEELEKQGLIVPWCSQIEVLKHPSLGCFVTHCGWNSTLESICSGTPVVAFPHWTDQGTNAKLIQDVWKTGVRVTQGEDGVVGSEEIKRCIETVMDGGDKGEELRRNAKKWRDLAMEANREGGSSDTNLKSFAGNVRNH >itb07g10970.t1 pep chromosome:ASM357664v1:7:12361277:12363089:-1 gene:itb07g10970 transcript:itb07g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEVSKLPSESSIAASKNRGDFHGSDSPARDRPLKLPPHHRFCSHQSFAALKNNFSLKLITNEISEYPPAHSIFKPPNTRVALTRAQSIVRRLRNDRQLHCLDANSFGLLAVVAAKAGQIFYATSIIKSMLKSGYLPHVKAWSAVISRLSSSGDDGPTKALSLFTLVTGKVRRISDPAVVNNSRSDTAAYNAVLNACANLGYARKFLQLFDEMSEFGCEPDVLTYNVMIKLCARADRKDLLVFVLERIIEKGIALRMATTAALQQIPLSDKYENLKIVFYDTSCGYFEEFGLLPPNLDLRFISRLDLDCSGLLLL >itb09g20310.t1 pep chromosome:ASM357664v1:9:17106439:17107373:1 gene:itb09g20310 transcript:itb09g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATHPLAIESFSYSWLLNARRPSLDGLSDSDSDSESTHQDQDITFVVLHSMRFSEADEAKNFRFDINDSECGLVHADEIFSDGHIMPLYLDRPKPLVEEESLKQAFNNSSSVSSAPATPANFSLSVRGKKEPQDYIIQKWKKLLGTRILRKWFGFLRPVCKRLGGSRKSCAKVDDLNRKAREVQRWSNYCKADPRKTKSCSNTPQESPLHYTTTAYNSPDVWRDMAAQTSITEAIIHCRKSFGMLDTT >itb13g00860.t1 pep chromosome:ASM357664v1:13:776111:780896:-1 gene:itb13g00860 transcript:itb13g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRKGVVSGSVWESRIRLDEVKGGIKALINTSQTEESENPQEIDGQNAAFSPPSNDGVHEEDGKSSVGPKPSPVGASGKRKTWKAESFEGTPIQIARKTRSDLTKSFDEEKFKELGVKNQSQSKNSRSQEGIERSSAEKLKSRSGLQKQKVKSLLNDTGTEKNSEVKRVKSENSLSLHPVNTDSASSKDFDENVKSCEGVDEPTNATFDKNKVFQGEEAKSDGNKVVDESKNNLKECFANNEKKPTEVQKTKSDENSKEPKVAIDLVKSTPKVEGDDSDRDQWEEAEEKPEIKVENKNVVKEISASSEKEKPKNIVTVEKKLHHSNIRAVPISPIVKKQPSQITGHARIHPTPSRTKPVPASDESHKTHRPHSRLQSYADLVMWRDITKSAFVFGVGTFLIISSSYVQDFSVSMTSLLSYMGLVYLAIIFTFRSFFHRGTIQVGETSEYVVGEDEAVRVVKFVLPCINEFLLNIRALFSGDPATTMKMAVLLFILAQCGSSITVWKMAKLGFFGVFVVPKVCSSYSSQLTAFGTLWIRRLKNSWESCTHKKAIAFGIFTLAWNLSSAIARIWAVFMLYVAFRYYQQSLMRESVGSKPVDSRQPGRRTNLTVNTNKLKKPM >itb13g03660.t1 pep chromosome:ASM357664v1:13:3557916:3560812:-1 gene:itb13g03660 transcript:itb13g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDSVHSIIWALSSLQDFLQKEYNNGGAPVRHLEIKIRDFALKAEDDIEIQLRSFLLAQDGECQAKARGKLHQSLRKDAEDAEELSKIISNSRSSEPEVAMASETHPSIHWLKPENVVLSGPYSPCSPNLEDQFNEDAFNEVEKMLFHTSATKNIVSIVGLSGIGKTTLAKRVYGSDMADTYARAWVTVPQEYKGNTLSQLKEKLHKHFGGSYEKKCFLVLDDIPNARALANILTCLPNDSFKIRILLTTQFNTVGSDAAPIGNVHKMCILDPKRSWDLFCKKLSPKELTRAPKFVEIAQHVVKECEGLPRSIVAVAERLSKCSYILKEWKKIEKEVDTLGPLYNDAEHLSKLTLSYNQLPQHLKVCFLYFAIYPKGFKINVKRLIKIWIAEGFVRQMECKGSGWQLVKDLEEEGYCYLDRLVHSGLVAISEWEHKMTNVCWIHSSLWDFCTRQTKKEGLLCAVYTRKDLGLPLDMFVNSCRWLSLYSHRLDYYTLFTTNNPRSLLFFQGDYVKSVSFKLLRIVDLSALQIFKTVPLHLRDLVFLRYLCVPPWFEGLDDVVSNNQNLQTIIVCGGEPQLRTGHFHLSFRIWELPHLRHLELGNYYEVDPPNRYIEQLQTLSWVSPTHFTEEEYWKFSNLKYLKVFYKGAFEPCSSPGPCRNPTINLDYIWCLSKLETLKVIVPANSMTNLERSVFPTRLKKLRLSWTNLPMKLPWVLRALKVLKLENAFSGQVWGSFGRFSDLEVLLIEATNLQKWEVGSSDFPELKHLILRRCYCLEDIPFQVHLIGTLETIKVEQCPPCVVASAMKIQEERKWLWKKTNNPVEEHNISRARLIRYIAAHTPLTVVVDDVIHDFS >itb07g10020.t1 pep chromosome:ASM357664v1:7:10288538:10289531:1 gene:itb07g10020 transcript:itb07g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGWLAVHVGAEEDEGNNKGSESESQRFVIPISYLYHPLLQSVLEKAREVYGYHADGPLRLPCSVDDFLHIRWQIEKEANYSANHHHHHHHLPTTLSFHSC >itb07g23610.t1 pep chromosome:ASM357664v1:7:27974502:27975173:1 gene:itb07g23610 transcript:itb07g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDISTSLSSSPCKNTNGDNESRPPAKKLKLFGFDLAGGETNTNVSSKEDHDSANSSSSSTTVVSSAASGDKPSAACGGAGERKFECQYCFKEFANSQALGGHQNAHKKERMRKKRLQIQARKASLSCYYLQQHPFLQKNNNSSPSWLFDPSSANSSDQLPPFEGSQISFGSQEPFLPDSSSAMFFTLSTNNPRKISKNHHSNKTCKSLDLQLGLSLLHSTH >itb14g19110.t1 pep chromosome:ASM357664v1:14:21867703:21871117:-1 gene:itb14g19110 transcript:itb14g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMYNNGISIAVSDDESDELAGRIRARVRRKRKKHGVRGKTEFTRRVIQLLLRWWPVLLCLLAVGLLLFEASRIGGNSSPQVRNSDHLPRKKSVDTVVEGKPPGNLNRLDPVTRARGGVRERCLDLLPPEELEKLDFPIGDAHTKPVKSIVYKSDADEHSAEGNLTLQQFSDSTRFNLFTGSQSFEQREESFKVSETAVVNCGFYSEAGGFKISDEDKQYMQSCKSVVSTCAFGGGDNLYQPIGMSESSLKKVCYVAFWDNITLATQQAEGRTVGDDNYIGKWRIILVKDLPFSDQRLNGKIPKMLPHRLFPNARYSIWVDSKSQFRRDPLGVFEALLWRSNSVLAISEHGARSSVYDEAKAVVKKNKATPEEVAVQMIQYHKDGLPEDKRFNGKKALSEASIIVREHNPSTNLFMCLWFNEVVRFTSRDQLSFPYVLWRFKVLKNINMFPVCTRKDLVNSIGHVRKAKPLTN >itb13g26980.t1 pep chromosome:ASM357664v1:13:32051856:32056336:-1 gene:itb13g26980 transcript:itb13g26980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPSRHPSSSSANAKPPQSSNASHRKSRWESPAKNRPPKDSKPSGSGLGDGKPKPSPKTGKETAPSTANANKPPTPKPRSDFRSPKPADPDTRSALPPFPFQEPPPPPTYGFHMLERRSIALSDGSLRPYYALPPNYQDFQPLPPRDFRGPGLGFDRQFPMSPDFRPEFRDRENPFMWNRNQDSWNSLGLDGQASGPGMGPGVHENPMKRKFGDDAREVYDGFERQRQQVLKHGNMSNSPGTSGLYRRDVGEMRPAKFMRTAEANVGQLKHHTVDQNALKKAFLHQIKLVYENTNQKNRFLADGKQGRIQCLVCGSSSQDFPDMHSLIMHAYNSNNADSTIEHLGFQKALCILMGWNYLIPPDNSKSYQLLSGDEAAANQNDLIMWPPLVIIHNTMTGKRADGRTEGLGNKAMDSYLRGIIHPCILSFLSNSSEGDIPASKILSICLGFSSSYLLSVSCELLETYALHMW >itb06g23320.t1 pep chromosome:ASM357664v1:6:25331285:25332544:1 gene:itb06g23320 transcript:itb06g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRQIRFGILGCATVARNLARAISLAPNATISAVGGSTAEKATAFAAENECPATAKIYGGYDAVLDDPDVDAVYIALPTSLHVRWAVLAAQKKKHVLVEKPAALNVAELDRILAACEASGVQYMDATPWMHHPRTAKMKEFFSDPLRLGQLKSVHCNVSFSLNIHPGEKPDVDAVGALGDAGWHSISAILLTTDYDLPESVTAFPDPEVNEAGVILSCGASLKWQDGRVATFYCSSVADMAMDIFAYGIAGKLRVHDFVIPFAEQSAPFYTLESYRFIEHGVKADFPQDVLMVIEFCNLAGSGSAPEKKWAVISRKTQLVVDAVMASIQKGFETIKVVY >itb08g15140.t1 pep chromosome:ASM357664v1:8:17196297:17198098:1 gene:itb08g15140 transcript:itb08g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGKMERMYWPIMASEVMKTNPGHYVSLIIPLPIAAADENSDEKTVRFSRVKLLRPTDTLVLGRAYRLITTQEVVKVLKAKKYAKMKTDSQKQSLGCEVEVEEEEAESEERKNNQGMRHEKRRQRGGATNQGGVRSKSWRPSLQSISESGAGS >itb06g16390.t1 pep chromosome:ASM357664v1:6:20563668:20570211:1 gene:itb06g16390 transcript:itb06g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKHEMWDVIVVLLVVLLGASWVSLGTASVSYDKHSFIINGQRKILLSGSIHYPRSTPEMWPDLLKKAKEGGLDVVQTYVFWNGHEPQPGKYYFEGRYDLVKFIKLAQQAGLYVHLRVGPYACAEWNFGGFPVWLKYVPGVSFRTDNGPFKAAMQKFTTMIVNMMKSQRLYESQGGPIILSQIENEYGPMEYELGAPGKSYAQWAAKMAVGLGTGVPWVMCKQDDAPDPVVNACNGFYCDWFSPNKAYKPKMWTEAWTAWFTEFGGPVPYRPAEDLAYSVAKFIMKGGSFINYYMFHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLREPKWGHLKDLHRAIKLCEPALVSGDPNVISLGNYQEARVFKSKSGACAAFLANNNQHSFATVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQSSRMKMTPVSRGFSWQSYNEETSSYDDSTFTATGLLEQINTTRDASDYLWYMTDVRIDPREAFLKGGKWPWLTVYSAGHALHVFINGQLVGTSYGSLENPKVTFSKAVYLRAGVNKISLLSIAVGLPNVGPHFETWNAGVLGPVSLTGLNEGKRDLTWQKWSYKIGLKGEVLSLHSLSGSSSVEWVQGSFVAQKQPLTWYKTTFDAPAGNEPLALDMNTMGKGQIWINSQSIGRYWPGYKASGGCGACNYAGWFDEKKCLSKCGEASQRWYHVPRSWLRPTGNLLVAFEEWGGNPYGVSLVKREVASVCADIYEWQPQLMNWEMQASGKVTRPLRPKAHLSCGAGQKITSIKFASFGTPRGSCGSFSEGSCHAFHSYDIFQKYCIGWNSCTVPVTPEAFGGDPCPSVMKKLSVEVICS >itb03g14980.t2 pep chromosome:ASM357664v1:3:14482190:14486983:-1 gene:itb03g14980 transcript:itb03g14980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALTFLYGRCCSAPPESGGSLGHHGVTPATVGVSALAQDLYNFEITSQVPQELKQHVVSSRKAQSNWYRKLSDAWREARPPPKTPEEAARLVIQTLKNHQTADVEGLLAFYGLPLPAALVQLTYEGPPLCPEGLQFELLTLPVDPKAVADGDTITVYVGTTDPREASCVPSDVQVAAVERSEARSHRNYARADQLHQHIIDSGYRVIRVNGEEVLARKYRIRLRYDKKLRFYGEVRVVGLIQG >itb03g14980.t1 pep chromosome:ASM357664v1:3:14482190:14486983:-1 gene:itb03g14980 transcript:itb03g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALTFLYGRCCSAPPESGGSLGHHGVTPATVGVSALAQDLYNFEITSQVPQELKQHVVSSRKAQSNWYRKLSDAWREARPPPKTPEEAARLVIQTLKNHQTADVEGLLAFYGLPLPAALVQLTYEGPPLCPEGLQFELLTLPVDPKAVADGDTITVYVGTTDPREASCVPSDVQVAAVERSEARSHRNYARADQLHQHIIDSGYRVIRVNGEEVLARKYRIRLRGIDAPEGQMPYGQEAKEELVKIVQGKCLRLLVFDEDRYGRAVADVYCNGIFVQEVMLKKGLAWHYTAYDKRPELAKWETHARAKGIGLWASKNPEMPWEWRRERRENNRH >itb03g14980.t3 pep chromosome:ASM357664v1:3:14482190:14485441:-1 gene:itb03g14980 transcript:itb03g14980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALTFLYGRCCSAPPESGGSLGHHGVTPATVGVSALAQDLYNFEITSQVPQELKQHVVSSRKAQSNWYRKLSDAWREARPPPKTPEEAARLVIQTLKNHQTADVEGLLAFYGLPLPAALVQLTYEGPPLCPEGLQFELLTLPVDPKAVADGDTITVYVGTTDPREASCVPSDVQVAAVERSEARSHRNYARADQLHQHIIDSGYRVIRVNGEEVLARKYRIRLRGIDAPEGQMPYGQEAKEELVKIVQGKCLRLLVFDEDRYGRAVADVYCNGIFVQEVMLKKGLAWHYTAYDKRPELAKWETHARAKGIGLWASKNPEMPWEWRRERRENNRH >itb03g14980.t4 pep chromosome:ASM357664v1:3:14482190:14486983:-1 gene:itb03g14980 transcript:itb03g14980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALTFLYGRCCSAPPESGGSLGHHGVTPATVGVSALAQDLYNFEITSQVPQELKQHVVSSRKAQSNWYRKLSDAWREARPPPKTPEEAARLVIQTLKNHQTADVEGLLAFYGLPLPAALVQLTYEGPPLCPEGLQFELLTLPVDPKAVADGDTITVYVGTTDPREASCVPSDVQVAAVERSEARSHRNYARADQLHQHIIDSGYRSFS >itb02g05520.t2 pep chromosome:ASM357664v1:2:3337948:3342979:-1 gene:itb02g05520 transcript:itb02g05520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELVPCGKVGYEAFLTVLNYLYTGKLRTYAPEVSTCVDESCAHHACRPAIDYAVELMYASATFQITELVMVFQRHLFSLLDEALEDDVIPILLVAFRCSLGQLLEQCVERITRSNIDAFTLEKELPYKVLSEIRASRAAPVVVDSVKEKRIGKIVKALDSDDIELVKLLLEESNVSLDDACALHFAAAYCTPKIVNQVLSLGLADLNRRNVQGYTALHVAARRRDLSIIVGLLSNGASVMDATMNGQTAVTICRRLTRPKEYYKATKHGQETSNDWLCIDVLEREMLRNPICLRNLSQPSSMLDEELVMDLYILENRVALARVLFPREAKLAMEMARADSTSEFAGLSSTEGNPRCLDPKELPPFQVKQLKDRMEALQNTVVTGRRFFPNCSEVLDRLLDDVFVHDAAVLDSGTPEEQKIKRVRYTELKNEIMKAFDRDKAEMNSFNGFSPSSSSSTSAKISVSPKGRRR >itb02g05520.t1 pep chromosome:ASM357664v1:2:3337813:3343068:-1 gene:itb02g05520 transcript:itb02g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDEFSSSMNFASSSSLSNGPHMPSCSGSVEFLSLNELSSGLEKLLTDAEFDYSDAEIVVEGVSVGVNRCILAARSRFFHDKFKKERGNQDSGKEPGKPRILMTELVPCGKVGYEAFLTVLNYLYTGKLRTYAPEVSTCVDESCAHHACRPAIDYAVELMYASATFQITELVMVFQRHLFSLLDEALEDDVIPILLVAFRCSLGQLLEQCVERITRSNIDAFTLEKELPYKVLSEIRASRAAPVVVDSVKEKRIGKIVKALDSDDIELVKLLLEESNVSLDDACALHFAAAYCTPKIVNQVLSLGLADLNRRNVQGYTALHVAARRRDLSIIVGLLSNGASVMDATMNGQTAVTICRRLTRPKEYYKATKHGQETSNDWLCIDVLEREMLRNPICLRNLSQPSSMLDEELVMDLYILENRVALARVLFPREAKLAMEMARADSTSEFAGLSSTEGNPRCLDPKELPPFQVKQLKDRMEALQNTVVTGRRFFPNCSEVLDRLLDDVFVHDAAVLDSGTPEEQKIKRVRYTELKNEIMKAFDRDKAEMNSFNGFSPSSSSSTSAKISVSPKGRRR >itb02g05520.t3 pep chromosome:ASM357664v1:2:3337826:3343028:-1 gene:itb02g05520 transcript:itb02g05520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDEFSSSMNFASSSSLSNGPHMPSCSGSVEFLSLNELSSGLEKLLTDAEFDYSDAEIVVEGVSVGVNRCILAARSRFFHDKFKKERGNQDSGKEPGKPRILMTELVPCGKVGYEAFLTVLNYLYTGKLRTYAPEVSTCVDESCAHHACRPAIDYAVELMYASATFQITELVMVFQRHLFSLLDEALEDDVIPILLVAFRCSLGQLLEQCVERITRSNIDAFTLEKELPYKVLSEIRASRAAPVVVDSVKEKRIGKIVKALDSDDIELVKLLLEESNVSLDDACALHFAAAYCTPKIVNQVLSLGLADLNRRNVQGYTALHVAARRRDLSIIVGLLSNGASVMDATMNGQTAVTICRRLTRPKEYYKATKHGQETSNDWLCIDVLEREMLRNPICLRNLSQPSSMLDEELVMDLYILENRVALARVLFPREAKLAMEMARADSTSEFAGLSSTEGNPRCLDPKELPPFQVKQLKDRMEALQNTVVTGRRFFPNCSEVLDRLLDDVFVHDAAVLDSGTPEEQKIKRVRYTELKNEIMKAFDRDKAEMNSFNGFSPSSSSSTSAKISVSPKGRRR >itb02g11520.t1 pep chromosome:ASM357664v1:2:7684401:7695441:-1 gene:itb02g11520 transcript:itb02g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPKNLAVLLRCAARLSSTTFPAAAKDSFPRHCFSSSSAINRFVHSSSSPSEPLSDIVVENSGTCSSSDGKSCDHEDPTTELARELSSLLCVGIDSDLVSSPKSQVTNDDKSLENVLGVPWTSSISHCNVSFVQKEVSQERKQKWTYKSSQQTHLECLTDLCAKKMGTEDSDLVNSPESQATNGDKSLENVLGVPWISNISYDNVSLYRKEVSRERKRKWTYKSSRKARLDCLTNLCAKQMGTDATILVLGKLGRETGLKEYNAIVSLSVEKARKMDTVEESLEQLSNAYKYLQAIKERGFQLEEETYGPVLMFFIDFGMVPEFHFFCELIRDGNGCSLPKLAYYEMLLWIKIDNQDKIEELLYSLALYDGEDKYAFQENYLLAMCNSGCKKGCMRLLNTVDITSVASVKHLSNIFKLLGKLMLETFAEKCFLDLKTTDIGAENISSFIYEYTTSMPNLMVEDVVLKFKNLHSELDVALTSEQCEKLIRFSCELLKVHTALNIVDQMIEAGLSLSTGTFNLILDACEDSCEFNLVRQMYLIISSHDLKPNNETFQKLIHLSVKMKDFDGAYKMIKDLGKLNLLPTVNMYNAIMAGYFREKNIRSGLMVLKQMEHANVKPDSLTFSYLLNNCKSEEDIIKLYNEMNNSGVEVTKHVYMSLINAYAACGQFEKAKQVILDERIPVKSLNEVKSVLVSALASHGQISDALDIYEEIKQTKCNRTPKTVISLIEHLQSEGELDRLLHLLDELDDRDYQVDASFRVISYCIRHEQFRPVIGLLKKLKEMYCNDEVAREVLFDEVFCLVAEKEPINLEIGWSLLQTIKNELGVLPSRKSLDFLLSACISAKCLPFCFKIWGEYRKAGLRYNTLNYLRMYQVLLASGLQKPAAAILRKIPKEDPHVCYVIKECQKTYRKGRNKRNKAFVV >itb02g11520.t4 pep chromosome:ASM357664v1:2:7684401:7695441:-1 gene:itb02g11520 transcript:itb02g11520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDSDLVNSPESQATNGDKSLENVLGVPWISNISYDNVSLYRKEVSRERKRKWTYKSSRKARLDCLTNLCAKQMGTDATILVLGKLGRETGLKEYNAIVSLSVEKARKMDTVEESLEQLSNAYKYLQAIKERGFQLEEETYGPVLMFFIDFGMVPEFHFFCELIRDGNGCSLPKLAYYEMLLWIKIDNQDKIEELLYSLALYDGEDKYAFQENYLLAMCNSGCKKGCMRLLNTVDITSVASVKHLSNIFKLLGKLMLETFAEKCFLDLKTTDIGAENISSFIYEYTTSMPNLMVEDVVLKFKNLHSELDVALTSEQCEKLIRFSCELLKVHTALNIVDQMIEAGLSLSTGTFNLILDACEDSCEFNLVRQMYLIISSHDLKPNNETFQKLIHLSVKMKDFDGAYKMIKDLGKLNLLPTVNMYNAIMAGYFREKNIRSGLMVLKQMEHANVKPDSLTFSYLLNNCKSEEDIIKLYNEMNNSGVEVTKHVYMSLINAYAACGQFEKAKQVILDERIPVKSLNEVKSVLVSALASHGQISDALDIYEEIKQTKCNRTPKTVISLIEHLQSEGELDRLLHLLDELDDRDYQVDASFRVISYCIRHEQFRPVIGLLKKLKEMYCNDEVAREVLFDEVFCLVAEKEPINLEIGWSLLQTIKNELGVLPSRKSLDFLLSACISAKCLPFCFKIWGEYRKAGLRYNTLNYLRMYQVLLASGLQKPAAAILRKIPKEDPHVCYVIKECQKTYRKGRNKRNKAFVV >itb02g11520.t2 pep chromosome:ASM357664v1:2:7684401:7695441:-1 gene:itb02g11520 transcript:itb02g11520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPKNLAVLLRCAARLSSTTFPAAAKDSFPRHCFSSSSAINRFVHSSSSPSEPLSDIVVENSGTCSSSDGKSCDHEDPTTELARELSSLLCVGIDSDLVSSPKSQVTNDDKSLENVLGVPWTSSISHCNVSFVQKEVSQERKQKWTYKSSQQTHLECLTDLCAKKMGTEDSDLVNSPESQATNGDKSLENVLGVPWISNISYDNVSLYRKEVSRERKRKWTYKSSRKARLDCLTNLCAKQMGTDATILVLGKLGRETGLKEYNAIVSLSVEKARKMDTVEESLEQLSNAYKYLQAIKERGFQLEEETYGPVLMFFIDFGMVPEFHFFCELIRDGNGCSLPKLAYYEMLLWIKIDNQDKIEELLYSLALYDGEDKYAFQENYLLAMCNSGCKKGCMRLLNTVDITSVASVKHLSNIFKLLGKLMLETFAEKCFLDLKTTDIGAENISSFIYEYTTSMPNLMVEDVVLKFKNLHSELDVALTSEQCEKLIRFSCELLKVHTALNIVDQMIEAGLSLSTGTFNLILDACEDSCEFNLVRQMYLIISSHDLKPNNETFQKLIHLSVKMKDFDGAYKMIKDLGKLNLLPTVNMYNAIMAGYFREKNIRSGLMVLKQMEHANVKPDSLTFSYLLNNCKSEEDIIKLYNEMNNSGVEVTKHVYMSLINAYAACGQFEKAKQVILDERIPVKSLNEVKSVLVSALASHGQISDALDIYEEIKQTKCNRTPKTVISLIEHLQSEGELDRLLHLLDELDDRDYQVDASFRVISYCIRHEQFRPVIGLLKKLKEMYCNDEVAREVLFDEVFCLVAEKEPINLEIGWSLLQTIKNELGVLPSRKSLDFLLSACISAKCLPFCFKIWGEYRKAGLRYNTLNYLRMYQVLLASGLQKPAAAILRKIPKEDPHVCYVIKECQKTYRKGRNKRNKAFVV >itb02g11520.t3 pep chromosome:ASM357664v1:2:7689018:7695441:-1 gene:itb02g11520 transcript:itb02g11520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAPKNLAVLLRCAARLSSTTFPAAAKDSFPRHCFSSSSAINRFVHSSSSPSEPLSDIVVENSGTCSSSDGKSCDHEDPTTELARELSSLLCVGIDSDLVSSPKSQVTNDDKSLENVLGVPWTSSISHCNVSFVQKEVSQERKQKWTYKSSQQTHLECLTDLCAKKMGTEDSDLVNSPESQATNGDKSLENVLGVPWISNISYDNVSLYRKEVSRERKRKWTYKSSRKARLDCLTNLCAKQMGTDATILVLGKLGRETGLKEYNAIVSLSVEKARKMDTVEESLEQLSNAYKYLQAIKERGFQLEEETYGPVLMFFIDFGMVPEFHFFCELIRDGNGCSLPKLAYYEMLLWIKIDNQDKIEELLYSLALYDGEDKYAFQENYLLAMCNSGCKKGCMRLLNTVDITSVASVKHLSNIFKLLGKLMLETFAEKCFLDLKTTDIGAENISSFIYEYTTSMPNLMVEDVVLKFKNLHSELDVALTSEQCEKLIRFSCELLKVHTALNIVDQMIEAGLSLSTGTFNLILDACEDSCEFNLVRQMYLIISSHDLKPNNETFQKLIHLSVKMKDFDGAYKMIKDLGKLNLLPTVNMYNAIMAGYFREVALFHLYRCWFF >itb09g12220.t1 pep chromosome:ASM357664v1:9:7712087:7713033:1 gene:itb09g12220 transcript:itb09g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRSPMSSLVPDRLGKSAKLLEPSWTSDSMMDCLRSSLEVLDNQIRVVLEVAQHLGENMVRTIAMDGTEGLVCGQHPLSYHCELSKAVPSFCYFQRSWNWVFFFSDECSGDGDNDFGRVVLSSALQFDDFIPNTETKKPCREVSFPSYDKSLFWNPSLKMFWLFHVSKTIREVAGKPHQF >itb05g10230.t1 pep chromosome:ASM357664v1:5:15319378:15319943:1 gene:itb05g10230 transcript:itb05g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRRPRSSHAAAACRKHPQNRHCPGVCSACLTERLSRLSAAATTSRANTATSSCSSSSSLSSLSSLSSSELSSYSSSPVHRHFRRGKIIGVMKSKSVGRGFWSKLLGHRSEKRVDEGLVHSRTMRETTIVH >itb12g23990.t1 pep chromosome:ASM357664v1:12:25620577:25624043:-1 gene:itb12g23990 transcript:itb12g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTPNLECRMYEAKYPEVDHAVMIQVKSMADSGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMQIDLEDLYIHVGWPLYRKYGHAFEAFKLVVNDPDSVLNSLTREVKDVGPDGKEVTKVVPALSEEVKDSLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNKDCPVKIKLVAPPAYVLNTQTLDKEQGITVLNQAIVACNEEIERHKGKLTVKEAPRAVSEREDKLLAEQMAKLTRENEEVSGDEDSEEEEDTGMGEIDVENSGHGITD >itb14g18140.t1 pep chromosome:ASM357664v1:14:21251868:21258389:-1 gene:itb14g18140 transcript:itb14g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCGGGGGPRGAGMEDRLGITHPDPSAIAEECWASAEEMIQELVNCIHPTMDSEEKRKDVMEYIQKLIRDSLACEVFPYGSVPLKTYLPDGDIDLTALTAPNTEEFLVHDVLALLREEEKKENVEYEVKDTQFIDAEVKLVKCLIQDIVIDLSFNQLGGLCSLCFLEQVDRLVGKNHLFKHSIMLIKSWCYYESRILGSHHGLISTYALETLILYIFQFFHSSLNGPLAVLYRFLDYYSRFDWEKYCISLNGPVCKASLPEIVVSIPDNGGNLLLSEEFLRNCMEMFSAPSRVIGNTRVFKQKHLNIIDPLNETNNLGRSVHRGNYYRIRSAFKYGARKLGRILLSSPDKIGDGITKFFANTIDRHGHNRFYNLKHSSLKFCPNGSGVLSSPSPAEFISEDEMPLNSSFGYCENDNFEWEDKCGSVLRNEANKLMKTVSECSSLTIGATVSGHGLSGDTDEPACPHALNPSSANSMSNCSTSGNCSDSLSGLDYSAPEFHSLKSCAVNGSCKNWAVFQSGQFDYVYGKPGFGSWIDQGEFPLENSSIYQSVTDYSESVCSGDSATSTPKTSILESLSLDFRERDLASIAGDLEVLNPLADLTGDYDSHIRSLIYGQCCHGYAFMASLFDPSTQSHFQNKVFCNAVQQSSTLGQNSVAKTNMSTVIVRPFVSSPTNHSPSTVTRSKEKPKAPLPEPFVPNMDHSFRERPWKTKGRNKEFGSDVQFHNGTNSNGWVPVLSEANCLENDGSQEFSHAQPSCKKRGKFSTPNQYDHHPAGDSDENGLSNLLCGIEFGSLGKIPEDFISGSSRDRTPTTLSDKEPSAKPELCRKERFADQSFHLKNEDEFPPLPM >itb14g18140.t2 pep chromosome:ASM357664v1:14:21251933:21255849:-1 gene:itb14g18140 transcript:itb14g18140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSWCYYESRILGSHHGLISTYALETLILYIFQFFHSSLNGPLAVLYRFLDYYSRFDWEKYCISLNGPVCKASLPEIVVSIPDNGGNLLLSEEFLRNCMEMFSAPSRVIGNTRVFKQKHLNIIDPLNETNNLGRSVHRGNYYRIRSAFKYGARKLGRILLSSPDKIGDGITKFFANTIDRHGHNRFYNLKHSSLKFCPNGSGVLSSPSPAEFISEDEMPLNSSFGYCENDNFEWEDKCGSVLRNEANKLMKTVSECSSLTIGATVSGHGLSGDTDEPACPHALNPSSANSMSNCSTSGNCSDSLSGLDYSAPEFHSLKSCAVNGSCKNWAVFQSGQFDYVYGKPGFGSWIDQGEFPLENSSIYQSVTDYSESVCSGDSATSTPKTSILESLSLDFRERDLASIAGDLEVLNPLADLTGDYDSHIRSLIYGQCCHGYAFMASLFDPSTQSHFQNKVFCNAVQQSSTLGQNSVAKTNMSTVIVRPFVSSPTNHSPSTVTRSKEKPKAPLPEPFVPNMDHSFRERPWKTKGRNKEFGSDVQFHNGTNSNGWVPVLSEANCLENDGSQEFSHAQPSCKKRGKFSTPNQYDHHPAGDSDENGLSNLLCGIEFGSLGKIPEDFISGSSRDRTPTTLSDKEPSAKPELCRKERFADQSFHLKNEDEFPPLPM >itb04g00560.t1 pep chromosome:ASM357664v1:4:302530:303798:-1 gene:itb04g00560 transcript:itb04g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDEGAADDYLEFEDEDIDKI >itb03g05130.t1 pep chromosome:ASM357664v1:3:3488827:3491084:1 gene:itb03g05130 transcript:itb03g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPKCGANYVPLTPLTFLLRASKCYADRKSVVYGGVSFTWRQTYDRCCRLASSLRALNVVKNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAKNIATILRHSEAKVFFVDYEYVEKAGKAVELLMAENTTQIPLVVVIDDLDSPTGIRLGELEYEQLVLQGNPNMVAEEINDEWDAITLSYTSGTTSDPKGVVYSHRGAFLSTLSLILGWEMGTDPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTTAKEMYDAIAVHKVTHMCCAPIVFNILLEAKPTERRALAAPVQILTGGAPPPPALLGKIEQLGFHVVHAYGLTEATGPALVCEWQGKWNLLPKEDQANLKARQGLSILTLADAEVVTDLKTMQRVPRDGKTMGEIVLRGSSIMKGYYKNAKANSESFVNGWFVTGDVGVIYPDGYLEIKDRSKDVIISGGENISSVEVEKAIMKHPNVSEVAVVAMPHPRWGESPCAFIVEKSAGKLKESEIVAHCRKTLPGFMIPKKIQFEKELPKTSTGKPIKHLLRAKAKTFVISENVNEPSSKRSNQVNREKPRYYDQSPEQVLAMSRL >itb04g23710.t1 pep chromosome:ASM357664v1:4:28659270:28662133:-1 gene:itb04g23710 transcript:itb04g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYGLGPFTISLTLLGRHCWCCSCCDHTFFGEEFQKKRMASGWGITGNKGRCYDFWMDFSECMSGCREPKDCALLREDYLECLHHSKEYQRRNRIYKEEQRQLRAAVQKDKAGENGGGTHH >itb04g32350.t1 pep chromosome:ASM357664v1:4:34946258:34948173:-1 gene:itb04g32350 transcript:itb04g32350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSARLRKEFGTSHLPNNDAAIVLVDETGQEMKTRNLVETLGLCAGWRGFSPAHNLIAGRVYIVYCSNLQLLGYYNSNSGTKLPSANRQAFIFFQLHRQINATPIFHNRHITALILHHIGDMISIAPILYWRLDLYRFDSPSATRSPSLRFSIGDSISIFPILYR >itb12g19580.t1 pep chromosome:ASM357664v1:12:21999422:22005955:1 gene:itb12g19580 transcript:itb12g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRRKELGISCMLNTEVGAVLAVLRRSSDGGHYFAAEECYDTPISQSLKSLRALIFNPQQEWRNLDPSLYLLPFLDVIQNDEAPAAATGLALSSVFKILKLEIFDHKTPGVREAINSSVAAITSCRLEKTDPVSEDAVMMKILQVLTAIMAHSASIWLTDQAVCTVVNSCFQVVQQSASRGDLLQRSARFTMHELVHIVYSRLPEVEVTDWENSESDTEDSAMDDSGYGIRAAVDIFHFLCSLLNVVEVVESDGVTYQTADESIQLFALVLINSAIVLSGDSIGMHPKLLRMIQDDLFHHLIHYGSCSGPLVLSMICSIVLNIYHFLRRSVRLQLEAFFSFVLFKVAGATNTLALQEVAVEGLINFCRQPTFVVEAYVNYDCDPTFRTVFEDTGKLLCRHAYPSGGALTSLQLQSFEGLSTIIHNIADNIDREGDNTPSGPYPVEIGEYRPFWEERVKEDEDLDTWIDFVRVRKAQKRKILIAGDHFSRDEKKGLEYLKHSGLVSNSSDPKAYAMFFRYTPKLDKTAIGDFLGDPEDFHLNVLKEFTDTFEFTGMVLDNALRTYLESFRLPGESQKIQRVLEAFAERFYEQQSSEIFYSKDAVFILCYSVIMLNTDQHNPQVKKKMTEDEFIRNNRAINSGQDLPREYLSELFQSISANAILFDSAGTPQEMSPNQWIQLINRSKRMNPYIMCDFDRRLGRDLFASIAGPSVATLAAIFEQADEEEVLHECIEALFSIARICQYGLDDTLDELVCTFCKFTTLLNPYASAEETLYAFSNDMKPRMATLAVFTIANNFKNFIRGGWRTIVDCLLKLKKLKLLPQSVVEPEAKTRHERSASTSISASQDSKFGRKRHPCGVVGRFSQFLSMDNVEESLNMGVSEFENNLKIISQCRIGSIFNTSSSLPEDTVQNLGRSLIYSAAGKGQKFSTAIEEEETVGFCWDLIVAIASANINRLPTFWPYYHEYLLDVAQYPLFSPIPFAEKAIVSLMKICLKLLSSFQADKAPEELIFKSINLMWKLEKEILDTCSEFIVHSVTIIMNEYPANLQTVLGWKSVLHLLSITGRHPEMYDQKVEAVINLMSDGAHISRLNYPFCIETAFGFVALKNSPLEKNIKIMDLMSNTVTLLVNWFKSGYSDPGGPSSEENKALMSPNFTVSCFVKLGEAFRKTSLSRREEIRNHAVISLQKSFSLAEDLGFTPSNIVNCFKLVIFAMVDDLHEKMLEYSKRENAERETRGMEGTLKLSMEMLTGVYLQYLKLISESPDFRGFWMGVLRRMDTCMKADLGEYGESRLQDNIPELLKKMVSTMKEKEILVQKEDDDLWEMTYIQIQWIAPSLKEELFPDN >itb03g02290.t1 pep chromosome:ASM357664v1:3:1258251:1259395:-1 gene:itb03g02290 transcript:itb03g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQHSANISSSSSPLSSPPVPFWWQPSQQAHFNGFWFPGSDNLRRTLKVVDSFKPQSRDVILASFPKTGTTWLKSLLYSITHSSSLDSLNHNHPHLLVPSLELLVFATNPAGPAAPSDETGGTRIFNTHIPYQVLSGNLNSSDCKVVYVTRNPKDTVISLFHYVKKSKTFEEAPWTVEEAADQFCKGVVPYGPYYEHVLGYRKESLENPQKVFFVTYEELKGDPIAHVKRLAEFLGCPVGEEAAEEIVRRCSFGELSNAAVNKSGDMFTWLGLPNNSFFRKGEVGDYANFLSPETIRRIDDLTVDKFHKAGFMYGI >itb05g06060.t1 pep chromosome:ASM357664v1:5:6093746:6095227:1 gene:itb05g06060 transcript:itb05g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKETSSEETCSRSLGSTDTFSTAYLNENGGESRQGAGKKDLWSDLFKINKDTVGASATLKYFPPINGCAQLELNEILTIKEQWGFALLGCFVGRFPGIHAIQTLVKEWKVECKFFTRPNGHVLFRFQSEEDRSAILKDGPYFLFGKRLFLKSLPEKFRLENEDFSILPIWVRFPFLPIECWSPTALGKIASCIGIPICADEKTREQRMGRDEFARILIDVDTSKRVPDSVVVNMPNGDSFRQKVTFELNPCYCTKCKSNDHLMDDCTGKKPWTKKRNKKGKAAKWVATKASRPNNSVNKGQDSLGAASEYHTNIQEPCPQTNDAPNPCTDLPCQSMHPESCPEAMEALETCSVLPSNIDSIPEIEDQRPDNASSDEAAKQTEEDTQSVTGEGKERDGTSSLDGTESLDAEQVEQPNDRSKQPNAPDPSTSGMSTRSKAKAGPKTSFKNALLSPPKGTAGGSRAGNCFVSLPVGGKPLARGGGRRQPTSGSK >itb09g27540.t1 pep chromosome:ASM357664v1:9:27781155:27782029:-1 gene:itb09g27540 transcript:itb09g27540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFSRESDDTDSCSTSTPVNKGHFAIYTADQKRFVVPLSYLENEIIRQLLNMSEEEFGLPSDGPITLPCDAVFMDYIISLLSRGLSRELENAFLVSITSHRCSSAPLHQEGWRNQELLVC >itb09g27540.t2 pep chromosome:ASM357664v1:9:27780605:27782029:-1 gene:itb09g27540 transcript:itb09g27540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFAAIRRKRISFSRESDDTDSCSTSTPVNKGHFAIYTADQKRFVVPLSYLENEIIRQLLNMSEEEFGLPSDGPITLPCDAVFMDYIISLLSRGLSRELENAFLVSITSHRCSSAPLHQEGWRNQELLVC >itb12g11880.t1 pep chromosome:ASM357664v1:12:10341958:10342799:1 gene:itb12g11880 transcript:itb12g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQSWRLNIQAKAKSFDLKLSATSLFRHCNCTFFQFSLKLKLFTLFLITLKSEPTAPRSSNSRLRRFLQKLRIRRRPRSAQTQKNSENVRFDDFKVQELFSWSSVGAIVGFLSRSVFRKGKDGGFMLGSTTLLCVTWLFKNFLRRNLWVFMILLVAAVVGFSGIMDFWNHFNEESAAIRHFWKVNKPVFNKFFELF >itb08g15290.t1 pep chromosome:ASM357664v1:8:17410284:17415383:-1 gene:itb08g15290 transcript:itb08g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMAEPPSRVTITLGRSGQVVKKAASSIDFSFADSQAAAGNKRSVRDRLGAAVDSSHSTSQLSNKRQRGDGNGWGSSASSGVDDARLDKDDLRFKIMRKSVLKRSQSSVQQTGVDLRSMLSRSAQSSVTPTNTQHRMPEPKETRNRYPDTWDSRQRMPEGRDSRQRMTESRESRPLMPDTRDSRQRMPELKDVRYQVPDRQSVDILRQAPSSRNVDALPLMDSMRSSFSPWTLERLRRRSPDGILSSRGVSPPRSGEELQRRPTVRAYDDPRLGSYSSKDFSQFSRPMSSTYLSSTALPAAPAKTMVPMHAPIPHPGGLAQRSSYGVEDLPTVDGFLHSLGLEKYAINFKAEEVDMHALKQMGDNDLKELGIPMGPRKKIILALLSRAKRQL >itb08g15290.t2 pep chromosome:ASM357664v1:8:17410284:17415371:-1 gene:itb08g15290 transcript:itb08g15290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMAEPPSRVTITLGRSGQVVKKAASSIDFSFADSQAAAGNKRSVRDRLGAAVDSSHSTSQLSNKRQRGDGNGWGSSASSGVDDARLDKDDLRFKIMRKSVLKRSQSSVQQTGVDLRSMLSRSAQSSVTPTNTQHRMPEPKETRNRYPDTWDSRQRMPEGRDSRQRMTESRESRPLMPDTRDSRQRMPELKDVRYQVPDRQSVDILRQAPSSRNVDALPLMDSMRSSFSPWTLERLRRRSPDGILSSRGVSPPRSGEELQRRPTVRAYDDPRLGSYSSKDFSQFSRPMSSTYLSSTALPAAPAKTMVPMHAPIPHPGGLAQRSSYGVKATKPFSFFSPCPEVSCEIA >itb03g16500.t1 pep chromosome:ASM357664v1:3:15429445:15429762:-1 gene:itb03g16500 transcript:itb03g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETMALLEVMESCGFPPTVNIYNSVLLGLCKSHRLDEAIGVLEEMTGKGCQPNENTYSVLLNGIGFHGWRYEAIMLANTLCQMNVFSKKALLGFQKTFPIHAS >itb02g11330.t2 pep chromosome:ASM357664v1:2:7565345:7569970:1 gene:itb02g11330 transcript:itb02g11330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPSGLIIGISIGVVIGVILAILGLFCFRVHRKHSQIGNSSSRRAVTIPIRQNGADACTTLSDSSVGTESPKTTTQNGTSIWLGSLRKTNMVSATGIPEFSYKDLHRATYNFSTLIGQGAYGPVYKAQMPTGETVAVKVLGTDSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQYMLIYVYMSRGSLASHLYDEVLEPLSWNLRVQIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVNKHASNIQGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAARNPLQGLLEYVELAAMTTDGKGGWEEIVDSRLNWKYDVQELNDVASLAYKCVNREPKKRPSMRDAVQVLARILESRRRKEHHKQLAATPEEVSINVDQLDHRSPISGHRRVESVDSTTESC >itb02g11330.t1 pep chromosome:ASM357664v1:2:7565345:7569970:1 gene:itb02g11330 transcript:itb02g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPSGLIIGISIGVVIGVILAILGLFCFRVHRKHSQIGNSSSRRAVTIPIRQNGADACTTLSDSSVGTESPKTTTQNGTSIWLGSLRKTNMVSATGIPEFSYKDLHRATYNFSTLIGQGAYGPVYKAQMPTGETVAVKVLGTDSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQYMLIYVYMSRDEVLEPLSWNLRVQIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVNKHASNIQGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAARNPLQGLLEYVELAAMTTDGKGGWEEIVDSRLNWKYDVQELNDVASLAYKCVNREPKKRPSMRDAVQVLARILESRRRKEHHKQLAATPEEVSINVDQLDHRSPISGHRRVESVDSTTESC >itb03g13990.t1 pep chromosome:ASM357664v1:3:13903821:13904333:1 gene:itb03g13990 transcript:itb03g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPKSSATIFLALNLLFFALITETHQTCHTCPGTPKTPPPSPGGGNGGGGNPKTPPPSPGGGNGGGGSPKTPPPSPGGGGGGGAGRCPRDALKLGVCANLLGLVGVVVGSPPTLPCCSLLAGLADLEAAVCLCTAIRANVLGINLNVPLTLSLVLNDCGHKVPNGFTC >itb03g28720.t1 pep chromosome:ASM357664v1:3:29414880:29416705:-1 gene:itb03g28720 transcript:itb03g28720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSTADSNPPEGAETTEHAPPGTVAIADAKAVPPPAPQEDPEAQSQPPAGKQKKDFGKIFHLRNIVNVVAIFAFILSIPIMFIVIYLVYIGRYECENLLSLPRLQYAIAVFLALVFVVSNLVVYFRSKTPMIGVLAVMVPLLIILVVGLGLVGAYKSGCCVPPPICDMEYVNVTYWRIPANATQESSDMYISDCNLWRNKESVLCYNCNACKDGYFRTLEEKWLRLGTFLITISLLLATAHLLLFVATMWDQYGMEPN >itb10g03190.t1 pep chromosome:ASM357664v1:10:2895375:2898506:-1 gene:itb10g03190 transcript:itb10g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLISILVLLLKIYATKSCSGISLKTQELYTIVFLARYLDLFTEFISVYNTIMKIVFIVSTLAIVWCMRFHRTVKRSYDQELDTFRHWILIAASFVLALLIHEKFTFLEVFWSFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRSFYILNWIYRYFTEPHFSRWISCISGLVQTALYADFFYYYFISWKNNAKLQLPA >itb10g18770.t2 pep chromosome:ASM357664v1:10:24601452:24608132:-1 gene:itb10g18770 transcript:itb10g18770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSNNGGGVAMIPSGSRKIVESLKEIVRNYPESEIYAALKECNMDPNEAVNRLLSQDPFHEVKSKRDKRKENKDTTESRPRGASSSRGGKGSADRYAGRGGSESLHGKSTYAYKENGYTNTKSTRTAGSTTNRRLPTISDAAGTENQKFVDGVSAATQHSSGYQPAWGGLPGQVSMADIVKMGKPHGKAPNGTSESWQNVNASYNHAQGPSGALEHNWQFSGDHTSKASEVYLEAGVSPAQPDSTNDEWPLIEQPSTACDPSISDPPSSQLHPDSSHAAFNKTNQHPQSYEVQGAEDHGIDSVGTGPVVSGSLPNGELLEDNSAAASSLHDSGLDTYPPHNHGFDGDDDEDTDASVSLPAANLQQLSIQEEQDVAPERDGPAVVIPDHLQVQAADCSHLSFGSFGSGISATFPGALASAPTNTDVEEESKDADAPPVGHLTNGSSEYYGDEDLRNEQDGILFHRTGAISGNYESPSDTQPDILKVEHSEVAHGNQYSFPSSAPGYTYENAQQLNVVFSQSQTSSQTQNLAPFSNVMGYSNSLPSNLLTANVHAGRESDLSYSPFSTQAMPAKYGNSVSSVGGSSISMPEALKTAGFSSTQPTQQTLTGTNVATGPALPQHLAVHPYSQPTLPLGPFANIISYPFMHQSYTYMPPGFQQPFAGNSTYQQSLAAVLPNYKNSVSVSSLPHSATVASGYGAFGNTGTIPGSFPLNPSAAPSTSLGIDDGLSSQYKDNHLMSLQQVENSGMWLHGHGSRTMSAAPANTYYNIQGQNQQPSGFRQVQQPSQNYASLGYNPNFYHSQTGISLDHHQQQNPRDGPLGGNAQVQPKPSSQQLWQNGY >itb10g18770.t1 pep chromosome:ASM357664v1:10:24601435:24608132:-1 gene:itb10g18770 transcript:itb10g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSNNGGGVAMIPSGSRKIVESLKEIVRNYPESEIYAALKECNMDPNEAVNRLLSQDPFHEVKSKRDKRKENKDTTESRPRGASSSRGGKGSADRYAGRGGSESLHGKSTYAYKENGYTNTKSTRTAGSTTNRRLPTISDAAGTENQKFVDGVSAATQHSSGYQPAWGGLPGQVSMADIVKMGKPHGKAPNGTSESWQNVNASYNHAQGPSGALEHNWQFSGDHTSKASEVYLEAGVSPAQPDSTNDEWPLIEQPSTACDPSISDPPSSQLHPDSSHAAFNKTNQHPQSYEVQGAEDHGIDSVGTGPVVSGSLPNGELLEDNSAAASSLHDSGLDTYPPHNHGFDGDDDEDTDASVSLPAANLQQLSIQEEQDVAPERDGPAVVIPDHLQVQAADCSHLSFGSFGSGISATFPGALASAPTNTDVEEESKDADAPPVGHLTNGSSEYYGDEDLRNEQDGILFHRTGAISGNYESPSDTQPDILKVEHSEVAHGNQYSFPSSAPGYTYENAQQLNVVFSQSQTSSQTQNLAPFSNVMQGYSNSLPSNLLTANVHAGRESDLSYSPFSTQAMPAKYGNSVSSVGGSSISMPEALKTAGFSSTQPTQQTLTGTNVATGPALPQHLAVHPYSQPTLPLGPFANIISYPFMHQSYTYMPPGFQQPFAGNSTYQQSLAAVLPNYKNSVSVSSLPHSATVASGYGAFGNTGTIPGSFPLNPSAAPSTSLGIDDGLSSQYKDNHLMSLQQVENSGMWLHGHGSRTMSAAPANTYYNIQGQNQQPSGFRQVQQPSQNYASLGYNPNFYHSQTGISLDHHQQQNPRDGPLGGNAQVQPKPSSQQLWQNGY >itb08g15180.t1 pep chromosome:ASM357664v1:8:17214744:17216375:1 gene:itb08g15180 transcript:itb08g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSGYMLRNTQGFIKTLPPVQYHNSTTAAATGDRRRLTSLVSGRSSTVVGGGEATVARSDRWGASAFVGRHNQPPPPHPPSPVAASASALAGRRSTPHSAPPSPPRRPCLSLAAPASTSLALLILRRSITHTRIANFSAQPPLTRMRIEV >itb09g16510.t1 pep chromosome:ASM357664v1:9:11701933:11704308:-1 gene:itb09g16510 transcript:itb09g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFQGYVVLNRPWAFVQWLEKATIEEEYILMAEPDHIFLKPLPNLAHGDYPAGFPFFYIKPAEHEKIIRKFFPKENGPVTNVDPIGNSPVIIKKDLLEMIAPTWMNVSLRMKHDPETDNTFGWVLEMYAYAVASALHGVQHILIKDFMLQPPWDLEVKNKFILHFTYGCDYNMKGELTYGKIGEWRFDKRSHLQKPPPRNIPLPPPGVPESVVALVKMVNKATANLPNWESFI >itb11g19090.t2 pep chromosome:ASM357664v1:11:19674024:19678173:1 gene:itb11g19090 transcript:itb11g19090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAVILTPKSLLTLRFDGFPRKPSLHFTTQLTRIQHNPLQAQCLSTRFSPPNSFSSGHGMKTLNPFEPGPTLTRFSAVSDGSSGGDGGDGGFGDGNSGGGGGSDGEGESNWSLLTWYLSLLEKYPVWTKAVTSALLNCVGDLICQLWIDHAPSLDVKRTLLFTFLGLALVGPTLHFWYLYLSRLVAIPGATGAFVRLILDQFIFTPIFIGVFLSTLVALEGRPSQVIPKLQQEWFSSVLVNWQLWIPFQFLNFRFVPQNFQVLAANFIALIWNVILSYKSHKEIPAT >itb11g19090.t1 pep chromosome:ASM357664v1:11:19674024:19679603:1 gene:itb11g19090 transcript:itb11g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAVILTPKSLLTLRFDGFPRKPSLHFTTQLTRIQHNPLQAQCLSTRFSPPNSFSSGHGMKTLNPFEPGPTLTRFSAVSDGSSGGDGGDGGFGDGNSGGGGGSDGEGESNWSLLTWYLSLLEKYPVWTKAVTSALLNCVGDLICQLWIDHAPSLDVKRTLLFTFLGLALVGPTLHFWYLYLSRLVAIPGATGAFVRLILDQFIFTPIFIGVFLSTLVALEGRPSQVIPKLQQEWFSSVLVNWQLWIPFQFLNFRFVPQNFQVLAANFIALIWNVILSYKSHKEIPAT >itb06g11610.t1 pep chromosome:ASM357664v1:6:16153635:16154150:1 gene:itb06g11610 transcript:itb06g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRKVGFLTLCHHLLLTISFFCVQFGVCRDTITAHHSLKGSETIISNSQIFKMGFFRPENSSKYYVGIMLNVPSLAVLWVVNRDKGMDDSRGIMGISEDGNLVVLDGEKRVVWSTSKSNISTSSPANTIAQLLDTGNLVLKDSSSGRYLWQSFVDMSCASRTRGGHKLQ >itb14g13540.t1 pep chromosome:ASM357664v1:14:15710838:15712005:-1 gene:itb14g13540 transcript:itb14g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKDNLCGVVLALLSTAFIGASFIIKKKGLKRAVAASGVRAGLSLNGYLYTLIAYIISQPDYALQTLNHHQSMKKKMTSHAQSKAA >itb03g15280.t1 pep chromosome:ASM357664v1:3:14651334:14652098:-1 gene:itb03g15280 transcript:itb03g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGGGGRVKGSWTPEEDATLVKLVEQHGPRNWSLISTGIPGRSGKSCRLRWCNQLSPAVQHRPFSPEEDAVILRAHAVHGNRWAAIARLLPGRTDNAIKNHWNSTLRRRKRSAEDAPPQAAADKRVCLDESSSESKTDEQSKIAAACGGGAEDVLRLDAPETLLSLFPPGGKAAVGENSAAAEEEEELPPSSVSDDGKEGRSKVEIEIKDTCLLIMHRMIAQEVRSYIDKLRASGGLGPEFESNVQQRPKT >itb10g12270.t1 pep chromosome:ASM357664v1:10:18243849:18244697:-1 gene:itb10g12270 transcript:itb10g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLGHRFQPSDYELLMILFRFVTGMGCYDTNVIRHEDLYGDKEAWELFRWDCDEKFHYFFTQLKRKSKASSSRFARRVGKSPGTWHGQDKGKPIVHKRSGALLGYKRSFVYRNKEEAEQDRQWLLKEFYLSDAVMKKAKETYPIVEHTKDFVLCRLQRKKRAGSEPQESGEDVPIEMILQILEGSTETLPPTEEQNGSYDYIYPKEEILAQPAVVQQGENIDMGLLFDGGILNEDSPLLSMQQRLMEDELEDDDDICIHHDRSYFDRFTQEILQPPLGAT >itb08g16320.t1 pep chromosome:ASM357664v1:8:18502657:18504960:1 gene:itb08g16320 transcript:itb08g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHSLLIKLGLDTNAVCATKLINKYLSLGLANSLSHAHQLFDQVPLKDPPLWTSLISAYARHNQLDNALHLFSLMLRQTQPDLDARPNHFVITAVARAIAFAPQHIFLGQLLHAHVIKSGFLPGKVIVETAFLDMYSKCGVIESARKLFEEMPYRNLVTCNAMLSGYMQNGMETHGSKLFYRMKCGEVYAPDEYSISTVLSGCVQTQDLVLGMQVHAYAIVGGFEVNCANSIANMYFSCGRIACATKIMDAVYQDTVSKLLSIRGYILNHSYVAAFRYVCSLGNVVEILNTDYTIFVPLLTICTKLSLVRVGKQVHGLFIAMTNSYKIINPLGEDGVIIGSALIDMYCKCGDVGEGKKVFETWPCEHVSLWNALISGYISNGLIETANVLFQAMPKKDIVSWTSMITGFVRNNMPQEGLDLLAKMYCTEGGYEIDGNCLTFVVCLEACTHLTDLEKGKQIHAKIIRRLPGVDTSNVVVGTALVEMYSRSGNLHYSHTVFDSMKQKNIVAWTSILTGFAAHGCGLQALEMFQKMLDNGIQPNEVTFVTILTACSHCGLVNEGLQYFKEMKKYGLTPKEDHYTCLIDMLGRNGMLEEAWHLVREVEELGNKSYAGSIWAALLGACQLYENVEIGRKVAQKMLENEKQISATCIALYNVFATAGMWNEAYETREFLTREGIANGEAGLSRLCMPPL >itb12g13180.t1 pep chromosome:ASM357664v1:12:11960237:11961867:-1 gene:itb12g13180 transcript:itb12g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERGEKSGESIMPIDAMEEDVEIVDEKETEVQSLWCELVPKKDKTGFEFPHVTNDKTILSVRGGRITVSKIQNYIKEKLKLNDYTEVDILCHGKKLESEFTLKMIQFIWMSGCPEYDVRAQVKNVEDLVVELRYVRNEKFITSESSG >itb06g08050.t1 pep chromosome:ASM357664v1:6:11967567:11970512:-1 gene:itb06g08050 transcript:itb06g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAAIIGSALIEPFKVIFGSMYAAMKNRFKIHINYNHLERDITLVLALKSRLRSGLDDEQLQHLLPTTQVQDWLSKVEELENNFNSLRSTVTGSGITSDAASCSLHCKLSNNIAKQIVKAKQLIEEGKSFENMIVGVIPVARPVQYMEVTSIQGQPTASTNLAKMMDLLKSEEYKRIGVWGMGGVGKTTLVKNLNNQFTNEPIFNIVIWVVVSRNATVESVQSKIAERLHMMNKEVSKESMASLLYNKLKGERFLLILDDIWEEINLDVVGIPRPNEHTGSKIILTTRDFNVCQQMLTDIDFEMGSLHPEEAWKLFRETVEEEVVDDDHIKPMAEAIVEECDGLPLALIIVGSSLRKKREIRLWECALHALQKSEPSRIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEIDTYKLVQYWVAEGLIDEQQNFEQQQNEVVRIVDYLKDSCLLERSHQRLNHVKMHDVVRDVGIWIAKSLEEGCKAINKAGISETQLSEQLFNCFEGVKRVSFMASNIEFLPNCSIQCAEAFTLFLQGNQRLLEVPNTFLQGFQMLRILELSDTKIHFLPHSLLQLGELRALFLENCGELFELPSLATLGMLQVLNCSGSAITKLPEDFEKLTNLRQLDLSHTYKLVKISSEKLSNLCSLEFLNMKGSATKWGMRKVNDEYVPFEKLLCLNQLISFQIDLEDISHATTEHVSWLRRINSFFVTVTHDHNRSYAPYLSNTKSVFFSDFLFSGDESIGWLLVHAFSCEIADCDGVDLMLYNMVRSSVTLGPFVNLKSILISGCCISVKLSSQGCVTECDLLPNLEDLRFYDLTELESISDFSNFLGLRFTKLRSITVFGCYHLENVFTMDGTLHKLAKLESIKICGCKKLSEVFKNTSTNNFVPMLKSLYLDGLPTLEEICIADESWNSLEHLTVQECSMLLKLPLSVQSAENIQSISGEQNWWNKLHWDNENLKMHLHPLFTTT >itb01g05710.t1 pep chromosome:ASM357664v1:1:3952036:3952679:-1 gene:itb01g05710 transcript:itb01g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATWQQRLHALTHILTNPEPTPPLHSQFFISAQIPCYLNWHYPPLLCPKPLLHKWAISLFLKRLSRFGLPETSWRSKCPYHQPSPVVLAKGVAEAEWGDEEKRLYVRQRLRRKPLVSNVHPLIPILVPNLLLLSVFFWNPYPDDP >itb11g02790.t2 pep chromosome:ASM357664v1:11:1426599:1430488:-1 gene:itb11g02790 transcript:itb11g02790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSWFSSIKKAFSPEKKGKKVSKSNKKWVEKEQPLVPESSNQETSKVSPPHPLPLVEELKLADEEKEQTVQSYPVAIATASAAESSAPTAQIASEVVRPTPAHFAGKSREEDATIKIQTAFRGYLARRALKALRGLVRLKSLVDGPTVKRQTANALKCMQALSRVQSQIHSRRNRMLEENRALQRQLLQKHAKELESLRRGDGWDDSIQSKEQIEASLLSKYEAAMRRERALAYSYSHQQTWKKSSRSSNLLFMDPTNPQWGWSWLERWMGGRSLEAQSMSEKELKNDQSSVKSGGVSIIGGEITRSFARHQLNNEQPFSPSSQKPGSTHHSPATPASKPPSARKTKLPSPRVSATSQDDDTKSMLSIQSERNRRHSIAGSSVRDDESLASFQSIPSYMTPTKSAKAKTRLQSPLGMENVTPEKGSAGSVKKRLSYPPSPARPRRHSGPPKVNISTVNTNGETN >itb11g02790.t1 pep chromosome:ASM357664v1:11:1426579:1430592:-1 gene:itb11g02790 transcript:itb11g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSWFSSIKKAFSPEKKGKKVSKSNKKWVEKEQPLVPESSNQETSKVSPPHPLPLVEELKLADEEKEQTVQSYPVAIATASAAESSAPTAQIASEVVRPTPAHFAGKSREEDATIKIQTAFRGYLARRALKALRGLVRLKSLVDGPTVKRQTANALKCMQALSRVQSQIHSRRNRMLEENRALQRQLLQKHAKELESLRRGDGWDDSIQSKEQIEASLLSKYEAAMRRERALAYSYSHQQTWKKSSRSSNLLFMDPTNPQWGWSWLERWMGGRSLEAQSMSEKELKNDQSSVKSGGVSIIGGEITRSFARHQLNNEQPFSPSSQKPGSTHHSPATPASKPPSARKTKLPSPRVSATSQDDDTKSMLSIQSERNRRHSIAGSSVRDDESLASFQSIPSYMTPTKSAKAKTRLQSPLGMENVTPEKGSAGSVKKRLSYPPSPARPRRHSGPPKVNISTVNTNGETN >itb14g18060.t1 pep chromosome:ASM357664v1:14:21197960:21201370:1 gene:itb14g18060 transcript:itb14g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLRAVASSAAAAKPQATVSDILIPVSAAVSQQLSSSRNFSTKGTKQSSPKGKKSASKTDEAPIGSLDAEAINYLTDGARLRALAEDENNKSLDIGPNGQPLFTAAGSLSQLSRKDACTYMKFSMEKLKAVLPEGLTMGMLKEFEDSQRTALLVRHSFLDLRDNFRRIVDPTILINAKGSKPRKQIVLDGPVSCGKSIALAMLVHWAREEGWLVFYVPRGREWTHGGLFYKNLTTGLWDTPVQAANILKDFIKYNEQHLRKLPCKIFDPISLGEGAGVGHPKGDQTMAIPEGLTLFDLVESGISNTHASVGVVVRLRQELSLVKDMPVLIAIDQYNNWFTFSEFEEPVTVRSCKPIHARELTIVNAFRSMLHNDMMVGAFSHSTAVGKLRQDLPNVPTDARLNFPRYTLDEAAAVCHYYLRQRLIRRESFSEENWKKIYYLSNGNGAELRWLVPFMR >itb10g05360.t1 pep chromosome:ASM357664v1:10:5497895:5498179:1 gene:itb10g05360 transcript:itb10g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANARMARFIMEVAPPQVVTVMRHRTSKMLDTISEEERDTPSSGGSSGSLSPKSASPPLPPSYSTSPPSPPPTTPKSKHFLSDIQRVFSVFRN >itb08g00370.t1 pep chromosome:ASM357664v1:8:329060:330565:1 gene:itb08g00370 transcript:itb08g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPVEQSGETTAGESQRSIPTPFLTKTYQLVDDPGVDKLISWNEDGTAFIVWKPAEFATELLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANESFRRGEKRLLRDIQRRKMSAAANSTAIVQNAQVVTLAVKSVSPTNSADEQVLSSNSSPAATATAVVLRPTTYTTTPEILEENERLRKENAQLTQELNRLRGLCKNVCSLMSNYAVNHPETAPEGQVLDLLPPGRQDSAERECSAAEAEGGHGGSTTLSPRLFGVSIGVKRIRTSDDETQPVKCEPPDSSSDLTKCEIWEKRRLQD >itb13g26740.t1 pep chromosome:ASM357664v1:13:31875900:31877693:-1 gene:itb13g26740 transcript:itb13g26740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVALLSTTPLTRPPNFFLLRGTQNFLAHIKTTKWPHGSIKLGSLRRRDKLVKFSGLNWKRGKVLVAAKSGGSGDGSILENHDNVQRRRLIQTILWIAEGVYVLWLFLLPYAPGDPVWRISSATVNDLLGLSLNFFFILPLSNIVGIHFLEAPVLHPMSEGLFNFVIGWTFMFAPLLFTDRKRDRYKGSLDVLWGFQMFLTNTFLIPYMAIRLNGGGTDDDPRKITSQLGSVMTRGARIVGLIGVGVCLLSTFWAIFGRGDGNFGGISERWEFLVSYLGSERLAYAFIWDICLYMIFQPWLIGDNLQNIEKDKVGVVNYLRFVPVVGLAAYCLCLNLDDN >itb14g12060.t1 pep chromosome:ASM357664v1:14:13645130:13656101:-1 gene:itb14g12060 transcript:itb14g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQGSRNIVAVKKLDRVAHDSGKEFMSEVTVIAQTHHKHLVRLLGYCDEEQHQILVYEYMSNGTLASFLFGDLTPSWSQRTKIALGIARGLTYLHEECSTQIIHCDIKPQNILLDDYYNARISDFGLAKLLKMNESRTQTNIRGTKVLSSCFYARCVLVLWSRRGFVSTIWGASESERWLLLGSRLEQAAGTTGARSRSRFSFPFFIVSNISLFVLIFDVIILEIIDNASAPTVKKQKYGESLEDMQDMLSEFYNKVHNGRSILCAGLVPKQSFVGRGVSDWDCGLIKGDYSTLHKLRLQYMKELVVSEYNLHRARNLRRAYHSIGGPLAS >itb03g18280.t1 pep chromosome:ASM357664v1:3:16596199:16601915:1 gene:itb03g18280 transcript:itb03g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSILRRRKGGESKRASSSEAKNEDNEKKSFQSSNANANANLASRKAGRRWSCVDSCCWFVGCICSIWWVLLFMYNAMPASFPQYVTEAITGPLPDPPGVKLAKEGLRAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGELYKRPLCWVEHMSLNNESGLDPSGIRIRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMASYDWRISFQNTEVRDQTLSRIKSNIERMVDTSGGKKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHIKAVMNIGGPFLGVPKAIAGLFSAEAKDIAVARAMAPSFLDSDKFGFQTLQHVMRMTRTWDSVMSIIPKGGNTIWGGLDWSPEEGHECNSKKLKNNNTHQAANIVTGILGNATHARYGRVISFGKDVAESHSLEIERVDFRGALKGNSHTNTICSDVWTEYHDMGVGGIKAVADYKVYTTESALDLLHYVAPKMMKRGCAHFSHGIAENLDDKKYAHYKYWSNPLETKLPDAPDMEIYSMYGVGIPTERAYVYKLSPVSECYIPFQIDTSADGGNESPCLKGGVFHVDGDETVPLLSAGYMCARGWRGKTRFNPSGIRTYIREYNHNPPANLLEGRGTQSGAHVDIMGNFALIEDIARVAAGATGEHLGGDQVYSQIFKWAERIKLRL >itb03g17140.t1 pep chromosome:ASM357664v1:3:15864563:15867596:1 gene:itb03g17140 transcript:itb03g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPPPPPAAKVRIWYGGGRRWKHLDILHLRLPGSYEGKPWALQWNRKANLDHRLLSGEQKERNRGLLIIRVNSMERACLVNLRGWIKINNQPPRNLKRYIFVNVTDQMIQLDDMLRKIVHGCSIARPNKLQYDHLVKEEWND >itb03g28140.t1 pep chromosome:ASM357664v1:3:28809352:28817025:-1 gene:itb03g28140 transcript:itb03g28140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQRPSIADRDIDQAIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWLTGLKALISHGSYHKDQEDTQRSKSLTQGGLGKAFADIVSYTASSKTHTFVDTTSHPVSPNHTLPSDVESPNARNSVADASRVSLSSALSSSSHGSCREDFVSLGDVFIWGEGTGAGVLGGGLSRASMQYYSQNEARYSVWGEEAGGRLGHGIEADIRQPTLIESLSGMNVEMVACGEHHTCAVTISGDLYTFGDGVYNCGLLGHKSAVSHWIPKKVSGPIECFQVRFVSCGLWHTALITTTGLLFTFGDGSFGALGHGNRCGTSIPREVEALSGLQTLRVFCGIWHTAAVVKIMSTPTDSGHSDCSESGKLFTWGDGEKGKLGHGDKEARLVPESIAALADKTFTQVACGHSTTTALSTFGRVYTMGSGENGQLGSPLTDGTSPICVEGKIANSIVREISCGLHHTAVLTSKSEVYTWGKGNYGQLGHGDTCDQCTPTLVEFFKDKQVKSVVCGSNLTAAICLHKGVSSVDNSMCSVCHNPFNFIRKRHNCYNCGLVVCSTCSIRKSLKASLAPSMNKPYRVCDDCFNKLQKPVTPGSVTDIAKFESVTSQTKFGKLLDNTGLQRQHLCSDRLTSINSFNLTEGRSPNLNVKDEKHEGNIFTFLSENVQRGYNSLLQSPASPHVSSKSSLSVPILGSLQATYPTLRKASLLRTGMPSLSFFAQTNEEVHDPSKQNEATLSQEIKCLRAQVEELASKSQFLEAELERKTMQVKEATAKAAEESEKSQAAKEVIKSLYAQLKKMSETGPDGHIARSMLDSIAQQPSNDPSQPSRESIVTNSTTSPTSDSSDSTNVPPSSNRVKVQQNSERMIQAEPGIYITIFPLPGGGNQLKRLRFSRKVFSGEQAEKWWAENGQKVLERHNIKVT >itb09g12900.t1 pep chromosome:ASM357664v1:9:8208093:8216677:-1 gene:itb09g12900 transcript:itb09g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENQRELPDAVRFNEKSDICKQLLDRYGKSSAAQHRHLCATAAATRSIIQSESLPLTPFSYFAATASTLSDSSNLDSDALVALSSFLSIVLPLVPEKAIAPPKATDAVGMLAAVLERQPLDDAAVGSSSARALVKCLGILLGFCDLEEWDSVKLGLVTLLKFSIDRRPKVRKCAHECALTVIKSLQSSSVIKRASKFVYSFVEGHMSLAIKMSAPEAVDGFKDDCLSKSGHQEVLHTLNLMKTIAPYLSVKVRQKVLTHLLKLMSSRNSDLTRHVFDNVGVILDTPKVEMVAPDSENILKLLVSYMSCLENPTDNILVAATLTKQIIKKLYASEISGCSSHLDLVIGSITGLLTSEATALPALHILKELIELHADNDKLSSIKKQMVDDNDADNATNNPEFAAVKSICSVFNNLLRSSDGIPNEHILRIVSVLFLKLGEISYFSMKDILLKLADWMNVSSGVNGASKTKYLQECIGSATIAMGPDKLLALLPISVSVSDYSCSNTWLIPILSKYVIQSTLAFFMEHIVPLAESFQQASFKVKKSVIGQELQAYAHDCWGLLPAFCRGPTDIHQNFSALSKLLIPFLKKDSFILEKIAASLKELVNQNKNLDAHCCIPGAIRVLLENEENKDFATDFKKKCSYSKKAAEKNIRAMRCCSKELLQALTDVLIDSYPETHEYLKEAIECLASITDSETIKNILISSLERFGLATGTEACEMLEDSSNCKPDEGEGGVTSIQEDANRCLILELTSCLIEGANDDLILIIFSIAKRTFEVNDEIVQAEAYNILSRMLEKHPWFRSLHFVQSMELLIGVKSPTNIKSLTSRFSCFKTLLIHAVEENMDEENPQVFLILNEIILALKESNEEGRKIAYEVLIGICSYLRNSSSPMSHESYKNFITMVMGYLSGPSPHIKSGAVSALSVLIYNDTDICQLVPDLVPSVLTLLQSKGVEIIKAVLGFVKVLVSSLQSKDLEIFLPDIVNGVLPWSSTSRHHFRSKVSVVMEILTRKCGTATIKSIATEKYGNFLKTISENRHGKTSFKEAATSTSSKLSFKGQQKRGHGESSNVQKDESSLGPRKRKWERRQKSFNGNLPEEASSLGTRKRKRDFNKKTDLSTRKSESSGRKLVKRAGELGPAKQKGGGSSPHRNSKKRNFSQDARAGNTTPRNKADSQHPDAVSNFSKHNKFQKTRQKRQ >itb09g12900.t2 pep chromosome:ASM357664v1:9:8208093:8216677:-1 gene:itb09g12900 transcript:itb09g12900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENQRELPDAVRFNEKSDICKQLLDRYGKSSAAQHRHLCATAAATRSIIQSESLPLTPFSYFAATASTLSDSSNLDSDALVALSSFLSIVLPLVPEKAIAPPKATDAVGMLAAVLERQPLDDAAVGSSSARALVKCLGILLGFCDLEEWDSVKLGLVTLLKFSIDRRPKVRKCAHECALTVIKSLQSSSVIKRASKFVYSFVEGHMSLAIKMSAPEAVDGFKDDCLSKSGHQEVLHTLNLMKTIAPYLSVKVRQKVLTHLLKLMSSRNSDLTRHVFDNVGVILDTPKVEMVAPDSENILKLLVSYMSCLENPTDNILVAATLTKQIIKKLYASEISGCSSHLDLVIGSITGLLTSEATALPALHILKELIELHADNDKLSSIKKQMVDDNDADNATNNPEFAAVKSICSVFNNLLRSSDGIPNEHILRIVSVLFLKLGEISYFSMKDILLKLADWMNVSSGVNGASKTKYLQECIGSATIAMGPDKLLALLPISVSVSDYSCSNTWLIPILSKYVIQSTLAFFMEHIVPLAESFQQASFKVKKSVIGQELQAYAHDCWGLLPAFCRGPTDIHQNFSALSKLLIPFLKKDSFILEKIAASLKELVNQNKNLDAHCCIPGAIRVLLENEENKDFATDFKKKCSYSKKAAEKNIRAMRCCSKELLQALTDVLIDSYPETHEYLKEAIECLASITDSETIKNILISSLERFGLATGTEACEMLEDSSNCKPDEGEGGVTSIQEDANRCLILELTSCLIEGANDDLILIIFSIAKRTFEVNDEIVQAEAYNILSRMLEKHPWFRSLHFVQSMELLIGVKSPTNIKSLTSRFSCFKTLLIHAVEENMDEENPQVFLILNEIILALKESNEEGRKIAYEVLIGICSYLRNSSSPMSHESYKNFITMVMGYLSGPSPHIKSGAVSALSVLIYNDTDICQLVPDLVPSVLTLLQSKGVEIIKAVLGFVKVLVSSLQSKDLEIFLPDIVNGVLPWSSTSRHHFRSKVSVVMEILTRKCGTATIKSIATEKYGNFLKTISEATKEGSWRVE >itb09g30080.t1 pep chromosome:ASM357664v1:9:30746430:30753865:-1 gene:itb09g30080 transcript:itb09g30080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCMQEASENREGERATENLDECLIRIMKQLYDIEWRIRRVEYEYKTEKIEKSLVKFKCEHIKSEITIMNGVLQMEGYPNPEYLKDHVEGKLKCVIEEVDDVISFKLKSSGEEVVEDIIDPHEWLRRHESSSSSDSKLGLVQKDEIEVSKWLSIIAKRIEKIRMLVSQFSPQPEQMPPISYRSRFLEKRLSNRSACIDMCIEESLLISPMITSLKKSYDHLPTNLKLCSLCLAAFPERFVIIKIPLIYWWMAEGFITETEGVGVGEENFMELIRLGFIEPFYYEDEAKRLSSLGIVGACRVHPWIRRMLVSIAMDTQFFEFYGRQLEKKKISTTPSPAASGFDGRPAHACLFWDEGAELENYCSFMWIIKILGWLKPALVVFEKEIINKEIKEARISYNDGVKGYHHMQEVWDMLFAFRLLILCVSKLLVYGLSDRISPWDGDEKPEALLEKIRELETDIHQNEKDVQVLFKKQNKMLTDLNKVLMWAKEQVHVLEMQVYHRRRNRQELQHTEQLFARYDLSRCSSSSPIHRQWGGKAPLLAIINVNQGYLNLEELLVFGGKLNKLRTLHLGMWNHWQGKNIEIENSLVLNALFQVASKHLKYLSLRGVVGITSLPPSISNCCNLQVLDLKSCMDLEEVPSEIRSLSKLTYFNVSGYTSRLKHSSVWVVVAKLMLLRTLKGVALTQDADLISLNSQITPHNLMKLSVIVNFNINEVCNLQRFSNLHALKITWPLGGDLLADRYGFELPPQLRKLQLKWYPGENWPAWLKRHPTLETLHFSYSWGITAFPDDLMLPKLQVLILPGLPMFNQDIVELGTPVREQGIGLCLLNTEQATIPTVSPVAHHTKAPVTKRTRRTRSASEQSNVAGFYREASDDDDDSDSSVAGRVAIFESAGRNREFAGGHGSPPKPSKVPFGGRITRSQVRHEQSSAAVGTPISSQSPSF >itb14g13510.t1 pep chromosome:ASM357664v1:14:15566507:15577166:1 gene:itb14g13510 transcript:itb14g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKTAEGQNPIWQIPISANGQNQITSLSHTPPTVYKTQRTPTDPSPPLSLSLSLPLNSTFLRATVVASTSPEIRTAPFDRRRLSERESPRGKEFQRASWFLLDGDYHVSDRLGIGKVWLTARPPAIIVLASQQEKKRAAREGVETREGKERCCTMGRLAAGLAVGCAVAACVVATVMVGRRVRRRKKWRKVLRVLEELEEACATPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVDKLPNGSEKGTFYALDLGGTNFRVLRVQLGGQRSATIRHDVHQQPIPQHLLSSTSEDLFDFIASSLKDFIERDGSDSEHSQDRSLGFTFSFPVKQTSVSSGILIKWTQGFEIEDMVGRNVSECLQAAFSRKGLDIRVAALINDTVATLALGHYNDEDTIAAVIFGTGTNACYVERADAIIKCQGLLTTSGSMVVNMEWGNFWSSHLPRTSYDSDLDADSPNPNDQGFEKMISGMYLGDIVRRVFLRMSQEFDVFGPVSSKLAMPFLLRTPLMAAMHDDDSPELSEVARVLGEILELPDVPLKLRKLVVEVCDVVTRRAARLAAAGIVGILKKIGRDGTGGIASGKLRSGSSSSRMKRTVVAIEGGLYTSYTSFREYLNEAVAEILGEEVSSLVTITVTEDGSGIGAALLAAALHSASTTVQLL >itb14g15060.t1 pep chromosome:ASM357664v1:14:18220052:18230536:1 gene:itb14g15060 transcript:itb14g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKLPNSQPMRVLIRPPIPPPAHTSTPPSPTPPPPPPPHPPPLNGVVVVGFIGKRHADVTSLINTVIDSNVFGSGGLDRPFRIENLEFGDEIKSWFRFRDISYYHDEEKGILYLQYSSIDCPALEGNMESRMGFDSILEDYEFGDLQAMLFIFSVCHVVIFIQEGSHFDTPTLKKFRILQAAKNAMSPFVKSQTVPPSASGSRFSSPSRTSISESLSNNNSPVNSRGILSRNTSAITLMPGLGSYASLLPGQCTPVTLFVYLDDFLDSIPGSSVEKPPEISSLNQSSSLSSLLRPNLPTKGPGSVVVLARPVNETEGGFRKKLQSSLEAQIRFSIKKCRTLSGSENSHRARSGAMSNSAPLFSLDASKAVVLVDISSIQKGESLEFATSVVEDIISGKASSDALLLECHSQSGNREDILSVKEFICRQLDILRGRGGMVSNSNSGSATGVGMVAIAAAAAAASASAASGRAFTTPELPSLDIWSSSSQLILHAILSAQHGYIDKTEISKRKPRRQNSVSPPIERSASKVSDPLETAVSYLSSGRGLNARFSTLWCQKALPVAKEVYLNDLPPCYPTSQHNDHLERALSSFNSMVKGPAVQLYMKKLEDECTAIWSSGRQLCDAISLTGKPCMHRRHEDETGTLHSSGYVFLHACACGRSRRLRSDPFDFESANVTFNGSMDCDKLLPMLQPPRGSVIGPVQPSSWSLVRVGNARYYQPSKGLIQSGFSATEKFLSKWSILLEKPKVLNAPLPSNFQQASSNLFHSESKDDTNPDTMVDQVGAIRLLDKEMRSEVKMQRKSSLGDIRGEDKTNSFSKKLSNFTMRKPFSEVVAGSANPDSAFPPLQSKAQPQPSIAKGMKQQSDKDGAREKVSEITDAEESEKVGVIPAVDEAVNGNVTLSNGFSKVNRFSEIDTDIFPMHINSGAKTKAVSLKHVTIYIGFEHECPHGHRFILSSDHLKELGSPYLVTEDSTTALEREDHKLAEHPKAGKNGGHGKGRRQSNGIISAASRRVRNLEKSKERTDNGYAYVDGSMQPSKPPKEQPSMMIDAASRKDLEPRLQSHSLAGTGYTFPLLNRNLPLYMNCPHCMNLKNKKDETDAKFAGSVSQLQRIFVVTPPFPVVLAACPIIQFEVSCLPPSIPDRETKLQFSLSCPVVLAPDSFLSLRLPFVYGVQLEDGSLHPLKPFEHQPELTAWITSGTTLKVPLSSWNFKESTTVDLPHFGRLFELQLRVQHKCGTPRVDIGLRRSRSSLQYAVPFQL >itb07g08410.t1 pep chromosome:ASM357664v1:7:6610016:6610648:1 gene:itb07g08410 transcript:itb07g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYFDLDAVLTMPEPESLRTAAACTAERMLEHGGGGGGVDKMVTVGDVAGVCSVCMDGFEEGVGGKQVPCGHVFHENCIAKWLPIHSSCPLCRFQIL >itb03g01350.t1 pep chromosome:ASM357664v1:3:750509:758510:-1 gene:itb03g01350 transcript:itb03g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGEGKAMPEAEKKKEQCVPFHQLFCFADKYDWALMTLGSLGAIVHGSSMPVFFLLFGQMVNGFGKNQTDFHAMTHEVSKYALYFVYLGLIVCVSSYAEIACWMHSGERQAGAWRRKYLEAVLKQDVGFYDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEILKQKPTIVQNPTDGKSLAEVQGNIEFKNVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGEILLDNVDIRTLQLSWLRDQIGLVNQEPALFATTILENILYGKPDATMVEVEAATAAANAHSFISLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGKVVETGNHEELIAKAGAYSSLIRFQEMVGNRDFSNPSTRRSRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDKKTRAPDGYFCRLLKLNAPEWPYSVMGAVGSLLSGFIGPTFAIVMSNMIEVFYYTNPASMERKTKEYVFIYIGIGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEENNSSLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQEKILSLFAQELRVPQKQSLRRSLLSGFLFGLSQLALYSSEALILWYGVHLVTKGLSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGEAVASVFSILDRHTRIDPDDPDADPVETIRGDIELRHVDFAYPSRPDVCVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGRVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKESATESEVTEAARAANMHNFIIGLPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPSILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEHGSHSELISRPEGAYSRLLQLQHHRI >itb05g18990.t1 pep chromosome:ASM357664v1:5:25625500:25628968:-1 gene:itb05g18990 transcript:itb05g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNPGHYVALVITSPTDRTTENGAPVKQLKLLRPADTLLIGQVYRLVSFEDVLKEFAAKKCVKLGKLLKESARLGLDPKRNSDAPAPAPNPKPKVAKAEHDPYHLESSGSGSSSSGIRSVGRHGGGQWRPALQSIAEVGS >itb05g18990.t2 pep chromosome:ASM357664v1:5:25625484:25628978:-1 gene:itb05g18990 transcript:itb05g18990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGNKVERIYWSVSAHDVMNSNPGHYVALVITSPTDRTTENGAPVKQLKLLRPADTLLIGQVYRLVSFEDVLKEFAAKKCVKLGKLLKESARLGLDPKRNSDAPAPAPNPKPKVAKAEHDPYHLESSGSGSSSSGIRSVGRHGGGQWRPALQSIAEVGS >itb14g16270.t2 pep chromosome:ASM357664v1:14:19585105:19587863:-1 gene:itb14g16270 transcript:itb14g16270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDFLAVQTHGGQYVQYDIFGNLFEVTSKYAPPITPIGRGAYGIVCSALNAETNEMVAIKKIADAFDNYMDAKRTLREIKLLRHLEHENVIAIKDVIPPPLRREFNDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNSNCDLKICDFGLARTNLDNEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFQGKDHVHQMRLITEVRLLKDK >itb14g16270.t1 pep chromosome:ASM357664v1:14:19585105:19587863:-1 gene:itb14g16270 transcript:itb14g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDFLAVQTHGGQYVQYDIFGNLFEVTSKYAPPITPIGRGAYGIVCSALNAETNEMVAIKKIADAFDNYMDAKRTLREIKLLRHLEHENVIAIKDVIPPPLRREFNDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNSNCDLKICDFGLARTNLDNEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFQGKDHVHQMRLITELLGTPTDSDLGSIQNENARRYIRQLPLRPRQQLANVFPHVHPLAIDLVDKMLTFNPSKRITVEEALAHPYLAQLHDKSDEPICPVPFTDFEKQAYGEEQIKDMIYQEALAMNPGYA >itb14g16270.t3 pep chromosome:ASM357664v1:14:19585105:19587863:-1 gene:itb14g16270 transcript:itb14g16270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDFLAVQTHGGQYVQYDIFGNLFEVTSKYAPPITPIGRGAYGIVCSALNAETNEMVAIKKIADAFDNYMDAKRTLREIKLLRHLEHENVIAIKDVIPPPLRREFNDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNSNCDLKICDFGLARTNLDNEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFQGKDHVHQMRLITEVRLLKDK >itb11g05350.t1 pep chromosome:ASM357664v1:11:3160743:3162088:-1 gene:itb11g05350 transcript:itb11g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIKKVKGIRERERSTNPEKEKMVKTPSVDKNGIKKGLWSKEEDDKLKTFIESHGHKNWRQLPKIAGLSRCGKSCRLRWMNYLRPGLKKGAFSVQEDEIIVRLHNKLGNKWSAMAELLPGRSDNEIKNHWHTHLKNRAKQTQKPEESVEELLPPSAETSEFSDFEFKPPQDDIFNILNLDEVALPQNSQTVNIPLSQEVSAASSGGGLTSSFSSSSSSISDWILDDISTISLESFMDPLESFWTEPFVADTSYPKNVGYPVTLFEGENFPVQTSPLEGNFVPPYFEDAAWY >itb09g14940.t4 pep chromosome:ASM357664v1:9:10225440:10230611:1 gene:itb09g14940 transcript:itb09g14940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARERGEILYTIYRALTYGLSPLLYLHLRWRKRRGLEHPLRWVERLGRPSLPRPAGPLVWFHAVSLGEGMAAIPVIKCCIERRPDVSVLMTTTTASAFEVIKNLLPSNVIYQFSPLDIPHAIDAFLCYWRPSAVILMESELWPNLIMCAAKNEITLALLNARMSRTSYQNWSLPVILPLTSLLLSKFSLIVPLSTTQGIHFQLLQASPFIINFCGDLKYAVENIDTAERDNRVLEDLKVQLAERKMWLASSIHKGEEEVMLEVHKALKQMYQNIFTIIVPRHPQHGQEIALELQKEQLSVALRSRNDKIMPETNIYVVDTLGELRKFYSLTPIAVVGGSFLPGSAGHNISEAAAAGCAVLTVSFFSNVTGPYIGHFSHMAKQMQQLNPLSVQQVSGDSLVEAVGELLGDESTLEAHRNAAKQAYEALSKGIIENLWYLLGVHIFDKTMGK >itb09g14940.t3 pep chromosome:ASM357664v1:9:10227449:10231004:1 gene:itb09g14940 transcript:itb09g14940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESELWPNLIMCAAKNEITLALLNARMSRTSYQNWSLPVILPLTSLLLSKFSLIVPLSTTQGIHFQLLQASPFIINFCGDLKYAVENIDTAERDNRVLEDLKVQLAERKMWLASSIHKGEEEVMLEVHKALKQMYQNIFTIIVPRHPQHGQEIALELQKEQLSVALRSRNDKIMPETNIYVVDTLGELRKFYSLTPIAVVGGSFLPGSAGHNISEAAAAGCAVLTGPYIGHFSHMAKQMQQLNPLSVQQVSGDSLVEAVGELLGDESTLEAHRNAAKQAYEALSKGIIENLWYLLGVHIFDKTMGK >itb09g14940.t2 pep chromosome:ASM357664v1:9:10227449:10231004:1 gene:itb09g14940 transcript:itb09g14940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELWPNLIMCAAKNEITLALLNARMSRTSYQNWSLPVILPLTSLLLSKFSLIVPLSTTQGIHFQLLQASPFIINFCGDLKYAVENIDTAERDNRVLEDLKVQLAERKMWLASSIHKGEEEVMLEVHKALKQMYQNIFTIIVPRHPQHGQEIALELQKEQLSVALRSRNDKIMPETNIYVVDTLGELRKFYSLTPIAVVGGSFLPGSAGHNISEAAAAGCAVLTVSFFSNVTGPYIGHFSHMAKQMQQLNPLSVQQVSGDSLVEAVGELLGDESTLEAHRNAAKQAYEALSKGIIENLWYLLGVHIFDKTMGK >itb09g14940.t1 pep chromosome:ASM357664v1:9:10225440:10231004:1 gene:itb09g14940 transcript:itb09g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERGEILYTIYRALTYGLSPLLYLHLRWRKRRGLEHPLRWVERLGRPSLPRPAGPLVWFHAVSLGEGMAAIPVIKCCIERRPDVSVLMTTTTASAFEVIKNLLPSNVIYQFSPLDIPHAIDAFLCYWRPSAVILMESELWPNLIMCAAKNEITLALLNARMSRTSYQNWSLPVILPLTSLLLSKFSLIVPLSTTQGIHFQLLQASPFIINFCGDLKYAVENIDTAERDNRVLEDLKVQLAERKMWLASSIHKGEEEVMLEVHKALKQMYQNIFTIIVPRHPQHGQEIALELQKEQLSVALRSRNDKIMPETNIYVVDTLGELRKFYSLTPIAVVGGSFLPGSAGHNISEAAAAGCAVLTGPYIGHFSHMAKQMQQLNPLSVQQVSGDSLVEAVGELLGDESTLEAHRNAAKQAYEALSKGIIENLWYLLGVHIFDKTMGK >itb01g12540.t1 pep chromosome:ASM357664v1:1:12085049:12088510:1 gene:itb01g12540 transcript:itb01g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQIFGLRKLLCFSVFLVFGLVGGASALRERENPSRNQSGAQINSNSVLVALLDSHYTELSELVEKALLLQTLEEAVSRHNITIFAPRNEALERDLDPEFKRFLLEPGNLRSLQNLLLFHMIPTRIESKNWPVESRRDGRNHLTLCRDAGGENLIVSEKEVSGAKFVSLNDVVRPDGIIHGIERVLIPNSVQQAFNARRSLRSISAVLPTGAPEVDPRTHRLKKPAGPTPAGSPPALPIYDALAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLASEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHLIPEYQTEESMYNAARRFGKIRYDTLRLPHKVVAEEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPPEPTKPAAPKASPLAKVASKPRRGKLMETACSMMGSFCH >itb05g23140.t1 pep chromosome:ASM357664v1:5:28303941:28305199:1 gene:itb05g23140 transcript:itb05g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASINTSSAARRLLQIPGLPPLPTIIPSLPQPQIPSIPNMPTTTLPPLPAMPSLNLPNTPLPTLPSSSAPKLTLPPMPANIPPQFSGGYSRPFAASIKLISSILS >itb10g25630.t2 pep chromosome:ASM357664v1:10:28852918:28857027:1 gene:itb10g25630 transcript:itb10g25630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVFSFILFLLLSSSFLQVTRCESEAGNDVVESTEEGDLGIVGEDVQDFGGGAFGPAPGVETVCVFPKNPSRVVTAGEESELLVGMKNDGESTLKVISIQATLHLPFDHRYLVQNLTIQGFNNATVPSSAQAAFPYIFGVNKFLQAGSFDLVGSIVYEIDQHPYQSTFYNGTIEVTEPGGLLSVESVFLFCLGVGLVGLLVFWLHGQIQRLSKVIRYHC >itb10g25630.t1 pep chromosome:ASM357664v1:10:28852918:28857027:1 gene:itb10g25630 transcript:itb10g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVFSFILFLLLSSSFLQVTRCESEAGNDVVESTEEGDLGIVGEDVQDFGGGAFGPAPGVETVCVFPKNPSRVVTAGEESELLVGMKNDGESTLKVISIQATLHLPFDHRYLVQNLTIQGFNNATVPSSAQAAFPYIFGVNKFLQAGSFDLVGSIVYEIDQHPYQSTFYNGTIEVTEPGGLLSVESVFLFCLGVGLVGLLVFWLHGQIQRLSKKTKRAPKAAKVEVGTGTRDASMDEWLQGTAFTQSQSKSKKKN >itb12g05290.t1 pep chromosome:ASM357664v1:12:3519533:3522033:1 gene:itb12g05290 transcript:itb12g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESGSNNGTPRFRPVRLFGVEITLPENGDNPQQPTELQVHSLTISQISNLRDINSFGPDITRDQPFHSSITSGTMVVEQPEVAALPPPSPPHSHPHQPTVPPPQNATMEGYANNPLVDAGNLQRQVENGLREATGGNIDPDMVDAVIQLIVDTLESLEDNADHDDILNVHLSIQLEEVMEDSLDEQEDHPGLLSEEVIMSLLGTSTFETSYHDDEDKDSCCICLDEFSTGDELGKINCEHKFHFMCIREWLKRNNICPICRAVALSVS >itb01g07810.t1 pep chromosome:ASM357664v1:1:6185003:6187786:1 gene:itb01g07810 transcript:itb01g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDFVVGKLKEQAFQEVLFQWKIKDEVDKITARLANMKGYVEDSSGKGKQDTKVAESWVTQLRDTTLELEDLVEEFMLDSKLVELNTPPFNFCEVKSLFANVQSLVERVKIQFCFHQQLKAMDEKLLALETDKSKYGIKLKTNDDGKNELVMGSGSGYMVGIKAVGIDKQVEDIAQLIQKRRERMLVITVWGAGGCGKTTLAKQVYERVKNDGSIDCLSWVDVNHSSDIEFVLRETINGLYTSVGTEMPPKLEKAKKNSLQDHICDYLKGKRYVVFFDDVWDEKLLSQINIPVQDESAIIITSRDKGIASGSFLAATPHCVEVKPLDSNIACHLFCKYAFPEGNWPNEMVKELGEALVKRCSGLPVAILAMAGLMSTKGDNLKNWKEAVESLEYYSAESEEGGSLKCVNRALLLSYNELPTHLKSCFLYCAMFPKTKSLHVKELIRMCIAEGFIAEHGGRPLEGIARDYLLQLNNRSLIRIVTTESVFIRVDDEIKMHDLFRDVAGEVIRREMFAEIKLSGMHNTKLEWNQRRSLIILEGEPKVNLLKVPQMKKLRTLIIHGGGIILNSLPQMLQNMKLLRVLALGRLPDGVKELPNEVGDLIHLRYISLYGNYKMRYLPDSLGRLHNLQTLDLTHTKVESLLKCLSQLMQLRHLFGSYASQMPDIVFTFSQLQTLSGVMINTIQARELVNITQLTELCITFKEGEECWRAICDSVNKMTNLRSLLIRRQSPQSKGDAMVWEFGNFSPPLYLEKLELENFQKLVNFTCSLKYLRSINIRRCYVDGDFFNSLEKLPSLVRLFIRSYSEEQLLCSDGSFPKLKKLVIECKKLTKWEIGKGAMKCLESVSLIPCTNLEMLPEGLRELEYLKELSLYFPSQQLAQGISVEGSDRWKVEHIPRLTIEQIVNKVRPRMKTKLRPMRPGN >itb01g29120.t1 pep chromosome:ASM357664v1:1:33405913:33409506:1 gene:itb01g29120 transcript:itb01g29120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRYSSYPPLSILLLLLFLLCASPSSAAKSDETEILLKFKESLENATALSSWNPSKPPCQGERPNWEGVMCEAGKVRGLNLNEMGLKGTIDVESLGELPGLRVFAAIENNFEGSMPDFTKLGSLKHLYLSRNKFSGEIPSKGFEGMNSLKKLHLGNNGFVGAIPTSLATLGKLIELMLENNKFDGNLPNFKQERFTLVDFSNNLLVGEIPPALSKVNASSFQGNAGLCGAPLKACVVNPKLSTATKAVVGIALAAALVAILLVIFILVKRKPESPADDVPATAQAAAQRKAPQDEKMDKIEKGSPAASSTPPQRSTASSSSPAPADSRRPDQSVKLSFLIEGREKFGLPDLLKASAEILGNGIFGSTYKAALTAGPVMVVKRFKHMNNVGKEDFHEHMRRLGRLSNENLLPVVAFYYRKEEKLLVSDFVDNCSLAFHLHGKNRSGSSSLDWATRLKIVKGVAKGIGYLYTELPSLTAPHGHLKSTNVLLTSSHVPLLNDYGLLPVVNLEHAQAHMIAYKSPEFRQGGRITKKTDVWALGILILEILTGKFPEKFAQQSKGSDSDLATWVESAIGDGGEGSAEEVFDKGIRNGCPGEMMKLLKIGMSCCDVDVEKRLDIKQAVKSIEEVRERE >itb11g03500.t1 pep chromosome:ASM357664v1:11:1867501:1869901:-1 gene:itb11g03500 transcript:itb11g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRGNRLQKQSSNTQYIRLTVLGVFFLFLCVSFILFGRSDERSGTSPPILKQNSNSLSSGVKLDPTVEIKNGTDLIWQIPDMPKAVLFIAHGCNGRAANFWDKSSKCPNCVGLPEERLVVVKALARKFAVLAISSAGRCWSFGAERHTVKDIIKWWVARQKLENLPLVALGASSGGYFVSLLATEVQFSSITLMIAEGLFDKIDTTKGYPPTLFVHMPKDGARNLSIEKFLVRLKGNGVDVAEIKCMEFPLSSEFLAERIQGLDLSTSVKLFGVFQEKGFVNKSGYMTKDGRAIQWNGAFKEMKSLLPDMSLAKHIQEEMNLAYGYHEMTSLQSEQIFDWFESHMTKYAI >itb04g34230.t1 pep chromosome:ASM357664v1:4:36247666:36248502:-1 gene:itb04g34230 transcript:itb04g34230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGHTLVAVYIILAAAMLIGKLEVSEAVTCTPTELSPCANAIISGTPPTPACCSKLKEQKPCLCGYLKNPSLGQFVNSPNAKKVSKACGIQIE >itb12g19090.t1 pep chromosome:ASM357664v1:12:21390582:21392326:-1 gene:itb12g19090 transcript:itb12g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECIGFEDNWGLQAIVQPPLMASEFSCHDLFDFSQENVLNFDGFVGSANDALLEELYKPFYQPAPSISFAGEVKQAEGAVHQILQQDGDVKKGGLDKSSPVLETPNYVPKFKRRKNEHKRVVVQVPAEELCEDKWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVEQSNTAAGMFIVTYTAEHSHSQPTRRNSLAGTIRNKFPPSTASKAVIAAEYSSTAHHVPELVAAPTANNWRASSEEETKIKDEDDEELEMGEKISLSDQIQSGMPQVAMDNNEDFFAGLEDLDGLISHFSYSSQYFPSMFSS >itb09g13880.t1 pep chromosome:ASM357664v1:9:9092701:9096668:1 gene:itb09g13880 transcript:itb09g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSASFDGNAAFAGGGFMPSQATQTATDPSYSSSKSRDSQSLLPLTVKQIIDAVHSSDDKINFLVDGVDVNNVKLVGIVFNMTDRATDFSFVLDDGTGRIDCYRWLNESFDKMEMAAISNGMYVKLHGHLKGFQGKKQLMTYSVRPLDDYNEIAHHFAEAIYAYCHNTKLRLQDSANTATHVPNSAMNTPMKGYQVSQPNFSGYSVDGITGIEKMVLSYLQQPQCLALEKGVHRNELAQQLNVPIDKILPAMESLESEGLVYSTIDECHYKSTGNG >itb09g13880.t2 pep chromosome:ASM357664v1:9:9092701:9096668:1 gene:itb09g13880 transcript:itb09g13880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSASFDGNAAFAGGGFMPSQATQTATDPSYSSSKSRDSQSLLPLTVKQIIDAVHSSDDKINFLVDGVDVNNVKLVGIVFNMTDRATDFSFVLDDGTGRIDCYRWLNESFDKMEMAAISNGMYVKLHGHLKGFQGKKQLMTYSVRPLDDYNEIAHHFAEAIYAYCHNTKLRKLQDSANTATHVPNSAMNTPMKGYQVSQPNFSGYSVDGITGIEKMVLSYLQQPQCLALEKGVHRNELAQQLNVPIDKILPAMESLESEGLVYSTIDECHYKSTGNG >itb08g16760.t1 pep chromosome:ASM357664v1:8:18791451:18793239:-1 gene:itb08g16760 transcript:itb08g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDEQCTLVARFVKERPPLELIRARIGEVVSLEGGIQIGSLNRRSIMLRFDLESDFKRAWFRGQFMMDGVRAWFERWTLAWCATRNSPLSLVWIQLPNLPLHLFNFDSISRICAPIGRVIELDSATIRKSRPSVAKARLEIDVTKPRLERIWIEVVNRKGVVSGFWQRIEFLKVPIYCEDCSRFGYGRLTCRRVRIGVDRRGDDLGGANPVSGTMEEMNALGENVGEAGVEGTGVERVEVCKNVHMRDGELNAPIQQELEELARKGISIDQFVGEVVLEAAVNIIDEAADIVMKGEGVDDDPEKDEEEGAQSEDEGGSEGEEASISSEEGSSQSVSYNTWVDNLKNKVGNDNVLLKLAEEAKLEVESSFDNALKELGRAEYDLKTDELVHKANGIFLRRVAARGKSEELGLRSELRGERDRADDVSSVEKTLEREESSSREVRKNASSKTVGIEGKGAQTQESQGSLAGKVDNEKENKEGGITSGADWMRLLFDATILMEKARFNVAKEKLDPEEVLGRCLKANPKLLGWEICCLAEDLDMLASGMEL >itb02g00740.t2 pep chromosome:ASM357664v1:2:428094:432039:1 gene:itb02g00740 transcript:itb02g00740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLIIGFDAKSSTAISKCMITGAAGATVYYNLKLRHPTLDLPIIDYDLALLLQPMLLLGISIGVILNVLFSEWMVTVLLIILFLAASTKAFFKGVETWKKETIIKKEAARRLASRGVDNREGGYSLLPGGTNNASGMKQDGQKAPEVSCVENMHLKDLGVLIAVWIVILVLHIVKNYATPCSMMYWIFNASQVPVAVGASAYQAVCLYKGWRVVMSNGEVATNWKVHQLVLYCFFGILAGVVGGLLGLGGGFILGPLFLELGIPPQVSSATATFIMLFSSSMSVIQYYLLHRFPVPYAIYFVAVATIAALVGQHVVRKIISIVGRASVIVFVLAFTIFLSAISLGGVGIFNTIRKIEDGEYMGFVNICETSY >itb02g00740.t1 pep chromosome:ASM357664v1:2:428055:432150:1 gene:itb02g00740 transcript:itb02g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGSRFKAFVTVIAVLAFAFLSIAFAEASAELRHHKNILRNHGTTEEEEEEKGFRSGYVFELLNSLLEAGQAVYRHVWPDLEFGWRIVLGSVIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAGATVYYNLKLRHPTLDLPIIDYDLALLLQPMLLLGISIGVILNVLFSEWMVTVLLIILFLAASTKAFFKGVETWKKETIIKKEAARRLASRGVDNREGGYSLLPGGTNNASGMKQDGQKAPEVSCVENMHLKDLGVLIAVWIVILVLHIVKNYATPCSMMYWIFNASQVPVAVGASAYQAVCLYKGWRVVMSNGEVATNWKVHQLVLYCFFGILAGVVGGLLGLGGGFILGPLFLELGIPPQVSSATATFIMLFSSSMSVIQYYLLHRFPVPYAIYFVAVATIAALVGQHVVRKIISIVGRASVIVFVLAFTIFLSAISLGGVGIFNTIRKIEDGEYMGFVNICETSY >itb04g20790.t1 pep chromosome:ASM357664v1:4:25759484:25760308:1 gene:itb04g20790 transcript:itb04g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPWPESGLSQKPGTTSNQSSQAGPSTVPQGHYAPAIAGGAIGQPRPTQPVPIGSTHDMFGLEVEDEEKLRERAERAARAGGWSVEISRKRALEEAFASLWV >itb03g21610.t1 pep chromosome:ASM357664v1:3:19520699:19523922:1 gene:itb03g21610 transcript:itb03g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNAHQIHLAKDLPIRHLMETPPSSSGGQSPLKRTPKLEDAIMVGHTEEFEEFKDQLLRSSNEQRQVMALVGMGGIGKTTFARRIYDESAVKSSFDCCGWATMSQDLNNRQVLLDLCRSVMPMRDDLNTKNDAELAEQLQKSLKGQRYLIVLDDIWSTEAWENVQRCFPENNTSSRILLTTRGLDVASYACSSKSFNYEMRFLNCDESWNLFCQKLLIKEGLNKEFERIGRKIVENCRGLPLTVVVVAGHLSANMAVDEWKTVESTLNSLVNLDLSEQFSRILSLSYNNLPCHLKSCFLYLGAFPEDSEIEIKKVVRLWIGEGFIKEVSQKTLEESGEEYLQDLMNRSLIMKSGRSTNNNTGKVETCKMHDLLHDLCASKAKKEKLLCTRDGSELLRHHDKVVCSDGNRWLSLKIASQLYQFSFALNKFRSILCFDMREWNDPDWFLKSLASSAKMTANSFKMLRVMDLTVLDYNGSIPSDIIEVVLLRYLALASNTLLTSIPLWKNRNLQTLIICEDINGVRKLPRGIWELPQLRHLELYHQLIPMYTPEVAQVNLQTMYWLQCVQCTKQVLSRIPNVKELGIIAKGCISHHCLDDLNSLKKLDKLKVQGSYCPIQLQSCTFPQNLKDITFAKTLMPWEAMNFISMLPKLEVLKLKNHACVGQDWKLSVERGFPELKLLLISVMDLKHWELADDVDDDYPFPKLERLVLRNCFELKEMPCWIEKLSNLKSVQLEHCHASLVTSGRMIEEEQRDCYGEEYGFEVLEFHTQSDEDQKNRLPPLSHSFAEENDEDIKMSVFLEASNLYIPHKDNPKKWSWTLDSGHKVAELLGVCWLEIKGQLDTRCLHKMTSYSVYLIFKLKSKALRLDTAFTSVRYIKDKRCYSENRRCQVFIAKERSSEDPGQFPNRRHDGWMEIKLGDFYISSRNEGEVEMRLWSTENVYWKIGLIVKGIEVRPN >itb03g05560.t1 pep chromosome:ASM357664v1:3:3925801:3929494:1 gene:itb03g05560 transcript:itb03g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAMTTEEIVDEIMRLHRSLPARPGIEEVEAAKALLAGVEREDQAKMDAIARQSKGKDVPEELFKVLQEMQRSTVHLQSKEQKKEAVKLLDLEHAHCVFDDLVQRASKCLPSSSQVYAPSNSSRNSSSLSLANSASMSGSSFSSPATATAIATPSSGFYVEREPAKTFEFHSKDDSFLKKPKTAFHMDGGGRSVNTPPATMIVDSTLKPAINSSRDGDKLSLIKLASLIEVSAKRGTSDLILRGKLSDQMDWLPDSIGKLSSLITLDLSENRIAVLPASIGGLSSLKKLDLHGNRISELPDNIGDLLKLVYLDVSGNQLKSLPVSIARLVNLQELDLSSNMLSVLPESISSLVSLKRLIVETNDLEELPHTIGQCSCLVELRADYNRLKALPEAVGRIGSLEILSVRYNNVRQLPTTMASMASLKELNVSFNELESVPESLCFATTLVKLNISNNFADLQSLPRSIGNLEMLEELDMSNNQIRILPDSFRMLSKLRILRTEGNPLEVPPANIVEMGAQAVVQYMADLYAKRDLKSQPVKQKKSWTQRCFLSRSNKRKRNNMEYVKT >itb03g05560.t3 pep chromosome:ASM357664v1:3:3925801:3929494:1 gene:itb03g05560 transcript:itb03g05560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAMTTEEIVDEIMRLHRSLPARPGIEEVEAAKALLAGVEREDQAKMDAIARQSKGKDVPEELFKVLQEMQRSTVHLQSKEQKKEAVKLLDLEHAHCVFDDLVQRASKCLPSSSQVYAPSNSSRNSSSLSLANSASMSGSSFSSPATATAIATPSSGFYVEREPAKTFEFHSKDDSFLKKPKTAFHMDGGGRSVNTPPATMIVDSTLKPAINSSRDGDKLSLIKLASLIEVSAKRGTSDLILRGKLSDQMDWLPDSIGKLSSLITLDLSENRIAVLPASIGGLSSLKKLDLHGNRISELPDNIGDLLKLVYLDVSGNQLKSLPVSIARLVNLQELDLSSNMLSVLPESISSLVSLKRLIVETNDLEELPHTIGQCSCLVELRADYNRLKALPEAVGRIGSLEILSVRYNNVRQLPTTMASMASLKELNVSFNELESVPESLCFATTLVKLNISNNFADLQSLPRSIGNLEMLEELDMSNNQIRILPDSFRMLSKLRILRTEGNPLEVPPANIVEMGAQVVSVSLGFLNSARMVFFRSLILHYFLLRLLFSTWLIFTQRGI >itb03g05560.t2 pep chromosome:ASM357664v1:3:3925801:3929432:1 gene:itb03g05560 transcript:itb03g05560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAMTTEEIVDEIMRLHRSLPARPGIEEVEAAKALLAGVEREDQAKMDAIARQSKGKDVPEELFKVLQEMQRSTVHLQSKEQKKEAVKLLDLEHAHCVFDDLVQRASKCLPSSSQVYAPSNSSRNSSSLSLANSASMSGSSFSSPATATAIATPSSGFYVEREPAKTFEFHSKDDSFLKKPKTAFHMDGGGRSVNTPPATMIVDSTLKPAINSSRDGDKLSLIKLASLIEVSAKRGTSDLILRGKLSDQMDWLPDSIGKLSSLITLDLSENRIAVLPASIGGLSSLKKLDLHGNRISELPDNIGDLLKLVYLDVSGNQLKSLPVSIARLVNLQELDLSSNMLSVLPESISSLVSLKRLIVETNDLEELPHTIGQCSCLVELRADYNRLKALPEAVGRIGSLEILSVRYNNVRQLPTTMASMASLKELNVSFNELESVPESLCFATTLVKLNISNNFADLQSLPRSIGNLEMLEELDMSNNQIRILPDSFRMLSKLRILRTEGNPLEVPPANIVEMGAQAVVQYMADLYAKRDLKSQPVKQKKSWTQRCFLSRSNKRKRNNMEYVKT >itb07g22010.t1 pep chromosome:ASM357664v1:7:26485902:26488338:1 gene:itb07g22010 transcript:itb07g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPSTEAVANLFSQQYYTILHIKPEESYRFYENSSVATWDDAKNAVTTLDGIREMIMASDFKGCLVEVEDVHSQDSLQGSILVVVTGLATGEDNSQRKFSQTFVLAKHNTGFFVINDILRFLDDKEPKSTLVADSEPVRVSVDIGIQVDELSAADGKSVSIDSIDAKVTTVEIAETRQPKQTVSEVVASDMKDLAPKSSYLEMLSKGKTSSTPTPATQFVRVSALDSLSGQEKVAAQSGSPKANNVTKDEAPPSPTKTTKNAAETIPTPKGIYVGGLPSNTTVNDLIDGVKKFGRVRNVEGVQVIVLEDKYCYGFVHFQSADSAKKAVEAKVITVKGKEAYISYKRFNRNARAAADGNGNRGRSPSSRGKSHSRAPSCNGHSSNGDTHHLDANQHNNNNNGHKSSDGNGGEADDGWCDPRRRRRRARDQRSNGEALQDHKSSTAATSQQ >itb02g14030.t1 pep chromosome:ASM357664v1:2:10262052:10263803:1 gene:itb02g14030 transcript:itb02g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLRTTLPCAFTALALFLVFLITPCNALNETVLVSEAASGEGKWQLLLENTGVVAMHMALTHRNTVVFFDQRGSGGSGYQLRRRFNGTRCKGSREDLSDPSCYAHSVEYDLLNNTIRPLRFISDTWCSSGTILSNGTIMQTGGYRDGSKRIQFFSPCVDRMCNWRPGRKHLADERWYASNQILPGKNDRVIIVGGKRAFTFEFVPRFPNMPKSTDLPFLHQTYERNSGGNNLYPFLHLSSDGYLFIFANRDSILFNYRKNKVVKKFPRMPGEGSRSYPSTGSSVILPLDHKNRFQRVEVMICGGATAGAYAEAKQGRYVEGQKSCGRMVITGNKHKWNMENMPGPRLMNDMVILPTGQILIINGVKRGCAGWGNGASPAFEPYIYSPGKTVGKRFSVLRATKIARMYHSTATLLPDGRVLVAGSNPNDGYFFTNVPHPTELRLQAFVPDYMDRQYDNQRPKNVTVSVDGSPGAVVTYGKPFRVRFWLDKKRPSNDLDFSAYSPPFTTHSIAMNQRMLKLKCRSMERGDNGMVNAVVEAPPSPMVAPAGYYMLTVVHGGIPSISHWVKFMNAASF >itb07g08850.t1 pep chromosome:ASM357664v1:7:7504620:7506191:1 gene:itb07g08850 transcript:itb07g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKANNPSSSNCNYFQGVLDYINQMLMEDDDLENGSFVYPDLLALQAAEKSLGDVLNAEESSGNDGGRRFPDRPREKRHRCGEDINGVEAGRRRRCNNNNVARDEEQVQIEMYNGIKGLCYCRELDASAKRVVQPRVTARRGRPRAGERGGNGKGEVDFAGLLNLCAQAVGGEDFRTVNELLGRIRRHCSPHGGAAERLSHYFAKALDARLAGTGAALYTANRASLADTLKAYQMYFTACPFKKLSNMFADKSIGKLTMEATKIHIIDFGISHGFQWPCLIQGLSRRPGGPPALRITGIDLNAEATGRHLSYYSNKFNVPFQYTAVTKKWETICSEDVRIERDEVLVVNCLYRLQDVPDEIASEESPRDTVLNFIKQLNPQVFMHGVVSASYNALVFSTRFREAIFHFSSLFDMLEGTVGGGDEGRMVYEREILGREIMNVVACEGAERMKRPETYKQWQERIQRAGFEQMLPDGDIMRQVRAKVMDYSNDFFVEEDGKWMLQGWKGRVIYATSSWKPVINH >itb02g10230.t1 pep chromosome:ASM357664v1:2:6592230:6595335:1 gene:itb02g10230 transcript:itb02g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTNRNKTWVGNMYRKFECICQDVDGFITKGTVNYVGNQVQSVGDNVKRFYSGVVQDYLSPHNPVEQEGQSTRRKQSNAIAETGLSFHNDCDDAICSSHLNFEESMTMGAQESHSSDYTSSESLQSSLHDVGYAIAETESSLNNDGDAAICRSSHLSFNESMTMVAQGSHSSDNTSSESVQSSLHDVGYAIAETESSLNNGSDVAIYKSSHLSFKESMTTGAQGSHFSDNTSSEALQSSLHGVGPTNQKDDTLSKSFSDDPNLSLNCQIIPKGDFIEEVKIVTEELGSTEYQNSSRSQLLSASHLETDCRTSQEDEMVTTRDATEFVSEGSSMLVEEFVTGESSSSDDKTLTETEALMISIIEDYKDTIISKLSPNIQLHDTDEGSEFTDDGTVCSSLSSASCHVSDASHDWRQLHGKTMWVEQSLNLGSDSSEDIALKSHTFSTDQDHKSQVTSVTSLNDPGLGSFHKRSREHGWHADSSECGSRVSSDLKSPTSSATFSCATNAIDVMPDFCSSALSSDFIGASEGELKHGCQHNQLTALVSPVETDPCMETIDLSDKEKLDFSCVEMDSNKPLNTVSSGPRRLKSYKKLIQNALTSRKKLVTEYKLLAIWYGDIDPESCQHSMDSPAAVPATSLDSCKCCESGWELL >itb06g05230.t1 pep chromosome:ASM357664v1:6:7985796:7986104:1 gene:itb06g05230 transcript:itb06g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGEKGGSNVLCCSNNRKREKAIETEQKHQSDYHAAGTTIASLQCHSAHKHLQPSSSEHNANQVSRRAIHHEECITSVNYLIFSILVWFIAKLCNFMIISI >itb01g29930.t1 pep chromosome:ASM357664v1:1:34007664:34008991:-1 gene:itb01g29930 transcript:itb01g29930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMATVLVTLLSLAAYSSLCSAHPEYSSPKRPGWFQEPPELPPLPEHPYPKPLPEHPYPKHPPVYNYNSPPPVYHYKSPPLPPPVYKSPPAPAQPYNPPCVCHPSPSPSPPKPHPVYWSPPPHNPYVYKSPPPPVNPYVYKSPPPPPPIY >itb06g12610.t1 pep chromosome:ASM357664v1:6:17253897:17254250:1 gene:itb06g12610 transcript:itb06g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSRRRPPKAIKEIRRFAQKAMGTTDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTLSEIPPEGLKGLGTTIINDDYYWGGMEMIQNFLLFYSINILLHCFRI >itb09g03430.t1 pep chromosome:ASM357664v1:9:1927862:1928323:1 gene:itb09g03430 transcript:itb09g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLNPSFLLLFLITLSPALVQTEARHLLEITLPEIPTLPKPELPEIPKPELPTFPKPEIPEIPKPELPALPKPEVPEIPKPELPTLPKLEVPEIPKPELPTLPKLEVPEIPKPELPTFPKPEFPEIPKPELPTFPKPEVPEIPKPELPKKP >itb04g33000.t1 pep chromosome:ASM357664v1:4:35355807:35358653:1 gene:itb04g33000 transcript:itb04g33000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDTNMLVAMCLARAGVDRMFGVVGIPVTSLANRAVARGIRFIAFHNEQSAGYAASAYGYLTGRPGILLTVSGPGCVHGLAGLSNAAVNTWPLVMISGSCDQGDFGRGDFQELDQIEAVKPFSKFSAKATDISKVPNCVFGVLDWAAAGRPGGCYLDLPSDVLHQTVSESEAERLIAEAWNSRDKALIERPIVEESEIGKAVALLRQAERPLIVFGKGAAMARAESALKTLVEKTGIPFLPTPMGKGLLPDNHDLAATAARSLAIGKCDVALVIGARLNWLLHFGEPPKWSKDVKFILVDVSKDEIELRKPWLGLVGDATKVVEMINKEIKDDPFCFGKNHPWIESLAKKTKENVSKMEAQLAKDVVPFNFMTPMRIIRDAILELGSPAPILVSEGANTMDIGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRNPEEITGPYKDDPAPTSFVPGAAYHVLIEAFGGKGYLVGTPDELKSALAESFSARKPAVINVTIDPYAGAESGRLQHKN >itb14g13830.t1 pep chromosome:ASM357664v1:14:16133313:16146032:1 gene:itb14g13830 transcript:itb14g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPLTDTSTDADTEEKNSRFQNNQSMNIVGSDGSDKTRDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLTQLEQELQRARQQGIFISSSGDQSQSMSGNGALAFDVEYARWLEDHNRRVNELRGAVNSHAGDGELRSIVDSILAHYDDIFRIKGEAAKTDVFHVLSGMWKTPAERCFLWLGGFRTSELLKLLINQLEPLTEQQLLAINNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >itb14g13830.t2 pep chromosome:ASM357664v1:14:16134932:16146032:1 gene:itb14g13830 transcript:itb14g13830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPLTDTSTDADTEEKNSRFQNNQSMNIVGSDGSDKTRDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLTQLEQELQRARQQGIFISSSGDQSQSMSGNGALAFDVEYARWLEDHNRRVNELRGAVNSHAGDGELRSIVDSILAHYDDIFRIKGEAAKTDVFHVLSGMWKTPAERCFLWLGGFRTSELLKLLINQLEPLTEQQLLAINNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >itb04g01370.t1 pep chromosome:ASM357664v1:4:774190:778545:-1 gene:itb04g01370 transcript:itb04g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERNEKLMNIFWLRPAYHRHQSFGARWRSSSTNKKTAAATLTAALFLLLAVIFVASFSTFRGWVDLESSLLSSGKKESDEVFDFPISLDCVAWNKNSTCAAGKYPTRSSYLNFSEMAAPAPANCPEYFRWIHEDLKRWKGTGITREMVEKVRRNAHFRLVISGGKMYVEKYRESIQTRALFTMWGILQLLRWYPGQLPDLELMFDCDDRPVVQAKDYRRPDSGPPPLFRYCSDGDSLDIVFPDWSFWGWAEINIKPWRSVMKDIKEGNKKTKWKDRVPMAYWKGNPHVTPNRADLLKCNLTQQHNWNTLLFVQDWVEESKNGYKQSNLEDQCTHRYKIYIEGWAWSVSEKYILSCDSPTLYVTPRYHDFFIRGMIPQQHYWPIRDNDKCKSLQFAVEWGNNHTDKAQAIGEAGSHFMQEDMKMENIFDFMFHLLSEYAKLLRFKPEIPQNAVEVCPESLACSADGPWRKFMEESLEQSPSNTAPCTLPPPYDPQQLGTFLDKKIRATKQVEAWENEYWSKRNKN >itb13g20530.t1 pep chromosome:ASM357664v1:13:27338355:27340222:1 gene:itb13g20530 transcript:itb13g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPSMFRCSSPSPLPYLSLMNMMNNNNNSGMESGFLGMKMSSAGDVEVPCSEEMKSESICTAAATTENNSGLTEIGAAKSPSSSSAGKKKGEKKMKKARFAFQTRSQVDILDDGYRWRKYGQKAVKNNRFPRSYYRCTHQGCNVKKQVQRLSKDEGVVVTTYEGVHSHPIEKSTDNFENILSQMQIYAAF >itb13g09610.t1 pep chromosome:ASM357664v1:13:13798717:13804380:1 gene:itb13g09610 transcript:itb13g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGPGAHNLPANVTQLIDQLERHCLAPDGSLISKSTYYDLQLAREEMTKERQRYLEALAVYIEAVGMVEEYQQALSVANLGGIRDVQGHYPQIGLKNPPQVYEALEHRMVVAEAAQRLRLPLISKDGEVQDDEIEKCILSRSSLDSSVTSVTVSSNSISTNFTNLSASGTAAAGISAPSSSASDASKPSIGGVPNRYLGITPTYLWQTQLLQSPLSMQSPLSMDMAEYQILLSREVGSRLDAKCDKLADVVAIDDIDPSASNQIPTARLPERVKLIIEEIEREEATLREDLYSSDRKFAEYYNVLEQILGVLIKLVKDIKLKHQHKYDELQKTWLSKRCDTMSAKLRVLEHILLLETYTPDTIPALHKIRKYLVESTEEASLAYNKAATRLREYQGVDPHFDMIARQYHDIVKKLENMRWTIQQVEMDLKRLPAHPGA >itb09g06160.t1 pep chromosome:ASM357664v1:9:3546586:3550082:1 gene:itb09g06160 transcript:itb09g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHASIPCFSIGTALHCHRRTPVVFRCQSANSSSLRSDKLTATLTKDEFLFKSKGKGEEWQRGLLYQFQKDDMQVLNVIDAIQHLGIDQHFHDQIGAILQRQNQKVKIDFQKINDLYDISLRFRLLRQEGYHVSSDVFTKFTSEKGQFKEELSKDIRGLMALHEASHLSIEGEDVLDEAAKFSRESLIASMVHLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLATMDYSITQSFYQEEFRQILRWWKSLGLKDELAKNQQLKWYIWTLALIPDASMSRERIELTKPIALVYFIDDIIDVYATFDQTKQFVDAINRWDLSVGEGLPDYMKRCLVVLFDTTNDINNLVFDKYGWSPIDHLKRAWKCLCSAYITEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFAGTTDIEDMITSVGEILRLLDDLDATQGEKQDGNDASYVEYYVKEHEGLSISDGKQRVINMVSEQWKLINKQCLSPTSIPASFRKSCLNIARMVPMMYNYSDTHCLSILQKQIMSMFSTINGESSYLATVAGLGIETAERHEL >itb04g24780.t1 pep chromosome:ASM357664v1:4:29520396:29523827:1 gene:itb04g24780 transcript:itb04g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLSAIAFLVAFAVLRLQPFNDRVYFPKWYLKGVRASPRSSGPFLRKFVNLDFRTYLRFLNWMPHALKMPQPELIDHAGLDSAVYIRIYLLGLKIFVPITLLAFAVLVPVNWTGEALKHSEDLTFSDIDKLSISNIPSGSQKLWTHIAMAYVFSFWTLYVLYKEYEIVTALRLHFLASEHRRPDQFTVLVRNVPPDPDEPVSEHVEHFFRVNHPDHYLTHQVVYNANNLAKLVAKKKGMENWLTYYQTKYERDPTKRPKTKTGFWGLWGKTVDAIDYYASEIDKLIEEEDAEREKVTNDPKAIVPAAFVSFKSRWAAAVCAQTQQSRNPTIWLTEWAPEPRDVYWNNLSIPYVSLNVRRLLMLVALFFLTFFFMIPIALVQSMASIEGIEKVLPFLKPLIELDFIKSVVQGFLPGIALKIFLAILPTILMIMSKIEGFTSISSLERISAAKYHLFILVNVFLGSIIAGAAFEQLKTFLDQSPTEIPKTVGVAIPIRATFFITYIMVDGWAGIAAEVLRLVPLIMFHVKNTFLVKTDRDREQAMDPGSISFSICEPRIQLYFLLGLVYSVVTPILLPFIIVFFAFAYMVFRHQIINVYDQKYESGAAFWPDVHRRITIGLIISQLLLMGLMSTKDAAQSTPVILVLPVLTIWFHKVCKGRFESAFVKFPLQEAMVKDTLERATEPNLNLKAYLHDAYVHPVFKEAGAEGSATPAMDEEESNYLVATKRSSRACSNAETGSDVV >itb07g15060.t1 pep chromosome:ASM357664v1:7:17971827:17972596:1 gene:itb07g15060 transcript:itb07g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLPLSRTVISQLQMTHVDEEKIIIEQVKDTHNPNGGIDVDANCLLKFVDDIFNFNTVRRDAMQDKLHLQEHIQKEISFVVLQLSFVIAFTSVNNDDSHSTAIYLLSLLESICGMLRE >itb02g23630.t2 pep chromosome:ASM357664v1:2:23736394:23740612:1 gene:itb02g23630 transcript:itb02g23630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKANCGFNIDGMASAIPPTNPSSMSIKLGVIRGSEGLMTFGRSLKCGVTRVVFPEDLKVSEKMIFDPQDKSLLFWNRLLVISCIFSVAVDPLFLYLPVFKSDEDHCLHIDSSLAYTTTTLRTIIDSFYLIRMILQFRTAYIAPSSRVFGRGELVIDPKEIASRYLHRYFIVDLLSILPLPQIVVLRFLNGSRGSNVVGTKRALVLLVILQSIPRFFRFLPLTSDLKKTSGVFAETAWLGAAYYMLWFMLASHIFGAYWYLLAVERKNFCWQKACLESADCSPSYSLLFCSLDRHKTANLTGWRQITEDVLHKNCAADNDNRFNYGIYTQAVSSGILDSEEFIPKYCYCLWWGLQNLSTLGQGLETSTYSLEVLFSIALAIIGLLLVAILIGNMQVPLFANMEERLLDAICERLKPSLFTENTYIVREGDPVDEMLFIIRGRMESVTTDGGRSGFFNRGILKECDFCGEELLTWALDPKAGANLPPSTRTVKALTEVEAFALIADEVKFITTQFRRIHSRQVQHTFRFYSQQWRTWASMYIQVAWRRYTRRKNIELRHSEADSDDDDDQGVVYGDGAGKDADNEETMLIRGFSSSSSSLSNRGIRATMYASRFAANALSKVRKFRSSSLIMKPPKPPEPNFDNEDLTKVQRFI >itb02g23630.t1 pep chromosome:ASM357664v1:2:23736072:23740612:1 gene:itb02g23630 transcript:itb02g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKANCGFNIDGMASAIPPTNPSSMSIKLGVIRGSEGLMTFGRSLKCGVTRVVFPEDLKVSEKMIFDPQDKSLLFWNRLLVISCIFSVAVDPLFLYLPVFKSDEDHCLHIDSSLAYTTTTLRTIIDSFYLIRMILQFRTAYIAPSSRVFGRGELVIDPKEIASRYLHRYFIVDLLSILPLPQIVVLRFLNGSRGSNVVGTKRALVLLVILQSIPRFFRFLPLTSDLKKTSGVFAETAWLGAAYYMLWFMLASHIFGAYWYLLAVERKNFCWQKACLESADCSPSYSLLFCSLDRHKTANLTGWRQITEDVLHKNCAADNDNRFNYGIYTQAVSSGILDSEEFIPKYCYCLWWGLQNLSTLGQGLETSTYSLEVLFSIALAIIGLLLVAILIGNMQTYLQSITVRLEEMRIKRRDSEQWMHHRVLPPELRERVRRYDQYKWLETRGVDEESIVQNLPVDLRRDIKRHLCLNLVRRVPLFANMEERLLDAICERLKPSLFTENTYIVREGDPVDEMLFIIRGRMESVTTDGGRSGFFNRGILKECDFCGEELLTWALDPKAGANLPPSTRTVKALTEVEAFALIADEVKFITTQFRRIHSRQVQHTFRFYSQQWRTWASMYIQVAWRRYTRRKNIELRHSEADSDDDDDQGVVYGDGAGKDADNEETMLIRGFSSSSSSLSNRGIRATMYASRFAANALSKVRKFRSSSLIMKPPKPPEPNFDNEDLTKVQRFI >itb15g19500.t1 pep chromosome:ASM357664v1:15:21864010:21865322:-1 gene:itb15g19500 transcript:itb15g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISNEEAKLMEISKLHSNEEAKLMEISKLQKTVESLSLELDAAKLSTVNEINKNAVLQRQLVNEINKNAVLQRQLELSMKERTALEKEEAAITELRNENALLKDIRIFFTAGWILRFDFGFFPSSGGAILFQWQQWQE >itb12g07990.t1 pep chromosome:ASM357664v1:12:6135718:6156331:1 gene:itb12g07990 transcript:itb12g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAADTFAETAAQTKRENNDEKSIPEEVETVLDKLLATEENKKVEIINNPHEEAEEPLKVLDKDDDGGILKGESEGKTSSHSEDINDETTLQDKKRDTNEKTLSEEVERGLDKLLATEENNPQEVCDGGTAEGEKMTELSYAHKAIAEENYSSAEYIGKPCSKTENEIQENLFSEAEDGEGKDFGIILNNKGIPPYVSQGESEEKTSHSEDTIEGTTLKERHLHEEMGNLTDIVGGISENKRENDEMQPVEPEVVLDKQAQEGTNIVEIDNPCESLKNNEDDNDGTAEVEKAVELHSVAKAIEEPNSSPEPIENPINTEDEMLPIEPEVVLEKQAPEETSTVEIDNPHESLKTNEDGNDGAAEVEMAVELHSVTKAIEEPNSSPEPIENPINAEDETLPIEPEVVLEKQAPEETNTVEIDNPHESSKNNEDCNDRTVEVEKAVELSSVAKAIEEPNSSPEPIENPINTEDQMLPIEPEVVLDKQAPEETNTIEIDNPCESLKNNEDGNDGTVEVEKAVELPSVAKAIEEPNSSIEPIEKAINTEDEMLPVEPEVVLDKQAPEETNMVEIDNPCESLKNNEDGNKGTVEVEKVVELPSVAKAIEEPYSSLEPIENPINTEDEILPIQPEVVLDKQALEETNMPEIDNPFESLKNNEDGNGGVVDVEKVVDSVSKAIEEPNSSVEPIEKPINTEDEMLPVEPEVVLDKQAPEETNTVEIDIPSEFLKNNEDGNDGTVEVEEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVEIDNPCESLKNNEDGNDGTVEVEKAVELSSAAKAIEELNSSAGPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKPINTDDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVMVEKAVDLSSAAKAIEEPNSSPGESEDKFSHSENINDGIALHEKQLHEEMATIADIAEGTSETLHGKMGTLSAIVGGISENKRKNDEMQPVEPEVVLDKQAPEEANIVEIDNRCESLKNNEDGNDGAAEVELAVELPSVAKAIEEPNSSVEPIEKAINTEDEMQQVETEVVLDKQALEETNTIEIDNPCESLKNNEDGNDGTVEVVEAVELPSAAKGIEEPKSSPEPIENPIDTEDEMLPVEPEAVLHKQAPEETNTVEIDNPCEYLKNNEDGNDGTVEVVEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVGIDNPCESLKNNEDGNDGTVEVEKAVELPSAAKAIEELNSSAEPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKTINTEDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVKVEKAVDLPSAAKAIEEPNSSSGESEDKFSHSENINDGIALHEKQLHEEMATIANVLDKDDDKGKTPEEISVQADLTETLKDSEESGETCKEQGKPFIPAPPSEVFTADLQGKINTSDNDYSNQSKILEQDTIVDGNLSTACKAQENPEEKLSDLITEHEGNLPKESEGPVSTEASRGLHTDENETTAVCEVTSQMKQHGIAETVKDYADSKNSICQSGAEKNLNAAEEEFEGSCLQQNIEPTTFSKELISEQSNEGILEGEGEDKASHSQITYEGITLQEKQLHEEVATVADIAEGTSETKRENDEKTLPGEHEIMLDKLAPVESKKVEIGNPHEISKSSEEGSDGTVECEKMIELPSVAKDTIEENNRSTEPTENPSNTEDELPGELFSEGENREGRDTGTILNREIPPNESQPEAEEPSKALETDDEGMTPEKTDEGMTPEKTFVQADAVINCPADFTENLKEDREKSGEVCNENESTQCILATKTSFPNDDSNETNVQGIADKEQDKDFIPEGENMDSLVVESHDDKEDGKVKKEESLAETCKIPLMEIQSQSSDSQDAHNVIGSPLSTSPTEETSSEKVGSSEVEPESTKASSDTMEDEFAKQEILSYGSSSCQISSEMEHNIEHDEVETETIQGVNDFLGSQLVSPEIKETGSGEGTSASETTADPAPLIEVNGTVLEDGVHMNENKEDHQCKIIEKDNIVDDSVTVQEENITRAGEEQASEELLRGLHRDENETVAVHDVISEVEQQDTVELSKDDVDSKDSLFKYESEKENLHAPCEETEKEALPQNADQTAFNEELISVKDDDVLRGESEDKAAHSDNNGETNPQETNMLPIDLDEAKSIQRNENSEWSNYSTVDEKPEQQIYTPTDMPTEELKYAYETNKIPEIEVLGDTSVQGQSDLDLISACPDDIHVAEDIGENSNEMCLEEVADMENQEDQIKQDGSQRATSEVRGNLSKGIENEISKDSVCSNTIIDKEESLNSRIPRGNEEEAKESKEEIKEPIKEANYHNFQTTHADTEEQVICVLTNAQNTMQSEEKMLPKEKECHVQNFSLLKTPEENEEQILETAEPLMAATGEMETELVEVQGKIAPVSSGLASEKDVVTGNEMEVDADETDTVPNQENDSSLNRKVNVETVAAGEDTDTREMSLHEEQIEACLSITDDKVASSLEEENPITKIVNETTIAETSSPLETREGMVTKENLLEEEHHSVINSEGRSLNDLEEEKLELNEKSLEHRTEDFMINSSDSTTKVHPKSVQPDEELIPSSQSVAEEGDESITSDGALTSSAEEACPQKSIEVGTTETSKDEGSEMFQDKLVPENTNVEPKNLDASYSTDKEIQGAGMEETCIETSELDSEKSDHIIESASEVHKLEMPLEAEKVGFVEENPAETETEEIVIKETNEENDIIKEDISSEQTQIADQSVIVKSSLDYPEEVLSLDAYQEVELKPEENEFERLGENESIKEEASSGDKAGAESSVAQDSEALHAIETAVAEESHDGNGKEISEMSMLHEQVKHSIALEKQNEELQSIVDGADTEKTLEDEKLDSCTEVQEGITGEADGSKKTESSTPNASECVQLMQQEPVLTAVKPEIQELEAEKVSGAPSETEMNQPKTNEMSTNTSEETSHEHGHDEIQSDGITNSQGPGETTMEMAKDGETEVQQKNLDFSFNIKDSAMENSSTETEPKDVQDNIDIIESAQEHVPAKIQNDNSTDSEEKGSKNEATEAASNEAAGLECGEDAKTEVEVNKVTDRSQTMESSTLSDSNLVLGSIEESSQVTEREDTKSQTEKLCDFTHKDSEMTQPREFPSDFTEIKNYIDDKLAQHESKVAVLDTEFPAEADPHENTEVRTSNEDNDSQEMQQNSVNDLEEMKPENAGETKNMETTDPKDIVQSMQEKDTVVSTCENISSNNINASKEETKERRTLTEARDEASMAGLPRACLNEREVIFHKEEDEASKVETEQHEEARSDQEEEEGGEHKGEDSGPEAPVMVENAGAGDADVKASKKKHHNILSGVGSKVKHSIAKVKKVITGKSSPTKQQSPK >itb12g07990.t4 pep chromosome:ASM357664v1:12:6140484:6156331:1 gene:itb12g07990 transcript:itb12g07990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSLPQENHKEKLSDMITEHEKPASMEAPRGLHTDDNVTVTVCEGISEVKQQCIAEMSKDDADSKNSTSENNAEISLYDVHEEIERSGFQQNTELTFRKELILDKIDDVILKGESEDEFSHSENINDGIALHEKQLHEEMAVIADIEEGTSETLHEEIRTLTDIVGGISENQRENDEMQPVEPQVVLDKQAPEETNTVGIDNPCESLKNNEDGDDGTVEVEKAVELSSVAKAIEEPNSSPEPIENPINTEDQMLPIEPEVVLDKQAPEETNTIEIDNPCESLKNNEDGNDGTVEVEKAVELPSVAKAIEEPNSSIEPIEKAINTEDEMLPVEPEVVLDKQAPEETNMVEIDNPCESLKNNEDGNKGTVEVEKVVELPSVAKAIEEPYSSLEPIENPINTEDEILPIQPEVVLDKQALEETNMPEIDNPFESLKNNEDGNGGVVDVEKVVDSVSKAIEEPNSSVEPIEKPINTEDEMLPVEPEVVLDKQAPEETNTVEIDIPSEFLKNNEDGNDGTVEVEEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVEIDNPCESLKNNEDGNDGTVEVEKAVELSSAAKAIEELNSSAGPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKPINTDDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVMVEKAVDLSSAAKAIEEPNSSPGESEDKFSHSENINDGIALHEKQLHEEMATIADIAEGTSETLHGKMGTLSAIVGGISENKRKNDEMQPVEPEVVLDKQAPEEANIVEIDNRCESLKNNEDGNDGAAEVELAVELPSVAKAIEEPNSSVEPIEKAINTEDEMQQVETEVVLDKQALEETNTIEIDNPCESLKNNEDGNDGTVEVVEAVELPSAAKGIEEPKSSPEPIENPIDTEDEMLPVEPEAVLHKQAPEETNTVEIDNPCEYLKNNEDGNDGTVEVVEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVGIDNPCESLKNNEDGNDGTVEVEKAVELPSAAKAIEELNSSAEPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKTINTEDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVKVEKAVDLPSAAKAIEEPNSSSGESEDKFSHSENINDGIALHEKQLHEEMATIANVLDKDDDKGKTPEEISVQADLTETLKDSEESGETCKEQGKPFIPAPPSEVFTADLQGKINTSDNDYSNQSKILEQDTIVDGNLSTACKAQENPEEKLSDLITEHEGNLPKESEGPVSTEASRGLHTDENETTAVCEVTSQMKQHGIAETVKDYADSKNSICQSGAEKNLNAAEEEFEGSCLQQNIEPTTFSKELISEQSNEGILEGEGEDKASHSQITYEGITLQEKQLHEEVATVADIAEGTSETKRENDEKTLPGEHEIMLDKLAPVESKKVEIGNPHEISKSSEEGSDGTVECEKMIELPSVAKDTIEENNRSTEPTENPSNTEDELPGELFSEGENREGRDTGTILNREIPPNESQPEAEEPSKALETDDEGMTPEKTDEGMTPEKTFVQADAVINCPADFTENLKEDREKSGEVCNENESTQCILATKTSFPNDDSNETNVQGIADKEQDKDFIPEGENMDSLVVESHDDKEDGKVKKEESLAETCKIPLMEIQSQSSDSQDAHNVIGSPLSTSPTEETSSEKVGSSEVEPESTKASSDTMEDEFAKQEILSYGSSSCQISSEMEHNIEHDEVETETIQGVNDFLGSQLVSPEIKETGSGEGTSASETTADPAPLIEVNGTVLEDGVHMNENKEDHQCKIIEKDNIVDDSVTVQEENITRAGEEQASEELLRGLHRDENETVAVHDVISEVEQQDTVELSKDDVDSKDSLFKYESEKENLHAPCEETEKEALPQNADQTAFNEELISVKDDDVLRGESEDKAAHSDNNGETNPQETNMLPIDLDEAKSIQRNENSEWSNYSTVDEKPEQQIYTPTDMPTEELKYAYETNKIPEIEVLGDTSVQGQSDLDLISACPDDIHVAEDIGENSNEMCLEEVADMENQEDQIKQDGSQRATSEVRGNLSKGIENEISKDSVCSNTIIDKEESLNSRIPRGNEEEAKESKEEIKEPIKEANYHNFQTTHADTEEQVICVLTNAQNTMQSEEKMLPKEKECHVQNFSLLKTPEENEEQILETAEPLMAATGEMETELVEVQGKIAPVSSGLASEKDVVTGNEMEVDADETDTVPNQENDSSLNRKVNVETVAAGEDTDTREMSLHEEQIEACLSITDDKVASSLEEENPITKIVNETTIAETSSPLETREGMVTKENLLEEEHHSVINSEGRSLNDLEEEKLELNEKSLEHRTEDFMINSSDSTTKVHPKSVQPDEELIPSSQSVAEEGDESITSDGALTSSAEEACPQKSIEVGTTETSKDEGSEMFQDKLVPENTNVEPKNLDASYSTDKEIQGAGMEETCIETSELDSEKSDHIIESASEVHKLEMPLEAEKVGFVEENPAETETEEIVIKETNEENDIIKEDISSEQTQIADQSVIVKSSLDYPEEVLSLDAYQEVELKPEENEFERLGENESIKEEASSGDKAGAESSVAQDSEALHAIETAVAEESHDGNGKEISEMSMLHEQVKHSIALEKQNEELQSIVDGADTEKTLEDEKLDSCTEVQEGITGEADGSKKTESSTPNASECVQLMQQEPVLTAVKPEIQELEAEKVSGAPSETEMNQPKTNEMSTNTSEETSHEHGHDEIQSDGITNSQGPGETTMEMAKDGETEVQQKNLDFSFNIKDSAMENSSTETEPKDVQDNIDIIESAQEHVPAKIQNDNSTDSEEKGSKNEATEAASNEAAGLECGEDAKTEVEVNKVTDRSQTMESSTLSDSNLVLGSIEESSQVTEREDTKSQTEKLCDFTHKDSEMTQPREFPSDFTEIKNYIDDKLAQHESKVAVLDTEFPAEADPHENTEVRTSNEDNDSQEMQQNSVNDLEEMKPENAGETKNMETTDPKDIVQSMQEKDTVVSTCENISSNNINASKEETKERRTLTEARDEASMAGLPRACLNEREVIFHKEEDEASKVETEQHEEARSDQEEEEGGEHKGEDSGPEAPVMVENAGAGDADVKASKKKHHNILSGVGSKVKHSIAKVKKVITGKSSPTKQQSPK >itb12g07990.t3 pep chromosome:ASM357664v1:12:6135718:6156022:1 gene:itb12g07990 transcript:itb12g07990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAADTFAETAAQTKRENNDEKSIPEEVETVLDKLLATEENKKVEIINNPHEEAEEPLKVLDKDDDGGILKGESEGKTSSHSEDINDETTLQDKKRDTNEKTLSEEVERGLDKLLATEENNPQEVCDGGTAEGEKMTELSYAHKAIAEENYSSAEYIGKPCSKTENEIQENLFSEAEDGEGKDFGIILNNKGIPPYVSQGESEEKTSHSEDTIEGTTLKERHLHEEMGNLTDIVGGISENKRENDEMQPVEPEVVLDKQAQEGTNIVEIDNPCESLKNNEDDNDGTAEVEKAVELHSVAKAIEEPNSSPEPIENPINTEDEMLPIEPEVVLEKQAPEETSTVEIDNPHESLKTNEDGNDGAAEVEMAVELHSVTKAIEEPNSSPEPIENPINAEDETLPIEPEVVLEKQAPEETNTVEIDNPHESSKNNEDCNDRTVEVEKAVELPSVAKAIEEPKCPAEPIEKPINTEDVQGVNYLLASQSVASEIKETNLDEAVPVIPKPLAYSAQVSETVLQVDINTNDTDDNQSKILEKDMVDGSLPQENHKEKLSDMITEHEKPASMEAPRGLHTDDNVTVTVCEGISEVKQQCIAEMSKDDADSKNSTSENNAEISLYDVHEEIERSGFQQNTELTFRKELILDKIDDVILKGESEDEFSHSENINDGIALHEKQLHEEMAVIADIEEGTSETQLHEEIRTLTDIVGGISENQRENDEMQPVEPQVVLDKQAPEETNTVGIDNPCESLKNNEDGDDGTVEVEKAVELSSVAKAIEEPNSSPEPIENPINTEDQMLPIEPEVVLDKQAPEETNTIEIDNPCESLKNNEDGNDGTVEVEKAVELPSVAKAIEEPNSSIEPIEKAINTEDEMLPVEPEVVLDKQAPEETNMVEIDNPCESLKNNEDGNKGTVEVEKVVELPSVAKAIEEPYSSLEPIENPINTEDEILPIQPEVVLDKQALEETNMPEIDNPFESLKNNEDGNGGVVDVEKVVDSVSKAIEEPNSSVEPIEKPINTEDEMLPVEPEVVLDKQAPEETNTVEIDIPSEFLKNNEDGNDGTVEVEEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVEIDNPCESLKNNEDGNDGTVEVEKAVELSSAAKAIEELNSSAGPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKPINTDDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVMVEKAVDLSSAAKAIEEPNSSPGESEDKFSHSENINDGIALHEKQLHEEMATIADIAEGTSETLHGKMGTLSAIVGGISENKRKNDEMQPVEPEVVLDKQAPEEANIVEIDNRCESLKNNEDGNDGAAEVELAVELPSVAKAIEEPNSSVEPIEKAINTEDEMQQVETEVVLDKQALEETNTIEIDNPCESLKNNEDGNDGTVEVVEAVELPSAAKGIEEPKSSPEPIENPIDTEDEMLPVEPEAVLHKQAPEETNTVEIDNPCEYLKNNEDGNDGTVEVVEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVGIDNPCESLKNNEDGNDGTVEVEKAVELPSAAKAIEELNSSAEPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKTINTEDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVKVEKAVDLPSAAKAIEEPNSSSGESEDKFSHSENINDGIALHEKQLHEEMATIANVLDKDDDKGKTPEEISVQADLTETLKDSEESGETCKEQGKPFIPAPPSEVFTADLQGKINTSDNDYSNQSKILEQDTIVDGNLSTACKAQENPEEKLSDLITEHEGNLPKESEGPVSTEASRGLHTDENETTAVCEVTSQMKQHGIAETVKDYADSKNSICQSGAEKNLNAAEEEFEGSCLQQNIEPTTFSKELISEQSNEGILEGEGEDKASHSQITYEGITLQEKQLHEEVATVADIAEGTSETKRENDEKTLPGEHEIMLDKLAPVESKKVEIGNPHEISKSSEEGSDGTVECEKMIELPSVAKDTIEENNRSTEPTENPSNTEDELPGELFSEGENREGRDTGTILNREIPPNESQPEAEEPSKALETDDEGMTPEKTDEGMTPEKTFVQADAVINCPADFTENLKEDREKSGEVCNENESTQCILATKTSFPNDDSNETNVQGIADKEQDKDFIPEGENMDSLVVESHDDKEDGKVKKEESLAETCKIPLMEIQSQSSDSQDAHNVIGSPLSTSPTEETSSEKVGSSEVEPESTKASSDTMEDEFAKQEILSYGSSSCQISSEMEHNIEHDEVETETIQGVNDFLGSQLVSPEIKETGSGEGTSASETTADPAPLIEVNGTVLEDGVHMNENKEDHQCKIIEKDNIVDDSVTVQEENITRAGEEQASEELLRGLHRDENETVAVHDVISEVEQQDTVELSKDDVDSKDSLFKYESEKENLHAPCEETEKEALPQNADQTAFNEELISVKDDDVLRGESEDKAAHSDNNGETNPQETNMLPIDLDEAKSIQRNENSEWSNYSTVDEKPEQQIYTPTDMPTEELKYAYETNKIPEIEVLGDTSVQGQSDLDLISACPDDIHVAEDIGENSNEMCLEEVADMENQEDQIKQDGSQRATSEVRGNLSKGIENEISKDSVCSNTIIDKEESLNSRIPRGNEEEAKESKEEIKEPIKEANYHNFQTTHADTEEQVICVLTNAQNTMQSEEKMLPKEKECHVQNFSLLKTPEENEEQILETAEPLMAATGEMETELVEVQGKIAPVSSGLASEKDVVTGNEMEVDADETDTVPNQENDSSLNRKVNVETVAAGEDTDTREMSLHEEQIEACLSITDDKVASSLEEENPITKIVNETTIAETSSPLETREGMVTKENLLEEEHHSVINSEGRSLNDLEEEKLELNEKSLEHRTEDFMINSSDSTTKVHPKSVQPDEELIPSSQSVAEEGDESITSDGALTSSAEEACPQKSIEVGTTETSKDEGSEMFQDKLVPENTNVEPKNLDASYSTDKEIQGAGMEETCIETSELDSEKSDHIIESASEVHKLEMPLEAEKVGFVEENPAETETEEIVIKETNEENDIIKEDISSEQTQIADQSVIVKSSLDYPEEVLSLDAYQEVELKPEENEFERLGENESIKEEASSGDKAGAESSVAQDSEALHAIETAVAEESHDGNGKEISEMSMLHEQVKHSIALEKQNEELQSIVDGADTEKTLEDEKLDSCTEVQEGITGEADGSKKTESSTPNASECVQLMQQEPVLTAVKPEIQELEAEKVSGAPSETEMNQPKTNEMSTNTSEETSHEHGHDEIQSDGITNSQGPGETTMEMAKDGETEVQQKNLDFSFNIKDSAMENSSTETEPKDVQDNIDIIESAQEHVPAKIQNDNSTDSEEKGSKNEATEAASNEAAGLECGEDAKTEVEVNKVTDRSQTMESSTLSDSNLVLGSIEESSQVTEREDTKSQTEKLCDFTHKDSEMTQPREFPSDFTEIKNYIDDKLAQHESKVAVLDTEFPAEADPHENTEVRTSNEDNDSQEMQQNSVNDLEEMKPENAGETKNMETTDPKDIVQSMQEKDTVVSTCENISSNNINASKEETKERRTLTEARDEASMAGLPRACLNEREVIFHKEEDEASKVETEQHEEARSDQEEEEGGEHKGEDSGPEAPVMVENAGAGDADVKASKKKHHNILSGVGSKVKHSIAKVKKVITGKSSPTKQQSPK >itb12g07990.t2 pep chromosome:ASM357664v1:12:6135718:6156331:1 gene:itb12g07990 transcript:itb12g07990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAADTFAETAAQTKRENNDEKSIPEEVETVLDKLLATEENKKVEIINNPHEEAEEPLKVLDKDDDGGILKGESEGKTSSHSEDINDETTLQDKKRDTNEKTLSEEVERGLDKLLATEENNPQEVCDGGTAEGEKMTELSYAHKAIAEENYSSAEYIGKPCSKTENEIQENLFSEAEDGEGKDFGIILNNKGIPPYVSQGESEEKTSHSEDTIEGTTLKERHLHEEMGNLTDIVGGISENKRENDEMQPVEPEVVLDKQAQEGTNIVEIDNPCESLKNNEDDNDGTAEVEKAVELHSVAKAIEEPNSSPEPIENPINTEDEMLPIEPEVVLEKQAPEETSTVEIDNPHESLKTNEDGNDGAAEVEMAVELHSVTKAIEEPNSSPEPIENPINAEDETLPIEPEVVLEKQAPEETNTVEIDNPHESSKNNEDCNDRTVEVEKAVELPSVAKAIEEPKCPAEPIEKPINTEDVQGVNYLLASQSVASEIKETNLDEAVPVIPKPLAYSAQVSETVLQVDINTNDTDDNQSKILEKDMVDGSLPQENHKEKLSDMITEHEKPASMEAPRGLHTDDNVTVTVCEGISEVKQQCIAEMSKDDADSKNSTSENNAEISLYDVHEEIERSGFQQNTELTFRKELILDKIDDVILKGESEDEFSHSENINDGIALHEKQLHEEMAVIADIEEGTSETVRNFSSKLIFIQRENDEMQPVEPQVVLDKQAPEETNTVGIDNPCESLKNNEDGDDGTVEVEKAVELSSVAKAIEEPNSSPEPIENPINTEDQMLPIEPEVVLDKQAPEETNTIEIDNPCESLKNNEDGNDGTVEVEKAVELPSVAKAIEEPNSSIEPIEKAINTEDEMLPVEPEVVLDKQAPEETNMVEIDNPCESLKNNEDGNKGTVEVEKVVELPSVAKAIEEPYSSLEPIENPINTEDEILPIQPEVVLDKQALEETNMPEIDNPFESLKNNEDGNGGVVDVEKVVDSVSKAIEEPNSSVEPIEKPINTEDEMLPVEPEVVLDKQAPEETNTVEIDIPSEFLKNNEDGNDGTVEVEEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVEIDNPCESLKNNEDGNDGTVEVEKAVELSSAAKAIEELNSSAGPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKPINTDDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVMVEKAVDLSSAAKAIEEPNSSPGESEDKFSHSENINDGIALHEKQLHEEMATIADIAEGTSETLHGKMGTLSAIVGGISENKRKNDEMQPVEPEVVLDKQAPEEANIVEIDNRCESLKNNEDGNDGAAEVELAVELPSVAKAIEEPNSSVEPIEKAINTEDEMQQVETEVVLDKQALEETNTIEIDNPCESLKNNEDGNDGTVEVVEAVELPSAAKGIEEPKSSPEPIENPIDTEDEMLPVEPEAVLHKQAPEETNTVEIDNPCEYLKNNEDGNDGTVEVVEAVELPSAAKAIEEPKSSPEPIENPINTEDEMLPVEPEVVLHKQAPEETNTVGIDNPCESLKNNEDGNDGTVEVEKAVELPSAAKAIEELNSSAEPIENPINTDDEILPVQPEVVLDKQAPEETNMVEIDNPCESLENNEDANDGTVEVEKAIEEPNSSVEPIEKTINTEDEMLPSEPEVVLDKQALEESNTVEIGNPHEFLKKNEDGNDGTVKVEKAVDLPSAAKAIEEPNSSSGESEDKFSHSENINDGIALHEKQLHEEMATIANVLDKDDDKGKTPEEISVQADLTETLKDSEESGETCKEQGKPFIPAPPSEVFTADLQGKINTSDNDYSNQSKILEQDTIVDGNLSTACKAQENPEEKLSDLITEHEGNLPKESEGPVSTEASRGLHTDENETTAVCEVTSQMKQHGIAETVKDYADSKNSICQSGAEKNLNAAEEEFEGSCLQQNIEPTTFSKELISEQSNEGILEGEGEDKASHSQITYEGITLQEKQLHEEVATVADIAEGTSETKRENDEKTLPGEHEIMLDKLAPVESKKVEIGNPHEISKSSEEGSDGTVECEKMIELPSVAKDTIEENNRSTEPTENPSNTEDELPGELFSEGENREGRDTGTILNREIPPNESQPEAEEPSKALETDDEGMTPEKTDEGMTPEKTFVQADAVINCPADFTENLKEDREKSGEVCNENESTQCILATKTSFPNDDSNETNVQGIADKEQDKDFIPEGENMDSLVVESHDDKEDGKVKKEESLAETCKIPLMEIQSQSSDSQDAHNVIGSPLSTSPTEETSSEKVGSSEVEPESTKASSDTMEDEFAKQEILSYGSSSCQISSEMEHNIEHDEVETETIQGVNDFLGSQLVSPEIKETGSGEGTSASETTADPAPLIEVNGTVLEDGVHMNENKEDHQCKIIEKDNIVDDSVTVQEENITRAGEEQASEELLRGLHRDENETVAVHDVISEVEQQDTVELSKDDVDSKDSLFKYESEKENLHAPCEETEKEALPQNADQTAFNEELISVKDDDVLRGESEDKAAHSDNNGETNPQETNMLPIDLDEAKSIQRNENSEWSNYSTVDEKPEQQIYTPTDMPTEELKYAYETNKIPEIEVLGDTSVQGQSDLDLISACPDDIHVAEDIGENSNEMCLEEVADMENQEDQIKQDGSQRATSEVRGNLSKGIENEISKDSVCSNTIIDKEESLNSRIPRGNEEEAKESKEEIKEPIKEANYHNFQTTHADTEEQVICVLTNAQNTMQSEEKMLPKEKECHVQNFSLLKTPEENEEQILETAEPLMAATGEMETELVEVQGKIAPVSSGLASEKDVVTGNEMEVDADETDTVPNQENDSSLNRKVNVETVAAGEDTDTREMSLHEEQIEACLSITDDKVASSLEEENPITKIVNETTIAETSSPLETREGMVTKENLLEEEHHSVINSEGRSLNDLEEEKLELNEKSLEHRTEDFMINSSDSTTKVLPICC >itb07g02190.t1 pep chromosome:ASM357664v1:7:1339597:1340199:-1 gene:itb07g02190 transcript:itb07g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHPYTNYFHGFFNPPNPSTHHFPSSSSSSSSSSTNYTFQSFPSFYTTCNNFQPYPHPQYLQSSPSSPPLREALPLLSLSPTRTENNNNNNSHEVQEFGDEGDEDFVEDVSVDLNLGLPNHSPSDLQISGGGFSSSSHNEEDNNNKGEDHSQEVTLLKGQYWIPTPSQILIGPTQFSCPLCFKTFNRYNNMQVWFQAFN >itb04g11760.t1 pep chromosome:ASM357664v1:4:11407658:11408077:1 gene:itb04g11760 transcript:itb04g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITGKKAVDETLPDETCHLVTWFHKIIRKGHNLKNTIDPTLDLDDQTFESISKVAELAAHCTADKYFRRPTMEHVVNVIGPFAHKWKPLIPEEIEEKYGGLDIHMSFPLAFEYSSIESLSFTEAKLIGHRLNQSAQF >itb01g35920.t1 pep chromosome:ASM357664v1:1:37856282:37858035:1 gene:itb01g35920 transcript:itb01g35920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMMSNPVMSSESRAAARRRKKKRSQIPSAQAQDVNNNAEWKSEAQQQVYSSKLLKALREVRLNSSSGTKGGRAVHEVADRVLAVAAKGRTRWSRAILTNRLRLKFMKKHNRRQRMVVAAANGNSRLPKKSRVSILRLKTKNLPTVQRKARVLGRLVPGCRKEPLPVILEEASDYIAALEMQVRAMSALAQLLSGGSSSSSAPLNPLGSSRPPQS >itb01g07710.t1 pep chromosome:ASM357664v1:1:6103352:6108650:-1 gene:itb01g07710 transcript:itb01g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISVWASAAPKSFSFSRQTFPLLSLGSCSIYTRKVGENLFNKCKGRSYIYTTTSKRLSPCSIVRLEGEMRAFDDTHTPEEGEKQERVEFWIRMGKSLEVVPGSPALKAILPLTHLLCLFAPFCFSWDAFGVAFGLFIITGFSLSLSYHRNLSHRSFKLPKWLEYFFAYCGVHALQGDPIGWVSNHRYHHQYVDTEKDLHSPIEGFWYSHVGWIYDTKAANERSERPTNVADLENQFFYKFIYNTYIIHPIILATLLYAIGGFPYIVWGMGVRIALQYHITFFVNSACHQWGNRSWNTSDLSTNNWLIALLTFGEGWHNNHHAFEFSARHGLEWYQLDVTWNIVWALQAVGLATDVKLPTNAQKRKMAFKNSSMETIY >itb06g03200.t1 pep chromosome:ASM357664v1:6:5464935:5474144:-1 gene:itb06g03200 transcript:itb06g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGAETSAGKEKISNGSEKMDIDFEDLEFDEEEDDEPFDAAALQEMNLNKKKLADFCKKVSTTFFDKYGLISHQINSYNDFIKHGIQRVFDSIGEIVVEPGYDPSKKGDNDWRYASIKFGKVTVSRPTFWTGGKFSEDGGEEYLQLLPRHARLQFMTYSARITVQTHLKVYTKKRVRSDKFKTGVDQFVSNEFEMEDNRDLIIGRMPVMVNSDLCWMSDGNKKPDCEFDHGGYFIVKGAEKTFIAQEQICLKRLWVANNPSWMVSYRPVSKRKRVYIKLTETPKVEPVVRGGEKVLTVYFSVTEMPIWIFFFALGVSSDREVVNLIDVDTKDTEIVNILVASIHDADSKCESFRKQPVALNFVAELMKNCRFPPQESIEDCINNFLFPSLNSFKQKARFLGYMVKCLLQAYSGKRKADNRDDFRNKRLELASELLERELRVHLKHAERVMIKAMQRDLYGDRQLQPIEHYVDASIVTNGLCRAFSTGHWSHPYKRMERVSGVVANLRRTNPLQMTADMRKTRQQVSYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLASLGLVSTNILDSFLDKLFDCGMEKLVDDSSTILHQKHKIFLDGDWVGVCGDSGLFVLKLRRMRRRGEVPYQVEIKRDEQHHEVRIFSDAGRILRPLLVVENLKKIQFLKDGDSFQSFLDNGIVEFIGSEEEEDCRTAWGVNYLLEACKEDPPAKYTHCELDLSFLMGLSCGIIPFANHDHARRVLYQSEKHSQQAIGFSTLNPNIRIDTNTHQLYYPQRPLFRTMLSDCLGKLKDPHYHKSMLPHPEYYNGQCAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHIRSYKAEVDNKESLGKKLKLEDAVIFGKTQSKIGRVDSLDDDGFPFIGANLQTGDIVIGKYAESGADHSIKLKHTERGMVQKVVLSGNDEGKSFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTIQGIVPDIVINPHAFPSRQTPGQLLEAALAKVVALGKRIGQRGEVKYATPFSTLSVDEITKNLHSLGFTRWGNERVYNGRTGEMVNSLVFMGPTFYQRLTHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGAAANLHERLFTLSDSSQMHICRKCKNMANVIQRPVLGGRKVRGPYCRFCESVEDIVKVNVPYGAKLLCQELFSMGLALKFDTEIS >itb05g22390.t1 pep chromosome:ASM357664v1:5:27822956:27828193:1 gene:itb05g22390 transcript:itb05g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHSHSDSSPLIAPSPITAPAASSTEIDLEAGPDDQIQCRICLETDGRDFIAPCKCKGTAKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVIADRRWRTLKFRFFVTRDILFIFLAVQLVIALLGYFVYLIDFYQKSWLRLTWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCHPGLCADCHLPGTLCMWTDCTTCFEGCAGAASECSGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHVQQLKSLGLL >itb04g01480.t1 pep chromosome:ASM357664v1:4:831961:836318:-1 gene:itb04g01480 transcript:itb04g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKPGLIALFDVDGTLTAPRKVVTPKMLEFMRELRKVVTVGVVGGSDLIKISEQLGSSVINDYDYVFSENGLVAHHDGKLIGTQSLKSFLGDEKLKKFFLFDLMAKIILPGHSHLSSLSRERNYGVISVILHLTKKRRRRNMQNGR >itb04g01480.t3 pep chromosome:ASM357664v1:4:833993:836318:-1 gene:itb04g01480 transcript:itb04g01480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKPGLIALFDVDGTLTAPRKVVTPKMLEFMRELRKVVTVGVVGGSDLIKISEQLGSSVINDYDYVFSENGLVAHHDGKLIGTQSLKSFLGDEKLKVSTCPVVPITSMA >itb04g01480.t2 pep chromosome:ASM357664v1:4:833993:836014:-1 gene:itb04g01480 transcript:itb04g01480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKPGLIALFDVDGTLTAPRKVVTPKMLEFMRELRKVVTVGVVGGSDLIKISEQLGSSVINDYDYVFSENGLVAHHDGKLIGTQSLKSFLGDEKLKVSTCPVVPITSMA >itb01g15300.t2 pep chromosome:ASM357664v1:1:17680880:17690409:1 gene:itb01g15300 transcript:itb01g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTPTYFMTSDTWCPRGVLTVIGGRVSPVKMLNEKNGSLSLSVNRRGGSNWSLLKCSANSNNSANAHSANQYQSKDPFLNLHPEISMLRGEGNSTMLTPRQESGIENVTESSKDLASLNNFNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVFPQHRLQIGQELTRGLGAGGNPDIGMNAAKESKEAIEEAVYGADMVFVTAGMGGGTGTGAAPVIAGTAKAMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMESAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSISGQVSITLIATGFKRQEGDDKPLQANQVAPGDASIGINRRPASFMDGSSVEIPEFLKKKGRSRYPRA >itb01g15300.t1 pep chromosome:ASM357664v1:1:17680869:17690450:1 gene:itb01g15300 transcript:itb01g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTPTYFMTSDTWCPRGVLTVIGGRVSPVKMLNEKNGSLSLSVNRRGGSNWSLLKCSANSNNSANAHSANQYQSKDPFLNLHPEISMLRGEGNSTMLTPRQESGIENVTESSKDLASLNNFNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVFPQHRLQIGQELTRGLGAGGNPDIGMNAAKESKEAIEEAVYGADMVFVTAGMGGGTGTGAAPVIAGTAKAMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMESAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSISGQVSITLIATGFKRQEGDDKPLQANQVAPGDASIGINRRPASFMDGSSVEIPEFLKKKGRSRYPRA >itb03g00600.t2 pep chromosome:ASM357664v1:3:290681:292521:1 gene:itb03g00600 transcript:itb03g00600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) UniProtKB/TrEMBL;Acc:A0A178UKX9] MELPTSKIEPSFSLPVDSEYKATEFRPFSISSPHMRAFHLAWLSLFSCYFSTFSIPPLMEVIRADLNLSDTDVGRANIASLAGSIFSRFAMGTACDLVGPRIASATLSLLTAPAVFSMCLVSSPLAFILIRFLIGFCLANFVANQFWMSSMFSGCVVGVANGYAAGWANVGAGFTQLVMPLLYSLIISFNFASSSAWRIAFVFPAIFQVITAIMILVYGQDLPNGYYKRRKTDKRDENVFGILFNGLKNYRGWILGLAYGFSFGVELTTDNIIAQYFYERFGVNVETAGVIAACFGLTNCISRPGGGVISDVMGKRFGMRGRLWSLWVVQTVAGLFCVLLGRVNTIFESILVMCCFSLFVQAASGLIFGVVPFVSKRYITRTMPLKL >itb03g00600.t1 pep chromosome:ASM357664v1:3:290723:292913:1 gene:itb03g00600 transcript:itb03g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) UniProtKB/TrEMBL;Acc:A0A178UKX9] MELPTSKIEPSFSLPVDSEYKATEFRPFSISSPHMRAFHLAWLSLFSCYFSTFSIPPLMEVIRADLNLSDTDVGRANIASLAGSIFSRFAMGTACDLVGPRIASATLSLLTAPAVFSMCLVSSPLAFILIRFLIGFCLANFVANQFWMSSMFSGCVVGVANGYAAGWANVGAGFTQLVMPLLYSLIISFNFASSSAWRIAFVFPAIFQVITAIMILVYGQDLPNGYYKRRKTDKRDENVFGILFNGLKNYRGWILGLAYGFSFGVELTTDNIIAQYFYERFGVNVETAGVIAACFGLTNCISRPGGGVISDVMGKRFGMRGRLWSLWVVQTVAGLFCVLLGRVNTIFESILVMCCFSLFVQAASGLIFGVVPFVSKRSLGVISGITGCGATIGAEVTQLLLFSGTTNLPTQAGISIMGLMMLVCTLPLTLIYFPRWGGMFCGPSLPPEDEDYSLLE >itb01g23910.t2 pep chromosome:ASM357664v1:1:29750168:29753022:1 gene:itb01g23910 transcript:itb01g23910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAKYAPLPISSGNLHSEQKPHLFLFKTQRTKVCLYGCVFVFVAFTIFLAFSPSPASSSPWFTNVFPLTSSDSSRIIGSVSVPTSSSSILSDEAYRSHFSSIFSYFFPNYSQQAQNFTAAPPYNAPASQSNSSSEMLSLSKEPEVTKNKTQANGEVEILNPSNQTATVNATAASESSSGLRKEPSTGNNQNQSKVSGDNVGILNSNLSSIEGKKSTGNQTLGSLPKSGSEEKNATGKGENIIAEKGVLWNSTSFEKKHNNVSSSSMSVTHGSDDFIKSLLNCDFFDGNWVKDESYPLYKPGSCSVVDEQFDCFLNGRRDSDYYKYRWKPNACTLPRLNATHMLEMLRGKRLVFVGDSLNRNMWESLICILRNSVKDQTKVYEKSGRQYFRVETYYSFVFEEYNCTVEFCVSPFLVQEWEVTDKEGQKKETLRLDLVENSATKYKDADIIIFNTGHWWTHEKTFKGEDYYQEGNHVYPELDVLEAFQKALTTWGRWIDAHINPAKQFIAFRGYSASHFLGGQWNSGGACDREMEPIKNGRTNLKPYLPMMEVLDRVLKDIKTPVSYLNITRMTDFRKDAHPSMYRTRNMTAEERKNWFIHQDCSHWCLPGVADNWNELLYAELLVNQYQKQQQSSRS >itb01g23910.t1 pep chromosome:ASM357664v1:1:29748981:29753022:1 gene:itb01g23910 transcript:itb01g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAKYAPLPISSGNLHSEQKPHLFLFKTQRTKVCLYGCVFVFVAFTIFLAFSPSPASSSPWFTNVFPLTSSDSSRIIGSVSVPTSSSSILSDEAYRSHFSSIFSYFFPNYSQQAQNFTAAPPYNAPASQSNSSSEMLSLSKEPEVTKNKTQANGEVEILNPSNQTATVNATAASESSSGLRKEPSTGNNQNQSKVSGDNVGILNSNLSSIEGKKSTGNQTLGSLPKSGSEEKNATGKGENIIAEKGVLWNSTSFEKKHNNVSSSSMSVTHGSDDFIKSLLNCDFFDGNWVKDESYPLYKPGSCSVVDEQFDCFLNGRRDSDYYKYRWKPNACTLPRLNATHMLEMLRGKRLVFVGDSLNRNMWESLICILRNSVKDQTKVYEKSGRQYFRVETYYSFVFEEYNCTVEFCVSPFLVQEWEVTDKEGQKKETLRLDLVENSATKYKDADIIIFNTGHWWTHEKTFKGEDYYQEGNHVYPELDVLEAFQKALTTWGRWIDAHINPAKQFIAFRGYSASHFLGGQWNSGGACDREMEPIKNGRTNLKPYLPMMEVLDRVLKDIKTPVSYLNITRMTDFRKDAHPSMYRTRNMTAEERKNWFIHQDCSHWCLPGVADNWNELLYAELLVNQYQKQQQSSRS >itb12g15370.t1 pep chromosome:ASM357664v1:12:15674463:15674756:-1 gene:itb12g15370 transcript:itb12g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQIWSGIPLFPVLVMFFISRLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSSLLAEANVPGSRGLILTETRGGSLPTSKYSILGKPKKVSA >itb09g26050.t1 pep chromosome:ASM357664v1:9:26176760:26177416:-1 gene:itb09g26050 transcript:itb09g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPRLRRVRQCRHSFGLHQVHFHGNNLLVTVTKRAAKVDEWVNEILHNYCHALHNLVVGLDIEWHPCSEGEHNPAATLQLCVGERCLIFLLLHKDFIPRSLLAFLAHPRFTFVGVGVQDDADKLLQDHGLAVTNVADLRRLAEMVYRSEEYRRMGLKKMAWRFLGRVMEKPREVTLSNWDSKNLTFPQIEYGCIDAFVSFELGFNLFAMAYTHHIQ >itb04g22260.t1 pep chromosome:ASM357664v1:4:27436164:27440678:1 gene:itb04g22260 transcript:itb04g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDITNKNVEIENLYDCIEVVEVEEEQKDPQKSMKRKRKLRSQVWDHFDRLVTKNTNGETVVKAKCKYCEVLINSDSKYGTVLAKKRLDSDSWISGFKSSFEAEAHWREELQSVENSPALLWMRFGSRVKSPPILKIMRDFIVGFEDLAEGLFWYFWDVFEFAADEFLLFCLVFASLAEEFFARLLSQILIA >itb04g22260.t2 pep chromosome:ASM357664v1:4:27436164:27440678:1 gene:itb04g22260 transcript:itb04g22260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDITNKNVEIENLYDCIEVVEVEEEQKDPQKSMKRKRKLRSQVWDHFDRLVTKNTNGETVVKAKCKYCEVLINSDSKYGTVLAKKRLDSDSWISGFKSSFEAEAHWREELQSVENSPGKALLWMRFGSRVKSPPILKIMRDFIVGFEDLAEGLFWYFWDVFEFAADEFLLFCLVFASLAEEFFARLLSQILIA >itb05g14320.t1 pep chromosome:ASM357664v1:5:21376246:21378297:-1 gene:itb05g14320 transcript:itb05g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSQAPTILFLFLNILFFAIVDCQHALAQTPCTCIEPPITPPSTGGEGRPPVQLPNNGRSPVPPPIGGRPPVPPPGSSRPPVTPPSSSRPPVPPPGSNRPPVPLPGSNRSPVSPPGTVRPPTPSPGSGQSPNSPTNEGTSGIQTPTPRSSQPPIQPPIPPPGNGRQPVPPPSNNGQSPVPPPGNGRPPVPPPGSGQPPVPPPGSSRPPVPPPGSSRPPVPPPSSGRPPIPPPGSGRPPVSPPESGRPPVPPPKSGQPPIPPPNNSLPPIPPPKSDGTLREILAKPCCRNLVGLDDLQASVCLCAASQIKVSSIPIDNVNVNAAITVLLEACPRSPTPGFKC >itb12g08500.t1 pep chromosome:ASM357664v1:12:6688177:6690272:-1 gene:itb12g08500 transcript:itb12g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEVSSSDRFSFLAMGLGGSNSPKSNNPNTPLHRSQSQQHSPSPPPPPPPPPPPPVDHHFTTLHLATAFNAQLRKRMRSQRRRRSSLNFSPLRFHHSFHLPHASPPPPPPPTALDSSRFRFLFDKELKNSDVGPLRRIVIPKA >itb04g09320.t1 pep chromosome:ASM357664v1:4:8609294:8613167:-1 gene:itb04g09320 transcript:itb04g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAFVEAEPPASRTGLAATCDQTGIVTQIQTTHFPDGKQVQVNGLLTTIKDILSLCGYHTSSEGQKEDPTSLDYSSLQSIVEQLSTELAFTTLNAADVHPKALSFFNMLSNYEWDAKLCLILAALVTIYGDYWVLMQSCSGEQLAKDMVTLLQLKERVAESDEQKSWFDKLKNLMMSMVDLAEQIAKMKALMSSSSADEATIRIATNTMVVASYWTVRNVVLCTSYIHSLFGKAYKSSVGPGDIDTMTKKICDLQAACQEMTKSLLGHVIVPAKEIKVVACGGSTSRKEWTYKPEGAIAEISIVHEGAIESISFSSVKPDGGVVSAVKFGGDGGGEYIGM >itb15g05800.t1 pep chromosome:ASM357664v1:15:3741643:3748178:-1 gene:itb15g05800 transcript:itb15g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVGMDSGRVSSVGFRCLVRRKSVDSAATRGLDDEGHPRLARKLSVVDLIAMGVGATIGAGVYILVGTVAREHTGPSLALSFFIGGIAAALSAFCYAELACRCPSAGSAYHFSYVCIGEGVAWLIGWAMILEYTLGGAAAARGISPNLAMYFGGEDKLPAILGRPTILGILVDPGAAILVLIITGLLCTGIKESSLAQTIITTINIFALIFIVIAGAYLGFNTGWVGYELPSGYFPFGINGLLAGSATVFFSYIGFDIVASTSEEVKNPSRDMPLGIGASLAICTILYMLVSAVIVGLVPYYALDPDTPVSSAFASYGMNWAVYIITTGAVTALCASLIGAIIPQPRILMAMGRDGLLPSFFSDISKHTQVPVKGTLATGIFIAALAFFMDVSQLAGMVSVGTLLAFTTVAISVLVVRYVPPDEFSFPSLLQPSMIPASFAQHSKALQIGGGNTKSISMPYSNSEHLHPVVESPLLPKETTQDTCNELRRRKIAAWAITLVCVGILLLVSAASAESLSSIPRFLICGVGGAIVLCCSTVLACVDQADLRRRFKKSGGKVFSAHSFHFSPSPAFS >itb05g15790.t1 pep chromosome:ASM357664v1:5:23140542:23142104:-1 gene:itb05g15790 transcript:itb05g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLALRYGRHLSTTTAAAAGASITISKAKSKLRSEHDPDEALKIYSSISGNYTSQASSRYAQTYAVRRLAMARRFSDIETLLESHKKDPKVTQEPFLSTLIRSYGIARMFDKARSTYEQMDELGTPRSSLSFNALLTACVNSRLCDRVPQLFDEIPNKYGVLPDKISYGILIKSYCEVRSPDLAIERLNEMEEKGIEITPITFTAILHSLYKSGRIDDAEKAWEDMAKRGIAPDVGAYNVKLMNIHGGDPDGVKALIEEMKAAGVEPDTISYNYLMICYCRNGMLDEAEKVYQDLERNGCKPNAATYGTLIHYLCEKGRFVTGYKVFKESVRRRRMADFNTMKPLLEGLAKESRRKEARGLLRTGTKKLPPNLHKAWSSLGEQLGLEKIEEDETKKGQWKDSKLHELWKGRK >itb05g15790.t2 pep chromosome:ASM357664v1:5:23141086:23142082:-1 gene:itb05g15790 transcript:itb05g15790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLALRYGRHLSTTTAAAAGASITISKAKSKLRSEHDPDEALKIYSSISGNYTSQASSRYAQTYAVRRLAMARRFSDIETLLESHKKDPKVTQEPFLSTLIRSYGIARMFDKARSTYEQMDELGTPRSSLSFNALLTACVNSRLCDRVPQLFDEIPNKYGVLPDKISYGILIKSYCEVRSPDLAIERLNEMEEKGIEITPITFTAILHSLYKSGRIDDAEKAWEDMAKRGIAPDVGAYNVKLMNIHGGDPDGVKALIEEMKAAGVEPDTISYNYLMICYCRNGMLDEAEKGTRCSRRV >itb10g19840.t2 pep chromosome:ASM357664v1:10:25522597:25524441:1 gene:itb10g19840 transcript:itb10g19840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLMEGNSRGGDQPDAIEMFEAQVHILKHAYNYINSVVLAAAIQLNIPDVIHSHGKPITIPELVSALKLPPHKSNAVYRIMRLLTHNGFFDSTKHNEEEEEEEDGYVLTASSRLLLKTQIPNLSPYAHFTVDPVMVAPWQVLGDWFSRNEESNAFETAHGAPMWEFCDTNSRFNNLFNEAMASDSQMMRLVAKDCGQVFEGLSTLVDVGGGTGTIAKLILEAFPRLKCTVLDLPHVVAKQPDCENLQFLGGDMFQSIPSADAIMLKILKKCREAISDNKNDGLKGKVIIIDIVLGAEKDNEADITEVKLMFDVLMMVLLTGKERTEKEWERLFIESGFTHYKITPIFGLRSLIEVFP >itb10g19840.t1 pep chromosome:ASM357664v1:10:25522597:25524453:1 gene:itb10g19840 transcript:itb10g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLMEGNSRGGDQPDAIEMFEAQVHILKHAYNYINSVVLAAAIQLNIPDVIHSHGKPITIPELVSALKLPPHKSNAVYRIMRLLTHNGFFDSTKHNEEEEEEEDGYVLTASSRLLLKTQIPNLSPYAHFTVDPVMVAPWQVLGDWFSRNEESNAFETAHGAPMWEFCDTNSRFNNLFNEAMASDSQMMRLVAKDCGQVFEGLSTLVDVGGGTGTIAKLILEAFPRLKCTVLDLPHVVAKQPDCENLQFLGGDMFQSIPSADAIMLKHIMHNWSEDTKEMQRSHFRQ >itb07g19150.t1 pep chromosome:ASM357664v1:7:23606245:23609340:-1 gene:itb07g19150 transcript:itb07g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKQGLLLPWNSCPPKTHTLKPIFLATLQPFKHNRQLGRQIRLLVQETLINRTPNKSSQCLPIHFKAMVACRIPTMPLIIANALLISTPLDAIAETCEADNSAFNMPLLLFVALIGATVGGLLARQRRGELRRLNEQLRQINTALRRQAKIESYAPSLSYAPVGGRIAESEVIVDPRKEELIARLKNGKNFLRNQAPEKAFTEFKTALELAQNLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLEISAREGEESGNTEAYGAIADCYTELGDLERAANYYDQYIARLETD >itb04g20500.t1 pep chromosome:ASM357664v1:4:25266912:25271378:-1 gene:itb04g20500 transcript:itb04g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAKALRAASTRPCLNVLPYATQKLRDLYSLGKKLGQGQFGTTYLCTEKSTSVEYACKSIPKRKLLCKEDYEDVWREIQIMHHLSENPHVVRIKGTYEDDAFVHLVMEVCRGGELFDRIVSKGHFSERKAAELMKTIAGVVEGCHSLGVMHRDLKPENFLFDTADEDAKLKATDFGLSVFYKPGQYLSDVVGSPYYVAPEVLHKFYGPEIDVWSAGVILYILLCGVPPFWAESDNGIFKQIMKGKIDFESEPWPSISDSAKDLIKKMLQRDPRQRITAHQVLCHPWIVDDTVAPDRPLGSAVLARLKNFSAMNKLKKMALRVIAERLSEEEIGGLRQLFKMIDADGSGTITFEELKQSLKRVGSDLMESEIQDLMNAADIDNNGTIDYGEFLAATLHLNKMEKEENLIAAFAYFDKDGSGYITIDELQLACKDFGLAEASLEETIREIDTDHDGRIDYGEFATMMRKGNKGIGIRGNLNFNVADTLGSSDNEKDQ >itb09g14770.t1 pep chromosome:ASM357664v1:9:10050014:10050709:1 gene:itb09g14770 transcript:itb09g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQSRKQGHSHGNIKQAIHGVIKELAEEVDEKGRESLHKLWAKFENEEVVQLEGIITRIRTDGDAPDWTEKFLRKLHLVSEDTQVVSMEDRGLKGFDSSLNAKEKSENRPQRFKIKTINVGVVKEGGEDHK >itb08g10700.t2 pep chromosome:ASM357664v1:8:10340659:10342481:1 gene:itb08g10700 transcript:itb08g10700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKELVNPPKELSSPASLGSSMKVVPPQKAINNFLSTNPRNGFSLGFGDKAFLAYSSAQPSSNLVEQRLFCGVNDMYCIFLGSLHNLCSLNRQYGLSKGANEAMLVTQAYRTLRDRGPYPAHQVLKDLEGSFGFVIYDHNAGKVFAALGANESVKLYWGIAEDGSIMISDNVALIKASCANSFAPFPNGMYCRKSPISNLLLEISFKIIPPMVL >itb08g10700.t1 pep chromosome:ASM357664v1:8:10340642:10342651:1 gene:itb08g10700 transcript:itb08g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKELVNPPKELSSPASLGSSMKVVPPQKAINNFLSTNPRNGFSLGFGDKAFLAYSSAQPSSNLVEQRLFCGVNDMYCIFLGSLHNLCSLNRQYGLSKGANEAMLVTQAYRTLRDRGPYPAHQVLKDLEGSFGFVIYDHNAGKVFAALGANESVKLYWGIAEDGSIMISDNVALIKASCANSFAPFPNGCMYHSERGLMSFEHPMKQMKAMPRVDSEGAMCGAYFKVDHYSNVVNTIMPRVGSEANWTL >itb04g01170.t1 pep chromosome:ASM357664v1:4:646134:647878:-1 gene:itb04g01170 transcript:itb04g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCPVLAGSKVLPFGVFLPNGNAVSFRHCHKHLEDTFPAKNLHSNSCFKLSKTHLSSSLSLQKPSERFSLLPLKCSGSHSTAKTDDGIMFRNGSVESIKSNFSELTPFLNSLGNHSVELMKSNFSQLTLFLESLKNLSVELIKSRFSQLTPFDLCKWSVVIAITIAVPKWIVSAVFNPFFWMYFSWSWLFWPWMAAIGIAAYGFYCLNKHLRGDANVFEQLAIVTSAFTWLTLVPPAHFNGFLEGWPFVFFFVYHYFFFFNVSVRKRLYGDFFPREHDPKWDITLPNWQKLLFCAGVAVGHWIAAFEGPELHLIPGGWSNAGVWALIIVTLFVQYHSTLYLANYSEKVVVPTAVVQFGPYRFVRHPIYASTMLLFASYCIALRAPLSTLFTIAVCLMYYGQKAKLEEVVMVETFGERYLEYSRKVKYRFLPFVY >itb13g05050.t1 pep chromosome:ASM357664v1:13:5969427:5970769:-1 gene:itb13g05050 transcript:itb13g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQIRRSTMKPSLKADDEASSRRRRLPPSPTNLRRSRQTTTTLPPSLTCESANLGDYPTVLGVTSVKELSGQSCQNCGDEIEITLDGEPFVACNECAFLVCRPCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEDDFDDLDHEFDYNSSVRRDHHRVAEAALAARLNVGYGGNGSDLGS >itb13g04270.t1 pep chromosome:ASM357664v1:13:4782745:4784338:-1 gene:itb13g04270 transcript:itb13g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLASVSECGEPTSSQKEKLRTCPFARGSEIYPDLSDSLSASATPPQSESTAIQMVSLPDSVSAENEEFQTPPEQAYSSSQPYLSGSEDLRPPRAAAAFGYQSDSPGDKQAAPAPDEDGAEHQGIVDLGTDCDDLGFSEPRVTIAPEGDSELFKGSKRIRFPEEDLGVDSPTLYVGETEPAAIEIIGDESVNPDGIDLECEEVAREKEKKMVNLDSSVNVVVGYRRAVCENGNSKGIEDTKEELEVVNSIGKAAKEKEKCNDVANEKGKHDVPSAEEKHDSANTKKKRRRELPLSIKGGGNEETRRRVDAKNLTPFKDIMVGFEALLGKADDGRKPESLLETAEKCGWVFPKPRWWPPEGFDD >itb08g17300.t2 pep chromosome:ASM357664v1:8:19751570:19755962:1 gene:itb08g17300 transcript:itb08g17300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRNPDPSSLASVSGVNPRRCCNRLAHVRVPTEGWISRRRSRNRFSLSLTIRAAQDSTTIEELDPFELKLKNPAISTSYRNPELHEPNQTVLDAQAKVCTGPTQTRPLNEDQAFKVLQTILKSAKGELKDEEAVSKAQLGAFFAGMTIRANTFPEATQWSDGERRAMDVFWPQLIRVLPPDIIFIADPEGSLMGVGSSIGPRFVGNNTSEMRLVGALREVLAGGHLGYEEVQGVLKDVLPLQMEEGALSGVRESLLSAFLIGQRMNRESDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGVTEEQMLKFMGAYTQLSPLQAKKLLEDDKVGFAYVSQREARPSLHSLVGLREHIKKRPPLATSEKVQQIVRAQGKEAIVASFYHEGYEEPLLMLMRRRGVHSGLVVKGEEGALSMTTKSRSVNASKGLPVNYCSGFRSANRTPSSVADGVSRESFKFVVNAENYGFEPTDTPRTDRSVWLHVFLILNVLHSTYCLCLVKLCC >itb08g17300.t1 pep chromosome:ASM357664v1:8:19751555:19757417:1 gene:itb08g17300 transcript:itb08g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRNPDPSSLASVSGVNPRRCCNRLAHVRVPTEGWISRRRSRNRFSLSLTIRAAQDSTTIEELDPFELKLKNPAISTSYRNPELHEPNQTVLDAQAKVCTGPTQTRPLNEDQAFKVLQTILKSAKGELKDEEAVSKAQLGAFFAGMTIRANTFPEATQWSDGERRAMDVFWPQLIRVLPPDIIFIADPEGSLMGVGSSIGPRFVGNNTSEMRLVGALREVLAGGHLGYEEVQGVLKDVLPLQMEEGALSGVRESLLSAFLIGQRMNRESDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGVTEEQMLKFMGAYTQLSPLQAKKLLEDDKVGFAYVSQREARPSLHSLVGLREHIKKRPPLATSEKVQQIVRAQGKEAIVASFYHEGYEEPLLMLMRRRGVHSGLVVKGEEGALSMTTKSRSVNASKGLPVNYCSGFRSANRTPSSVADGVSRESFKFVVNAENYGFEPTDTPRTDRSVARNVELGLAVLRGEKGPAYDRIVLNAGMVDHFLGCEGAEDVSAALDRAREAIDSGNALKRLLRYIQISNRVR >itb08g17300.t3 pep chromosome:ASM357664v1:8:19751555:19755231:1 gene:itb08g17300 transcript:itb08g17300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRNPDPSSLASVSGVNPRRCCNRLAHVRVPTEGWISRRRSRNRFSLSLTIRAAQDSTTIEELDPFELKLKNPAISTSYRNPELHEPNQTVLDAQAKVCTGPTQTRPLNEDQAFKVLQTILKSAKGELKDEEAVSKAQLGAFFAGMTIRANTFPEATQWSDGERRAMDVFWPQLIRVLPPDIIFIADPEGSLMGVGSSIGPRFVGNNTSEMRLVGALREVLAGGHLGYEEVQGVLKDVLPLQMEEGALSGVRESLLSAFLIGQRMNRESDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGVTEEQMLKFMGAYTQLSPLQAKKLLEDDKVGFAYVSQREARPSLHSLVGLREHIKKRPPLATSEKVQQIVRAQGKEAIVASFYHEGYEEPLLMLMRRRGVHSGLVVKGEEGALSMTTKSRSVNASKGLPVNYCSGFRSANRTPSSVADGMCLSLILLLFFFG >itb08g17300.t4 pep chromosome:ASM357664v1:8:19751571:19754841:1 gene:itb08g17300 transcript:itb08g17300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRNPDPSSLASVSGVNPRRCCNRLAHVRVPTEGWISRRRSRNRFSLSLTIRAAQDSTTIEELDPFELKLKNPAISTSYRNPELHEPNQTVLDAQAKVCTGPTQTRPLNEDQAFKVLQTILKSAKGELKDEEAVSKAQLGAFFAGMTIRANTFPEATQWSDGERRAMDVFWPQLIRVLPPDIIFIADPEGSLMGVGSSIGPRFVGNNTSEMRLVGALREVLAGGHLGYEEVQGVLKDVLPLQMEEGALSGVRESLLSAFLIGQRMNRESDRELKAYCLAFDDELGPAPVADVNSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGVTEEQMLKFMGAYTQLSPLQAKKLLEDDKVGFAYVSQREARPSLHSLVGLREHIKKRPPLATSEKVQQIVRAQGKEAIVASFYHEGYEEPLLMLMRRRGVHSGLVVKVCKSPKLRYLSPLFHGLCFPS >itb03g16800.t1 pep chromosome:ASM357664v1:3:15609231:15617770:-1 gene:itb03g16800 transcript:itb03g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLEASGDVVPAEMDEEIDAETEEIEPPPAAVPFSQLFACADRLDWFLMAIGSLAAAAHGTALVIYLHYFAKIIHLLKHSSEPPDELFHRFTELALTIVYIAAGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGIVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTSGKAHGGEIITALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSSVNNEGITLASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRDASLDQIEEAAKIAHAHSFISSLDKGYDTQVGRAGLSLTEEQKIKLSVARAVLSNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIKNADYIAVMEEGQLVEMGTHDELITLDGLYAELLKCEEAAKLPRRMPMRNYQEAAAFQIEKDSPTSHSFQEPSSPRMMKSPSLQRTSGLHAFCASDLPFNSQESPHNRSPPPEQTAENGVPLDGTDKEPSMKRQDSFEMRLPELPKIDVQSAHRQTPTSDPESPVSPLLTSDPKNERSHSQTFSRPLSEYDDEPMKTREAKDMQHREPPSFWRLVELSLTEWLYAVLGSTGAAIFGSFNPLLAYTIALIVTAYYRIDEKHHTHEKHHIRHEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADNLSMRLANDATFVRAAFSNRLSIFIQDSAAVVVAVLIGMALEWRLALVALATLPVLTISAVAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRFQLWKIFNKSFLQGMAIGFGFGLSQFLLFGCNALLLWYTALSVKHRRMNLPTAIKEYIVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNAAVKPPNVYGTIELKHVDFSYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPIAGQVLLDGRDLKSYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEVKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPVYLLDEASSSIESESSRVVQEALDTLIMGNKTTIMIAHRAAMMKHVDNIVVLNGGRIVEEGTHDSLMAKNGLYVRLMQPHFGKGLRPHRLV >itb07g23110.t1 pep chromosome:ASM357664v1:7:27599098:27609307:1 gene:itb07g23110 transcript:itb07g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSSARVDATLSSHTASASEASKAPSKASNFSIPSSLSIPSYSRRSSVDSFPTPRSEGEILPSPNVKAFTFHELKSATRNFRPDSLLGEGGFGYVFKGWIDEHSLTPTRPGFGMVIAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYSSDGDNRLLVYEFMPKGSLENHLFRRGSQPLTWATRIKVAIGAARGLAFLHDAKEPVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRHAVDKTKLGVEQHLVDWAKPYLGDKRKLFRIMDTKLEGQYPQKGALRAANLALQCLSTEHKLRPRMSEVLAELEQIQAPKGSSKLQQPEHPGTTPARVRMSAAAVKHHHSPRNLTPPHASPLPSHKKSPRWITHGRDITNRRYAEGERLINPKTVSQMLKLKWKFAAGFDITATPAVADGVVYFPSWNGNLYAVNASTGELVWEQNLGELTGLQPTASSERNLNVTVSRSTPAVAGKYLVLGIYGPAVMIAVKRSTGELVWASQLDPRPLAVITASATCVDVPAGVCYVGVSSLEVVLPAGECCTFRGSLVKVDIKRGKILWQTYTLPDNCGKVGGYSGAAIWGSSPAVDKRRGWVYVGTGNLYNAPEEVLRCQAAQNNRTGPPTGPDQCFGPDAHFNSIMALKMRTGEIVWARQLGGYDVSYFACLVPNNPDCPPGPNMEADFGEAPMLVTIWEKKRFRDVVVAVQKSGFAWALDRDNGDIVWFKKAGPGSIEGGGSWGAATDGRSRIYTNIVNGDRLPFRLAPTNQTTTAGGWVALDASTGRIIWTTANPSNETAPGPVTLANEVLFAASPTPNGPIYAIHAATGKIMWSFNTGATIYGGASVSDGCVYIGHGYSVGLLKSFHPTWTNGKYLFVFCIR >itb05g26600.t1 pep chromosome:ASM357664v1:5:30386984:30390053:-1 gene:itb05g26600 transcript:itb05g26600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAIQMDHSNSQLALPVKRRRGRPRKDRSLNHAGSTQVPPVGERVKENPAPRVEKNSDAVNDMVGQAVTGIIEAAFDAGFLLSVRIGDGKTRLRGIVFKPGHYVPITAENDIAPHVQMIQRNEINLPTENQMRSRKRYRHRTERNGSPSARRASKGNNLSIMLAPSVPPVGARGTVVPVVLQPVSLTNGLPRTTETAMDASQAYMEALRDKGVQMVTPLAMLPPEGSTAMMVAHEASSSQRQLRHEEPSEDVQNQKGSPSDRGRRVEHGEETSHVAPSNVVMPSGDSDRNQGYPQPPENLHVRQETDMNKPPSTKPLEALHPSLLNDPKSLPNKFMHYGTGRMTELLQALQENLVENQIHESTEIKFSGSNNKDEATKQ >itb05g26600.t2 pep chromosome:ASM357664v1:5:30387021:30390053:-1 gene:itb05g26600 transcript:itb05g26600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAIQMDHSNSQLALPVKRRRGRPRKDRSLNHAGSTQVPPVGERVKENPAPRVEKNSDAVNDMVGQAVTGIIEAAFDAGFLLSVRIGDGKTRLRGIVFKPGHYVPITAENDIAPHVQMIQRNEINLPTENQMRSRKRYRHRTERNGSPSARRASKGNNLSIMLAPSVPPVGARGTVVPVVLQPVSLTNGLPRTTETAMDASQAYMEALRDKGVQMVTPLAMLPPEGSTAMMVAHEASSSQRQLRHEEPSEDVQNQKGSPSDRGRRVEHGEETSHVAPSNVVMPSGDSDRNQGYPQPPENLHVRQETDMNKPPSTKPLEALHPSLLNDPKSLPNKFMHYGTGRMTELLQILYEGSAGKFGREPDS >itb05g17240.t2 pep chromosome:ASM357664v1:5:24232749:24243243:1 gene:itb05g17240 transcript:itb05g17240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIERCFYLPLDGWNGVSGFSRGRFLALWSANRAQRKDTSAGVQTTPASTLPSHSLRCSSTVNLLHRHSRRPHSCHSCHHLSCEDADAATAYPAKTPTLPPPLPQPSPSTSACKQPRRHIASAISSSPDLQVDETEISKSAGSTEGQKTVKKVIDYCYELEMEEGSSYETLAYALLSVYSLKVHWRFFTGIEVA >itb05g17240.t1 pep chromosome:ASM357664v1:5:24232749:24243215:1 gene:itb05g17240 transcript:itb05g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIERCFYLPLDGWNGVSGFSRGRFLALWSANRAQRKDTSAGVQTTPASTLPSHSLRCSSTVNLLHRHSRRPHSCHSCHHLSCEDADAATAYPAKTPTLPPPLPQPSPSTSACKQPRRHIASAISSSPDLQVDETEISKSAGSTEGQKTVKKVIDYCYELEMEEGSSYETLAYALLSVYSLKVHWR >itb12g00600.t1 pep chromosome:ASM357664v1:12:447558:449502:-1 gene:itb12g00600 transcript:itb12g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSWFWIALAVFAAVSIFLKKKSKRLPPGPKAVPILGHLHLIGKDPHHDFQKLSKIYGPIMYLRFGFVDNIIVSSPQAAQQFLKTHDLNFASRPPQEAPKYISYDQKDLAWSEYGPYWRNMRKLCTLELLSNLKIASFQSMRREELCLLVESFKQAALDGAAVDLSSKVSSMSSNMSCRMVFGKRYEDKDLGDKGFKAVIDEIQYLAAVPNLGDYFPYLGKLDFQGLTRRMKAVAKLCDDFFEKIIDEREQAENNGDTKGTKDFVDTMLEIMKSGETPFEFTREHVKSTMLDMLVTSMDTSSSVIDWTMSELLRHPKIMEKVKEEIERHVGSNRMVEEEDLESLEYLAMVIKESLRIHPVAPLLLPHAAIEDCVIDGFHIPKKARIWVNYWAIGRDPNVWSDPEKFTPERFKGSQIDYRGRDFEFIPFGSGRRSCPGLQLGVTVVRLVVANLVHYFDWNLPNGMLPDDLDMTEELGAVVRRAKNLIAVPTYRLNV >itb09g02770.t1 pep chromosome:ASM357664v1:9:1563349:1567815:-1 gene:itb09g02770 transcript:itb09g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEVSATGDNASPSSSRPWQSYHTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEERKEGYMKQKIENMRVQCLKLTKPDISHYQELAEKRILELEATRDLSRIWIHVDMDAFYAAVETLSNPSLQGKPMAVGTLSMLSTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYNHYSGLTRKIFQKYDPNFLATSLDEAYLDITRICKERGVTGAEVAEELRESVYNETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDRMAIMAFISTLPIRKIGGIGKVTENILKDVFGITTCEEMLQKSSFLCALFSRSSADFFLCVGLGLGGTDTPETKMRKSMSNERTFSATGDETLLYKKLEELAEMLSAEMEQEGLCGRTLTLKLKTASFEVRTRALTLPNYISSSEDILKHASKLLKAEFPVSLRLMGLRISQFMEENVGPSDPTQRTLSKFIVQGDASGKNADECLPLGSDVSDNAFTHDTHTDFPTNSGETISWDLRAPTDNQQISDPNDRCCIYSEELEKCPEPQNDELEINVCKSNEEGIPFSGQLEGISLDKPKSGAYDKTGSCSDHKDLVLWLDDYKCSLCGTELPPSFVEERQEHSDFHLAERLQDEESKDHHRWPTLKQRSVQNSDTQNRGRQRKKKKLCPTPTKCTPIDSFFVKTSQNF >itb12g27790.t2 pep chromosome:ASM357664v1:12:27997084:28000666:1 gene:itb12g27790 transcript:itb12g27790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNEQSHISDLLADGDLLFEVSKVVWNMLLAKCPELRRLKYKPLDSRKSIGRYRPYSNVDSFLKICKILGLNGIDLFSPSDVVEKRNVRKVCICIRALSTKARSKHLKVPDFDMVTYTVAMPKDMVGGIRRSLETSQCSFSSSSSYSSYKDQRQKVRQKNLTEAGDKNYDSCSEESDEAESKYMGEESYCSSTNNNKYTAPLNSECENSPEVCMDVTKSSMKQSLLHSDIHDQHIYDNGFNHHQSQDEVSSSCVKSQRKLHQSDDLRRPLDNGIMHFDHVDPNMGNCASVQDSIYDYEAEGDYISDYLAFSDSVIGGADGNSPVLLEGEDNIFNFFMGVDSHWSRSSRYSVDGCGNKFSDDIEDLEVSSTASMSSLLGRALNLEFDDHFDIDAHNALLDNEGCTQDRGLLKTPKARDVADDGCLLPDENGSQCHDEFKSASKECLRDPPGALFLTGNNKSEDDNNADPGTELGINHRLHNSYSGELKDGCVSSLQSKIQMRQVALFTPSAIRLLDESSVNQNLVAHPNSYEALEEKHPYIPVKDSNEFDTKHRDMDFLAENVPNGNDKTDVEASKDKVHRRPFLHTVAKGTAIFGMMFLVLHLRFVSLSTSMPILKKIDFLLLLFIFAMNDVHNSRRDREKSSDANKKLPQTKKFKGKDISYREGQNRGRDRIYPAEKLKFKN >itb12g27790.t3 pep chromosome:ASM357664v1:12:27998023:28000666:1 gene:itb12g27790 transcript:itb12g27790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYTVAMPKDMVGGIRRSLETSQCSFSSSSSYSSYKDQRQKVRQKNLTEAGDKNYDSCSEESDEAESKYMGEESYCSSTNNNKYTAPLNSECENSPEVCMDVTKSSMKQSLLHSDIHDQHIYDNGFNHHQSQDEVSSSCVKSQRKLHQSDDLRRPLDNGIMHFDHVDPNMGNCASVQDSIYDYEAEGDYISDYLAFSDSVIGGADGNSPVLLEGEDNIFNFFMGVDSHWSRSSRYSVDGCGNKFSDDIEDLEVSSTASMSSLLGRALNLEFDDHFDIDAHNALLDNEGCTQDRGLLKTPKARDVADDGCLLPDENGSQCHDEFKSASKECLRDPPGALFLTGNNKSEDDNNADPGTELGINHRLHNSYSGELKDGCVSSLQSKIQMRQVALFTPSAIRLLDESSVNQNLVAHPNSYEALEEKHPYIPVKDSNEFDTKHRDMDFLAENVPNGNDKTDVEASKDKVHRRPFLHTVAKGTAIFGMMFLVLHLSRRDREKSSDANKKLPQTKKFKGKDISYREGQNRGRDRIYPAEKLKFKN >itb12g27790.t4 pep chromosome:ASM357664v1:12:27998023:28000666:1 gene:itb12g27790 transcript:itb12g27790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYTVAMPKDMVGGIRRSLETSQCSFSSSSSYSSYKDQRQKVRQKNLTEAGDKNYDSCSEESDEAESKYMGEESYCSSTNNNKYTAPLNSECENSPEVCMDVTKSSMKQSLLHSDIHDQHIYDNGFNHHQSQDEVSSSCVKSQRKLHQSDDLRRPLDNGIMHFDHVDPNMGNCASVQDSIYDYEAEGDYISDYLAFSDSVIGGADGNSPVLLEGEDNIFNFFMGVDSHWSRSSRYSVDGCGNKFSDDIEDLEVSSTASMSSLLGRALNLEFDDHFDIDAHNALLDNEGCTQDRGLLKTPKARDVADDGCLLPDENGSQCHDEFKSASKECLRDPPGALFLTGNNKSEDDNNADPGTELGINHRLHNSYSGELKDGCVSSLQSKIQMRQVALFTPSAIRLLDESSVNQNLVAHPNSYEALEEKHPYIPVKDSNEFDTKHRDMDFLAENVPNGNDKTDVEASKDKVHRRPFLHTVAKGTAIFGMMFLVLHLRFVSLSTSMPILKKIDFLLLLFIFAMNDVHNSRRDREKSSDANKKLPQTKKFKGKDISYREGQNRGRDRIYPAEKLKFKN >itb12g27790.t5 pep chromosome:ASM357664v1:12:27998023:28000666:1 gene:itb12g27790 transcript:itb12g27790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESYCSSTNNNKYTAPLNSECENSPEVCMDVTKSSMKQSLLHSDIHDQHIYDNGFNHHQSQDEVSSSCVKSQRKLHQSDDLRRPLDNGIMHFDHVDPNMGNCASVQDSIYDYEAEGDYISDYLAFSDSVIGGADGNSPVLLEGEDNIFNFFMGVDSHWSRSSRYSVDGCGNKFSDDIEDLEVSSTASMSSLLGRALNLEFDDHFDIDAHNALLDNEGCTQDRGLLKTPKARDVADDGCLLPDENGSQCHDEFKSASKECLRDPPGALFLTGNNKSEDDNNADPGTELGINHRLHNSYSGELKDGCVSSLQSKIQMRQVALFTPSAIRLLDESSVNQNLVAHPNSYEALEEKHPYIPVKDSNEFDTKHRDMDFLAENVPNGNDKTDVEASKDKVHRRPFLHTVAKGTAIFGMMFLVLHLSRRDREKSSDANKKLPQTKKFKGKDISYREGQNRGRDRIYPAEKLKFKN >itb12g27790.t1 pep chromosome:ASM357664v1:12:27997084:28000666:1 gene:itb12g27790 transcript:itb12g27790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNEQSHISDLLADGDLLFEVSKVVWNMLLAKCPELRRLKYKPLDSRKSIGRYRPYSNVDSFLKICKILGLNGIDLFSPSDVVEKRNVRKVCICIRALSTKARSKHLKVPDFDMVTYTVAMPKDMVGGIRRSLETSQCSFSSSSSYSSYKDQRQKVRQKNLTEAGDKNYDSCSEESDEAESKYMGEESYCSSTNNNKYTAPLNSECENSPEVCMDVTKSSMKQSLLHSDIHDQHIYDNGFNHHQSQDEVSSSCVKSQRKLHQSDDLRRPLDNGIMHFDHVDPNMGNCASVQDSIYDYEAEGDYISDYLAFSDSVIGGADGNSPVLLEGEDNIFNFFMGVDSHWSRSSRYSVDGCGNKFSDDIEDLEVSSTASMSSLLGRALNLEFDDHFDIDAHNALLDNEGCTQDRGLLKTPKARDVADDGCLLPDENGSQCHDEFKSASKECLRDPPGALFLTGNNKSEDDNNADPGTELGINHRLHNSYSGELKDGCVSSLQSKIQMRQVALFTPSAIRLLDESSVNQNLVAHPNSYEALEEKHPYIPVKDSNEFDTKHRDMDFLAENVPNGNDKTDVEASKDKVHRRPFLHTVAKGTAIFGMMFLVLHLSRRDREKSSDANKKLPQTKKFKGKDISYREGQNRGRDRIYPAEKLKFKN >itb12g23480.t2 pep chromosome:ASM357664v1:12:25175855:25179047:1 gene:itb12g23480 transcript:itb12g23480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKATGRLFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFMKISALSLVFCASVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLIMEENVVGITLALAREDVRIIWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSRKD >itb12g23480.t3 pep chromosome:ASM357664v1:12:25176084:25179094:1 gene:itb12g23480 transcript:itb12g23480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKATGRLFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFMKISALSLVFCASVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLIMEENVVGITLALAREDVRIIWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSRKD >itb12g23480.t1 pep chromosome:ASM357664v1:12:25175821:25179094:1 gene:itb12g23480 transcript:itb12g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKATGRLFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFMKISALSLVFCASVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLIMEENVVGITLALAREDVRIIWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSRKD >itb13g18540.t2 pep chromosome:ASM357664v1:13:25500540:25509825:-1 gene:itb13g18540 transcript:itb13g18540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKSQLPILLVCLLTFSDIFVGNTLSEGVTPQEARELRDEVREMFFHAFNGYMEHAFPRDELKPLSCEGEDTLGGYALTLIDSLDTLALLGDQERFSTSVEWIGKNLRFDINKTVSVFETTIRILGGLLSAHLIASDYNTGMKVSSYDDELLYLAVDLAQRMLPAFDTPTGIPFGSVNLLHGVDENESKVTSTAGGGTLTLEFGVLSRLTNNPVFEQVAKNAVRGLWARRSKINLVGAHIDVFTGEWTQKDAGIGTSIDSFYEYLLKAHLLFGDEEYLFIFQEAYKAAMNYLYSDPWYVEVNMNSAALVWPLFNSLQAFWPGLQVLAGDIEPAIRTHAAFFSVWKKYGFTPEGFNLATLNVQPGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMLASLQYGARCNCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAAGPDNLVENGPYKYVFSTEGHLLPASPQISLVHEHCSYLGAYCRTSDLEPTIHASHIAEINSSGSYPHSTASSFLSHPSYQKPPPMSGLIKGLCQGLTHGQRFGISYVASTSPSVKEDEQSSQSEAPATKGHSLVLVTNPDIEHSQTSAQNDHDNEKIPTENQRAPLPENEERPSG >itb13g18540.t1 pep chromosome:ASM357664v1:13:25500540:25509825:-1 gene:itb13g18540 transcript:itb13g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKSQLPILLVCLLTFSDIFVGNTLSEGVTPQEARELRDEVREMFFHAFNGYMEHAFPRDELKPLSCEGEDTLGGYALTLIDSLDTLALLGDQERFSTSVEWIGKNLRFDINKTVSVFETTIRILGGLLSAHLIASDYNTGMKVSSYDDELLYLAVDLAQRMLPAFDTPTGIPFGSVNLLHGVDENESKVTSTAGGGTLTLEFGVLSRLTNNPVFEQVAKNAVRGLWARRSKINLVGAHIDVFTGEWTQKDAGIGTSIDSFYEYLLKAHLLFGDEEYLFIFQEAYKAAMNYLYSDPWYVEVNMNSAALVWPLFNSLQAFWPGLQVLAGDIEPAIRTHAAFFSVWKKYGFTPEGFNLATLNVQPGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMLASLQYGARCNCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAAGPDNLVENGPYKYVFSTEGHLLPASPQISLVHEHCSYLGAYCRTSDLEPTIHASHIAEINSSGSYPHSTASSFLSHPSYQKPPPMSGLIKGLTHGQRFGISYVASTSPSVKEDEQSSQSEAPATKGHSLVLVTNPDIEHSQTSAQNDHDNEKIPTENQRAPLPENEERPSG >itb01g32730.t1 pep chromosome:ASM357664v1:1:36147688:36151042:1 gene:itb01g32730 transcript:itb01g32730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVLGGLDTGVLPATASVFSDVESKLKWCGSGGFLKQERPAPDDWRETKFAKTSTAAILRSNANPGSESGQQMLSFSSPNSQTLPLPSYHHQISVAFSRNSGYGGLNSGNMHGLVSGVRGPFTPSQWMELEHQALIYKYITANVPIPPYLLNPIRKAFDSAALSAYSGLRANSFGWGAFHLGFSNTTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQASHSASGTTAATKLMPATSSAASAAVVSGGSVSDSIGFSNQNNLQPFATNNPFQPSHLYRSFLDKGNRGETYQDATGLQILSPGKKESQQHSIIKNQHSYEAPSRSGFGLVCSNPLLNPEDVSDRESKTRHSLHQFMDDWPKSQPDIDLQSDQTRLSISIPMSTSDFMSSTSSPVSEKLAASPLKLSWEHETTQMGLGVGAVINERNQRQGNWIPIAWESSVGGPLGEVFHSTNSKSSDCKNASALNLMEGWDGSPRMASSPTGVLQKAAFCSHSNSSAGSSPRAENSVMNLPSLQAL >itb01g32730.t2 pep chromosome:ASM357664v1:1:36148948:36151036:1 gene:itb01g32730 transcript:itb01g32730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVSGVRGPFTPSQWMELEHQALIYKYITANVPIPPYLLNPIRKAFDSAALSAYSGLRANSFGWGAFHLGFSNTTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQASHSASGTTAATKLMPATSSAASAAVVSGGSVSDSIGFSNQNNLQPFATNNPFQPSHLYRSFLDKGNRGETYQDATGLQILSPGKKESQQHSIIKNQHSYEAPSRSGFGLVCSNPLLNPEDVSDRESKTRHSLHQFMDDWPKSQPDIDLQSDQTRLSISIPMSTSDFMSSTSSPVSEKLAASPLKLSWEHETTQMGLGVGAVINERNQRQGNWIPIAWESSVGGPLGEVFHSTNSKSSDCKNASALNLMEGWDGSPRMASSPTGVLQKAAFCSHSNSSAGSSPRAENSVMNLPSLQAL >itb14g21120.t1 pep chromosome:ASM357664v1:14:23282126:23285013:-1 gene:itb14g21120 transcript:itb14g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQKQKKLPSVGFKKLKKILKRCRRDLHSHEHSTPHDSSTCPKKCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLDLHLASGFSRCLFWFKGRLYGNHGALSQEGKDLVTYAIINAVAVRKILKKYDKIHYSKQGQAFKSQAQSMHMEILQSPWLCELMAFHINMRETDEASAKKAIIALFGGCSLVLKDGKPSLSCELFDSIKLDLDLTCSICLDTLFDPVSLTCGHFFCYMCACKTASVTIVDGLKAANHTEKCPLCREGGVYEGAVHLEELNMLLSRSCPEYWEERLQYERKERVKQAKEHWESQCRTLMGV >itb14g21120.t2 pep chromosome:ASM357664v1:14:23282165:23285013:-1 gene:itb14g21120 transcript:itb14g21120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQKQKKLPSVGFKKLKKILKRCRRDLHSHEHSTPHDSSTCPKKCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLDLHLASGFSRCLFWFKGRLYGNHGALSQEGKDLVTYAIINAVAVRKILKKYDKIHYSKQGQAFKSQAQSMHMEILQSPWLCELMAFHINMRETDEASAKKAIIALFGGCSLVLKDGKPSLSCELFDSIKLDLDLTCSICLDTLFDPVSLTCGHFFCYMCACKTASVTIVDGLKAANHTEKCPLCREGGVYEGAVHLEELNMLLSRSCPEYWEERLQYERKERVKQAKEHWESQCRTLMGV >itb14g21120.t4 pep chromosome:ASM357664v1:14:23282138:23284174:-1 gene:itb14g21120 transcript:itb14g21120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVGCFNERAQKLLDLHLASGFSRCLFWFKGRLYGNHGALSQEGKDLVTYAIINAVAVRKILKKYDKIHYSKQGQAFKSQAQSMHMEILQSPWLCELMAFHINMRETDEASAKKAIIALFGGCSLVLKDGKPSLSCELFDSIKLDLDLTCSICLDTLFDPVSLTCGHFFCYMCACKTASVTIVDGLKAANHTEKCPLCREGGVYEGAVHLEELNMLLSRRYVYTHKKSDKCIRMFYEIVFFELAIHN >itb14g21120.t5 pep chromosome:ASM357664v1:14:23282138:23285013:-1 gene:itb14g21120 transcript:itb14g21120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQKQKKLPSVGFKKLKKILKRCRRDLHSHEHSTPHDSSTCPKKCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLDLHLASGFSRCLFWFKGRLYGNHGALSQEGKDLVTYAIINAVAVRKILKKYDKIHYSKQGQAFKSQAQSMHMEILQSPWLCELMAFHINMRETDEASAKKAIIALFGGCSLVLKDGKPSLSCELFDSIKLDLDLTCSICLDTLFDPVSLTCGHFFCYMCACKTASVTIVDGLKAANHTEKCPLCREGGVYEGAVHLEELNMLLSRRYVYTHKKSDKCIRMFYEIVFFELAIHN >itb14g21120.t3 pep chromosome:ASM357664v1:14:23282138:23285000:-1 gene:itb14g21120 transcript:itb14g21120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQKQKKLPSVGFKKLKKILKRCRRDLHSHEHSTPHDSSTCPKKCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLDLHLASGFSRCLFWFKGRLYGNHGALSQEGKDLVTYAIINAVAVRKILKKYDKIHYSKQGQAFKSQAQSMHMEILQSPWLCELMAFHINMRETDEASAKKAIIALFGGCSLVLKDGKPSLSCELFDSIKLDLDLTCSICLDTLFDPVSLTCGHFFCYMCACKTASVTIVDGLKAANHTEKCPLCREGGVYEGAVHLEELNMLLSRRYVYTHKKSDKCIRMFYEIVFFELAIHN >itb12g17530.t1 pep chromosome:ASM357664v1:12:19618256:19621616:-1 gene:itb12g17530 transcript:itb12g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIMPAESVGDEREKKEALGIYEEGGEEKEEEEVAAAARVAPWRRQITVRGLIVSSIIGCIYSVIGMKLCLTTGITPNFNVSAALLGFILVRTWSKVAQKLGIKSAPFTRQENTIIQTCAVACYSIAVGGGLGSYLLGMNKKAYEQTGVDAVGNSPSSYKDPAMGWLTAYLLVVCFIGIFVLVPLSKILIIDYKLTFPTGMATAVLINGFHTKNDKMARKQVKGFVKFMSYSFLWAFFQWFFTGKAVDCGFSHFPTFGLQAWKKTFYFDFSTTYVGTGMICPHIVNVSMLLGAVLSWGVMWPLMEKRKGDWFPANLPETSRVEQNKSHDDLMKDEIFVREGIPMWVGVIGYIVLTIIAVGVIPMIFHQVKWYWVILIYALTPTLAFCNAYGAGLTDMNMAYNYGKVGLFVMAALAGKENGVVAGLAGCGIVKSVLNVSVNLMLDFKTGHLTLTSSRAMFLSQAIGTIIGCVVAPLSFFLFYNAFDVGNPNGEYKAPFALVYRNLASVSIEGFSVLPHHCLQMCYGFFGLAVMMNLVKDVCPKRIRKWIPVPMAMAVPFIIGGNLGIDMCVGSVIVYVWHKLKSKQAELMVAAVASGMICGEGLWILPASILALAKVYPPICMKFLPS >itb15g03870.t2 pep chromosome:ASM357664v1:15:2451813:2454753:-1 gene:itb15g03870 transcript:itb15g03870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYQRNHVLVKKGGLVDGDEEDESHHHLLGLVWGNSGYNKRAKPNLLFILFLSLLSCSFIFAPHLFSASPPTFSLSCTICCDRSSFRSDVCIMKGDVRTDPASSSIALYRSYGHNRYILGLSGDNDEAVPVEKIKPYTRKWETSVMDTIDELDLVVKNKKDSGVHHTCDVQHDVPAVVFSTGGYTGNVYHEFNDGILPLYITSERFDRKVVFVILEYHDWWISKYENILSRLSEYPAVDFRDNRTHCFPEVIVGLKIHDELSIDPSLMKGNKSIRDFRQLLDRAYLPRITGLIKEEQREAQLSMEKSASSPSPNSKTEIKVQKQNLKSPKLVIVARNDSRAILNEGSLVKMAEEIGFEVEVLRPARTTELAKIYKVLNSSDVMIGVHGAAMTHFLFLKPESVFIQIIPLGTDWAAEAYYGEPAKKMGLRYIGYKILPNESSLYDEYDSNDAVLTDPDSVNKKGWEFTKKIYLDHQNVRLHPGRFRKRLLRAYYYTIAMKRNHQSQ >itb15g03870.t4 pep chromosome:ASM357664v1:15:2451826:2454751:-1 gene:itb15g03870 transcript:itb15g03870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKKFDLVAGTICCDRSSFRSDVCIMKGDVRTDPASSSIALYRSYGHNRYILGLSGDNDEAVPVEKIKPYTRKWETSVMDTIDELDLVVKNKKDSGVHHTCDVQHDVPAVVFSTGGYTGNVYHEFNDGILPLYITSERFDRKVVFVILEYHDWWISKYENILSRLSEYPAVDFRDNRTHCFPEVIVGLKIHDELSIDPSLMKGNKSIRDFRQLLDRAYLPRITGLIKEEQREAQLSMEKSASSPSPNSKTEIKVQKQNLKSPKLVIVARNDSRAILNEGSLVKMAEEIGFEVEVLRPARTTELAKIYKVLNSSDVMIGVHGAAMTHFLFLKPESVFIQIIPLGTDWAAEAYYGEPAKKMGLRYIGYKILPNESSLYDEYDSNDAVLTDPDSVNKKGWEFTKKIYLDHQNVRLHPGRFRKRLLRAYYYTIAMKRNHQSQ >itb15g03870.t1 pep chromosome:ASM357664v1:15:2451813:2454753:-1 gene:itb15g03870 transcript:itb15g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYQRNHVLVKKGGLVDGDEEDESHHHLLGLVWGNSGYNKRAKPNLLFILFLSLLSCSFIFAPHLFSASPPTFSLSYSFGFEGEGLASEIDLYASLCSSVPNGTICCDRSSFRSDVCIMKGDVRTDPASSSIALYRSYGHNRYILGLSGDNDEAVPVEKIKPYTRKWETSVMDTIDELDLVVKNKKDSGVHHTCDVQHDVPAVVFSTGGYTGNVYHEFNDGILPLYITSERFDRKVVFVILEYHDWWISKYENILSRLSEYPAVDFRDNRTHCFPEVIVGLKIHDELSIDPSLMKGNKSIRDFRQLLDRAYLPRITGLIKEEQREAQLSMEKSASSPSPNSKTEIKVQKQNLKSPKLVIVARNDSRAILNEGSLVKMAEEIGFEVEVLRPARTTELAKIYKVLNSSDVMIGVHGAAMTHFLFLKPESVFIQIIPLGTDWAAEAYYGEPAKKMGLRYIGYKILPNESSLYDEYDSNDAVLTDPDSVNKKGWEFTKKIYLDHQNVRLHPGRFRKRLLRAYYYTIAMKRNHQSQ >itb15g03870.t3 pep chromosome:ASM357664v1:15:2451826:2454751:-1 gene:itb15g03870 transcript:itb15g03870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKKFDLVAGTICCDRSSFRSDVCIMKGDVRTDPASSSIALYRSYGHNRYILGLSGDNDEAVPVEKIKPYTRKWETSVMDTIDELDLVVKNKKDSGVHHTCDVQHDVPAVVFSTGGYTGNVYHEFNDGILPLYITSERFDRKVVFVILEYHDWWISKYENILSRLSEYPAVDFRDNRTHCFPEVIVGLKIHDELSIDPSLMKGNKSIRDFRQLLDRAYLPRITGLIKEEQREAQLSMEKSASSPSPNSKTEIKVQKQNLKSPKLVIVARNDSRAILNEGSLVKMAEEIGFEVEVLRPARTTELAKIYKVLNSSDVMIGVHGAAMTHFLFLKPESVFIQIIPLGTDWAAEAYYGEPAKKMGLRYIGYKILPNESSLYDEYDSNDAVLTDPDSVNKKGWEFTKKIYLDHQNVRLHPGRFRKRLLRAYYYTIAMKRNHQSQ >itb15g19060.t1 pep chromosome:ASM357664v1:15:21090786:21094777:-1 gene:itb15g19060 transcript:itb15g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVTSLMRTLELQFLHPQPRVILTNKEQIKSLHDKLDRLLVYLEESEKKATNWEEMKEVTNKIRGVSAKAEDDIEAELLKDHHYHKLEKTLQRVVHDVEELMQITRNTNHFPSNNPTVGGSYQRTSDVEDAMVGHSEELDAVKSQLLHHSLKQRQVMAMVGMGGIGKTTFVKRIYDDPIVTSHFQLRAWTTMSQVHNKAQALYDLCRCIMPMSSTFNNKMEGDLEVQLRQSLLGQRYLIVVDDIWTTKAWDDIQRCFPNDENGSRILLTTRDREIALYANSGQYCYNMRFLNPCEGWDLFYQKFLAKEFLNNEFEKIGRNIVQKCQGLPLTIVVLAGLLSTIKSVDEWENVERTINSLLSLNLSEQFSRIFNLSYNSLHSDLKGCFLYLGLFHEDCEIPIKRLIRLWIAEGFVGTMSHNKRLEEIGRDYLQDLIDRSLIMVHRRSFDGKIKSCKMHDLLHELCTSKAKIENLLYLETEGSSDRFGRFIQLGDSRWLSLKVAIPAFHLVIASEECRSILCFNMALNCDREWYLLANSFKKLRVLDLSKINFARGMPPDITDLVFLRYLALASSKLLNYIPVWKNWNLQTLIISEDDNGSRKSPDGIWELPQLRHLELYHQVSIDLPKVVQQNLQTLYWLSTLECTMEVFLRIPNVKELGIIAGDEASPPQGLNNLCCLSHLEKLKVQGSYHPASHLRPQATIYPQNLKELTFVRTLIPWEDMNCISVLPNLEVLKLRNFACVGSEWELIEEGGFQQLKVLLISLTNLKEWKANVGSPFPKLECLLLRNCFELKEMPEWIEDIITLRLIKLEYCYASLVGSAQRIKEEQLDNYGNDMLDVIDSNTRQEPLV >itb08g14980.t1 pep chromosome:ASM357664v1:8:16898682:16902629:-1 gene:itb08g14980 transcript:itb08g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARGEWVGGIMCKTSYADPAIVEARAIVECLDWAWKRGVRDLEVQSDAKTIIQWIQEDLDXVLLPMTRTTWLKWRASTDHCFTLNIDGSVKSGIQKAGIGGVMRNARGEWVGGIMCKTSYADPAIVEARAIVECLDWAWKRGVRDLEVQSDAKTIIQWIQEDLSDAKTIIQWIQEDLDGRGPIRQCIDEIRWLGKVLSILLEKTLNKPFYGVHISLESIVVLRQEQVYDIAHGFVNSNIHFLWFMRPPSKGSAFKPVNLPKWCNGVRKNMC >itb01g01180.t1 pep chromosome:ASM357664v1:1:592632:595614:-1 gene:itb01g01180 transcript:itb01g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQSPSGRMNRSRGFKVKNILQICVLLAVCLWLVYQVKQSHEKKKQFEGNESSLSQKTGSSDGGPNLGRKYLPDSKGIANSDEERGMEVEEDELEQDNNSERKNDEGRGGGDDDLEERDREKNDVEVEREDEAVGEERDSDDNNGEGQDENSEDNENDEDSGSKHEAQEVLYKADDASSAVTHDTQEVTTENENSSTEEVSENSEVNVLEQAGEVNNDKEISDGQDTTPVQDHTTGHEESQGTSSTNVTSIEGLNGHPVERNLTEKTGEANDLPIEKRAQSNLDSTQYNNVTSSDKTAGEGSNLGNTTLEQNDDSNLTTLKNEDAESTQSDSSAESNHSKSSVSVHGHPRFTIEDDRWSTTIDSFDATDAEKSGTVDETGSVDEQFESFNTENIGEIEDLIDSSDSSFSLDEKDVRNDLETLPEIQTEGSNTEDLAAK >itb01g01180.t2 pep chromosome:ASM357664v1:1:592632:594673:-1 gene:itb01g01180 transcript:itb01g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQSPSGRMNRSRGFKVKNILQICVLLAVCLWLVYQVKQSHEKKKQFEGNESSLSQKTGSSDGGPNLGRKYLPDSKGIANSDEERGMEVEEDELEQDNNSERKNDEGRGGGDDDLEERDREKNDVEVEREDEAVGEERDSDDNNGEGQDENSEDNENDEDSGSKHEAQEVLYKADDASSAVTHDTQEVTTENENSSTEEVSENSEVNVLEQAGEVNNDKEISDGQDTTPVQDHTTGHEESQGTSSTNVTSIEGLNGHPVERNLTEKTGEANDLPIEKRAQSNLDSTQYNNVTSSDKTAGEGSNLGNTTLEQNDDSNLTTLKNEDAESTQSDSSAESNHSKSSVSVHGHPRFTIEDDRWSTTIDSFDATDAEKSGTVDETGSVDEQFESFNTENIGEIEDLIDSSDSSFSLDEKDVRNDLETLPEIQTEGSNTEDLAAK >itb01g26430.t1 pep chromosome:ASM357664v1:1:31593948:31602106:-1 gene:itb01g26430 transcript:itb01g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLGIIRGGSIRGSLRGSLRGSSRANSNSIWRNTGVEVFSRSSRDEDDEEALKWAALEKLPTFDRLRKGLLFGAEGPATEVDINNLGFHERQTLLQRLVNVADEDNEKFLMKLRNRIDRVGIDLPTIEVRYEHLKVEADAYAGSRALPTFINFTTNFIEDLLNALHLTRTKKRNLTILNDVSGIIKPCRMTLLLGPPSSGKTTLLLALAGKLDKALRVGGRVTYNGHGLTEFVPQRTAAYISQHDLHIGEMTVRETLEFSARCQGVGSRYEMLAELSRREKAANIKPDPDIDVYMKAAATEGQEANVVTDYVLKILGLEVCADTMVGDEMIRGISGGQKKRVTTGEMIVGPAKALFMDEISTGLDSSTTYSIVNSLKQYVHILKGTAVISLLQPAPETYNLFDDIILLSDGYLVYQGPREDILDFFESMGFRCPDRKGVADFLQEVTSKKDQQQYWIRRDEPYRFVKAKEFAEAYQSFHVGRRSAEELSVPYDKTKSHPAALTNEKYGIGSKQLLKVCTEREYLLMHRNSFAYVFKFCQLTIMALITMTLFFRTEMKKDTETDGGIYVGALFFAVVMIMFNGMSELPMTIYKLPVFYKQRDLLFFPPWAYALPSWILKVPVTFVEVGLWVFVTYYVMGFDPNVGRLFKQFLILIMANQMASSLFRFIAAVGRTMGVANTFGSFALLLQFALGGIVLSRDDVKKWWIWGYWTSPLMYAQNGILVNEFNGHSWRKPVANSNETLGAKVVTSRGFFSDSKWYWIGLGALFGFTIVFNLCYSIALQYLNPFGKPQALISDDDDDKETASVQSSTQQSTQAVGGNGISEAAETQKRGMVLPFEPHSLTFDNVVYSVDMPQEMRDQGASEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQETFARISGYCEQNDIHSPFVTIYEALVYSAWLRLPEDVDASTRKMFVDQVMELVELVPLRSALIGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRNSCHLIKYFESIDGVAKIKDGYNPATWMLEVTTSAQEMLLGMDFTDAYKNSDLYRRNKALISELSTPRPGSKDLYFKTQYSQSFWSQCMACLWKQHWSYWRNTSYTAVRFIFTTFIALAFGTMFWDLGTKVDRKQDVLNAMGSMYAAVLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSALPYAFAQVVIEIPYILLQSAVYGVIVYAMIGFEWTAAKFFWYFFVMFFSLLYFTFYGMMSVAVTPNQNVASIVAAFFYGAWNLFSGFIIPRPSMPVWWRWYFWACPVSWTLYGLVASQFGDIESTLSDSDSGQTVKQFLEDYLGFKHDFLGVVAAVTVAFPVLFGFIFAYAIKAFNFQKR >itb02g19090.t6 pep chromosome:ASM357664v1:2:15980514:15984011:1 gene:itb02g19090 transcript:itb02g19090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTWPGRLTLTDHALYFEALRVVSYDKAKVYDLSQDLNQVVKPELTGPWGTRLFDKAVLYKSVSLQDPVIMEFPELKGHTRRDYWLAIIREILYVHRFIRKFQITGIEREEALLKAIFGILRVQALKDMSSTSADHISYEDLLMFNVCDQLPGGDVILETLANRSVVRELERTNSPKCRNEVHSVSALAMASNLGFVFGSSSHVPNATGISVGEIAVGEMTPLEKAVKESRTNYKNLVSAQATVDGVKVDGIDTNLAVMKELLSPMTQLGNWLLFLAHWEDPLKSIVFCIVVTYIISRGWLGYASAFLLAFIAVFMLLTRYFSQGRPADELKVIAPPEMTTMEQLLAVQNAISQAEELIQDGNIVLLKIRALLLSIFPQATEKFAGALFVMALVLAFLPYRYIILLLFLEVFTRNSPLRKTSTERWTRRLREWWFSIPAAPVALERSKEDKKKR >itb02g19090.t2 pep chromosome:ASM357664v1:2:15978522:15984110:1 gene:itb02g19090 transcript:itb02g19090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSKTRTMLEDLVREGSFKWLNRTHSSFDKDFEEMEKSPSSGGKNSLPELSHYANVVAWRCSKILEISVSQLKENFDEEASDSLRQPSHYARNFLEYCCFRALALSVNVNGYLGDRNFRRLTFDMMVAWEFPAAGSQASISMEDATVGVEAFSRIASAVPIIANVIVSNNIFNVLTSATDCRLHFSVYEKYLIGMERAIKKLKSQSESSHLSSLRSERGEKILELDGTVTTQPVLEHMGISTWPGRLTLTDHALYFEALRVVSYDKAKVYDLSQDLNQVVKPELTGPWGTRLFDKAVLYKSVSLQDPVIMEFPELKGHTRRDYWLAIIREILYVHRFIRKFQITGIEREEALLKAIFGILRVQALKDMSSTSADHISYEDLLMFNVCDQLPGGDVILETLANRSVVRELERTNSPKCRNEVHSVSALAMASNLGFVFGSSSHVPNATGISVGEIAVGEMTPLEKAVKESRTNYKNLVSAQATVDGVKVDGIDTNLAVMKELLSPMTQLGNWLLFLAHWEDPLKSIVFCIVVTYIISRGWLGYASAFLLAFIAVFMLLTRYFSQGRPADELKVIAPPEMTTMEQLLAVQNAISQAEELIQDGNIVLLKIRALLLSIFPQATEKFAGALFVMALVLAFLPYRYIILLLFLEVFTRNSPLRKTSTERWTRRLREWWFSIPAAPVALERSKEDKKKR >itb02g19090.t3 pep chromosome:ASM357664v1:2:15978522:15984018:1 gene:itb02g19090 transcript:itb02g19090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAWEFPAAGSQASISMEDATVGVEAFSRIASAVPIIANVIVSNNIFNVLTSATDCRLHFSVYEKYLIGMERAIKKLKSQSESSHLSSLRSERGEKILELDGTVTTQPVLEHMGISTWPGRLTLTDHALYFEALRVVSYDKAKVYDLSQDLNQVVKPELTGPWGTRLFDKAVLYKSVSLQDPVIMEFPELKGHTRRDYWLAIIREILYVHRFIRKFQITGIEREEALLKAIFGILRVQALKDMSSTSADHISYEDLLMFNVCDQLPGGDVILETLANRSVVRELERTNSPKCRNEVHSVSALAMASNLGFVFGSSSHVPNATGISVGEIAVGEMTPLEKAVKESRTNYKNLVSAQATVDGVKVDGIDTNLAVMKELLSPMTQLGNWLLFLAHWEDPLKSIVFCIVVTYIISRGWLGYASAFLLAFIAVFMLLTRYFSQGRPADELKVIAPPEMTTMEQLLAVQNAISQAEELIQDGNIVLLKIRALLLSIFPQATEKFAGALFVMALVLAFLPYRYIILLLFLEVFTRNSPLRKTSTERWTRRLREWWFSIPAAPVALERSKEDKKKR >itb02g19090.t5 pep chromosome:ASM357664v1:2:15978522:15984018:1 gene:itb02g19090 transcript:itb02g19090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSKTRTMLEDLVREGSFKWLNRTHSSFDKDFEEMEKSPSSGGKNSLPELSHYANVVAWRCSKILEISVSQLKENFDEEASDSLRQPSHYARNFLEYCCFRALALSVNVNGYLGDRNFRRLTFDMMVAWEFPAAGSQASISMEDATVGVEAFSRIASAVPIIANVIVSNNIFNVLTSATDCRLHFSVYEKYLIGMERAIKKLKSQSESSHLSSLRSERGEKILELDGTVTTQPVLEHMGISTWPGRLTLTDHALYFEALRVVSYDKAKVYDLSQDLNQVVKPELTGPWGTRLFDKAVLYKSVSLQDPVIMEFPELKGHTRRDYWLAIIREILYVHRFIRKFQITGIEREEALLKAIFGILRVQALKDMSSTSADHISYEDLLMFNVCDQLPGGDVILETLANRSVVRELERTNSPKCRNEVHSVSALAMASNLGFVFGSSSHVPNATGISVGEIAVGEMTPLEKAVKESRTNYKNLVSAQATVDGVKVDGIDTNLAVMKELLSPMTQLGNWLLFLAHWEDPLKSIVFCIVVTYIISRGWLGYASAFLLAFIAVFMLLTRYFSQGRPADELKVIAPPEMTTMEQLLAVQNAISQAEELIQDGNIVLLKIRALLLSIFPQVFNFYTFPCFQSLI >itb02g19090.t4 pep chromosome:ASM357664v1:2:15978522:15984018:1 gene:itb02g19090 transcript:itb02g19090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSKTRTMLEDLVREGSFKWLNRTHSSFDKDFEEMEKSPSSGGKNSLPELSHYANVVAWRCSKILEISVSQLKENFDEEASDSLRQPSHYARNFLEYCCFRALALSVNVNGYLGDRNFRRLTFDMMVAWEFPAAGSQASISMEDATVGVEAFSRIASAVPIIANVIVSNNIFNVLTSATDCRLHFSVYEKYLIGMERAIKKLKSQSESSHLSSLRSERGEKILELDGTVTTQPVLEHMGISTWPGRLTLTDHALYFEALRVVSYDKAKVYDLSQDLNQVVKPELTGPWGTRLFDKAVLYKSVSLQDPVIMEFPELKGHTRRDYWLAIIREILYVHRFIRKFQITGIEREEALLKAIFGILRVQALKDMSSTSADHISYEDLLMFNVCDQLPGGDVILETLANRSVVRELERTNSPKCRNEVHSVSALAMASNLGFVFGSSSHVPNATGISVGEIAVGEMTPLEKAVKESRTNYKNLVSAQATVDGVKVDGIDTNLAVMKELLSPMTQLGNWLLFLAHWEDPLKSIVFCIVVTYIISRGWLGYASAFLLAFIAVFMLLTRYFSQGRPADELKVIAPPEMTTMEQLLAVQNAISQAEELIQDGNIVLLKIRALLLSIFPQVFNFYTFPCFQSLI >itb02g19090.t1 pep chromosome:ASM357664v1:2:15978420:15984110:1 gene:itb02g19090 transcript:itb02g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSKTRTMLEDLVREGSFKWLNRTHSSFDKDFEEMEKSPSSGGKNSLPELSHYANVVAWRCSKILEISVSQLKENFDEEASDSLRQPSHYARNFLEYCCFRALALSVNVNGYLGDRNFRRLTFDMMVAWEFPAAGSQASISMEDATVGVEAFSRIASAVPIIANVIVSNNIFNVLTSATDCRLHFSVYEKYLIGMERAIKKLKSQSESSHLSSLRSERGEKILELDGTVTTQPVLEHMGISTWPGRLTLTDHALYFEALRVVSYDKAKVYDLSQDLNQVVKPELTGPWGTRLFDKAVLYKSVSLQDPVIMEFPELKGHTRRDYWLAIIREILYVHRFIRKFQITGIEREEALLKAIFGILRVQALKDMSSTSADHISYEDLLMFNVCDQLPGGDVILETLANRSVVRELERTNSPKCRNEVHSVSALAMASNLGFVFGSSSHVPNATGISVGEIAVGEMTPLEKAVKESRTNYKNLVSAQATVDGVKVDGIDTNLAVMKELLSPMTQLGNWLLFLAHWEDPLKSIVFCIVVTYIISRGWLGYASAFLLAFIAVFMLLTRYFSQGRPADELKVIAPPEMTTMEQLLAVQNAISQAEELIQDGNIVLLKIRALLLSIFPQATEKFAGALFVMALVLAFLPYRYIILLLFLEVFTRNSPLRKTSTERWTRRLREWWFSIPAAPVALERSKEDKKKR >itb11g07660.t3 pep chromosome:ASM357664v1:11:4710457:4716433:1 gene:itb11g07660 transcript:itb11g07660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYEPDPDVVRWGLHLIDVCSINDGGSPVTITQYDRDLSQTELIREGYNGTSYLPQTELLREGYTGTSYLPQTELNKEDCYVPSHANVENDEIIAHTLQEELSRIAIAESSGSSYVEEEHQKESVLSQDWLGSSKRHCNFGYERNCEMSVGPSGQDLLPQIEDESTLDGEVGKRLNQSQMVAVPHVPKINGEIPSVDEATSDHQRLMDRLELYDLVESKVSGDGNCQFRSLSDQIYRTTDYHKFVREQVVIQLKSHPELYKSYVPMAYDEYLRKMSKTGEWGDHVTLQAAADAVSAAFIFSCALCLKNQLRTSFLTNVCNLLWKMFLVLRYSSSHRSRIHATSRYFLTCRDRRELFS >itb11g07660.t2 pep chromosome:ASM357664v1:11:4710457:4716433:1 gene:itb11g07660 transcript:itb11g07660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYEPDPDVVRWGLHLIDVCSINDGGSPVTITQYDRDLSQTELIREGYNGTSYLPQTELLREGYTGTSYLPQTELNKEDCYVPSHANVENDEIIAHTLQEELSRIAIAESSGSSYVEEEHQKESVLSQDWLGSSKRHCNFGYERNCEMSVGPSGQDLLPQIEDESTLDGEVGKRLNQSQMVAVPHVPKINGEIPSVDEATSDHQRLMDRLELYDLVESKVSGDGNCQFRSLSDQIYRTTDYHKFVREQVVIQLKSHPELYKSYVPMAYDEYLRKMSKTGEWGDHVTLQAAADAFGFKIFIITSFKDTCYIEILPHMQRSKRSTSNM >itb11g07660.t1 pep chromosome:ASM357664v1:11:4710457:4716433:1 gene:itb11g07660 transcript:itb11g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYEPDPDVVRWGLHLIDVCSINDGGSPVTITQYDRDLSQTELIREGYNGTSYLPQTELLREGYTGTSYLPQTELNKEDCYVPSHANVENDEIIAHTLQEELSRIAIAESSGSSYVEEEHQKESVLSQDWLGSSKRHCNFGYERNCEMSVGPSGQDLLPQIEDESTLDGEVGKRLNQSQMVAVPHVPKINGEIPSVDEATSDHQRLMDRLELYDLVESKVSGDGNCQFRSLSDQIYRTTDYHKFVREQVVIQLKSHPELYKSYVPMAYDEYLRKMSKTGEWGDHVTLQAAADAFGFKIFIITSFKDTCYIEILPHMQRSKRTIFLSFWAEVHYNSIYPIGDLPTGEHDKKKKKWWWLGSSRWATSPNEV >itb14g13870.t1 pep chromosome:ASM357664v1:14:16213505:16216807:-1 gene:itb14g13870 transcript:itb14g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLRRSCYLTLFLHRHCLTRDRHAKGVGKFRNKMLANLIGYYYDGDERLLVTEFMPNDTHFYMYDNLVAQPGVPRPPTMQQPNPFGNAFYGVGSGLISDGLGAYGEKILGSSSEYIQSNQGDISSYINDSIKNGIALSTFRKDKLGGDGIGISYWMMEMRLLVIDCIRRF >itb14g13870.t2 pep chromosome:ASM357664v1:14:16213505:16216807:-1 gene:itb14g13870 transcript:itb14g13870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLRRSCYLTLFLHRHCLTRDRHAKGVGKFRNKMLANLIGYYYDGDERLLVTEFMPNDTHFYMYDNLVAQPGVPRPPTMQQPNPFGNAFYGVGSGLISDGLGAYGEKILGSSSEYIQSNQGDISSYINDSIKNGIALSTFRKDKLGGDGIGISYWVQSLALMHLMFRQ >itb14g13870.t3 pep chromosome:ASM357664v1:14:16215038:16216807:-1 gene:itb14g13870 transcript:itb14g13870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLRRSCYLTLFLHRHCLTRDRHAKGVGKFRNKMLANLIGYYYDGDERLLVTEFMPNDTHFYMYDNLVAQPGVPRPPTMQQPNPFGNAFYGVGSGLISDGLGAYGEKILGSSSEYIQSNISRYSSDPQYYFQVNDQYQGDISSYINDSIKNGIALSTFRKDKLGGDGIGISYWMMEMRLLVIDCIRRF >itb07g13170.t1 pep chromosome:ASM357664v1:7:15313665:15316018:-1 gene:itb07g13170 transcript:itb07g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEAEFFGDIVIVPYMDNYDLVVLKTGNLRIWVFLTSQEFCRSLYIGNINHYHKPLQNGKWAVTYEEWPEEDYPPYVNGPGYVISSDVAESIVSDFEQHKLRLLKMEDVSMGMWVETREVQQFEVGGVCSQLEVLPVWMLLH >itb04g31170.t1 pep chromosome:ASM357664v1:4:34120501:34121629:1 gene:itb04g31170 transcript:itb04g31170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTSSKAGVRSQHFGFHKALCLLMGWKSAEAPNSAWVHKVLPEDETLALKEDLIIWPPVVVIHNITITNSNPDERVVVSVEELEAKLRDMGFGGKVCRGKPGNLSVLVVKFAATLTGLQEAERLGNLYAETKHGRAEFEQVSTGSCVSNEQVPSADKMEEILYGYLGIVEDLDKLDFESKRRSKLKSKKEIQSISVAP >itb06g18120.t2 pep chromosome:ASM357664v1:6:21862021:21865884:-1 gene:itb06g18120 transcript:itb06g18120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRKSKKKAGQQQNKKSDDQIPSTLDKQKLNLSLDEKKEAPGNGDAGHIAAHTFTFRELAAATKNFRVDCLLGEGGFGRVYKGQLQSTSQIVAIKQLDRDGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPDKKILEWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLDEEYNPKLSDFGLAKLGPIGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDPLRVAEEQNLVAWARPLFKDRRKFSHMADPTLQGQYPMRGMYQALAVAAMCVHEQPNMRPLIADVVTALNYLASQKYGSDTHPVQKPWFGSSTTR >itb06g18120.t1 pep chromosome:ASM357664v1:6:21862021:21865884:-1 gene:itb06g18120 transcript:itb06g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRKSKKKAGQQQNKKSDDQIPSTLGFVYQFIYKQKLNLSLDEKKEAPGNGDAGHIAAHTFTFRELAAATKNFRVDCLLGEGGFGRVYKGQLQSTSQIVAIKQLDRDGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPDKKILEWNTRMRIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLDEEYNPKLSDFGLAKLGPIGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDPLRVAEEQNLVAWARPLFKDRRKFSHMADPTLQGQYPMRGMYQALAVAAMCVHEQPNMRPLIADVVTALNYLASQKYGSDTHPVQKPWFGSSTTR >itb07g01110.t1 pep chromosome:ASM357664v1:7:694397:696514:-1 gene:itb07g01110 transcript:itb07g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKYTLGYKTVLKSLRSSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPSEQ >itb02g12860.t4 pep chromosome:ASM357664v1:2:8884770:8890164:1 gene:itb02g12860 transcript:itb02g12860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHMFEKVDIVGFEAICMAHQNYMVNAFYQHGVMPNETTETSTSGCGSLILEMGALSRLTGDPIFEIAALRALRKLWSMRSSLNLLGTTLDVATGEWIEFSTGIGAGVDSFYEYLVKAYILFGRDEFWKMFQSAYLAVQKYFRHGPWYHDADMRTGKATYWQLTSLQAFWPGVQVLVGDVDAANSSHREFFHVWRKFGVLPERYLLDHQMLHPTEKYYPLRPEFAESTFYLYQATKDPWYMEVGELIVNSLNQYTRVQGGFASVRDVTTMELEDHQHSFFLAETCKYLYLLFDDSFLVNRNYIFTTEGHPLPVLSTWHDRLSEAYLPSNWTSFKVTKNTESGGLVQCHSGFVQLPRLAMNRSISTSRALATSPTRVLTIDA >itb02g12860.t3 pep chromosome:ASM357664v1:2:8885991:8890164:1 gene:itb02g12860 transcript:itb02g12860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTCLNGNCQQHGVMPNETTETSTSGCGSLILEMGALSRLTGDPIFEIAALRALRKLWSMRSSLNLLGTTLDVATGEWIEFSTGIGAGVDSFYEYLVKAYILFGRDEFWKMFQSAYLAVQKYFRHGPWYHDADMRTGKATYWQLTSLQAFWPGVQVLVGDVDAANSSHREFFHVWRKFGVLPERYLLDHQMLHPTEKYYPLRPEFAESTFYLYQATKDPWYMEVGELIVNSLNQYTRVQGGFASVRDVTTMELEDHQHSFFLAETCKYLYLLFDDSFLVNRNYIFTTEGHPLPVLSTWHDRLSEAYLPSNWTSFKHEEHRKRRASAMSQRICPATTLSHEQEYQHLESACHIPDTRADHRCLTDEDCGVDSTTCRRRSCSMAGYCGLWLLI >itb02g12860.t1 pep chromosome:ASM357664v1:2:8880969:8890164:1 gene:itb02g12860 transcript:itb02g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVVICMLIFLSTFFQMSFSGFHPPNPNPNPMAARRKRMSQKVRKMFYHAYENYMMHAFPHDELKPLTKTYTDSLSELGNLKLEHLPHQYSGSALTLIESLSSLVILGNHTEFEKAVLWLSANLSFDVDARINLFECNIRVLGGLVSAHILATDSTNRLVQGTYKNQLLDLAEDLGRRFLPAFDTPTGLPYAWINLKHGVMPNETTETSTSGCGSLILEMGALSRLTGDPIFEIAALRALRKLWSMRSSLNLLGTTLDVATGEWIEFSTGIGAGVDSFYEYLVKAYILFGRDEFWKMFQSAYLAVQKYFRHGPWYHDADMRTGKATYWQLTSLQAFWPGVQVLVGDVDAANSSHREFFHVWRKFGVLPERYLLDHQMLHPTEKYYPLRPEFAESTFYLYQATKDPWYMEVGELIVNSLNQYTRVQGGFASVRDVTTMELEDHQHSFFLAETCKYLYLLFDDSFLVNRNYIFTTEGHPLPVLSTWHDRLSEAYLPSNWTSFKHEEHRKRRASAMSQRICPATTLSHEQEYQHLESACHIPDTRADHRCLTDEDCGVDSTTCRRRSCSMAGYCGLWLLI >itb02g12860.t2 pep chromosome:ASM357664v1:2:8884770:8890164:1 gene:itb02g12860 transcript:itb02g12860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHMFEKVDIVGFEAICMAHQNYMVNAFYQHGVMPNETTETSTSGCGSLILEMGALSRLTGDPIFEIAALRALRKLWSMRSSLNLLGTTLDVATGEWIEFSTGIGAGVDSFYEYLVKAYILFGRDEFWKMFQSAYLAVQKYFRHGPWYHDADMRTGKATYWQLTSLQAFWPGVQVLVGDVDAANSSHREFFHVWRKFGVLPERYLLDHQMLHPTEKYYPLRPEFAESTFYLYQATKDPWYMEVGELIVNSLNQYTRVQGGFASVRDVTTMELEDHQHSFFLAETCKYLYLLFDDSFLVNRNYIFTTEGHPLPVLSTWHDRLSEAYLPSNWTSFKHEEHRKRRASAMSQRICPATTLSHEQEYQHLESACHIPDTRADHRCLTDEDCGVDSTTCRRRSCSMAGYCGLWLLI >itb06g11230.t2 pep chromosome:ASM357664v1:6:15838756:15847506:-1 gene:itb06g11230 transcript:itb06g11230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSLAALSASLSSLRFIRSSDGCGRKYTYSIIVAKKSNNKSKQPSSPKGSEPPRITSNLKQNLQFIKLGKEIQKKRNIVNKAATRYRKKKAEKEELPEDTELYREEPTLTPYYNSFPSINQVINTAAIPVLLVDGYNVCGFWAKLKKHFLNGKLDIARQKLIDELVTFSTVREVKVVVVFDAMMSGLPFHKETFAGVDVVYSTETCADAWIEKEAADLRKDGYPEVWVVTSDLNQQIAAYGAGAFVWSCKTLVSEIKTADKELERMLREQR >itb06g11230.t1 pep chromosome:ASM357664v1:6:15838619:15847506:-1 gene:itb06g11230 transcript:itb06g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSLAALSASLSSLRFIRSSDGCGRKYTYSIIVAKKSNNKSKQPSSPKGSEPPRITSNLKQNLQFIKLGKEIQKKRNIVNKAATRYRKKKAEKEELPEDTELYREEPTLTPYYNSFPSINQVINTAAIPVLLVDGYNVCGFWAKLKKHFLNGKLDIARQKLIDELVTFSTVREVKVVVVFDAMMSGLPFHKETFAGVDVVYSTETCADAWIEKEAADLRKDGYPEVWVVTSDLNQQIAAYGAGAFVWSCKTLVSEIKTADKELERMLREQRSTSMQGKLLKHNLDPDVVTALKDLRDKLSESELRK >itb12g23710.t1 pep chromosome:ASM357664v1:12:25336495:25342073:1 gene:itb12g23710 transcript:itb12g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNFLLLSFSLFFLAAVSLLILRSSAAASRRKRHLPPGTFGLPFLGETLQLISAYKTGNPEPFVDDRVGKYGAVFTTHIFGEPTVFSADPELNRFVLQNEGRLFEASYPASIANLLGRYSLVLMSGTLHKRMHSLTMSFVNSSTMKDYLLLDIDRLVRLNMDSWTGRILLMEETKKITFQLMVKQLMSFDPCEWTENLMKEYMLVIDGFFTLPSPLLSATYRRAIQARGKVAEALNKVVTERRKEREFGAEKKNDMLEALLEGDGGATGGDGSGGGFSDEEIVDFILALLVAGYETTSTIMTLAVKFLTETPLALAQLKKEHDEIRLRKGEIRALEWDDYKSMPFTQCVINETLRVANIIGGVFRRATTDVNIKGYTIPKGFRIFASFRGVHLDQEHFTDARTFDPWRWQSNPGPTSSMTAYMPFGGGPRRCPGYELARVELSVFLHHLITRFSWAPAEEDKLVFFPTTRMIKRYPIIVQNRSISMNTE >itb02g05570.t1 pep chromosome:ASM357664v1:2:3401165:3405421:-1 gene:itb02g05570 transcript:itb02g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPTMKPNYVFILVCCILSISQATVATPAASNGFRVRAVNLGGWLVTEGWMKPSLFDAIPNRDLLDGSTVQFKSVTLGKYLSAEHGGGTILVANKIFAMSSETFRLWRINETTFNFRVHNNQFVGLDTTDGVNLVAVENTPCISETFMILRNSDDPNRVRIKASNGFFLQVKTEELVTADCNGNERWDDDDPSIFIMTTFSAFDGEYQITNGYGPVMAPKVMREHWDTFIVEEDFKWISNNGLNAVRIPLGWWIAYDPNPPKPFVGGSLKTLDNAFLWATKYGLKVLLELHAAPGSQTGFALSASRDGTTGWGIDSISPTVAVIEFLAARYAKNPSLYSIGLMNEPSLWISPETVMSYYKAGYDAVRRHSSTAYVVMATRMGALNVTEFLPFAAQLERAVLDVHLYNFDHWTVHDTINDVYTHCQEVVSAVTTSNGPLSFVAEWTGEWMVPNATKEEYQRFVKAQLEVFDRATFGWAYWTLKHVGNHWSLEWMINNGYINFTASNPISDHLRVSSI >itb06g11670.t1 pep chromosome:ASM357664v1:6:16204695:16207232:1 gene:itb06g11670 transcript:itb06g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSNSEDFIAILSIDGGGIRGIIPATILAFLEAQLQELDGEKVRICDYFDLIAGTSTGGLVTAMLTAPNEDKRPLFAAKDILPFYKEHGPKIFAEGYMTCRGGGLFGKFRRGLASFIGPKYDGKYLHQVLHDKLGNTRLHQTLTPIVIPTFDITNFQPHVFTNYEAEDCSELDVKLSDICIGSAAAPTYFPAHYFENIDDKGNRSEFNLIDGGVCANNPTLVAISTVMQRMESKDEDMGAGTNRRFRVLSLGTGSSNYCQKYTAKMAADWGIAGWLAHGDGNPLLDVFSDASSDMVDYHIATSFHSLDAGDNYLRIQEDELEGELASVDVTTEENLKRLQEVGEKLLKKTVSKLDLLTGHYKPVPNRGTNEKALKE >itb13g23000.t1 pep chromosome:ASM357664v1:13:29124918:29129801:1 gene:itb13g23000 transcript:itb13g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMAASPPASSTGSWFSGIVRGRSQSVKMPNNPTASAASDSESPINRKKQFRGVLLKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFLATEYLEPLAIALDREKWSLVQFLFSSSYSGYGTSSLKQDAMELDQLINYLINKEDSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRSAILQAPVSDREYRATLPDTASMIDLASKMISEGQGSELMPREANPDAPITAYRYHSLCAYNGDDDLFSSDLSDDQLKQRLGHMCNTPCQVIFSMGDEYVPDYVDKKALVDRLCRAMGGAEKVEIEHGNHSLSNRVEEAVQAIVNFVKREGPSGWDDPWS >itb09g12830.t1 pep chromosome:ASM357664v1:9:8158231:8159956:1 gene:itb09g12830 transcript:itb09g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKHGGGGKVVVVMVPFPAQGHLNQLLQLSRLISSYNIPVHFVGTATHNRQARLRVHGWNPFSAANIHFHEFPTANAAAAAVPNPPAESRFPAHILSSYQAASLLRDPVGKLLRALAGNNSRVVVIHDSLMGSVVQDAAGIPNAESYIFHSVSAFTIFLFIWEKMGKPFAVDADLLRDLPSLEGCFSPEFESFLKAQYDFLKSNSGRIYNTCRALEGPFLELLSREEISGKKKQWALGPFNPVHVSNGGASKTRHKCLAWLDNHTKNSVIFVSFGTTTSFSDEQIREIATGLERSEQKFIWVLRDADKSDIFSKEHKKLELPRGFEERVRKRGMVVRDWAPQLEVLAHSSTGGFLSHCGWNSCMESISMGVPMAAWPMHSDQPRNTVLITKVLRIGIVVKDWARRDQIVTSSAVEHAVKRLMAMEEGERMRQRAADFGCAMKKAVADGGVSRIELDGFVDHIARS >itb07g04600.t1 pep chromosome:ASM357664v1:7:3086890:3088284:1 gene:itb07g04600 transcript:itb07g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKHLKFLIYGKTGMIGGMLGKFCEEKGIPFEYGKARLEDRSQLLSDIIGVNPTHVFNAAGATGTPNADWCEFNKLETIRSNVVGVLNLADVCEEKGVLLLHFGSGCIYDYDSEHPMGSGVGFREEDKSNYAGSFYSKTKGMVEDLLGAYKNICILRVKMPLISDLNHPRNLIKKLLGYEKVVNIRNSMAVLDKLLPMAIEMAKRNKGIWNFTNLGVISRNELLELYKKYVDPSLTWTNFTLKDQRKVLAAARCNNELDSSKLKREFPELLGVKESLIKYVFEPNTKKIWSLNTGQSL >itb11g02480.t1 pep chromosome:ASM357664v1:11:1238625:1242023:-1 gene:itb11g02480 transcript:itb11g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELSGIVKALDFTIRKAQAVAKKKRRAILSRMSVAHVDDELGIDTPGEVYHAEKVLYNGDIYTGQWAENCPNGQGKYLWADGYMYVGDWVNGKPMGKGRFSWPSGATYEGQFKNGYIDGEGIYTGSSNDAYRGFWVYNMKHGRGSRYYPNGDKYVGEWRRGQLNGQGKYQWSNGTQYIGQWRNGKMYGNGTILWSNGSRFDGYWEDGEPKGNGTFRWEDGSLYVGIWSRDPREQSGTYYPSSLETSIFDWDPQEMYFLHLVECKVDPGEKITVFPSQKMMNWPCEGKFLQKHLISKTPRAANQPGIKSVDGRLTGADANSLADLRPDIDNGVSGLERETGGSCGVSDSDASRGSKPLFRIQPPKRQGETISKGHRNYELMLNLQLGVRHSVGRPAPATSLELKATAFDTKMKVWTRFPPEGSKHTPPHQSCDFKWKDYCPLVFRTLRKCFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYNHVCAFENTLVTKFFGLHCVKMIGPAQKKVRFVIMGNLFCTEYVIHRRYDLKGSSLGRLTDIPAAEIDSTTTLKDLDLNFMFRLQKVWFQEFCRQVDHDSDFLEQERIMDYSLLVGIHFREVSQSGEPVISGSRTSGVHTPFAANGDLEGENTTTTPTISRADKDLLDDPSRWVSLRLGVNMAAKAELTARRSNSDVHQTEPTGQYYDVILVFGIIDILQDYDISKKLEHAYKAFQYDATSISAVDPRQYSQRFRNFISKVFAEDS >itb07g22350.t1 pep chromosome:ASM357664v1:7:26829031:26831793:1 gene:itb07g22350 transcript:itb07g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPATTNSLSEASEAKTPSEAIAILYRVLNDPSSSSEALRIKEQAISNLSDLLRQENRAEELKNLLTQLRPFFSLIPKAKTAKIVRVIVDAVAKIPGMSDLQISLCKDIVQWTRAEKRTFLRQRIEARLAALLMENKEYSEALTLLTGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPGQQGSIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALEDPQAIYSLKYMLLCKIMVSQADDVASIISSPKVGLQYQGPELDAMKAVADAHSKRSLKLFEVALRNFKAQLDEDQIVHRHLSSLYDTLMEQNLCRLIEPFSRVEIAHIAELIELPADHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNMGKVVDSLFVRSAKIMA >itb07g22350.t2 pep chromosome:ASM357664v1:7:26829031:26831793:1 gene:itb07g22350 transcript:itb07g22350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPATTNSLSEASEAKTPSEAIAILYRVLNDPSSSSEALRIKEQAISNLSDLLRQENRAEELKNLLTQLRPFFSLIPKAKTAKIVRVIVDAVAKIPGMSDLQISLCKDIVQWTRAEKRTFLRQRIEARLAALLMENKEYSEALTLLTGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPGQQGSIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALEDPQAIYSLKYMLLCKIMVSQADDVASIISSPKVGLQYQGPELDAMKAVADAHSKRSLKLFEVALRNFKAQLDEDQIVHRHLSSLYDTLMEQNLCRLIEPFSRVEIAHIAELIELPADHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNMGKVVDSLFVRSAKIMA >itb02g04900.t1 pep chromosome:ASM357664v1:2:2930934:2931868:-1 gene:itb02g04900 transcript:itb02g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGLADRSGQDKGGHAKLECPLCKVTAPDIKSMQIHHDARHPKVPFDETKLNNLHAATPSGGGGEANKPKPGIRGSHKK >itb02g00470.t1 pep chromosome:ASM357664v1:2:322712:323380:-1 gene:itb02g00470 transcript:itb02g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHQVLPALSLLFSLSFLPFIAFSIRQFPLPPVIGLDGKEMKLGESYYIFTSPFPPLGLCLVDNVKCPTDIIQCPSYYDDPRGLPFTFSSVANSTEDTVVRVDTPYRIELSAPAAGNCSNETFWFLKDDGIPGMNFVAIGPKTVAVQFTIQKVVFGYKIVGCVVLPFPPLPICFGVGFVPEFGFNRLGIGSDVQPAEFFFAKPTVNSTVAIDNKHLHSLY >itb11g21990.t1 pep chromosome:ASM357664v1:11:23771513:23773827:-1 gene:itb11g21990 transcript:itb11g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKKVYKDPSITSHFYKQAWVTVTQVYNVEQMLQCLIGCVNAASRDELHEQRQRNAKENMRRLLMGNRYLIVMDDIWSTTAWDSVQGCFPDDNNGSRILLTSRLREVAEYASSGNSIINMHFLDANKSWNLFCNVFGQTKFLSVFEQIGRNIVKKCNGLPLAIIVIASLLSKTEETVEKWNNVAENVSRYVTSDSNDACSRILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLVRLWDAEGFLRAVDHRNMEEVAMECLQDLIDRSLVLIGKQSYNGKIKTIRMHDLLRDLCLREARYENLLNVIGNDYHGAVAGLVKACPWINSFQYRTSRGNRRMRLMREKVESDE >itb04g27410.t1 pep chromosome:ASM357664v1:4:31441092:31441795:-1 gene:itb04g27410 transcript:itb04g27410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPASLLNAHVKLLAFDRRWEVMPSAQFKPGRFLKFAIDDTTGCIPCVLRFNQLNSPYFSCRSTPDVRAIADMAAKFASEAELCVLVRVRGKITGYRGSLQITVSDVIVERDPNFEILHWLDCVKLSRNCYNVSTTIKSGDQNC >itb11g17680.t1 pep chromosome:ASM357664v1:11:17679078:17679489:-1 gene:itb11g17680 transcript:itb11g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKKNYGGRSVKGMKNMQIDGQKQIRCCSIKCSSQTFLPFSKAECSLYRKRTALRRCDLHKANGDNEDVAATSLTPEHSHESSQYQVDYIWFA >itb12g04560.t1 pep chromosome:ASM357664v1:12:2995185:2996532:1 gene:itb12g04560 transcript:itb12g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKEREKLQADQTAVNVCPPPVAFPAPEILCEYPMFTTMGCITNTYSSSFATNNNYSPLVMSDAIATNDVFRQAFNFPTTELNHHDFLYGYNSPQQLADRYSSTITSGSSSEGTSSCWEDISSLVYPPPKMVSTNHHLDEICPPTMILHGGVSRYGIFLLGFSS >itb11g13570.t3 pep chromosome:ASM357664v1:11:10587324:10591008:-1 gene:itb11g13570 transcript:itb11g13570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSVGALLQLGSLLIRILSPRAGAVALSRRRPTSCKASRSIPISPASRGMVTLVVATTIDPASIGPASALLAMPGWHPGPSIQDMSSFVNKEVRLLKHDKSLVREDDLDKRWEEATGEEVDEVIFLSKHTAASNRPALTVHPIGVPHLREGEKPLAGGKPGWAAPPNPRLGPWLRLLKTIADSHNLTPEFEVTLEVTHHGPLTSSPTMFVEIGSTEEYWKRQDAAQAIALLVWQGLGLGGGAAVGNWSRNNSQNKVLLGIGGGHYAPRHMDIIL >itb11g13570.t1 pep chromosome:ASM357664v1:11:10586141:10591008:-1 gene:itb11g13570 transcript:itb11g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSVGALLQLGSLLIRILSPRAGAVALSRRRPTSCKASRSIPISPASRGMVTLVVATTIDPASIGPASALLAMPGWHPGPSIQDMSSFVNKEVRLLKHDKSLVREDDLDKRWEEATGEEVDEVIFLSKHTAASNRPALTVHPIGVPHLREGEKPLAGGKPGWAAPPNPRLGPWLRLLKTIADSHNLTPEFEVTLEVTHHGPLTSSPTMFVEIGSTEEYWKRQDAAQAIALLVWQGLGLGGGAAVGNWSRNNSQNKVLLGIGGGHYAPRHMDIILKRDVWVGHLLSGYSLPMDDPGQSKAQNSPESVGGTWRQAIRVAFDATKAAFPCGEVLAHLDHKSFKSWQRNAILGFLTEQNIQVGKPGDFC >itb11g13570.t2 pep chromosome:ASM357664v1:11:10586141:10591008:-1 gene:itb11g13570 transcript:itb11g13570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSVGALLQLGSLLIRILSPRAGAVALSRRRPTSCKASRSIPISPASRGMVTLVVATTIDPASIGPASALLAMPGWHPGPSIQDMSSFVNKEVRLLKHDKSLVREDDLDKRWEEATGEEVDEVIFLSKHTAASNRPALTVHPIGRNIFLTFGVPHLREGEKPLAGGKPGWAAPPNPRLGPWLRLLKTIADSHNLTPEFEVTLEVTHHGPLTSSPTMFVEIGSTEEYWKRQDAAQAIALLVWQGLGLGGGAAVGNWSRNNSQNKVLLGIGGGHYAPRHMDIILKRDVWVGHLLSGYSLPMDDPGQSKAQNSPESVGGTWRQAIRVAFDATKAAFPCGEVLAHLDHKSFKSWQRNAILGFLTEQNIQVGKPGDFC >itb13g06300.t1 pep chromosome:ASM357664v1:13:7544935:7557288:-1 gene:itb13g06300 transcript:itb13g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDTSSQGSEYKCFRQITRDRLLYEMLRSARSKDSKSTWKVLIMDKLTVKIISYACKMADITEEGVSLVEDIYRRRQPLPTMDAIYFIQPTKENVVMFLSDMAGKSPLYKKAFVFFSSPISKELVNHIKRDTSVLSRIGALREMNLEYFAIDSQGFVTDNERALEELFGDDEGSLKGDACLRLMANRIATVFASLREFPFVHYRAIKSHDPTTMTTFRDLIPTKLAAAVWNCLTKYKSSLPHFPQTETCELLIVDRSVDQVAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKMGGLPEKKDVLLEDHDPIWLELRHAHIADASERLHEKMTNFVTKNKAAQIHHGSRDGGELSTRDLQKMVQALPQYSEQIEKLSLHVDIAGKLNKIIREFGLRELGQLEQNLVFGDAGTKDVINFLRMNPDVTRENKLRLLMIYAAVHPEKFEDDKLAKLMELARLPQDDMNAVYNMRLLEAASENKKSSIVPFSLKFDVNKKKHGARKERNDEESTWQLSRFFPMIEELVEKLSKGDLPKDEYPCMNDPSPTFHGTSQGASMRPNNIPAPHSMRSRRTATWARPRNSDDGYSSDSVLRHSSSDPKKMGQRIFVFIVGGATRSELRVCHKLTTKLKREVVLGSSSLDDPPQFITKLKLLNANELSLDDLQI >itb05g12270.t1 pep chromosome:ASM357664v1:5:18770380:18781112:-1 gene:itb05g12270 transcript:itb05g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSMKRKELQELCKNHGIPANLSNVEMANRLSSIFKGSEKEKPMIRGQSCLKVVGDGENDSDGVNRKAKKVRFSPENELIEFTKSIAKRGCRRKSRMGNSCLIVENACNVELNQRAAKRSPVRVTRSRGLQLLDEGDAEKKVTKRGSNGNGRVEKLCSENEVRVATRRALRNREMQDEDLRESEGVAEGLRKSEWQQNPGSAKGIEDVSESKQIGEEPQGAFRRSKRNAAKKDNGDVGKNENNNGGRLGRKQALLEVEASELEMDGVRCAENGVALVTGDNKEVGVVTRRSLRNRVITVEGKKEREEDTAGLRRSGRQSKQGPLGSDGVHQSQDILEEPKKEQRRSKRNVSNVKDTERNVTLAKDTVMFDENKNSGKVRLGRNQIKLEVKTCKREAAGLKIAEDSFASSNGENRACTKRLLRNKKVAVSEDIDEGLKKMGGQTSIRNEKSGEEGPQNKQIKEELSKGLRRSKRTAAKMDESQYPYQGIGKSKMVRKEQKARSQFAKSVEASMPATEIAALEKNEVGKEPAKATIGSSPQNSMMPLLEKATKETEASSFGAMSETETADESRLEVLIQVEEPTSISPRSSSFNTQFETESAALSRLEVVNQVGERTGGSPRRATVQKSGATFGNVHQNMNQNLSSHLTSNASLEVEVIKDDEMSEADGLKHKTVMLYCNSSIDEQVDSNKTVASRELSNDSSKSFDEHEGTVAEEMRTSRRNASDETGRIHNATVNAAVEKESCNKKSTPAETSSQQLRVDATRDDKIVQPVGEDKDSGSKNMALGVSIAEDLTKINEQCTHVALLSGITDTETTKEPTSTEPLMDESCLEGNESEETRHKKNQVSPNNVGDRIGEVGEVKIPLLVSGVEYSRSDLVDLHTDTEVQIVDIPVTESKGFAEMVKVSMEVNVENSLDDLEVANLAEQEPSEDFNFDIDSASIEANSTIDCYKSNGQLYLTAGVKEMQKKELTEIGYAESVGKEDEIAGENELVVIAEPLNRANEKSPETQVSLVEDRMSNGEKKSLQIGDCTQVAVLSCVEVTDILNEPMNSGSFIDDTIMTSNKFEESAKQSKQRLSPKVDNRKKMEEVNSPQLENAIKESFFELVTSHTDHVEQEKVLVDEIKDISDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLENAIKESFAELVTSHTDHVEQEKVLVDEIKDFSDEVNISLEENAEKPLGGLVGYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHLEQEKVLGDEIKDLSDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENDEKPLGGLVDYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENAEKSLGDLVGHNPVHSITYDGINVDRDTVPFEIISPTKAYGCIDQSDLAADTKEKQTEEVAELQYACSLSKGIGSTIQLVEHAVRGELSGEKKLAVNAADSVNIFATMKVPNDVLQDTLDDRPGENLEDGYQRFEKAGFVDSSEKHKCDASILELHAGNAENIVAEPASTADWKKALQLGEQSSKLRNSIEFVFVEGDEILKDGDLEDQGIQVDAPDNDGKPSVSDATERRSENSLQEARGSNAEGSVNNVEHEHFPPSESNLQKHGEFANDAGTEIHNQRTYSNGLETGRGLCECEDGYDVMEPEEQLRLLFSTPIKDGSACEENANACYMDSNKTSGQFTDASIAGEELRKLFTTSGDVSCPNGNDKCSDQSTNGLESHRCLSNCECGSDSTEQEYQLRLLFSTPTSIKSTSQNDSTHGLEMDRVLCECIEKFDGLEQDDHLRLLFSTPKSVRGTDEENVKDFYMDKMIEASDAGNFPCGTEGSNNCYDNLIATFKVEDSLKASDEVSEEPWISSEAVVLQEGFVHGDISQDSQELNVSAIEKRSGEDGPSENNAEINPCAECPDSGAEFSPSSSLKLNLCLGGNMEEKAQQSQVENICSSSKCNYIKKDDIKGCPTAMVDNDQIIANSYLLFDSPSKLHAITDKRNDLDSNSNECSSTNEDNLGGQSLVVAEMSQPSSCGLVDPQESIASSALHVNESNSSTEENKCWRAKAAEMELYTSQPCLKPTHQSTAEIDSDGDKDASLSLEKNGSREEQNANSSLLRKSDTRRILIHATPYKKPMRVDMKENAPAPKGGLIGTLTAARPENRRRPLKDLQWNDGKN >itb05g12270.t2 pep chromosome:ASM357664v1:5:18770382:18781112:-1 gene:itb05g12270 transcript:itb05g12270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSMKRKELQELCKNHGIPANLSNVEMANRLSSIFKGSEKEKPMIRGQSCLKVVGDGENDSDGVNRKAKKVRFSPENELIEFTKSIAKRGCRRKSRMGNSCLIVENACNVELNQRAAKRSPVRVTRSRGLQLLDEGDAEKKVTKRGSNGNGRVEKLCSENEVRVATRRALRNREMQDEDLRESEGVAEGLRKSEWQQNPGSAKGIEDVSESKQIGEEPQGAFRRSKRNAAKKDNGDVGKNENNNGGRLGRKQALLEVEASELEMDGVRCAENGVALVTGDNKEVGVVTRRSLRNRVITVEGKKEREEDTAGLRRSGRQSKQGPLGSDGVHQSQDILEEPKKEQRRSKRNVSNVKDTERNVTLAKDTVMFDENKNSGKVRLGRNQIKLEVKTCKREAAGLKIAEDSFASSNGENRACTKRLLRNKKVAVSEDIDEGLKKMGGQTSIRNEKSGEEGPQNKQIKEELSKGLRRSKRTAAKMDESQYPYQGIGKSKMVRKEQKARSQFAKSVEASMPATEIAALEKNEVGKEPAKATIGSSPQNSMMPLLEKATKETEASSFGAMSETETADESRLEVLIQVEEPTSISPRSSSFNTQFETESAALSRLEVVNQVGERTGGSPRRATVQKSGATFGNVHQNMNQNLSSHLTSNASLEVEVIKDDEMSEADGLKHKTVMLYCNSSIDEQVDSNKTVASRELSNDSSKSFDEHEGTVAEEMRTSRRNASDETGRIHNATVNAAVEKESCNKKSTPAETSSQQLRVDATRDDKIVQPVGEDKDSGSKNMALGVSIAEDLTKINEQCTHVALLSGITDTETTKEPTSTEPLMDESCLEGNESEETRHKKNQVSPNNVGDRIGEVGEVKIPLLVSGVEYSRSDLVDLHTDTEVQIVDIPVTESKGFAEMVKVSMEVNVENSLDDLEVANLAEQEPSEDFNFDIDSASIEANSTIDCYKSNGQLYLTAGVKEMQKKELTEIGYAESVGKEDEIAGENELVVIAEPLNRANEKSPETQVSLVEDRMSNGEKKSLQIGDCTQVAVLSCVEVTDILNEPMNSGSFIDDTIMTSNKFEESAKQSKQRLSPKVDNRKKMEEVNSPQLENAIKESFFELVTSHTDHVEQEKVLVDEIKDISDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLENAIKESFAELVTSHTDHVEQEKVLVDEIKDFSDEVNISLEENAEKPLGGLVGYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHLEQEKVLGDEIKDLSDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENDEKPLGGLVDYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENAEKSLGDLVGHNPVHSITYDGINVDRDTVPFEIISPTKAYGCIDQSDLAADTKEKQTEEVAELQYACSLSKGIGSTIQLVEHAVRGELSGEKKLAVNAADSVNIFATMKVPNDVLQDTLDDRPGENLEDGYQRFEKAGFVDSSEKHKCDASILELHAGNAENIEPASTADWKKALQLGEQSSKLRNSIEFVFVEGDEILKDGDLEDQGIQVDAPDNDGKPSVSDATERRSENSLQEARGSNAEGSVNNVEHEHFPPSESNLQKHGEFANDAGTEIHNQRTYSNGLETGRGLCECEDGYDVMEPEEQLRLLFSTPIKDGSACEENANACYMDSNKTSGQFTDASIAGEELRKLFTTSGDVSCPNGNDKCSDQSTNGLESHRCLSNCECGSDSTEQEYQLRLLFSTPTSIKSTSQNDSTHGLEMDRVLCECIEKFDGLEQDDHLRLLFSTPKSVRGTDEENVKDFYMDKMIEASDAELQKNDLPGSVDSEIEETFKFAEPLGAHGNFPCGTEGSNNCYDNLIATFKVEDSLKASDEVSEEPWISSEAVVLQEGFVHGDISQDSQELNVSAIEKRSGEDGPSENNAEINPCAECPDSGAEFSPSSSLKLNLCLGGNMEEKAQQSQVENICSSSKCNYIKKDDIKGCPTAMVDNDQIIANSYLLFDSPSKLHAITDKRNDLDSNSNECSSTNEDNLGGQSLVVAEMSQPSSCGLVDPQESIASSALHVNESNSSTEENKCWRAKAAEMELYTSQPCLKPTHQSTAEIDSDGDKDASLSLEKNGSREEQNANSSLLRKSDTRRILIHATPYKKPMRVDMKENAPAPKGGLIGTLTAARPENRRRPLKDLQWNDGKN >itb05g12270.t3 pep chromosome:ASM357664v1:5:18770380:18780984:-1 gene:itb05g12270 transcript:itb05g12270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSMKRKELQELCKNHGIPANLSNVEMANRLSSIFKGSEKEKPMIRGQSCLKVVGDGENDSDGVNRKAKKVRFSPENELIEFTKSIAKRGCRRKSRMGNSCLIVENACNVELNQRAAKRSPVRVTRSRGLQLLDEGDAEKKVTKRGSNGNGRVEKLCSENEVRVATRRALRNREMQDEDLRESEGVAEGLRKSEWQQNPGSAKGIEDVSESKQIGEEPQGAFRRSKRNAAKKDNGDVGKNENNNGGRLGRKQALLEVEASELEMDGVRCAENGVALVTGDNKEVGVVTRRSLRNRVITVEGKKEREEDTAGLRRSGRQSKQGPLGSDGVHQSQDILEEPKKEQRRSKRNVSNVKDTERNVTLAKDTVMFDENKNSGKVRLGRNQIKLEVKTCKREAAGLKIAEDSFASSNGENRACTKRLLRNKKVAVSEDIDEGLKKMGGQTSIRNEKSGEEGPQNKQIKEELSKGLRRSKRTAAKMDESQYPYQGIGKSKMVRKEQKARSQFAKSVEASMPATEIAALEKNEVGKEPAKATIGSSPQNSMMPLLEKATKETEASSFGAMSETETADESRLEVLIQVEEPTSISPRSSSFNTQFETESAALSRLEVVNQVGERTGGSPRRATVQKSGATFGNVHQNMNQNLSSHLTSNASLEVEVIKDDEMSEADGLKHKTVMLYCNSSIDEQVDSNKTVASRELSNDSSKSFDEHEGTVAEEMRTSRRNASDETGRIHNATVNAAVEKESCNKKSTPAETSSQQLRVDATRDDKIVQPVGEDKDSGSKNMALGVSIAEDLTKINEQCTHVALLSGITDTETTKEPTSTEPLMDESCLEGNESEETRHKKNQVSPNNVGDRIGEVGEVKIPLLVSGVEYSRSDLVDLHTDTEVQIVDIPVTESKGFAEMVKVSMEVNVENSLDDLEVANLAEQEPSEDFNFDIDSASIEANSTIDCYKSNGQLYLTAGVKEMQKKELTEIGYAESVGKEDEIAGENELVVIAEPLNRANEKSPETQVSLVEDRMSNGEKKSLQIGDCTQVAVLSCVEVTDILNEPMNSGSFIDDTIMTSNKFEESAKQSKQRLSPKVDNRKKMEEVNSPQLENAIKESFFELVTSHTDHVEQEKVLVDEIKDISDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLENAIKESFAELVTSHTDHVEQEKVLVDEIKDFSDEVNISLEENAEKPLGGLVGYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHLEQEKVLGDEIKDLSDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENDEKPLGGLVDYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENAEKSLGDLVGHNPVHSITYDGINVDRDTVPFEIISPTKAYGCIDQSDLAADTKEKQTEEVAELQYACSLSKGIGSTIQLVEHAVRGELSGEKKLAVNAADSVNIFATMKVPNDVLQDTLDDRPGENLEDGYQRFEKAGFVDSSEKHKCDASILELHAGNAENIVAEPASTADWKKALQLGEQSSKLRNSIEFVFVEGDEILKDGDLEDQGIQVDAPDNDGKPSVSDATERRSENSLQEARGSNAEGSVNNVEHEHFPPSESNLQKHGEFANDAGTEIHNQRTYSNGLETGRGLCECEDGYDVMEPEEQLRLLFSTPIKDGSACEENANACYMDSNKTSGQFTDASIAGEELRKLFTTSGDVSCPNGNDKCSDQSTNGLESHRCLSNCECGSDSTEQEYQLRLLFSTPTSIKSTSQNDSTHGLEMDRVLCECIEKFDGLEQDDHLRLLFSTPKSVRGTDEENVKDFYMDKMIEASDAELQKNDLPGSVDSEIEETFKFAEPLGAHGNFPCGTEGSNNCYDNLIATFKVEDSLKASDEVSEEPWISSEAVVLQEGFVHGDISQDSQELNVSAIEKRSGEDGPSENNAEINPCAECPDSGAEFSPSSSLKLNLCLGGNMEEKAQQSQVENICSSSKCNYIKKDDIKGCPTAMVDNDQIIANSYLLFDSPSKLHAITDKRNDLDSNSNECSSTNEDNLGGQSLVVAEMSQPSSCGLVDPQESIASSALHVNESNSSTEENKCWRAKAAEMELYTSQPCLKPTHQSTAEIDSDGDKDASLSLEKNGSREEQNANSSLLRKSDTRRILIHATPYKKPMRVDMKENAPAPKGGLIGTLTAARPENRRRPLKDLQWNDGKN >itb05g12270.t4 pep chromosome:ASM357664v1:5:18770380:18781112:-1 gene:itb05g12270 transcript:itb05g12270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSMKRKELQELCKNHGIPANLSNVEMANRLSSIFKGSEKEKPMIRGQSCLKVVGDGENDSDGVNRKAKKVRFSPENELIEFTKSIAKRGCRRKSRMGNSCLIVENACNVELNQRAAKRSPVRVTRSRGLQLLDEGDAEKKVTKRGSNGNGRVEKLCSENEVRVATRRALRNREMQDEDLRESEGVAEGLRKSEWQQNPGSAKGIEDVSESKQIGEEPQGAFRRSKRNAAKKDNGDVGKNENNNGGRLGRKQALLEVEASELEMDGVRCAENGVALVTGDNKEVGVVTRRSLRNRVITVEGKKEREEDTAGLRRSGRQSKQGPLGSDGVHQSQDILEEPKKEQRRSKRNVSNVKDTERNVTLAKDTVMFDENKNSGKVRLGRNQIKLEVKTCKREAAGLKIAEDSFASSNGENRACTKRLLRNKKVAVSEDIDEGLKKMGGQTSIRNEKSGEEGPQNKQIKEELSKGLRRSKRTAAKMDESQYPYQGIGKSKMVRKEQKARSQFAKSVEASMPATEIAALEKNEVGKEPAKATIGSSPQNSMMPLLEKATKETEASSFGAMSETETADESRLEVLIQVEEPTSISPRSSSFNTQFETESAALSRLEVVNQVGERTGGSPRRATVQKSGATFGNVHQNMNQNLSSHLTSNASLEVEVIKDDEMSEADGLKHKTVMLYCNSSIDEQVDSNKTVASRELSNDSSKSFDEHEGTVAEEMRTSRRNASDETGRIHNATVNAAVEKESCNKKSTPAETSSQQLRVDATRDDKIVQPVGEDKDSGSKNMALGVSIAEDLTKINEQCTHVALLSGITDTETTKEPTSTEPLMDESCLEGNESEETRHKKNQVSPNNVGDRIGEVGEVKIPLLVSGVEYSRSDLVDLHTDTEVQIVDIPVTESKGFAEMVKVSMEVNVENSLDDLEVANLAEQEPSEDFNFDIDSASIEANSTIDCYKSNGQLYLTAGVKEMQKKELTEIGYAESVGKEDEIAGENELVVIAEPLNRANEKSPETQVSLVEDRMSNGEKKSLQIGDCTQVAVLSCVEVTDILNEPMNSGSFIDDTIMTSNKFEESAKQSKQRLSPKVDNRKKMEEVNSPQLENAIKESFFELVTSHTDHVEQEKVLVDEIKDISDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLENAIKESFAELVTSHTDHVEQEKVLVDEIKDFSDEVNISLEENAEKPLGGLVGYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHLEQEKVLGDEIKDLSDKVNISLEENAEKPLGGLVDYNVVHCETYEGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENDEKPLGGLVDYNVVHCETYDGVNVYNVVHCETYDGVNVDREVHCETYDGVNVDREEEMEEVNSPQLDNAVKESFAELVTSHTDHVEQEAELVTSHTDHVEQEKVLVTSHTDHVEQEKVLVDEIKDLSDKVNISLEENAEKSLGDLVGHNPVHSITYDGINVDRDTVPFEIISPTKAYGCIDQSDLAADTKEKQTEEVAELQYACSLSKGIGSTIQLVEHAVRGELSGEKKLAVNAADSVNIFATMKVPNDVLQDTLDDRPGENLEDGYQRFEKAGFVDSSEKHKCDASILELHAGNAENIEPASTADWKKALQLGEQSSKLRNSIEFVFVEGDEILKDGDLEDQGIQVDAPDNDGKPSVSDATERRSENSLQEARGSNAEGSVNNVEHEHFPPSESNLQKHGEFANDAGTEIHNQRTYSNGLETGRGLCECEDGYDVMEPEEQLRLLFSTPIKDGSACEENANACYMDSNKTSGQFTDASIAGEELRKLFTTSGDVSCPNGNDKCSDQSTNGLESHRCLSNCECGSDSTEQDDHLRLLFSTPKSVRGTDEENVKDFYMDKMIEASDAELQKNDLPGSVDSEIEETFKFAEPLGAHGNFPCGTEGSNNCYDNLIATFKVEDSLKASDEVSEEPWISSEAVVLQEGFVHGDISQDSQELNVSAIEKRSGEDGPSENNAEINPCAECPDSGAEFSPSSSLKLNLCLGGNMEEKAQQSQVENICSSSKCNYIKKDDIKGCPTAMVDNDQIIANSYLLFDSPSKLHAITDKRNDLDSNSNECSSTNEDNLGGQSLVVAEMSQPSSCGLVDPQESIASSALHVNESNSSTEENKCWRAKAAEMELYTSQPCLKPTHQSTAEIDSDGDKDASLSLEKNGSREEQNANSSLLRKSDTRRILIHATPYKKPMRVDMKENAPAPKGGLIGTLTAARPENRRRPLKDLQWNDGKN >itb10g24880.t2 pep chromosome:ASM357664v1:10:28450125:28452458:-1 gene:itb10g24880 transcript:itb10g24880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDCGSGESLDASSSTDVNCECGDFECNICFDVAHDPIVTLCGHLYCWPCLYQWLQVHSHSHECPVCKAVIKEERLVPIYGRGKACSDPRTRLIPGISIPNRPVGQRPQTAPPVDTNYFRQEELDPIGNFMQVPSARFGNLTLSALFGAIPALFNLHVNGFHDATVYGTTTGVPYLFSSSFHGGYAHGFYHAAPVDGTKFYLKIFFLIAGFLLIVSLIL >itb10g24880.t1 pep chromosome:ASM357664v1:10:28450089:28452458:-1 gene:itb10g24880 transcript:itb10g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDCGSGESLDASSSTDVNCECGDFECNICFDVAHDPIVTLCGHLYCWPCLYQWLQVHSHSHECPVCKAVIKEERLVPIYGRGKACSDPRTRLIPGISIPNRPVGQRPQTAPPVDTNYFRQEELDPIGNFMQVPSARFGNLTLSALFGAIPALFNLHVNGFHDATVYGTTTGVPYLFSSSFHGGYAHGFYHAAPVDGTKFYLKIFFLIAGFLLIVSLIL >itb11g06690.t1 pep chromosome:ASM357664v1:11:4024963:4027863:1 gene:itb11g06690 transcript:itb11g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRYTYKYRKKMAGKQQESGNAPRVFEAAEDTYGGVTVELSEWSVDSDDFVTVLRASLSQWRHQGKKGVWMKLSIQLACFVDAAVKEGFWFHHAEATYLMLVYWIPNTPHTIPSNASHRVGIGAFVINQTGQLLVVQENNGKFKGKGVWKLPTGVAEQGEDICDAAVREVREETGIDTEFVEILAFRQSHNSFFGKSDLFFVCMLRQLSLTINKQDSEIEKAQWMSIDEYAAQPFVQKREMFKNIAQICLAKRDNEYTGFSAIPTTTGFSAKNAYLYCNPEWMSHTH >itb01g02950.t1 pep chromosome:ASM357664v1:1:1927740:1928888:-1 gene:itb01g02950 transcript:itb01g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLRSRTATSTSAAPTVDEREVSPPSPAATTSPSLSQRALESTAHLANLLPTGTLLAFQLLTPIFTNNGSCDAATRPMTLVLLSILALSCFLACFTDSVRARDGQVYYGFATRRGLWLFDSQAAAASGISGGADLVKFRAGSIDYVHAVLSVLVFVAIALKDKNVVSCLYPRPNHETQEVLDVVPIGIGLLCSLMFLVFPTRRHGIGFPVTSGKSDL >itb01g00360.t1 pep chromosome:ASM357664v1:1:178072:180499:1 gene:itb01g00360 transcript:itb01g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIEEILCELNRDEDNEQGLPPGFRFHPTDEELITFYLASKVFHGTFSGIHITEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASSGALLGMKKTLVFYRGRAPRGEKTKWVMHEYRLDGHFSCRHTCKEEWVICRIYHKIGEKRNAIMVQAGGGQSYPTLKTLTSSANVALPPFPEMQSQNPMQNHHHHHKSFVFHHQENDLKSINHHHPLLPQTNLLGLPSINGVLSSSFSAITSNKATPTTSKANTDKYNMLDCNNTILLPSSSSSSILFKSQTGSSKSTATATTIAAANCKISPCHLSETEKYQNNPLFYGMGMGASPVTGFGFETMMPSATATFDGMSTSSEGFTRTCSQMVDPPIQLTADSWPMDL >itb12g00280.t1 pep chromosome:ASM357664v1:12:287757:289750:-1 gene:itb12g00280 transcript:itb12g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWTRLASIMGVWAFCQSFLQILFPPEIRCASVKLLHRLCNCFSSYCYYDITEIDGVNTNELYNAVQLYLSTSASLSGNRLSLTRGINSTAITFGLSNNDSLCDTFAGVKLVWEHIVTPRHSQSFTWRPLPEEKRGFLLCARKRDKATVLGPYLEHIMEKANEIRRLSRDRLLFTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPVKKEEIKADLKDFANGEAFYQRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDMELTEVHTNSELRKLLMKTSSKSIIVIEDIDCSVNLTNREKMNGGGCSTREYSSAGAQGGEDGGNRITLSGLLNFTDGLWSCCGSERIFVFTTNHVEKLDPALLRSGRMDMHIHMSYCNFPALKILLKNYLGFEAAEQEKDEEEALRQLAKVIDAAEMTPADVSEVLIRNRRNKGRALWELVEVSRMRAERNTGKKGGERNSGAGDNVFEEEDEEQEKRALESPKRNGKFPEEEEENVDEKLHSN >itb13g03790.t1 pep chromosome:ASM357664v1:13:3791384:3792769:-1 gene:itb13g03790 transcript:itb13g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGNNTIAPFVMKTYQMVNDPRIDSLITWGRANNSFMVVEPLEFSEKILPAFFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEWFLRGQTHLLKNIVRRKNGRNLLHCKHGGGDEGDEEEEEEMFSEIMRMKKEQKDLEEELKRMNRRLEATERRPEQMMEFLCKVVEEPEILPRMMLVGRERVARRISLGAAAAGEKKMKLAAAASCPVKNEEEKYGGSVALGINSSVHLYDAEFDVDTLYQSSPENPLTAGWLSRSNCSTVSTTTSSSSQSSSESGGFTVATPLDVFSGYDCMGNGTSAATAPPYPFSLLEGSI >itb12g09900.t1 pep chromosome:ASM357664v1:12:7896627:7901076:1 gene:itb12g09900 transcript:itb12g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHVEEQESMLLRNHNPKCLWGIFHHLNHYRWNTVKKRLPYKKGTTASKPAAGDVGGDPDNKATVAAEMQEKADPKTENTPAVEAKHADSGSTSKSMKSRIKALLFTDEGSKRRGRGRHRRSSSCPTTEQTPPIAAPAPDPSLIALHTTSLASLLDPPLPTIYEEGQIAPPKHTCAVCAAMLKINSQTDIHAPIEPPKPQSLQESKLFLKALDLLNVRKEVLLKILQDSRQASRLPPRSLDKSMTFPAPGALRKGRDPKCVAHEKHQENNLFSIGGKKKMCTDPELLDNVAKHSSPASEQKSSRYSLWNFKNLREKLRFAVKESKKEKKRIVMDAVIDKIPRGRTKKDPGSSPPFNNNNNMQQSMKRARSFHESPERYNQLLETCFQRETQPRISSEQPEPEPEPEFRASSGTPMPEATTTSPRTSKTLERFMSMPDLRGYPSVRSSEDNNSQYDTSSVRTATGNNLNVAESSGDGERNKVVMYTPTGSEYHSLSEPNSDDQIPGLQDGFHDVGDTFDGNVIEPTVCSITLDSVPEMMNLVDTDEKVSAPEEGDSVGGQASEMKAEACSVAVEVEKEESPLTGLNILMDLHVDNKNKEQFDYVKDVLELSGFSGIELLENWQAAQLPLNPSLFEEVEGCLLAQPDCTGGTCDHLLLFDLINHVLLEMYERSFSYWPHPLTCYSRIRRMPVGYRVLEEVWASINWLLSWFHEHQLSVIDDAVSHDLSRGNNWMNLQFDAECAGLELEELILDDLLDELVYDDLLF >itb01g08170.t1 pep chromosome:ASM357664v1:1:6553993:6557542:-1 gene:itb01g08170 transcript:itb01g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTINGLATPQALRSLSKPNPKAGSPSPFKPAKLQLFLRPVSKAGREQLAAAKELDVIPVQSGDSTDQQDGVVDATEREAEGGGDIDSIVNQVVVGGFGNEGRLSFEGPTGFGSSSSAASSSGGAGEELGMEKVVDRAINATIVLASGTFAITKLLTIDHNYWHGWTLFEILRYAPQHNWSAYEEALKENPVLAKMVISGVVYSLGDWIAQCYEGKPLFEFDRARMFRSGLTGFTLHGSLSHYYYHFCEALFPFDDWWVVPAKVAFDQTVWSAIWNSIYFTVLGFLRLESPTSIFSELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEESVEATSNPPSIGPSQK >itb01g08170.t2 pep chromosome:ASM357664v1:1:6553993:6557542:-1 gene:itb01g08170 transcript:itb01g08170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTINGLATPQALRSLSKPNPKAGSPSPFKPAKLQLFLRPVSKAGREQLAAAKELDVIPVQSGDSTDQQDGVVDATEREAEGGGDIDSIVNQVVVGGFGNEGRLSFEGPTGFGSSSSAASSSGGAGEELGMEKVVDRAINATIVLASGTFAITKLLTIDHNYWHGWTLFEILRYAPQHNWSAYEEALKENPVLAKMVISGVVYSLGDWIAQCYEGKPLFEFDRARMFRSGLTGFTLHGSLSHYYYHFCEALFPFDDWWVVPAKVAFDQTVWSAIWNSIYFTVLGFLRLESPTSIFSELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEESVEATSNPPSIGPSQ >itb01g02280.t1 pep chromosome:ASM357664v1:1:1468453:1471004:1 gene:itb01g02280 transcript:itb01g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSENQGSFSRIPFPSFRRGIFSIRSDQIHSVESEQGGSSSQESGGSELRSFQRLVSSRFSDLSVANGEEFLSVSWVRKLLDAFGDCQDEFRAVLCSRKEFISKQSQDKILSDYFDMNIKALDICNATRDGIQKIHLWQRHLEIVVSALDPPQQRMIGESHLRRARKALTDLSLAMLDEKDTGSVFSIRNRSFGHHNRTKDHPQRSPRHSRSLSWSVSPSWSASKQLQLIANGLVPPNANEVAATNGLATLVFTMSHVLLFVLWAIVAAIPCQDHGLQTNITIPNLFPWSRSLQTLHSRIMEESKKRGRNSNNGLLKEIHQIEKGVHHLSELLDSPQLPLSEEHKEDVRESVGTLSLVSKTYQTELGPLERQLREVFRKIRTCRAEGLEFLANT >itb10g15620.t1 pep chromosome:ASM357664v1:10:21842638:21843771:-1 gene:itb10g15620 transcript:itb10g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPKFLFIISVIIIALSFASLALGATETNNPNTSPPPPQCGSDTGRGCRNKAAALKLKIIAIAAILVSSMVGVCTPMFSKIIPAVKPDTDLFVLIKAFASGVILATGYMHVMPDSFNCLRSACLPEKPWKKFPFTTFVAMLSAVLTLMVDSFAMSFYKKHKLDCPARETVSQQQDSHHAAPVDGSGPTQLLRYRVVAQVLEMGIIVHSVVIGLSLGASENPCTIRPLVAALCFHQLFEGMGLGGCILQQREQLWHSSSQRRRRLELCWGSDCRMFTATTARPH >itb10g15620.t2 pep chromosome:ASM357664v1:10:21842456:21843771:-1 gene:itb10g15620 transcript:itb10g15620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPKFLFIISVIIIALSFASLALGATETNNPNTSPPPPQCGSDTGRGCRNKAAALKLKIIAIAAILVSSMVGVCTPMFSKIIPAVKPDTDLFVLIKAFASGVILATGYMHVMPDSFNCLRSACLPEKPWKKFPFTTFVAMLSAVLTLMVDSFAMSFYKKHKLDCPARETVSQQQDSHHAAPVDGSGPTQLLRYRVVAQVLEMGIIVHSVVIGLSLGASENPCTIRPLVAALCFHQLFEGMGLGGCILQAEYGIATRAIMAFFFSATTPFGIVLGIGLSNVYSDNSPTALIVVGLLNACSAGLLNYMALVDLLASDFMGNKLQKNMKLQTWAYVAVLLGAGGMSVMALWA >itb09g02930.t1 pep chromosome:ASM357664v1:9:1663439:1670159:-1 gene:itb09g02930 transcript:itb09g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYADYNNRVVYAHRVGLLSDNLYESTKVSCDGHYIDEPQNAACQYDLERVSKCTEKISMAQILEPVCSNENLLSLDVSSIGGNVTSLLLPQQWCRDDNYVYSSIWANNKIVQKALHVHEETITEWVRCNKSLKYEVNAERTEAYIYNVKSTVDYHKSFTNKSCRALIYSGDHDMVISHLSTEEWIESLNFVVQDEWRPWFFEDQVAGYTMKYLEEYELTYATVKWLLDHPKFLSNPLYIAGDSYTGIIVPQIVQRIYDGLKSGIEPRLNIKGYVEGNPMTDKYADFNNRVVYAHRMGLLSDNLYKSTKVSCDGRYIDEHPQNAACQYDLERVSKDDNYLYSIWANNKIVQKALHVREETITEWVRCNESLMYYDFGMERTEAYAFNVQSTLHYHRSFTNNSCRVLIYSGDHDMVVPHLSTEEWIESLKVGVEDEWNLGLLRIK >itb11g01780.t1 pep chromosome:ASM357664v1:11:888402:890704:1 gene:itb11g01780 transcript:itb11g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSELKDRQDINFLELLADKSAKVAALLRKNPEDDLSHLLGEIPTDPQTLELVARFCHGFKITLTAQNVVQVYCLTHHLGMTEDHRPNNLLRMALVYFQHNVLSSWNKSIKALKSAESVFHQAVELRLVDACAEAIISHALDNPLFLGEPAAKKATHEDDSEGEENAYRPNVSRRLFDWKSEDLTILSIRLYEPIMREMVRRNVHPEYIAASLCQYAKNWVHNSIKGGEDDSTAYKRNSMREIIEAVERVLPREKGLISSTSLFEMLQSAISLDASAECRDGLELRIGKQLDQATVKDLLIPYQGYAKDEKYDTECVKRIVRNYYHNYISSEKSGLIKVAELIEEFLAEVASDIDLKVDTFTSLAELSASVSDETKRHSDGIYRAIEIYLDKHKHLTQWEREQVCNVLDCNKLSPEACQHAAQSAWLPLRLVVQILFAGQLHLRDTITKEIQTSDHGLLKFDKEEEEEPVRVSNSEDEVRAEMEKMGNKVLELERECNIMRMEIQKGCCSSSKLKNEKLSMWKEMKRKFGCITSTHDCSNCHVKKKKVHPR >itb13g16170.t1 pep chromosome:ASM357664v1:13:23066009:23074720:-1 gene:itb13g16170 transcript:itb13g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVGNFQVAIKALIVVHRTLREGDPTFKEELLNFAQRGHIFPLSNFKDDSGPGAWDCSTWVRTYALFLEERLECFRTLRYDIEAERMSKATSNAHGEGKLHAKTRYLSGEGLLDHLPALQQLLYRLIGCRPEGAACFNFLIQYALALVLKESFKIYCAINDGIINLVDLFFEMSKHDAVKALNIYKCAGQQASNLADFYQFCKGLDLARTFQFPSLRQPPPSFLATMEEYIREAPQFGSVSSKRLEYYQEVEEVEEEEEKLEEAGEEKYEKDVEVETMDEQEKAQEEQSPNPEEDSPLVLTAENFDLLGNEVDLDPKVAELEESNALALAIIQPGIENHSTINLFDEIEKSSGWELALVNAPSNHNVQHVPHTNTAGGFDKFLLDSLYEDDNARRQLELRNAGYNAGYGYETTIQNPFIQNDPFAMSNNISPPTNVQMALMAQQQQHQQQQQQQYQQQHMMQQENHQQQNMLTMVPYDQYPQQQMSYPASTNPFGDPFGYPPKNMPPGNNPLL >itb02g23840.t1 pep chromosome:ASM357664v1:2:24097527:24099702:-1 gene:itb02g23840 transcript:itb02g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFLFAPLPVSLTLSSRCPHLASVTLHDILYLIPMLVVRLVAITYQGGFANPSTAGGSSFEGPPPIPTVTQ >itb10g07000.t2 pep chromosome:ASM357664v1:10:8032627:8036151:-1 gene:itb10g07000 transcript:itb10g07000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNPSPLFSSGQELASLAVSSDVLRRSWNAISELRNQIHGRDDPTSPLTVRVNHQVFQDASLGTIIAFVTTADCTGNHLRELGTELVSYQEFSATLSSEFDFIDDKVNSSFHFHKAAVDLFVSVKDDVSSITHQFESSRPVIITGHSLGGSVASLFTLWLLDSMSARMYRNSTLCITFGSPLLGDNGFQEAISEYPRWNSSFLHVVYDKDPILFFVMSDQNDDTLRSNSELCSYRPFGTTLICSESGYSCFEDTESVLELMKTIVLLNSAANQNPGHCWPEFVDYGGILERLCWKMVCKGISKLPDSLSNPLQAGIVLQLDEIGIEKMQDGKNTSCLVTKIVERAVSIPQHKRNGFLPNKILMEMKVHITHLEWYMKGIVDQVGYYDRYKTIRSRSRDEAKSKLEIIQHHKILNLNWERIVREAENMLQKEGANFQGRWLYAGTNYRRIVEPLDIAEYYSKGKRDYLTQGRSEHYRVLEQWWKDDLPVQIADTKRSRASTLTEDSCFWAHVEEAIILCKLLKNGQNSRDVEDSFRKELIKFEEYVMDLVNNYSVSYQIFWPGSTFMQWWREYCKIVGDTYNSPLSDFMKHHYHKYA >itb10g07000.t1 pep chromosome:ASM357664v1:10:8032627:8036151:-1 gene:itb10g07000 transcript:itb10g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNPSPLFSSGQELASLAVSSDVLRRSWNAISELRNQIHGRDDPTSPLTVRVNHQVFQDASLGTIIAFVTTADCTGNHLRELAAVDLFVSVKDDVSSITHQFESSRPVIITGHSLGGSVASLFTLWLLDSMSARMYRNSTLCITFGSPLLGDNGFQEAISEYPRWNSSFLHVVYDKDPILFFVMSDQNDDTLRSNSELCSYRPFGTTLICSESGYSCFEDTESVLELMKTIVLLNSAANQNPGHCWPEFVDYGGILERLCWKMVCKGISKLPDSLSNPLQAGIVLQLDEIGIEKMQDGKNTSCLVTKIVERAVSIPQHKRNGFLPNKILMEMKVHITHLEWYMKGIVDQVGYYDRYKTIRSRSRDEAKSKLEIIQHHKILNLNWERIVREAENMLQKEGANFQGRWLYAGTNYRRIVEPLDIAEYYSKGKRDYLTQGRSEHYRVLEQWWKDDLPVQIADTKRSRASTLTEDSCFWAHVEEAIILCKLLKNGQNSRDVEDSFRKELIKFEEYVMDLVNNYSVSYQIFWPGSTFMQWWREYCKIVGDTYNSPLSDFMKHHYHKYA >itb10g07000.t3 pep chromosome:ASM357664v1:10:8032577:8036138:-1 gene:itb10g07000 transcript:itb10g07000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMYRNSTLCITFGSPLLGDNGFQEAISEYPRWNSSFLHVVYDKDPILFFVMSDQNDDTLRSNSELCSYRPFGTTLICSESGYSCFEDTESVLELMKTIVLLNSAANQNPGHCWPEFVDYGGILERLCWKMVCKGISKLPDSLSNPLQAGIVLQLDEIGIEKMQDGKNTSCLVTKIVERAVSIPQHKRNGFLPNKILMEMKVHITHLEWYMKGIVDQVGYYDRYKTIRSRSRDEAKSKLEIIQHHKILNLNWERIVREAENMLQKEGANFQGRWLYAGTNYRRIVEPLDIAEYYSKGKRDYLTQGRSEHYRVLEQWWKDDLPVQIADTKRSRASTLTEDSCFWAHVEEAIILCKLLKNGQNSRDVEDSFRKELIKFEEYVMDLVNNYSVSYQIFWPGSTFMQWWREYCKIVGDTYNSPLSDFMKHHYHKYA >itb04g15310.t1 pep chromosome:ASM357664v1:4:16555157:16556771:-1 gene:itb04g15310 transcript:itb04g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRLLVSKDLQLCLIPALAIGFLVFILGVDGQISTACSSSMLQSFTPCLGFLTNGGASSSPTSTCCQSLKYLMSNGTDCLCLIVTGNVPFRVPINRTLAISLPKACRNSGVSGVPVQCKASAAPLPAPGPAALGPTSSPLPTSPSPAGGGSGFPLPFTPPPPRVEDNVPTGGLTPSTPTDNSNSGFPTSSPGFKPDLTPDAAPPSINLSFFLLLAALGASLLKFY >itb08g00890.t1 pep chromosome:ASM357664v1:8:636889:639901:1 gene:itb08g00890 transcript:itb08g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MAEFGSSLVLLLLLVVIACQLPSLEAGRSRKPSWDPLIRRPVDRKDDESPKDESQGTRGGVKDENIVVFMYDDIAYSAMNPRKGVIINHPNGSDVYAGVPKDYTGDQVTAANLYAVLLGDKEGVRGGSGKVVDSKADDRIFIYYTDHGGPGVLGMPNLPHLYADDFIDVLKKKHSAGTYKEMVIYVEACESGSFFEGMMPEDLNIYVTTASNAEESSWGTYCPGSEPAPPPEYITCLGDLYSVAWMEDSESHNLMEETIERQYENVKLRTSNYNDFEGGSHVMEYGSRDIKSEKVYLYQGFDPATINLPGNQIGLTPKGVVNQRDADLLFMWERYKRMGDNAASKAELLKEITDTMLHRQHLDASIDSIGVHLFGPENGSNILKSVRKPGLALVDDWQCLKSMVRVFETHCGSLGQYGMKHMRAFANICNNNISQAAMEEACKNTCSQMKIVQYTHPNKVYSA >itb07g01260.t1 pep chromosome:ASM357664v1:7:777887:783282:1 gene:itb07g01260 transcript:itb07g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVNVLSTSTHKLPPSPSSHNSSFRMCYHTTTTTTRRSGNYGPSTWDFTYIQSLNTNSYTGERFVTRRDVLKEKVKDMLNNGEMKEAEKIEMIDDLQKLDCAYHFEDEIMAALMDIYTKKSSNNYKSLEEKDLNATALEFRVLRQNGFNVSPEVFDGFMDGKRLGFDANLCDDTKGLLNLYEASFLSKEGDTTLELARDFSAKHLKQVNRDQNVNDPTLLPLVQRALDLPLHWRVPRMDSRFFIDSYDLGKTTSKNSLLLEFAKLDFNISQAVYLEDLRFVSRWWNDSRIIEKMGFVRDRVVENFIWALAKTSSPKYSHCRRVVAKLDAILCMVDDIYDIYGTLDELQLFTDAIERWDDITQVGHFPEYMQLCYFALHNFVNEVAYDIFKEHNVLILPYLRKEWLGLCKGHLQEAKWYHSGHTPTYEEYIENAWRSIGVNLVLLHAFIHVNNPLKESGIQCLTKYHEIVRLSSLLLRLPNDKGTSIYELKRGDVPKALQCYANEAKVSLDDARDFVDSHINETWNKINKCLLEDDTFTKTFVQVAMNVGRVSLCMYQYGDGHGIKNLETQNRIQAALFEPIPLII >itb06g08320.t1 pep chromosome:ASM357664v1:6:12306926:12307501:1 gene:itb06g08320 transcript:itb06g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIETQRQDTIRAFARASKPGGPHGKFGQKKLKWQPMTNANFILNVDDSYRERDKVAGGGAVLRDKHGNWIAGCARKFRAETPLEAEWKALVMGMQWVKSKGYENCEIHIDSKEIADFMHAALTIGHHQDTIMEHLKKMNIEGGCKIVHVYREQNQVADELAKLALCGETDWIEFYKPLPDAEDGFAMTL >itb10g02690.t1 pep chromosome:ASM357664v1:10:2277808:2278857:-1 gene:itb10g02690 transcript:itb10g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEAESHNTQGIKLFGATIPVQTKQPNKEIKSKSKSAAADGGDQAAGEDEKRPEKVIPCPRCKSTETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKTKPPCRGLTALSEGCLFDAAGVNRLEFDGEVVEGWQVAENGDFRHLFPAKRRRNTSGSQSC >itb13g23130.t2 pep chromosome:ASM357664v1:13:29233117:29236208:1 gene:itb13g23130 transcript:itb13g23130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKPENGVSMDSAAPACVVGVPMDSTAPSPERRPFSIKLWPPSENTRKILVDRMTNNLSTPTIFTRKYGSLSKDEAVEKAKQIEDTAFSVANHHYDKEPDGDGSSAVQLYAKECSKLIIEALKRVPKTEEKETVELKAVPVSRETVFDISKGKRAFIEAEEAEELLKPLKEPGNSYTKICFSNRSFGIGAAHIAGTILATLKEQLKEVDLSDFVAGRPEKEALDVMTIFSEALDGCNLKSLNLSDNALGEKGVRAFAKLLQSQTELEELFLMNDGISEEAARAICELVPSTERLKVLQFHNNMTGDEGAVAISEIVKRSPLLEDFRCSSTRVGSDGGKALSQALETCTHLKKLDLRDNMFGVDGGEALSKALTKHENLSEIYLCYLNFEDEGAIAIANALKDSAPSLRIFEMAGNDITAKAAPALAACISEKQLLTKLNLSENEIKDDGVIQIVKALEEGHDQLKVVDMSSNSLRRAGARVLAQALVHKPEFELLNVNGNFISDEGIDELKDIFKKCPEKLASLEDNDPEGEDDEKESGDEGEGDGDENELGSKLKTLDVNEDE >itb13g23130.t1 pep chromosome:ASM357664v1:13:29233117:29236599:1 gene:itb13g23130 transcript:itb13g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKPENGVSMDSAAPACVVGVPMDSTAPSPERRPFSIKLWPPSENTRKILVDRMTNNLSTPTIFTRKYGSLSKDEAVEKAKQIEDTAFSVANHHYDKEPDGDGSSAVQLYAKECSKLIIEALKRVPKTEEKETVELKAVPVSRETVFDISKGKRAFIEAEEAEELLKPLKEPGNSYTKICFSNRSFGIGAAHIAGTILATLKEQLKEVDLSDFVAGRPEKEALDVMTIFSEALDGCNLKSLNLSDNALGEKGVRAFAKLLQSQTELEELFLMNDGISEEAARAICELVPSTERLKVLQFHNNMTGDEGAVAISEIVKRSPLLEDFRCSSTRVGSDGGKALSQALETCTHLKKLDLRDNMFGVDGGEALSKALTKHENLSEIYLCYLNFEDEGAIAIANALKDSAPSLRIFEMAGNDITAKAAPALAACISEKQLLTKLNLSENEIKDDGVIQIVKALEEGHDQLKVVDMSSNSLRRAGARVLAQALVHKPEFELLNVNGNFISDEGIDELKDIFKKCPEKLASLEDNDPEGEDDEKESGDEGEGDGDENELGSKLKTLDVNEDE >itb12g06730.t1 pep chromosome:ASM357664v1:12:4986709:4989855:1 gene:itb12g06730 transcript:itb12g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRMALCWPTMAVVLTCAVVAMLPGVSSTRFIVGANMGWTSNVNYTVWARDKHFYLGDWLFFVYDRNQMNVLEVNKTDYESCNADHPLHNWTTGAGRDVVPLNVTKTYYIISGKGFCYGGMKVAVTVEKLPPPPKAAPVKSGSPGLLSSFSFRGQIVVPALFAIAAAWESFLLLV >itb04g07540.t1 pep chromosome:ASM357664v1:4:5138851:5142822:-1 gene:itb04g07540 transcript:itb04g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLQSSLSSSHFSSTLQPTLSTTVMDIDTPGFSTIDSSGLNKLVRMKDQKWVRENGGIEGIISALETDVENGIHGDRADLDARRKAFGSNFCPRCKPRKLFSSLVLEALKEPLIIALLITTILSLAFGISKNGLKEGCKEGLAKLSAVLAVVLVSTGGELWPLRECIDLSDFSSYIPHVDVLRSGRWESMSICRVVVGDIVFLKPGDQVPADGLFIEGCSLQVEKTKIDGQIELVEVDGHEDPFLFFGSTVVDGYARMLVTAVGMNKKNHAISIKGTIVDETKHLTSVVGKIGQAVALITFLVLLARFFAGGIHDERGNRVSFGGETKIHDALAAIVGLIATPAMIALTATPEGLQLALKITLAYSMRRLKRAGVLMREPSLCHSVASVTTICMNMTGTATYDSKDVPKFWLGLNSIQEVPHNLIATNVLELLHQGIGLNTTQPPSRSLCGSPQNSTEKAIFEWAVKHLGMDVETLKGNCTILEIEPFNSKNRQSGVLISKNADNTIHVHRKGAAEVIIPTCSHYYDTNGIVRVINKNTKVLLDQILEGMAENGMRCIAFAHRKTSIRDYFTFSRQQLILLGIAGLTSPRRSGLRSTLKDCRQAGVNFKLITGDNILTAKAIAVQCGILEPNHKPEEVVEGEEFRNFTAEERLEKLNNIRLIARAIPSDKVLMVECLKQMGQVVAFLGRGTGDVQALREAEAGLCFGTQGAEIAKASSGVIMLNKEFPLLVDILKWSRGIYESIQVYTQFLLTATFVSMVLDFFMAVSASEPPNFDAMVSVSAGKVPSPVFQLLWIKLLLSIVAAAALVVKKPSEELMHQPPRKQNEPLMTTLMIRTILTQALYQIAILLTIHFKGQSLFNINGKEKDTLIQNTYILCQVFTIINARLFEKNTLEEIMKKKLFSGVIGLTIGIQVLMVEVLKRFAGTAQLSWGQWGACISIAAASSPIGWLVKSISASEKPYFSNLTWLQSKAKTD >itb06g20520.t1 pep chromosome:ASM357664v1:6:23472848:23476091:-1 gene:itb06g20520 transcript:itb06g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEGITRFSVSSGSILTAAASLAASVMLLQSVTADLVPDALRAYFSTRLTKLSARFSSQLTLVIEESEGLTSNHMFEAANLFLGTKVSKATRRIKVIKPEKDEELIVTVDRNQEIVDFYNKVKMVWELKSTAIQQIGKGDRNGNSKTEQRYFELSFHNKYKDIVLRAYLPYILRKSKEIKQQSRAVRLNTVEYGGNWTSIVLNHPANFSTMAMSPEMKNEIIDDLDRFITRKDYYRRVGKAWKRGYLLYGPPGTGKSSLVAAMANYLNFDIYDLDLREVECNADLRRLLIGSANRSILVIEDIDCNAVLHSRESENQTAEGDKINLSGVLNLIDGLWSSCGDERIIVLTTNHKDRLDPALLRPGRMDKHIQMSYCTFSGFKVLASNYLRIEEHALYQKIEELLLKVKATPAEIAEELMKNDDADIALGNLIIFLQNKENTLIQHHLNLG >itb15g07910.t1 pep chromosome:ASM357664v1:15:5551325:5553197:-1 gene:itb15g07910 transcript:itb15g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQESRLSLKLLIDEKSNRVVAAEAHKEFVEILFSFLTLPMGTIIRVTSSGDTKNPVTSIGCMNNLYRAVEKLSNENWQTENCKTIVLRPRNPLGNYSQKLKLNIDESWSNTYYRCSRCCIWMSWYPNLSCTCGGKITRTTTAGIKNEGAFLQKGRTMFIISDDLQIRPSCPSVLAQLLYNLGLSDMDGIREMLVEVSKEQVIFLLARSLVSESALSDVFLPNNPTKHETKFPKPETESTLQTTEQNEAPTPTLNLQVTLNKSTNKILFAKATNEFFDFLCTFLTIPLGSIIHVLKRNFGGLGGCINNLYTSVEELEDKWFGSSAKSDILNAWIAQYHNCKKQPLNLDELIESKLLLDPRPNQNFAGEPLLFVVLDNLDVKPLSSASSSLILRELKLPFSDVEDQVITVGMNEALSLLKAALTSPSSALTNGLASFLQKKKLEA >itb08g16130.t1 pep chromosome:ASM357664v1:8:18297658:18301844:1 gene:itb08g16130 transcript:itb08g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLWLLIFVVSYYSFLCTNAELISSLPGQPQNVTFKQYSDYIVTDDRHARALFYYFVEAQSKDALSLPLTLWLSGGPGCSSIGLGLFRENGPFRPGKDGNLIKNEFSWNLVSNMLYVESPVGVGFSYSNTSSDYNNWNDTMTADENLKFLLKWFEKFPEYRNLDLYLAGDSYAGHFVPQLAALLLEYNRKPNVNPIKLKGIALGNPLLDIVISVDSADYLWYHGAISAELRTMKKKLCNGTRFFLEYSQNKPSEDCKKMMTKMEEELGVDFDTGDMLLPRCVSGQQDVGSETTGIGDPCLADRITAYLNKPEVQKALHANTTGLPYLWDLCSGPIKYQMDNLAINIMPTLSAFLKKEHIPILLYSGDQDVKVPVTQTRKIANMLARHVKLTTLQENGPWYNGYQVGGWSEAFGKLREGKNVTYLTFATVKGGAHIVPFTSPSQSLILFKSFINGSPPPTTRLN >itb05g24040.t1 pep chromosome:ASM357664v1:5:29001498:29002445:1 gene:itb05g24040 transcript:itb05g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTYIDVLSIQEVDYECTVEEVQQHFQDCGTVNRVTILCDKFGQPKGFAYVEFLEEGSVQLALQLNESELHGRQLKVMPKRKNIPGMKQYRPRRFNPYVAHPSVPYYFYSPYGKPTFRRPR >itb10g20240.t1 pep chromosome:ASM357664v1:10:25868523:25886768:-1 gene:itb10g20240 transcript:itb10g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEKLLKEAKKLPWEDRLTHKNWKVRNDANIDLAELCGSITDPKDPRLREFGHFFKKAVADSNAPVQEKALESLLAYLKAADADVGRYAKEICDAVVAKCLTGRPKTVEKAQAVFLLWVELEAVEAFLDAMEKAIKNKVAKAVVPAVDVMFQALSEFGAKIVPPKRILKMLPELFDHQDQNVRACAKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTASAKPTRKIRSEQDKEPEQGAVPEVAGSGHSEESVAEIPQEIDEYELVDPVDILTPLEKSGFWEGVKAAKWLERKEAVAELTKLASTKKIAPGDFSEICRMLKKLIVDVNIAVAVEAIQAIGNLARGLRTHFTAGSRLLLPVLLEKLKEKKPAMMDSLTQTLQAIYKSGCLNLADIVEDIKTATKNKVPLVRSLTLNWVTFCIETSSKAVIVKVQKEYVAISMECLNDGTPEVRDAAFSTLAAIAKLVGMRPLEKSIEKLDDVRKKKLSEMITGSGGGESTVTEVTGAAPSSRASVPLSEASDGSFVRRSAASMLSGKKPLQAAPANKKAAPSKSGATKKVEGGGQSKTSKPVEIEDVEPAEMSLEEIESKLGFLIQAEIISQLKSSAWKERLEAIVSFKEKVEALPELDMSVELLIRLLSAVPGWSEKNVQVQQQVIDVITHIASKASKLPKKCVVLCLQGIAERVADIKTRAQAMKCLTSFCEAVGPGFVFERLYKILKEHKNPKVLSEGILWMVTAVDDFGVSSLKLKDLIDFCKEIGLQSSAAATRNATIKLIGTLHKFVGPDIKGFLSDVKPALLSALDAECEKNPFEGASAAPKRTVKTSDPISVSSGGLDSLPREDISGKITPALLKGFESSDWKIRQESIESVNKILEEANRRIQPSGTGELFGALRGRLLDSNKNLVMATLSTFGSVASAMGPAVEKSSKGVLTDILKCLGDNKKHMRECTLSTLDSWVAAVHLDKMIPYITAALIDSKMGAEGRKDLFDWLSRQLGGLKQFPDAVHLLKPAAFAMTDKSADVRKAAEVFFAEILRICGHEMVTKNLRDIQGPALAIVIERLKPFGAPHDTIESGITISTGTTTKTSSKIGKPSGPGDHASRQGSRVRSSRVLSTKSSRQESNVAIQDISILSQALINVKDSNKDERERIVVRRFKFEELRLEQIQDLESDLMKYLREDFHKRLLSTDFKKQVDGIEMLQKALHSIGKEIIAILDIVLRWFVLRFCESNTSCLLKVLEFLPELFDMLKNEGYTMTEAEAAIFLPCLVEKSGHNIEKVREKMRVLMKKIIYTYSASKTFPYILEGLRSRNNRTRIECVDLVGFLLDNHGAEISGQLKSLQIVASLTAERDGELRKAALNTMATGYKILGDDIWRYVGKITEAQRSMLDDRFKWKAREMDKRKEGKPGEARAALRRSVRDNGSDLAEPSGEVFKSSGPIFNSDNYNQTEHPKGRHEVPLERHQVPLPASAANGPTDWNEALDIIVYGSPEQSIEGMKVVCHELLMAMNDPEGSGMDEILKDADRLVLCLANKVGKTFEFSLMGASSRSCKYVLNTLMQTFQIKPLAYTVKESTLDILITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLNPSRWPSPVTHDSLAIRNQKFSDLVVKCLIKLTKVLQSTIHDVDLDRVLQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTSIKGHLSMVPIDMQPPPIILAYIDLNLQTLAAARMLTPSVPGQNHWGDSAANNPTPATHSADAQLKLELAAIFKKIGDKQTCSIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPISTPPPVVLNVSSPKFSPVFPVNTNPLGDSKSINSKSEPTNFSLPPSYVEERTNNVVLSSELHLQPGEHRIEKFPSAVSSGTLDAIRERMKSIQLAAAAGNPDPGNRPLMAMNGNFGHGLSNQLPSAAEHTISGNPQQSGVLPMDEKALSGLQARMERLKNGSLEPL >itb10g22600.t1 pep chromosome:ASM357664v1:10:27384730:27386032:1 gene:itb10g22600 transcript:itb10g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKKQNNLNDEIKQLELAEVHDVERQEQNGHLEVEVAVVDYSKRAQWLRAAVLGANDGLLSVASLMMGVGAFRSDVKIMILTGIAGLVAGACSMALGEYVSVYAQYDIEAAQMKRERGGDGRKSEEEEDRLPSPMKAAVASAVAFAVGAAVPLVAAAFITNYKVRLAAVVAASSLALIVFGALGAYLGKAPLVKSSLRVLIGGLVAMGITFGLSKLVGSAGL >itb01g10970.t1 pep chromosome:ASM357664v1:1:9605666:9610936:-1 gene:itb01g10970 transcript:itb01g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF3 [Source:Projected from Arabidopsis thaliana (AT1G09530) UniProtKB/Swiss-Prot;Acc:O80536] MPLSEFLKLHKLEFGEPKTASSSPDLSSFFENEVVELVWENGQIVMQGQSSRAKRSPTSNNLSSSQMPVIRDKPKENPSTPRIGGKAFLMDEMPLSVPTNEVDLSQDDEIVPWLNYSLNNNSLQYEYSSGVVPEASGLTANELPAQNNFASMDKTGGSNPMAVNNSSQRTASKLESSRFGMFPSWSYKAHHPSVPSLGSGASDIGISNASNSLDSILRDSVPGQASVDTKMQKQETSIPGRGPPGLLNFSHFWRPVAHTRANTGNIAGSPAIESKENKEKELSANCRNPVNPAPAETCSTSKREIGRHNQPDLQCFKIDHRPATAKTHDASFPLEEPNTSLSEDMKKNNNNWYGQPIDPVVNKGVLGGDKAVEPGAVCSSVCSGSSPERTSNDQSNSLKRKYCDHESGCQSEDIEEESLDARKAAPVRGGTGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKTDKASMLDEAIEYLKTLQFQVQILSMGAGFCVPPIIYPAGMHATHMPHFPLMGLGMGMGVGMGMGFGMGIQEMNGRSPGCPLFPISPMQRVQLSSPPFSGPSTFPGIAASNFPVFGHPGLGIPISIPKPPTISKSGQPARSSAVGMGASRAGIQVEVPSATLKPEDLVKTKSSQLMNNTDPNRSINQTSSQRKV >itb05g26490.t1 pep chromosome:ASM357664v1:5:30339440:30346290:-1 gene:itb05g26490 transcript:itb05g26490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSKIKSVDFYRKIPRDLTEASLSGAGLSLFAAFCMILLFGMELSDYLTVSTTTSVVVDESADGDFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDSNLVPTGSEFQSGAIANAIKHDEEVNEEHAEGAVRLTGRNFDRVSHLYPIVVVNFYAPWCYWSNRLKPSWEKAAQIVRERYDPESDGRIILGKVDCTEEVDLCRRNHIQGYPSIRIFRKGSDVRDDHGHHNHESYYGDRDTDSLVKTMEELVAPIALESQKVSSDSKSVDVTDGIKRPAPVTGGCRVEGFVRVKKVPGNVVVSARSAAHSFDASQMNMSHVIYRFSFGKMLTPKAASDVKRLIPYLGQSFDKLRGNAYITNPKESRENVTIEHYLQVVKTEVVARSYKIAEDYEYTAHSSLVHSTSIPVAKFHFEPSPLQVLITEDSKSFSHFLTNVCAIIGGVFTVAGIVDSIWHNTMRLMKKVELGKNF >itb02g12340.t1 pep chromosome:ASM357664v1:2:8423206:8424236:-1 gene:itb02g12340 transcript:itb02g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 27 [Source:Projected from Arabidopsis thaliana (AT3G47870) UniProtKB/Swiss-Prot;Acc:Q9STS6] MTRKGGTSQACASCKYQRRRCKVDCPLAPYFPADQPNMFQNAHRLFGVSNIIKILKQIDPSQRGVAMKSIIDQANARAKYPVYGCVAEIQQLMLKIQLAEEELQAVQAQLAFYRQHNQQQQQQEISSTDSVLPLQLGTLPPPLPISPPTNGFTLFHQDPSPQYCNAAAPVTAFPVSHPSYSNATNAAYNTSNATAYVNSKDNNNINSLWIQQHFANSNTNNNNNTSNPMMMQPQMGSAQPITIQQEEENIQDYDEIHPFFDTIDDRQSYIDSKEAYESSSESSLKDIAQSVEHVSENELKSAAACFSLTSVNL >itb05g17700.t1 pep chromosome:ASM357664v1:5:24538809:24539349:-1 gene:itb05g17700 transcript:itb05g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRNRIERGEFSEKLKEKETELESKLKELDGRTGNLETVMSKTEEFQQAALKLRKSDPERPTQADPLLSSTAGQIGSQPESPPAKPKKSEDPRKLPKKYEICSVQGGRSAM >itb11g09170.t1 pep chromosome:ASM357664v1:11:6072854:6073388:1 gene:itb11g09170 transcript:itb11g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIVPQAKAKAKAIRVLEYKPPIKVLSEFKSHAIISDSPAKKKKKKVKFADEVEEVRIKVVISKQELKSMVMSGEGISLSDIVCHQINTSESLVDDDDEVFSKGWEPMLHSIPEIDYAA >itb01g07440.t1 pep chromosome:ASM357664v1:1:5870026:5878623:-1 gene:itb01g07440 transcript:itb01g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMKLLDLNTPPCNFCEVNSLFANVHSFAKRVKIQYCFHQQLKAMDEKLLALQTDKSNFGINLKTNDEGRNELLMGSGSGYMVGIEKQVEDIAQLIQKRRERMLVITVWGAGGCGKTTLAKQVYEKVKNDGNIKSFSWVDVNHSSDIKFVLRATINGLYTSVGTKMPSELEKADEDSLQHHICDYLKGKRYVVYFDDVWDEKLLSKINIPVHDESAIIITSRDKGIASGSFLGATPHCVEVKPLESNIACDLFCKFAFPSCNWPNEAVKELGEALVKRCSGLPVAILAMAGLMSTKGDDSAKWSAALESLDYYSAESEEGGSLKSVNRALFGRPLEVIARDYLLQLNNRSLIQIVTTESIFVRVDDEIKMHDLFRDVAGEVIRREMFAEIKLSGMHNTKLEWKQRRSLIILEGEPKVNLEKGGNMKKLRTLIIHGGGIIVNSLPQMLQNMKLLRVLALEGLPDGVKELPNEVGDLIHLRYLSLSGNRYMTHLPDSLGRLHNLQTLDLRHTSLLSLPKCVSQLMQLRHLFIGSYDLQVSDIVFTSSQLQTLSGMVINTIQARELVNLTQLTELDIIFTEGEECWRAICDSVNKITNLRSLLIQPRDNPCIEGFDMVQKFGNFSPPLYLEKLALYNFKKLVKFTCTLNYLRSINIQKCYVDGDFLNSLEKLPSLVCLYIDSYSGKQLLCSEGSFPKLKKLEIVCEKLIKWEIGKGAMKCVESLSMRNCYFLKMVPEGLREVVYLKELHLFYPSQQLVHNIEGSDGWKVEHIPRVTIHNIDSKVTGLALQRLIIRTSQLVYEASGSMKPFELRSYYT >itb01g20490.t1 pep chromosome:ASM357664v1:1:26747697:26750913:1 gene:itb01g20490 transcript:itb01g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSLSKQPIDESIIREQVLSTDNHDGRHFNTNFILSDAEKILRLGMGTTEEVLVEKLNQVVELDNIYEQLSYHIRQVSIEIVSGLNAKMANQQHSTTIGILRKLCWYSWEDKMVLMLAAFSIIYGGYILLDSRLCKGVPKLGGLAKKLGSLIQNNLVETPPSYVQKVVVDCLNSMLELTKCVVELKQCPYYSPPPSVVLALPMATYWIATTLINVSAACACDTQHFKVHHQNELEELTTLIAKILATFSPELTKKKAEESFQILRHAWYYNSSNRVELFKLIFNVKDDDEEIFKRDFWHGLNYWRDHQTDLLLITSGFDISHEQIDFLNWYYGNASPYIIWIPIMKHNATWTSEDEHHFEKVKEKMCDLFWLNDPQKRLLPQFPRFVKEELFPKFKMRWGEEAILVSIDRKGRIVHPNVMHMILTWAHYIQENTIGVQRLYNITPLIEKEVKEGTSGVNRVVPEIDQMIRDFLHNIEDRINAWASSIESKIQEVQDQSTPYHSDREKDLWQQETTWSLHLLAPKFQSYQAIGGLINDWIQEEKYIFLYGGNDIKWVQEFTSKVREVGSKIQLNIELVYVGRNKKIRRIIDEKRMTHSPLHNSKSIWWFWTRLRSMFLSRIHYLDVTNCLVKEYNNDEILQGLKKLLAYESTNTTIEGWALLSKGAKVIVCGHGTKMLQVMNEYQIWKENIAPKGFGQAFKDHHDMIFMNRHSCCTLEYPINLDQIPENETCHECSRSMHKFLTFTCCHGHDVDSDKA >itb05g06210.t2 pep chromosome:ASM357664v1:5:6408817:6409666:-1 gene:itb05g06210 transcript:itb05g06210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAYPEVPVKLMNHFHAMDRELYSILVFVLERDPKVSVIIIALWIWLEHNKINCAVQKILSSSSIKLINGLADEAVACLRCITDAMYLFSSDASEVSLTQKVLGKKLSLNFFHENRAKALDWIRVIVEDVCVKELGDLMNLAMQQRFGGLKFTGESSQSVVPPMDPKIQRMYGDCVESISMQVVKPNEQSLFPVIVFTSSAIVGLVLRGLEKAKFTIKGKHVWMRKFIPKA >itb05g06210.t1 pep chromosome:ASM357664v1:5:6409111:6414201:-1 gene:itb05g06210 transcript:itb05g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAYPEVPVKLMNHFHAMDRELYSILVFVLERDPKVSVIIIALWIWLEHNKINCAVQKILSSSSIKLINGLADEAVACLRCITDAMYLFSSDASEVSLTQKVLGKKLSLNFFHENRAKALDWIRVIVEDVCVKELGDLMNLAMQQRYKGSEDSDSQASRLNQ >itb05g25720.t2 pep chromosome:ASM357664v1:5:29974984:29979524:1 gene:itb05g25720 transcript:itb05g25720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPDISDMLDKSKELDRLRKEQEEVLMEINKMHKKLQSAPDVVEKPGDNSLSKLKLLYTQAKELSESEVSISTHLLGQLDALIPSGPPGQQRRRIEGNEPKKKRMKADSDVTRLSPNMRNQQEFFANMKGEQVAARVIQEDGDKDEWFIVKVTNFDKDTKMFEVLDEEPGDDEEGGGQRKYKLPWSHIIPFPKRNEPSNTPDFPPGRQVLAVYPGTTALYKATVVQSRKRKTDDYILEFDDDEEDGSLPRRSVPFHRVVALPDGHRQ >itb05g25720.t1 pep chromosome:ASM357664v1:5:29974984:29978769:1 gene:itb05g25720 transcript:itb05g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPDISDMLDKSKELDRLRKEQEEVLMEINKMHKKLQSAPDVVEKPGDNSLSKLKLLYTQAKELSESEVSISTHLLGQLDALIPSGPPGQQRRRIGNEPKKKRMKADSDVTRLSPNMRNQQEFFANMKGEQVAARVIQEDGDKDEWFIVKVTNFDKDTKMFEVLDEEPGDDEEGGGQRKYKLPWSHIIPFPKRNEPSNTPDFPPGRQVLAVYPGTTALYKATVVQSRKRKTDDYILEFDDDEEDGSLPRRSVPFHRVVALPDGHRQ >itb09g04570.t1 pep chromosome:ASM357664v1:9:2560494:2562313:1 gene:itb09g04570 transcript:itb09g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLTTAIAAKLRRHVRTFVNAKVKWVRDPYLDKAVENEKNLKPLLSLKTVILSQPSKTLPLRTIADLKPQLGLPTTAVRFIQKFPYIFKVFRPPNKPLSTPHVKLTPKALSLHNDEMLIASLSHHRKDVAERLAKLLMLARARRLPLGVIEKFKFDLGLPYDYLLSFLPEFPEYFQICDMGFRDPSGLQVFGLELVKWRDDLAVSLMEKRARSEDSEAGIGRPVRFSMNLPRGFDLEKRVKNWVEEWQNLPYISPYEDAFHLNPNSDQAEKWAVAVIHEMLNLLVSKKTEKENVYSLGDYLGFGMRFKKALVHHPGIFYQSNKIRTQTVVLREAFKKNFLIEKHPLIGMRYKYIYLMNLVLRRGIPIHAGAIRYRERLACIKGQKFKAKERSQKRVKRDDDYHDNED >itb14g02900.t2 pep chromosome:ASM357664v1:14:2617638:2622213:-1 gene:itb14g02900 transcript:itb14g02900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSRIRISDHI >itb14g02900.t4 pep chromosome:ASM357664v1:14:2617638:2622087:-1 gene:itb14g02900 transcript:itb14g02900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSSLPPPDLTKTEP >itb14g02900.t10 pep chromosome:ASM357664v1:14:2617638:2622213:-1 gene:itb14g02900 transcript:itb14g02900.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKYS >itb14g02900.t8 pep chromosome:ASM357664v1:14:2618232:2622087:-1 gene:itb14g02900 transcript:itb14g02900.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVVLVCVCF >itb14g02900.t7 pep chromosome:ASM357664v1:14:2617638:2622087:-1 gene:itb14g02900 transcript:itb14g02900.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSRIRISDHI >itb14g02900.t9 pep chromosome:ASM357664v1:14:2617763:2621316:-1 gene:itb14g02900 transcript:itb14g02900.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSRIRISDHI >itb14g02900.t6 pep chromosome:ASM357664v1:14:2617860:2622041:-1 gene:itb14g02900 transcript:itb14g02900.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSRIRISDHI >itb14g02900.t3 pep chromosome:ASM357664v1:14:2617860:2622041:-1 gene:itb14g02900 transcript:itb14g02900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSSLPPPDLTKTEP >itb14g02900.t1 pep chromosome:ASM357664v1:14:2617638:2622213:-1 gene:itb14g02900 transcript:itb14g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSSLPPPDLTKTEP >itb14g02900.t5 pep chromosome:ASM357664v1:14:2617763:2621316:-1 gene:itb14g02900 transcript:itb14g02900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGSDEFEAGKRGDDGVNIFNSSNMNTANLEMDSFCSAIWDQPNSINHLVVGLGDASLHANVEFEPSRISGGANGGFGVPPSGMLKGSSLLPPAVPRFLPHFQVDSDFVERAARLSCFSAGNMMGDVMNPFSTLECIDARSKGLAPLQTQRPREVLVGNGLKNESLPIGSSQEKDIIIRSREEAKERAGVSEAESDGLECLSESAVGHSCSAKGVGSKKRKRRGQGAELNQNKRELTNDETEIQQKGASNGSRPGGENDKPGSQTSDTPKDEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVIGKAVMLDEIINYVQSLQRQVEFLSMKLATINPGLDFNSDVVFPKEISRAACSSSSLAFPPDMAMPYPPLHPPQPMMIQGGLTGLENSTAALHRTINSQLPNKSETNKDHPTSKVSNTWNEFHNVFQMGFNSTAPLSTQFTSSLPPPDLTKTEP >itb02g18850.t1 pep chromosome:ASM357664v1:2:15447262:15451197:-1 gene:itb02g18850 transcript:itb02g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRAPSLWLCPTRPITASRSFRSLSIPACVNASSNSTPPSSASYGRRWFNPVLSKPPTEIVRRWVEPDAYHSTPPQERFTVVSYNVLGDRNALKHGDLYRYVPSVYLNWDYRRPVICEELLQLSPDIICLQEVDKYYDLLNVLEKAGYAGSYKRRTGDYVDGCAMFWKADKCQLLEGESIEFKQFGLRDNVAQLSVFEMPEGSETGSRRVVIGNIHVLYNPHRGDVKLGQIRFLTSRAYILSEKWENAPVVLAGDYNSTPGSAIYKFLSSSELNILLHDRKELSGQRRNRNTVLRLRREKNTLFVLMDRFLNKCGWTDEEINVATGTSNHIIMHPLKLNSSYAAVKGSVRTRGSNNEPLATSYHSKFLGTVDYLWYSDGLVPTKVLDTVPINALVKIGSLPSKKLGSDHLPLAAEFAFIQCDREENEVIT >itb02g18850.t2 pep chromosome:ASM357664v1:2:15447519:15451197:-1 gene:itb02g18850 transcript:itb02g18850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRAPSLWLCPTRPITASRSFRSLSIPACVNASSNSTPPSSASYGRRWFNPVLSKPPTEIVRRWVEPDAYHSTPPQERFTVVSYNVLGDRNALKHGDLYRYVPSVYLNWDYRRPVICEELLQLSPDIICLQEVDKYYDLLNVLEKAGYAGSYKRRTGDYVDGCAMFWKADKCQLLEGESIEFKQFGLRDNVAQLSVFEMPEGSETGSRRVVIGNIHVLYNPHRGDVKLGQIRFLTSRAYILSEKWENAPVVLAGDYNSTPGSAIYKFLSSSELNILLHDRKELSGQRRNRNTVLRLRREKNTLFVLMDRFLNKCGWTDEEINVATGTSNHIIMHPLKLNSSYAAVKGSVRTRGSNNEPLATSYHSKFLGTVDYLWYSDGLVPTKVLDTVPINALVKIGSLPSKV >itb02g07940.t1 pep chromosome:ASM357664v1:2:4977178:4980523:1 gene:itb02g07940 transcript:itb02g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERRDEMVRQERNEEQEGEFPLKNRGSCPDQMATDSAVILDRLMESRPEIRDLIECPICLGIINKTRIVVECMHRFCGDCIEQVLEKGMNECPICRGHIPSRTSLKDDASFDALVGAVCKVVEKQCKDKKRKAPVDERGKRTKVRRISSRSGGETSGSRLSMAERIMECMVFPVVRTRARGLPIEEQEGLFSSVYRCIVPYLEVLYLLEEKEGDMKMEVERYMGKLNNFLHVTVEGLKEKLQKKMEQIESLICRGVGREGANRVLESLRDAVSNVESELGKLECGDDESGQAINLKENCYATQQVIADLIMVSNNKAQPGEWKKWYSSLAEYDRDLRDAAAVYLHIGKAEVKKIICEAIVNCCPSFNPSQFNLLFNPFG >itb02g03460.t1 pep chromosome:ASM357664v1:2:2001643:2004071:1 gene:itb02g03460 transcript:itb02g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGNDAVAFESAEKIVLRWDSTTSEEAREKMIFEGDRQEIDQYLQAVDEIQRSMESTTLLDDDQSKANSAIQIAMARLEDEFRNILIAHTSAIEVELLSDLNSSSSSINYSAASRTTSGEMNLGDEELKSIDGKDGGSVKKQLEHQDSGSSSYRSTYSIKEIDLMPPEAVFDLRSIAERMISAGYLRECIQVYGSVRKSSVDASFRKLGIEKLSIGDIQRLEWETLEMKIRRWIRAAKLCVRVLFASEKKLCEQVFEGLGTATDDACFMETIKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALSDLLPDIEIVFESKSSESIRVQAAEILSRLAEAARGILSEFENAVLREPSKIPVPGGTIHPLTRYVMNYASLISDYKQTLVELIVSKPSAGSRYSTDPNTPDMDFTELEGQTPLDHHLIWIIVILQFNLEGKSKHYKDTSLAHLFMMNNVHYIVQKIKGSPELREMIGDYYLRKLTGKFRHSATNYQRATWVRVLHCLRDEGLHVSGSFSSGVSKSALRERFKTFNAMFEEVHRTQSTWLIPDTQLREELRISISEKLIPAYRSFLGRFRTHIESGKHPENYIKYSVEDLETDVLDFFEGYQPSQHLRRRSQ >itb12g08440.t1 pep chromosome:ASM357664v1:12:6630595:6634907:1 gene:itb12g08440 transcript:itb12g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVALQSQIAFTTAPLFVRAPTSTATPNVNVSLVHAPTPYCRALRCSTNRNTAIVGRNRSGRTGSRSFRCLCALSPELKTTLDKVVTSQKVVLFMKGTKEFPQCGFSNTVVQILKSLNMPFETINILENEVLRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQEVLEKTMCS >itb05g24460.t2 pep chromosome:ASM357664v1:5:29249179:29256798:1 gene:itb05g24460 transcript:itb05g24460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFDELRKLEIFFREETTRGCSIVELYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDLGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPARDKEKREKERSELRDLVGKNLHVLGQIEGVDLDMYKEIVLPRVLEQVVNCKDELAQCYLMDCIIQVFPDEYHLQTLETLLGACPQLQPLVDIKTVLSGLMERLSNYAASSAEVLPEFFRVEAFAKLNNAIGKVIEAQDDMPIAAVVTLYASLLTFTLHVHPDRLDYVDQILGACEKKLSGKGKLKDTKATKQIVALLSAPLEKYKDIDTALKLSNYPCVMEYLDDATNKEMANIIVQNIMKNKTCISTAEKVEALFGLMKGLIRDLDETLHDELDEEDFQEEQNSVARLIQMLHNDDPEKMLEIICTVKKHILTGGPKRIPFTVPPLVFNALKLVRQLQSQDDNGADEEVSATPKKIFQMLNQTIEVLSNVPAAELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDALTHKATGYSAKLLKKPDQCRAVYACSHLFWVEDQDNIKDGERVLLCLKRALRIANAAQQMSNATRGSSGSVILFIEILNKYLYFFEKGVSEITVASIQSLIELITTEMHSDNTTSDPAADAFFASTLRYIQFQKDKGGAVGEKYEAIKS >itb05g24460.t1 pep chromosome:ASM357664v1:5:29249145:29256837:1 gene:itb05g24460 transcript:itb05g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGVEDEEKWLAAGITGLQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEIFFREETTRGCSIVELYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSRDKLPDLGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPARDKEKREKERSELRDLVGKNLHVLGQIEGVDLDMYKEIVLPRVLEQVVNCKDELAQCYLMDCIIQVFPDEYHLQTLETLLGACPQLQPLVDIKTVLSGLMERLSNYAASSAEVLPEFFRVEAFAKLNNAIGKVIEAQDDMPIAAVVTLYASLLTFTLHVHPDRLDYVDQILGACEKKLSGKGKLKDTKATKQIVALLSAPLEKYKDIDTALKLSNYPCVMEYLDDATNKEMANIIVQNIMKNKTCISTAEKVEALFGLMKGLIRDLDETLHDELDEEDFQEEQNSVARLIQMLHNDDPEKMLEIICTVKKHILTGGPKRIPFTVPPLVFNALKLVRQLQSQDDNGADEEVSATPKKIFQMLNQTIEVLSNVPAAELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDALTHKATGYSAKLLKKPDQCRAVYACSHLFWVEDQDNIKDGERVLLCLKRALRIANAAQQMSNATRGSSGSVILFIEILNKYLYFFEKGVSEITVASIQSLIELITTEMHSDNTTSDPAADAFFASTLRYIQFQKDKGGAVGEKYEAIKS >itb13g26970.t1 pep chromosome:ASM357664v1:13:32034048:32036964:-1 gene:itb13g26970 transcript:itb13g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDPIPKPSRAFVKPLDRDRSKVTKPGGVSATVEKGVTSGRGVGHAHPTGAPKLDDQRPKDDAPVLVVTEGCRVSKPPRPGAETVGARSEGDQTPVVTTVVAGFSHEGSSAVGDALTGTAPMGGDVGSDVGPVLGELPGLSSAAGGSPVDRSGLLVGKPAKVAALVARSQHHKRRVSRTGVSAAQTKPFGLDIASFPGKASASPSASRPLLALVNSRSETPSVGVAHNTPSLGGDNMQGFSSVHSKVIEVGSFTAPPLNPEVNCSAKAVHGSTGVSRYNNGANVNPGSSNNVSPINQSDVSTRNLTKPSTANRTKPKRGKGLPFFAKGKSLRVASVSKFKNNVKALNGILGQNLQSALRENSAAQAVAKPNLPISHGNVSAAPVQARTLDQIPPQLILFLKIEVVDGHGLNNLTNGSARVGVNVTSAGNSRPHPVTGVSSANGASKGPHFNSSFAGLFSASLNDAHALDNSGFMQAPTISEKIVEIHRGEPCITFDDCEVQQMNAIENYLLVGKFSHGRPLLAEIRAHFAKTFVLKGTVEIGLVDPRHIFLAFSHPDDCITILIKGQILYNGRCPMRLFQWTSEFDTRFEKSLAPVWVLLPNLKANCFSVPCLKQLVKPIGRFLHVDAATAKFSRPNVAKVKVEIDLLKPRLRRIFIKLGSNRPGGEDVGFWQPIEYEKVLGYCLTCRKQGHSAHECRSVGVGISPNWGATQLPQGPMRPKFVFAGSSRAGSAKSQHSPRLFGSLLHRGLNAKQRNRLRRKLRKQKGKSIVVDKSPEVGTSGAQRGISTGNRGVQQVPTSNGFAVLNQEGGAKGPPSSPTGKLSPIPEGSERTPAPTSACQTDLDRPQQVYFAFTPFNPAKFPPCTPPSSGETQKVSPVVPGGPRRTGGEVILRW >itb01g15000.t1 pep chromosome:ASM357664v1:1:17107191:17108352:1 gene:itb01g15000 transcript:itb01g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREVVEAVGEASETWGFFQVVNHGIPGNVLEEILRGVRGFHEQDTELVTNGRFKSSEHRVLASQCGPRISVACFFNTFLLPLPRLYGPIKELLSEENPPKYRETTVKEFLAHFYAKGLDGTSALLHFSL >itb15g00770.t1 pep chromosome:ASM357664v1:15:447305:448838:1 gene:itb15g00770 transcript:itb15g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRSVWGVFVAAAVFAYEAKGEPMVPSLCIFGDSVVDSGNNNNLNTVIKANFPPYGRDFVNHQPTGRFCNGKLATDFTAEYLGFTSYPPAYLSQEANGNSILAGANFASAASACHYTATTARILQGVAKQSWSLAGKAKANNIISGGIHLVSAGTSDFIQNYYVNPMVCRAYTPDQFSDLLMQSYTTFVQNLYELGARKIGVTNLPPTGCLPASITMYGGGNNQCVERMNQDAISYNKKLSSTSQSLKSKLPGLKLVVFDIYQPLLDIITKPSENGFFESRKACCGTGTLETSYLCNERSIGTCSNATEYVFWDGFHPSQAANEKLAQSLLVQGFDLI >itb07g12210.t1 pep chromosome:ASM357664v1:7:13832200:13836981:-1 gene:itb07g12210 transcript:itb07g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMICTKTVCSNAWRGIVAALPLTLKGAKTCVTNGRTTRFWKDVWIQETPLLSLLPNNALYVENDSMVRDYWDSVRGWKWECLPPLPQGVKQLMQCTTLHEGEGEDERYWSREASGMFSINSAYSLIHDNSENMQDREWSRLWKLKVPNKLKTFLWTAMHERVMGNAERKRRALTSDDSCGVCPGMSETADHILRNCNKAKEIWRAFSSTQQRQRWRNMGFRQWIVDNISNNKDEVEGEDWPSRFTIIVWXRFTIIVWWIWRWRCERVFNDREMDTQRKINWIREVEEEINRAFAKHNGNSNTAGRHTIARLRWKASNAHHITLNVDGSVKTGRNRAGLGGVMRNNKGEWIAGFMCSTSYGDSTSPTSSGRWGLIRSSPWFRDYWLCECYADVFDFDFSGFDFDFFGFDFNFSGFNFDFTGFDFDADVDDFDFSGFDFDADMDDFDFSSFDVDFDFFEETKTDLVTLGALKWQKSRVAAGIPKYGQKEPKIVNVKYGSPLLQSPMREGPQQLGLPPMCKDESSLELGKNRKVISCRFLYPEFAALFLLSCPGSAPCSPPQTITLPPAVAQPPKDEGKRCEYGRVYVYDLPPMFNEDLALKNCTDLHLWQWQCGLNTNEGYGMRATEMAPD >itb02g10510.t2 pep chromosome:ASM357664v1:2:6779722:6786273:-1 gene:itb02g10510 transcript:itb02g10510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METEKKQTREIETPTNITIKGILGLIMENIEASEGGDSKKVISLGMGDPTAYSCFHSPALAQQAVSDTLSSYKFNGYSPTVGLPQTRKAIADYLSRDLPEKVSADDVYVTAGCTQAIETALSILAYPGANILLPRPGFPIYALCAAFRHLEVRYYDLLPEKAWEVDLNAVESLADQNTIAVVIINPGNPCGNVYSYQHLKEIAETANKLKLIVIADEVYGHLAFGTNPFVPMGVFGSIAPVLTLGSLSKRWLVPGWRLGWLVINDPNGTIKTPKFVERIKKYCDICGGPATFIQVCKLV >itb02g10510.t1 pep chromosome:ASM357664v1:2:6778811:6786273:-1 gene:itb02g10510 transcript:itb02g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKKQTREIETPTNITIKGILGLIMENIEASEGGDSKKVISLGMGDPTAYSCFHSPALAQQAVSDTLSSYKFNGYSPTVGLPQTRKAIADYLSRDLPEKVSADDVYVTAGCTQAIETALSILAYPGANILLPRPGFPIYALCAAFRHLEVRYYDLLPEKAWEVDLNAVESLADQNTIAVVIINPGNPCGNVYSYQHLKEIAETANKLKLIVIADEVYGHLAFGTNPFVPMGVFGSIAPVLTLGSLSKRWLVPGWRLGWLVINDPNGTIKTPKFVERIKKYCDICGGPATFIQAAVPRIIQQTEEVFFKKTINMLKGTSDICCEKIKEIPCLTCTHKPQGSMAVMVKLNLSLLRDISDDIDFCFKLAKEESVIILPGLAVGLKNWLRITFAAEPSSLEEALGRVKSFCQRHSYQENGQC >itb02g00070.t1 pep chromosome:ASM357664v1:2:59499:59852:-1 gene:itb02g00070 transcript:itb02g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKVQLGISGLSHKTMDSRENADFVWRNWLSCEQTRACGSSLDACASRWDIDGIGRVGVRLAHWWCSKSALWADWHNGVQRWCEARTFVTWSWWVASWRWSCALICWLLRCGPYY >itb15g15610.t1 pep chromosome:ASM357664v1:15:14608297:14612060:-1 gene:itb15g15610 transcript:itb15g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLAARLSTHIFRSKAVNFQPQKKFSTSSYGRDERSIEEEAERKIGWLLKLIFAGTATVVAYQFFPYMGDNLLKQSVTLLQVKDPLFKRMGASRLARFAIDDERRMKIIEMGGAQELLRMLEAAKDDRTRKEALKALFAISKSDEAAAVLQVVGAISAIKSTPGSLEDAEVEKYKSNLLNRFQVLRYDVSSDP >itb15g15610.t2 pep chromosome:ASM357664v1:15:14608297:14612056:-1 gene:itb15g15610 transcript:itb15g15610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFRSKAVNFQPQKKFSTSSYGRDERSIEEEAERKIGWLLKLIFAGTATVVAYQFFPYMGDNLLKQSVTLLQVKDPLFKRMGASRLARFAIDDERRMKIIEMGGAQELLRMLEAAKDDRTRKEALKALFAISKSDEAAAVLQVVGAISAIKSTPGSLEDAEVEKYKSNLLNRFQVLRYDVSSDP >itb05g16100.t1 pep chromosome:ASM357664v1:5:23384468:23388444:1 gene:itb05g16100 transcript:itb05g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSEKEKDLERLIPVVVGGTLENGACSKSSFPDENSPSPPSSYSTGKEAISKVIRSWAWKKFITGCVILFPIAMTFYITWWFICFVDGFFSPIYTHLGINVFGLGFVTSITFIFLVGVFISSWLGASVLGLGEWLIKKMPLMGYVYSASKQISAAISTDHNSQAFKEVAIIKHPRVGEYALGFITSTLVLQKSSGPEELCCVYLPTNHLYLGDIYLVNSKDIMRPNLSVREGIEIVISGGLSIPKILTIVDAQSILSPRAGKFAIPHV >itb05g16100.t2 pep chromosome:ASM357664v1:5:23384468:23387761:1 gene:itb05g16100 transcript:itb05g16100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSEKEKDLERLIPVVVGGTLENGACSKSSFPDENSPSPPSSYSTGKEAISKVIRSWAWKKFITGCVILFPIAMTFYITWWFICFVDGFFSPIYTHLGINVFGLGFVTSITFIFLVGVFISSWLGASVLGLGEWLIKKMPLMGYVYSASKQISAAISTDHNSQAFKEVAIIKHPRVGEYALGFITSTLVLQKSSGPEELCCVYLPTNHLYLGDIYLVNSKDIMRPNLSVREGIGKH >itb05g16100.t3 pep chromosome:ASM357664v1:5:23384468:23388444:1 gene:itb05g16100 transcript:itb05g16100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSEKEKDLERLIPVVVGGTLENGACSKSSFPDENSPSPPSSYSTGKEAISKVIRSWAWKKFITGCVILFPIAMTFYITWWFICFVDGFFSPIYTHLGINVFGLGFVTSITFIFLVGVFISSWLGASVLGLGEWLIKKMPLMGYVYSASKQISAAISTGKLMILLYSESSID >itb15g22830.t1 pep chromosome:ASM357664v1:15:25574983:25577501:1 gene:itb15g22830 transcript:itb15g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFTILWSSDIDKFRKLVENPLVKLEVIPLVGEGGIGKTTLAKSVYGHPITIASFHIRAWVEVSQVHNLKEMLIGLLRCISPITSEIYNIDEAQIAEQLCRSLMGKKYLIYLDDIWTTAAWDAIQGCFPENSNGSRILVTTRFTNVAEYLTTNPFHVMYQTLENGWELFSRKVFGQIPCVPREYELIGKRIVLGCSGLPLAVVVIAGLLATVKESLEIWRDVAETLDGVNTSDNNNRISNILSLGYNYLPSHLKPCFHYFSVFPEDNVIPVKRLINLWVVEGFLMPHKNMSLEKVAESYLHDLINRSLVQINEVSIDGEVKSCKIHDRVHEVCVREAIKENSLCIINGNHAPKASRWLSCQTSHLPITKASYGNCTPDKIHSVLCFGKDVYHLKCRFVYPCLKLLRVLDLSLVKWSQGMPSEITYLVHLRYLALSTIGSLCKLRFLKLKNLMTLIVTSWMEKCPLQLPCDILDLPQLRYLHVDKRCSQYLPCLVKKDIQTLYWLKVASSDEKPNFGMVPNLKELGIFIEGQLEPSYLGSLVYLHLLEKLKFEVGRVERFYLPTGFPPSLKKLTLRYTYLPWKEMDTIGELPHLEVLKLKDFALCGSEWKPSTCFWKLKTLLISRSNLKYWNANSNHFPVLERLVLRYCWELKEVPLEFAFIGTLKLIVLECCYSSLVTSANRISSKKPYNMYLLGKADCRLRVQESVESSKEERLKFSEEESVESSKEERLKSSEEESVKNSKEDRLKTGKSVMNYLKKKVSKSVNALKELKRKEEI >itb15g02960.t1 pep chromosome:ASM357664v1:15:1884846:1887621:1 gene:itb15g02960 transcript:itb15g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADCWKSFFSGCWKPSRSQYEQMRREEEEEEERNYIWSRDLDKHFYGDLSFAIVQANQTLEDYSQYDTGKDVLFAGVYDGHGGAEAANFISIHLLRHVARFAQEHREVNAEVLSNAFTETDKGFLALVGMVFKNQPIIAANGSCCIVGVIWRGTLYVANLGDSRAVLGTLTESGRLKAEQLTREHNASYADIREELRSLNPGDENIVYLRQGVWRIKGIIQVSRSIGDAYLKRQEFALDASYPKYHLPEPLTRPVLRSDPAIFSKNLTPADKFLIIASDGLWDHLSNQQAVDIVNSSPRRGIARQLIKAALTEAARKAHMSYEVLKQVRPGNRRMFHDDITVFVIFIDHQLMEAGVAYPVLSVKGTKGVVNPDVNLEYGIEVGESSQTGRRSIGQSSQAGLRSIGQSSQAGLRSIGQSSQTGLKSIGQSLQARLRSFRQSPDAAGKGKGKIGQSSEAGTRLVYQSSLARPFGQSPEVAGKGKGKIGQPSEGEPSLVDQSSQADTRLVDQSSQAETRSVDQSSEETRLLDQPLEAEPSKEAELPAPAE >itb11g19260.t1 pep chromosome:ASM357664v1:11:19891567:19895121:1 gene:itb11g19260 transcript:itb11g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISCDFQPIKAKSWHRPCLLTASCSSQNSQAFIMESENSLPSHDEVQVLKSKSEEIEPYLSGRCIYLVGMMGSGKTTVGKIIAEALGYTFFDCDTLIEQAVGGTSVAEIFKLHGEGFFRDNETEVLRKLSLMREVVVSTGGGAVVRPINWRYMHKGISVWLDVPVDALARRISTVGTHSRPLLHNESGDIYAKTLKRLSTLLDEREDAYANAKARVCLENIAAKNGCIDLCTITPTEIAIEALVQIENLLKKESREVH >itb12g00970.t1 pep chromosome:ASM357664v1:12:684696:694202:-1 gene:itb12g00970 transcript:itb12g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MRSIAKLPEAVHSSIRSGIVLYDLTRVVEELVYNSLDAGANKVSVAVGVGTCYVKVVDNGYGVSRDGLELLGEKYATSKYDPLDDMNSVPLSFGYRGEALSSITDVSLVEIVTKAHGRPNGYRKVLKGHKCLYLGISDDRQDVGTTVTVRDLFYNQPVRRKHMLSNPKKVIHVVKECVLRIALLHPNVSFKVVDIESEEELLHTCPFPSSLPLLAKVFGIEASSSLEELNVAGGGFKLSGYISGTPDSFSWKAFQYVYINSRFICKGPIHKMLNNLAASFNIGSQSEKQSRSQICPAFILNLNCPKSCYDLTFEPSKTSVEFKDWDSVLTFIGDAVGCLWSGSKSADLSVKCEIGKKRRRALNSMGSQGTSPQSKKVTEECNNFHPSRENESPSHCGVVISLECSSGLSPLPHNVFSYGDNDLLGLNRSNKQLNYTFSSGWKSESPKIGVGTNRLLTDHMKFNKSPQLDDNSDVDEDVRKPFLQSCFLHRRLEPGETSLASDEGLEFKIEDHSKQNAFRADDRVVEEVNNINKVMSPRNVWDNEQADVLSFSKTTIQHDVQHQLNLLLGDSVNSSLNPELSYEEGLFLPDVIKPFQRSNSCLYSDSCISSPVPSNYLTGIPFKNIAHTISEDLVATCLEYKGNVRYDYLANKERKNWRYDIDKISIDPGKEECFFSSSKTLSSDVNDCGGSKENSSDFQEHDLYDPFSPMELSTDFQDHNQKDVFSPNSFNIFTDCINTWGEDGITNCSTSRHHASSIGFDCDSCTRVHPRNLSKMFDPSRRGRRSNSAPPLYRGRKKFLALSDSLTMTTGKVNLQTVHHCPGFPGSNNMKQILPSEVNGVNSSFSDDIPDVRIMKGDKGKGNNKCNIDSFEEFIPKEIQDPLDSGEKWQNSHPHLTSGSRLLHPKNQDAILDIASGILHLAGDSLIPRSLDKNCLESAKVLQQVDKKFIPIVASRTLALIDQHAADERIRLEELRRKVLSGELRRTNYLDSEQELFMPEIGFQLLHDYAEQIQNWGWICNVHSQGSRSFTRDLNIMHKQQAIATLLAVPCILGVNLSDVDLLEFLQQLADTDGSSTVPPSVHRVLNNKACRGAIMFGDALLPSECSLIVEELKQTSLCFQCAHGRPTTVPLVNLDALSEQIAKITSWSSSSCESWHGLRRHEISLQRTAQRLSSATH >itb09g19990.t1 pep chromosome:ASM357664v1:9:16611367:16612245:-1 gene:itb09g19990 transcript:itb09g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCCVLLSLPVSPHAIDDPNSLDSFIRDFASNRSRRRTGRLYEVVLPANFSGIEASIVTLKSGSLWMRGANFSLFGIPPRVLPWPYVRRLEIVYENLGNWSSSYFNPPNHTLVSPVIGLIAYEEKRRRNGINSKIELNVIKDPITLHFPYISLPHHNNNVNASAVKCVGFQSNGTVEFSNVSRNRTCSVRGVGHFSLVIPTPIPTPPKQQKKKKSTAWKWWVIIGSVVLGILGLLLLIGITYKAVKGKRLGKMERQSEKSESLDCVWVGSSKMPCATSIRTQPALENAYVP >itb07g18240.t1 pep chromosome:ASM357664v1:7:22642660:22653299:-1 gene:itb07g18240 transcript:itb07g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYIGVLVSDPWLQSQFTQVELRSLKSQFMTMRKESGGLKLGDLAAKMSTLKHIGENLTEQDRDAFLRDSYTNLDEDVDFELFLRVYLKLQAHATARMGSNAKNPSAFLKSPTSTLLHTISGSEKTAYVAHINNYLAEDEFLKGYLPIDPSTNDLFEVAKDGVLICKLINLAVPGTIDERAINMKRILNPWERNENLTLGLNSAKAIGCTLVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDSKDIEELMSLPPEKILLRWMNFQLKKGGYKKTVTNFSSDIKDAEAYAHLLNVLAPEHSNPATLSVKDFLERAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQIDFLEMTPDDAQMSREERAFRFWINSLGNSFMIDNVFEDLRNGWVLLQTLDKVSPGIVNWKIATKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLTLAYLWQLMRFNMLQLLKNLRSHSLGKEITDADILDWANSQVKSSGRHGHMASFKDKRLSDGVFFLELLSAVYPRAVNWSLVTKGETEEEKKMNATYIISVARKLGCSIFILPEDLIEVNQKMILTLTASIMYWHMKQQPVEDRTSTSDGEINSLPETISTADDTASESSE >itb07g18240.t2 pep chromosome:ASM357664v1:7:22642660:22653292:-1 gene:itb07g18240 transcript:itb07g18240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLINLAVPGTIDERAINMKRILNPWERNENLTLGLNSAKAIGCTLVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDSKDIEELMSLPPEKILLRWMNFQLKKGGYKKTVTNFSSDIKDAEAYAHLLNVLAPEHSNPATLSVKDFLERAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQIDFLEMTPDDAQMSREERAFRFWINSLGNSFMIDNVFEDLRNGWVLLQTLDKVSPGIVNWKIATKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLTLAYLWQLMRFNMLQLLKNLRSHSLGKEITDADILDWANSQVKSSGRHGHMASFKDKRLSDGVFFLELLSAVYPRAVNWSLVTKGETEEEKKMNATYIISVARKLGCSIFILPEDLIEVNQKMILTLTASIMYWHMKQQPVEDRTSTSDGEINSLPETISTADDTASESSE >itb04g12890.t1 pep chromosome:ASM357664v1:4:12665941:12667356:-1 gene:itb04g12890 transcript:itb04g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTFCGYMQYVNRNLATFSGDHPSLRRGHVLNMATATATAAPSLHASPSAPPANDIISRNYNSNNNNNNVNSYVDRGSGRRRLSEVWREVHGSNNWEGLMDPLDPNLRLEIIRYGEFVTACYKAFDLDPNSSRYLHCKYGKASMLREVGLGGSGYEVTKYIYALPDIGISLSGGGGADVARWIGYVAVCTDEEEVKRLGRRDVLVTFRGTVTHSEWIANLMSSLTPAKLNPFEPADDVKVQAGFLSLYTSSSQAESRLGLGSCRQQLLSEISRIINEYKGEELSITLAGHSMGSSLATLLAYDITELGMNGEIPVTVFSFAGPRVGNSGFKDRCEGLGVRVLRIVNVNDPITKLPGVFFNENFRVFGMERLSCYVHVGVELLLDFFKVHDPSCVHDLESHINLLRCPKTLGLFRRRDGGDFDFVRLAKEMFRSGEKNIAGFQWEWTKAALWLYLLLTVINLDSYTLLNM >itb14g21590.t1 pep chromosome:ASM357664v1:14:23526013:23530052:1 gene:itb14g21590 transcript:itb14g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFDGFGINRQSTYNFERAEAPQRLYVPPSSRSGGGHDNYEDTDLDNIDYDERDGDEDNNNDVNGGSGGADDEIDPLDAFMEGIHEEMKAAPPPKPKEKLDKYKDDIEDDPMESFLKAKKDIGLQLAAEALNAGYNSDEEVYAAAKAVDAGLVEYDSDDNPIVLDKKKIEPIPALDHSSIDYEPFNKDFYEENPSISGMSEQEVIEYRNSLAIRVSGFDVPRPVKTFEDCGFSVELLKAISKQAYEKPTPIQCQALPIALSGRDIIGIAKTGSGKTAAFVLPLMVHIMDQPELEKEEGPIGVICAPTRELAHQIYVETKKFAKSHGIRVSAVYGGMSKLEQFKELKAGCEIVVATPGRLIDMIKMKAVTMTRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPVRVTVGEIGMANEDITQVVQVIPSDAEKLPWLLEKLPGLIDDGDVLVFASKKATVDEIESQLVQMGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDVAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVTAGQNVPAELMDLAMKDGRFRSKRDARKGGGKRAKGRGGSGRGVRGVDYGLGIGYSAESSNPSTTTVPSRSAAVNSLRTGMMAQFKSNFVAASSNLQNQALGNSSGMNPNKKMALPGFVSGGTIGGDINASRTSSTSNAATSVHKSREDASHLSSGSSKDRQRERRRPSGWDR >itb01g17220.t1 pep chromosome:ASM357664v1:1:21989552:21990692:-1 gene:itb01g17220 transcript:itb01g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAAMRLRRLPHVFGKVLELPFRSDDDVIVEENAERFRFVAEIGDGAEHGGGLRAHAVEIHPGITKIVVRNNSRHQEGSSVALFLEQMMLDTWRFRLPAFTQPELTRAAFVDGKLIVTVPKGGPDGGGRGELANGRHAWGWGGSRTIRVQ >itb05g03470.t1 pep chromosome:ASM357664v1:5:2940159:2952875:1 gene:itb05g03470 transcript:itb05g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRRAACELTRPTPTPKPASITPTHATAGQRESSVLLLPCWNLCLCTVYGCTGICSYSDSSHVTATQTVSSPPLCLGHFAHFPSDSLASPSDLPPAVWSLQLGLLAATLPRSFCSFVANSFSVRLPRLAVQPPSGSLVAAALSLVAIRLPLCLGSLQSIQGRRAVSQLTTPTQFSASRTQLSDSDLTHVAGKSPTLTSLLRPAGQLLKSKLIDGRGRL >itb12g27760.t1 pep chromosome:ASM357664v1:12:27978863:27980970:1 gene:itb12g27760 transcript:itb12g27760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVLNVAEKPSVAKAVAGILSNNQMRSRNGRSVYNRIFEFNYIILNQPCQMLFTSVTGHLMELEFDDRYRKWHSCDPVDLFSAPVRKSVPEDKLDIKRTLEEESRKCQWLILWLDCDREGENIAFEVLEICKQANRNLRVWRARFSALIDREIRQSVERLVQPNQLFSDAVDVRQEIDLRIGASFTRFQTMLLRDTFVIDSAPDDRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWTINCTHTSDEGTANFNWMRGNLFDHTCAVIIYEMCVQEPTATSLRLDRSLKLGSRRS >itb15g19420.t1 pep chromosome:ASM357664v1:15:21730291:21733728:-1 gene:itb15g19420 transcript:itb15g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVGRGSVVLAEHSAASTNASAIAKQILDNIPGNDDTNVSYSQDRYIFHVKRTDGLTVLCMADDVAGRRIPFAFLEDIHQRFVKTYGRAVLSAQAYAMNNEFSRVLNQQMEYYSNDPNADRINRIKGEMSQVRNVMIENIDKVLERGDRLELLVDKTETMQGNTFRFRKQARQFRSTVWWRNVKLIVAVIVILLVIAYAVLAFICHGITLPSCRGK >itb04g09340.t1 pep chromosome:ASM357664v1:4:8647144:8651866:-1 gene:itb04g09340 transcript:itb04g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTAAAAQHMRPINNSRHPNQGAEEDALLWPFGVVEGLDNGDFKEAAYEIFFSACRSSPGFARGYSQPEALDGGGAAPGSPMKSPGAGVGMAVTSRVKRLLGLKMLKKSSSRRASSGGPIVVAASNTSPAASGGSPKSATAMQARGRRPMTSAEIMRRQMRVTEQSDNRLRKTLLRTLVGQMNRRAETIILPLELLRHLKSSEFNDPQEYHAWQKRQLKILEAGLLRHPSVPLEKSNPHAARFREIFKAAQAKAIDTGKNSELMKGLINSVVSLAWRSPDGSPVDVSHWADGYPFNVHIYIALLGCIFDLKDETRVLDEVDELLELMKKTWSTLGINKAVHNLCFTWVLFEQYFITSQVEPDLLGASLAMLGEVANDAKKADKDPLYVKMLANVMTSMKQWSEKKLLAYHDNFDRGSMGLMEAVLPLVFSATKILEEDVPGYATTEKIRAFAENYAGNRVDKYIRSSIRDAFTKMLEERNINAKTLQMHEASQTLIQLADGTEELAVKERVMFSPVLKKWHPVAAGVAAVALHECYGTLLKQYLAGVTSLTNETVLLLQKAGKLEKVLFKMVAEDTEECEDGGKAMIREMASYEVDSVVITLLRQWIQEKLKKSREIIQRAKDTETWNPKSKNEPYAQSAVELMRQAKEVVDSFFEIPVSISEDFVRNLADGFEQIVREYITFIVSCGSKQSYIPALPPLTRCSQDSRFFKMWKRAASRVGIDSENQKLINEGNHPRPSTSRGTQRLYIRLNTLHYILSHLNSLEKSLALNPRVVPSPRRLSCSYFDQARSTVQVACQIVSEIAAYRLIFLDSNSVFYGSLYLRDVENSRIRPALRILKQNLTLLCAIVTERATPMAIKEVMKACFEAFLMVLLAGGSSRVFTKADHELIEEDFDNLKRLFYTCGEGLMPEDVVDKEAQAVEGVVALMGQSTQQLVENFSLLACEASGIGLMGPGQKLPMPPTTGRWHRSDPNTILRVLCYRNDKVANHFLKKSFQLAKRRG >itb09g02030.t1 pep chromosome:ASM357664v1:9:1229305:1230496:-1 gene:itb09g02030 transcript:itb09g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPKRVKQAEVFSSAQAPPRLTGEEEYSVMVTALKHVIDGSAAAMESNFDHFFTPFQSLSAASTSTSTAASWPPSTARTELPPVFRVPEGHTCQFCEIKGCLGCNFFGAPEIGAEDKNKKKNTGKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFNTAEEAARAYDRAAIEFRGPRAKLNFSFADYTSYAPPEQSTSSVSSSHHHPPPQPLYLQQQQQSPQNMEFLAGTRKEKQVWESAGDQDFQDWMMMMDFNTDSSDSASGNLHSF >itb08g02470.t5 pep chromosome:ASM357664v1:8:1942293:1943512:-1 gene:itb08g02470 transcript:itb08g02470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTETRDGLCFQNNWANFVEDNSITNGDCLVFSYDGYGMFDCVLFDQFGCEKIVVRDAQSQVNKEVKQEEDDDDDKNDCGDEDDCNFVNEDVKNEVEDDGGSDDEDDSNFVTEEDENEVEEDDDDDDDEEVKTSMFGFTKSKQKRNNSCGFKKCTGASEGEGSSSKKNKNVFDQFGIELFSSGQYIQPKNPYFVTKLRPKDRGALVIIPNISSHCRNFLS >itb08g02470.t3 pep chromosome:ASM357664v1:8:1942293:1943815:-1 gene:itb08g02470 transcript:itb08g02470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVRTFRFCKHFCQETGSQKLEIPISFKEYVGGVVPKKNNWANFVEDNSITNGDCLVFSYDGYGMFDCVLFDQFGCEKIVVRDAQSQVNKEVKQEEDDDDDKNDCGDEDDCNFVNEDVKNEVEDDGGSDDEDDSNFVTEEDENEVEEDDDDDDDEEVKTSMFGFTKSKQKRNNSCGFKKCTGASEGEGSSSKKNKNVFDQFGIELFSSGQYIQPKNPYFVTKLRPKDRGALVIIPNISSHCRNFLS >itb08g02470.t1 pep chromosome:ASM357664v1:8:1942293:1943964:-1 gene:itb08g02470 transcript:itb08g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVRTFRFCKHFCQETGSQKLYIPIDVIKDHNIALPPTLILRDTRGREWKSEVKNWKDGRTWLSTGGWQSLCRVNLVDKDDKCICEFVCSNTNDMLLQVTIVRGQTTKNVK >itb08g02470.t2 pep chromosome:ASM357664v1:8:1942293:1943964:-1 gene:itb08g02470 transcript:itb08g02470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVRTFRFCKHFCQETGSQKLEIPISFKEYVGGVVPKKNNWANFVEDNSITNGDCLVFSYDGYGMFDCVLFDQFGCEKIVVRDAQSQVNKEVKQEEDDDDDKNDCGDEDDCNFVNEDVKNEVEDDGGSDDEDDSNFVTEEDENEVEEDDDDDDDEEVKTSMFGFTKSKQKRNNSCGFKKCTGASEGEGSSSKKNKNVFDQFGIELFSSGQYIQPKNPYFVTKLRPKDRGALYIPIDVIKDHNIALPPTLILRDTRGREWKSEVKNWKDGRTWLSTGGWQSLCRVNLVDKDDKCICEFVCSNTNDMLLQVTIVRGQTTKNVK >itb08g02470.t4 pep chromosome:ASM357664v1:8:1942293:1943512:-1 gene:itb08g02470 transcript:itb08g02470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTETRDGLCFQNNWANFVEDNSITNGDCLVFSYDGYGMFDCVLFDQFGCEKIVVRDAQSQVNKEVKQEEDDDDDKNDCGDEDDCNFVNEDVKNEVEDDGGSDDEDDSNFVTEEDENEVEEDDDDDDDEEVKTSMFGFTKSKQKRNNSCGFKKCTGASEGEGSSSKKNKNVFDQFGIELFSSGQYIQPKNPYFVTKLRPKDRGALYIPIDVIKDHNIALPPTLILRDTRGREWKSEVKNWKDGRTWLSTGGWQSLCRVNLVDKDDKCICEFVCSNTNDMLLQVTIVRGQTTKNVK >itb09g08600.t1 pep chromosome:ASM357664v1:9:5127475:5129194:1 gene:itb09g08600 transcript:itb09g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSAALPLSLFLLLCFSAAANGFNITRILAKHPEFSTFNHYLTITHLAGEINRRMTITVCAVDNAGMSDLLDKHYSLFTIKNILSLHVFADYFGSRKLHQMNHGTTLTATIYQATGEAPGTAGYVNITSLKGGKVGFASEDNDGHFTSTYVKSLLEEPYKIAVLQISHAIISPAAEAPAAGPTDVNLTTLMTKQGCKNFADLLSAAGAEETFTSNVQTGLTVFCPEDKVVEDFMPKYKNLTKAKKLSLLYYHGVPIYHSLAMLKSSNGLVNTLATEGSNKYDITVKNDGETVSLDTKVVTATITGTMYDEEPLALFKLDKVLQPRQLFKAAAAESPDEAPAPKGAKKKKSSKSGAVDDGDDGDAPEPSSDDDEPADDTASDKNGGSAVIVGGWVMRVFLALCVGSFVIIS >itb09g08600.t2 pep chromosome:ASM357664v1:9:5128161:5128823:1 gene:itb09g08600 transcript:itb09g08600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGCKNFADLLSAAGAEETFTSNVQTGLTVFCPEDKVVEDFMPKYKNLTKAKKLSLLYYHGVPIYHSLAMLKSSNGLVNTLATEGSNKYDITVKNDGETVSLDTKVVTATITGTMYDEEPLALFKLDKVLQPRQLFKAAAAESPDEAPAPKGAKKKKSSKSGAVDDGDDGDAPEPSSDDDEPADDTASDKNGGSAVIVGGWVMRVFLALCVGSFVIIS >itb15g07080.t1 pep chromosome:ASM357664v1:15:4761308:4763092:-1 gene:itb15g07080 transcript:itb15g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIVFFLIIIPSLGFATDDEHAGRDCLPEGDKDLLEFPLNLEYLEAEFFLWGAFGYGLDKQAPNLTGGGPAPIGVKEAKLSPLVKDIIGQFGLQEVGHVRAIKETVPGFPRPLLNLSAETFATVINDAFGKPLEPTFDPYANDINYVIASYIIPYVGLTGYVGANPKLVSYVAKRLVAGLLGVEAGQDAVLRTILYERKDEEVAPYGITVAEFTDRISDLRNKLGKAGVKDEGLVVEPSQGPEGISSGNILAGDANSLAYDRTPEEILRIVYGTGKENKPGGFYPKGADGKIAKSYLPNTSSSD >itb01g11520.t1 pep chromosome:ASM357664v1:1:10510321:10510837:-1 gene:itb01g11520 transcript:itb01g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSAISALLGAAEMKDLEKGVEIHNYTIQEGIDSDIMIATSLMTMYAKCGKLSSAEFLFHNSVAKDEVSWNTMIAGYVQSEPEVYECGCVCYELFESENEVYICRRP >itb12g18990.t1 pep chromosome:ASM357664v1:12:21288541:21291373:-1 gene:itb12g18990 transcript:itb12g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDRKEESLVEKIADKIHNHDSSSSSDSEEEEKKTTSSMEAKVRRLFGRQQPVHKVLGGGKQADVFLWRNKKISAGVLGGATAIWILFEVLEYHLLTAVCHGLILALAVLFLWSNASSFIKKSAPHIPEVHIPEEPVLQFASALRNDVNHGLAVLRDIASGRDLKMFLMVITGLWIVSIVGSCCDFLTLFYIAVVLLHTVPVLYEKYEDEVDAFAEKAMHELKKQYAVFDAKVLSKIPRGPLKDKKLA >itb09g03210.t1 pep chromosome:ASM357664v1:9:1802638:1804404:1 gene:itb09g03210 transcript:itb09g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYTSYPESGDSSPRSREIEFENPPWEDPNQPLPPNYKVKFMCSYGGKIHPRPHDNQLTYIGGETKILSVERNIKYPQIISKLASLCDFDTVSFKYQLPGEDLDALISVTTDDDLEHMMHEYDRLYRASPKPARLRLFIFPANPPTVISQSRSFGSDDTKSEKDRFVEALNSGPVQTNPAPSAVVPQPGNADFLFGLEKGAPAPPQVKLRDPGMETVVKEPEMPAPCMDDRMIGSDPIQKHIQDLQRLHLEEQQNMYRRRSDDNLSQQYAGGDYYLSKMPEKMTPVTVQGTLSPQVGYWTEKQMPSGVLPASSLGTEQPVYMIPATASAYHAPMVRPMAQPTAQGYYAVQRMPPEAYRDQTVYNVMSNVPPSVPTVATGQPTLPPQAAQKVPGYSDGYGMVRPQNTGTVGIGMTTDAGGYAQMAYDGGVGRQVYYTPGGMVAQSAPQQQQQYQATSAPQQQQYQATSAPQQQQQYQAAKPAAAVNSDSRPPANLNQDAGKVVPKATQASV >itb04g00700.t1 pep chromosome:ASM357664v1:4:380125:385360:1 gene:itb04g00700 transcript:itb04g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIANLKENLNRMALEIHDDDENDAALSLYRNSGDRAQNSSVTPADRRISRNFSPSKSLTHRHSPIANGFDSAYENEILKNKADVKRLQQSEAEVKELSVVYDALLKEKEDEMSRLNEETISLIPNLETSFVLNASRNVLNGNSCQSSGQQHKPIVKSYSIGSPANILDSPGHDVFNNGTLWCSDNELVDFMEGKNRSLVSTQTVYELRLKQLRMELDKKCRKMAIIVNKLQEEQKLNASFEQELNSLKVGNDNMTLELKRIHDELNQKTSEIQQLQMELHTRDNEETNELMATNLRRVIVTLQNENSTLKTEKEKLEAALIASQLSPGQTSLPGGNETQSPPVFPKQEEMEKLLQKLEIDLNEMRKERDKALQELNRLKQHLLEKESEESEKMDEDGKLIEQLQQNNEHLRAQVLHLETALKQSATSQEEVKSSIDNELKKAKETIDELNGKLASRLSVIEAKNIEILNLQTALGQYYAELEAKERLGEKLTTAKEELTRLSGLLKDSHQQSETLKREKVEVLAKLSDAERKLSERKSRLNKLEQDNEKLSRALEQSMTRLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLLARMLGFSDEEKQRIGIAQQGSGKGVIRGVLGLPGRLVGGIFGGGSITSNMNPNNQSFVDLWVDFLLKETEERERREAAEEYKGDEQGEDVSAAMEHRPNASATSLSSSLQIDPPEHSDSEFSTVPLTSPATNIPFSRLPRE >itb04g00700.t2 pep chromosome:ASM357664v1:4:380125:385360:1 gene:itb04g00700 transcript:itb04g00700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIANLKENLNRMALEIHDDDENDAALSLYRNSGDRAQNSSVTPADRRISRNFSPSKSLTHRHSPIANGFDSAYENEILKNKADVKRLQQSEAEVKELSVVYDALLKEKEGNSCQSSGQQHKPIVKSYSIGSPANILDSPGHDVFNNGTLWCSDNELVDFMEGKNRSLVSTQTVYELRLKQLRMELDKKCRKMAIIVNKLQEEQKLNASFEQELNSLKVGNDNMTLELKRIHDELNQKTSEIQQLQMELHTRDNEETNELMATNLRRVIVTLQNENSTLKTEKEKLEAALIASQLSPGQTSLPGGNETQSPPVFPKQEEMEKLLQKLEIDLNEMRKERDKALQELNRLKQHLLEKESEESEKMDEDGKLIEQLQQNNEHLRAQVLHLETALKQSATSQEEVKSSIDNELKKAKETIDELNGKLASRLSVIEAKNIEILNLQTALGQYYAELEAKERLGEKLTTAKEELTRLSGLLKDSHQQSETLKREKVEVLAKLSDAERKLSERKSRLNKLEQDNEKLSRALEQSMTRLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLLARMLGFSDEEKQRIGIAQQGSGKGVIRGVLGLPGRLVGGIFGGGSITSNMNPNNQSFVDLWVDFLLKETEERERREAAEEYKGDEQGEDVSAAMEHRPNASATSLSSSLQIDPPEHSDSEFSTVPLTSPATNIPFSRLPRE >itb12g11840.t1 pep chromosome:ASM357664v1:12:10297281:10297907:1 gene:itb12g11840 transcript:itb12g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSEDGVGASRGGGAEKKKRGPKQKNPYKGIRMRKWGKWVAEIRDTNTRLWLGSYYTPVAAARAYDVALYYLRGPGAKLNFPDCLVGDGHHRQLTPKEIQNRATTVGYRIDAIQRGIHTSSTQMSVNVVDHRPSSTQMSVNVVDHRPESTQMSVNVVDHRPESTQMSVNVVDHRPESDVYVTPDLNKYPHLDVYVTPDLNKYPHLD >itb03g20430.t1 pep chromosome:ASM357664v1:3:18354462:18354779:1 gene:itb03g20430 transcript:itb03g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEGEGGGTVSDGGGATSGGRETAAVRRVVEERRLRLVRDLGRKREMVSSCGGEEGAMGEGRRSLLRCGSLAEEPRRWWKAEQLLRRRKARKLLLVVLTAEVR >itb13g20890.t1 pep chromosome:ASM357664v1:13:27616913:27619592:-1 gene:itb13g20890 transcript:itb13g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPESKVACETCTKTNMVMVFGEITTKAEIDYEKIVRDTCRAIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKDGTCAWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIARDLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKEHFDFRPGMIAINLDLKRGGNSRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQA >itb15g04880.t1 pep chromosome:ASM357664v1:15:3161612:3164578:1 gene:itb15g04880 transcript:itb15g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSKYEMNNNVIDDEACPLEYRIHHWSKERRLYSTGRPATLILNSPVAGVRFREIHRRRRSEIEVIIRRSVKNMDPEAARTSRDSLDLAFHMSNILDTGLDRQTLSILIALCDMGLNPEALAAVVKELARESSSSSPAAAATRS >itb09g17830.t1 pep chromosome:ASM357664v1:9:13201594:13208751:1 gene:itb09g17830 transcript:itb09g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MQRPQRMTYPRGGEAKAVSIWQTCIGASTTPSSAPGRLKNSQSFQDTLRIQSPRPTFVAPTAFSAIVYIDFADMEENAQKSPQIAYPAEIADAKYIARLSTILVATIEEAKDRISQIEYIFCSQLFPNFQSNSKCLQQIFMEAKNAAEAAWKEKENDLLLQMEKIQLAKQQILEENLSLKLENAKLLDGEFLWKKQIKELQEDIEHRSCELNELREVHEQLSKLLESKASLLRKYEDTQKELEHKNVLLLKTQQNLELEVQRLQMEVKNKSREIDGDLELQRKLVQMAQEKTSSVAYKERQLKKYEEKTEMLHSELHEAQRKVEKLQEELGEKSTAIEKAMEVQESLLNKVQSKDLELMNNEQLLSKFQSEKELLMAKMKNLEVHVDELQIELRKKNVDVEDGRKLHEGLLQQIDLYCLERSKTGQELEELEKEKKQLLAKLKDFREKAEELQANLQERSMESSQGLVLDGKLLEQIEAKDSELLSAKKKIKDISNAYKSLKSQYNFLRARAGLTSDNVKTKIKLEDESKLRHNQSPLTKDGVETDVPRATIISCEEPKQENALEETGNNEVVKLVQRSNSVSPLIANTSIALKEPQYVKSCLPAGRKRSGSYWRDTRSHQSRVGPDPHDDFLDTPLENVRRNTGNVIKEDISNLPKAVPKDLDCENSDDETQDMNIDQDPQKQQIPSSRPSTSGFKYIEPVRKKSERENLKGIECKQCKKFYDAVLPSEGKDFGATAQNLRCEHHDGVSRHRYRYAPPSTPEGFWNIGFESEM >itb09g23550.t1 pep chromosome:ASM357664v1:9:22909415:22916344:1 gene:itb09g23550 transcript:itb09g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLERHENSILRQENDKLRAENMSIREAMRNPLCTNCGGPAMIGEISLEEQHLRIENVRLKDELDRVCALAGKFLGRPVSSLPNINSSLELGVGSNGFGGLSVVPSTVPIAPPPPPDFSVALPTRQGSGVGIERSLERSMYLELALAAMDELVKVAQTDEPLWVRGVEGGREVLNQEEYLRSFSPCIGLRPSGFVSEASRETGMVIINSLALVETLMDCGKWGEMFPCLIARTSTTDVISGGMGGTRNGALQLMYAELQFLSPLVPIRKVNFLRFCKQHAEGVWAVVDVSIDAIRQSSAAPNATRRLPSGCVVQDMPNGYSKVTWVEHADYDESEVHQLYRGLIGAGMGFGAQRWIATLQQQCECLAILMSSALPTRDHTAISPSGRRSMLKLAQRMTNNFCAGVCASSVHQWNKLCAGNVDEDVRVMTRKSVDDPGEPSGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMHEMAHIAKGQDHGNCVSLLRASVIISLSLSLLSTHFFLFFVFFFQFLDG >itb09g23550.t2 pep chromosome:ASM357664v1:9:22909436:22911828:1 gene:itb09g23550 transcript:itb09g23550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGFLDNSSSIGSGGEGDVVGGAKIVADIPYNSSSDMASGAIAQPSLVPHSLTTKSMFNSPGLSLGLQTGMEGQGEVARVPDSYEANNSNTNNSVGRRSREEEPESRSGSDNFEGASGDDQDAADDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRSELSKRLCLENRQVKFWFQNRRTQMKTQLERHENSILRQENDKLRAENMSIREAMRNPLCTNCGGPAMIGEISLEEQHLRIENVRLKDELDRVCALAGKFLGRPVSSLPNINSSLELGVGSNGFGGLSVVPSTVPIAPPPPPDFSVALPTRQGSGVGIERSLERSMYLELALAAMDELVKVAQTDEPLWVRGVEGGREVLNQEEYLRSFSPCIGLRPSGFVSEASRETGMVIINSLALVETLMDCVSS >itb05g21590.t1 pep chromosome:ASM357664v1:5:27268972:27279382:1 gene:itb05g21590 transcript:itb05g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANFCRPKFTLSSYHHLPLHHHHHHHHHHHSTTNLNFTYFSNHRRKHNAPSTRRRNFRVHCQATATDLELAARVGKDRLLKVPISNIRNFCIIAHIDHGKSTLADKLLQLTGTVESREMKEQFLDNMDLERERGITIKLQASRMRYMYNGEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPSRVSQEIEEIVGLDCSDAILCSAKEGIGITEILNAIVQKIPPPQNTAGRPLRALIFDSYYDPYRGVIVYFRVIDGTIKKGDRILFMASGKDYTVDEIGVLSPNQMQVNELYAGEVGYVSASIRSVADARVGDTITHYGRSAEQSLPGYKEATPMVFCGLFPVDADQFAELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYQVNCITGDTVECSNPSLLPEPGKRKSIEEPYVKIEMLTPKEYIGALMELAQDRRGEFKEMKFIAENRAAIIYELPLAEMVGDFFDQLKSRSKGYASMEYNFIGYKESDLIKLDILINGDPVEPLSTIVHKDKAYGVGRALTQKLKELIPRQMFKVPIQACIGSKVIASEALSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKSIGKVDVPQEAFMAVLKLEKEVL >itb12g21090.t2 pep chromosome:ASM357664v1:12:23473430:23481536:-1 gene:itb12g21090 transcript:itb12g21090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEESGSPGWGASLYQTTEDVAKAVAAAAAAVRSPRPSVVYSAKDDTGSPMKKLQNQVSKLIKGLSSPPEAKSRLYNPEILTSQKRQWANFQLQSLDHRVWKEPSRLFESMVVVGLHPSCDIHALQSLYFSRKSEGSGRFRSALSGQHHSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPAGVEVHAVERTPSMSELNEILLGQEHLKQSDQSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLISMMSEGQPSQNGLSRHILTTRRCYCILSRLPYFELHFGVLNSIFIEERLERLTKQIGDLDINPSTLFDKEDFEEKSSSTSLDDREHNLLDGAPDNSQSSTCESVTVININERSHAENESLEGCNSSSKETSCDNAVAVDSDIQKHHETKVDIYPSEEASCADIGKHHSTKEDVSSKEASSGGIEKQHETKGDASSSKEASSSDLEKQKQHETKGDASSSKEASDSNDVPIDGDIEKHHDSKEDISSKEASSDNAIPIDDDTEKHHDSKGDVIGSERLDSDTCEDDNKHLVERSLPNAVLPLLRYQQYESSDSSYSFQGSPSEDRHFRSDIDEAETEVSFSGQDESSQNSDILEWAKDSNHGSLQIICEYYKLQLPASGSTIKFHPLDHLHPLEYHRPDETVLHVAGSTIDLKSCSTSLELAEVHNALMVEEEANALSVWAIACLCGSLRLEHVLTLFAAALLEKQVVVVCSNLGILSACIMAIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKNSELQSKLTNVVLVDVNKNQMKSHSIPQLPQQKELYASLSSYHGKLVGESFLGKKRPVYECTDVQAEAARGFLRVLRSYLDSLCSNLRCHTITNVQSNNDKVSLLLKDSFIESFPYRDRPFMKLFVDTQLFSVHTDFVLSFFQKE >itb12g21090.t1 pep chromosome:ASM357664v1:12:23473430:23481536:-1 gene:itb12g21090 transcript:itb12g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPILNIPGEISRREGFRMEKIEESGSPGWGASLYQTTEDVAKAVAAAAAAVRSPRPSVVYSAKDDTGSPMKKLQNQVSKLIKGLSSPPEAKSRLYNPEILTSQKRQWANFQLQSLDHRVWKEPSRLFESMVVVGLHPSCDIHALQSLYFSRKSEGSGRFRSALSGQHHSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPAGVEVHAVERTPSMSELNEILLGQEHLKQSDQSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLISMMSEGQPSQNGLSRHILTTRRCYCILSRLPYFELHFGVLNSIFIEERLERLTKQIGDLDINPSTLFDKEDFEEKSSSTSLDDREHNLLDGAPDNSQSSTCESVTVININERSHAENESLEGCNSSSKETSCDNAVAVDSDIQKHHETKVDIYPSEEASCADIGKHHSTKEDVSSKEASSGGIEKQHETKGDASSSKEASSSDLEKQKQHETKGDASSSKEASDSNDVPIDGDIEKHHDSKEDISSKEASSDNAIPIDDDTEKHHDSKGDVIGSERLDSDTCEDDNKHLVERSLPNAVLPLLRYQQYESSDSSYSFQGSPSEDRHFRSDIDEAETEVSFSGQDESSQNSDILEWAKDSNHGSLQIICEYYKLQLPASGSTIKFHPLDHLHPLEYHRPDETVLHVAGSTIDLKSCSTSLELAEVHNALMVEEEANALSVWAIACLCGSLRLEHVLTLFAAALLEKQVVVVCSNLGILSACIMAIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKNSELQSKLTNVVLVDVNKNQMKSHSIPQLPQQKELYASLSSYHGKLVGESFLGKKRPVYECTDVQAEAARGFLRVLRSYLDSLCSNLRCHTITNVQSNNDKVSLLLKDSFIESFPYRDRPFMKLFVDTQLFSVHTDFVLSFFQKE >itb12g21090.t3 pep chromosome:ASM357664v1:12:23473538:23481529:-1 gene:itb12g21090 transcript:itb12g21090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGLHPSCDIHALQSLYFSRKSEGSGRFRSALSGQHHSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPAGVEVHAVERTPSMSELNEILLGQEHLKQSDQSFVFRLQVADNSTLYGCCVLVEEIVQKPSGLISMMSEGQPSQNGLSRHILTTRRCYCILSRLPYFELHFGVLNSIFIEERLERLTKQIGDLDINPSTLFDKEDFEEKSSSTSLDDREHNLLDGAPDNSQSSTCESVTVININERSHAENESLEGCNSSSKETSCDNAVAVDSDIQKHHETKVDIYPSEEASCADIGKHHSTKEDVSSKEASSGGIEKQHETKGDASSSKEASSSDLEKQKQHETKGDASSSKEASDSNDVPIDGDIEKHHDSKEDISSKEASSDNAIPIDDDTEKHHDSKGDVIGSERLDSDTCEDDNKHLVERSLPNAVLPLLRYQQYESSDSSYSFQGSPSEDRHFRSDIDEAETEVSFSGQDESSQNSDILEWAKDSNHGSLQIICEYYKLQLPASGSTIKFHPLDHLHPLEYHRPDETVLHVAGSTIDLKSCSTSLELAEVHNALMVEEEANALSVWAIACLCGSLRLEHVLTLFAAALLEKQVVVVCSNLGILSACIMAIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKNSELQSKLTNVVLVDVNKNQMKSHSIPQLPQQKELYASLSSYHGKLVGESFLGKKRPVYECTDVQAEAARGFLRVLRSYLDSLCSNLRCHTITNVQSNNDKVSLLLKDSFIESFPYRDRPFMKLFVDTQLFSVHTDFVLSFFQKE >itb10g25950.t1 pep chromosome:ASM357664v1:10:29149569:29154736:1 gene:itb10g25950 transcript:itb10g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTADDQLDFDDEEYGGGQKMQYHGGGTIPALAEEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPKPPDTVVNGGSQAPENNMSEPRAGAITSQEMNPGVASSGLRFPEQKSGLTAERGPNQAADAPEKARPPSMTRDPQVGNMGFQGSVPMSHRTGSDPADISGNAVNESMPLQNSAAGGSRGAPLMPAHQINSNANINMNSSMMNENQMRPAIENGNTMLFVGELHWWTTDADLESVLIQYGKVKEIKFFDERASGKSKGYCQVEFYDPSAATACKEGMNGHLFNGRACVVAFASPQTIKQMGVSYMNKTQNQVQAQPRRPMNEGLNRGSGTSFPSGDQGRNFGRGGWGRGGQGMPNRGPGGGPARGRGAMGAKNMVGNVPGANANMSGGAYGQGIAGPGFGGPPGLMHPQGMMGPGFDPGYMGRGAGYGGFSGPGFPGMLPPFPAVNPMGLAGVAPHVNPAFFGRGMAANGMGMMGTTGMDGPHPGMWTDTSTGGWGGEEHERRTRESSYGGEDNASEYGYGEASHDKGARSTAASREKERASERDWSGNSEKRHRDDREYERDRYDREHRYRDERDGYRDYRHKERELDYEDDYDRGHSSRSRSRSRAVQEEDHRSRSRDTDYGKRKRLPSE >itb10g25950.t3 pep chromosome:ASM357664v1:10:29149569:29153684:1 gene:itb10g25950 transcript:itb10g25950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTADDQLDFDDEEYGGGQKMQYHGGGTIPALAEEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPKPPDTVVNGGSQAPENNMSEPRAGAITSQEMNPGVASSGLRFPEQKSGLTAERGPNQAADAPEKARPPSMTRDPQVGNMGFQGSVPMSHRTGSDPADISGNAVNESMPLQNSAAGGSRGAPLMPAHQINSNANINMNSSMMNENQMRPAIENGNTMLFVGELHWWTTDADLESVLIQYGKVKEIKFFDERASGKSKGYCQVEFYDPSAATACKEGMNGHLFNGRACVVAFASPQTIKQMGVSYMNKTQNQVQAQPRRPMNEGLNRGSGTSFPSGDQGRNFGRGGWGRGGQGMPNRGPGGGPARGRGAMGAKNMVGNVPGANANMSGGAYGQGIAGPGFGGPPGLMHPQGMMGPGFDPGYMGRGAGYGGFSGPGFPGMLPPFPAVNPMGLAGVAPHVNPAFFGRGMAANGMGMMGTTGMDGPHPGMWTDTSTGGWGGEEHERRTRESSYGGEDNASEYGYGEASHDKGARSTAASREKERASERDWSGNSEKRHRDDREYERDRYDREHRYRDERDGYRDYRHKERELDYEDDYDRGHSSRSRSRSRAVQEEDHRSRSRDTDYGKRKRLPSE >itb10g25950.t2 pep chromosome:ASM357664v1:10:29149569:29154736:1 gene:itb10g25950 transcript:itb10g25950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTADDQLDFDDEEYGGGQKMQYHGGGTIPALAEEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPKPPDTVVNGGSQAPENNMSEPRAGAITSQEMNPGVASSGLRFPEQKSGLTAERGPNQAADAPEKARPPSMTRDPQVGNMGFQGSVPMSHRTGSDPADISGNAVNESMPLQNSAAGGSRGAPLMPAHQINSNANINMNSSMMNENQMRPAIENGNTMLFVGELHWWTTDADLESVLIQYGKVKEIKFFDERASGKSKGYCQVEFYDPSAATACKEGMNGHLFNGRACVVAFASPQTIKQMGVSYMNKTQNQVQAQPRRPMNEGLNRGSGTSFPSGDQGRNFGRGGWGRGGQGMPNRGPGGGPARGRGAMGAKNMVGNVPGANANMSGGAYGQGIAGPGFGGPPGLMHPQGMMGPGFDPGYMGRGAGYGGFSGPGFPGMLPPFPAVNPMGLAGVAPHVNPAFFGRGMAANGMGMMGTTGMDGPHPGMWTDTSTGGWGGEEHERRTRESSYGGEDNASEYGYGEASHDKGARSTAASREKERASERDWSGNSEKRHRDDREYERDRYDREHRYRDERDGYRDYRHKERELDYEDDYDRGHSSRSRSRSRAVQEEDHRSRSRDTDYGKRKRLPSE >itb10g25950.t4 pep chromosome:ASM357664v1:10:29149569:29153684:1 gene:itb10g25950 transcript:itb10g25950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTADDQLDFDDEEYGGGQKMQYHGGGTIPALAEEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPKPPDTVVNGGSQAPENNMSEPRAGAITSQEMNPGVASSGLRFPEQKSGLTAERGPNQAADAPEKARPPSMTRDPQVGNMGFQGSVPMSHRTGSDPADISGNAVNESMPLQNSAAGGSRGAPLMPAHQINSNANINMNSSMMNENQMRPAIENGNTMLFVGELHWWTTDADLESVLIQYGKVKEIKFFDERASGKSKGYCQVEFYDPSAATACKEGMNGHLFNGRACVVAFASPQTIKQMGVSYMNKTQNQVQAQPRRPMNEGLNRGSGTSFPSGDQGRNFGRGGWGRGGQGMPNRGPGGGPARGRGAMGAKNMVGNVPGANANMSGGAYGQGIAGPGFGGPPGLMHPQGMMGPGFDPGYMGRGAGYGGFSGPGFPGMLPPFPAVNPMGLAGVAPHVNPAFFGRGMAANGMGMMGTTGMDGPHPGMWTDTSTGGWGGEEHERRTRESSYGGEDNASEYGYGEASHDKGARSTAASREKERASERDWSGNSEKRHRDDREYERDRYDREHRYRDERDGYRDYRHKERELDYEDDYDRGHSSRSRSRSRAVQEEDHRSRSRDTDYGKRKRLPSE >itb13g07400.t3 pep chromosome:ASM357664v1:13:9172375:9175646:-1 gene:itb13g07400 transcript:itb13g07400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQILTIEPLELRFPFELKKQISCSLQLSNRSDNHVAFKVKTTNPKKYCVRPNIGIVLPRSTCDVIVTMQAQKEMPPNLQCKDKFLVQSVVATPGATPKDITGEMFNKEAGSGVEECKLKVTYVPPPQPPSPVAEESEADLLTENCNTNGSEVTRSFNDTHDSSSEVKLLSKPSSEYIIMAVAYMHTWSGDVLR >itb13g07400.t1 pep chromosome:ASM357664v1:13:9172375:9175646:-1 gene:itb13g07400 transcript:itb13g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQILTIEPLELRFPFELKKQISCSLQLSNRSDNHVAFKVKTTNPKKYCVRPNIGIVLPRSTCDVIVTMQAQKEMPPNLQCKDKFLVQSVVATPGATPKDITGEMFNKEAGSGVEECKLKVTYVPPPQPPSPVAEESEADLLTENCNTNGSEVTRSFNDTHDSSSEARPLTSNLTEEKAPAVQQSDAPRQQLELTKHDANKRGFGSASIMFIIGVALLSVVVGYFLKSS >itb13g07400.t2 pep chromosome:ASM357664v1:13:9173128:9175636:-1 gene:itb13g07400 transcript:itb13g07400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQILTIEPLELRFPFELKKQISCSLQLSNRSDNHVAFKVKTTNPKKYCVRPNIGIVLPRSTCDVIVTMQAQKEMPPNLQCKDKFLVQSVVATPGATPKDITGEMFNKEAGSGVEECKLKVTYVPPPQPPSPVAEESEADLLTENCNTNGSEVTRSFNDTHDSSSEARPLTSNLTEEKAPAVQQSDAPRQQLVRHLRISICSFWV >itb03g01890.t1 pep chromosome:ASM357664v1:3:1066362:1070384:1 gene:itb03g01890 transcript:itb03g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCSFVIKWFHLHKLLTLILIFSYAGLGLGSDQAALLEFKSSVSEASGAFSSWSSSNSHHCSWVGVSCDSNFRVVALNISGGGNSGCFYFDNIAKFPLYGFGIRRACENSSVKLVGKLSAAVAKLTELRILSLPFNELIGEIPVGIWGMEKLEVLDLEGNMISGSLPSEIKGLRNLRVLNLGFNKIVGSIPNSLSACVGLQVLNLAGNQVNGSIPGFVGEFSDLRGIYLSFNLFSGPIPSEIGMNCENLEYLEVAGNVLTDVIPKSLGNCRRLKSILLYSNILEEDIPAELGQLNQLQVLDVSRNSLSGAIPSELGNCTELSILVLSNTWDVLSDTSSTAKLASSSDDFNFYDGTIPAEVTNISSLQMVWAPRAILEGKLLSSWGDCERLVMVNLAQNFFMGEFGEAFSNCKKLQFLDLSFNRLTGPLPEKLPVPCMTMFDVSGNLLSGSIPRFSNTTCSRGDRIELYDASSAYLLLFARRALQDATSSLFDDGSALAVVHNFGGNNFTGQLPSIPVAPEMLEEPTVYAFLAGGNRFTGPLSETVFEKCHQLKSMIINVSNNGLYGHIPADIGSSCVSLKLLDASKNQIAGTVPPTVGNLVSLVSLNLSRNMLQGPIPSNLGLIKDLQYLSLAGNNLSGHIPTSLGQLHSLDSLDLSSNSLTGEIPREFVNLRNMTTLLLNNNRLSGQIPTGFANVTTVAVFNVSYNNLSGPLPRNDDLMKCNSVIGNPLLQSCHIFSLSSPSPDQPGVLADSTDPTNSQSKGSTQGGRSAGLTSIEIAAITSAAAIVSVLFALIILFFYTRKWSPRSRVAGSTRKEVTVFNEIGVPVTFETVVQATDNFNNASNCIGNGGFGSTYKAEIAPGVLVAVKRLAVGRFQGFLQFDAEIKTLGRLRHPNLVTLIGYHASESEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDEEYNAYLSDFGLARLLGTSETHATTGPAGTFGYVAPEYAMTCRVSDKADVYSYGIVLLELISDKKVLDPSFSSYGHGFNIVSWACMLLRQGRAKEFFTAGLWDSGPHDDLVELLHYAVICTVETLSTRPTMKQVVRRLKQLQPPSC >itb09g10950.t1 pep chromosome:ASM357664v1:9:6849798:6850766:-1 gene:itb09g10950 transcript:itb09g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGLSMLLVIWLFWLPQLGSAQLSGSALAAARSLDALLQDYAYQAFGRRPKTGLVYEGNVPSNLTGIKVSALRLRSGSLRSKGVTYNEFEMPVEVRVHPYVKRLVLVYQNLGNLSMKYYPLSGYMYLAPVLGLLAYDATNLSATNLQEWNITASDKPISIRFPDVKSVPGGSTAKCVSIDLKGSVNFSNVLSDNICTTFQQGHFSIVVESIAPSPAPVFPPPPPPAGAPKQAQAPSSEGKKSKKVGIIVGSVVGGLALLVLLAFLIVWVCKYKRKKKMQQMERASEVGEALQMTRVGSTKAPAATVTRTQPTLETEYVP >itb12g14610.t1 pep chromosome:ASM357664v1:12:14547480:14549096:-1 gene:itb12g14610 transcript:itb12g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQIPRPTSTIPVKQKKSTKIIIGSENQQPSLPLLLYLTNAVFFTAVYFLLVSWRDKIRHSKPLHVVSSSEMAALLALAISLFYLLGFFAHPLQEQENEEEEEEEEEKLKKKVPDVKSDPIIILSEEDEEIVKGVVEGRVPSYSLESKLGDCKRAAAIRREALERITGKSLDGLPLENFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGEEYSVPMATTEGCLVASTNRGCKAIYASGGATSVLLRDGMTRAPVVRFSTAKRAAQLKLYLEDPLSFETIAGAFNKSSRFARLQSIKCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLLTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEIVKKVLKTEVASLVELNMLKNLTGSAMAGALGGFNAHAANIVSAVYIATGQDPAQNIESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASKVEPGANSRRLAAIVAGAVLAGELSLMSAIAAGQLVNSHMKFNRSNKA >itb14g06800.t1 pep chromosome:ASM357664v1:14:6049412:6052731:1 gene:itb14g06800 transcript:itb14g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MSGGYRQPFPNPSSLSNSGCNGVCMVSNTWRDEQHPSFINFISSFLQENSFRLNFVPIAPDFIINCGGLSVAFIFVTNWDGVETKSIFCRVQKLKEQFANLYVVVTLPTQEQNDCFICSYFKYGMELGKPTFIPVKYLEMGMEKIVKIAHARGVCKRQDAVAKLKAEKEKSVQAMDAYLKVVSAIPGIDKHDANSLNQAIGSIEAIAKATKECILKNTDLSADKAEKISMFFRDMKYSLGPKIR >itb12g07340.t1 pep chromosome:ASM357664v1:12:5501040:5501945:-1 gene:itb12g07340 transcript:itb12g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMIKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCISVYSARSDGSWDTKRIDQAHPVGVTVVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPAIQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb02g05470.t1 pep chromosome:ASM357664v1:2:3280589:3283481:1 gene:itb02g05470 transcript:itb02g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MIKLKTKENQGMRWSALSLPLSVLLLSFLLFKFFHLKSQSPPSTPRLFTPEELALYNGSDPNLPILLGIIGSVFDVTKGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRDLSSTEVKSIVDWRDFYFRTYTFVGKLVGRYYDSDGNSTKYLKGAEAKAARGAQLLEKQKNEEAKIPSCNSRWSQDEGSEVWCDDGYPRLVQRPVEIAITGKMSKRCACFKKDELDQQGLEVYEGCDYFASKCKL >itb02g05470.t2 pep chromosome:ASM357664v1:2:3280591:3282960:1 gene:itb02g05470 transcript:itb02g05470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MIKLKTKENQGMRWSALSLPLSVLLLSFLLFKFFHLKSQSPPSTPRLFTPEELALYNGSDPNLPILLGIIGSVFDVTKGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRDLSSTEVKSIVDWRDFYFRTYTFVGKLVGRYYDSDGNSTKYLKGAEAKAARGAQLLEKQKNEEAKIPSCNSRWSQDEGSEV >itb02g05470.t3 pep chromosome:ASM357664v1:2:3280591:3283481:1 gene:itb02g05470 transcript:itb02g05470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MIKLKTKENQGMRWSALSLPLSVLLLSFLLFKFFHLKSQSPPSTPRLFTPEELALYNGSDPNLPILLGIIGSVFDVTKGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRDLSSTEVKSIVDWRDFYFRTYT >itb12g01190.t1 pep chromosome:ASM357664v1:12:858011:862332:1 gene:itb12g01190 transcript:itb12g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRASATNSHSWLYASHIRTKQSKWLDQSLQDMEEKVQSMLKMIEQDGDSFAKRAEMYYKRRPELINSVEEAYRAFRALAERYDNLSNQLHTANHTIATVFPEQIQMAMEDDDDFCSPRFPKNLQLPIEPISPKPLKGAKKDLKPIITIPSKPFQFKNKLSNGEESSVISTPPSAKAGMSKQEAIEEIDRLEKEICGLQTVKELVRSSYEAGISKFQEIDNKIMEMQQSVCRLQVEFGVGIEEDDKPRGDLIPESALESCQQSLAELQDEFGVSIEEARDLIPETAVKSCQESLAELQEKQEKCAQQARDESQKIADADRKLKSIKSEYLNDQTGETGEKYQEDESQRANQEPGDAKEGLQQTIKEEQIEGNSKGNFTVTDLTIKIDELMNKVVTLEIKVTSQTALLDTLRTESNDLHARIQSLEDDRASLNEDKHNLSNTVEKLEEELKKLKNLNQVVQNENITLQSQLAEALSRADNLSENLKTAKPDNKIESKESKEDMENKTGEQEATKTQKEGEATKPEVKKVTFAEPRILESPTKQQKNNGKNSPRLAELLQKDEKFNWQKMLLNGVEDKEKVLLKEYTTILRSYKDVKKQLEEMEKKDRDNQFEVALQISDLKATIAKKDQEIQTLHQKLNLLPGLTEEEKLSILNSSPSNQNHVSDMISIDKPANISPVEENLRAKIDAVLDENLDFWLRFSSSYHQIQKFKTAVKDLQDEVFQAIQGKAKKSQKTLKSEIQPAYKHLIETHTELSSWLEQSLFLKDELERRTWSLYRIQEDITQALRNGVEEDEIKFSSHQAAKFQGELLNMKQENVKVKEELQAGVDHVVSLQGEVEKVIKHLDQEYGFLVDHQELVSSKRFSDFKTKTRVPLRAFIFGAKLKKQRRSVFSCIQSDKYQVLRDGSHP >itb15g12500.t3 pep chromosome:ASM357664v1:15:10391037:10401357:1 gene:itb15g12500 transcript:itb15g12500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAERRLKAIQGHLLPLAGGDSFSLIRSNLTSGEYFQGQRYSVVLPEKLHTGKWDVYRSVLSPLKLVTRFPDHPDIGTLHDNFVHAVETFQDYKYLGTRIRVDGTIGEYKWMTYGEAGTARSAIGSGLRFCGLEPGARVGIYFINMPEWLIVDHACSAYSFISVPLYDTLGPDAVKYIANHANIEAIFCVPNTLNTLLSFLSEIPCVRVIVVVGGIDEHLPSLPSGTGIKLLSYSKLLTQGRANIQPFHPPKPEDIATICYTSGTTGTPKGVVLSHANLIASVAGMTLSIKFYPSDIYISYLPLAHIYERANQITSAYYGVAVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGIINAVKTSGALRERLFNAAYNSKKQAIMNGRKPSPMWDRLVFNKIKDKLGGRVRLMTSGASPLSPDVMEFLRVCFGCQVTEGYGMTETSCVISTMDEGDTLTGHVGSPNPACEIKLVDVPEMNYTSMDQPHPRGEICVRGPIVFKGYYKDEVQTREVVDEDGWLYTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSLNSSLVSIVCIDPDVLKDWASSEGIKYESLQQLCNDPRTRAAVLADMDAIGREAQLRGFEFAKAVTLVVEPFTVENGLLTPTFKVKRPQAKAYFARAISEMYNELSRSDPEQKVL >itb15g12500.t2 pep chromosome:ASM357664v1:15:10390706:10401354:1 gene:itb15g12500 transcript:itb15g12500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAERRLKAIQGHLLPLAGGDSFSLIRSNLTSGEYFQGQRYSVVLPEKLHTGKWDVYRSVLSPLKLVTRFPDHPDIGTLHDNFVHAVETFQDYKYLGTRIRVDGTIGEYKWMTYGEAGTARSAIGSGLRFCGLEPGARVGIYFINMPEWLIVDHACSAYSFISVPLYDTLGPDAVKYIANHANIEAIFCVPNTLNTLLSFLSEIPCVRVIVVVGGIDEHLPSLPSGTGIKLLSYSKLLTQGRANIQPFHPPKPEDIATICYTSGTTGTPKGVVLSHANLIASVAGMTLSIKFYPSDIYISYLPLAHIYERANQITSAYYGVAVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGIINAVKTSGALRERLFNAAYNSKKQAIMNGRKPSPMWDRLVFNKIKDKLGGRVRLMTSGASPLSPDVMEFLRVCFGCQVTEGYGMTETSCVISTMDEGDTLTGHVGSPNPACEIKLVDVPEMNYTSMDQPHPRGEICVRGPIVFKGYYKDEVQTREVVDEDGWLYTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSLNSSLVSIVCIDPDVLKDWASSEGIKYESLQQLCNDPRTRAAVLADMDAIGREAQLRGFEFAKAVTLVVEPFTVENGLLTPTFKVKRPQAKAYFARAISEMYNELSRSDPEQKVL >itb15g12500.t1 pep chromosome:ASM357664v1:15:10390623:10401357:1 gene:itb15g12500 transcript:itb15g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAERRLKAIQGHLLPLAGGDSFSLIRSNLTSGEYFQGQRYSVVLPEKLHTGKWDVYRSVLSPLKLVTRFPDHPDIGTLHDNFVHAVETFQDYKYLGTRIRVDGTIGEYKWMTYGEAGTARSAIGSGLRFCGLEPGARVGIYFINMPEWLIVDHACSAYSFISVPLYDTLGPDAVKYIANHANIEAIFCVPNTLNTLLSFLSEIPCVRVIVVVGGIDEHLPSLPSGTGIKLLSYSKLLTQGRANIQPFHPPKPEDIATICYTSGTTGTPKGVVLSHANLIASVAGMTLSIKFYPSDIYISYLPLAHIYERANQITSAYYGVAVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGIINAVKTSGALRERLFNAAYNSKKQAIMNGRKPSPMWDRLVFNKIKDKLGGRVRLMTSGASPLSPDVMEFLRVCFGCQVTEGYGMTETSCVISTMDEGDTLTGHVGSPNPACEIKLVDVPEMNYTSMDQPHPRGEICVRGPIVFKGYYKDEVQTREVVDEDGWLYTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVYGDSLNSSLVSIVCIDPDVLKDWASSEGIKYESLQQLCNDPRTRAAVLADMDAIGREAQLRGFEFAKAVTLVVEPFTVENGLLTPTFKVKRPQAKAYFARAISEMYNELSRSDPEQKVL >itb12g24930.t1 pep chromosome:ASM357664v1:12:26276941:26277354:1 gene:itb12g24930 transcript:itb12g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSTLRKKLSGIDAAIRRRDGYRADAANGRGADDSSAVCSCSDSSLNDEEDNNVGKEEFRKLGFTVYDVPGELTERRPASEKTETTAGRKRDGGGRSYYGALISGAAFGAVSMGVLMVRFSGCFHSVQYLGAFTPT >itb14g01970.t1 pep chromosome:ASM357664v1:14:1607285:1608916:-1 gene:itb14g01970 transcript:itb14g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLASLSVKSMLPTSCCNIAKTKEYLVDIEKREVKEGDEDQFQDKKQTLLLKLTETVSRNQISIVARGYVNSVIKEILKFYDHLISLNNLRGTKLLCRISVLISVEASNIVRSIKGLLNPSPPPQLPDQTDITINDQVIRPTSPTAPAGIPKRKDYYGMNFKLQAENLMLVLAMLTAAATFSAACAFAGAVSKDSETAGRDFSSLAGPFVLLNSTGFIASVAVIMSVLNEVPLKPWPQISVFCWFGSYMCLMMKVSPHEALLLLCVSVPLLLLAVTGKLFKFSQ >itb05g23750.t1 pep chromosome:ASM357664v1:5:28810412:28813046:-1 gene:itb05g23750 transcript:itb05g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSHKIQKDELKPGDHVYSWRHNYLYAHHGIYVGDGKVIHFTRAAGHEIGTGTFLDSFVFSSSPVKPLDPPCPRCGDQSVTEGVIISCLECFLYGGELYIFQYGVSPAFFLAKVRGGTCTLACSDPVEHVLHRAEYLLQNGFGVYNLFKNCEDFAIYCKTGLLVYTDLSVGRSGQAASVFAAVSVIASSPLRFLTTGIGGLSAVGCGVYCVSRIVSDIGVRRDVTKTPVEKLVARFSPGLPKSRDGTSDSQVRDDFPKTPAESPIGHSSPPEPEPKPETATSNGVVCDNITKIPAESIATGSSVSEFEVARSDSGACCDLTVERLVNRSSLPESKAGTDVAKES >itb05g23750.t2 pep chromosome:ASM357664v1:5:28810412:28812787:-1 gene:itb05g23750 transcript:itb05g23750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHSLQTITGIYVGDGKVIHFTRAAGHEIGTGTFLDSFVFSSSPVKPLDPPCPRCGDQSVTEGVIISCLECFLYGGELYIFQYGVSPAFFLAKVRGGTCTLACSDPVEHVLHRAEYLLQNGFGVYNLFKNCEDFAIYCKTGLLVYTDLSVGRSGQAASVFAAVSVIASSPLRFLTTGIGGLSAVGCGVYCVSRIVSDIGVRRDVTKTPVEKLVARFSPGLPKSRDGTSDSQVRDDFPKTPAESPIGHSSPPEPEPKPETATSNGVVCDNITKIPAESIATGSSVSEFEVARSDSGACCDLTVERLVNRSSLPESKAGTDVAKES >itb07g01650.t1 pep chromosome:ASM357664v1:7:1000008:1003180:-1 gene:itb07g01650 transcript:itb07g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKINKFFDSVGNFFSGGDQIPWCDADIVAGCEREVADAEKGASEAVKSECLMRLSWALVHSKRPEDVQRGIAMLEASLGVSSSPLQQREKLYLLAVGYYRSGDYPRSRQIVDRCLEIAPDWRQASTLKKTIEDKITKDGVIGIGIAATAVGLLAGGIAAALSRKK >itb04g02910.t1 pep chromosome:ASM357664v1:4:1804413:1813685:1 gene:itb04g02910 transcript:itb04g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKLASRGQEKADRLGVGENLILDERGVVDWTRLPDDTVIQLFSCLNYRDRASLSSTCRTWRSLGKSQCLWQALDLRAHNFDCDAAASLASRCGNLQKLRFRSAESAEAIIHLQSKDLREISGDYCQKITDATLAVIAARHEALESLQLGPDFCERISSNAIKALAVCCPRLRKLRLSGIREVDGDAINALAKHCQNLMDVGFIDCLNVDEVALGNVASVRFLSIAGTTNIEWNLALEHWGKLPNLMGLDVSRTDVIPSAVSRLFSSSQSLKIACALHCPALEQDATFVSNNNHKGKLLFAIFTDIFKELTPLFVDTPNKERNSFLEWRSLRTKERNLEDIMNWLEWVLSHSLLRIAESNPQGLDNFWLNHGAYLLLSLMQSTQEEVQERAATGLATFVVIDDENASIDGGRAEAVMRDGGIRLLLNLARSWREGLQCEAAKAIANLSVNANVAKAVAEEGGISILANLARSRNRLVAEEAAGGLWNLSVGEEHKASIAEVGGIKALVDLIFKWSVNDSEGVLERAAGALANLAVDDKCSMEVATVGGVHALITLAQNCKAEGVQEQAARALANLAAHGDSNSNNATVGQEAGAFETLVQLTRSPHDGVRQEAAGALWNLSFDDRNREAITAAGGVEALVALAQSCSNASPSLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSLSKMARFMAALALAYMFDGRMDEVAVVGTSTEGTSKTLNLDGARRMALKHIEAFVRAFSDPQAFSSAAASSTHAALLQVTELARIHEAGHLRCSGAEIGRFVTMLRNPSSTLKACATFALLQFTILGGRHAAHHVSLLRDTGASRVLRAVSASANAPLETKIFARIVLRNLEHHQTESSLKD >itb11g20780.t1 pep chromosome:ASM357664v1:11:22157215:22158667:-1 gene:itb11g20780 transcript:itb11g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYEKEKVRPSSPTPQTLRYHKLSLLDVLAGPFYGSHVFFYPSGAGPRRHDYDELKESLSKTLSILYPLAGRLKDGSIIECNDEGADFVRANVTNYDLGEFLRHPKLEDLRQLLPLDPYPDAINPAMPMSAVQVNRFRCGGTAVGFCTWHGIVDGIGMANLYNTMAAINRGERVAGGGLVVDVAAIFSPGNSKIFQVMSTAMAGLKNKLGKYASKRYIFSKQDIERIRNQYSQSEHRRRPSRVAVLSAFIEAMKGKGSKVLHIYD >itb09g04260.t2 pep chromosome:ASM357664v1:9:2366894:2373855:1 gene:itb09g04260 transcript:itb09g04260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVFSEVSSNIILYPETEKDALLNFKQGFTNPSHLLSSWITEQDCCKWEGVECDNTTGHVTTLDLHSTSQADSLRGELRNSLLDLPYLRHLDLSHNDFHYIQIPDFIGSLKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGHNLRVNNHDWLSQLSCLKVIDLSWVNFGSWNSWLRAINMQPSLIKLNLSACRLYSLHIPPTLPFLNFTSLQILDLSHNYFGSLIPSWLFNLSSLATLSLKNSDIQGSIPKTFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNNLNGSLAKIIMHLKHLVVLNVARNYLNDTLTEESLNFSDLKELDLSYNSIVLKMSQSWIPSFQLDVISLGHCQLGQRFPSWLRTQKTFSFIDISSAGISEKVPDWFWDLSPAMEHMNLSWNQLSGQLPDLSRFSLSVVDLSGNNFQGPVPHFSSMMRVFILRTNSFSGTVSPVCESLVYNNSLRFLDLSSNNLSGPLPDCLVNGTKLIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKKQFLGRAAFIIAELEKFSGAIPPQLCRLEYLMVLDLSNNALTGTIPKCVNNFLIMAGVEGVPSFIFDQYTAYEKVVVETIIITIFSEYNRFRFPFTLFSLGSLLLTSIDLSGNFLSVSSNIIRCPETEKDALLNFKQGFKNPSNLLPSWTTDQDCCIWEGIECDKRTGHVTTLDLHSTSQADSLRGALRNSLPDLPYLRHLDLSHNDFFYSQIPDFIGSFKNLEYLNLSSAKFSGIVPDHLGNLSRLQYLDLSGSGGILRVNNHDWLSQLSCLKVIDLSWVNLGSWNSWLRAINMQPSLIKLNLSACHLYSLHIPPTLPFLNFTSLQILDLSHNHFGYLIPSWLFNFSSLATLNLKNSEIQGSIPETFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNSLNGPLAKNIMHLKHLVVLDVARNSLNDTLTQGSLNFSDLKELDLSDNSIVLKMSQSWIPSFQLDVIALRSCELGHLFPSWLRTQKTFSFIDISRSGISDKVPDWFWDLSPAMKHMDLSSNQLRGEVPDLSRLSLSEVDLSGNNFQGPVPHFSSMMKVFILTSNSFSGTVSPVCESLVYNNSLRLLYLSSNNLSGPLPDCWVNGTELIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKNNFFGELPSSLQNLRRLTVFHVGSNNLTGNIPIWIGEICSELIVLSLQRNQFSGAIPPQLCRLEYLMVLDLSSNALTGTIPRCVNNFVIMAGVEGVPPFFFDRYTPYEKDVIIKIFCEYYKYESTLAFFSMIDLAGNFLSGEIPGELASLVQLRALNLSENNLTGPIPPGISDLSILEVLDLSRNNLSCSIPPSMADHLSHLAILNLSYNHLSGEIPKGQQFDTFDNSSYIGNRYLCGPPLTTECSTPLPEDPHCMNHNDPKIQHHASDWLDGAASFFISMGAGFIPGFWAFWGSLLLSKSWRYAYFRFLDNTADKIYVFIAIKLGNWKERKQTNAE >itb09g04260.t1 pep chromosome:ASM357664v1:9:2366894:2373855:1 gene:itb09g04260 transcript:itb09g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVFSEVSSNIILYPETEKDALLNFKQGFTNPSHLLSSWITEQDCCKWEGVECDNTTGHVTTLDLHSTSQADSLRGELRNSLLDLPYLRHLDLSHNDFHYIQIPDFIGSLKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGHNLRVNNHDWLSQLSCLKVIDLSWVNFGSWNSWLRAINMQPSLIKLNLSACRLYSLHIPPTLPFLNFTSLQILDLSHNYFGSLIPSWLFNLSSLATLSLKNSDIQGSIPKTFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNNLNGSLAKIIMHLKHLVVLNVARNYLNDTLTEESLNFSDLKELDLSYNSIVLKMSQSWIPSFQLDVISLGHCQLGQRFPSWLRTQKTFSFIDISSAGISEKVPDWFWDLSPAMEHMNLSWNQLSGQLPDLSRFSLSVVDLSGNNFQGPVPHFSSMMRVFILRTNSFSGTVSPVCESLVYNNSLRFLDLSSNNLSGPLPDCLVNGTKLIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKKQFLGRAAFIIAELEKFSGAIPPQLCRLEYLMVLDLSNNALTGTIPKCVNNFLIMAGVEGVPSFIFDQYTAYEKVVVETIIITIFSEYNRFRFPFTLFSLGSLLLTSIDLSGNFLSVSSNIIRCPETEKDALLNFKQGFKNPSNLLPSWTTDQDCCIWEGIECDKRTGHVTTLDLHSTSQADSLRGALRNSLPDLPYLRHLDLSHNDFFYSQIPDFIGSFKNLEYLNLSSAKFSGIVPDHLGNLSRLQYLDLSGSGGILRVNNHDWLSQLSCLKVIDLSWVNLGSWNSWLRAINMQPSLIKLNLSACHLYSLHIPPTLPFLNFTSLQILDLSHNHFGYLIPSWLFNFSSLATLNLKNSEIQGSIPETFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNSLNGPLAKNIMHLKHLVVLDVARNSLNDTLTQGSLNFSDLKELDLSDNSIVLKMSQSWIPSFQLDVIALRSCELGHLFPSWLRTQKTFSFIDISRSGISDKVPDWFWDLSPAMKHMDLSSNQLRGEVPDLSRLSLSEVDLSGNNFQGPVPHFSSMMKVFILTSNSFSGTVSPVCESLVYNNSLRLLYLSSNNLSGPLPDCWVNGTELIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKNNFFGELPSSLQNLRRLTVFHVGSNNLTGNIPIWIGEICSELIVLSLQRNQFSGAIPPQLCRLEYLMVLDLSSNALTGTIPR >itb09g04260.t5 pep chromosome:ASM357664v1:9:2366894:2372156:1 gene:itb09g04260 transcript:itb09g04260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVFSEVSSNIILYPETEKDALLNFKQGFTNPSHLLSSWITEQDCCKWEGVECDNTTGHVTTLDLHSTSQADSLRGELRNSLLDLPYLRHLDLSHNDFHYIQIPDFIGSLKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGHNLRVNNHDWLSQLSCLKVIDLSWVNFGSWNSWLRAINMQPSLIKLNLSACRLYSLHIPPTLPFLNFTSLQILDLSHNYFGSLIPSWLFNLSSLATLSLKNSDIQGSIPKTFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNNLNGSLAKIIMHLKHLVVLNVARNYLNDTLTEESLNFSDLKELDLSYNSIVLKMSQSWIPSFQLDVISLGHCQLGQRFPSWLRTQKTFSFIDISSAGISEKVPDWFWDLSPAMEHMNLSWNQLSGQLPDLSRFSLSVVDLSGNNFQGPVPHFSSMMRVFILRTNSFSGTVSPVCESLVYNNSLRFLDLSSNNLSGPLPDCLVNGTKLIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKKQFLGRAAFIIAELEKFSGAIPPQLCRLEYLMVLDLSNNALTGTIPKCVNNFLIMAGVEGVPSFIFDQYTAYEKVVVETIIITIFSEYNRFRFPFTLFSLGSLLLTSIDLSGNFLSVSSNIIRCPETEKDALLNFKQGFKNPSNLLPSWTTDQDCCIWEGIECDKRTGHVTTLDLHSTSQADSLRGALRNSLPDLPYLRHLDLSHNDFFYSQIPDFIGSFKNLEYLNLSSAKFSGIVPDHLGNLSRLQYLDLSGSGGILRVNNHDWLSQLSCLKVIDLSWVNLGSWNSWLRAINMQPSLIKLNLSACHLYSLHIPPTLPFLNFTSLQILDLSHNHFGYLIPSWLFNFSSLATLNLKNSEIQGSIPETFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSDEPKLDSLFPT >itb09g04260.t6 pep chromosome:ASM357664v1:9:2369264:2373690:1 gene:itb09g04260 transcript:itb09g04260.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEGVPSFIFDQYTAYEKVVVETIIITIFSEYNRFRFPFTLFSLGSLLLTSIDLSGNFLSVSSNIIRCPETEKDALLNFKQGFKNPSNLLPSWTTDQDCCIWEGIECDKRTGHVTTLDLHSTSQADSLRGALRNSLPDLPYLRHLDLSHNDFFYSQIPDFIGSFKNLEYLNLSSAKFSGIVPDHLGNLSRLQYLDLSGSGGILRVNNHDWLSQLSCLKVIDLSWVNLGSWNSWLRAINMQPSLIKLNLSACHLYSLHIPPTLPFLNFTSLQILDLSHNHFGYLIPSWLFNFSSLATLNLKNSEIQGSIPETFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNSLNGPLAKNIMHLKHLVVLDVARNSLNDTLTQGSLNFSDLKELDLSDNSIVLKMSQSWIPSFQLDVIALRSCELGHLFPSWLRTQKTFSFIDISRSGISDKVPDWFWDLSPAMKHMDLSSNQLRGEVPDLSRLSLSEVDLSGNNFQGPVPHFSSMMKVFILTSNSFSGTVSPVCESLVYNNSLRLLYLSSNNLSGPLPDCWVNGTELIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKNNFFGELPSSLQNLRRLTVFHVGSNNLTGNIPIWIGEICSELIVLSLQRNQFSGAIPPQLCRLEYLMVLDLSSNALTGTIPRCVNNFVIMAGVEGVPPFFFDRYTPYEKDVIIKIFCEYYKYESTLAFFSMIDLAGNFLSGEIPGELASLVQLRALNLSENNLTGPIPPGISDLSILEVLDLSRNNLSCSIPPSMADHLSHLAILNLSYNHLSGEIPKGQQFDTFDNSSYIGNRYLCGPPLTTECSTPLPEDPHCMNHNDPKIQHHASDWLDGAASFFISMGAGFIPGFWAFWGSLLLSKSWRYAYFRFLDNTADKIYVFIAIKLGNWKERKQTNAE >itb09g04260.t4 pep chromosome:ASM357664v1:9:2366894:2372512:1 gene:itb09g04260 transcript:itb09g04260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVFSEVSSNIILYPETEKDALLNFKQGFTNPSHLLSSWITEQDCCKWEGVECDNTTGHVTTLDLHSTSQADSLRGELRNSLLDLPYLRHLDLSHNDFHYIQIPDFIGSLKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGHNLRVNNHDWLSQLSCLKVIDLSWVNFGSWNSWLRAINMQPSLIKLNLSACRLYSLHIPPTLPFLNFTSLQILDLSHNYFGSLIPSWLFNLSSLATLSLKNSDIQGSIPKTFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNNLNGSLAKIIMHLKHLVVLNVARNYLNDTLTEESLNFSDLKELDLSYNSIVLKMSQSWIPSFQLDVISLGHCQLGQRFPSWLRTQKTFSFIDISSAGISEKVPDWFWDLSPAMEHMNLSWNQLSGQLPDLSRFSLSVVDLSGNNFQGPVPHFSSMMRVFILRTNSFSGTVSPVCESLVYNNSLRFLDLSSNNLSGPLPDCLVNGTKLIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKKQFLGRAAFIIAELEKFSGAIPPQLCRLEYLMVLDLSNNALTGTIPKCVNNFLIMAGVEGVPSFIFDQYTAYEKVVVETIIITIFSEYNRFRFPFTLFSLGSLLLTSIDLSGNFLSVSSNIIRCPETEKDALLNFKQGFKNPSNLLPSWTTDQDCCIWEGIECDKRTGHVTTLDLHSTSQADSLRGALRNSLPDLPYLRHLDLSHNDFFYSQIPDFIGSFKNLEYLNLSSAKFSGIVPDHLGNLSRLQYLDLSGSGGILRVNNHDWLSQLSCLKVIDLSWVNLGSWNSWLRAINMQPSLIKLNLSACHLYSLHIPPTLPFLNFTSLQILDLSHNHFGYLIPSWLFNFSSLATLNLKNSEIQGSIPETFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNSLNGPLAKNIMHLKHLVVLDVARNSLNDTLTQGSLNFSDLKELDLSDNSIVLKMSQSWIPSFQLDVIALRSCELGHLFPSWLRTQKTFSFIDISRSGISDKVPDWFWDLSPAMKHMDLSSNQLRVALFPLYVNRWFIIIL >itb09g04260.t3 pep chromosome:ASM357664v1:9:2367310:2369058:1 gene:itb09g04260 transcript:itb09g04260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTVFMDSASISMEARKLFILLLLLLHLAHFSSVAVSSNIILYPETEKDALLNFKQGFTNPSHLLSSWITEQDCCKWEGVECDNTTGHVTTLDLHSTSQADSLRGELRNSLLDLPYLRHLDLSHNDFHYIQIPDFIGSLKNLEYLNLSSANFRGIVPDHLGNLSSLQYLDLSGSGHNLRVNNHDWLSQLSCLKVIDLSWVNFGSWNSWLRAINMQPSLIKLNLSACRLYSLHIPPTLPFLNFTSLQILDLSHNYFGSLIPSWLFNLSSLATLSLKNSDIQGSIPKTFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNNLNGSLAKIIMHLKHLVVLNVARNYLNDTLTEESLNFSDLKELDLSYNSIVLKMSQSWIPSFQLDVISLGHCQLGQRFPSWLRTQKTFSFIDISSAGISEKVPDWFWDLSPAMEHMNLSWNQLSGQLPDLSRFSLSVVDLSGNNFQGPVPHFSSMMRVFILRTNSFSGTVSPVCESLVYNNSLRFLDLSSNNLSGPLPDCLVNGTKLIVLNLGSNFLFGEIPQSLGNLQNLKILRLQKKQFLGRAAFIIAELEKVDCF >itb11g09990.t1 pep chromosome:ASM357664v1:11:6801817:6802143:-1 gene:itb11g09990 transcript:itb11g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPGHTERSEIGFEAVESEEAQARGVIGRGGGDADQIASVDDEGSDYYDDEAYEEAGPDVTRSGQVVDEPHWGKAVLSRLGFVPGTVVVAVLVHRPACSPGRQHPL >itb03g20590.t1 pep chromosome:ASM357664v1:3:18491909:18493940:1 gene:itb03g20590 transcript:itb03g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACENWGVFQVTNHGVPVALLAQIEHQTRRFFELAPEQKLRVMRSPGSAAGYGFPRISQFFSSLMWYEGFTVVGSPLEHARRVWPQDYSAFCTVIDDYQEQMMGLTEKIASLMFKSLGLSAGEDVEWFEPNGPCKSTQSYLQLNSYPNCPDPTRAMGLAQHIDTSLITLLYQSGISRGLQVYGPNLNWVDVEPISNAIIVVNLGDLMQIIYNGQFKSVLHRAIVSKAHHRISVAYFFGPNKNVEISPSPKLIKGSEFPMYRSISWKEYLDIKNMYFNALEMIGFNSVVEKSNALASGNEAPLVSEVEAIEA >itb10g14950.t1 pep chromosome:ASM357664v1:10:21288737:21290486:1 gene:itb10g14950 transcript:itb10g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHCIANPPKRCSSYGAGKVQQLGGLNCYVSGPSHSKLAVLLVSDVFDKVGDAGFYVVVPDFFHGDPYVENGDKPIGVWLKDHAADNVCKDAKVVIEDLKAGGVTKVGIGGKAAVDLAKIPCVEATVLLHPAFVTLDDIQDVKVPISILGAENDETTPAQVVKQFEIALQAKPEVDSLVKIFAGVTHGWTVRYDEDDKVAVKAAEEAHEDLLKWFVKHLH >itb15g02030.t1 pep chromosome:ASM357664v1:15:1208106:1213420:1 gene:itb15g02030 transcript:itb15g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLIHFDKGTPALANEIKESLEGNDVPEKIEAMKKAIMLLLNGETIPHLFITIIRYVLPSEDHTIQKLLLLYLEIIDKTDSKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEVDIIEPLIPSIMSNLEHRHPYVRRNAILAVMSVYQLPQGEQLLADAPEKIENVLSSEQDPSAKRNAFLMLFNCAQERAVNYLFTHVDRILDWDELLQLVVLDLIRKVCRTNKAEKGRYIKIIISLLNARSAAVVYECATTLVSLSSAPTAIRAAASTYCQLLLSQSDNNIKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTIDIVLELITPRNINEVVLTLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGDLPFYSASEEGESADSSKKPQQANSITVSSRRPAILADGTYATQSAASETAFSPPIVAQGSLANGNLRTLLLTGDFFLGAVVACTLTKLILRLEEVQPSKIEVNIAMTNALLIMVSIIQLGQSSVLPHPIDNDSNDRIVLCIRLLCNTGDDVRKIWLSSCRESFVKMLSDKQLCEIEEIKAKAQISHSQPDDLIDFYHLKSRRGMSQLELEDEIQDDLKRATGEFVKDDNDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSKVHERTVVVLNDIHIDIMDYISPAVCSDTVFRTMWAEFEWENKVAVNTVIQNEKEFLDHIIKSTNMKCLTAPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQTDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGN >itb12g23370.t1 pep chromosome:ASM357664v1:12:25122523:25123044:-1 gene:itb12g23370 transcript:itb12g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLAPQFPPHVLLFPFPFQGHITPMLHLAELLSLGGLHVTFINTLHNHNRLFSNANFRSHFSRRYAGFRFEAVSDGLPEDQPRSEEKRLLDIHASLRATAKPFLKELLMSSSGGRGAVSCVIVDGILGFVREVAEDLGVPVIYFRTVCASAVWTFFSIPNLIQAKELPFQG >itb15g09570.t1 pep chromosome:ASM357664v1:15:6824839:6826903:1 gene:itb15g09570 transcript:itb15g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVGEMKDKYPPSSSSSSWESENEEIQRLEHAPPFWRNRRKLSKQLSMCETPRDVAWERRRRQILHQERRKSDAECLTDDDLNELKGCIELGFGFKEEEGQRLCNTLPALDLYFAVNRQYSFSPVSTPGSKGGGTLPLPSPSASSAGGRSSSLSSPGSDSDSWKICSPGENPEHVKTKLRHWAQAVACSVMQSY >itb05g23330.t1 pep chromosome:ASM357664v1:5:28468728:28472769:-1 gene:itb05g23330 transcript:itb05g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKQSRIVAGWFVIAFHLLLLLDYSSAAAPQGPPATPKGPSTAPQGPSVAPKGPSAAPQGPAGAPQGPSGAPGFIMLPGVSGFYTYAIYDHPKGAPDVDIDQTRIVFKLSTTSFKYLAVSDEIQGEMPSYAEREAGQILDYKEAVLLKETENPKLKGKMFLSAHYAGENWGIRLRNGEAWRMVFGPVFAYLNSDTGTDHKALWEEAKKKVGDLVFTPPRNGTTLWEIGTPDRRAAEFFIPDPVPELQNSLLSNGSEKWRQYGLWNRYTDLYPKTDLVYNVGKSDPAKNWFYAHVNRKTGNNTYAATVWTVTFPLEAVNAAGSYTLRIAVASATRGVLMIWVNSDTEKKKPNFTARLEGRDNAVARHGIHGLYEEVRFAIPGSVLVKGENTLKLRQAKGEYVFNGLMYDYLRLEAPAAPPPKS >itb05g19480.t1 pep chromosome:ASM357664v1:5:25971613:25973458:-1 gene:itb05g19480 transcript:itb05g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTIIGRVSDGLPLAKGPRYVHEENEIFTTYKQQGEFILKEISRGDLISSSKMTIRVDHHCFRIMVANGVCFMALCDSSFPRKLAFLYLQDLQQEFDKLDRRLMERAVNPYSFLRLDTIIGNIRRQYVDTRTQANISRLKTGIGEKDLDVSVEDLAEIVNRRRRLDMLETMMAANLSDSPIWGSKKLETIALKWTPITILVVVAVVLLWSSSVHRDEFQ >itb05g03830.t1 pep chromosome:ASM357664v1:5:3256228:3257444:-1 gene:itb05g03830 transcript:itb05g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNERVVKWLNKAKPYLGVAFLQLSNVGSAIISKVALNQGMNPFTFTVYRNVISAGFFAPLALIFEWRTRPTMTISIFFKIILLGLIE >itb05g04860.t1 pep chromosome:ASM357664v1:5:4518220:4521885:-1 gene:itb05g04860 transcript:itb05g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10620) UniProtKB/Swiss-Prot;Acc:Q9CAF2] MAICRSILINSPIPRRHLQPIIANSTFSPPPRFSKFTALPLLRHKRSLPPFASLSMETPPQGYRRNVGICLMNPSDKKIFVASRLDIPNAWQMPQGGVDDNEDPRNAAIRELREETGVTSAEIIAEAPYWLTYDFPPDVREKLKRQWGSDWKGQAQKWFLFKFTGKDEEINLLGDGTEKPEFGEWSWMSPEQVVNHAVDFKKPVYKEVVSAFTPFLQ >itb09g25900.t1 pep chromosome:ASM357664v1:9:26005805:26007979:1 gene:itb09g25900 transcript:itb09g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVNADRSFASEINTTGFVICKGNNENGELEVSFNSAYDYNGLALGLNHSCAIRRANQTVMCLGQNSEFSSSVVGGVPFESIVSGPDFTCGLRTSKFLVVCWGPGWTDNSYPQAAELLLPKILPAPIICEVKVKGTDSGQTDRHRGRLLPLQVFIDLAFLPRKNPIPDEEEKKSIAEMVARRFSVRHNDSTFDVDYDTDDGFEVLKFQLFSLTSVPPDQQKILGGDDDQVVSEDADLASVAEKLRLVSIDEDEETKKKENSEPKVAMSDEELARLLQAEEDALMMQQFVASQNNAGFEQKIRPYIDQVLQNGNISCGLDKNGDIFEI >itb01g05360.t1 pep chromosome:ASM357664v1:1:3682281:3685278:1 gene:itb01g05360 transcript:itb01g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFEQCIGKAPPELNVAAAGQRRECNSREETAARFRSRWPDSTLYKLANDNFLGLSHGGESPKHPRSIVVMEDIFCIFSGALDNICDLRKYYGLSRQATEAMIMVEAYKVLRDRAPYPPDQVIKELEGKFSFILFDSKTSTLFLARDREGSVALHWGVGADESLVCSDDPDLINAACGQCYTPFPPGCIFISGSGLTSFDHPLHKVKAMVREDDGAKIEAVIFQVDFYTRLHSIPRRGSASNWADAAVVEGD >itb07g09420.t1 pep chromosome:ASM357664v1:7:8844800:8849513:1 gene:itb07g09420 transcript:itb07g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGKCQCNYLMKMFSAYKLLCFLFVLTTLLPLAIGDLESDEQALLAFAASVHHGRKINWNPGIPICSSWVGINCSSDGTRVVTVRLPGVGLTGAIPENTLGKLDGLRILSLRANLLNGTLPSDLTSLPSLRNLFLQHNNLSGQIPTFSHQLSILDLSYNSFSGSIPSMIQNLTQLTALYLQNNSLSGSIPNLSLPRLKHLNLSYNHLNGSIPSTLKRFPNSSFVGNSFLCGLPLSPCSPLQPPSIGPSAPSPDDHSHKKKLKLGYIIAIAAGGAAVLFLLALIIFLCCLKRKASDGSVLKGKPSGVGRSEKPREEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSFGTAYKAILEESTTVVVKRLKEVIVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYFSRGNLAMLLHGNRAAGRTALDWETRVKIAHAAARGIAHIHSMGGAKFTHGNIKSSNVLINQDIDACVSDFGLAPLMNFPAARSRHPGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKQPVQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVVRVPDMRPSMEEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >itb01g08750.t1 pep chromosome:ASM357664v1:1:7005140:7009697:1 gene:itb01g08750 transcript:itb01g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVFEAALEGDVATLKRLLHEDPLILDRTIVNIYSETPLHVAAMLGYHDFVKEIITRKPQLTKELNSKHSSPLHLAAAKGHVDVVRALVLADPGMCKGRDRDGLTPVHLAAMKGRVEVLKELIDAISCRSGLVDMMGVDNERLGESILHMCVKHSQLEALKIVVEMIGDGGGGFVNCRDSFGNTILHLAVADKQFETVKYLLMHTTIEVDAQDLIGLTATDILLQTRNDNIAQLLIHNQQGPKQASQQQSQPSRNKKKNKRKKPIGNKNNNTSKPPNAKEHWVQKMRDGLMVAASLLATMAFQAVVSPPGGLLQTNDTPIRQSEIQNNINSFFSFTGNSESFVAPAPSSSINDTLINATIGKSVMSYYKPLLYNMFLVANTTSFLASLTIILLLISGLPLSRKFFMFIMMIIMWVAITGMGLSYFFCINMITPERSNMNIGLYLYRIGACLLAGLAVILVLGHIIQMTVKMVKWVWRALRRKPKKMNSSSMDIDSCNEIYVT >itb13g18560.t1 pep chromosome:ASM357664v1:13:25516206:25526426:-1 gene:itb13g18560 transcript:itb13g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLHCPPTTWMPTPPTPRFYQTSPSMRKSLQCPCRCFPPSASRKSFSPISNNGTNINYQMKCRKLDCLLTKNALGYFNSLLWKMDLNSNSQGSPVRHIVAALPSYVASRLIQLDSMNMKTTVFRELLFYAIQAFGAQSFPFACISNSLNKPKPLGLEVSLPSFQDLKWSFSRLIYLFNIQLEKNVAMFFVVLLVSCFVFVLIGGFLFFKFRTNAHSLEDCFWEAWACLCSSSTHLKQRTRIERVIGFVLAIWGILFYSRLLSTMTEQFRDNMHKLREGAQMQVLETDHIIICGVNSRLMFVLKQLNKYHEFAVRLGTATARRQRILLLSDLPRRQMDKMVDTMAKDLNHIDVLSKSCSLSLTRSFERAAANKARAIVILPTKGDRYEIDTDAFLSVLALQPLPEMASVPTIVEVSSSNTCELLKSISGLKVHPVQNVTSKLFVQCSRQKGLIKIYRQLLNYRKNVFNLCSFPDLAGLRYKQLRRGFQEAVVCGLYRGGKIYFHPNDDEVLEQSDKILFIAPIHGKKHLQLDCLNREECDYGKDDSENVEKDGRFTNHTMDIRKARLENIVKRTRFGSKASDWSLGPKECILMLGWRPDVVEMIEEYDNYLGPGSVLEVLSYVPLDDRNKASGLTGHGKLRNVRISHRIGNPMDYDTLKDTIVSIQKSLKKDEDITFSIVVISDREWLLGDPSKGEKQSAYSLLLAENICNKLGVKVQNLVAEMVDSKFGKQITRIRPSLTYVAAEEVMSLVTAQVAENCELDEVWKDILDADGDEIYIKDIGLYMKEGENPSFAELSERAYLRREVAIGYVKNNKNVLNPIPKSEPLNLQLGDSLIVISELEGEQPVVIEAES >itb10g12410.t1 pep chromosome:ASM357664v1:10:18404388:18405596:-1 gene:itb10g12410 transcript:itb10g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNEITKLFSSSTYPTSNLYFRQVWKIEYLLRMNMKNGDPVIKKMACKMKIKFDKYWEQYSVILALGAVLDPRMKFKLLEFCYKKLDENTYKEKLDVVKNKLYMLFEAYKATSLTATTSSTASTSRCENVIASDDFDVSILLSVNYFLNSYSNICEFVIDVLYMMSSLNIVFKIMCKMVNLLWMCI >itb09g02010.t1 pep chromosome:ASM357664v1:9:1218405:1219575:-1 gene:itb09g02010 transcript:itb09g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTFLDMQYSLSKRSFLRKPTNMFSRSRQNSRQNSGLGPDYQPSMYDLKKVFDRFDSNRDGKISPDEYKAILRSMGKTMLLTREVQKIFEVADSDGDGFIDFNEFVEVQKKGGGMKMMDLQTAFKTFDKDGDGKISAGEVYALLQRLGEKCSLQDCKEMVRAVDANRDGVIDMDEFITMMTRSARLC >itb01g14420.t1 pep chromosome:ASM357664v1:1:16230557:16234119:1 gene:itb01g14420 transcript:itb01g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSLAKSKRAHSLHHSKKNQQHHSSKGASSVPGASTGDKKQSGKQVKEKPVQGHGSRGLPSNWDRYVEEGEEEYGFDSENMVPQDSVSKLATDVVAPKSKGADYAYLISESKAQSLYSSQSVPLFDDGLHDFYQGLGPLLSVKGKSIASWISDDNFGSEDKGPPPDEASFLSLNLHTLAEQLAKATLSERLFIEPDLLPPELCTEELQKLSENEQAKNKAGVAEEVFDSLAHGNQFVRSPSNTAGTSTSSSTSTRDEILQAKGIGQTDLPRYTPEINVNTAAKKPAQFEVANAKAELDMLLGSFAETKFLESNRVTEESWDNSFLTQNDVSSSFSERGSLSQKVPSRSDVTDPDPGLGGSVARDMKLDDIVDDLLRETSILTNKNEGSLADETRSAFRNTPSSSNPVTKSKLTDDFDSWFDTI >itb11g00250.t1 pep chromosome:ASM357664v1:11:112859:119306:1 gene:itb11g00250 transcript:itb11g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIESLKENLNRIALEIHEDDDDDDPQLSVSSNSGDSVHNSVLSPANRRISRNFSPSKSPAQHNHHSPIANGLDSAHKFEIEKYKAEINRLKESEAEIKALSVNYAALLKEKEDQIGRLSEENSSLKQNPQMSPTQTASRHSSRGSSDQSPIRHHKILAKNHTVGSPTSNGVLPRHDGLSNGESFGREKELADLLEEKNRSLISMQSGYELQIKQLGMELDKERSKLANIETQLKEEQKLNASFQQELNSLKVDKDKMTSDVASIHDEMNQKVAEIQQLRINLHKRDNKEAVEEVEDLKRVIGVLQKENNNLKNDKDKLEASLKESKLLSAVASSSGGNEVQSSAAFPEKEAMEKSLQKLENDLKETRREKDKALLELNRLKQHLLEKEFEESEKMDEDSKVIEELRQNSEYQQAQILHLEKSLKQAVASLEAIKISNDSELKKSKETVDELNKKVASCLNTIEAKNIEVLNLQTALGQYYAEIEAKERLAEDLTAAKEESARLSELLKDAYQQSETLTREKEEVLAKLSEAEERFSVVKIRMNKLEQDNEKLRRALEQSMTRLNRMSLDSDNYVDRRIVVKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRMGIAHQGSGKGVVRGVFGLPGRIVGGILGGGSGPANMAPDNQSFADLWVDFLLMETEEREKREAAEASNGQQKGEYGGGLNSSVNQTFPSRGSFQLAEHSDSEFSTVPLTSSETNYQFSRPPPRY >itb11g00250.t2 pep chromosome:ASM357664v1:11:112859:119306:1 gene:itb11g00250 transcript:itb11g00250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIESLKENLNRIALEIHEDDDDDDPQLSVSSNSGDSVHNSVLSPANRRISRNFSPSKSPAQHNHHSPIANGLDSAHKFEIEKYKAEINRLKESEAEIKALSVNYAALLKEKEDQIGRLSEENSSLKQNPQMSPTQTASRHSSRGSSDQSPIRHHKILAKNHTVGSPTSNGVLPRHDGLSNGESFGREKELADLLEEKNRSLISMQSGYELQIKQLGMELDKERSKLANIETQLKEEQKLNASFQQELNSLKVDKDKMTSDVASIHDEMNQKVAEIQQLRINLHKRDNKEAVEEVEDLKRVIGVLQKENNNLKNDKDKLEASLKESKLLSAVASSSGGNEVQSSAAFPEKEAMEKSLQKLENDLKETRREKDKALLELNRLKQHLLEKEFEESEKMDEDSKVIEELRQNSEYQQAQILHLEKSLKQAVASLEAIKISNDSELKKSKETVDELNKKVASCLNTIEAKNIEVLNLQTALGQYYAEIEAKERLAEDLTAAKEESARLSELLKDAYQQSETLTREKEEVLAKLSEAEERFSVVKIRMNKLEQDNEKLRRALEQSMTRLNRMSLDSDNYVDRRIVVKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRMGIAHQGSGKGVVRGVFGLPGRIVGGILGGGSGPANMAPDNQVMIILPWSQ >itb09g01970.t1 pep chromosome:ASM357664v1:9:1196984:1198628:-1 gene:itb09g01970 transcript:itb09g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAHTALANTLGAPVRLFTTRCVVEELKSSKLKRILRHTYPMTLDAASSLLTVRCDHEIPIDGGSCVREVVERNNLENFYVASRDPYVLEGLRQIYGVSIIYPVGKALFLERVTDIEKKRKCSDDEWSDYEWSDDELSDEGASEEEEGHGLEVQNVKKKKKE >itb05g00200.t1 pep chromosome:ASM357664v1:5:167933:169124:1 gene:itb05g00200 transcript:itb05g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAALSFQPSTLPRVTPSKTLVSPLRSISAPRTSLCPSIKATAVANEALMVDYSSMASVFPAEACETIGGDACNVEMYPEVKLNSAPRNNIPRTASEGVEREYLEYNDPKTVFLGEACDDLGGEFCEPEYLRGVH >itb12g02480.t1 pep chromosome:ASM357664v1:12:1629791:1631620:1 gene:itb12g02480 transcript:itb12g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIKIILGLALVFALSLLTGSMAQGVGSIVTKPLFDQMFKHRSEGNCAKGFYTYEAFIQAANAYPAFGTTGDINTRKKEIAAFLGQTSHETTGGWPSAPDGPYAWGYCFKQEQNNPPDYCKPSQQWPCAPGKKYYGRGPIQLTSNLNYGPAGKALRQDLLNNPDLVANDAVISFKTAFWYWITPQPPKPSSHDVITGRWTPSATDRTAGRVPGYGMITNIINGGIECGTGSIADKQQDRIGFYQRYCGILGVGSGTNLDCANQKSYPN >itb14g04330.t1 pep chromosome:ASM357664v1:14:3895803:3896385:-1 gene:itb14g04330 transcript:itb14g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSFSVSRKNSGRRAADTWDPETLSSEPASGGDNGGFTSKLSSKMSQQFEAIKVGFRRIKESEKLDKIMVLSSKGVEKVKDGACSGFQWIKDKCRNTAHNSRVVIV >itb05g21690.t1 pep chromosome:ASM357664v1:5:27356760:27359056:-1 gene:itb05g21690 transcript:itb05g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYRFHQYQVVGRGLPSETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKCPTKVKNYGIWLRYQSRTGYHNMYKEFRDTTLNGAVEQMYTEMASRHRVRHHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVLKKVRPPSRKLKTTYKASRPNIFV >itb05g20020.t1 pep chromosome:ASM357664v1:5:26264615:26266184:1 gene:itb05g20020 transcript:itb05g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARDCRVYGPGRSRSRAEYMNAGLYVFSTVVLLCGFAAELSREPKSGLVLLLIAFALIAVVNFHDLLAHLAGLDFRLSLFAYDTQLAVVEFAAPLLHILGCLCSFLGILFLFIQSGMWVWVGICGSTLLFVGGLANVVKVFNVQQMSGMMRLEKLRGGAQERLMHEREGRMPLILDEAEKQRRRKKPPHEEWQPAPAAPVPAPTPYKDVLVGNV >itb05g12540.t1 pep chromosome:ASM357664v1:5:19107849:19109923:-1 gene:itb05g12540 transcript:itb05g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMQAVFIAVQCCQCSTMQVKQRKKSSNKWICVVCNQKQSVRQVFAQGFMAKDVRIFVQNFNMSRQFSDLAQHQQPQTLDPAEEEEDRFPTNRNNKRTDWTEYLDFEDESVEKEDEQSTGDGLETRIVTKLPKAVFKRPKLSNYSAESESDSNKRFRPTFTKRGTRKQSTFQGKDIGMMAKEGPDREDFTVGSQDVPSWSKNRDAKANDKKLVSKEPTQGSLLTTSKGMSKWSEYMTADADDDDDDNLELESGGWLTSGKDRWNNIALENPIKDHKVDEDIHPDFM >itb05g05190.t1 pep chromosome:ASM357664v1:5:4947255:4953422:-1 gene:itb05g05190 transcript:itb05g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMADDNYSKNPLLVSLYLFSCVLLCAAAEELSWVEEMSMVQSSQAALKKCDFTAGKWVFDESYPLYDSRRCPYLTTAVTCAKNGRPDSDYEKWRWKPNGCTIPRFDALDFLGRMRKKRIMLVGDSITRNQWESLVCLVQSVIPTAKKSVTYNGTTMNFHALDYETSIEFCWAPFLVELRQGVEDKKILHLDLIEENAKYWRGVDVLVFDSAHWWTHSQKWTSWDYIMEGSNFYRYMNPMVAYEKGLMTWAKWIDLNLDPRETRVFFRSMSPRHNGQDGWKCFNQREPLEHLSHPHVAELVVILNQVLRKMSFPVYLQDITTMSALRRDAHPSIYNKVVGPSQNQHMREYGSDCSHWCLPGVPDIWNEMLNVLL >itb11g05310.t1 pep chromosome:ASM357664v1:11:3134084:3140710:1 gene:itb11g05310 transcript:itb11g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGQTGVTATATTAVTQNTDKVEGSDEDLDFEEYSEKTNEFFEDIIRKMEKVAQFVNKIERALVTNITSDLSVVKALVVEFCTQNYMKAHVKHKVKCIDKEVDDLIQHLKNKREKKQEEEGNSKEKEVKKEERKLVKTSEWLISIGKKTEKIKNLVSQFGSLPEEESGAAAASSTTGGKREKQKTVSNSTECYKMCIEESLLISPIITGSLKKSYDHLPSNELKLCLLSLAAFPEDFIIKKRPLIYWWMSEGFVVENGNSKSSAEEVGEQNFTQLIQLGLIQPWYESGNTTDQPHNNVHVQGCSVHPWIRRMLVPIAMEARLFEFFGVPKEEEHPPMTMTGNPRSRRVCLYDDGDGEGVEHTATWKTRNRKYHKLSEERLKWIKAQYYRVQRGVDKELLLQMPKDIKEVSERQKKLILSSKFITDLNNLVAEVAHGKVKHGSDDDDALLAAVNKRLNDLKTRIEDDDVGNNITELHDEQMKLQNEIEGLHKQFQVRMEHQINYLDRVLDPKWVNDSVVVYNRKKNCEILQEKEVLFGHNDLNNPFPFPFLMKEKNNKRTTARTSSSSSNADQNEQAKKSDEEAERLLAIINVTLKYLDMDHEPFGKMKWLRTLHLGRWRDSLPVPHIEVENDRIFEALFHVANHLKYLSLRGISRITSLPSTISKCCNLQILDLKACYNLETLPTEINKLYKLTHLDVSECYLLQTNLWSLVQNLQCLQTLKGLKLDQSTLNSLQALAASGTLRKLSIILTTPTFNIDLLTSLSKLCILTITWRITPDTQPKVSTENLPQQQQAAEEISANTETTSHPKPEKSGDDGGGASTENPAQQQPAGGEISANTETTPHPKPEISDANTESTPQKNPEKSDGASTRNQPQKNPTQKSDEEEEEGIEVLPNLKKLDLRCYPDKTWPNWVKKFSSLETLYITGGDLEEFPMLDLDLQKLQLLRFKYLTKLKLGQDFNTSQLKSTKFPSLITFLNHDSKPKK >itb03g22700.t1 pep chromosome:ASM357664v1:3:20764823:20767856:1 gene:itb03g22700 transcript:itb03g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVFRDEDGRLCFTGVTADKNVDVHLLDTKGGNKIVATFWKHPFARFTLLYSHGNAADLGQMQALFLELRAHLRVNIMSYDYSGYGGSSGKPSEFNTYYDIEAVYNCLKSEYGIKQEDVILYGQSVGSGPTLHLASRLQRLKAVILHSAILSGIRVLYPVKMTFWFDIFKNIDKIRQVNCPVLVIHGTADDIVDFSHGKRLWELSKEKYDPLWVQGGGHCNLETYPEYIRHLRKFVNAMEKHSFSNQSKPQLTHAPSITESKNNRCLRFGKR >itb09g14000.t1 pep chromosome:ASM357664v1:9:9222429:9228006:-1 gene:itb09g14000 transcript:itb09g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLIHFDKSTPAIANEIKESLEGNDVPAKIDAMKKAIMILLNGETLPQLFITIIRYVLPSEDHTIQKLLLLYLEIIEKTDSKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEVDIIEPLIPSIMSNLEHRHPYVRRNAILAIMSVYKLPQGEQLLADAPEKIENVLSTEQDPSAKRNAFLMLFHCSQERAINYLLTNVDRIPDWGELLQMVVLDLIRKVCRTNKAEKGRYIKIIISLLNAPSAAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLTLKKEVVKTQGGEHEKNGEYRQMLIQAIHSCAVKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSASEEGEATDSSKKPQQVNSITVSSRRPAILADGTYATQSAASETAFSPPTVVQGSLTTGNLRSLLLTGDFFLGAVIACTLTKLTLRLEEVQPSKVEVNKATTDALLIMVSIIQLGQSSVLPHPIDNDSHDRIVLCIRLLCNTGDEVRKIWLESCRESFVKMLSDKQLRETEEIKAKAQISHSQPDDLIDFYHLKSRRGMSQLELEDQVQDDLKRATGEFVKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDTAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >itb09g14000.t2 pep chromosome:ASM357664v1:9:9222429:9228006:-1 gene:itb09g14000 transcript:itb09g14000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLIHFDKSTPAIANEIKESLEGNDVPAKIDAMKKAIMILLNGETLPQLFITIIRYVLPSEDHTIQKLLLLYLEIIEKTDSKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEVDIIEPLIPSIMSNLEHRHPYVRRNAILAIMSVYKLPQGEQLLADAPEKIENVLSTEQDPSAKRNAFLMLFHCSQERAINYLLTNVDRIPDWGELLQMVVLDLIRKVCRTNKAEKGRYIKIIISLLNAPSAAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLTLKKEVVKTQGGEHEKNGEYRQMLIQAIHSCAVKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSASEEGEATDSSKKPQQVNSITVSSRRPAILADGTYATQSAASETAFSPPTVVQGSLTTGNLRSLLLTGDFFLGAVIACTLTKLTLRLEEVQPSKVEVNKATTDALLIMVSIIQLGQSSVLPHPIDNDSHDRIVLCIRLLCNTGDEVRKIWLESCRESFVKMLSDKQLRETEEIKAKAQISHSQPDDLIDFYHLKSRRGMSQLELEDQVQDDLKRATGEFVKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCSDTAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALEGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >itb15g08640.t1 pep chromosome:ASM357664v1:15:6072869:6075925:1 gene:itb15g08640 transcript:itb15g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDRFGQVQRSKYDCLLFDLDDTLYPLSSGLAAACGKNIGDYMVEKLGIDRSKIGDLGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLRPDPVLRSLLISLPYRKLIFTNADKVHAIKVLRILGLEDCFEGIICFETLNPTYKTAASDDEDDIAFVGSSAASAAAASSSRSEIFDIAGHFSHPNPGSALPKTPVVCKPSVAAIEKAIELASIDPHRTLFFEDSTRNIQAGKCVGLHTVLVGKSQRVQGADYALESIHNIKEALPELWETDKVAEVNNNYSGVAVPASVTA >itb15g08640.t2 pep chromosome:ASM357664v1:15:6072878:6075925:1 gene:itb15g08640 transcript:itb15g08640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDRFGQVQRSKYDCLLFDLDDTLYPLSSGLAAACGKNIGDYMVEKLGIDRSKIGDLGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLRPDPVLRSLLISLPYRKLIFTNADKVHAIKVLRILGLEDCFEGIICFETLNPTYKTAASDDEDDIAFVGSSAASAAAASSSRSEIFDIAGHFSHPNPGSALPKTPVVCKPSVAAIEKAIELASIDPHRTVRF >itb07g07650.t1 pep chromosome:ASM357664v1:7:5821007:5825527:1 gene:itb07g07650 transcript:itb07g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFNPSCFFFFLFSPMAFYFAEGEDYYEFQSNSCPKGFSCGSLGYLEFPFTQHTHPHCGLIRVDCDAKPLPKVQLGMGGDSYQFVNIYSVANSTILILEDSKLQRLFDTHNYSNLNYSLQFPYSPSITFSNFPTNSFKVIRKCNNSPADDIFNYERNYCFQGFGLFYKNALVPQKNPKCVADKCTMYPTPILVKQTNALLTPLFGMVFQLSEACHECYYGGGQCTADSSNEFHCAKGKLRNGTLVAVKVLKELKASGEEFINEVASISRTSHVNIVTLIGFCIEGRKRALVYEFMLNGSLEKFIYDNKSNVSRQLGWNMLYEISIGIARGLEYLHCGCNTRILHLDIKPHNILLDGNFSPKISDFGLAKLCDNQESIVSIFGARGTIGYIAPEVVCKDIGGVSHK >itb06g07640.t5 pep chromosome:ASM357664v1:6:11285042:11292003:-1 gene:itb06g07640 transcript:itb06g07640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLFKSKANNLLEISNGLSDNSGAGGGVKDIYGEDRATEDQTIIPWTSAVASGYTLMRDPHFNKGLAFTEQERDVHYLRGLLPPVVVSQELQEKKLMHNLRQYDVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQQLLDDEFYIGLKQRRATGQEYAELLDEFMSAVKKAYGEKVLVQFEDFANHNAFELLAKYRTKHLVFNDDIQGTASVVLAGVVAALKLVGGTLSDHTFLFLGAGEAGTGIAELIALEISKKSGVSLQEARKKIWLVDSKGLIVSSRFESLQHFKKPWAHDHQPVKSLLDAVKAIKPTVLIGTSGVGKTFTREVVEAMATFNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFEPVKYGEKTTFIPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAEVTEENYAKGLIYPPFTKIRKISAHIAANVAAKAYELGLATHLPRPKDLVKYAERCMYTPVYRNYR >itb06g07640.t2 pep chromosome:ASM357664v1:6:11285042:11292015:-1 gene:itb06g07640 transcript:itb06g07640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALKEISNGLSDNSGAGGGVKDIYGEDRATEDQTIIPWTSAVASGYTLMRDPHFNKGLAFTEQERDVHYLRGLLPPVVVSQELQEKKLMHNLRQYDVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQQLLDDEFYIGLKQRRATGQEYAELLDEFMSAVKKAYGEKVLVQFEDFANHNAFELLAKYRTKHLVFNDDIQGTASVVLAGVVAALKLVGGTLSDHTFLFLGAGEAGTGIAELIALEISKKSGVSLQEARKKIWLVDSKGLIVSSRFESLQHFKKPWAHDHQPVKSLLDAVKAIKPTVLIGTSGVGKTFTREVVEAMATFNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFEPVKYGEKTTFIPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAEVTEENYAKGLIYPPFTKIRKISAHIAANVAAKAYELGLATHLPRPKDLVKYAERCMYTPVYRNYR >itb06g07640.t3 pep chromosome:ASM357664v1:6:11285042:11292015:-1 gene:itb06g07640 transcript:itb06g07640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALKEISNGLSDNSGAGGGVKDIYGEDRATEDQTIIPWTSAVASGYTLMRDPHFNKGLAFTEQERDVHYLRGLLPPVVVSQELQEKKLMHNLRQYDVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQQLLDDEFYIGLKQRRATGQEYAELLDEFMSAVKKAYGEKVLVQFEDFANHNAFELLAKYRTKHLVFNDDIQGTASVVLAGVVAALKLVGGTLSDHTFLFLGAGEAGTGIAELIALEISKKSGVSLQEARKKIWLVDSKGLIVSSRFESLQHFKKPWAHDHQPVKSLLDAVKAIKPTVLIGTSGVGKTFTREVVEAMATFNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFEPVKYGEKTTFIPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAEVTEENYAKGLIYPPFTKIRKISAHIAANVAAKAYELGLATHLPRPKDLVKYAERCMYTPVYRNYR >itb06g07640.t4 pep chromosome:ASM357664v1:6:11285042:11292000:-1 gene:itb06g07640 transcript:itb06g07640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALKEISNGLSDNSGAGGGVKDIYGEDRATEDQTIIPWTSAVASGYTLMRDPHFNKGLAFTEQERDVHYLRGLLPPVVVSQELQEKKLMHNLRQYDVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQQLLDDEFYIGLKQRRATGQEYAELLDEFMSAVKKAYGEKVLVQFEDFANHNAFELLAKYRTKHLVFNDDIQGTASVVLAGVVAALKLVGGTLSDHTFLFLGAGEAGTGIAELIALEISKKSGVSLQEARKKIWLVDSKGLIVSSRFESLQHFKKPWAHDHQPVKSLLDAVKAIKPTVLIGTSGVGKTFTREVVEAMATFNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFEPVKYGEKTTFIPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAEVTEENYAKGLIYPPFTKIRKISAHIAANVAAKAYELGLATHLPRPKDLVKYAERCMYTPVYRNYR >itb06g07640.t1 pep chromosome:ASM357664v1:6:11285042:11292015:-1 gene:itb06g07640 transcript:itb06g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLFKSKANNLLRKAALGVFPLCQRREVVMDSALKEISNGLSDNSGAGGGVKDIYGEDRATEDQTIIPWTSAVASGYTLMRDPHFNKGLAFTEQERDVHYLRGLLPPVVVSQELQEKKLMHNLRQYDVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNQQLLDDEFYIGLKQRRATGQEYAELLDEFMSAVKKAYGEKVLVQFEDFANHNAFELLAKYRTKHLVFNDDIQGTASVVLAGVVAALKLVGGTLSDHTFLFLGAGEAGTGIAELIALEISKKSGVSLQEARKKIWLVDSKGLIVSSRFESLQHFKKPWAHDHQPVKSLLDAVKAIKPTVLIGTSGVGKTFTREVVEAMATFNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFEPVKYGEKTTFIPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAEVTEENYAKGLIYPPFTKIRKISAHIAANVAAKAYELGLATHLPRPKDLVKYAERCMYTPVYRNYR >itb12g27510.t4 pep chromosome:ASM357664v1:12:27854197:27864327:1 gene:itb12g27510 transcript:itb12g27510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEDDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVSIPYGSPTEFVITDSLGDERRLRAGNDLSDISCSRDTIVLTMRLFIVKAGEKKKSRKRGLFFK >itb12g27510.t1 pep chromosome:ASM357664v1:12:27854186:27864215:1 gene:itb12g27510 transcript:itb12g27510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEVADDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVSIPYGSPTEFVITDSLGDERRLRAGNDLSDISCSRDTIVLTMRLFIVKAGEKKKSRKRGLFFK >itb12g27510.t7 pep chromosome:ASM357664v1:12:27854197:27862055:1 gene:itb12g27510 transcript:itb12g27510.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEDDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVCSIAI >itb12g27510.t8 pep chromosome:ASM357664v1:12:27854186:27861909:1 gene:itb12g27510 transcript:itb12g27510.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEDDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVCSIAI >itb12g27510.t6 pep chromosome:ASM357664v1:12:27854379:27862055:1 gene:itb12g27510 transcript:itb12g27510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEDDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVCSIAI >itb12g27510.t9 pep chromosome:ASM357664v1:12:27854186:27864215:1 gene:itb12g27510 transcript:itb12g27510.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEVADDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVSIPYGSPTEFVITDSLGDERRLRAGNDLSDISCSRDTIVLTMRLFIVKAGEKKKSRKRGLFFK >itb12g27510.t5 pep chromosome:ASM357664v1:12:27854616:27864214:1 gene:itb12g27510 transcript:itb12g27510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEDDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVSIPYGSPTEFVITDSLGDERRLRAGNDLSDISCSRDTIVLTMRLFIVKAGEKKKSRKRGLFFK >itb12g27510.t2 pep chromosome:ASM357664v1:12:27854186:27864215:1 gene:itb12g27510 transcript:itb12g27510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEVADDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVSIPYGSPTEFVITDSLGDERRLRAGNDLSDISCSRDTIVLTMRLFIVKAGEKKKSRKRGLFFK >itb12g27510.t3 pep chromosome:ASM357664v1:12:27854197:27864327:1 gene:itb12g27510 transcript:itb12g27510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGNLESKFSGLALRDSPNGDGLFQVMKAVEAAEATIKQQVEENNWLRSELQEKIQALEKYKSGEPTIGNFHSVEQWDGHPGAFESSLHSGDRTDGPRRTNSSIGPGLPNNVVHNYTNSTIQRAESSSDYGKVNSTERVTFTGVQTTSDVSGLSHFSSRSTSPFSPSRYQIAGEQDRQLNLSGQGFMPMAEVNDSNSTKQDLVLKIQAHEQEISQLRKHLEEYSIKEEQISNEKYVLDKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIHLTYLLQDAQQERSTFISSLLPLLAEYYLQPPVPDAQSIVSNVKILFRHLQEKLLVTEAKLKESQYQMTPWPSDVNSSNFAQSPSDFSVIRDGLELVPQQGYTNEKAPILSDPQTSRDWDSLGHPQVDSAGDLTKNMMGDELGRYSPLASRNTISQDLSAQLAVSQDDSLSKSRSEEIPNKQVTFSDHISNNEMDDYDMERHQNGREPSASWHSKSSPYTNPLEDLNPHSPYLPPVMEEPASSFSEDDEPLPAVEGLQISGEAFPGQELKASGYSINGTTSCNFEWVRHMEDGSFNYIVGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANDHRKITCVPEMHKCIQRTLSSGHASYKVFLWTGYLDIWEPATLSIKRDGFSIKCSGPNAVAVTEKFSQSINVSIPYGSPTEFVITDSLGDERRLRAGNDLSDISCSRDTIVLTMRLFIVKAGEKKKSRKRGLFFK >itb12g26880.t1 pep chromosome:ASM357664v1:12:27580917:27583036:-1 gene:itb12g26880 transcript:itb12g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKGKKTVLKFDSEDDVAVALAKYTADLSAKFVAQKGSFTVVLSGGSLIDTMRKLVEAPYKDSVDWSKWWIFWVDERVVPLDHPDSNYLLAWNGFLSKVPIPSTNIYAINDKKSPEGAADDYEARLKQLVESKGLPLSATGFPKFDLMLLGMGPDGHVASLFPNRPQRHEKERWVTFITDSPKPPPPRITFTFPVINAASENAMVLTGAELAYMVNIALGNGVAPDGIPPPITEVSAEDELTFFLDKDAASKL >itb07g13490.t1 pep chromosome:ASM357664v1:7:15775321:15776834:-1 gene:itb07g13490 transcript:itb07g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGQKPHAILICYPLQGHVIPSVHLACRLAEKGFTVTFINTHSVHYLLTNSNGRDGDIFSGIRKPGLDIRYVTISDGLPPEWDRGVNHDQFMASLLHVYSAHVEEALKKILTSSEDSGGPPVNCLIADTFFVFPGKLAKKYKLLFVSFWTEPALVFTLYYHLHLLRLNGHFGCIDMREDDIEYVPGVKSIQAKDLMSYIQETETETVCHQIIFNSFRDARNADFVLCNSVQELEQETVAALQEKTPFFAVGPIFPPVFAQSAVATSLWSESDCTQWLDKKPHGSVLYVTFGSYAHLSKQVLLEIAHGLSLSKVNFLWALRPDMVSSDESDPLPEDLRAEVSDRAMIIPWCSQKQVLAHPAIGGFLSHCGWNSTMESMWCEVPMLCFPLLTDQFTNRKLIVDDWKFGLNLCDRKPISKLEISEKIKLLMGGKTSDELRNAVKQVKKTMEAALSPNGSSQKNMDKFIKDVYTAIQQK >itb05g03660.t3 pep chromosome:ASM357664v1:5:3131236:3133511:1 gene:itb05g03660 transcript:itb05g03660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSNWGTNPYRSQPRTQFLITQSLPVYVTLDLHSHSSIVTQIQTLFSPQHDMENMYMWVFKERPENALGKMQLRNFMNGHSRQGERPFPFSVDKGFIRSHKMQRKHYRGLSNPQCVHGIEIVRSPNLAGLNEDELKKWMELTGRDLNFSIPPEATDFDSWRNVPTTEFELERLPPKCNSVNPKRLLNGSSLNLSTPSSNNVNGEGLDMLAACNKRKKSTFPHGNDDDFCLSNGSNSERISDAHQIEPSWLSECSGVMRCACGPVTAAKTIYEDDEGFLIIVSMPFVDLKRVKVTWRNTISHGIVKISCISTGCAPTIKRQNRSFKLTDPAPEHCPPGEFVREILLHSRIPENAKLEAFGDETGTMLEILVPKHRVGPEEHEVQVCLHPSPWSES >itb05g03660.t1 pep chromosome:ASM357664v1:5:3131236:3133511:1 gene:itb05g03660 transcript:itb05g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEKVVTTLSMESNHFSTLLSMDSTSVPHDEIERELNLGAIPPDINIPLSDAPTTFQCSDEFMLDACLGSQPCEADMNGNATKIGKKCAKRLDSVWGAWFFFNFYFKPVLNDRCKIVRQGKGVSGYDKSDLKLDVFLVQHDMENMYMWVFKERPENALGKMQLRNFMNGHSRQGERPFPFSVDKGFIRSHKMQRKHYRGLSNPQCVHGIEIVRSPNLAGLNEDELKKWMELTGRDLNFSIPPEATDFDSWRNVPTTEFELERLPPKCNSVNPKRLLNGSSLNLSTPSSNNVNGEGLDMLAACNKRKKSTFPHGNDDDFCLSNGSNSERISDAHQIEPSWLSECSGVMRCACGPVTAAKTIYEDDEGFLIIVSMPFVDLKRVKVTWRNTISHGIVKISCISTGCAPTIKRQNRSFKLTDPAPEHCPPGEFVREILLHSRIPENAKLEAFGDETGTMLEILVPKHRVGPEEHEVQVCLHPSPWSES >itb05g03660.t2 pep chromosome:ASM357664v1:5:3131236:3133511:1 gene:itb05g03660 transcript:itb05g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDACLGSQPCEADMNGNATKIGKKCAKRLDSVWGAWFFFNFYFKPVLNDRCKIVRQGKGVSGYDKSDLKLDVFLVQHDMENMYMWVFKERPENALGKMQLRNFMNGHSRQGERPFPFSVDKGFIRSHKMQRKHYRGLSNPQCVHGIEIVRSPNLAGLNEDELKKWMELTGRDLNFSIPPEATDFDSWRNVPTTEFELERLPPKCNSVNPKRLLNGSSLNLSTPSSNNVNGEGLDMLAACNKRKKSTFPHGNDDDFCLSNGSNSERISDAHQIEPSWLSECSGVMRCACGPVTAAKTIYEDDEGFLIIVSMPFVDLKRVKVTWRNTISHGIVKISCISTGCAPTIKRQNRSFKLTDPAPEHCPPGEFVREILLHSRIPENAKLEAFGDETGTMLEILVPKHRVGPEEHEVQVCLHPSPWSES >itb05g05920.t1 pep chromosome:ASM357664v1:5:5867109:5882308:-1 gene:itb05g05920 transcript:itb05g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMALSRLRHAAIFRAPSLFRARLLSPSSTLRSLSSSSEVLNHIPEVTSLRPIQFPMFNGVHHNSSKLPSGVRYFSSAESASHTVLAMPALSPTMSQGNIARWRKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPICITVEEADDIQKVPSTFNGDSEVGDTAGQQNAAQGDAVPEASPVNIDTSDLPPHIVLGMPALSPTMNQGNLAKWRKQEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVSVGQPIAITVEDADHIEAVKASSIGSNKVVKEEKPILQDSTKDDRPEKTGFKRISPAAKLLISEHRLDASSIPASGPHGTLSKGDVLAAIKSGIGSSKISSGKAAMPSSPQTHPQTASSESVGLKSDLKQPDSYEDFPNSQIRKVIASRLLESKQSTPHLYLSTDVMLDSLLSFRKELKEKYDAKVSVNDIVIKVVAIALKNVPEANAYWDAGKGEVVLCDSVDISIAVATEKGLMTPIIRNADQKSISAISLEVKELAEKARTGKLKPNEFQGGTFSISNLGMFPVDRFCAIINPPQAGILAVGRGNKVVEPVVGPDGIEMPAVVTKMNLTLSADHRVFDGKVGGAFLAALNSNFTDIQRLLL >itb05g05920.t3 pep chromosome:ASM357664v1:5:5867070:5881182:-1 gene:itb05g05920 transcript:itb05g05920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGVHHNSSKLPSGVRYFSSAESASHTVLAMPALSPTMSQGNIARWRKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPICITVEEADDIQKVPSTFNGDSEVGDTAGQQNAAQGDAVPEASPVNIDTSDLPPHIVLGMPALSPTMNQGNLAKWRKQEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVSVGQPIAITVEDADHIEAVKASSIGSNKVVKEEKPILQDSTKDDRPEKTGFKRISPAAKLLISEHRLDASSIPASGPHGTLSKGDVLAAIKSGIGSSKISSGKAAMPSSPQTHPQTASSESVGLKSDLKQPDSYEDFPNSQIRKVIASRLLESKQSTPHLYLSTDVMLDSLLSFRKELKEKYDAKVSVNDIVIKVVAIALKNVPEANAYWDAGKGEVVLCDSVDISIAVATEKGLMTPIIRNADQKSISAISLEVKELAEKARTGKLKPNEFQGGTFSISNLGMFPVDRFCAIINPPQAGILAVGRGNKVVEPVVGPDGIEMPAVVTKMNLTLSADHRVFDGKVGGAFLAALNSNFTDIQRLLL >itb05g05920.t2 pep chromosome:ASM357664v1:5:5867070:5882297:-1 gene:itb05g05920 transcript:itb05g05920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMALSRLRHAAIFRAPSLFRARLLSPSSTLRSLSSSEVLNHIPEVTSLRPIQFPMFNGVHHNSSKLPSGVRYFSSAESASHTVLAMPALSPTMSQGNIARWRKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPICITVEEADDIQKVPSTFNGDSEVGDTAGQQNAAQGDAVPEASPVNIDTSDLPPHIVLGMPALSPTMNQGNLAKWRKQEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVSVGQPIAITVEDADHIEAVKASSIGSNKVVKEEKPILQDSTKDDRPEKTGFKRISPAAKLLISEHRLDASSIPASGPHGTLSKGDVLAAIKSGIGSSKISSGKAAMPSSPQTHPQTASSESVGLKSDLKQPDSYEDFPNSQIRKVIASRLLESKQSTPHLYLSTDVMLDSLLSFRKELKEKYDAKVSVNDIVIKVVAIALKNVPEANAYWDAGKGEVVLCDSVDISIAVATEKGLMTPIIRNADQKSISAISLEVKELAEKARTGKLKPNEFQGGTFSISNLGMFPVDRFCAIINPPQAGILAVGRGNKVVEPVVGPDGIEMPAVVTKMNLTLSADHRVFDGKVGGAFLAALNSNFTDIQRLLL >itb05g05920.t4 pep chromosome:ASM357664v1:5:5867109:5882354:-1 gene:itb05g05920 transcript:itb05g05920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMALSRLRHAAIFRAPSLFRARLLSPSSTLRSLSSSSEVLNHIPEVTSLRPIQFPMFNGVHHNSSKLPSGVRYFSSAESASHTVLAMPALSPTMSQGNIARWRKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPICITVEEADDIQKVPSTFNGDSEVGDTAGQQNAAQGDAVPEASPVNIDTSDLPPHIVLGMPALSPTMNQGNLAKWRKQEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVSVGQPIAITVEDADHIEAVKASSIGSNKVVKEEKPILQDSTKDDRPEKTGFKRISPAAKLLISEHRLDASSIPASGPHGTLSKGDVLAAIKSGIGSSKISSGKAAMPSSPQTHPQTASSESVGLKSDLKQPDSYEDFPNSQIRKVIASRLLESKQSTPHLYLSTDVMLDSLLSFRKELKEKYDAKVSVNDIVIKVVAIALKNVPEANAYWDAGKGEVVLCDSVDISIAVATEKGLMTPIIRNADQKSISAISLEVKELAEKARTGKLKPNEFQGGTFSISNLGMFPVDRFCAIINPPQAGILAVGRGNKVVEPVVGPDGIEMPAVVTKMNLTLSADHRVFDGKVGGAFLAALNSNFTDIQRLLL >itb11g11900.t1 pep chromosome:ASM357664v1:11:8792936:8795411:1 gene:itb11g11900 transcript:itb11g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIERMVSMSSRTGRDLQRYNVQGCRQVVGCIPYRYKKDYHSPSVNGTPVDDLEFLLVSSQKSPRLMFPKGGWEQDESLEEAASRETFEEAGVLGEAESCLGTWYFKSKSIDAFHEGFMLPLFVTEELDDWPERDVRLRLWVSYEEAMERCFHPWMKEALDAFVSQLTLRQVGEKHPGNAMETEETLAIEEQIVESLSQGVQSSEEMVTSEEEDMLMAEEPRIDIVSHQSMQKKEDKSLGTLYCIEVVAIEEQTIGGVDQGLQRKVEEQPWNALLMIEKGRTARRFLSMGLFKEEPRMGIVIA >itb12g02270.t1 pep chromosome:ASM357664v1:12:1500252:1502772:-1 gene:itb12g02270 transcript:itb12g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGCDVRRWDELLPDTLGLVFKNLSLQEVLTVVPRVCKSWQIAVLGPYCWQEIDIEEWSRNCWPEKIDRMLQLLIKRSCGSLRKLCVSGVPNDSSFMFIANNAKSLQTLRLPKSEISDSIVEQVAGMFKNITFLDISYCTQMGARALEAIGMQCKFLTGLRRTMHPLQVIGKVSQDNEASAIASTMPKLKQLEIAYMLVGTTSILEILESCRHLELLDVRGCWNVSLDESFLKKFPRLKVIGPVVVDCYEMNGLDNCSDFSASSGYLPWDFVAGDMDDDYDEMSDGFWEDDQHIEDVEMWFYDDLNAVDSGYDWPQSP >itb12g02270.t2 pep chromosome:ASM357664v1:12:1500367:1502326:-1 gene:itb12g02270 transcript:itb12g02270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGCDVRRWDELLPDTLGLVFKNLSLQEVLTVVPRVCKSWQIAVLGPYCWQEIDIEEWSRNCWPEKIDRMLQLLIKRSCGSLRKLCVSGVPNDSSFMFIANNAKSLQTLRLPKSEISDSIVEQVAGMFKNITFLDISYCTQMGARALEAIGMQCKFLTGLRRTMHPLQVIGKVSQDNEASAIASTMPKLKQLEIAYMLVGTTSILEILESCRHLELLDVRGCWNVSLDESFLKKFPRLKVIGPVVVDCYEMNGLDNCSDFSASSGYLPWDFVAGDMDDDYDEMSDGFWEDDQHIEDVEMWFYDDLNAVDSGYDWPQSP >itb09g11310.t1 pep chromosome:ASM357664v1:9:7052773:7053578:1 gene:itb09g11310 transcript:itb09g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMDGVFLQILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKNLSGVGWEDSLKMITCDSATYMELISATPKYETILNRKIEYYDEMSIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKTSVSTATSSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGSDESEFVSTSDDNRRRLSRG >itb13g16540.t1 pep chromosome:ASM357664v1:13:23513371:23514285:-1 gene:itb13g16540 transcript:itb13g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMLNLNDNGVREEEEETISLSDFPANGDESGESIESRIGSRSSSEVFEFLSDLTCEMSHADDIIFCGKLVPLRDRPVSAIHGEKFFAGDGFSHRRCESLSELGSTPRTNSGKSERGRGHVRSSRSLDSGKFRSGSSSEAAKGESPRLKIQKPRWFVLMFGNVKLPREMELRDIKNRQVPLCRMFPSPESCSSASPVRRSHRRSSWDLLKVLSCNDPASVAVTTSFRCMSQA >itb10g19470.t1 pep chromosome:ASM357664v1:10:25306638:25311668:1 gene:itb10g19470 transcript:itb10g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGLRILTETHEPSSSLANTPTTMAIHFDSKCILAILFLLGACAYEATSRTLEEASLVRLHEKWMARHARSYKNDVEKNERFNIFKQNLKFINSFNEAGNRSYKLGLNKFSDMSPEEFKATMLNDQHTFSGPKRFPNGNSIGKESLIDAPNSVNWIERGAVTAIKSQGRCGACWAFSAVAAIEGITQIKTGSLVSLSEQQLVDCDTDNTGCSGGWPLKAFRYAEGVGGLVAESDYPYHANQSMCNIQGASAAATITGSQEVSFGESALLQAVINQPVSVNIHLNGTDLQHYTTGVLADDCGTGNGHSMTVVGYDTTAEGEKYWLIKNSWGTGWGENGFPHLFLDTRKSEHYVKGRREVNVEFQQYSTGVFNGDCGTGSHHAVTVVGYGTSEDGEKYWLIKNSWGTSWGEEGYMRMARDTSEGGLCGLATRAAYPTA >itb05g08290.t4 pep chromosome:ASM357664v1:5:11513377:11515479:-1 gene:itb05g08290 transcript:itb05g08290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEDEVPLREHDRRSPAEKLETQSTPSTALVGPISEQHAQSKLRVLEINAAAGTTLGFQKKGDNKSSKPEGQVSGKTSSSVRKMISAFETSLSQERRPLAKTQASKSQPNIVGMGGSLKDPLVSKTWQETQTNTGKNGESSRTALNPVGIKPSYSYKNLESTATTISSKGNSSIKNSLSIRESGTVSGRTNKDHSASVAEKKGRELGSDISRAIDFQRAASNEKLKSLASESSGAWIFPDNMRHLCITTAGKEVLNFLEDSSTEVNVHQSKMRISLQGKQDSLQGTDPTMKGSEKLHKPYEGSEKHGSSGPFGQVYFVFIKFDWSENLTHATPKVSLKIM >itb05g08290.t5 pep chromosome:ASM357664v1:5:11513591:11517744:-1 gene:itb05g08290 transcript:itb05g08290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIQVSVLEFKELSSSSPPSSVSLKVSMGKTVYQTWDKGDFTFPLTTFRDDLVVMLQDDEGNEISHTGVKTTLIVEKGSWDDIFHLEGGGYVHMKLQFILSEDERNRIRNVRESAMRKKQDRICGIAETATAVRDFKRELSDRTEGTSSSPMRQEDEVPLREHDRRSPAEKLETQSTPSTALVGPISEQHAQSKLRVLEINAAAGTTLGFQKKGDNKSSKPEGQVSGKTSSSVRKMISAFETSLSQERRPLAKTQASKSQPNIVGMGGSLKDPLVSKTWQETQTNTGKNGESSRTALNPVGIKPSYSYKNLESTATTISSKGNSSIKNSLSIRESGTVSGRTNKDHSASVAEKKGRELGSDISRAIDFQRAASNEKLKSLASESSGAWIFPDNMRHLCITTAGKEVLNFLEDSSTEVNVHQSKMRISLQGKQDSLQGTDPTMKGSEKLHKPYEGSEKHGSSGPFGQVLKIAVIIGFGIFVLLNRQKETRTSEARSKDRNFATAPDYMDRLTPSEEQWRTLMEKED >itb05g08290.t3 pep chromosome:ASM357664v1:5:11513377:11516945:-1 gene:itb05g08290 transcript:itb05g08290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQDRICGIAETATAVRDFKRELSDRTEGTSSSPMRQEDEVPLREHDRRSPAEKLETQSTPSTALVGPISEQHAQSKLRVLEINAAAGTTLGFQKKGDNKSSKPEGQVSGKTSSSVRKMISAFETSLSQERRPLAKTQASKSQPNIVGMGGSLKDPLVSKTWQETQTNTGKNGESSRTALNPVGIKPSYSYKNLESTATTISSKGNSSIKNSLSIRESGTVSGRTNKDHSASVAEKKGRELGSDISRAIDFQRAASNEKLKSLASESSGAWIFPDNMRHLCITTAGKEVLNFLEDSSTEVNVHQSKMRISLQGKQDSLQGTDPTMKGSEKLHKPYEGSEKHGSSGPFGQVLKIAVIIGFGIFVLLNRQKETRTSEARSKDRNFATAPDYMDRLTPSEEQWRTLMEKED >itb05g08290.t2 pep chromosome:ASM357664v1:5:11513377:11516945:-1 gene:itb05g08290 transcript:itb05g08290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKQDRICGIAETATAVRDFKRELSDRTEGTSSSPMRQEDEVPLREHDRRSPAEKLETQSTPSTALVGPISEQHAQSKLRVLEINAAAGTTLGFQKKGDNKSSKPEGQVSGKTSSSVRKMISAFETSLSQQERRPLAKTQASKSQPNIVGMGGSLKDPLVSKTWQETQTNTGKNGESSRTALNPVGIKPSYSYKNLESTATTISSKGNSSIKNSLSIRESGTVSGRTNKDHSASVAEKKGRELGSDISRAIDFQRAASNEKLKSLASESSGAWIFPDNMRHLCITTAGKEVLNFLEDSSTEVNVHQSKMRISLQGKQDSLQGTDPTMKGSEKLHKPYEGSEKHGSSGPFGQVLKIAVIIGFGIFVLLNRQKETRTSEARSKDRNFATAPDYMDRLTPSEEQWRTLMEKED >itb05g08290.t1 pep chromosome:ASM357664v1:5:11513377:11517137:-1 gene:itb05g08290 transcript:itb05g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEDEVPLREHDRRSPAEKLETQSTPSTALVGPISEQHAQSKLRVLEINAAAGTTLGFQKKGDNKSSKPEGQVSGKTSSSVRKMISAFETSLSQQERRPLAKTQASKSQPNIVGMGGSLKDPLVSKTWQETQTNTGKNGESSRTALNPVGIKPSYSYKNLESTATTISSKGNSSIKNSLSIRESGTVSGRTNKDHSASVAEKKGRELGSDISRAIDFQRAASNEKLKSLASESSGAWIFPDNMRHLCITTAGKEVLNFLEDSSTEVNVHQSKMRISLQGKQDSLQGTDPTMKGSEKLHKPYEGSEKHGSSGPFGQVLKIAVIIGFGIFVLLNRQKETRTSEARSKDRNFATAPDYMDRLTPSEEQWRTLMEKED >itb03g14830.t1 pep chromosome:ASM357664v1:3:14374964:14378158:-1 gene:itb03g14830 transcript:itb03g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPHECSSVHVTHNKKVVEKSEIPSEFLRKMGALHLGNSIAPCFITSSQENPLIFSPLSKSNAIYAPILVRVARQPSKWNKISSKLSGSEVGKGVSGKNKMEEYNVAMKRMMRNPYEYHHDLGMNYTLITENLIVGSQPQKVEDIDHLREEQNVAYILNLQQDTDVEYWGIDLPSIVQRCKELGIHHMRSPAKDFDPDSLRSGLPKSVSLLEWAISEEKGRVYVHCTAGLGRAPAVAIAYMFWFCDMDLTTAYETHTSKRPCGPNKRAIRGATYDLAKNDPWKEPFENLPDHAFEGIADWERKLIQDRVRALRHS >itb06g17080.t3 pep chromosome:ASM357664v1:6:21025843:21032558:-1 gene:itb06g17080 transcript:itb06g17080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSSSSRCRYFNPAYYLKRPRRMALLLIIFVSGTLFFWDRQTLIREHEEEISRLNGEVSQLQDLLEELKSGRVVPHEKLNLVGETRGTANKKDVFEDPADAQRREKVKDAMRHAWSSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDALDTLYIMGLDEEFQKAREWVANTLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAQDIADRLLPAWDTPTGIPYNIINLAHGNPHNPGWTRGDSILADSGTEQLEFIALSQRTLDPKYQQKVENVILELNKTFPDDGLLPIYINPHTGRRSFSTITFGAMGDSFYEYLLKVWIQGNKTDSVMPYRKMWEISMKGFESLVRRTTPSSFAYICEKNGNSLIDKMDELACFAPGMLALGASGYGPDESQKYLLLAEELARTCYNFYESTPTKLAGENYFFNKGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNCRIESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDQWVFNTEAHPLKIVTRHASSSGQHTSDSRSRGRREGQFGN >itb06g17080.t1 pep chromosome:ASM357664v1:6:21025843:21032558:-1 gene:itb06g17080 transcript:itb06g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAWSSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDALDTLYIMGLDEEFQKAREWVANTLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAQDIADRLLPAWDTPTGIPYNIINLAHGNPHNPGWTRGDSILADSGTEQLEFIALSQRTLDPKYQQKVENVILELNKTFPDDGLLPIYINPHTGRRSFSTITFGAMGDSFYEYLLKVWIQGNKTDSVMPYRKMWEISMKGFESLVRRTTPSSFAYICEKNGNSLIDKMDELACFAPGMLALGASGYGPDESQKYLLLAEELARTCYNFYESTPTKLAGENYFFNKGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNCRIESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDQWVFNTEAHPLKIVTRHASSSGQHTSDSRSRGRREGQFGN >itb06g17080.t2 pep chromosome:ASM357664v1:6:21025843:21032558:-1 gene:itb06g17080 transcript:itb06g17080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSSSSRCRYFNPAYYLKRPRRMALLLIIFVSGTLFFWDRQTLIREHEEEISRLNGEVSQLQDLLEELKSGRVVPHEKLNLVGETRGTANKKDVFEDPADAQRREKVKDAMRHAWSSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDALDTLYIMGLDEEFQKAREWVANTLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAQDIADRLLPAWDTPTGIPYNIINLAHGNPHNPGWTRGDSILADSGTEQLEFIALSQRTLDPKYQQKVENVILELNKTFPDDGLLPIYINPHTGRRSFSTITFGAMGDSFYEYLLKVWIQGNKTDSVMPYRKMWEISMKGFESLVRRTTPSSFAYICEKNGNSLIDKMDELACFAPGMLALGASGYGPDESQKYLLLAEELARTCYNFYESTPTKLAGENYFFNKGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNCRIESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDQWVFNTEAHPLKIVTRHASSSGQHTSDSRSRGRREEHTALSWI >itb06g17080.t4 pep chromosome:ASM357664v1:6:21025843:21031475:-1 gene:itb06g17080 transcript:itb06g17080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAWSSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDALDTLYIMGLDEEFQKAREWVANTLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAQDIADRLLPAWDTPTGIPYNIINLAHGNPHNPGWTRGDSILADSGTEQLEFIALSQRTLDPKYQQKVENVILELNKTFPDDGLLPIYINPHTGRRSFSTITFGAMGDSFYEYLLKVWIQGNKTDSVMPYRKMWEISMKGFESLVRRTTPSSFAYICEKNGNSLIDKMDELACFAPGMLALGASGYGPDESQKYLLLAEELARTCYNFYESTPTKLAGENYFFNKGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNCRIESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDQWVFNTEAHPLKIVTRHASSSGQHTSDSRSRGRREEHTALSWI >itb10g25050.t1 pep chromosome:ASM357664v1:10:28538219:28540571:-1 gene:itb10g25050 transcript:itb10g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMTRGFLRLAFEARSTAYFRRPLCLNLRRSSSSSPPQTSMAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKATYEKLLSEAPKYKLITPSVLSDRLRISGSLARRAIRELMARGLIRMVSAHASQQIYTRATNT >itb12g11230.t1 pep chromosome:ASM357664v1:12:9427162:9432097:1 gene:itb12g11230 transcript:itb12g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNTLPSLSNNPVRTPQLASPIFVLPSRRRLVVRATETDNEVKATAPDRAPASGGSSFNQILGIKGAKQETDKWKIRIQLTKPVTWPPLVWGVVCGAAASGNFHWTTEDVAKSVVCMLMSGPFLTGYTQTINDWYDREIDAINEPYRPIPAGAISESEVITQIWVLLLGGLVLSGLLDVWAGHNYPIIFYLALGGSLISYIYSAPPLKLKQNGWIGNYALGASYISLPWWAGQALFGTLNPDIIVLTLLYSLAGLGIAIVNDFKSVEGDTALGLQSIPVAFGSETAKWISVGAIDVTQISVAGYLLGAGKPYYALALLGLIVPQVFFQFKYFLRDPVKYDVKYQVL >itb02g01320.t1 pep chromosome:ASM357664v1:2:731196:733156:1 gene:itb02g01320 transcript:itb02g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIVVVFDFDKTIIDVDSDNWVVDELGFTGLFDQLLNTMPWNSLMDRMMKEIHANGKTIGDIERVLQHVPIHPRIVPAIKAAHSLGCDLRVVSDANVFFIETILKHLGIRECFSEINTNPGYVDEEGRLRILPFHDFHSSPHGCSRCPPNMCKSMIVERIQASMAKEGKKRMIYLGDGIGDFCPSLKLKAGDFMMPRKDFPVWELIKENGSLLEAEIHEWSNGEDFERILLHLINMICIEDSQFLAAESKFQAISIEALPKPIPVPF >itb01g22360.t1 pep chromosome:ASM357664v1:1:28275651:28276816:1 gene:itb01g22360 transcript:itb01g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFFVIDSFGCEKIIIEGKEAQVKGLKDNNPQGGNPFLVEFEFNVVPQVQVKKEQDEMDAEEENNDVGSQYHTRSKGKRCIIERGLKRAASPKKGNVGAGENDARTKKRDVSEEENDARAKKRDVSEEENDARAKKRDVSEEVNDARAKKRDVSEEENDARAKKRDVSEEENDASAEKVDASEEKTDASVKKKKDVPDHFGVELFSSGHFTQPKNPYFVTKIRPKRRDDLYIPIEVTRDNNIKLPAKVILWDERDKKYDAYLKTWADGRTWLAGGWRKLCKWNLVQVNDRCICEFVPSLGPELVLKVTIIRRKDFEAAQPN >itb09g16290.t1 pep chromosome:ASM357664v1:9:11494170:11496729:-1 gene:itb09g16290 transcript:itb09g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGSSGRRRSGGSSGREEQQSAAEVSSNRYVFAAATPFPPQYQNPNAAPYFQYPGQYYSQQRILGAGNGRYPCGAPPAPYVEHQKAVTIRNDVNLKKETLRIEADEANPGKYLVCFTFDATVSGSMTVIFFAKEGEDCIVTPVMEALLPPVTVQFQRGLAQKFRQPCGTGIDLSMFEEAKLSKEGDMDVYPLVIKAEASSPDSQRDTEASPVSGHTNSQITQAVFEKSGEPHVRIVKQILWVNGMRYELQEIYGIGNSVEEGEFDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKISADAAEE >itb15g09550.t1 pep chromosome:ASM357664v1:15:6812004:6817558:1 gene:itb15g09550 transcript:itb15g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNVSNQFLSSPFLLQPLKPRNPTFANFSLIPRFSNPISPFRSCSITASDNNAATSGGTQPMSDPPRSARTRIPRKPKRSSSSYGASRRSALKKSFTQEQVSFANPIPDDPVVGIIGGGMSGLACALYLDKRGIRSTVFDTGIHGLGGRMGTRIVDPQPLIFDHAAQFFTVTDPRFSELVNDWSNRGLVQQWLGTIGELELGGKFSPFPPSPPRYIGVNGMRPLADSILSQTHLVNVVRPCWVSELEPFNGTWHLSEKGKPCGHFDAVVIAHNGKCANRLLATSGLPLIARQMKRLELSSIWALLAAFEDPLPSTANADFVTFEGAFVKGVESVSWMANNTKKLLGSKSDGPHCWTFFSTASFGKKNKVPQESIPTATAEKVKEAMFEGVEKALGLSHGSLKRPLYTRLQLWGAALPTNTPNIPCIFDPLGRAGICGDWLLGSSLEAAALSGMALANHIADYLQSGGACPEEYAVGLHNEFQPLSGHDIGQFPGLESNGQIENSPVLQLTT >itb15g09550.t2 pep chromosome:ASM357664v1:15:6812004:6817558:1 gene:itb15g09550 transcript:itb15g09550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNVSNQFLSSPFLLQPLKPRNPTFANFSLIPRFSNPISPFRSCSITASDNNAATSGGTQPMSDPPRSARTRIPRKPKRSSSSYGASRRSALKKSFTQEQVSFANPIPDDPVVGIIGGGMSGLACALYLDKRGIRSTVFDTGIHGLGGRMGTRIVDPQPLIFDHAAQFFTVTDPRFSELVNDWSNRGLVQQWLGTIGELELGGKFSPFPPSPPRYIGVNGMRPLADSILSQTHLVNVVRPCWVSELEPFNGTWHLSEKGKPCGHFDAVVIAHNGKCANRLLATSGLPLIARQMKRLELSSIWALLAAFEDPLPSTANADFVTFEGAFVKGVESVSWMANNTKKLLGSKSDGPHCWTFFSTASFGKKNKVPQESIPTATAEKVKEAMFEGVEKALGLSHGSLKRPLYTRLQLWGAALPTNTPNIPCIFDPLGRAGICGDWLLGSSLEAAALSGMALANHIADYLQSGGACPEEYAVGLHNEFQPLSGHDIGQFPGLESNGQIENSPVLQLTT >itb07g02980.t1 pep chromosome:ASM357664v1:7:2016174:2020886:1 gene:itb07g02980 transcript:itb07g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGESRKISCEDLQMVQNRIERCLQHYMSRDEVVNTLFVRDNIEPRFTQLIWQNLVEQNQEFFEAYFQYLMLKDQILKFNELLSKQAALMRQPGLTAIPSLPVSNGSHISPIYQNSRCHIAENVGASTNPEEMQTSVPTNLPSAFGSCGSTLHPCIQPVGNMSAQNGKVDVNPNMLAHPSNLGMTRNREVDVNPNMLAHPSSVGMTQNGREVDVNPNMLLAQPSSVGITSTMNGNLIKLEPSYNNRSPFDFGTHGNLFESCSAMGDTPTSFSSVESNTQHHCDALYDGDSSWIRQTFGFDLTAEFSNNSDLLENSYNRPPFLANNTGNLLDSNGNIEQFNNSSGLRYEGFSSD >itb07g02980.t3 pep chromosome:ASM357664v1:7:2016207:2020846:1 gene:itb07g02980 transcript:itb07g02980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGESRKISCEDLQMVQNRIERCLQHYMSRDEVVNTLFVRDNIEPRFTQLIWQNLVEQNQEFFEAYFQYLMLKDQILKFNELLSKQAALMRQPGLTAIPSLPVSNGSHISPIYQNSRCHIAENVGASTNPEEMQTSVPTNLPSAFGSCGSTLHPCIQPVGNMSAQNGKVDVNPNMLAHPSNLGMTRNREVDVNPNMLAHPSSVGMTQNGREVDVNPNMLLAQPSSVGITSTMNGNLIKLEPSYNNRSPFDFGTHGNLFESCSAMGDTPTSFSSVESNTQHHCDALYDGDSSWIRQTFGFDLTAEFSNNSDLLENSYNRPPFLANNTGNLLDSNGNIEQFNNSSGLRYEGFSSD >itb07g02980.t4 pep chromosome:ASM357664v1:7:2018673:2020886:1 gene:itb07g02980 transcript:itb07g02980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDQILKFNELLSKQAALMRQPGLTAIPSLPVSNGSHISPIYQNSRCHIAENVGASTNPEEMQTSVPTNLPSAFGSCGSTLHPCIQPVGNMSAQNGKVDVNPNMLAHPSNLGMTRNREVDVNPNMLAHPSSVGMTQNGREVDVNPNMLLAQPSSVGITSTMNGNLIKLEPSYNNRSPFDFGTHGNLFESCSAMGDTPTSFSSVESNTQHHCDALYDGDSSWIRQTFGFDLTAEFSNNSDLLENSYNRPPFLANNTGNLLDSNGNIEQFNNSSGLRYEGFSSD >itb07g02980.t2 pep chromosome:ASM357664v1:7:2016174:2020436:1 gene:itb07g02980 transcript:itb07g02980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGESRKISCEDLQMVQNRIERCLQHYMSRDEVVNTLFVRDNIEPRFTQLIWQNLVEQNQEFFEAYFQYLMLKDQILKFNELLSKQAALMRQPGLTAIPSLPVSNGSHISPIYQNSRCHIAENVGASTNPEEMQTSVPTNLPSAFGSCGSTLHPCIQPVGNMSAQNGKVDVNPNMLAHPSNLGMTRNREVDVNPNMLAHPSSVGMTQNGREVDVNPNMLLAQPSSVGITSTMNGNLIKLEPSYNNRSPFDFGTHGNLFESCSAMGDTPTSFSSVESNTQHHCDALYDGDSSWIRQTFGFDLTAEFSNNSDLLENSYNRPPFLANNTGNLLDSNVQQFIGLEVRRFQQ >itb05g18280.t2 pep chromosome:ASM357664v1:5:25076268:25078774:1 gene:itb05g18280 transcript:itb05g18280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVCFFSKDTLIIRPPKKSPALLRMIVVVVAMVCGVYICTECLKQSNTDKENKILNLEVIERPCDELETDRSQIPYLHYPKPKTFSRAECACSPVRLFAILSMQRSGSGWFETLLNSHVSVSSNGEIFSVKERRDNISSIMETLDRVYNLDLFTSASKNHCSAAVGFKWMLNQGLMEHHREIAEYFNEKGVSVIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSAEEAGTLAKYKPAINTTLLVMDLKRMDIAAGEALQHFNNTRHLVLYYEDLVRNQTVSTPTTRHILF >itb05g18280.t4 pep chromosome:ASM357664v1:5:25076217:25079276:1 gene:itb05g18280 transcript:itb05g18280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVCFFSKDTLIIRPPKKSPALLRMIVVVVAMVCGVYICTECLKQSNTDKENKILNLEVIERPCDELETDRSQIPYLHYPKPKTFSRAECACSPVRLFAILSMQRSGSGWFETLLNSHVSVSSNGEIFSVKERRDNISSIMETLDRVYNLDLFTSASKNHCSAAVGFKWMLNQGLMEHHREIAEYFNEKGVSVIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSAEEVCLPVLCFVQNSYPESYTV >itb05g18280.t1 pep chromosome:ASM357664v1:5:25076217:25079276:1 gene:itb05g18280 transcript:itb05g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVCFFSKDTLIIRPPKKSPALLRMIVVVVAMVCGVYICTECLKQSNTDKENKILNLEVIERPCDELETDRSQIPYLHYPKPKTFSRAECACSPVRLFAILSMQRSGSGWFETLLNSHVSVSSNGEIFSVKERRDNISSIMETLDRVYNLDLFTSASKNHCSAAVGFKWMLNQGLMEHHREIAEYFNEKGVSVIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSAEEAGTLAKYKPAINTTLLVMDLKRMDIAAGEALQHFNNTRHLVLYYEDLVRNQTKMVDVLKFLRLPPRQLTSRQIKIHRGALWQHVKNWDDVNKTLKGTAYERFLQADY >itb05g18280.t3 pep chromosome:ASM357664v1:5:25076217:25079249:1 gene:itb05g18280 transcript:itb05g18280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGSGWFETLLNSHVSVSSNGEIFSVKERRDNISSIMETLDRVYNLDLFTSASKNHCSAAVGFKWMLNQGLMEHHREIAEYFNEKGVSVIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSAEEAGTLAKYKPAINTTLLVMDLKRMDIAAGEALQHFNNTRHLVLYYEDLVRNQTKMVDVLKFLRLPPRQLTSRQIKIHRGALWQHVKNWDDVNKTLKGTAYERFLQADY >itb08g13140.t1 pep chromosome:ASM357664v1:8:13751335:13756849:1 gene:itb08g13140 transcript:itb08g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILVTFDIDGTLIKSSGLLHRQAFSHAFLQVFNVKGSIDAIKYHGQTDPAIIVKTLVHYGIPYELANGKLSILKSSMVDYVKSHAEDIVKGLEVLPGVETLLQRLSSQKNVIIGLVTGNLEEIAWMKMEALGIKKYFTVPNFGGFGSDHMVRGHLVRIAAERAENLFPGNLDLRVHVGDTLSDIEAAEFGGALPVGVCTGIFTADELKGASNGGNALILPDLCDTRRFMAMLAVDD >itb08g13140.t4 pep chromosome:ASM357664v1:8:13751335:13754446:1 gene:itb08g13140 transcript:itb08g13140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILVTFDIDGTLIKSSGLLHRQAFSHAFLQVFNVKGSIDAIKYHGQTDPAIIVKTLVHYGIPYELANGKLSILKSSMVDYVKSHAEDIVKGLEVLPGVETLLQRLSSQKNVIIGLVTGNLEEIAWMKMEALGIKKYFTVPNFGGFGSDHMVRGHLVRIAAERAENLFPGNLDLRVHVGDTMVYDSMLLPYSHLVCWNGGGI >itb08g13140.t3 pep chromosome:ASM357664v1:8:13751419:13756755:1 gene:itb08g13140 transcript:itb08g13140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFIWENIVLANGKLSILKSSMVDYVKSHAEDIVKGLEVLPGVETLLQRLSSQKNVIIGLVTGNLEEIAWMKMEALGIKKYFTVPNFGGFGSDHMVRGHLVRIAAERAENLFPGNLDLRVHVGDTLSDIEAAEFGGALPVGVCTGIFTADELKGASNGGNALILPDLCDTRRFMAMLAVDD >itb08g13140.t2 pep chromosome:ASM357664v1:8:13751335:13755446:1 gene:itb08g13140 transcript:itb08g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILVTFDIDGTLIKSSGLLHRQAFSHAFLQVFNVKGSIDAIKYHGQTDPAIIVKTLVHYGIPYELANGKLSILKSSMVDYVKSHAEDIVKGLEVLPGVETLLQRLSSQKNVIIGLVTGNLEEIAWMKMEALGIKKYFTVPNFGGFGSDHMVRGHLVRIAAERAENLFPGNLDLRVHVGDTMVYDSMLLPYSHLVCWNGGGI >itb07g14880.t1 pep chromosome:ASM357664v1:7:17542134:17544243:-1 gene:itb07g14880 transcript:itb07g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDKTTLARRVYEDPSVTSRFDIRGWVVASQYHSKLQILTDLLKSTGYVGSGTQEDQLAQQLYQHLMHQRYFVVIDDIWSVDAWNSVKACFPDNANGSRVLLTTCFAQVATSISSNNNFSHQKQFLDQTESWDLFREKASKSGYAEFEAIGRPIVEKCKGLPLAIVVDAGLFSKLHTLDEWKNVAEFLNSSATTTIDEECSRILSSSYNHLPHSLKACFLYLSIFQEYEEIYVRDIVKLWVAEGLVKASKDMSFDAVARRHIQELKDRNLILINRPSNCGRKVKTFRMHSLLHSLCVGEAKKENLLYIIYGNKSSSSQKDYRWLQSLHLFGKFGLHRLAIPNINHLVCLENLMRLRFTHSTFEWKAINILSKLSKLEVLKLSYCKCIGGEWELLEKESFDRLIYLEIQSNNLESWEASACHFPNLEHLVLYRCQKLEKIPVEFAEISNLKSIKLYECLPSVVDSANEIQREQREQGNDNMPHSCSDDEIEP >itb13g05590.t1 pep chromosome:ASM357664v1:13:6726489:6730501:-1 gene:itb13g05590 transcript:itb13g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQKNVGILALEIYFPPTCLQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTAVTSLLEKYEVDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALLNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPIVFESKLRASHMAHVYDFYKPILDSEYPVVDGKLSQTCYLMALDSCYKNLCQKYETLEGKPFSVDEASYFVFHSPYNKLVQKSFARLIFNDVVRNASYIDEAAKEKLSPFSSLTGDESYSNRDLEKASQQVAKPFYDAKVQPTTLVPKQIGNMYTASLYAAFISLLHNKHDTLPGQRVILFSYGSGLTATMFSLRLREAQHPFSLSNIASILNVAGKLKARHEFTPEKFVELMKVMEHRYGAKDFVTSKDCSLLAPGTYYLTEVDSKYRRFYAQKAKDAGTAALANGH >itb15g11580.t1 pep chromosome:ASM357664v1:15:9405615:9406931:1 gene:itb15g11580 transcript:itb15g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYGFGEKASVDEDDTKGQPAITAGRYVLGGGYKGKGRNNLRDTLDKFGKVKDFLNHDDTQMVVPTNLSRPGPNMATRPSWPKYTNFGGQMMMTTKKEDDDEGNQESFAPADNAEDSSKKSNNNFCRVQDDVDSIVPNNLGSNSSPNNNVIKPTNFRGTAMDPPKDDKQSYGVNNTPSNKVKESSSNCYTMDHDRSDGKGQGPLCTVNFGPKKHSDYVSESIGQVKKQNIEDNSNKDQDDESKKNNIRPTSRAFVSNKPNMSNGWQDYNYYDDAPLKPQGRYNYNNRAHRWKEITPNPPPQLRYGGAYPNLRPVYRRPVIDSKWAEKKYNGVLLTELIKKNVF >itb04g28580.t1 pep chromosome:ASM357664v1:4:32282617:32284608:-1 gene:itb04g28580 transcript:itb04g28580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKQGGGDKRRRVVLVPYPFQGHITPMLQLGSILQSRGFSVTVSHPQYNSPPPSAHPELEFHSLYDGLAGYDMSFHNLLAAIYAMNENCRASLDEYLVQLMEKEEEEEKPVIIYDNIMFFVDAVATHLKIPTIVLRPFSAIYLHSLLSVLQQSDAIFPFQDDSILEPLPDLHPLRFKDLPFPTINNDVLEPVVEFSVRSNDIRSSVACIWNSLEELEHSALSKLQQRYKVPFFPIGPFHKRASDSSTSLLEEDTSCLEWLDRQAPGSVLYISIGSLVNISEKDLVETAWGLANSEQPFLWVVRPGSVNGCDQLPEDFERVIGDRGRIVKWAPQRSVLSHRAVGGFMSHCGWNSTLESISEGVPMICTPVISDQPVNARYISQVWKVGLELEKAERGVVETTIRKLMVGSEGRELRKRVVDLKLKLESCMQKGGSSYQALDELVEFISSLP >itb13g01350.t1 pep chromosome:ASM357664v1:13:1298121:1298921:-1 gene:itb13g01350 transcript:itb13g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVFTWISMILLLSNVFSIYVVSGQCILDEKTVLLQIRREITCNSSASTKLVLWDERVDCCHWPGVSCNDAESGGHISRLNLSDDESISNGFNLSLLLKLPSLSVIMLDNINFSAPFPDFFPDFTNLTVLSLVRCNFTGIVPHKIFQVPTLQTIDLSLNGMLRGSLPEFPENGSLQVLKVAFTMFSGNLPESIGNLRLLSYIHLTGCDFSGPIPASFRKLTKLVEVFLDWNHFSGSIPSFKQSKNLIVITLNRNDFAGEIPFSH >itb03g11470.t1 pep chromosome:ASM357664v1:3:9648565:9648960:1 gene:itb03g11470 transcript:itb03g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIATHFSIFFFFLLFPFHFCARDIQFNKIPNNNNVVNKVQTILILQFNFMQETEYGGYGLYGRESTTKNLPNPDSQQETPPQNCNSVSYVAVPEDSVKNQYYRGNKQIKIKITSCFINLNMFSSFSMIN >itb02g22050.t1 pep chromosome:ASM357664v1:2:21110983:21118892:-1 gene:itb02g22050 transcript:itb02g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPSSESRRRPAEVPQADRGSKARKVAPSNFAPVASLSGGTVPVNAHVPPQPESFPSMHTRALGKLLVDANKQLTAGQRRTVIAMGFGPMLNFQIADTPLRLGNWLLSNLDIDSMQLKFPNGLTLKVDEEAVEAVFGLPRGPKVITDRAKHQKSIILNIWRESYEKTDYTITPVEVAIKLEKYLDGGECFIRNYALLVVSTIVRTMQNGYVYQHVIPNLEDTLEIANLNWCQYVIHSLVATQPAWKARKTQRFTGPLVFLTVLYVDRVQAGACTVPRTLPAFVGWDSELLKQREELEVTSGGFGRGTIVEPLQPKKKDLRVRVTEQARLVAPGILQLVELLREAEMEADQSDQSSKLFNTARSLVGIHPTVGVPAHAPPPAVSADGTETDDDFYSNPDVLKAVEEIEHAVHKRNALYNGPSLSGVLPEDAATTTVRQMTNNATSEQRASGMSTRSGAKHNGVTPCAQPSSSAPPISPPQSIRVNGVTAAPQGMSTRSTAKHNRVSQSALPSSSATPISPPQSICVNGVTTAPQVVAQVPGAPLSITRHQTAKAPHVATKPPQVPNHPPTPVVRNQSYHMAPVDELDPAVLQQDEFSDVPSFSLGLTQDVPTSPVRHTTTFATPQQRLTVITSPNATPGRLHHSMRDDGVAATPQDVASILGTHTSTSGTPVGRAPLKAPKPPHYSKHQPTPVRTKLSHHVSQMGGRPSWTVPSQTYVYHWIVDNLAVNRDDEIFRYKAYKASWGNVASLQEGSKVAYRVVDAWACVLNYRELTKGAAVPNRLFASTKTALQSAVNYTALRYLRLGWFTKSLEEDFSKSPRETWRGIHIYIFPILQRGHFYMISVDTIAKKVDIIDNSSAAEPKDRLYGQTPNQLVDMFSTFLDDKLETEIASQIRGLIPKRMQMSWRVPKNQVDSAVFTMRHMESY >itb14g19120.t1 pep chromosome:ASM357664v1:14:21872434:21873957:-1 gene:itb14g19120 transcript:itb14g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPPPDSDHSLHSSPETLTSLPKKPHPLPWTPQETVNLIQAYKEKWYSLNRGQLKASQWEEVAIAVAARCGFDELSKSSTQCRHKIEKLRKRYRAERLKPYPNSWQFFELMDSLERGPLPIAAHPVTMVKYQNPNYRYEEDSDNEAVNPGYGSVDFRKNKSKSINHIVSGEISANGFNNSTRNMNKMALSARRIPIHEFRKSNFANEPSDDEGEDEEEVDEDGIEEEDGGVGDSELAAEIRGFAERFMRMEKRKIEMMRDTGRYRIEMEKKRLDMILETQRKIADSVSRILEPNKRVKMEL >itb06g11570.t1 pep chromosome:ASM357664v1:6:16125853:16128501:-1 gene:itb06g11570 transcript:itb06g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPFTEIEMENHEKGINHKQDDDEDKVVHCLILPYPAQGHINPMLQFSKRLEAKGVKITIAITKFLLATLQDLSSSHLSIETISDGFDEGCSSQTRSSQAYIDKFREVGSETLAQVLRRLRGGRFPVSCVVYDAFLPWVVDVAVEEGVSGACFFTQSCAVDAIYYYTQQGRLKLPLAENQVVEIPGLPCLEPKDMPSFIYSPESYPFVLDMLVDQFCNVEKADWILINTFYELERQVIDWMRKLWVVRAIGPTIPSVYLDNRLPDDKEYGLSVFKPMTEVCMKWLDEQQDGSVVYVSFGSMVHLQEEQMEELAWALRRSNRPFLWVVRSEEANKLPKNFAEEEKRGLVVSWCPQLQVLTHPGMGCFLTHCGWNSTLEAISLGVPMIAIPQWSDQMTNSKLVMDKWKTGVRAKKEENGVVKREEIEECIGRVMEDEEMRANARKWKQICREAVDVGGTSDRDIQDFVSCLATKFMTD >itb14g11440.t2 pep chromosome:ASM357664v1:14:13074220:13078299:1 gene:itb14g11440 transcript:itb14g11440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVSVADGATAASSKTAYVPPHLRNRGLPSSTPSTPTPAASYAGAIASGNDRVGYGGPPAGGSRWNGPKNEFRSSYGGSTSSSSWNSRTGGWDRAGEREVNPFGDEGEKPFDDHENSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNNNIRRCKYVKPTPVQRYAIPISLTGRDLMACAQTGSGKTAAFCFPIISGIMRGQFPRPPHPRMAFPLALILSPTRELSCQIHDEARKFAYQTGVKVVVAYGGAPINQQLRELERGVHILVATPGRLVDLLERARVSLEMIRYLALDEADRMLDMGFEPQIRKIVQQTDMPPPGMRQTMLFSATFPKEIQRLAADFLSNYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLHAQRANNVNGKQALTLIFVETKKGADSLEHWLYLNGFPATSIHGDRTQQEREYALRSFKSGNTPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNSSIAKPLADLMQEANQEVPAWLSRFAALSFSYGGKNRRGGNRFGGRDFRKEPAYNKGSADYYSTSNSNTGYGGSSGYNAASSAGVASAWD >itb14g11440.t1 pep chromosome:ASM357664v1:14:13074220:13078299:1 gene:itb14g11440 transcript:itb14g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVSVADGATAASSKTAYVPPHLRNRGLPSSTPSTPTPAASYAGAIASGNDRVGYGGPPAGGSRWNGPKNEFRSSYGGSTSSSSWNSRTGGWDRAGEREVNPFGDEGEKPFDDHENSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNNNIRRCKYVKPTPVQRYAIPISLTGRDLMACAQTGSGKTAAFCFPIISGIMRGQFPRPPHPRMAFPLALILSPTRELSCQIHDEARKFAYQTGVKVVVAYGGAPINQQLRELERGVHILVATPGRLVDLLERARVSLEMIRYLALDEADRMLDMGFEPQIRKIVQQTDMPPPGMRQTMLFSATFPKEIQRLAADFLSNYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLHAQRANNVNGKQALTLIFVETKKGADSLEHWLYLNGFPATSIHGDRTQQEREYALRSFKSGNTPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNSSIAKPLADLMQEANQEVPAWLSRFAALSFSYGGKNRRGGNRFGGRDFRKEPAYNKGSADYYSTSNSNTGYGGSSGYNAASSAGVASAWD >itb04g10630.t1 pep chromosome:ASM357664v1:4:10175747:10184118:-1 gene:itb04g10630 transcript:itb04g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MPQQQQEAAAAVGGRSRSRSVGRPIFSGEPAKKTILGNNFLDFGHLAMNFGIIRSRKGNSKGRAGRGLTLSLSLSHSLHLHFYHRHQPPLPLPPRLTFSSPSPIRKSSMEVPKDQMATLLENNLFASAQMLGCFLVSSSSINPETSPHLKAENLVLLGDALFREKEYRRAIHTYKQALQFHKTIPKQSTVATRSSISASNRSGSPSSFNISAINENEVKFKIASSHCALNENRAALLEMEGIPSKVRNLQMNLMMGKLYRNSRHTRASVTCYKECLRHCPYIIEAITALAELGVAAKDIISLFPQTSNRSGRPPLDHFDSSRWLMRYVEAQCLIASNDYKGGLELFTELLQRFPNNVHLLLEMAKVEAIIGKDDEAITDFEKVRSIDPYVMTYMDEYAMLLKVKSDFSKLNKLVHDLLNIDPTRPEVFVALSVLWERKDERGALSYAEKSIRIDERHIPGYIMKGNLFLSMNRPEAAVIAFRGAQELRPDLRSYQGLVRSYLALSKTKEALHAAREAMKAMPQSAKALKLVGDVHASNTSGREKAKKFYESSLRLEPGYLGAALALAELHVIEGRNADAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDALSHYQAALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVEDPDADQEENDLL >itb02g12640.t1 pep chromosome:ASM357664v1:2:8705324:8710177:-1 gene:itb02g12640 transcript:itb02g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKENCRNELRAAFRQLSDRCLYSASKWAAEQLTGIEQDTTEYPASLTRFQLGSSSILHRFRTGTGEATFSSTPSAGVSYVATPPVLDDEYEAGDSDFYLLAKSYFDCREYRRAAHVLRNQTSKKAIFLRCYALFVAGEKRKDEEIIELEGPLGKSDVVNQELVSVERELSTLRKNGTIDSFGLYLYGLVLKQKGNDNLARTVLVESVSSYPWNWSAWTELQSLCTTIETLNNLNLSYHWMNEFFLASAFQELRMHNESLAKYEHLQGTFSLSNYIQAQIAKAKYSMREFEQVQVIFEELLINDPYRIDEMDMYSNVLYAKECSAALSHLAHRVILTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKNYLSAWTLMGHEYIEMKNIPAAVDAYRRAVDINTCDYRAWYGLGQAYEMLGMPLYALHYFKKSVFLQPNDSRLWIAMAECYKTEQVNMLEEAIKCYGRAANLNDREAIALDQLAKLHSQLGRSDEAAFYYKKHLERMESEEREESNIVEALLFLVKYYKAQRRFEEAEIYCTRLLDCQGPEKEAAKSLLRGLRHAMDVQRFHPKICTSVTKY >itb14g13390.t2 pep chromosome:ASM357664v1:14:15372477:15375063:1 gene:itb14g13390 transcript:itb14g13390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFGSTQAAELRATKDVFISAIRYATSIIDPSPPFGDELRTCAQEQVEFMLGDNEEILMVIADEEIKQETRMGLCKIFSSFEKALLSPLLLELDVKDKAVENKIMQNLSDVEWLCNILLKTDLMKDFVSHWADISNDVLRVVEDKKLHSVMWGLKVKLIEVTSKVLDAVGYGNVILPAPGRVHLLKAWLPYVRKMKHLLDSMGNKEADFPYRMDEELSQSIEGAIVSLVSALPSNSQAEILADWMNGEHLKYPDLSEAFELWCYRTKSAKRRLLEALAESPLQFSASPSD >itb14g13390.t1 pep chromosome:ASM357664v1:14:15372477:15375063:1 gene:itb14g13390 transcript:itb14g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFGSTQAAELRATKDVFISAIRYATSIIDPSPPFGDELRTCAQEQVEFMLGDNEEILMVIADEEIKQETRMGLCKIFSSFEKALLSPLLLELDVKDKAVENKIMQNLSDVEWLCNILLKTDLMKDFVSHWADISNDVLRVVEDKKLHSVMWGLKVKLIEVTSKVLDAVGYGNVILPAPGRVHLLKAWLPYVRKMKHLLDSMGNKEADFPYRMDEELSQSIEGAIVSLVSALPSNSQAEILADWMNGEHLKYPDLSEAFELWCYRTKSAKRRLLEALAESPLQFSASPSD >itb12g21420.t1 pep chromosome:ASM357664v1:12:23795187:23796802:-1 gene:itb12g21420 transcript:itb12g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSLKPAVIMVLLCWVFSLLTPTLAHKSSWKNPLRVGFYGYSCPSAESIVKNVVYKAVSRNPGLAGGLIRMHFHDCFVRGCDASVLLDGLNSEKEAIPNKNSLRGFEVIDAAKAAVEAACPGTVSCADIVAFAARDSAHKVGNIYYDVPSGRRDGRISNKDDALANLPSPFVGAMEIFKSFSKKGMSLDEMVTLSGAHSIGIAHCATFFNRLYPKNKAENLPIDPEFKKFLTAICPPGALTNGTGIANPVNLDVLTPNRLDNKFYVDLKNKKGVLISDQTLMSHPKTAKMVNFNARYGSVWAKKFAAAMVHMGYLDVITGTKGEIRRNCHVVN >itb02g06370.t1 pep chromosome:ASM357664v1:2:3968927:3970925:1 gene:itb02g06370 transcript:itb02g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALSPFMASLPSVAFTVTRQKPELVAPAEPTPREIKYLSDIDDQQGLRFQLPVIQFYRNEPSVSGKDPVEVIRQALAKALVFFYPFAGRLREGPGRKLMVDCIGEGVMFIEADADATLQHFAIGGEVRPPFPCFDQLLYNVPGSAEILNCPLFLIQVTRLRCGGFIFALRLNHTIADAPGLVHFLNTIAEIARGAEAPTLQPVWQREFFSARNPPRITCTHYEYEEELADSMVTNIPLDNLVHRSFFFGPTEISALRSSLPPHLRKCSTVEILTALMWRCRTIALRFDPYEEVRMLLLINARPRFKDTPVPSGYTGNAFVYPGAKTTADKLRKNPLGYAIELVTSIKRCFSKEYMQSVMDLMVLKGRPLFHVAGSFIMSDLTRSKLPDVDYGWGKAVFGGPPHGGTGDVPGVITFHVPYENNKGENGTLIPICLPAFAMDKFVNELANTFINAAL >itb13g24400.t1 pep chromosome:ASM357664v1:13:30065772:30070329:1 gene:itb13g24400 transcript:itb13g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAPSLLHTCTVQQPRAILSRIHTCFHFTAILALLYYRFSTLFSHGAVPRLSWTLVTVAELIFTSIWVFTQAFRWRPVARSVRPENLPARSEDLPGVDVFICTADPGKEPVVEVMNTVLSALALDYPPEKLAVYLSDDGGAAATLCAVKEARGFARHWLPFLRRFAIKTMSPEAYFSSLGDEERFLRVGDDEFMAEELKVKSEYDVFKRNVEKAVSVDSSVKNDRPPYVEVIHDNTKNQEGEDEENKMPLLVYVSRERRPLRPHRFKAGALNALLRVSGLMSNAPYMVVLDCDMYCNDSSSAKQAMCFHLDPNISTDLSYVQYPQIFYNVSKNDIYDGQARSAYTTKYQGMDGIRGTICAGTGYYLNKKALFSRPNQEDEFLHEAEKHFGCSSMFIDSLKRLNDKNYDKRDILSDSTIEEAKTVASCTYEENTAWGSQIGYSYECLLESSFTSYLLHCKGWRSVYLYPKRPCFLGCTTIDMKDALVQLMKWASGLVQVGFSKYNPLVYGISNNMPILQVLCYSYFMFSHLLSIPTLLYGIVPQLCFLTGTPLFPKVSSVWFAPFAIVFVSSLLQHVHEVFSTGGSIKTLWNEQRIWLIRSVTACLFGCLDALLKQVGVAKANFRLTNKAIDKDKLRKYEMGKFDFQGAKMFMVPLTFLVVLNLVSFVFGMKRMIGEGNYGEMFGQCFLSSYGLALSLPILEGLIKKDKQK >itb08g06120.t1 pep chromosome:ASM357664v1:8:5245473:5262525:1 gene:itb08g06120 transcript:itb08g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRSSLPSRLRQLFSSDGAIGPSVKLDSEPPQRIKAFIDKVIQCPLQDIAIPLSGFRWEYGKGNFHHWRPLFLHFETYFKTYVSCRNDLLMCDDILGDASPFPKQAILQILRVMQIILENCHNKSSFSGLEHFKILLASTDPEILIATLETLSALVKINPSKLHASGKLIGCGSVNSCLLSLAQGWGSKEEGLGLYSCVTINERTQDEGLCLFPSDVESVDDNKLYRLGSTVYFELHGNGSCSQSTGEGSDASISSAMSVIHMSDLYLRKEDDLSLMKSCIEQYNVPTDQRFSLLTRIRYAHALSSPRVCKLYSKICLLAFIVLIQASDSHDELASFFANEPEYTNELIRIVRSEETISGAIRTLAMNALGAQLAAYASSHERARILSGSSISFAGGNRMILLNVLQRAILSLNSSNDPSSVAFVEAVLQFYLLHVISSSSSGSAIRGSGMVPTFLPLVEDSDPGHLHLVCLAVKTLQKLLDYSNAAVTLFKDLGGVELLAHRLQIEVQKVINLAGRDDKSMEIVESSRCKDDEVHSHKRLIRALLKTLGSATYAPANTARSQSSNDVSLPVTLSLIFGNVEKFGGDIYSSAVTVMSEIIHKDPTCFPTLHDLGLPNAFLSSVVAGILPSPKALTCVPNGLGAICLNAKGLEAVKEMSALRFLVDIFTDKKYVIAMNEGIVPLANAVEELLRHVSSLRATGVDLIIEIIKKIASFEQFEHGESSGKASVGNEMEMDSDNHENVGPCTLIEATNSPTEAMGDEQFIQLAIFHVMVLVHRTMENSETCRLFVEKSGIEALLKLLLWPSIAQSSEGMSIALHSTMVFKTFTQHHSAALARACCSFLRDHMKKALMGLSGVSGSFLLDPKASQDSTTFSSLFLVEFLLFLAASKDNRWVTALLNEFGNGSKDVLEDIGRIHREILWQIALLEDAKIEEDGVCDGSGDESKNSEFGSADSEEQRLSSFRQFLDPLLRRRMTGWSFESQFFDIISLYRDLTRAAALTRASGLQQRQSGDGTSNMELGASNQLQQSSSVDGAGTSAPKDDDKQRSYYHSCCDMVRSLSIHIMHLIQELGKVMLLPSRRRDDMLNVSPPSKSVASTIASIALDHMNYGGHVNTSGAEVSVSTKCRYFGKVIEFIDGIILDKPDACNPVVLNCLYGRGVIQSVLTTFEATNQLLFAVNRGPASPMETDESCLRQDGVQESDNSWIHGPLGSYGKLMDHLVTSSLILSPFTKHLLTQPLISGGIPFPRDAETFVKVLQSMVLKAVLPVWTHPQFTECSYEFIATVLNIMRHIYSGVEVKNTNTNATRVSGPPPNEAAISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEAQEDDELARALAMSLGNSGSDAKEDVAHESSHTIEEEIVQPPPMDDLLSTCKKLLQMKDSLAFPVRDLLVIICSQNDGEHRSSVISLMIDQVKLCTNISDTGNINMLSNLFHVLALILNEDQSAREAAAKNGLAKVTSDILSQWVSSPFDREKIPKWVTAAFIAIDRLAQVDQKLNADMLEVLKKDVVCQTAVSIDEDKQNKLHSSLGLSPKYLDQQEQKQLVEIACACIRNQLPSEMMHAALQLCSTLTRNHSVAVIFLDAGGLDQLLSLPTSSMFVGFDNIAATIIRHILEDPQTLQQAMETEIRHSIVTAANRQPTGRLTPRSFLSNLTSVIQRDPLIFMQAARSVCQVEMVGDRPYVTLLKDREKDKDKREKEKEKEKSDEKDKLQNNDLKIGVAAVSPGSHGKSVDTGSKNAKLHRKPPHSFVNVIELLLDSVVAFVPSMKDESVTKEGSSSSDMDIDVSASKDKGKAIASVSEGSGTDNQESSASMAKIVFILKLLTEILLMYAPSIHILLRRDAEISSKSAPPRGLSVNCSGGIFHHVLHKFLPYSKNPRKEKKADVDWRQKLASRANQFLVASCVRSSEARKRVFTDISTVFHDFVELSKGGFRAPGVDFLAFIDLLNDILAARTPTGSYISAEASATFVDVGLVRSLTRALHVLDLDHGDSAKVVTGLVKVLESVTKEHVHAAESNTGRVDQTEKAQEHNQHGSDNGASVTQSMETASQANVNSVPTDQNEAFGATQNYGGSEAVTDDMEHDQDIDGGFGLQNDDDYMHENNEGTRALENGIDAVGIRFEIQPDVQANLGEDEDDEEEDDDDEMSADEGDDVDEDEDGEEEEHNDLEEDEVHHLPHNDTDQDDHEIDEDEFDEEVMEEEDEDDEDEDDGLILRFGDGMNGLNVLDHIEVFGRDNSFSNETLHVMPVEVFGSRRPGRTTSIYNLLGRSSDSSIPSLHPLLVEPSSSLHVGHLRQSENARDHYSDRNQEGTPSRLESFFRSLRNGRHGHRLNLWSSDNQQSGGSNVSAVPQGLEDLVVSHLRRPEPGKPSDHEAAAVESQSKGDANQFPGSAGTTSEHPTETNENDENRQTSPLSAVPDGSGNSDMAPVANAATQRTDASSRPPQSIEMQFEQNEVVRDVEAVSQESSESGATLGESLRSLDVEIGSADGHDDGGDRHGVADNRTRRTNVSFGNTAPVSGRDAPLTSVSEVSEPPNQEAEQTGPSEEQQENTDTDTVSIDPAFLEALPEELRAEVLSAQQGQVAQPQNADAQNTGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANLLRERFARRYNRTLFGVYPRSRRGESSRRGEGIDRAGGARRSLGNKPVEADGSPLVDVEDLKALIRLLRIVQPLYKGQLQRVLLNLSAHAVTRTSLIKILMDLLMLDVRKPANSLNTSEPPYRLYACQSNVMYSRPQHFDGIPPLVSRRVLETLTYLARNHSLVAKALLEFRVTPSLQEESRNPEQRQGKAVMVVDYDEQQLEGQVSLALLLGLLNHPLYLRSVAHLEQLLNLLDVIVDNVEGKSNAPDEPAPSTTEQPSAPQNSSSDAEMNDESRITSSEVDEQSKPSSSTERENDAHSILLNLPRAELQLLCSLLAREGLSDNAYALVAEVLKKLVAIVPVHCHLFTAELASSIQILTKSAMDELRNFAEVEKALFSTSSTDGAAILRVLQALTSLVPSLSEKGKDPQVPAGTEHAADISLVSDINTALEPLWLELSTCISKIESFSDTSADVSRSSIVSTSKASGVMPPLPAGTQNILPYIESFFVMCEKLQPGQSGAGGDFGIAVSDVEDAIASASQQKSLGLAIKFDEKNVAFVKFAEKHRKLLNAFIRQNPGLLEKSFSIMLKVPRFVDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQELKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGSKVTYHDIEAIDPDYFKNLKWLLENDISDVLDLTFSIDADEEKLILYERNEVTDYELIPGGRNIRVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFTELIPRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSANHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >itb04g31580.t1 pep chromosome:ASM357664v1:4:34395434:34396503:1 gene:itb04g31580 transcript:itb04g31580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACGSLKHMFDNPLPENPTLLESLSSSLKQIQSMNPLQDSSLTEIFGELHFKEHHSSSSPLSSSASSSSLFSVDTHTQNPQWLDKEKTPNHSDSTSYYHQYKHGGHHDFSSKNSDSLSLCTEGLGFESSDDIEDSNDVDHDWLVSKERPSFSRHELKRSRLGKGPFPPPISSIGRSGKPWVCFRSFREDGRFILKEIRIPTQEFLRACREDGRLKLQLIQSDDEIPEDEEEEEEQEDDNDTEDIQEVKDDDFQAEGNDREEENIRNQQ >itb10g01880.t1 pep chromosome:ASM357664v1:10:1529418:1530488:-1 gene:itb10g01880 transcript:itb10g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKISIMENSNISEEEKWWGDKHLEQINGFWFMPLYILIINRVLAEFNPRPSDVILSSFPKTGTTWLKSLLYSIINRSSLHNPHDLVPFLEFQVYGDHHQESSTHLSSEDTTRLFNTHIPYQLLGKTLESSGCRVVYVARNPKDTLNFLWHFANKWKMAEEAPWELEEAVEKFLRGTVPTGPYYEHVLGYRMANLKNPSKFFFITYEELKDDTKTHVKRLAEFLGCPFVGGEEDKEVEEIVKCCSFEVLKNHKVNKSENCPDWFPTPYNSFFRQANVGDHTSYFSDEAIKSIDALTLEKFHKSGFIYGI >itb07g22900.t1 pep chromosome:ASM357664v1:7:27398487:27402602:-1 gene:itb07g22900 transcript:itb07g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHEPYWRTNSSFSPPPTRWDFRFQSEALSFGSHDGAQLYGSSASSNSRESRSWARGNQFTNHQYLVSDGIGAYYSSPSDNSPAQQWTPPAIQEISIDDYGTSRQVLRPLSFSPTMEGTSTARDGRGSTSSRSDSSDSESITKSHSSHRNFPSCRYFMSKPIHPLSIPSETPRREAFDSIPAGFSEFDSTTPRRDKHRFSSASCSIDLEASEPVESDSLSRSFNVSEGFKCGLCDRFLSQRSPWSSRRIVRSGDMPVAGVLSCRHVFHAECLEQTTPKSRKSDPPCPICAKVEEGSSPDQRTFSKFFPRLKPFSEDGPSKPWGCAHAGDCVEGALHAPSRNTLLSLNRNRIRKNLSLKGNPVKEFPGKLRKTGSYSSQLFIGSVDHGTAGSSKAVTGSSLK >itb07g22900.t2 pep chromosome:ASM357664v1:7:27398487:27402602:-1 gene:itb07g22900 transcript:itb07g22900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHEPYWRTNSSFSPPPTRWDFRFQSEALSFGSHDGAQLYGSSASSNSRESRSWARGNQFTNHQYLVSDGIGAYYSSPSDNSPAQQWTPPAIQEISIDDYGTSRQVLRPLSFSPTMEGTSTARDGRGSTSSRSDSSDSESITKSHSSHRNFPSCRYFMSKPIHPLSIPSETPRREAFDSIPAGFSEFDSTTPRRDKHRFSSASCSIDLEASEPVESDSLSRSFNVSEGFKCGLCDRFLSQRSPWSSRRIVRSGDMPVAGVLSCRHVFHAECLEQTTPKSRKSDPPCPICAKVEEGSSPDQRTFSKFFPRLKPFSEDGPSKPWGCAHAGDCVEGALHAPSRNTLLSLNRNRIRKNLSLKGNPVKEFPGKLRKTGSYSSQLFIGSVDHGTAGSSKAVTGSSLK >itb01g20690.t1 pep chromosome:ASM357664v1:1:26900334:26906466:-1 gene:itb01g20690 transcript:itb01g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRHSWQDPLLLTDVSSQQQQQFSSYTSCTAPTFPDLLDSPMQDEIPEDIYTDPIVESMMEGPYPTSPDVTTSLVLGREILEFSLTSPDLMTCAESPEIPKQSYGESPEIFKGSSIEVSLENGIKGSEIKDSNDTPASAKFSTFLSLSKDEFELPPPPVMEEFSPVHLRSMVTINVGSTDCINFQGDTQFSKDKFFTGGDTIRTDAIVGDGGASGLYQSARFGNFLYNFEIMDAGCYLVDLHFAEIVLTNGPPGMRVFDVYIQEQKVISSLDIYACVGANKPLVISDLEAHVNGEEGLCIRFEGIIGLPIVSGISVRKKSGSEEVELHEISGCLQLPQSKSWKAIDHGEEDGDAQRVKLENNCLEKELEDTKRQLEELKRLNEQKSRECQEACKSLEDLQNELMRKSMHVGSLAFAIEGQVKEKTKWFSSLRDLTRKMKIMKMDQIKLSEEALSFKQCIADMTDMGSLIQSKLKQHVELHEDLKNKFIKGAKEKRELYNKVLELKGNIRVFCRCRPLNVEEIAMGASMAIDFEAAKEGELTVKSNGLSKKTFKFDAVFSPQAEQVEVFEDTAPFAASVLDGYNVCIFAYGQTGTGKTFTMEGTKEARGVNYRTLEELFRIIEERNNTFQYEISVSVMEVYNEQIRDLLVSEAQTGVTTTKRLEIKQVGEGVHHVPGLVEAQVNNMNEVWEVLQTGSNSRAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTRSKLWLIDLAGSERIAKTEVQGERLKETQNINRSLSALGDVISALANKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDVSETLCSLNFASRVRGIELGPAKKQLDNTELLKYKQMVEKNKQEMKTKDFQVKKMEDTVHGLEMKMKEKDLKVKSLQDKIKEMESQLLVERKLARQQVDSRIAEQLQQQQHLRQQHEDQNSSRPPFATKTTLAPKTSYDENKSQINLPKPLSENNNYKLSVDPLLKQNDLAEKEDKENNPGIEQLLMPKRAWRASLCPTVHKLPATSAPRRNSLIPLPSVTGAAKLPLPFLPLAPIQANEEDDVGNAKGKCLPEPVPGDSPTDQKIESKKLHSALRRSIQKRIQMKSPMQHIRRGGGLNLGTEKVRISIGSRRVAHRVLLGNARRGVTKEGGLQKQSQREKERGWNIGTATRGAVL >itb11g13200.t2 pep chromosome:ASM357664v1:11:10198412:10203195:1 gene:itb11g13200 transcript:itb11g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMPFAVPLNIPITQKSAVCAMAVRASATAPPPPLPLPPSPRLKASSLSKLPPKPQFSPNSLSVSLTTSATLSLLPLFTAPSYEAKALSLPKEEIVSSLTQTVADVVQQTYKVIQVAKPIASSTVESILSAEPSTILGTGGAFVIAYILLPPISSTISFSLRGYKGDLTPAQTLDLMCTKNYIMVDIRSEKDKGKAGIPRLPSSAKNKMIAIPLEDLPSPVRRLVRSPKKVEADLVALKISYLKKINKGSNIVIMDSYSDSAKIVARALTSLGFKNCWVVNDGFSGSRGWLQSKLGTDSYNVSFAEVLSPSRIIPAGSRPVGTRSVKLLSRGTD >itb11g13200.t1 pep chromosome:ASM357664v1:11:10198412:10203195:1 gene:itb11g13200 transcript:itb11g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMPFAVPLNIPITQKSAVCAMAVRASATAPPPPLPLPPSPRLKASSLSKLPPKPQFSPNSLSVSLTTSATLSLLPLFTAPSYEAKALSLPKEEIVSSLTQVEYTINQFQQLGLSTFGTAGRVIGPVVEFVKPGIYVALPILKRATEEVVNITSPVISAASNKALEAIQGSGIDIQPVLTAAKTVADVVQQTYKVIQVAKPIASSTVESILSAEPSTILGTGGAFVIAYILLPPISSTISFSLRGYKGDLTPAQTLDLMCTKNYIMVDIRSEKDKGKAGIPRLPSSAKNKMIAIPLEDLPSPVRRLVRSPKKVEADLVALKISYLKKINKGSNIVIMDSYSDSAKIVARALTSLGFKNCWVVNDGFSGSRGWLQSKLGTDSYNVSFAEVLSPSRIIPAGSRPVGTRSVKLLSRGTD >itb04g30230.t1 pep chromosome:ASM357664v1:4:33398446:33401865:1 gene:itb04g30230 transcript:itb04g30230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEKGNEDDVPAKLTTQVIICSIIAAFAGLMFGYDIGISGGVTSMDEFLEKFFPAVYVKKHMIHEDNYCKYVKKHMIHEDNYCKYDNQMLQLFTSSLYLAAEMLQLFTSSLYLAAVVLQLFTSSLYLAAVVASFFASSLYLAAVVASFFASHCCKRYGRKLTIQLASIFFIIGVILNTTALNLLMLIFGRLFLGAGVGFGNQVFQQLTGINVIMFYAPVLFQTMGLGANASLLSALITGSVNSLSTVGAIFGADYFGRRALLIEGAIQMLVAQGVVGGILAAYLQATNMIPKFAAVIVLVFICVFVMGFAWSWGPLGWLIASEIYPLETRTAGFFFAVSTNMIFTFIVAQAFLTMLCTMKSAIFFMFASFVLVMGLFVVFLLPETKGIPIDEMNERVWKKHWLWKRCFDENENANIXSHLHSTLLPL >itb08g03740.t1 pep chromosome:ASM357664v1:8:3033434:3037325:1 gene:itb08g03740 transcript:itb08g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MGWLWRFLLIGSLFQAPFCASSSISDLFDDWCRQHGRAYSSDQERQHRLGVFEDNYDYVVQHNGARNSSYTLALNAFADLTHHEFKAAYLGLSASGNDGLIRLNRGSDSGSNVVGDSDDVPASLDWRAKGAVTEVKDQGSCGACWSFSATGAMEGINQIVTGSLISLSEQELIDCDKSYNSGCEGGLMDYAYEFVVKNKGIDTEDDYPYKGKDNTCNNNKLKKHVVTIDGYTDVPSGNEKKLLQAVASQPVSVGICGSERAFQLYSGGVFTGPCSTDLDHAVLIVGYDSENGDDYWIVKNSWGTSWGIKGYMYMQRNTGTPEGMCGINTLASYPIKTSPNPPSPSPGPGPTKCSLFTFCDSDETCCCAWRFLGICFSWKCCASDSAVCCSDGKHCCPSDYPICDTTRNICLKQNGNVTLALRHGTTGRPSSIKEY >itb02g05310.t2 pep chromosome:ASM357664v1:2:3185710:3188118:-1 gene:itb02g05310 transcript:itb02g05310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNPHQAAPGTAAFPYSQQPPQPQGGFSAPPPPGYPVRDGEARSEPSVPAQTQSRGDGFWKGCCAGLCCCCALEACF >itb02g05310.t1 pep chromosome:ASM357664v1:2:3186706:3188118:-1 gene:itb02g05310 transcript:itb02g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNPHQAAPGTAAFPYSQQPPQPQGGFSAPPPPGYPVRDGEARSEPSVPAQTQSRGDGFWKGCCAGLCCCCALEACF >itb02g05310.t3 pep chromosome:ASM357664v1:2:3187195:3187890:-1 gene:itb02g05310 transcript:itb02g05310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNPHQAPGTAAFPYSQQPPQPQGGFSAPPPPGYPVRDGEARSEPSVPAQTQSRGDGFWKGCCAGLCCCCALEACF >itb04g30780.t1 pep chromosome:ASM357664v1:4:33817609:33819707:1 gene:itb04g30780 transcript:itb04g30780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYRPLSPERAKVWTEKSPKYRQKQQPRKEQQSSSSSSNNRVAVVYYLCRNRQLEHPHFIEVPLSSPEGLYLRDVIERLNVLRGRGMASMYSWSCKRSYKNGFVWHDLSEDDLILPAHGNEYVLKGSELLEESNLGNFSPGRNVRFQNPKLLPEPPSARSQDDSSSSSSMNGRGTKPSQDDELSPPVERPNSSAVSPESSAGKNFSWNGSLSLAEYKVYKNDGSADASTQTEENPSRNNSVRETCTRGVSTDDGSPEPESNRANDNQHVLQMKESSEICKEAVSPPPSTSSVSSGGRTDTLESLIRSDISKLNSFRILEEEEFRVPTTKLKPSNMLMQLISCGSISVKDHSFGLIPMYKPRFSHSKFPSPLFSTSLTLGELDCLTENPRLMGLRLEDKEYFSGSLIETNVPKGVAVLKRSSSFNADRTNKQIDPVEDKEEDVSSTGSKCIPRAIKASLSKHPRSESMRSPISEGPRISSEGAENPQAITFDTPTGGSRRITEPTSGKKQSKRAESFREEGNAIKIIEES >itb13g24930.t1 pep chromosome:ASM357664v1:13:30452579:30456165:-1 gene:itb13g24930 transcript:itb13g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETDEDKLKDYFQHYGEVIQTVVMRDKVSGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQSSKAGNVNSSSVSGGGGGSNNKTKKIFVGGLPPTLTDDEFRQYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNDKQVEVKRAFPKDANPNASGRSMGGGNYQGYGGSGNSPYGNKMDSNRYMSSQNTGGGYPSYGSSGYGTPGYGYPPTGGMGYGGYGSYGGANPGYGNANTGYGGLSSSPYGNPNAPNSGYGSGPAGGPRSSWSSQSPSGYGNVGYGSAPWGNSNAASGGGAAAGQSVTGVAGYGSQGYGYSGFGGNDGAYGNPSAYGSIGRAGGAPRTSSPIGGGATGELQSGAGGYGGYGNAGGNSGYGSAGWRPDIPQASGNYGSNGSHGGQVGYGSSYSGSMPGRQSQQQ >itb13g24930.t3 pep chromosome:ASM357664v1:13:30452365:30456145:-1 gene:itb13g24930 transcript:itb13g24930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETDEDKLKDYFQHYGEVIQTVVMRDKVSGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQSSKAGNVNSSSVSGGGGGSNNKTKKIFVGGLPPTLTDDEFRQYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNDKQVEVKRAFPKDANPNASGRSMGGGNYQGYGGSGNSPYGNKMDSNRYMSSQNTGGGYPSYGSSGYGTPGYGYPPTGGMGYGGYGSYGGANPGYGNANTGYGGLSSSPYGNPNAPNSGYGSGPAGGPRSSWSSQSPSGYGNVGYGSAPWGNSNAASGGGAAAGQSVTGVAGYGSQGYGYSGFGGNDGAYGNPSAYGSIGRAGGAPRTSSPIGGGATGELQSGAGGYGGYGNAGGNSGYGSAGWRPDIPQASGNYGSNGSHGGQVGYGSSYSGSMPGRQSQQQ >itb13g24930.t5 pep chromosome:ASM357664v1:13:30452725:30456165:-1 gene:itb13g24930 transcript:itb13g24930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETDEDKLKDYFQHYGEVIQTVVMRDKVSGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQSSKAGNVNSSSVSGGGGGSNNKTKKIFVGGLPPTLTDDEFRQYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNDKQVEVKRAFPKDANPNASGRSMGGGNYQGYGGSGNSPYGNKMDSNRYMSSQNTGGGYPSYGSSGYGTPGYGYPPTGGMGYGGYGSYGGANPGYGNANTGYGGLSSSPYGNPNAPNSGYGSGPAGGPRSSWSSQSPSGYGNVGYGSAPWGNSNAASGGGAAAGQSVTGVAGYGSQGYGYSGFGGNDGAYGNPSAYGSIGRAGGAPRTSSPIGGGATGELQSGAGGYGGYGNAGGNSGYGSAGWRPDIPQASGNYGSNGSHGGQVGYGSSYSGSMPGRQSQQQ >itb13g24930.t4 pep chromosome:ASM357664v1:13:30452579:30456165:-1 gene:itb13g24930 transcript:itb13g24930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETDEDKLKDYFQHYGEVIQTVVMRDKVSGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQSSKAGNVNSSSVSGGGGGSNNKTKKIFVGGLPPTLTDDEFRQYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNDKQVEVKRAFPKDANPNASGRSMGGGNYQGYGGSGNSPYGNKMDSNRYMSSQNTGGGYPSYGSSGYGTPGYGYPPTGGMGYGGYGSYGGANPGYGNANTGYGGLSSSPYGNPNAPNSGYGSGPAGGPRSSWSSQSPSGYGNVGYGSAPWGNSNAASGGGAAAGQSVTGVAGYGSQGYGYSGFGGNDGAYGNPSAYGSIGRAGGAPRTSSPIGGGATGELQSGAGGYGGYGNAGGNSGYGSAGWRPDIPQASGNYGSNGSHGGQVGYGSSYSGSMPGRQSQQQ >itb13g24930.t2 pep chromosome:ASM357664v1:13:30452579:30456165:-1 gene:itb13g24930 transcript:itb13g24930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETDEDKLKDYFQHYGEVIQTVVMRDKVSGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQSSKAGNVNSSSVSGGGGGSNNKTKKIFVGGLPPTLTDDEFRQYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNDKQVEVKRAFPKDANPNASGRSMGGGNYQGYGGSGNSPYGNKMDSNRYMSSQNTGGGYPSYGSSGYGTPGYGYPPTGGMGYGGYGSYGGANPGYGNANTGYGGLSSSPYGNPNAPNSGYGSGPAGGPRSSWSSQSPSGYGNVGYGSAPWGNSNAASGGGAAAGQSVTGVAGYGSQGYGYSGFGGNDGAYGNPSAYGSIGRAGGAPRTSSPIGGGATGELQSGAGGYGGYGNAGGNSGYGSAGWRPDIPQASGNYGSNGSHGGQVGYGSSYSGSMPGRQSQQQ >itb01g02600.t1 pep chromosome:ASM357664v1:1:1694771:1699224:1 gene:itb01g02600 transcript:itb01g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVVDDSPATASIPSRQAHCSTTPATASIHFNPPFLHQTISPSPVTQGPAASTAAYPVATASSTAPPHLRRSPVTTPLLQSLPPNLQSSIRPSSPPATASKALAASTVVSAVATASSTTAPPVTTASSTTASPVDGHNYRLAGIGRYKVNKWRYEKKSNKKYKQRCQRTMRTTASPSSPYEEVSCPHCFGHAQINDHQFDVYRPGIRFLDPAIIRQEDLRGHPGRILLHILGTEQNPVPSLPWHTTASPPSGENGSRIQELNQNDNDSPGPEPEPSSSAIEALPKVKISPEHIMACNSNCPVCKDEFEVGVEVMELPCRHFYHSDCILPWLQTNNTCPVCRYEVQGCCRPNHGVQEEHYSINDFGYAEEEEDEESIRRPLVWGWNQVLSLWPFSMLSYWMYESFNVVESITSAYFDDEW >itb04g05590.t1 pep chromosome:ASM357664v1:4:3635497:3637589:1 gene:itb04g05590 transcript:itb04g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTILAKLVYKDSSVVSHFDIRAWAVASQYHNKLQMLTNLLESTGRVASGTQEDRLAQQLYQNLMQQRYLVVIDDIWSVEAWDSIKACFPDNGNGCRVLLTTRSAKVATIIGSNNDFSHQIQLLGEGDSWNLFHEMTGKFQGFEFDMIGRQIVEKCKGLPLAIVVAAGLFSKLYTLDEWKNVAEALNSSATTTTIDEECSRILSSSYNHLSHNLKACFLYLSIFPEDAEIDVKEIIKLWVAEGLVKTSKDMSFDAVARRHIQELKDRNLIVLTSTIPFRSWNLQTLSVCRIDEMSFLEFPQLQHLRSLGIPMDFPICFHQNLKSIGMLRVDHCTKEFFTSIPYVKKVKIESEGGWNDCINNIAYLHQLQSLFLLSNRHRILIPNNNCIVNLKNLMKLRLLYVSFEGNAINIFSKLPKLEVLLLIQCEWIGGGWELLENESFDKLIYLKIIASNLKRWEASDCHFPNLERLVLHWCEELEMIPAEFAEIQNLKLIELHRCLQSAVDSAKEIQREQHEHGNDNMVVIEEDTIEPLSSSDDELEP >itb14g05050.t2 pep chromosome:ASM357664v1:14:4367234:4369323:1 gene:itb14g05050 transcript:itb14g05050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALHLCMSLRAFRFHSLDMSFKQPIICLLILLINVVYRDVSFNNLSGSLPKLSARAFKVVGNPLICGQSSGDNCSLVYPEPLSFPPDNDKDQSHAGASHRVAIAFGVSFGTAFLIVIVVMLLIGWRYQHNKQIFFDVNEQRDAEFCLGNLRRYTFKELRAATDHFNPKNILGKGGFGIVYKGCLNDGTTVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLWGFCSTENERLLVYPFMPNGSVASRLKDYVHGKPILDWLRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEYFEAVVGDFGLAKLLDHHESHVSTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQKAVDFGKGTNQKVVMLDLVCFASPFPRFLAPFVHLHGDLTLNLALHGHIL >itb14g05050.t1 pep chromosome:ASM357664v1:14:4365489:4370215:1 gene:itb14g05050 transcript:itb14g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRCILYEVLGFVFWIFIESSLATLSPSGVNYEVVALMAIKTSLHDPYNVLENWDVNSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPGIGNLTNLQSVLLQNNAISGPIPVSIGKLGKLHTLDLSNNKFSGYMPSSLGDLINLNYLRLNNNSLTGQVPESLSNVDSLALVDVSFNNLSGSLPKLSARAFKVVGNPLICGQSSGDNCSLVYPEPLSFPPDNDKDQSHAGASHRVAIAFGVSFGTAFLIVIVVMLLIGWRYQHNKQIFFDVNEQRDAEFCLGNLRRYTFKELRAATDHFNPKNILGKGGFGIVYKGCLNDGTTVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLWGFCSTENERLLVYPFMPNGSVASRLKDYVHGKPILDWLRRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEYFEAVVGDFGLAKLLDHHESHVSTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQKAVDFGKGTNQKVVMLDLVKRLHQEGKLSLMVDKDLRTNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLRMLEGDGLAEKWEASQRAETPRHRAHGNSLPQRYYDLIEESSLVVEAMELSGPR >itb05g04320.t1 pep chromosome:ASM357664v1:5:3868545:3870492:1 gene:itb05g04320 transcript:itb05g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKSKAELLAQLAELKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQTQKAALRNAYKNKKYLPLDLRPKKTRAIRRRLTKHQAYLKTEREKKKEMYFPLRKYAIKV >itb01g11680.t1 pep chromosome:ASM357664v1:1:10765922:10766293:-1 gene:itb01g11680 transcript:itb01g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRNLNLTILVLLAAALALAPHGGEAAVTCDTVYSDLNACLNYVMFGGNVPAGCCSGMKTLVSAAATTADRQTACSCLKSIVSKANASEVSRAAGLPAQCGVNIPFKIGPNIDCSKVKFGF >itb12g17380.t1 pep chromosome:ASM357664v1:12:19434679:19441455:1 gene:itb12g17380 transcript:itb12g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRWHIHGTALRDAALVSHVTCSRHPYQFSIFQFGAVKRQKLGEVCKEVGLPPGALNILTGLGQEAGAPLVSHPHVDKIAFTGSNATGLKIMTAAAQLVKPVTLALGGKSPIVIFEDFSDLDKGNCDQLEANTTSSGRISNVVGGHWWCKERGVRSGFGSDIAHYFPEAMIHRKSNIGSSTSHSSCEARIKQLEEQNQVMQQQQQDMHEENRRICDIVQKMEATLAHFSANLGSLDQDPNKNSSNDDTLAPSSQD >itb06g20580.t1 pep chromosome:ASM357664v1:6:23511390:23514502:-1 gene:itb06g20580 transcript:itb06g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQNQQWRLSAILLFVLVVLTRAAEDDRFVTRDGVHFMMNGSPFYGNGFNAYWLMYVASDPSQRDKISTAFLQAKSHDLSVGRTWAFSDGGYSPLQYFPGSYNEQMFKGLDFVVSEAGKHGIKLILSLVNNYKDFGGKSQYVEWARNQGQNCSSEDDFYTNAVVKGYYKNHIKAVLTRNNTFTGVAYKDDPTIMAWELMNEPRCQSDLSGNTMQAWIAEMASFLKSIDNKHLLEIGLEGFYGQADAKKQQNNPNFQLGTDFIANNQIPQIDFATVHSYPDQWLIGQSDEAQLSFLKTWLRNHIQDSQNILQKPIFLAEFGKTTKDPGYNVGARNQLMSAVYSAIYASARGGGAAAGGFFWQLLTEDMDSLRDGYEIVFSESPSTADVITRQSKQLTRIRKMFARLKNLEKWKREKDNNNGSRPPARN >itb11g22820.t1 pep chromosome:ASM357664v1:11:24701871:24708563:1 gene:itb11g22820 transcript:itb11g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MSLTISHHHSSQAAPPPEPPQTCAQGHRTTLILATEEGGSICLLCFSNLISNPRSPTVHVSYALSQLSIALSQSQFRHDFFTFHSHFLISPLVAVLSSFHDDPIAQQTVDLIVQICDAANFEVFQEFVARVSDRLSAGSLAWSRRQHYTLHCLGVLLNSQKNEACASIKDTDALIFNLASGLQLSSEEIQAEILFVLYKIFLLHSYKDYNCNESLFFHYPKLVCLSLEVLMKAECDDLRLNCKGLFQITSINDVRRSASSDIKTLMQTAEDTMDNPPLVLLFAEAVKGPLLSPDSQVQVATLDLLFLFLSWEDVSGKEIEVFVEQNIADYAFEILRLSVDSSQTKGCKDSLITACIQVLDLLSIAEKAFIQRLATGLATLVPVLHHVANVPFHPVQTETLMLVWTCVTNWPGIVSKSDIEEISFILSGMLKKNIDGEIGMSPSTFTLVCSILIALMKCSSSNGVSSFLVSIQDASRNAILTCLSHYDKYPSQILHSLYLLKEAYVYSHGENLTSSICTELRRGIVDICKTHVLPWFMRALNDIEEEDIALAVFETFHTILLEDSGTETKIFANILFSFSWFSFSFGLLGLFPTEKMKWRVYLLFSLLSEALIGGDSGQCIRDAALHLPSDPVDLLFLLGQRSSQNLELSCCQSAVLMLLHISSLNDDRIAEDKLVLASLEQYIILNSNEYLYGSSVSGMLKLLINLYALYRALAKMSYQIAYSPEAERIMFHLLAEKEWDLLTMRIHLTSLQWLFQQERICKLLSLQILKFCRYNLKGQQMVVQRKNNQNIDLRVVADLVASGDNFAGLLFVFVLGELVDEVDKEIDIGLVLRTIQDVIEISPAASAQLCMHGIGSAFQKLYYQSRYSSCPDIFLATSELAFMILQTVKSESISDDDIWVGIVVKLMDYLIPSVAADGWSRETLIVVGIFSLILHHSTDQKLVEASKSILMSTPLVSMINDTITEACSKGPALIDHDETTRTGEVLIFVLALLFFAFKSSYAILPGIVNCQHLLDNSSSEQPLPYTSIKCHDLCKLIHFGSYIIKLVASYHLVEIFSRISETNARKPDELKFKRGYLLSTSAVLEGLIFSSDIQVSMNCSLCLSMIIGWQDLQNQVPSIERDSWFRLIVEELAVALAVPCLASKSFTIHHKPAIHVAVSLLRMKQAPPWMSSVFDESCICGIIKNISASNLSPELVLLFRQLLNSGYLRDEHISSLNRVFQECRRCMYRDNIGEVRTEEHAKNNVAAAILGDLRNTSEFLIDLMKSQSSINIECSGSQSEHRRLLEEIDLFLKCLMVDD >itb15g23210.t1 pep chromosome:ASM357664v1:15:25963069:25965946:1 gene:itb15g23210 transcript:itb15g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRTRSQKDQQMGHLMPDSSSESHLNSGVFAQKHKVSSFFNVPALFVGLNPKQSESDSVRSPTSPLEFRVFSTLNTLRSQRSSEGHQKSWGGSKVGLSIIDALDDKTKRPGNLPIPSKSKNIIFGPQMSCKTPNFLNHSDSFDAAKSLPKNIPIFPCTEPKPFNLHNDSSYVLFGIGDAPLEEGISSQNMRSCSLDSGRSGSHFAGFASRKSNLHSGSFGTEHGINSAISQNGRELSKLGNSSGAKLSSMPAPSGSISTSEIELSEDYTCVRTHGPNPKVTHIFCDCILECHNNEFTNFSKNGEEEKGLSKGPDCCEEHLSYPSSDFLIFCYTCKKKLDGKDIFIYRGEKAFCSSSCRSEEILIDEQKEKTNKGGDDFEKPNSPEESFESSLFIAT >itb08g05340.t1 pep chromosome:ASM357664v1:8:4402355:4404856:1 gene:itb08g05340 transcript:itb08g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYQPGRGGRGEFEVGGGGQVLDLETAVKDGILGGGGVGVLAKTVDKKPSLRTMIEELDAIEVPAVFICPISLDPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNSTLHQLIYTWFSQKYLAMKKRSEDVQGRVLEILESLKKVKGQARVQALKELRLVVSAHDSAKKTVMSNGGVALISSLLGAFTSHAVASEAIGILVCLDINFSGKKDLAQPSKVSLLVDTLNEGSIDTKINCMKLLEMLIEGKDSDSQIVSSLSLLVGVLRLVRDKRHPNGVLAGLKMLKIIASYESLRASIVNVGSIPQLVDVLPSLNAECLELALHVLELLSALPEGALALKDCPRTIPNTVKLLMKVSESCTQSALSILWEVCKLAPEKCSTLVVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYTATIFISKCKLTKTIQ >itb14g09320.t6 pep chromosome:ASM357664v1:14:9628511:9636464:1 gene:itb14g09320 transcript:itb14g09320.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNGAERRFNTNEEDEDDEEESGRGIEAWERTYADERSWESLQEDESGLLRPIDNKTLYHAQYRRRLRTTSAARIQKGLIRYLYVVLDFSKAATEMDYKPSRMVVVAKQVEAFIREFFDQNPLSQIGLVMLKDGVAHCLTDLGGSPEAHIKAVMGKLECSGDASLQNGLELVHDLLNQIPSYGHREVLILYSALNTCDPGDIMETIQKCKKSKIRCSVIGLSAELFICKHLCQETGGMYYVALDEAHIKELVLEHAPPPPAIAEFAVANLIKMGFPQRAAEGAISICSCHKEAKVGGGYTCPRCKARVCELPTECCICGLTLVSSPHLARSYHHLFPITAFDDVSPSIKNNLQKVPKNCFGCRQSLLNPGNIPAPCVACPKCKQHFCLDCDIYIHESLHNCPGCESFKSSASVSNMQE >itb14g09320.t2 pep chromosome:ASM357664v1:14:9628530:9637020:1 gene:itb14g09320 transcript:itb14g09320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MIQAKKMNGAERRFNTNEEDEDDEEESGRGIEAWERTYADERSWESLQEDESGLLRPIDNKTLYHAQYRRRLRTTSAARIQKGLIRYLYVVLDFSKAATEMDYKPSRMVVVAKQVEAFIREFFDQNPLSQIGLVMLKDGVAHCLTDLGGSPEAHIKAVMGKLECSGDASLQNGLELVHDLLNQIPSYGHREVLILYSALNTCDPGDIMETIQKCKKSKIRCSVIGLSAELFICKHLCQETGGMYYVALDEAHIKELVLEHAPPPPAIAEFAVANLIKMGFPQRAAEGAISICSCHKEAKVGGGYTCPRCKARVCELPTECCICGLTLVSSPHLARSYHHLFPITAFDDVSPSIKNNLQKVPKNCFGCRQSLLNPGNIPAPCVACPKCKQHFCLDCDIYIHESLHNCPGCESFKSSASVSNMQE >itb14g09320.t1 pep chromosome:ASM357664v1:14:9628511:9636464:1 gene:itb14g09320 transcript:itb14g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNGAERRFNTNEEDEDDEEESGRGIEAWERTYADERSWESLQEDESGLLRPIDNKTLYHAQYRRRLRTTSAARIQKGLIRYLYVVLDFSKAATEMDYKPSRMVVVAKQVEAFIREFFDQNPLSQIGLVMLKDGVAHCLTDLGGSPEAHIKAVMGKLECSGDASLQNGLELVHDLLNQIPSYGHREVLILYSALNTCDPGDIMETIQKCKKSKIRCSVIGLSAELFICKHLCQETGGMYYVALDEAHIKELVLEHAPPPPAIAEFAVANLIKMGFPQRAAEGAISICSCHKEAKVGGGYTCPRCKARVCELPTECCICGLTLVSSPHLARSYHHLFPITAFDDVSPSIKNNLQKVPKNCFGCRQSLLNPGNIPAPCVACPKCKQHFCLDCDIYIHESLHNCPGCESFKSSASVSNMQE >itb14g09320.t3 pep chromosome:ASM357664v1:14:9628530:9636418:1 gene:itb14g09320 transcript:itb14g09320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MIQAVGDRSHSLLALSHATLSPVAAQVRTALPSRQSSLSEPHLQLSPNLLEFEAGSVEKKMNGAERRFNTNEEDEDDEEESGRGIEAWERTYADERSWESLQEDESGLLRPIDNKTLYHAQYRRRLRTTSAARIQKGLIRYLYVVLDFSKAATEMDYKPSRMVVVAKQVEAFIREFFDQNPLSQIGLVMLKDGVAHCLTDLGGSPEAHIKAVMGKLECSGDASLQNGLELVHDLLNQIPSYGHREVLILYSALNTCDPGDIMETIQKCKKSKIRCSVIGLSAELFICKHLCQETGGMYYVALDEAHIKELVLEHAPPPPAIAEFAVANLIKMGFPQRAAEGAISICSCHKEAKVGGGYTCPRCKARVCELPTECCICGLTLVSSPHLARSYHHLFPITAFDDVSPSIKNNLQKVPKNCFGCRQSLLNPGNIPAPCVACPKCKQHFCLDCDIYIHESLHNCPGCESFKSSASVSNMQE >itb14g09320.t4 pep chromosome:ASM357664v1:14:9629979:9636411:1 gene:itb14g09320 transcript:itb14g09320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MNGAERRFNTNEEDEDDEEESGRGIEAWERTYADERSWESLQEDESGLLRPIDNKTLYHAQYRRRLRTTSAARIQKGLIRYLYVVLDFSKAATEMDYKPSRMVVVAKQVEAFIREFFDQNPLSQIGLVMLKDGVAHCLTDLGGSPEAHIKAVMGKLECSGDASLQNGLELVHDLLNQIPSYGHREVLILYSALNTCDPGDIMETIQKCKKSKIRCSVIGLSAELFICKHLCQETGGMYYVALDEAHIKELVLEHAPPPPAIAEFAVANLIKMGFPQRAAEGAISICSCHKEAKVGGGYTCPRCKARVCELPTECCICGLTLVSSPHLARSYHHLFPITAFDDVSPSIKNNLQKVPKNCFGCRQSLLNPGNIPAPCVACPKCKQHFCLDCDIYIHESLHNCPGCESFKSSASVSNMQE >itb14g09320.t5 pep chromosome:ASM357664v1:14:9628530:9636418:1 gene:itb14g09320 transcript:itb14g09320.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MIQAKKMNGAERRFNTNEEDEDDEEESGRGIEAWERTYADERSWESLQEDESGLLRPIDNKTLYHAQYRRRLRTTSAARIQKGLIRYLYVVLDFSKAATEMDYKPSRMVVVAKQVEAFIREFFDQNPLSQIGLVMLKDGVAHCLTDLGGSPEAHIKAVMGKLECSGDASLQNGLELVHDLLNQIPSYGHREVLILYSALNTCDPGDIMETIQKCKKSKIRCSVIGLSAELFICKHLCQETGGMYYVALDEAHIKELVLEHAPPPPAIAEFAVANLIKMGFPQRAAEGAISICSCHKEAKVGGGYTCPRCKARVCELPTECCICGLTLVSSPHLARSYHHLFPITAFDDVSPSIKNNLQKVPKNCFGCRQSLLNPGNIPAPCVACPKCKQHFCLDCDIYIHESLHNCPGCESFKSSASVSNMQE >itb01g20440.t1 pep chromosome:ASM357664v1:1:26690922:26691206:-1 gene:itb01g20440 transcript:itb01g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSNNGGAGRPLKVVIINTQYVETDARSFKSVVQRLTGKDSTAADIKKEHHYSGGMAAASNPPLSRGLSFKDFDKLFKELPPVDELYRAIY >itb12g01350.t1 pep chromosome:ASM357664v1:12:946208:946609:-1 gene:itb12g01350 transcript:itb12g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLLVVSLVLAFAGYAAAQEAASGGKTEVSDVKSNAEVQNLGRKAVMEFNKRLNVKVNPENNAKRLVFTEVIKAEKQVVAGEKYFLTIKATSEDGQTKTYESEMWVKPGDETVHEMLNFAPAAAA >itb13g17120.t1 pep chromosome:ASM357664v1:13:24043942:24044670:1 gene:itb13g17120 transcript:itb13g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENENTLGFFFAETGIGKHLSPRSPFLSLPSRLCRLSELRSLLEQGSCLVFGTEIPFCLILRCWSYHNGHGSAHSY >itb14g21370.t1 pep chromosome:ASM357664v1:14:23410708:23413202:1 gene:itb14g21370 transcript:itb14g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYERVDLGMGMGIRAGAGSDRLRTIWTPEMDRYFIDLMLEQVGKWSNDDDHIFSKQSWKHMACLFNDKFKFQHDIDIFKNRHKTLRNLYRSVQHLLDQEGFSWDETRKMVTADNKIWDEYIKVHPKLRSFRIKSIPYFRDLCEIYKHASPEKKVTIACHSAFSKDSEPQSDSGSMSEGENSKSELVKAEEPIISPINVGDNAMETLHDIALIEDYGLSVTTGLVDDAPYPLSDNSSPAATRTRTCWQPQMDLYFIHLMLDQVKKGNRADGLFRREAWMEMITSFNAKFGFNYEVDILKNRFKSLRRQYSLINNLLKMDGFVWDDARQMVTADDRVWQDYIKINTTARQYMTRPVPFYKDLCVICGEVSSDGKDGFSAHNTNQQDEAFENNFGVLLKSLESPSAMVFSDDRISKEDSLHMGFNATDKRGKHQLDNPSGSERSKRARSKDDGIAYALREMASTVSSLVGKRKEDESSNSVSIEHVVKAIQALPDMDEDLVLDACDFLEDEKRAKTFLALDFKLRKKWLIRKLRPQQQ >itb14g21370.t2 pep chromosome:ASM357664v1:14:23410762:23413165:1 gene:itb14g21370 transcript:itb14g21370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYERVDLGMGMGIRAGAGSDRLRTIWTPEMDRYFIDLMLEQVGKWSNDDDHIFSKQSWKHMACLFNDKFKFQHDIDIFKNRHKTLRNLYRSVQHLLDQEGFSWDETRKMVTADNKIWDEYIKVHPKLRSFRIKSIPYFRDLCEIYKHASPEKKVTIACHSAFSKDSEPQSDSGSMSEGENSKSELVKAEEPIISPINVGDNAMETLHDIALIEDYGLSVTTGLVDDAPYPLSDNSSPAATRTRTCWQPQMDLYFIHLMLDQVKKGNRADGLFRREAWMEMITSFNAKFGFNYEVDILKNRFKSLRRQYSLINNLLKMDGFVWDDARQMVTADDRVWQDYIKINTTARQYMTRPVPFYKDLCVICGEVSSDGKDGFSAHNTNQQDEAFENNFGVLLKSLESPSAMVFSDDRISKEDSLHMGFNATDKRGKHQLDNPSGSERSKRARSKDDGIAYALREMASTVSSLVGKRKEDESSNSVSIEHVVKAIQALPDMDEDLVLDACDFLEDEKRAKTFLALDFKLRKKWLIRKLRPQQQ >itb12g02440.t1 pep chromosome:ASM357664v1:12:1604157:1606875:1 gene:itb12g02440 transcript:itb12g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSAAATLRNPTTTVHHRNLNSAAHVATFPAALSLPSKTAAVKRTAITCKSAATASSAAVEAQQTAGGSSDRVFNFAAGPATLPENVLRKAQEELVNWRGSGMSVMEMSHRGKEFMSIIQKAEADLRALLKIPEDYAVLFLQGGATTQFAAVPLNLCAPDDAVDYIVTGSWGDKAYKEAGKYCKPNVIWSGKSEKYTKIPSFDSLEQNPHAKYLHICANETIHGVEFKDYPTPKNKTSLLIADMSSNFCSKPVDVTKFGIIYAGAQKNVGPSGVTIVIIRKDLIGNTQPITPVMLDYKIHADNNSLYNTPPCYGIYMCGLVFEDLLAQGGLGEVEKKNKKKAEVLYEAIDSSNGFYRCPVEKSVRSLMNVPFTLAKSELEGEFIKEAAAGKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFMAKHG >itb08g11400.t1 pep chromosome:ASM357664v1:8:11368766:11371527:1 gene:itb08g11400 transcript:itb08g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSLSSSSWRSVAQTYPAIVPRNSLAASDDSYNQMSQRSVSPSLGFTVLDQIRGFSSGSLVAAQEVGLPAGLPATVTAIKNPTSKIVYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLVRLLILKFVLSMSASKDVLALASIEVDLSSIEPGTTVTVKWRGKPVFIRRRTDDDIKLANSVDVGSLRDPQQDAERVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLDENKLLIG >itb15g02460.t1 pep chromosome:ASM357664v1:15:1492901:1495439:-1 gene:itb15g02460 transcript:itb15g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGKNQRASETEFFLQWGSRKRLRCVRVRGPDPSSSDATRLRRKISSRFLTVSAKDAAFLPPRHTRNSETAALRSENRRSASRSPEKEERCYPTRGSAEENGKVAVDGGGGNLAGNHAGDESNRGVVWPKLYITLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRTLLLVSPGAWLTDMSLERYEVREKKSTKKRARGLKAMGSVESDSE >itb09g11900.t2 pep chromosome:ASM357664v1:9:7445464:7450826:-1 gene:itb09g11900 transcript:itb09g11900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVEPNTCIRGCCHSQTIPLHLPSSSYSLSSPIARGAESVVYEAILNGRKVAVKKPVLSTSDDLDKFHKELQLLCKLDHPGIAKLVAAHAKPPNYMFFFEFYELGNLAAQLHVEEWSPSIHKVLDVSSKLAKALQYLHTLGIVHRDVKPANILLDRNLQPHLADFGLAEYKRNLKQVSIENWKSTGKPTGGFHKRNMVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVRVSSFLFDIMLINKTTRIT >itb09g11900.t4 pep chromosome:ASM357664v1:9:7445464:7450731:-1 gene:itb09g11900 transcript:itb09g11900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEFYELGNLAAQLHVEEWSPSIHKVLDVSSKLAKALQYLHTLGIVHRDVKPANILLDRNLQPHLADFGLAEYKRNLKQVSIENWKSTGKPTGGFHKRNMVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVRVSSFLFDIMLINKTTRIT >itb09g11900.t7 pep chromosome:ASM357664v1:9:7445464:7450826:-1 gene:itb09g11900 transcript:itb09g11900.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVMASDGLWDVVSDADVVSIIRDTVKEPGMCSKRLATEAADRGSKDNITVIVVFLRPVSTAERIY >itb09g11900.t6 pep chromosome:ASM357664v1:9:7445464:7450070:-1 gene:itb09g11900 transcript:itb09g11900.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVRVSSFLFDIMLINKTTRIT >itb09g11900.t5 pep chromosome:ASM357664v1:9:7445464:7450070:-1 gene:itb09g11900 transcript:itb09g11900.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVMASDGLWDVVSDADVVSIIRDTVKEPGMCSKRLATEAADRGSKDNITVIVVFLRPVSTAERIY >itb09g11900.t3 pep chromosome:ASM357664v1:9:7445464:7450731:-1 gene:itb09g11900 transcript:itb09g11900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEFYELGNLAAQLHVEEWSPSIHKVLDVSSKLAKALQYLHTLGIVHRDVKPANILLDRNLQPHLADFGLAEYKRNLKQVSIENWKSTGKPTGGFHKRNMVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVMASDGLWDVVSDADVVSIIRDTVKEPGMCSKRLATEAADRGSKDNITVIVVFLRPVSTAERIY >itb09g11900.t1 pep chromosome:ASM357664v1:9:7445464:7450826:-1 gene:itb09g11900 transcript:itb09g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVEPNTCIRGCCHSQTIPLHLPSSSYSLSSPIARGAESVVYEAILNGRKVAVKKPVLSTSDDLDKFHKELQLLCKLDHPGIAKLVAAHAKPPNYMFFFEFYELGNLAAQLHVEEWSPSIHKVLDVSSKLAKALQYLHTLGIVHRDVKPANILLDRNLQPHLADFGLAEYKRNLKQVSIENWKSTGKPTGGFHKRNMVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVMASDGLWDVVSDADVVSIIRDTVKEPGMCSKRLATEAADRGSKDNITVIVVFLRPVSTAERIY >itb09g11900.t8 pep chromosome:ASM357664v1:9:7445464:7450826:-1 gene:itb09g11900 transcript:itb09g11900.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLIYMAPEVLRKEIHTEKSDVYSFGISVNELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSEGLRPVLAGPDLSAPASLVSLISRCWDADPQSRPSFDDIVMELDSIMEHGIGVSNGENASAKSSISQGVPQGTNPQGYQESINWNTQGEDFAKTVSLTPNSCVRTWNDSANDSSVYSPVLSWGSFASCGRRETMEDRHFLMPNMCDTQDIHFFGIFDGHRGAAAAEFSAGALPGIFKSLGLMDSPSDALIETFVQTDIAFRNELSAHKKRKGAIQKDSHPGCTAIAALIVKNKLIVANAGDCKTILCRAGHPYALSRDHVASCLEERERVTRAGIQVKWQVDTWRVGNAALQVTRSIGDDDLKPAVTAEPEISETILSADDEYIVMASDGLWDVVSDADVVSIIRDTVKEPGMCSKRLATEAADRGSKDNITVIVVFLRPVSTAERIY >itb03g06250.t1 pep chromosome:ASM357664v1:3:4618777:4619568:1 gene:itb03g06250 transcript:itb03g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNHVRSISFPSRSHPSIQKVEEELTKLKTLQVSVTPEAATISSGLLGLEQLYKCMDDLLNLPQTLQAISQHQNKKWVDGLLEKSVRLLDICGLARDCISQLKEHLRDLQSSLRRRKGDSSTEDSITRYSSFIKKMNKDAKKSIAAIKKIDGEIDGSTPLDVNHDISAVIRALREASAVSTSIFQFVLLFLSVPVLKPKPSRWSLVSKLVQSGRVACEYQHDNTCNLETLEAQLEAIENQMESIFRCLIKLRSSLLNIISC >itb02g03640.t1 pep chromosome:ASM357664v1:2:2147906:2149859:-1 gene:itb02g03640 transcript:itb02g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIIKRKKGKSDAGETPLPSAPGAPDVTVNHASRIADAAGHHAARVSEVPQLVCLANAPADPSVVEVLPLLKDVPASGCHILFVRKLQICCVHFDFTDVMKYAREKEIKRQTVTELIDIVHTGSCKMNEQMQEDLIKMISINIFRSLPPASYECTGGQSGDPEEDDLFLDPGWAHMQLVYELLLRYVLSSDMDTRAAKKYLDHAFLLKFLDLFETEDLREREYLKTILHCIYGKFMVHRPFIRKAINNIFYRFIFETERHNGIGELLEIIGSIINGLALPMREEHKLFLVRALIPLHKAKCVTDYHHHLSYCTSLFVEKDYRFSCAVIKGLLKYWPVSNCGKEVLFLGELEEILEGTHPAEFQRCMVPLFKQIGRSLNSPNFQVAERALFLWNNEHIVELIALHRHVILPIIFQPLERNMQDHWNQAVHGLTSNVQRMFQEMDTELFEECQRKYEEQEASAADVAEQRKKAWKRIESAAKARGKARG >itb03g03340.t1 pep chromosome:ASM357664v1:3:1913874:1916146:1 gene:itb03g03340 transcript:itb03g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDEEYDSRADSFSAFMTKTAAAGHITQLSQPPQTAANAAMFSPLLSNYFDPTLTAPAFADQNSIPLLNLDMAWSRTLRSDPCSPQINPAAVLPSPGPSAHQKLGAGAGGFNGLSFPSTAEAHVSGSVTAAEAHVSGSVTAAGKDHGRVMRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRTRFDIFGTPSALRSAATPPYLRRPFPQKFQQPQPPQPFLPPPPSSLPSSSTNNLFTIPHNSILSSLLQSSPNEFGSVNTALTALPSLISPDQPARRSETGYDQLQTFSNNNAFSSSRNGEINGNQNIPSASSNFLGDKAPENVTGRGGEGMTESWICSSDLK >itb11g03700.t1 pep chromosome:ASM357664v1:11:2013032:2014020:-1 gene:itb11g03700 transcript:itb11g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKRGPADDSAGDGDDREKAIAKTAGFVVFSGIAMSIIKALNPFNNNPSLKNGDTESTQPQVLKQWQPQELPPPPPPPQPPSEPIVTKSTCCSEQNPVEPSPKVIEIVRGDTLWGLSREYGVSIEAIKEANDLKGDTIYAGKKLNERTMMILENGGCLFGSMKFQYLAMLGVHTMQNLCYLISSDIPVNLLLVLVMCLYLDCISEANLVSVNSLQLCGWDPSR >itb01g31720.t1 pep chromosome:ASM357664v1:1:35364869:35366027:1 gene:itb01g31720 transcript:itb01g31720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEMETSQGEATKKKRLLILNCIILGIGNCGAPLITRRYFLGGGKRVWFSSWLETGGWPINFIPLLLTYIQRRRTAQGSNAKLIFITPTIFAKAALIGVVTGLDDYVYAYGLSKLPVSTTVLLIATQLAFTAAFARLLVKQKFTAASVNAVVLLTMGAGCLAMGASGDRPAGVSKKEYISGFLLTLTAACLYGFVLPWIELTYRKAKQAITYTLVLEMQLVICFFATCFCTIGMIINKDFQAIPREARAFEMGEGKYYAVIVGSAVLWQLFFMGVVGVSCFGSSLLSGIIISALIPVTEMLGILLYQEKFRPEKGVSLALALWGFVSYFYGEFKISKESKEQETEREITLSHTVSI >itb03g08880.t1 pep chromosome:ASM357664v1:3:6731293:6733249:1 gene:itb03g08880 transcript:itb03g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEDSSVLEGWFLDLEEDDECYNLGSHLQENSFDDFAAHKSFITQEENIVQQRSESPESYTSGYSIFMPNANNGNITRTATISFAGGFQFQEECFQAADQFEERPLKHLKGSDDYTKKQLPTSSSSTLHHNVVSGVNKGGDREKTPLSQDHILAERKRREKLNQKFIALSAILPGLKKSDKASVLEEAIRYVKELQENVKHLEQARNTRSEKTVVKRRKVISPAGDDLWEQGHDCSDVDDDKTAPEIEVMFSEKSVLIRVHSQERIPSLPTFLREIESLQLTILNSSAMPFGKNATDITLVAQMEEGFCMTTNDVVKHLQRSMNPRI >itb12g27150.t1 pep chromosome:ASM357664v1:12:27705008:27705861:1 gene:itb12g27150 transcript:itb12g27150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYSGVKLELVIADNLSAGKSPLNLGSMERKGHEEGEWEVVRGRKNKGKGKEEEESGKVDGLQKVARYVKDEEAFYEANGGDEHEGAAEENRALALL >itb12g27670.t1 pep chromosome:ASM357664v1:12:27931692:27932257:-1 gene:itb12g27670 transcript:itb12g27670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEANMNKALPKFGEWDVNDPNDYSFIFDKARKERRALRDGTLGDQPESKAGRKLSSFKKRNADRLVQRHHSGKKWFCCVSPVNIAD >itb05g10220.t1 pep chromosome:ASM357664v1:5:15270918:15271691:-1 gene:itb05g10220 transcript:itb05g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGFAYADVISVEWGLDMNGWPNGKKFKAGDVLEFNYDTNYQDVWIVNKQNYDSCTPSGTRLNSGHDYVILARRTTYFISGYPGMCAVEVKAEVIAE >itb03g06690.t1 pep chromosome:ASM357664v1:3:4859137:4859472:1 gene:itb03g06690 transcript:itb03g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSLQNGGPTSVKGFMEPINLSLNLVSDLPCYHNLEPLTQELHNAVFDDVLPTSNIPPPPPPASVTPPATANGGGKRSPPPDQKRRRLIKNRISAARSRARKQESPTHH >itb07g03470.t4 pep chromosome:ASM357664v1:7:2329506:2333343:-1 gene:itb07g03470 transcript:itb07g03470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLQRAGFIVGAWFRKKIGDPLLQILRRGAEPKQLAFSAALGLTLGIFPICGVAVFLCGLAIALLGPLCHAPTVMLANFVATPIELSLIIPFLRLGESITGGSHFPLTSDALKKVFTGEASQELLFSIFRALLGWLISTPFVLAFLYVLFLPFFAILVRKFSAVPASPKTPLLQTPTEFNVKVRDV >itb07g03470.t2 pep chromosome:ASM357664v1:7:2328234:2333337:-1 gene:itb07g03470 transcript:itb07g03470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLQRAGFIVGAWFRKKIGDPLLQILRRGAEPKQLAFSAALGLTLGIFPICGVAVFLCGLAIALLGPLCHAPTVMLANFVATPIELSLIIPFLRLGESITGGSHFPLTSDALKKVFTGEASQELLFSIFRALLGWLISTPFVLAFLYVLFLPFFAILVRKFSAVPASPKTPLLQTPTEFNVKTKTL >itb07g03470.t3 pep chromosome:ASM357664v1:7:2328497:2333337:-1 gene:itb07g03470 transcript:itb07g03470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLQRAGFIVGAWFRKKIGDPLLQILRRGAEPKQLAFSAALGLTLGIFPICGVAVFLCGLAIALLGPLCHAPTVMLANFVATPIELSLIIPFLRLGESITGGSHFPLTSDALKKVFTGEASQELLFSIFRALLGWLISTPFVLAFLYVLFLPFFAILVRKFSAVPASPKTPLLQTPTEFNVKTKTL >itb07g03470.t1 pep chromosome:ASM357664v1:7:2328195:2333343:-1 gene:itb07g03470 transcript:itb07g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLQRAGFIVGAWFRKKIGDPLLQILRRGAEPKQLAFSAALGLTLGIFPICGVAVFLCGLAIALLGPLCHAPTVMLANFVATPIELSLIIPFLRLGESITGGSHFPLTSDALKKVFTGEASQELLFSIFRALLGWLISTPFVLAFLYVLFLPFFAILVRKFSAVPASPKTPLLQTPTEFNVKE >itb02g11280.t1 pep chromosome:ASM357664v1:2:7524762:7526401:1 gene:itb02g11280 transcript:itb02g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVSEIIHDPGRGAPLARVTFRHPFRFQHQKELFVAAEGMYTGQFVYCGKKANLIVGNVLPLRSIPEGAVVCNVEHKVGDRGVFARCSGDYVIVISHNPDNGTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADKA >itb05g25280.t1 pep chromosome:ASM357664v1:5:29756593:29764223:-1 gene:itb05g25280 transcript:itb05g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRMSSASRNANGRGLELEKSKERMEKKEDGGDGKQKKGCFAFLGWCASPRPHAESSASGSVAKCDTETKSSNDACRDPPAAVVISSSATSNVETAPSTPYISEELKVASQLRKFSFAELKSATKGFKSEYILGQGGFGCVYKCWVNEIEATSARPGSGIPAAVKTLNQDGLQGHKEWLAEVHFLGDLQHPHLVKLIGYCMEDDQRMLVYEFMPRGSLENHLFKRSLPLPWNIRMKIAYGAAKGLAFLHEEAERPVIYRDFKASNVLLDVDYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVFLEMLTGRRSMDKTRPHGEHNLVEWARPYLSDRHRFYHLIDPRLEGRFSMKAARKAAELAAGCLRRDPKARPLMSEVVERLRPLPHLKDMACESPYFHVIPGRPVNACNGNRMQAGSSSRGRRHSLQSAPPRASPSNHPGPHQSPKPRNGS >itb05g25280.t2 pep chromosome:ASM357664v1:5:29756593:29763886:-1 gene:itb05g25280 transcript:itb05g25280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRMSSASRNANGRGLELEKSKERMEKKEDGGDGKQKKGCFAFLGWCASPRPHAESSASGSVAKCDTETKSSNDACRDPPAAVVISSSATSNVETAPSTPYISEELKVASQLRKFSFAELKSATKGFKSEYILGQGGFGCVYKCWVNEIEATSARPGSGIPAAVKTLNQDGLQGHKEWLAEVHFLGDLQHPHLVKLIGYCMEDDQRMLVYEFMPRGSLENHLFKRSLPLPWNIRMKIAYGAAKGLAFLHEEAERPVIYRDFKASNVLLDVDYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVFLEMLTGRRSMDKTRPHGEHNLVEWARPYLSDRHRFYHLIDPRLEGRFSMKAARKAAELAAGCLRRDPKARPLMSEVVERLRPLPHLKDMACESPYFHVIPGRPVNACNGNRMQAGSSSRGRRHSLQSAPPRASPSNHPGPHQSPKPRNGS >itb08g05420.t1 pep chromosome:ASM357664v1:8:4451557:4455351:-1 gene:itb08g05420 transcript:itb08g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVLDSGVVVACSDTKTATITAAAATSNALFTAASEAVSEAETKQKWYGSVGFFKQERTSGANHAAEDDMRGSKMAKTTAAALPRSPFVGSEPHQMLSFSSSSSSPYYPHASFGGNPGYGVAGLSGGNMHGVMSGGVKGPFTPSQWMELEHQALIYKYIIANVPIPPYLLNPIKKAIESAALSSFGLRVNSLGWGGAGGFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVESPSGHHPASGTTTTTAAAAKPMPGASSTSSSAAAAVAVSGGGASNSLAFSHHNQLSNLQLPAATNPSVSAHHLDRSFLDKEYAGERYQDPTGLSITPPILKDRQYSSIPKDQNPYEESSTLKFGLVCSDSLLSKGSSLVSCRNYGTSEVVSDRENKSQHPIRQFMDNWPKNQSDRSMVLWPEIDMQQKPDSTQLSISIPMATSEFMSSISPANEKLNACPLKLSREIEATQMRLGVGAVINEQNPRQGNWIPIPWESSMGGPLGEVLHGTNSMTSECKNPSALNLMTEGWNGNGSPKPASSPTGVLQKAVFYSISNSSAGSSPRAESNKNTNGASPSNEILGATLMNPSLPAL >itb08g05420.t2 pep chromosome:ASM357664v1:8:4451491:4455116:-1 gene:itb08g05420 transcript:itb08g05420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVLDSGVVVACSDTKTATITAAAATSNALFTAASEAVSEAETKQKWYGSVGFFKQERTSGANHAAEDDMRGSKMAKTTAAALPRSPFVGSEPHQMLSFSSSSSSPYYPHASFGGNPGYGVAGLSGGNMHGVMSGGVKGPFTPSQWMELEHQALIYKYIIANVPIPPYLLNPIKKAIESAALSSFGLRVNSLGWGGAGGFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVESPSGHHPASGTTTTTAAAAKPMPGASSTSSSAAAAVAVSGGGASNSLAFSHHNQLSNLQLPAATNPSVSAHHLDSRSFLDKEYAGERYQDPTGLSITPPILKDRQYSSIPKDQNPYEESSTLKFGLVCSDSLLSKGSSLVSCRNYGTSEVVSDRENKSQHPIRQFMDNWPKNQSDRSMVLWPEIDMQQKPDSTQLSISIPMATSEFMSSISPANEKLNACPLKLSREIEATQMRLGVGAVINEQNPRQGNWIPIPWESSMGGPLGEVLHGTNSMTSECKNPSALNLMTEGWNGNGSPKPASSPTGVLQKAVFYSISNSSAGSSPRAESNKNTNGASPSNEILGATLMNPSLPAL >itb02g14060.t4 pep chromosome:ASM357664v1:2:10275836:10278463:1 gene:itb02g14060 transcript:itb02g14060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAGFKELRIIQRRQLRISV >itb02g14060.t7 pep chromosome:ASM357664v1:2:10275836:10277831:1 gene:itb02g14060 transcript:itb02g14060.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAVKRFTGLQRIKDHPTETAKNFSIDGHQGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSRYRSPVNHTPNTTLYDLKASTC >itb02g14060.t1 pep chromosome:ASM357664v1:2:10275778:10278463:1 gene:itb02g14060 transcript:itb02g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAVKRFTGLQRIKDHPTETAKNFSIDGHQGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSERNHRQSFFIKTSMLLHAQVSLRDPIRCGADDDELRQIIGAAIKRKKASHAGMFDIAKTPNRPMIHIGG >itb02g14060.t3 pep chromosome:ASM357664v1:2:10275836:10278403:1 gene:itb02g14060 transcript:itb02g14060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAVRASKN >itb02g14060.t6 pep chromosome:ASM357664v1:2:10275778:10277820:1 gene:itb02g14060 transcript:itb02g14060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAVRLNDLQGFKELRIIQRRQLRISV >itb02g14060.t5 pep chromosome:ASM357664v1:2:10275836:10278463:1 gene:itb02g14060 transcript:itb02g14060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAVKRFTGLQRIKDHPTETAKNFSIDGHQGRVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPIRCGADDDELRQIIGAAIKRKKASHAGMFDIAKTPNRPMIHIGG >itb02g14060.t2 pep chromosome:ASM357664v1:2:10275778:10278451:1 gene:itb02g14060 transcript:itb02g14060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPIPRFFHWNLGCTTNFNLRNCATRLLFQSIHTNAQGSIGHYVDNSLLKTYSTSSYEILPEDGTSNMLVDTFGRLHTYLRISLTERCNLRCQYCMPADGVELTPNPQLLTRSEIIRLANLFVSSGVDKIRLTGGEPTIRKDFEDICLELSNLKGLRTLAVTTNGITLARKLARIKECGVNLVNISLDTLVPAKFEFMTRRKGHERVMESIRTAIDVGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINVRFIEFMPFDGNVWNAKKLVPYKEMLDIAVRLNDLQGFKELRIIQRRQLRISV >itb14g05300.t1 pep chromosome:ASM357664v1:14:4641940:4642911:1 gene:itb14g05300 transcript:itb14g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSLHGYIFKPTDKELMQYLEGFVLGKPLKYTSDFIALEDLYGEKEPAEIFGSGDPMTRYYFTQLRRKCQGGSRFLRGVENRGTWKGQDAAHPIRVRDKVMGFRKSLKYEMKKSKSKGGLGDRPGEAWLMKEYSLSDDYLRDKNVVLKDVVLCRIRRRVVRSTSRSSESSTLNINENDTPLEIYNWPENDVVSLPPSETQTLDAAAAEAASGVDDANGGVIAMENDRLQLDEWDQLLRTPENESLPPSETSTLAAAEFSSGVDDAHGGVSTMGNDPLVDELDELLKKTPPHDIFEDWSDILTQEDIERINHPGPVPILRPN >itb02g13830.t2 pep chromosome:ASM357664v1:2:10102313:10103290:1 gene:itb02g13830 transcript:itb02g13830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINICRWAQIAAKLPGRTDNEIKNFWNSCLKKKLIKRGIDPNTHKPIMNTSSDAPKSFAEDHNNINNSSSTIPKPTLPWPLNLSELEQPQAFDPLFLYDFQQSLNNNSEQYFHGFSTLPSLANFENINMVNNGPITFPNWETCDNNINTDSVSAHQFPFSAKSSGDVEGCSWQDDFSEYSLPALPQDLSGENNLEFFMSCTKFNL >itb02g13830.t1 pep chromosome:ASM357664v1:2:10098179:10103290:1 gene:itb02g13830 transcript:itb02g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCMVMKQKLRKGLWSPEEDEKLYNYVIKFGVGCWSSVAKHAGLQRCGKSCRLRWINYLRPDLKRGLFSPEEEHIILVLHEVLGNKWAQIAAKLPGRTDNEIKNFWNSCLKKKLIKRGIDPNTHKPIMNTSSDAPKSFAEDHNNINNSSSTIPKPTLPWPLNLSELEQPQAFDPLFLYDFQQSLNNNSEQYFHGFSTLPSLANFENINMVNNGPITFPNWETCDNNINTDSVSAHQFPFSAKSSGDVEGCSWQDDFSEYSLPALPQDLSGENNLEFFMSCTKFNL >itb11g04570.t1 pep chromosome:ASM357664v1:11:2416731:2419479:1 gene:itb11g04570 transcript:itb11g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYLEGDDEEILAPASPCSQYMNSSVLSLSIIAVLETSVPIEDDDSVILSEIRDVFLPINPRFSSIMVTGKNGEKNWKPVKVNVEDHLKVPIFPDGKPLEFYDNCLSEYLTKIAMDPFPETRPLWEIHIFRYPTSNAAGNCIFKLHHSLGDGYSLMGALLSCLKRADNTALPLTFPGREGSGKKQGKNSNAVAKAFKAVPRFLSGVIDTVTDFSWSFLKSSLIDDEKTAIHSQDDGVEFRPISVTTMAFSLYHLKQIKSSLNVTINDVISGILTYGTRLYMQETDRETCNAECTALVLFNTRAIGGYKSVSEMIKPNSEMPWGNRFTFLPFAIPKLRDGESSNPLRFIYNAHRMVKRQRNSASVYLTSQLLEFSRKLRGPEATAQYIRGTLRNTSFTTTNMIGPVEETTLVNYPVKGMYFAVAGAPQSLSVTMVSYVGKLRLAIVTEKDFIDGKKLKSCIESAFDEIFRLALKSSPPRPPSA >itb15g12060.t1 pep chromosome:ASM357664v1:15:9970228:9976907:-1 gene:itb15g12060 transcript:itb15g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQSINSNSNVNTKVKNGATNGFLPNSFKFISSCIKTVSSNVRCAGASVAGSISAAASDSDDHRKDQVLWACFDKLHLGPSSFKHVLLIGYSNGFQVLDVEDASNVCELVSRRDDPVTFLQMQPIPAKSDGHEGYINSHPMLLVVACDETVESIPAQSGRDGFVEPQAVNLVNSPTTLRFYSLRSHNYVHSLRFRSTIFMVRSSPQIVAVGLATQIYCFDALTLENKLCVLTYPVPQLGGQGFTGVNIGYGPMAVGPRWLAYSSNNPMISNTGRLSPQSLSPSPGVSPSTSPGNGNLVARYAMESSKQLASGLINLGDMGYKTLSKYCHELLPDGSGSPISSSSGWKVGRGPIHLSETDAAGMVVIKDFISRAVVSQFRAHKSPISVLCFDPSGTLLVTASIRGNNINIFRIMPSSSQNGSGVHSNDWSSSHVHLYKLHRGVTPAVIQDICFSYYSQWVAIISTRGTCHIFVLSPFGGETGLQLQNTHVNDTMLIPILSLPWWSASSFSINQQSSTPPPAPITLSVVSRIKNVSGWLNTVSNAASSVAGKVSVPSGVVAAVFHSCIHQDVQRAPQNVDSLEHLLAFTPSGHLIQYEMVPSLGGEQGDTTLRTGTGPLVHMQEDELRVKAEPVQWWDVCRRADWPEREECVKEIAFGTHGGMDTVIDTSVSEDDGIGGKDISVPHNQAHWYLSNAEVQSRSWRIPIWQKSKICFYTMSLQGSAEQYIEQLLASREIEIENVPVNEVEIKRKDLLPVFDQFQRIQTNWDDERISFDGRFSGSSYGSSSGKEKSEDTASNAKAAALCAVKKSHAGSQISGVDEMNITPVAYPQIDLMDENDGARESGFSGPLMPNRTFSIPELSTNIISSLEEGYIVNSPSPPKSGSLSAGETSARDIQSSNSALTSQASNTSSNHSDMSMNISNDEGLIHEDMSDPVDFAQYFKEGYCKASTNKESSELTKLVTDIDRGNNPCEKEKGEDDDGESDDMLGGVFDFSEEG >itb15g12060.t2 pep chromosome:ASM357664v1:15:9970228:9976907:-1 gene:itb15g12060 transcript:itb15g12060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQSINSNSNVNTKVKNGATNGFLPNSFKFISSCIKTVSSNVRCAGASVAGSISAAASDSDDHRKDQVLWACFDKLHLGPSSFKHVLLIGYSNGFQVLDVEDASNVCELVSRRDDPVTFLQMQPIPAKSDGHEGYINSHPMLLVVACDETVESIPAQSGRDGFVEPQAVNLVNSPTTLRFYSLRSHNYVHSLRFRSTIFMVRSSPQIVAVGLATQIYCFDALTLENKLCVLTYPVPQLGGQGFTGVNIGYGPMAVGPRWLAYSSNNPMISNTGRLSPQSLSPSPGVSPSTSPGNGNLVARYAMESSKQLASGLINLGDMGYKTLSKYCHELLPDGSGSPISSSSGWKVGRGPIHLSETDAAGMVVIKDFISRAVVSQFRAHKSPISVLCFDPSGTLLVTASIRGNNINIFRIMPSSSQNGSGVHSNDWSSSHVHLYKLHRGVTPAVIQDICFSYYSQWVAIISTRGTCHIFVLSPFGGETGLQLQNTHVNDTMLIPILSLPWWSASSFSINQQSSTPPPAPITLSVVSRIKNVSGWLNTVSNAASSVAGKVSVPSGVVAAVFHSCIHQDVQRAPQNVDSLEHLLAFTPSGHLIQYEMVPSLGGEQGDTTLRTGTGPLVHMQEDELRVKAEPVQWWDVCRRADWPEREECVKEIAFGTHGGMDTVIDTSVSEDDGIGGKDISVPHNQAHWYLSNAEVQSRSWRIPIWQKSKICFYTMSLQGSAEQYIEQLLASREIEIENVPVNEVEIKRKDLLPVFDQFQRIQTNWDDERISFDGRFSGSSYGSSSGKEKSEDTASNAKAAALCAVKKSHADEMNITPVAYPQIDLMDENDGARESGFSGPLMPNRTFSIPELSTNIISSLEEGYIVNSPSPPKSGSLSAGETSARDIQSSNSALTSQASNTSSNHSDMSMNISNDEGLIHEDMSDPVDFAQYFKEGYCKASTNKESSELTKLVTDIDRGNNPCEKEKGEDDDGESDDMLGGVFDFSEEG >itb09g12160.t1 pep chromosome:ASM357664v1:9:7651286:7652976:1 gene:itb09g12160 transcript:itb09g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILLLMMILIISVLLLFSRKWIQKANKQLPLPPGPMAWPIVGCFPQLLRNKPVFKWMLNMMEEMNTEIACFKLGGTNVIAVTSPEVAREVLKKQDSVFASRPTCMSAELISSNYLTSVVAPMGEQWKKMRRVLSSHVVSPNALNWLSHKRATEADHLVRYIYNQCIRNAATSGGCGGVVVDVRAAGNHFCGNVMKQMVFSKRYFKAGTEDGGPGVEDEEHIDATFGVLDLLYSFGISDYFPWLRMFDLEGHRKAIQKAVEGVRKYQDPEVDERIKMWKDGTKTDDDDEKQDILDVLINLKDVRGKPLLTSEEIKAQILELMITIVDNPSNVVEWVVAEMLNQPEILRRATEELDNVVGRERLVQESDLPRLKYLNACLKEAFRIHPLSAFVPPHLCSSDTILSNYFIPKGSHVMISRHGLGRNPRIWEEPLKFKPERHLKNDGSEVSLADPEVKILSFSAGRRGCPGVQLGSLVSGMLLGRLLQGFEWSVPSGGRVDLKESKNSILLANPLNALAKPRLPHHIYSS >itb07g06620.t1 pep chromosome:ASM357664v1:7:4763561:4766756:1 gene:itb07g06620 transcript:itb07g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPPLAIRLVLLNLMLIGVVVNAIDLKAICKTTLYPDSCFKGLAPIVESRVDNVGLPEVHRLSVGLAIDEVLLAANGFLKLEEEFKNVSNAMSLRALKFCREMLRTRAFTSLNGCLTTPPNNGKPSTTEAFEDLKTWLTAAGAYHQTCIDAVDEAKDEVLKHAVLERLKSSIESTSNSLTILRAFEESLVGKRRRGMGFGGMSKWISERERKILESRVDDIHVDVVVAKDGSGRFKTIGDALKVVPSTGARFVMYIKAGVYNEILRIDASKSNLTMIGDGMDATIVSGHLCAGGGVGTYDSATVDISGAGFIAKNMGFENTAGPKMGQSVALKVESDKAVFYQCKLASYQDTLLVQCNRQFFYKCKIYGTIDFIFGYSAAVFQNTDILIRNPSPYPVTIITAQGKYEPAASSAFSFIKCTISAAEDIGNVPTFLGRPWKDYSTTVFLYSDMGRLIDPKGWSPFDNKAPPGAIYYGEYKNSGRGSRTEQRVRWKGVHSRMRFAETIQFSVDALIDGKDWIPATGVPYTSDQNIKLIKSINSRKLIN >itb11g06480.t1 pep chromosome:ASM357664v1:11:3875625:3879933:1 gene:itb11g06480 transcript:itb11g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRDLALISVAGVLGAAAYATAVRLFLRRRKHLRPAAGAELAINGARKHPSKSPFDPSKREGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQTGIILGIGYNGFPRGCSDDKLPWAKKSKTGNPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLGSCDTTYVASHKLLSMAGVKVRRHHPLMKEISIKFDEL >itb11g06480.t2 pep chromosome:ASM357664v1:11:3875625:3879933:1 gene:itb11g06480 transcript:itb11g06480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRDLALISVAGVLGAAAYATAVRLFLRRRKHLRPAAGAELAINGARKHPSKSPFDPSKREGYLSWDDYFMAIAFLSAERSKDPNRQSGIGYNGFPRGCSDDKLPWAKKSKTGNPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLGSCDTTYVASHKLLSMAGVKVRRHHPLMKEISIKFDEL >itb11g13390.t1 pep chromosome:ASM357664v1:11:10350859:10355354:1 gene:itb11g13390 transcript:itb11g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEGKWSLQGMTALITGGTRGIGYAIIEELAGFGAEVYTCSRKQNDVDECVERWREKGLKVAGSACDISSRPQREELMEKVANHFNGKLNILVNNAGTIVPKDAIELTAEDYTNVMGTNFEASFHLCQLAYPYLKASQMGSIVFNSSAVGIMPVIKSTLYSASKGAINQVTKNLACEWAKDNIRVNSVAPWFIRTKLVNDAESDAEVSDEIELMIRRTPISRAGEVGEVSSLVAFLCLPAASYITGQTICVDGGYTITGFP >itb14g07280.t1 pep chromosome:ASM357664v1:14:6689383:6690645:-1 gene:itb14g07280 transcript:itb14g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPFVSPKSLPIIWNLTDVFKETRWALDSYNLSHVRTTTSHYIDIIKVAKTPSDAEFRDDIKDKMPEHLEQIAKTNSFFTLDMYPLTATETYKWPVEFGFMDNKSNFTIVDGKYTYRNAFAFLYDSLVVAIEKAGYPDMEIVVGQIGWPTDGNKDATPENASRFYKGFIPHIVNKKGTPRRPNKNIDAYLYTLSDENKISLPAYGPYIRHYGIYEYDGTPKFEIDFSGKGRKVIPPAAKGTVKLPLRWCVFNGNLSNPKYAEDHMQFACNVSDCSSLQPGGSCSKLNFTSRVSYAFNAFYQLGTQDKLECGGYSGMGVITMANPSVGGCKFPVQILTAEAVDDGRQLFYNNIDYARDSGTTSSAAPFNLSLSLSIALLFFVTILLAVNR >itb07g24640.t1 pep chromosome:ASM357664v1:7:28868302:28873806:-1 gene:itb07g24640 transcript:itb07g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEENDDMASLFEGMVLFDPSEMVGPGHLDQQPSTTAATLDVGPDQPTALPLTAESSPSQPLDENLFSDLTLVTPSQSLQLEDIKSNSDPSSISLISRQNSTRKKKRAGLRIGYGRDRDRYHVSDSVTATSTPNDHSHIDTRFTEAEEKKKEQADDDDDEDDDDDDDDGGEEEDQEPYSSSTISAAQDRDSSSDTTSHGIHSNDTPEQTANLASSGVGEDATTGQLDVSKSHDPVEFRFQQLRILISNKLKEAHETVASISSERKESIRMRRKAARSVTQAYAEYKEFEKKLEEACEAEDFEKAERVSESLASTEKEKECLVVALRDAEAHCDAVDSKMQGVLESLIQVEEECASMLQTFAMDTARDADLVLKNAEGLSSKQMQEWDLSAEAIEIKKMELEIEAELVDEARKVLNDSIEQFVEDDRRERDFLCRKKEGLTEELKKLLALVKEKEEEIAENDSLIEKVDKRIAGAVSRFKEVQTNMDQNYHNLQSGLSELELANESLLEKRKEIDYYASQEESREAKIRDLSRISADEANMFQEFVELRKSLAQLVLKSKEDKVRLSKTEDELSMHVQMLKHGISTARTSLQELSSMKSKIQQEVDSLNQRLVFIDKRVPELEAEKKVAATARNFKEAARLSAEAKALCNEKDEIQTKLEVAELELKKVEEEICQTVDKLQETEVQISSREKELAMARFQRLILIDRASRAERSAALELGDLEEADALLEEAEAADAEARKLQPIYNLKEEELANLPKHFVSAELVSKIQGKQLRELAESVSILGAS >itb09g07520.t1 pep chromosome:ASM357664v1:9:4403079:4407135:1 gene:itb09g07520 transcript:itb09g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQIFLAFLVFPGFVFSLNQEVLYLHRAKLGFDDPDGVLSGWNDRDDSPCHWFGVGCELGDGSVTRLELSNANIAGSFPVVLCRLKNLRFISLYNNSIGSTLPDGLSGCEALEHLDLGQNYLTGSLPASLAELPNLKYLDLTGNNFSGDIPASFGSFQKLEVLGLVQNLFQGTIPGFLGNISSLKQLNLSYNPFSPGRIPPELGNLTNLEYLWLTDCNLIGEIPDSLSRLTKLLDFDVASNKLTGPVPIWLTELTSAQQIELYNNSFTGELPATGWSKMTALRRIDVSMNQLAGTIPNELCELPLESLNLYENQLEGELPESIANSPNLYELRLFRNHLKGNLPKNLGKNSSLLWIDVSENDFSGEIPENLCGLGSLEEAMMIYNSLSGEIPASLGQCRSLRRVRLSHNKFSGNVPTGLWGLPHVSLLDLAGNSFSGEIAKTIAGAANLSALFLSKNRFSGTIPEEIGFLDKLLDFLGDENQFSGPLPSTMVNLGQLGRLDLHNNELSGELPHGIHSWKKLNELNLANNGFSGNIPQEIGSLSVLNYLDLSGNQFSGKIPSELQNLKLNQFNLSNNHLSGDIPSLYAKPMYKTSFLGNSGLCGEIEGLCDGRDERKNTGYAWLLRSIFVLAGLVLIVGVMWFYWKYMNFKKAKRAIDRSKWSLMSFHKLGFDEYEILDGLDEDNVIGSGLSGKVYKVVLSSGEVVAVKKIEKNLKLADESSDIEKGGSQDDGFEAEIETLGKIRHKNIVKLFCSCITRDCKLLVYEYMPNGSLGDLLHSSKSGLLDWPMRYKIAMDAAEGLSYLHHDCAPPIVHRDVKSNNILLDGDFGARVADFGIAKVVDANEKGTKSMSRVVGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELATGRLPVDPEFGEKDLVKWVCTTLDQKGVDHVIDPKLDTCFKEEICKALNIALLCTSPLPINRPSMRRVVKMLQEIGAANQPKSGSKDGKLTPYYYEDASDTGSVA >itb09g07520.t2 pep chromosome:ASM357664v1:9:4403138:4407079:1 gene:itb09g07520 transcript:itb09g07520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQIFLAFLVFPGFVFSLNQEVLYLHRAKLGFDDPDGVLSGWNDRDDSPCHWFGVGCELGDGSVTRLELSNANIAGSFPVVLCRLKNLRFISLYNNSIGSTLPDGLSGCEALEHLDLGQNYLTGSLPASLAELPNLKYLDLTGNNFSGDIPASFGSFQKLEVLGLVQNLFQGTIPGFLGNISSLKQLNLSYNPFSPGRIPPELGNLTNLEYLWLTDCNLIGEIPDSLSRLTKLLDFDVASNKLTGPVPIWLTELTSAQQIELYNNSFTGELPATGWSKMTALRRIDVSMNQLAGTIPNELCELPLESLNLYENQLEGELPESIANSPNLYELRLFRNHLKGNLPKNLGKNSSLLWIDVSENDFSGEIPENLCGLGSLEEAMMIYNSLSGEIPASLGQCRSLRRVRLSHNKFSGNVPTGLWGLPHVSLLDLAGNSFSGEIAKTIAGAANLSALFLSKNRFSGTIPEEIGFLDKLLDFLGDENQFSGPLPSTMVNLGQLGRLDLHNNELSGELPHGIHSWKKLNELNLANNGFSGNIPQEIGSLSVLNYLDLSGNQFSGKIPSELQNLKLNQFNLSNNHLSGDIPSLYAKPMYKTSFLGNSGLCGEIEGLCDGRDERKNTGYAWLLRSIFVLAGLVLIVGVMWFYWKYMNFKKAKRAIDRSKWSLMSFHKLGFDEYEILDGLDEDNVIGSGLSGKVYKVVLSSGEVVAVKKIEKNLKLADESSDIEKGGSQDDGFEAEIETLGKIRHKNIVKLFCSCITRDCKLLVYEYMPNGSLGDLLHSSKSGLLDWPMRYKIAMDAAEGLSYLHHDCAPPIVHRDVKSNNILLDGDFGARVADFGIAKVVDANEKGTKSMSRVVGSCGYIAPGMEIILPTIFYTLNCT >itb03g15440.t1 pep chromosome:ASM357664v1:3:14785969:14787614:-1 gene:itb03g15440 transcript:itb03g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 4 [Source:Projected from Arabidopsis thaliana (AT2G40080) UniProtKB/Swiss-Prot;Acc:O04211] MEDNVSTVNNRTRRQALTTTDSVSVTTTNTANKRRHRGAFSVAAAGEEAFAGGEKHGGGGEDQNDDCFEGGDSEVWNAFTSNFQSVQSVLDRNRLLIQQVNSNHQSRVHENLVENVNLIQEINGNISKVVALYSDLSTNFSSAFNQQRKATTQDQSNAEKADS >itb14g11020.t1 pep chromosome:ASM357664v1:14:12527002:12528906:-1 gene:itb14g11020 transcript:itb14g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFDIPDIRFFWSADERFTSQFSSGLLGVKFKPFSKYPPCYKDMSFWISDSFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTKHCYRIAYRSMERSLTDEEINDLQWKVRDQVERKLKVVLR >itb03g14690.t2 pep chromosome:ASM357664v1:3:14313636:14317838:1 gene:itb03g14690 transcript:itb03g14690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMGLFSAQRLDNGLNPLPQLVFPESFSCGPPPPPPPRRDSAAASSSASAAVVGDPGPKTTRELTGFLDHHHYFRPQPPQATEFRGSVFADRRDCHNWNASSRASTTPSGDGSEDDEDDDDEDDNEDENEAEGRAVVDNNSKVNNNSDKVGNQKPKDIPNFGSTEGNLRQCGSRNSGEEARNAVTVADANGAIYYSQYLQGTGVSSGQKDIVVIDNNGCGFSGRKEGSYSSESGEPLRAILSDPITGALMDDGVILPCGHSFGNGGVEHVIRTKSCYNCAQPVSEDSVAPNLSLRAAVQAFRREEESQVNRPPKRRKERPEQEKGASGDSMLGDPKGRGVQFPFVVTDRVIIKVSKIPIFINIFLNEF >itb03g14690.t1 pep chromosome:ASM357664v1:3:14313636:14317838:1 gene:itb03g14690 transcript:itb03g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMGLFSAQRLDNGLNPLPQLVFPESFSCGPPPPPPPRRDSAAASSSASAAVVGDPGPKTTRELTGFLDHHHYFRPQPPQATEFRGSVFADRRDCHNWNASSRASTTPSGDGSEDDEDDDDEDDNEDENEAEGRAVVDNNSKVNNNSDKVGNQKPKDIPNFGSTEGNLRQCGSRNSGEEARNAVTVADANGAIYYSQYLQGTGVSSGQKDIVVIDNNGCGFSGRKEGSYSSESGEPLRAILSDPITGALMDDGVILPCGHSFGNGGVEHVIRTKSCYNCAQPVSEDSVAPNLSLRAAVQAFRREEESQVNRPPKRRKERPEQEKGASGDSMLGDPKGRGVQFPFVVTDRVIIKGNKRTPLRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKAPDHSSSQTISSSKTASWL >itb11g02370.t2 pep chromosome:ASM357664v1:11:1196169:1199834:-1 gene:itb11g02370 transcript:itb11g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLQEKMAEIGCLSTLVKSLTGDVDEQREAVGLLSTLSELVSVRRRLGRIQGCIVMLVAIFNGEDQAASRDAGKLLNALSANTQYALHMAEAGYFKPLVHYLKEGSDMSKVLMATAVSRMELTDKNRASLGEDGAIHPLVKMFSTGNLESKQSALSALQNLSGLSENVQRLVNSGIVATLLQLLFSVTSVLMTLREPASAILAKIAHSEGLLVKPDVAQQMLSLLNLTSPVIQCHLLEALNCIASNSNASKVRRKMKENGAIRLLLPFLTETNAKIRTGALSLICLLSENMQGELTEQMDETHICIIANIVSSPSSEADEKAVAIGILSNLPVSDKKATSMLMNANLLPALVSLMNSSPAPSTQMSSRLAESIAGVLIRFTVPSDKKLQHYAADQGAIPVLVKLLASDSIIAKTRAAICLTQLSQNSLSLRKPRKPRWFCGPASTDGFCEVHDGYCSVKTTFCLIKAGAITPLVQVLEGPEREADEAVLSCISTLVHDESWEIGCKYLVKVKGVQCLVKVLGVGSIKAQEKALWILERVFRVEAYRVEHGECAQPLLIDLAQTGDAILKPTVAKLLAQLELLQAQSSYF >itb11g02370.t1 pep chromosome:ASM357664v1:11:1196019:1199834:-1 gene:itb11g02370 transcript:itb11g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSKPMSEISSEAEQRWSTDENTTESTSELSVFVEKLSPILAEMKEHKNLGIDPTVQKAIQSLEQEFMTAKALTKNLNINSSPLKQIDDQTENLGRSLGLVLFASHDMGISRRAEMEALRKEIMNSRSNFCSERESEFSYDLELASIPSKEIVEEEEEVEEDRITLDVDGIALQLKYGNDEELKQAIFGLNVLIGEGGVSKEALDNEDIIPIMFSRLSTSVAENRLAIIHTLRVLVNQNNDSKEKMAEIGCLSTLVKSLTGDVDEQREAVGLLSTLSELVSVRRRLGRIQGCIVMLVAIFNGEDQAASRDAGKLLNALSANTQYALHMAEAGYFKPLVHYLKEGSDMSKVLMATAVSRMELTDKNRASLGEDGAIHPLVKMFSTGNLESKQSALSALQNLSGLSENVQRLVNSGIVATLLQLLFSVTSVLMTLREPASAILAKIAHSEGLLVKPDVAQQMLSLLNLTSPVIQCHLLEALNCIASNSNASKVRRKMKENGAIRLLLPFLTETNAKIRTGALSLICLLSENMQGELTEQMDETHICIIANIVSSPSSEADEKAVAIGILSNLPVSDKKATSMLMNANLLPALVSLMNSSPAPSTQMSSRLAESIAGVLIRFTVPSDKKLQHYAADQGAIPVLVKLLASDSIIAKTRAAICLTQLSQNSLSLRKPRKPRWFCGPASTDGFCEVHDGYCSVKTTFCLIKAGAITPLVQVLEGPEREADEAVLSCISTLVHDESWEIGCKYLVKVKGVQCLVKVLGVGSIKAQEKALWILERVFRVEAYRVEHGECAQPLLIDLAQTGDAILKPTVAKLLAQLELLQAQSSYF >itb11g11000.t1 pep chromosome:ASM357664v1:11:7819389:7819799:-1 gene:itb11g11000 transcript:itb11g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAYLTDNGVNLLEKRFLPALEKMGKICHLYLTRDHAFFLHNLLNGDGIHSIAQFRKEALFDDYRISSQNEDRIAFAIDLSLLQRALRSIISIYTEFSGNHDGNPGGNAPNRLQIKLVKKLPPPFPTSYALSHF >itb09g03420.t2 pep chromosome:ASM357664v1:9:1924150:1926533:1 gene:itb09g03420 transcript:itb09g03420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFMNPNSNRAEAIRWLSIAEKLLNNRDLVGCKSFATRARDSDPSLVQAEQILAIADTLIAGEKRINNQHIDWYGILQVAPNQSHDSEFIANQYRRLALLLNPQKNTLSFADQAFRLVVDAWSLLSNPFRKSLYDKELGFFLNLNPDPVHSAPNTVSSVQQPSGFNVFPNSSREQQQIFFGSREQQPISSGQSVTFLSRDPVQPVTSMQQPSSSREQQPQPQPQPVMFLNRDQQPPVTPVPAANRDPQPPVTYMPSSSREPVQISFGASQRHSEQPPVVASLQSPATEHQRNKQPQQQTEGSVGNNNHNLSGSSIGNANKETGVEEEPKSDIPSFWTACPYCYHMFEYPDAYVDCTLRCRNCKMAFQAIAISSPPPIIDGKEAYFCCWGFLPLGFSVPNWERNRDRATSWSPFSPMFTCPRGGAGNEASGVSANYAAMGQKSGNTSGGFNSVGASKGGASHKSVTPRIYVDDDDDDVLVGLSDSGEESDEDWRGEKRKKSKSLKGKGMKTLTPNRSTPKQQADKGKNAKGNTGENLQDGLRIQSGGRLAAESSKKGVVSNTRRQSGRIAKDYGKLDLNVEFSNEVEEHPPGMDGLGNGAGRGEDDNIFFEGLDEFLSSLPILNVVGEDKVKAA >itb09g03420.t1 pep chromosome:ASM357664v1:9:1924150:1926533:1 gene:itb09g03420 transcript:itb09g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFMNPNSNRAEAIRWLSIAEKLLNNRDLVGCKSFATRARDSDPSLVQAEQILAIADTLIAGEKRINNQHIDWYGILQVAPNQSHDSEFIANQYRRLALLLNPQKNTLSFADQAFRLVVDAWSLLSNPFRKSLYDKELGFFLNLNPDPVHSAPNTVSSVQQPSGFNVFPNSSREQQQIFFGSREQQPISSGQSVTFLSRDPVQPVTSMQQPSSSREQQPQPQPQPVMFLNRDQQPPVTPVPAANRDPQPPVTYMPSSSREPVQISFGASQRHSEQPPVVASLQSPATEHQRNKQPQQQTEGSVGNNNHNLSGSSIGNANKETGVEEEPKSDIPSFWTACPYCYHMFEYPDAYVDCTLRCRNCKMAFQAIAISSPPPIIDGKEAYFCCWGFLPLGFSVPNWERNRDRATSWSPFSPMFTCPRGGAGNEASGDYQTQVRNQMRIGVERRGRNQKA >itb14g10540.t1 pep chromosome:ASM357664v1:14:11912006:11915476:1 gene:itb14g10540 transcript:itb14g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFWGVEVKQGQSLKVSPEHDRIIHISQASLGEVKDEKAANKVPIRLKIGGKNFVIGTLSAGDRPQIMFDLVFEKEFELSHDWKNGSVYFIGYQTMDPCLDDEDDGEEFDFESDEELPTESPENGKLEPKVNAAKPAKKPSATEALPSKKLESKPAADPKKVEPESDDDDDSDDEDEDGEDSDESMEDGESEDDDSEDDESDEETPVKGKPQMKKRPAQPLPETRAPAKKAKQATPDKSGGKKVSPGAKQPQKSPQSFNNSNKKPQKKFNQRKH >itb11g06090.t2 pep chromosome:ASM357664v1:11:3638563:3640232:-1 gene:itb11g06090 transcript:itb11g06090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVIQAALIFFSVSYLGATVQGIEVDVKKSGAKADGKSDDTAAFKKAWDEVCKATEPSTLTVPEGKYTVGQLGFLGPCKSAITFNAEGAEFIAPTDPTQFKTRDGWILFSMVKDLTVSGGTFNGQGSAAWKINNCNKSFDGNCRNLPINLNLGTLTNSVVKGVTSVDSKFFHMNVIQCKNLTLSKITITAPGDSANTDGIHIGRSNGVTVNGADIKTGDDCISFGDGSQQVTVENVKCGPGHGISIGSLGKYPNEQPVMGVTVKHCTLSNTLNGVRIKTWPASPNGVATGMHFEDVTMENVSNPILIDQEYCPWNQCKTGVPSKIKISDVSFKDIKGTSATKVAVKLLCSPGVPCDKVELSGVNLEYKGTNGSAISECDNIKPTLSGKNSPPICDAAKA >itb11g06090.t1 pep chromosome:ASM357664v1:11:3634500:3640232:-1 gene:itb11g06090 transcript:itb11g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVIQAALIFFSVSYLGATVQGIEVDVKKSGAKADGKSDDTAAFKKAWDEVCKATEPSTLTVPEGKYTVGQLGFLGPCKSAITFNAEGAEFIAPTDPTQFKTRDGWILFSMVKDLTVSGGTFNGQGSAAWKINNCNKSFDGNCRNLPINLNLGTLTNSVVKGVTSVDSKFFHMNVIQCKNLTLSKITITAPGDSANTDGIHIGRSNGVTVNGADIKTGDDCISFGDGSQQVTVENVKCGPGHGISIGSLGKYPNEQPVMGVTVKHCTLSNTLNGVRIKTWPASPNGVATGMHFEDVTMENVSNPILIDQEYCPWNQCKTGVPSKIKISDVSFKDIKGTSATKVAVKLLCSPGVPCDKVELSGVNLEYKGTNGSAISECDNVKPTLSGKNSPAICDAAKAA >itb12g20100.t1 pep chromosome:ASM357664v1:12:22550040:22553029:1 gene:itb12g20100 transcript:itb12g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRDLLPAVKSSSAAHYDHTNDPWFKNRYSGAEEEKTAVIKANPVPPYMKRAGFRPSKLEDFGDGGAFPEIHYAQYPLDMGRKKDWKPANKTLPVTVDEHGDVRYDAIVKQNENSKKIVYSQHKDLIPKVVKDENDEEMDSDEMQKLIEDTTMETKAALEKIVNVRLSAAQPKNVPTQSSDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEMELRELARKARSERTGVAHTGAAPAPSERGTVNDDMNADYDHVRDVPKESREERDEKSQRDKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDVSEKMALGMASTGGTRGEVMYDQRLFNQEKGMESGFATDDAYNIYDKGLFTAQPTLSTLYRPKKDADAEMYGGADEQLDKIMKTDRFKPDKGFAGASERAGPRDRPVEFEKEAEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMKASAGSTRDGYEGGSSRSRINFERGR >itb12g20100.t3 pep chromosome:ASM357664v1:12:22550041:22553029:1 gene:itb12g20100 transcript:itb12g20100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHFPGLNSGFIVQDLVLLKVFASNMATLRDLLPAVKSSSAAHYDHTNDPWFKNRYSGAEEEKTAVIKANPVPPYMKRAGFRPSKLEDFGDGGAFPEIHYAQYPLDMGRKKDWKPANKTLPVTVDEHGDVRYDAIVKQNENSKKIVYSQHKDLIPKVVKDENDEEMDSDEMQKLIEDTTMETKAALEKIVNVRLSAAQPKNVPTQSSDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEMELRELARKARSERTGVAHTGAAPAPSERGTVNDDMNADYDHVRDVPKESREERDEKSQRDKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDVSEKMALGMASTGGTRGEVMYDQRLFNQEKGMESGFATDDAYNIYDKGLFTAQPTLSTLYRPKKDADAEMYGGADEQLDKIMKTDRFKPDKGFAGASERAGPRDRPVEFEKEAEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMKASAGSTRDGYEGGSSRSRINFERGR >itb12g20100.t2 pep chromosome:ASM357664v1:12:22550040:22553029:1 gene:itb12g20100 transcript:itb12g20100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRDLLPAVKSSSAAHYDHTNDPWFKNRYSGAEEEKTAVIKANPVPPYMKRAGFRPSKLEDFGDGGAFPEIHYAQYPLDMGRKKDWKPANKTLPVTVDEHGDVRYDAIVKQNENSKKIVYSQHKDLIPKVVKDENDEEMDSDEMQKLIEDTTMETKAALEKIVNVRLSAAQPKNVPTQSSDSKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEMELRELARKARSERTGVAHTGAAPAPSERGTVNDDMNADYDHVRDVPKESREERDEKSQRDKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDVSEKMALGMASTGGTRGEVMYDQRLFNQEKGMESGFATDDAYNIYDKGLFTAQPTLSTLYRPKKDADAEMYGGADEQLDKIMKTDRFKPDKGFAGASERAGPRDRPVEFEKEAEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMKASAGSTRDGYEGGSSRSRINFERGR >itb15g07860.t1 pep chromosome:ASM357664v1:15:5525131:5528022:1 gene:itb15g07860 transcript:itb15g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRRERQMMLRGAGTGDFIPEESHNVSLDGDFSIQVLEKALEVWDLQVIPLDSPVAEHAQIDPELENAFICHLQDHWFCIRKVNGEWYNFDSLYAAPEHLSKFYLSAYLDSLKGFGWSIFLVRGNFPKDCPITSSEASNGYGQWLTPEDAERITKSCNSAGRTGNRPGERQQQSGMEFQYRDQELLLDEDDEDLKAAIAASLMDSSPAVKTVVSSSTSEEENKGSKGESS >itb06g15630.t1 pep chromosome:ASM357664v1:6:19957660:19959549:-1 gene:itb06g15630 transcript:itb06g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRTRRKLFKCLEPLVGTNVPGKSKRSGAGGTETDGYSYGSDGGGDVLAGFLKISSSFGDRKFESPDGGFAKESREKSGRSFSRVIKSVLFEKSLMRKFRKKGSSPSISAPSTSCDSSPETDHKMRKGKRFSKERWDTDESLGGNTSDSSDTSLFSSSTTASTSGKSSRSTSDRKIPSPRPLIINFIDPKQASVVNEEASFRGHFVLKPPKPVKAAVKEQFSMIPARTVTRTTAVTKRYNNSNLMWFIVISLMALVFWGKTLAILCTSAWLYMVPRFSHSPEIHSDEVYSSKLVKERMSEQTSLSRRRLGVGN >itb04g22530.t1 pep chromosome:ASM357664v1:4:27754803:27756975:-1 gene:itb04g22530 transcript:itb04g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLMRVLFCKIHCPFICFCKPSAAHLYTQAQLKLENTPHVPSSTVVAGDPSGEIGEAKEESLQGSKQQAAINGGRRSCIRKAPKEIEKKKSVQWVDNLGKELVEIKEFETSETGDTDNEDENRQYLYKVKAHRLCTDFGCYIPTLIDIPQLDFLASDPPQTSVAIRDLRLLNLNCPVRFLY >itb05g20420.t1 pep chromosome:ASM357664v1:5:26510227:26512686:-1 gene:itb05g20420 transcript:itb05g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIISSMTSKHHVIAFLICVLVIQFPCHSNSRQYCNPSSCGTIHNISFPFRLNTDPENCGNPNYQLTCEQNRAVLTLKSQKYYVQSINYNNFTIRLVDPGVQHNNKCSFPRYSLARYSFSRYDVQDNKMYSVLSQFNLTAYLNPYAISRAMYGWEDVPLITVPIIFFSCPFPVKSSTSSAFVETTKDCSNKTSGGYAYAKLGPLNASDLRISCQVERITMSSWRIQENVSEINVTSLVEIHDALMYGFELYWFRARCDHCRGVPLCGLDETNEIRCWNKYIFYGPMGTFVKILIIFLGVIILLGVYCGPKILIGIPSVIVILIIKIRTRHLSVFDAIENFLNADNNLLPIRYSYRHIKSMTKGFKEKLGEGGYGIVYKGRLRSGSNVAVKIMSKPKSNGQDFINEVGSMGRIHHVNIVRLVGYCAENFQHALVYDFMCNGSLDKYINQGVNASLLNWQRKFEIVVGVARGIEYLHRGCDIQILHFDIKPHNILLDDNFIPKISDFGLTKLFPTDKSIVTLTATRGTIGYVAPKLIDRSIVAISHKADVYSFGMLLMEMLGLKRTPVTEQDESSKYFPSWIYNDINKGKAIEMGEEDEDDKRITKKMTIVGLWCIQTSPIPRPSMSRVVEMLEGDVELLQMPTETFLSEPIMEVDQEQSSMPESSESIALLPNSANSNSIGIIVEVYVQLDGPIIISLLLCSTYYYLTYYVDFIVR >itb01g01190.t1 pep chromosome:ASM357664v1:1:598465:601234:-1 gene:itb01g01190 transcript:itb01g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMIQASASLGDADQKEFLDVHNKARAAVGVPPITWNATLEAYAKAYAAKRAADCALEHSHGPYAENILQGPAEFPAALATKTWVDEKAYYDYKSNSCTGGQECRHYKSVVCKNNKFIGCARIKCRNDGGWFATCNYSPGYDPPPGNTVGD >itb02g00050.t1 pep chromosome:ASM357664v1:2:46844:48551:1 gene:itb02g00050 transcript:itb02g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGCKQVVELRVKQLGEATLVPPAEETHRGLYFLSNLDQNIAVPVRTIYGFRSGPGEKQNFAEIIKNALSRVLVHYYPLAGRLTISPQGKLIVDCTGEGAVFVEAEANCRVEEIGDNTKPDPVTLGKLVYDIPGAKNILEIPPLVAQVTRFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPIKVPPFLDRSILKARHPPKVEFPHHEFAEIDDISNTSHLYDKEEMRYRSFCFDPDMLLRLKASAMEDGTLSKCTTFEALSGFVWKARTQALRMKPEQKTKLLFAVDGRSRFQPPLPAGYFGNGIVLTNSLSSAGELEEKPLSFAVNLVQEAVNMVSDAYMRSAVDYFEVSRARPSLTATLLITTWSRLSFHTTDFGWGDPIVSGPVALPEKEVILFLSHGNERKSINVLLGLPASAMNTFERLMPHHF >itb15g06190.t1 pep chromosome:ASM357664v1:15:4068925:4074569:1 gene:itb15g06190 transcript:itb15g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLGLIVCLLWFLLCGSSLGRFVVEKNSLKVTSPESIKDLYECAIGNFGIPQYGGSMVGTVVYPKANQKACKSFDDFDVSFKSKPGGMPIFVVVDRGECYFTLKAWNAQRAGAAAILVADDRVEPLITMDSPEEEDAQADYLQNITIPSALISKSLGDKIKKEESKGEMVVISLDWREALPHPDERVEYEFWTNTNDECGPKCESQIEFVKNFKGAAQILEKKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVLQNLRQACFFKVANDSGKPWLWWDYVTDFSIRCPMKDKKYTEECANEVIRALGIDVKQIDKCIGDPGANVDNPILKAEQEAQIGKGARGDVTILPTLVINNRQYRGKLDKGAVLKAICSGFEETTEPAICLTDDIQTNECLENNGGCWKDTSANITACRDTFRGRVCECPTVQGVRFVGDGYTHCEASGALRCAVNNGGCWKHTKDGITYSACVDDHTKGCYCPPGFKGDGITHCEDIDECKERIACQCPECKCKNTWGSYECSCHGNLLYMHEHDTCISKAGKGEFSWGFVWVIILGLAATGVAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGEIPNHVSHGNV >itb05g22570.t1 pep chromosome:ASM357664v1:5:27950244:27951567:-1 gene:itb05g22570 transcript:itb05g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEKKSGDGRWSLKGMNALVTGGTQGIGSETQQLMEKVSEYFNGKLHILVNNAGTAITKKTTDFTDEDYTVIMSTNFEAPYHLSQLSHPLLKATGHGSIVFISSVAGNFGLPYVSLYSGSKGAINSVTKNLACEWAKDGIRVNAVAPWKIDTPLKATVGTCYKAELESAIKRTPISREGKPNEISSVVAFLCLPAASWLTGQIITVDGGKTANGF >itb03g26110.t2 pep chromosome:ASM357664v1:3:25558242:25571162:-1 gene:itb03g26110 transcript:itb03g26110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MASSSCADNLPPEASSSEVSENNLQVAPLVAVVGNLLDFLCNSKDRVLILVIKSFIYLCYAKRTKPFFVLHKASQPRSSTAKSIRGKKKTKSAANESETSSDIHRKMDAFHSVWSKIESNIKDVLRTINADAFDEIRNWVIESFDAIQASRTPEITAKTSLSYPVLFNNSGAISQRLSTGLVFTKNMEIVDDILTFEELSLHLTSHGCHVATVSSLDFCSKNGVGGCLRSLLQQFLLVDIDAADISLLASWHSKHGNCEKPVVVIIEDLERCCGTVLSDFIILLSEWAVKIPTILIVGVSTNIDAPRNILSSHALEHLSPCTFVLKSPAERLDAVIEAVFIKHWAGFSIGHTVATFLRNYFLRQDGTLTSFIRAVKIAIVQLIFKEPLSFVLKGLVDGEENKGSYNVDLANLSKALIKQAFDLPSYCKHAGNRNNQEESNVNCLANGLLELQRLNELWGSVVMCLYEAGKHCKVTLLDLYWELLSPELCKTMLSVHHPERANDMLASNHSLSGFFQASEKGTFIGQVILKVRDLPVAKLSQLLKTWEKLAQGSNEVHKKILELQSMVQNADNRHSKRELTDLSKRHLSRGRAKCANDEDTFNEKAANLVYDMMRECMQPIESIPFHEIVCFKDVDKLQSALTGDPRRRIQSDLLEFQKILKCSCCSKGGVLLSPSMHDASIMYTLAQEHGDLINLHDWFQSFNATVSCLKRSKQLCSPKKRKVSNVPQNKSDASIQARFCRAIIELQIAGLLRAPSKRRPDYVQRVAFGL >itb03g26110.t1 pep chromosome:ASM357664v1:3:25558242:25571195:-1 gene:itb03g26110 transcript:itb03g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MASSSCADNLPPEASSSEVSENNLQPFFVLHKASQPRSSTAKSIRGKKKTKSAANESETSSDIHRKMDAFHSVWSKIESNIKDVLRTINADAFDEIRNWVIESFDAIQASRTPEITAKTSLSYPVLFNNSGAISQRLSTGLVFTKNMEIVDDILTFEELSLHLTSHGCHVATVSSLDFCSKNGVGGCLRSLLQQFLLVDIDAADISLLASWHSKHGNCEKPVVVIIEDLERCCGTVLSDFIILLSEWAVKIPTILIVGVSTNIDAPRNILSSHALEHLSPCTFVLKSPAERLDAVIEAVFIKHWAGFSIGHTVATFLRNYFLRQDGTLTSFIRAVKIAIVQLIFKEPLSFVLKGLVDGEENKGSYNVDLANLSKALIKQAFDLPSYCKHAGNRNNQEESNVNCLANGLLELQRLNELWGSVVMCLYEAGKHCKVTLLDLYWELLSPELCKTMLSVHHPERANDMLASNHSLSGFFQASEKGTFIGQVILKVRDLPVAKLSQLLKTWEKLAQGSNEVHKKILELQSMVQNADNRHSKRELTDLSKRHLSRGRAKCANDEDTFNEKAANLVYDMMRECMQPIESIPFHEIVCFKDVDKLQSALTGDPRRRIQSDLLEFQKILKCSCCSKGGVLLSPSMHDASIMYTLAQEHGDLINLHDWFQSFNATVSCLKRSKQLCSPKKRKVSNVPQNKSDASIQARFCRAIIELQIAGLLRAPSKRRPDYVQRVAFGL >itb03g26110.t3 pep chromosome:ASM357664v1:3:25558242:25571195:-1 gene:itb03g26110 transcript:itb03g26110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MDAFHSVWSKIESNIKDVLRTINADAFDEIRNWVIESFDAIQASRTPEITAKTSLSYPVLFNNSGAISQRLSTGLVFTKNMEIVDDILTFEELSLHLTSHGCHVATVSSLDFCSKNGVGGCLRSLLQQFLLVDIDAADISLLASWHSKHGNCEKPVVVIIEDLERCCGTVLSDFIILLSEWAVKIPTILIVGVSTNIDAPRNILSSHALEHLSPCTFVLKSPAERLDAVIEAVFIKHWAGFSIGHTVATFLRNYFLRQDGTLTSFIRAVKIAIVQLIFKEPLSFVLKGLVDGEENKGSYNVDLANLSKALIKQAFDLPSYCKHAGNRNNQEESNVNCLANGLLELQRLNELWGSVVMCLYEAGKHCKVTLLDLYWELLSPELCKTMLSVHHPERANDMLASNHSLSGFFQASEKGTFIGQVILKVRDLPVAKLSQLLKTWEKLAQGSNEVHKKILELQSMVQNADNRHSKRELTDLSKRHLSRGRAKCANDEDTFNEKAANLVYDMMRECMQPIESIPFHEIVCFKDVDKLQSALTGDPRRRIQSDLLEFQKILKCSCCSKGGVLLSPSMHDASIMYTLAQEHGDLINLHDWFQSFNATVSCLKRSKQLCSPKKRKVSNVPQNKSDASIQARFCRAIIELQIAGLLRAPSKRRPDYVQRVAFGL >itb13g21750.t3 pep chromosome:ASM357664v1:13:28288557:28291166:1 gene:itb13g21750 transcript:itb13g21750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSAAMNHWVLRLALSFILVSHSRLGVGGDYDQPHTGASGVLGNEYIPSNGGGNGYSSENGGGNGYSSEHGGSYGHENPDYVISKALLCFDEKNIYSSCEEAYRLSASGELHVPPDCTDEFCNGACLKETDLILHCIETVLSDFEFYNKATINDVRHTIKEGCSYGPNRGNFDVAEHLQYEENNAFQANYKAVLHNLSLMVLALWFFL >itb13g21750.t1 pep chromosome:ASM357664v1:13:28288294:28291050:1 gene:itb13g21750 transcript:itb13g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSAAMNHWVLRLALSFILVSHSRLGVGGDYDQPHTGASGVLGNEYIPSNGGGNGYSSENGGGNGYSSEHGGSYGHENPDYVISKALLCFDEKNIYSSCEEAYRLSASGELHVPPDCTDEFCNGACLKETDLILHCIETVLSDFEFYNKATINDVRHTIKEGCSYGPNRGNFDVAEHLQYEENNAFQANYKAVLHNLSLMVLALWFFL >itb13g21750.t2 pep chromosome:ASM357664v1:13:28288294:28290204:1 gene:itb13g21750 transcript:itb13g21750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSAAMNHWVLRLALSFILVSHSRLGVGGDYDQPHTGASGVLGNEYIPSNGGGNGYSSENGGGNGYSSEHGGSYGHENPDYVISKALLCFDEKNIYSSCEEAYRLSASGELHVPPDCTDEFCNGACLKETDLILHCIETVLSDFEFYNKATINDVRHTIKEGCSYGPNRGVFSSSSALNFFGK >itb10g04430.t2 pep chromosome:ASM357664v1:10:4209286:4212473:-1 gene:itb10g04430 transcript:itb10g04430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSETRERPPESSALTEKNSSKSNEGKMVLRVRPPRQLKDTGEEQGRAVSGRKRKTTSKKVSKVDSKMPKKPPTAFFFFLEDFRKEFQEKNPDVKSMRDIGKACGEKWKIMTYEEKVQYYDIATEKRREFDRAMADYKKRKENGEFKEFEDDSDFDD >itb10g04430.t1 pep chromosome:ASM357664v1:10:4209284:4212477:-1 gene:itb10g04430 transcript:itb10g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSETRERPPESSALTEKNSSKSNEGKMVLRVRPPRQLKDTGEEQGRAVSGRKRKTTSKKVSKVDSKMPKKPPTAFFFFLEDFRKEFQEKNPDVKSMRDIGKACGEKWKIMTYEEKVQYYDIATEKRREFDRAMADYKKRKENGEFKEFEDDSDFDD >itb09g14170.t1 pep chromosome:ASM357664v1:9:9388898:9389833:-1 gene:itb09g14170 transcript:itb09g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRLTLDFHTNKKVLEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDRIEVDKETIDLLASLGMSELPGVVLKEEETVGIAPAPAYGGGRGGFGGRRY >itb09g15710.t1 pep chromosome:ASM357664v1:9:10981652:10982029:1 gene:itb09g15710 transcript:itb09g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNFLKVSLNKLQRVGSRLVPSAATADKCCKWAWWSFVHEDNTIPSDVPKGHLVVYVGENQKRFVIKITILQHPLFKALLDQAQDAYDFTADSKFWIPCDEKIFISVIRSATPPRSKMISICIC >itb08g07110.t1 pep chromosome:ASM357664v1:8:6096793:6104071:1 gene:itb08g07110 transcript:itb08g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIESSEEEDDFPSIETVTPQSKIDTIYQSNSEKGIRKISFELLELKDAVENMCGNSRTKCLAFLRLSEEVVEMNHELNELRKHISAQGILVQDLINGACQELSLWSQANANVLETQESELGDNCLIDASEDDHLSFLESIDILLAEHKIEEAIEAIDAEEKSHPEMRSVGETSPTEPSAYRSALSKRKAMLEKQLVEITERPSIAVVELKRALSGLLKLGKGPLAHQLLVKSIRLRLQKKIEAFLPLCSCYPETYSATLSNLVFSTILLVAKESSSMFGDNPLYSNRIIQWAETEIESFVRLVKEHAPVSETAPALRAASFCAQTSLNHCYALEQHGLKLSKLLLVLLQPYIEEVLELNFIRARRAILHFAEDEDIMLSPRFASPLSTFATPSGRLLVESGMRFIYVVKEIVERLTQLVILHFGANILMRISLLFDKYVDALIKALPGLSEDDNLTELKESVPFRVETDSEQLALLGTAFTISEELLPMVVSRIWNVLTESKEADSGISEVITPSSNNTVEVKEWRRHLQHSLDKLRDSFCRQYIVNFIYSRDGDARLDAQIYLSGTGEDLASESEPLPSLPFQALFGKLQQLATVAGDVLLGREKIQKVLLARLTETVVMWLYNEQDFWSILEDESASLTQHGLQQLMLDMHFTVEIARFAGYPSRHVHQIASDIMSRAIRTFSARGVVQSALPEDEWFVETAKRAINKLLLGGSGSDTSEIYDEEHIIHDEFIDSGSPSSLSSLESSESFASAHSGDFGSPSFTDSEN >itb04g06160.t1 pep chromosome:ASM357664v1:4:4004163:4007484:-1 gene:itb04g06160 transcript:itb04g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLRNAAKSSRSIQACLGNQRLSSLLRDTSRRFTTEAGAPPPRQPQRGNFPPRPIPDFEPNGKVYGRINSIGRFTTKSDIIAMMGDSNLTSEDIKFDYNITFNGNAAVMQFPSQADYSAAVRAVTPKTTRQGGLYKVDRIQWENLKSYDGKSILLQGIPRNAQMDDVERFLSGCQYDISSVSMFSRTMQPRYIENQEAIRMAVVNFPSRASASHAFITKNRGFCLNSQIAIRVLH >itb08g10020.t1 pep chromosome:ASM357664v1:8:9423821:9436172:1 gene:itb08g10020 transcript:itb08g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNYSNMGVGPDPTSSFTHPSTFDQRGLHMGAAVPFPNPTFPRSLGGPIDQRNLFMGAAAPFPNYPTSSFTPICGPIDQRGFFTGAAAPFPNSTSSFIPHYDQRGLFTPVPNPSSFTPRYPFEFGGPIDQRGFFTGAPFPSPTSTLYTLPNEFLDPYVFGGFPFHGFVAPPDHYDDEAGFDNDLEKGAEVAGLGEKCKDLYHAAINGNWKTAEGILRDNPSYARDSITKRKDTLLHIAAVGGNTLFVRKVVEMLKSEELEVVNEYGCTAFYNAVASGVVENAKCMLQENQNLISIGAGGADKIAPIYQAALLRHNKMVSYLYTVKPLVEYLDRKDLIKLLVMTIYNDMHDAALKILKDNSQEEKYILKDRTIALAKFKGNRSFLHMLVRKQQQQHIAPARIWPRFLAAASTTALFSKPAFLHNGDEEEKECVAKTKAGVEEEKECVAKTKAGELLDIIWKEYCNLSDDEFRKEIARQDDILHYAAKHGNVEFLDMVLKSKPDLFCESNKSGHTILHVAVLYRQQNVVNYICNKQGYQYYMTRRLDLNNNNILHLAAQAENTFCEFKDNIAADDYLWIYDDDEEEKEEVPGNKKNDDQEEKENIIQESSAPQISTTAQKEVVPENKKKDDQEEKENIMQESSARRISTATQKEVIPVNMKKNYQQEENIMQESSALGLSTAALHYEREISWFKIVPSSFRHMRNKDGKTPKQLFLKEHMKLKMNAEKSIRGTADSCMIVATLIATVAFSAAFTAPGGNDDQTGIPIFIKRASFTVFTISDAVAMIFSMVSILTFLSILIWRNTDDDFHLALKRLFVGFADFHLALKRLFVGFAAMGVALKRLFVGFAAMGVSICGMLFAFTAAFFLVYGRAWQPILIAAFVALPIASFLYLNTRLWIDVVGSMLLKLLPFTKQTTYVGCRSRP >itb06g22570.t1 pep chromosome:ASM357664v1:6:24804543:24806795:-1 gene:itb06g22570 transcript:itb06g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVANISNSLSPTAQALFNELWIAYIAPLNFHGLIISVVGVLAVIIVVHLLLVMVRDLKQMTKLKMKSKWLGVRRDFSTEVEKSRTVHVPDLDAALAEELQFQEALLVSLFTAPTVNEASSSEFASLSTALTLNEASSSELALLSTALNLNEASSSELALLSTALNLNEASSSELALLSTALTLNDASSSVQGEPFPKSFCEICLEDKGKWQMIEHEGCSHSFCSECMSKHIIARVEANMLEVNCPGINCRSLLNASHYRHLVPKETLVRWDEAVCKSMYVDSQKLYCPYRDCSAMLVNDTGEAMGKSTCPLCKRSFCAACQVPWHSEFTCKKFKKLNTQKKKEDAMVMTLAKKKHWQKCPQCKMLVEKSEGCIHMTCRCNHQFCYSFQVAMFHV >itb03g19790.t1 pep chromosome:ASM357664v1:3:17789641:17791083:-1 gene:itb03g19790 transcript:itb03g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKIFLLILLISFLHMGFWSYVSVVAESDTLKQGAKIRDWEHLTSAKAIFKLGFFSISAGYSVPNNIENHYLGIWYTNVPNQPVWIANRDSPLPDSSGSLIIDADGKLKISYVGGMITVSNVSTIMSGNNVGATLLDSGNLVVREVHENGSFGGVLWQSFDYPTDVLVPGMKLGVNLKTGHVWKLTSWLSDQAPGSGAFELGLDVNGGTNQLVISRRGKVYWTSGVWKNGSFEKAPDVTAIESEFGFKFVSNEKEKYFSYSAKHNYTFAKWKLDLLGQIEQFFVIQNVNMSSWNWRYAAECLPIVENNPSAVCLKENPVSCRKSSDVFVQTRGYFLDGNISYVEDSPNGSSLALSDCHSICWKNCFCTAYASSFADGTGCYLYTNAHNFTLDATGELYIYRLIKEHKS >itb10g08420.t2 pep chromosome:ASM357664v1:10:10502635:10509168:1 gene:itb10g08420 transcript:itb10g08420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFNQNSETRVTCCKGIARVLFLLSMIWKHLSFINSFSNPFSILTFELLLSFTHYSMTGSLLVTYWQHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEGARVNTADIYGYQAIHVAAQYGQTAFIYYIVRKWNAYPDVPDNDGRSPLHWAAYKGFADCLRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGNKEDLMVTDHTGLTPAQLASDKNHRQVTFFLENARQLFEKRCDGDSWLGKLLKLGLAPILWCLIFLLLVTYIHSVIMDPNLPKLTAASALFAWLGVFFAISGLVMFYRCSSKDPGYIRMSAHDSQDIKDDEPLLKIDIDHPALLAGNWSQLCSTCKIVRPLRAKHCSTCGHCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLEVSAMLITGGVALTRILTDPMAPSSFFLWLNYAGTQHIGAIAFFVADFFIFSGLAVLTVIQASQISQNITTNEVANTTRYSYLRDEAGQFRNPYDHGVKKNCSDFLINGYNEDIVYTEEESACPEGDETRHIAQSSTFQTSAARHQGNGNGHVVLDVNKSTSRQNHVQSFNCSRHLSSKLKTGYLPLGLGIGLGRSIFRTVL >itb10g08420.t4 pep chromosome:ASM357664v1:10:10502207:10509168:1 gene:itb10g08420 transcript:itb10g08420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFNQNSETRVTCCKGIARVLFLLSMIWKHLSFINSFSNPFSILTFELLLSFTHYSMTGSLLVTYWQHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEGARVNTADIYGYQAIHVAAQYGQTAFIYYIVRKWNAYPDVPDNDGRSPLHWAAYKGFADCLRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGNKEDLMVTDHTGLTPAQLASDKNHRQVTFFLENARQLFEKRCDGDSWLGKLLKLGLAPILWCLIFLLLVTYIHSVIMDPNLPKLTAASALFAWLGVFFAISGLVMFYRCSSKDPGYIRMSAHDSQDIKDDEPLLKIDIDHPALLAGNWSQLCSTCKIVRPLRAKHCSTCGHCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLEVSAMLITGGVALTRILTDPMAPSSFFLWLNYAGTQHIGAIAFFVADFFIFSGLAVLTVIQASQISQNITTNEVANTTRYSYLRDEAGQFRNPYDHGVKKNCSDFLINGYNEDIVYTEEESACPEGDETRHIAQSSTFQTSAARHQGNGNGHVVLDVNKSTSRQNHVQSFNCSRHLSSKLKTGYLPLGLGIGLGRSIFRTVL >itb10g08420.t1 pep chromosome:ASM357664v1:10:10502201:10509170:1 gene:itb10g08420 transcript:itb10g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIEIVEEVESRDQENAEAAANDGTASAAAAEDESSKNDVYTAAAYGDMEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRSAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEGARVNTADIYGYQAIHVAAQYGQTAFIYYIVRKWNAYPDVPDNDGRSPLHWAAYKGFADCLRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGNKEDLMVTDHTGLTPAQLASDKNHRQVTFFLENARQLFEKRCDGDSWLGKLLKLGLAPILWCLIFLLLVTYIHSVIMDPNLPKLTAASALFAWLGVFFAISGLVMFYRCSSKDPGYIRMSAHDSQDIKDDEPLLKIDIDHPALLAGNWSQLCSTCKIVRPLRAKHCSTCGHCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLEVSAMLITGGVALTRILTDPMAPSSFFLWLNYAGTQHIGAIAFFVADFFIFSGLAVLTVIQASQISQNITTNEVANTTRYSYLRDEAGQFRNPYDHGVKKNCSDFLINGYNEDIVYTEEESACPEGDETRHIAQSSTFQTSAARHQGNGNGHVVLDVNKSTSRQNHVQSFNCSRHLSSKLKTGYLPLGLGIGLGRSIFRTVL >itb10g08420.t3 pep chromosome:ASM357664v1:10:10502207:10508179:1 gene:itb10g08420 transcript:itb10g08420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIEIVEEVESRDQENAEAAANDGTASAAAAEDESSKNDVYTAAAYGDMEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRSAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEGARVNTADIYGYQAIHVAAQYGQTAFIYYIVRKWNAYPDVPDNDGRSPLHWAAYKGFADCLRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGNKEDLMVTDHTGLTPAQLASDKNHRQVTFFLENARQLFEKRCDGDSWLGKLLKLGLAPILWCLIFLLLVTYIHSVIMDPNLPKLTAASALFAWLGVFFAISGLVMFYRCSSKDPGYIRMSAHDSQDIKDDEPLLKIDIDHPALLAGNWSQLCSTCKIVRPLRAKHCSTCGHCVEQFDHHCPWVSNCIGKKNKWEFFLFLVLEVSAMLITGGVALTRILTDPMAPSSFFLWLNYAGTQHIGAIAFFVADFFIFSGLAVLTVIQASQVCF >itb01g15120.t5 pep chromosome:ASM357664v1:1:17407220:17409627:-1 gene:itb01g15120 transcript:itb01g15120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRLRSLVETVLENAGGGVEVDESESSLQQARSELPREILEIIVGHLNLRDNIRASAVCKLWRSVALSVRVANKPPWLMFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb01g15120.t4 pep chromosome:ASM357664v1:1:17406846:17409627:-1 gene:itb01g15120 transcript:itb01g15120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRLRSLVETVLENAGGGVEVDESESSLQQARSELPREILEIIVGHLNLRDNIRASAVCKLWRSVALSVRVANKPPWLMFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb01g15120.t3 pep chromosome:ASM357664v1:1:17406846:17409627:-1 gene:itb01g15120 transcript:itb01g15120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRLRSLVETVLENAGGGVEVDESESSLQQARSELPREILEIIVGHLNLRDNIRASAVCKLWRSVALSVRVANKPPWLMFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb01g15120.t2 pep chromosome:ASM357664v1:1:17406846:17409627:-1 gene:itb01g15120 transcript:itb01g15120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRLRSIDISRLVETVLENAGGGVEVDESESSLQQARSELPREILEIIVGHLNLRDNIRASAVCKLWRSVALSVRVANKPPWLMFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb01g15120.t6 pep chromosome:ASM357664v1:1:17406846:17409411:-1 gene:itb01g15120 transcript:itb01g15120.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb01g15120.t1 pep chromosome:ASM357664v1:1:17406846:17409627:-1 gene:itb01g15120 transcript:itb01g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRLRSIDISRLVETVLENAGGGVEVDESESSLQQARSELPREILEIIVGHLNLRDNIRASAVCKLWRSVALSVRVANKPPWLMFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb01g15120.t7 pep chromosome:ASM357664v1:1:17406846:17409411:-1 gene:itb01g15120 transcript:itb01g15120.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTKDGWLLLYKPRTQRVLFFNPYSRQLINLPKLELTYQIVAFSAAPTSPKCIVFTVKHISPTVVAISTCHPGATEWTTENYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYNPEEHSWVVRVVPPPRCPENFFVKNWWKGKFMAEHNGDIYVIYTCSTANPVIYKLDQVNRVWVGMQSLSGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDHDRYYPRKQCYDWGEQDPFESIWIEPPEDLSAFV >itb07g00200.t2 pep chromosome:ASM357664v1:7:153569:166462:-1 gene:itb07g00200 transcript:itb07g00200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLSLAVSLFLQVFISGSMAAAQAPTGQRHSEGYCAMYDICGKRSDGKVLNCPFGSPSVKPDELLSSKIQSLCPTITGNICCTEAQFDILRSQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSQFINVTSVSKVQNNLTVDGIDFFIADAFGEGLYESCKEVKFGTMNTRAIDFIGAGAKNFREWYAFIGRRAALGMPGSPYAINFTSTAPDSSGMKTMNVSTYSCGDTSLGCSCGDCPSASACSSSAPPPAPEKESCSAKCIEVAVAIIYIVLVSMFLGWGFVHRRKERAPVSSTKPLISATDAGIIRQINKQKDDNVPMQMLEDEPQISSGVRLSIVQGYLSRFFRRYGTWVARNRILVLCSSLAIVLVLCLGLFRFKVETRPEKLWVGHGSRAAKEKQFFDSHLAPFYRIEQLIIATNSNTEDGKSPTIISRDNIKLLFDIQNKVDSIKANYSGSIVTLSDICMKPLGKDCATQSVLQYFQMSPANFDQYGGIDHLQYCFQHYTSAQQCMSAFKAPLDPNTALGGFSGNDYLEASAFIVTYPVNNAIDRESNDTKRAVAWEKAFIQLVKDEILPMVQSKDLTLSFSSESSVEEELKRESTADVITIVISYLVMFAYISLTLGDTPRFSSCYISSKVLLGLSGVVLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQSVELPLEGRISNALVEVGPSITLASLAEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIAFDFLRAEDNRIDCFPCIKVSGSNGDHDIGSQQRKPGLLVRYMKDVHAPILSLWGVKIVVISVFAALAMASIALCTRIEPGLEQEVVLPRDSYLQGYFNNISEYLRIGPPLYFVVKNYNYSSESGQTNQLCSINHCNSDSLLNEISRASLTPETSYIAKPAASWLDDFLVWMSPEAFGCCRKFTNGSFCPPDDQPPCSSSVTGICKDCTTCFLHSDLADGRPTTEQFKEKLPWFLSALPSSDCAKGGNGAYTNNVELKGYENGVIQASAFRTFHTPLNKQVDFVNSMRAARDFASRISDSLKMEVFPYAVFYMFFEQYLDIWRTALINLAIAIG >itb07g00200.t1 pep chromosome:ASM357664v1:7:151594:166626:-1 gene:itb07g00200 transcript:itb07g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLSLAVSLFLQVFISGSMAAAQAPTGQRHSEGYCAMYDICGKRSDGKVLNCPFGSPSVKPDELLSSKIQSLCPTITGNICCTEAQFDILRSQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSQFINVTSVSKVQNNLTVDGIDFFIADAFGEGLYESCKEVKFGTMNTRAIDFIGAGAKNFREWYAFIGRRAALGMPGSPYAINFTSTAPDSSGMKTMNVSTYSCGDTSLGCSCGDCPSASACSSSAPPPAPEKESCSVRIGSLKAKCIEVAVAIIYIVLVSMFLGWGFVHRRKERAPVSSTKPLISATDAGIIRQINKQKDDNVPMQMLEDEPQISSGVRLSIVQGYLSRFFRRYGTWVARNRILVLCSSLAIVLVLCLGLFRFKVETRPEKLWVGHGSRAAKEKQFFDSHLAPFYRIEQLIIATNSNTEDGKSPTIISRDNIKLLFDIQNKVDSIKANYSGSIVTLSDICMKPLGKDCATQSVLQYFQMSPANFDQYGGIDHLQYCFQHYTSAQQCMSAFKAPLDPNTALGGFSGNDYLEASAFIVTYPVNNAIDRESNDTKRAVAWEKAFIQLVKDEILPMVQSKDLTLSFSSESSVEEELKRESTADVITIVISYLVMFAYISLTLGDTPRFSSCYISSKVLLGLSGVVLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQSVELPLEGRISNALVEVGPSITLASLAEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIAFDFLRAEDNRIDCFPCIKVSGSNGDHDIGSQQRKPGLLVRYMKDVHAPILSLWGVKIVVISVFAALAMASIALCTRIEPGLEQEVVLPRDSYLQGYFNNISEYLRIGPPLYFVVKNYNYSSESGQTNQLCSINHCNSDSLLNEISRASLTPETSYIAKPAASWLDDFLVWMSPEAFGCCRKFTNGSFCPPDDQPPCSSSVTGICKDCTTCFLHSDLADGRPTTEQFKEKLPWFLSALPSSDCAKGGNGAYTNNVELKGYENGVIQASAFRTFHTPLNKQVDFVNSMRAARDFASRISDSLKMEVFPYAVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVVNCSFWTSAIILLVLVMIVLDIMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHITHAFLISSGDRNQRMKEALTTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVLLSMLGPPSRSVLIEKQEGRPSTSSQF >itb07g24500.t1 pep chromosome:ASM357664v1:7:28779509:28780871:1 gene:itb07g24500 transcript:itb07g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISATLLPSSLLLPHHPKQNATATHLHLTRRSLLFLSTTLGGFSLPAPLSYSSPSPSPDTTITDRVFLDLSICPTYFVNRTLGDDLALCADAEPVGRLVLGLYGNLVPITVSNFKAMCTGSSGSSYKGTLVQKIFPGQFFLAGRQGRRDKGEVKPPTKLVRNTETVESKAFLLEHSRPGTLSLCLSENDDDNDVKLDPDYHNVEFLITTGPGPCPQLDSKNIVFGTVVEGMDVVGRIAGIPTYKPSERIRQYNGIAEFLGDGRAKNARAIWNKPLNTLYISDCGQLKLPTPPLSPTLP >itb15g01920.t1 pep chromosome:ASM357664v1:15:1146981:1148009:-1 gene:itb15g01920 transcript:itb15g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIAPPAPQNGLLRRRNSISASVIAPAQLSLHNGREVSSSSSSYATTAASSATSSGSSQTDGDFELFSIKPVNYTSLKDLLPGGAAVNSPRPMTPGSHHGSAEILIRNRLVKQAAWAYLQPMSTSPDSSAGTFLRRLCLRFSGNAPVVALLNFVDRHILLPLTRTVDWLLRAIGLRSVR >itb09g24770.t1 pep chromosome:ASM357664v1:9:24615277:24617143:-1 gene:itb09g24770 transcript:itb09g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVNFALLFVLLAVPVAFAADHTVGDSSGWTQSGDYTTWASSKTFAMGDNLVFNYGGSHGVDVVSKDDYDNCNAGNAIQSYTDGATTIKLSKSGPMYFICPTIGHCQTGMKLAINVDSGSSAAPAGSPPATATPSPPSSGSDSPPSTSTPSGRTTPTATSSPPNAAMGGSGCMSKVVVGVSIVVGALFAFLS >itb03g08040.t1 pep chromosome:ASM357664v1:3:6025196:6026663:1 gene:itb03g08040 transcript:itb03g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLIILMNGNQKVWNNTPVNHANILCEARTYLDAWKEVHCKDRATPTPRQREKWSRPSPNILKLNVDAALDKDSRSTGIGAIIRDHKGSFIAAMTRSWKDIHRPKVAEAMGIREAMS >itb03g08040.t2 pep chromosome:ASM357664v1:3:6025196:6026663:1 gene:itb03g08040 transcript:itb03g08040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSIACRNVLPTAINLISRRVDCPQLCNLCNLEVESTDHISMHCPVAKACWSNLNSIDGNVPNHFDEWLEPTLMLGKRFIAKIEPLQPLGNVRNGPGHHQISSSLMLMLHWIRIPDLQVLEPSSGIIKVVSLLL >itb04g33860.t1 pep chromosome:ASM357664v1:4:35978606:35982833:1 gene:itb04g33860 transcript:itb04g33860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQIFEEDGEEVNGDGGTNEFRRQEIQAAIAKAVELRALHAALVQGNSPGNLRFSSASPVSHHLHPQLSAQDYPVFTPSYEDEPLPGYKQLQLENQNYSELWDDYNLGGGGNGSGTESVLMDYRKTNASLRKAVAATYGNIESPHVCPAEDQKSVTGSCTDQITVLRASPGPEFSRSRRNSMGDFKSLSSCNKCKPAIISTESDAASKIGKSSNLVVPLSDLHSSVQSQPKTKGLNFSWLFPRLKKKNKNECSPIRTESEDVSQVYKDIGAVSIESLRKELAEANESRDAALMEVAEMKSSIGELRAKLEYLENYCEELKKALRLAIQAKDSQVPMKLKNLPRRGKSGDGEGENSMPVSEDVMLEGFLQIVSEARLSVKQFCKTLIRQIEETDTSLTDNLNLLLQPYKLTLNSKYSKAVLYHLEAIINQSLYQDFENCVFQKNGSPKHLDPEQDRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITTLNWNRPWPEQLLQAFFVSAKCIWLLHLLAFSFYPPLVILRIEENRPFDSHFMEDIFADRQRSQGPSQVKVMVMPGFYVQDRVLRCKVICRYKSAP >itb06g15220.t1 pep chromosome:ASM357664v1:6:19580430:19582979:-1 gene:itb06g15220 transcript:itb06g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 14 [Source:Projected from Arabidopsis thaliana (AT4G02890) UniProtKB/Swiss-Prot;Acc:Q3E7T8] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >itb05g23050.t1 pep chromosome:ASM357664v1:5:28272448:28277808:1 gene:itb05g23050 transcript:itb05g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYHCHSSRGGAMASLFVLLLPIFFPSLFTSFSHASPSVLTEWNAPKTRHSRLFKSALQRQSTHEEQSELWMPLSNQGWNPYTESSVVSTLPEKSEGYIQVFLDGGLNQQRMGICDAVAIAKILNATLVIPYLEVNPVWQDSSSFMDIFDVDHFIHVLKDDISIVKELPDEFSWSTRGYYASAIRPTRIKTAPVHASANWYLENVLPVLKSYGIAAISPFSHRLAFDNMPQEIQHLRCKVNFQALAFVPHITALGDALVHRLRYPHSNVDELGANYLGEIKDKENKEGAGKFVAIHLRFDKDMAAHSACDFGGGKAEKLSLAKYRQRIWQGRVLNSQFTDEELRSQGRCPLTPEEVGLLLAALGFGNNTRLYLASHKVYGGEARISPLRSLFPLMEDKKSLATSEERALIKGKASLLAAVDYYVGMHSDIFISASPGNMHNAMAGHRTYYNSKTIRPNMQLLGQLFLNKNLSWPEFKEAVVEGHTNRQGQIRLRKPGQSLYTYPAPNCMCEA >itb10g13910.t1 pep chromosome:ASM357664v1:10:20213299:20215041:-1 gene:itb10g13910 transcript:itb10g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOTHER of FT and TFL1 [Source:Projected from Arabidopsis thaliana (AT1G18100) UniProtKB/Swiss-Prot;Acc:Q9XFK7] MMVRRSVDPLVVGRLIGDVVDMFMPTVDMAVYYGPKAITNGSDVKPSMVADRPRVHIGGDSTQLYTLVRSFSSSVMTDPDAPSPSEPCMRELIHWIVTDIPGNTHDITRGKEAVPYMGPKPTVGIHRYILVLFQQKKPLGELQPPVTSRSNFCTRAFAHHLELDSPVATVYFNAHKEPATTRRRN >itb06g24880.t1 pep chromosome:ASM357664v1:6:26252399:26254124:1 gene:itb06g24880 transcript:itb06g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSDHIDGDSIISSGLEWKCGLNVKTTVALLLSLLFLAFLVLRDPPSSDSVWNRVKPPKVMEDDHILRPNDAPGDNLLAGLLPSGFDRKSCLSRHQSVLYHKKLKRHPSSYLISRLRSYEALHRRCGPHTESYKNNVGRLINSAANNNHSDGCNYLVWIAFSGLGNRILTLASAFLYAVLTNRVLLVDPGNNIIPNLFCEPFPNTSWYLPKDFPFSNQFKTFDQNSTFCHGYMLKHNISAINPPFIYVHLIYDIDQHHKLFYSDKEQIFLEKIPWVVIRTDEYFVPSLFLIQSFKKDLENMFPDKDSVFHLLGRYLIHPTNPVWGLITRYYQAYLATADEKIGIQIRVFERETGPFQHILDQIISCTMKQHILPQIYQEEDYSIFIAANSSDIINIKNKKKVKAVLVTSLSPWYSDQIKNMYSEHPTLTGEVVGIFQPSHEEYQRTENLRHNMKALAEIYLLSMSDVLVTSGWSTFGYVAQSLGGLRPWILYKPENRTAPEPPCRRAMSMEPCFHDPPSYDGADPHVKPCEDRSWGLKLVAA >itb11g14050.t1 pep chromosome:ASM357664v1:11:11107092:11107833:1 gene:itb11g14050 transcript:itb11g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSFGGGQSSLGYLFGSGEPPKPTTNNSEAPLNQGTAVSAGTPQKSVAPAPAPPADATKQIPAGVPGSKTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDYLFGGGGGSSK >itb14g15240.t1 pep chromosome:ASM357664v1:14:18475753:18477956:-1 gene:itb14g15240 transcript:itb14g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDFIEKYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICTLYATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGMMIFPSSSTGYKRKSPLFPATTISPSTVVPFQAQPPPPPPPPQSIFSLYTPPSIRSPFSTLDSLSPIQHPSFLCDNNNTISLGAPNNGFHQGQNQGFLNPMQYTSPANSNPHFLMFGGGPAAEPASGSSSSDGSCMKQNPPEIIKQEPDLLGNCFQAQIVSPPTANAFFDDQIQTQTQKFFVDYTHHVPQTPPSDEHHFFTNGAHFTNSSTPTTTPPPMNNNNNNNQVQYDLEVVTQLVSSGNNGGHESNNNNDGFYLFNDESKTDHERGMYYYYYN >itb01g31500.t1 pep chromosome:ASM357664v1:1:35219409:35220716:-1 gene:itb01g31500 transcript:itb01g31500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLAHSVLTFFLVISFFNVCFASRKLNALYQEQPNQLLQYHKGPLLTGKISVNLIWYGKFKPSQRAIISDFITSLSGSRKSQPSVATWWKSTEKYYSLANSKKTLSLYLNNQVIDESYSLGKSLTQEQLVSLASKGEVKDAINVVLTAADVSVPGFCVNRCGTHGSKAARVKGKNNKFAYIWVGNSETQCPGYCAWPFHQPVYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGEASAPLEAASACPGVYGKGAYPGYAGDLLTDPTTGASYNAHGTNGRKYLLPALYDPSTSSCSTLV >itb09g01440.t1 pep chromosome:ASM357664v1:9:889326:893772:-1 gene:itb09g01440 transcript:itb09g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKAEKSKILVIGVTGRLGFELAKASINASHPTFGLVRESAFSDPHKSGKLHLLSHSGVTLLKGSLQDEESLIEAIKRVDVVICAVSSKQVPEQKLLIPAIKKAGCVKRFIPSEFGLDPDKTRVSDLDNNFYSNKAEIRRLVEAEGIPYTLVCCNFFTSYLLPSLVQPGLKAPPRDKVSIFGDGTVKGVFMKENDVAAFTINTVDDPRTLNKVLYLRPPGNVCSMNELVEIWEGKISKKLDKNYVSEEELLMKIKETPYPDNMEMVFIYSAFVKGDQTYFDVELEGSQLYPDTKYTTISEFLETLV >itb10g16220.t1 pep chromosome:ASM357664v1:10:22446578:22447867:-1 gene:itb10g16220 transcript:itb10g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHGSNMPFVYGKNAEIRSSLSQLILNGGSNTMDSIFSCCPEPNQTAANHHNSASEPLGSSVYLLHRDILQKFCQENRVTTPALFTRAPAFNDALSAYMNPMKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLRDPTKLGFGDATKLAELKKAVDAKIHAISQKVKRESKSKESKSKRRNSVNSANSAQTVRIESPSSSPFAGNQMWSNDAVSTSVSGEEMCNCNSKTSTSSDSGGIPVMPSIDSEFDDCGLERIPSFDLELIWEVLAN >itb05g04880.t3 pep chromosome:ASM357664v1:5:4553141:4557936:1 gene:itb05g04880 transcript:itb05g04880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTEELSGAKILHGLDKVLEGGSVVLTLKDQSILSGDDVNQEVDVLENVEIGEQKRRDDAYKAAKKKTGIYDQSDEFGSEKKMLPQYDDPMEEEGVTLDGTGRFSGGAEKKLEELRRRIQGVATKNHTEDLNSSSRILTDYYTQEEMLQFKKPKKNKSLRKKVKLDIDALEAEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMQSKAYQAAYTKAQEASKALRPEQIITTKAKEDDAVFDDDDEELRKSLVRARQLALKKQEAGKFVTEAIALLATSNANNSTVDNNSNPAIGDLQENKVVFTKMQEFVWGLQLDEDAKKTEAKDVFMEDVAPNSPEAAIIEDCGWTEVKETENNEPAIEENEYAIREAAVGKGLSRALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKRPCKMKQEKHMRQYQEELKIKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHAKPGYISP >itb05g04880.t5 pep chromosome:ASM357664v1:5:4555893:4558778:1 gene:itb05g04880 transcript:itb05g04880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFKKPKKNKSLRKKVKLDIDALEAEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMQSKAYQAAYTKAQEASKALRPEQIITTKAKEDDAVFDDDDEELRKSLVRARQLALKKQEAGKFVTEAIALLATSNANNSTVDNNSNPAIGDLQENKVVFTKMQEFVWGLQLDEDAKKTEAKDVFMEDVAPNSPEAAIIEDCGWTEVKETENNEPAIEENEYAIREAAVGKGLSRALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKRPCKMKQEKHMRQYQEELKIKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHAKPGQTSDPRSGFAIVENG >itb05g04880.t4 pep chromosome:ASM357664v1:5:4553082:4558133:1 gene:itb05g04880 transcript:itb05g04880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTEELSGAKILHGLDKVLEGGSVVLTLKDQSILSGDDVNQEVDVLENVEIGEQKRRDDAYKAAKKKTGIYDQSDEFGSEKKMLPQYDDPMEEEGVTLDGTGRFSGGAEKKLEELRRRIQGVATKNHTEDLNSSSRILTDYYTQEEMLQFKKPKKNKSLRKKVKLDIDALEAEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMQSKAYQAAYTKAQEASKALRPEQIITTKAKEDDAVFDDDDEELRKSLVRARQLALKKQEAGKFVTEAIALLATSNANNSTVDNNSNPAIGDLQENKVVFTKMQEFVWGLQLDEDAKKTEAKDVFMEDVAPNSPEAAIIEDCGWTEVKETENNEPAIEENEYAIREAAVGKGLSRALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKRPCKMKQEKHMRQYQEELKIKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHAKPGYISP >itb05g04880.t6 pep chromosome:ASM357664v1:5:4555893:4558008:1 gene:itb05g04880 transcript:itb05g04880.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFKKPKKNKSLRKKVKLDIDALEAEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMQSKAYQAAYTKAQEASKALRPEQIITTKAKEDDAVFDDDDEELRKSLVRARQLALKKQEAGKFVTEAIALLATSNANNSTVDNNSNPAIGDLQENKVVFTKMQEFVWGLQLDEDAKKTEAKDVFMEDVAPNSPEAAIIEDCGWTEVKETENNEPAIEENEYAIREAAVGKGLSRALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKRPCKMKQEKHMRQYQEELKIKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHAKPGYISP >itb05g04880.t2 pep chromosome:ASM357664v1:5:4553141:4559028:1 gene:itb05g04880 transcript:itb05g04880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTEELSGAKILHGLDKVLEGGSVVLTLKDQSILSGDDVNQEVDVLENVEIGEQKRRDDAYKAAKKKTGIYDQSDEFGSEKKMLPQYDDPMEEEGVTLDGTGRFSGGAEKKLEELRRRIQGVATKNHTEDLNSSSRILTDYYTQEEMLQFKKPKKNKSLRKKVKLDIDALEAEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMQSKAYQAAYTKAQEASKALRPEQIITTKAKEDDAVFDDDDEELRKSLVRARQLALKKQEAGKFVTEAIALLATSNANNSTVDNNSNPAIGDLQENKVVFTKMQEFVWGLQLDEDAKKTEAKDVFMEDVAPNSPEAAIIEDCGWTEVKETENNEPAIEENEYAIREAAVGKGLSRALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKRPCKMKQEKHMRQYQEELKIKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHAKPGQTSDPRSGFAIVENG >itb05g04880.t1 pep chromosome:ASM357664v1:5:4553082:4559356:1 gene:itb05g04880 transcript:itb05g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTEELSGAKILHGLDKVLEGGSVVLTLKDQSILSGDDVNQEVDVLENVEIGEQKRRDDAYKAAKKKTGIYDQSDEFGSEKKMLPQYDDPMEEEGVTLDGTGRFSGGAEKKLEELRRRIQGVATKNHTEDLNSSSRILTDYYTQEEMLQFKKPKKNKSLRKKVKLDIDALEAEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMQSKAYQAAYTKAQEASKALRPEQIITTKAKEDDAVFDDDDEELRKSLVRARQLALKKQEAGKFVTEAIALLATSNANNSTVDNNSNPAIGDLQENKVVFTKMQEFVWGLQLDEDAKKTEAKDVFMEDVAPNSPEAAIIEDCGWTEVKETENNEPAIEENEYAIREAAVGKGLSRALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKRPCKMKQEKHMRQYQEELKIKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHAKPGQTSDPRSGFAIVENG >itb09g14990.t1 pep chromosome:ASM357664v1:9:10279302:10281731:1 gene:itb09g14990 transcript:itb09g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNGCNNNNLTRPFVPRPPLPSDNASFSFLYTYNYDQFPGIDMKSSSSSSVQGEGAQFMGGIEKNGLGSHDKKKRLTTEQLDALEKSFEEEIKLDPERKMRLAKELGLHPRQIAVWFQNRRARWKAKQLERLCDSLKHEFELVSREKQKLEEEVVALRSILRDEATKKQVSTTGHTEMSGEETVESTSIPSCNYNNMFNVDDCTTLMPPPYWPNLPSTYP >itb09g28790.t1 pep chromosome:ASM357664v1:9:29375810:29377691:-1 gene:itb09g28790 transcript:itb09g28790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVFCVRRSKPQLILPETEMSRDVKQVSDIDDQGSLRVQVAVLMVYKNNQEMGWLDPVEVIKIGLGKALVLYHPLAGRVIEGQNKKLVVMCFDDKGVWFVPAKANVGLEELGVESLQPPCPHLNKLLQHVPGSDGITDCPILHIQVTRFTCGGFVLAIRFNHTMIDSQGLVQFLNAVYELGHGGATAPSILPVWERDILNARPTPRISCTHTEFEESDESKTAVFHGKSVDVEKLLKNLRWIFGEKLLFDMFMIKRSFTFGSRELQAIKDQCPENCTTFEALTACLWKCRTAALRPHPNATMRLTFVVNVRERLQGGIYRLDPGYYDNAFVSVAAVTTAELLCTRPVGYAAELIREAKNSVN >itb12g22950.t1 pep chromosome:ASM357664v1:12:24847565:24852369:1 gene:itb12g22950 transcript:itb12g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRLEYGFGGFQIPCVPRGPRSVRRRHPLKSLEDNQICAFELLATVAGKLLQESESSASSNAADVKDEEKGIVKQEKIEAGKYVNKSECLDQGSSVESGFVSDPLVEGQKIDDGFGGSLYPKDCSFQEQTSTVLESDFSKAFCGVKLENSRNVVADGDLDNGVRGEPDGDCTVKDIEEDLTVANDCTVKDPMENYVNDNTLHLVNSESSVHFPLYRERFPRVSFAKHRNDVKLGVRDDGENSYGCYKHSTKIKPFRSQSRIGYKRIRKMLTSRHRKTALGLKECEFSDTYEAVKHSYRSRKIFHARERCHLETSSKRRKLCNRSFAIAYDQEASSESISNLPDKRINGDNSSSTVITHRGNEASAFVKSHQKSKDPNLKFSIKSFKVPELYIEVPETATVGSLKRTVMEAVTAIVAGGLRVGVVLQGKKVRDDNRTLKQAGISHNGNINALGFTLEPNLSQVSLISTPKDAPSSLRAAVETEVSGSPASPNLDLALSTIPSDPSPGIIMDKHVEGNRELVPLFENPTVPSTKVCDPDTKALVPVPPSNPEALAIVPLNRKSKGTELSQRRTRRPFSVAEVDALVGAVEQLGTGRWRDVKMRAFENADHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLNRVLAAHSYWSQHQAKQHGKSHAALPLRTEV >itb05g17340.t1 pep chromosome:ASM357664v1:5:24310810:24313423:-1 gene:itb05g17340 transcript:itb05g17340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAESSDSGTGLFSSTLGTSRSFREEDSFQRQVSNFGGSGSRNTSPLGRVGSRNTSPSRQKVVKTKPRGLDEETLATFGKPIQPDIQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDSSFLKFHSQVPPHGPCLLTFWKNSQTPQCSVFSLPLKQWFRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLMFKTLVCNPLTQTWRMLPSMHYNQQRQLIMVVDRKERSFKVIATSDIYGDRSLPTEVYDSMHDKWSVHQTMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRLDTGQWEHIPARFPRSLLDGYLVAGSQKRLFLVGRIGLYSTLQSMRIWELDHSKFIWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALKSYNSQVCFYEPRFDASIY >itb05g17340.t2 pep chromosome:ASM357664v1:5:24311481:24312797:-1 gene:itb05g17340 transcript:itb05g17340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAESSDSGTGLFSSTLGTSRSFREEDSFQRQVSNFGGSGSRNTSPLGRVGSRNTSPSRQKVVKTKPRGLDEETLATFGKPIQPDIQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDSSFLKFHSQVPPHGPCLLTFWKNSQTPQCSVFSLPLKQWFRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLMFKTLVCNPLTQTWRMLPSMHYNQQRQLIMVVDRKERSFKVIATSDIYGDRSLPTEVYDSMHDKWSVHQTMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRLDTGQWEHIPARFPRSLLDGYLVAGSQKRLFLVGRIGLYSTLQSMRIWELDHSKFIWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALKSYNSQVCFYEPRFDASIY >itb12g05070.t2 pep chromosome:ASM357664v1:12:3344414:3354183:-1 gene:itb12g05070 transcript:itb12g05070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISILLYPHVLCVCVYIVVAGATSISSSSPTPVLSFPSLKEKMASKLMSCGVAAICSSVFSSSKSKFATAVPLPVATNVTASSRITMSAQPRLPYSAPGYWEFVEAKGEDTERAGTTMATDFKSIPLIDVSPLVDKWDHPNIAQDEGVAEVVRQLDQACREAGFFYVKGHGIPDSLIKEIRHISRVFFDQPNDMKLKIKLSAASGYRGYQWVGQNITKGALDMHEAIDCYREVKDGIYGDLGEVMQGSNQWPSNPPDFARLMEEYINLCTDLSRKIMRGIALALGGSVDEIEGEIAGDPFWVLRIIGYPGVPCPNGQDIPKTYIGCGAHTDYGLLTLVNQDEDITALQVRNRSGEWISAPPIPGTFVCNIGDMLKILSNGLYESTLHQVINNSPKFRVCVAYFYEPNFDASVEPLEMCVQRTGGAKNFKGAVYGEHLVSKVTNNFVKY >itb12g05070.t3 pep chromosome:ASM357664v1:12:3344330:3354183:-1 gene:itb12g05070 transcript:itb12g05070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISILLYPHVLCVCVYIVVAGATSISSSSPTPVLSFPSLKEKMASKLMSCGVAAICSSVFSSSKSKFATAVPLPVATNVTASSRITMSAQPRLPYSAPGYWEFVEAKGEDTERAGTTMATDFKSIPLIDVSPLVDKWDHPNIAQDEGVAEVVRQLDQACREAGFFYVKGHGIPDSLIKEIRHISRVFFDQPNDMKLKIKLSAASGYRGYQWVGQNITKGALDMHEAIDCYREVKDGIYGDLGEVMQGSNQWPSNPPDFARLMEEYINLCTDLSRKIMRGIALALGGSVDEIEGEIAGDPFWVLRIIGYPGVPCPNGQDIPKTYIGCGAHTDYGLLTLVNQDEDITALQVYI >itb12g05070.t1 pep chromosome:ASM357664v1:12:3344329:3354183:-1 gene:itb12g05070 transcript:itb12g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILLYPHVLCVCVYIVVAGATSISSSSPTPVLSFPSLKEKMASKLMSCGVAAICSSVFSSSKSKFATAVPLPVATNVTASSRITMSAQPRLPYSAPGYWEFVEAKGEDTERAGTTMATDFKSIPLIDVSPLVDKWDHPNIAQDEGVAEVVRQLDQACREAGFFYVKGHGIPDSLIKEIRHISRVFFDQPNDMKLKIKLSAASGYRGYQWVGQNITKGALDMHEAIDCYREVKDGIYGDLGEVMQGSNQWPSNPPDFARLMEEYINLCTDLSRKIMRGIALALGGSVDEIEGEIAGDPFWVLRIIGYPGVPCPNGQDIPKTYIGCGAHTDYGLLTLVNQDEDITALQVRNRSGEWISAPPIPGTFVCNIGDMLKILSNGLYESTLHQVINNSPKFRVCVAYFYEPNFDASVEPLEMCVQRTGGAKNFKGAVYGEHLISLHVLGFNFIF >itb02g06970.t1 pep chromosome:ASM357664v1:2:4346147:4347877:-1 gene:itb02g06970 transcript:itb02g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDDSNWLIDYEMMDDISSAVSATASFPSSATIDFSWVSQTANYSSTATGSAAGQLFEETEVCKEARSRKRLKLEACIPSGSNKACREKMRRDLINERFLELSSILEPTRPPKTDKIAILSGAVHIVTSLRTEIEKLKESNLEMVEKIHELKAEKMELRDEKQKLKEDKRKLEQQVNATMFAKPAASFSHPILNLEGQVAAGKKMMPVVGYPGFAMWQFMQPTIVDTSQDHVLHPPVA >itb11g18800.t1 pep chromosome:ASM357664v1:11:19269371:19278206:-1 gene:itb11g18800 transcript:itb11g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MTYFVSSQYNNIYKSGEDTVRREQELHWLDQTMHKKSSSLGRQEQRKRSLLANGLALKPQMGWSAWNHFHCDISETLIQETADAMVSTGLASMGYQYINIDDCWAEQNRDEKGNLVSKASTFPSGIKALADYVHNRGLKLGIYSDAGTQTCSNTMPGSLGYEEQDARTFASWGVDYLKYDNCNNNNENMKDRYGKMKQALLDTGRSIFFSMCQWGQEDVPTWGQSVGNSWRTSGDIEDNWESMTSHADQNDQWASYAYPGGWNDPDILEVGNGGMTTAEYRSHFSIWALAKAPLLIGCDIRSMDKTTYKILSNKEVIGVNQDGLGHQGRKVKIDGDLEIWAGPLSGSRVAIVLWNRGSTAANITAYWSDVGLSQSTVVNARDLWAHSTKRSVKGQISARVDAHDCKMYVLTPR >itb08g15790.t6 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGRFGGEKKKKCGKRRKSGIKKRVSFHSSDPSLDKADKNPSFTNPIIHVSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRRLIENDTEKVKAFPVDNTVPFRERLKILGRVANVEHLPLSAAERKLMHAYNEKPVLSRPQHEFYTGENYFEIDLDMHRFSYISRKGFEAFLDRLKLCILDFGLTIQGNKSEELPEQMLCCVRLNEIDYVNYQQLGPRVDPNYVN >itb08g15790.t2 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQLSPLLYVMMLISGSAEETWFDSAAVFESDCSDEEFQSVTDDVHSLSGSEAEHAHKPEYSPKDSEVKPVFLDEISPCIDECSGRDEDLLNNCGILPNNCLPCLASAIPHVEKRRSFSSSPPNSRKKNALKLSFKWKEGNSNAILLSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRRLIENDTEKVKAFPVDNTVPFRERLKILGRVANVEHLPLSAAERKLMHAYNEKPVLSRPQHEFYTGENYFEIDLDMHRFSYISRKGFEAFLDRLKLCILDFGLTIQGNKSEELPEQMLCCVRLNEIDYVNYQQLGPRVDPNYVN >itb08g15790.t7 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGRFGGEKKKKCGKRRKSGIKKRVSFHSSDPSLDKADKNPSFTNPIIHGSAEETWFDSAAVFESDCSDEEFQSVTDDVHSLSGSEAEHAHKPEYSPKDSEVKPVFLDEISPCIDECSGRDEDLLNNCGILPNNCLPCLASAIPHVEKRRSFSSSPPNSRKKNALKLSFKWKEGNSNAILLSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRVSLSYTLVLLLSIVFCGKPNFISMMVISMRLSAVTALTWCALFFLLFETTAPN >itb08g15790.t5 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGRFGGEKKKKCGKRRKSGIKKRVSFHSSDPSLDKADKNPSFTNPIIHGSAEETWFDSAAVFESDCSDEEFQSVTDDVHSLSGSEAEHAHKPEYSPKDSEVKPVFLDEISPCIDECSGRDEDLLNNCGILPNNCLPCLASAIPHVEKRRSFSSSPPNSRKKNALKLSFKWKEGNSNAILLSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRRLIENDTEKVKAFPVDNTVPFRERLKILGRVANVEHLPLSAAERKLMHAYNEKPVLSRPQHEFYTVSHIQISFHVKPSFKVTNPIDSIISTHDIIHNPAWSKPDCLHRFSKQYLGEDLGFIPLHHEGVRVTDSILIREC >itb08g15790.t1 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQLSPLLYVMMLISGSAEETWFDSAAVFESDCSDEEFQSVTDDVHSLSGSEAEHAHKPEYSPKDSEVKPVFLDEISPCIDECSGRDEDLLNNCGILPNNCLPCLASAIPHVEKRRSFSSSPPNSRKKNALKLSFKWKEGNSNAILLSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRRLIENDTEKVKAFPVDNTVPFRERLKILGRVANVEHLPLSAAERKLMHAYNEKPVLSRPQHEFYTGENYFEIDLDMHRFSYISRKGFEAFLDRLKLCILDFGLTIQGNKSEELPEQMLCCVRLNEIDYVNYQQLGPRVDPNYVN >itb08g15790.t4 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGRFGGEKKKKCGKRRKSGIKKRVSFHSSDPSLDKADKNPSFTNPIIHGSAEETWFDSAAVFESDCSDEEFQSVTDDVHSLSGSEAEHAHKPEYSPKDSEVKPVFLDEISPCIDECSGRDEDLLNNCGILPNNCLPCLASAIPHVEKRRSFSSSPPNSRKKNALKLSFKWKEGNSNAILLSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRRLIENDTEKVKAFPVDNTVPFRERLKILGRVANVEHLPLSAAERKLMHAYNEKPVLSRPQHEFYTGENYFEIDLDMHRFSYISRKGFEAFLDRLKLCILDFGLTIQASLAFMFILCN >itb08g15790.t3 pep chromosome:ASM357664v1:8:18008480:18012844:1 gene:itb08g15790 transcript:itb08g15790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGRFGGEKKKKCGKRRKSGIKKRVSFHSSDPSLDKADKNPSFTNPIIHGSAEETWFDSAAVFESDCSDEEFQSVTDDVHSLSGSEAEHAHKPEYSPKDSEVKPVFLDEISPCIDECSGRDEDLLNNCGILPNNCLPCLASAIPHVEKRRSFSSSPPNSRKKNALKLSFKWKEGNSNAILLSSKSLLQRPIAGSQVPFCPLEKRVSDSWSRIEAATFKVRAENYFRDKKKDFAPKNAAYYPFGVDVFLCPRKINHISQLVELPVIESSGMLPPILVVNIQVPLYPATIFQNETDGEGISFVLYFKLSETYAKELPSHFQESIRRLIENDTEKVKAFPVDNTVPFRERLKILGRVANVEHLPLSAAERKLMHAYNEKPVLSRPQHEFYTGENYFEIDLDMHRFSYISRKGFEAFLDRLKLCILDFGLTIQGNKSEELPEQMLCCVRLNEIDYVNYQQLGPRVDPNYVN >itb08g10690.t1 pep chromosome:ASM357664v1:8:10332770:10334540:1 gene:itb08g10690 transcript:itb08g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGSVLLCFALIAFSLGLSSAQNCGCAEGLCCSKWGFCGTGDDYCGQGCQQGPCNTASSNGGSVSDIVSDAFFNGIADQAGSTCEGKGFYTRASFLEAVKSYPQFGTVGSVDDSKREIAAFFAHVTHETGHTCYINEINGASGDYCDENNTQYPCATGKMYYGRGPIQLSWNFNYGPAGQSIGFDGLNNPDIVATDPVISFKTGLWYWMNNCHSLITSGQGFGATIRAINGQLECDGANPNTVSKRVEYYTEYCQQLGVDTGDNLTC >itb09g24220.t1 pep chromosome:ASM357664v1:9:23857954:23860125:1 gene:itb09g24220 transcript:itb09g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGRKRREKNYRESHKGNTRLPPPPDPSSVDALPSKLRKIMGLTGVQKKSSINSAEGGGGVNKKLHLMKGNTKVSEVKRKTNGDKPAESNVREMKSKKRKRKQVNDLRFESTKEFGGAGSKRKERRKQRLEERKNKRKKTRTEEHLDFPRHEQIKFGEVVDAPPKLVALPKMKALKTAQVASQERLRLQAIEAYRNRKGWTSRPGIQLPPPLTTSPSV >itb09g24220.t2 pep chromosome:ASM357664v1:9:23857954:23860125:1 gene:itb09g24220 transcript:itb09g24220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGRKRREKNYRESHKGNTRLPPPPDPSSVDALPSKLRKIMGLTGVQKKSSINSAEGGGGVNKGNTKVSEVKRKTNGDKPAESNVREMKSKKRKRKQVNDLRFESTKEFGGAGSKRKERRKQRLEERKNKRKKTRTEEHLDFPRHEQIKFGEVVDAPPKLVALPKMKALKTAQVASQERLRLQAIEAYRNRKGWTSRPGIQLPPPLTTSPSV >itb04g02260.t1 pep chromosome:ASM357664v1:4:1348740:1349999:-1 gene:itb04g02260 transcript:itb04g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINPNSNAPESSSASYSHDMEASTPFSNIPNDIIRHIFLQLPMKFVIRCQCVCKLWRSLIDDSDFKLLYRGQQRVIFLSHEYSTRQDSGFEVKSTSHDLRLQTHKFPLGEAYPYHFRVLCSCNGFAVLVVMREIWLWNPTTTRCSTKVLESPYRAKLNPNLFGGLCYDSCTRDYKVVLSLRPIKPGHDYGYPLLISASLNHKEWRPVQFPCNLVSARGGIEFRNTFHWWASDIKDWDRDRDYLWAAGRNRIVYFDPVHDEFRILPTPELGRGRENSIVGLGVIDECLCMASFMVREGDEPNMKTIQVLIMKEYGKQESWMNVFAIRMPHYLENIYESYDLTFYSQKKNAQEVLFLHTAGRCGPEIYVYDRCGQEIYVYDREKNKLKEGLMDFLTSSSRFYTSVCFYVESFASPDRPQ >itb03g23540.t1 pep chromosome:ASM357664v1:3:21765488:21767043:1 gene:itb03g23540 transcript:itb03g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTFCPIKYTEHRHEIKKFAKPSAALNPGMFSEGRKRPVNGSTGPKKVRIMVTDPDATDTSSDEEEVFERRRVRRYIHEINIETAVNIDAVKKRPVAEALNGKQKQKQVKVKEAAPNGVRKFRGVRQRPWGKWAAEIRDPTRGVRLWLGTYDTAEEAAMVYDNAALKLRGPDALTNFVKPPPIETPEVNPASSSGYDSSDESRHLPSPTSVLRFRISKCSEESEPHCKSEVVHSDGSVHDCVESDQAVQEPDPVQSNVADFPGDINFTNDDIPFIDNFFDFQSPKQTLLDDSSSNFVTTDFDTTSADFWLPDFGNDLFRDDFRFCDDFGDVDKFDDSFQDLSTLNVSDYFEDDVDEFSIVDSFLVV >itb03g11180.t1 pep chromosome:ASM357664v1:3:9210168:9219808:1 gene:itb03g11180 transcript:itb03g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSLRIGGLSSGLAVVLNSEGRKDSSQRNRLVSCCNDFGHQSVERTLEHIFDLPYKTVKPLSCKVDITVVRSIIKNEFFKYHLDLKTGNEGCWDGVLTVGENSQSQIVRIEESSICGDIRIVKPPLLVESHSLFSSVRANASVWKGKWMYEVTLETCGIQQLGWTTLSHPFTDHKGVGDYDDSYAYDGKRVSKWNKEAQSYGQPWVVGDVIGCCVDLDCDYISFYRNGVSLGVAFSGIRKMAPGLGYYPAISLSQGERCELNFGAVPFKYPVKGFLPIQAPPSTNTLSTHLLNCFVRLVETHCMERAEYGSVEKLRLKRFAPFEELSPPVFRGLCEELFLSLGAEAGSAKYVAWGPLISLMIKIFRMQPPHDYTSLDRLLDSLLDFPESRLLFEHIIDALSSFCKTAQLSLTECPYSGSYTYLALACHILRREELMILWWKSSDFELLFEGFLSRKGPNKQDLQGLIPSVWWAGSGEDMSCEASLMLTTKALSEAVKKVEEKQRDLCCLVMQFIPPTSPPQLPGSVFRTFLQNLLLKNRGADRNLPPTGVSSNSVLVSLFTVILHFLSEGFAIGDICGWMKGCGDTGVGYLHKGGQQSFPVGLFLKNDPHRVDIPRLGGSYVHLTKSHPINGDQDEEVVRWEEGCTDDEEARVTHLSKNKPCCCSTYVTDFPRSSKDPVRHVPKGSRGPHCSSIPERSSHLAAECSAGSLNDEIADKPSTSDQPVSEFGFRPMQQMRIVSRENNLSSATLKEEELLDAMLLLYHLGLAPNFKQASSYMSRQSQSISSLEETDRQIRERACGEQVKRLKEARSVYREEVMECVRHCYWYRISLFSQWKQRGMYAACMWIVQLLLILSKVDSIFIYVPEYYLETLVDCFHVLRKSDPPFAHPTIFIKQGLESFVTFVVTHFNDPRISSAELRDLLLQSISVLVQYKEFLAAFESNEMVMQRLPTALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSSSSIIYQKLLREACTHNKELFSAFLNRLFNTLSWTMTEFSVSIREMQETYKVLEFQQRKCGIIFDLSCNLARLLEFCTLEMPQAFLLGADTNLRRLTEVFIFILNHLITAADPDLFDLSLRRPGQSPEKVYKGMILAPLAGIILNLLDANKQMTEVQNDIVAIFANMHCLDTVICGFQSLLDYNWASIFRGDDYIPRLRQLEKFSMLLICQSDLQDAEKKGYEGDAGYEDSVCCICYASEANTRFVPCSHVSCYGCITRHMLNCDRCFFCNATVTDIVKTDA >itb03g11180.t2 pep chromosome:ASM357664v1:3:9210168:9216371:1 gene:itb03g11180 transcript:itb03g11180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSLRIGGLSSGLAVVLNSEGRKDSSQRNRLVSCCNDFGHQSVERTLEHIFDLPYKTVKPLSCKVDITVVRSIIKNEFFKYHLDLKTGNEGCWDGVLTVGENSQSQIVRIEESSICGDIRIVKPPLLVESHSLFSSVRANASVWKGKWMYEVTLETCGIQQLGWTTLSHPFTDHKGVGDYDDSYAYDGKRVSKWNKEAQSYGQPWVVGDVIGCCVDLDCDYISFYRNGVSLGVAFSGIRKMAPGLGYYPAISLSQGERCELNFGAVPFKYPVKGFLPIQAPPSTNTLSTHLLNCFVRLVETHCMERAEYGSVEKLRLKRFAPFEELSPPVFRGLCEELFLSLGAEAGSAKYVAWGPLISLMIKIFRMQPPHDYTSLDRLLDSLLDFPESRLLFEHIIDALSSFCKTAQLSLTECPYSGSYTYLALACHILRREELMILWWKSSDFELLFEGFLSRKGPNKQDLQGLIPSVWWAGSGEDMSCEASLMLTTKALSEAVKKVEEKQRDLCCLVMQFIPPTSPPQLPGSVFRTFLQNLLLKNRGADRNLPPTGVSSNSVLVSLFTVILHFLSEGFAIGDICGWMKGCGDTGVGYLHKGGQQSFPVGLFLKNDPHRVDIPRLGGSYVHLTKSHPINGDQDEEVVRWEEGCTDDEEARVTHLSKNKPCCCSTYVTDFPRSSKDPVRHVPKGSRGPHCSSIPERSSHLAAECSAGSLNDEIADKPSTSDQPVSEFGFRPMQQMRIVSRENNLSSATLKEEELLDAMLLLYHLGLAPNFKQASSYMSRQSQSISSLEETDRQIRERACGEQVKRLKEARSVYREEVMECVRHCYWYRISLFSQWKQRGMYAACMWIVQLLLILSKVDSIFIYVPEYYLETLVDCFHVLRKSDPPFAHPTIFIKQGLESFVCCSLPSLSLIQPLHLFIVCFEFAMRSGWTERWIY >itb03g30610.t1 pep chromosome:ASM357664v1:3:33329042:33329503:-1 gene:itb03g30610 transcript:itb03g30610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSWRSKMENAFMWIEGWRPSMAFHLLYCKSGLQLEPRLSELLSGLKTGDLGDLSPDQLTRIDELQRKMIMEEKELDEKNGQVQESVADASMVEMSHMVTKLTRAGDETMEEHGLQDERVASALAPNEKAMVDILQNADDLQLSTLKWSTF >itb09g06370.t1 pep chromosome:ASM357664v1:9:3661987:3663472:1 gene:itb09g06370 transcript:itb09g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATKLSLALSFVALALAGYSIYQNTSSAINGTELQLIPTWLDETLESANILRALGLGKSSSGMLSDEACVFSAVKEIVEAAITNETRMGASLIRLFFHDCFVDGCDGGILLNATNGEQSAPANANSVRGFEVIERAKQNAKSKCSDTPVSCADVLAIAARDSVVKLGGQTYTVNLGRRDARSFNLTGANNQLPAPFDDLATQTRKFADKGFNQTEMVALAGAHTVGFARCAVLCSSNNLNQARNSTLQCTCPVAAGDTGLVGLDPTPSTMDTRYFRDIVDGQGLLFSDQVLLNGTTTTAAVRRYRDGTGAFLSDFAVAMVKMGNLAPSAGVQLEIRDVCSIVNPTSVAAM >itb14g21490.t1 pep chromosome:ASM357664v1:14:23467329:23472033:-1 gene:itb14g21490 transcript:itb14g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDEALASVPFLILGNKIDIPYAASEDELRYHLGLTGITTGKGKVNLADSSVRPIEKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDEALASVPFLILGNKIDIPYAASEDELRYHLGLTGITTGKGKVNLADSSVRPIEVFMCSIVRKMGYGDGFKWVSQYIK >itb14g02060.t1 pep chromosome:ASM357664v1:14:1655292:1667098:-1 gene:itb14g02060 transcript:itb14g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLDKVVEEVATKAVNELVQIVAKNIKLIVGIDSDIVDLTSEIETFNARLLDASKIHRAKDLQVMKVIVKKFRTVVNEAQDAVAKYISEKKNHEEKTFTKYLDKVQHCGKVNVCAREIQSLKTKMNAIRQDHEKDLLSLTNYPNSDQNTESSHSSQARPIVEKDEVFGFKEDMKTIKDRLITASNNFMIIPIVGMAGTGKTTFATKIFEDPDIANKFAPCIWLHVSRGFNRKQKFIDIIHRIKKSTEDYSSVHDDLLAEEILSLLKKQKYFIVLDDVWEEKDWNSLKVAFPENLNGSRVLVTTRRGSVIDSKWEPHTLKKLDNDESWSLLKKNVFGGKKCDKLFEEHGRKIAKNCRGLPLALRVIAGILCKNRTVTEWERVAENPFLEINREGQSYHELVLLSYEQLPHENLKNCFLYFASFPMGYEIAVWKLIRLWIAEELIPTIDEWGYHLDMEIEAHKYLNDFVDMNLVKVMSRKVNGQIKTCRIYDSLHEFCKSEAARKNLFRVMDEGQRLDANATSSRRLCFYSSIAKVLDAESNPSYSFISCCNKKRGTCPSAQHVHTLLLSPLQKGEIQLTQQLLTVIPITFPLLRVLDIESLKFESIPDEIYDLHLLRYLAITADLDLLPRQFRKLRELETIVFRTKQHALKIAGGIWNMEKLRHVHTNTSAQLPPLLEKIGSTNNIRTLSTISPACCREEIMSKTPKLQKLGIRGNLEELLETKEGTCLFNNLQMLDCLENLKLYGVCDKELKVPKREKFPGRLRSLTLSNTFFHWDDMSILGSLEKLEMLKLDESAFKGEIWDLKSNVVFKQLRYLRIVKTNLETWIASEHSFPVLETLVIRNCLYLERIPNAFAEVNSLKVMELYHVSEKATKSAKEVHHKRHNRGFEFFITSFLSQATVHQQRHGPENVELSGFDFFVTSFLSQPTVDQQSHGKENVKTSGFELFITALPSQATVHKQTGSGFDLPSTSLSSQEIASTVDEFVVGLDVEVETIMGRIIEGSKNLKVISIVGMMGLGKTTLSNTLLNEYQLQYEFFTRFLIDVLKKNDTKEIFCEILKMLTNEENAYNKMLDEVQLVDKIKELLEGKKYFIVMDGVRTMQEWNSLKDAFPDNMRGSRVLVTTRNHEVAFCADSACNPHQLKFLTSDEIWGLLAKKVFGKEICSDESLGTLGRRIATKCNGIPRVAEVIADELCKNRTSDRWKHLADDPFAIIDHMNQECNPLIQLVYDKMNFQLRNCFLYLAAFPIGYEIIARKLIHLWIAEGFIQLLDGENSLNLECTAEEYLNDLIDRKLLTVSERSADGQIKKCSIHKTLHEFCKTEAAKKNVFHKMDTVELVANKYIPRRLSADSSALDFQELGSKVSTGHVRSFISSCSKEEEVLNEPVLAAIAKSFPLLRVMDVESLKFKSLPKELCFLHYLKYLALAVSTEPKFPPKLFNNLWEMQTLVLNSSQSSVEMEVETWSMPKLRHLYSNTPMQLSPPSTLRKNGSGSMDLQTLSNISPSSCTGEIFGLIPNLQKLGIRGNLGELMANNGGIELFDNLQKLSHLQKLKLHNSANDSLTGRLQSIPQHKKFPNRLRKLSLSNTSFGWKDMCVLGALDELQILKLKDNAFRGKSWELDDNIVFKQLQFLRIVKADLESWKTSKSCFPVLKTLIIKHCSSLEAVPSEFADVDSLKLLDLYCTTEMAANSARMIKERKRTHDNGNAESCGFKLCIYPEHY >itb04g03450.t1 pep chromosome:ASM357664v1:4:2122052:2123506:1 gene:itb04g03450 transcript:itb04g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGYLPPPFFPAINGGGGGGASLPSANNLFQPQQPADPPPAASSSPPSSSSSSASSSIIIVVIVIASAVIVSATIYLILRLLSRRCHRSFRTHDAADDVISSSATAAAATAGAANERRSAPETLESLPLFTFGSVTGNLTGVDCAVCLSKFETHDQLRLLPLCCHAFHAQCIDSWLSTNQTCPLCRSTVYPTDADILRKILSVSNGRGGGNADPNSGSFRIEIGSVSRRRGDSGPIVGDSRRSYSVGSSFEYIVDDGYEVSIESIHRRGGSECTDKESIGAPVPEPPGDSLAPDVSGGGRSWLRDYVDRIASFSLSSRTMSFRGSGRFFTDSGRRSDTVVPIDDDLESGRIGEEISELFRWLSGV >itb10g26280.t1 pep chromosome:ASM357664v1:10:29604666:29606504:1 gene:itb10g26280 transcript:itb10g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLYFGTEVRSGDLPQPITLKSGQEFSFTIQRGVGTADCVSVNYDDFINDVEVGDMLLVDGGMMSLMVKSKTKDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAAVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGKQGMVKEGEQVALVQSGTQPIWRL >itb15g06530.t1 pep chromosome:ASM357664v1:15:4340529:4341682:-1 gene:itb15g06530 transcript:itb15g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSYFLSTILLFSFATMAFSDSLSPFFYQRVCPQALPTIRRIVFNAVRQERRMGASLLRLHFHDCFVNGCDASILLDSTSTIDSEKNSLANAKSARGFEVIDQIKTEVDRVCNGPVVSCADILAVAARDSVFALGGPSWTVQLGRRDSTTASRTGADNKLPSPFMDLKALIDNFSKQGLDVKDLVALSGGHTLGLAQCRTFRDRIYKETNIDQGFAAQRQATCPQVGGNFTLAPLDPSPAYFDTRYFSNLVMNKGLLHSDQVLFNGGQTDNLVNTYSGNIRAFAKDFAQSMIKMGNIKPLTGNNGQIRVNCRNVN >itb06g22000.t1 pep chromosome:ASM357664v1:6:24453250:24456465:1 gene:itb06g22000 transcript:itb06g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MEAITHQLALRLSEGNFGREKLPRYFEGGNLVRIKLPNTTASFSAAATTTTPKLAQPIRASAGAGARPEPDTTPFSSEMASHDPASKDTRIAQISSVIRVIPDFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYQDKKINVVAGIEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGTDVMEMHVGAVQEGERVLIIDDLIATGGTLGAAIRLIERVGGTVVECACVIELPELKGRARLGDKPLFVLVSST >itb14g16630.t1 pep chromosome:ASM357664v1:14:19959716:19960550:-1 gene:itb14g16630 transcript:itb14g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPTDSPGTDNNPPWDSSIIALVGIVGMIFLLLSYYKLLQRPFCQFRSAVSSGQMHLLDEQNREEPSSVVMSCGLDSYVVHALPITPFRKGNPESSPSSSDCAVCLGEFEDGEWIKHLPNCSHVFHVPCIDTWFQTHSSCPLCRSFVYCIKVQPEFSFPFLEPLPREEIHNQRSTHYQNLQSQTLHSSSL >itb14g12890.t1 pep chromosome:ASM357664v1:14:14703498:14707439:1 gene:itb14g12890 transcript:itb14g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALTSAVSLRLRPLPGDKPRLCSARSREFRFKSDETKVLLTPSLKCCDPRRRLQHHVVKAAAAGSSGSAAVPAAPKQQQEAQPWQGAAIKPLIASIATGVVLWLVPQPAGVSRNAWQLLSIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNAGDGTENKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTSTTIGQTIGWMDWAKAAIVPGLVSLVVVPLLLYIIYPPTVKSSPDAPKLAREKLEKMGPMSKNEIIMAGTLLLTVGLWVFGSKLNVDAVTAAILGLSVLLVTGVVTWKECLAEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKVVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYLGALVLAFLSNIMGGITHYGIGSAPVFYGANYVPLAKWWGYGFLISVVNIIIWLGVGGIWWKAIGLW >itb01g14590.t1 pep chromosome:ASM357664v1:1:16670553:16677873:-1 gene:itb01g14590 transcript:itb01g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDSASIGCARATCKTGWMFVTCNYYPPGNYVGEPAIAQPSFGQAACDPDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDSASIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb12g12570.t1 pep chromosome:ASM357664v1:12:11298220:11298795:-1 gene:itb12g12570 transcript:itb12g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGIFGLLFMLVTAASPAVHGVTFGPKAVDQWFEKLPQAKEKMSKLHFYFHDTVTGKNPTAFQIAQANITNKSNTFFGFLSMMDDPLTVTPDPNSEIVGRAQGMYGSASFNEVGLLMTLNFVFTDGKYNGSTLSVLGRNPVLNKYREMAIVGGSGVFRLARGIATAKTYWFNATSGNAIVEYHVVVLHY >itb02g01680.t1 pep chromosome:ASM357664v1:2:938448:939568:-1 gene:itb02g01680 transcript:itb02g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQARATNHADGDNGEDLQNRRLYNPYHDLQVPIQSLYKLPTSPEFLFQEESVAQRRSWGENLTYYTGIGYLAGATVGAGKGFVDGVRASEAGDTMKLRVNRILNGSGHTGRKFGNRAGVIGLLYAGMESGMVAARDTDDVINSVVAGLGTGALYRAASGLRSAAVAGVIGGVVVGLGVTAKQAMKRYVPI >itb09g26380.t1 pep chromosome:ASM357664v1:9:26925949:26931566:-1 gene:itb09g26380 transcript:itb09g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPPPPSIPIPHLSPLLAPQMAFHPPPPLSPDPQAPPRHPPSLIIIRHQATTTTIRRCLPFPPRRPDSAADEAILADANATSTNRSEASAALLPPELPHLRPPRTDAKRDRHHLGTAGSMVIYYYIVGELCCQRTPQQQMDDLKRHDEEDSWSMTSSTAASVRTIKSKLTVPVAPSFRSAERLARRKEFYEKLDEKHKALEAEKQECAARTKEEEEAAIKQLRKNMAYKANPVPSFYHEGPPPKAELKKLPVTRAKSPNFTRRKSTGDAVTSQEEKKDSVRATRHSIGAYREYNTTHSTPKKKDQISGRINVNGNNRVKATGKPSVKGTKKTSTNESKEIRVKEIKDALANETKETPPVKEINDSPVKEIKETSHNTMTDQPRADIAVQ >itb15g04800.t2 pep chromosome:ASM357664v1:15:3087349:3090841:-1 gene:itb15g04800 transcript:itb15g04800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQMTTAIAIEDVRREVNILRALTGHRNLVHFYDAYDDEENVYIVMELCKGGELLDRILSRGGKYSEEDAKVVMVQILNVVAYCHLQGVVHRDLKPENFLFTSKDERSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPNLSLDAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDVKIPLDTIVYKLVKAYICSSSLRKTALKALAKTLTIQQLAYLREQFTSLGPNKSGCVSLQNFKTAMAKFATDAMKDSRVLDFVNTVSSLQYRKMDFEEFCAAAISVHQLEGMDSWEQHARRGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGLSARALQKA >itb15g04800.t1 pep chromosome:ASM357664v1:15:3087326:3092477:-1 gene:itb15g04800 transcript:itb15g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIEAQKRFSEDSVIPNENEPSWSSHTSKTPKFPFYSPSPLPSAFKNSPANSSVNSTPLRLFKRPFPPPSPAKHIKALLARRHGSVKPNEATIPEGSECEIGLDKNFGFSKNFESHYELGEEVGRGHFGYTCAAKGKKGSLKGQDVAVKVIQKSKMTTAIAIEDVRREVNILRALTGHRNLVHFYDAYDDEENVYIVMELCKGGELLDRILSRGGKYSEEDAKVVMVQILNVVAYCHLQGVVHRDLKPENFLFTSKDERSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPNLSLDAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDVKIPLDTIVYKLVKAYICSSSLRKTALKALAKTLTIQQLAYLREQFTSLGPNKSGCVSLQNFKTAMAKFATDAMKDSRVLDFVNTVSSLQYRKMDFEEFCAAAISVHQLEGMDSWEQHARRGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGLSARALQKA >itb12g18120.t5 pep chromosome:ASM357664v1:12:20368363:20377262:1 gene:itb12g18120 transcript:itb12g18120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVTDGMPEDEKYGIDENFEDLSTFESERCGICMDIVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQIEFQLITCVPVFDTIGGNKTDDDSFRDDDWSIEGKNNTLSFPSYYIDENAVVCLDGDGCKIRTGSAGIEADSNLDTSIACDSCDTWYHAFCVGFDPEGTCENSWLCPRCIADNIPGKLDVLPVSKSSNQCDPEKASNNSSVEPDFSGKVCVAVADAGETAVVVSMVEGYHEDGASDGQISTSNCSKDIKPSTLSSNSVVDIHNSVLDDSKCILPNLEPEDQELPSSLHKSSDSTPYSSTLANVEINTNDKVTSGMALLQRSVQPLNNKVIEPGLDLHLGLAVGSCTKGFSADNSDDRLMTEKEVPVAIAGAKRKHREIRDAEKGRSKGKSESPHHLKKAKTEGNGQTDPKDQTVTSTSDGQSKSCVTVPKDGKLKCISKKESADIDIMDIVQGTSRKPLKKSARSDSDGMSSKQMENAAGLRVKKIMRRVDGEDSSVLVQKLRKDIREAVRSKSTEELDKNIFDPKLLAAFRTVVAGSTTETMKSHLDLKAKQSLLQKGKIRENLTKKIYGIGGRRKRAWTRDCEIEFWKHRCSKASKPEKIQTLKSVLNLLRDDSGNAEVKHTNAGEGTGSILSRLYLADTSVFPRKDNIKPVSSLNTSGISEQITENGPPANASKPSLLSHADTVPQNNEALKQVPFPPLTAKVAPKMVPNKKPDSSSRLHSNKCLEGPSNSTSSSTIPSKGESVLPSDNTKTDRKKWALELLARKASAVTKNAADGNAEDNAALKQTYPLLAQLPKDMRPVLAASRHNKVPMSIRQAQLYRLTEHFLRKANLAVIHRTADTELAVADAVNIEKEVADKSNSKLVYVNLCSQELRRRSDSTSSDKATGLNPGQSTEMPSNALEASIENHSADSAVVNEALINAGLLSDSPPSTPQRPTEEFKEENCFSNEVEDDGPNDVFEVEPPPELDIYGDFDYNLEDDFTGASSSMISEPQLVEAKMKVIFSTHNPDTSNVTLEQPNVERQETFEDPKDSSCLVDSDVVSTEAGSSLIDTSRDNSIPQSSFTDGGEELSIAECEELYGPDKEPLIQKYPEMASIKPCELAINNQISQTNGGCESSQAAKSSELESESGMDNVEASASSQCPSITENSPNNIEGSENVQRRDNASKSNTSKESDSTSPVSRKVLLNLLPCSCFPTPTLPFPPPKKLKINKMKPEKL >itb12g18120.t2 pep chromosome:ASM357664v1:12:20368308:20378318:1 gene:itb12g18120 transcript:itb12g18120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVTDGMPEDEKYGIDENFEDLSTFESERCGICMDIVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQIEFQLITCVPVFDTIGGNKTDDDSFRDDDWSIEGKNNTLSFPSYYIDENAVVCLDGDGCKIRTGSAGIEADSNLDTSIACDSCDTWYHAFCVGFDPEGTCENSWLCPRCIADNIPGKLDVLPVSKSSNQCDPEKASNNSSVEPDFSGKVCVAVADAGETAVVVSMVEGYHEDGASDGQISTSNCSKDIKPSTLSSNSVVDIHNSVLDDSKCILPNLEPEDQELPSSLHKSSDSTPYSSTLANVEINTNDKVTSGMALLQRSVQPLNNKVIEPGLDLHLGLAVGSCTKDSRASWYTSMVQQASFFSSQALNRFSADNSDDRLMTEKEVPVAIAGAKRKHREIRDAEKGRSKGKSESPHHLKKAKTEGNGQTDPKDQTVTSTSDGQSKSCVTVPKDGKLKCISKKESADIDIMDIVQGTSRKPLKKSARSDSDGMSSKQMENAAGLRVKKIMRRVDGEDSSVLVQKLRKDIREAVRSKSTEELDKNIFDPKLLAAFRTVVAGSTTETMKSHLDLKAKQSLLQKGKIRENLTKKIYGIGGRRKRAWTRDCEIEFWKHRCSKASKPEKIQTLKSVLNLLRDDSGNAEVKHTNAGEGTGSILSRLYLADTSVFPRKDNIKPVSSLNTSGISEQITENGPPANASKPSLLSHADTVPQNNEALKQVPFPPLTAKVAPKMVPNKKPDSSSRLHSNKCLEGPSNSTSSSTIPSKGESVLPSDNTKTDRKKWALELLARKASAVTKNAADGNAEDNAALKQTYPLLAQLPKDMRPVLAASRHNKVPMSIRQAQLYRLTEHFLRKANLAVIHRTADTELAVADAVNIEKEVADKSNSKLVYVNLCSQELRRRSDSTSSDKATGLNPGQSTEMPSNALEASIENHSADSAVVNEALINAGLLSDSPPSTPQRPTEEFKEENCFSNEVEDDGPNDVFEVEPPPELDIYGDFDYNLEDDFTGASSSMISEPQLVEAKMKVIFSTHNPDTSNVTLEQPNVERQETFEDPKDSSCLVDSDVVSTEAGSSLIDTSRDNSIPQSSFTDGGEELSIAECEELYGPDKEPLIQKYPEMASIKPCELAINNQISQTNGGCESSQAAKSSELESESGMDNVEASASSQCPSITENSPNNIEGSENVQRRDNASKSNTSKESDSTSPVSRKVEAYIKEHIRPLCKSGVISVEQYRWAVSKTTEKVMKYHSKDKNANFLIKEGEKVKKLAEQYVEAAQNMPKNQ >itb12g18120.t3 pep chromosome:ASM357664v1:12:20368308:20378318:1 gene:itb12g18120 transcript:itb12g18120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVTDGMPEDEKYGIDENFEDLSTFESERCGICMDIVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQIEFQLITCVPVFDTIGGNKTDDDSFRDDDWSIEGKNNTLSFPSYYIDENAVVCLDGDGCKIRTGSAGIEADSNLDTSIACDSCDTWYHAFCVGFDPEGTCENSWLCPRCIADNIPGKLDVLPVSKSSNQCDPEKASNNSSVEPDFSGKVCVAVADAGETAVVVSMVEGYHEDGASDGQISTSNCSKDIKPSTLSSNSVVDIHNSVLDDSKCILPNLEPEDQELPSSLHKSSDSTPYSSTLANVEINTNDKVTSGMALLQRSVQPLNNKVIEPGLDLHLGLAVGSCTKDSRASWYTSMVQQASFFSSQALNRFSADNSDDRLMTEKEVPVAIAGAKRKHREIRDAEKGRSKGKSESPHHLKKAKTEGNGQTDPKDQTVTSTSDGQSKSCVTVPKDGKLKCISKKESADIDIMDIVQGTSRKPLKKSARSDSDGMSSKQMENAAGLRVKKIMRRVDGEDSSVLVQKLRKDIREAVRSKSTEELDKNIFDPKLLAAFRTVVAGSTTETMKSHLDLKAKQSLLQKGKIRENLTKKIYGIGGRRKRAWTRDCEIEFWKHRCSKASKPEKIQTLKSVLNLLRDDSGNAEVKHTNAGEGTGSILSRLYLADTSVFPRKDNIKPVSSLNTSGISEQITENGPPANASKPSLLSHADTVPQNNEALKQVPFPPLTAKVAPKMVPNKKPDSSSRLHSNKCLEGPSNSTSSSTIPSKGESVLPSDNTKTDRKKWALELLARKASAVTKNAADGNAEDNAALKQTYPLLAQLPKDMRPVLAASRHNKVPMSIRQAQLYRLTEHFLRKANLAVIHRTADTELAVADAVNIEKEVADKSNSKLVYVNLCSQELRRRSDSTSSDKATGLNPGQSTEMPSNALEASIENHSADSAVVNEALINAGLLSDSPPSTPQRPTEEFKEENCFSNEVEDDGPNDVFEVEPPPELDIYGDFDYNLEDDFTGASSSMISEPQLVEAKMKVIFSTHNPDTSNVTLEQPNVERQETFEDPKDSSCLVDSDVVSTEAGSSLIDTSRDNSIPQSSFTDGGEELSIAECEELYGPDKEPLIQKYPEMASIKPCELAINNQISQTNGGCESSQAAKSSELESESGMDNVEASASSQCPSITENSPNNIEGSENVQRRDNASKSNTSKESDSTSPVSRKVEAYIKEHIRPLCKSGVISVEQYRWAVSKTTEKVMKYHSKDKNANFLIKEGEKVKKLAEQYVEAAQNMPKNQ >itb12g18120.t4 pep chromosome:ASM357664v1:12:20368308:20378318:1 gene:itb12g18120 transcript:itb12g18120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVTDGMPEDEKYGIDENFEDLSTFESERCGICMDIVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQIEFQLITCVPVFDTIGGNKTDDDSFRDDDWSIEGKNNTLSFPSYYIDENAVVCLDGDGCKIRTGSAGIEADSNLDTSIACDSCDTWYHAFCVGFDPEGTCENSWLCPRCIADNIPGKLDVLPVSKSSNQCDPEKASNNSSVEPDFSGKVCVAVADAGETAVVVSMVEGYHEDGASDGQISTSNCSKDIKPSTLSSNSVVDIHNSVLDDSKCILPNLEPEDQELPSSLHKSSDSTPYSSTLANVEINTNDKVTSGMALLQRSVQPLNNKVIEPGLDLHLGLAVGSCTKGFSADNSDDRLMTEKEVPVAIAGAKRKHREIRDAEKGRSKGKSESPHHLKKAKTEGNGQTDPKDQTVTSTSDGQSKSCVTVPKDGKLKCISKKESADIDIMDIVQGTSRKPLKKSARSDSDGMSSKQMENAAGLRVKKIMRRVDGEDSSVLVQKLRKDIREAVRSKSTEELDKNIFDPKLLAAFRTVVAGSTTETMKSHLDLKAKQSLLQKGKIRENLTKKIYGIGGRRKRAWTRDCEIEFWKHRCSKASKPEKIQTLKSVLNLLRDDSGNAEVKHTNAGEGTGSILSRLYLADTSVFPRKDNIKPVSSLNTSGISEQITENGPPANASKPSLLSHADTVPQNNEALKQVPFPPLTAKVAPKMVPNKKPDSSSRLHSNKCLEGPSNSTSSSTIPSKGESVLPSDNTKTDRKKWALELLARKASAVTKNAADGNAEDNAALKQTYPLLAQLPKDMRPVLAASRHNKVPMSIRQAQLYRLTEHFLRKANLAVIHRTADTELAVADAVNIEKEVADKSNSKLVYVNLCSQELRRRSDSTSSDKATGLNPGQSTEMPSNALEASIENHSADSAVVNEALINAGLLSDSPPSTPQRPTEEFKEENCFSNEVEDDGPNDVFEVEPPPELDIYGDFDYNLEDDFTGASSSMISEPQLVEAKMKVIFSTHNPDTSNVTLEQPNVERQETFEDPKDSSCLVDSDVVSTEAGSSLIDTSRDNSIPQSSFTDGGEELSIAECEELYGPDKEPLIQKYPEMASIKPCELAINNQISQTNGGCESSQAAKSSELESESGMDNVEASASSQCPSITENSPNNIEGSENVQRRDNASKSNTSKESDSTSPVSRKVEAYIKEHIRPLCKSGVISVEQYRWAVSKTTEKVMKYHSKDKNANFLIKEGEKVKKLAEQYVEAAQNMPKNQ >itb12g18120.t1 pep chromosome:ASM357664v1:12:20368293:20378318:1 gene:itb12g18120 transcript:itb12g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELVTDGMPEDEKYGIDENFEDLSTFESERCGICMDIVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQIEFQLITCVPVFDTIGGNKTDDDSFRDDDWSIEGKNNTLSFPSYYIDENAVVCLDGDGCKIRTGSAGIEADSNLDTSIACDSCDTWYHAFCVGFDPEGTCENSWLCPRCIADNIPGKLDVLPVSKSSNQCDPEKASNNSSVEPDFSGKVCVAVADAGETAVVVSMVEGYHEDGASDGQISTSNCSKDIKPSTLSSNSVVDIHNSVLDDSKCILPNLEPEDQELPSSLHKSSDSTPYSSTLANVEINTNDKVTSGMALLQRSVQPLNNKVIEPGLDLHLGLAVGSCTKGFSADNSDDRLMTEKEVPVAIAGAKRKHREIRDAEKGRSKGKSESPHHLKKAKTEGNGQTDPKDQTVTSTSDGQSKSCVTVPKDGKLKCISKKESADIDIMDIVQGTSRKPLKKSARSDSDGMSSKQMENAAGLRVKKIMRRVDGEDSSVLVQKLRKDIREAVRSKSTEELDKNIFDPKLLAAFRTVVAGSTTETMKSHLDLKAKQSLLQKGKIRENLTKKIYGIGGRRKRAWTRDCEIEFWKHRCSKASKPEKIQTLKSVLNLLRDDSGNAEVKHTNAGEGTGSILSRLYLADTSVFPRKDNIKPVSSLNTSGISEQITENGPPANASKPSLLSHADTVPQNNEALKQVPFPPLTAKVAPKMVPNKKPDSSSRLHSNKCLEGPSNSTSSSTIPSKGESVLPSDNTKTDRKKWALELLARKASAVTKNAADGNAEDNAALKQTYPLLAQLPKDMRPVLAASRHNKVPMSIRQAQLYRLTEHFLRKANLAVIHRTADTELAVADAVNIEKEVADKSNSKLVYVNLCSQELRRRSDSTSSDKATGLNPGQSTEMPSNALEASIENHSADSAVVNEALINAGLLSDSPPSTPQRPTEEFKEENCFSNEVEDDGPNDVFEVEPPPELDIYGDFDYNLEDDFTGASSSMISEPQLVEAKMKVIFSTHNPDTSNVTLEQPNVERQETFEDPKDSSCLVDSDVVSTEAGSSLIDTSRDNSIPQSSFTDGGEELSIAECEELYGPDKEPLIQKYPEMASIKPCELAINNQISQTNGGCESSQAAKSSELESESGMDNVEASASSQCPSITENSPNNIEGSENVQRRDNASKSNTSKESDSTSPVSRKVEAYIKEHIRPLCKSGVISVEQYRWAVSKTTEKVMKYHSKDKNANFLIKEGEKVKKLAEQYVEAAQNMPKNQ >itb03g19440.t1 pep chromosome:ASM357664v1:3:17565037:17566875:1 gene:itb03g19440 transcript:itb03g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLMRTAVLLGWLLLVLEVSVGYGAVSPSVMNKIKAVNKKGPFLGIVVPNSFEMNPLLQSPSFVSDPTIPFLDFAGRRFRIASLKNKKVIVVMSGLGMLNSGIATQLLLSFFKVEGVLHYGISGNANPQLQIGDVTIPQFWAHTGLWNWQRFGDGPNDELALESNGDYTREIGYLNFSKYDTANTAKVSSGSGNLLNNVWYQPEEIFPIDGYPEIRQHAFWTPVNEPYFSLAKKLENMKLEGCVNSTCLERAPKVVRVKRGVSASVFVDNSAYRDFLHSAFNATPIDMETAAVALVCSQQRVPFIAIRALSDLAGGGSAESNEAALFTPLAAQNAADVLLGFISLIP >itb05g15610.t1 pep chromosome:ASM357664v1:5:22957483:22961284:1 gene:itb05g15610 transcript:itb05g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT1G67100) UniProtKB/Swiss-Prot;Acc:Q9ZW96] MTWPGLGCDDGWKQGGVAGRDDDDDLISAESGVNMRLSCNGCRVLRKGCSDDCIIRPCLQWIKNPESQANATVFLAKFYGRAGLLNLINAGPQNLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGNWQLCQNAVQAVLKGDPVVPITSEAAAATNNEPPLKFCDIRHVNKDEMNCAASTDLHRVRTRCRFKKSGAKSRVRIGFSDEEGNRSTTSHESALSHQSQGMDMEPNLEEPSREVESSAMAAESSGKEGQAEAGDGEIELELTLGLAPSQRRSRIHDGN >itb02g12710.t1 pep chromosome:ASM357664v1:2:8767905:8769728:1 gene:itb02g12710 transcript:itb02g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIINSGGGKQTLVAFNLICNRLTAKTCERGRDARRQRDHQGRGRIVGRRSRLEPPSPLAVTAGGLPLPHRTSSPASLTRIDREPPPHSAGARSVGVPRRGPSAGAPRFYRGRNVDRTDQLSHRPIREIAATITRRSRPQPQSAPSSSRLSRPARVLPLGCHRRSQNRSR >itb06g21260.t1 pep chromosome:ASM357664v1:6:23915291:23915611:-1 gene:itb06g21260 transcript:itb06g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKVGKFTRNILDQKRRLLAAKCEVKRKLYKAICNDPSLPADMREAHRQKLSELPRNSSFTRLRNRCIFTGRPRAVYEKFRMSRIVFRALANQGALQGVKKASW >itb11g09750.t1 pep chromosome:ASM357664v1:11:6626454:6627906:-1 gene:itb11g09750 transcript:itb11g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKSSKGAQSVSSSSASSAAASQKLGAGDEAGGGGKNVQSSVTCVYQTHIGGYWRNATVVWCKNVTNHSLSVSVDSVETEDHSTCKVDLKPWQFWTKRGYKTMEVDGNRLEAYWDFRSAKFSGGPEPVSDFYVALVSDQEMVLFLGDYKKKAYKRTKSRPPLVEAALFYKKEHVFGKKSFTSRAKFDRTKKDSEIVVESSAWGPRDPEMWISIDGIVLIHIQNLQWKFRGNQTVTVNKVPVQVFWDVHDWLFRTSGSTHGLFIFKPVVAPESDSDVEDGGGGDDDGDSVSSGGSKYYSTRSHSTGSQFCLLLYAWKLE >itb12g11260.t1 pep chromosome:ASM357664v1:12:9454537:9454881:1 gene:itb12g11260 transcript:itb12g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQQFIVLRLASCKLQKFPDLRNQSKMGILDLSDNEIGGGIPNWIWKVGNGGLNVLNLSCNFLEYLEEPYSIYGSLFVIDLHSNRLQGNLPIPPAVFIYVDYSDNNFSLKKI >itb13g02480.t1 pep chromosome:ASM357664v1:13:2378551:2381084:-1 gene:itb13g02480 transcript:itb13g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCAFCRTIRRHGVVYVICSANPKHKQRQGFIAYAYEGPISTMSLVITPKQEKTPLGFGISSSLPSLISENGEASMNPWRRKGMAPVNLLNPAAKHSILRAL >itb13g02480.t2 pep chromosome:ASM357664v1:13:2378551:2380048:-1 gene:itb13g02480 transcript:itb13g02480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCAFCRTIRRHGVVYVICSANPKHKQRQGFIAYAYEGPISTMSLVITPKQEKTPLGFGISSSLPSLISENGEASMNPWRRKGMAPVNLLNPAAKHSILRAL >itb02g10530.t1 pep chromosome:ASM357664v1:2:6807485:6808078:-1 gene:itb02g10530 transcript:itb02g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDRSNAANDGGGREACYRGVRKRPWGRYAAEIRDPFKKTRVWLGTFDTAEEAARAYDAAAREFRGTRAKTNFPVSTPVALSPSLSSTVESGGSGPSIPPAAAAAPPPPTPPLSLFHLPCVFPVPRPLYYYDPRGQAQALNHRLHMLRLYPPASSSVQSDSDSSSVVDFDCSEPTSLGKAVDLDLNLPPPAELSD >itb06g09360.t1 pep chromosome:ASM357664v1:6:13619436:13620269:1 gene:itb06g09360 transcript:itb06g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKNVTVLFDFWKTDSWTSYALTLFACFVFSVFYQYMEDRRLRFKLVSSLGATKNKPISVDTPLLGSVGGGGGWKAARFAGALLFGVNSAIGYLLMLAIMSFNAGVFIAVVVGLGAGYLVFRSGGDEDVVVVDNPCACA >itb04g19650.t1 pep chromosome:ASM357664v1:4:24044483:24051664:1 gene:itb04g19650 transcript:itb04g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQASRLIANLSKKLNPNAPLPASSPLWQHQQNRCFGSPTPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALKKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVADPQTEGIVLIGEIGGTAEEDAAAFIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLKEAGVTVCESPAQIGVTMLDVFKQRGLV >itb06g19470.t5 pep chromosome:ASM357664v1:6:22803734:22806166:-1 gene:itb06g19470 transcript:itb06g19470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLENCRMENLGVQKWVYGLAFFMILLRAEGYFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQFSFGGLLGSHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMNNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSHAAHIEQFYNDVVTTHPRICLLRVHQR >itb06g19470.t1 pep chromosome:ASM357664v1:6:22802717:22806456:-1 gene:itb06g19470 transcript:itb06g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGVQKWVYGLAFFMILLRAEGYFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQFSFGGLLGSHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMNNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSHAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTLQTPLFLVNAAYDYWQIKNILAPGVADPQGTWHSCKLDILKCSDSQLGIMQGFRLEFLKALGAVANSPSTGFFINSCYAHCQTGVQETWLRDDSPMLDGKSIANAVGDWYYDRSPFQKIDCPYPCDKTCHNRVFE >itb06g19470.t4 pep chromosome:ASM357664v1:6:22802921:22806456:-1 gene:itb06g19470 transcript:itb06g19470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGVQKWVYGLAFFMILLRAEGYFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQFSFGGLLGSHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMNNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSHAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTLQTPLFLVNAAYDYWQIKNILAPGVADPQGTWHSCKLDILKCSDSQLGIMQGYLSLIKACVSSQLKA >itb06g19470.t3 pep chromosome:ASM357664v1:6:22802921:22806166:-1 gene:itb06g19470 transcript:itb06g19470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLENCRMENLGVQKWVYGLAFFMILLRAEGYFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQFSFGGLLGSHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMNNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSHAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTLQTPLFLVNAAYDYWQIKNILAPGVADPQGTWHSCKLDILKCSDSQLGIMQGFRLEFLKALGAVANSPSTGFFINSCYAHCQTGVQETWLRDDSPMLDGKSIANAVGDWYYDRSPFQKIDCPYPCDKTCHNRVFE >itb06g19470.t2 pep chromosome:ASM357664v1:6:22802717:22806456:-1 gene:itb06g19470 transcript:itb06g19470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGVQKWVYGLAFFMILLRAEGYFVDITYVQSAVAKGAVCLDGSPPAYHFDPGSGEGINNWLIHFEGGAWCNNVTTCLARKETRLGSSTKMVKQFSFGGLLGSHPKRNPDFYNWNRIKVRYCDGSSFTGDVEAVNPKTNLHFRGARVFVAVIEDLLAKGMNNAKNAIISGCSAGGLTSILHCDKFKTLLPASTKVKCLADAGYFINAMDVSHAAHIEQFYNDVVTTHGSAKNLPTSCTSKMNPGLCFFPQNMAPTLQTPLFLVNAAYDYWQIKNILAPGVADPQGTWHSCKLDILKCSDSQLGIMQGYLSLIKACVSSQLKA >itb15g15140.t1 pep chromosome:ASM357664v1:15:13725941:13726612:1 gene:itb15g15140 transcript:itb15g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSGFSLLGLFKSKKAKKVWNGGEDYPRDDVFVNKAYKVWPSDEDRGIRWVAEPGINWKARAFIDGKTKDWKNPDATN >itb11g03050.t1 pep chromosome:ASM357664v1:11:1562144:1562524:-1 gene:itb11g03050 transcript:itb11g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAYIIVFIVLSVLVLIGMIICVLVARVHEAKKVRNLAVLAGGGAAVAGVAAAATASESGIDGRRVAEVAVEITDTVVQSIAQGPQGCCCGAAGTCGGGGGCGGGGGCGGGCGGGGGGCGGGGGG >itb04g10820.t1 pep chromosome:ASM357664v1:4:10408075:10412427:-1 gene:itb04g10820 transcript:itb04g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRERSTQCRVYKCKSIRHSDLAQIADAITQNPCPICLCEIKPSRAALLPLCKHAFCADCIHKWSDYKRTCPLCKAEFGSWLFIIDLSSQTLEKEKFKSPVDDRPHTVGFPARRRSHLEELRLIRRTRAELTTQYSRTGVIPRRRSFGQLEAANPGVIRERTLQWRASIYERKLQAVPFSSKNRLIEQMEHNNSAKAMVVQRIEPWIKRELEAILDDPNPSVIVHVVTSLFVSGNERSHQASSGEPGARNDFLAPLRPFLHEKSEMFWHELRCFAESPLSLETYDTVAEYISLNQT >itb10g06170.t2 pep chromosome:ASM357664v1:10:6753149:6766200:1 gene:itb10g06170 transcript:itb10g06170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMAGLSTASPVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERNQVEQLVRYIVEEAADETEKKRTFKFPFIACEIFTCEVDIILKALVDDEELMNLLFSFLEPVRPHSALLAGYFSKVVICLLQRKTVPLMNYIRSHQEVIRKLVDLIGITSIMEVLIRLISSDEHMYSNFVDSMQWLGDTDVLEMIVDKFSSADCPEVHANAAEILCAITRYAPPGLSTKISSPSFIGRLFCHALEGSRPKSVLVNSLSICISLLDPKRLTSGTYYFFNRQSTQGTGVTANPKTVEGMLESLGDLIKLLDVSSEDSVLPTTYGKLQPPLGKHRLKIVEFISVLVSVSSEAAEKELIRLGAVKRILDLFFEYPYNNFLHHNVEHIVVSCLESKNAQFVDHVLCECNLVGKILEAEKNLTVTTDSSKPTVPVEGKSPPRIGNMGHITRIANKLIQLGNNNNIQTYLQENSEWVEWHTNVLQKRNAVENVFQWACGRPTALQDRMRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEAHGALERDDEDVYFDDESAEVVISSLRLGDDQDSGSLFTNSNWFAFEDDRMANDRQPGPVASPSPNTNGTNAISPSNKDEPTADGDNDLADTATSGPSEPKELSEISLTEDVQNPRQTKGGEAPEWVDWRENSGPTDLTTVDTPEPSTLPNGELQVAEDNADTKTTADAPVSANNDKSTAEGEGGSADLVHPAAAPISGTPSPETAEGNPKSGSSDAIPV >itb10g06170.t1 pep chromosome:ASM357664v1:10:6753060:6766200:1 gene:itb10g06170 transcript:itb10g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMAGLSTASPVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERNQVEQLVRYIVEEAADETEKKRTFKFPFIACEIFTCEVDIILKALVDDEELMNLLFSFLEPVRPHSALLAGYFSKVVICLLQRKTVPLMNYIRSHQEVIRKLVDLIGITSIMEVLIRLISSDEHMYSNFVDSMQWLGDTDVLEMIVDKFSSADCPEVHANAAEILCAITRYAPPGLSTKISSPSFIGRLFCHALEGSRPKSVLVNSLSICISLLDPKRLTSGTYYFFNRQSTQGTGVTANPKTVEGMLESLGDLIKLLDVSSEDSVLPTTYGKLQPPLGKHRLKIVEFISVLVSVSSEAAEKELIRLGAVKRILDLFFEYPYNNFLHHNVEHIVVSCLESKNAQFVDHVLCECNLVGKILEAEKNLTVTTDSSKPTVPVEGKSPPRIGNMGHITRIANKLIQLGNNNNIQTYLQENSEWVEWHTNVLQKRNAVENVFQWACGRPTALQDRMRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEAHGALERDDEDVYFDDESAEVVISSLRLGDDQDSGSLFTNSNWFAFEDDRMANDRQPGPVASPSPNTNGTNAISPSNKDEPTADGDNDLADTATSGPSEPKELSEISLTEDVQNPRQTKGGEAPEWVDWRENSGPTDLTTVDTPEPSTLPNGELQVAEDNADTKTTADAPVSANNDKSTAEGEGGSADLVHPAAAPISGTPSPETAEGNPKSGSSDAIPV >itb03g13740.t1 pep chromosome:ASM357664v1:3:13754012:13755424:-1 gene:itb03g13740 transcript:itb03g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKVPESCNSRKERQPISVPFVWEERPGVAKKDWKPVDKPVKKFVPPVKLVASIPFEWEEKPGTPLPSFPLPRPSVESSSQERIFGSVLPTRREAQGDGDDYWIGAGGGGGNDNSGHLWEAFCFESDDDSFSSAPSLLANGLISTWEISSAVPVEETVMKSPPSPVSETGSTSSYATGTTSLVGTAFLEKLFPLLSPELNSIEQQVSPRLEKGSSQNPEICDTGSDSDGNSSTIIRKPLLTLGDLIMMSRRRSYQRKANQMQRERMPMKFIERSAIGCCMFGVGRSVEGLHTKWKRQLQLL >itb07g19720.t1 pep chromosome:ASM357664v1:7:24169776:24174204:1 gene:itb07g19720 transcript:itb07g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAGQRLAEIRQAFRGIPQASRSFSTALNYHLDGPDNNPDLPWEFTEANKRKAKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKIIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEEALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDLNPKRVIEIVEAFRRGEKPQRGTQNPQRQNSGPEGGNTTLLGEPKAPPCRDLDAC >itb13g24910.t1 pep chromosome:ASM357664v1:13:30445208:30450914:-1 gene:itb13g24910 transcript:itb13g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTAPSRSRRPMTSAEIMRRQMKVTEQSDNRLRKTLMRTLVGQMGRRAETIILPLELLRHLKPSEFNDPNEYHFWQKRQLKILEAGLLRHPAVPLDKSNTSAQTFKEIIKAAQAKPIDTGKNSEVLRNLVNCVVTLSWRSFDGLATDICHWADGFPLNVHIYMALLGSIFDMKDETLVLDEIDELLELMKKTWSTLGMNRSIHNLCFTWVLFEQYFITTQVEPDLLSASLAMLAEVANDAKKADREPIYVQMLGDVLSSMKQWSEKRLLDYHRNFDKGNVALMENILPLVFLATKILEEDVPGYATTVEAGKEGVPDASAGNRLDHCIRSSMRNAFAKMLEERNINAAMFEKQEASETLIKLADATEELAAKEKEIFSSALKKWHPIAAGVAALTLHNCYGNLLKQYMAGASTMAKETVQVLQKAGKLEKVLVQMVVEDSVECEDGGKTIVREMVPYEVDAIFVEQVRQWIQERVKTGKENFQRAKDSETWNPKSKNEPYAQSAVELMKHAKETVETFFELPVNITDDLVQSLAEGLDQVFREYISFVGLCGSKQNYIPTLPPLTRCSQDSRFFRLWKKGACSVGVYSEQHRFISDGSHVRPSTSRGTQRLYIRLNTLHFLLLQLHAIDKALALGPRTAQSTTRSRQARSSTTATAGGTVSYFEHARSAILTGVQHVSEASAYRLIFLDSNAVLYGSLYVGDVENARIHPALRILKQNLTLLCAIVTERAQPTAIKEVMKATFEAYLMVLLAGGSSRVFCRSDHQMIEEDFDSLKKIFSACAGGEGTIAEDIVDREAETVEGVLSLMSQSTEQLIEDFSTLACETSGMGIVGAGQKLPMPPTTGKWHRSDPNTILRVLCYRNDKAANFFLKKSFHLAKRRG >itb13g00850.t1 pep chromosome:ASM357664v1:13:765815:769190:-1 gene:itb13g00850 transcript:itb13g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGILGFFLVVAVCFRPLVCQQPNTDGFFVSNFLQNMGLKSSKVHNFSAHFCSWQGVFCDSKEERVVRLVATGLGLSGVVSENTIGKLTMLEILDLSNNNLTGLPSDIWSLGSLKFLNLSFNQLSGVLSSNIGNFAKLESLDLSHNNFSGSLPEAMSSLLSLQFLNLSRNGFDSKVPSGIMNCHSLVSLDLSGNKLGDSLHDGFGAAFPKLQFLNLAENEIHGKDSVLSGMVSLTYLNVSGNLFKGSVVGVFGGPLEVVDLSRNQFQGHVSQVNLGSNFSWSNLVYIDLSENQLSGEIFMELNSAQNLKHLNLAYNRFSHQRFPHIGMVRDLEYLNLSSTNLIGEIPSDLSLLSSLKTLDISRNHLSSHIPMLNTKKLRILDVSYNNLSGEIPLSLVEILPSMIRFNFSYNNVTFCSSQIPPATLQSAFIGSSDGCPIAANPSLFKGKASKHRGLKLVLALTFSLTFSIVVILLGLLFLAFGCRRKPTKWAVKQSSYKEEQSVSGPFSFRTDSTTWVADVKQANSVPVVIFEKPLLNLTFSDLLSVTSNFDQGTLLAEGRFGPVYRGYLPGGIHVAVKVLVHGSTMTNQEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLHNLLHDLPLGVQTTEDWSTDTWELQDDSNGIQNVGSEGLLTTWLFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDCSLEPRLSDFGLAKIFGNGLEDEIARGSPGYIPPEFLQPETSSPKTPTPKSDVYGFGVILFELLTGKKPVGDDYPEEKDGNLVIWVRGLVRKNQGLRAIDPKIHGTAPETQMKEALKIGYLCTADSPSKRPSMQQVVGLLKDIESITKQ >itb13g00850.t2 pep chromosome:ASM357664v1:13:765815:769190:-1 gene:itb13g00850 transcript:itb13g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGILGFFLVVAVCFRPLVCQQPNTDGFFVSNFLQNMGLKSSKVHNFSAHFCSWQGVFCDSKEERVVRLVATGLGLSGVVSENTIGKLTMLEILDLSNNNLTGLPSDIWSLGSLKFLNLSFNQLSGVLSSNIGNFAKLESLDLSHNNFSGSLPEAMSSLLSLQFLNLSRNGFDSKVPSGIMNCHSLVSLDLSGNKLGDSLHDGFGAAFPKLQFLNLAENEIHGKDSVLSGMVSLTYLNVSGNLFKGSVVGVFGGPLEVVDLSRNQFQGHVSQVNLGSNFSWSNLVYIDLSENQLSGEIFMELNSAQNLKHLNLAYNRFSHQRFPHIGMVRDLEYLNLSSTNLIGEIPSDLSLLSSLKTLDISRNHLSSHIPMLNTKKLRILDVSYNNLSGEIPLSLVEILPSMIRFNFSYNNVTFCSSQIPPATLQSAFIGSSDGCPIAANPSLFKGKASKHRGLKLVLALTFSLTFSIVVILLGLLFLAFGCRRKPTKWAVKQSSYKEEQSVSGPFSFRTDSTTWVADVKQANSVPVVIFEKPLLNLTFSDLLSVTSNFDQGTLLAEGRFGPVYRGYLPGGIHVAVKVLVHGSTMTNQEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLHNLLHDLPLGVQTTEDWSTDTWELQDDSNGIQNVGSEGLLTTWLFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDCSLEPRLSDFGLAKIFGNGLEDEIARGSPGYIPPEFLQPETSSPKTPTPKSDVYGFGVILFELLTGKKPVGDDYPEEKDGNLVIWVRGLVRKNQGLRAIDPKIHGTAPETQMKEALKIGYLCTADSPSKRPSMQQVVGLLKDIESITKQ >itb10g09630.t1 pep chromosome:ASM357664v1:10:12916710:12932426:-1 gene:itb10g09630 transcript:itb10g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSVGESMSVQAGETMQLQEENNCEVKLPDGVPPFIHINQNDFLGRKAKKLKDEDIAICECKYETSDPESACGERCLNLLTSTECTPGYCPSGNYCKNQRFQKSEYAKTKLFRTEGRGWGLRADEIIKAGQFIIEYCGEVISSDEARRRSQTYEIKGLKDAYIISLNANYFIDATRKGSLARFINHSCLPNCETRKWTVLGETRVGIFAKQDISIGTELTYNYNFEWYGGATVRCLCGAPNCSIFLGAKSHGFQEQNHLWEEGDDRYEVDKIPLYDSGEDETLANQAGMQSHSEPEPMDSGRNVYPAMMSVMVGSEHSLESTISLEAHYSGPVDAEVLNAEVNECKEIYPNDLQAGFHKQNSLIPRIRNYNSGRNYNAGPGLSKKKVQNSPKQRAKSSVRKQVTAENVAKLFPSKDAQEEIMKYEEMRNQANLALNSLYNEIRPAIEEHERDSQDSVPTSVAEKWIGASCLKMKSDFDFYFSVVKNVMCPPQMPMPMALASGEGDDPDQVKQLTNE >itb10g09630.t2 pep chromosome:ASM357664v1:10:12916710:12932426:-1 gene:itb10g09630 transcript:itb10g09630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSVGESMSVQAGETMQLQEENNCEVKLPDGVPPFIHINQNDFLGRKAKKLKDEDIAICECKYETSDPESACGERCLNLLTSTECTPGYCPSGNYCKNQRFQKSEYAKTKLFRTEGRGWGLRADEIIKAGQFIIEYCGEVISSDEARRRSQTYEIKGLKDAYIISLNANYFIDATRKGSLARFINHSWKWTVLGETRVGIFAKQDISIGTELTYNYNFEWYGGATVRCLCGAPNCSIFLGAKSHGFQEQNHLWEEGDDRYEVDKIPLYDSGEDETLANQAGMQSHSEPEPMDSGRNVYPAMMSVMVGSEHSLESTISLEAHYSGPVDAEVLNAEVNECKEIYPNDLQAGFHKQNSLIPRIRNYNSGRNYNAGPGLSKKKVQNSPKQRAKSSVRKQVTAENVAKLFPSKDAQEEIMKYEEMRNQANLALNSLYNEIRPAIEEHERDSQDSVPTSVAEKWIGASCLKMKSDFDFYFSVVKNVMCPPQMPMPMALASGEGDDPDQVKQLTNE >itb10g15320.t2 pep chromosome:ASM357664v1:10:21578268:21582278:1 gene:itb10g15320 transcript:itb10g15320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVEVEILARVRHKNLLNLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTESLLDWKRRMSIAIGSAEGIAYLHHHATPHIIHRDVKASNVLLDSDFRAQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVFSFGILLLELASGKKPIEKVSSTMKRTITDWALPLACERKFSELADQRLNGNYVEEELKRVIYVALVCAQNQPEKRPTMLEVVEILKGESKEKFAALESDELFKNPQAGGGHKDELSGAEDSSEFISEEKEEKVPPKQEIERVEICPEVN >itb10g15320.t1 pep chromosome:ASM357664v1:10:21577998:21582278:1 gene:itb10g15320 transcript:itb10g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMVFSPLFCCLKGSGKDESKKRSTWRVFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHKNLLNLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTESLLDWKRRMSIAIGSAEGIAYLHHHATPHIIHRDVKASNVLLDSDFRAQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVFSFGILLLELASGKKPIEKVSSTMKRTITDWALPLACERKFSELADQRLNGNYVEEELKRVIYVALVCAQNQPEKRPTMLEVVEILKGESKEKFAALESDELFKNPQAGGGHKDELSGAEDSSEFISEEKEEKVPPKQEIERVEICPEVN >itb10g15320.t3 pep chromosome:ASM357664v1:10:21578268:21582210:1 gene:itb10g15320 transcript:itb10g15320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVEVEILARVRHKNLLNLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTESLLDWKRRMSIAIGSAEGIAYLHHHATPHIIHRDVKASNVLLDSDFRAQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVFSFGILLLELASGKKPIEKVSSTMKRTITDWALPLACERKFSELADQRLNGNYVEEELKRVIYVALVCAQNQPEKRPTMLEVVEILKGESKEKFAALESDELFKNPQAGGGHKDELSGAEDSSEFISEEKEEKVPPKQEIERVEICPEVN >itb14g16940.t2 pep chromosome:ASM357664v1:14:20297022:20305903:-1 gene:itb14g16940 transcript:itb14g16940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGLTTGVFKGGWLEDFPLGQTVVTGNNKRLQKVVSLFPQNKRLRIRKQISGPETTNIQSPELSVPAKSLMELLTPSVPGPVGIRDLFSGLSSFRRGSYQRDHKEVMASQQLPEVSEEAGGKIHVAVGRKKEDKAVALLQWVCRTFGNSEICILHVHQPSPLIPTLLGRLPASQANPEVVSAFRNEERELMRKHLNSYMKICCRLKVKASIITIEADQIHKGIVDMVNEHNITKLVIGAIPDCMKAKKISRKASYAAKNAPSFCKIMFVNKGKLVFTRQIPEFSDSVVGQSPISLSQPTTSNIIRSQSLRLPKNEIIVLPESFRSGSARHILPAGIENLTHVKEIELDVASSVIQLDSGTPNACTSSTVYSTRSSSTSSGYTSSAEQRLSPDSYTTSGEENLNEQLREIKLESESSRNELLAEILKCNRLEAEAGEAMRKAKAWECAHVREVELRKEAERALRTTIEEQEKHLEEREEISCKLQMAMRNIALLDSRAQEANNRCEEVAGELKLIQNSIATLRKEKQKLQQQKDEAAHWLNRWRSSGKAKGVNTNGAINFRVDSSELVEFSFSDLQIATCDFSESFKIGEGGYGGVYKGELLDRSVAIKKLHPHYMQRQSEFLQQVQILGKLHHPHLVTLLGICPEEWSLIYEYIPGGNLQDRLLHKNNIGSISWKIRSRIVAEIAEGLLFLHSSNPEKIVHGNLKPENILLGSDNSCKICDFGISKLVPNQTLRCPSFRRLSVPKGVFSYTDPEFHVTGTLTPKSDIYSFGLIILQILTGRTPAELYSEVRRAVSCGKLESILDSSAGEWSLYVARRLADLGLNCCERKSRDRPELTPTLVRELEQLHTLEERAVPSFFLCPIFQTGLPTKERPYGDGWKVVGILPQ >itb14g16940.t1 pep chromosome:ASM357664v1:14:20297022:20305903:-1 gene:itb14g16940 transcript:itb14g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGLTTGVFKGGWLEDFPLGQTVVTGNNKRLQKVVSLFPQNKRLRIRKQISGPETTNIQSPELSVPAKSLMELLTPSVPGPVGIRDLFSGLSSFRRGSYQRDHKEVMASQQLPEVSEEAGGKIHVAVGRKKEDKAVALLQWVCRTFGNSEICILHVHQPSPLIPTLLGRLPASQANPEVVSAFRNEERELMRKHLNSYMKICCRLKVKASIITIEADQIHKGIVDMVNEHNITKLVIGAIPDCMKAKKISRKASYAAKNAPSFCKIMFVNKGKLVFTRQIPEFSDSVVGQSPISLSQPTTSNIIRSQSLRLPKNEIIVLPESFRSGSARHILPAGIENLTHVKEIELDVASSVIQLDSGTPNACTSSTVYSTRSSSTSSGYTSSAEQRLSPDSYTTSGEENLNEQLREIKLESESSRNELLAEILKCNRLEAEAGEAMRKAKAWECAHVREVELRKEAERALRTTIEEQEKHLEEREEISCKLQMAMRNIALLDSRAQEANNRCEEVAGELKLIQNSIATLRKEKQKLQQQKDEAAHWLNRWRSSGKAKGVNTNGAINFRVDSSELVEFSFSDLQIATCDFSESFKIGEGGYGGVYKGELLDRSVAIKKLHPHYMQRQSEFLQQVQILGKLHHPHLVTLLGICPEEWSLIYEYIPGGNLQDRLLHKNNIGSISWKIRSRIVAEIAEGLLFLHSSNPEKIVHGNLKPENILLGSDNSCKICDFGISKLVPNQTLRCPSFRRLSVPKGVFSYTDPEFHVTGTLTPKSDIYSFGLIILQILTGRTPAELYSEVRRAVSCGKLESILDSSAGEWSLYVARRLADLGLNCCERKSRDRPELTPTLVRELEQLHTLEERAVPSFFLCPIFQELMYDPQVAADGFTYEGEAIRGWLESGRDTSPMTNLKLSHLELTPNHSLRLAIQDWLCKL >itb01g10750.t3 pep chromosome:ASM357664v1:1:9344135:9347272:-1 gene:itb01g10750 transcript:itb01g10750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHSIACHLEVHILIYFCHKTRAPLLLVKNQIWTYHPWRWRLLKLNKLPPIPVDIFIAQNTKVLVITGPNIGGKTICLKTVGLAAVMAKSGRSNAINIGQRLGIAEVILDNARELYGVANAEINEVILEIWRDLTRNFMSKFTRLNIT >itb01g10750.t1 pep chromosome:ASM357664v1:1:9344135:9347272:-1 gene:itb01g10750 transcript:itb01g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHSIACHLEVHILIYFCHKTRAPLLLVKNQIWTYHPWRWRLLKLNKLPPIPVDIFIAQNTKVLVITGPNIGGKTICLKTVGLAAVMAKSGRSNAINIGQRLGIAEVILDNARELYGVANAEINEVILEIWRDLTRNFMSKFTRLNIT >itb01g10750.t2 pep chromosome:ASM357664v1:1:9344135:9347272:-1 gene:itb01g10750 transcript:itb01g10750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHSIACHLEVHILIYFCHKTRAPLLLVKNQIWTYHPWRWRLLKLNKLPPIPVDIFIAQNTKVLVITGPNIGGKTICLKTVGLAAVMAKSGRSNAINIGQRLGIAEVILDNARELYGVANAEINEVILEIWRDLTRNFMSKFTRLNIT >itb04g06490.t1 pep chromosome:ASM357664v1:4:4207148:4214684:1 gene:itb04g06490 transcript:itb04g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPHHHALMFFIILLFSSLNYSQSLTTHADDERAILLSLKQQHWGNPSSLEQWNSTSSPCDWPGISCNFNASVTRISLAGMNIIGSFPASTIICQLNNLVSINFSSNYLWGTIPANLSTCSKLETLDLSTNDLTGKIAGNLYNLGYLDLSMNSFSGSIPDDIGNLYKLSYLDLSRNSFNGSIPDDIGNLYNLGYLDLSMNSLSGPIPATLLHLQQLSHLSLSFNKLTGKIPSQLFSLKKLRSLMLSHNKFYGEIPTPKVRHSLETLVISNSQLNGSIREDIGNLYNLGYLDLSRNSFTGSIPDNIGSLYNLGHLDLSKNSFSGPIPARLLQLHNLYYLSLASNNLSGEIPVKLDLFSLTHIDLYHNHLSGTIPRSFGDLLHLMSIDVSNNQFSGDICETVSHIWDAPTLDTLRICLNHFSGRIPYELVKGKFEYDICFDKVNLCSDLMDKKELPTCPSQWLSDKVPLHINCSSKKPSKSKKIIITCVVIAALLIIGLGILILVFRPGGKRREENDGEEEQTMIPFQRLEFSEGEILGGLTDENLIGNGGSGKVYRVTTTQGQRVAVKSIRHEPRQGHRLEKQFLAEVQILGGIRHCNIVKLLCCITGNNTKLLVYEYMDKQCLYRLLHGKKKGLTVVETSAQVLHWERRLNIAIGAAQGLKYMHHDCSPPIVHRDIKSSNILLDSEFNAKIADFGLAKVPASEANPETASAIVGTFGYIAPVLLELATGREAVAPNEDINLAQWAYKHQRKGNSVADALDEEIKDPHYLEAATTLFKLGLACTLSSPSSRPSMKYVLQILQRCNNAFERAIPNGATGTQINSENNHLSSRSG >itb15g19120.t1 pep chromosome:ASM357664v1:15:21247196:21248657:1 gene:itb15g19120 transcript:itb15g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCISMLPNLEVLKLRNFACVGSEWELTEEGGFPQLKVLLISLTNLKEWKAYVDPPFPKLECLLLRNCFELKEMPEWIEDIITLQLINLEYCYASLVGSAKRIEQEQHDYYGNDMLRSLWFEARRLRIEWGDDTRYWIWTRDSEFGCEVAKLEKVSWFEIRLTFDVRCLSKMTCYSAYLVFKIESGRFQDVNTALAGVRYEEDKAIYGWMRGENRHSQVFLAKTKTYGDCGRFSDSRSDGWMEIKLGNFYVSSGNEGEVELQLWHVSDQFWMSGFIVRGIEVRPGNEG >itb09g19860.t1 pep chromosome:ASM357664v1:9:16254307:16258814:-1 gene:itb09g19860 transcript:itb09g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAAVWDPRAAIEVTKDSNGIDQIVLRSPHGASARVSLNGGQITSWRNDRGEELLFTSSKATIKSPKAVRGGISICFPQFGNGGSLEHHGFARNKLWTIEKDPPSLPTFDANGKSFIDLLLRPSEEDLKFWPHSFEFRLRVSLASDGNLTVISRVRNINGKPFSFSFACHTYLSISDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESELDRVYVSTPNSIAVLDHERKRTYLIKKDGLPDVVVWNPWEKKSKAMADFGDEEYKQMLCVDGAAIEKPITLKPGEEWTSRVEVMVVASTFCGDDL >itb09g19860.t2 pep chromosome:ASM357664v1:9:16254307:16258788:-1 gene:itb09g19860 transcript:itb09g19860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAAVWDPRAAIEVTKDSNGIDQIVLRSPHGASARVSLNGGQITSWRNDRGEELLFTSSKATIKSPKAVRGGISICFPQFGNGGSLEHHGFARNKLWTIEKDPPSLPTFDANGKSFIDLLLRPSEEDLKFWPHSFEFRLRVSLASDGNLTVISRVRNINGKPFSFSFACHTYLSISDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESELDRVYVSTPNSIAVLDHERKRTYLIKKDGLPDVVVWNPWEKKSKAMADFGDEEYKQMLCVDGAAIEKPITLKPGEEWTSRVEVMVVASTFCGDDL >itb09g19860.t5 pep chromosome:ASM357664v1:9:16254307:16258788:-1 gene:itb09g19860 transcript:itb09g19860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAAVWDPRAAIEVTKDSNGIDQIVLRSPHGASARVSLNGGQITSWRNDRGEELLFTSSKATIKSPKAVRGGISICFPQFGNGGSLEHHGFARNKLWTIEKDPPSLPTFDANGKSFIDLLLRPSEEDLKFWPHSFEFRLRVSLASDGNLTVISRVRNINGKPFSFSFACHTYLSISDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESELDRVYVSTPNSIAVLDHERKRTYLIKKDGLPDVVVWNPWEKKSKAMADFGDEEYKQMLCVDGAAIEKPITLKPGEEWTSRVEVMVVASTFCGDDL >itb09g19860.t3 pep chromosome:ASM357664v1:9:16254307:16258788:-1 gene:itb09g19860 transcript:itb09g19860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAAVWDPRAAIEVTKDSNGIDQIVLRSPHGASARVSLNGGQITSWRNDRGEELLFTSSKATIKSPKAVRGGISICFPQFGNGGSLEHHGFARNKLWTIEKDPPSLPTFDANGKSFIDLLLRPSEEDLKFWPHSFEFRLRVSLASDGNLTVISRVRNINGKPFSFSFACHTYLSISDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESELDRVYVSTPNSIAVLDHERKRTYLIKKDGLPDVVVWNPWEKKSKAMADFGDEEYKQMLCVDGAAIEKPITLKPGEEWTSRVEVMVVASTFCGDDL >itb09g19860.t4 pep chromosome:ASM357664v1:9:16254307:16258788:-1 gene:itb09g19860 transcript:itb09g19860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAAVWDPRAAIEVTKDSNGIDQIVLRSPHGASARVSLNGGQITSWRNDRGEELLFTSSKATIKSPKAVRGGISICFPQFGNGGSLEHHGFARNKLWTIEKDPPSLPTFDANGKSFIDLLLRPSEEDLKFWPHSFEFRLRVSLASDGNLTVISRVRNINGKPFSFSFACHTYLSISDISEVRIEGLETLDYLDNLCQRERFTEQGDAITFESELDRVYVSTPNSIAVLDHERKRTYLIKKDGLPDVVVWNPWEKKSKAMADFGDEEYKQMLCVDGAAIEKPITLKPGEEWTSRVEVMVVASTFCGDDL >itb06g24800.t4 pep chromosome:ASM357664v1:6:26208264:26210939:-1 gene:itb06g24800 transcript:itb06g24800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRSQTGGYYYPRYLNVGLSGHSWPITQGDVTWSSGQNFNVLLPPSTMDHNVGYQKEIFRQMMLKHEATFKYQVYELHRLYGRQKELMEEIRKREILNRNLKPHLVSELRSDFSFCPSSNLSGVEPSSLSAQMPREPPYFLKPAPDQYPTKGVLKECELSSSRINKSGKRMLDLELPACEYEDSDEDNIVHVPKMPVSHHIDPKLDSFSPGGSSISHSSFRNANVLFDLNAPAEPDELGQDLCGQKCVVLNNEATPDIPEGEGADHCDRTSGHSPTANIKVSDSKNLPKVVDLNMFPADYSSDDDFSGNTYKKSRSAPLEREDREMDCSGKSGIVNSSFSPNPTVESQEYDGGNRFIDTGVDSNSNSGLEKEFVLSPHEQSDTTEAATQRDLEAPVSPENKECAPPRGDSQDNQLERCISSLKLEGEIQEEEEDRVAADTLFVLASSGIQRYSKTKFIDQNEAFNDCLDWFAGIASSLVNNQQTHDAKEMEIVDASLPSRLKRGQKKMKLQPNNFQKEVNKDLHTALEHGSARRSVSRCSRGRGRRQSKASEMTACSVSKQHSDGKKHSSADRCLPVWGKTKRRQMARVARSISHSPL >itb06g24800.t1 pep chromosome:ASM357664v1:6:26208264:26212094:-1 gene:itb06g24800 transcript:itb06g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRSQTGGYYYPRYLNVGLSGHSWPITQGDVTWSSGQNFNVLLPPSTMDHNVGYQKEIFRQMMLKHEATFKYQVYELHRLYGRQKELMEEIRKREILNRNLKPHLVSELRSDFSFCPSSNLSGVEPSSLSAQMPREPPYFLKPAPDQYPTKGVLKECELSSSRINKSGKRMLDLELPACEYEDSDEDNIVHVPKMPVSHHIDPKLDSFSPGGSSISHSSFRNANVLFDLNAPAEPDELGQDLCGQKCVVLNNEATPDIPEGEGADHCDRTSGHSPTANIKVSDSKNLPKVVDLNMFPADYSSDDDFSGNTYKKSRSAPLEREDREMDCSGKSGIVNSSFSPNPTVESQEYDGGNRFIDTGVDSNSNSGLEKEFVLSPHEQSDTTEAATQRDLEAPVSPENKECAPPRGDSQDNQLERCISSLKLEGEIQEEEEDRVAADTLFVLASSGIQRYSKTKFIDQNEAFNDCLDWFAGIASSLVNNQQTHDAKEMEIVDASLPSRLKRGQKKMKLQPNNFQKEVNKDLHTALEHGSARRSVSRCSRGRGRRQSKASEMTACSVSKQHSDGKKHSSADRCLPVWGKTKRRQMARVARSISHSPL >itb06g24800.t2 pep chromosome:ASM357664v1:6:26208264:26212076:-1 gene:itb06g24800 transcript:itb06g24800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRSQTGGYYYPRYLNVGLSGHSWPITQGDVTWSSGQNFNVLLPPSTMDHNVGYQKEIFRQMMLKHEATFKYQVYELHRLYGRQKELMEEIRKREILNRNLKPHLVSELRSDFSFCPSSNLSGVEPSSLSAQMPREPPYFLKPAPDQYPTKGVLKECELSSSRINKSGKRMLDLELPACEYEDSDEDNIVHVPKMPVSHHIDPKLDSFSPGGSSISHSSFRNANVLFDLNAPAEPDELGQDLCGQKCVVLNNEATPDIPEGEGADHCDRTSGHSPTANIKVSDSKNLPKVVDLNMFPADYSSDDDFSGNTYKKSRSAPLEREDREMDCSGKSGIVNSSFSPNPTVESQEYDGGNRFIDTGVDSNSNSGLEKEFVLSPHEQSDTTEAATQRDLEAPVSPENKECAPPRGDSQDNQLERCISSLKLEGEIQEEEEDRVAADTLFVLASSGIQRYSKTKFIDQNEAFNDCLDWFAGIASSLVNNQQTHDAKEMEIVDASLPSRLKRGQKKMKLQPNNFQKEVNKDLHTALEHGSARRSVSRCSRGRGRRQSKASEMTACSVSKQHSDGKKHSSADRCLPVWGKTKRRQMARVARSISHSPL >itb06g24800.t3 pep chromosome:ASM357664v1:6:26208264:26211332:-1 gene:itb06g24800 transcript:itb06g24800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRSQTGGYYYPRYLNVGLSGHSWPITQGDVTWSSGQNFNVLLPPSTMDHNVGYQKEIFRQMMLKHEATFKYQVYELHRLYGRQKELMEEIRKREILNRNLKPHLVSELRSDFSFCPSSNLSGVEPSSLSAQMPREPPYFLKPAPDQYPTKGVLKECELSSSRINKSGKRMLDLELPACEYEDSDEDNIVHVPKMPVSHHIDPKLDSFSPGGSSISHSSFRNANVLFDLNAPAEPDELGQDLCGQKCVVLNNEATPDIPEGEGADHCDRTSGHSPTANIKVSDSKNLPKVVDLNMFPADYSSDDDFSGNTYKKSRSAPLEREDREMDCSGKSGIVNSSFSPNPTVESQEYDGGNRFIDTGVDSNSNSGLEKEFVLSPHEQSDTTEAATQRDLEAPVSPENKECAPPRGDSQDNQLERCISSLKLEGEIQEEEEDRVAADTLFVLASSGIQRYSKTKFIDQNEAFNDCLDWFAGIASSLVNNQQTHDAKEMEIVDASLPSRLKRGQKKMKLQPNNFQKEVNKDLHTALEHGSARRSVSRCSRGRGRRQSKASEMTACSVSKQHSDGKKHSSADRCLPVWGKTKRRQMARVARSISHSPL >itb09g31180.t1 pep chromosome:ASM357664v1:9:31674061:31675397:1 gene:itb09g31180 transcript:itb09g31180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQKNVGGSRTQERVLVVACERNFGGDFESDTLRETMNHDYSQKLELRTHCRNARWPPLTAVRRPPLTGAPPANRRQRPPPAAHRSHRPSLHSRLPLGYHLRSLLYQLPKGCPASRQSRTRSPTLVSTKK >itb01g09290.t1 pep chromosome:ASM357664v1:1:7501981:7507153:-1 gene:itb01g09290 transcript:itb01g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGFSFFVLGLLSTVVAQPPTDPKEVDAINKIIDHWNLRSKVNFNNIDPCNKTAAWAPPEANPRIACDCSPTTCHITHLKIYALDIVGEIPKELFMLKEMIDLNLGQNVLNGSIPAEIGQLSKMKWLSLGINNFTGPVPPELGNLSSLLSLSFGSNNMNGPLPPELGNLTTLEELYIDSSGVSGPIPQELSKLKSLSVLWASANGFTGKLPEFIGSLTDLTVLRLEGTLLEGPIPNSYGALTKLDDLRIGDLSNGDSSLNFIENLTSLSILSLRNCRMTGQLPERLSTFSNLKILDLSFNNLTGEIPNSYKDLASLQYLYLGSNNLSGELPANIITPKLIALDISFNQIYGTIPANKSAISVNDVGTSITSERSLDSVCLIKNSVCTEIASSTSFSIDCGGKRIESVSGTEFDDDSETLGAASFYVGSNDHWGVSSSGTYINNPNGPKYTAQTDSQITNTLDSELYKTARISPSSLRYYGLGLKKGVYSIDLHFAEIQMDDSRNSWKGLGKRIFDVYIQGERVLRDFNVKDEAGGSKKALVKTFKANVTNNVLDIHFFWAGKGTCCIPFQSTYGPLVSAIHVTQVVNLDDSSKSRDKKHVRKILGIALGSAAGVLIICSILYVWWTKKNSPTYKGI >itb01g09290.t2 pep chromosome:ASM357664v1:1:7501982:7507153:-1 gene:itb01g09290 transcript:itb01g09290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGFSFFVLGLLSTVVAQPPTDPKEVDAINKIIDHWNLRSKVNFNNIDPCNKTAAWAPPEANPRIACDCSPTTCHITHLKIYALDIVGEIPKELFMLKEMIDLNLGQNVLNGSIPAEIGQLSKMKWLSLGINNFTGPVPPELGNLSSLLSLSFGSNNMNGPLPPELGNLTTLEELYIDSSGVSGPIPQELSKLKSLSVLWASANGFTGKLPEFIGSLTDLTVLRLEGTLLEGPIPNSYGALTKLDDLRIGDLSNGDSSLNFIENLTSLSILSLRNCRMTGQLPERLSTFSNLKILDLSFNNLTGEIPNSYKDLASLQYLYLGSNNLSGELPANIITPKLIALDISFNQIYGTIPANKSAISVNDVGTSITSERSLDSVCLIKNSVCTEIASSTSFSIDCGGKRIESVSGTEFDDDSETLGAASFYVGSNDHWGVSSSGTYINNPNGPKYTAQTDSQITNTLDSELYKTARISPSSLRYYGLGLKKGVYSIDLHFAEIQMDDSRNSWKGLGKRIFDVYIQGERVLRDFNVKDEAGGSKKALVKTFKANVTNNVLDIHFFWAGKGTCCIPFQSTYGPLVSAIHVTQGSRSRPLFPRESFDA >itb12g03750.t1 pep chromosome:ASM357664v1:12:2458747:2461442:1 gene:itb12g03750 transcript:itb12g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPVSSNENWNSGAGNGWYEPKSVLELRRSPSPVLDQKAAPAGNFDVSDDPLELADNLLTNFEDWDSFMKELGLQDDRKPDNHHNLLFAPSELTHHQSELTHSPEFVPSDFNFSSQFQGNDNISSFDENWSVGFDFIDELIQLAECFDSNAVQLAQAILPQLNQKLSSGAGNPLRRAAFYFKEALQSLLTGSTRSGSSSFEVIQTIKAYKIFSNISPIPMFSSFTANQAMLEAVDGAMLVHVIDFDIGFGSHWASFMKELADKAELSHTKPPILRVTALVPEEYAVESRLIRENLAQFARELNIGLDVDFVLIRTFELLSFKAIKFIDGEKIVVLLSPAIFRRVGSGQFAADLRRVSPHVVVHVDSEGVVGPGPSSFRQTVIEGLEFYSGVLESLEAASVAGGGDWVKKIENFVLFPKIVEMVRAAGAGGMTWKEAFVAAGFRPVGLSQFADFQANFLLGRVQIGGFHVAKRHAEMLLCWHDRALVATSAWR >itb04g27090.t1 pep chromosome:ASM357664v1:4:31201804:31202263:-1 gene:itb04g27090 transcript:itb04g27090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPRVLYSEPAKFVAKLRDMKTDDNMLLFKCECSAGHFSKSGRFEKLKEVAFTYAFILKALSMIPSPGSKTN >itb04g00660.t4 pep chromosome:ASM357664v1:4:354914:359058:-1 gene:itb04g00660 transcript:itb04g00660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKDGLRAKMEYEPDDFIIAIVGSQLLYKGLWLEHALVLQALLPIIQGFQKDGNSISFKIIVLAGSSNSNYSMVVETIALTLKFPKGMVMLVAQDEEKDSILSISDIVIYSSLHEEQSFPDILLKAMCFGKPIVAPDLPIIKKYVDGQVNGFLFPKENIKVLTQIMTQLVSNGNLSLLAQNAALAGLHAARNLMVSQSVEGYALLMENILGFPSEVENLLASLNIAWQGQLFQAIDSNQSQNTHKSLDILEKQLFHTQRMDPVMQIDETFVYSIWEEEKINQAVNRRKQREDEELKGRTDQHSGTWEEVYKNAKRADRAKNDLHERDDKELERTDQPLCIYEPYYGEGTWPFLHHTSLYRGIGLSTKGRRPGLDDIDAPSSLPLLDNTYYRNLLSEYGGFFAIANQIDNIHKNAWIGFQSWRATARKESLSKTAETSLVNAIEAQRYGDTLFFWAPMDMDLTKQDFWSFCDAVNAGNCQFAFSEALKKMYGIEQNLTSLPPMPVDGGTWSSMHSWVLPTRSFLEFVMFSRMFVDALDSQFYDEHHESGYCYLSLSQQDKHCYSRLLELLINVWAYHSARRMVYVDAKTGLMQEQHELKSRRGHMWVKWFQYETLKSLDEELAELADTDPPKKQWLWPATGEVFWQGILEKERNLRNKEREKKKQQSKDKLLRMKQRTRQKALGKYVKPLPKNTENSNSTQAAA >itb04g00660.t5 pep chromosome:ASM357664v1:4:354914:359058:-1 gene:itb04g00660 transcript:itb04g00660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPFKHVPVIWTVHEHALAARLRQYDSSGQNSLVDNWRKVFSRSKFVVFPTYILPIQYSMVDAGNYFVNLGSATEAWEADNFMASNKDGLRAKMEYEPDDFIIAIVGSQLLYKGLWLEHALVLQALLPIIQGFQKDGNSISFKIIVLAGSSNSNYSMVVETIALTLKFPKGMVMLVAQDEEKDSILSISDIVIYSSLHEEQSFPDILLKAMCFGKPIVAPDLPIIKKYVDGQVNGFLFPKENIKVLTQIMTQLVSNGNLSLLAQNAALAGLHAARNLMVSQSVEGYALLMENILGFPSEVENLLASLNIAWQGQLFQAIDSNQSQNTHKSLDILEKQLFHTQRMDPVMQIDETFVYSIWEEEKINQAVNRRKQREDEELKGRTDQHSGTWEEVYKNAKRADRAKNDLHERDDKELERTDQPLCIYEPYYGEGTWPFLHHTSLYRGIGLSTKGRRPGLDDIDAPSSLPLLDNTYYRNLLSEYGGFFAIANQIDNIHKNAWIGFQSWRATARKESLSKTAETSLVNAIEAQRYGDTLFFWAPMDMDLTKQDFWSFCDAVNAGNCQFAFSEALKKMYGIEQNLTSLPPMPVDGGTWSSMHSWVLPTRSFLEFVMFSRMFVDALDSQFYDEHHESGYCYLSLSQQDKHCYSRLLELLINVWAYHSARRMVYVDAKTGLMQEQHELKSRRGHMWVKWFQYETLKSLDEELAELADTDPPKKQWLWPATGEVFWQGILEKERNLRNKEREKKKQQSKDKLLRMKQRTRQKALGKYVKPLPKNTENSNSTQAAA >itb04g00660.t3 pep chromosome:ASM357664v1:4:354891:360723:-1 gene:itb04g00660 transcript:itb04g00660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSYDALFLNSLEAAAVLPCLMQEPFKHVPVIWTVHEHALAARLRQYDSSGQNSLVDNWRKVFSRSKFVVFPTYILPIQYSMVDAGNYFVNLGSATEAWEADNFMASNKDGLRAKMEYEPDDFIIAIVGSQLLYKGLWLEHALVLQALLPIIQGFQKDGNSISFKIIVLAGSSNSNYSMVVETIALTLKFPKGMVMLVAQDEEKDSILSISDIVIYSSLHEEQSFPDILLKAMCFGKPIVAPDLPIIKKYVDGQVNGFLFPKENIKVLTQIMTQLVSNGNLSLLAQNAALAGLHAARNLMVSQSVEGYALLMENILGFPSEVENLLASLNIAWQGQLFQAIDSNQSQNTHKSLDILEKQLFHTQRMDPVMQIDETFVYSIWEEEKINQAVNRRKQREDEELKGRTDQHSGTWEEVYKNAKRADRAKNDLHERDDKELERTDQPLCIYEPYYGEGTWPFLHHTSLYRGIGLSTKGRRPGLDDIDAPSSLPLLDNTYYRNLLSEYGGFFAIANQIDNIHKNAWIGFQSWRATARKESLSKTAETSLVNAIEAQRYGDTLFFWAPMDMDLTKQDFWSFCDAVNAGNCQFAFSEALKKMYGIEQNLTSLPPMPVDGGTWSSMHSWVLPTRSFLEFVMFSRMFVDALDSQFYDEHHESGYCYLSLSQDKHCYSRLLELLINVWAYHSARRMVYVDAKTGLMQEQHELKSRRGHMWVKWFQYETLKSLDEELAELADTDPPKKQWLWPATGEVFWQGILEKERNLRNKEREKKKQQSKDKLLRMKQRTRQKALGKYVKPLPKNTENSNSTQAAA >itb04g00660.t1 pep chromosome:ASM357664v1:4:354887:360723:-1 gene:itb04g00660 transcript:itb04g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESVLPLKRDHSHQQLLRSSSPGASRWERLKSRVTRIVLLNKIDYLQWICSVGVFFFFVLVFQMLLPGTVVEKSENTSVSGDLEFLKELGGLDFGEDVKFQPFELIAKLKQEKRELNGTVESRRGLNFGYRKPKIGLVFANLLVDRYQVMMFHVVSALRKIGYEVEVLTLEHGPAYAVWRDAGIPVNIIETNKSLMIYIDWLNYDALFLNSLEAAAVLPCLMQEPFKHVPVIWTVHEHALAARLRQYDSSGQNSLVDNWRKVFSRSKFVVFPTYILPIQYSMVDAGNYFVNLGSATEAWEADNFMASNKDGLRAKMEYEPDDFIIAIVGSQLLYKGLWLEHALVLQALLPIIQGFQKDGNSISFKIIVLAGSSNSNYSMVVETIALTLKFPKGMVMLVAQDEEKDSILSISDIVIYSSLHEEQSFPDILLKAMCFGKPIVAPDLPIIKKYVDGQVNGFLFPKENIKVLTQIMTQLVSNGNLSLLAQNAALAGLHAARNLMVSQSVEGYALLMENILGFPSEVENLLASLNIAWQGQLFQAIDSNQSQNTHKSLDILEKQLFHTQRMDPVMQIDETFVYSIWEEEKINQAVNRRKQREDEELKGRTDQHSGTWEEVYKNAKRADRAKNDLHERDDKELERTDQPLCIYEPYYGEGTWPFLHHTSLYRGIGLSTKGRRPGLDDIDAPSSLPLLDNTYYRNLLSEYGGFFAIANQIDNIHKNAWIGFQSWRATARKESLSKTAETSLVNAIEAQRYGDTLFFWAPMDMDLTKQDFWSFCDAVNAGNCQFAFSEALKKMYGIEQNLTSLPPMPVDGGTWSSMHSWVLPTRSFLEFVMFSRMFVDALDSQFYDEHHESGYCYLSLSQQDKHCYSRLLELLINVWAYHSARRMVYVDAKTGLMQEQHELKSRRGHMWVKWFQYETLKSLDEELAELADTDPPKKQWLWPATGEVFWQGILEKERNLRNKEREKKKQQSKDKLLRMKQRTRQKALGKYVKPLPKNTENSNSTQAAA >itb04g00660.t2 pep chromosome:ASM357664v1:4:354887:360723:-1 gene:itb04g00660 transcript:itb04g00660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESVLPLKRDHSHQQLLRSSSPGASRWERLKSRVTRIVLLNKIDYLQWICSVGVFFFFVLVFQMLLPGTVVEKSENTSVSGDLEFLKELGGLDFGEDVKFQPFELIAKLKQEKRELNGTVESRRGLNFGYRKPKIGLVFANLLVDRYQVMMFHVVSALRKIGYEVEVLTLEHGPAYAVWRDAGIPVNIIETNKSLMIYIDWLNYDALFLNSLEAAAVLPCLMQEPFKHVPVIWTVHEHALAARLRQYDSSGQNSLVDNWRKVFSRSKFVVFPTYILPIQYSMVDAGNYFVNLGSATEAWEADNFMASNKDGLRAKMEYEPDDFIIAIVGSQLLYKGLWLEHALVLQALLPIIQGFQKDGNSISFKIIVLAGSSNSNYSMVVETIALTLKFPKGMVMLVAQDEEKDSILSISDIVIYSSLHEEQSFPDILLKAMCFGKPIVAPDLPIIKKYVDGQVNGFLFPKENIKVLTQIMTQLVSNGNLSLLAQNAALAGLHAARNLMVSQSVEGYALLMENILGFPSEVENLLASLNIAWQGQLFQAIDSNQSQNTHKSLDILEKQLFHTQRMDPVMQIDETFVYSIWEEEKINQAVNRRKQREDEELKGRTDQHSGTWEEVYKNAKRADRAKNDLHERDDKELERTDQPLCIYEPYYGEGTWPFLHHTSLYRGIGLSTKGRRPGLDDIDAPSSLPLLDNTYYRNLLSEYGGFFAIANQIDNIHKNAWIGFQSWRATARKESLSKTAETSLVNAIEAQRYGDTLFFWAPMDMDLTKQDFWSFCDAVNAGNCQFAFSEALKKMYGIEQNLTSLPPMPVDGGTWSSMHSWVLPTRSFLEFVMFSRMFVDALDSQFYDEHHESGYCYLSLSQDKHCYSRLLELLINVWAYHSARRMVYVDAKTGLMQEQHELKSRRGHMWVKWFQYETLKSLDEELAELADTDPPKKQWLWPATGEVFWQGILEKERNLRNKEREKKKQQSKDKLLRMKQRTRQKALGKYVKPLPKNTENSNSTQAAA >itb09g01870.t1 pep chromosome:ASM357664v1:9:1147728:1151349:-1 gene:itb09g01870 transcript:itb09g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPKAVRTVSQEAFDEMVKENMEDLGMDATEALEDAIQTLTLQGVDLSGIVTCVPGVSSVNDNPVIQTIERLKQLDLKLASSVENDGVLKEIVELLDRLNDSCTGKGSGNVAIATRNGGVQLVSSVLLKLPSAFNQGVISSLKTLASLLHDLQSTEMFRESGGPKAVVGILKDKSEDVNILDNGFSVVAAAATGNEVLKASFMDLKIDELIVQCLREHSSGSIPCLYDAIRVLLTSDDNRVVASQVFGYARRFAKTGIAEALVGSLNQGIRTPSLMSACNALKAIAVNDEICRGVADIGGIDAILCCIDESGQQGNNALAKTCCSLLSKLAGSDVNKGAIVEKRGMERLIKISTLFSDDPSVLQEIMSIICVLSLRSPDKAARAIEAGAGDLAIHAMNRFPESEQLQRSACFMIRNLVVRNPENRALLLGNGIEKLIRKAKSNHRSCKDAATDALRDLGLDDYNS >itb09g01870.t3 pep chromosome:ASM357664v1:9:1149138:1151349:-1 gene:itb09g01870 transcript:itb09g01870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPKAVRTVSQEAFDEMVKENMEDLGMDATEALEDAIQTLTLQGVDLSGIVTCVPGVSSVNDNPVIQTIERLKQLDLKLASSVENDGVLKEIVELLDRLNDSCTGKGSGNVAIATRNGGVQLVSSVLLKLPSAFNQGVISSLKTLASLLHDLQSTEMFRESGGPKAVVGILKDKSEDVNILDNGFSVVAAAATGNEVLKASFMDLKIDELIVQCLREHSSGSIPCLYDAIRVLLTSDDNRVVASQVFGYARRFAKTGIAEALVGSLNQGIRTPSLMSACNALKAIAVNDEICRGVADIGGIDAILCCIDESGQQGNNALAKTCCSLLSKVLSLVTTSCEHISCSGHMIRNL >itb09g01870.t2 pep chromosome:ASM357664v1:9:1147785:1151349:-1 gene:itb09g01870 transcript:itb09g01870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPKAVRTVSQEAFDEMVKENMEDLGMDATEALEDAIQTLTLQGVDLSGIVTCVPGVSSVNDNPVIQTIERLKQLDLKLASSVENDGVLKEIVELLDRLNDSCTGKGSGNVAIATRNGGVQLVSSVLLKLPSAFNQGVISSLKTLASLLHDLQSTEMFRESGGPKAVVGILKDKSEDVNILDNGFSVVAAAATGNEVLKASFMDLKIDELIVQCLREHSSGSIPCLYDAIRVLLTSDDNRVVASQVFGYARRFAKTGIAEALVGSLNQGIRTPSLMSACNALKAIAVNDEICRGVADIGGIDAILCCIDESGQQGNNALAKTCCSLLSKEVM >itb11g23220.t2 pep chromosome:ASM357664v1:11:25124699:25127770:-1 gene:itb11g23220 transcript:itb11g23220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFVGNFEYDTRQSDLERAFSKHGRIERVDMKSGFAFVYFEDDRDAADAIRHLDNMPFGHDRRKLSVEWARGERGRHRDGSKSVANQRPTKTLFVINFDPIRTRVRDIERHFEQYGKIMHVRIRRNFAFVQFETQEEATKALECTHMSKILDRVVSVEYALRDDDERGDRPDSPRRDYGRRGDSPYRRSPSPVYRRNRPSPDYGRPRSPVYDRYNGPSYDRVRSPEYGRHRR >itb11g23220.t1 pep chromosome:ASM357664v1:11:25124699:25127770:-1 gene:itb11g23220 transcript:itb11g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFVGNFEYDTRQSDLERAFSKHGRIERVDMKSGFAFVYFEDDRDAADAIRHLDNMPFGHDRRKLSVEWARGERGRHRDGSKSVANQRPTKTLFVINFDPIRTRVRDIERHFEQYGKIMHVRIRRNFAFVQFETQEEATKALECTHMSKILDRVVSVEYALRDDDERGDRPDSPRRDYGRRGDSPYRRSPSPVYRRNRPSPDYGRPRSPVYDRYNGPSYDRVRSPEYGRHRSRSPVHHRSRA >itb11g23220.t3 pep chromosome:ASM357664v1:11:25124822:25127704:-1 gene:itb11g23220 transcript:itb11g23220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFVGNFEYDTRQSDLERAFSKHGRIERVDMKSGFAFVYFEDDRDAADAIRHLDNMPFGHDRRKLSVEWARGERGRHRDGSKSVANQRPTKTLFVINFDPIRTRVRDIERHFEQYGKIMHVRIRRNFAFVQFETQEEATKALECTHMSKILDRVVSVEYALRDDDERGDRPDSPRRDYGRRGDSPYRRSPSPVYRRNRPSPDYGRPRSPVYDRYNGPSYDRVRSPEYGRHRSRSPVHHRSRA >itb07g22780.t1 pep chromosome:ASM357664v1:7:27306997:27309708:1 gene:itb07g22780 transcript:itb07g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNSDQTEKIMDEVMLPGFRFHPTDEELVGFYLKKKVQHKPLSIELIKQLDIYKYDPWDLPKLAAVGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSETSKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPSISDISTPKRCLDKNIPPNDAWAICRIFKKANSNAQRALSHSWVVSSSPPTIPQTPTTTTTQQTLLTQNPNNLNQVSMATHDFKNSPEIMGLDLLPYNDPSTSYTFPPSLFTHPHMPLQKPTINDASSLLLDMSNSVFGEFVGKGSGESTVDYGGLINDGFSVVGPENAVEGSLQDGGGGGGGGAVVVVGPGKESSNIIDESIRFSFNGFPLNMGAENAWKLSSLVWESSPCPSEISSTSYSTSKCYT >itb04g20920.t1 pep chromosome:ASM357664v1:4:25952338:25957790:1 gene:itb04g20920 transcript:itb04g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSMAILELHIYDHNAVLEKGGILLKILCKLCSLNLPKRKDRKDISLQNSVFHACTYDDDDFTTTSREELVASLHFYEPPNLQTSFAIAMLEVFLDELLVHGKLRAIFRLIDLFSSTSETLFMPQSTQKDISSKKRQSDIGILMELVSTCFLLSFSGENAIEDFLYRLFRAQRKDFKFFKTPEVSLTGAISLLLNPIVFSAPKIVQAYVVSSVSEAMSGLMDVQNLKPNCKLLDCFLSVFEKSVNMYMKLISFVQINGDSPSKYASFVNSSTYRGNFPPPFESYILPSTQEKINSLIKRFEGSSDSFLGNHFFELKSDMTSSCIAYVKDCQCLLDQSCQDDVFSIISCLILRASVSFDETVKPAIKGMDLQAICLLVAVLKLMGTSLLHAISYLRNGESYGSFKTLKDYSLCKEYMSILGSISCFSESKIHFPVQLLDKLHQAQGFHEGYLDELRSLIVSGSDSDSSALPLVRIQEAVIDRRPSIMVVSKFQNIQNLYLSKSKTMVKYCASAEDGWPESSSSASSCLFDKEEMVSVEEETLNGEIFLRCMEPNAKKDSDFGELAEFIECKQGKDYAGWLQDREKYRKWKSKRTAMLRWKRKRKTWKVWKRKSTTGLMVSEYHEKIHPESGSPAPTPPRKPPNFSSALPAAADSQKSALRTAATPASRRSPQSAAHRSLEHRPRHGVTCVRSEQRTPRFQHSRVTASGQNTENRELSSTKPRFKHSTVTESPESGNNREEWSLLSRTRRRRYSDFRVQREEEDRSPVKAAITEGRRRQEIEEEEKARRERIRSPPLW >itb01g34320.t1 pep chromosome:ASM357664v1:1:37085047:37087183:-1 gene:itb01g34320 transcript:itb01g34320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVQSSSVHRVGGFSQLPSCGESSEEELTVLPRHTKVVVTGNNRTKSVLVGLEGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENFQWNGSDMASDDTQKSNRSRHRMLKSSGQSHKTMCRSLSCDAQSKGSVSTQRSMKVDLSKLEMAALWRYLRHFNLVGSIPNPSKEQLIDIVQRHFMSQQLDELQVIVGFVQAAKRLKTVCK >itb06g25880.t1 pep chromosome:ASM357664v1:6:26749108:26760623:-1 gene:itb06g25880 transcript:itb06g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPMATEAQIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPAQRQAYDAYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFTEGEEFDAKKLQEKMRGVQREREEKLAEILKNRLNVYVQGSKEEFRAHAEAEVSRLSNAAYGVDMLNTIGYIYTRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAFALIQLQEDMKRQLSAEGNYTEEELEEYMQSHKKIMIDSLWKLNVADIETTLSRVCQMVLQDNNVKKEELRARAKGLKTLGKVFQRVKSMNGNETETSANTPVQTLDGSEPSYDSHSLNPSSRSQNADEAPYTAFAVQSPYIETPQFPSGQFNSYDFPMPTAPPGAERHA >itb06g25880.t2 pep chromosome:ASM357664v1:6:26749108:26760623:-1 gene:itb06g25880 transcript:itb06g25880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPMATEAQIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPAQRQAYDAYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFTEGEEFDAKKLQEKMRGVQREREEKLAEILKNRLNVYVQGSKEEFRAHAEAEVSRLSNAAYGVDMLNTIGYIYTRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAFALIQLQEDMKRQLSAEGNYTEEELEEYMQSHKKIMIDSLWKLNVADIETTLSRVCQMVLQDNNVKKEELRARAKGLKTLGKVFQRVKSMNGNETETSANTPVQTLDGSEPSYDSHSLNPSSRSQNADEAPYTAFAVQSPYIETPQFPSGQFNSYDFPMPTAPPGAERHA >itb07g14060.t1 pep chromosome:ASM357664v1:7:16446692:16447892:1 gene:itb07g14060 transcript:itb07g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLASDYKQTHLGNRMLAYNGVKSVYTAGELPFQSKDFVVKLADDNGSSRVIMNKLASDYKQTHLGNRMLAYDGVKSVYTTAGELPFQSIDFVVKLADDNGSSR >itb08g00040.t2 pep chromosome:ASM357664v1:8:14210:16228:-1 gene:itb08g00040 transcript:itb08g00040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLQLPVIDLCSPDRTATAREIRRACIDYGFFYLINHGVEETLFRQVFEQSRRFFSLPIEEKMTLDRKQNRGYTPLYAEKLDTSSSRKGDSKESFCIGALEHSDLNQWPSEGDLPSWKATMEDYYERVLNAGKKLVSLIALALNLDEDFFDKAVAINPPNGFLRLLHYPAVPGPLESPDQVIYGASAHSDYGMITLLATDGVPGLQVWFIKIQFNPNVLFLQRTIHGSKQISLTGLQGEI >itb08g00040.t1 pep chromosome:ASM357664v1:8:12507:16228:-1 gene:itb08g00040 transcript:itb08g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLQLPVIDLCSPDRTATAREIRRACIDYGFFYLINHGVEETLFRQVFEQSRRFFSLPIEEKMTLDRKQNRGYTPLYAEKLDTSSSRKGDSKESFCIGALEHSDLNQWPSEGDLPSWKATMEDYYERVLNAGKKLVSLIALALNLDEDFFDKAVAINPPNGFLRLLHYPAVPGPLESPDQVIYGASAHSDYGMITLLATDGVPGLQVCREKFRQPQIWEDVPNLSGAFIVNIGDLTERWTNCLFQSTLHRVVPTGSERYSVAFFLNPNPDCLVECLESCCSESSPCRFPPIRSGDYLEERFRLTYGSQCNEHKSVRADSCHTSLLLLEISNVVQE >itb08g00040.t3 pep chromosome:ASM357664v1:8:14210:16228:-1 gene:itb08g00040 transcript:itb08g00040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLQLPVIDLCSPDRTATAREIRRACIDYGFFYLINHGVEETLFRQVFEQSRRFFSLPIEEKMTLDRKQNRGYTPLYAEKLDTSSSRKGDSKESFCIGALEHSDLNQWPSEGDLPSWKATMEDYYERVLNAGKKLVSLIALALNLDEDFFDKAVAINPPNGFLRLLHYPAVPGPLESPDQVIYGASAHSDYGMITLLATDGVPGLQVCREKFRQPQIWEDVPNLSG >itb05g26420.t1 pep chromosome:ASM357664v1:5:30320249:30321904:1 gene:itb05g26420 transcript:itb05g26420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSTNCVTIKERGLGFPSYLCPAPKKEERKKRKRQFRPKLVSFSYIISHSPPFVHHLILNLFSESLLSSPSHSCSYLKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >itb05g26420.t2 pep chromosome:ASM357664v1:5:30320249:30321904:1 gene:itb05g26420 transcript:itb05g26420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSTNCVTIKERGLGFPSYLCPAPKKEERKKRKRQFRPKLVSFSYIISHSPPFVHHLILNLFSESLLSSPSHSCSYLKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >itb10g05110.t1 pep chromosome:ASM357664v1:10:5155404:5172153:1 gene:itb10g05110 transcript:itb10g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANLLRRRCSSSLPLLLGTVVVLWFCSSPHVTATGSKVEYLPGVQPEGPLPFELETGYIGVGKSEDIQLFYYFVKSESNPSIDPIVLWITGGPGCSSFVAMTEELGPLLFDVPKNNWSLPTLSLNPYSWTKVASFIFLELPVGTGFSYAKSSKNYTATDVETSYHAAQFIRKWLEDHFLYQSNSFYVGGDSYSGITVPMIVQAISYGIDARLKPHVNLKGYLVGNGATFPVHNFDYTYRTARGLGLISEEQYTILEDCIEIRFSDRKCKKELALLHVLQHFYWPNVFGEENDAKRFLGYNVHAFDRHLIFNAALIASQWINHDIVQEALHVRKGCGEKWAKCRIPLRYNKTVIDTRPYHANLSAKGYKSLIYSGNADVYISSLYTEAWIKSLNYSIIDDWRPWFVNNRVVGYTRTFSNNMTYAKILGSDHVAPTITPAECFEMFKKWISHEQL >itb12g27250.t1 pep chromosome:ASM357664v1:12:27746984:27749572:-1 gene:itb12g27250 transcript:itb12g27250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPSGHFRKHWQNYVKTWFNQPARKQRRRAARQKKAVKIFPRPTAGALCPVVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGISVDHRRRNSSLEGLQANAQRLKTYKAKLVVFPRRARKIKAGDSTPEELATATQVNGPYLPILREKASVELVKITDDMKSFKAYDKLRVERMNERHIGARAKRAAEAEKEDKK >itb14g19550.t1 pep chromosome:ASM357664v1:14:22146348:22149537:1 gene:itb14g19550 transcript:itb14g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKKPSPKEVLRDSKREMTHATRGIEKEISALQLEEKKLVAEIKRTAKSGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAIHAQTSVAAGMKGATKAMTAMNKQMAPAKQAKVIQDFQKQSAQMDMITEMMSDAIDDALDDDEAEEETDELTNQVLDEIGVDVASQLSAAPKGKIAGKKVEESSSGMNELEKRLAALRNP >itb11g15330.t1 pep chromosome:ASM357664v1:11:12903271:12904479:-1 gene:itb11g15330 transcript:itb11g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKVAELIKHDRTVWDLELIREILTERDAEVISRMPLAEVRTEDEWYWRWDDSGLYRVRGAYRLLQSEKQMVQFDTPFTHWAKIWKIDVPPRLAHLLWRCVHGILPTRGALARRRVELVNVCALCGEQNESMEHLFQFCHCVGGVWALFPGDLPPMGNDGFQRWMQQVFEGMAQTIELVAWFIDGIWLARNNLIWHGELWDTTAVFSAVMAKMKRWNERSAWGGQNVQNDMQIVDSSPSAWMPPPIGMMKVNVDAALFPEEGKVGFGFIVCDHDKQFVAASRGTLNCINNPQVAEAMAIKEVLTWIMDNMPTQRFIVETDCMVMVAKLKQKQCDTTYLGVVVRSILELMRSCEQVVVTFVKRDINSWAHRLARSVRVNLSVDPEYWSDAPPDCIHGLFVH >itb05g02190.t1 pep chromosome:ASM357664v1:5:1740834:1741803:1 gene:itb05g02190 transcript:itb05g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSNTHPEVVLIKQDDDKFFSRLLTKENCSKGGESSLRFYYYEGSSGSIPFCWESQPGTPKPSFNGGSTPPLTPPPSYQSSAAAGKSVQKASRKPRFLCPSFFFFHKGSSKKTVSDVFAPNSLWSPSASPSCSSSFSSSSAVALNRRRHRRSRSDVGFDEYGDPVVAGGVSPTSTLCGKSFRVGRMKRALLSIVGSRGY >itb10g05660.t1 pep chromosome:ASM357664v1:10:5933990:5936894:1 gene:itb10g05660 transcript:itb10g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASDKVLSYNDVVLRRSDLDILSGPYFLNDRVIEFYLSYLSSSFPSEDVLLVSPSIAFWIKECPDTASVKDFVEPLHLSRRKLIIFPINNNSDVNLAEGGTHWSLLAFERSTNVFVHHDSSSGMNKWDAKRVYNAVLPYTTTDASYIDYPDTPKQENGYDCGVYVLAIARVICNWYASGGSKDNVDLWFPSLKEQITPSAVSEMRDEVLRLVQDLMARK >itb14g06520.t1 pep chromosome:ASM357664v1:14:5776041:5776565:1 gene:itb14g06520 transcript:itb14g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLISFSFVFLTNVETARPRRDALPFRLYAYRQTADGRPQIRRFSSRPSPSSGIRCQEENGGLFVNAA >itb01g30690.t1 pep chromosome:ASM357664v1:1:34618794:34620335:1 gene:itb01g30690 transcript:itb01g30690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQTFMRRCNGGCREVLFSSSIFRATYSTNAAGTSPAATKFLPEYLTNSLGFSRQESASLAAKVSRFASTTKPKLVVDFLKKMGLDSTQIRKCVSRFPKLLYIDVDKTLNPKLQCLQELGLSGSDLSGMIEKGPKFLERGLDSNLKPTLDFLKQVFGNNEDVRAIIKIYPWILSTTCQAMQQNFSYFQKLGFSNEKIKWFIARRPNALQFPPEWFEERVNMLEKDFSIPRNSPMFFYGIYAITSISKSSMDKKMAVFRSFGWSDSDIVTMFHHQPFYFTLSEANIRKKLDYFMNQLGYKPDFLSTRSLFTYSLEKRVLPRVNVLNTLKEKKLVVKTHCLYSIICLTELKFEKMFLLPYKDVVPDMYESYKRRLGR >itb04g06570.t5 pep chromosome:ASM357664v1:4:4274657:4283412:1 gene:itb04g06570 transcript:itb04g06570.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRTDKADELPADKRACSSLEFRASSSNSSVQTPQEGHDGDMDTSSSTSGSTRSDGEGERDSYGSCDSDNSYRDYYRRRSLGDQSKFRNVLTSLTEESEESGQLAALTELCELLSFCTDSSLSSLMADSFSPVLVRLARHEHNPDIMLLAIRALTYLCDVHPRSSGFLVRHDAVPALCQRLMAIEYLDVAEQCLQAMEKISQEQPLACLQSGAIMAVLNYIDFFSTSVQRVALSTVLNICKKLPSENPSPFMDAVPILCNLLQYEDKQLVESVASCLIKIVEQVCHSSDMLVELCKHGLVQQATHLIDLNSRTTLCQPVYIGLIGLLVKLASGSIVAVKTLFDLNISSIVCNILSIHDLSHGMPSTAVVDGQHNQVHEVLKLLHELLPPIAREQEIQPATEKESFLMSRPDLLQKFGIDLLPVLLQVVNSGANLYVCYGCLSIINKLVYFSNSDMLVELLQGTNLASFLAGVFTRKDHHVVMLALQIVDTLLQKLSDVFLNPFVKEGVVFAVDALLSPEKSSQFMFPVSNGVQLSSESSKTSAPRGAQRCLCFAFGVGQSSTNSESGSCKLEIETVQNLAQHIKTNYFAAELMNPEKGLTDVLQKLRTLSSALTDLVNKYLNDVDDSYSQRESDFYDLLHQIMSQLNGKNSISTFEFIESGIVKSVVNYLSNGQYLIGKTENVSSLFWQYSIEKRFEVFGRLLLSSSDPSFEDLPFLSLIRQLQSALSSVENFPVISSHTSRLRNSYATIPYGRCTSYPCLKVQFVKGEGETDLCDYLKDIVNVDPFSSLDTIEGYLSPKVRNKKTEHSKSPSQAMEEEGSASQLSPDLSPSQVRTPDAVELDSIRFDVNQVQLSKREGDDIASSAPVETANTEQANTDPMDITDVHMESLGQRQLHLSEENSIIAECPQCSNEEGSSMKLLFYLEGQKLNNTLTLYQAILQQQIEAENDAITNAKVWNQVHRITYKSSVRRKQSCAQKCHHETHHSALHDKHTPWQQYTPFFANTFASAVVDIDRSNPTYDILSLLRSLEQMNRFRFHLISRERVYAFAEERTNDLDNLKFDYFFVPQTEFVNNKLTDKLEQQMRDPFAVSVGGLPYWCTQLMDSCPFLFGFEARCKYFRLAILGNQPVQQPQLPSNNSGGLNRRQLSNGGFPRKRFLVHRNKILDSASQMMDLYASQKVVIEVEYSEEVGTGLGPTLEFYTLVSHEFQKSGLGMWRGDCMSSGNISLADDAHGSLLFAFGLFPRPWSQSVSALGEIEFSEVLKKFVLLGQIVAKSLQDGRVLDLPFSKAFYKLILGKELSIYDIQSFDPELGRTLLEFHALAERKKHVESLRERKSTLMPELYFRNTKIEDLCLDFSLPGYPDYILTSDSETVDLSNLEEYVSLIVNANIGSGVSRQVESFKSGFDQVFPIKHLQIFSEEELERLLCGEREIWNSNELVDHIKFDHGYTASSSPAINLLEIIQEFNIEKQRAFLQFVTGAPRLPPGGLASLNPKLTIVRKKCSKWADDDLPSVMTCANYLKLPPYTTKVDFISYAALNLS >itb04g06570.t4 pep chromosome:ASM357664v1:4:4274984:4283403:1 gene:itb04g06570 transcript:itb04g06570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRTDKADELPADKRACSSLEFRASSSNSSVQTPQEGHDGDMDTSSSTSGSTRSDGEGERDSYGSCDSDNSYRDYYRRRSLGDQSKFRNVLTSLTEESEESGQLAALTELCELLSFCTDSSLSSLMADSFSPVLVRLARHEHNPDIMLLAIRALTYLCDVHPRSSGFLVRHDAVPALCQRLMAIEYLDVAEQCLQAMEKISQEQPLACLQSGAIMAVLNYIDFFSTSVQRVALSTVLNICKKLPSENPSPFMDAVPILCNLLQYEDKQLVESVASCLIKIVEQVCHSSDMLVELCKHGLVQQATHLIDLNSRTTLCQPVYIGLIGLLVKLASGSIVAVKTLFDLNISSIVCNILSIHDLSHGMPSTAVVDGQHNQVHEVLKLLHELLPPIAREQEIQPATEKESFLMSRPDLLQKFGIDLLPVLLQVVNSGANLYVCYGCLSIINKLVYFSNSDMLVELLQGTNLASFLAGVFTRKDHHVVMLALQIVDTLLQKLSDVFLNPFVKEGVVFAVDALLSPEKSSQFMFPVSNGVQLSSESSKTSAPRGAQRCLCFAFGVGQSSTNSESGSCKLEIETVQNLAQHIKTNYFAAELMNPEKGLTDVLQKLRTLSSALTDLVNKYLNDVDDSYSQRESDFYDLLHQIMSQLNGKNSISTFEFIESGIVKSVVNYLSNGQYLIGKTENVSSLFWQYSIEKRFEVFGRLLLSSSDPSFEDLPFLSLIRQLQSALSSVENFPVISSHTSRLRNSYATIPYGRCTSYPCLKVQFVKGEGETDLCDYLKDIVNVDPFSSLDTIEGYLSPKVRNKKTEHSKSPSQAMEEEGSASQLSPDLSPSQVRTPDAVELDSIRFDVNQVQLSKREGDDIASSAPVETANTEQANTDPMDITDVHMESLGQRQLHLSEENSIIAECPQCSNEEGSSMKLLFYLEGQKLNNTLTLYQAILQQQIEAENDAITNAKVWNQVHRITYKSSVRRKQSCAQKCHHETHHSALHDKHTPWQQYTPFFANTFASAVVDIDRSNPTYDILSLLRSLEQMNRFRFHLISRERVYAFAEERTNDLDNLKFDYFFVPQTEFVNNKLTDKLEQQMRDPFAVSVGGLPYWCTQLMDSCPFLFGFEARCKYFRLAILGNQPVQQPQLPSNNSGGLNRRQLSNGGFPRKRFLVHRNKILDSASQMMDLYASQKVVIEVEYSEEVGTGLGPTLEFYTLVSHEFQKSGLGMWRGDCMSSGNISLADDAHGSLLFAFGLFPRPWSQSVSALGEIEFSEVLKKFVLLGQIVAKSLQDGRVLDLPFSKAFYKLILGKELSIYDIQSFDPELGRTLLEFHALAERKKHVESLRERKSTLMPELYFRNTKIEDLCLDFSLPGYPDYILTSDSETVDLSNLEEYVSLIVNANIGSGVSRQVESFKSGFDQVFPIKHLQIFSEEELERLLCGEREIWNSNELVDHIKFDHGYTASSSPAINLLEIIQEFNIEKQRAFLQFVTGAPRLPPGGLASLNPKLTIVRKCSKWADDDLPSVMTCANYLKLPPYTTKEKMKEKLLYAITEGQGSFYLS >itb04g06570.t3 pep chromosome:ASM357664v1:4:4274984:4283403:1 gene:itb04g06570 transcript:itb04g06570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRTDKADELPADKRACSSLEFRASSSNSSVQTPQEGHDGDMDTSSSTSGSTRSDGEGERDSYGSCDSDNSYRDYYRRRSLGDQSKFRNVLTSLTEESEESGQLAALTELCELLSFCTDSSLSSLMADSFSPVLVRLARHEHNPDIMLLAIRALTYLCDVHPRSSGFLVRHDAVPALCQRLMAIEYLDVAEQCLQAMEKISQEQPLACLQSGAIMAVLNYIDFFSTSVQRVALSTVLNICKKLPSENPSPFMDAVPILCNLLQYEDKQLVESVASCLIKIVEQVCHSSDMLVELCKHGLVQQATHLIDLNSRTTLCQPVYIGLIGLLVKLASGSIVAVKTLFDLNISSIVCNILSIHDLSHGMPSTAVVDGQHNQVHEVLKLLHELLPPIAREQEIQPATEKESFLMSRPDLLQKFGIDLLPVLLQVVNSGANLYVCYGCLSIINKLVYFSNSDMLVELLQGTNLASFLAGVFTRKDHHVVMLALQIVDTLLQKLSDVFLNPFVKEGVVFAVDALLSPEKSSQFMFPVSNGVQLSSESSKTSAPRGAQRCLCFAFGVGQSSTNSESGSCKLEIETVQNLAQHIKTNYFAAELMNPEKGLTDVLQKLRTLSSALTDLVNKYLNDVDDSYSQRESDFYDLLHQIMSQLNGKNSISTFEFIESGIVKSVVNYLSNGQYLIGKTENVSSLFWQYSIEKRFEVFGRLLLSSSDPSFEDLPFLSLIRQLQSALSSVENFPVISSHTSRLRNSYATIPYGRCTSYPCLKVQFVKGEGETDLCDYLKDIVNVDPFSSLDTIEGYLSPKVRNKKTEHSKSPSQAMEEEGSASQLSPDLSPSQVRTPDAVELDSIRFDVNQVQLSKREGDDIASSAPVETANTEQANTDPMDITDVHMESLGQRQLHLSEENSIIAECPQCSNEEGSSMKLLFYLEGQKLNNTLTLYQAILQQQIEAENDAITNAKVWNQVHRITYKSSVRRKQSCAQKCHHETHHSALHDKHTPWQQYTPFFANTFASAVVDIDRSNPTYDILSLLRSLEQMNRFRFHLISRERVYAFAEERTNDLDNLKFDYFFVPQTEFVNNKLTDKLEQQMRDPFAVSVGGLPYWCTQLMDSCPFLFGFEARCKYFRLAILGNQPVQQPQLPSNNSGGLNRRQLSNGGFPRKRFLVHRNKILDSASQMMDLYASQKVVIEVEYSEEVGTGLGPTLEFYTLVSHEFQKSGLGMWRGDCMSSGNISLADDAHGSLLFAFGLFPRPWSQSVSALGEIEFSEVLKKFVLLGQIVAKSLQDGRVLDLPFSKAFYKLILGKELSIYDIQSFDPELGRTLLEFHALAERKKHVESLRERKSTLMPELYFRNTKIEDLCLDFSLPGYPDYILTSDSETVDLSNLEEYVSLIVNANIGSGVSRQVESFKSGFDQVFPIKHLQIFSEEELERLLCGEREIWNSNELVDHIKFDHGYTASSSPAINLLEIIQEFNIEKQRAFLQFVTGAPRLPPGGLASLNPKLTIVRKCSKWADDDLPSVMTCANYLKLPPYTTKEKMKEKLLYAITEGQGSFYLS >itb04g06570.t1 pep chromosome:ASM357664v1:4:4274657:4283412:1 gene:itb04g06570 transcript:itb04g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRTDKADELPADKRACSSLEFRASSSNSSVQTPQEGHDGDMDTSSSTSGSTRSDGEGERDSYGSCDSDNSYRDYYRRRSLGDQSKFRNVLTSLTEESEESGQLAALTELCELLSFCTDSSLSSLMADSFSPVLVRLARHEHNPDIMLLAIRALTYLCDVHPRSSGFLVRHDAVPALCQRLMAIEYLDVAEQCLQAMEKISQEQPLACLQSGAIMAVLNYIDFFSTSVQRVALSTVLNICKKLPSENPSPFMDAVPILCNLLQYEDKQLVESVASCLIKIVEQVCHSSDMLVELCKHGLVQQATHLIDLNSRTTLCQPVYIGLIGLLVKLASGSIVAVKTLFDLNISSIVCNILSIHDLSHGMPSTAVVDGQHNQVHEVLKLLHELLPPIAREQEIQPATEKESFLMSRPDLLQKFGIDLLPVLLQVVNSGANLYVCYGCLSIINKLVYFSNSDMLVELLQGTNLASFLAGVFTRKDHHVVMLALQIVDTLLQKLSDVFLNPFVKEGVVFAVDALLSPEKSSQFMFPVSNGVQLSSESSKTSAPRGAQRCLCFAFGVGQSSTNSESGSCKLEIETVQNLAQHIKTNYFAAELMNPEKGLTDVLQKLRTLSSALTDLVNKYLNDVDDSYSQRESDFYDLLHQIMSQLNGKNSISTFEFIESGIVKSVVNYLSNGQYLIGKTENVSSLFWQYSIEKRFEVFGRLLLSSSDPSFEDLPFLSLIRQLQSALSSVENFPVISSHTSRLRNSYATIPYGRCTSYPCLKVQFVKGEGETDLCDYLKDIVNVDPFSSLDTIEGYLSPKVRNKKTEHSKSPSQAMEEEGSASQLSPDLSPSQVRTPDAVELDSIRFDVNQVQLSKREGDDIASSAPVETANTEQANTDPMDITDVHMESLGQRQLHLSEENSIIAECPQCSNEEGSSMKLLFYLEGQKLNNTLTLYQAILQQQIEAENDAITNAKVWNQVHRITYKSSVRRKQSCAQKCHHETHHSALHDKHTPWQQYTPFFANTFASAVVDIDRSNPTYDILSLLRSLEQMNRFRFHLISRERVYAFAEERTNDLDNLKFDYFFVPQTEFVNNKLTDKLEQQMRDPFAVSVGGLPYWCTQLMDSCPFLFGFEARCKYFRLAILGNQPVQQPQLPSNNSGGLNRRQLSNGGFPRKRFLVHRNKILDSASQMMDLYASQKVVIEVEYSEEVGTGLGPTLEFYTLVSHEFQKSGLGMWRGDCMSSGNISLADDAHGSLLFAFGLFPRPWSQSVSALGEIEFSEVLKKFVLLGQIVAKSLQDGRVLDLPFSKAFYKLILGKELSIYDIQSFDPELGRTLLEFHALAERKKHVESLRERKSTLMPELYFRNTKIEDLCLDFSLPGYPDYILTSDSETVDLSNLEEYVSLIVNANIGSGVSRQVESFKSGFDQVFPIKHLQIFSEEELERLLCGEREIWNSNELVDHIKFDHGYTASSSPAINLLEIIQEFNIEKQRAFLQFVTGAPRLPPGGLASLNPKLTIVRKKCSKWADDDLPSVMTCANYLKLPPYTTKEKMKEKLLYAITEGQGSFYLS >itb04g06570.t2 pep chromosome:ASM357664v1:4:4274657:4283412:1 gene:itb04g06570 transcript:itb04g06570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRTDKADELPADKRACSSLEFRASSSNSSVQTPQEGHDGDMDTSSSTSGSTRSDGEGERDSYGSCDSDNSYRDYYRRRSLGDQSKFRNVLTSLTEESEESGQLAALTELCELLSFCTDSSLSSLMADSFSPVLVRLARHEHNPDIMLLAIRALTYLCDVHPRSSGFLVRHDAVPALCQRLMAIEYLDVAEQCLQAMEKISQEQPLACLQSGAIMAVLNYIDFFSTSVQRVALSTVLNICKKLPSENPSPFMDAVPILCNLLQYEDKQLVESVASCLIKIVEQVCHSSDMLVELCKHGLVQQATHLIDLNSRTTLCQPVYIGLIGLLVKLASGSIVAVKTLFDLNISSIVCNILSIHDLSHGMPSTAVVDGQHNQVHEVLKLLHELLPPIAREQEIQPATEKESFLMSRPDLLQKFGIDLLPVLLQVVNSGANLYVCYGCLSIINKLVYFSNSDMLVELLQGTNLASFLAGVFTRKDHHVVMLALQIVDTLLQKLSDVFLNPFVKEGVVFAVDALLSPEKSSQFMFPVSNGVQLSSESSKTSAPRGAQRCLCFAFGVGQSSTNSESGSCKLEIETVQNLAQHIKTNYFAAELMNPEKGLTDVLQKLRTLSSALTDLVNKYLNDVDDSYSQRESDFYDLLHQIMSQLNGKNSISTFEFIESGIVKSVVNYLSNGQYLIGKTENVSSLFWQYSIEKRFEVFGRLLLSSSDPSFEDLPFLSLIRQLQSALSSVENFPVISSHTSRLRNSYATIPYGRCTSYPCLKVQFVKGEGETDLCDYLKDIVNVDPFSSLDTIEGYLSPKVRNKKTEHSKSPSQAMEEEGSASQLSPDLSPSQVRTPDAVELDSIRFDVNQVQLSKREGDDIASSAPVETANTEQANTDPMDITDVHMESLGQRQLHLSEENSIIAECPQCSNEEGSSMKLLFYLEGQKLNNTLTLYQAILQQQIEAENDAITNAKVWNQVHRITYKSSVRRKQSCAQKCHHETHHSALHDKHTPWQQYTPFFANTFASAVVDIDRSNPTYDILSLLRSLEQMNRFRFHLISRERVYAFAEERTNDLDNLKFDYFFVPQTEFVNNKLTDKLEQQMRDPFAVSVGGLPYWCTQLMDSCPFLFGFEARCKYFRLAILGNQPVQQPQLPSNNSGGLNRRQLSNGGFPRKRFLVHRNKILDSASQMMDLYASQKVVIEVEYSEEVGTGLGPTLEFYTLVSHEFQKSGLGMWRGDCMSSGNISLADDAHGSLLFAFGLFPRPWSQSVSALGEIEFSEVLKKFVLLGQIVAKSLQDGRVLDLPFSKAFYKLILGKELSIYDIQSFDPELGRTLLEFHALAERKKHVESLRERKSTLMPELYFRNTKIEDLCLDFSLPGYPDYILTSDSETVDLSNLEEYVSLIVNANIGSGVSRQVESFKSGFDQVFPIKHLQIFSEEELERLLCGEREIWNSNELVDHIKFDHGYTASSSPAINLLEIIQEFNIEKQRAFLQFVTGAPRLPPGGLASLNPKLTIVRKCSKWADDDLPSVMTCANYLKLPPYTTKEKMKEKLLYAITEGQGSFYLS >itb07g04870.t3 pep chromosome:ASM357664v1:7:3296972:3300492:1 gene:itb07g04870 transcript:itb07g04870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEVRCFSYMPMYHCIAVRMLI >itb07g04870.t1 pep chromosome:ASM357664v1:7:3296972:3300492:1 gene:itb07g04870 transcript:itb07g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb07g04870.t2 pep chromosome:ASM357664v1:7:3296972:3300492:1 gene:itb07g04870 transcript:itb07g04870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRDHQEEREMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb02g14390.t1 pep chromosome:ASM357664v1:2:10490398:10493986:1 gene:itb02g14390 transcript:itb02g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSFLFHKKIPSPTTHLSDHEEDLYGLRNVSIFSYKDLRVATDDFSPTSKIGEGGFGCVYKGRLKNGNMAAIKVLSTKSRQGVQEFLTEIQVISDIEHENLVKLYGCCVEDDHRILVYNYLENNSLAHALLGEGSSGIQYSWKTRTKICIGIAKGLAYLHEQVRPHIIHRDIKASNILLDKDLTPKISDFGLAKLIPPDKSHLSTRVAGTLGYLAPEYAIRGHLTRKADIYSFGVLLIEIVCGRCNTNTRLPIEEQFLLERVWRLYESQELVLLVDTTMDGAFDAEQACKFLQIGLLCTQDAPKLRPSMSRVVKMLTGEMEVDKDTITKPGLITDFMDLKIKNPPKTRPENIKAAYDYILSSESDHSSLPSGTSSQVTTFTTIPNDQSSYLSTNPLQ >itb01g26680.t1 pep chromosome:ASM357664v1:1:31785076:31792393:1 gene:itb01g26680 transcript:itb01g26680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDFKRGVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLAHIAQATRNPNEYQIIMAVLWKRVNDTGKNWRHVYKALTVLEYLVVHGSERIIDEIREHAYQINTLSDFQYVDSSGRDQGSNVRKKSQCLVVLVNDKERIQEVRQKASTNRDKYRSMSMGSMYHHGSYSSTGVYGDRYDEDRYSGRYVSRDDNRNGYGRERERSHRDEDRYGRYGDSYGRNSDHYDREYDYGYRDSDYRGTGTSRSIDEYNYGSRSRSLGRDRDIAYADSGQHSSRVSGARAEDRPRNRSPSGRQPLRRKYSEQNLASPSYEESVGDTQSPTHSARDSETSSAPAPKSSCPHANASPSHDTRALAPEPSAGTPHAPAPENKNVDGLGEFDPHASLSAAHNAPSTSAVPEMDLLGSLFDSFSSNSLAIVPVGPLTGTSQANSSGTSNSEVTFVANQGFDDPFGDEPFKAIPYSNGISDQTPESLRSLTQSPDIPQPVSHSIQLHSTNQNDLSIANREIDILADILPPSGLSPSVYSQSEHPVPTGQTAPHMGFPPFQYASLTSFAAQPAQQSLHSSYQTAQADSQMGFSAQTSQPQSLALIPAQSDQSLQTRFTAQSGQPAHLNGFPTQLGSLPLQMLQSNFRTAQADQSPQTGYTAQSGQSAYFNGFPTQVGFQASSGKIFNPNPSLYGGYNAPFGSLHAFPPSMGTQVSAGASTHDNTPNFLSHHGTAAQVPSQMAFQSSQSQPVPAPHPMQPIPSSAAASLLTSSQPANNNFETKSTIWADTLNRGLVNLNISGPKTNPLADIGVDFDAMNRKEKRMEKPTNTTVTSTVIMGQAMGSGSGIGRAGAGALRPQQTQMVGPGVGMGMNAHPGPGAAGFSMAAYRGLNQPMGMGMGMGMGMSRPMNMGIGQGMQMQQQSAGFPPGPNIAGGGYYPLPGAGNYGQLPFGGGGGGGYQ >itb14g06600.t2 pep chromosome:ASM357664v1:14:5843528:5846975:1 gene:itb14g06600 transcript:itb14g06600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHSSSTNKNAFKALIAAEYNGIKIELVKDFQMGVSNKTPEFLKMNPIGKVPVLETPDGSVFESNAIARYVAKFKPDSALFGSSLIEYGHVEQWNDFSATEVDANIGRWLYPRLGYGVYLPPAEEVAVSALKRALDALNLHLASNTFLVGHSVTLADIIMTCNLSIGFKMIMTKSFTKEFPHVERYFWTMVNQPNFSKILGEIKQAESVPAPPSKKPAQPKEPATPQREEPPKKGAKKEAKKEEPKPKKEEPKSEEGAEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPKFVMDECYDMELYEWREANINDEAQRERVNQMIEDHEPFEGEDLLDAKCFK >itb14g06600.t3 pep chromosome:ASM357664v1:14:5843538:5846970:1 gene:itb14g06600 transcript:itb14g06600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHSSSTNKNAFKALIAAEYNGIKIELVKDFQMGVSNKTPEFLKMNPIGKVPVLETPDGSVFESNAIARYVAKFKPDSALFGSSLIEYGHVEQWNDFSATEVDANIGRWLYPRLGYGVYLPPAEEVAVSALKRALDALNLHLASNTFLVGHSVTLADIIMTCNLSIGFKMIMTKSFTKEFPHVERYFWTMVNQPNFSKILGEIKQAESVPAPPSKKPAQPKEPATPQREEPPKKGAKKEAKKEEPKPKKEEPKSEEGAEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPKFVMDECYDMELYEWREANINDEAQRERVNQMIEDHEPFEGEDLLDAKCFK >itb14g06600.t1 pep chromosome:ASM357664v1:14:5843480:5846975:1 gene:itb14g06600 transcript:itb14g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHSSSTNKNAFKALIAAEYNGIKIELVKDFQMGVSNKTPEFLKMNPIGKVPVLETPDGSVFESNAIARYVAKFKPDSALFGSSLIEYGHVEQWNDFSATEVDANIGRWLYPRLGYGVYLPPAEEVAVSALKRALDALNLHLASNTFLVGHSVTLADIIMTCNLSIGFKMIMTKSFTKEFPHVERYFWTMVNQPNFSKILGEIKQAESVPAPPSKKPAQPKEPATPQREEPPKKGAKKEAKKEEPKPKKEEPKSEEGAEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPKFVMDECYDMELYEWREANINDEAQRERVNQMIEDHEPFEGEDLLDAKCFK >itb05g03130.t1 pep chromosome:ASM357664v1:5:2548144:2553041:1 gene:itb05g03130 transcript:itb05g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MDSAVVDRIIEKLTEVRSSKPGKLVQLTESEIKQLCVASRDIFNKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALVDDKILCMHGGLSPDLSNLDQIRQLQRPTVIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSFTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKNKFMTSTKM >itb05g03130.t2 pep chromosome:ASM357664v1:5:2548170:2553041:1 gene:itb05g03130 transcript:itb05g03130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MDSAVVDRIIEKLTEVRSSKPGKLVQLTESEIKQLCVASRDIFNKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTDCFNCLPVAALVDDKILCMHGGLSPDLSNLDQIRQLQRPTVIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSFTFGPDKVSEFLTKHDLDLVCRAHQVMNPAAFSFFVFLDFQ >itb11g02740.t1 pep chromosome:ASM357664v1:11:1405490:1407144:-1 gene:itb11g02740 transcript:itb11g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKSHRRKQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >itb05g12400.t1 pep chromosome:ASM357664v1:5:18930736:18931385:1 gene:itb05g12400 transcript:itb05g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHFHPISHFTFTLALNRHYRTSYYDEEGDELCKGMSSNNSGSDEWIPEVRCWCGEIAPVRMSWSSANPGKRFRACPRYGVRGNGNCRYFQWLDSDVSDRVAKVIRGLLKRSDKQDSEMQRLQVVIDEKNVNMKKKHLDSKFNFLYGFGIGIVVGFLCFMHWQRTVKSSANVFQLN >itb06g21520.t1 pep chromosome:ASM357664v1:6:24128555:24134674:-1 gene:itb06g21520 transcript:itb06g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDGTVRLGAINMTPERVFNNLDPDFSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRMERRRTLQRRAQEAQIPDEEQEKMLRNLEKRETEYMRLQRHKVGMDDFEQLTVIGKGAFGEVRLCRFRSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLVEVDSRCIVKLFYSFQDSEFLYLIMEYLPGGDIMTLLMREDILAEDVARFYIAESVLAIHSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLENKYSILFGDEDLTTQESTSESERSSGNDKSPWSMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFCSEDPRMTCRKIVNWRTCLKFPDEPKVSDEAKDLICHLLCDVESRLGTKGVEEIKAHPWFSGVKWDMLYEMEAAYRPRVTGELDTQNFEKFPEVEDPPSATPRVGPWRKMLTSKDANFIGFTFKKSDILKSAETSGIDMSSNGPSKPPSLVSLLGRIDLQDTPIAEDEQKEKSS >itb04g26100.t1 pep chromosome:ASM357664v1:4:30504368:30505848:-1 gene:itb04g26100 transcript:itb04g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGTKRTSYIPFLLNPETRSDVIPVRLHFCETIPQARQPISHRRICVNNGMVNITHFKLSHGDIISFKENDARTRGEEIRSYIGKRIGKSPNFRLRSAMQEEYLERTKKFGSEKETMEKQQRDLEFLEMLELEQKEAFLKQEKSFLLKELSRFQSDSESSS >itb01g31250.t1 pep chromosome:ASM357664v1:1:35060987:35061520:1 gene:itb01g31250 transcript:itb01g31250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWNFIAILFLALVNSLSAAKPVKNDTNKMVWGCSATPFRELCLSLLRSDPRSYDAKGYREVGQIMVEFALAKAEETLSFVKSSENKTTDKAVGASLVVCRGLYDRIIRDHIPNALETSKQSEENATRIMGEASSDGESCEKEFSKNHVKSVLTKRNQEFSDIANIARYIWYLDWS >itb01g12350.t1 pep chromosome:ASM357664v1:1:11838966:11839763:1 gene:itb01g12350 transcript:itb01g12350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFQQQQHEDEIFSSLQKESGWNKQRHRKNKNKFVGVRQRPSGRWVAEIKDTTQNIRMWLGTYESAEEAARAYDQAAFLLRGSRSTRTHTNFETIAPPDSNSPLASRIRNLLNTKKSSAKLQRIGSSSITASGQSGGSAENHDTKSSSDEALDFACDDEIRESDDHVFDNTYKPDATSTSLVQSWSFEQGLEFAQQMIDITKTEGEGEVVVGFSEFERMKVERSISASLYAVHGVQEYMHMQAINHPSRTQTQTLWDLPPFFS >itb10g23150.t1 pep chromosome:ASM357664v1:10:27656268:27659923:1 gene:itb10g23150 transcript:itb10g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDKNDYYGGESTSLNLVQLWKRLRGTDKPAENLGASKEYNVDMIPKFMMANGALVRVLIHTKVTKYLNFKAVDGSFVYNKGKVYKVPATDVEALKSSLMGLFEKRRARKFFIYVQEFDEADPKTHHGMNLNTITAKQFIAKYELEDNTIDFIGHALALQTDDKYLEQPAIDFVKKVKLYAESLARFQAGSPYIYPMYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDESGKAYGVTSEGETAKCKKVVCDPSYLTDKVKKVGKVSRAICIMSHPIPHTHDSHSAQVILPQKQLGRKSDMYLFCCSYSHNIAPKGKYIAFVLTEAETDNPEAELKPGVDLLGPVDEIFYETYDRYVPINDSAADNCFISASYDASTHFESTVQDVLAMYTKITGKAVDLSVDLSAASAGGEDEDQ >itb06g13950.t1 pep chromosome:ASM357664v1:6:18592110:18597289:1 gene:itb06g13950 transcript:itb06g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCFDGIKHLGTSLLRCCDLDRQPRGLDDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTRHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDS >itb06g13950.t2 pep chromosome:ASM357664v1:6:18592195:18597289:1 gene:itb06g13950 transcript:itb06g13950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCFDGIKHLGTSLLRCCDLDRQPRGLDDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTRHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDS >itb09g07730.t1 pep chromosome:ASM357664v1:9:4581895:4584048:-1 gene:itb09g07730 transcript:itb09g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAYPNHRGLKVNSAISTLFLLLLLLIIPRPITAECYTSIFAFGDSLTDVGNRVILSDDPNSFKISHPPYGETFFHHPTGRCSDGRLIIDFIAEYYGLPYMPPSASVMMNGGIKASDIEGGVNFAVTGAAAAHSAFYEERGIIDTTTNCSLRVQMGWFKQLLPFFCGTPSECHEKLRSSLFVVGPIGSNDYRNGLSQGKDIKEIRSYVPIVIDTIRATINDLIELGAKTIMVPDTSPDGCLASVLTDFESSNKVDYDPTGCLNWMNELNDYHNQHLQQQLDTIQYCNPGVDIIYADFYNISMELYRHSEKYGFIRSLAACCGVGGKYKFNDDVRCGDIEVKSCPEPWLHIDWDGYHMTAKANILVSMALLDGTYTSPPINTLCAPSMKFTYYD >itb04g21650.t1 pep chromosome:ASM357664v1:4:26742107:26744681:-1 gene:itb04g21650 transcript:itb04g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTTSVPSFSLRPPKSNLSTTSGGGGFFPRADRAAEFCRPVAKSIVRYRNQGAVLTPASSVSTAPTIITDELESASSVVRKFYSGINGRDLASVEGLIAENCVYEDLVFPQPFVGRKAILEFFRKFIDSVGPDLQFVIDDISEEDSSAVGVTWHLEWKGRPFPFSKGCSFYRLEAVDGQRQILYGRDSVEPAIKPGETALVAIRGVAWLLQQFPQLAERL >itb07g19550.t1 pep chromosome:ASM357664v1:7:23984219:23985255:1 gene:itb07g19550 transcript:itb07g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEERVIRRENKYRGIRRRPWGKYAAEIRDPNRNGARLWLGTFDTAEEAARAYDRAAYAFRGHQAILNFPNDGHYAGGNVVPAAAAPGPSSSTPPPPPPPSTSSVYVPSFSVTREDYYERVGDMSEDKIIEFEYLDNNLLEDLLGSQVNKRSKLLSAAPSVRFLRAAMRSWRLPADRRVTVMDPIANSPVLWSTETGAFILNWVLIESLT >itb07g01210.t2 pep chromosome:ASM357664v1:7:728353:734209:-1 gene:itb07g01210 transcript:itb07g01210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MDSPSPAPAKDVKTLVSLYSNYLWTRLRTFLPATSSTYGSSLLAGISNLYGGGGGGGGAAKRRRRKTCLPVPLPTSASVSSSPMTASENSRIFNVLENIIEHTFLNLHYIQKNLEFWQSKAEESNARKAYFMICGRGPCAFFNGTIELIRGYVSDGSGKQHTYGLASSYISERITVLSSLRYCLATFLAQIYMVVDTAGYDLVNDPEKSVSLLLVKINDLFLILEASIGDFHATRQKDSSVEGSYSGPLMFEKLPEVNQDGSQWTDSEVQDAINFINQNLQKLESYLSTIVMKHKRPRKVTLHWMRYTCGIVGISICSIWLLRHSRLMGSSDIDNWICEAKESTISFWNDHVEQPLLSIRDDLFHTFRKRQKGAMEPEEVQITANSLHRMLRAFTEQTKGTMLPENATDNEMLEVVMERYEKEVMHPLQNLLSGELARALLIQVQKLKLDIEEAMLELDQILRANEINFAILAALPAFFLSLIVIMLVRAWFKQDTRAEGRGRVARIQRRLLIVQVERRIMQLESCKDQGLEKDAQFIFGLVLYYLDRLHCAAELHARATGEWIRYARLFVVLA >itb07g01210.t1 pep chromosome:ASM357664v1:7:728309:734305:-1 gene:itb07g01210 transcript:itb07g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGS1 [Source:Projected from Arabidopsis thaliana (AT5G12290) UniProtKB/TrEMBL;Acc:A0A178UP20] MDSPSPAPAKDVKTLVSLYSNYLWTRLRTFLPATSSTYGSSLLAGISNLYGGGGGGGGAAKRRRRKTCLPVPLPTSASVSSSPMTASENSRIFNVLENIIEHTFLNLHYIQKNLEFWQSKAEESNARKAYFMICGRGPCAFFNGTIELIRGYVSDGSGKQHTYGLASSYISERITVLSSLRYCLATFLAQIYMVVDTAGYDLVNDPEKSVSLLLVKINDLFLILEASIGDFHATRQKDSSVEGSYSGPLMFEKLPEVNQDGSQWTDSEVQDAINFINQNLQKLESYLSTIVMKHKRPRKVTLHWMRYTCGIVGISICSIWLLRHSRLMGSSDIDNWICEAKESTISFWNDHVEQPLLSIRDDLFHTFRKRQKGAMEPEEVQITANSLHRMLRAFTEQTKGTMLPENATDNEMLEVVMERYEKEVMHPLQNLLSGELARALLIQVQKLKLDIEEAMLELDQILRANEINFAILAALPAFFLSLIVIMLVRAWFKQDTRAEGRGRVARIQRRLLIVQVERRIMQLESCKDQGLEKDAQFIFGLVLYYLDRLHCAAELHARATGEWISLRQDIIDLAKSDIPTAHKLKITSRMERVYDCLLPLPKRQ >itb05g09750.t3 pep chromosome:ASM357664v1:5:14259752:14271799:-1 gene:itb05g09750 transcript:itb05g09750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEDPFPDELVLPETVLTMLDWPLPPPVEALLQEICREQSQEPPDAEVRRRLADIGEDGAIKILKRISCCTIKHLSSFIIYMVNRYPECISEDNRRRSHESTPPLSRSFSPLGVQVEGLNPLKAKRRLSFYSEPPPECSERGSSGMISEQLKLLSQLEFRKLFLILSYIGSRKKLEDVVSPNEAADILGIWGNLPMTEFESRIWNAYGRQNYDGDRPKYLDWDSGKTHMYYCNVDQGGNCTFKGVRLTTTRTHLQRALGDENVLCVRFPAENECCPKMIADEGILVGLRRYRFFVYKDEKEKKKNLEERKKDPTLLKCYFISIVERSNIGPCEDGRRPYTFSEKKINEARSQFMHVHTVPSIAKYIARFSLILSKTIKFPIDLANVDIQDIEDIPCRDANGHIVYDQDGEPRIHTDGTGYISEDLALKCPKGFRSAKFISDGNFEQFQGFTELEDTNMEGIQYWNREPPPLLMQFRMFNNGRAIKGTLLINKQIGPGKIQIRPSMVKVEKDPKILATSTFNSLEIVAISHKPRRANLSKFLILLLSYGGVPEEYFLALMKSAWEEIKTIYTDKRAALRAAVRYGETDNEFTTAKLISSGVPMDEPYLQDCLSRLANMEKDNLKTGKLPTSDSYYLMGTADPTGTLNSDEVCVILDNGHVTGKVLVYKHPGLHFGDIHIMNAKYVEELNHIVGNAKYGIFFSTKGPISAASEIANSDFDGDMYWVSMNPQLLTYYKASEPWSRIHAVPKAVTKRPSEFMPDELEYELLRVFLEGKKLGNNMAVAADGWLACMDQFLTLGNNCTKEKPADLKRKILHLIDLYYEALDAPKTGKTVNIPRKLMPEKYPHYMERRPSYQSTSVLGKLYDYKEPSIAEEDSQKEIWKLPCFEVEVPETCLSLWKERYHKEYLPEMSEAMNCGPPKSEARKVAANNVMKKYKQLLYEARDFEESRRKDDDIFNEALAIYHVCYDYAKMSNDARKCGFAWRVAASALCKYHAFKQKDRPILILPSILQEILR >itb05g09750.t1 pep chromosome:ASM357664v1:5:14259752:14271799:-1 gene:itb05g09750 transcript:itb05g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEDPFPDELVLPETVLTMLDWPLPPPVEALLQEICREQSQEPPDAEVRRRLADIGEDGAIKILKRISCCTIKHLSSFIIYMVNRYPECISEDNRRRSHESTPPLSRSFSPLGVQVEGLNPLKAKRRLSFYSEPPPECSERGSSGMISEQLKLLSQLEFRKLFLILSYIGSRKKLEDVVSPNEAADILGIWGNLPMTEFESRIWNAYGRQNYDGDRPKYLDWDSGKTHMYYCNVDQGGNCTFKGVRLTTTRTHLQRALGDENVLCVRFPAENECCPKMIADEGILVGLRRYRFFVYKDEKEKKKNLEERKKDPTLLKCYFISIVERSNIGPCEDGRRPYTFSEKKINEARSQFMHVHTVPSIAKYIARFSLILSKTIKFPIDLANVDIQDIEDIPCRDANGHIVYDQDGEPRIHTDGTGYISEDLALKCPKGFRSAKFISDGNFEQFQGFTELEDTNMEGIQYWNREPPPLLMQFRMFNNGRAIKGTLLINKQIGPGKIQIRPSMVKVEKDPKILATSTFNSLEIVAISHKPRRANLSKFLILLLSYGGVPEEYFLALMKSAWEEIKTIYTDKRAALRAAVRYGETDNEFTTAKLISSGVPMDEPYLQDCLSRLANMEKDNLKTGKLPTSDSYYLMGTADPTGTLNSDEVCVILDNGHVTGKVLVYKHPGLHFGDIHIMNAKYVEELNHIVGNAKYGIFFSTKGPISAASEIANSDFDGDMYWVSMNPQLLTYYKASEPWSRIHAVPKAVTKRPSEFMPDELEYELLRVFLEGKKLGNNMAVAADGWLACMDQFLTLGNNCTKEKPADLKRKILHLIDLYYEALDAPKTGKTVNIPRKLMPEKYPHYMERRPSYQSTSVLGKLYDYKEPSIAEEDSQKEIWKLPCFEVEVPETCLSLWKERYHKEYLPEMSEAMNCGPPKSEARKVAANNVMKKYKQSLD >itb05g09750.t2 pep chromosome:ASM357664v1:5:14259752:14271821:-1 gene:itb05g09750 transcript:itb05g09750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEDPFPDELVLPETVLTMLDWPLPPPVEALLQEICREQSQEPPDAEVRRRLADIGEDGAIKILKRISCCTIKHLSSFIIYMVNRYPECISEDNRRRSHESTPPLSRSFSPLGKGVQVEGLNPLKAKRRLSFYSEPPPECSERGSSGMISEQLKLLSQLEFRKLFLILSYIGSRKKLEDVVSPNEAADILGIWGNLPMTEFESRIWNAYGRQNYDGDRPKYLDWDSGKTHMYYCNVDQGGNCTFKGVRLTTTRTHLQRALGDENVLCVRFPAENECCPKMIADEGILVGLRRYRFFVYKDEKEKKKNLEERKKDPTLLKCYFISIVERSNIGPCEDGRRPYTFSEKKINEARSQFMHVHTVPSIAKYIARFSLILSKTIKFPIDLANVDIQDIEDIPCRDANGHIVYDQDGEPRIHTDGTGYISEDLALKCPKGFRSAKFISDGNFEQFQGFTELEDTNMEGIQYWNREPPPLLMQFRMFNNGRAIKGTLLINKQIGPGKIQIRPSMVKVEKDPKILATSTFNSLEIVAISHKPRRANLSKFLILLLSYGGVPEEYFLALMKSAWEEIKTIYTDKRAALRAAVRYGETDNEFTTAKLISSGVPMDEPYLQDCLSRLANMEKDNLKTGKLPTSDSYYLMGTADPTGTLNSDEVCVILDNGHVTGKVLVYKHPGLHFGDIHIMNAKYVEELNHIVGNAKYGIFFSTKGPISAASEIANSDFDGDMYWVSMNPQLLTYYKASEPWSRIHAVPKAVTKRPSEFMPDELEYELLRVFLEGKKLGNNMAVAADGWLACMDQFLTLGNNCTKEKPADLKRKILHLIDLYYEALDAPKTGKTVNIPRKLMPEKYPHYMERRPSYQSTSVLGKLYDYKEPSIAEEDSQKEIWKLPCFEVEVPETCLSLWKERYHKEYLPEMSEAMNCGPPKSEARKVAANNVMKKYKQLLYEARDFEESRRKDDDIFNEALAIYHVCYDYAKMSNDARKCGFAWRVAASALCKYHAFKQKDRPILILPSILQEILR >itb05g09750.t4 pep chromosome:ASM357664v1:5:14259752:14271799:-1 gene:itb05g09750 transcript:itb05g09750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEDPFPDELVLPETVLTMLDWPLPPPVEALLQEICREQSQEPPDAEVRRRLADIGEDGAIKILKRISCCTIKHLSSFIIYMVNRYPECISEDNRRRSHESTPPLSRSFSPLGVQVEGLNPLKAKRRLSFYSEPPPECSERGSSGMISEQLKLLSQLEFRKLFLILSYIGSRKKLEDVVSPNEAADILGIWGNLPMTEFESRIWNAYGRQNYDGDRPKYLDWDSGKTHMYYCNVDQGGNCTFKGVRLTTTRTHLQRALGDENVLCVRFPAENECCPKMIADEGILVGLRRYRFFVYKDEKEKKKNLEERKKDPTLLKCYFISIVERSNIGPCEDGRRPYTFSEKKINEARSQFMHVHTVPSIAKYIARFSLILSKTIKFPIDLANVDIQDIEDIPCRDANGHIVYDQDGEPRIHTDGTGYISEDLALKCPKGFRSAKFISDGNFEQFQGFTELEDTNMEGIQYWNREPPPLLMQFRMFNNGRAIKGTLLINKQIGPGKIQIRPSMVKVEKDPKILATSTFNSLEIVAISHKPRRANLSKFLILLLSYGGVPEEYFLALMKSAWEEIKTIYTDKRAALRAAVRYGETDNEFTTAKLISSGVPMDEPYLQDCLSRLANMEKDNLKTGKLPTSDSYYLMGTADPTGTLNSDEVCVILDNGHVTGKVLVYKHPGLHFGDIHIMNAKYVEELNHIVGNAKYGIFFSTKGPISAASEIANSDFDGDMYWVSMNPQLLTYYKASEPWSRIHAVPKAVTKRPSEFMPDELEYELLRVFLEGKKLGNNMAVAADGWLACMDQFLTLGNNCTKEKPADLKRKILHLIDLYYEALDAPKTGKTV >itb05g09750.t5 pep chromosome:ASM357664v1:5:14259842:14271799:-1 gene:itb05g09750 transcript:itb05g09750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVEDPFPDELVLPETVLTMLDWPLPPPVEALLQEICREQSQEPPDAEVRRRLADIGEDGAIKILKRISCCTIKHLSSFIIYMVNRYPECISEDNRRRSHESTPPLSRSFSPLGVQVEGLNPLKAKRRLSFYSEPPPECSERGSSGMISEQLKLLSQLEFRKLFLILSYIGSRKKLEDVVSPNEAADILGIWGNLPMTEFESRIWNAYGRQNYDGDRPKYLDWDSGKTHMYYCNVDQGGNCTFKGVRLTTTRTHLQRALGDENVLCVRFPAENECCPKMIADEGILVGLRRYRFFVYKDEKEKKKNLEERKKDPTLLKCYFISIVERSNIGPCEDGRRPYTFSEKKINEARSQFMHVHTVPSIAKYIARFSLILSKTIKFPIDLANVDIQDIEDIPCRDANGHIVYDQDGEPRIHTDGTGYISEDLALKCPKGFRSAKFISDGNFEQFQGFTELEDTNMEGIQYWNREPPPLLMQFRMFNNGRAIKGTLLINKQIGPGKIQIRPSMVKVEKDPKILATSTFNSLEIVAISHKPRRANLSKFLILLLSYGGVPEEYFLALMKSAWEEIKTIYTDKRAALRAAVRYGETDNEFTTAKLISSGVPMDEPYLQDCLSRLANMEKDNLKTGKLPTSDSYYLMGTADPTGTLNSDEVCVILDNGHVTGKVLVYKHPGLHFGDIHIMNAKYVEELNHIVGNAKYGIFFSTKGPISAASEIANSDFDGDMYWVSMNPQLLTYYKASEPWSRIHAVPKAVTKRPSEFMPDELEYELLRVFLEGKKLG >itb06g09140.t1 pep chromosome:ASM357664v1:6:13267036:13267365:-1 gene:itb06g09140 transcript:itb06g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEMGLEWVRSRGINRLEIQYDNANVVSAVCSGNGRGETGCRILDNCKRKIGGGEERRLVHVFREQNAVADWLAQRAVVGSEERIVHNKPPLGCIKLVQNDRIGGVVP >itb09g16960.t2 pep chromosome:ASM357664v1:9:12114986:12116968:-1 gene:itb09g16960 transcript:itb09g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCKAESAISVSNSSSPRKANQTKNDQEREKPIKIQEFNYSDLEAATDGFSDQKLLGRGSHGLVYKGVLRTGRLVAIKKSSRGSTPKLLPSYAADNSNEVENEIHILSRLQSPRLVNLVGFTNDDSRDRLLVVEFMANGTLYDVLHSNPRPPTWGRRLKFALQIAKAVETLHSLSPPVIHRDIKSANVLIDRSFNARLGDFGLALRCHGDDYRLRSTPPAGTMGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVAYSPPSVVDWAIPLIRRGKLLAIFDPRIPPPKDPLVRKQLGVVAAKCVRSCRERRPSMKEVGECLSELSKLVPLHSWNGFTNPCMMVEAVGVGRPVESMAPLRNSHRVNSDLGYRSNLMDLMAGVDEASDFRGDDDRLKRKFKSSSRSSSLRYENGKFIGSRSNQSLIRGNDKGHV >itb09g16960.t1 pep chromosome:ASM357664v1:9:12113580:12116967:-1 gene:itb09g16960 transcript:itb09g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCKAESAISVSNSSSPRKANQTKNDQEREKPIKIQEFNYSDLEAATDGFSDQKLLGRGSHGLVYKGVLRTGRLVAIKKSSRGSTPKLLPSYAADNSNEVENEIHILSRLQSPRLVNLVGFTNDDSRDRLLVVEFMANGTLYDVLHSNPRPPTWGRRLKFALQIAKAVETLHSLSPPVIHRDIKSANVLIDRSFNARLGDFGLALRCHGDDYRLRSTPPAGTMGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVAYSPPSVVDWAIPLIRRGKLLAIFDPRIPPPKDPLVRKQLGVVAAKCVRSCRERRPSMKEVGECLSELSKLVPLHSWNGFTNPCMMVEAVGVGRPVESMAPLRNSHRVNSDLGYRSNLMDLMAGVDEASDFRGDDDRLKRKFKSSSRSSSLRYENGKFIGSRSNQSLIRGNDKGHV >itb09g12090.t1 pep chromosome:ASM357664v1:9:7620024:7623668:-1 gene:itb09g12090 transcript:itb09g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFLPKTPAMDPLFPCENSMIMMAIALGMLNSFMANMRDDGEPISYKRDCFGDWCLNFVFPSVFVFAPTSGDEAALFQYTYSAIEEEERPFGDIR >itb05g21020.t1 pep chromosome:ASM357664v1:5:26932551:26935633:1 gene:itb05g21020 transcript:itb05g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMGEENWGVAGLNDQALWATEDDYSAWNNGPSGETTPSNSSHDGKYRSGSEPPNKKSRNSQGSDSHVIVPNRSKAIGKMFFKTKLCCKFRAGVCPYITNCNFAHGLEELRKPPPNWQEIVTAHESDRGGAPVESREEHQIPILSSPDSRAEFQRSYKGRHCKKFYTEEGCPFGDSCTFLHDEQSRSRESVAISVTPTAGSCGGNNSIAVNQKPTNWKTRICNKWETTGYCPFGSKCHFAHGAAELHNFGGGPTEGEGKDFASVPSDVKQGGSLKPVDTMVASATSAPNADVYHLGSGVSLQRPSNITQRPGERPIQKWKGPNRISKIYGDWIDDME >itb05g21020.t2 pep chromosome:ASM357664v1:5:26932551:26934039:1 gene:itb05g21020 transcript:itb05g21020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMGEENWGVAGLNDQALWATEDDYSAWNNGPSGETTPSNSSHDGKYRSGSEPPNKKSRNSQGSDSHVIVPNRSKAIGKMFFKTKLCCKFRAGVCPYITNCNFAHGLEELRKPPPNWQEIVTAHESDRGGAPVESREEHQIPILSSPDSRAEFQRSYKGRHCKKFYTEEGCPFGDSCTFLHDEQSRSRESVAISVTPTAGSCGGNNSIAVNQKPTNWKTRICNKWETTGYCPFGSKCHFAHGAAGKAKFC >itb11g18980.t1 pep chromosome:ASM357664v1:11:19457615:19461271:-1 gene:itb11g18980 transcript:itb11g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNYPVANSILFANSRRRASTRSPFESTVSSLALPLGQPDFTPRRFLPALPNRRSRLTVNSAFDSTRFANTISRSDFPFLCLQEFSPLCSSLSLCSRIRLGLAPLLIGLVEKINNSIGQDPDSQLLIGVLDIYRFESFKTNRCLTGPRELTGAVDLISQFKLLPHHEYFCKRQLPLSISDTHYLHNVVGDTEIRKGEGMQLDQLIEDASFSREMNASIKPFDLDVLGEAFQLRETYPIDLPSSEKGIPTMVGKSKSESKDKEKKHKKHKDKDKDKDKDKDKEHKKHKHRHKDRSKDMDKDKKKDKSGHHDSGADHSKKHHEKKRKHDGEEDINDHKHKKSKHKSSKIDEMGAIKEYIGDEGEGDMVEEEVEDVNEDLESEEDDDHVGEEHGQMVDEAEEDEHDEVVKERRKRKELEIFIDVVS >itb12g07900.t1 pep chromosome:ASM357664v1:12:6050444:6052909:-1 gene:itb12g07900 transcript:itb12g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAITKAKKAYFPSKTTSFATQQAPNPISSRHDHPNLAETHFISLIHSSKSTPHLKSIHAQVLRRCLHIANSRITTQLISASSQHGSINYALSIFRSSCYRNLFIFNAIIRGLCENSCFESSIEHFKLMLRLGVRPDRLTFPFVLKSVMGLRGKRCGAVFHCGIFKMGLDCDSFVRVSLADMYVKIGLLDSALQLFNESPEKNKVESVLLWNVVIDGCCKGGKLSKAVQLFEEMPERNTGSWNSLINGLMRSGEVYKAIEFFDGMDEKNVVSWTTVIHGLMLNGLHEKALELFFKMVDIGVKPNDLTIVSALSACAKIGALEAGVRIHNNMLSHGFRMNAAVGNALLDMYAKCGDIESASQVFNGMKVKDIRTWSVMIWGWAIHGCADKAIQCFEMMILSGIRPDEVVFLSVLTACSHAGRVDQGLNIFNSMKVKYSMVPTMKHYAVIVDLYGRAGQLDEALRFVERMPLEPDHIIWGALFCACRACKNIEMAKYATDKLLKLKPKHPGSYIFLSNVYAGVGKWDDVERVRTSMKSKDVEKDPGWSSMEVDGQVHTFVAGDRAHSLACEIYAKLEDITAGARQQGYVPETEWVLHNIEEEEKEDALGSHSEKLALAFGLISTGHSTVIRIVKNLRVCGDCHSLMKHVTVTSRVQQQTPASLVPPNLPLYLNMIPRKSDYSRTREITLKFATTKASEAPSH >itb08g02600.t1 pep chromosome:ASM357664v1:8:2032784:2035867:-1 gene:itb08g02600 transcript:itb08g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRKEIVAVAMVMMSFTVMLTLADIDNMTDEAYQYKQDGISMVPKGCPNKCGNVSIYYPFGIGHNKDCYLNEWFLINCTKSSDGMEKPYLSSFSDGNNIREILGISFESQAIILKQSISPVCQTTTRFADGSNITIIPDTKLSQTPFFYSYNYNKFMLLGCGNALLTSPGYDILGGCTSLCGEFTERQHLCYGKNCCQIQLNAYYDDIKTYQVNFTNSAALNACSYAFFAYRDWFPESFPGSRRQEELVVPVVWKWTIPHLPPSAPSDYCHHYIKSDGSYTDYYCFCPYPMIGNPLIANGCHGVSGSFGILLLVWGCFILRKTIKKRKMKKQRQKFFKRNGGFLLQQQLLAKDGTIEKAKIFTASELDKATDHFNANRIVGRGGQGTVYKGMLIDGQIIAVKKSQAVDENQLEPFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFIPNGTLLSLIHNNFGDELIPLSWDIRLRIASEVASALAYLHSASSIPIYHRDIKSSNILLDEKFRAKVSDFGTSRSISIDQTHLTTIVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLAELLTGQKPISFEVDNDEDRSLVTRFLLCMEENSLWEILDVEVSEQGKKEDVMAVAWLAQRCLNYNGKKRPTMKEVAAELDVIKASNPHLASAMEILENESGFI >itb04g31490.t1 pep chromosome:ASM357664v1:4:34348021:34350855:1 gene:itb04g31490 transcript:itb04g31490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSILALMMSCFGCGDQVAEPSLTEKLEPNAAAQSVDTSPRVRLSDGRYLAYRERGVPKNKSNYRIIIVHGFGSSKEMSFMASDELLEELGVYLLIYDRAGYGESDPNPKRSLKSEALDIEELADLMELGSKYYVIGVSLGCYPAWSCLKRIPNRLAGVALVVPFINYKWQSLPDALIKDDYRKQLCQWAIWVTRYTPGLLHWWLTQNLFPSATVLDKNPAFFCDRDLDVLKNTPGYQLFTQDGLRNRSVFDSLRQDFIVAFSKWDFDPLELSNPHPQSECSVHLWQGYMDKVVPVELQRYMWKRLPWIQYHEVPQGGHMLVYDNAVCEAILRSLLLGEDSPLYMPNLGN >itb11g15670.t1 pep chromosome:ASM357664v1:11:13508376:13509553:1 gene:itb11g15670 transcript:itb11g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQMKSSLVPINYWPVLMNQSSRKWALPDSAATQCNPSPTSKQPKIRNGAMRLRPRITDTVKGKLILGAKLLQAGGIQKVFKKNFSTVRDGEKLLKASQCYLSTTSGPMHGLLFISTHKVSFLSERSIKIPSSTGKSSMRIHHKVSIPIVKIKRANEKTSAMVELWQNSGVLQLGANRSPSAEQRRLCFPEIQKQSQPSSSLPPTFFKSPPYRNHEHDEQDLQHR >itb02g05830.t1 pep chromosome:ASM357664v1:2:3606040:3608062:-1 gene:itb02g05830 transcript:itb02g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAAAPVILSLYVYAILLFSTAHAYNITQILAKHDDFSTFNRYLTLTHLAAEINRRQTITVLVLNNAAMNDILENHYSLYTIKNILSFHVLADYFGSKKLHHLNNGTTLTATMFQATGDAPGTSGYVNITALKGGKVGFASEDNTGRFASTFVKSVLEKPYKISVIQISRFLSSPYAEAPASGPTDVNITTLMAKQGCKAFADLLESTGADGTFALNVETGLTVFCPTDKVIQGFMPKYKNLSKDGKMSLLLYHGVPVYHNLGMLRSSNGVLNTLATGGSNKYDFTVQNSGDDVTLETKVVTAKITGTMYDEEPLSVFKLDKVLLPRELFKAASKSGSESYGAAPGPNSDDGVPSDQAAGQRNGGVRRSGAWLVAMILTFLCACI >itb12g08210.t1 pep chromosome:ASM357664v1:12:6373110:6374459:1 gene:itb12g08210 transcript:itb12g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYRCMLVVVLGALMIEQAVAAQHVVGGSQGWDESTDFESWASANTFKVGDQLVFRYNPGLHSVVELGSESAYKSCDTSSSVNSLSGGNDVVKLNKAGSRYFACGTAGHCEQGMKLKVTIVTGNAPSNPASTTSTSSAPHLLLPPFVFFFVAALLLPQLHLL >itb04g09080.t1 pep chromosome:ASM357664v1:4:8389796:8393648:1 gene:itb04g09080 transcript:itb04g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRKMELQGHRGSNPKAALSSILNKRHKLQEELRNVEKQVYELETTYLQETGTFGNALRGFEGFLSTSNKSSNLKRSRKFQLEDRLFSLSSVTSPAAEELGLGREDGKPDQGQGRSRAGGITINGQGKPKKGRTGARDTKKVRGSNDLDIDDDDDPDMMSMR >itb02g04830.t1 pep chromosome:ASM357664v1:2:2846135:2851718:1 gene:itb02g04830 transcript:itb02g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRWFLAVLVLWAAFVEGNNNLVFKVKHKYGGRGSSVLRELRAHDSFRHRRMLAAVDFQLGGNGQPTDAALYYTKLAIGTPSKNYYVQVDTGSDILWVNCAGCYKCPKKSNLGIALSLYDMKSSSTAKEVTCDQDFCTTMFNAPYSDCKVGMPCEYQITYGDGSRTAGYFVQDYIHFDQVTGNLQTKSMNGSIAFGCSGQQSGELGTSAEAVDGIIGFGQANSSLISQLAASGKVKKIFSHCLDTENSGGIFAIGQVVQPKVATTPLVPDEPHYNVIMKSIEVDGAPLDIPTYTFDTGSSKGTIVDSGTTLAYLPDTIYDPLMNKMMSKQSSLKIHLVDEQFKCFYYNQNVDNGFPVITFVFQNSLKLTVHPHEYLFEVQDGEWCIGWQNSGMQTKDGKEITLLGDLVLSGKLVVYDLENQTIGWTDHNCSSSIKVKDESSGNVYTVGAHDISSANAQNTGNLFMLLIFILLMM >itb05g13900.t1 pep chromosome:ASM357664v1:5:20977335:20980001:1 gene:itb05g13900 transcript:itb05g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGYFAASSPKYKQIAIHHSLRPFLNPLQSHAAVVITRVALAAGLLSSPHIYATQRSPSARLRSQRSPVVLPQVFGARDLNAWMLTPDMRKGPVFVALFHPLGIVIAAALGIIFLGDIFYVGSLVGSIIIVIGFYYVIWGKAKEMKVANDILVINIESSSEKAPLLENKGGEAGI >itb13g06050.t1 pep chromosome:ASM357664v1:13:7167323:7167787:1 gene:itb13g06050 transcript:itb13g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGNDYGTGFPPSQPSLCENGCGFFGAAATKGLCSKCYRDLRVKEEREAMDKLTLNTSANLRVEIDSLAANPSQPAVSGGEAAAAPPPAPSRCWSCKKKVGLMEFKCRCGCTFCRVHRYPEKHDCTFDFKGQGRDAIAKANPLIKADKIHRF >itb13g15820.t1 pep chromosome:ASM357664v1:13:22755398:22761082:-1 gene:itb13g15820 transcript:itb13g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MGVLVESIHSQSQTVEPVFVDNKDKKKKRRSRRGKQSYPLLSGVDNISNNGELREHQFPRASDVAFSSLPIVHLNEQTVKGYQGLVGQEMISSSAAGRVFSGSCPQPSLCNDANGELMLSTLDNAFAQRKCFTRHWLTEDVDTALERGDVFKALFRVNAHNRLEAYCKIDGVQTDVLVSGTAAQNRAVEGDIVAIEVDPPSLWIKMKGFSSGTVEDSASADEVILESETSETFIENVKGKMKVDSDYGYITSQNQDPPLENGGCCKNDNYKDPIEAGCVNGKGEFLTAAEKLSITVDSFPSKRPTGRVVAIHEASPRRDKIVGFLSIKKWMRNREINKKDSKKNKHSSSVLNYEYLLLTPTDPRFSKMMVPVKSLPDVIKKRLLAGDATVGTDLVGARIVDWGEESCIPEAQIIYAFGRGGKIETHIAAILFENAVDVSEFSPETLSCLPNISWEVPRKEFERRRDIRNLCVFTIDPATATDLDDALSVEKLPNGTFRVGVHIADASYFVLPDTPLDTIAQNRSTSVYLLQSKLPMLPPLLSENLGSLNPGVDRLAFSIFWDINLAGEVLDRWIGRTIIQSCCKLSYEHAQDIINDKFDVPTADVAKKSSWPQLYGHFDWSDVIKSVKILYEISKTLKKNRFSDGALSLNSPKIAFLFDEDGVPYDSLFYQQKDSNLLIEEFMLLANRTVAEVISRAYPSSALLRNHPEPNIRKLREFESFCKKHGLKLDSSSSGQFHHSLELIRQELKNDSVLFDILMSYAARPMQLATYFCSGDVNDTEWGHYALAIPLYTHFTSPLRRYPDIVVHRTLAATIEAEEMYIKCKESFQNGDNLANSRCFTGTAVDGDDIESSEARELLSAAALRHKVPSAETLVDVVAHCNGRKLASRHVKDSTEKLYTWALLKRNELFFSEARVLGLGPRFMSIYINRLAIERRIYYDEVDDLTVEWLDTSSALVLSMCTSKHLNRRGSPGKCRTLEDVAFIVSPCDLNLDDEEDNSKLDDDPGKCRTLEPAVFPLTIQLLSTIPVVLHAVGGEDGPIDIGARLYISSYFR >itb13g15820.t2 pep chromosome:ASM357664v1:13:22755398:22761082:-1 gene:itb13g15820 transcript:itb13g15820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MGVLVESIHSQSQTVEPVFVDNKDKKKKRRSRRGKQSYPLLSGVDNISNNGELREHQFPRASDVAFSSLPIVHLNEQTVKGYQGLVGQEMISSSAAGRVFSGSCPQPSLCNDANGELMLSTLDNAFAQRKCFTRHWLTEDVDTALERGDVFKALFRVNAHNRLEAYCKIDGVQTDVLVSGTAAQNRAVEGDIVAIEVDPPSLWIKMKGFSSGTVEDSASADEVILESETSETFIENVKGKMKVDSDYGYITSQNQDPPLENGGCCKNDNYKGESLYPEKILQINNVNANGHCFSMPDPIEAGCVNGKGEFLTAAEKLSITVDSFPSKRPTGRVVAIHEASPRRDKIVGFLSIKKWMRNREINKKDSKKNKHSSSVLNYEYLLLTPTDPRFSKMMVPVKSLPDVIKKRLLAGDATVGTDLVGARIVDWGEESCIPEAQIIYAFGRGGKIETHIAAILFENAVDVSEFSPETLSCLPNISWEVPRKEFERRRDIRNLCVFTIDPATATDLDDALSVEKLPNGTFRVGVHIADASYFVLPDTPLDTIAQNRSTSVYLLQSKLPMLPPLLSENLGSLNPGVDRLAFSIFWDINLAGEVLDRWIGRTIIQSCCKLSYEHAQDIINDKFDVPTADVAKKSSWPQLYGHFDWSDVIKSVKILYEISKTLKKNRFSDGALSLNSPKIAFLFDEDGVPYDSLFYQQKDSNLLIEEFMLLANRTVAEVISRAYPSSALLRNHPEPNIRKLREFESFCKKHGLKLDSSSSGQFHHSLELIRQELKNDSVLFDILMSYAARPMQLATYFCSGDVNDTEWGHYALAIPLYTHFTSPLRRYPDIVVHRTLAATIEAEEMYIKCKESFQNGDNLANSRCFTGTAVDGDDIESSEARELLSAAALRHKVPSAETLVDVVAHCNGRKLASRHVKDSTEKLYTWALLKRNELFFSEARVLGLGPRFMSIYINRLAIERRIYYDEVDDLTVEWLDTSSALVLSMCTSKHLNRRGSPGKCRTLEDVAFIVSPCDLNLDDEEDNSKLDDDPGKCRTLEPAVFPLTIQLLSTIPVVLHAVGGEDGPIDIGARLYISSYFR >itb08g02370.t1 pep chromosome:ASM357664v1:8:1881236:1884410:-1 gene:itb08g02370 transcript:itb08g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVVMDPVVFFLLLVLCSVPSSIASSSASSLTDTLKQGDALRMNSSQSTFLISANYNFTLGFFKPERTKRTYLAIRFSERDWDGSVWIGNRETPLPTNDSARLHIDAYGRLVLTYTQGQVQGKPYLLSSKQTSRNVTATLLDSGNFVLREVNTDGSFGEELWSSFDYPTNTLLPGMKLGVNHRTGRNWTLTSWKDDHFPAAGAYSLEWEPSKRRMVIKYGGMVHWSSGELMNNAVDFQYRSFSKGFSDQTFKFVNISTKDEEYFSYAVTSVFGENRTAAGWRLDSRGSLYDVIGNQFIIEVRNCYGYGYETQQSRGCEFWGCRRPGHTFEERSGTFIRDTEHGRVVDFEDMMPQNPSGSSSDCRENCWNDCDCVGYREDGWCMYWRGTDLQFEQDNTDKSKSQSKKWIWILIPTAISTVLVVLLLLRRRRRKRDPNRKEYLTWERRLNIIEGIAQGLLYLHKYSRVRIIHRDLKVSNILLDENMNPKIADFGMAKILRQNANEANTMRRGGTYGYMSPEYAMEGIFSIKSDVYSFGVIVLEIVSGRKNNDFHSEDGPLNLVEYAWELWEKDAVLQLIDPAISILRGIEEQLCRCINIGLLCVEYFVADRPSMSDAISMLTNENMALRKPKKPGFVSRCSVADEIQEGKSEKFTVNELSISAMEAR >itb12g25330.t1 pep chromosome:ASM357664v1:12:26582886:26586155:-1 gene:itb12g25330 transcript:itb12g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWFYWGGGGGGAARSSSSASKKGKRSRKQLEVEDDGSAPSPGCMCAVLQLFDLPHFQVVLNHHSHRSLSPVSSFVQDHPFQRPTGVEAPRNSLDMEEPTVGLNPGASKTMRPRLKQEDEEEEAKNLNNIPVGINQIRTSCDSRPSSCKPRSDDFSSECSSSPGGAKTPNLVARLMGLDLLPENASPTFGNSRKSNLQPRTEPRDRARYLLHQDRRSRSFNVLDNADISGAGGTVSLPETPRISLARKSDVDHHHRLSLQINKENAGEEFDQFSSGKRSARSRRREPREALRQMDENIRSPSFYARQIVKQVKESVSRKVGAADITIHKNNLKKDHNLDNNQVVLLKPSKPSIFLQDNNFSPTKHQSCSPRFRFLEPKPTKPPPPNTDNFTPPPKPPQKIIPKPKSQENKNNLKKPAAIRSKKEEPFVRPANINHETKKGKRSELLNPNNVPTILPAFKKDCRECRPSSSPPKLPQKQAQNDALSSKKSSPQQLSSSPSQSYHHPLTTSKEKNIIVRDDRNGAAGEEEAEFQYIQRILKRTGVEKDSSVSLAKWYSSSHPLDPSIFHYIELFHHQTAIKNSKLRLRCNRKLVFQLVDELLVGILKPYLGSRVGFGRNMTGSELMEAICYKVRNFPSADCQVLEDIDSLIETDMEKSRNQEEEEEEERVVLEIERHVVDELMHETAMVVLEGVARGTSVRTVRDRGRS >itb13g15380.t1 pep chromosome:ASM357664v1:13:22195626:22196979:-1 gene:itb13g15380 transcript:itb13g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADHLAGNESCGNLSMEDELSRRAGESIKEIRSHHQSTMAAMEERKQSVLSKGKESVPLGPIPDSYKEAIGASMETIRVLKADLHSTKEFLVLEGHLPLASDRVNENRASALNAKGVAFAEKTTIMGDPGHTVGMDQAKDPSLLKSNAEGTKDNHMNMDGCDRGKFSEENTENISNAPDVCENALMGANPHRVSSSNRPNSVRIGESVSKVNQGKNAANRNQRRPPRNFANFFTGDVTM >itb10g19810.t1 pep chromosome:ASM357664v1:10:25507284:25508759:1 gene:itb10g19810 transcript:itb10g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVYLKTNHFDVKLEGITFKANDFGRLGIEDFLFPEDKVWVRPPIVGIDIMRHPRDPSILLVILCFGLGCVILRFHSGEAIPEPVQRFFTDKRIQFVGFGIPEKADLFPFDELGLVKENTDIGYLASKFLDNPNYIKADLGILAYKILGIKRMFGLTEVSYFERHEQIKSAVCQLFITSVIAMALFSTGENVKAQACSCSSPSKKSSFLKNLSSLPSLTEGWFKLPKASKKEEKYSPERGNNNLRIDTTRSDHTFLDSHCLTSKNKKGSFGHSDLTHVRCKESSDDSSRSNGSNDAWNRVRKAICRKPLKGILKGPSSPNSEAGSSSFDGNSSPSTPKVLSSGQVQYRRANSKGHNVTFKFK >itb12g08300.t1 pep chromosome:ASM357664v1:12:6496654:6498401:1 gene:itb12g08300 transcript:itb12g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRWKGSLRFFIIIIMITLIEWRAEGQLAENFYASTCPNVELIVQQVVGTKFAQTFVTVPATLRLFFHDCFVEGCDASVIIASPNGDAEKDSSDNLSLAGDGFDTVVKAKQAVEAVCPGVVSCADILAIAARDVVVLAGGPAFNVELGRRDGLVSKASRVKGNLPEPTFNLNQLTSMFAKHNLSQLDMIALSGAHTVGFSHCDRFAQRIYSSPVDPSLDPSYAQQLMQDCPRNVDPTIAINMDPTTPRAFDNVYYQNLVAGKGLFTSDQVLFTDTDSRTTVEDFAKNPLDFNGAFASAMRKLGRVGVKTGSQGEIRLDCSKFNS >itb09g08230.t1 pep chromosome:ASM357664v1:9:4929073:4931654:1 gene:itb09g08230 transcript:itb09g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFSFLPLLLLISVSFADGGSIGVNYGRVANNLPSAVKVVELLKSQGLDRVKVYDTDPAVLRAFSGSDIKLTVNLPNEQLYNAAKRESFAYAWVQRNVAAYHPDTKIEAIAVGNEVFVDPHNTTRFLIPAMKNVHEALVKFNLHGDIKVSSPIALSALQNSYPSSAGSFRPELVDMKPLLDFLRQTGSYMMVNCYPFFAYESNSDVIPLDYALFRENPGVVDAGNGLRYFNLFDAQIDAVFAAMTALKYDDIKLVVTETGWPSKGDSNELGASIENAAAYNGNMVRRILTGGGTPLRPDEDLTVYLFALFNENKKFGPTSERNFGLFYPDQRKVYDIPFTAEGLKTYREVRPPVAGNQSISKRGKGNNASGNVSGQTWCVANAEAGKERLQAGLDYACGEGGADCRSIQPGSTCYNPNTLEAHASFAFNSYYQRKGRAGGTCYFGGAAYVVMEQPKFGKCELPTED >itb04g10280.t1 pep chromosome:ASM357664v1:4:9594214:9594950:-1 gene:itb04g10280 transcript:itb04g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNCSSLPPPRPLRHLAEKHRRQQMKGLNRRLASLVPHEKSLEKSPAIDVLDHATNYIKQLENNVNELKARKDNLQLPVVMDVNESKRGESLEINIVCGLEKKMSATNSTVGLKIYHTILCKAFSPRIGMDTIRVQERLKNFICDLG >itb07g24080.t1 pep chromosome:ASM357664v1:7:28348803:28353801:-1 gene:itb07g24080 transcript:itb07g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYLGDIFQDASETLEDENIQQLRISLKIGGNFCPNIRYHQYNGRRFQAEWVVDMPHLQGAGSGGVEGGGGGGRAEEEGDGNGEIGEEEEEEDGGEGDNGNEEIGEDDEDDGGEGDGNEEIGEEEEGDGGEGDGNEEIGEEEENDGGEGDNGNEEIGEDDEDDGGEEDSNEEIGEDEEEERGGSEGTEGGLGGDNDDSHESIGGRGRGGGGENDRGEANVGGHPHLPLPYNIIGRCSAMKYIFRVPKYIRVIEKRTYASQTVSIGPSPYIPKIVSIGPYHYGNPKLSRMECKKKLLFTSLRIDPKCQSSLTAAMTDFEAKARKCYSTKFNSIDTNTFRDMMLIDAFFIIHTFLSFNRWCKNLDDPKLQGEPIFQTAWRQGNICEDLLMLENQVPFFILVKVYAILTNESNEEESGNCLKKLAMQFFKQVELGRSGGGGDDFDSETVTVVADNPKHLLDLFHSSFVVAEDKRKTRKRNNINTNTSSKYWVSSASALRSNGVKFIAITKKGNPLDIQFNHYLGRLRVPTLCINDRTATVLKNLVAYEQGSRLPNPYFTTLAIFFSNIAPNADDIKLLREAHIINHQLAGDGAVVLLLQQLYKASQNGFNNACLIKHHLQLIDRYLISGQAQVMSSLRKRAFSLRVDRGEEIEDVVKRIGITDRIF >itb10g19200.t2 pep chromosome:ASM357664v1:10:25044584:25049207:-1 gene:itb10g19200 transcript:itb10g19200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIPSALPTNITTDQSSLLSLKSHLSLSPYHILTKNWSANTSFCNWIGVVCGSRHHRVIALNMSSMSLVGTLPPQLGNLSFLVSLDISYNYFHGNLPRELANLRRLQYMNFGYNGFSGKIPEEIGNLKNAKWLILKANQLDGSIPFTIFNISTLQQLILQQNSLSSSLPMNLCQHPKSLKALTLNFNKLSGDIPKNLSCCSELEELWLNNNNFAGKIPREIGSLNMLQLLSLGSNNLEGVIPETIFNISALKYILMENNSLSGILPPNMCSQLQKLERLHLYQNKLSGSIPRSIGECSSLKHLIMWGTQLNGPLPREFGNLTMLIDLELTENSLSGTIPDTIFNISTLVYISMSNNSLSGVLPPNMCSRLQKLVDVYLYNNKLYGNIPRSIGECSSLKELLMESNQLNGPVPREIGNLTMLEKLDLSENPLSGTLPKSLGYGLPNLQYLNLCQNHLGGVIPAQISNASNLFHLELCQNEFVGLIPNSLGNLAQLQVLRIHSNNLTSDPQFSLITSLANCRYIQELSLSINPLNIVLTNAFGNLSTTLQIFYADNCNIWGRIPNEIGNLSNLYDFRLSTNNIIGFLPTTIQALQSLQTFRVAQNRLKGSFPDVVCGLGNLFWIDLGKNKFSGPISDCLGNISSLREIYLYENEFTIFPPILWSLQNLFSLDLHSNNLRGSLPHEIGNAKTAILIDLSHNKLSGEIPSTIGGLTALMNFSVAHNAIQEYGQEGLVSTRSDMYSYGIVLLEVFTRTQPGDEMFNEDYLSLRSWVHNAFPTNIGEIIDPNLLRPDEERYDEKLQCALAIFEVGLKCSAESRRERMAIKDALPALEKIKVKLVSLYGRT >itb04g18490.t1 pep chromosome:ASM357664v1:4:21937768:21941184:1 gene:itb04g18490 transcript:itb04g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEQPIHLLLPLLRFCEQLTKTLPQLCDSASLSLTSPPQPVAVVFATHVSVAPFSSFRRRSLVQLSFAMVALSSSRRHLDDPAEVDKLMKIQRELDETKIILVLGIDLSWRLHKASDGQRRRLQICMGLLKPFTNAMADDAKSKITVDSTMNHKKEEVEKKLEKPRESIPPPPEKPLPDELKMHLLQAQQGNAIPGHFHPYVF >itb11g17180.t1 pep chromosome:ASM357664v1:11:16649810:16654044:1 gene:itb11g17180 transcript:itb11g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETGKKLQSFAAQFNLPFSFNVLYIPDLKHLKAEQVEINANEVEANLTSPSFVHRFIDALFYYNTYFDTLEDTVRRDDQYRASIEAGSILDGIRNIVAAEGRERVTRSVSLQVWRDFFGRYRARTSTRIMEELKSSTPSSMIDVTGSTFPALFNPQKTSYSRKVMLQSYG >itb13g04180.t1 pep chromosome:ASM357664v1:13:4677725:4679093:-1 gene:itb13g04180 transcript:itb13g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTEHSKLDDIPYEPRVKFTTPLLFGVAGNDEGFVGSYYEATVVGQLTTGNVYIVQYKNLMTDDFSAPLTENIPLAQIRSQPPQVQFTFFNMYQVVDAFDNDGWWVGQITGKIRNRYYVYFKNFGEEILYQKDNIRIHQDWVHHSWSQPNPNPTPFLINQKRGWEPTSDEPNLDGF >itb06g10110.t1 pep chromosome:ASM357664v1:6:14390015:14392387:-1 gene:itb06g10110 transcript:itb06g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCNEVVRPITNYPPSLWGDQFRHFVFDNEVAKTYAQEIEVLKERVRSMLVSIMNSKLAEKLNFIDTLERLGISYHFNKEIDEMLEKIYNIIPNSDLGDNLYTCALMFRLLRQHGYNISSGVYFEAKYSKARLMLSKIITTVSIIDDTYDAFGMPDELDIFTDALQKWDISQMNHLPDYMKILYKALIDLCEEYDKELSEEGRSFALYYTKERIKELVRAYNIEQKWSIGGCMPPVEDYLKNAQASSTLYLLITASFLGMESVTREAFEWLSQNPRIIEANALLGRVLNDIASYEREKSTRSIATGIDYYMNDYGVSVEEAMEKFQEMAENAWKDTNDDILQSTPPAVTIEILMRIINHARMDEVIYAQRQDGYTYPEKGVKRFITTVLIHSFEI >itb12g06950.t3 pep chromosome:ASM357664v1:12:5172641:5176914:-1 gene:itb12g06950 transcript:itb12g06950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIWIYTAYALGDPSVTVEQVTPPTFPSGALSPSEERIVQLFEKNTYSVVNIFDVTLRPRLNVGGAVEIPEGNGSGVVWDGEGHIVTNYHVIGNSLSRNPTRGEVVARVNILAPDGLQKNFEGKLVGADRSKDLAVLKVDASEDLLKPTKIGESSSLRVGQKCLAIGNPFGFDHTLTVGVISGLNRDIYSQTGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQSGKVVRAGLNIDFAPDAIANQLNVRNGALVLLVPGNSLAAKAGILPTTRGFAGNIVLGDIIEAVDNKPVRNKADLYKVLDDYNVGDKVQLKIRRGNENVELSVVLEEKE >itb12g06950.t4 pep chromosome:ASM357664v1:12:5173601:5176914:-1 gene:itb12g06950 transcript:itb12g06950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCIWIYTAYALGDPSVTVEQVTPPTFPSGALSPSEERIVQLFEKNTYSVVNIFDVTLRPRLNVGGAVEIPEGNGSGVVWDGEGHIVTNYHVIGNSLSRNPTRGEVVARVNILAPDGLQKNFEGKLVGADRSKDLAVLKVDASEDLLKPTKIGESSSLRVGQKCLAIGNPFGFDHTLTVGVISGLNRDIYSQTGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQSGKVVRAGLNIDFAPDAIANQLNVRNGALVLLVPGNSLAAKAGILPTTRGFAGNIVLGDIIEAVDNKPVRNKADLYKVLDDYNVGDKVQLKIRRGNENVELSVVLEEKE >itb12g06950.t2 pep chromosome:ASM357664v1:12:5172667:5176954:-1 gene:itb12g06950 transcript:itb12g06950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVMAHGGPAGNGPCLYGVGTRIRLPSNTSVLRHSSLFSRREICFDGVSTVCSESPSPCDTASSTPRNSGDNCKSLIKMLQRNLPLPTRRMLLASFFMYSIYHPSRYLSAYALGDPSVTVEQVTPPTFPSGALSPSEERIVQLFEKNTYSVVNIFDVTLRPRLNVGGAVEIPEGNGSGVVWDGEGHIVTNYHVIGNSLSRNPTRGEVVARVNILAPDGLQKNFEGKLVGADRSKDLAVLKVDASEDLLKPTKIGESSSLRVGQKCLAIGNPFGFDHTLTVGVISGLNRDIYSQTGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQSGKVVRAGLNIDFAPDAIANQLNVRNGALVLLVPGNSLAAKAGILPTTRGFAGNIVLGDIIEAVDNKPVRNKADLYKVLDDYNVGDKVQLKIRRGNENVELSVVLEEKE >itb12g06950.t1 pep chromosome:ASM357664v1:12:5172425:5176954:-1 gene:itb12g06950 transcript:itb12g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVMAHGGPAGNGPCLYGVGTRIRLPSNTSVLRHSSLFSRREICFDGVSTVCSESPSPCDTASSTPRNSGDNCKSLIKMLQRNLPLPTRRMLLASFFMYSIYHPSRYLSAYALGDPSVTVEQVTPPTFPSGALSPSEERIVQLFEKNTYSVVNIFDVTLRPRLNVGGAVEIPEGNGSGVVWDGEGHIVTNYHVIGNSLSRNPTRGEVVARVNILAPDGLQKNFEGKLVGADRSKDLAVLKVDASEDLLKPTKIGESSSLRVGQKCLAIGNPFGFDHTLTVGVISGLNRDIYSQTGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQSGKVVRAGLNIDFAPDAIANQLNVRNGALVLLVPGNSLAAKAGILPTTRGFAGNIVLGDIIEAVDNKPVRNKADLYKVLDDYNVGDKVQLKIRRGNENVELSVVLEEKE >itb12g06950.t5 pep chromosome:ASM357664v1:12:5173293:5176947:-1 gene:itb12g06950 transcript:itb12g06950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVMAHGGPAGNGPCLYGVGTRIRLPSNTSVLRHSSLFSRREICFDGVSTVCSESPSPCDTASSTPRNSGDNCKSLIKMLQRNLPLPTRRMLLASFFMYSIYHPSRYLSAYALGDPSVTVEQVTPPTFPSGALSPSEERIVQLFEKNTYSVVNIFDVTLRPRLNVGGAVEIPEGNGSGVVWDGEGHIVTNYHVIGNSLSRNPTRGEVVARVNILAPDGLQKNFEGKLVGADRSKDLAVLKVDASEDLLKPTKIGESSSLRVGQKCLAIGNPFGFDHTLTVGVISGLNRDIYSQTGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLRIVPQLIQSGKVVRAGLNIDFAPDAIANQLNVRNGALVLLVPGNSLAAKAGILPTTRGFAGNIVLGDIIEAVDNKPVRNKADLYKVLDDYNVGDKVQLKIRRGNENVELSVVLEEKE >itb10g14070.t1 pep chromosome:ASM357664v1:10:20339516:20340160:-1 gene:itb10g14070 transcript:itb10g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNDEAEMVDGGNKTEIRGRRRSRDCGHGRSKSMEITKLSWTWTVVCFTSLLELTFAPLLLGEYWVEILITYSIHQSVPWGVSALWGMPVLPPVELSGLPATVDPLSRPTATRSITHNLVCVRHF >itb06g05880.t1 pep chromosome:ASM357664v1:6:8585489:8592360:1 gene:itb06g05880 transcript:itb06g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPSGKTRGVPSVQVEYLINIVEIKPWPPSQSLKTHKAVVIQWEHGEKNSGSTNQVAPMLETESGVGNGRIEFNESFRVPVTLLREISSKSVNGDTFQKNCIEFNLYEPRRDTTVKGQLLGTAVIDFANYGVVKEGLNVSVPINCKRSYRNTVQPLLCLKIQTVGKGRTKPLVRNNVRRESSMDSSVGESVSALVSEEYTEEAETASYTDDDVSSHSSLVNSSSAVESNCSSPHQKENGSEGGKNRRAEAENNHVLGSEQKIKNLDKKLVAEPVLSLNASSSSSPSTALSSDLEWISKKIGARNNIKSSTSFEKDSTMECNPNTNVKTNTGQQAEGVDSVVINCENGSQNHSHQRTEESICDGSLVSIDDNRNSNNSVGHIIEADIDESFSTSSNGIQEDARTGATDNGLAEGENTEGHQGNTQELVTERDKHQETGEGKELIIEKGQCSEGEPVNSCLQDTTTKQHLEGNSAIAAGGGSFGAKTTCNERLNHVSSVHTLGESNRANGSVRSNQFVLRDKRNSIEGITSNDWKDTKVRSKETTNVVLERKCQELEQKVQMLEGELREAAAVEIGLFSVVAEHGSSTNKVHAPARRLSRLYFHACKENSVLRRLSAARSVVSGLILVAKACGNDVPRLTFWLSNCVLLRAAICKFSEKQQLPLSSEYSKNAEVKNERKPSPLKWQSFPNKKSVGNDLPGTFEDWENPLGFTKALEKVEAWIFSRIIESIWWQTFTPHMQSGAATSLDSEISKIYQRTSSSCSEDPENFPLELWKKAFRDAYERICPVRAGGHECGCLHLLSKLIMQQCVARLDVAMFNAILRESADDIPTDPVSDPISNPEVLPIPAGKASFAAGAQLKNAIGNWSRWLADLFGIDDDDEDEDLVEDDENTADNSNGHERKGKDACSKPFYLLNAMSDLMMLPKDMLLSRTVRKEVCPTFGLSLIRTVLNVFIPDEFCPDPIPNVVLEALNSEDHMEVEEESVVNFPCSAAPIVYSPPSAAALDGILGDISSSSSQLTRSRSSVLKKSYTSDDELDELDSPLNLITMENSKVTKPRDGSGNGNGVRYQLLREVWMSSE >itb06g05880.t3 pep chromosome:ASM357664v1:6:8585600:8592360:1 gene:itb06g05880 transcript:itb06g05880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPSGKTRGVPSVQVEYLINIVEIKPWPPSQSLKTHKAVVIQWEHGEKNSGSTNQVAPMLETESGVGNGRIEFNESFRVPVTLLREISSKSVNGDTFQKNCIEFNLYEPRRDTTVKGQLLGTAVIDFANYGVVKEGLNVSVPINCKRSYRNTVQPLLCLKIQTVGKGRTKPLVRNNVRRESSMDSSVGESVSALVSEEYTEEAETASYTDDDVSSHSSLVNSSSAVESNCSSPHQKENGSEGGKNRRAEAENNHVLGSEQKIKNLDKKLVAEPVLSLNASSSSSPSTALSSDLEWISKKIGARNNIKSSTSFEKDSTMECNPNTNVKTNTGQQAEGVDSVVINCENGSQNHSHQRTEESICDGSLVSIDDNRNSNNSVGHIIEADIDESFSTSSNGIQEDARTGATDNGLAEGENTEGHQGNTQELVTERDKHQETGEGKELIIEKGQCSEGEPVNSCLQDTTTKQHLEGNSAIAAGGGSFGAKTTCNERLNHVSSVHTLGESNRANGSVRSNQFVLRDKRNSIEGITSNDWKDTKVRSKETTNVVLERKCQELEQKVQMLEGELREAAAVEIGLFSVVAEHGSSTNKVHAPARRLSRLYFHACKENSVLRRLSAARSVVSGLILVAKACGNDVPRLTFWLSNCVLLRAAICKFSEKQQLPLSSEYSKNAEVKNERKPSPLKWQSFPNKKSVGNDLPGTFEDWENPLGFTKALEKVEAWIFSRIIESIWWQTFTPHMQSGAATSLDSEISKIYQRTSSSCSEDPENFPLELWKKAFRDAYERICPVRAGGHECGCLHLLSKLIMQQCVARLDVAMFNAILRESADDIPTDPVSDPISNPEVLPIPAGKASFAAGAQLKNAIGNWSRWLADLFGIDDDDEDEDLVEDDENTADNSNGHERKGKDACSKPFYLLNAMSDLMMLPKDMLLSRTVRKEVCPTFGLSLIRTVLNVFIPDEFCPDPIPNVVLEALNSEDHMEVEEESVVNFPCSAAPIVYSPPSAAALDGILGDISSSSSQLTRSRSSVLKKSYTSDDELDELDSPLNLITMENSKVTKPRDGSGNGNGVRYQLLREVWMSSE >itb06g05880.t4 pep chromosome:ASM357664v1:6:8587334:8592359:1 gene:itb06g05880 transcript:itb06g05880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPSGKTRGVPSVQVEYLINIVEIKPWPPSQSLKTHKAVVIQWEHGEKNSGSTNQVAPMLETESGVGNGRIEFNESFRVPVTLLREISSKSVNGDTFQKNCIEFNLYEPRRDTTVKGQLLGTAVIDFANYGVVKEGLNVSVPINCKRSYRNTVQPLLCLKIQTVGKGRTKPLVRNNVRRESSMDSSVGESVSALVSEEYTEEAETASYTDDDVSSHSSLVNSSSAVESNCSSPHQKENGSEGGKNRRAEAENNHVLGSEQKIKNLDKKLVAEPVLSLNASSSSSPSTALSSDLEWISKKIGARNNIKSSTSFEKDSTMECNPNTNVKTNTGQQAEGVDSVVINCENGSQNHSHQRTEESICDGSLVSIDDNRNSNNSVGHIIEADIDESFSTSSNGIQEDARTGATDNGLAEGENTEGHQGNTQELVTERDKHQETGEGKELIIEKGQCSEGEPVNSCLQDTTTKQHLEGNSAIAAGGGSFGAKTTCNERLNHVSSVHTLGESNRANGSVRSNQFVLRDKRNSIEGITSNDWKDTKVRSKETTNVVLERKCQELEQKVQMLEGELREAAAVEIGLFSVVAEHGSSTNKVHAPARRLSRLYFHACKENSVLRRLSAARSVVSGLILVAKACGNDVPRLTFWLSNCVLLRAAICKFSEKQQLPLSSEYSKNAEVKNERKPSPLKWQSFPNKKSVGNDLPGTFEDWENPLGFTKALEKVEAWIFSRIIESIWWQTFTPHMQSGAATSLDSEISKIYQRTSSSCSEDPENFPLELWKKAFRDAYERICPVRAGGHECGCLHLLSKLIMQQCVARLDVAMFNAILRESADDIPTDPVSDPISNPEVLPIPAGKASFAAGAQLKNAIGNWSRWLADLFGIDDDDEDEDLVEDDENTADNSNGHERKGKDACSKPFYLLNAMSDLMMLPKDMLLSRTVRKEVCPTFGLSLIRTVLNVFIPDEFCPDPIPNVVLEALNSEDHMEVEEESVVNFPCSAAPIVYSPPSAAALDGILGDISSSSSQLTRSRSSVLKKSYTSDDELDELDSPLNLITMENSKVTKPRDGSGNGNGVRYQLLREVWMSSE >itb06g05880.t2 pep chromosome:ASM357664v1:6:8585489:8592359:1 gene:itb06g05880 transcript:itb06g05880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPSGKTRGVPSVQVEYLINIVEIKPWPPSQSLKTHKAVVIQWEHGEKNSGSTNQVAPMLETESGVGNGRIEFNESFRVPVTLLREISSKSVNGDTFQKNCIEFNLYEPRRDTTVKGQLLGTAVIDFANYGVVKEGLNVSVPINCKRSYRNTVQPLLCLKIQTVGKGRTKPLVRNNVRRESSMDSSVGESVSALVSEEYTEEAETASYTDDDVSSHSSLVNSSSAVESNCSSPHQKENGSEGGKNRRAEAENNHVLGSEQKIKNLDKKLVAEPVLSLNASSSSSPSTALSSDLEWISKKIGARNNIKSSTSFEKDSTMECNPNTNVKTNTGQQAEGVDSVVINCENGSQNHSHQRTEESICDGSLVSIDDNRNSNNSVGHIIEADIDESFSTSSNGIQEDARTGATDNGLAEGENTEGHQGNTQELVTERDKHQETGEGKELIIEKGQCSEGEPVNSCLQDTTTKQHLEGNSAIAAGGGSFGAKTTCNERLNHVSSVHTLGESNRANGSVRSNQFVLRDKRNSIEGITSNDWKDTKVRSKETTNVVLERKCQELEQKVQMLEGELREAAAVEIGLFSVVAEHGSSTNKVHAPARRLSRLYFHACKENSVLRRLSAARSVVSGLILVAKACGNDVPRLTFWLSNCVLLRAAICKFSEKQQLPLSSEYSKNAEVKNERKPSPLKWQSFPNKKSVGNDLPGTFEDWENPLGFTKALEKVEAWIFSRIIESIWWQTFTPHMQSGAATSLDSEISKIYQRTSSSCSEDPENFPLELWKKAFRDAYERICPVRAGGHECGCLHLLSKLIMQQCVARLDVAMFNAILRESADDIPTDPVSDPISNPEVLPIPAGKASFAAGAQLKNAIGNWSRWLADLFGIDDDDEDEDLVEDDENTADNSNGHERKGKDACSKPFYLLNAMSDLMMLPKDMLLSRTVRKEVCPTFGLSLIRTVLNVFIPDEFCPDPIPNVVLEALNSEDHMEVEEESVVNFPCSAAPIVYSPPSAAALDGILGDISSSSSQLTRSRSSVLKKSYTSDDELDELDSPLNLITMENSKVTKPRDGSGNGNGVRYQLLREVWMSSE >itb06g14050.t1 pep chromosome:ASM357664v1:6:18656124:18659743:-1 gene:itb06g14050 transcript:itb06g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFITEEIIRQLQKLMDDIDEPLKRTFQNVHQGYPTETLVRFLKARDGNVSKTHKMLLDSLNWRVQNDIDNILSKPILPSNLYRAIRDSHLVGMSGYSKEGLPIIAIGAGLSSFDKASVNYYVQSHIQMNEYRDRVLLPSATKKFGRHIGTCIKVLDMTGLRLSSLNHIKILTAISTIDELNYPEKTDAYYIVNAPYVFSACWKAVRPLLQERTKLKVQVLPGSGKNELLKIMDYASLPHFCNRDGSGSGSGSSRHSRNGKVDNNCFSMDHAFHQQLYNYTKQQAEVTPMKGGSVRVAFPEPDPDDIKISETIESEFQKLHGNETCNAFLEIKINGD >itb06g14050.t3 pep chromosome:ASM357664v1:6:18656124:18659743:-1 gene:itb06g14050 transcript:itb06g14050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFITEEIIRQLQKLMDDIDEPLKRTFQNVHQGYPTETLVRFLKARDGNVSKTHKMLLDSLNWRVQNDIDNILSKPILPSNLYRAIRDSHLVGMSGYSKEGLPIIAIGAGLSSFDKASVNYYVQSHIQMNEYRDRVLLPSATKKFGRHIGTCIKVLDMTGLRLSSLNHIKILTAISTIDELNYPEKTDAYYIVNAPYVFSACWKVCLLYMDPLIRTLFHLHLTSVACTQAVRPLLQERTKLKVQVLPGSGKNELLKIMDYASLPHFCNRDGSGSGSGSSRHSRNGKVDNNCFSMDHAFHQQLYNYTKQQAEVTPMKGGSVRVAFPEPDPDDIKISETIESEFQKLHGNETCNAFLEIKINGD >itb06g14050.t2 pep chromosome:ASM357664v1:6:18656124:18659743:-1 gene:itb06g14050 transcript:itb06g14050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFITEEIIRQLQKLMDDIDEPLKRTFQNVHQGYPTETLVRFLKARDGNVSKTHKMLLDSLNWRVQNDIDNILSKPILPSNLYRAIRDSHLVGMSGYSKEGLPIIAIGAGLSSFDKASVNYYVQSHIQMNEYRDRVLLPSATKKFGRHIGTCIKVLDMTGLRLSSLNHIKILTAISTIDELNYPEKTDAYYIVNAPYVFSACWKAVRPLLQERTKLKVQVLPGSGKNELLKVRYYAKSYSLRCNFTFCFFHQFSNPTSHFRKAGYWIDPSGLCPTIPSHLVLDLAFHASAQQDILSLYLNPMRLGSDMCSVLTKSLNYSETTIYILYIICSAWACNSNADNGLCIPATFLQQRRLWLRLRLFQAFQKWQS >itb02g18350.t1 pep chromosome:ASM357664v1:2:14739610:14750582:-1 gene:itb02g18350 transcript:itb02g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDFVFFGTPIEREEDVSSRKKKAVAEASGQLRSLPAWKQEVRDEEGRRRFHGAFTGGYSAGYYNTVGSKEGWTPQSFTSSRKSRAEVKQQSIYNFLDDDEKDEMEGHLGTSSQYDTFGFTAAELARKQAEKEQKQRPSVIPGPVPDELVVSAAESIGAKLLLKMGWRHGRSIKDSNADSLYEARREARKAFLALSSNDAKGQHVGSEQFEHDIHPIDLPADDDSQFFKSTPNFVLSPKQDLHGLGYDPFKHAPEFREKKRSRASGKKDIGHQGPLSMKDSLFGFKSGRVAPGFGIGALEDLDVEDEDVYTSGFEIEGSYVEEVEEPPLPTKENVKMLDNKKHDVLPGFKAASNSEYQLERFDPPVVPKDFIPFHKFTAPLEVNSRVAESPPPEVPPPEDKNLRILIEGLASLVARAGKLFEDLSREKNQSNPLFGFLTGGSGHEYYSRRLWEERQKHNGQTAKHLEGKIQQNSQMTAESRGKILGEKPLERSSRETSSTVTPADAINFQSSLNETFTKSLPMTEMPEAGKPFRDDPAKQERFEQFLKEKYQGGLRPKDSGGSSTMSEAARARERLEFEAVAEAIEKGKWGIESKPPNELFSDTLAVAASRFTSSTVENTKVDRDEELAKKKLYPKREEFQWRPSPILCKRFDLIDPYMGKPPPAPRARSKMDSLIFMTDSVKSAKVEESVTDRLFSVSEASQEEIRTQKEIEAEIEVENVERPVDLYKAIFSDDSDDEEIMNRTQAKDPEEKVEVANTTLNRLIAGDFLESLGKELGLEVPPDVTFPETKASTTDKEIFNAERPIGNKTSSADNARNVEAMPDKPHLQEILQGDRLKSGGSVDFISHRNDSRTVSSGGYGSDMNRNIPQKGQTDINTKSEASQRHDWSSNSSEENRSRKRKHNRHRTSDSSDSDLSDSSDDYRDRRHEKSKREKNRSSRDRSSSKRHSKHHKHRRRSRSSHHHSGKERGESRREKHKHKE >itb02g18350.t3 pep chromosome:ASM357664v1:2:14739614:14750544:-1 gene:itb02g18350 transcript:itb02g18350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDFVFFGTPIEREEDVSSRKKKAVAEASGQLRSLPAWKQEVRDEEGRRRFHGAFTGGYSAGYYNTVGSKEGWTPQSFTSSRKSRAEVKQQSIYNFLDDDEKDEMEGHLGTSSQYDTFGFTAAELARKQAEKEQKQRPSVIPGPVPDELVVSAAESIGAKLLLKMGWRHGRSIKDSNADSLYEARREARKAFLALSSNDAKGQHVGSEQFEHDIHPIDLPADDDSQFFKSTPNFVLSPKQDLHGLGYDPFKHAPEFREKKRSRASGKKDIGHQGPLSMKDSLFGFKSGRVAPGFGIGALEDLDVEDEDVYTSGFEIEGSYVEEVEEPPLPTKENVKMLDNKKHDVLPGFKAASNSEYQLERFDPPVVPKDFIPFHKFTAPLEVNSRVAESPPPEVPPPEDKNLRILIEGLASLVARAGKLFEDLSREKNQSNPLFGFLTGGSGHEYYSRRLWEERQKHNGQTAKHLEGKIQQNSQMTAESRGKILGEKPLERSSRETSSTVTPADAINFQSSLNETFTKSLPMTEMPEAGKPFRDDPAKQERFEQFLKEKYQGGLRPKDSGGSSTMSEAARARERLEFEAVAEAIEKGKWGIESKPPNELFSDTLAVAASRFTSSTVENTKVDRDEELAKKKLYPKREEFQWRPSPILCKRFDLIDPYMGKPPPAPRARSKMDSLIFMTDSVKSAKVEESVTDRLFSVSEASQEEIRTQKEIEAEIEVENVERPVDLYKAIFSDDSDDEEIMNRTQAKDPEEKVEVANTTLNRLIAGDFLESLGKELGLEVPPDVTFPETKASTTDKEIFNAERPIGNKTSSADNARNVEAMPDKPHLQEILQGDRLKSGGSVDFISHRNDSRTVSSGGYGSDMNRNIPQKGQTDINTKSEASQRHDWSSNSSEENRSRKRKHNRHRTSDSSDSDLSDSSDDYRDRRHEKSKREKNRSSRDRSSSKRHSKHHKHRRRSRSSHHHSGKERGESRREKHKHKE >itb02g18350.t2 pep chromosome:ASM357664v1:2:14739797:14750543:-1 gene:itb02g18350 transcript:itb02g18350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDFVFFGTPIEREEDVSSRKKKAVAEASGQLRSLPAWKQEVRDEEGRRRFHGAFTGGYSAGYYNTVGSKEGWTPQSFTSSRKSRAEVKQQSIYNFLDDDEKDEMEGHLGTSSQYDTFGFTAAELARKQAEKEQKQRPSVIPGPVPDELVVSAAESIGAKLLLKMGWRHGRSIKDSNADSLYEARREARKAFLALSSNDAKGQHVGSEQFEHDIHPIDLPADDDSQFFKSTPNFVLSPKQDLHGLGYDPFKHAPEFREKKRSRASGKKDIGHQGPLSMKDSLFGFKSGRVAPGFGIGALEDLDVEDEDVYTSGFEIEGSYVEEVEEPPLPTKENVKMLDNKKHDVLPGFKAASNSEYQLERFDPPVVPKDFIPFHKFTAPLEVNSRVAESPPPEVPPPEDKNLRILIEGLASLVARAGKLFEDLSREKNQSNPLFGFLTGGSGHEYYSRRLWEERQKHNGQTAKHLEGKIQQNSQMTAESRGKILGEKPLERSSRETSSTVTPADAINFQSSLNETFTKSLPMTEMPEAGKPFRDDPAKQERFEQFLKEKYQGGLRPKDSGGSSTMSEAARARERLEFEAVAEAIEKGKWGIESKPPNELFSDTLAVAASRFTSSTVENTKVDRDEELAKKKLYPKREEFQWRPSPILCKRFDLIDPYMGKPPPAPRARSKMDSLIFMTDSVKSAKVEESVTDRLFSVSEASQEEIRTQKEIEAEIEVENVERPVDLYKAIFSDDSDDEEIMNRTQAKDPEEKVEVANTTLNRLIAGDFLESLGKELGLEVPPDVTFPETKASTTDKEIFNAERPIGNKTSSADNARNVEAMPDKPHLQEILQGDRLKSGGSVDFISHRNDSRTVSSGGYGSDMNRNIPQKGQTDINTKSEASQRHDWSSNSSEENRSRKRKHNRHRTSDSSDSDLSDSSDDYRDRRHEKSKREKNRSSRDRSSSKRHSKHHKHRRRSRSSHHHSGKERGESRREKHKHKE >itb11g12390.t1 pep chromosome:ASM357664v1:11:9233752:9236334:-1 gene:itb11g12390 transcript:itb11g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLHSSKLTFYFYVALVLVFLTIITPCCCSGSGDAQLGNCSGLYSCGGLQNIGYPFCDGDGPCACGGAFSLFCESNKYTLMEWGYRILGIEVPLQKMTVVRNDLWDNICPKQGYVPSNRTTNDRFTYADTVRELNIFYGCSSRVESQVQVHSNLTCSIPGVNESRVVFTDSFIQDVRGCEVSIRVPVHSRAYDELWDGKISVQEAVKQGFDVQFSYPMGACTACENSGGRCGSDNEGQLICHCREGSYPAVCPTNNGQRYGMKLALGIAASAIAVFATVISYYVYRLREKREIKEQKDLEALISPYGSLAPKRYKFSEIKKMTQSFKDKLGQGGYGGVYKGKLPGNDRPVAVKILNFYDGGDGEEFINEVASISQTSHVNVVTLLGFCLDGNKRALVYEFMVNGSLEKYIYGESRLSWEQFYQIALGTARGLEYLHCRCNTQILHFDIKPHNILLDDSFNPKISDFGLAKLCTKKKSNISMVGTRGTIGYIAPEVFSRCYGGVSYKSDVYSYGMMILEMVGGRKKPSCGEAKHSSEVYFARWAYQRLLLDEDLKLQEVTSQEEEETAKKMTLIGLWCIQTDPSQRPSMSKVIEMLEGSLEDLEIPPKPFMCSPLGSRNDTETLALPLSPVHESSASSSSF >itb04g11600.t1 pep chromosome:ASM357664v1:4:11293685:11295252:-1 gene:itb04g11600 transcript:itb04g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPSSPTPQTLRNYKLSLLDILVATFYTTIVFFYDSLGGGHDYDELKDSLMKTLSILYPLAGRMKDGSTTIECNDEGADFVRANVTNCDLGEFLRHPKLEDISQLLPLDPNPNAIDPSQPMLAVQLNRFRCGGTAVAFCVWHGLADAGAMVGLFNTLAAINRGEGPINPGGLVVDASAIFRPGNLVRSPLMPFSLKNRGNYSKRFVFGKQDIERLRNDYYHPSEHRHRPSRVKALSAFIWAAVIRAILPANPNLKTHLLTNVVNLRKKLNPPLPSQCLGNISQAVAARWESEADGDGSVTPGFLVGRVAEAINKVTEDYVREMHVEGGYLRAILDVSKELHKADEIKILSISSWCNFPFSQVDFGWGKPIWISLGHTPEDMAIFLDAEDGGIEVWMGLTQEIMCNLDKDMEFNAYVSFSQIFSEPSCPLRSAVYVEDRERPV >itb03g14060.t1 pep chromosome:ASM357664v1:3:13945019:13952682:-1 gene:itb03g14060 transcript:itb03g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEICLEGFKSYATRTVVSGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYEDCPEITVTRQIVVGGRNKYLINGHLAQPNRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEVLSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEIDKLLDHEILPALEKLRKERMQYMQWANGNAELDRLKRFCIACDYVQAEQIRDNAVKGLEEMKAKISEIDSNADKMREEVKLLENKSSELQAEKEASMGGEVKRFSEKVDALSRNLVKETSVMKNQEDVLQTEKDNAKKIETNLEELKQLAEEKAAAVRNAEAGASDLKKSVQDLSKSLEEYEKEYEGVLAGKSSGNEEKCLEDQLRDAKVSVGTAETELKQLQTKINHCEKELKEKKKQLLSKHEEAAAVENELSARKNEVEKTKSALESLGYKEEQMEALQKDRVVEVGMVQKLKDEIRVLSSQLANVDFTYNDPVKNFDRSRVKGVVAKLIKVKDSSSMTALEVAAGGKLYNIVVDTENTGKQLLQNGGLRRRVTIIPLNKIQSHPVPARAQGAATRLVGKGNAEVALALIGYDEELKTAMEYVFGSTFVCKTTDAAKEVAFNREVGIPSVTLEGDIFQPSGLLTGGSRKGGGELLGKLHAMAEAESKLSLHQKRLSEIDAKINELRPLQSKFKDLKTQLELKSYDLSLFQNRAEQNEHHKLGELVKRIEQELEESKLAVQEKELQYASWVAKVSSLEKSIHDHAGSRESRLKDLEKKIKTVKGQTQSLLKDLKGHENERERLIMEVEAVKQEYTTLEGQLASLKKLITDLTNGVDSQRAKVASLNSDHNLAQTELDSARLKMKECDSQISSILKEQQGIQHKLSETALEKKRMENEVKRMEMDQKDYSVKVEKLLEKHAWIASEKQLFGKSGTDYDFTSRNPSKTKEDFERLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKSKIKKVIEELDEKKKETLKVTWEKVNSDFGSIFSTLLPGTSAKLDPPEGGSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTAAAKSK >itb11g13380.t1 pep chromosome:ASM357664v1:11:10341698:10348459:1 gene:itb11g13380 transcript:itb11g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGQGKWSLQGMTALVTGGTRGIGYAIIEELAGFGAEVYTCSRNQNDVDECVERWREKGLKVAGSACDISSRPQREELMEKVANHFNGKLNILVNNAGTIVPKDATEFTAEDYTIVMGTNFEASFHLCQLAYPYLKASTMGSIVFNSSSAGIMPVIKSSLYSASKGALNQVTKNLACEWAKDNIRVNSVAPWIIRTKLVNDAESYVEGSDEIERMIRRTPISRPGEVGEVSSLVAFLCLPAASYITGQTICVDGGYTITGFP >itb04g07810.t1 pep chromosome:ASM357664v1:4:5400983:5401988:-1 gene:itb04g07810 transcript:itb04g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLLFVTFSLEFVPLAFSSRMLPSSSSSSSSDAVVVDFKGGHLKLGEPYYALSGASMQPAEGLCLDDIKPNETSIGCPHNVVQCTMFYKSILGMPIIFSSSDNTTTTNTTTSNSTTDEGLVKENTSYKIRFSGGTGSCAKETVWGLTFEENETTSEFVTTDPAAPPVEFQLKKQGSGYAIVYCEIIPLARIPVCFSIGFRQYGLYYSRLVVGLDIEPVEFSFVKETTNVAALPRASS >itb07g20850.t1 pep chromosome:ASM357664v1:7:25272252:25278617:-1 gene:itb07g20850 transcript:itb07g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVEPTLHDESESSAIEPTTDEISSQISSTPLQSRNNSWYKLKRLDSLDLESNKFKGRQNHHHASKGLGWSVVLQLAFQSIGVVYGDIGTSPLYVYSSTFTNPIKNDDDILGVLSLIYYTITLIPLIKYVFIVLRANDNGDGGTFAMYSLICRYAKVGLIPSQEAEDGDVSTFKLELPNHRVRLASKLKSNLENSTVAKFILLFATMLGTSMVIGDGVLTPCISVLSAVGGLKEATSKLNEDTVVWISVAILVFLFMIQRFGTDKVGYSFAPIICLWFFSIAMIGVYNLAKHDHTVLKAVNPKYIVDYFRRNKKDAWISLGGVVLAITGTEALFADVGHFSVRSIQISMCSVTYPALILAYTGQAAFLRNHKDLVSDTFYKSIPKSVYWPMFGVAVAAAIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSAKYEGQVYIPEVNYLLMVACVAVTLGFRTTARIGNAYGIAVVFVMTLTSTLLVLIMIMIWKTNIFLVILYVLVIGSVELLYLSSVLYKFDQGGYLPLAFALFLMFIMFTWNMVYRKKYYFELDNKVSSDKVMEILTKTNSCRLPGLAVFYSELVHGIPPIFKHYVENVPALHSVLVFVSIKSLPISKVPVEERFLFRRVEPREMCVFRCVVRYGYTDVRNEEEPFERLLVERLKEFVRDDIVFRALTNNKEGDERSGEISNSKGEDVEGLSQRDIEVVDRAWRSGVVHLVGEQEVVAGKGAGIANKLLINYVYNFLKRNLRQSYKVFDIPQKRMLKVGMTYEL >itb12g15440.t1 pep chromosome:ASM357664v1:12:15753070:15753513:1 gene:itb12g15440 transcript:itb12g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFEEQGLRGFGALIKNGDDQYIAAKTSTQVCSFDLYHVELWAIKEVLPWNREENWSNVTIFPDCMNACNSLNCFSFDRKYVSVVTGECQNILKGLHQVSIKHIAMIRNIQAHVLAKSTILYRDSRYWCFEPPFVPLSSLLRNGIF >itb03g20250.t1 pep chromosome:ASM357664v1:3:18195123:18199110:-1 gene:itb03g20250 transcript:itb03g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MGSSSNGIQPAAISSGDGAAPAVDKDVDFANYFCTYAFLYHQKDMLTDRVRMDSYYNAVFQNKHHFAGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMADHARELVRANNLEGVVEVIEASIEDVNLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPNGVMYPSHARMWVAPIRSGVGDQKKIDYDGAVDDWHHFVNDTKTHYGVDMSVLTKPYLEEQKKYYLQTSLWSNLHPNQVIGQPAIIKEIDCLTSTVNDIRNVRGSVSSLITAEIARLCGFGGWFDVHFKGRDDNPVKQEVELTTAPSEEFGTHWGQQVFLLHPPKRVAKGDDIRIKFDMIRSKQNHRLLEVEFDCEIRHSSGDPNPSFRKKFFIE >itb09g09990.t2 pep chromosome:ASM357664v1:9:6190925:6195418:1 gene:itb09g09990 transcript:itb09g09990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEAIVPNFSEAEQEGGTKEGAERGGEEEEDHSLFSVKNFLWHGGSTWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVFQIFYGIVGSWTAYLISVLYIEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAIAHGQVEGVQHSGPQKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVYTLTIPSATAVYWAFGDQLLDHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTTSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQVT >itb09g09990.t1 pep chromosome:ASM357664v1:9:6190875:6195479:1 gene:itb09g09990 transcript:itb09g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEAIVPNFSEAEQEGGTKEGAERGGEEEEDHSLFSVKNFLWHGGSTWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVFQIFYGIVGSWTAYLISVLYIEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAIAHGQVEGVQHSGPQKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVYTLTIPSATAVYWAFGDQLLDHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTTSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFLPSWTAMYAINIFIVGWVLVVGFGFGGWASVTNFIKQVDTFGLFAKCYQCKPPKPVALPPHNATAHH >itb12g06180.t1 pep chromosome:ASM357664v1:12:4579859:4581913:-1 gene:itb12g06180 transcript:itb12g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLHVLVLSVLCSVLCWAFVRKRRSSRSSVPTNWPIIGMVPAILGNAHRIHDYLTELVKLGNGTFEFKGPNRHIFGNMDMLFTCDPANINHILCKNFANYPKGPHFMKIFGGALGDGIISTDSELWELHRKTTTPLMNHPNFRALLERNVAEKIENGLFPVLDHYAEQGIQVDLQEIFYMSTFDVSCLQFFDVDPGTLSLDSPGDHPFCKAIREAVNAIFYRHILPERCWKLQKWFAGIDREKKLSEAVKTVDQFIYPILLERGKILPRTDQLNKNQQLPSNFSMVTSHIEAHRGKSMQFLRDTFLALIISGADTMGSALTWFFWLLAKNSLVESKILEEILQLNLVKEDNKVVVFKAEECHKLIYLHAAFCESLRLFPSFPINNKTPMETDILPSGHVVTPNTKILLPFHSMGRMDAIWGEDCLEFKPERWISPDGRRIKHNPSYKFPAFNAGPRSCIGREMAFIETKMVVASTICRFECQLVEPHSTPLLRESFLLEMKHGLKVKLTKRKYL >itb12g00820.t1 pep chromosome:ASM357664v1:12:586702:588018:-1 gene:itb12g00820 transcript:itb12g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHRFEEADEAMKKGPNFDVDECTKFLGPIGNASLLIVQAQVDMGAGRVEEAAAAAQRASQLDANSKEVGTVARRTRAVAAARLNGNELFKAGRFSEASVAYGEGLEHDPYNAVLLCNRAACRTKLGQYEKALEDCNAAVNLRPSFTKARLRRSDCLFKMGKWEACMQECEVLMKETPDNEEIGKMMKEAQLLLRKHHENGSREGERSKC >itb07g21510.t1 pep chromosome:ASM357664v1:7:25877670:25879304:1 gene:itb07g21510 transcript:itb07g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSFPSGSAPFNHDRHLHPKGSVNDELRSSKDDNNDWFEMEGCDDFNSLFAGDKRIEHLIIEDQNQIPSPNPQVSELKPQQTPFSSACFEILKCYGRFFKDRSSLTSKTDRIDVSGAGGKLSVAEILKLAGERYIQFFAQKADGFSAFFHPYASALSGISVDDIRDVEIVHLLLAAGEEVSRRQYHLSTGFISRCLWIASDSGTPVQRLAFQFAEALTERIERETGRFKGVSEERLARCRESMALSSNPAILASHQGLPFGQVIQFAGIQAIIERVKNARKIRLLDINIRTGIQWTILMQALAEQDRSSPIERIRLTAVGVVEREKMEECGKRLQSFANSLNLPFYFDTVFLSDLKDFREDLVQVEDEEVVAVMANIVLRTMIARPDCLDTLMRGIRRLRPAVMVVAEVEANHNSPSFINRFVEALFFYGAYFDCLEDCLDRDDPCRRIMEGLHFGEGIRNIVATEGEERFTRNVKIEVWRAYFARFSMEEMQLSDSSVYQANLVGEKFGNGNSCTLDSSGKGLIIGWKGIPMHSVTCWKFS >itb02g11180.t1 pep chromosome:ASM357664v1:2:7295699:7299429:-1 gene:itb02g11180 transcript:itb02g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHCCFSLLFFLLFHKISCSTDTITATLSVKDGEGETTIVSSNGTFELGFFSPGKSENRYVGIWYKNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNQDNTTIWSTNTSRSVQNPIAQLLDSGNLVIKDAGDGNEEKNFLWQSFDYPTDTYLPGMKIGWDFVTGHETFLSSWKSSEDPSTGEFKYSINRNGYPQNFLKRGSIVRYRSGPWNGFQFSGSLNSRQSPFYEIGFVFNPREAYFTNHLLQPVITRATLSWNGLLERTTWVDRTQRWVLYLNVPTDTCDIYKLCGAYGKCNIQTSPVCGCLDKFVPKNEADWLKADWSSGCERRTALSCNEGEGFLKYSGIKLPDTQSVWFNQTMTLEECKTRCLRNCSCMAYSNIEIRNGGTGCFMWFDELLDIRLVPNEGQDIYIRVAASELVSEVRSSGKKQKILIVTLLPLGGVLMLTLILVVYYRRKKKNSELKHEESGQEIAVKRLSKTSTQGQDEFKNEALFIAKLQHRNLVKMIGYCIEGEDKMLIYEFLPNGSLDSFIFDETQSRLLDWPKRFHIINGIARGLMYLHHDSRLRIIHRDLKASNILLDINMEPKISDFGLARSFGGNEIEANTNKVVGTYGYISPEYAVRGLYSVKSDVFSFGVLLLEIISGKRNTKFFDPDNDDINLLGHAWKLHKEGRSMELVEPHLSDTASLSASEVVRSIHVALLCVHQRPEDRPSMSSVIVMLNNEAGALPQARQPGFFTDDDAHSFNMNEFGSTNEISTTSLSTGEMTITRVDPC >itb10g00260.t1 pep chromosome:ASM357664v1:10:176255:178622:1 gene:itb10g00260 transcript:itb10g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKVAHREDEEPVEPHHPTAMDDASEKLESLKNLNAMLLKETVEKRQLVDSLQKAKGSLQSDLARTESQKLALSGELTHLGEVAARLELERTVSADFLWVQMGHQMKAMVEERDGLRRERDDVAERVKDLEREIALVVKEKSEIQKVLREEEIEFESLKQKLNDLNAQIATERSISNHANRERDELASKLDVQIVETNGLRKKLIEMEKREGKVNDEVEKLRIQYNALSKENEVKEKKLHSVMSDKETIERSLVQSNRVIEELNGKISVIVREKEGSDKERNIEMEKRCELEKEVNLLNEKVSSLNKVEEKLRASVAELENKCVEGMEKGKEMESKISELVEGKNESESRIASLVEEKGLIESKLVEANEKLDEKQQCVERMAIEKMEMEEAKTRRESEIVKLQNQMYELKDTISGLEDSCKVQEEKIMTLEGEVGNYKRALESAVLERDAARKGLDEEKQNGLILKEKLREMENHIEEVALELTKTKADYNNMVGEKKELEIQCESFNKEILDLQTELAEGRKQIGAIQAELELANANSEEVLNLLKSTAALVGSKDERVNAELSEGQEMNPQLLPPHVLELEAIKKGIKIREKKVEEMKREVEFLQNSVTEANKRKSLWTMISSATTIFAAISLAYVARGH >itb03g04550.t1 pep chromosome:ASM357664v1:3:2887042:2888496:-1 gene:itb03g04550 transcript:itb03g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 60 [Source:Projected from Arabidopsis thaliana (AT5G37660) UniProtKB/Swiss-Prot;Acc:Q0WPN8] MAILSFHFLVSLMGILVFSHSTLLTLSDSSVDGFLYGGCSRIKYSSDSPYESNLNSLLTSLVNSATYSSYNKYSVMGSSQADVLYGLYQCRGDLSMPDCATCVARSVSRLGDLCHQTCGGALQLNGCFVKYDNASFVGAEDRSVVYKKCGPSNGFDAEMMGRRDAVLAGLMGGGAGGLYRVGGSQEVNGVAQCVGDLSVGKCQSCVAEAIGRLKTECGGALFGDMFLGKCYARYTTNGAHAYAAKSNHESHNESEKTFALIIGLLAGVALLIVFLTFMRRIFTGNGK >itb07g05100.t1 pep chromosome:ASM357664v1:7:3452200:3453319:1 gene:itb07g05100 transcript:itb07g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLKAPPNPKDMDIKKEWFTIRYIDHFTLTGGGTLDGQGNVIVYPAKGKSHKLPNNLSLNFLTNSVIRGITTLNSKQFHVNVLGGKNLTFDHFTVKAPGDSPNTDGIHIAKIVGAVIKDSVFATGDDCISIGDGLENLKITGVTCGPGHGISVGSLGRTPGETPVKGMFVSNTKFIGTENGARIKTWPSSHHGVITDIYFENIDMKNVKNPIVIDQEYCPNNECNKQKPSLVKISKVSYKNIKGTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGAAKSVCKNVKPKLTGKQVPPLVCK >itb01g30920.t1 pep chromosome:ASM357664v1:1:34749099:34751740:-1 gene:itb01g30920 transcript:itb01g30920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLAFACFSWILSLFFVPSLAQGPPYKAVNLGAWLVAEGWMTPWLFDGIPNKDLLDGTQVQLRSTMLNKYVVAENGGGSNLVANRASASGWETFKLWRVNETSFNLRVFGNQFVGYQGNSVVAVATTPGPVQTFQIVRNSNDKSRVRLRASNGRYLQAKSESAVTADYQGNINDWGDQNPSVFQMTTFGHLQGEYQLTNGYGPTKAPQVMKNHWNTYIVEDDFRFLSQNGINAVRIPVGWWIRYDQSPPKPFVGGSLQALDNAFTWAEKYNMKVIVDLHAVRGSQNGNDHSGTRDGSATWGDSNIDETVAVIDFLTQRYANRRGLAAMELMNEPNAQFVPLDTLKTFYRKGYDAVRKYTANAYVILSNRLGNAPNTELLDFARQLPKTVIDVHYYNLYWDQFSSWSPQQNIDYIYQDRAAKLGELTQPNGNPLTFVGEWVAEFAKGGASTQDYQNYAKAQQDVYGRATFGWAYWSHKCQYEHWSLRRMIENGIIKP >itb13g16500.t2 pep chromosome:ASM357664v1:13:23466852:23470321:1 gene:itb13g16500 transcript:itb13g16500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQEMERFGMENDFDDGQWIGGEFYYRNRKEKRAQTKDDVLYGIFASGDSDSDYEGRGLGSSRKRKKDLSKKQDLTKPVNFVSTGSVMPNQEIDTDLKEDLKEMEEDDDRPPVLGAGGSSSGLGFGSKTTHGDGDGDVDSFLPSAFGKKIKEGALLRREKEKEKSMLAKKSSQAGKREPEAGDVGTFEKHTKGIGMKLLEKMGYKGGGLGKNEQGITAPIEAKLRPKNMGMGFNDYKETKVPALQESEAKSTPLPAQPLESHKREKPWLKQAPKKKFYITAEELLAKKQEQGFDQVQKVFDMRGPQVRVLTNLENLNAEEKARENDIPMPELQHNMKLIVDLAELDIQKIDRDLRNERETVVSLQKEKEKLQAQEVHQRKQLENMEVIMNELDRIGEESKEGTLTLDSLVNSFLDLQQRYADDYKLCNLSSIACSYALPLFIRVFQGWDPLQNPTHGLEIVSSWKNLLHDNSLGFSDMASPYSQLFMEVVFPAVRIAGTNTWQARDPEPMLRFLDSWEKLLPPVVLHTILDNIVLPKLSAAVDSWDPRRETIPIHSWIHPWLPLLGQKLETCYHTIRCRLESVLHAWHPSDMSAYYILSPWKTVFDPSSWEKLMVRFIVPKLLAVMHEFQVNPANQNLDQFYWVRTWASAIPIHHMLPLMDIFFNKWQEVLYHWLCSNPNFEEVTKWYLGWKELLPPELLANDHIRYRLNVGLDMMNQATEGMEVVQPGLRENISYLRALEKRQFETQKKAAAQAQQHASANLSGGLQGDGKESGSELSLKEVVEFHAQQNGLLFKPKPGRIHDGHQIYGFGSISIIIDSLNQKVMAQTEDKWSFVSLEQLLELHNRYILKRR >itb13g16500.t1 pep chromosome:ASM357664v1:13:23466636:23471132:1 gene:itb13g16500 transcript:itb13g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQEMERFGMENDFDDGQWIGGEFYYRNRKEKRAQTKDDVLYGIFASGDSDSDYEGRGLGSSRKRKKDLSKKQDLTKPVNFVSTGSVMPNQEIDTDLKEDLKEMEEDDDRPPVLGAGGSSSGLGFGSKTTHGDGDGDVDSFLPSAFGKKIKEGALLRREKEKEKSMLAKKSSQAGKREPEAGDVGTFEKHTKGIGMKLLEKMGYKGGGLGKNEQGITAPIEAKLRPKNMGMGFNDYKETKVPALQESEAKSTPLPAQPLESHKREKPWLKQAPKKKFYITAEELLAKKQEQGFDQVQKVFDMRGPQVRVLTNLENLNAEEKARENDIPMPELQHNMKLIVDLAELDIQKIDRDLRNERETVVSLQKEKEKLQAQEVHQRKQLENMEVIMNELDRIGEESKEGTLTLDSLVNSFLDLQQRYADDYKLCNLSSIACSYALPLFIRVFQGWDPLQNPTHGLEIVSSWKNLLHDNSLGFSDMASPYSQLFMEVVFPAVRIAGTNTWQARDPEPMLRFLDSWEKLLPPVVLHTILDNIVLPKLSAAVDSWDPRRETIPIHSWIHPWLPLLGQKLETCYHTIRCRLESVLHAWHPSDMSAYYILSPWKTVFDPSSWEKLMVRFIVPKLLAVMHEFQVNPANQNLDQFYWVRTWASAIPIHHMLPLMDIFFNKWQEVLYHWLCSNPNFEEVTKWYLGWKELLPPELLANDHIRYRLNVGLDMMNQATEGMEVVQPGLRENISYLRALEKRQFETQKKAAAQAQQHASANLSGGLQGDGKESGSELSLKEVVEFHAQQNGLLFKPKPGRIHDGHQIYGFGSISIIIDSLNQKVMAQTEDKWSFVSLEQLLELHNRYILKRR >itb03g05410.t1 pep chromosome:ASM357664v1:3:3743671:3744447:1 gene:itb03g05410 transcript:itb03g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSPQCAEDAENPVKQLQRGQWLRAAILGANDGLMSTASLMIGVGAAKEDQRDMILAGLAGALAGACSMAVGEFVSVSTQRDIEKSVLRSCSLEKEEDGDGKIKVEIVTNSYTQSVIQKPTELDFYQQSVIQKPTDERNSYTQSVIQKSTELPRALPNPYKAAGASGLAFLCGSLPPLLTSMVAAPNNIRIIMIVALASVALAVFGGAGAYFGGSPVRKSAGRVLVGGWISMAITYGMLRCFDKDSKGDDDD >itb03g05410.t2 pep chromosome:ASM357664v1:3:3743981:3744447:1 gene:itb03g05410 transcript:itb03g05410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPTDERNSYTQSVIQKSTELPRALPNPYKAAGASGLAFLCGSLPPLLTSMVAAPNNIRIIMIVALASVALAVFGGAGAYFGGSPVRKSAGRVLVGGWISMAITYGMLRCFDKDSKGDDDD >itb01g23440.t1 pep chromosome:ASM357664v1:1:29440982:29443488:-1 gene:itb01g23440 transcript:itb01g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRAGIFLVMAVAMLHLWATAAAAEDKATATAPAPPMDVGDGFALPASGTVFCSSLLISFFAIWFHCYRCVFRRRRGSGARNRMSSSTSAWTCRRSGGYHTGLQCVVCSLFYLLCRRFSEALKS >itb05g17390.t1 pep chromosome:ASM357664v1:5:24349986:24351559:1 gene:itb05g17390 transcript:itb05g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSSLHRRCRQQSTADAVSFVVDDEEPNIYIFDYDYISPPNPAFYNPPFVDFSFDPNPLSDSESEKSDSDGSASFQFPNPFFYSNEEEVSFVTDLFQPRDGPVSDDVDRVFGGVFNGASANVPVELGLGEFDAVVLSSESHGLRVVGMESESDSEDADVQSAISDPNGSLRECVRFNDQDEEFEWEEVNERDEERENLSSLIDRIEEISVSSGITSSEGENPISENGEEEEELRNLEWEVLLAVNNLERHLELETDVIDGEVSYLNIHDDYIYAADHDPLFGQFSDGALKGCPPAAKHIVDNLPSVILIGEADESKVSCAVCKDDILVGETVNRLPCSHHYHRDCIVPWLSIRNTCPVCRHELPTDDAEYEKKKHQRHTNPLNDFEVRYNFEILP >itb03g12280.t1 pep chromosome:ASM357664v1:3:11344460:11345629:1 gene:itb03g12280 transcript:itb03g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKGPCRPSYNPAKTISSTQQHFQSEKTDDDVSADSMEYFFTVGLGTPKSHYNLFVDTGSDNTWFGCVQEIKDPVGDNFGGDDGILGLGRGDLSLPSQVGFQIFGYCIPKRNSRLGYFVFGSEAAKMSSSSHPKFTRLLNNAGEPFYSVDLKGISVAGKKLDIDASNLNYQSGTIIDSGTTYTQLPIKAFSALLTAFNHTMASIYPAASSQLMPELDTICYNVDGIDTTTFHVPPITFHFVGMSQGEIIDVELRPSGILMTTKSNLVCLGFTPQNDTSEGPLTILGNHQQRQMGMFFDLQRERIGFGTKNCAE >itb11g06550.t1 pep chromosome:ASM357664v1:11:3931932:3936221:-1 gene:itb11g06550 transcript:itb11g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLLNDLDSPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITKLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPTTVKVAANLVRLLAYNNKNMLQTGLIVGGWDKHEGGKIYGIPLGGTILEQPFAIGGSGSSYLYGFFDQAWKEGMTQEEAEKFVVKAVSLAIARDGASGGVVRTVTINSEGVTRKFYPGDTLPLWHDEMEGHNSLLDILQTSSPEPMVS >itb14g10760.t1 pep chromosome:ASM357664v1:14:12224155:12225477:-1 gene:itb14g10760 transcript:itb14g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIENTRIVKPLYEGNPPLTTGHVPLSVFDRVTFDAHIAIIYAYRPPTPPNSTILLGLRKALALYREWAGRLERDEKGNPIVVLNDKGMKFVEATSDSTLDKIMPFKPSASLLNLHPSLKGVVELIQVQVTRFKCGSLVVGFTSHHLIADGHSTSDFLIAWGKMCRGIMIQPLPLHDRTIFVPRNPPKIEYDHVGVEYMQKQIKKNDHFLNNNDMKLLEDIVVHKVHFTCEFLAKLKAKASAMNDGARPYSTFESLVAHLWRAITKARNLGGFETTHIRISVDGRARLNPKVPNEYFGNLVLWAFPTAKVKDLLREPLPYAAKLIHDAVSKVNNNYFRSFIDFANSKVVTEEDGFVPTADMDKHILCPNLEVDSWLRFPFYDLDFGTGCPYLFMPTFFPTEGMMFLLPSFIGDGSIDAFIPLFRDNLATFQHNCYSLD >itb13g02010.t1 pep chromosome:ASM357664v1:13:1841054:1845519:-1 gene:itb13g02010 transcript:itb13g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIHDQPLIKSLLDNLSCLQAFLHNKSSGDGDGDGDALRDLEIQIRDFALQAEDDIEIQLSNFLLAKHTQHEEEEAWQELRQTLRKAAEIAAQIISEEKEHQTEREREREMICNALTSLWKTIDKMEHILSMGSLHDKDKAGIKSNLLEKLSSLQLFLHKESNGGCAKDEDLETKIKHFALKATDDIQVLLPNFLPVKDTESGENISQKLYQTMKEATQSAAGLLNIINCKEEIDDEANETQPSKHVVESDSDGSSSHGFLKPEGRMIGRHHDCTVIKDQLFSSRYELKIISIVGMVGIGKTALARHVYEDPSIASHFDVRGWVTIPQHYNSKQILSELLQSIMPEEPKGSTPDEPEMQVWKCLRGRKYLIVLDNIMSYEAWTDIRNYVPDDKLGSCILLTTRHFNLIRGYKYFRGCIHNVTLLDPKASWKLFCNILDIEEHLAPKFEKIRNHVVEKCDGLPQLIIEVAKRLSKCNNIQEGWKKIEKELESLGILDRKAIMVSYNMLPHHLKVCLLYFGVFPKRKKVLVKMLIRLWIAEGFVKPLEHKELEDQAYEYLQELIDRSLLLIEDRSCNGKIKTCRMHSALHSFCAVEAQKEGILCAVNTQQHSGLSLKVFANSCRWLSLYSHSFDYYVLFGTNIPRSIFFFHENPGIFVPPKLLRVLAFDTSISLQRMPVQLGGLVFLRYLYITQWFEDLNDVVSNNPNLETLIVSGDGAPTVHLPSSIWKPPHLKHIEIGNSYVVDPPSAYMKKLQTLSWVVRPVHCRTKMYSKFPNIKNLKILLKDEIDPPSHNGGCCSNPIILDHFDYFKCLEKLSISVSIGCNTALPELARYPSGLKTLKLSGTNISERDLNVIAKLPQLKVLKLENAFHGKVWEVAKGGFPELIFLFLEAKELKKWILSSWTDCWQLRHLVLRSCNCLKQIPKDLRCYNMESIELEGCRSSLVASVKQLQREKSSKNLKIKILGTESQSTDTDVSTDALARSKCRGLNKINYIWRDSKGLHPLRRRNEECLRSNSTGLNMRNHCIHM >itb07g04010.t4 pep chromosome:ASM357664v1:7:2698424:2706413:1 gene:itb07g04010 transcript:itb07g04010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLAPREIEKLMLHNAGHLAQKRLARGLRLNYTEAVALIASQVLEFVRDGDRSVAELMNLGRQLLGRRQVLPPVRHLLETVQVEGTFPDGTKLITIHDPIAHEDGDLELALYGSFLPVPSLDKFPMVEDGRIPGELYFGDGLITLNPGRKAITLKVTNIGDRPIQVGSHYNFIEVNPFLVFDRQKAHGMRLNIPAGTAVRFEPGDSKNVTLVSIEGKQIIRGGHGIADGQVGARITAVMEAVRERNWQHLEETNASEGISGREPAFSFRMSHEEYANIYGPTTGDRIRLGDTDLVAEIEHDFSLYGDECVFGGGKVLRDGMGQACGYPAVACLDTVITNAVVIDYSGIFKADIGIKNGLIVSLGKAGNPDIMNVSKDIVIGLNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTMVGGGTGPADGTRATTCTPAPFHMKLMLQSTDELPLNFGFTGKGNSAKEIGLHKIIEAGAMGLKLHEDWGTTPAAIDTCLKVADQFDIQVNIHTDTLNESGFVEHTISAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTLNTVDEHLDMLMVCHHLDKDIKEDVAFAESRIRAETIAAEDILHDMGAISIIASDSQAMGRIGEVICRTWQTAHKMKLFRGPLDKNQPYNDNLRIKRYIAKYTINPAIANGFSKHVGSLEVGKLADLVIWKPSFFGSKPEMVIKGGTIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKEALDDGVKDRYRLKKRVEAVSGVRRLTKLDMKLNDALPTISVCPETYKVTADGTELACPPATTVPLSRNYFLF >itb07g04010.t3 pep chromosome:ASM357664v1:7:2698229:2706413:1 gene:itb07g04010 transcript:itb07g04010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLAPREIEKLMLHNAGHLAQKRLARGLRLNYTEAVALIASQVLEFVRDGDRSVAELMNLGRQLLGRRQVLPPVRHLLETVQVEGTFPDGTKLITIHDPIAHEDGDLELALYGSFLPVPSLDKFPMVEDGRIPGELYFGDGLITLNPGRKAITLKVTNIGDRPIQVGSHYNFIEVNPFLVFDRQKAHGMRLNIPAGTAVRFEPGDSKNVTLVSIEGKQIIRGGHGIADGQVGARITAVMEAVRERNWQHLEETNASEGISGREPAFSFRMSHEEYANIYGPTTGDRIRLGDTDLVAEIEHDFSLYGDECVFGGGKVLRDGMGQACGYPAVACLDTVITNAVVIDYSGIFKADIGIKNGLIVSLGKAGNPDIMNVSKDIVIGLNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTMVGGGTGPADGTRATTCTPAPFHMKLMLQSTDELPLNFGFTGKGNSAKEIGLHKIIEAGAMGLKLHEDWGTTPAAIDTCLKVADQFDIQVNIHTDTLNESGFVEHTISAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTLNTVDEHLDMLMVCHHLDKDIKEDVAFAESRIRAETIAAEDILHDMGAISIIASDSQAMGRIGEVICRTWQTAHKMKLFRGPLDKNQPYNDNLRIKRYIAKYTINPAIANGFSKHVGSLEVGKLADLVIWKPSFFGSKPEMVIKGGTIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKEALDDGVKDRYRLKKRVEAVSGVRRLTKLDMKLNDALPTISVCPETYKVTADGTELACPPATTVPLSRNYFLF >itb07g04010.t1 pep chromosome:ASM357664v1:7:2698229:2706413:1 gene:itb07g04010 transcript:itb07g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MNLGRQLLGRRQVLPPVRHLLETVQVEGTFPDGTKLITIHDPIAHEDGDLELALYGSFLPVPSLDKFPMVEDGRIPGELYFGDGLITLNPGRKAITLKVTNIGDRPIQVGSHYNFIEVNPFLVFDRQKAHGMRLNIPAGTAVRFEPGDSKNVTLVSIEGKQIIRGGHGIADGQVGARITAVMEAVRERNWQHLEETNASEGISGREPAFSFRMSHEEYANIYGPTTGDRIRLGDTDLVAEIEHDFSLYGDECVFGGGKVLRDGMGQACGYPAVACLDTVITNAVVIDYSGIFKADIGIKNGLIVSLGKAGNPDIMNVSKDIVIGLNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTMVGGGTGPADGTRATTCTPAPFHMKLMLQSTDELPLNFGFTGKGNSAKEIGLHKIIEAGAMGLKLHEDWGTTPAAIDTCLKVADQFDIQVNIHTDTLNESGFVEHTISAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTLNTVDEHLDMLMVCHHLDKDIKEDVAFAESRIRAETIAAEDILHDMGAISIIASDSQAMGRIGEVICRTWQTAHKMKLFRGPLDKNQPYNDNLRIKRYIAKYTINPAIANGFSKHVGSLEVGKLADLVIWKPSFFGSKPEMVIKGGTIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKEALDDGVKDRYRLKKRVEAVSGVRRLTKLDMKLNDALPTISVCPETYKVTADGTELACPPATTVPLSRNYFLF >itb07g04010.t2 pep chromosome:ASM357664v1:7:2698229:2706413:1 gene:itb07g04010 transcript:itb07g04010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLAPREIEKLMLHNAGHLAQKRLARGLRLNYTEAVALIASQVLEFVRDGDRSVAELMNLGRQLLGRRQVLPPVRHLLETVQVEGTFPDGTKLITIHDPIAHEDGDLELALYGSFLPVPSLDKFPMVEDGRIPGELYFGDGLITLNPGRKAITLKVTNIGDRPIQEVSHVQVGSHYNFIEVNPFLVFDRQKAHGMRLNIPAGTAVRFEPGDSKNVTLVSIEGKQIIRGGHGIADGQVGARITAVMEAVRERNWQHLEETNASEGISGREPAFSFRMSHEEYANIYGPTTGDRIRLGDTDLVAEIEHDFSLYGDECVFGGGKVLRDGMGQACGYPAVACLDTVITNAVVIDYSGIFKADIGIKNGLIVSLGKAGNPDIMNVSKDIVIGLNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTMVGGGTGPADGTRATTCTPAPFHMKLMLQSTDELPLNFGFTGKGNSAKEIGLHKIIEAGAMGLKLHEDWGTTPAAIDTCLKVADQFDIQVNIHTDTLNESGFVEHTISAFKDRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTLNTVDEHLDMLMVCHHLDKDIKEDVAFAESRIRAETIAAEDILHDMGAISIIASDSQAMGRIGEVICRTWQTAHKMKLFRGPLDKNQPYNDNLRIKRYIAKYTINPAIANGFSKHVGSLEVGKLADLVIWKPSFFGSKPEMVIKGGTIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKEALDDGVKDRYRLKKRVEAVSGVRRLTKLDMKLNDALPTISVCPETYKVTADGTELACPPATTVPLSRNYFLF >itb13g10940.t1 pep chromosome:ASM357664v1:13:15858648:15859433:-1 gene:itb13g10940 transcript:itb13g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMPVNRVGDFGLAPGISGCFTLFQTVDFSTIFARASAPRNSWISCNMRFNAITLICILLFIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYPPTALIVITFAGAMTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVRQPPTKGVVTLSMSPLIPDMLWCPGVGRSGSSPYRRGATAASGSDLFTRQFIR >itb09g16610.t2 pep chromosome:ASM357664v1:9:11772369:11775581:-1 gene:itb09g16610 transcript:itb09g16610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLDKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHPNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSRKA >itb09g16610.t1 pep chromosome:ASM357664v1:9:11772281:11775581:-1 gene:itb09g16610 transcript:itb09g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLDKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHPNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSRKA >itb09g16610.t4 pep chromosome:ASM357664v1:9:11772281:11775581:-1 gene:itb09g16610 transcript:itb09g16610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLDKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHPNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSRKA >itb09g16610.t3 pep chromosome:ASM357664v1:9:11772284:11775581:-1 gene:itb09g16610 transcript:itb09g16610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKLPLDKAKRYLEDVLVHKQAIPFTRFCRGVGRTAQAKNRHPNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSRKA >itb07g06150.t1 pep chromosome:ASM357664v1:7:4325900:4329488:1 gene:itb07g06150 transcript:itb07g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLHNIIQPHNIVSPLSHLHITVNSPLIQDIISLMDHQATSPKSSLPLHPHIIIIAFPFPSHIRSMLNLAQLLCLSHLKVTFITTVHARNNLLCISDVISRFRRYSGFRFESIPDGLPDDHQRSGFGVVQVLHSLSATAKPLLKEIVASGGEDSVPPRCIIADGLATFAVDVAEEVGVPVISFHPVSAAATWAYFSIPSMIQAGELPFNGKDMDVPILSVKGMEQLLRRRDLPGNCRVNDLEDPFFKFVLEFLKCFRAKHHIINSFQELEGSTIDRMRTGDMSNVYAIGPLHELLRNKLGTCMTTSSNGLHEDDKKCMDWLDGQPPNSVLYVCFGTLTLMSEETLVEFWHGLTNSGQRFLWALNPRLVMNGNREGGEVPIEILNNGLEGRCCVVEWAPQQEVLAHSAVGGFLTHCGWNSMMESIVAGVPMIGWPFYADQQVNSRFLGEVWKVGLDMKDTCDRHIIEEMVRDLMEVRKDEFLQRAKQFANSAKECAKEGGSSISSLENLIEDIKRM >itb13g04880.t1 pep chromosome:ASM357664v1:13:5770149:5771049:-1 gene:itb13g04880 transcript:itb13g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTLPLLLLLSLFTSGYAATFEIRNNCPFTVWAAATPVGGGKQLDQSQSWTIDVPGGTRMARIWGRTNCNFDASGRGSCQTGDCGGVLQCTGWGKPPATLAEFTLTGDNNFDTIDISLIEGFNVPLSFAPTKPGADKCHAISCTADVNGQCPAALKVDGGCNNPCTTFGGQQYCCNEGPCSPTDYSKFFKGLCPDAYSYPQDDPSSTFGCPAGSTDYSVVFCP >itb04g12590.t1 pep chromosome:ASM357664v1:4:12336441:12338533:1 gene:itb04g12590 transcript:itb04g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPFSWKSSMAPILLLIVLFHIAGVQSIGVCFGRLGNDLPSVTETINLYQRNGIRALRLYEPDTNVFEALRGRNIDVILDVPNDRLQALTDPARAHDWVSANIVPYPDVNFKYVAVGNEVYAENSGSAQFQNYVLPALRNVHNALSAAGYQGRIKASTATYSAVLANTYPPNNGVFNDKAKDLMNPIVGFLAQNNLPLLANIYPYFARKGDPNNVPLSFALFSDSQPNPAGYFNLFDSMVDSMYAAVEKAGGSNVPIVVSESGWPSAGGFEATVDNAATYYRNLIQHVQGNSGTPKRPGSPIETYLFAMFDENQKTGDETEKHFGLFSPEQNPKYQLSFN >itb15g08190.t1 pep chromosome:ASM357664v1:15:5727421:5728175:-1 gene:itb15g08190 transcript:itb15g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKILGHWASPFSASVEIALKLKGVEYEFIQEDLSNKSPLLLKSNPVHKKVPVLLHNGNPLPESLIIVEYIDETFPGTPILPKDPYEKAMARFWTKFIDDKFFSAARKALFIKGEEQEKGKEETCEALKILDSELKNKKFLGGETFGLADLAANFIALWMGVFEEVIGVDLGVTEEKFPHLYRWKQDFLNCDVIKETFPPRDKLVALYNKRFNPEAASATQ >itb09g13620.t1 pep chromosome:ASM357664v1:9:8906105:8912117:-1 gene:itb09g13620 transcript:itb09g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLMKTPFGSKSMYAFLILLFTLVFFATLRHEQNLRSSPAKRSILFYEDIFLSSASNNSIAAYLRELTLRPHLAGTTSSLATALYVKAQFESENLATHLTNYTVLLSFPVSSSLTALFANGSVISVSLSERGVPGNGVVMPYHAYSPSGSAYGKAAFLNHGREEDYRALAAQGVEVEGCVGVVRRGGGMSRYEVVERAAVHGVAAVLMYTDDGDREEFTFTEGVERGTVMGGSLGDPLSPGWAGLETGERLQLDNPRVGEKFPKLPSIPISIDAAKTILRSLKGAEVPQEWQNDLKSSSIDIGRVGPGPTMLKFSYEGEKKMSTIHNVFAVIRGSEEPDRFVLLGNHRDAWTYGAVDPNSGTAALLEIARQYALLMRMGWKPRRTIILCSWDAEEFGMIGSTEWVEQNLLNLASKSVAYINVDCAVQGPGFFASATPQLDDLLLEIAKKVKDPDTEMMTIFEKETVTNQVMNIQRLSQVDSDFAPFLQHAGIPSIDMYYGKDFPVYHTAFDSYDWMVNSGDPLFHRHVAVTGVWGLIGLHLADDAILRFNYVSYARQLLGYTHILTEKLGGSFSLHPITDAIQEFMAAATEIEEEVKVLEKMLSCKLSEDETMDDLFILKRRMLNDRLIFAERGFLDGEGLPGKQWFKHLVYGPCTNHENNLDFFPGIVDAIAGSTGMNNIDREGVIRHEIWRVGRAIQRAAYALKGQLT >itb09g13620.t3 pep chromosome:ASM357664v1:9:8906105:8912117:-1 gene:itb09g13620 transcript:itb09g13620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLMKTPFGSKSMYAFLILLFTLVFFATLRHEQNLRSSPAKRSILFYEDIFLSSASNNSIAAYLRELTLRPHLAGTTSSLATALYVKAQFESENLATHLTNYTVLLSFPVSSSLTALFANGSVISVSLSERGVPGNGVVMPYHAYSPSGSAYGKAAFLNHGREEDYRALAAQGVEVEGCVGVVRRGGGMSRYEVVERAAVHGVAAVLMYTDDGDREEFTFTEGVERGTVMGGSLGDPLSPGWAGLETGERLQLDNPRVGEKFPKLPSIPISIDAAKTILRSLKGAEVPQEWQNDLKSSSIDIGRVGPGPTMLKFSYEGEKKMSTIHNVFAVIRGSEEPDRFVLLGNHRDAWTYGAVDPNSGTAALLEIARQYALLMRMGWKPRRTIILCSWDAEEFGMIGSTEWVEQNLLNLASKSVAYINVDCAVQGPGFFASATPQLDDLLLEIAKKVKDPDTEMMTIFEKETVTNQVMNIQRLSQVDSDFAPFLQHAGIPSIDMYYGKDFPVYHTAFDSYDWMVNSGDPLFHRHVAVTGVWGLIGLHLADDAILRFNYVSYARQLLGYTHILTEKLGGSFSLHPITDAIQEFMAAATEIEEEVKKLSEDETMDDLFILKRRMLNDRLIFAERGFLDGEGLPGKQWFKHLVYGPCTNHENNLDFFPGIVDAIAGSTGMNNIDREGVIRHEIWRVGRAIQRAAYALKGQLT >itb09g13620.t2 pep chromosome:ASM357664v1:9:8906105:8912117:-1 gene:itb09g13620 transcript:itb09g13620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLMKTPFGSKSMYAFLILLFTLVFFATLRHEQNLRSSPAKRSILFYEDIFLSSASNNSIAAYLRELTLRPHLAGTTSSLATALYVKAQFESENLATHLTNYTVLLSFPVSSSLTALFANGSVISVSLSERGVPGNGVVMPYHAYSPSGSAYGKAAFLNHGREEDYRALAAQGVEVEGCVGVVRRGGGMSRYEVVERAAVHGVAAVLMYTDDGDREEFTFTEGVERGTVMGGSLGDPLSPGWAGLETGERLQLDNPRVGEKFPKLPSIPISIDAAKTILRSLKGAEVPQEWQNDLKSSSIDIGRVGPGPTMLKFSYEGEKKMSTIHNVFAVIRGSEEPDRFVLLGNHRDAWTYGAVDPNSGTAALLEIARQYALLMRMGWKPRRTIILCSWDAEEFGMIGSTEWVEQNLLNLASKSVAYINVDCAVQGPGFFASATPQLDDLLLEIAKKVKDPDTEMMTIFEKETVTNQVMNIQRLSQVDSDFAPFLQHAGIPSIDMYYGKDFPVYHTAFDSYDWMVNSGDPLFHRHVAVTGVWGLIGLHLADDAILRFNYVSYARQLLGYTHILTEKLGGSFSLHPITDAIQEFMAAATEIEEEVKVLEKMLSCVILLFLSCFYMEVEAQKNTNTCDF >itb01g01370.t1 pep chromosome:ASM357664v1:1:773256:777418:-1 gene:itb01g01370 transcript:itb01g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRTSAASRRPSGTDGSDFSYRMVVDSRYQKVAKGKSRLSKIIFIQAIVQLIIAASTFLAAAKTETLDGCAFSSVALGFISIISGELGRKRSRSNFLKFYVLGSSAAIILPAACFARSHNLLEVVQNFNSMLPPNLEVVKIIATILGFVIQIFSIATTVSLIHNMAPPKRAS >itb01g01370.t2 pep chromosome:ASM357664v1:1:774957:777427:-1 gene:itb01g01370 transcript:itb01g01370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRTSAASRRPSGTDGSDFSYRMVVDSRYQKVAKGKSRLSKIIFIQAIVQLIIAASTFLAAAKTETLDGCAFSSVALGFISIISGELGRKRSRSNFLKFYVLGSSAAIILPAACFARSHNLLEVVQNFNSMLPPNLEVVKIIATILGFVIQIFSIATTVSLIHNMAPPKRAS >itb12g18300.t1 pep chromosome:ASM357664v1:12:20545830:20546159:-1 gene:itb12g18300 transcript:itb12g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMETCTQRALQEEEEMKGQKQEGGAGEFFKEKGLEKSMRVKIVLTKEELEWLLFQLQIRGGGSGELQAFLGDLEMRSREKGLGGSGWKPSLESITECPELPHTMDR >itb03g24640.t1 pep chromosome:ASM357664v1:3:23455249:23462995:1 gene:itb03g24640 transcript:itb03g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVLSSGIRHTSLPENYVRPESDRPRLSEVADCNNVPVIDLGCGERELIVKQIAQACSEYGFFQVINHGVPKKAIEDMLKVAQEFFQLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCHPLEKYSPEWPSNPSSFRAIVSNYVKEVRELGFRVEEAISESLGLEKSSIREVLGEQGQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLQDTQVSGLQVLKDGKWLAVKPHPDAFVVNLGDQLQALSNGKYKSVWHRAVVNSDRERMSVASFLCPSDDAVISAPKQLSDDGSPAVYRNFTYDEYYKKFWSRNLDQEHCLELFKN >itb11g16030.t5 pep chromosome:ASM357664v1:11:13923593:13925011:1 gene:itb11g16030 transcript:itb11g16030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYITFICVVVCSRDGWDDPIVRSIDLLYASISLLIVPDNLDISNVSVLYNVGQILKLVPNVENFRTTLRCVKFWAKKRGIYSNQV >itb11g16030.t1 pep chromosome:ASM357664v1:11:13923258:13925311:1 gene:itb11g16030 transcript:itb11g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSYRLGVRSFLQCFTVHKIIVLQNLDISNVSVLYNVGQILKLVPNVENFRTTLRCVKFWAKKRGIYSNV >itb11g16030.t2 pep chromosome:ASM357664v1:11:13923258:13925311:1 gene:itb11g16030 transcript:itb11g16030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCGQNLDISNVSVLYNVGQILKLVPNVENFRTTLRCVKFWAKKRGIYSNV >itb11g16030.t3 pep chromosome:ASM357664v1:11:13923258:13925311:1 gene:itb11g16030 transcript:itb11g16030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCGQNLDISNVSVLYNVGQILKLVPNVENFRTTLRCVKFWAKKRGIYSNV >itb11g16030.t4 pep chromosome:ASM357664v1:11:13923258:13925311:1 gene:itb11g16030 transcript:itb11g16030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCGQNLDISNVSVLYNVGQILKLVPNVENFRTTLRCVKFWAKKRGIYSNV >itb11g22400.t1 pep chromosome:ASM357664v1:11:24318523:24321837:-1 gene:itb11g22400 transcript:itb11g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAITSLMETLSLHFLQSQPRFPLEDLEEQIRDGNENLGLLQQILEIGNDDGGTMKDGEDAEAEMREMGLDEASSSIKACLPRLHGIFNKQTEYYPKKKKLIKSEKLQQLADLDANEKIVYGKQQLAWDTILLTSRLREMAEVKQKIVSLHQNLGLLQESLEKSEIAYDDARVRVMKDLEAQIRDASFKLEEMIEMELSAIYLAKDSRLHVTACLLRLHQIFNEAQKQTDYHRNELIRIQTEYQQLAKVSLLGRIRRRGLLLVKGSSLPHLVHNNIIVTKCFKNSSKFDSTMVGCHEVFNKILDQLMQQSTKRRNDVSIVGMGGIGKTTLAQKVYEDLSITSYFDIRAWVTVSQEYNVEQMLRCLIGCVIAASRDDELHKQSIGQDQLAERLRKHLKEQRYLIVIDDIWSTTAWDSVQRCFPDDNNGSCILLTSRLREVAKYVNLGNSIINMPFLDADESWSLYCKVIGKTEFLLVFEQIGRDIVNKCEGLPLAITVVASLLSKTKEDVEKWKNVAKSVIGDSNDACSRILYLNYNQLPHHLKACFLYFGVFREDYEIPVKKLVRLWTAEGFLRALKHVNMEEVAIECLQDLVDRSLVIVSKQRYNGEMKRIRIHDMLRELCLREAGHGNLLNAIIADKEFPFDKRTISPHQFSKPCRWVSTRPVFLNNQIKFKQFKKSHSLHLGSCRSASGELKWSLLGASQKSDGCCIAAMGNE >itb06g24280.t1 pep chromosome:ASM357664v1:6:25926309:25931672:1 gene:itb06g24280 transcript:itb06g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPSSNSVSAKRESSSSGASIGSNPNVSPKRSRADSRSSHVSLDQMSAQNMFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNVVRVGPEKNASALNTRNDILLPRPLESQVVLDVNHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVGKDVTQPRLVESLSFRSVDFVACGEFHTCAVTMDGELYTWGDGTHNAGLLGHGSDVSHWIPKRVSGPLEGLQVSMISCGPWHSALITSTGQLFTYGDGTFGVLGHGDRETVLFPREVASLSGLRTISVACGVWHTAAVVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLQPTCVPALIDYTFHKIACGHCLTVGLTTSGHVFTMGSTVYGQLGNPHSDGKLPCLVEDKLTEECVEEIACGSYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVETFKDRLVKYIACGSNYTAAICLHKCVSVTEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRATLAPNPRKPYRVCDSCFTKLSKVAEAGVSSRRNSGPRLSGENKDRLDKAELQLAKSGVPSNLDLIKQLDYKAAKQGKKTDTFSLGRSSQALSLLQLKDVLSTTVSKPALTPFTVSSRSVSPFSRKSSPPRSATPIPTTSGLSFSKSIADSLKKNNELLNQEIHKLRAQVENLRHRCELQELDLQKSTKNAQEAMFLAAEESAKCKVAKEVIKSLTSQLKDMAERLPPGTYDTESLKLPYLVNGLEPSSVHCGDSNGERHSRSDSVASSCPASQTGIDTDVNGLGSHGDFGTYETNQFNQVQDVLTSNGGDDQSSVRFPKGSNLPAFNNCVSERAEDRDPGPFQDTGNGLRSRNSAALGNDSQIEAEWIEQYEPGVYITLVALKDGTRDLKRVRFSRRRFGEHQAESWWSENRENVYKRYNVRGSDMSSASSHASRRSGPLSPPSQS >itb05g24600.t1 pep chromosome:ASM357664v1:5:29327488:29329258:1 gene:itb05g24600 transcript:itb05g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSTRRVTRSQTLAANASAIPNSKKCEESEKKGSELRARNGKVQQERSAMMDISNDSSPIVGLTAESVETPLSSMSKKMVMNSQSKHSKTPGSGEALLRGQVKTLLQKVEEEAELPKFSFDQNPSITPQMIDGKKEEEVESNKGMVVTRSLLSDFSEKSEAADSSSDRSSIWSIQVNASVGDEDEDEKSIGDDDEEEEECDDYYEEEEEEGLIDELCEGMGKMSVKPKFAGKHTRFVYNSDGELEGVEEESGGAAVHGGLHLKGLPTPKGKHIRFSEEEN >itb09g25960.t1 pep chromosome:ASM357664v1:9:26085480:26086811:-1 gene:itb09g25960 transcript:itb09g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRLGSRSLTVPASFKEEKTARRGASAGYQGSTSKLRVPFPRQAADIERLSNRNIGTIANDIVQVPITVGGAMLMDRSGRRPLLMNNVQDLYSLLCFLHVEPWCNWARWNKLIQRPYENGDQRVILRSLMLKRTKETKDKEGR >itb07g17470.t1 pep chromosome:ASM357664v1:7:21611611:21614546:-1 gene:itb07g17470 transcript:itb07g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTPSKEAIDEQGGVSKTFSMDMPYLELDRRYGSRREEDSDGAVTVGDTTRDSSQKLSISTAACSAVLLVVVCFAISLAIVLLVNCVNKSICPVRRSLRKTVIASAIFLLIASTAALYLGAKVFRSLRICFKLMTFGASFQFGRRLNVIASPQSRRRQIVTFFGKKATARCPQRFSTPEGISPPLSSSSPPCPSTLSPSSSPLVSTTASCKRLSHSFADSAMPNTIYSNPVFSLLQIPVSPFIPNTAYGSTVELIC >itb03g18450.t1 pep chromosome:ASM357664v1:3:16735672:16737944:-1 gene:itb03g18450 transcript:itb03g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGTGSGSDKGNPNGDSNENPVSDYRNTLENLVQQFQNSNPMGQQHKFWETQPVGQFKDVGNASVPEGSIEEPTPISEVKQEPYNLPSSYEWTVCDMNLDEVCAEVYTLLTNNYVEDDDHMFRFNYSKEFLRWALCSPGFFSNWHIGVRVKGSGKLVAFISGIPVKMRVRDQVFKMAEVNFLCVHKKLRSKRLAPVMIKEVTRRIHLENIWHAAYTAGVLLPTPMSTCQYWHRTLNPKKLIDVGFSRLGDRMTMSRAIKLYKLPTSTASSGLRKMERRDVPAIALLLRNYLSRYDVAPDFDEEEVEHWLLPRDNVVDCYLVESPETHEVTDFFSFYTLPSSILHNPNYSTLRAAYTFYYVSTKTPLLQLINDALIIAKLNDYDVFNALDVMENEAFLKELKFGPGDGQLFYYLYNYRFRQALKPSELGLVLL >itb04g31860.t4 pep chromosome:ASM357664v1:4:34536987:34539027:-1 gene:itb04g31860 transcript:itb04g31860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MVESCSSTSSCSDRDGFAPSERNIQAVAFNCDDKRTETDLAFADDECNSGQPNCSNKQPGISTCTKIENYEPKIILHEEQMCNSDGKQPITVNHTEMALKEGRTRENKYLMRENRAKAGFDSRKRNHAMHSQKHKLLASDSSPKTKPRHERISSSWPVKHVAEDGFPSRARQRTPNLTRRSSIPPAVLWQAGSDAPDNVDNNKRVRDMDDTLKEHQQQGDVAREKSNTITLPCSEALAAFNDDASTSRPPSSSIPTSSGHDKHIVKEFVSSTRGASLVPLSQNNVLRSNHTSEMDGEDNLTLKELLSSQTDNAPFTLPPVSDTKKTSKLENGTILQSVAFDNPSSDDAINAIHHDSYQVSTEEPVIENVGKLVGIESAEIDIPKSSSTAGEYVKTKEMDSGHLSSPASPVLALDSSATAKPDPRVAEERPVKEALDVKSFRQRAEALEGLLELSADLLEHNRLEELAVVLKPFGKGKVSPRETAIWLAKSLKGLMLEDSAHNS >itb04g31860.t1 pep chromosome:ASM357664v1:4:34536987:34542010:-1 gene:itb04g31860 transcript:itb04g31860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MGIATESRMDQYDIMEQIGRGAFGAAILVHHRQEKKKYVLKKIRLARQTERCRRAAYQEMALIARVQHPYIVEFKEAWVEKGCYVCIVIGYCEGGDMAELMKKANGLYFPEEKLLKWFTQLLLAVEYLHSSFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLSDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLVSKINRSAIGPLPPCYSPSMKTLIKGMLRKNPENRPTASELLKHPYLRPYVDQYRPSCNPPASSPEKPLSVVHNNRKPMVESCSSTSSCSDRDGFAPSERNIQAVAFNCDDKRTETDLAFADDECNSGQPNCSNKQPGISTCTKIENYEPKIILHEEQMCNSDGKQPITVNHTEMALKEGRTRENKYLMRENRAKAGFDSRKRNHAMHSQKHKLLASDSSPKTKPRHERISSSWPVKHVAEDGFPSRARQRTPNLTRRSSIPPAVLWQAGSDAPDNVDNNKRVRDMDDTLKEHQQQGDVAREKSNTITLPCSEALAAFNDDASTSRPPSSSIPTSSGHDKHIVKEFVSSTRGASLVPLSQNNVLRSNHTSEMDGEDNLTLKELLSSQTDNAPFTLPPVSDTKKTSKLENGTILQSVAFDNPSSDDAINAIHHDSYQVSTEEPVIENVGKLVGIESAEIDIPKSSSTAGEYVKTKEMDSGHLSSPASPVLALDSSATAKPDPRVAEERPVKEALDVKSFRQRAEALEGLLELSADLLEHNRLEELAVVLKPFGKGKVSPRETAIWLAKSLKGLMLEDSAHNS >itb04g31860.t2 pep chromosome:ASM357664v1:4:34536987:34542010:-1 gene:itb04g31860 transcript:itb04g31860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MALIARVQHPYIVEFKEAWVEKGCYVCIVIGYCEGGDMAELMKKANGLYFPEEKLLKWFTQLLLAVEYLHSSFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLSDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLVSKINRSAIGPLPPCYSPSMKTLIKGMLRKNPENRPTASELLKHPYLRPYVDQYRPSCNPPASSPEKPLSVVHNNRKPMVESCSSTSSCSDRDGFAPSERNIQAVAFNCDDKRTETDLAFADDECNSGQPNCSNKQPGISTCTKIENYEPKIILHEEQMCNSDGKQPITVNHTEMALKEGRTRENKYLMRENRAKAGFDSRKRNHAMHSQKHKLLASDSSPKTKPRHERISSSWPVKHVAEDGFPSRARQRTPNLTRRSSIPPAVLWQAGSDAPDNVDNNKRVRDMDDTLKEHQQQGDVAREKSNTITLPCSEALAAFNDDASTSRPPSSSIPTSSGHDKHIVKEFVSSTRGASLVPLSQNNVLRSNHTSEMDGEDNLTLKELLSSQTDNAPFTLPPVSDTKKTSKLENGTILQSVAFDNPSSDDAINAIHHDSYQVSTEEPVIENVGKLVGIESAEIDIPKSSSTAGEYVKTKEMDSGHLSSPASPVLALDSSATAKPDPRVAEERPVKEALDVKSFRQRAEALEGLLELSADLLEHNRLEELAVVLKPFGKGKVSPRETAIWLAKSLKGLMLEDSAHNS >itb04g31860.t3 pep chromosome:ASM357664v1:4:34536987:34539337:-1 gene:itb04g31860 transcript:itb04g31860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MSQDMAGLVSKINRSAIGPLPPCYSPSMKTLIKGMLRKNPENRPTASELLKHPYLRPYVDQYRPSCNPPASSPEKPLSVVHNNRKPMVESCSSTSSCSDRDGFAPSERNIQAVAFNCDDKRTETDLAFADDECNSGQPNCSNKQPGISTCTKIENYEPKIILHEEQMCNSDGKQPITVNHTEMALKEGRTRENKYLMRENRAKAGFDSRKRNHAMHSQKHKLLASDSSPKTKPRHERISSSWPVKHVAEDGFPSRARQRTPNLTRRSSIPPAVLWQAGSDAPDNVDNNKRVRDMDDTLKEHQQQGDVAREKSNTITLPCSEALAAFNDDASTSRPPSSSIPTSSGHDKHIVKEFVSSTRGASLVPLSQNNVLRSNHTSEMDGEDNLTLKELLSSQTDNAPFTLPPVSDTKKTSKLENGTILQSVAFDNPSSDDAINAIHHDSYQVSTEEPVIENVGKLVGIESAEIDIPKSSSTAGEYVKTKEMDSGHLSSPASPVLALDSSATAKPDPRVAEERPVKEALDVKSFRQRAEALEGLLELSADLLEHNRLEELAVVLKPFGKGKVSPRETAIWLAKSLKGLMLEDSAHNS >itb04g28370.t1 pep chromosome:ASM357664v1:4:32139756:32141321:-1 gene:itb04g28370 transcript:itb04g28370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A1 [Source:Projected from Arabidopsis thaliana (AT5G58860) UniProtKB/Swiss-Prot;Acc:P48422] METLLLLFGVAAATSVYLLWFSLLAQRLTGPKVWPVVGSLPYLFMNRRRIHDWIAGNLRATGGAATYQTSTICLPFIAWRQGFYTVTCHPKNIEHILRTRFDNYPKGPTWQSAFHDLLGEGIFNSDGDTWLIQRKTAALEFTTRTLRQAMNRWVNRTIRTRLWVILDKASRNHSAVDLQDLLLRLTFDNICGLTFGKDPETLSPEMPENPFSIAFDSATEATMQRLLYPDFLWRLKKLLGIGAEKRLQSCLQVVENYMSETLEARKQTPSDDLLSRFMKKRDVDGNLYPNDVLKRIALNFVLAGRDTSSVALSWFFWLVMNNPRVEQKIVDEISSVLTETRGEDREKWIEEPLVFDEADRLVYLKAALAETLRLYPSVPEDFKYVVSDDVLPDGTYVPAGSTVTYSIYSVGRMQSVWGDDCLEFKPERWLAATGDRFETPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLMPGHRVEQKMSLTLFMKNGLKVYMHPRELEPAAYRAAISA >itb15g20010.t1 pep chromosome:ASM357664v1:15:22503030:22504557:1 gene:itb15g20010 transcript:itb15g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGAISDGVLKKIILSYAYVAVWIFLSFTVIVYNKYILDRKLYNWPFPISLTMIHMAFCSSLAFFLVRVLRLVDPPSSLSRHVYLTCILPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGILFKKDAYKPSTMANMISISIGVAIAAYGEAKYDSWGVLLQLAAVAFEATRLVMIQILLTSKGISLNPITSLYYVAPSCLLFLSIPWLLVEFPVLRRSSGFRFDFTVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLLGYGLAFLGVAFYNHSKLQALKAKEAQKKAQQQTDEESGKLLGESGGDSLGKKGDAQA >itb14g14490.t1 pep chromosome:ASM357664v1:14:17164719:17166021:-1 gene:itb14g14490 transcript:itb14g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERERVYPKIKVKVDHEGVNAEMGCQVSPPATLNFKTTSHAHQLHHGRNKNIKNGKNINQEKNKTSNSQRPNNIVFRPRAVVSSPDNDMIIGNRKKLTCKNQASNKPTASREEKTSQ >itb14g04310.t1 pep chromosome:ASM357664v1:14:3874357:3877361:-1 gene:itb14g04310 transcript:itb14g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSPSMNLHSHSLLSFLIIILSAIPLCFSQPQNIQTFYPFALPPLRPPPIPTTPTTPVQPPSPPPLLPPSPPPPPPPLLSPQPSSTRKAVGTAIGATAAGVLVLSGIFFVIFVRYSRSRKERGGGTAVTNPYADPYPNGGRDGGDRDEFSRFGGKVKGVIVDEEGLDVLYWRKLESGDAKESFKRQVFSNNGEKVEEKRMIRRGSSKKKSVGTPIHEIPLLRGKSSTSHDPAWNNIAPNSATMENQHSLIQRESRSPPLPPSPPPPPPPPLPLQAISSAPPPPPPPPPPPPPPPPVAPPLSVQAIPSAARPPPPPPPLLAVEKKSAPPPPPPAPFKSQKPPPPLPPKGIPADKPSSEQGTSGNGKEQVKLKPLHWDKVNANADHSMVWKKIEGGSFKFDGDLMEALFGSVAVNRKSPQRDRSSSGQKGEISAGPSPQIFILDTRKSQNIAIVLKSLGVPRREIMDALNEGQGLSAETLEKLCRVSPTREEESDILNFAGDPTRLADAESFLFYILKAIPSAFTRFNAMLFRSNYGPEILQLNESLQTLELASNELRGQRLFMKLLEAILKAGNRMNAGTSRGDAQAFNLTALRKLSDVKSIDGKTTLLHFVVQEVIRAEGRRCVLTRNHSLSRSGSQISSIASSDYDQEKEYIILGLPIVGGLSSEFSNVKKAAAIDYDALSKTSLTLSSQVSEIRKLVAQCGAARGGFATEMTDFLDSAEGEIKGAREQEIRVMELVKRTTDYYQVGASNNKTWQPLQLFVIISDFLGMVDRACVEIARNLQKKNPEWPGAGSSSSSTTESPAARTVRFPKLPANFLSEQSKSNPIDSTEGS >itb11g07750.t1 pep chromosome:ASM357664v1:11:4849068:4852981:-1 gene:itb11g07750 transcript:itb11g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFSLSSSSSCLRFHSKRGVFLSNPSSNPHFSRILSAKNTQFRNLKKNNSIRATSSEEGLPTELIEDSKFVPLNAEDPRYGPPALLLLGFELEDAVKIKQFLKEMDGEFLEIIFCTEDMISRSIWEAVNTKQRDLKALKIAKPLPRICFLSGLTGEEMMMFIDAFPESGLEQPVFAALVPNAADKPLEELIEEIMGDHETLSGTRQS >itb14g09460.t1 pep chromosome:ASM357664v1:14:9812779:9813742:-1 gene:itb14g09460 transcript:itb14g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLVGQPLERVTTGTAGRWVPTFSARILVLCENKIVGELPLSDEKPSPEFILRRLVLLCRRNWKWPEVPCWSFHRHYGISVGVPLAFIADAPTSLGRYITHWRPRLCLRFFSPGDAARQWIGVYYSTVNAAEFFSLPSEIAAQPPTLPPCQLQTVADRKSPLLEFLIGGVATPKVLRRSCRRSRSCYLTSSTQVPHPSPVSLPSLLLEVNVAAYVFFFSNAKAAVDHSPSLLMRLKIDAMVTRP >itb15g07900.t1 pep chromosome:ASM357664v1:15:5547229:5548990:-1 gene:itb15g07900 transcript:itb15g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQEPRLSLKLLIDEERNRVVAAEAHKEFVEILFSFLTLPMGTVIRVTSSGGTKNAVKIGCMNNLCRVVEKLSNENWETENCKSMVLHPRNPLGNYCQKLKVNIDDSWSNTKYRCSWCCSRMSWYPNVSCTCGGKTSNHKITYGVNNEGAFLQKGRTMFIISDDLQIRPACPSVLARLLSNLGLSEMDGIREMLIEVSKEQVISLLARSLVSESPLSDVFLPNPTKHETKLPERTLQTTEQNEPPAPTPTPTPTPTLNLQVTLNKSTNKLLFAKATNEFFDFLCTFLTIPVGSIIHVLKRNLGGFGGCIYNLYTSVEELEDKWFGSCLKSAILNPQIAQYHNCKKQPLKLDELIESKLLLDPRPNQNFAGEPLLFIVLDNLDVKPLSSASSSLILRELKVPFRDVEDQVITVGMNEALSLLKAALTSPSSALTNGLASFLQKKKKKQEA >itb06g08150.t1 pep chromosome:ASM357664v1:6:12082852:12086949:-1 gene:itb06g08150 transcript:itb06g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVILPLQRGGGVRCSVKPAWRGALQSKSRDASAFAETPQPNSPLGTGRPVDSNPSPAFKDSGGGASHPPPRHSRTPSSLHPSSALPPPPSQHLLRHHRSISAAKTTPLTYVPPPATQPRNRLPPPLQISPSTLTAPPTPPPQHLLRHHRNISVASHHRSQH >itb15g19180.t3 pep chromosome:ASM357664v1:15:21376084:21377068:1 gene:itb15g19180 transcript:itb15g19180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNGHMVAKFNLDEKVEDNFTIVKQNVARRELGDAVKRSQIGMISAAAVGENSLAAARKEGKKANVLVVCPSGKEVADRLGFAVVQPPLSASSSVGRG >itb15g19180.t2 pep chromosome:ASM357664v1:15:21373718:21377068:1 gene:itb15g19180 transcript:itb15g19180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVMETLGLQGEQLMSDKWDEVVKIRKTIDDREVVKKPIARRELGDAVKRSQIGMISAAAVGENSLAAARKEGKKANVLVVCPSGKEVADRLGFAVVQPPLSASSSVGRG >itb15g19180.t1 pep chromosome:ASM357664v1:15:21371524:21377591:1 gene:itb15g19180 transcript:itb15g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQIFDPPLPNIPVSTDLNYEVPIPHEDILLPHDESATDESINSPISNGQSQQLSNAEEGTDSSQLPLEVVLNEEEQLLSSNQTPQSNTNEEELVPTEPPRRSGRDRRVPTKLRDYYCEQLMSDKWDEVVKIRKTIDDREVVKKPIARRELGDAVKRSQIGMISAAAVGENSLAAARKEGKKANVLVVCPSGKEVADRLGFAVVQPPLSASSSVGRG >itb02g07680.t1 pep chromosome:ASM357664v1:2:4794176:4796808:-1 gene:itb02g07680 transcript:itb02g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHFRFAATCFFAAVLVASSAFLAAAGPLRRVEDPHWYPAAATWYGSPEGDGSDGGACGYGTMVDVKPFRARVGAVSPVLFKGGEGCGACYKVKCLDRSICSRRAVTVIITDECPGCANDRVHFDLSGAAFGRMAVTGYGGSLRNRGELPVIYRRTPCKYPGKDIAFRVNEGSTDFWLSLLVEFEDGDGDVGSMHIRQAMSNEWLEMNHIWGANWVINGQGGGALQGPFSVKLTTLSTGRLLSARDVIPRNWSPKATYTSRLNFHI >itb12g14710.t1 pep chromosome:ASM357664v1:12:14875377:14875841:-1 gene:itb12g14710 transcript:itb12g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGNDYGTGFPPSQPSLCENGCGFFGAAATKGLCSKCYRDLRVKEEREAMDKLALNTSANLRVEIDSSASNPSQPAVSGGEVAAAPPPAPSRCWSCNKKVGLMEFKCRCGCTFCRVHRYPEKHDCTFDFKGQGRDAIAKANPLIKADKIHRF >itb03g29740.t1 pep chromosome:ASM357664v1:3:30719851:30724099:-1 gene:itb03g29740 transcript:itb03g29740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIANQSVVHKRATLIQQAIKLVILVISLGYSLVWIMMPTSLFFQNWLPHIYADLNSTYLGHTGSLIVIYTFPILLVATLGCVYVHLEKYKNNYNSNHFDDQSYLHNAFQYITPQYAAEMGVKLWEAKLEGTGLRFGLVGNIFLTFLFYPVCRGSSILRLVGLTSEGSIKYHIWLGHTAMLLFTAHGLCYVILWVSTHQIAEMVNWSKVGISNLAGEIALAAGLVMWSTTSSRIRRKAFELFFYTHYLYIVFVVFFVFHVGFGYFCIMLPGLYLFLVDRFLRFLQSQQRVGLVSARVLPCHTVELNFSKTPSLRYSPTSCVFVNMPGISKLQWHPFTVTSSSEMDCDTLSVVIKSAGSWSERLYQNLSSPLPKHHRLEAGLEGPYGPPAASISRFLGHERLVMVCGGSGITPFISIIRELIHIAATSSTRRLPKLLLVAAFKNSVDLTMLDLVLPLSGTPHDVSRLGLRIEAYVTRDKEPATEKEQKSVHTIWFEPDPADKPVSSVLGPNSWLYLGAVISSSFVIFLLIIGILTRYYIYPIDPTINGKYNNSSESALYMLFLCIAIAMAATAGFVWNKKQIQNTNTLSPHNGDRELESLPHQSLAQVTTTHYGERPNLKNILMMECRGADVGVFVSGPTGLRQEVAKICSSSGVSDNLHFESFSFTW >itb11g07450.t1 pep chromosome:ASM357664v1:11:4560400:4561205:-1 gene:itb11g07450 transcript:itb11g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVLKAAKTIQEEAYGDVNVEVQCFHANTGVNTLSGGSYYGKTLVYSDMKHVLIRISSKSAATKLRSGEEDNAILVSAHVDTVFAAEGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLDGSHSFVTQHPWINTVRVAVNLEAMGIGGKSGIFQI >itb05g05560.t1 pep chromosome:ASM357664v1:5:5317625:5325653:1 gene:itb05g05560 transcript:itb05g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAADDMVRSTSSQRSTSRSRRMSFGSGSARSLASASVREVFGAPGGDVFQRSARENDDEEELRWAAIERLPTYDRLRKGILKQTLDNGKVVHQEVDVQNLGMQDKKLLIESILKVVEEDNERFLRRLRDRTDRVGIDIPKIEVRYEDLSIEGDAYVGSRALPTLFNATINMIEGFLEKIRIFPSKKRVVKILKGVNGIIKPSRMTLLLGPPGSGKTTFLKALAGVLDKDLRVEGKITYCGHEMSEFIPQRTCAYISQYDLHHGELTVRETLDFAGRVLGVGTRYELLTELSRRERDAGIKPDPEIDAFMKATAIAGQESSLVTDYSLKMLGLDICADIMVGNEMMRGISGGQKKRVTTGEMIVGPAKVFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMIISLLQPAPEAFDLFDDIILLSEGQIVYHGPRENVVEFFESVGFKCPERKGVADFLQEVTSMKDQEQYWYRKDEPYHYISVAEFANHFSNFHVGRKLYDELSVPFNKSRTHPAALVTDKYGISNMELFKACLSREWLLMKRNSFLYIFKTFQITVMSIITFTVFFRTEMKSGTVENGGKFYGALFFSLINVMFNGTAELALTVFRLPVFFKQRDSLFFPAWAFALPIWLMRVPLSFMESFIWIILTYYTIGFAPAASRFFRQFLAFFSLHQMALSLFRFIASLGRTQVVANTLGTFSLLVVFVLGGFIVAKDDLEPWMKWGYYASPMSYGQNAIAINEFLDKRWSSPINVSGFQEHTVGLVLLKSRSMYLDDYMYWICVIALLGFSLLFNVCFTIALTYLDPYGDSKSVIRDEKDTNRKIKGKSNPYSKAATDGSSSSAPPPMFEGIDMTVRHSGRGLSSEQNASKKRGMVLPFQPLSLAFNHVNYYVDMPAEMQKQGIEEPRLQLLQDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVDKQTRKMFIEEVMELVELNPLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSHRLIQYFESVPGIPKIREGYNPATWMLEISAPAVEAQFDVDFAEIYANSDLYRRNQELIEQLSNPAPGSQDLHFPTKYAQPFITQCQACLWKMHLSYWRHPQYNAIRFFMTTVIGIIFGVIFWKKGKQTSKQQDLSNLMGAMYSAVLFLGGTNTNAVQSVVSVERTVFYREKTAGMYSALPYAFAQVGIEAVYIAIQTLIYSILLYFMIGFEWGADRFFWFYYYIFMCFLYFTSYGMMLVALTPSYQISAIVMTFFLSFWNLFSGFLIPRTQIPVWWRWYYWGSPVAWTIYGLITSQIGDKTDLVAIPGAPDMKVKDYLKENLGFDYDFLGVVAAVHVVWVLLFCFVFAYGIKFLNFQRR >itb05g05560.t4 pep chromosome:ASM357664v1:5:5317767:5323981:1 gene:itb05g05560 transcript:itb05g05560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAADDMVRSTSSQRSTSRSRRMSFGSGSARSLASASVREVFGAPGGDVFQRSARENDDEEELRWAAIERLPTYDRLRKGILKQTLDNGKVVHQEVDVQNLGMQDKKLLIESILKVVEEDNERFLRRLRDRTDRVGIDIPKIEVRYEDLSIEGDAYVGSRALPTLFNATINMIEGFLEKIRIFPSKKRVVKILKGVNGIIKPSRMTLLLGPPGSGKTTFLKALAGVLDKDLRVEGKITYCGHEMSEFIPQRTCAYISQYDLHHGELTVRETLDFAGRVLGVGTRYELLTELSRRERDAGIKPDPEIDAFMKATAIAGQESSLVTDYSLKMLGLDICADIMVGNEMMRGISGGQKKRVTTGEMIVGPAKVFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMIISLLQPAPEAFDLFDDIILLSEGQIVYHGPRENVVEFFESVGFKCPERKGVADFLQEVTSMKDQEQYWYRKDEPYHYISVAEFANHFSNFHVGRKLYDELSVPFNKSRTHPAALVTDKYGISNMELFKACLSREWLLMKRNSFLYIFKTFQITVMSIITFTVFFRTEMKSGTVENGGKFYGALFFSLINVMFNGTAELALTVFRLPVFFKQRDSLFFPAWAFALPIWLMRVPLSFMESFIWIILTYYTIGFAPAASRFFRQFLAFFSLHQMALSLFRFIASLGRTQVVANTLGTFSLLVVFVLGGFIVAKDDLEPWMKWGYYASPMSYGQNAIAINEFLDKRWSSPINVSGFQEHTVGLVLLKSRSMYLDDYMYWICVIALLGFSLLFNVCFTIALTYLDPYGDSKSVIRDEKDTNRKIKGKSNPYSKAATDGSSSSAPPPMFEGIDMTVRHSGRGLSSEQNASKKRGMVLPFQPLSLAFNHVNYYVDMPAEMQKQGIEEPRLQLLQDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVDKQTRKMFIEEVMELVELNPLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSHRLIQYFESVPGIPKIREGYNPATWMLEISAPAVEAQFDVDFAEIYANSDLYRRNQELIEQLSNPAPGSQDLHFPTKYAQPFITQCQACLWKMHLSYWRHPQYNAIRFFMTTVIGIIFGVIFWKKGKQT >itb05g05560.t2 pep chromosome:ASM357664v1:5:5317767:5325653:1 gene:itb05g05560 transcript:itb05g05560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAADDMVRSTSSQRSTSRSRRMSFGSGSARSLASASVREVFGAPGGDVFQRSARENDDEEELRWAAIERLPTYDRLRKGILKQTLDNGKVVHQEVDVQNLGMQDKKLLIESILKVVEEDNERFLRRLRDRTDRVGIDIPKIEVRYEDLSIEGDAYVGSRALPTLFNATINMIEGFLEKIRIFPSKKRVVKILKGVNGIIKPSRMTLLLGPPGSGKTTFLKALAGVLDKDLRVEGKITYCGHEMSEFIPQRTCAYISQYDLHHGELTVRETLDFAGRVLGVGTRYELLTELSRRERDAGIKPDPEIDAFMKATAIAGQESSLVTDYSLKMLGLDICADIMVGNEMMRGISGGQKKRVTTGEMIVGPAKVFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMIISLLQPAPEAFDLFDDIILLSEGQIVYHGPRENVVEFFESVGFKCPERKGVADFLQEVTSMKDQEQYWYRKDEPYHYISVAEFANHFSNFHVGRKLYDELSVPFNKSRTHPAALVTDKYGISNMELFKACLSREWLLMKRNSFLYIFKTFQITVMSIITFTVFFRTEMKSGTVENGGKFYGALFFSLINVMFNGTAELALTVFRLPVFFKQRDSLFFPAWAFALPIWLMRVPLSFMESFIWIILTYYTIGFAPAASRFFRQFLAFFSLHQMALSLFRFIASLGRTQVVANTLGTFSLLVVFVLGGFIVAKDDLEPWMKWGYYASPMSYGQNAIAINEFLDKRWSSPINVSGFQEHTVGLVLLKSRSMYLDDYMYWICVIALLGFSLLFNVCFTIALTYLDPYGDSKSVIRDEKDTNRKIKGKSNPYSKAATDGSSSSAPPPMFEGIDMTVRHSGRGLSSEQNASKKRGMVLPFQPLSLAFNHVNYYVDMPAEMQKQGIEEPRLQLLQDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVDKQTRKMFIEEVMELVELNPLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSHRLIQYFESVPGIPKIREGYNPATWMLEISAPAVEAQFDVDFAEIYANSDLYRRNQELIEQLSNPAPGSQDLHFPTKYAQPFITQCQACLWKMHLSYWRHPQYNAIRFFMTTVIGIIFGVIFWKKGKQTSKQQDLSNLMGAMYSAVLFLGGTNTNAVQSVVSVERTVFYREKTAGMYSALPYAFAQVGIEAVYIAIQTLIYSILLYFMIGFEWGADRFFWFYYYIFMCFLYFTSYGMMLVALTPSYQISAIVMTFFLSFWNLFSGFLIPRTQIPVWWRWYYWGSPVAWTIYGLITSQIGDKTDLVAIPGAPDMKVKDYLKENLGFDYDFLGVVAAVHVVWVLLFCFVFAYGIKFLNFQRR >itb05g05560.t3 pep chromosome:ASM357664v1:5:5317625:5323981:1 gene:itb05g05560 transcript:itb05g05560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAADDMVRSTSSQRSTSRSRRMSFGSGSARSLASASVREVFGAPGGDVFQRSARENDDEEELRWAAIERLPTYDRLRKGILKQTLDNGKVVHQEVDVQNLGMQDKKLLIESILKVVEEDNERFLRRLRDRTDRVGIDIPKIEVRYEDLSIEGDAYVGSRALPTLFNATINMIEGFLEKIRIFPSKKRVVKILKGVNGIIKPSRMTLLLGPPGSGKTTFLKALAGVLDKDLRVEGKITYCGHEMSEFIPQRTCAYISQYDLHHGELTVRETLDFAGRVLGVGTRYELLTELSRRERDAGIKPDPEIDAFMKATAIAGQESSLVTDYSLKMLGLDICADIMVGNEMMRGISGGQKKRVTTGEMIVGPAKVFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMIISLLQPAPEAFDLFDDIILLSEGQIVYHGPRENVVEFFESVGFKCPERKGVADFLQEVTSMKDQEQYWYRKDEPYHYISVAEFANHFSNFHVGRKLYDELSVPFNKSRTHPAALVTDKYGISNMELFKACLSREWLLMKRNSFLYIFKTFQITVMSIITFTVFFRTEMKSGTVENGGKFYGALFFSLINVMFNGTAELALTVFRLPVFFKQRDSLFFPAWAFALPIWLMRVPLSFMESFIWIILTYYTIGFAPAASRFFRQFLAFFSLHQMALSLFRFIASLGRTQVVANTLGTFSLLVVFVLGGFIVAKDDLEPWMKWGYYASPMSYGQNAIAINEFLDKRWSSPINVSGFQEHTVGLVLLKSRSMYLDDYMYWICVIALLGFSLLFNVCFTIALTYLDPYGDSKSVIRDEKDTNRKIKGKSNPYSKAATDGSSSSAPPPMFEGIDMTVRHSGRGLSSEQNASKKRGMVLPFQPLSLAFNHVNYYVDMPAEMQKQGIEEPRLQLLQDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVDKQTRKMFIEEVMELVELNPLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSHRLIQYFESVPGIPKIREGYNPATWMLEISAPAVEAQFDVDFAEIYANSDLYRRNQELIEQLSNPAPGSQDLHFPTKYAQPFITQCQACLWKMHLSYWRHPQYNAIRFFMTTVIGIIFGVIFWKKGKQT >itb08g12150.t1 pep chromosome:ASM357664v1:8:12238873:12239797:1 gene:itb08g12150 transcript:itb08g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAQNNGSLVILHNAVIVTMDAQSRVFLKGAVAIRSDTIIAIGQSADILLKFSSFSAQVIDLHGQFLLPGFINTHVHTSQQLGRGIADDVDLLTWLHHRVWPYESNMSEEDSYISALLCGIELIHSGVTCFAEAGGQHVSGMARAVELLGIRACLTESIMDSGEGLPESWATRTTEECIQVGSARDYLQKTVFSDLK >itb02g15310.t2 pep chromosome:ASM357664v1:2:11133127:11134395:-1 gene:itb02g15310 transcript:itb02g15310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMHSMWISVKKNINCKCRLTDVICHLPQPANCKGSHFHKSETGLTPLTPQRILCAKQYLISTMQKQKRFHELEAGNPSRNIIEMIFRAASKNPSKHPRLIKRVIRVKNTIDVLDRFEKYREAVKSRSCEMCKSHPRSMVDGNELMQFYGTTMTCCNNKERTKISDLCKDPNCRVCRLIQTGFNTSYNNKNGIRLSTNSDTLSEDTTVISNRKKAKRAVIVCRTIAGIVEKDQDLLEEEHDSVGNGVDTKLEYLTVKDPSAVLPCFVIVFC >itb02g15310.t1 pep chromosome:ASM357664v1:2:11133127:11134395:-1 gene:itb02g15310 transcript:itb02g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMHSMWISVKKNINCKCRLTDVICHLPQPANCKGSHFHKSETGLTPLTPQRILCAKQYLISTMQKQKRFHELEAGNPSRNIIEMIFRAASKNPSKHPRLIKRVIRVKNTIDVLDRFEKYREAVKSRSCEMCKSHPRSMVDGNELMQFYGTTMTCCNNKERTKISDLCKDPNCRVCRLIQTGFNTSYNNKNGIRLSTNSDTLSEDTTVISNRKKAKRAVIVCRTIAGIVEKDQDLLEEEHDSVGNGVDTKLEYLTVKDPSAVLPCFVIVFC >itb02g15310.t3 pep chromosome:ASM357664v1:2:11133127:11134395:-1 gene:itb02g15310 transcript:itb02g15310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMHSMWISVKKNINCKCRLTDVICHLPQPANCKGSHFHKSETGLTPLTPQRILCAKQYLISTMQKQKRFHELEAGNPSRNIIEMIFRAASKNPSKHPRLIKRVIRVKNTIDVLDRFEKYREAVKSRSCEMCKSHPRSMVDGNELMQFYGTTMTCCNNKERTKISDLCKDPNCRVCRLIQTGFNTSYNNKNGIRLSTNSDTLSEDTTVISNRKKAKRAVIVCRTIAGIVEKDQDLLEEEHDSVGNGVDTKLEYLTVKDPSAVLPCFVIVFC >itb13g12920.t1 pep chromosome:ASM357664v1:13:19341693:19342976:-1 gene:itb13g12920 transcript:itb13g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDSAPTLVLPLVAAAVVEVPVEVADMVRWVTMVMRVGLAVEKAVGPGMVVVLRVVEAVGERVVVVEVARQAATVRVMRVELVVGKAAVPGMVVVVESTVVLAVVVAAEVVAVAAAVMLVGVTMAPGPGVEVVKEAELEVDMVREGNMAVAAGTVVVEAGVVAAEAVALQVEHTAADMAEVKEQGVGTAEEEQAVGDMVVGVAKGVVEAEAMPVEHQVVDMEVEVVKVAAQEAEQHTEVGTLVVEVVAEDQAEAAGLPEVNMEVDMAAVPVVVKGVDMVVATPHEYIPKRGYDYSSYIYTA >itb12g20050.t2 pep chromosome:ASM357664v1:12:22471069:22475595:-1 gene:itb12g20050 transcript:itb12g20050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLNLSLGLPCGGGTASQKGKTGSSSNFRAEEGDRDSKLINDFKNFLDGGTQKHDSVIGLHRSDLVKNEGSFFNDLSSTAVNVDAAKKLNSGGFWGANSGRSIEVEEEKTTDAGDRHKSLFGETSHKRKRERDAHNSDLHDKTRTSHISINTDDDSTGDNEDVADSEAEGSTSRQVSQPDDSSKRRVGSSGQIEINKEVHGVSDSGNAELSGQRRFSISSEKEVKFGNMSYGVPFSQPINIVNMPYSLPSKDTNPSGTVGAPNYPVPGMVQMMAASGGERQGTQPLMPANMPLMFGYSPVQLSSLDKDNPRVPASHLQRQQLHPSYARGPLNPDKHSEGLKITQVPVVALKPSEFSQYDKGSGKQHAAEEGSSTRGEENMRGSNISFRGKDPSELPKADGLPSEFPAIKPGLAADVKFGGCGSYPNLPWVSTTGSGPNGKTISGVTYRFSATQIKIVCACHGSHMSPEEFVRHASEEHPTQDVGSGVTSFPNSNSAASAQS >itb12g20050.t1 pep chromosome:ASM357664v1:12:22471069:22475595:-1 gene:itb12g20050 transcript:itb12g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLNLSLGLPCGGGTASQKGKTGSSSNFRAEEGDRDSKLINDFKNFLDGGTQKHDSVIGLHRSDLVKNEGSFFNDLSSTAVNVDAAKKLNSGGFWGANSGRSIEVEEEKTTDAGDRHKSLFGETSHKRKRERDAHNSDLHDKTRTSHISINTDDDSTGDNEDVADSEAEGSTSRQVSQPDDSSKRRVGSSGQIEINKEVHGVSDSGNAELSGQRRFSISSEKEVKFGNMSYGVPFSQPINIVNMPYSLPSKDTNPSGTVGAPNYPVPGMVQMMAASGGERQGTQPLMPANMPLMFGYSPVQLSSLDKDNPRVPASHLQRQQLHPSYARGPLNPDKHSEGLKITQASVPVVALKPSEFSQYDKGSGKQHAAEEGSSTRGEENMRGSNISFRGKDPSELPKADGLPSEFPAIKPGLAADVKFGGCGSYPNLPWVSTTGSGPNGKTISGVTYRFSATQIKIVCACHGSHMSPEEFVRHASEEHPTQDVGSGVTSFPNSNSAASAQS >itb09g25970.t1 pep chromosome:ASM357664v1:9:26090826:26092464:-1 gene:itb09g25970 transcript:itb09g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIKDQAESQSSSNRKIKSPYDSFVIHDFHISQTIGNDDKEKKLIPTQFVKLLGKWLGEIATLRRPSGHEWRVHVRTERDGTFFSDGWETFYEDNDLYIGEVVFYTYLGDMHFDVKIFNKDGLEKVWDCGVIQNSNEESDHDKPSTTPGTHL >itb02g21500.t3 pep chromosome:ASM357664v1:2:20389087:20390612:1 gene:itb02g21500 transcript:itb02g21500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYDKVVWEVSEFKEGENPSITFKYHSHDGEEGYPGDLSITATYSLTAKTTLRLDMEAVPGNKASPVSLAQHTYWNLAGHNSGDILEHTAQLLGNHYTPVDQNTIPTGEIKAVKDTPFDFTTEKKIGRDIQQVGLGYDHNYVLDCGIEKSGLKHAVKIKDPKSARVLNLWTNAPGMQFYTANYLNGVVGKGGAVYNKHAGVCLETQGFPNAVNTPNFPSIIVQPGHKYQHTMVYEFSLH >itb02g21500.t2 pep chromosome:ASM357664v1:2:20388879:20390612:1 gene:itb02g21500 transcript:itb02g21500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFGCIVGRVANRIKDGKFTLNGVGYSLPLNRPPNSLHGESVSAKFFRIDSISLLFDSMRYWIVAWFESSRIISVVYVWGSPQPLPEVPSGGMKGYDKVVWEVSEFKEGENPSITFKYHSHDGEEGYPGDLSITATYSLTAKTTLRLDMEAVPGNKASPVSLAQHTYWNLAGHNSGDILEHTAQLLGNHYTPVDQNTIPTGEIKAVKDTPFDFTTEKKIGRDIQQVGLGYDHNYVLDCGIEKSGLKHAVKIKDPKSARVLNLWTNAPGMQFYTANYLNGVVGKGGAVYNKHAGVCLETQGFPNAVNTPNFPSIIVQPGHKYQHTMVYEFSLH >itb02g21500.t1 pep chromosome:ASM357664v1:2:20388879:20390612:1 gene:itb02g21500 transcript:itb02g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFGCIVGRVANRIKDGKFTLNGVGYSLPLNRPPNSLHGGMKGYDKVVWEVSEFKEGENPSITFKYHSHDGEEGYPGDLSITATYSLTAKTTLRLDMEAVPGNKASPVSLAQHTYWNLAGHNSGDILEHTAQLLGNHYTPVDQNTIPTGEIKAVKDTPFDFTTEKKIGRDIQQVGLGYDHNYVLDCGIEKSGLKHAVKIKDPKSARVLNLWTNAPGMQFYTANYLNGVVGKGGAVYNKHAGVCLETQGFPNAVNTPNFPSIIVQPGHKYQHTMVYEFSLH >itb03g19520.t1 pep chromosome:ASM357664v1:3:17599435:17601374:-1 gene:itb03g19520 transcript:itb03g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKEGKGGELASKAKGKGMKAVRKKEDAIKDCRLHIKGLRQSVAVFSLSDWTSVVLRSLNDRSSVEFLGVAGLEVKEGELWHVCAITCFLLDV >itb13g26110.t4 pep chromosome:ASM357664v1:13:31451235:31456287:-1 gene:itb13g26110 transcript:itb13g26110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKREDSKLRSLSERVVNCQGKSLRIHIPLTNPTRTFSAITYLLWDDLVNQASKKRGPEASRLHINKTKLRHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFVKILKKFDKVTNKQVLPIYLRVVENSHFNSSDKAVKLADEVEEIFIKHFAEDDRRKAMKYLKPTLKKESHAVTFFIGLFAGCFIALFAGYIIMAHITGMYTQRQSDTVYMETVYPVLSMFSLLFLHIFLYGCNIFMWRKTRINYGFIFELAPTKELKYRDVFLICTASMSVVVGVLFVHLSLVAKGYSFTQVQAIPALLLLGFLLLLICPFNIIYKSTRYRFLYVMRNIILSPLYKVVMVDFFMADQLCSQIPLLRDLEYVACYYVTGSYKNQDYGYCMRTKYYRDLAYAVSFLPYYWRAMQCARRWLDEGHKSHLVNLGKYVSAMLAAGAKVAYEKEKSVGWLCLVVLISSAATVYQLYWDFVKDWGLLQLHSNNPWLRNELMLRQKFIYYFSMGLNLVLRLAWLQTVLHYNFGSIDYRVTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >itb13g26110.t5 pep chromosome:ASM357664v1:13:31451235:31456287:-1 gene:itb13g26110 transcript:itb13g26110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKREDSKLRSLSERVVNCQGKSLRIHIPLTNPTRTFSAITYLLWDDLVNQASKKRGPEASRLHINKTKLRHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFVKILKKFDKVTNKQVLPIYLRVVENSHFNSSDKAVKLADEVEEIFIKHFAEDDRRKAMKYLKPTLKKESHAVTFFIGLFAGCFIALFAGYIIMAHITGMYTQRQSDTVYMETVYPVLSMFSLLFLHIFLYGCNIFMWRKTRINYGFIFELAPTKELKYRDVFLICTASMSVVVGVLFVHLSLVAKGYSFTQVQAIPALLLLGFLLLLICPFNIIYKSTRYRFLYVMRNIILSPLYKVVMVDFFMADQLCSQIPLLRDLEYVACYYVTGSYKNQDYGYCMRTKYYRDLAYAVSFLPYYWRAMQCARRWLDEGHKSHLVNLGKYVSAMLAAGAKVAYEKEKSVGWLCLVVLISSAATVYQLYWDFVKDWGLLQLHSNNPWLRNELMLRQKFIYYFSMVLKHKSNQTRIVISSLCSSKKYYCYTTTKILENKRKILSVWFLQGLNLVLRLAWLQTVLHYNFGSIDYRVTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >itb13g26110.t1 pep chromosome:ASM357664v1:13:31451235:31457699:-1 gene:itb13g26110 transcript:itb13g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKEAFVDYWQLKKDLKKILLNAENNNNNSNHHFPQNSFFSSLRNLSLFQPPRRQHGAIQVHRKPSPSANKGDLYETELLEQFADTEAANEFFARLDMQLNKVNQFYKTKEREFMERGESLKKQLEILIQLNTALRQHRAKGIGSSQESREDDSISGTISCDEESNRGRAEEEQGQGDITDESSISETQLTESPGELGRSMRVKREDSKLRSLSERVVNCQGKSLRIHIPLTNPTRTFSAITYLLWDDLVNQASKKRGPEASRLHINKTKLRHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFVKILKKFDKVTNKQVLPIYLRVVENSHFNSSDKAVKLADEVEEIFIKHFAEDDRRKAMKYLKPTLKKESHAVTFFIGLFAGCFIALFAGYIIMAHITGMYTQRQSDTVYMETVYPVLSMFSLLFLHIFLYGCNIFMWRKTRINYGFIFELAPTKELKYRDVFLICTASMSVVVGVLFVHLSLVAKGYSFTQVQAIPALLLLGFLLLLICPFNIIYKSTRYRFLYVMRNIILSPLYKVVMVDFFMADQLCSQIPLLRDLEYVACYYVTGSYKNQDYGYCMRTKYYRDLAYAVSFLPYYWRAMQCARRWLDEGHKSHLVNLGKYVSAMLAAGAKVAYEKEKSVGWLCLVVLISSAATVYQLYWDFVKDWGLLQLHSNNPWLRNELMLRQKFIYYFSMGLNLVLRLAWLQTVLHYNFGSIDYRVTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >itb13g26110.t2 pep chromosome:ASM357664v1:13:31451235:31457699:-1 gene:itb13g26110 transcript:itb13g26110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKEAFVDYWQLKKDLKKILLNAENNNNNSNHHFPQNSFFSSLRNLSLFQPPRRQHGAIQVHRKPSPSANKGDLYETELLEQFADTEAANEFFARLDMQLNKVNQFYKTKEREFMERGESLKKQLEILIQLNTALRQHRAKGIGSSQESREDDSISGTISCDEESNRGRAEEEQGQGDITDESSISETQLTESPGELGRSMRVKREDSKLRSLSERVVNCQGKSLRIHIPLTNPTRTFSAITYLLWDDLVNQASKKRGPEASRLHINKTKLRHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFVKILKKFDKVTNKQVLPIYLRVVENSHFNSSDKAVKLADEVEEIFIKHFAEDDRRKAMKYLKPTLKKESHAVTFFIGLFAGCFIALFAGYIIMAHITGMYTQRQSDTVYMETVYPVLSMFSLLFLHIFLYGCNIFMWRKTRINYGFIFELAPTKELKYRDVFLICTASMSVVVGVLFVHLSLVAKGYSFTQVQAIPALLLLGFLLLLICPFNIIYKSTRYRFLYVMRNIILSPLYKVVMVDFFMADQLCSQIPLLRDLEYVACYYVTGSYKNQDYGYCMRTKYYRDLAYAVSFLPYYWRAMQCARRWLDEGHKSHLVNLGKYVSAMLAAGAKVAYEKEKSVGWLCLVVLISSAATVYQLYWDFVKDWGLLQLHSNNPWLRNELMLRQKFIYYFSMVLKHKSNQTRIVISSLCSSKKYYCYTTTKILENKRKILSVWFLQGLNLVLRLAWLQTVLHYNFGSIDYRVTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >itb13g26110.t3 pep chromosome:ASM357664v1:13:31451235:31457699:-1 gene:itb13g26110 transcript:itb13g26110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKEAFVDYWQLKKDLKKILLNAENNNNNSNHHFPQNSFFSSLRNLSLFQPPRRQHGAIQVHRKPSPSANKGDLYETELLEQFADTEAANEFFARLDMQLNKVNQFYKTKEREFMERGESLKKQLEILIQLNTALRQHRAKGIGSSQESREDDSISGTISCDEESNRGRAEEEQGQGDITDESSISETQLTESPGELGRSMRVKREDSKLRSLSERVVNCQGKSLRIHIPLTNPTRTFSAITYLLWDDLVNQASKKRGPEASRLHINKTKLRHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFVKILKKFDKVTNKQVLPIYLRVVENSHFNSSDKAVKLADEVEEIFIKHFAEDDRRKAMKYLKPTLKKESHAVTFFIGLFAGCFIALFAGYIIMAHITGMYTQRQSDTVYMETVYPVLSMFSLLFLHIFLYGCNIFMWRKTRINYGFIFELAPTKELKYRDVFLICTASMSVVVGVLFVHLSLVAKGYSFTQVQAIPALLLLGFLLLLICPFNIIYKSTRYRFLYVMRNIILSPLYKVVMVDFFMADQLCSQIPLLRDLEYVACYYVTGSYKNQDYGYCMRTKYYRDLAYAVSFLPYYWRAMQCARRWLDEGHKSHLVNLGKYVSAMLAAGAKVAYEKEKSVGWLCLVVLISSAATVYQLYWDFVKDWGLLQLHSNNPWLRNELMLRQKFIYYFSMGLNLVLRLAWLQTVLHYNFGSIDYRVTGLFLAALEVIRRGQWNFYRYNYNELSFLENYLSFINLHQQFFFLTNMPLLLNGIGWRMSI >itb08g05110.t1 pep chromosome:ASM357664v1:8:4159098:4162262:1 gene:itb08g05110 transcript:itb08g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSLKNLAKRAADQHSRWRSSAYPISGVSAQSQFLQQCRGYYSRSHKNQLSITRVSNAPFLRRSYSSSSSSNSKKGVLAWYLGMLDSRPILTKSISSALIYAAADSTSQMITMSPSDSLDNIRVLRMASFGLLILGPVQHAWFNFLGRKLPRRDVATTLKKLVMGQLVLGPCITGTFFSFNASLQGESGKEIAARLNRDLLPTLMSGLLYWPFCDFLTYKVIPVHLQPLVNSSCAYLWTIYLTYMASLKKAVTD >itb08g00940.t1 pep chromosome:ASM357664v1:8:670194:672093:1 gene:itb08g00940 transcript:itb08g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKGDYNLKFGPRHDECPGEVLKFGMFKQEDTFRKQVCELHQAKLDDTILRSRIGPFSSYTEPVPVPVEKTFCPIPVANAEHGGILTGIQRNLSSPRDCFTRYVSDKGRDPLAKGYYCCSSRQPTETNHFLHDEAATFSLEEVDLSLSIGRNNQKKRGSNNVWDHVIDSKESNKMCTNAGVKTLSAPGCAAYFGDRCDLKSNSSLSNGLSRNQSNGNQISHSSVNVSTSFLEKNFSNEGVERCYEEHISESKPTMGKPFPFRDGALLDLNIPLLDESSFQRDNVGGLVSSDSEDLSNTTKEPETHLRNSSNEAFQNTDSSLAAKSTGQRDVKSSDNSDMRFQKGKAAEVDDQTQEGASSLIYFMSECLREQCHTIEASKPDNREHERNLQPECSDSFEANVLKLTECSVDDCCVSSEPFEVNTTHKKDYGITLRRGRRMKDFRKDILPSLASLARHEISEDMHLMQTILRSREYKKNISKMKGRENQFKTTRNRRSR >itb02g06550.t2 pep chromosome:ASM357664v1:2:4097508:4102737:1 gene:itb02g06550 transcript:itb02g06550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLRTSLIALFFFLLLSPTVFSVSNDGLIRIGLKKRKLDRINHLAESIGSKEGRSARNYLRGNLGDPSGDFIALKNYMDAQYFGEIGIGSPPQTFTVIFDTGSSNLWVPSAKCYFSIACYFHSKYKSRNSNTYKKNGTSAAIRYGTGSISGFFSQDSIKIGGLIVKDQDFIEATKEPGITFVAAMFDGILGLGFQEISVGAAVPVWYNMVNQGLVKEPVFSFWMNRNVQDKEGGEIVFGGVDADHFKGAHTYVPVTQKGYWQFDMGDVLIDGKTTGYCADGCSAIADSGTSLLAGPTAVIAQINHEIGASGVISQECKSVVANYGKTILDMLLSQVAPKMICSEIGLCASDSTWDASMIIESVVDKNNEASSGLSDDMCHLCRMAVVWMESKLKQNQTEEKILDYIDQLCDRLPSPMGESSVDCTNLASMPRISFTIGGKSFELTPDEYVLQVGKGAVTQCISGFIALDVPPPRGPLWILGDVFMGRYHTVFDFGKMRVGFAEAV >itb02g06550.t1 pep chromosome:ASM357664v1:2:4097131:4102737:1 gene:itb02g06550 transcript:itb02g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLRTSLIALFFFLLLSPTVFSVSNDGLIRIGLKKRKLDRINHLAESIGSKEGRSARNYLRGNLGDPSGDFIALKNYMDAQYFGEIGIGSPPQTFTVIFDTGSSNLWVPSAKCYFSIACYFHSKYKSRNSNTYKKNGTSAAIRYGTGSISGFFSQDSIKIGGLIVKDQDFIEATKEPGITFVAAMFDGILGLGFQEISVGAAVPVWYNMVNQGLVKEPVFSFWMNRNVQDKEGGEIVFGGVDADHFKGAHTYVPVTQKGYWQFDMGDVLIDGKTTGYCADGCSAIADSGTSLLAGPTAVIAQINHEIGASGVISQECKSVVANYGKTILDMLLSQVAPKMICSEIGLCASDSTWDASMIIESVVDKNNEASSGLSDDMCHLCRMAVVWMESKLKQNQTEEKILDYIDQLCDRLPSPMGESSVDCTNLASMPRISFTIGGKSFELTPDEYVLQVGKGAVTQCISGFIALDVPPPRGPLWILGDVFMGRYHTVFDFGKMRVGFAEAV >itb02g22750.t1 pep chromosome:ASM357664v1:2:22391163:22395396:1 gene:itb02g22750 transcript:itb02g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKRKIWTQSILLLVIGCFFSQLSASSDVKLYESFEDAFEGRWIVSEKEDYKGVWKHSKSEGHEDYGLLVSEPARKYAIVKELDEVVNLKDGTVVLQYEVRLQNGLECGGAYIKYLRPQDAGWVAKEFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKYPPSVPSDKLTHVYTAVLKPDNELSILIDGEEKKKANFLSSEDFEPPLIPAKTIPDPDDKKPEDWDEREKIPDPDAKKPDDWDEDAPMEIPDEDAEKPEGWLDDEPEDIDDPEATKPEDWDDEEDGEWEAPKVDNPKCSEGPGCGEWKRPMKQNPAYKGKWHAPLIDNPSYKGIWKPREIPNPDYFELDKPNFEPIAAVGIEIWTMQDGILFDNVLIATDEKVAESYRQTAWKPKFDVEKEKQKAEEDTDSDGLKGYQKVVFEQLYKIADLPFLGEHKGKVLDLLEKAEKQPNITIGVIVSVIIVVLTILIKLLFGGKKQIPAIPVEESKKSEAAESSNSQGASDEKEEKEEEHNEDGAAPARRRTRRAN >itb15g13600.t1 pep chromosome:ASM357664v1:15:11616849:11622813:-1 gene:itb15g13600 transcript:itb15g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVMNEPFQFTAWRVLWQTKLPPTILNFVWRCGRDVLPTRMALAGRGINVDPLCPLCHSFQETPLHLFKLCSHTCRLWDAISDLPMVCPGDSFAAWLSKVIEGRKEVVVRECLALCWSIWKCRNDLLWSNKTWEPGEVVRRSNALLVEWSMRGGESELKMACLHDHDCGDHDCSSNWSLYKHIDLSKVTALNEAVTGSVKSVFKPWEQRLNSSEGYLESNEGDPELIVFIPFTSDVKIKSIAIVGGADGTSPSKMRVFTNRDGIDFSDAENMQPVQEWDLAENLQGVLEYQTRYSKFQSVGNITLHFPDSFGADVTQIHYIGLKGEATQMKRDAVANIVYELMPNPSDHKTRAEHGGGFSHVE >itb03g05510.t1 pep chromosome:ASM357664v1:3:3880752:3882686:1 gene:itb03g05510 transcript:itb03g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGSSGSIGTQTQGKTMRMAASSVSGQGPFGDTTYTKVFVGGLAWETGSDSLRQYFEQFGEIAEAVVIMDMRTGRSKGYGFVTFRDPSAASMACANPNPTIDGRRTNCNLAVFGRPPPFPLNGHLTSTLPYLGVQALRGMNMGSSFYQLLPVPYYGYQSGSPYLLYRNSAFATENAYPQIYDMASRVGTNTLSFGHVGSQPGSPGYTMMRGYFVSSSHMVQYLRPNVSGAISTYSVAAMQTPSYSGRIS >itb06g23210.t1 pep chromosome:ASM357664v1:6:25269151:25271594:-1 gene:itb06g23210 transcript:itb06g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAREESVYMAKLAEQAERYEEMVEFMEKVSSSLAETEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKESSKGNDEHVSSIRDYRSKIEKELSNICDGILKLLDSRLIPSASAGDSKVFYLKMKGDYHRYLAEFKTAAERKEAAESTLSAYKSAQDIANTELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAPKKEEEQH >itb04g14480.t2 pep chromosome:ASM357664v1:4:15096107:15098825:-1 gene:itb04g14480 transcript:itb04g14480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGLPSGYRPNVGICLINDDNLVFVASRLNAHGSWQMPQGGIEDGEDPQSAAIRELREETGVVSAEVIAEVTEVSVPQWLHYDFPPAVKAKVSRLWGKEWDGQAQKWFLMRLVKDESEINLATGEAKPEFSEWKWASPEEVIEKVVEYKRPTYEEVFRNFRHHFYDNGNSA >itb04g14480.t1 pep chromosome:ASM357664v1:4:15096107:15098825:-1 gene:itb04g14480 transcript:itb04g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGLPSGYRPNVGICLINDDNLVFVASRLNAHGSWQMPQGGIEDGEDPQSAAIRELREETGVVSAEVIAEVPQWLHYDFPPAVKAKVSRLWGKEWDGQAQKWFLMRLVKDESEINLATGEAKPEFSEWKWASPEEVIEKVVEYKRPTYEEVFRNFRHHFYDNGNSA >itb05g05160.t1 pep chromosome:ASM357664v1:5:4922193:4924892:1 gene:itb05g05160 transcript:itb05g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVVSLTGLRRLTDGSSPVGRSSAMSLRCFSDGSGRVLSEEERAKETVYIQKMEKERLEKKKKAEEKAKAEGEKSGKSKEEGTH >itb14g01620.t1 pep chromosome:ASM357664v1:14:1231713:1236285:1 gene:itb14g01620 transcript:itb14g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNGSSDGGENPLNLFLKIGLDERTAKNTVANNKVTDNLTAVIHEAAVTDGCDRSVGNLLYTICGGDGGACGFNDMDMVVLLLAVLALSIRLLGFTIPANAFVHQPTVLKYIVSFKVPFSKVVYIEHTDFRLKDSKDYYGLAPGKFVLLRYAFPIKCTEVILGDDKETVVELRAEYDPSKTVKPKGVLHWVAEPSPEVDPLKVEVRLFEKLFLSENPAEIDNWLGDINPHSKVVMPNAYAVPLLQNAAVGDKFQFERLGYFSVDKDSTSKKLVFNRTVTLRDSYAKVGK >itb12g19340.t1 pep chromosome:ASM357664v1:12:21709108:21716720:1 gene:itb12g19340 transcript:itb12g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGMVAGSHKRNELVRIRHDSDSAPKPLKDLNSQICQICGDAVGVTASGDAFVACNECAFPVCRACYEYERKDGNQACPQCKTRYKRQKGSARVDGDDEDDDADDLDDEFNHAQGNSKARRQWQGEDVDLSSSSRHESQPPIPLLMNGQPISGEIPPSATDTQSVRSMSGPLGPGDRHGHSIPYLDPRQPVPVRIVDPSKDLNTTYGLGNVDWKERVEGWKLKQEKTMVHVNNRYSDGKGGDTEVIGSNGEELQMADEARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRLTHPVNDAYPLWLVSVICEVWFALSWLLDQFPKWSPINRETYLDRLALRFDREGEPSQLAPVDVFVSTVDPMKEPPLITANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGLQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGRNGNKKYIDQKRAAKRTESTIPIFNMEDIEEGFEGYDDEKSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPIWYGYNGKLKLLERIAYINTIVYPLTSIPLLAYCILPAICLLTGKFIVPEISNYASAWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSFAINSGYQSWGPLFGRLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDSAKAAARGQCGINC >itb09g16580.t1 pep chromosome:ASM357664v1:9:11748875:11750273:1 gene:itb09g16580 transcript:itb09g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDGSPESAIRKGKDRGGGGDPSESPTKPSYEQFKKVQGYQVVSMSTADPPLKGNNVRRLRSIFEARNPSGSPVSRSTVLPWSPVKTPPRSGKSSLLSSDLVDSPWRLPGTEDRVVIYFTSLRGIRRTFEDCYTARMIVKGFRVNLDERDISMDNAYKKELQNVLGEKNPTLPQIFIKGKHIGGAEVIRQLNETGELVRLLRGLMTRPPGYVCQCCGDVRFIPCSNCDGSRKLFDEDEGQLRKCPECNENGLVRCPICCDS >itb05g03430.t4 pep chromosome:ASM357664v1:5:2905541:2909542:-1 gene:itb05g03430 transcript:itb05g03430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRLEYGFDGYRFPVVPRAPRSSRRRHTGNVDDKQICAFELLAAVAGKLLLESESSASSNAAQGKDGPAIQRDVTEPGNFQEDKASKPECLDRGSCVESAFLPKGAIQEQKIKHGNGGSSYSENSSVSESTFTEFSGKVYSGVKLESVKNPDAEGNFNSEVEGRPSNLGDLCSVKINSATQKQLADDGMQAGDVTMASGSSVKDLIKNYLTTTNKNTLNNSDRSVQMPLYRDPVSSASFAKHRRNDVKLGIRDDDENSFRCFKRSTKIRAFRHRSHNGYRRIRKLLTSRRWHVAPGLKECEFFNSYKAMRPYGNRKRTYAQQRRHFEKAPKRRKLCSRSFAIAYDQEVSSESISNLPEKQTNGDNYGSALIAHRGIGTTASVKGLQNPKDPNVKFSIKSFRVPELYIEVPETTTVGSLKKTVMDAVTTILGGSLRVGVILHGNKVRDDNRTLEQAGISHNGDLDALGFTLEPNSSQVSSSLPPKDPPASLLAAAEKELPGNPASPNLDLALLAIPPDPSPEFKLDKHDESNHELLEGPTDASRNVVGPESQALVAVEQTNAEPLAVVPMNQKSRRAEFSQRRTRRPFSVTEVEALVEAVEQLGTGRYGLANPKL >itb05g03430.t6 pep chromosome:ASM357664v1:5:2904535:2909542:-1 gene:itb05g03430 transcript:itb05g03430.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRLEYGFDGYRFPVVPRAPRSSRRRHTGNVDDKQICAFELLAAVAGKLLLESESSASSNAAQGKDGPAIQRDVTEPGNFQEDKASKPECLDRGSCVESAFLPKGAIQEQKIKHGNGGSSYSENSSVSESTFTEFSGKVYSGVKLESVKNPDAEGNFNSEVEGRPSNLGDLCSVKINSATQKQLADDGMQAGDVTMASGSSVKDLIKNYLTTTNKNTLNNSDRSVQMPLYRDPVSSASFAKHRRNDVKLGIRDDDENSFRCFKRSTKIRAFRHRSHNGYRRIRKLLTSRRWHVAPGLKECEFFNSYKAMRPYGNRKRTYAQQRRHFEKAPKRRKLCSRSFAIAYDQEVSSESISNLPEKQTNGDNYGSALIAHRGIGTTASVKGLQNPKDPNVKFSIKSFRVPELYIEVPETTTVGSLKKTVMDAVTTILGGSLRVGVILHGNKVRDDNRTLEQAGISHNGDLDALGFTLEPNSSQVSSSLPPKDPPASLLAAAEKELPGNPASPNLDLALLAIPPDPSPEFKLDKHDESNHELLEGPTDASRNVVGPESQALVAVEQTNAEPLAVVPMNQKSRRAEFSQRRTRRPFSVTEVEALVEAVEQLGTGRWRDVKMRAFDNVDHRTYVDLKVTNRTNLTWKFLERFFAFAFDNVVRS >itb05g03430.t1 pep chromosome:ASM357664v1:5:2904520:2909542:-1 gene:itb05g03430 transcript:itb05g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRLEYGFDGYRFPVVPRAPRSSRRRHTGNVDDKQICAFELLAAVAGKLLLESESSASSNAAQGKDGPAIQRDVTEPGNFQEDKASKPECLDRGSCVESAFLPKGAIQEQKIKHGNGGSSYSENSSVSESTFTEFSGKVYSGVKLESVKNPDAEGNFNSEVEGRPSNLGDLCSVKINSATQKQLADDGMQAGDVTMASGSSVKDLIKNYLTTTNKNTLNNSDRSVQMPLYRDPVSSASFAKHRRNDVKLGIRDDDENSFRCFKRSTKIRAFRHRSHNGYRRIRKLLTSRRWHVAPGLKECEFFNSYKAMRPYGNRKRTYAQQRRHFEKAPKRRKLCSRSFAIAYDQEVSSESISNLPEKQTNGDNYGSALIAHRGIGTTASVKGLQNPKDPNVKFSIKSFRVPELYIEVPETTTVGSLKKTVMDAVTTILGGSLRVGVILHGNKVRDDNRTLEQAGISHNGDLDALGFTLEPNSSQVSSSLPPKDPPASLLAAAEKELPGNPASPNLDLALLAIPPDPSPEFKLDKHDESNHELLEGPTDASRNVVGPESQALVAVEQTNAEPLAVVPMNQKSRRAEFSQRRTRRPFSVTEVEALVEAVEQLGTGRWRDVKMRAFDNVDHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAHSFWSLQQAKQNGKAEAVVRIGDAPAQVVEA >itb05g03430.t3 pep chromosome:ASM357664v1:5:2904535:2909542:-1 gene:itb05g03430 transcript:itb05g03430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRLEYGFDGYRFPVVPRAPRSSRRRHTGNVDDKQICAFELLAAVAGKLLLESESSASSNAAQGKDGPAIQRDVTEPGNFQEDKASKPECLDRGSCVESAFLPKGAIQEQKIKHGNGGSSYSENSSVSESTFTEFSGKVYSGVKLESVKNPDAEGNFNSEVEGRPSNLGDLCSVKINSATQKQLADDGMQAGDVTMASGSSVKDLIKNYLTTTNKNTLNNSDRSVQMPLYRDPVSSASFAKHRRNDVKLGIRDDDENSFRCFKRSTKIRAFRHRSHNGYRRIRKLLTSRRWHVAPGLKECEFFNSYKAMRPYGNRKRTYAQQRRHFEKAPKRRKLCSRSFAIAYDQEVSSESISNLPEKQTNGDNYGSALIAHRGIGTTASVKGLQNPKDPNVKFSIKSFRVPELYIEVPETTTVGSLKKTVMDAVTTILGGSLRVGVILHGNKVRDDNRTLEQAGISHNGDLDALGFTLEPNSSQVSSSLPPKDPPASLLAAAEKELPGNPASPNLDLALLAIPPDPSPEFKLDKHDESNHELLEGPTDASRNVVGPESQALVAVEQTNAEPLAVVPMNQKSRRAEFSQRRTRRPFSVTEVEALVEAVEQLGTGRWRDVKMRAFDNVDHRTYVDLKVTNRTNLTWKFLERFFAFAFDNVVRS >itb05g03430.t2 pep chromosome:ASM357664v1:5:2904520:2909542:-1 gene:itb05g03430 transcript:itb05g03430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRLEYGFDGYRFPVVPRAPRSSRRRHTGNVDDKQICAFELLAAVAGKLLLESESSASSNAAQGKDGPAIQRDVTEPGNFQEDKASKPECLDRGSCVESAFLPKGAIQEQKIKHGNGGSSYSENSSVSESTFTEFSGKVYSGVKLESVKNPDAEGNFNSEVEGRPSNLGDLCSVKINSATQKQLADDGMQAGDVTMASGSSVKDLIKNYLTTTNKNTLNNSDRSVQMPLYRDPVSSASFAKHRRNDVKLGIRDDDENSFRCFKRSTKIRAFRHRSHNGYRRIRKLLTSRRWHVAPGLKECEFFNSYKAMRPYGNRKRTYAQQRRHFEKAPKRRKLCSRSFAIAYDQEVSSESISNLPEKQTNGDNYGSALIAHRGIGTTASVKGLQNPKDPNVKFSIKSFRVPELYIEVPETTTVGSLKKTVMDAVTTILGGSLRVGVILHGNKVRDDNRTLEQAGISHNGDLDALGFTLEPNSSQVSSSLPPKDPPASLLAAAEKELPG >itb05g03430.t5 pep chromosome:ASM357664v1:5:2904535:2909542:-1 gene:itb05g03430 transcript:itb05g03430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRLEYGFDGYRFPVVPRAPRSSRRRHTGNVDDKQICAFELLAAVAGKLLLESESSASSNAAQGKDGPAIQRDVTEPGNFQEDKASKPECLDRGSCVESAFLPKGAIQEQKIKHGNGGSSYSENSSVSESTFTEFSGKVYSGVKLESVKNPDAEGNFNSEVEGRPSNLGDLCSVKINSATQKQLADDGMQAGDVTMASGSSVKDLIKNYLTTTNKNTLNNSDRSVQMPLYRDPVSSASFAKHRRNDVKLGIRDDDENSFRCFKRSTKIRAFRHRSHNGYRRIRKLLTSRRWHVAPGLKECEFFNSYKAMRPYGNRKRTYAQQRRHFEKAPKRRKLCSRSFAIAYDQEVSSESISNLPEKQTNGDNYGSALIAHRGIGTTASVKGLQNPKDPNVKFSIKSFRVPELYIEVPETTTVGSLKKTVMDAVTTILGGSLRVGVILHGNKVRDDNRTLEQAGISHNGDLDALGFTLEPNSSQVSSSLPPKDPPASLLAAAEKELPGNPASPNLDLALLAIPPDPSPEFKLDKHDESNHELLEGPTDASRNVVGPESQALVAVEQTNAEPLAVVPMNQKSRRAEFSQRRTRRPFSVTEVEALVEAVEQLGTGRWRDVKMRAFDNVDHRTYVDLKVTNRTNLTWKFLERFFAFAFDNVVRS >itb05g16720.t1 pep chromosome:ASM357664v1:5:23863842:23864252:-1 gene:itb05g16720 transcript:itb05g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSKRNDGGALVAKPPAADDRKSASLPASSITVSKKIIIKSADMKDDMQKEAVDIAIAAFEKNSVEKDVAEHIKKEFDMKHGPIYPTAMAARLGSTTQT >itb15g07290.t1 pep chromosome:ASM357664v1:15:4934312:4937668:-1 gene:itb15g07290 transcript:itb15g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSATAIAQTTKFSKAAPIASPGCPSQCGNVTVPYPFGIGKGSGCAYDSGFEILCNTEINPPRAFLTIDILPTIVYDISDREIRIPSAGVATHCFNSTGALVRQYYSTWILSIQKYCHYSLSPANNLITVGCDDTLMISDGTNTTAGCTSRCTGAGQVPDNGTCSGIGCCQSPIPNGLRKDYDVSMVSAWNHTKAWPFNRCGHTFLGEASRFRFLGAADLSDPDFQQRVVDSVPLVLDWAIGNLRCEEAQNSSGYVCQVNSHCVDSETGLGGYRCNCNHGYEGNPYLSPGCTGIGLGIFVLVATATSLCYTIKKRNRAQLRLKFFEQNGGFLLKQKITSNDGGRDGTDVTKIYSAKELREATNNYAHDMILGRGGNGTVFKAILPNELKVAVKRSKTVDDSQIEQFINEVVILSRINHRHVVKFLGCCLEAEVPLLVYEYISNGTLYHHIHSEAGGSNWLSWENRLRIAIEAAGALAYLHSATSMPIIHRDVKSTNILIDENYTTKISDFGASRFVPLDRTHVATLVQGTLGYLDPEYFQTSRLTEKSDVYSFGVVLAELLTERKPVSPNMSEEDRNLSSFFVRSMNENRLFQILVPRLVREGTLDQLQRIAELVKRCLQLKGEDRPKMKEVASELEYIRNSIKHCWAEPSCSAVVADDEPSDLYAVTISP >itb14g08790.t1 pep chromosome:ASM357664v1:14:8629057:8630397:-1 gene:itb14g08790 transcript:itb14g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALKKDREAEGRELVKILLAARADPTAQDAQHFRTALHTTAMINDLELVKIILDFGVDVNIRNVHNTIPLHVALNRGAKSCVGLLLSAGANCNLQDDEGDNAFHIAAFSANMIRENLEWISIMLRHPDNAVEARNHRANIMSLRSSFFWREQQFKYR >itb06g25660.t1 pep chromosome:ASM357664v1:6:26605374:26606547:-1 gene:itb06g25660 transcript:itb06g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRDYISFFFLVAAAICSSLQVNADPSFSKSPFSVALDTLQAQINYTFENVGLLRRAMTHSSYSEENNKALSILGEKVIETSVSMLLLVHNIDTSSSDLNDKISQVSKVETSCAGDGMRLNLQNIVRVSSNTNSSTPSVVCGAFRAILGAVSLDTESTDSAGKLFLKIHAWGALAM >itb06g08160.t2 pep chromosome:ASM357664v1:6:12100862:12105782:-1 gene:itb06g08160 transcript:itb06g08160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MSSSSGGPSPISKNDDAEAVRRNRILSSKLYFDVPPSKAPLIYSSSYNIAFLGIEKLHPFDSSKWGRICQFLTADKILEKKQIVEPLEATKDDLLVVHSESYLASLKSSINVAMIVEVPPVSVLPNCLVQQKVLQPFRKQVGGTILSAKLAKERGWAINVGGGFHHCSASKGGGFCAYADISICIQFAFVRLNISRVMIIDLDAHQGNGHEIDFANDRRVYILDMYNPGIYPLDFEARRYIDQKVEVGSGTETNDYLTKLDQALKVAEHKFNPDLIVYNAGTDILDGDPLGRLKVSFLKDLNLSVKK >itb06g08160.t3 pep chromosome:ASM357664v1:6:12101840:12105782:-1 gene:itb06g08160 transcript:itb06g08160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MSSSSGGPSPISKNDDAEAVRRNRILSSKLYFDVPPSKAPLIYSSSYNIAFLGIEKLHPFDSSKWGRICQFLTADKILEKKQIVEPLEATKDDLLVVHSESYLASLKSSINVAMIVEVPPVSVLPNCLVQQKVLQPFRKQVGGTILSAKLAKERGWAINVGGGFHHCSASKGGGFCAYADISICIQFAFVRLNISRVMIIDLDAHQGNGHEIDFANDSMILSLTLPWAFCGFFLFLG >itb06g08160.t1 pep chromosome:ASM357664v1:6:12100008:12105782:-1 gene:itb06g08160 transcript:itb06g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MSSSSGGPSPISKNDDAEAVRRNRILSSKLYFDVPPSKAPLIYSSSYNIAFLGIEKLHPFDSSKWGRICQFLTADKILEKKQIVEPLEATKDDLLVVHSESYLASLKSSINVAMIVEVPPVSVLPNCLVQQKVLQPFRKQVGGTILSAKLAKERGWAINVGGGFHHCSASKGGGFCAYADISICIQFAFVRLNISRVMIIDLDAHQGNGHEIDFANDRRVYILDMYNPGIYPLDFEARRYIDQKVEVGSGTETNDYLTKLDQALKVAEHKFNPDLIVYNAGTDILDGDPLGRLKISPEGIAARDEKVFRFGRERNIPLLMLTSGGYMKSSARVIADSIINLSRKSLIDIGTGISGKI >itb15g05150.t1 pep chromosome:ASM357664v1:15:3306635:3312730:1 gene:itb15g05150 transcript:itb15g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSSRLVILSFLFFALFALNQCSGTETDAMVALLHVYASTASAKKIPDSLFGLFFEEINHAGSGGLWAELVSNRGFEAGGPNTPSNIDPWSIIGDESSLTVSTDRSSCFDRNKIALRLDVHCGSGGANTCTNGGVGVYNPGFWGMNIQDGKSYNLVLYVRSPESIHVSVSLTGSTGQQKLASADIIAEDVSNWTKMVVLLEAKGTDPNSRLELTTTKNGVIWFDQVSLMPLDTFKGHGFRNDLFDMLKQLKPGFLRFPGGCFVEGDWLRNAFRWKETIGPWEERPGHFGDVWNYWTDDGLGHLEYLQLAEDLGALPVWVFNNGISHNDQVDTSAILPFVQEILDGLEFARGDPNSTWGSVRAALGHPEPFDLRYVAIGNEDCSKSKYQGNYLMFHAAIKQAYPDINIISNCDGSSQPLNHPADLYDFHIYSSASYVFSLNTKFDHVSRTGPKAFVSEYAVTETKDCGTGSLLAAVAEAGFLIGVERNSDAIEMASYAPLFVNDHDRRWNPDAIVFTSSQHYGTPSYWMQHFFRESNGATLLSSTLHSNISSSLVASAITWKNSEDNQEYLRIKAVNFGAKNVTLKFTIDGFEENSIQLLGSAKTVLTSSNVMDENSFNEPTKVAPIETKLQGFEKMDAVMLPPHSITALDFLQKPTNIRTVGSDSALKSSY >itb13g00900.t1 pep chromosome:ASM357664v1:13:799043:799492:1 gene:itb13g00900 transcript:itb13g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHITPCYVCLSSRGKLEGRNEALQPSGLLDCLLPITLNSIFNLTSTLQWGCSLETQKEVRQEIWEFSSECCYLTFLFYANDTCPFSSKNTKLPFHQFFFEQRRKEK >itb14g00300.t1 pep chromosome:ASM357664v1:14:203611:207240:1 gene:itb14g00300 transcript:itb14g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAVVSLINTLNECMRFNPIELGRFTSGCSAFLLKYKSDQDRAALESVFQAANRVGLLPNIVSLISRLDELLNSLQFEPDDCPQKYVEFLCYYKLYFPREALRNFCSDQGFIKKQHIVDGCEVIQAWERLDDYLIHQCHFQDFKPSVCLVEAFLREHPPMNMNDQKQIQVLAQNADYLISSLMACILSKFHPRMKKMSDIYSESHSRMFKMQKEFDCMTERKWQYECSTSTACTNDFTAGHSLCSSRSWRTLQLETSLVGCDDDLFKIKEQLIGFTNSLSVVSIVVKEQLAEQLYRRLKGRRYLIVLDDIWDIEAWNDIKRSFPDDNNGSRIIFTSRHTNIASHVNTKSFTHDMHLLNMNRSIKLLSLKVLGTESFPLELENVGMQIAGKCQGLPLAIIVVAGILVKMNKTTESWEDVAKSVGSFQNNGSSEEWLDVLALSYKYLPQRLKHCFLYIGAFPKNDDEISVPRIIKLLVAEGFLMVIEGKTMEEVAEEYLEDLISRSLLMATKRGYDGRVIKCKMHDLLRDISIRESKRENFLHVNVSPYFVSRPFFCSDIDQDGDEYLSDSSSIHSFLGFGRVQTLIKFSLMLRVLDISFQPFKNFPYEIIYSESLRYLALHSFADLPPVQPLLPHLQTLVRHCHEARLILPVNIWKMKRLRHLYFKKSCSLQFPEMSGLPGTQLYLPKLQTLTNLSFGSCFEEILTRLPNLKKLGLREEEGELLSDEKLLFHQNNLKVLSCLETLKCFFTKRRPLPMPDAFPPNLKHLTLQGCRRPWKEMSILSALPKLEVLKLKDCAFEGSEWELTEEEVFYQLKAMVIDIADLEQWEACSIHFPNLHSLVLKYCKHLNEIPIGIGDINTLQLIELWACSTSAYDSAERIQEEQQNSGNDGLTVRIHRVHNDEWHTYTPGSSSAANSEVEI >itb14g00300.t2 pep chromosome:ASM357664v1:14:203611:207275:1 gene:itb14g00300 transcript:itb14g00300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAVVSLINTLNECMRFNPIELGRFTSGCSAFLLKYKSDQDRAALESVFQAANRVGLLPNIVSLISRLDELLNSLQFEPDDCPQKYVEFLCYYKLYFPREALRNFCSDQGFIKKQHIVDGCEVIQAWERLDDYLIHQCHFQDFKPSVCLVEAFLREHPPMNMNDQKQIQVLAQNADYLISSLMACILSKFHPRMKKMSDIYSESHSRMFKMQKEFDCMTERKWQYECSTSTACTNDFTAGHSLCSSRSWRTLQLETSLVGCDDDLFKIKEQLIGFTNSLSVVSIVVKEQLAEQLYRRLKGRRYLIVLDDIWDIEAWNDIKRSFPDDNNGSRIIFTSRHTNIASHVNTKSFTHDMHLLNMNRSIKLLSLKVLGTESFPLELENVGMQIAGKCQGLPLAIIVVAGILVKMNKTTESWEDVAKSVGSFQNNGSSEEWLDVLALSYKYLPQRLKHCFLYIGAFPKNDDEISVPRIIKLLVAEGFLMVIEGKTMEEVAEEYLEDLISRSLLMATKRGYDGRVIKCKMHDLLRDISIRESKRENFLHVNVSPYFVSRPFFCSDIDQDGDEYLSDSSSIHSFLGFGRVQTLIKFSLMLRVLDISFQPFKNFPYEIIYSESLRYLALHSFADLPPVQPLLPHLQTLVRHCHEARLILPVNIWKMKRLRHLYFKKSCSLQFPEMSGLPGTQLYLPKLQTLTNLSFGSCFEEILTRLPNLKKLGLREEEGELLSDEKLLFHQNNLKVLSCLETLKCFFTKRRPLPMPDAFPPNLKHLTLQGCRRPWKEMSILSALPKLEVLKLKDCAFEGSEWELTEEEVFYQLKAMVIDIADLEQWEACSIHFPNLHSLVLKYCKHLNEIPIGIGDINTLQLIELWACSTSAYDSAERIQEEQQNSGNDGLTVRIHRVHNDEWHTYTPGSSSAANSEVEI >itb02g17330.t1 pep chromosome:ASM357664v1:2:13271562:13276470:-1 gene:itb02g17330 transcript:itb02g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSKAGNVLRQVVNKPQISHEISASTPSVFQILRFMSSSKLFVGGISWSTSDASLQDTFRKYGDVIEARVITDRETGRSRGFGFVTFGSAEDASAAIQALDGQMLDGRSIRVNYANERPPRSYGGGSGGFGSGGYGGGRYGGGGGYGGEGGYGGSGNFGGQGGEGSYGANNYGSGGSMGYGGDNQNYGVAGGAGGGDNFPSAGNTFGSSGFEGNTGFGYGSNNESSNIDEAGGDDSHPEQGSFRDDDDQPDDYAKRA >itb06g14690.t1 pep chromosome:ASM357664v1:6:19186447:19188418:-1 gene:itb06g14690 transcript:itb06g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYDEHKDEDGFLYMTYSGENTFGSF >itb15g04430.t1 pep chromosome:ASM357664v1:15:2814617:2815995:-1 gene:itb15g04430 transcript:itb15g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNRIEIQVGVGGSEEHTAPIMMVREQSIKKIQRIPSFLRSQNGNSNDDYDPKVVSLGPYHHGKPELQLLQGFKHKVLEEFISGGDRDLNFYRNKLLEVVDAARRGYASGSTDEFGDSEFVEMMLLDACFLLYFLLSGERHLNVFKYCRAYLFEQIGYGNTIGISWNQVLVKYCQRNLFGVVDYKEDEEERHGKPLHILEAFHMQMVCKSHPTQMLLLPSTHSAGCWPKIMPTKKKCLQKETFENFGYVFRSVMDLKSKGIHFAPSDNIYSVMSIQFESNYMYGKLKLPIWCATTLTKVFFTNMIAYEMCSHRCTELEVISYVNFMKSLIVCPEDVKELREKRIIQNTLGDDMEVAQLFKSLKTYGVDNSYIFREVKLKIQEHYDSKAKTWIAELLYTYLKSPWSIIALFAACVLLILTSAQTYAAFNQHN >itb03g04680.t1 pep chromosome:ASM357664v1:3:2993786:2995000:-1 gene:itb03g04680 transcript:itb03g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHKPKSFSCNFFYLILLLSSNLLTFFIATTFNPSCYLYNQESAGTNTIAPKSALNSNSATAVQESDDSPATDSDHLPPEFLAFTSPQELPFGFNTNFDSEYINPPVGRPCTDFPAELRRFMSYKVNGSCPDDELLAQKLLLKGCEPLPRRRCRPAAPKQYAEPYPLPESLWTTPSDSSVVWTAYKCKSYACLINRMKTQKGFDDCKDCFDLNGREKARWAGTGTGAGGLDFSIDEVLAVKKPGTIRIGLDIGGGVATFAVRMRDRNITIVTTSMNLNGPFNTFIASRGVIPLYLSISQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFMFFDIYRVLRPGGLFWLDHFFCVGEQLEKVYTPLIESVGFSKVKWVVGRKMDRGPELNEMYLSALLEKPLNNSW >itb02g05850.t1 pep chromosome:ASM357664v1:2:3618061:3618645:-1 gene:itb02g05850 transcript:itb02g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTYQMASPKYLLRNSAPWIAVLVPPSLLFAIFSGHGVLSVFSITSLALLLITPLFLAFSKQTMKKPVTEEEEKEVADEEEKTDEGLDSGNESGEDDDEHSSSFSEEDSDADWPFSSGNNSGHSPHCSSDCSISDEESLIELALPSGHFLGLQKTAAGPPVDLFAEINEEDNMIEIDISMGSIKCSRFEIIEA >itb12g22600.t1 pep chromosome:ASM357664v1:12:24657566:24658147:1 gene:itb12g22600 transcript:itb12g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFMMKRAREEFDHHVTTVANGLMLLSAAAGDRQGDSYLTMSSGDLCGRVFECKTCNRQFSSFQALGGHRASHKRPRMMTAAAAGEAVALLPPSSPPKPKTHECSICGLEFAIGQALGGHMRRHRAVGNDAALSGGGGGGDSLALSPPGGVPVVKKPSSGRRVLCVDLNLTPLENDRLEFIKFGKMAGLMV >itb10g21760.t4 pep chromosome:ASM357664v1:10:26821251:26828833:1 gene:itb10g21760 transcript:itb10g21760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSACIPHLVSLKSSGLTSQKRYRFPGQCRRAMAVASVAKEASNYIPAAPILLPEGPWQQIPGGVAAAIGFKAAGMYGGLRALGEKPDLALVTCDVDAISAGAFTKNVVAAAPVVYCKNALNSSPTARAVLINAGQANAATGDAGYQDVIECSTALANLLHLKNEEVLIESTGVIGRRIKKEALLNSLPKLVSLLSSSVEGANSAAVAITTTDLVSKSVAIESEVGGTRIRVGGMAKGSGMIHPNMATMLGVVTTDASVTSDVWRRMVQVSVNRSFNQITVDGDTSTNDAVIALASGLSGTNKISSLNSSEANHLQRCLDAVMQGLAKSIAWDGEGATCLIEVRVAGAENEAEAAKVARSVASSSLTKAAVYGRDPNWGRIACAAGYAGIPFNPNKLRISLGDTLLMDGGQPLPFDSVAASNYMRKAGETHGTVEVHISIGDGPGSGVAWGCDLSYDYVKINAEYTT >itb10g21760.t3 pep chromosome:ASM357664v1:10:26821020:26828833:1 gene:itb10g21760 transcript:itb10g21760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSACIPHLVSLKSSGLTSQKRYRFPGQCRRAMAVASVAKEASNYIPAAPILLPEGPWQQIPGGVAAAIGFKAAGMYGGLRALGEKPDLALVTCDVDAISAGAFTKNVVAAAPVVYCKNALNSSPTARAVLINAGQANAATGDAGYQDVIECSTALANLLHLKNEEVLIESTGVIGRRIKKEALLNSLPKLVSLLSSSVEGANSAAVAITTTDLVSKSVAIESEVGGTRIRVGGMAKGSGMIHPNMATMLGVVTTDASVTSDVWRRMVQVSVNRSFNQITVDGDTSTNDAVIALASGLSGTNKISSLNSSEANHLQRCLDAVMQGLAKSIAWDGEGATCLIEVRVAGAENEAEAAKVARSVASSSLTKACNIFMQFYNTSPTDFHSLLNVFPSQAAVYGRDPNWGRIACAAGYAGIPFNPNKLRISLGDTLLMDGGQPLPFDSVAASNYMRKAGETHGTVEVHISIGDGPGSGVAWGCDLSYDYVKINAEYTT >itb10g21760.t2 pep chromosome:ASM357664v1:10:26821020:26828833:1 gene:itb10g21760 transcript:itb10g21760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASVAKEASNYIPAAPILLPEGPWQQIPGGVAAAIGFKAAGMYGGLRALGEKPDLALVTCDVDAISAGAFTKNVVAAAPVVYCKNALNSSPTARAVLINAGQANAATGDAGYQDVIECSTALANLLHLKNEEVLIESTGVIGRRIKKEALLNSLPKLVSLLSSSVEGANSAAVAITTTDLVSKSVAIESEVGGTRIRVGGMAKGSGMIHPNMATMLGVVTTDASVTSDVWRRMVQVSVNRSFNQITVDGDTSTNDAVIALASGLSGTNKISSLNSSEANHLQRCLDAVMQGLAKSIAWDGEGATCLIEVRVAGAENEAEAAKVARSVASSSLTKAAVYGRDPNWGRIACAAGYAGIPFNPNKLRISLGDTLLMDGGQPLPFDSVAASNYMRKAGETHGTVEVHISIGDGPGSGVAWGCDLSYDYVKINAEYTT >itb10g21760.t1 pep chromosome:ASM357664v1:10:26821020:26828833:1 gene:itb10g21760 transcript:itb10g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACIPHLVSLKSSGLTSQKRYRFPGQCRRAMAVASVAKEASNYIPAAPILLPEGPWQQIPGGVAAAIGFKAAGMYGGLRALGEKPDLALVTCDVDAISAGAFTKNVVAAAPVVYCKNALNSSPTARAVLINAGQANAATGDAGYQDVIECSTALANLLHLKNEEVLIESTGVIGRRIKKEALLNSLPKLVSLLSSSVEGANSAAVAITTTDLVSKSVAIESEVGGTRIRVGGMAKGSGMIHPNMATMLGVVTTDASVTSDVWRRMVQVSVNRSFNQITVDGDTSTNDAVIALASGLSGTNKISSLNSSEANHLQRCLDAVMQGLAKSIAWDGEGATCLIEVRVAGAENEAEAAKVARSVASSSLTKAAVYGRDPNWGRIACAAGYAGIPFNPNKLRISLGDTLLMDGGQPLPFDSVAASNYMRKAGETHGTVEVHISIGDGPGSGVAWGCDLSYDYVKINAEYTT >itb13g16380.t1 pep chromosome:ASM357664v1:13:23335809:23340129:-1 gene:itb13g16380 transcript:itb13g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIRSGSLKRLFSLKRNSFDEEIATPRSSDFTPEDTYTTPIDSSTALAVSHPRPSWKCFSYQEIFEATNGFHPGNMVGKGGYAEVYRGVLEDGQTIAVKLLTKEANDERKEKEFLTEIGALGHVCHPNVTALLGCCIENGLYLIFQFSSKGSVASLLHDEKLPTMDWETRYKIAVGAAKGLHYLHKTCPRRIIHRDIKASNILLSAEFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFLLELISGRKPVDSSYQSLHSWAKPILQQGEIEVVIDPRLNGKFDVKQLDKLAFAASLCIRSSSIWRPTMSEVLEVILRGELDKEKWKMPKEEEEQEEFWGFEDLECECDSSFSTSPQDTFSATSS >itb10g12980.t1 pep chromosome:ASM357664v1:10:19074608:19075166:1 gene:itb10g12980 transcript:itb10g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMQQQHSSAEEIESWDDKKEKKWCSICKTTKTPLWRNGPNGPKSACNACGIKYRKEKPLKRRDYGRKKQKCASDVSKEEGKNGKAEEEKEVVVRRRKLGEVERAAAVLMAMSCGL >itb05g01640.t1 pep chromosome:ASM357664v1:5:1313452:1314975:1 gene:itb05g01640 transcript:itb05g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPGFRFNPTDEELIIHYLYPKVVNSKFCADHIAEIDMNRFEPRDLPGTAKMGGKERYYFCLRDRTQPLELRTTGAGHWKATGKLSKKICRVKANLVGLKKTLVYQSSAGSEEKNCVMHEYRLEGIKNSMRHLPENAKNEWVICKVFWKSSGTLVESINKDDIKKCFGKYLDDAAKECGVSRSTFKRACRRHGIQGWQSKKRKKVNQHEYEHHAIQDDHQSSNLGIGNGIMASSSLWVKAEHDNDVVMFVLPNATVDGLKREILKRFKLEPETLKIRYKDGDEEMVSVACDEDLHYCLEFFKTTEKAAVRFSVYKDQN >itb11g01660.t1 pep chromosome:ASM357664v1:11:833367:835409:-1 gene:itb11g01660 transcript:itb11g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCLSLSSSHPSSLSFSLSSEFCANGKREKPDSLSWSSSFPQLKISTSFSPCRRNLLPKQNVIVAAAYTRRSRSEAAKRPSRKSWKQKTDMYMRPFLLDVFFSKRFIHAKVVHRPTSKVISVATTNAKDLRTTLPSLIDNNAAKVIARLIAERSKDADVFAMSYEPRKNERIEGRLALVLDTIAENGIIFV >itb03g29220.t1 pep chromosome:ASM357664v1:3:30026825:30033130:-1 gene:itb03g29220 transcript:itb03g29220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MALTTPIQVHRYPQSQYIDALRWLPRLSAFHRHIILAAFDSDASSSSLQLLSLSQSPPSDSDPEIPELSVESSLSTPSRISALKTCRTSNKPLIAAASSSGSLSILSADLMNGSLNVEASVTERGFHFGPILGIDVSENGSEAVSVGEDGRVNWINIGRSIGKTVNFQKVFDNNGLVSYQAVKWASPVEFVTGGLGYSLQWWDRRSPGGPVSQFKGNWNRGIMSGIVHSIDIQPSRKHTCLAGGSSGTVFVWDLRKPQQSIVLSGAGTSGSAVQTLSESEVWEVQYDNYSHSSSIAHTSASSFLPAMICSEDGILGVIEQGEEPIELLAEPCAINSFDIDKQNPSDVICSLEWESVAILTRP >itb12g10020.t2 pep chromosome:ASM357664v1:12:7978918:7984283:1 gene:itb12g10020 transcript:itb12g10020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSKDEKPSAGGGFFASIASTFSSLTKSVNGFLGYEGLEVVNPDGGTEDAATEAQKGRWKQEDRDNYWKLMQKYIGADVTSLVSLPVIICEPMTNLQKMAELMEYSYLLKLADECEDPHMKLVYAASWFISLYYAIQRTWKPFNPILGETYEMVNHDGIRFIAEQVSHHPPMGAAHAENEHFSYDITSKVKTKFLGNSVDVYPLGRTRLTLKKHGVVLDLVPPPTKANNLIFGRTWIDSAGEMILTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNANEEPKILMSGKWNEFMSFQPCDSEGEPLPGTEMKEVWRAADAPQNDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRCALEKGDLSKAGAEKSRFLSLSLSLL >itb12g10020.t3 pep chromosome:ASM357664v1:12:7978915:7984283:1 gene:itb12g10020 transcript:itb12g10020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYIGADVTSLVSLPVIICEPMTNLQKMAELMEYSYLLKLADECEDPHMKLVYAASWFISLYYAIQRTWKPFNPILGETYEMVNHDGIRFIAEQVSHHPPMGAAHAENEHFSYDITSKVKTKFLGNSVDVYPLGRTRLTLKKHGVVLDLVPPPTKANNLIFGRTWIDSAGEMILTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNANEEPKILMSGKWNEFMSFQPCDSEGEPLPGTEMKEVWRAADAPQNDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRCALEKGDLSKAGAEKSSLEERQRAEKRMREAKGDEYKPKWFDLTTEISPTPWGELEVYRYNGKYDEHRAAIENSDCVAEDVKAESTEFNPWQYEDSVVAAA >itb12g10020.t1 pep chromosome:ASM357664v1:12:7978915:7984283:1 gene:itb12g10020 transcript:itb12g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSKDEKPSAGGGFFASIASTFSSLTKSVNGFLGYEGLEVVNPDGGTEDAATEAQKGRWKQEDRDNYWKLMQKYIGADVTSLVSLPVIICEPMTNLQKMAELMEYSYLLKLADECEDPHMKLVYAASWFISLYYAIQRTWKPFNPILGETYEMVNHDGIRFIAEQVSHHPPMGAAHAENEHFSYDITSKVKTKFLGNSVDVYPLGRTRLTLKKHGVVLDLVPPPTKANNLIFGRTWIDSAGEMILTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNANEEPKILMSGKWNEFMSFQPCDSEGEPLPGTEMKEVWRAADAPQNDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRCALEKGDLSKAGAEKSSLEERQRAEKRMREAKGDEYKPKWFDLTTEISPTPWGELEVYRYNGKYDEHRAAIENSDCVAEDVKAESTEFNPWQYEDSVVAAA >itb02g18910.t1 pep chromosome:ASM357664v1:2:15593458:15594078:-1 gene:itb02g18910 transcript:itb02g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADMDTGRETPAGSPRISFSHHLSSAGDHCPYSSESNSDFHFCNIIETETETSCADEIFSNGFLLPLHQKPQASKKDDDEASKVKSQSRPFWRIRRSSSLHCENSEKRGSSWSFHSLPRSSSTGSKQTKKKTPSSSSVHFYEFPLSSSSQKPPLRPNHGNGAVRINPVLNVPPPSCIHIPMGNTNLFGLGSLFKTGKDNKKIIKK >itb03g03850.t1 pep chromosome:ASM357664v1:3:2298295:2299381:-1 gene:itb03g03850 transcript:itb03g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGISAENKVPLLNSSSSEVDRHHHTTDTNLSRRIWVETKKLWHIVGPSIFTRASSYSMMVITQAFAGHVGDVELAAISITTNLILGFNLGLMLGMASALETLCGQAYGAKRYHMFGVYLQRCWVVLWLVSLAMIPLYLYATPVLKLLGQPEEVSELSGPVALALLPLQFSFASWFPVHRFLQCQLKNFVVAWISFGALIVHILINWLIVYQLKLGIIATALALSFSWWLIVVGLFVYTVSGGCPLTWTGFSMAAFSDLWAFFKLSISSGIMLW >itb13g17650.t1 pep chromosome:ASM357664v1:13:24608604:24614114:1 gene:itb13g17650 transcript:itb13g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGFESCSNGGPPTAYFRLPSLDFAGRTGVFSWGLMLPVGKECSWMPLETAPSSFDALGKMYPLHAHPGNHPPRDVTVRGAGETNVYKDCHRGTLLYAAPECVAWRSYCMFVEMVTGKPVWQVGNKHELAMKIASNMPEIPENLSPEAKSFLKVCLARDPWRRWTAKKLLGHPFLERFGALESKEEEGVKPLVYNKGPLGYIGLGKESPHGPACV >itb15g05740.t1 pep chromosome:ASM357664v1:15:3698778:3704067:1 gene:itb15g05740 transcript:itb15g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G27740) UniProtKB/Swiss-Prot;Acc:Q8VXX4] MLWVDKYRPKTLDKIIVHQDVAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGASADKVKAENKVWKIDAGTRTIDVELTTLSSTHHVELSPGDAGFQDRYVVQEVIKEMAKNRPIDTKGKKSFKVLVLNEVDKLSREAQHSLRRTMEKYSTSCRLILCCNSSSKVTEAVRSRCLNVRINAPKEEEIVKVLEFIGKKEGLQLPAGFAARIAEKSNRSLRRAILSFETCRVQQYPFTNNQAVPPMDWEEYVAEIASDIMKEQSPKRLFQVRGKLYELLSNCIPPDIILKRLLFELLKKLDSELKHEVCHWAAYYEHRMHLGQKAIFHLEAFVAKFMSIYKNFLVATFG >itb03g08580.t1 pep chromosome:ASM357664v1:3:6434469:6434966:1 gene:itb03g08580 transcript:itb03g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIGGRKKAKVMKIDGETLKLKTPVSAFDVIKDYPGHVLLDSETVKRFGVRATPLEAEQELKPKKIYFLVELPKFPRGERVPRRMRSAGVHHMSATDRLEALMKMRRSSSDVSAAVRREWGPVQIKLRLPRAQVEKVIVESRDEGEAAEKIINLCIRDVDKGR >itb01g18380.t1 pep chromosome:ASM357664v1:1:23354124:23361257:-1 gene:itb01g18380 transcript:itb01g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFQGVIEDINARKIYYKQDWLDAGRSGISIVAPATYIFFASAIPVIAFGEQLSTETDGSLSTVETLASTAICGIIQAIFGGQPLLILGVAEPTIIMYSYLYTFAKSSPDIGKELFLAWAGWVCIWTALMLFLLAIFNACNILSRFTRVAGELFGMLITVLFYQEAIKGVVGEFGAPIGDHGKAEDHQFQWLYINGLLAVIFSFGVLITSIRSREARSWPYGTGFFRSFIADYGVPLMVVLWTAVSFTVPKEVPSSVPRRLVCPLPWEPASMNHWTVIKDLGKVPPLYILAAAIPATMIAGLYFFDHSVASQMAQPKEFNLKKPSAYHYDILLLGVMTLICGLLGLPPSNGVLPQAPMHTKSLAELKGQLMRKRMVASAKEGMKMQATKSEIYGRMQAVYVEMDASPAPVAEKELQNLKVAVLKNDDKDGDGNFDPVKQIDAHLPVGVNEQRVSNLLQSIFVGLAVCAVPVMRMIPTSVLWGYFAYMATDSLPGNQFWERIVLLFVPANRRFKVLEGIHASYVDSVPFKYIVGFTLFQFVYLLFCFGVTWIPIFGVLFPLPFFAMISIREHVLPKFFPADYLKDLDSSEYEEVEGHSFNMGSMHSRDGESPNPEDEDYSSAEMLDEMTTNRGELRHRWNPTIHQRARTGDAVSDTNAYRDEAALHNA >itb15g00850.t1 pep chromosome:ASM357664v1:15:487436:490795:-1 gene:itb15g00850 transcript:itb15g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLKKSVMKASPPTSSSSTKLLWMMSPLVLVCLVVSLASSMNSSGLVVAARNFPWSSWNPILVGRKSKLMMTRKFSNLERLEAKMGMARAAIRDALHGRTKPKLDDPDYVPAGPLYWNAKVFHRSYLEMEKQLKVYVYEEGEKPIFHTGPCKNIYSTEGNFIHRIEKSKFRTRDAEKAHIFFLPVTVAGIVMMIYERNLSEPWGPMKLTVSDYVNVVAQKYPYWNRSLGADHFMLSCHDWGPEISKAVPELFKNSIRALCNANTSEGFKPSKDVSIPEIHLPDGTMQGLLGDPSPANRSILVFFAGGIHGPIRPILLEHWENKDEDVQVHKYLPSGVSYYDLLRKSRFCICASGYEVASPRMVEALYTGCVPVLIKSHYVAPFSDVLNWKAFSVEIPVGEIPNLKKILSGISEREYLSLQRMGSLARRHFEVNFPTKRYDVFHMILHSAWLRRLNFRLYGVENA >itb06g15970.t1 pep chromosome:ASM357664v1:6:20193884:20195669:-1 gene:itb06g15970 transcript:itb06g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLFIDGSYLLPAGEAEFSSESRYVLEGKAAMENNSNNFPVDDLLNFSVEDEVMADTFFDSVTGDSSTVTGVDSCNSSISGGGGCNFNGNLGCRSFTDAPFPPPSEICVPYEDLAELEWLSNIVEDSFSSDELQNLHLISATKTASTAAASNITDTLSSVTNIAPTGRQSVTDEVSPPAFRSDVSVPGKARSKRSRTAPCDWSSRLLHLSPATSSSDSNCSTANAIPRAATKTAPCKKRENGETPGRKCLHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVAEYRPAASPTFESTRHSNSHRKVLELRRQKDLRRQHHQLQQQQQSLISQASIFGISNGAEDYLLHHHLESGCPDFRHMI >itb11g21600.t1 pep chromosome:ASM357664v1:11:23291641:23295133:-1 gene:itb11g21600 transcript:itb11g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSELCEDLVESPLTENGGFTCLRRLSKKWGSETSEELKKQLQLAGPLVLVSFLKYSLQMISLMFIGHLGDLPLSAASLATSFAQVTGFSFVDGMGGALETFCGQAYGAKQHRMVGIHMQRAVIVLMILGIPFSLVWAFAGEIFVFCKQDSEISANAGTYAKWLIPSIFPYGIMQCQVTFLQTQSILKPLAITTGLTSLIHAFLCWLLVFKFGLGNKGAALCNSISYWIIVLILGLYIRFLPSCKGTWTGISKEGGKNLCSFLSLGIPSALMLCVVRWAYECLVLVAGLLPDPKLETSMMSISLCTSSVVFMIPYGLACAVRTRVSNELGAGRAKGAEVAARIGVYVAAVEGLVQCVVCIAARNVWGYLFSSDVVVVTYLASVMPVLAFSNFVDGFQVVLSGNARGCGWQKIGAVVNLGAYYLVGLPLALILAFVLNLGGKVLSLKDTTCGVS >itb09g07150.t1 pep chromosome:ASM357664v1:9:4149676:4151364:1 gene:itb09g07150 transcript:itb09g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLNREVSDLCLGKPTLRPVKETASIAEAVAALKRSGESHVSVWRCSDHSRKVLEGGNCRCIGKICMVDVICFLCKEENLGNISKALESPVSELLPKGISIVTHLDRNSSLLEAIDYILEGTQNLVVPIMRSNSRREHLNKPLSLSSSNHHGVEYCWLTQEDVVRFLLNSIGVFSPLPTFTIESLNIIDHDIMTIRYHDPAAGALSSISRAHVEQTSIAVIDDDNRLIGEISPFTLAHCDDTVAAAIMTLSAGDLMAYIDCCGPTEDLVELVKQRLEEKNLGTLLELMEDEYSVSSISSTPSSSSSDDESTLSRSSGSGQYCMRRSEAITCHPWSSLIAVMIQALAHRASCIWVIEEDQTLVGNVTYKEILRVFRSIANSRPKPGRENAFKQ >itb05g04310.t1 pep chromosome:ASM357664v1:5:3852331:3858990:-1 gene:itb05g04310 transcript:itb05g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEDETSSIIYEEELVRNSRGMKLFTCRWVPTSCEPKALIFLCHGYAMECSVSMKGTARRLIKAGYAVYGMDYEGHGKSEGLQGFVPSFDAVVTDCSQHYSNICERQENVKRMRILLGESMGGAMALLLHRKMPHFWDGAVLVAPMCKIAEEMKPPAIVLSVLKKCSKIIPTWKIIPTQDIIDAAFRDPAIRKEVRSNPLCYKGRPRLQTGYQLLSVSLDLERRLDEVTLPFIVTHGEEDIVTDPSVSKLLHEKASSTDKTLKLYPGMWHSLTYGELPENIDAVFSDIIGWLDQRVSMGNSRLEKLQKNANDTLLFKPQNDSLQ >itb05g04310.t2 pep chromosome:ASM357664v1:5:3852387:3858990:-1 gene:itb05g04310 transcript:itb05g04310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGHGKSEGLQGFVPSFDAVVTDCSQHYSNICERQENVKRMRILLGESMGGAMALLLHRKMPHFWDGAVLVAPMCKIAEEMKPPAIVLSVLKKCSKIIPTWKIIPTQDIIDAAFRDPAIRKEVRSNPLCYKGRPRLQTGYQLLSVSLDLERRLDEVTLPFIVTHGEEDIVTDPSVSKLLHEKASSTDKTLKLYPGMWHSLTYGELPENIDAVFSDIIGWLDQRVSMGNSRLEKLQKNANDTLLFKPQNDSLQ >itb15g10620.t1 pep chromosome:ASM357664v1:15:8336191:8346495:1 gene:itb15g10620 transcript:itb15g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKPPPPPSSATKNPNTTTTKSVVHIGGIPVEFPYQPYGTQLAFMNRVIATLDRAQREGRSHALLESPTGTGKSLSLLCSALAWQQNFKSKSRHSNPTCSKPDPEALADPIGHGGGFIPEIQPSGNPEPAPSVTTAGKNQKKKLVPTIFYATRTHSQISQVIREYRKTSYRVPMGVLASRRHYCTNMNLRGMDNIDEKCKLLLKDKEAGCCEFKNAHKVKAHPSLQKGGCHEAHDIEDLVKVGQVVRGCSYFAALSMADDADLVFCPYSYIINPVVRKAMDVDINGAIIILDEAHNIEDISRDAGSMDVEEDVLRQLLAELQQLAVSDAMTYDPLKDMVQEIINWIDRRKDSLEKHDFQHYFSCWTGDKALKELEEAHVSRQCFPILKECATKAIRVASDAEPDVAHLSGMAATVLEGLFSSLNYFFSENGIRVCDYQLALRSHIKRVAGKADSSPTHTFSLWCLNPAVVFREIADRSLSVILTSGTLSPMNSFSSELGVPFSTSLEAPHVIDTESQLWAAVISRGPSNYPLNASYKTADSYAFQDSLGTSLEEICKVVPGGCLIFFPSYKLMEKLSSRWQATGQWDILNAKKPLFVEPRGSQEEFESVLKGYYESIHRGRKPVLGRRKGRKSEKNLSGSSEDNKKGAAFLAVCRGKVSEGIDFSDEMARVVIVVGIPFPNINDIQVSLKKKFNDTYKLSKSLLSGSEWYCQQAFRAVNQATGRCIRHKFDYGAIIFLDERFCQVRNRACISKWLRNSIKQYDCFDDSLDGLKSFFRDIKDRIGKPDDASQNSVVNLEDMPSANKSRISRKTNQKVNISTLPGEKAEANDANRIQKSAGLFKFSKMFTRCDLPNNQVSADIQGFISPSEKNSKDSRSYIDLECESPNGSRCSGEAFGAACTGDPQSTFVMETPGVNGTLHSTSPESLSKEYSNSTIIQESVQDLNNLTCDNMSISDVKQDPESKCLEVTPERKFCAVGNGIIPDVESSVNFSVNSRAEKRRKHLDVSLVSHLQGEKLDSAVASTPIDDCSPPSIECDSMFSLSDENGSKSPRSQALNHCDKSYSKSSLAMDKRLQIFCSSCSNALGIPENNLIVKCSMTSSTKVHLKSLWKRKSDCDMRTSSIPILVSDMESINRRIYNITRESIPAQGIWCKDDGCVFKTVFCPFCANPNNCLGLQVMAADASNVQFLNKILFYSDSLLIKAAETSTMDLSPCLGSTTCGKAGPSSIEKFSYTPYQSPTYVKADPSTIENFSYTPDKNSTGWRSTKSKMRLPKRGHL >itb04g07700.t5 pep chromosome:ASM357664v1:4:5302947:5304860:1 gene:itb04g07700 transcript:itb04g07700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVMAVILKQIDDWRNIALPRVMVKTPRVRVLGSNVAVTGFGNEYEALSSNNSVPHPSSGSGSSNKEASDQKLTAKAHPSGWWFGEDGLFNLDEEGRAEKQLCAVAASNIIRNFSFMPDNEVIMAQHRHCLETLFMCLEDYVTEDEELVTNALETMVNLAHLLDLRIFTSSKPTFHPMTEKRAVLAIRGLLGSAVKAWHCAASELIGRLIINPDNEPFLLPFAAQIYKRLVDIMGLPTPEAQAAAVGALYNLTEVNMDCRQKLASERWAIDRLLKVIKSPHPVPEICRKAALVLESLVSEPQNKTLLLVYENAFAEMLFGESKYSDIFARILYELTSRPSNKMAPPRGIWGM >itb04g07700.t2 pep chromosome:ASM357664v1:4:5301946:5304860:1 gene:itb04g07700 transcript:itb04g07700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDIGKHGGGSAPPAAKRGRPFGSGSGHGSTAAASAAADSVAPTTLLGPSLQVHSAFAEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRNIALPRVMVKTPRVRVLGSNVAVTGFGNEYEALSSNNSVPHPSSGSGSSNKEASDQKLTAKAHPSGWWFGEDGLFNLDEEGRAEKQLCAVAASNIIRNFSFMPDNEVIMAQHRHCLETLFMCLEDYVTEDEELVTNALETMVNLAHLLDLRIFTSSKPTFHPMTEKRAVLAIRGLLGSAVKAWHCAASELIGRLIINPDNEPFLLPFAAQIYKRLVDIMGLPTPEAQAAAVGALYNLTEVNMDCRQKLASERWAIDRLLKVIKSPHPVPEICRKAALVLESLVSEPQNKTLLLVYENAFAEMLFGESKYSDIFARILYELTSRPSNKMAPPRGIWGM >itb04g07700.t4 pep chromosome:ASM357664v1:4:5301946:5304860:1 gene:itb04g07700 transcript:itb04g07700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDIGKHGGGSAPPAAKRGRPFGSGSGHGSTAAASAAADSVAPTTLLGPSLQVHSAFAEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRNIALPRVMVKTPRVRVLGSNVAVTGFGNEYEALSSNNSVPHPSSGSGSSNKEASDQKLTAKAHPSGWWFGEDGLFNLDEEGRAEKQLCAVAASNIIRNFSFMPDNEVIMAQHRHCLETLFMCLEDYVTEDEELVTNALETMVNLAHLLDLRIFTSSKPTFHPMTEKRAVLAIRGLLGSAVKAWHCAASELIGRLIINPDNEPFLLPFAAQIYKRLVDIMGLPTPEAQAAAVGALYNLTEVNMDCRQKLASERW >itb04g07700.t3 pep chromosome:ASM357664v1:4:5302947:5304860:1 gene:itb04g07700 transcript:itb04g07700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVMAVILKQIDDWRNIALPRVMVKTPRVRVLGSNVAVTGFGNEYEALSSNNSVPHPSSGSGSSNKEASDQKLTAKAHPSGWWFGEDGLFNLDEEGRAEKQLCAVAASNIIRNFSFMPDNEVIMAQHRHCLETLFMCLEDYVTEDEELVTNALETMVNLAHLLDLRIFTSSKPTFHPMTEKRAVLAIRGLLGSAVKAWHCAASELIGRLIINPDNEPFLLPFAAQIYKRLVDIMGLPTPEAQAAAVGALYNLTEVNMDCRQKLASERWAIDRLLKVIKSPHPVPEICRKAALVLESLVSEPQNKTLLLVYENAFAEMLFGESKYSDIFARILYELTSRPSNKMAPPRGIWGMSLAFTLVV >itb04g07700.t1 pep chromosome:ASM357664v1:4:5301946:5304860:1 gene:itb04g07700 transcript:itb04g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDIGKHGGGSAPPAAKRGRPFGSGSGHGSTAAASAAADSVAPTTLLGPSLQVHSAFAEQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRNIALPRVMVKTPRVRVLGSNVAVTGFGNEYEALSSNNSVPHPSSGSGSSNKEASDQKLTAKAHPSGWWFGEDGLFNLDEEGRAEKQLCAVAASNIIRNFSFMPDNEVIMAQHRHCLETLFMCLEDYVTEDEELVTNALETMVNLAHLLDLRIFTSSKPTFHPMTEKRAVLAIRGLLGSAVKAWHCAASELIGRLIINPDNEPFLLPFAAQIYKRLVDIMGLPTPEAQAAAVGALYNLTEVNMDCRQKLASERWAIDRLLKVIKSPHPVPEICRKAALVLESLVSEPQNKTLLLVYENAFAEMLFGESKYSDIFARILYELTSRPSNKMAPPRGIWGMSLAFTLVV >itb10g01710.t1 pep chromosome:ASM357664v1:10:1414935:1426251:1 gene:itb10g01710 transcript:itb10g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR3 [Source:Projected from Arabidopsis thaliana (AT4G15180) UniProtKB/Swiss-Prot;Acc:O23372] MGNGGVACVPSQHIMDRFSTCRSKSNGTNSNNKKFTSSTTPSKLVKANAKMKPHKEKGGGELSGKSESKEVESNGDAVSDEIEEGELGTLPVEHDVLVSENPVQRKYEIRSEIEKGEVVVDKWRRGGEAQKSEFSNGKWRKGMSRRSEVDKNDRGYELEKGEFVPDDRWRKSEIAAKDDYSYPRPRRYDNLKDKGWKGELEWTPPPTTKDKGWRNDCEWTPPSSDKYSGEKEINKSGGVQHGKRSSRYESSCIQERNPRISSKIVNEEASFKNELSNGKTHAREYSSGNRLKRHGTDSDSSDRKFRGEYDDYSSSKCRKLSDDGTRSTYSTEHYSRRSVERSYRNASSGRGSASERHSSRNYDSSRVARDKNNDSPHHSERSPHSRTRHLDYRDRSPARRDRSPYHRGNHDDHSRSPYDRSRHFDQRRSPSYSEWSPQDQARYNDRKDRNPNFLERSPRDHGRSSSYRETCRKSGASEKRDSHVEGKQQEEKPSPKDPSGRDENVSVKDSDDRSYSDNNIGSIDKSTVQLIQGEESPQCPVVGTVDSLMENGVVEEVGSMEEDMDICNTPPHAPVAADAVLGKWYYLDQFGVEQGPSSLCNLKTLVEEGFLVSDHFIKHIDSERWVTVENAVSPIVSANFPSIVSETVTQLVSPPEAPGNILADNGELSQTGYQPSEYMIDKVSVPALCSVDSPTASHSFEDLHIDERVDALLKGITVIPGRELEAVGEVLKLSFEHGEWERWSGIEGFTWHQSHIGDHSDDRSGEGVSESLPKEPSELRPSLAALSDVDNVFSYTDIDEWFSGHWSCNGGDWKRNDEASQDKSWKKKLVLNDGYPLCQMPKSGNEDPRWHEKDELYYPSQSRRLDLLSWAFTSPDEWNDCNPATRSAQIKPVSLRGVRGMVLPVIRINACVVKDHGPFVSESRTKVRAKERFPPRSARPYSASRDSKGLSEEGFSCKNMQETDSHGTHKIRLPLSIPKDHICTADELHLSIGDWYYLDGAGHEKGPFSFSELQVLVDQGVIKKHSSVYRKVDKTWVPVSFCVETHDQLKGRQKNHAKSKDISGATLSKLNDMVGGSSGVLSTFHALHPQFIGFTQGKLHELVMKTYKSRELAAAINEVLDPWISARQPKKEFEIFQKSDQFRANKRARIDGSEDEYELDDDILIIKGDECPFEDLCGDATFNKDTSTESQLERQSWGLLDGHVLARVFHFLKADIRSLVYAASACKHWRSVVKFYKDISRQVDFSSVSPHCSDSMMRSVMNGYNEQKINSLVLRGCTAITSSMLEDILLSFSSLLTIDIRGCYQFEDLCLKFPNIKWIRSQGQSLKLKSLKNLADKTSSRIDESSGLRDYLESSEKRDSANQLFRRSLYKRSKVFDARKSSSIMSRDAHLRRLAMKKSENGYKKMREFLASSLKEIMKENAFDFFVPKVKKIQERIKSGYYGIHGLRSVKEDISRMCHDALKVKNRGDSKGMNRIIESFICLATRLEEGPISFYRHESPRTSKDGSPPGFSSTTSKYRKSLNKASEKKYINGSNGPTSLNGVSDYGEYASDREIKRRLSKLNVKSLRSGSGTSDDFDQSSDESMSDSESSASETESDLEPRSEGGTLESRGDTFFTLDDGFDSFVDDREWGARMTKASLVPPVTRKYEVIDHYVVVADEKEVKRKMLVSLPEDYDEKLNAQRNGIEESDMEIPEVKDYKPRKRLGDEVIEQEVYGIDPYTHNLLLDSMPEESDWPLLDKHVFIEDVLLRTLNRKVRDFTGSGSTPMIYPLKPVFEEILEEAEENQDKRTVILCQVILKAIDNRPQDNYVAYRKGLGVVCNKEGGFSQEDFVVEFLGEVYPAWKWFEKQDGIRSLQKNNKDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVRPIAFGEEVTFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVIEQYHGILDRHGLLLEACELNSVSEEDYIDLGKAGLGSCLLAGLPDWLISYSARVVRFINLERTKLPDEILRHNLEEKKKYFSEICMEVEKNDAEIQAEGVYNQRLQNLALTLDKVRYVMRCVFGDPKEAPPPLERLSPEAVVSFIWRGEGSLVEELLQCLSPHLEESGLLYDLKAKIRARDPSRSGDIGRELRKSLLWLRDEVRNLPCTYKCRNDAAADLIHIYAHTKCFFRIREYKSVTSPPVYISPLDLGPKYADKLGPGAHEYCKTYGENYCLGQLIYWHNQANAEPDNSLARASRGCLSLPEVDSFYAKAQKPSRQRVYGPRTVKFMLSRMEKQPQRAWPKDQIWSFKSNPKIVGSPMLDAILHKTSIDRDLVNWLKNRPAIFQATWDR >itb13g21510.t1 pep chromosome:ASM357664v1:13:28115856:28119573:-1 gene:itb13g21510 transcript:itb13g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALMNLLSLCWSNTTSENSNSGGGGGGGAGSYSSSSNGAFGRDGLLWYHDVGRYGGGEFSMAVVQANRVLEDQSRIESGRFGTFVGVYDGHGGPDAARYVCDNLFRHLQAISATEENGVVTADMIQRAFSETERGFTDLVSDKWNSQPHLATVGTCCLVGVISQQTLFIASLGDSRVVLGKKVGSTGEIAAIPLSEEHNANIAAVRQELKALHPNDPQIVVLKHGVWRVKGIIQISRSIGDVYLKHQQFNRAPINAKFRLPEPIDMPILSATPSILTHPLHPNDSFLIFASDGLWEHLSNEKAVDIVKSNPHSGSAKRLIKAALQEAAKKREMRYSDLRKIDKKVRRHFHDDITVIVLFLNHDLISKGVVQDPPLSIRSALEH >itb13g21510.t2 pep chromosome:ASM357664v1:13:28115856:28119573:-1 gene:itb13g21510 transcript:itb13g21510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALMNLLSLCWSNTTSENSNSGGGGGGGAGSYSSSSNGAFGRDGLLWYHDVGRYGGGEFSMAVVQANRVLEDQSRIESGRFGTFVGVYDGHGGPDAARYVCDNLFRHLQAISATEENGVVTADMIQRAFSETERGFTDLVSDKWNSQPHLATVGTCCLVGVISQQTLFIASLGDSRVVLGKKELKALHPNDPQIVVLKHGVWRVKGIIQISRSIGDVYLKHQQFNRAPINAKFRLPEPIDMPILSATPSILTHPLHPNDSFLIFASDGLWEHLSNEKAVDIVKSNPHSGSAKRLIKAALQEAAKKREMRYSDLRKIDKKVRRHFHDDITVIVLFLNHDLISKGVVQDPPLSIRSALEH >itb13g21510.t3 pep chromosome:ASM357664v1:13:28115856:28119524:-1 gene:itb13g21510 transcript:itb13g21510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGALMNLLSLCWSNTTSENSNSGGGGGGGAGSYSSSSNGAFGRDGLLWYHDVGRYGGGEFSMAVVQANRVLEDQSRIESGRFGTFVGVYDGHGGPDAARYVCDNLFRHLQAISATEENGVVTADMIQRAFSETERGFTDLVSDKWNSQPHLATVGTCCLVGVISQQTLFIASLGDSRVVLGKKVGSTGEIAAIPLSEEHNANIAAVRQELKALHPNDPQIVVLKHGVWRVKGIIQVLSLMQISRSIGDVYLKHQQFNRAPINAKFRLPEPIDMPILSATPSILTHPLHPNDSFLIFASDGLWEHLSNEKAVDIVKSNPHSGSAKRLIKAALQEAAKKREMRYSDLRKIDKKVRRHFHDDITVIVLFLNHDLISKGVVQDPPLSIRSALEH >itb06g14490.t1 pep chromosome:ASM357664v1:6:19045656:19049513:-1 gene:itb06g14490 transcript:itb06g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATLSGSRRRISTFCYLCDRRKQTDSEIVKMFQLSIPKVNTVNYALNRTSSRRNSAVRTLDERFIRILKIFKWGPDAEKSLEVLQMKVDHQLVREVLKVDVEVTTKIEFFKWAGKRRNFEHDSTTYLALIHCLEEAGLTGQLWRTIQEMVRSKCLISPSELSEIVRILGRAKMVSKALVVFYQIKGRKCKPTANTYNSVILMLMQEGQHDKVYELYNEMCTEGNCYPDTVTYSALISAFTKLGQDDSALRLFDEMKENGLHPTARIYTTLLGVYFKKRSIEKALGLVHEMKEKGCAPTVYTYTELIKGLGRAGRTEEAYSIFLNILKDGCKPDVVLLNNVMNILARAGRLADAHKLFEEMESLNCTPNVVTYNTVIKSLFQSKGPVPEASSWFERMKANGVAPSSFTYSIFIDGYCKTNRVEKALMLLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGSSSARVYAVMIKHFGKCGRFSEAVDLFNEMKMLGCRPDVYAYNALMSGLVRAGLVDEAHSWLQNMVESDCVPDINSYNIILNGLAKKGGPQRAIDMFMKMKQSDVKPDAISYNTILGCLSRSGMFEEAARLMKEMHTNGFEYDLITYTSILEAVGQFNEDHTSRAL >itb14g21000.t1 pep chromosome:ASM357664v1:14:23212030:23217237:1 gene:itb14g21000 transcript:itb14g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKPLILSSTKAHLTSIFNDEHKFKEATKPLSSSSLTNTSIQLRAGILQLPKDLNGISPTKAGSSLDCSTMVGLSTSSLKRLSITSGSLVLIKNVDMSGQRIGQVIVLDPPHTDKALPSSTPLLSESPQAMLVLPSHCYGDVHSPKTDEETAYLSPILGFNLNLHISCLKSVIHLNNETLSPLLDIKVESGGSGNESAVISLGIEPLDQLPKYATHLRASFVKIPECGMLESVRRTSLVEAEDRQELIDLALNQYFSVDRFLARGDLFSVSINWNCKSALCVPCTQKLQTGGSEIVYFKVVAMEPSEEPFLRANRVQTALVLGGNAPSAIPADFLIPGPQRFLPLQANSVKTLASILMPALCPSPLSSKFRVAVLLSGSPGIGKTTMTKFVARRLGLHVVEYSCHNLFLSSERKASAVLAQAFSTARRYSPTILLLRHFDVLSNLVSHEGSAHEQLGVNLEVASVIKEFTEPIGDEDLHFEENLKGDDVARRTSGHPVLFIAAADSSEGLPPTIRRCFSHEISMAPLTEEQRKEMMSQSLQRITAMLPNASTEDFIKDIVGQTSGFMPRDLRALIADAGASLISSQNIKHVKSEPENLNKTSLDTKPVENDGLVSPSQVLSKEDLMKSMERSKKRNASALGTPKVPDVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRLDKLLYVGVNSEASYREG >itb04g23250.t1 pep chromosome:ASM357664v1:4:28390557:28393049:1 gene:itb04g23250 transcript:itb04g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHNPKISRPLLSHNLTNLRPAPPIAAHEPPTAGNNPLLTASRRPPTAGNKCMCFYTLEHDMWKYVGATINHDPEIGDLLKVVFVPDYIFSVIELLIPASELSQHISTCRNGGQWNQQYQICREFLYLN >itb05g28090.t1 pep chromosome:ASM357664v1:5:31219520:31224877:-1 gene:itb05g28090 transcript:itb05g28090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGRGHRDKLQQFMTITGASEKVAHQALKASDWHLEGALDVFYSQPQVKSSADKRRLEELYNRYKDPYADMIMADGISLLCNDIQVDPQDIVMLVVSWHMRAATMCEFSKQEFIGGLQSLGVDSLDKFREKIPFMRAELKDEHKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKHWPLVDHWCQFLQARHNKAISRDTWSQLLEFARSVDPALTNYDAEGAWPYLIDEFVEYLMENGIVQQK >itb13g18660.t1 pep chromosome:ASM357664v1:13:25596352:25602020:-1 gene:itb13g18660 transcript:itb13g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSALFPIFILTIMALPLVPYTIVKLIRAFTKKSTSINCQCAVCFQSGKYRKSIFKRISNFSTYSNLTVVLLWIVMGVLVYYIKNISSEIEIFEPFSILGLESGASDSEIKKAYRRLSIQYHPDKNPDPEAHTYFVDYISKAYQALTDPISRENFEKYGHPDGRQGLQMGIALPQFLLNIDGASGGILLLGIVGVCIILPLTIAVIYLSRSAKYTGNYVMHSTLAAYYHFMKPSLAPSKVMDVFIKASEYMEIPVRRIDEEPLQKLFVLVRSELNLDLKNIRQEQAKFWKQHPALVKTELLIQAQLTRETAALSPNLQRDFRRVLELAPRLLEELMKMAIIPRTPLGHGWLRPAIGVVELSQSIIQAVPLGARKATGGSSEGYASFLQLPHFSEAVIKKIARKKVRTFQDFRDLDAEERMELLTQVAGFSKAEYRDVEMVLEMIPSISIDISCETEGEEGIQEGDIVTMHAWVTLKRGNGLIRALPHSPYFPFDKEENFWLLLADSFSNDVWLSQKVSFMDEATAITAASKAIQESKEGSGASAREINGAVREAIEKVKNGSRLVMGKFQAPPEGNYNLSSFCLCDSWIGCDAKSNLKLKVLKRSRAGTRGGVTADETPAPEEGIEEEEEDDDGYDDYESEYSEDEEDVKDPKGGKEVVANGGTAQKGSSSSSDDDDSETEAD >itb07g05720.t1 pep chromosome:ASM357664v1:7:3947012:3949944:1 gene:itb07g05720 transcript:itb07g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIEFEEPTMATIAAPAEALLPLTDHQNGNFIDIKMKKAPPPPPARKNMQITVAEPRFKGDEADLKSTLDKYLDTISQRVNYHIGYPENIVYEHNANLAPLMRYHLNNCGDPFMENTTDFHSKDFEVGVLDWFAQLWEIERDAYWGYITNGGTEGNLHGILLGREVFPDGILYASKESHYSVFKAARMYRMEFECINAMVNGEMEYGDLRSKLLLNKGKPAIINVTIGTTFKGAMDNIDVIIQTLEECGYSQDEFYIHCDAALSGLIVPFLKNVPKISFKKPIGSVTISGHKFLGCPMPCGIQITRKSLIHNISRNVEYIASVDATISGSRNGHAPIFLWYRLSTKGRAGLREDAERCVETARHLRDRFHGAGITAMLNENSITVVFERPEDREFVRHWQLSCVRDMAHVIVMPGVTAVALDAFFNDLVLRRASSPRHAATPCLADDVGPHNCCCHLHNNLSLVGSKF >itb07g05720.t2 pep chromosome:ASM357664v1:7:3947421:3949822:1 gene:itb07g05720 transcript:itb07g05720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPAEALLPLTDHQNGNFIDIKMKKAPPPPPARKNMQITVAEPRFKGDEADLKSTLDKYLDTISQRVNYHIGYPENIVYEHNANLAPLMRYHLNNCGDPFMENTTDFHSKDFEVGVLDWFAQLWEIERDAYWGYITNGGTEGNLHGILLGREVFPDGILYASKESHYSVFKAARMYRMEFECINAMVNGEMEYGDLRSKLLLNKGKPAIINVTIGTTFKGAMDNIDVIIQTLEECGYSQDEFYIHCDAALSGLIVPFLKNVPKISFKKPIGSVTISGHKFLGCPMPCGIQITRKSLIHNISRNVEYIASVDATISGSRNGHAPIFLWYRLSTKGRAGLREDAERCVETARHLRDRFHGAGITAMLNENSITVVFERPEDREFVRHWQLSCVRDMAHVIVMPGVTAVALDAFFNDLVLRRASSPRHAATPCLADDVGPHNCCCHLHNNLSLVGSKF >itb11g02540.t1 pep chromosome:ASM357664v1:11:1303135:1303725:-1 gene:itb11g02540 transcript:itb11g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKKTAGVSTLPQEILMEILSKIPAKSLVRFRCVSKFFCALVADHGFGVLHRSLSLTLPSRASFLISIDVRTRHADPPRRAYYTLNFSEQGNLRRRITLQANRVGFLDAEPFLLSSPSDGLICVSTPNGDVAVCNVSTRQRILLPRLHQYQEDCVLRLGYDSQSKRYKVLMSAQIVDKEVLMSAQMVDQEGRRV >itb09g26980.t1 pep chromosome:ASM357664v1:9:27524112:27525137:-1 gene:itb09g26980 transcript:itb09g26980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLVKRWQKFAAIRRKRISFPRLNDDANSCSTSTAVNKGHFVVYTADQKRFVVPLSYLENEIIRQLLSMSEEEFGLTNDGPIRLPCDAVFMDYIISLLSRGLSRELEIALLNSVTSYRCSSAPLHQEGLRNQELLVC >itb07g02000.t1 pep chromosome:ASM357664v1:7:1195978:1201374:-1 gene:itb07g02000 transcript:itb07g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASPTPHHHSRTEDLIGRLSSTSPEIQLKALREVKNQIIGNRTKKLSFLKLGAVPAVVSVLSSLASAQVGGEIHDSLVIQSAAAIGSFSCGFDAGVKAVLEAGAFPLLLRLISHSNDKVVDAGARSLKLIYQSRLAPKYDFIQVENVEFILLLLSSENENVSGLGASIISHSCKTCEEQKALSDAGVTKKLISLLRGSLVQRDASLEALATIVKGNPEVILKFMEPESGRALSTVIELTKDKNSRTKLLACTCLISIRNTSHSYLQDVQIKRKLILILLELLEDSGQVGDEAPFVLSSLLSENEELQRLAFEANAINRLCKHLEKGSLQPRRSQGILQALADLCSRLECCKDRLLSLEALKLVTDALSCKSPEVRVAACICLKNVSRSVKNLSAGCFMKETVIVPLVELLFDDSDIVQVAALGAISNVVVDFTANKSMFMQCGGVKQLVQLSRSMDSTIRVKAVCALRNLIFLVNSRCKEEILLELTQPTLRCLICDSEASVQEQALALVRNLVDGPIDCIDYVFVEDALLLHAVGRQLQSALKTEVLIQGMYVLGNVASGNEFHKEAVMHQLFPPSSNDTHSVVFKFLQSHDSQLRTAAVWALVNLTFPSGPGAFHRVVKLRNSGVLSQLKNMVNDPCLDVKLRARTALGQSMTSGDGSA >itb02g04170.t1 pep chromosome:ASM357664v1:2:2488847:2490400:-1 gene:itb02g04170 transcript:itb02g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKELALLHEKVKLERRQGDVDCPLSNQAMQEGEITELKYSLDLEVYSMKQKLEDADLEKSQATKSSEDEFYCKGYNFFGFKPMTDALMPEGNKTIQQMTSDSDILKRLLDFTFGTVHSTEVPILEKQWRHTIETKVLSVLIKGFVDDIQQIFNATLRERKSNIQFGFSDDKWTELITQMTMLREELDTFSSRDSFKLRTLDKNDGLGPLTNICRSVSDPLQEFTCYEPGEDKKTIGSHYVAEMIKIHEAIIRKQRKDLSWSTGAKPLGKGSSLLKRNRESDSLERRMQECTSRLDCLISWSHGLVDENDVQVLESFQKKPNSVLGGEEHMRSHYVEELKDEIRRLKGEAEDSCLQNSMMEQVYLLLCQGLVKDFNAKVSSYGSQIRNPANRLSSMDFTDKNEDRAAGNQRDRKRMHMKLLTITWKSSELKSGMSERLSNGYWMLMKAF >itb02g04990.t1 pep chromosome:ASM357664v1:2:2981230:2983691:1 gene:itb02g04990 transcript:itb02g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHATWQERTGRWYQPAMLRGHNTESNSSEKSGSSIQSYHIFQLSVPESLHSSSEAQNPSPPFLCFHSQMAAAARNALLKYLRVEAVALRNPNRIPNCGGSLTQLLRQKRHFSEEVRGSFLQKSEVTDRVCNVVKNFQKVDPSKVTPSANFQSDLGLDSLDAVEIVMALEEEFGFEIPDNEADKINSINLAVDFIASHPQAK >itb04g29870.t1 pep chromosome:ASM357664v1:4:33123987:33128632:-1 gene:itb04g29870 transcript:itb04g29870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFPTSQSSFLNTIKYQIVAVNAGLVLARLVLQRPNDEIGIRNDTAKSDLVLECRAKYSVVAAKIVSPEVESLHSFAVPGSIDPVLWFPGLSQTMVLIKEFRIVLPLSLEEYERAQMYIVMKMLQQNTTGNEGVEILENKSFDDDEYGQGQYTSKIYRFHSKVPSWLTAFAPANALATQEEAWNAYPMCKTVMKCPFFSKLSLTIETIHKADNGHSENVHGLSKEQLAAREVETIDIASAASDYWSFIVGRCNVDFSKFRSARTGRGPLLEGWKDNCSPVMTAYKLVTVNVPYWGFGRKLEKTLMAAERALFLESHRNCFAWIDEWIGLTLEVMQELEQQSDCSLNKKLGTHCSVDNNRTAGQEESPDDCGCRVDCTQTVEAVAGWLLLRHVAVAAAAAAHEVGRCSFV >itb14g19320.t1 pep chromosome:ASM357664v1:14:22035016:22043419:1 gene:itb14g19320 transcript:itb14g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRSTDLAAFCFPGGVKAHVLERTPSLSELNQLIYGQEHLSRDDFSFLFSFKVGDNATLYGVCLQVREIVQRPPAIYVNSSAPCQSPLGSSRFLVSAPRCYCVLTRVPFFELHYEMLNSLIAQERLNRITNLLSDVSLSDIVPSAPPAKLNHRSNGNHSSTDTAWMDSAIPVDSASALTAAAAGIICDDEAPLSSSRWEVLSPASMSASEASDHCQMKFGSFDDCASEGSESRPDCAERNNGVHDGGRTSEVGSSNFCSRHRKHSSSDSVFSTARNLLSEDEDEICESHERDAADAIMEWARENKNELLQIVCSYHCLSLPSRGSKIVFQPLEHLSAIEYKRCSVSELGFSYKHLAVEMQDLEVIAKANFDLAAAEEAVALSVWTTATICRALSLETILALVTGVLLEKQVVVICPNLGILSALVLSLIPIIRPFQWQSLFLPILPRKMLDLLDAPVPFIVGLQQKPADLKTKISNLIYVNVAKDQSHLGCRQNRVTCHYFQGLRSLYRNCIQSTLDWHVRISLLKGIQYIDATKYRRRLLLNS >itb14g19320.t2 pep chromosome:ASM357664v1:14:22035016:22043419:1 gene:itb14g19320 transcript:itb14g19320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRSTDLAAFCFPGGVKAHVLERTPSLSELNQLIYGQEHLSRDDFSFLFSFKVGDNATLYGVCLQVREIVQRPPAIYVNSSAPCQSPLGSSRFLVSAPRCYCVLTRVPFFELHYEMLNSLIAQERLNRITNLLSDVSLSDIVPSAPPAKLNHRSNGNHSSTDTAWMDSAIPVDSASALTAAAAGIICDDEAPLSSSRWEVLSPASMSASEASDHCQMKFGSFDDCASEGSESRPDCAERNNGVHDGGRTSEVGSSNFCSRHRKHSSSDSVFSTARNLLSEDEDEICESHERDAADAIMEWARENKNELLQIVCSYHCLSLPSRGSKIVFQPLEHLSAIEYKRCSVSELGFSYKHLAVEMQDLEVIAKANFDLAAAEEAVALSVWTTATICRALSLETILALVTGVLLEKQVVVICPNLGILSALVLSLIPIIRPFQWQSLFLPILPRKMLDLLDAPVPFIVGLQQKPADLKTKISNLIYVNVAKDQAKPCYLPLLPGFKELVSELHPIHVRLAREDLAAQRHPVYRCNEVQTEAAAQFLNVMGHYLESLCSDLRTHAITSVQSNNDRVSILMKDSFISSFPIRDRAFVKSFIDTQLFSVLSDARLSSYED >itb14g19320.t4 pep chromosome:ASM357664v1:14:22032875:22043090:1 gene:itb14g19320 transcript:itb14g19320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQERELLEGLQLPPRHVSQQISEGVTSEALQNVSGSFGVPPARATHRRSQSEVAPSSVNRGSNNVPKWKTNMQRALRWGNNFQGEGPFYSFNPEVLANQKRQWYQLHSKTSDGKKYKEPTSLFEHFIIVGLHPEANLKAAEDAFARKKKWELELERSDIVDIRMLPHRGPPLPSLEPQAHVLERTPSLSELNQLIYGQEHLSRDDFSFLFSFKVGDNATLYGVCLQVREIVQRPPAIYVNSSAPCQSPLGSSRFLVSAPRCYCVLTRVPFFELHYEMLNSLIAQERLNRITNLLSDVSLSDIVPSAPPAKLNHRSNGNHSSTDTAWMDSAIPVDSASALTAAAAGIICDDEAPLSSSRWEVLSPASMSASEASDHCQMKFGSFDDCASEGSESRPDCAERNNGVHDGGRTSEVGSSNFCSRHRKHSSSDSVFSTARNLLSEDEDEICESHERDAADAIMEWARENKNELLQIVCSYHCLSLPSRGSKIVFQPLEHLSAIEYKRCSVSELGFSYKHLAVEMQDLEVIAKANFDLAAAEEAVALSVWTTATICRALSLETILALVTGVLLEKQVVVICPNLGILSALVLSLIPIIRPFQWQSLFLPILPRKMLDLLDAPVPFIVGLQQKPADLKTKISNLIYVNVAKDQILALVTGVLLEKQVVVICPNLGILSALVLSLIPIIRPFQWQSLFLPILPRKMLDLLDAPVPFIVGLQQKPADLKTKISNLIYVNVAKDQAKPCYLPLLPGFKELVSELHPIHTEAAAQFLNVMGHYLESLCSDLRTHAITSVQSNNDRVSILMKDSFISSFPIRDRAFVKSFIDTQLFSVLSDARLSSYED >itb14g19320.t3 pep chromosome:ASM357664v1:14:22032875:22043090:1 gene:itb14g19320 transcript:itb14g19320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQERELLEGLQLPPRHVSQQISEGVTSEALQNVSGSFGVPPARATHRRSQSEVAPSSVNRGSNNVPKWKTNMQRALRWGNNFQGEGPFYSFNPEVLANQKRQWYQLHSKTSDGKKYKEPTSLFEHFIIVGLHPEANLKAAEDAFARKKKWELELERSDIVDIRMLPHRGPPLPSLEPQAHVLERTPSLSELNQLIYGQEHLSRDDFSFLFSFKVGDNATLYGVCLQVREIVQRPPAIYVNSSAPCQSPLGSSRFLVSAPRCYCVLTRVPFFELHYEMLNSLIAQERLNRITNLLSDVSLSDIVPSAPPAKLNHRSNGNHSSTDTAWMDSAIPVDSASALTAAAAGIICDDEAPLSSSRWEVLSPASMSASEASDHCQMKFGSFDDCASEGSESRPDCAERNNGVHDGGRTSEVGSSNFCSRHRKHSSSDSVFSTARNLLSEDEDEICESHERDAADAIMEWARENKNELLQIVCSYHCLSLPSRGSKIVFQPLEHLSAIEYKRCSVSELGFSYKHLAVEMQDLEVIAKANFDLAAAEEAVALSVWTTATICRALSLETILALVTGVLLEKQVVVICPNLGILSALVLSLIPIIRPFQWQSLFLPILPRKMLDLLDAPVPFIVGLQQKPADLKTKISNLIYVNVAKDQILALVTGVLLEKQVVVICPNLGILSALVLSLIPIIRPFQWQSLFLPILPRKMLDLLDAPVPFIVGLQQKPADLKTKISNLIYVNVAKDQAKPCYLPLLPGFKELVSELHPIHTEAAAQFLNVMGHYLESLCSDLRTHAITSVQSNNDRVSILMKDSFISSFPIRDRAFVKSFIDTQLFSVLSDARLSSYED >itb04g30690.t1 pep chromosome:ASM357664v1:4:33739752:33741679:1 gene:itb04g30690 transcript:itb04g30690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLSSLLFLVLLPSSNAQGPPSPGYYPSSRVRTLGFYQGYSNLWGSQHQSLDNQGTLTIWLDRTGGGSGFKSLHSYRSGYFGASVKLQPGYTAGVITSFYLSNTEQYPGYHDEIDIEFLGTTPGKPYTLQTNVYIRGSGDGHIIGREMQFHLWFDPTQSFHHYAILWNPNEIIFFVDDVPIRRYPRKSDATFPERPMYVYGSIWDASSWATENGKYKADYNYQPFVGRYRDFKIGGCEASAGAWCRPTPSSPRGYTGLSAQQYAAMAWVQRNHKVYDYCQDPRRDRTQTPEC >itb15g01910.t1 pep chromosome:ASM357664v1:15:1143798:1147027:1 gene:itb15g01910 transcript:itb15g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEFIVNSLVLVLGYAYPAFECFKCVENNRVQIEELRFWCQYWIVVGLVRVLESVADIFISWIPMYSEVKLALFIYLWYPKSKGTEYIYGALLKPFVSEHEGEIERSFMEFKGRAWELVVYYWQNCTELGQAKFFQMLDFLATGRLTQSTSKQKDTDHRHGGAPLPPPAPAATKSGPFRRHR >itb05g00030.t1 pep chromosome:ASM357664v1:5:15711:16601:-1 gene:itb05g00030 transcript:itb05g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPLQPFNEFHVTRRLRYPTIGDSAFPPSASPLLHNRPSPTHCLGTLIVSIDLGASPVPVPVLRPNCLHPSGIIAFEFEDLLSSYL >itb01g07890.t1 pep chromosome:ASM357664v1:1:6247456:6248283:-1 gene:itb01g07890 transcript:itb01g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKETKISMGIISKPLQDSTINSPNSNNGSGTISISSGTSPPLTPRSEVANNPYPTTFVQADTSSFKQVVQMLTGSSDATAKGFSIPPNKTPPKKQGFKLYERRNSLKNGLIISPLNSGSTFLKPEVLSPSILDFPALVLSPVTPLTDYPFVDKSSSEEEKAIAEKKFYLHPSPRTESQPPQLLPLFPVTSPRVSDTSSSS >itb12g26600.t1 pep chromosome:ASM357664v1:12:27425473:27429928:1 gene:itb12g26600 transcript:itb12g26600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MEALWASRMLCCRPPSSASLCFHRTALQKCGVFGQVSLSCLRARQGSIKIRSLKVGRLYSTARNGSQEDGSSVAEDDRKEQEKGLLLGAQRDGSGSVVGFHLIPQSVTGDETVSESNDDGGEDEDADSDSEVSEELENEVETLARTVCNVVFVTSEAAPYSKTGGLGDVCGSLPIALAKRGHRVMVVSPRYLNGSLSDEKFKNAVDLDLKIKIYWAGGEQEVAFFHEYRAGVDWVFLDHPSFHRPGTPYGDIYGAFGDNQFRFTLLCQAACEAPLVLPLGGFTYGEKCMFIANDWPAALVPIFLAAKYRPHNVYKDARSVILIHNLAHQGVEPAVTFKNLGLPLEWYPAVEYVFPTWARTHALDTGETVNVLKGAIITADRIVTVSQGYAWEITTPEGGYGLHGLLGSRKPVLNGITNGIDVNEWDPSTDEHIASPYSINDLSGKVHCKTALQKELGLPIRPDCPLIGFIGRLDFQKGIDIILSATPELMKDDVQFVMLGSGEKQYEDWMRYMESQYKEKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHSTGGLRDTVESFDPYAEDGGAGTGWTFSPLSRENLVATLRIATGTYIEHKQSWKGLMQRGMARDYSWEKAAVQYERIFEWAFMDPPYVRWPN >itb12g26600.t3 pep chromosome:ASM357664v1:12:27425473:27429928:1 gene:itb12g26600 transcript:itb12g26600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MEALWASRMLCCRPPSSASLCFHRTALQKCGVFGQVSLSCLRARQGSIKIRSLKVGRLYSTARNGSQEDGSSVAEDDRKEQEKGLLLGAQRDGSGSVVGFHLIPQSGDETVSESNDDGGEDEDADSDSEVSEELENEVETLARTVCNVVFVTSEAAPYSKTGGLGDVCGSLPIALAKRGHRVMVVSPRYLNGSLSDEKFKNAVDLDLKIKIYWAGGEQEVAFFHEYRAGVDWVFLDHPSFHRPGTPYGDIYGAFGDNQFRFTLLCQAACEAPLVLPLGGFTYGEKCMFIANDWPAALVPIFLAAKYRPHNVYKDARSVILIHNLAHQGVEPAVTFKNLGLPLEWYPAVEYVFPTWARTHALDTGETVNVLKGAIITADRIVTVSQGYAWEITTPEGGYGLHGLLGSRKPVLNGITNGIDVNEWDPSTDEHIASPYSINDLSGKVHCKTALQKELGLPIRPDCPLIGFIGRLDFQKGIDIILSATPELMKDDVQFVMLGSGEKQYEDWMRYMESQYKEKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHSTGGLRDTVESFDPYAEDGGAGTGWTFSPLSRENLVATLRIATGTYIEHKQSWKGLMQRGMARDYSWEKAAVQYERIFEWAFMDPPYVRWPN >itb12g26600.t2 pep chromosome:ASM357664v1:12:27425503:27429211:1 gene:itb12g26600 transcript:itb12g26600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MEALWASRMLCCRPPSSASLCFHRTALQKCGVFGQVSLSCLRARQGSIKIRSLKVGRLYSTARNGSQEDGSSVAEDDRKEQEKGLLLGAQRDGSGSVVGFHLIPQSVTGDETVSESNDDGGEDEDADSDSEVSEELENEVETLARTVCNVVFVTSEAAPYSKTGGLGDVCGSLPIALAKRGHRVMVVSPRYLNGSLSDEKFKNAVDLDLKIKIYWAGGEQEVAFFHEYRAGVDWVFLDHPSFHRPGTPYGDIYGAFGDNQFRFTLLCQAACEAPLVLPLGGFTYGEKCMFIANDWPAALVPIFLAAKYRPHNVYKDARSVILIHNLAHQGVEPAVTFKNLGLPLEWYPAVEYVFPTWARTHALDTGETVNVLKGAIITADRIVTVSQGYAWEITTPEGGYGLHGLLGSRKPVLNGITNGIDVNEWDPSTDEHIASPYSINDLSGKVHCKTALQKELGLPIRPDCPLIGFIGRLDFQKGIDIILSATPELMKDDVQFVMLGSGEKQYEDWMRYMESQYKEKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHSTGGLRDTVESFDPYAEDGGAGTGYVSEILNTTILSDN >itb11g08560.t1 pep chromosome:ASM357664v1:11:5629705:5631882:-1 gene:itb11g08560 transcript:itb11g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVLLLRLEVFLLFTLISLPKSLSQRTRESFYLDFVRDAIEMPREDYYDYIVVGGGTAGCPLAATLSERYKVLVLERGGVPFGDPNLMTQEGFLNTLSEFDTFDSPAQPFISEDGVPNARGRVLGGSSAINAGFYSRADEEFYGNSGINWDLGLVNQSYEWVERAIVFRPELKSWQSAVRDGLLEARVNPYNGFSLHHVLGTKIGASTFDNSGRRHSAVDLLFFANASNIRVGVFATVERILLASTFYSPGSNQSAIGVIFRDRLGLFHHAMVRESGEVLLSAGALGSPQLLLLSGIGPRLYLSSLGIPVVYHTPNVGQFLYDNPRNGISIVPPIPLEYSLIQVVGITGSGSYLEAASNFIPFASPVNSVFIRAPASPVYLAVATLMQKISGPASTGSLRLASPDVRVNPIVRFNYFSDAGDLQKCISGARMIGELLKTRSMDVFKFDQRFGERDFRYVGPALPVNQSDDNLMGEFCRETVSTIWHYHGGCVVGKVVDGDFRFTGIDGLRVIDSSTFNTSPGTNPQATVLMLGRYAGLRILGERQQAGK >itb05g15100.t1 pep chromosome:ASM357664v1:5:22463412:22465207:1 gene:itb05g15100 transcript:itb05g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAARDLNVALIYSKHLEFLSWLSIFNVHMDKTAAKTGMKKGAWTEEEDNLLRKYIEEFGEGKWHQVPAKAGLNRCRKSCRLRWLNYLRPNIKRGEFAWDEVDLIIRLHKLLGNRWSLIAGRIPGRTANDVKNYWNTHLKKTAEFGKPPRRQQENGRENIVIGPPNSSKISSFLSLGTETTTTKTTMPDNDVSKRTFTPSPPADSVTQWWENLLAIGERDGAITWSPSLTTSDEGDKAVGDGETWSRVIGDGNSTFIDEGEFSWIEFQFRMGTSKWNDGIWDLGE >itb03g08650.t1 pep chromosome:ASM357664v1:3:6490963:6495835:-1 gene:itb03g08650 transcript:itb03g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPSTEHPTQTPDNTSQTTELPVQTQNTPQQAVNYKLILQHHTLKSHISNPTYEVSNEGPAHALRFRATVLVCEKHYTSHTTFARRKAAENDAAKIAWYDIATKTDDEEKLPDIEEYAFFCKCILFDFAAKMHFDNPTFDTTPTCIPDALVRTFKSVVTFNGKSYTGEPGQNKKEAERLAARAAILSLLESELKNDLSKVIKSTANPFAKLNNVRDSSHLSTTNSSSGEVASMNNAIESLLSGLETVSSAQVMSPPDHEFNKPVVQSSTVSVAQPKSFVPPSVRRYFQYVHICGQKRKRNNK >itb06g23580.t4 pep chromosome:ASM357664v1:6:25468884:25473302:1 gene:itb06g23580 transcript:itb06g23580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MYGTMASIGKSVEGVPLWVMEISDKPGKQEAEPAFKFIGNVHGDEPVGRELLMLLANWICDNYMKDPLATLIVDNVHLHILPSMNPDGFQKRRRVNANNIDLNRDFPDQFFPMNDDHGLRQPETKAIMSWMEGIHFTASASLHGGALVANYPWDGTEDKKKYYFACPDDETFRYIGSLYSHHHRNMSLSTEFPEGITNGAHWYPIYGGMQDWNYIHAGCFELTLEISDNKWPNATELPTLWEYNKMSMLHLVASVVKAGIHGKIFSSDHGKPLPASIAIKGINYTIKAGETFADYHRLLAPGNNYEVVATMPGYKSKSTHIILGEEAMTVDFILDPVIANADDGFLQKSWDNNFLPWWQSKLFIMILILTFLCILRRRLLNRSKHRQNAGLKRPQL >itb06g23580.t1 pep chromosome:ASM357664v1:6:25467734:25473302:1 gene:itb06g23580 transcript:itb06g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MKNLIAILFLSLHLCSLLNFSIAGRSSIASGVSGFANPSVTLRSSRHLLAEDSKISLEEHLRNLEELSKGYMTNSDLENAIMAFNRRCSSISRVYSIGKSVEGVPLWVMEISDKPGKQEAEPAFKFIGNVHGDEPVGRELLMLLANWICDNYMKDPLATLIVDNVHLHILPSMNPDGFQKRRRVNANNIDLNRDFPDQFFPMNDDHGLRQPETKAIMSWMEGIHFTASASLHGGALVANYPWDGTEDKKKYYFACPDDETFRYIGSLYSHHHRNMSLSTEFPEGITNGAHWYPIYGGMQDWNYIHAGCFELTLEISDNKWPNATELPTLWEYNKMSMLHLVASVVKAGIHGKIFSSDHGKPLPASIAIKGINYTIKAGETFADYHRLLAPGNNYEVVATMPGYKSKSTHIILGEEAMTVDFILDPVIANADDGFLQKSWDNNFLPWWQSKLFIMILILTFLCILRRRLLNRSKHRQNAGLKRPQL >itb06g23580.t2 pep chromosome:ASM357664v1:6:25467764:25473302:1 gene:itb06g23580 transcript:itb06g23580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MTNSDLENAIMAFNRRCSSISRVYSIGKSVEGVPLWVMEISDKPGKQEAEPAFKFIGNVHGDEPVGRELLMLLANWICDNYMKDPLATLIVDNVHLHILPSMNPDGFQKRRRVNANNIDLNRDFPDQFFPMNDDHGLRQPETKAIMSWMEGIHFTASASLHGGALVANYPWDGTEDKKKYYFACPDDETFRYIGSLYSHHHRNMSLSTEFPEGITNGAHWYPIYGGMQDWNYIHAGCFELTLEISDNKWPNATELPTLWEYNKMSMLHLVASVVKAGIHGKIFSSDHGKPLPASIAIKGINYTIKAGETFADYHRLLAPGNNYEVVATMPGYKSKSTHIILGEEAMTVDFILDPVIANADDGFLQKSWDNNFLPWWQSKLFIMILILTFLCILRRRLLNRSKHRQNAGLKRPQL >itb06g23580.t3 pep chromosome:ASM357664v1:6:25467734:25473302:1 gene:itb06g23580 transcript:itb06g23580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MKNLIAILFLSLHLCSLLNFSIAGRSSIASGVSGFANPSVTLRSSRHLLAEDSKISLEEHLRNLEELSKGYMTNSDLENAIMAFNRRCSSISRVYSIGKSVEGVPLWVMEISDKPGKQEAEPAFKFIGNVHGDEPVGRELLMLLANWICDNYMKDPLATLIVDNVHLHILPSMNPDGFQKRRRVNANNIDLNRDFPDQFFPMNDDHGLRQPETKAIMSWMEGIHFTASASLHGGALVANYPWDGTEDKKKYYFACPDDETFRYIGSLYSHHHRNMSLSTEFPEGITNGAHWYPIYGGMQDWNYIHAGCFELTLEISDNKWPNATELPTLWEYNKMSMLHLVASVVKAGIHGKIFSSDHGKPLPASIAIKGINYTIKAGETFADYHRLLAPGNNYEGNLYLDANLSACYYKCLMAVFISIYFSLSRV >itb12g04020.t2 pep chromosome:ASM357664v1:12:2654796:2656991:-1 gene:itb12g04020 transcript:itb12g04020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSCSISLSTASPPPSAGNRPRPRRSSLKIRARSYRSEEGRGGPIRDANLRVLRERIEEVRVKERLERCLESEFGWNYNIAINDCCKHTRKKKMAAMSALLQLVCLFLQSLNLIILPLPLPLLISPEPPLAPLCRSPVQLNSQPPPFPFFQYPQPLPLIYRLLLADQHRYGNRIPGTMVTNL >itb12g04020.t1 pep chromosome:ASM357664v1:12:2655918:2657118:-1 gene:itb12g04020 transcript:itb12g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSCSISLSTASPPPSAGNRPRPRRSSLKIRARSYRSEEGRGGPIRDANLRVLRERIEEVRVKERLERCLESEFGWNYNIAINDCCKHTRKKKMAAMSALLQLVCNVGGNIGLTILGCSFCLYLTSIFIHFTL >itb06g17620.t1 pep chromosome:ASM357664v1:6:21423772:21426657:1 gene:itb06g17620 transcript:itb06g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDASEQGTVTCASWIRRPENAHLLVVGKSRPSSLEVFSFDPKTTSLSPSPKAKYLFEEGGDPVSIAVHPSGDDVVCSTTSGCKLFELCGLEDNLRLVCKELVPLQDVGPQKCLGFSVDGSRLASGGADGHFRLFEWPNMRVIVDEPRAHKSFQDMDFSLDSEFLASTSTDGMARIWKTSDGVPVTTLTRNPDEKIELCRFSKDGTKPFLFCIVQKGNKTLIAVWDISEWRKIGHKSLVKKPASIMSISLDGKYLAVASNDGDVCIIEVKKMEVSHYSRRLHSGSKITSLEFCPSERVVLTTSAEWGLMVTKLNVAADWKEWQIYLLLLGLFLASAVAFYIFFENSDSFWNFPDPSARPKIDSVLGDSASDDQNVWGSFGPLDM >itb03g13220.t1 pep chromosome:ASM357664v1:3:13443515:13444279:1 gene:itb03g13220 transcript:itb03g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPITGSSSMMRRSITTAPPPQPRCLSLPPSLEQNAKVTIASAVILRAAITTIASVELRWPEEMSLPTKSKSGNGYRSSTDAMVVIAARRMTAEAMVTLAFCSSEGGRERHRGCGGRGRGDGAAASWSWIR >itb15g10850.t1 pep chromosome:ASM357664v1:15:8608405:8614056:-1 gene:itb15g10850 transcript:itb15g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRHRLYSLFASPSISFLSLLFLLSSFAYFSNVNAKANATKGQASVTPINRDLYHTSGALLEEIESLVHRHPDKLSIETFSSKNRGYQAETTIVTYCQGGKDCDDRSKVRILLTFGQHGRELITTELALRILSILSDEEFLPKQYPLTLNNTLDKLVIKIVPMENVNGRKLVEKGELCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTSPFSEPETQLMRKLSTSFEPHIWVNVHSGMEALFMPYDHKNTTPDGFLSQRMRMMLEKLNHFHLNDRCLVGSGGGSVGYLAHGTATDYMYDIVRVPMAFTFEIYGDPEASSKDCFKMFNPTSMTTFNKVLNEWSAVFFTMFSISAHQIDEFHSNASASSSFEKWVSIDDYLNGYLMERRSRYGKKMEVLELGMQEIRTYFRLFLLSSVLLLFMFCSRISKSSRQIVSAMPF >itb06g25300.t1 pep chromosome:ASM357664v1:6:26419369:26422672:-1 gene:itb06g25300 transcript:itb06g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKYQDVLEPGCHCVPWFLGSQLAGHLTLRVQQLDVRCETKTKDNVFVNVVASIQYRALADKANDAFYKLSNTKGQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKKAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAANKSSTVFIPHGPGAVRDVAAQIRDGLLQASQSDHHH >itb06g22520.t1 pep chromosome:ASM357664v1:6:24769086:24770863:-1 gene:itb06g22520 transcript:itb06g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWWLMLVGTLRLASVWFGFFDIWALRLAVFSKTTMTEVHGRTFGVWTLLTCTLCYLCAFNLDNKPLYLATFLSFIYALGHFLSEFLIYHTMEIRNLMTVGVFAGTSIIWMLLQWNSHQPVKTKSP >itb15g02860.t1 pep chromosome:ASM357664v1:15:1817650:1818714:1 gene:itb15g02860 transcript:itb15g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDTFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb02g08620.t1 pep chromosome:ASM357664v1:2:5457396:5460898:-1 gene:itb02g08620 transcript:itb02g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQPITTTNTFSPPNSFSNPNSSTTAGAAVDDDESPISKAMSDDELEATPTSNNSRSPSPAPLSPATNELAVVPVSSNAPPPAARTPVFPAREDCWSEAATHTLIESWGSHYVELNRGNLRRQHWMEVADAVNALHGHTKKQYRTDIQCKNRIDTLKKKYKTEKARVSQSPGNYVSSWPFFNSLDALIGDNFKPSSSPATITPRRKTPPALPPPPSAVPVGPRSKRPVAIDDTVSRRNFSAMAAAAAAAATEDSDENEESETSSPPPAPAISGGNKRKDVGAQLEGFRSLAEAIGKFSDIYKRVEEAKLRQMVDLEKQRMQFAMDLELQRMKVIMESQVQLQKLKRAKRNNSHADNYL >itb10g15370.t1 pep chromosome:ASM357664v1:10:21630907:21632432:-1 gene:itb10g15370 transcript:itb10g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDASSLRSPPSILINRHSNRLDVNAVKHVGERAVEKKKRATLTSELGKHGAVGVRSPFFLKWRVGDVFGVVRRHPIPCVFSVLLFFFMHVEYTLRMTPPGSPPLDFGFVVTVPLNRLLASRPDINNLLAALNTVFVAMQSWYILWAWLIEGRPRATISALFMFTCRGILGYLTQLPLPEEFLGSGVDFPVGNTSFFLFFSGHVAASVIASQDMQRVKRSKLAFTFHVLNLLQIVRLLSTRGHYTIDLAAGYAAGILFDSLAGKYENYKNKQISSTNPTSCPVGINSKNENSDN >itb11g02230.t1 pep chromosome:ASM357664v1:11:1112735:1113775:1 gene:itb11g02230 transcript:itb11g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEERSNNAQISRDEEERSHSRKLEQDGGVDERRKEEDDETPSMALNHVSRLCRSVKESVDFYTKVLGLVVVERPPAFDGFDGAWLFNYGVGIHLVQAKDEDRLPNHTDQQPLDPMDNHISFQCDAMEAMERRLKELKVKYMKRTVGEGEGEAIDQLFFKDPDGFMIEICNCENITLVPQASLGNIKLPSDRHTPPVQPEK >itb14g13430.t1 pep chromosome:ASM357664v1:14:15425372:15429624:-1 gene:itb14g13430 transcript:itb14g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFMDARKTFATTRSYNLIQQRHLRLVEDFLCNAFLNQAMGGDNATLEMVVGFHLFVDPKNLIVILLESRDRIGGRIQTDYSFGCPIDMGASCFMPFGIDGKQVPQQLLKLDGDAFRKIVPKEVILLESRDRIGGRIQTDYSFGCPIDMGASCFMPFGIDGKQVPQQLLKLDGDAFRVPQQLLKLDGDAFRKIVPKEVLDGDAFRKIVPKEVCFP >itb14g11510.t1 pep chromosome:ASM357664v1:14:13143516:13144070:1 gene:itb14g11510 transcript:itb14g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAASSLRTWVNLIPPRFYLSSDDSKPWFRGLSRENIKFARRNRLDPDKKIAQSSTLENTDDSDKDKPIGEEDDGNDRGAGERSERKGSGKDGNSVNSEGKKRRRNSETKTGNEANPGNVLSEIEFGKVNIGRVESREKLKEERQQKRRDNISGRIHEKKIAQREKKLMRPGFEGRKEEFITKD >itb02g21580.t2 pep chromosome:ASM357664v1:2:20560644:20572688:1 gene:itb02g21580 transcript:itb02g21580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKYDASSTDGSSNSPRSVGSNSPIRADKKKAKAGSTSKDNSPSTPTSISSFAASFKDRKKDGKGKDIPVRSPNPLAKASPGGLGSSKPKKSEVKEVGPTSLSVSPILASSLGLHKIKTRSGPLPQESFFGYGSREKGCALGASNLSKPGGGSVSRGDRQSSLWLGKTSAGKEERKTVENTGWVDNGSNSDSMSTESLPSRDQSPHVPGPSRLQIGEPSSEAPGRFNSSWSHSGGQQTLDACTPDLKTSYDCDNPKESESPRFQAILRVTSAPRKRHPVDIKSFSHELNSKGVRPFPLWKPRGLNNLEEVLTMIRTKFDKAKEEVDSDLHIFAADLVGILEKNAEAHPDWQETIEDLLILARSCAMTSPGEFWLQCEGIVQELDDRRQELHTGMLKQLHTRMLFILTRCTRLLQFHKESGFAEDEPISLLRQSLQPTDKHVSGGLRRDGKSASAIKAPKGPPTRKSYSQEQHGLDWKTDQSGRPEIIQSRPAESMKNLDSPGERNRMASWKKLPTPAGKSLKEIPLVKEHDNLEASKSLVNRRGAPDVDLATVKHPELPFSRDSQGHLSVSSKDQHKVSWGYWGDPPSTSDESSIICRICEEEVPTLHVEEHSRICAIADRCDQNGLSANERLVRIAETLEKLMESFSQKDFQPAVGSPDGAKISNSSITEESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFMDELKGLPSMSFKTRFGPKSDQGMTTSSAGSMTPRSPLHTPRTSPIDLLLSTKGCYSEHEDLPQMNELADIARCIANTPMEDDRSLHYLISCLEDLKVVLDRRKLDSLTVETFGTRIEKLIREKYLQLCELVDDEKVDITNTVIDEDAPLEDDVVRSLRTSPVHSNRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMDEDESLSASDLQHERRKKRSAVGTPDYLAPEILLGTGHGFTADWWSVGVILFEMIVGIPPFNAEHPQKIFENILNRKIPWPRVPDEMTPEAQNLIDCLLTEDPNQRLGANGAPEVKEHPFFQNINWDTLARQKAAFVPSSESALDTSYFTSRFSWNPSDEHVYAASEFEDSSDNGSVSGSSSCVSNRHDELVDEYGGLADFESGSSMKYSFSNFSFKNLSQLASINYDLLTKGNKDDQPTTPNP >itb02g21580.t4 pep chromosome:ASM357664v1:2:20560597:20572012:1 gene:itb02g21580 transcript:itb02g21580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTKFDKAKEEVDSDLHIFAADLVGILEKNAEAHPDWQETIEDLLILARSCAMTSPGEFWLQCEGIVQELDDRRQELHTGMLKQLHTRMLFILTRCTRLLQFHKESGFAEDEPISLLRQSLQPTDKHVSGGLRRDGKSASAIKAPKGPPTRKSYSQEQHGLDWKTDQSGRPEIIQSRPAESMKNLDSPGERNRMASWKKLPTPAGKSLKEIPLVKEHDNLEASKSLVNRRGAPDVDLATVKHPELPFSRDSQGHLSVSSKDQHKVSWGYWGDPPSTSDESSIICRICEEEVPTLHVEEHSRICAIADRCDQNGLSANERLVRIAETLEKLMESFSQKDFQPAVGSPDGAKISNSSITEESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFMDELKGLPSMSFKTRFGPKSDQGMTTSSAGSMTPRSPLHTPRTSPIDLLLSTKGCYSEHEDLPQMNELADIARCIANTPMEDDRSLHYLISCLEDLKVVLDRRKLDSLTVETFGTRIEKLIREKYLQLCELVDDEKVDITNTVIDEDAPLEDDVVRSLRTSPVHSNRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMDEDESLSASDLQHERRKKRSAVGTPDYLAPEILLGTGHGFTADWWSVGVILFEMIVGIPPFNAEHPQKIFENILNRKIPWPRVPDEMTPEAQNLIDCLLTEDPNQRLGANGAPEVKEHPFFQNINWDTLARQKAAFVPSSESALDTSYFTSRFSWNPSDEHVYAASEFEDSSDNGSVSGSSSCVSNRHDELVC >itb02g21580.t1 pep chromosome:ASM357664v1:2:20560644:20572650:1 gene:itb02g21580 transcript:itb02g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKYDASSTDGSSNSPRSVGSNSPIRADKKKAKAGSTSKDNSPSTPTSISSFAASFKDRKKDGKGKDIPVRSPNPLAKASPGGLGSSKPKKSEVKEVGPTSLSVSPILASSLGLHKIKTRSGPLPQESFFGYGSREKGCALGASNLSKPGGGSVSRGDRQSSLWLGKTSAGKEERKTVENTGWVDNGSNSDSMSTESLPSRDQSPHVPGPSRLQIGEPSSEAPGRFNSSWSHSGGQQTLDACTPDLKESESPRFQAILRVTSAPRKRHPVDIKSFSHELNSKGVRPFPLWKPRGLNNLEEVLTMIRTKFDKAKEEVDSDLHIFAADLVGILEKNAEAHPDWQETIEDLLILARSCAMTSPGEFWLQCEGIVQELDDRRQELHTGMLKQLHTRMLFILTRCTRLLQFHKESGFAEDEPISLLRQSLQPTDKHVSGGLRRDGKSASAIKAPKGPPTRKSYSQEQHGLDWKTDQSGRPEIIQSRPAESMKNLDSPGERNRMASWKKLPTPAGKSLKEIPLVKEHDNLEASKSLVNRRGAPDVDLATVKHPELPFSRDSQGHLSVSSKDQHKVSWGYWGDPPSTSDESSIICRICEEEVPTLHVEEHSRICAIADRCDQNGLSANERLVRIAETLEKLMESFSQKDFQPAVGSPDGAKISNSSITEESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFMDELKGLPSMSFKTRFGPKSDQGMTTSSAGSMTPRSPLHTPRTSPIDLLLSTKGCYSEHEDLPQMNELADIARCIANTPMEDDRSLHYLISCLEDLKVVLDRRKLDSLTVETFGTRIEKLIREKYLQLCELVDDEKVDITNTVIDEDAPLEDDVVRSLRTSPVHSNRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMDEDESLSASDLQHERRKKRSAVGTPDYLAPEILLGTGHGFTADWWSVGVILFEMIVGIPPFNAEHPQKIFENILNRKIPWPRVPDEMTPEAQNLIDCLLTEDPNQRLGANGAPEVKEHPFFQNINWDTLARQKAAFVPSSESALDTSYFTSRFSWNPSDEHVYAASEFEDSSDNGSVSGSSSCVSNRHDELVDEYGGLADFESGSSMKYSFSNFSFKNLSQLASINYDLLTKGNKDDQPTTPNP >itb02g21580.t3 pep chromosome:ASM357664v1:2:20560656:20572688:1 gene:itb02g21580 transcript:itb02g21580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKYDASSTDGSSNSPRSVGSNSPIRADKKKAKAGSTSKDNSPSTPTSISSFAASFKDRKKDGKGKDIPVRSPNPLAKASPGGLGSSKPKKSEVKEVGPTSLSVSPILASSLGLHKIKTRSGPLPQESFFGYGSREKGCALGASNLSKPGGGSVSRGDRQSSLWLGKTSAGKEERKTVENTGWVDNGSNSDSMSTESLPSRDQSPHVPGPSRLQIGEPSSEAPGRFNSSWSHSGGQQTLDACTPDLKTSYDCDNPKESESPRFQAILRVTSAPRKRHPVDIKSFSHELNSKGVRPFPLWKPRGLNNLEEVLTMIRTKFDKAKEEVDSDLHIFAADLVGILEKNAEAHPDWQETIEDLLILARSCAMTSPGEFWLQCEGIVQELDDRRQELHTGMLKQLHTRMLFILTRCTRLLQFHKESGFAEDEPISLLRQSLQPTDKHVSGGLRRDGKSASAIKAPKGPPTRKSYSQEQHGLDWKTDQSGRPEIIQSRPAESMKNLDSPGERNRMASWKKLPTPAGKSLKEIPLVKEHDNLEASKSLVNRRGAPDVDLATVKHPELPFSRDSQGHLSVSSKDQHKVSWGYWGDPPSTSDESSIICRICEEEVPTLHVEEHSRICAIADRCDQNGLSANERLVRIAETLEKLMESFSQKDFQPAVGSPDGAKISNSSITEESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFMDELKGLPSMSFKTRFGPKSDQGMTTSSAGSMTPRSPLHTPRTSPIDLLLSTKGCYSEHEDLPQMNELADIARCIANTPMEDDRSLHYLISCLEDLKVVLDRRKLDSLTVETFGTRIEKLIREKYLQLCELVDDEKVDITNTVIDEDAPLEDDVVRSLRTSPVHSNRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMDEDESLSASDLQHERRKKRSAVGTPDYLAPEILLGTGHGFTADWWSVGVILFEMIVGIPPFNAEHPQKIFENILNRKIPWPRVPDEMTPEAQNLIDCLLTEDPNQRLGANGAPEVKEHPFFQNINWDTLARQKAAFVPSSESALDTSYFTSRFSWNPSDEHVYAASEFEDSSDNGSVSGSSSCVSNRHDELVC >itb14g06180.t1 pep chromosome:ASM357664v1:14:5369901:5374230:1 gene:itb14g06180 transcript:itb14g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHINESVILEEVMSTHDPDGKENFKINVIFDLVKSILYPTMMGDSIDENEDSDKEDSDGDKSFEGHYIIDNESDEEDSNGDESDDDKKSNEEGSNSNKRSDDEKELDSEEEYAKDMDLPYQLKQFSFEMSLMCSKNVDPRLIVMYFLKMLSTFSWEGKLLIMFAAFSLNFGEFSLVHNHKGLSRKLAILKGRESQVLPMVTHFIKSILHLTKYIVEFAQSSSHNSSPIIPIGCYWIITSILSYASYITSSLMNIHSGCFIVEETQLSSLTLKIKDIISECRRILEKKREVDSYNALCHAFFDENPILSTSSNLDVLKLVFNVKKGWKQKVIYDGDMQAMVELHLLKNRSLLLLISSSLDIDKYLIVVLQVLQSQTKLRVLWIPILDSPILWDIRHMEVEYRRLVNKGELLSVRNLQKLVAPGFVRFVKEKLFQIGGGGEAIIVSLDHQGRIVHHNAMHMILMRLEDILEGIHTGVKIGDSIIPLLQNVLKDRTLAIRSLVPEIDGRLVEIAGKMERLMLEELDEMEKQIKNPVYSNIYTSERETDLWKVEIWCTKLVLGGFKPLTEWVEENKYIFFIGGNDLKWVKAFHSKVMQVVSLNPQLTIMISYIGSNVKVGSTILRHNICKAPKSPFVSWFTWARLRSTFLSRIKFLDQIYCDEDHDEIVEGLKKMLAYEAKDFAGDGWAMLCKGNEIVVCDLGDKMLTIMNEFEKWKESAIAKGFDQAFKDHHEMLTPIYASKHHPCCALGDYPCSFDKVSENVECPQCCQNMQKFVTFRCHHN >itb14g06180.t2 pep chromosome:ASM357664v1:14:5369901:5374230:1 gene:itb14g06180 transcript:itb14g06180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSIDENEDSDKEDSDGDKSFEGHYIIDNESDEEDSNGDESDDDKKSNEEGSNSNKRSDDEKELDSEEEYAKDMDLPYQLKQFSFEMSLMCSKNVDPRLIVMYFLKMLSTFSWEGKLLIMFAAFSLNFGEFSLVHNHKGLSRKLAILKGRESQVLPMVTHFIKSILHLTKYIVEFAQSSSHNSSPIIPIGCYWIITSILSYASYITSSLMNIHSGCFIVEETQLSSLTLKIKDIISECRRILEKKREVDSYNALCHAFFDENPILSTSSNLDVLKLVFNVKKGWKQKVIYDGDMQAMVELHLLKNRSLLLLISSSLDIDKYLIVVLQVLQSQTKLRVLWIPILDSPILWDIRHMEVEYRRLVNKGELLSVRNLQKLVAPGFVRFVKEKLFQIGGGGEAIIVSLDHQGRIVHHNAMHMILMRLEDILEGIHTGVKIGDSIIPLLQNVLKDRTLAIRSLVPEIDGRLVEIAGKMERLMLEELDEMEKQIKNPVYSNIYTSERETDLWKVEIWCTKLVLGGFKPLTEWVEENKYIFFIGGNDLKWVKAFHSKVMQVVSLNPQLTIMISYIGSNVKVGSTILRHNICKAPKSPFVSWFTWARLRSTFLSRIKFLDQIYCDEDHDEIVEGLKKMLAYEAKDFAGDGWAMLCKGNEIVVCDLGDKMLTIMNEFEKWKESAIAKGFDQAFKDHHEMLTPIYASKHHPCCALGDYPCSFDKVSENVECPQCCQNMQKFVTFRCHHN >itb02g02970.t1 pep chromosome:ASM357664v1:2:1711034:1714449:1 gene:itb02g02970 transcript:itb02g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLASPVGSQNESAVKIDVGLIHDWDTISGKMRNACMSLALSDFYANGNHTTQIVFHFRDSKSDDVDAASAAIDLLKNVEVQAILGPQASTQADFITDIGKKVKVPIISSATSSALSPSQNPYFIRSAYCSCAQAKAVAAIVKAFGWRQVVFIYENSNFGSGILPHLTDAMMEISVSVPDRSVLLPSADDDQIMAELSMLKTKQTRVFIVHLHPIFASKFFKNVKEAGMMSSGYVWIITDAFTSLLVSMDPSVLDSLQGVIGVKPYVPSSVKLNNFTRRWKSKAFRQQNPDMDGVEHNVFGLWAYDSVTALATALEKVGTSGLKLNRTINRENSTDLDAIGTSEFGPLLLESIRNIKLKGLSGDFHIVDGELQASAFQIVNVIGKEEKIGFWTEKYGISKIPKPKNTTVYSANKDDLGAITWPGGSTTVPRGWEIPKGKELRIGVPAKKGFEQFINVRTDPQTNITNATGFCIDVFEQVMKSMPYHVPYKYIRFEIPQGYDDFVAQNFDAVVGDVTILSNRSKHVDFTLPFTESGVTIVVPVKQDDRKNAWIFLKPLKKELWGTTAAFFIFIGTVIWVLEHQVNKEFQGPRHKQVGMVFWFSFSTLVYAHREKVVSNLSRFVIIVWVFVVLVLTSSYTASLTSMLTVQQLQPTVTDVYNLIKNRDYVGYQDGSFVADMLKNMNFESRRMRNYSTLEEYDEALTNGSGNGGVAAIMDELPYLRLFLEKYCGKYTMVGPIYKTAGFGFAFPRGSPLVPDVSRAILSVTESDAMLNITKKWLWNETDCSQQDGTLAASDSLAPESFKGLFIIVGASALSALLIFFCSFLNDNKCILASDVSIWQKLSALAKAFCEGKDTSRESQKPNEGNVIPSEDAMPEHHPPSPETSIADSPGQGVFTQDEGFSTTEPGSPVRDSIPIPEEEVSER >itb05g28340.t1 pep chromosome:ASM357664v1:5:31773119:31775298:1 gene:itb05g28340 transcript:itb05g28340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHNLDKTNLCVSLLLLVHLFQYSSSQKSCPDCGSMGVPYPLSTNPTCGNTQYSIRCDPHSQELYFDALNGSSYLILKIYAPFQRMVVQPSPWVSSGSCVTQDMPKSEGLWLNQSLPFSVTTSNTVFLFNCSPRLMVSPLNCTPSSLCHRYLEKSGRVDAARSQQCASGLRPCCTFVAGGLPSAYKIRLHSSGCRAFRSILHLNVNDPTGKWEEGLEIQWIPPSEPTCRSQADCSGASTCLPAAENGVFRCFCNTGNFWNHSSGVCMVKENSPHAHSNSNSNLALKVLIGVGSFFVVTVVMAAISVKRCGRFSNKVKLAKARKQMLKSSSNGEKGGCRMLSLKEVKKATNGFSKDRMLGSGGFGEVYKGELQDGSIVAIKSAKVGNLRSMQQVLNEVGILSQVNHRNLVRLLGCCVEAEQPLLIYEYICNGTLHDHIHGKFPNFMDWKTRLKVAFQTAEALAYLHSAVYTPIYHRDVKSTNILLDSEFNAKVSDFGLSRLAGPGLSHVSTCAQGTMGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQRAIDFTRGEDDVNLAVYVLQRANTGSIMEVVDKRLLAGDEEEPPSKVITTVKAFADLALTCLREKKGDRPGMKDVTQQLQCIAQVVDQEEVSCF >itb15g17610.t1 pep chromosome:ASM357664v1:15:18501726:18507980:1 gene:itb15g17610 transcript:itb15g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYEEVGVAEQCLLHTDPFILELTNLQNQLKEKERELGVAQSENKGLRATEQLKDKALEELGNEVKRLEEKLKISENLVEQKNLETKKLMNEKKEALTAQYAAEAALRRVYAEQKEDESIPIESVIAPLEAEIKMYKNEIAALQEDKRAMERHNKSKEAALLEAERILKSALERVLIVEEVQNQNFELRRQIEICQEENKILDKTNRQKVLEVERLSQTILELEEAILAGGAAANTIRDYRRQISEIQEERRTLERELARVKVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLKDKLAISERTAKAEAQLKDKLKLRLKTLEQGLKQTANNNVNPKVLNGFSKPEKANHLFGILSSNYVTKKRSASQPRPSMINRTSDQESSADNSGEPNQQQNNNDQKKRHHGLWYSRNRIDDDDNGEKENAGMKENSDNKLTDSGNFNNKSRDYEITKNDEDMVSGFLYDRLQKEVINMRKCFDTKENALNAKDEEIKMLTKKIETLTKAIGVESRKTKREAAIREKDSSSTIKYKYDGNIRQTNSSLKSSIRVAKP >itb03g02350.t2 pep chromosome:ASM357664v1:3:1310458:1316698:1 gene:itb03g02350 transcript:itb03g02350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKMKMVALVGLLVSAFSLVTHIRLARRTYMDAISDYRQSFVAVFSSGRRIFDNADLLKANPTYRRLWGAVRPLESLYPDANPGQNYAAPEPQSTGFIFVRIRGGFHEIRNSISDVVAVSRLLNATLVIPEILSTTSSKGISSQFKSFAYLYNEDHFMEALAKDITIVKALPPNLRDARIKKKIPSFRVPFSASPYYYLHHVLPVLTQRSVVELVVYDGGCLQTQLPPDLLEYQKLRCRVAFHALKFREEVQELANKIMKRLRALGQPYIAYDPSMTREALAYYSCAELFQDVHTELIRHKRLWMMKRSLVRGNLSVNSFKQRLKGSCPLMPEEVGILLRAYGYTSDTTIYISGGEIFGGQKKLIPLHAMFENVVDRTSLSTPWEWSEIYGRETSLIDKYSPPPSVDKYAKFEAWENSGPRPRPLPPPPRQPKLYNIEGWWGWVAESDKEPESSVEELRTNAHRLLWEAIDYVICLEADAFIAGFDRDGKGHPNLASLVMGHRLYQFPASRTYRPDRKAIVEHFDAIKDHLYQANHTWISSIRKSLRKSLVDGLVKESNRTKHKSLLAFPIQECSCVGHESSTERSFHSQIYASLGVLQRCPGWMDANAISQSKDESEDDPREDDSISSGLLFQHSNDDHEGDSGDIISNKEEALMEDEDETEGGEK >itb03g02350.t1 pep chromosome:ASM357664v1:3:1310458:1318544:1 gene:itb03g02350 transcript:itb03g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKMKMVALVGLLVSAFSLVTHIRLARRTYMDAISDYRQSFVAVFSSGRRIFDNADLLKANPTYRRLWGAVRPLESLYPDANPGQNYAAPEPQSTGFIFVRIRGGFHEIRNSISDVVAVSRLLNATLVIPEILSTTSSKGISSQFKSFAYLYNEDHFMEALAKDITIVKALPPNLRDARIKKKIPSFRVPFSASPYYYLHHVLPVLTQRSVVELVVYDGGCLQTQLPPDLLEYQKLRCRVAFHALKFREEVQELANKIMKRLRALGQPYIAYDPSMTREALAYYSCAELFQDVHTELIRHKRLWMMKRSLVRGNLSVNSFKQRLKGSCPLMPEEVGILLRAYGYTSDTTIYISGGEIFGGQKKLIPLHAMFENVVDRTSLSTPWEWSEIYGRETSLIDKYSPPPSVDKYAKFEAWENSGPRPRPLPPPPRQPKLYNIEGWWGWVAESDKEPESSVEELRTNAHRLLWEAIDYVICLEADAFIAGFDRDGKGHPNLASLVMGHRLYQFPASRTYRPDRKAIVEHFDAIKDHLYQANHTWISSIRKSLRKSLVDGLVKESNRTKHKSLLAFPIQECSCVGHESSTERSFHSQIYASLGVLQRCPGWMDANAISQSKDESEDDPREDDSISSGLLFQHSNDDHEGDSGDIISNKEEALMEDEDETEGGEK >itb12g19770.t1 pep chromosome:ASM357664v1:12:22177629:22180912:1 gene:itb12g19770 transcript:itb12g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVICYSAVTKPNFLLVHCELSALAFCRPQLISASNLCILHHYEKNSSIMRLRCSAAGRTLSSRAVDFKSPKSKTVNGSQRQKSAASLYTHPSLLEMKNERAANRARVYEFLRGIGIIPDELDGLELPVSAEVMRERVDFLHQLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGMDIKPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVGRVIKPFVEYLESLGIPILAVARLIEKDPHILGFGLEDRVKPNVQSLLQFNVRQTSLASVIAQYPAILGMNLEEKLQTQQSFLNSLLDISSEDFGRVVEKMPQAVSLNNSPTRKHVDFLKACGFSSEQLKKMVVGCPQLLALNIDIMKQSFDYFKTKMERPLEDLVDFPAFFTYSLESTIKPRHRRIAAKGLKCSLAWMLNCSDAKFKDRMHYDSIDMEEMESSPSSFDMNSLMQPRSYDSESEYDEDDSDTD >itb07g24120.t1 pep chromosome:ASM357664v1:7:28394671:28395654:-1 gene:itb07g24120 transcript:itb07g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKPQTISFLTILVLSFQCRKLYADGKLIDVKSVEEIHRKTPPPPPQQLLSKSGELFEEMKKQMPFSLPARSLRGVFASHGGSKSRRRRRRRRRSHRSGGSGDNLRRGSRHFIAINVSMVLVFLFFIS >itb14g18340.t1 pep chromosome:ASM357664v1:14:21410109:21413898:-1 gene:itb14g18340 transcript:itb14g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPTTDSTATANIATVKRYAPPNQRNRSLGRRKSGVDRLERANSYASDGEKNQNNIPRNITSLDHGDAGGSNRIYENRRPGGSVINIIPLNGCCNSDVYQLLNERWTTAMNLYNNLPEGSPERPVMYTRNASNPSPWRLPHQMSQTASGPSVGLQKDFLSELWQAMQNSNPSSK >itb06g19510.t1 pep chromosome:ASM357664v1:6:22822573:22824818:1 gene:itb06g19510 transcript:itb06g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELISELMDSIQTPSRDFYGQFVSDSPGGWWWPGVGAPGDGGVQGFSGVKFMAERDLKADEDHRRPSVRFSGAEWRRMAEIDEGCTLVGRFAKERPSLELIRARIGEVLRLEGGVQIGSLNRRSITLRFVLESDFKRSWFQVRKSRPSVAKVRLEIDVTKPRLERVWIEFVNGEVSGFWQRVEFLRVPIYCEECGRFGHDSLSCRRVRTGEDRRGKGVEAGGRIEESEGAPVGVVEPVEGDMKALNGNECMPTACENVRMRAGEPTAPINVQKNTPMRDDELAESTAMAEIPLLSFGEEIRDETTTGLPDEIADKVLLEGEEDTSQVKDPEVGEGLSITPISKSAKKRAKKKASKASSSNNGSSSSMSLSFETWIKERLGQDEVMIQLVDEARQDVLAFFERSLGEMGREMYDRKTDELALKAAMLLLSKLQNGKQKGELESNASSKKLKEGDETAGEEECPAYVEEDSPANGDEGDPAYIEDECGNNGDYESDEEEGYLESSNSWIDELEEWTGVEWLDGVLMEIKSYMDVVYCKVRRIHLENEDAVARKACTILMEMDKADPPGGCLGTKTKLGRQLRANQEWLGGKLCRWAWRAQGPGYDE >itb13g07970.t1 pep chromosome:ASM357664v1:13:10229772:10238778:-1 gene:itb13g07970 transcript:itb13g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSSEAMAVMVFSTQTATPVKRSSFAPNRYFCFCPRSFSTSHSSPKACHRKDPNESLGNKRDFKVPNVENIIEEGKKAPSKFRKITLHKIGDHIETDLYTASGWPSVSGDALKALAGKISADFEIMSEADDNVEEVSASSSDGLSVANNEAPCTEPEASGYGTAYKAFGGGKEGIEACHAIASLCENCSIDSLISNFILPLQGGEVSGKNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLAAFEAGGDFHSRTALNMYPHIREAVEQKHVLLEWDPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTVVGLARDWKVSVKEAKETVDRWYSDRKEVLSWQEQRKSEAHQFGCVYTLLGRARWFPSLKKASSFLKGHIERAAINTPVQGSAADVAMCAMLEISKNPRLKELGWKLLLQVHDEVILEGPTESAEEAKAIVVHCMSNPFDGKNFLRVGLSVDAKCAQNWYSAK >itb12g18340.t1 pep chromosome:ASM357664v1:12:20579590:20584421:1 gene:itb12g18340 transcript:itb12g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSASATHLLFLSILLFIISSALAADDACRPVACKDVGPEIRFPFRLKGRQPARCGYRGFDLTCNARGETILTLPNSGEFAVSDIVYMSQIVYLYDPDFCPAKKLLNFSLAGSAFRGARGASQRYTILQCSRDWSEYTSYRAIPLFCGENMGSSNNTVLAMTPRTYAHEKPPACRPVKKNVTIPLSWDVFYQFWEPFKQLELTWDKPDCRNCEAEDQLCGFGGDSGGDIVCSKFPSKGIPRGVKYGITIGIGIPGLVCTIGLACFACGKIRDFRLNRRLSSMNLSTTGGITVQPPLAIAGLDRQTIESYPVTVLGESRRLPKPNDGLCPICLSEYEAKETLRSIPECNHYFHADCIDEWLKLNATCPLCRNSPESPWTNTPCSSMSLSSTSLASSSGT >itb12g19840.t1 pep chromosome:ASM357664v1:12:22285425:22286737:-1 gene:itb12g19840 transcript:itb12g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKVGDKNSKCQVPEPANVPAVREARGKGRKTSSEETVLPPFKQDKQFMEPSVDYSPLSINGDISCDYSPLSINESRAEGERPSRRSLPFPLRTSSPTSPSEPPPHSAGGETSFIGTFSCQAARKTLVAGFRRWGKANSGRPLRKTADARQRRRSPRSAACSHHRRESTPPPPTRHQRPPPVTIAGSTTADRRRSTPQGAATTVVLQRREGGTKTQNRNPIDRRHEISGKIKLIAICWTVFGSEYFSRNFHV >itb12g00070.t1 pep chromosome:ASM357664v1:12:68703:88250:1 gene:itb12g00070 transcript:itb12g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGMDEFKGSPYRLHRNDDLESGNTRGHSDDHGGGGPLDICICRPFDIARTKSATIDSLKRWRQVALVLNASRRFRYTLDLKKEEAKKKLLARIRKDIAVQQAIVLFQQGAIERLPTDQPLDTKLDVSAGELASMSREHDFSALQQYGGVVGVAEKLKSNLEKGVHGDEADLLERKNRYGSNTYPRKKGRNFWMFLWDACQDKTLVILMLAAAASLALGIKTEGLKEGWYDGGSIALAVIIVIIVTAVSDYKQSLQFQSLNEEKQNIQIEVIRNGRRVPISIFEIVVGDVVPLKIGDQVPADGLLISGHSLALDESSMTGESKIIHKDSKTPFLMSGCKVADGYGTMLVISVGRNTEWGLLMASISEDNGEETPLQVRLNGVATFIGIAGLMIALVVLVVLMIRFFTGHTKNPDGTIQFKAGRTRVGDAVDGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAHICGERVDLTDAKSRLPPNTMSLLLEGIALNTTGSVFLPEGGLVAEISGSPTEKAILQFGIDLGLKFDAVRSGSSIIHAFPFNSEKKRGGVAVKVRDSEVHIHWKGAAEIVLSCCTSYIDANEMVVPMDENKIMSFKKAIEDMAADSLRCVAIAYRSYDVGKLPATEEELNQWELPERDLILLAILGLKDPCRPGVKDAVQLCTNAGVKVRMVTGDNLQTARAIALECGILASDADATEPNLIEGNRFRALSEFEREEIAEKISVMGRSSPNDKLLLVKALRKNRHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSAGDVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMCRPPVGQREPLITNIMWRNLIIQALYQVTVLLVLNFQGKSILSLEHDNSDHTVKVKNTLIFNSFVLCQVFNEFNARKPEEINIFEGVHRNRLFVSIVALTLVLQVIIIMFLGKFTSTVRLSWKLWLVSVAIGFISWPLAIVGKLIPVPKTPFGEILGKHFHLRRNARG >itb03g24490.t1 pep chromosome:ASM357664v1:3:23198186:23199983:1 gene:itb03g24490 transcript:itb03g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLRICLPTLAFALLFATAFAEIRSTQIRSDARSTIPFDEFGFTHSGRLNLSVTDFSFSAPKGQPDPPLSQLGFFLCTLDAWMHVLEQFQSKEIQCTLESGLIHKVFTFDQIKSPAHEYSASITVSEANQFTLVFANCVPNLEVSMNVHSVMYNFNPKTGQLDFLSAGKTALPVIYFLFFLVYLVLGVVWVYTLYKKRLSVYKIHFFMLAVLIMKALNLLCETEDKSYIKRTGTAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLIVVIPLQVVANVAQVVMDETGPFGESSDTWKQIFLLVDIVCCCAVLFPIVWSIKNLREAAKTDGKAAVNLMKLTLFRQYYIIVICYIYFTRVVVYALETITSYRYQWTSVVASELATLAFYVFTGFNFRPKTHNPYFAIDEDEEEAAAEALKLEDEFEL >itb08g06140.t1 pep chromosome:ASM357664v1:8:5269009:5274261:1 gene:itb08g06140 transcript:itb08g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSKDHKKCYVSDEEISTLLQRYTLKTVLALLEEVDQVEQAKEVKYDWNALVKKTKTGITNAREYQMLWRHLAYGHAFVDGLVDEAQPLDDASDLECELEAYPAVSSEASAEASAFVKVLVGSGTANDSHMSNGMTVEAPLTISIPNGQRSINSSGTSDQSISMQESKVIIPVFVPKKPLANVISTKGLDTNGPSDANLPPPRKRKFWSEAEDMELIAAVKKRGEGNWASISKGDFKGDRTASQLSKRWAVLRKQQGALVGNNSELSEMHLAHRAMSLALDVPMGDNSKAASSIRSEGTNANAAPGNPVNPLAYDTSSVNRKSQHQGQQDFASNAAPKLATLGPSKLLVSEKPSTKPNISTNLMVKAAAVAAGARIASPSDAASLFRAAQSTNAVHISTGGGPLIKSSFSGNTNALSSNVHLIHTSLPSNSTSLLSASRTEPKPVQCQPVNPASPVVQSNVVGMASGLNASAKVVSNGTHSNPVAELGIKNVKETAVSSLEILTEKVVPQQNQATCPNIASEGDQISASTRNAVVENAQGDQNAFPGNSSREKIGMTCVSDGPVKENGKES >itb06g24950.t1 pep chromosome:ASM357664v1:6:26288605:26290146:1 gene:itb06g24950 transcript:itb06g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQLRSMLNYKLCKPCISLVSQTKKSLSLFIKWPFWELSYAYSSAQIPTKTKNSECKSYHSSREQKYLFLFKKCSTTRGIEQVHAQIIQAGLTHSLYLLGKIIAFCAISEQGSMDYAVSVFEHAESSDGFLWNTMIRGFVRIYEVERAIEYYKKMQENGMGADNFTFSFLLKLCGQLGSGMLGKQLHSSVVKSGQQSHVFVLNTLIHMYGMLKDVEVADQLFEEIPKPGLVAWNTIIDCHMSCGEHAKALHLFERMQKCGVGFDEATLVVTLSACSEMGGLDYGRWLHFLVDNSSLSRTLQVCNSLIDMYAKCGEVEEAYRVFDKMNVRNLVTWNTMILGYAIHGNGDEALKLFSRMLNEKQCFPNDVTFLGALCACSHGGMVEEGKRYFHIMIHDFHIQPTLKHYGCMVDVLARAGFVQEAYDLITSMPGRCNDVIWRTLLGACRVHGKVELAEEIRSHLQQDHSSDYVLLSNVYASAGLWNNFIEARKSMRDRGVQKPAPGNSLIKFTQE >itb08g03770.t1 pep chromosome:ASM357664v1:8:3046080:3049157:1 gene:itb08g03770 transcript:itb08g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGDYGKQITSVGPWGGQGGSHWHDGAYSTIRQLEIAHGAGIDSITIEYDKNGSSVWSDKHGGNGGAQIDQVRLDYPDEFLTSLHGYYGSLQEWGPAIVRSLTFESNKRVYGPFGVREGTYFSVPITSGGKIIGFHGKSGWFLDSIGAFVEPIQKPLSAKTIVHSQQSVIQGSEKYEYSMIQGNLGNNHEFIFAVKQKEDYNSNESYSMSRQSSKSSNFSYADPENKVPVALLGPVERVPSKTFKGVVTYGPWGGSSGYAFDDGIYDGVRQIHVSRNVGIVSIRVCYEQNGLPIWGSKNGGTGSFKTDRIVFDYPSEILTHITGYYGPLMYMGPNVIRSLMFHTTKGVYGPFGEQVGEKFTTRLKQGMIVGFHGRKGLFLDAIGVHMLEGKVFTPPPTSPASNAIINLNEVSTPEAETPKWSFKLGNRGFAPEVAQRVVKDPAPYGPGPWGGDSGKPWDDGVFTGIKQVILTRSEEAVCCIEIEYDRYGQSVWSVKHGGGNGGEIINAVILVILTHYAHANLFTEQKSRLHHHTSTQVLTLIFRYTY >itb02g08300.t1 pep chromosome:ASM357664v1:2:5227700:5229627:-1 gene:itb02g08300 transcript:itb02g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLIAEKDSEILHAQTHIWNHIFNFINSGSLKCAVQLEIPDIIHKHGQPMTLTQLVNALPINNAKSAQLGRLMRTLTHSGFFVRTKIPGTEGSEGYSLAPSATLLLKDNPLSVRPFLLAMLDPILTQPWHNIREWFQNDDPTPFDTAHGMTFWDYAGKEPKLNRFFNDAMASDARLVMNVVIKYCRGVFEGLNSLVDVGGGTGTVAKTIAEAFPDLKCTVFDLPHVVQGLEGTKNLDYAGGDMFVSIPPADALLLKWILHDWSDEESVKILKKCKDSIPSKEKGGKIIIIDMMVDNKIKDDESVETQIFFDMLMMILVTGRERTEKDWAKLFFEAGFSDYKIIPILGLRSLIEVYP >itb10g04330.t1 pep chromosome:ASM357664v1:10:4120692:4121974:-1 gene:itb10g04330 transcript:itb10g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPSFAGKAVKLSPSTPEITGNTKFSMRKSAAKNVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb01g31050.t1 pep chromosome:ASM357664v1:1:34879713:34882297:-1 gene:itb01g31050 transcript:itb01g31050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSIPACVPPVSDDCEQLRTAFKGWGTNEKLIISILAHRNAAQRKSIRQAYADTYGEDILKELDRELSHDFEKIVVLWTLDPPERDAYLANEATKKWTASNQVLVEIACTRSPKELIVVREAYHARYKKSLEEDVAQHTTGDFRKLLLLLVTSYRYGGDEVNMTLAKHEAKLLHEKISDKCYADDDVIRILATRSKSQINATLNCYRDEYGQDILKDLEADEKDEFLAILRATIQSLLYPEKYFVEVLRGAINKRGTDESDLTRVVATRAEVDLKVIAEEFQKVNSIPLDRAIAKDTHGDYEDMLLALLGQGDI >itb09g11850.t1 pep chromosome:ASM357664v1:9:7404721:7409113:1 gene:itb09g11850 transcript:itb09g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEEEQPLPIAGEGELRAHQNAENRRCCWRISERVSFRCVFALLLGVGVLLSALFLLPFFHRGDPEDRGLDSRFGGHDIVASFMLEKPVSLLEDHILQLEDEILDEISVSNTKVDILSLETSALSNKTKVVFAVDSDVKSTSISPTAKALIQDNFVYILIHQSNMSLTTASLFGNPASFEVLKFKGGIAVAPLQKAFTLQKVQIVFNFTLNFSIEELQVYFDELTQQLKSGLHLSPSENLFISLINPKGSTVDPPTIVRSQVFLVVGVPSKSRQKQLAQTITGSHDKNLGLNHTVFGRVKQVSLSSTVNGSAGGSPSPSPAPLPHHHHHHHHHHHHHHHHHHHRHHHHHHHHHHHHHHRDSNLAPAISPSPTPKSAPVNWRQSPIPVPALAPAPVPNPHKIHKAEPPAPPPFGPKRRSPTKEIRHRRMPPVASPVSAPNVAPFVEPKREASAPSPHRVRTSRPLPNVVYAVVPPPSMSISDAEPPDKTHSISHFSSSASTGIVYPYIWAFLLFLIALHP >itb02g03930.t1 pep chromosome:ASM357664v1:2:2355550:2361937:1 gene:itb02g03930 transcript:itb02g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGKISTCEIPMNLLHAATYSTQSFIIISSVHQPTPTKTNGRTEREKAQQLSRSSQLLIGHFQMAALSGKVVQHTLVAKCCQQNRKFSPQYQQDHVDLIRHDLLSPLVGKLAWNGNSTMQPCALSKSNRGKFYCNAAPSNTAVSSGEKTDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVTEAIAAAFAAWLLEKKKLDGSRSLRVSIGHDSRISAKKLQDAVTRGLTRAGADVIQYGLASTPAMFNSTLTENEDFLCPADGSIMITASHLPYNRNGFKFFTNAGGLGKSDIKDILTHAANIYEKFADEDLKNAETKAPVSVKKVDYMSVYTSNLVAAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAGKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTAFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLALAKASGKGGGSKVLTDLVEGLEEPVVAVELRLKIDQYHPDLKGGSFREYGEAILKHLETTIDSDTNLQKALVNYEGVRASGYGGWFLLRLSLHDPVLPLNIEAPSNEEAVKLGLAVFNAVKEFTALDTSAVTKFIQV >itb08g08790.t2 pep chromosome:ASM357664v1:8:7759445:7763726:-1 gene:itb08g08790 transcript:itb08g08790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNVDLFDPRTVMDSDSSPGDHHGSGSESDFAFAFNDSNFSDRILRIEVLPDLPDSKSDSEGCSSIVDWARKRKRRREEIMKENAVDVVSHCEEQVLNCNMLDTEDGPVYENLDEEAVAMVEETPSGTGVMADHPDEEAAEGNDSSSNMDCSNVLRVKTIHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASEEAALMDLLSFMYSNTLSTTTPSALLDVLMAADKFEVASCMRYCSRLLRDLPMTCESALLYLDLPSSVLMAEAVQPLTDAAKKFLAARFKDITKFQEEVLNLPLAGIEAILSSDDLQIASEDAVYDFVLKWARIHYPKLEERREILGSRLCRLIRFPFMTCRKLKKVLSCNDFDPELASKVVLEALFFKAEAPYRQRSLAADEANISFRRFVERAYKYRPVKVVEFELPRPQCIVYLDLKREECLNLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARTKPTEEYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFVGEDSHYFMNGILHLRAELTIR >itb08g08790.t1 pep chromosome:ASM357664v1:8:7759445:7763835:-1 gene:itb08g08790 transcript:itb08g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSPGDHHGSGSESDFAFAFNDSNFSDRILRIEVLPDLPDSKSDSEGCSSIVDWARKRKRRREEIMKENAVDVVSHCEEQVLNCNMLDTEDGPVYENLDEEAVAMVEETPSGTGVMADHPDEEAAEGNDSSSNMDCSNVLRVKTIHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASEEAALMDLLSFMYSNTLSTTTPSALLDVLMAADKFEVASCMRYCSRLLRDLPMTCESALLYLDLPSSVLMAEAVQPLTDAAKKFLAARFKDITKFQEEVLNLPLAGIEAILSSDDLQIASEDAVYDFVLKWARIHYPKLEERREILGSRLCRLIRFPFMTCRKLKKVLSCNDFDPELASKVVLEALFFKAEAPYRQRSLAADEANISFRRFVERAYKYRPVKVVEFELPRPQCIVYLDLKREECLNLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARTKPTEEYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFVGEDSHYFMNGILHLRAELTIR >itb01g11920.t1 pep chromosome:ASM357664v1:1:11284349:11285339:1 gene:itb01g11920 transcript:itb01g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLSLVLVLLLACTLSLQGVLADIICENLPINVCAFSVSSVGKRCVLENLIKDKSEVEYQCKTSEVVVGSSMAEYIETDKCVKACGVDRKSVGMSSDSLLEPTFTAKLCSPACYHNCPNIVELYFNLVAGEGAYLPDLCEKQRVSQRRDMIQLQSDGAATLDAESAAAPDVEWTSPRRNMIEVRSDSASLLVDEWTSPRRNMVELQSDSAASPDAVADAPTQWKNYRRAMVELLSSDTPAPSPSYF >itb04g09120.t1 pep chromosome:ASM357664v1:4:8416753:8422310:1 gene:itb04g09120 transcript:itb04g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKEELLYQQVNYGNTAGIKALRNDGAGLEWIDREGKTPLIMACMNPKLFDVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLVQTVLLLLSHGANPLAMNDDCLTPLDVARLKGYTNVVRTIEKHICLFAGWLRELYGPGFLELLAPQLLSRKVWVVVLPCGSRNLKKPFKLELAIYPTPRDAQPRTVFALWKANMDEPNFNLPDPTVVISDISKKTRIKLASVQENDKPQLQSFCEACKGNPQVIPQPFIFNTQVPGIPATAPPASEDVELAMAITASIQSAAQERPPEVASTSGINHADTSVLGESNISKSTRVVQEAGQSSNPVHHALPPNKTCNTQKLVENPEPSSTPSAPPLPDTATDDDGPVHYPSIDSSPVDFSSQTVETAAVRTDEDSDRPGASSCVICLDASVEGACIPCGHMAGCMSCLNEIKAKKWGCPVCRAKIDQVIRLYAV >itb04g01450.t2 pep chromosome:ASM357664v1:4:814724:818464:-1 gene:itb04g01450 transcript:itb04g01450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAEKKPTFFVAVHVGAGYHAPSNEKALRFAMKRACLAAASVLRKGPGGCIDAVTAAVQVLEDDPHTNAGRGSNLTEDGHVECDASIMDGDSGAFGAVGAVPGVRNAIQIAALLAKEQICGSTLLGRIPPMFLVGEGARAWAKSKAVALPETIAEADEWLVTERTRNLWKKYKGMLDGAKAVNDVSSATANSVKTCAVSAQMCDKSNGSRDVDQSSSQNALDENCISDTIGVICVDSEGHMACGASSGGIALKVSGRVGLAAMYGSGCWASSKGPFGAPFIVGCCVSGAGEHLMRGFAARECCVSLSISQAAPASACSKVLRSVNQESSKYSTEKSAGILVVQAEAPRTDATGNSPFLQAVEIAAAFSSLSFGIGYVGSSMEKPKVSILRSTSKQSKTGVDEFAARIKLVA >itb04g01450.t1 pep chromosome:ASM357664v1:4:814724:818464:-1 gene:itb04g01450 transcript:itb04g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWSVMQVSWMVILEHLVLWVQCQLLLSGVRNAIQIAALLAKEQICGSTLLGRIPPMFLVGEGARAWAKSKAVALPETIAEADEWLVTERTRNLWKKYKGMLDGAKAVNDVSSATANSVKTCAVSAQMCDKSNGSRDVDQSSSQNALDENCISDTIGVICVDSEGHMACGASSGGIALKVSGRVGLAAMYGSGCWASSKGPFGAPFIVGCCVSGAGEHLMRGFAARECCVSLSISQAAPASACSKVLRSVNQESSKYSTEKSAGILVVQAEAPRTDATGNSPFLQAVEIAAAFSSLSFGIGYVGSSMEKPKVSILRSTSKQSKTGVDEFAARIKLVA >itb12g24020.t1 pep chromosome:ASM357664v1:12:25701287:25704329:-1 gene:itb12g24020 transcript:itb12g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENTGRDQEMAVIQQTPNMPSLPVDYSRKRKSRSRRNGTKDVAETLAKWKEYNERLDSVDGMGKPARKVPAKGSKKGCMKGKGGPENGHCNYRGVRQRTWGKWVAEIREPNRGSRLWLGTFGSAIEAALAYDEAARAMYGPCARLNLPNHTSSKESCSPMLPNSTSSGDSSSAESTSPPTTSASESTTASSLSNIYPANKTKVENEHGAYKIKHEDGRIESGIDGTRPPFQDTGTPVSSMKQEPKRELLDSNIKQTAPDRAKQEAASINHQVDAAQDFLDNFSWDEMFDVEELLGALDTTAPNAPGSFANIGQGGQAAYSYSQSPNLSSQLLKPDARALGNLQPMEQYASAGANNGLDFLKPGRQEDNGLDFLKPGRQEDLNFSLDDLSFLELDSQLGGI >itb12g24020.t2 pep chromosome:ASM357664v1:12:25701338:25703186:-1 gene:itb12g24020 transcript:itb12g24020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQQTPNMPSLPVDYSRKRKSRSRRNGTKDVAETLAKWKEYNERLDSVDGMGKPARKVPAKGSKKGCMKGKGGPENGHCNYRGVRQRTWGKWVAEIREPNRGSRLWLGTFGSAIEAALAYDEAARAMYGPCARLNLPNHTSSKESCSPMLPNSTSSGDSSSAESTSPPTTSASESTTASSLSNIYPANKTKVENEHGAYKIKHEDGRIESGIDGTRPPFQDTGTPVSSMKQEPKRELLDSNIKQTAPDRAKQEAASINHQVDAAQDFLDNFSWDEMFDVEELLGALDTTAPNAPGSFANIGQGGQAAYSYSQSPNLSSQLLKPDARALGNLQPMEQYASAGANNGLDFLKPGRQEDNGLDFLKPGRQEDLNFSLDDLSFLELDSQLGGI >itb10g17250.t1 pep chromosome:ASM357664v1:10:23459145:23462514:-1 gene:itb10g17250 transcript:itb10g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCVKPAWLERLMAEESFFAGCGLHQQRRKNEKNIFCLLCCQSFCPHCLPSHQSHPLLQVRRYVYQDVIRLDDLDKLIDCSYIQPYTINSAKVIFLNQRAQSRSCKGSANSCFTCDRILQVPFSFCSLSCKVDFMVYHNQDLSSIVYKFDASDIPASQFERLRMDGDADGQITPNSILEDPLDYKNSSSCTNNDMGISAISHASETTNRKKKGGGFLPGIMLSLSNRRKGAPSRSPLS >itb08g07250.t1 pep chromosome:ASM357664v1:8:6202713:6203913:1 gene:itb08g07250 transcript:itb08g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLHYFNFHFLLLCFLHFSLLALSRPSYEANNYEGSSDLVNLEYHMGPVLASPINLYIIWYGSWNPGHQATVRDFLRSLSPSSNTPHPSVADWWRTVRLYSDQTGGNVTGDVAVAGEYFDFGYSHGKFLSRLSMQRVIKTAVASAGAPMNYRSGVYMVLTSHDVEVQDFCRAVCGFHYFTFASVAGVTVPYAWVGYSGTQCPGMCAYPFAWPEYSGRPPNGGSELMGAPNGDAATDGMISVLAHELAEVSSNPLVNAWYAGDDPSAPTEIADLCLGVYGSGAGGGYVGEVYKDSWGNGFNLHGVNGRRFLVQWVWNPIKKRCFGPNAMD >itb03g20750.t3 pep chromosome:ASM357664v1:3:18590509:18595200:-1 gene:itb03g20750 transcript:itb03g20750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVNLLNTIEFHFLQPLPCLSFDDREIMIESLCKKLRFLQAFLEDSQKNNINCPAWRGLETEIRDVAAEAESKIESELYQLYNEEDAPVEPCQSLHQILQQVTRDIESLERRILQIQIESNRNHSVEPPRRNAAIQNINADSSSKRSSEPNNVMVGCDDEFDTIMHKLISDSNSLEVISITGMGGIGKTTLAERVYNDKVVIASYFDIQAWTTVSQKHNLREMLCDLLRSNDTNRDVSYLASQLRQKLLGQRYLIVIDDIWDTQAWDDIHRCFPEDLNGSRILLTTRLKQVADYVSSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLDIDPEKKCSKILSLSYDHLPPHLKACFLYFGIFPEDRAIKVKRLIKLWIAEGFLKLELNKSMEEVAYDYLQDLVDRGLVQIDKWSSFGNKIKYCKLHDVLRSFSLREARTEKLLYVINENDNVHELGVGLAASSLDRKACRWVVSDQLSHIDDEPISRSRYMTHTSHELRSFLYFPHNYVFGVHRNSIILPYSKLLRVLSISESPEYHLPREIVDLVHLRYLALRIHRRASINNYQWCKLRCLQTLIIFDDWASFSPNNILGMPHIRHVHFSQGSLKHRHLPKLVQGNLQTLSWLSLPQRFQTEPDFKAIPNVKELGIYLMGYEESYLSEETWDLLPPISMEGLLNLHQLENLKFETYRFFPKCDIKLLKAFPPNLKKLTLKGTLFSWEDMTIINALPNLEVLKLREDAFCGSEWKATGNGFCKLKYLEVTKLSSLEHWSVDADHFPILECISLNYCRLLVEFPTGFGDINTLQLIDLKNCHSLLVTSAKNFQEERRDLGDDKLVVRQFYTLPKKIIDGWKPADTSTKWSATPTPID >itb03g20750.t1 pep chromosome:ASM357664v1:3:18590509:18595200:-1 gene:itb03g20750 transcript:itb03g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVNLLNTIEFHFLQPLPCLSFDDREIMIESLCKKLRFLQAFLEDSQKNNINCPAWRGLETEIRDVAAEAESKIESELYQLYNEEDAPVEPCQSLHQILQQVTRDIESLERRILQIQIESNRNHSVEPPRRNAAIQNINADSSSKRSSEPNNVMVGCDDEFDTIMHKLISDSNSLEVISITGMGGIGKTTLAERVYNDKVVIASYFDIQAWTTVSQKHNLREMLCDLLRSNDTNRDVSYLASQLRQKLLGQRYLIVIDDIWDTQAWDDIHRCFPEDLNGSRILLTTRLKQVADYVSSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLDIDPEKKCSKILSLSYDHLPPHLKACFLYFGIFPEDRAIKVKRLIKLWIAEGFLKLELNKSMEEVAYDYLQDLVDRGLVQIDKWSSFGNKIKYCKLHDVLRSFSLREARTEKLLYVINENDNVHELGVGLAASSLDRKACRWVVSDQLSHIDDEPISRSRYMTHTSHELRSFLYFPHNYVFGVHRNSIILPYSKLLRVLSISESPEYHLPREIVDLVHLRYLALRIHRRASINNYQWCKLRCLQTLIIFDDWASFSPNNILGMPHIRHVHFSQGSLKHRHLPKLVQGNLQTLSWLSLPQRFQTEPDFKAIPNVKELGIYLMGYEESYLSEETWDLLPPISMEGLLNLHQLENLKFETYRFFPKCDIKLLKAFPPNLKKLTLKGTLFSWEDMTIINALPNLEVLKLREDAFCGSEWKATGNGFCKLKYLEVTKLSSLEHWSVDADHFPILECISLNYCRLLVEFPTGFGDINTLQLIDLKNCHSLLVTSAKNFQEERRDLGDDKLVVRQFYTLPKKIIDGWKPADTSTKWSATPTPID >itb03g20750.t5 pep chromosome:ASM357664v1:3:18591636:18595200:-1 gene:itb03g20750 transcript:itb03g20750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVNLLNTIEFHFLQPLPCLSFDDREIMIESLCKKLRFLQAFLEDSQKNNINCPAWRGLETEIRDVAAEAESKIESELYQLYNEEDAPVEPCQSLHQILQQVTRDIESLERRILQIQIESNRNHSVEPPRRNAAIQNINADSSSKRSSEPNNVMVGCDDEFDTIMHKLISDSNSLEVISITGMGGIGKTTLAERVYNDKVVIASYFDIQAWTTVSQKHNLREMLCDLLRSNDTNRDVSYLASQLRQKLLGQRYLIVIDDIWDTQAWDDIHRCFPEDLNGSRILLTTRLKQVADYVSSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLDIDPEKKCSKILSLSYDHLPPHLKACFLYFGIFPEDRAIKVKRLIKLWIAEGFLKLELNKSMEEVAYDYLQDLVDRGLVQIDKWSSFGNKIKYCKLHDVLRSFSLREARTEKLLYVINENDNVHELGVGLAASSLDRKACRWVVSDQLSHIDDEPISRSRYMTHTSHELRSFLYFPHNYVFGVHRNSIILPYSKLLRVLSISESPEYHLPREIVDLVHLRYLALRIHRRASINNYQWCKLRCLQTLIIFDDWASFSPNNILGMPHIRHVHFSQGSLKHRHLPKLVQGNLQTLSWLSLPQRFQTEPDFKAIPNVKELGIYLMGYEESYLSEETWDLLPPISMEGLLNLHQLENLKFETYRFFPKCDIKLLKAFPPNLKKLTLKGTLFSWEDMTIINALPNLEVLKLREDAFCGSEWKATGNGFCKLKYLEVTKLSSLEHWSVDADHFPILECISLNYCRLLVEFPTGFGDINTLQLIDLKNCHSLLVTSAKNFQEERRDLGDDKLVVRQFYTLPKKIIDGWKPADTSTKWSATPTPID >itb03g20750.t4 pep chromosome:ASM357664v1:3:18590509:18595200:-1 gene:itb03g20750 transcript:itb03g20750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVNLLNTIEFHFLQPLPCLSFDDREIMIESLCKKLRFLQAFLEDSQKNNINCPAWRGLETEIRDVAAEAESKIESELYQLYNEEDAPVEPCQSLHQILQQVTRDIESLERRILQIQIESNRNHSVEPPRRNAAIQNINADSSSKRSSEPNNVMVGCDDEFDTIMHKLISDSNSLEVISITAWTTVSQKHNLREMLCDLLRSNDTNRDVSYLASQLRQKLLGQRYLIVIDDIWDTQAWDDIHRCFPEDLNGSRILLTTRLKQVADYVSSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLDIDPEKKCSKILSLSYDHLPPHLKACFLYFGIFPEDRAIKVKRLIKLWIAEGFLKLELNKSMEEVAYDYLQDLVDRGLVQIDKWSSFGNKIKYCKLHDVLRSFSLREARTEKLLYVINENDNVHELGVGLAASSLDRKACRWVVSDQLSHIDDEPISRSRYMTHTSHELRSFLYFPHNYVFGVHRNSIILPYSKLLRVLSISESPEYHLPREIVDLVHLRYLALRIHRRASINNYQWCKLRCLQTLIIFDDWASFSPNNILGMPHIRHVHFSQGSLKHRHLPKLVQGNLQTLSWLSLPQRFQTEPDFKAIPNVKELGIYLMGYEESYLSEETWDLLPPISMEGLLNLHQLENLKFETYRFFPKCDIKLLKAFPPNLKKLTLKGTLFSWEDMTIINALPNLEVLKLREDAFCGSEWKATGNGFCKLKYLEVTKLSSLEHWSVDADHFPILECISLNYCRLLVEFPTGFGDINTLQLIDLKNCHSLLVTSAKNFQEERRDLGDDKLVVRQFYTLPKKIIDGWKPADTSTKWSATPTPID >itb03g20750.t2 pep chromosome:ASM357664v1:3:18590509:18595200:-1 gene:itb03g20750 transcript:itb03g20750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVNLLNTIEFHFLQPLPCLSFDDREIMIESLCKKLRFLQAFLEDSQKNNINCPAWRGLETEIRDVAAEAESKIESELYQLYNEEDAPVEPCQSLHQILQQVTRDIESLERRILQIQIESNRNHSVEPPRRNAAIQNINADSSSKRSSEPNNVMVGCDDEFDTIMHKLISDSNSLEVISITGMGGIGKTTLAERVYNDKVVIASYFDIQAWTTVSQKHNLREMLCDLLRSNDTNRDVSYLASQLRQKLLGQRYLIVIDDIWDTQAWDDIHRCFPEDLNGSRILLTTRLKQVADYVSSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLDIDPEKKCSKILSLSYDHLPPHLKACFLYFGIFPEDRAIKVKRLIKLWIAEGFLKLELNKSMEEVAYDYLQDLVDRGLVQIDKWSSFGNKIKYCKLHDVLRSFSLREARTEKLLYVINENDNVHELGVGLAASSLDRKACRWVVSDQLSHIDDEPISRSRVLSISESPEYHLPREIVDLVHLRYLALRIHRRASINNYQWCKLRCLQTLIIFDDWASFSPNNILGMPHIRHVHFSQGSLKHRHLPKLVQGNLQTLSWLSLPQRFQTEPDFKAIPNVKELGIYLMGYEESYLSEETWDLLPPISMEGLLNLHQLENLKFETYRFFPKCDIKLLKAFPPNLKKLTLKGTLFSWEDMTIINALPNLEVLKLREDAFCGSEWKATGNGFCKLKYLEVTKLSSLEHWSVDADHFPILECISLNYCRLLVEFPTGFGDINTLQLIDLKNCHSLLVTSAKNFQEERRDLGDDKLVVRQFYTLPKKIIDGWKPADTSTKWSATPTPID >itb09g28830.t1 pep chromosome:ASM357664v1:9:29425194:29430479:-1 gene:itb09g28830 transcript:itb09g28830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDSQLPLPHHHHHHHPQTLHHPQPQFHHHHHHQQQPGGPTMMVAPPQQPNSYAAMPNNVSAHNSAMMHHHQHQQQQQQHHQHQQQQQSQQNPRFPFNSMVGTGTGAGSGPGPAPKPAAPAAAMDYSDGSSPGASGFSIEPAKKKRGRPRKYSPDGNIALGLSPTPVTPISSMVAHPDSAAGAGAGSSAAATPSSENQVKKARGRPPGSVKKQMDALGSAGVGFTPHVITVEPGEDIALKIMEFSQQGPRTVCILSAIGAICNVTLRQPSTGGGTVTLEGQFEIISLSGSFLFSESDGHRSRPSGLSVSLAGADGKVVGGGVAGTLKAASQVQLIVGSFIADGKKPKYKPSSTPPPQSNMLNFSAQAAEAASPSEDASSDSSDENGGTPLNRGPPAYGNANPPVQTMQMYANMGWPNSTVKMHP >itb01g00720.t1 pep chromosome:ASM357664v1:1:325323:326850:1 gene:itb01g00720 transcript:itb01g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAGAFAILVLAVITSCLFQTEATRPGQFITITSSPAPAPTTSEISFPPASVSTPASAPGPAIGDISFPSPPAPAPISAPEIGFPVDSAPAPGPAIGDDVSPSPMESDTGYGLYGFQKKENPSRTTENSFNYNFNNNNNGGYSQRHFDKHNGYTVPERQGMSDTRFMDNGKYYYHVNDNNLDNYNNNNNGGYSQRHFDKHNGYNIPERQGMSDTKFMDNGKYYYDVNDNNLDNYNNNNNGGYSERQGVSDANGKYYYDVSNNGYSEKYYYNKGNNGYNMPERQGMSDTRFMDNGYDVNNENNRGNGYEAMKENEGYNGNFKYEFDSMEEYDKHHGYPKTQTWEDQP >itb11g03910.t1 pep chromosome:ASM357664v1:11:2108521:2112614:1 gene:itb11g03910 transcript:itb11g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRRQLQFLLIGFLQSMTMMIAATTLNSLTDRAALVTFRSRLLLDPGSILAKNWSETSSHCSWVGVFCGRRHDRVTALVLPSMGLRGTIDGNIGNLSFLVSFDISGNSFYGHVPEELGRLRRLRSLFMGANQLSGNIPAAFGLLTNLRVLSMSENHLSGNVPREIGNLSALQQLSLGWNNFTGNIWSEFGNLSSSLQTLSLFSNNLIGTIPASIVNLSNLEFNRLGNDQSSPELGFLASLMKLKQLQTLQLGGNPLNGTFPRSFPVGNLSMSLVTFLVGGSGIRGQIPTEIGNLTKLIWLEYGVAGIVSAMGDVYNYGILLAETFTRKKSTDAMFSGDLTMKRWILESFPSDILQTVDSNLVVQGVENFAVKQF >itb01g31990.t1 pep chromosome:ASM357664v1:1:35596200:35597396:-1 gene:itb01g31990 transcript:itb01g31990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIPLQTQNEVDYHHHHHRRYLAKKKSKEAANRHAKAESERRKRINSHLSTLRKLFPDLTKKDKPRVLTEAVKELKELREKVAEKLEEHQSGGETPLFLPGENDEVAVVPCDGEEEGAWAVKATICCEDRPGLNRDLTEAVQSVKGRVVRAEMATVGGRTKVDVVVRWCKEQGGGGGGEKDLGSLRRALKAVVENRSLGMLLCRRLRADTWPGPKVDEHANNSAQICSSLSNGSGLPDSLLLNTV >itb12g05220.t1 pep chromosome:ASM357664v1:12:3474000:3475185:-1 gene:itb12g05220 transcript:itb12g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGEAGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYELGLPVIQKAGVAHKIEFKEGPALPVLDQMIQDGKYQGSYDFIFVDADKDNYLNYHKRLIDLVKVGGLIGYDNTLWNGSVVAPADAPLRKYVRYYRDFVLELNKELAADPRIEICQLPVGDGITLCRRVY >itb13g21600.t1 pep chromosome:ASM357664v1:13:28170902:28176219:-1 gene:itb13g21600 transcript:itb13g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVIAFAFAICRFLLMLIPPNVPSIDVDASDVLDDGNHTKEHGFIYIPSRRQTDKVQCYEPATMKYLGFIPALKPDEVKERVAEARKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICKISSRDTGKTMVDASLGEIMTTCEKIIWLLSEGEKWLKPEYRSTGRSMLHKIAKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKVSEHASWSGCFYLRIIQTALAAVGAPENLVEITTGFAETGEALVSSVDKVIFVGSPGVGRSIMRNASDRLIPVTLELGGKDAFIVCEDVDVPHVAQVAARGALQSSGQNCAGAERFYVHKDIYSSFVAEVVKIVKSVTAGPPLVGKYDMGAICMQEHSEKLQNLVNDALDKGAEIVGRGSVGNIGEGAVDQYFPPTVIVNVNHTMKLMQEEAFGPILPIMKFSSDEEAIKLANDSKYGLGCAVFSGSQLRARQIASQIHCGMAAINDFASTYMCQSLPFGGAKESGFGRFAGIEGLRACCLVKSVVEDRWWPLIKTKIPKPIQYPVAENGFEFQESLVEALYSLNIWDRLRALVNVLKFITEQNSSTSIKRRND >itb13g25820.t1 pep chromosome:ASM357664v1:13:31114878:31115962:-1 gene:itb13g25820 transcript:itb13g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPDSSLPDSSNSSPPNYSLPDSSMNDLIVKLAEERAASLPENMWLSSKPPSIWNLGKKSIDTIRTKKPEEYKIIVDICKLALNHYQESHTDKLYEFDSVPEGEEILTRMIGFMTSEEELLLYRNVPCWNRVVFLVGFSFWTFLLTFRAFGDVFLFK >itb01g21510.t1 pep chromosome:ASM357664v1:1:27635777:27636115:-1 gene:itb01g21510 transcript:itb01g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCFLLFLKKRLPYPVKWLDNLDGGGAGSDALGSGGGDLEIGGLQTKGRADELEESSCHLLRRRSTNTVNYTTLAFEFLPLCRRLGLSNLGEIQFGRDRQGSRLQLKDEL >itb06g06710.t2 pep chromosome:ASM357664v1:6:9307689:9310279:-1 gene:itb06g06710 transcript:itb06g06710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNATDDVLEELLNIEVELQDVQDQIKLLLDQQEKLQNRQSELKAWLESHESSRSSSCNDNTTVSRENWSRPFPWDSQADDIRFNVFGISKYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLRNGVALVVSPLLSLIQDQVMGLTAIGIPAFMLTSLTTKDDEKFIYKALEKGEGELKVLYVTPEKISKSKRFMSKLEKCHNASRLSLISIDVSMVYHISKFNILFANPDIQ >itb06g06710.t1 pep chromosome:ASM357664v1:6:9307689:9310844:-1 gene:itb06g06710 transcript:itb06g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNATDDVLEELLNIEVELQDVQDQIKLLLDQQEKLQNRQSELKAWLESHESSRSSSCNDNTTVSRENWSRPFPWDSQADDIRFNVFGISKYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLRNGVALVVSPLLSLIQDQVMGLTAIGIPAFMLTSLTTKDDEKFIYKALEKGEGELKVLYVTPEKISKSKRFMSKLEKCHNASRLSLISIDVSMVYHISKFNILFANPDIQ >itb06g06710.t4 pep chromosome:ASM357664v1:6:9307689:9310844:-1 gene:itb06g06710 transcript:itb06g06710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNATDDVLEELLNIEVELQDVQDQIKLLLDQQEKLQNRQSELKAWLESHESSRSSSCNDNTTVSRENWSRPFPWDSQADDIRFNVFGISKYRANQREVMGLTAIGIPAFMLTSLTTKDDEKFIYKALEKGEGELKVLYVTPEKISKSKRFMSKLEKCHNASRLSLISIDVSMVYHISKFNILFANPDIQ >itb06g06710.t3 pep chromosome:ASM357664v1:6:9307689:9310844:-1 gene:itb06g06710 transcript:itb06g06710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNATDDVLEELLNIEVELQDVQDQIKLLLDQQEKLQNRQSELKAWLESHESSRSSSCNDNTTVSRENWSRPFPWDSQADDIRFNVFGISKYRANQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLRNGVALVVSPLLSLIQDQVFLNHLNYAFLPLWYLYSFLTLFLAVGYGVDCNRHPSFHVDLTDN >itb05g11300.t1 pep chromosome:ASM357664v1:5:17300966:17304135:1 gene:itb05g11300 transcript:itb05g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYASNSHFVLQETQNMQLTDGLLLVLPEEQKKSTSSPHLLHHPNPPRCASNHTSSSPPPPCRSHLLPTSRLLTANASTPRSRSCCTWADNITDACKQGCYG >itb05g07240.t1 pep chromosome:ASM357664v1:5:8794559:8797028:-1 gene:itb05g07240 transcript:itb05g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVCDIALLLYSLCPTSPPLLRCSIGPSTGLSSLKNVVRSRRSIAAVLDRQLRHVGTPSPLHSRDQRCRSTSSRSPPPQTLVETNAPLLAAARCHRMPVCSPSSVVTYLLIFFKGNHNFQFTYSSASEAKGEG >itb02g09910.t1 pep chromosome:ASM357664v1:2:6379655:6384521:1 gene:itb02g09910 transcript:itb02g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLLEGPIPPTISLLKNIEILQISGLRGGSMTFPKLEGMENITTLVLRNCSIADTIPKYIGDMSKLKTLDLSFNMLNGKIPTAMGKKIQYVFLAHNMLSGEIPRWTLESKDSIDLSYNNVTESSPTGCQASSVNLVSSYSVPRSDSNAWCSQKDLPCSSGKARYHSLFINCGGGRAEFKDNTYDEDFNNEGPSYFSASSDRWAYSSSGLFISNDIAKYVAANTFSSNVIKGDIYKTARLAPTSLVYYGFCMKQGSYKVVLHFAEIMFSNDSNYSSLGRRLFDVKIQGKVVLTDFNIAEEAKGAGIGITREFGDVLVNGSTLEIHLYWTGKGTNAIPQRGVHGPLISAITVTPNFNTDEGLSAGAIVGIVISCCVFALLILVALRMKGYLGGKVAEDSELRALDLQTGYFTLRQVKAATNNFDLANKIGEGGFGPVYKGVLSDGVVIAVKQLSSKSNQGNREFINEIGMITALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGLEDQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVTLEIVSGKSNTNYRPKEEFVYLLDWAYVQEEQGNLLDLVDQSLGSNYPKEEALMMLKLALLCANPSPTLRPPMSSVVKMLNREIPVQAPPVGLGKPNDDPARFKAFKKLSHDSHTTNSSSTISKDTTRQLQRSISLGAPWTGSSNSFPSRDGYQDCSSTTKLLPDLYNVNLE >itb02g09910.t2 pep chromosome:ASM357664v1:2:6379655:6384521:1 gene:itb02g09910 transcript:itb02g09910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIVLVRQISGLRGGSMTFPKLEGMENITTLVLRNCSIADTIPKYIGDMSKLKTLDLSFNMLNGKIPTAMGKKIQYVFLAHNMLSGEIPRWTLESKDSIDLSYNNVTESSPTGCQASSVNLVSSYSVPRSDSNAWCSQKDLPCSSGKARYHSLFINCGGGRAEFKDNTYDEDFNNEGPSYFSASSDRWAYSSSGLFISNDIAKYVAANTFSSNVIKGDIYKTARLAPTSLVYYGFCMKQGSYKVVLHFAEIMFSNDSNYSSLGRRLFDVKIQGKVVLTDFNIAEEAKGAGIGITREFGDVLVNGSTLEIHLYWTGKGTNAIPQRGVHGPLISAITVTPNFNTDEGLSAGAIVGIVISCCVFALLILVALRMKGYLGGKVAEDSELRALDLQTGYFTLRQVKAATNNFDLANKIGEGGFGPVYKGVLSDGVVIAVKQLSSKSNQGNREFINEIGMITALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGLEDQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVTLEIVSGKSNTNYRPKEEFVYLLDWAYVQEEQGNLLDLVDQSLGSNYPKEEALMMLKLALLCANPSPTLRPPMSSVVKMLNREIPVQAPPVGLGKPNDDPARFKAFKKLSHDSHTTNSSSTISKDTTRQLQRSISLGAPWTGSSNSFPSRDGYQDCSSTTKLLPDLYNVNLE >itb09g28700.t1 pep chromosome:ASM357664v1:9:29302111:29304442:1 gene:itb09g28700 transcript:itb09g28700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYKLPSARKSGGAAATTNGYIMEAFMSTAPAFPAPPPTVINSDSRQPAAAVAVGEDGFQENLQRRLQILVDGAAEKWTYAIFWRSPAAGFEGQAGLAWGDGYYKGGVEKPIPRRKSSPEMAAEQARRKRVLRELYALVASPAGTDAIDEEITDPEWFFLISMNQSFQNDSNLPGHAFYRSNRVWISGAGELDSSPFERAKQGKRLGIQTMACIPSQNGVVELGSTDLIFQNDAHLMNQIGVLFNFEENNDNTNNNNNNPAMGLTSRSSCLSNPSTVMPSQEDSIPSVTSKRMAVEKEKTPAKCATPRRRNNDDGVALALLASGGVDSLPGGEGGGGERAKKRARKPAATNGNGNGNGKEETRTHVQAERQRRERLNQRFYTLRTMVPNVSKMDKASILEDAIAYINQLESKLQKPKPTTPHHNIEDAAGDEEDADDQSADVDVKIIGEDAMIKIECNKKNHPAARLMAALKELDLHIRHANVSVANKLMIQQATVKMGSRHYTSDQLRSALESILAQN >itb07g12610.t1 pep chromosome:ASM357664v1:7:14568165:14575404:1 gene:itb07g12610 transcript:itb07g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSASTELLMNISKGMQGFFDKLVGESKTLGCHAKRVDEIQTKQIFEFQKEYEEQSRSVAEKLIADVSSLVYHHMHYQKELVDERLVELTETVTENKRFLDGRVTSMVGITTAAKRKWSDFFIQAGTNTKDSADFSAAKHCRMEASLENWLRIEGF >itb07g12610.t2 pep chromosome:ASM357664v1:7:14567325:14570172:1 gene:itb07g12610 transcript:itb07g12610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCVSEELQPPFEESRRQRCYLPLPTPMPHTVDGAHRSLSASADATRPEGFQQNLEAFKVHEEILLPGEVIMEQGNIIDQLYFVCHGLLRFSASTELLMNISKGMQGFFDKLVGESKTLGCHAKRVDEIQTKQIFEFQKEYEEQSRSVAEKLIADVSSLVYHHMHYQKELVDERLVELTETVTENKRFLDGRVTSMVGITTAAKRKWSDFFIQAGTNTKDSADFSAAKHCRMEASLENW >itb14g18630.t2 pep chromosome:ASM357664v1:14:21592609:21595790:1 gene:itb14g18630 transcript:itb14g18630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGFSNNVREKQKMQDCEYFMRRSQVPAFGSWDCDDGFPIPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRGKGKGEGRKDAWVMGGCDCEYGGGGEVKEPPSPVPSSPPPPARREPKAVDEDLYKISPDLLYARTKRKRVWGFLPSCLRPVCA >itb14g18630.t1 pep chromosome:ASM357664v1:14:21592609:21595790:1 gene:itb14g18630 transcript:itb14g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDCEYFMRRSQVPAFGSWDCDDGFPIPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRGKGKGEGRKDAWVMGGCDCEYGGGGEVKEPPSPVPSSPPPPARREPKAVDEDLYKISPDLLYARTKRKRVWGFLPSCLRPVCA >itb07g15090.t1 pep chromosome:ASM357664v1:7:18006026:18008523:1 gene:itb07g15090 transcript:itb07g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSAIGFEGYEKRLEISFCKHGVVADPKWKGLRSLSKAQLDEFLGPAECTIVSALSNKYVDSYVLSESSLFVYAHKIIIKTCGTTKLLQAIPNMLKLAGSLSLKVQTVRYTRGSFIFPGAQPSPHRCFSEEVAVLDGYFGKLRSGSKAYILGSPAISQKWHVYFASNETIQPKNPVYTLEMCMTGLDREKASVFYKNGSSSAALMTVKSGIRKILPRSDICDFEFDPCGYSMNSIEGPAHSTIHITPEDGFSYASFEAVGYDLKTVNLALLIKRVLACFMPKEFSIAIHSDGSGKLLEPVSSLDLNGYWLGEKCSENLGTGGSIVYQKFIRTYAYCSSRPVLKGCSL >itb11g19590.t1 pep chromosome:ASM357664v1:11:20359468:20359986:1 gene:itb11g19590 transcript:itb11g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDPYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYITAGGEKGNPGSQTLNNWFKIVKTTNAYKLMSCPDVCYYCSYYCRDVGISVEAGQRRLVLSDTPLEINFRKA >itb03g02830.t1 pep chromosome:ASM357664v1:3:1619203:1621450:-1 gene:itb03g02830 transcript:itb03g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKVGVQGRSTNQLRSALSVSKAFGVEPGTSRLTCSLQADLKDLAQRCSDAAKVAGFALATSALVVSGANAEGVPKRLTFDEIQSKTYLEVKGSGTANQCPTIEGGVDSFAFKPGKYNAKKFCLEPTSFTVKAEGVSKNAPPEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPSSFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELEKENVKNASSSTGKITLSVTQSKPETGEVIGVFESVQPSDTDLGAKAPKEVKIQGIWYAQLES >itb03g04860.t1 pep chromosome:ASM357664v1:3:3203139:3206336:1 gene:itb03g04860 transcript:itb03g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWRWLAVVMLVVSSISEPAFSNPQTNLLNKGCGQSNVTDTPTNYAKQLNASFSDLRSQLSRNNKTFATTAQPIYALAQCRNYLSKPDCVACFDAAVLVARNCYLATGGRVIFDGCFLRYESIIFYTQITDDGSHPVCGARTVPKQDVFNTTAKELLNDLVFATPRINGFFAAAKRVSAAGATAYAVAQCIETLAESSCQACLVIANSNIQICLLSSVGRAVGIGCFMRYSDKHFFANNQTTNLAPFLGGGGGGSSGKKKAIIAGVVGGVGIILVLAALFLYQQSKKPKAARRGDILGATQLRGPESYGYKDLKAATKGFSEENKLGEGGFGDVYKGTLKNGDVVAVKKLVISSRAKADFDSEVRLITNVHHRNLIRLLGCSAKGEELLLVYEYMANASLDRYIYGDKQGMLNWKQRIDIIFGTARGLAYLHEQFHVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENQSHVSTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVVLEIISGRRSSDIRVEPVTEYLLEQAWKLYENENYLELADKTLEASEYKAEEVKRMLEIALVCTQSPPNLRPSMSEVVIMLSSDRPIFQNQPKRPSMISDFDQRILALTDTSATTASSTSHATASFSGVSGR >itb06g11510.t1 pep chromosome:ASM357664v1:6:16069224:16078915:1 gene:itb06g11510 transcript:itb06g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHRSHHPLRHHHHHRPPFPPPQQQPPSAISASIAQVQNVDDIVKDLLMQIQNGEAVDPKYIDGLKRRNLIAQQSWKGYSIRKGPKYAPKRIKEATDLTRENLHSIAEDKVIFPAVDTELSFAQEHAEEENEFGSLNEEDARSFLHNMHMAAPGIRHCVGHVNSREVVIGVVEAGVGEGNPKVGFDIEDGRVGADGVGFPFVNLVCRDEARVDGVSNKGERVGGVGLVVDKGGFEDPVVIGIGERDLEEEAGGEDVVLDVRVGNVDGVGREEGDWVGLEQRHHLRDFKVSVESVPHVRGVSVGIGACFIGGFWDSKRGNGEKEHCPN >itb09g03270.t1 pep chromosome:ASM357664v1:9:1828190:1829256:-1 gene:itb09g03270 transcript:itb09g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIVLSKKIKIRQLLGKELSGLSIKDLTNLENQLEVSLKGIRTRKEQILTDEIKELNRKGSLVHQENMELCKNLNLIRQENIELQNKATIALASTVAVISLHLQDAQQGDAFGNYASNSDKRLKLAKYDSHQIQLC >itb06g05320.t1 pep chromosome:ASM357664v1:6:8071995:8075930:1 gene:itb06g05320 transcript:itb06g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYIADEDYDYLFKVVLIGDSGVGKSNLLSRFTQNEFSLESKKTIGVELTSPILRVDDTKVKAQIWDTAGQERFRAITQPYYRGAVGALLVYDVTCHVTFENVERWLVELRDLTDNIVVMLVGNKADLHHLRAVSTEDAKAFAEKEGTYFMETSALESLNVENAFTKVLKQILRKALEVGSDNYDYLFKMVLIGDSGVGKSNLLSRFTQNEFRLMSKSSTIGMKFATRTLRFDDQVVKAEIWDIASQERNDLDFQMNCNICFAKSCYRVAVGALVVYDVTRYDTFENIERWLKELRDQSGQNIVIMLVGNKADLRHLRAVSTDDAKAFAEKEGTFFMETSALESLNVENAFTELLTQILRKAVEVGLAKGRNHKRWRQG >itb14g02510.t1 pep chromosome:ASM357664v1:14:2148033:2157204:1 gene:itb14g02510 transcript:itb14g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPVTISTIISIIASLAATASSAGNTAKTVVETLEKKKGNEWKLNAESMKVLEDFSSLIGRSSFPVFDGRNYMIWSMKMKHLLKSFDLWDLVEKGYKEKGRNDKASKKDREKDSLIILIILLAVDESAGRCLVNAKNSKEAWEAIKTQYEEMENIRGGPGGGTFGGEEPTSSRFNPRSVDDMFAEISEILRPFGGIMDGGPGMRDGRFGSMFGDHMFSSFGEGGGGSMHQSAHRKAPPIEQTLHCSLEDLYKGTTKKFKVSREIIVGNGKRMPVEEILTINIKPGWKKGTKITFSEKGDEYPGIIPADLVFIIDEKPHKLFTRDGNDLVATLKINLVEAPGYTVHLTTLDDRNLTIPIKTTIHPHCEGVLQGEGMPISKDPSKKGNLRIKFDIET >itb06g14350.t1 pep chromosome:ASM357664v1:6:18920109:18923375:-1 gene:itb06g14350 transcript:itb06g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVKESRQVVRKFLARPQHEGVGAVVRRSIGRFELKYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLQGAVTHEDFEGHKGTIEAGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKHKMIEPRYQEITREEIAEGERDGIKVRVIAGEALGAKSPIYTRTPTMYLDFTLNPGANLQQPIPRTYNAFVYILEGEGVFAESPSPRPSPPTSAHHLLLLGGSGDGLLACNKSAKPLRFILVGGEPLGEPVAQLGPFVMNTQEEIDRTVEDYENCTNGFEKAKHWRSDAAVELGY >itb07g13000.t1 pep chromosome:ASM357664v1:7:15080289:15081282:-1 gene:itb07g13000 transcript:itb07g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNRTVSVVLFFAMLALHRSLAEDSPTASPAPAPVTGADDFSPPAPAPTPDSPSRTPPQDASSPPSPPPSDLGSPAPSPADANASAPASDSEVAKDVRQASTSEEKSSGRGKKVGIALGVVAGACVVGLGALVYKKRRDNIRRSQYGQDARGSLL >itb07g16280.t1 pep chromosome:ASM357664v1:7:19885403:19898028:-1 gene:itb07g16280 transcript:itb07g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAEGDEPVPIPDLSVLGKQVISGQVLSLQDFHKVAEDKALGNQIIQPLEKTGLLKLCTYSFTGYIWKKLSKFCTKALPLTKNNKFKQKIGYGFVISEILIRNGVNMRKGKKVACYKYLFRNHKTLRKKQIDSTSRATQSSDAPTAKAGQRRKRTSKVPEGGDCNEASYVVRSNANEEVVTSAMQTTTTMSDVPNDEAAQMNSLSIQEELPGSNVETVVNPIQIEVIDHELLEEREQFLDEDPVLTTELFRVKQWRLWRIAEYKSHMSRAGPEMEKEELFALSWIGTDDIAEALLLSTIAQAYSNKVQAKLAGSKGKLPVMEDNFQALSFVDFEEIDQANLHEGIQRSLLDFQHHPSATSSAQPESTPMQGEGQINEGELVDVCVNDKQVTIPESSNMQVMEPVASHIDETANEDMLEEPVMIPGHSHAMVQIVESVEGLGRIPVIVERPIMETEEILGGCETNRIPAEVEGEELAIVLATVPVEVEELATDLATVPVEVQGQAEATVLATVSSTVQVEEQATVLATIDNPLEVNIEVIENQDSLIEADIQISENLMDVQVEFPDEIQDNLEEEHALSTKRRKLVISETSETSEPENDDDLNMGVPTEEEGQMQLVTVPIQQKQAEFDKGILDLKKGLSSGNNEDEDNRLSSLFLKVDECQEEISTKFAKVIELKGDIREAHENIFKQLTSLNDQQKDITKVLKYSAEKSEKKWDEKASKLVTALHKFTVEQNKGLLNVIHQQQNQITDLHNELSSLRRENAATSAKVNNIEAKVTKIDGNVDLLVDYAKKGEESSHLALSPSFAPIESSMGRGRGRGRGRSSGVSGFSQFAGHEGKHLDGGRSFSECIKWFREGVLSGESIQDAYGNYLSGHEGKHLDGGRSFSECIKWFREGVLSGESIQDAYGNYLSGQVQAKYKNAKFEGNFRDLRDHINRRIEEEAKGKKKS >itb01g23720.t1 pep chromosome:ASM357664v1:1:29643759:29647431:-1 gene:itb01g23720 transcript:itb01g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTANGSGDLSSQMELDAFRRLFPLRFHERYLSESIRPDGRALGEARYTTVVLGSVASADGSALVKIGSTTMLAAIKVEVMTPSADSPDEGSIAVEFHMPPICSSLVRPGRPAEAAPVISKQLSDTIASSGMIDLKDLCLASGKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLEIPLASLNDDGRVVLGTKKKNKNGVPEPENEPVTKEEKRKLHLNSVPFSLSCILHKNYILADPTAEEEVIMETVLNVVLDSSYQLVSLYKPGGPALASTSVIQDCVAFTKQRVNQLKNILSEAISDMDVD >itb01g23720.t2 pep chromosome:ASM357664v1:1:29643759:29647089:-1 gene:itb01g23720 transcript:itb01g23720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTANGSGDLSSQMELDAFRRLFPLRFHERYLSESIRPDGRALGEARYTTVVLGSVASADGSALVKIGSTTMLAAIKVEVMTPSADSPDEGSIAVEFHMPPICSSLVRPGRPAEAAPVISKQLSDTIASSGMIDLKDLCLASGKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLEIPLASLNDDGRVVLGTKKKNKNGVPEPENEPVTKEEKRKLHLNSVPFSLSCILHKNYILADPTAEEEVIMETVLNVVLDSSYQLVSLYKPGGPALASTSVIQDCVAFTKQRVNQLKNILSEAISDMDVD >itb02g00570.t1 pep chromosome:ASM357664v1:2:361319:368958:1 gene:itb02g00570 transcript:itb02g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKIRGIDVDFPFQAYDCQLVYMEKVIQSLQNRCNALLESPTGTGKTLCLLCATLAWRNSLGAFSSRKYERRGQNGSTQELDPYSQSQSESSRLPAIVYASRTHSQIKQVINELKRTNYRPKMVVLGSREQLCIHEEVSLLRGRTQTNACHLLCKKRTKRYCAHFSRVAEFVKGNPNLGDEPIDIEDLVNIGRSSSTCPYYISRDLHKSVDILFAPYNYLIDRENRKSLSIEWSNSILIFDEAHNLESLCADAASFDIPSGLLTACISEAKNCVDLSIARREKSSDKSCNPDNFAILRALLLKLEKRIGEVPIDSKELGFTKPGTYIYELLADLNINQKTANMLIDIIEEATLLLEEDASVANNEGLNKSKGSVCRLESMGDILRMIFRDDGNPHAKYYREVEGSGPDAFKGKASRTLSWWCFNPGIAMEEFSRLGVASIILTSGTLSPMDSFAEELKLEFPIRLENPHVISENQVWAGVVPAGPSGYPFNSSYRSRDSLQYKLDLGNAIVNLARIVPDGLLVFFPSYYFLDQCIGCWKSTGNGNQTNSSTIWERICKHKLPVVEPRQSSLFPSAIEDYMSKLKDRSASGAAFFAVCRGKVSEGLDFADHAGRAVVITGIPFATRNDPKVRLKREYLDQQTQLQQSISKGLTGEEWYSQQASRAVNQAVGRVIRHKHDYGAIIFCDERFTNPNHQARVSLWIKPHIKCYSKFGDVVFSLTRFFRDGGIHGPTKLEMMRPNARENVSQVKDCKPQLDIKISNPLTLPVEQPRSVDSVSSALKAKHCKSSSNLDEIVPANRSSLKSDKLVQNLDMKRSSSLFEFESKFLPSLRKTTLASNHKLVDLTERTLLDKKSDEVIAPCSSKRPRLVITGPDKVCCKNSSDNQCCNMLNDEKLTLSGSQDKIEMLPKDEPTLQTKVTSSNDHGKLSGSVTSSEDAENKGSMFLVQVREKLSDVEYKEFVGYLKALKSKAMKIGHVLESVARLFSLPDRLPLLHRFKDYIPAKYRSLYEQYINK >itb02g00570.t2 pep chromosome:ASM357664v1:2:361319:368958:1 gene:itb02g00570 transcript:itb02g00570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKIRGIDVDFPFQAYDCQLVYMEKVIQSLQNRCNALLESPTGTGKTLCLLCATLAWRNSLGAFSSRKYERRGQNGSTQELDPYSQSQSESSRLPAIVYASRTHSQIKQVINELKRTNYRPKMVVLGSREQLCIHEEVSLLRGRTQTNACHLLCKKRTKRYCAHFSRVAEFVKGNPNLGDEPIDIEDLVNIGRSSSTCPYYISRDLHKSVDILFAPYNYLIDRENRKSLSIEWSNSILIFDEAHNLESLCADAASFDIPSGLLTACISEAKNCVDLSIARREKSSDKSCNPDNFAILRALLLKLEKRIGEVPIDSKELGFTKPGTYIYELLADLNINQKTANMLIDIIEEATLLLEEDASVANNEGLNKSKGSVCRLESMGDILRMIFRDDGNPHAKYYRVHVQEVEGSGPDAFKGKASRTLSWWCFNPGIAMEEFSRLGVASIILTSGTLSPMDSFAEELKLEFPIRLENPHVISENQVWAGVVPAGPSGYPFNSSYRSRDSLQYKLDLGNAIVNLARIVPDGLLVFFPSYYFLDQCIGCWKSTGNGNQTNSSTIWERICKHKLPVVEPRQSSLFPSAIEDYMSKLKDRSASGAAFFAVCRGKVSEGLDFADHAGRAVVITGIPFATRNDPKVRLKREYLDQQTQLQQSISKGLTGEEWYSQQASRAVNQAVGRVIRHKHDYGAIIFCDERFTNPNHQARVSLWIKPHIKCYSKFGDVVFSLTRFFRDGGIHGPTKLEMMRPNARENVSQVKDCKPQLDIKISNPLTLPVEQPRSVDSVSSALKAKHCKSSSNLDEIVPANRSSLKSDKLVQNLDMKRSSSLFEFESKFLPSLRKTTLASNHKLVDLTERTLLDKKSDEVIAPCSSKRPRLVITGPDKVCCKNSSDNQCCNMLNDEKLTLSGSQDKIEMLPKDEPTLQTKVTSSNDHGKLSGSVTSSEDAENKGSMFLVQVREKLSDVEYKEFVGYLKALKSKAMKIGHVLESVARLFSLPDRLPLLHRFKDYIPAKYRSLYEQYINK >itb09g28610.t1 pep chromosome:ASM357664v1:9:29164257:29165675:1 gene:itb09g28610 transcript:itb09g28610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVSISTKILKSRFEPVGALPLMHTIIYTSERLMSQSTSIPKKQQRVRDHGFDNYMEVEKKMRKVLKFQELILTQPSSMLSVSRLDMLSRRIGFKQFEAGHFILKFPHVFEIFEHPVQRILYCRFTRKAHMQIDQENQALLAQIPDAVTRLRKLLMLSNTGRLRLEHVRIARKEFGLPDDFEYSVILKYPQYFRLFDAEETRNKYIEIVEKDPRLAVCSIENAREKEYREKGGEAENIRFSFIVNFPPGFKIGKYYKIAVWKWQRLPYWSPYEDISGYDLRSLEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRLTMNLPKKLKDFLLQHQGIFYISTRGNHGKLHTVFLREAYKKGELVEPNNLYLARRKLAELVLLSPRKAKVDKELVSYRRDREDDEAAHVRRKYVNNGTDHAIPQGIASRNGDSEEDLVSDLDCDVGSDYTDEDSDDDEGVDFDADNAQRSN >itb06g18750.t1 pep chromosome:ASM357664v1:6:22339708:22344720:1 gene:itb06g18750 transcript:itb06g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVVAFYAFFSPFLGGHVWEYVLVGVYSPVALLVFILYVRCTAINPADPGIMSKFDSELLSNTSPKHGLSAKNMSGKFEGLGADANSSPSSVSRSSFGVSHSVKRSQPEADRTDGRVVSSRRRSSSCCKIGGIFCALFVHEDCRKQDGGVEQEGASEDALFCTLCNAEVCKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYATFISLMAISLVWLAIEAGTGVAVLVRCFVNKKNMEAEIVDRLGNGFSRAPFATVVAVCTAVSVLACVPLGELFFFHMILIRKGITTYEYVVAMRAAMSEALGGASIDEEQGNILLSPTGSATTGFSGGSSLGLQYKGAWCTPPRVFVDYNEEVAPQLEPGIVPSTVDPDAAGFEDKGNKASKRPVKISAWRLAKLDSSDAMKAAAKARASSSVLRPIDNRRLADSELSSSENMSVRSSMSADTGGNKDTRISPFRNSFAPSQGSRDEYETGTQSVSSFSSPSHVHEAVTLSPLPQANNNFSYQNAGIIPEQARASLANNTRPRPLSYTSSDFDEKIVHRSGAADPLLLSAVAPPTSLLRDVKRTSVVWDQEAGRYVSVPVSAAEARGRPSLLSNPNAAASNSKWPIPIPLPQEPSHPAPKPPVQQSEKLMYSGESIFFGGPLFRGPIKDSMKNERASGSGNGLERLQLNLPRESRFKRDGASHQLPVFAPGDLAPHSSSASGHKK >itb10g03800.t1 pep chromosome:ASM357664v1:10:3549666:3552385:1 gene:itb10g03800 transcript:itb10g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTIICHYYIVGPCRNTIEAYSVEMHKLSMKVLCFLAKNLGIKSEEMSMLFEEGMQSMRMNYYPPCPQSELVMGLSPHSDAGGLTILLQVNETTGLEIKKDGTWFPVVPIPNAFVVNVGDCVEIFSNGIYRSIEHRALVSTNKVRISIATFQSPRLDSELGPSTSLINTQNSAKFKRVSVPDFFRLFFGRKLEGKSHVDVLRIGND >itb09g04790.t3 pep chromosome:ASM357664v1:9:2685615:2689423:1 gene:itb09g04790 transcript:itb09g04790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-c [Source:Projected from Arabidopsis thaliana (AT5G49890) UniProtKB/Swiss-Prot;Acc:Q96282] MVHTGACIANLLGQGGSRKYRLTWKWLRLFKNDRDRRDLITCGAAAGVAGAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRTFIQFCRSGKCGLFGQGGLIMFDVHSNVPDYNTTDLLAIMLLGILGGVLGSLYNYLVDKVLRTYSIINERGPGFKILLVMTISILTSCCLFGLPWLARCRPCPPGFESVCPTEGRSGDFKNFQCPAGQYNDLASLFLNTNDDAIRNLFSSRDESEFLLSTLFLFFAGVYVLGIITYGIAVPSGLFIPVILAGASYGRLVGNFLGSVSNLDAGLFALLGAASFLGGTMRMTVSLCVIMLELTNDLLMLPLMMLVLLISKTVADCFNKGVYDQIVKMKGLPFMEAHAEPYMRHLVAGDVCSGPLITFSGVEKVGNILHALKITGHNGFPVIDEPPFSESPELCGLVLRSHLVVLLNGKNFSKQQGLMGSQILRRFHAFDFAKPGLGKGLKVDDLNITEEEMEMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLCVVPKRIGRPPIVGILTRHDFMPEHILGLYPSINPHGHG >itb09g04790.t1 pep chromosome:ASM357664v1:9:2683699:2689423:1 gene:itb09g04790 transcript:itb09g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-c [Source:Projected from Arabidopsis thaliana (AT5G49890) UniProtKB/Swiss-Prot;Acc:Q96282] MDKDEVDIENAAERNGAGWERHDDGAESHEDWSPEHIREPLLLSKSRVNNTSQIAIIGANVCPIESLDYEILENDLIKQDWRSRKKVQIFQYIFLKWTLAFLIGLVTGIVALFNNLAVENIAGFKLLLSNNLMLKGKYFQAFAAMAGCNLILAICAGFLCAFVAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYRLTWKWLRLFKNDRDRRDLITCGAAAGVAGAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRTFIQFCRSGKCGLFGQGGLIMFDVHSNVPDYNTTDLLAIMLLGILGGVLGSLYNYLVDKVLRTYSIINERGPGFKILLVMTISILTSCCLFGLPWLARCRPCPPGFESVCPTEGRSGDFKNFQCPAGQYNDLASLFLNTNDDAIRNLFSSRDESEFLLSTLFLFFAGVYVLGIITYGIAVPSGLFIPVILAGASYGRLVGNFLGSVSNLDAGLFALLGAASFLGGTMRMTVSLCVIMLELTNDLLMLPLMMLVLLISKTVADCFNKGVYDQIVKMKGLPFMEAHAEPYMRHLVAGDVCSGPLITFSGVEKVGNILHALKITGHNGFPVIDEPPFSESPELCGLVLRSHLVVLLNGKNFSKQQGLMGSQILRRFHAFDFAKPGLGKGLKVDDLNITEEEMEMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLCVVPKRIGRPPIVGILTRHDFMPEHILGLYPSINPHGHG >itb09g04790.t2 pep chromosome:ASM357664v1:9:2683757:2689398:1 gene:itb09g04790 transcript:itb09g04790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-c [Source:Projected from Arabidopsis thaliana (AT5G49890) UniProtKB/Swiss-Prot;Acc:Q96282] MDKDEVDIENAAERNGAGWERHDDGAESHEDWSPEHIREPLLLSKSRVNNTSQIAIIGANVCPIESLDYEILENDLIKQDWRSRKKVQIFQYIFLKWTLAFLIGLVTGIVALFNNLAVENIAGFKLLLSNNLMLKGKYFQAFAAMAGCNLILAICAGFLCAFVAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYRLTWKWLRLFKNDRDRRDLITCGAAAGVAGAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRTFIQFCRSGKCGLFGQGGLIMFDVHSNVPDYNTTDLLAIMLLGILGGVLGSLYNYLVDKVLRTYSIINERGPGFKILLVMTISILTSCCLFGLPWLARCRPCPPGFESVCPTEGRSGDFKNFQCPAGQYNDLASLFLNTNDDAIRNLFSSRDESEFLLSTLFLFFAGVYVLGIITYGIAVPSGLFIPVILAGASYGRLVGNFLGSVSNLDAGLFALLGAASFLGGTMRMTVSLCVIMLELTNDLLMLPLMMLVLLISKTVADCFNKGVYDQIVKMKGLPFMEAHAEPYMRHLVAGDVCSGPLITFSGVEKVGNILHALKITGHNGFPVIDEPPFSESPELCGLVLRSHLVVLLNGKNFSKQQGLMGSQILRRFHAFDFAKPGLGKGLKVDDLNITEEEMEMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLCVVPKRIGVVFLFFCSLIRTFLPNLLSLKLLIPTQS >itb03g08960.t1 pep chromosome:ASM357664v1:3:6794667:6796910:-1 gene:itb03g08960 transcript:itb03g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSPRRNQRSSRGVKVKHALHICVLGGVCIWLLYQVYHWRNKTATYEEASKKAEPGNRNDFIKLGRKDLDPRIEEMTAEDLKNGGQDAEEGKSFDDDSNKAEELEQDQEKVGESESLNRQKGGEESSEPEVKGGDESSGEKEEESRNEEQKGAEHEKAVEEENEVGKGEETEKLSDSKEEKGESNRSEERNENGGIVKGVEVEENNEKRDTMSEGEEMQESKEKDTENIVAAAENGTHDSQEDSLAKKGNAETMTMKEQDEPKNSPKNAKDHDKNSESGSGSGSGSISKSAEVKNGASLGGTGKTSLEDSSNPTEGTIEAKEQQQMEDNSNAMAGIMEAKEQQQVPDKPVTNATQTENGSAGSGDESNAVGGETGLEDNSNPTVGSKEGNKQQQEHDNSITGLIQTKNKPVDNADSSGDGKETVPREQTDSSDANSTSSSTNGESSPNSTSGEQTSVSAAQTEMTKGLTKQMSGADSGSGEKINPSSDNNETTNLSENNSTDSSTSQNEDSSSGSTTNPNDGQQNQFESSNSALQEETDVRTDLETIPEFPQTETGGNNHEATE >itb01g04330.t1 pep chromosome:ASM357664v1:1:2923612:2926356:1 gene:itb01g04330 transcript:itb01g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIVIWFCLLIIFIPRARTSDLVMHYYCKNTTSYTPNSTYKANLNSLLSNLYSNATRDNGFYHATVAGSRPGDTVHGLFLCRGDVSAHDCQACVGEAREMVFVFCDTEKTAMIWYANCMLRYSENPVLGIMDRSDQFAMRNPFNDTKPDELMKVVGKMLDQITTRASIGSGEKFAVLQTNFSAAAERVYALGQCTPDLSKVECQICFRSVIKYMRRCCFGNQGSTVLFPSCNMRYELYPFYFLPPSPAPPPSPPPFHHPPQTTTIVPSSAINKGNKGKSSAKVIIASVVSVTGIILLFALSFCFLKMKRAKKSHSDVKETTSGMNEIAIEESGRLPNGQEVAVKRLSSGSTQGDGEFKNEVALIAKLQHRNLVKLMGFCLESEEKILVYEFVPNKSLDYFLFDPEKKQLLNWPTRYKIIGGIARGLLYLHQDSHLKIIHRDLKASNVLLDRDMDPKISDFGLARILMIDQTQGSTSRIIGTYGYMSPEYVMHGMFSVKSDVYSFGVLLLEIVTGKKTTSFPSSTESSPEAQDLIGYAWKHWKEDTSVEMVDQSLGGLYSRNEVIQCIHVGLLCVQEDVDARPTMANVVLMLNSNSATRRTPNPPIVFECGNETTLSPGQ >itb01g04540.t1 pep chromosome:ASM357664v1:1:3066487:3068079:1 gene:itb01g04540 transcript:itb01g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVWWKRSFHCKSETGDVHDPITSGKNLSKISSTRKPTTRSGCSRSIANIKDVIHGSKRHMENLHLQSPRSVGSCELLNPITHQVVLNDSSCELKITGYCFQNDKGSGNGSAFVGTIKPGTPVPVTGSHCSIPPRKPIGSDSPLRKGSSNNQLRRARSRFGSRSGASTGGDSNDSQPSTCHKCGEQFSKCEAVESHHLSKHAVTQLVEGDSSRNIVEMICRTNWSSKSDNNNNKVSDGIERILKVHNMQRTLTQFEEYREMVKTKANKLAKKHARCLADGNELLRFHGTTLECCLGMKHCSGLCTSDKCGVCQILTHGFKINGGIGVFTASTSSRALEAIKLKNDRNPGVRKALIVCRVIAGRVHKPLENVEEIAGQSGFDSLAGKVGLNSSIEELFLLSPKALLPCFVVICKP >itb08g05630.t1 pep chromosome:ASM357664v1:8:4644591:4648983:1 gene:itb08g05630 transcript:itb08g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARDTISSTQFLKYGDTIVSSGGIFEMGFFSPTNSSHNIYVGIWFKQMSIRIVVWVANRDTPLTNTSSVALQINSPGRLALVDGNGSEIWRTNNTSGSVENTVAKLLDSGNLVVMDANADENFLWQSFDHPTDTLLQGTSLGKNFVTGLESSLSAWNTETDPSSGQYRMTLDPTGFPQVVFWKGRNKMYRSGPWNGLNWRDLEKWGLFVQVSVNINTTEVMSREFFNTSKLIRFVLTTTGTLQLDIGENGTVHKMSVARDTISSTQFLKYGDTIVSSGGIFEMGFFSPTNSSHNIYVGIWFKQMSIRIVVWVANRDTPLTNTSSVALQINSPGRLALVDGNGSEIWRTNNTSGSVENTVAKLLDSGNLVVMDANADENFLWQSFDHPTDTLLQGTSLGKNFVTGLESSLSAWNTETDPSSGQYRMTLDPTGFPQVVFWKGRNKMYRSGPWNGLNWRDLEKWGLFVQVSVNINTTEVMSREFFNTSKLIRFVLTTTGTLQLDIGENGTGKWNSLPLVPIDGCDKYRICGPYGNCDSNKNPVCGCLDKFVPRDPVVWGSSDFSGGCVRRTSLNSSSDGFKRYSGLKLPDTNVSTFHKNMTLQECNHACFNNLSCMAYSTLIISNGENGCLLWFDDLIDIRVVVIPEDGQDLYIRMASFDSESSRPKSAGGKGRRKIRLKEGLARAKGKPIDQESVGRWK >itb03g03510.t1 pep chromosome:ASM357664v1:3:2060017:2061393:1 gene:itb03g03510 transcript:itb03g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESTGLKKGPWTPEEDQKLINYINKNGHGSWRALPKHAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHAILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGYDPMTHRPRTDIFSSLPHLIALANLKELVDQHQSSWEEQAAMARLQSEMAKFQYLQYLLQTSPAPAGPAFSPNNLNNTVTANNNNNNTNNVSDMEAYSHLLSTVMGTSSSTQMESSVLPLSSLGQAIQDSAIPFSHLPDLQPPCAIFQQSSDHNKDNNMGQAQGISGFTVLSDGENSPTSPWLPSSLSPSPPPAVGPEPPTVVDGSGASSYGGAPPSSVVWPDLLLDDDPLFPDL >itb03g08530.t2 pep chromosome:ASM357664v1:3:6399251:6401640:-1 gene:itb03g08530 transcript:itb03g08530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVYAKSSAYTDGGAYAYLVYAILPLLKLICLTVIGLILAHPKTKIVPKATFKLLCKLVFALFLPCTIFIHLGEAITLKNFMRWWFIPVNVIVSIAIGCLLGYLVTKICRTPPEFNRFTIIATAFGNTGNLPLAIVGSICHSEDNIFGPDCHSNGVAYVSFSQWIAVLLVYTLVYHMMEPPLDYYEVVEEGLEIQEHLPPANDLSRPLLLEAEWPGMEENETVHCKTPFVARIFTSASSISQNSIPDPDFLEAAAPNRSSVEEAPPSPKSIRCLVEPRVVRKIRIVAERTPIQHVLQPPTFATLLAFIVGMIPPVKSFVYGDDAPLSFLTDSLEIMAQAMVPSVMLILGGMLAEGPNESRLGIRTTVGIIVARLLVLPLLGIGVVTLADKANILIPDNQMYRFVLLLQYTTPTAILLGAVARLRNYSVSEASALLFWQHVLALLSLSIYLIVYFKLLLS >itb03g08530.t3 pep chromosome:ASM357664v1:3:6399251:6401640:-1 gene:itb03g08530 transcript:itb03g08530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVYAKSSAYTDGGAYAYLVYAILPLLKLICLTVIGLILAHPKTKIVPKATFKLLCKLVFALFLPCTIFIHLGEAITLKNFMRWWFIPVNVIVSIAIGCLLGYLVTKICRTPPEFNRFTIIATAFGNTGNLPLAIVGSICHSEDNIFGPDCHSNGVAYVSFSQWIAVLLVYTLVYHMMEPPLDYYEVVEEGLEIQEHLPPANDLSRPLLLEAEWPGMEENETVHCKTPFVARIFTSASSISQNSIPDPDFLEAAAPNRSSVEEAPPSPKSIRCLVEPRVVRKIRIVAERTPIQHVLQPPTFATLLAFIVGMIPPVKSFVYGDDAPLSFLTDSLEIMAQAMVPSVMLILGGMLAEGPNESRLGIRTTVGIIVARLLVLPLLGIGVVTLADKANILIPDNQMYRFVLLLQYTTPTAILLGAVARLRNYSVSEASALLFWQHVLALLSLSIYLIVYFKLLLS >itb03g08530.t1 pep chromosome:ASM357664v1:3:6399251:6401640:-1 gene:itb03g08530 transcript:itb03g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVYAKSSAYTDGGAYAYLVYAILPLLKLICLTVIGLILAHPKTKIVPKATFKLLCKLVFALFLPCTIFIHLGEAITLKNFMRWWFIPVNVIVSIAIGCLLGYLVTKICRTPPEFNRFTIIATAFGNTGNLPLAIVGSICHSEDNIFGPDCHSNGVAYVSFSQWIAVLLVYTLVYHMMEPPLDYYEVVEEGLEIQEHLPPANDLSRPLLLEAEWPGMEENETVHCKTPFVARIFTSASSISQNSIPDPDFLEAAAPNRSSVEEAPPSPKSIRCLVEPRVVRKIRIVAERTPIQHVLQPPTFATLLAFIVGMIPPVKSFVYGDDAPLSFLTDSLEIMAQAMVPSVMLILGGMLAEGPNESRLGIRTTVGIIVARLLVLPLLGIGVVTLADKANILIPDNQMYRFVLLLQYTTPTAILLGAVARLRNYSVSEASALLFWQHVLALLSLSIYLIVYFKLLLS >itb11g15470.t1 pep chromosome:ASM357664v1:11:13141029:13142472:1 gene:itb11g15470 transcript:itb11g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEKFFQSVDPKRFEIERIEFALEKMQRMKDCCYDPAKLVTQEYNRFKQPRKKPARSMDEGIAYVRRVSVTPIRVYFFGPEANMSNRVLRQFHHDIDRFFRMSFVDEDREKMHSVNLCSRPFSGDDISRTEIYNRILITLENSIVIENAGLRYLGATAAANGTRPPNAR >itb13g13240.t1 pep chromosome:ASM357664v1:13:19758094:19761794:1 gene:itb13g13240 transcript:itb13g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAVETEGFPYLVCRLDNVQGIVDALTSVRWKRYQDAVVELSEHGIVLIVEETRCLQGKVYLQRELFTQYEFRAEGRPRFGVGLGLFVDCLNTFSVPGKSTIELRYPGPDMELLVKSVDSSEACIYAEIRTRIPETTPWDYNFEPAGSTPLSFTVKSAALKEAIDDLEWPGSSIQLTLQPAPPSVTFRSEGHGDLQIDFMHHMNTDTLFAFHCDHRISHRYKYKFLQATTSNIPSSVMKDNRGSKFTIGNGGMLKVQHLVSVARPASSHSHTDSAAHHQPSRIAYIEFFVKPEVDEPDA >itb04g00860.t3 pep chromosome:ASM357664v1:4:465552:467622:-1 gene:itb04g00860 transcript:itb04g00860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPLRMILPKGSHLSPRLLSLLKSFEESLASKLKSLIPGGGEDVISLLWMQQAIVSLSEIHIETKELITALEFPVSDWDEKWIDVYLDNSVRLLDICTSISSEITRINQANLFLSCAVHYLEGEEKQIMQACSSLNGWRQHMNSQNPRLERCFEKLNTLTESLNLPKIKNSAKGKVLMQALYGVRMVTIFICSVFAVAFSGSVGNLKELEVLETCLWADAYVDLQGFINREMRNIYSSGAATALKDLEAIHASVEKLHPIIQDGVNPIGADVLQHGTSGLAKMADRLSKGLDGLAKEVDGFFQIVLTGRDALLCNLRVGSNNASPSQENNAEQPTVR >itb04g00860.t4 pep chromosome:ASM357664v1:4:465534:467462:-1 gene:itb04g00860 transcript:itb04g00860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPLRMILPKGSHLSPRLLSLLKSFEESLASKLKSLIPGGGEDVISLLWMQQAIVSLSEIHIETKELITALEFPVSDWDEKWIDVYLDNSVRLLDICTSISSEITRINQANLFLSCAVHYLEGEEKQIMQACSSLNGWRQHMNSQNPRLERCFEKLNTLTESLNLPKIKNSAKGKVLMQALYGVRMVTIFICSVFAVAFSGSVGNLKELEVLETCLWADAYVDLQGFINREMRNIYSSGAATALKDLEAIHASVEKLHPIIQDGVNPIGADVLQHGTSGLAKMADRLSKGLDGLAKEVDGFFQIVLTGRDALLCNLRVGSNNASPSQENNAEQPTVR >itb04g00860.t1 pep chromosome:ASM357664v1:4:465533:467797:-1 gene:itb04g00860 transcript:itb04g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPLRMILPKGSHLSPRLLSLLKSFEESLASKLKSLIPGGGEDVISLLWMQQAIVSLSEIHIETKELITALEFPVSDWDEKWIDVYLDNSVRLLDICTSISSEITRINQANLFLSCAVHYLEGEEKQIMQACSSLNGWRQHMNSQNPRLERCFEKLNTLTESLNLPKIKNSAKGKVLMQALYGVRMVTIFICSVFAVAFSGSVGNLKELEVLETCLWADAYVDLQGFINREMRNIYSSGAATALKDLEAIHASVEKLHPIIQDGVNPIGADVLQHGTSGLAKMADRLSKGLDGLAKEVDGFFQIVLTGRDALLCNLRVGSNNASPSQENNAEQPTVR >itb04g00860.t5 pep chromosome:ASM357664v1:4:465533:467462:-1 gene:itb04g00860 transcript:itb04g00860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPLRMILPKGSHLSPRLLSLLKSFEESLASKLKSLIPGGGEDVISLLWMQQAIVSLSEIHIETKELITALEFPVSDWDEKWIDVYLDNSVRLLDICTSISSEITRINQANLFLSCAVHYLEGEEKQIMQACSSLNGWRQHMNSQNPRLERCFEKLNTLTESLNLPKIKNSAKGKVLMQALYGVRMVTIFICSVFAVAFSGSVGNLKELEVLETCLWADAYVDLQGFINREMRNIYSSGAATALKDLEAIHASVEKLHPIIQDGVNPIGADVLQHGTSGLAKMADRLSKGLDGLAKEVDGFFQIVLTGRDALLCNLRVGSNNASPSQENNAEQPTVR >itb04g00860.t2 pep chromosome:ASM357664v1:4:465534:467732:-1 gene:itb04g00860 transcript:itb04g00860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPLRMILPKGSHLSPRLLSLLKSFEESLASKLKSLIPGGGEDVISLLWMQQAIVSLSEIHIETKELITALEFPVSDWDEKWIDVYLDNSVRLLDICTSISSEITRINQANLFLSCAVHYLEGEEKQIMQACSSLNGWRQHMNSQNPRLERCFEKLNTLTESLNLPKIKNSAKGKVLMQALYGVRMVTIFICSVFAVAFSGSVGNLKELEVLETCLWADAYVDLQGFINREMRNIYSSGAATALKDLEAIHASVEKLHPIIQDGVNPIGADVLQHGTSGLAKMADRLSKGLDGLAKEVDGFFQIVLTGRDALLCNLRVGSNNASPSQENNAEQPTVR >itb07g17430.t1 pep chromosome:ASM357664v1:7:21568216:21571332:-1 gene:itb07g17430 transcript:itb07g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGSFGHVNFHNHPSHPFLPSPIDRFLWNHQQALNVEDEGQICPPENGVYDFPPPASSLHGHAPSWPSLPEASFVDAHHGQTIRQELRSLINDAKVVDAGKRANIDHGSGSSSSSTLIKGQWSEEEDRILMRLVKQFGMRKWSQIAENMVGRAGKQCRERWHNHLRPDIKFGMRKWSQIAENMVGRAGKQCRERWHNHLRPDIKKDGWSEEEERLLVEEHEKLGNKWAEIAKRIPGRTENAIKNHWNATKRRQNSRRKCNNNNRKQNNNNGKGVVSASSKKTRSTVLQDYIIAKCFNVVVDTPPPPSGGIINSVSEDPSIQFGSDGAGGSSASLLTHPTTHDEEMNFMEALFGKTTPKTNNNVVNTTTTPLQRCPDRCLSNFLDGPTPPSSSSSNGGELFVRSYHGGRRNNASKDIDLMELVFPSSHH >itb13g17040.t1 pep chromosome:ASM357664v1:13:23993939:23995852:-1 gene:itb13g17040 transcript:itb13g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIMGYNYSMVAIAPYGEYWREIRKIVLLELLSNRRIEMLRKVRESHVRKAIKRTFDHWSHNKDPISGAVVVETKQWFSRLIINLSIAMLFGEEEVADESQLLKSIRSLLELFAEMPVSDCIPWLRWMDLGGYEKAMRKTAEEMDSAADRWLKEHKTKRNLKSKEEEDFMDAMLSLFDASSNQTHPLGPDNDVIIKSTCLTLLVAATDTSSITLTWALSLLLNNYDELRRIQDEVDTKIGKHSCIEESDTNQLIYLQRNIAFTSTRSTLFTHEAIEDCTINGYHIQKGTRLIPNLAKIHRDPKVWVEPNEFRPERFLTTHKDIDIRGNHFEMIPFGSGRRMCPGITLGLRILHLTLASLIQSFDMKRPSIEPIDMTQSPGLSSPKATPLHVLLTPRLASDLYG >itb08g05790.t1 pep chromosome:ASM357664v1:8:4765835:4768971:-1 gene:itb08g05790 transcript:itb08g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLFLLALLFSFQNISFARDTISSTQFLKYGDTIVSSGGIFEMGFFSPINSQNIYIGIWYKHIPIRTVVWVANRDTPLTNTSSVALQIISSAQLALVDSNGADIWHTNASRLVPNPVAKLLDSGNLVVTDANDDNPENFLWQSFDHPTDTHLPGMKLGRDFIAGLDTTISAWKSENNPGTGDYKLSVVPAGYPQLILTKGRKEESRWGPWNGLHWGGLAGMQKKTATAEMFVIVNTKEVSFSYTVSNSSTLIRTVLSNDGSVEIYRWADGRREWNSLRKVPTDVCDEYGLCGAYGSCNYNNNPVCGCLDKFLSRDPGAWGRADYSGGCVRRTPLKCQNTSSDGFVKYSGIKLPDTQFSWFNSSMNLQECEKVCFNNCSCMAYSSLDISNGQNGCLLWFTDLVDIKVLPVDGQDLYIRMASSDLDYPSSSKGKKSKMIKLTSSILAGILLGLSLSSTLGVLDVGKEIAVKRLSKTSKQGLGEFKNEVNSIARLQHRNLVKLLGWCIQAEEKMLIYEYMPNKSLDSYIFDNRRRALLDWPKRFNIINGIARGLLYLHQDSRLKIIHRDLKASNILLDFDMNPKISDFGLARSIELNEIGANTNRVAGTIGYMSPEYAGHGLFSIKSDVYSFGVMVLEIVSGKRNRGFSQHQDHYENLLGHAWKLYRDSRLVELIDEHLDEPCDFPQILRSIHIGLLCVQHCPEDRPTMFSIVQMLANNVELPIAKEPGFFTESTVRIEADPSSSKEISCSIVTISLPR >itb04g31430.t1 pep chromosome:ASM357664v1:4:34320160:34320621:1 gene:itb04g31430 transcript:itb04g31430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRKGEELTAEKALPHGYLDRVKDRGRIIQGWARQAKILNHPSIGGFVSQCGWNSALESINFGVLIIALPGFFEQPLNARCLVDLGVAVEIKRDSGGKLRRDEMARVVGEVMGAGKRGETLKRNMKKMSEDLKLRREEEIDAAVQELEKLCN >itb12g10240.t1 pep chromosome:ASM357664v1:12:8293535:8295779:1 gene:itb12g10240 transcript:itb12g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLKLLILVAIAAAQLCYATSGEIEFTYNGFRSANLSLDGVAQITSNVLLRLTNEATLKIGRAFYPMPINFKNSPNASAFSFSTTFVCAMVPQFPAPFTHGLTFAITPPGGLPGALPYPYFGLFNETNSYGNATNHVVAVEFDTTQSPQFDDIDNNHVGIDINGLRSALAKPAGYYQGNQFHNLSLGSGKPIQVWVEYDGRAKQMNVTVAPLSVGKPSKPLLSFPHDISLDILETVSLGFTSATGSIASSQYVLGWSFKMNGIAQELDPSKLPKLPRVGPKRKSRFLVIGVPVITIFFLIIIALGVGYYVYRKRKFAELVEDWELDYGPHRFTYKELYYATKGFSAKEILGAGGFGSVYRGVLPNKQGEIAVKKIYHQSTQGMRAFIAEVASMGRLCHRNLVPLLGYCRRKRELLLVYEYMPNGSLDRYLFDKPIRTLSWDQRFQVIKGVASGLFYIHEEWEQVVVHRDIKSSNVLLDSEWNGRLGDFGLARLYDHGTDPQTTHVVGTHGYLAPEHIRTGKATPSSDMFAFGAFLLEVACGRRPIEQKVPNDVFILVEWVFSLWSRGEILHTVDPKLGENYVVDEANIVLKLGLLCSLLDIEFRPTIRQVVRYLEGSIALPELSILTLSTAGLTVSRSEGFDDFVSSLTFSGEKIGSRRSSVMNPIISEGR >itb10g23540.t1 pep chromosome:ASM357664v1:10:27839124:27844582:1 gene:itb10g23540 transcript:itb10g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTPSLLAPQPSWFTPKRLLAIFCAINLLNYVDRGAIASNGVNGSPRSCSDSGTCSSGSGIQGDFNLNNFQDGVISSAFMVGLLVASPIFASLAKSVNPFRLIGVGLTVWTLATAGCGFSFDFWSITIFRMFVGVGEASFISLAAPFIDDNAPVEQKTAWLGIFYMCIPSGIAVGYVYGGLVGSYLTWHWAFWIEALLMLPFAILGFVMKPLQLKGFSHTEPEKALISVETDCLGDEVASIGKDGSIEATKASRSSDADSYSDHLMRVLKDMKCLLVERVYVVNVLGYIAYNFVIGAYSYWGPKAGYSIYHMSNADLLFGGVTIVCGIFGSLAGGYVLDLMNSTLTNAFKLLSVATFLGAIFCFAAFCFKSLYAFIVLFAIGELLVFATQGPVNYVCLHSVKPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDHINDWRISALVLTSVLFLASGIWFIGIFLHSVDRFNEDSEHSIPIPDRSSTTPLLEENSAERSV >itb03g28950.t1 pep chromosome:ASM357664v1:3:29651813:29655425:-1 gene:itb03g28950 transcript:itb03g28950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWGSQEQQAQPHPQEIPTESWYPSSVVSSPSSSRPTTPSPSSSGSFSVSRPSDRQNSASHVSPAEAAGIIAVLKDKSVEELRKLYSDKDAYHNFLLSLEAVKTQNNVRDELRNETMQLARENLEKEPRIMELRNQCRIIRTTELAAAQEKLHELERRKGELLKFYSPASLLRRLHEATNKTEEESDTLHRQLLDQEIDLSAFVQKYKKLRQAYHKQALTHLAAKTSITG >itb04g01340.t1 pep chromosome:ASM357664v1:4:765241:767254:1 gene:itb04g01340 transcript:itb04g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLLGSKDDADKRSEEDLALRLYQSFKHQRYLVVMDDVWSVEAWDALKTCFPDDGYGSRVLLTTRIGEVANHICTQNDLSHQMQLLEQSESWKLFNERAGKSRCAEFEMIGRSVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANTLSSSSATTLDDEECLRIISLSYNHLPHNLKACFLYLGVFPEDRVINANELAKLWLAEGLVKAFENESFDAVANSQSNYGNVFLPLRNLPRKFKKSNVTMETTIWLLLREAHYMVGHLRKA >itb12g00310.t1 pep chromosome:ASM357664v1:12:300732:303072:-1 gene:itb12g00310 transcript:itb12g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGTCLFMILLSSLMFSTVLSQINGASSNFTRKHTFIVLVDPHSKPYPFLSHENWYSSLISISSAPIHVYNNLFHGFSARLTLDNAKYVENRGGVLGVFQDSVLQLHTTRSPSFLGLHHLESQFVNSTSSDVVIGLIDTGIWPESESFSDEGFGEIPGRWKGECEEGVGFNGSDCNKKLIGARYFLGGYEGSFGKAGEYRSPRDSDGHGTHVASIAAGAPVPDAGFFGFAGGMARGMAPGARISIYKVCWASGCLMSDVVAAFDKAVSDGVDIISYSLGLSDLPFYLDFLAIASFRAVQRGVLVVASAGNEGPLTGSITNAPPWILTVGAGTLDRRFPAFVHLGNGEILSGESVTLSTRSIMGRYPLQLHLLRNVTSSPFDFSRQDIIGKIVLCMLQKHVPRLSLGMFLKKAGALAMLISHGEIDPEGLISEPHEIPTVTIGVAEAQMAADYILANENPVAAIFSGGTVSKHARPAPIVASFSSRGPNSMVPTILKPDIIAPGVNILAAWTGAVGPSGTASDDRRSRFNILSGTSMACPHVAGVGALIKSVHPNWGPSEIKSALMTTASIQQFHHNPTHFSPILDESTNNATNPFVMGAGHIHPKRAMDPHLVFDTTHQDYVHFFCKLNYTKKQIKIMTGKSNIFCSNSDRDLNYPAIIVEEEVARGGVALVRRLKNVSEGVEEYEGKVVGPRGYYRINVRPKRLKFHRIGERLKFTVNLKGQSRNRRDLWVGAIIWRERVQKHRVRCPIIIYPTNA >itb04g10960.t1 pep chromosome:ASM357664v1:4:10500580:10504038:-1 gene:itb04g10960 transcript:itb04g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDGDSSKQSADMTVFVQNLLQQMQTRFQAMSESIISKIDEMGNRIDELEQSINDLRAEMGQDGSPSPSVALKSKEESKSENDSA >itb06g04800.t1 pep chromosome:ASM357664v1:6:7594899:7598491:-1 gene:itb06g04800 transcript:itb06g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1/BZR1 homolog protein 4 [Source:Projected from Arabidopsis thaliana (AT1G78700) UniProtKB/Swiss-Prot;Acc:Q9ZV88] MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRLYGNYHLPKHCDNNEVLKALCNEAGWTVELDGTTYRKGCRPVESTDFLGGSTSASTCSSYQTSPCTSYNPSPASSSMPSPASSSYVANASVEGNSLIPWLRNLSSGSSSASSSKFPHFYLHGGSISAPVTPPLSSPTGKTPRIRSDWNGPSACVGWGGPHYSFLPSSTPVSPSRQTHPPHSEWLAGIQIPHGGATSPTYNLVSPNPLGFKAQTLPHHRGSLISTPGQSGTCSPAIAAGFDHTADVPMAEAVSDEFAFGSNTAGFVKPWEGERIHEDCGPDDLELTLGSSKTR >itb01g25590.t1 pep chromosome:ASM357664v1:1:30984710:30989277:-1 gene:itb01g25590 transcript:itb01g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGQSSGGGKAGSAAEKKKGKSKPSRTSMILWHAHQNDANAVRKLLEEDRTLVQARDYDHRTPLHVAALHGWIDVAKCLIEHGADVNAQDRWKNTPLADAEGSRKLRMIELLKSYGGQSFGQNGSHLEPRPVQPPLPNKCDWEVDPSELDFSNSVVIGKGSFGEIVKAYWRGTPVAIKRILPNLSDDRMVIQDFRHEVNLLVKLRHPNVVQFLGAVTDKKPLMLITEYLRGGDLHQHLKEKGALSPSLAINFAMDIARGMAYLHTEPNVIIHRDLKPRQVYIFALHEWTHGYLLDIATLMNVLLVSSSAEHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHKKYDKKVDVFSFAMILYEMLEGDPPLSHYEAYEAAKHLAEGHRPAFRAKGFVPELKELVDQCWAMDINKRPSFIEILKRLEKTTPK >itb07g15670.t1 pep chromosome:ASM357664v1:7:18688160:18691073:-1 gene:itb07g15670 transcript:itb07g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGIRYLESPLLDLSHLSNLKLLYLKDLQNLRALLKLPPCLIRFQVVNCNTVQISLEVDRYDVHCSIPTLSWDKSSLQIRKNRVFDGNVEFDGNVEFDGVGVSVRSKTTGAWIVVKEPPEYINFKRFEKNKFEVGTRIGEVLEVYADLYLLQMEKMQLCLFEIHRNEDGEVRFFPSTRDLEEIDDGTTGDGCLGSTRQNENRLMIEEEQNGWSRRRCYSSSSILWEFIRKCFCWDEQGR >itb15g23890.t1 pep chromosome:ASM357664v1:15:26803763:26804172:1 gene:itb15g23890 transcript:itb15g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADEKPQWESPAAGPRKLPMIGNLLHFCGSLPAHHVLRDLAKRHGSSSGLMHLQIGEISTVIVSSAEMAKEFLRTHDLVFATRPELTAAKILMYNSSDLHQAG >itb11g22500.t1 pep chromosome:ASM357664v1:11:24464643:24468002:1 gene:itb11g22500 transcript:itb11g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAPQRKLKIVAKTQPLFAPATLKRCSEEEEEHSCTGSSSSVTDHYNEQRNCRLIGVEEGNREHHIMEQKLVLGLRGVGMHAAIESIEKNEFSSSVMKKAKLQAFGLFAKAVEEKSGFGNGNMQFAWLGASKKELTSILQHGFDPSMNKDGSFGHGVYLCPDYFPLGCLNSAMADENGMRHVLYCKVILGKTELVRPDSTQWHPSSQEFDCGVDDLVFPKKYIVWSTNIDTHILPLYIISFTISSLNAHNSFGVQGNTVSQKKPNSPRITFPSLVAELEKFLPPQIMQSITTYVKDHREGKITRLEMIKRLRRLAGDELIIKIVKAQKDMETNTSMGNKTGVRRNT >itb08g13850.t1 pep chromosome:ASM357664v1:8:15240174:15241155:1 gene:itb08g13850 transcript:itb08g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKTEMYPWGDYKQMSYSHEEEKMYTENYYNTNNGSTMQMVRPNNPTCISTGTPQPPYNHHLAGKYHHDAHKHFNKEKMHDYKEKHHYGDGHMVQETTMFSSERLVSSGRPSHVVMPPNKHETKDYSGDRRHVVHYFQLKNLDD >itb02g11400.t3 pep chromosome:ASM357664v1:2:7623443:7624794:1 gene:itb02g11400 transcript:itb02g11400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MTTYKQLEVGFEDPNPRKWCVPLKEDAFASLMEKGNNATAPQKVFSQGSLFSPFLFGKFFDPSDAFPLWEFESDVLLANLRCSNHCTVDWLQTETDYILKAELPVTGVQICVANRKVLEISGLWRQQREARSSNDWRSGQWWEQGYVRRIELPENADWRKTEASVNNDIILQIRVPKAPPNSEIGRGSNGAAKDYSEIA >itb02g11400.t2 pep chromosome:ASM357664v1:2:7623443:7624794:1 gene:itb02g11400 transcript:itb02g11400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MTTYKQLEVGFEDPNPRKWCVPLKEDAFASLMEKGNNATAPQKVFSQGSLFSPFLFGKFFDPSDAFPLWEFESDVLLANLRCSNHCTVDWLQTETDYILKAELPGVQICVANRKVLEISGLWRQQREARSSNDWRSGQWWEQGYVRRIELPENADWRKTEASVNNDIILQIRVPKAPPNSEIGRGSNGAAKDYSEIA >itb02g11400.t1 pep chromosome:ASM357664v1:2:7623443:7624794:1 gene:itb02g11400 transcript:itb02g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MTTYKQLEVGFEDPNPRKWCVPLKEDAFASLMEKGNNATAPQKVFSQGSLFSPFLFGKFFDPSDAFPLWEFESDVLLANLRCSNHCTVDWLQTETDYILKAELPVTGVQICVANRKVLEISGLWRQQREARSSNDWRSGQWWEQGYVRRIELPENADWRKTEASVNNDIILQIRVPKAPPNSEIGRGSNGAAKDYSEIA >itb07g17640.t1 pep chromosome:ASM357664v1:7:21931785:21935627:-1 gene:itb07g17640 transcript:itb07g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVFGLAAVSLAVVFLVYLWRVLNWAWFRPRKLQKCLRQQGLKGNKYKFIFGDLNQVIKSTKEAKSKPMNLSDDISPRVLPFFTDAIQKNGENSFIWLGPNPVVFIKESELIRDVLTKHTVYQKPPSNPLTKLLAQGVASYEEDKWSKHRRIINPAFHMEKLKHMIPAFYLSCIEMLGEWEKKIGNQGLAEVDVWPHLQQLSSDAISRTAFGSNYEEGRKIFELQKEQAEHIIEVSRSIYIPGWRFLPTKRNRRMKEIEKQVQASIRCIIDKRVMAMKAGEGSKDDLLGILLESNFKEIEKQGNRDFGMTTGEVIEECKLFYFAGQETTSVLLVWTMILLSRHQEWQTRAREEVFKLYGKDKPDLDGLNRLKSVTMILNESLRLYPPAVSLARRTKQETKLGDLTLPPGVIILMPVILNHHDEKIWGDDAKEFKPERFSEGVSKATQGQQTFLPFGGGPRICVGLNFAMLEAKLVMAMILQHYSFELSPSYAHAPTTVITLQPQCGAPLLLRKL >itb02g01820.t1 pep chromosome:ASM357664v1:2:1002292:1009823:1 gene:itb02g01820 transcript:itb02g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSKFEGGTHNLSPGVRMTIETLKEVAKGHSEADIYATLKESNMDPNETFQKLYHQDTFHEVKRRRDRKKEALNVPKVNIQNTGYKTEEDRKEFQPANLPAKPKTHNNLIRRGPYKRNALPGTGVGFRVVRDNRINQHTDTETLKPAHGSTHSEPVMPNSLTSSKGSSNNKVSSGDHPHASNEPISSQPVPPRDANVTATNMKNFPRERQVSDINGTSQMGSVKQNGSKPHSTASSNNSVLGVYSSSSDPVHVPSHDSRSATNVGGIKRDVGVVGARRQPMESSAKPSSSQSSSFSNSHAGQQRPTSRGAMRSFNSFSKTDQNAASVSSSHSGGRSFTSYQHNHRMHQSVGHQKGVQPNKEWKPKLSQKSGVNGPEPDVEPEKSASPANRSDYMEEASSNLQDKISRVNISENVIIAPHLRVSESDKYRLTFGSLDADFQSPNTSAEEQHNEHSESLSASVSDPLSEEPAGGKQLVLDDDLPQNSGSSSPVPCAIPDPPQIDKKESSTPQQLDNYADVGLVQDSTNSFDSQRQQDASDLPTFSAYDPQTGYDLSYFRPNTADEAVRRQGLLSPQEVLSTHGGNIIPASSIGMVPQVQQQQQQPVAQMYPQVHVPHFTSIMPYRQFLSPVYVPPMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLSASGLKYGMQQYKAVPTGSQTGFGSFTSPTGYAMNAPGVIGSAAGLDDSTRLKYKDGNLYVPNPQAETSEIWMNARDVPNLQTGSYFNMPAQTPHGAYMPSHSGHASFNAAAVAQSSHMQFPVYHPPPQPTPIANPHHLGTAISGNVGVGMAAAAAPGTQLGAYQQPQLGHLNWTGNF >itb14g06110.t1 pep chromosome:ASM357664v1:14:5289872:5300912:1 gene:itb14g06110 transcript:itb14g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MEELQNNWNTYRRQRGSAGRRPKAEGRQSAVDSSSGRRRSSAVTQRRQRGGDGLWPNLAFSDLHCCRPTTYALRVYAPSPHGLTALTSSDSQTRQRLHLSAETPVTGDPFPPVDRDAETPSQSDSLPLFLRSLKAKFSRLERIQWLLLTISMMTMILAGISLDPGVQNLVIGTKRSFGELDDDEEDLFGSKKGNIKVEETAPGAATGTILSLRESLKDCKETLATCQSELEAAKSEILKWHSSFQKESFMPSGTTPEPKIVINYLQNLRSSEELLREQLEKAKKKEAAFIVNIAKRDQEIAELKSAVRDLRAQLKPPSMQARKLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNINAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQANEGKMHELAMKLALQKSQNAELKGHFEGLCKQVEGLTNDVEISNEMVFMLQEKLEEKDMEIRRIKKELEQRGVKEEPLEKTITTEEKNEPSSDDKIATNEGGLTTEAPLEDS >itb15g19630.t1 pep chromosome:ASM357664v1:15:22075992:22077899:1 gene:itb15g19630 transcript:itb15g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSPLSLFCALLLCLPLALILTINSPFSPAATAAVAGGGEGNYPLSEDGNGGKVKGESTVTTFSPPPPIGRPEDGNDGKVNRKSTVITLSPPPTEEEEEDDPMIRVSGRVGSDQRPVTKPKKLAFMFLTTTPLPFAPLWELFFNATPENLYNIYVHADPRYNYTPPFHGVFAGRVIPSKPTRRNTPTLAAAARRLLAHALLHDKSNYMFALLSPLCIPLHSFNFTYKTVINSTKSFIEILGREPWAYDRWAARGDYAMLPEVRFEDFRIGSQFYILTRKHARIVVRDRKLWSKFKQPCLYRDTCYPEEQYFSTLLSMVDPQGCSHATLTHVDWKGSHGGHPRMYSADEVGRGLILTLRNDRPRYGDEEMNGSDPSLTKRHDPFLFARKFSPDSIEPLMRIANDVILKE >itb05g25770.t1 pep chromosome:ASM357664v1:5:29999818:30002658:-1 gene:itb05g25770 transcript:itb05g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MAPSRDSKPLDGEADGNVSSGDAAGAPDSIKSRVTVVGSGNWGSVAAKLIASNTLKLNSFHDEVRMWVFEEVLPTGEKLSEVINKTNENVKYLPGIQLGKNVIADPDLEHAARDANMLVFVTPHQFMEGICKKLVGKIRKDAEAISLIKGMEVKMEGPCMISTLITEQLGINCCVLMGANIANEIAVEKFSEATVGYRENKEIAQKWVQLFNTPYFMVSAVQDVEGVELCGTLKNVVALAAGFVDGLEMGNNTKAAIMRIGLREMKAFSKLLFPSVKESTFFESCGVADVITTCCEYKQKLNSFPVQLWYVIMCLEMQ >itb05g01810.t1 pep chromosome:ASM357664v1:5:1431236:1440219:1 gene:itb05g01810 transcript:itb05g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLKGVVAGSGTGVKDLPYNIGEPYSSAWGSWVHYRGTSKDDGAPVSIFALSGSNANDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVETFDGSTTKVTIYIVTEPVMPLSEKLKELGLVGTQRDEYYAWGLHRITKAVSFLNNDCKLVHGNVCVASVVVTQTLDWKLHALDVLSEFDGNNETSTGPMLQYEWLIGTQYKPLEMVKSDWTAIRKSPPWAIDSWGLGCFIYELFSSTKLSKTEELRNTGSIPKSLLPDYQRLLSATPSRRLNSSKLLENSEYFQNKLVETIQFMEILNLKDSVEKDTFFRKLPNLADQLPRQIVLKKLLPLLASALEFGSAAAPALTAFLKMGSWLSTEEFSLKVLPTIVKLFASNDRAIRVSLLQHVDQFGESLSSQIVDEQVYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASHLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDVTEIATRILPNIVVLTIDPDSDVRSKAFQAVDQFLQLLKQHDKASTGDGTGIGMQTSSIPGNASLLGWAMSSLTLKGGKPSEYSSVTPANSSAPHNSAISNASLGTEDANIAPIQVRSSTDIADHPAPESPTSTDGWGELENGIHDDLENEKDGWDDMEPLEDPKPPAALANIQAAQKRPVSQPKSQASIPRPKSISKMNADDDDLWGSAAAPVPKTTKAAASSRASFDNDPWDSVAPAPKTTAKKAATADDDLWGSIAAPEPTTAARPSSIGRGRSKPAAPRLGAQRINRTSGT >itb02g18450.t1 pep chromosome:ASM357664v1:2:14833291:14839347:1 gene:itb02g18450 transcript:itb02g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 51 [Source:Projected from Arabidopsis thaliana (AT3G18600) UniProtKB/Swiss-Prot;Acc:Q9LIH9] MPEISKVDSAKRKRIRKRDSRKKHSMTDGSLTVAEEEVDKLKENENYGSEHEKGEEKSLKKDMKKKRKDLAKRMEKDEDENLGEQGSKEEKKKKNLVRKREEDDEGREEEEEDEEEEEEEDDEDERKKEIKKAVRSSGSGIMSTELFSTLGLSELTMKAINEMGFEYMTQIQARAIPPMLEGKDVLGAARTGSGKTLAFLIPAVELLFNVKFTHRNGAGVIVICPTRELAIQTHAVAKELLKYHSQTLGLVIGGATRRGEAERIKKGVNLLIATPGRLLDHLQNTKGFVYKNLKCLMIDEADRILEANFEEEMKQIMKLLPKEGRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKRATNEGLQQGYCVIPSAKRFTLLYSFLKRNLSKKIMVFFSSCNSVKFHSELLQYIQIDCFDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPSVDWIIQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELKFLAYLKAAKVPVKQYEFEERKLANVQSHLEKLVSNNYYLNKSAKEAYRSYILAYNSHSMKDIFNVHRLDMQAVAASFCFSSPPKVNLNIDSSAAKFRKKHKGEGRNGFSERNPYGNKGENDQRQFVRY >itb08g16800.t1 pep chromosome:ASM357664v1:8:18830883:18831194:-1 gene:itb08g16800 transcript:itb08g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFTWMFCGTIPGVTAIQALVDSWKVQCKWNTQPNDHVLFRFNTEEDRCSILSKGDYSLFGKPLFLKSLPEHFHLENKDFSTLPIWVQFPYLPSDFGEKLL >itb03g20760.t3 pep chromosome:ASM357664v1:3:18599981:18601224:1 gene:itb03g20760 transcript:itb03g20760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRLYQLSPLPSIFRIATTQGFSNAGNPFRNGSAFSHLLQAQARSYARSRHYYDLFKGRVPGAKEFRKTWAKEMEDEDNCLWTGSEGESEPENEPSSLKKEIRKAKQRAIEHSDCIDADDSDELRSVWSGSDEEKTLWTGSEGDDDDDIPTEAYPNEKSDEYIDKLFEFDEKPKYRTLSEALKDEEEPEELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDLDILIGAFENIISGPEYAELRQGGPRKLNMQFFKDIQARMRDPNYKFSPELKLKPKSKVVPRKKWQKVESRRRKARKR >itb03g20760.t2 pep chromosome:ASM357664v1:3:18599938:18603286:1 gene:itb03g20760 transcript:itb03g20760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRLYQLSPLPSIFRIATTQGFSNAGNPFRNGSAFSHLLQAQAARSYARSRHYYDLFKGRVPGAKEFRKTWAKEMEDEDNCLWTGSEGESEPENEPSSLKKEIRKAKQRAIEHSDCIDADDSDELRSVWSGSDEEKTLWTGSEGDDDDDIPTEAYPNEKSDEYIDKLFEFDEKPKYRTLSEALKDEEEPEELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDLDILIGAFENIISGPEYAELRQGGPRKLNMQFFKDIQARMRDPNYKFSPELKLKPKSKVVPRKKWQKVESRRRKARKR >itb03g20760.t1 pep chromosome:ASM357664v1:3:18599981:18603286:1 gene:itb03g20760 transcript:itb03g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRLYQLSPLPSIFRIATTQGFSNAGNPFRNGSAFSHLLQAQAARSYARSRHYYDLFKGRVPGAKEFRKTWAKEMEDEDNCLWTGSEGESEPENEPSSLKKEIRKAKQRAIEHSDCIDADDSDELRSVWSGSDEEKTLWTGSEGDDDDDIPTEAYPNEKSDEYIDKLFEFDEKPKYRTLSEALKDEEEPEELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDLDILIGAFENIISGPEYAELRQGGPRKLNMQFFKDIQARMRDPNYKFSPELKLKPKSKVVPRKKWQKVESRRRKARKR >itb01g11730.t1 pep chromosome:ASM357664v1:1:10809982:10819922:1 gene:itb01g11730 transcript:itb01g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMREKKMSETTTSSRKLPDFKQSVKVKYVKLGYHYLITHGMYILIPLFLSPLLLPFAAHLSKLSLEDLYALSDHHLRSNLASLTICSTLLVFLCTLYFLTRPRPVYLVNFSCYKPGNGNMCSREGFMVRTQRIGTFTDENIEFQRKITERSGISDFTYLPDALNRIPANPCLAEARKEAEMVMFGAIDEVLEKTCVKPKDIGILVVNCSLFNPTPSLSARIVNHYKLGGNVLSYNLGGMGCSAGLISTHMAKDLLQVHPNTYALVVSMENITLNWYFGNHKPMLLSNCLFRMGGAAVLLSNKASDRRRSKYQLVHSVRTHKGSDDKCYSCVYQREDGDGEMGVSLSKDLMAVAGDALKTNITTLAPLVLPMSEQLLFFATFLARKLLKMKKIKPYIPDFKLAFEHFCIHAGGKAVLDEVEKNLQLSDWHLEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRAWQIGFGSGFKCNSAVWMALKTIDPAEEKNPWMDEIHNYPVQIPVANQSQKRDITESEERPVEADVARHLETQRRHCSTIPSRIICQPRRSPKRAATMTPPLGNLASPSLLLDQTRSTNRSPKIGKQIRWPTKREREITKSEERPVEADVARHLETQRRHPQPHHLPASPFARKSSNDDATAGKPCFAVAVTGLDPIDKSEPKNWQTNTVARFFVSSLMISLFLCGPTTVEVAEREAHQRTFKWDDGSEQNNGDDYHNLDDIWKGMAFFMEISKPHHLLASPLAGKSSNDDATAGKPCFAVAVTGPNPIEKSEPKNWQTNPVARFFVSSLLISLFLCGPTTVEVAENRGTPVTIQMVAG >itb07g17910.t1 pep chromosome:ASM357664v1:7:22234671:22242594:-1 gene:itb07g17910 transcript:itb07g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVKSELTPEEEKLCIRDISISAEAQTKEGDTFYLITQRWWHEWLEYVNQNQINGLNDGSASEHCALKRPSSIDNSDIIYEATSESSVMGIELRDTLVEGTDYILLPQEVWNQLYTWYGGGPILARKVISSGLSQTELAVEVYPLRLQLHLMPNDECSTIRISKKETIGELHKKASKIFNLNPEQVCIWDYFSHQKHALMNDMEKTLDDANIQMDQDILVEVVSNNAGGGASFIHDNGSAPNGTITGHVEPSKLNFSIAGGLSASKGSARNGNAELSQLQSLASPVRETEKTYGTSGVSTRGSACGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYYQEINKQNPLGMVGELALAFGDLLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQSATTRSMTVTIFTCDGSALPSACTVTVPKQGRCRDLIQALANACSLKPNEKFLLAEIRGHLIHRYLEDPLISLSSIKDDDHLAVYKIPKLVKSSKFLQLIHRREEREVGISQSSVGWKPYGTPLVSPISCDDAITRGDIQSIVYKMLSPMLRTENPGYYNFSSAKTSVAAPNSSSAAGTSEACKDSGVADANEKLPLQLVDENNACIDLTIGEDKAVKVSSSSKSILVFIDWPQQLLENYDTHYLENLPEVTKYGSVTKKARTEPLSLYSCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDVTKYVANKNNSQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRVKTDDNSASNGIASSGGHHTISSRK >itb02g09540.t1 pep chromosome:ASM357664v1:2:6101350:6103667:-1 gene:itb02g09540 transcript:itb02g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSTAASTAISCKPRLCSRKSVRVNCSLQTPSLLHFPKQTSSPVTGLSSGGAVASPVEERCSSSSPAAPRWNLFQRMAAMALDAVEGGLTAHELEHPLPKTADPRVQIAGNFAPVTEQAVRQGLPVVGKIPECIQGVYVRNGANPHYEPVAGHHFFDGDGMVHAVKFNKGAVSYACRFTETQRLVQERAVGKPVFPKAIGELHGHTGIARLMLFYARGLFGLVDHSKGTGVANAGLVYFNNRLLAMSEDDLPYHVRVTPGGDLKTVERYDFNGQLNSTMIAHPKLDPVSGELFALSYDVIQKPYLKYFRFSKTGEKSPDIEIPVPDPTMMHDFAITENFVVVPDQQVVFKISEMIHGGSPVVYDKNKVARFGVLNKYAKDSSEMKWIEVPDCFCFHLWNAWEEPETDEIVVIGSCMTPPDSIFNECDEGLKSVLSEIRLNLKTGKSTRKPIIQDPEDQVNLEAGMVNRNKLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEVKKFIYGDEKYGGEPMFLPGSSAAEDDGYILAFVHDEKAWKSELQIVNAQTLKLEATVKLPSRVPYGFHGTFINAKDLATQA >itb05g13420.t1 pep chromosome:ASM357664v1:5:20353567:20357450:1 gene:itb05g13420 transcript:itb05g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTTTACCTIFLLYSLQAEPTRPPFSCDSSNPLTTSYPFCNSSLSIPERVGYLVSRLTLQEKISQLVNSAAAIPRLNISAYEWWSEALHGVSRHGRGIRFNGTVHAATMFPQTILSAASFDERLWYRIGQAIGREARAMYNAGQAMGMTYFAPNINILRDPRWGRSQETAGEDPPMVGKYGVAYVRGIQGDSFEGGALKDHHLQAAACCKHFVAQDLDNWHNVTRYVFDAKVGEQDMADSFMPPFKRCVEEGKASSIMCAYNLVNGVPNCANYDLLTKTARQQWGFQGYIVSDCGGVKIIHSQQGYAKLREDAAALVLKAGMDLNCGSYLRKYTMLALEKKKVQESDIDRALNNLFSVRMRLGLFDGDPKELEYGDISPKEICSQEHRGLALEAVRNGIVLLKNSAGLLPLSKTQTASFAVIGPSANASEPLLGNYEGFPCKNVTFLQALQSYVSNTMYHQGCDFVNCTSAATDEAIKIAEVADNVVLIMGLDQTQEREKLDRTDLILPGKQESLISDIAKVAKKPVVLVLLSGGPIDVSFAKENPKIGSILWIGYPGEMGGIALAETIFGDNNPGGKLPSTWYPQDFIKIPMTDMRMRPENSTGYPGRTYRFYTGPKVFEFGYGLSYTNHLYKFTSVTQNSLHLTHNFSSTPKRSVSVSEIQPEICRVAAFSAKVRVQNNGKIASKHPVLLFLRQDRRGQRDPIKQLVGFQSVKLNAGESSEIEFVVNPCEHFSKASENGSMVIERGKYFLVVEDEEYPISVVT >itb14g10890.t1 pep chromosome:ASM357664v1:14:12376709:12379419:-1 gene:itb14g10890 transcript:itb14g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLLLCVLGLLLLAAFPVTAKATPLTVHGLGNLRRSLAEEDSILSSLETFYYNQTLDHFNYQPQSFVTFPQRYLINSKYWGGGNDPIFVYLGGEDVLEDDITLFGFIHESAPRFKALVVYLEYPHVAVGALASSAPILYLDEMVPPDGFFQIVSRDYRVCN >itb04g03320.t2 pep chromosome:ASM357664v1:4:2044620:2046639:-1 gene:itb04g03320 transcript:itb04g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAAAAVETGHPLLDSAAVETLPASAAVETLPASAAIDTLPVASLVVVSTTVTVLNSDTPEYERIQWSHCWC >itb04g03320.t3 pep chromosome:ASM357664v1:4:2044620:2046589:-1 gene:itb04g03320 transcript:itb04g03320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAAAAVETGHPLLDSAAVETLPASAAVETLPASAAIDTLPVASLVVVSTTVTG >itb04g03320.t6 pep chromosome:ASM357664v1:4:2044620:2046487:-1 gene:itb04g03320 transcript:itb04g03320.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAAAAVETGHPLLDSAAVETLPASAAVETLPASAAIDTLPVASLVVVSTTVTAAIYYAQAS >itb04g03320.t1 pep chromosome:ASM357664v1:4:2044620:2046487:-1 gene:itb04g03320 transcript:itb04g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAAAAVETGHPLLDSAAVETLPASAAVETLPASAAIDTLPVASLVVVSTTVTVLNSDTPEYERIQWSHCWC >itb04g03320.t5 pep chromosome:ASM357664v1:4:2044620:2046487:-1 gene:itb04g03320 transcript:itb04g03320.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAAAAVETGHPLLDSAAVETLPASAAVETLPASAAIDTLPVASLVVVSTTVTAAIYYAQAS >itb04g03320.t4 pep chromosome:ASM357664v1:4:2044620:2046639:-1 gene:itb04g03320 transcript:itb04g03320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPAAAAVETGHPLLDSAAVETLPASAAVETLPASAAIDTLPVASLVVVSTTVTG >itb02g04750.t2 pep chromosome:ASM357664v1:2:2811054:2814469:-1 gene:itb02g04750 transcript:itb02g04750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRLLLRKTKLLFRATPISASIPVLGQLHCSNESPITLASSADAFLVEKIMWTLKQGTHSRTSLFLRLNASVYLQVVTKCRDDLRSASKFIDSVALNHPNFKHSSTSLSAAIHILVRCKRVSEAQALILRMVRKSGVSRAEIVEAMVYTYNICGSNLYAFDLLVRTYVQARKLREAVEAFRLLLSRRFCVTINACNSLLGGLVRIEWVDLAWEVYREVIRSGVPMNVYTLNIMVNAFCKVGKIEDAKLFIDEREEKGIFGDIVTYNTLISAYCREGHLEEVFELMNVMSLKGLKPCLLTYNSIVNGLCKNGQYQKARDVLNEMVHSGFAPDTTSYNTLLAECCRKDNAPEAESIFEEMARLGALPDLVSYSSLIGLFSRIGRFDKSLAYYEDMKLKGLVPDNVIYTLLIGGFCRNRSVSEAMKMRDEMSAQGLPMDVVTYNTILSGLCKEKMLSEAALIFNEMVERDVFPDFCTFTTLINGYCKDGNMEKALSLFDTMIQRNLKPDVVTYNTLINGFCKAGDMESAFQLRDHMISKNIYPNCITYSTLINGYCNKGYIFDALRLFDEMIGKGIKPTVVTCNSLVKGYCRYGDAEKAVEFLNKMHSRGLHPDSVTYNTLVHGLIIEESLDKALSLVNEMENHGLLPDVVTYNAILSGFCKLGRMQEANLIYRKMMKKGINPDRSTYTSLINGHVSQENLKEAFQFHDEMLQRGFVPDDKF >itb02g04750.t1 pep chromosome:ASM357664v1:2:2809808:2814469:-1 gene:itb02g04750 transcript:itb02g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRLLLRKTKLLFRATPISASIPVLGQLHCSNESPITLASSADAFLVEKIMWTLKQGTHSRTSLFLRLNASVYLQVVTKCRDDLRSASKFIDSVALNHPNFKHSSTSLSAAIHILVRCKRVSEAQALILRMVRKSGVSRAEIVEAMVYTYNICGSNLYAFDLLVRTYVQARKLREAVEAFRLLLSRRFCVTINACNSLLGGLVRIEWVDLAWEVYREVIRSGVPMNVYTLNIMVNAFCKVGKIEDAKLFIDEREEKGIFGDIVTYNTLISAYCREGHLEEVFELMNVMSLKGLKPCLLTYNSIVNGLCKNGQYQKARDVLNEMVHSGFAPDTTSYNTLLAECCRKDNAPEAESIFEEMARLGALPDLVSYSSLIGLFSRIGRFDKSLAYYEDMKLKGLVPDNVIYTLLIGGFCRNRSVSEAMKMRDEMSAQGLPMDVVTYNTILSGLCKEKMLSEAALIFNEMVERDVFPDFCTFTTLINGYCKDGNMEKALSLFDTMIQRNLKPDVVTYNTLINGFCKAGDMESAFQLRDHMISKNIYPNCITYSTLINGYCNKGYIFDALRLFDEMIGKGIKPTVVTCNSLVKGYCRYGDAEKAVEFLNKMHSRGLHPDSVTYNTLVHGLIIEESLDKALSLVNEMENHGLLPDVVTYNAILSGFCKLGRMQEANLIYRKMMKKGINPDRSTYTSLINGHVSQENLKEAFQFHDEMLQRGFVPDDKF >itb11g21300.t1 pep chromosome:ASM357664v1:11:22825557:22831396:-1 gene:itb11g21300 transcript:itb11g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCEDIYKVVDAVVPLYVALILGYASVKWWRIFTAEQCNAVNRFVCYFTFPLFTFEFTAHVNPFEMNYRFVAADVIAKLIIVTVLAFWARYTAKGSYGWSITSFSLSTLTNALVVGVPFVKAMYGQAAVDLVVQASVVQAILWLTALLFVLEIRQTRNDLYSNDDAGGRVIPAENDLEGNTKVVVGMDNTKNNITKSNNSTLRLMKAVSLKLVMNPNLYGCVIGIAWAFISNKWHFEMPSMVEGSILILSKAGTGTAMFSMGLFMALQEKLISCGASLTILGMVLKFIAGPAAMAIGCIAMGLHGDVLRVAIIQAAVPQSITSFIFAKEYGLHPDVLSTAVIFGMLVSLPILVGYYAFLGYLH >itb03g03810.t1 pep chromosome:ASM357664v1:3:2271488:2275621:1 gene:itb03g03810 transcript:itb03g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFCKWVCAFLLCCSLIFSIGHSVEGLHGGSKVRGVNLGGWLVIEGWIKPSLFDDIPNGDMLDGTEVQFKSVTLQKYISAENGGGSGVTVDKDTPLSWETFRLWRESESIFQFRTTQGQFLSCNDNGGNVTATVQSPSDSETFYVERNYNNRVHIKLKNGGYLQASKDNQLTTDYPGTPGWDDNAATFQMTIASNNYHGDYQLANGYGHDKAKEVLKKHRNSFITVDDFDFLHRHGINTVRIPVGWWIAFDPNPPAPFIGGSLEALDNAFTWAQSYDIKCIIDLHAAPGSQNWMEHSANRDGTTDWPTSQDYISKTLDVIDFLAHRYAKHPALLGIELLNEPSAAGVPLDVLVPFYKQGYEIVRNYSSTAYVIFCQRIGNADPIELYQANLGSVNTVVDLHYYNLFDRYFDNLTAEENIQFIYNSRQPQVQALNGANGPLVFIGEWVNEWNTTGASQTDYQNYGRAQLDVFNSASFGWAYWTIKNDRKHWDLEWSIQKNYLQLQTGKSETRKMHYVAALLGLACIWFLV >itb09g25010.t1 pep chromosome:ASM357664v1:9:24928767:24929650:-1 gene:itb09g25010 transcript:itb09g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLQILAEEANNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKNLSGVGWEDSLKMITCDSATYMELISATPKYETILNRKIEYYDEISIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKTSVSTATSSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTNGIDESELYQQVMTIGIDESELYQQVMTIGADFHELYQQVMTIGADFHEDD >itb13g17500.t1 pep chromosome:ASM357664v1:13:24496714:24504042:1 gene:itb13g17500 transcript:itb13g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKITEEAITDVYKSLCPKTVYIADLGCSSGPNTLMEAGELVKAFDKARRKMGHQSPEYAVYLNDLPGNDFNSTFRSLPQHQEDFKKEMGEEFGPCFFAGVAGSFYGRLFPANSLHFVHSSISLHWLSQVPKGLEENKGNIRVAASSPLSVVKAYHEQFECDFSTFLKCRGKELVTGGRMVLYFLGRRCEDHVSSYNNVLNTWEFLAKALNDLSAEGVLEAEKVNSFNLPMYRASPNEVKMVVEKEGSFSIEYLDNFMYDLENEKHVKDPGNFVVNSFRAVFEPIVAGHFGEGITEEIFKRQKTLILESIHELDKTLIYSFVARGTVILAEHTEFTGNFTGVAAQCLQKLPESSNRFSYNCDGHTFNYLVDNGFTYCIVAAESAGRNLPIGFLERVKDDFYKKYGKGKGATAAANSLKKEYGPKLKEHMRYCAEHPDEIDKLAKVKAQVNEVKGVMMENIEKVLDRGEKIELLVDKTDDLRSQKQWRSLMNAGATAAAAAGGGKRQFASSAASQHDKPKSWYSSGDAIPIWLLAGFTTAALGMAVHTAKNQLIHAPSVSVKKSTRENLIEVDDPQTAVNGADKFVNKSFLRKVSRIQDPETRVLQDPTRPDPFTIPRKYESLESAGVRK >itb02g09140.t1 pep chromosome:ASM357664v1:2:5820520:5822283:1 gene:itb02g09140 transcript:itb02g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRLFLHLLIAASMAASALAANFNQDVQMYFGNGRGRVTQGGKMAALTLDRESGSGFQSTNEYLFGRFDMQVKLIPDNSAGTVTTFYLSSLGDRHDEIDFEFLGNVSGQPYTIHTNVYSQGKGGREQQFHLWFDPTAAFHTYSIVWNSQRIIFLVDNIPIRVYRNHESVGVPFPKDQPMRVYCSLWNADDWATQGGRVKTDWTKAPFTVYYRNFNIDACVVSGGRSSCDSTSSADPVNNNQAWQTQDIDASGRNRLRWVQSKYMVYNYCADSQRFPAGFPADCKNSRF >itb05g08760.t2 pep chromosome:ASM357664v1:5:12338097:12343231:-1 gene:itb05g08760 transcript:itb05g08760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILRRKTLDVNFSLLGRNLCSLANAVLEAPSRPQEEDDPIVVSKKESMLPTLHRIVQQCARERAAMEGKSCHAMTIKLGFGTDTLTSNMLINLYSKCNLNECSRKVFDEMPDRCLISWNTLIASYTRNQNGEGALKLFVEMQRERTQFSEFTVSGVLCACATKLAVFECKQLHAFVVKVSMELNVYVATALMDVYGKSGLIEDASRIFNCMPERNEVTWTTMVTGLVQNDLFEEALLLFHRAQQSGLEHDQFIVSSVLSACSTLPAFIEGNQVHGIVWKMGFGANVFVASALIDLYAKCGNIQDAYFVFSSAEVKNVVLWNAMITGLAKHVRPLEAMILFEKMQQMGLSPNDATYASVLSACGHMGLVEEGKKYFKMMAKEHSLLPNIRHFSCMVDVLGRAGLIDEAKDLIETMPFEANASIWGSILASCKVHGNVEVAEVAARHLFEIEPNNAGNYVLLSDIYAAKKRWGDVASTRKLLKDSQVKKVIGKSWIEIKDKVHSFMVGDRSHPRIEEIYSRLEKLLEDMEKLGYKGEIEHDLHDVEESRKKQLLRHHSEKLAFTFGLMSLPSGLPIRIMKNLRICGDCHSFMKFASKISGRVIIVRDYNRFHHFKDGSCSCGEFW >itb05g08760.t1 pep chromosome:ASM357664v1:5:12335543:12342244:-1 gene:itb05g08760 transcript:itb05g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSRSAAAARTEDADPVGVVSLCELGSTVTGGGSADDGSAGYGVGHLIDLAAMAPSRSVGDGGGDRGFQYTHTMEAILRRKTLDVNFSLLGRNLCSLANAVLEAPSRPQEEDDPIVVSKKESMLPTLHRIVQQCARERAAMEGKSCHAMTIKLGFGTDTLTSNMLINLYSKCNLNECSRKVFDEMPDRCLISWNTLIASYTRNQNGEGALKLFVEMQRERTQFSEFTVSGVLCACATKLAVFECKQLHAFVVKVSMELNVYVATALMDVYGKSGLIEDASRIFNCMPERNEVTWTTMVTGLVQNDLFEEALLLFHRAQQSGLEHDQFIVSSVLSACSTLPAFIEGNQVHGIVWKMGFGANVFVASALIDLYAKCGNIQDAYFVFSSAEVKNVVLWNAMITGLAKHVRPLEAMILFEKMQQMGLSPNDATYASVLSACGHMGLVEEGKKYFKMMAKEHSLLPNIRHFSCMVDVLGRAGLIDEAKDLIETMPFEANASIWGSILASCKVHGNVEVAEVAARHLFEIEPNNAGNYVLLSDIYAAKKRWGDVASTRKLLKDSQVKKVIGKSWIEIKDKVHSFMVGDRSHPRIEEIYSRLEKLLEDMEKLGYKGEIEHDLHDVEESRKKQLLRHHSEKLAFTFGLMSLPSGLPIRIMKNLRICGDCHSFMKFASKISGRVIIVRDYNRFHHFKDGSCSCGEFW >itb08g05290.t1 pep chromosome:ASM357664v1:8:4342326:4348162:-1 gene:itb08g05290 transcript:itb08g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTKHSLSFNHFLILAIVAVVGVFPENVISAGSKVDVLPGFEGPLPFHLETGYIGVGESEEIQLFYYFVKSETNPKDDPVILWLSGEQGCSSLTGLVYEIGPLFFEAKVYNGTLPTLLLSGHSLTRNASMIFLDQLPNIGFSYSTKTTTYTDVQASNYIYEFLQKWFGQNQDFVSNPFFIASSSYGGLIVPTIVELISDGNEAAKKPINLEGYILGNPKTFHREENFRVEFAYGMGFMATEFYESLKESCKGEYMHVDPENKLCAQGLQKYNKLVDGICKQHILETCCGPEEPTTELSLGARRALDEQRIRSFNEKFLYQDRDGEDDDKLICRVNYHRLSNYWANDPSVQKALHVRKVSSEKWVRCNWNTVSKSYKVTIQDTRQQHAALNAKGYRSLIYSGDHDMIVPFESTQAWIKDLNYTIDHDWSPYYVIHSKEQDVLVPPFKFEVYSEVGGYTRNFTSKMTYATVKGGGHIATEPWPDKCVALISRWIAGKDIATPPPTDTTALKQFMQYGKDMSSSTFSQLQALATAFPFIFS >itb14g20060.t1 pep chromosome:ASM357664v1:14:22531922:22536298:-1 gene:itb14g20060 transcript:itb14g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRYNPNNSTPFLRQTTLKLPATTVAHLPRLQSSRTPLLRHLYDCVFLIFRSGVKACFVAQVQRRRFLKGVAMLETMNLISQNVAPKDRTGKKKREPLDERSLLEGKEQNEDVQISDLDTTGGRKRKKHRKELEPEEVREMKRLENFLFGSLHSPIEFGKVDEKTTTEEAEKDSAVFFVDRHANSSLTAYEDDNQLSDGRSDEEEAEERKPAWIDEEEEKTSINVAKVNRLRKLRKEEDESVISGSAYVARLRAQHVKLNPGTEWARTDSQRESYISDEEDSGSDEENGAANDYGYKDAKVGDILRTNEELVVKSSAKLLPGLLEYSRLADANKGEYSSGPINSVQFHRNSQLLLVGGLDKKLRFFQIDGKDNTKIQSIFIEDCPIKKAAFSPDGSQVIISGRRKFFYALDLVKTTVNKIGPLDGREERSLESFEVSPDSDMIAFIGNEGYILLVSLKTKELVGTLKMNGTVRSLAFSHDGQKLLSSGGDGQIYHWDLRRRACFHKGVDEGCINSTALCTSPIGGLFAAGSDSGIVNIYNTDDFLGGKRKPVKTIENLTTKVDYMKFNSDAQILAIGSTMKKNSSKLIHIPSFTVFSNWPPPNQTLHYPRCLDFSPHSGFMAMGNASGKVLLYKLHHYHHA >itb13g16080.t1 pep chromosome:ASM357664v1:13:22977278:23005735:1 gene:itb13g16080 transcript:itb13g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFTEPAKAKECFHKLDKVKDKGIFNALEKVLTQVAGGNAQTDQVDVRIKALNLIGKLLSLPGNHVAKDYRYLFMEFLNRFSDKSAEVRLNALSHAKTLYIANELETEITKSSQRPKTEVLSALEGRLLDLDDGVRAKAVTVLCDLAKNNFKSFPSTLISLIADRLRDKKVSVRTKALKKLLEVYQEYCTKCASQVLDLNDSLEQIPCKILMLCYGKDCEEFKPEKVEHLLAEDLFPASLSTKEITKHWVFIFSLFTPPHLKALNIILSQKRRLQKEIQDYLALQNRDDDQCLEDIEMKIKISIVKMSALFTEPAKAKECFHKLDKVKDKGIFNALEKVLTQVAGGNAQDNLLTAIGDSSPHFEFLQLLFKKCSFNIFNSQHVHSMLERLSLDRFKDLEHSCVQLLLTIVNAFPSLLRGLEIHFRLLLLEGVIPINDLLLQMLANGPCIGIKLSDIYFSLERICLEGSRTQSKLACSAIAALLGPSDQFLFPDLCKKLVDSLHMGQHIQTVLQSLGCLAQHSVVAFEAHEQEVTHFITKEIFQSNDAGTSEDQDLLENSSNCSSYCKLKIFGLQMLVRSFLPHKHTHISRPISFLLDIILHMLQKGAFTHSMISSDSDRAHYRLAAAKSVLRLSRRWDLHISPEIFRLTVLMAKDNSPFIREHFVNKVQKLLRVHKIPCRYACALSFAASDSPEHLSDISMQNLEEFIKDYSDTARVHQTSVMPRKVTDSPVYTVIFLIHVLAHDTSFPPIESQDEEVYTQFFRPLILTLKALVDVNCLDRDMDSITHDVSYLRNLFHAIKKAEDAIDDHMTHKLHILADIGISFLNSLGNSSFSSSHTPGLILLPSSLYKISSGYKCQGGGNSLKHCQLDKSFTNKFLQHIISASWTARNIGKSYPKSQSDSLRTHATKRLKSESAFCKKGYIPLTTTREQCHNPHSDQNEPNETLRHLTGRGKENEPMLSISAPVERGEQRNSGEVIRNELLPSNNHVMSMQNLEEFIKDYSDTARVHQTSVMPRKVTDSPVYTVIFLIHVLAHDTSFPPIESQDEEVYTQFFRPLILTLKALVDVNCLDRDMDSITHDVSYLRNLFHAIKKAEDAIDDHMTHKLHILADIGISFLNSLGNSSFSSSHTPGLILLPSSLYKISSGYKCQGGGNSLKHCQLDKSFTNKFLQHIISASWTARNIGKSYPKSQSDSLRTHATKRLKSESAFCKKGYIPLTTTREQCHNPHSDQNEPNETLRHLTGRGKENEPMLSISAPVERGEQRNSGEVIRNELLPSNNHVMVGSMCSQKGEHLFSLKEIGTVTRCNSTAEQTKSSTRTTSKDHVSQDIPNQGETLIGHHMELSSVDRRSCSGLVEGFDSCNSADKDSDARQSRFCDNREGAFCQENASVDKSKFQGRKIPLTASLKKREQLLVDSSSSEVIGGNKDAMKRRTRRRKV >itb07g01910.t1 pep chromosome:ASM357664v1:7:1137188:1139830:-1 gene:itb07g01910 transcript:itb07g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHGKSAVIGGCKALLLCCPILVFLIVVLLLPIGIWNCPSSSSSLRLLLSSSFSSSFSLDESRSEIESDDDDSPTNLSHLVFGLLGSEKAWRHRKAYVESWWRPNATVGFLLLDKPPGDELLPWPPSSPPYRVSDNVSRVVEETNHVNPRVARMVHGIMETVRDAPQRVTGTPLRWVVMGDDDSIFMVDNLVDVLAQYDHTKYYYLGGHSEFIPANYHFSFKQAFGGAGIVLSFPLAMAMADGIMDCLKRYSHLNSADKTTGYCIADLGVNLSPHQGFHQIDMHGDISGFLSYHPNAPLISLHHFDKVTPIFPSMTRPESARHFMAAANSDQPRMLQQLVCFHRPRNWSFSIAWGYSANIYENILPRSYLQKPIETFRTWGPSPRPPHWIFDVRKRTTTDPCLVPHAFFLESSSVEEDGIVTTYRRSWRRGLPACFAGDGDQSADRISKIVVYSPVTKPAKIGRSECCDVTIDSVESESAVIKTRRCMADDIIA >itb12g26790.t1 pep chromosome:ASM357664v1:12:27529567:27534873:1 gene:itb12g26790 transcript:itb12g26790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRFGDVRQQPPPAAAIPSLLAKRPRSEYDPANSHDLAGYYARDDGRGGVHRVIRDTDPIGASYDRYLQSAKISAYGGGESARPLTGGMAGHPTEDPRVLGIGGSDPVGAKGRAMGGVGGGRTEVPLPPDASSTLYVEGLPANCTRREVSHIFRPFVGYKEVRLVTKESRRPDGDPLVFCFVDFASPAHAATAMDALQG >itb03g25270.t1 pep chromosome:ASM357664v1:3:24211468:24224442:-1 gene:itb03g25270 transcript:itb03g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFITYFLVFSTFAISCSCFNPKNLNVSKSQSDSDWSLAGATWYGNSNGAGSDGGACGYTTSVEQPPFSSLVTAGGPSLFKSGKGCGACYEVKCTENAACSGNPVTVVITDECPGCVSESTHFDMSGTAFGAMAVSGKADQLRNAGCKYPGISLTFHVDSGSNPNYFATLIEYEGGEGVVSSVELKQALDSDSWLPMQESWGAVWKLDASGLKAPFSLKLTDDSGKTLVANNVIPAGWQPGNSYRSPVVAYTHVASEVVAVAPVTVAVTVAPTSTGVASSLICCRRVFPPSCLFSTSSAAVKLLEPSLPKLPVADRRRRKAVQPTAAAKPSRRTAAAKPSRRTAAAKPSRRTSREAVAKCFDEIVALHSPWKLFSVNGSVLFCCAALPSFLLGTQDGTEVQFKSVTLQKYISAENGGGSGVTVDKDTPLSWETFRLWRVSESIFQFRSTKGQFLSCNDNGGNVTATVQSPSDSETFYVERNYNNRVHIKLKNGGYLQVNFLCVYPSAHQNT >itb06g18410.t1 pep chromosome:ASM357664v1:6:22065418:22071960:1 gene:itb06g18410 transcript:itb06g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSNSFRYNGTLCACNPGYVFDATRRSCSLFADWGPIEVESGVDYNSVSLPGSSTIFDFDSMKKLTHSQSVILQATFILVLSWLVLCFLARFAPLGDGRSFWFQIRWWISRLDICFATRHWLDDQKVVKKRKTELGGTCSIASWILFIGLFVALLYQLISNRTIEVNNVRATNAPDLEAFRNDLEFNITTISSMTCSHLRGLETVASGNPGFVDYRVAPLSTFASHSCINTTKGPTIILKCTNCPLTRDSAFVSWRFIDLPNNPATAVGFEFNLTAKNPANKKHLSFVSGTLRNGSNAGNKPVTYRGTTPNILKFNVFPRIYRNMDNLRLIQPLFHEFLPGSSFNEVNQLQSSLQNSNDGLVNTTLYVSFLSSYIVEIDNENIMGPVSFLADLGGLYCISIVIFFYMMMQCENRIKRFRNEDSVIRMVRSRRRAQDHWNKLRKYVMYTWAPSALEDKFNNVRDDGCCTGAALESLHKKGSLHKQKEQMRLDTISFSRKVSLPDNKNTIIEQTGLQKVAHPVSILEKRSSSKAELENIVSEIKDGKHDDNTGLHFAVGGLDLPPPPPLEHQGPEQMSMSNLQRNIENLYEYNAMLREKLIAAQSMLHALANNESPSADSSSTAK >itb06g18410.t2 pep chromosome:ASM357664v1:6:22065418:22071956:1 gene:itb06g18410 transcript:itb06g18410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGGYFYFYRLLYQLISNRTIEVNNVRATNAPDLEAFRNDLEFNITTISSMTCSHLRGLETVASGNPGFVDYRVAPLSTFASHSCINTTKGPTIILKCTNCPLTRDSAFVSWRFIDLPNNPATAVGFEFNLTAKNPANKKHLSFVSGTLRNGSNAGNKPVTYRGTTPNILKFNVFPRIYRNMDNLRLIQPLFHEFLPGSSFNEVNQLQSSLQNSNDGLVNTTLYVSFLSSYIVEIDNENIMGPVSFLADLGGLYCISIVIFFYMMMQCENRIKRFRNEDSVIRMVRSRRRAQDHWNKLRKYVMYTWAPSALEDKFNNVRDDGCCTGAALESLHKKGSLHKQKEQMRLDTISFSRKVSLPDNKNTIIEQTGLQKVAHPVSILEKRSSSKAELENIVSEIKDGKHDDNTGLHFAVGGLDLPPPPPLEHQGPEQMSMSNLQRNIENLYEYNAMLREKLIAAQSMLHALANNESPSADSSSTAK >itb07g05370.t1 pep chromosome:ASM357664v1:7:3688377:3693543:1 gene:itb07g05370 transcript:itb07g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLTSSSKPNLADDAPVSGGGLSQNGKFSYGYASCPGKRSTMEDFYETRIDGVDGEVVGLFGVFDGHGGARAAEYVKQNLFSNLISHPSFISDTKSAIADAYNHTDSEFLKSEHTQNKDAGSTASTAILVGDRLLVANVGDSRAVICRGGTAIAVSRDHKPDQKDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIKEEKVDSSLEFLILASDGLWDVVTNEEAVSMVKPIPEPEEAAKRLMQEAYQRGSSDNITIIIVRFLANEGDASHSGSG >itb07g05370.t2 pep chromosome:ASM357664v1:7:3688505:3693378:1 gene:itb07g05370 transcript:itb07g05370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLTSSSKPNLADDAPVSGGGLSQNGKFSYGYASCPGKRSTMEDFYETRIDGVDGEVVGLFGVFDGHGGARAAEYVKQNLFSNLISHPSFISDTKSAIADAYNHTDSEFLKSEHTQNKDAGSTASTAILVGDRLLVANVGDSRAVICRGGTAIAVSRDHKPDQKDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIKEEKVDSSLEFLILASDGLWDVVTNEEAVSMVKPIPEPEEAAKRLMQEAYQRGSSDNITIIIVRFLANEGDASHSGSG >itb03g28780.t3 pep chromosome:ASM357664v1:3:29475139:29480428:-1 gene:itb03g28780 transcript:itb03g28780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRFLSLVGNSFGCSASGERLVTAARDGDIQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVTLLLKSGVDINLRNYRGQTALMQACQYGHWEVVQTLILYKANIHRADYLNGGTALHLAALNGHSRCVRLLLADYVPSTPNFYHVMKKRSRNKDFTSELDEGALYEVINRPADGGITALHMATLNGHAETVQLLLDLGASVSEVTVEDGSTIDLIGAGSTPLHYAACGGNAQCCQLLIARGATLTARNANGWTPLMVAQSWHRDWLHEILSSQPENPPQPLPSPYLCLPLMNIVNIARECGWTTNNPPLAIDPCVVCLERKCTVAAEGCFHEFCTQCALYLCSTSTTSTTGHGPPGAIPCPLCRHGIVSFVKLADTKPAAKETARTNMSLPFCSCSADGQELDPIDIAFSKPDFYCARVSPSSSFRSSSFQKFPLAKFTPGLCMGVQETSPSLVSSNDRRSVDNNLTRCSRSSFRRSNTERRRWLCSFSQSVETGNSRC >itb03g28780.t2 pep chromosome:ASM357664v1:3:29475146:29481091:-1 gene:itb03g28780 transcript:itb03g28780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRFLSLVGNSFGCSASGERLVTAARDGDIQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVTLLLKSGVDINLRNYRGQTALMQACQYGHWEVVQTLILYKANIHRADYLNGGTALHLAALNGHSRCVRLLLADYVPSTPNFYHVMKKRSRNKDFTSELDEGALYEVINRPADGGITALHMATLNGHAETVQLLLDLGASVSEVTVEDGSTIDLIGAGSTPLHYAACGGNAQCCQLLIARGATLTARNANGWTPLMVAQSWHRDWLHEILSSQPENPPQPLPSPYLCLPLMNIVNIARECGWTTNNPPLAIDPCVVCLERKCTVAAEGCFHEFCTQCALYLCSTSTTSTTGHGPPGAIPCPLCRHGIVSFVKLADTKPAAKETARTNMSLPFCSCSADGQELDPIDIAFSKPDFYCARVSPSSSFRSSSFQKFPLAKFTPGLCMGVQETSPSLVSSNDRRSVDNNLTRCSRSSFRRSNTERRRWLCSFSQSVETGNSRC >itb03g28780.t1 pep chromosome:ASM357664v1:3:29475136:29481091:-1 gene:itb03g28780 transcript:itb03g28780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRFLSLVGNSFGCSASGERLVTAARDGDIQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVTLLLKSGVDINLRNYRGQTALMQACQYGHWEVVQTLILYKANIHRADYLNGGTALHLAALNGHSRCVRLLLADYVPSTPNFYHVMKKRSRNKDFTSELDEGALYEVINRPADGGITALHMATLNGHAETVQLLLDLGASVSEVTVEDGSTIDLIGAGSTPLHYAACGGNAQCCQLLIARGATLTARNANGWTPLMVAQSWHRDWLHEILSSQPENPPQPLPSPYLCLPLMNIVNIARECGWTTNNPPLAIDPCVVCLERKCTVAAEGCFHEFCTQCALYLCSTSTTSTTGHGPPGAIPCPLCRHGIVSFVKLADTKPAAKETARTNMSLPFCSCSADGQELDPIDIAFSKPDFYCARVSPSSSFRSSSFQKFPLAKFTPGLCMGVQETSPSLVSSNDRRSVDNNLTRCSRSSFRRSNTERRRWLCSFSQSVETGNSRC >itb05g13610.t2 pep chromosome:ASM357664v1:5:20623629:20625566:-1 gene:itb05g13610 transcript:itb05g13610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDACDQVSNGVSSVVDCPEKKRSKKKGDISKNVAEVLAKWKEHNANLNSMADGQTTSRKIAAKGSKKGCMKGKGGPENHRVNYRGVRQRTWGKWVAEIREPNRGSRIWLGTFTNALEAALAYDEAAIAMYGTRARLNLPNYSLSRQSLKNSSSSLTELDSRSASSPSEVCNAVNTQLAIISLAVWTVFI >itb05g13610.t1 pep chromosome:ASM357664v1:5:20623629:20625566:-1 gene:itb05g13610 transcript:itb05g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHTNEKNAMMDACDQVSNGVSSVVDCPEKKRSKKKGDISKNVAEVLAKWKEHNANLNSMADGQTTSRKIAAKGSKKGCMKGKGGPENHRVNYRGVRQRTWGKWVAEIREPNRGSRIWLGTFTNALEAALAYDEAAIAMYGTRARLNLPNYSLSRQSLKNSSSSLTELDSRSASSPSEVCNAVNTQLAIISLAVWTVFI >itb08g03000.t1 pep chromosome:ASM357664v1:8:2534952:2539317:1 gene:itb08g03000 transcript:itb08g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLSPAPNLLCHYPNGGDGRILSLLSLRNSHHLRFSKRLNLCTKAFSAPVQCNLKPAARVLKPGDIFRQLAASTVLLLTLGFTLHSFSASARAAVAPPVASSEAHTQDSKPQENDEGRQTKKVVEFDDEELQAEFEKWKSKTYALTVPLRIVSFNNSFPPVWIKDFIRSQGKRAQVRPEFRRSLQDIFRELSTAFEKGVLNPKSAAAADVIALGDAWLSFAIKNGLIEPMQGAEDQDWFNGLSDKWKVYLRRSSEGKLDSQGRIWSAPFRWGSIVIAYKKREFRKRNLAPIKDWDDLWRPELAGKISMVDSPREIVGAVLKYMGASYNTKDFDSEVTGGRNAVQQNLASLVKQVRLFDSQNYLKAFGVEDVWIAVGWSTDILPAAKRMSNIAVIVPKSGASLWADFWAIPAATRIASEQTGGRVRGPSPLLHQWIEFCLQAERESPFKDEVIPGATPYALKAPIQVSEDRERGKPKLETNLVAGVPPPDILTKCEFLEPLSDKAVSDYQWLISSIQKPNPSLTKRLQQYILSVLQTFSPKVQSKVV >itb02g17030.t1 pep chromosome:ASM357664v1:2:13037874:13041834:1 gene:itb02g17030 transcript:itb02g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVPCPNTSAVFVGASSQSRHQLPPQTSVSFPSDSRSAPALLSRPQGGFKVSAQLNEVSAIEKSTNSHLATEEEVPKAMADATSISAFLSQAANLVKLVDSKDIVEVQLKQMECEILIRKKEALSPMNITAALVSTAQPAFVQPMVQPQMPPGPAPAPLPAAPALPPPAPAKAKSSHPPLKCPMAGTFYRSPAPGAPPFVKVGDKIKKGQVVCIIEAMKLMNEIEAEQSGTVVEIVAEDGKPVSVDMPLFIIEP >itb02g17030.t2 pep chromosome:ASM357664v1:2:13037837:13041743:1 gene:itb02g17030 transcript:itb02g17030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVPCPNTSAVFVGASSQSRHQLPPQTSVSFPSDSRSAPALLSRPQGGFKVSAQLNEVSAIEKSTNSHLATEEEVPKAMADATSISAFLSQAANLVKLVDSKDIVEVQLKQMECEILIRKKEALSPMNITAALVSTAQPAFVQPMVQPQMPPGPAPAPLPAAPALPPPAPAKAKSSHPPLKCPMAGTFYRSPAPGAPPFVKVGDKIKKGQVVCIIEAMKLMNEIEAEQSGTVVEIVAEDGKPVSVDMPLFIIEP >itb01g14240.t1 pep chromosome:ASM357664v1:1:15987160:15990126:-1 gene:itb01g14240 transcript:itb01g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVEKSEEEWRAILSPEQFRILREKGTELRGTGEYNKFYEEGIYNCAGCKTPLYKSTTKFDSGCGWPAFYEGFPGAITRTPDPDGRRTEITCTACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFVPPNSSADQ >itb15g07890.t1 pep chromosome:ASM357664v1:15:5543180:5545074:-1 gene:itb15g07890 transcript:itb15g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQQESRLSLKLLIDEKTNRVVAAEAEKDFVDILFSFLSFPMGTIIRVTSCEQIKIPVTIGCMNNLYRSIQNSPVNWHTQLCKTMVLNPRNPCAWYCNNLKMNIDDSGSEMTVYMCSRQGCRYLSKYQNVMCSCSNGRTTEEIKSDNSGSSVMNCRYGGAFLQNGSIMFLISDDLQIRPASPHFLAQLLSGLGLSETSRIREMPVEVSKEQVICLLTRSLVSKSPLSDVFLPNQGATSIHSKPETTTSPSSSIDVIGTDDSVLYLKVKVNKNTNKILYAEATDEFFDFLCSLLTVSIGSVIRALQGNSELGCIDNLYTSVEDLDEKWFGSGVKDIILDPGFAQHHNCKKQPLNFLDRIESFCMIDPRNSNNFAEEPSLFIVSDDLEVNPLSFASSFQLLREVKVPFSVIEEQVITVGMKEALSLLKAALTSPSSALTNGLSTFLEK >itb04g09620.t1 pep chromosome:ASM357664v1:4:8906060:8907016:-1 gene:itb04g09620 transcript:itb04g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRQLAEAFYRASSSEIQSEAHKFFNALDSDGDGHIDQNEFISLMTDEKGFHRLSNPNFFNALDADSNGTLDFWEVMTLFYIVRSGRPFCDCCGDFIPATYFTCVECGAVNACIRCYENRRSKHHHRDQPAVFMDNYILLEAKKSSSSGGFNSKTDDDVVVVNNVVVSPPASTKTDNANEVVSAPASPKTTTAKPNTTTDNVNEVVSAPAKPNTIIAAPNKATASPNTTTATASSNTTTARPNTTTVRPNTTAARPNTTARPNTTNANYARPRPYANERPRPRPNNTHMVQAANYVSTPHNLKLPHYSQFRCYWLG >itb14g00210.t1 pep chromosome:ASM357664v1:14:148171:150640:-1 gene:itb14g00210 transcript:itb14g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCLNVCGSEDPEAQKGKKDGADAIDDEFSEFIEEQRAQRSKMSKACIFKVPEWLRKTNPEAFTPTRISIGPYHKTLEDTEKTKAQRDRYLVSFLKNTQKSEEEALEEMKKLSEKAKACYKDYPKDLEDDEFVNMLLYDGIFVVEFLRQNINYLEVDDNMVAETYRDILLIENQLPFFVLQVLDQMLTAKTVASLQRVIKLSFSTFVPKLTPHNIVDVDNDPQRIKHLLQVVHSLCIPRCDQDKYSLKGWTKKGKVLKKATDLQNDRVCFEGVGCVLTELEYNREFLKRKTTMFDIKFEMKLRKAIILSYNGFRALQIPCFKVDDNTETLFQNMIAYEQCSPPGSHNLKFKYYKDFACFMYLLLGCEEDVNLLRRNGIIVNLIGDDKKVCEMFSKLVTSSLSTNFYFANVCNQAKLHCDSVKLLRDYLKALAVLSMLLGVFLAYFNLAKLLPKDL >itb14g00210.t3 pep chromosome:ASM357664v1:14:148152:149881:-1 gene:itb14g00210 transcript:itb14g00210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCLNVCGSEDPEAQKGKKDGADAIDDEFSEFIEEQRAQRSKMSKACIFKVPEWLRKTNPEAFTPTRISIGPYHKTLEDTEKTKAQRDRYLVSFLKNTQKSEEEALEEMKKLSEKAKACYKDYPKDLEDDEFVNMLLYDGIFVVEFLRQNINYLEVDDNMVAETYRDILLIENQLPFFVLQVLDQMLTAKTVASLQRVIKLSFSTFVPKLTPHNIVDVDNDPQRIKHLLQVVHSLCIPRCDQDKYSLKGWTKKGKVLKKATDLQNDRVCFEGVGCVLTELEYNREFLKRKTTMFDIKFEMKLRKAIILSYNGFRALQIPCFKVDDNTETLFQNMIAYEQCSPPGSHNLKFKYYKDFACFMYLLLGCEEDVNLLRRNGIIVNLIGDDKKVCEMFSKLVTSSLSTNFYFANVCNQAKLHCDSVKLLRDYLKALAVLSMLLGVFLAYFNLAKLLPKDL >itb14g00210.t2 pep chromosome:ASM357664v1:14:148171:150640:-1 gene:itb14g00210 transcript:itb14g00210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCLNVCGSEDPEAQKGKKDGADAIDDEFSEFIEEQRAQRSKMSKACIFKVPEWLRKTNPEAFTPTRISIGPYHKTLEDTEKTKAQRDRYLVSFLKNTQKSEEEALEEMKKLSEKAKACYKDYPKDLEDDEFVNMLLYDGIFVVEFLRQNINYLEVDDNMVAETYRDILLIENQLPFFVLQVLDQMLTAKTVASLQRVIKLSFSTFVPKLTPHNIVDVDNDPQRIKHLLQVVHSLCIPRCDQDKYSLKGWTKKGKVLKKATDLQNDRVCFEGVGCVLTELEYNREFLKRKTTMFDIKFEMKLRKAIILSYNGFRALQIPCFKVDDNTETLFQNMIAYEQCSPPGSHNLKFKYYKDFACFMYLLLGCEEDVNLLRRNGIIVNLIGDDKKVCEMFSKLVTSSLSTNFYFANVCNQAKLHCDSVKLLRDYLKALAVLSMLLGVFLAYFNLAKLLPKDL >itb14g00210.t4 pep chromosome:ASM357664v1:14:148152:149881:-1 gene:itb14g00210 transcript:itb14g00210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACIFKVPEWLRKTNPEAFTPTRISIGPYHKTLEDTEKTKAQRDRYLVSFLKNTQKSEEEALEEMKKLSEKAKACYKDYPKDLEDDEFVNMLLYDGIFVVEFLRQNINYLEVDDNMVAETYRDILLIENQLPFFVLQVLDQMLTAKTVASLQRVIKLSFSTFVPKLTPHNIVDVDNDPQRIKHLLQVVHSLCIPRCDQDKYSLKGWTKKGKVLKKATDLQNDRVCFEGVGCVLTELEYNREFLKRKTTMFDIKFEMKLRKAIILSYNGFRALQIPCFKVDDNTETLFQNMIAYEQCSPPGSHNLKFKYYKDFACFMYLLLGCEEDVNLLRRNGIIVNLIGDDKKVCEMFSKLVTSSLSTNFYFANVCNQAKLHCDSVKLLRDYLKALAVLSMLLGVFLAYFNLAKLLPKDL >itb15g15380.t1 pep chromosome:ASM357664v1:15:14248979:14254912:-1 gene:itb15g15380 transcript:itb15g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKLRMGVWKLICIAALVGVGAGFEFDEFFFNKTELPFLESVFNGSFASAAAFNSNPLSVPLTLIQGAAAKGAVCLDGTLPAYHIHRGYGSGANSWLIQLEGGGWCNNLRSCIYRKTTRRGSSRFMEKWLPFTGILSNRAEENPDFYNWNRVKVRYCDGASFTGDSENRAAGLQFRGQRIWQAAVDDLMSKGMRYARQALLSGCSAGGLATILHCDEFRNLFSSNTKVKCLSDAGLFMDAVDVSGGRTLRNFFGGVVNMQGAGRNLPRSCTNHLDAISCFFPQNVISNVRTPLFILNAAYDSWQLQASLAPPSADPHGSWHGCTLNNQKCSASQIQFLQGFRNHMLLAVRRFAGFRQNGLFINSCFAHCQSERQDTWFADNSPVIGNKAVAIAVGDWYYERAIVRAIDCAYPCDKTCHNLVFR >itb10g20560.t1 pep chromosome:ASM357664v1:10:26092940:26093978:1 gene:itb10g20560 transcript:itb10g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGKLIAGKLNCSFMAMALFGETISSLSSSPLFSAIITLYTLILLYFPSLVFSPVLISTSVILFSLLRLGADQRIARVEPEKCFVSVDRVSPPPLESVFDPGQYRDPFYSEPFVEWDVRAPLEVIYEEGGEEDDDVLEGKRAAEIGGGGGIQRYDSLSLYYPETDSDTSSEGDFPVIGEWESLETMCFRWEEEEEEDREELIEIELDGKRNLVCEIEEDNLIEIDLSPA >itb13g01480.t1 pep chromosome:ASM357664v1:13:1432012:1439683:1 gene:itb13g01480 transcript:itb13g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLQNVTSPLQILDLSDNNLEGTIPSFFFRLQSLTSLDLSSNKFFGQMIDLQNVTSPLESLDLSSNNLEGTIPSFFFRLQSLTSLDLSSNKFFGQMIDLQNVTSPLQHLGLRDNNLEGTIPPFLFQLQNLTVLDLSSNKFNGIVHLTKFKSQYIHSLDFSNNNLVIETTISTSELPLLPQFGDLKLASCNLQKVPDFLKSQSRLWWLDLFNNNNNRLTHMKEPMEYGYLYYLDLNSNMLSGQIPRPPPEAEYLDFSNNNFSMIPLDFADQIPDLLSFFSIAKNRCLPDQKSVLLQIRTEITHNSFASPKLMLWDEGGDCCRWPGLSCNAATGFITSLDLTDDYSIMGGFNVSLLHKLPSLSVIMLDWVKFSAPFPDFFTDFTNLTVLSLIRCNFSGTVPHKVFQVPTLQTIDLSYNLMLGGSLPDFPENGLLQSLTLFDTKFSGNLPESIGNLRLLSDIDLSYCHFSGPIPASIIKLSKLVELSLSGNSFSGPIPASLFFLPSLQTLSLWGNKLSGHITEIRNVTSPLESLDF >itb02g04190.t1 pep chromosome:ASM357664v1:2:2493700:2494247:-1 gene:itb02g04190 transcript:itb02g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSMRLRDSFKLGYLKKLEWNLHRMETSEKQRRESQSSEGSSNQQKLLDDDNSDTQSVNSKVSGKGGGRFLVLCGDLLMILSCCYCCFCCGGTILAHCS >itb03g17950.t1 pep chromosome:ASM357664v1:3:16342694:16344322:-1 gene:itb03g17950 transcript:itb03g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETMTRRSVVMFPWLAYGHITPFVGLAKNLADRGFIVHLCSTPVNLNSIKNNIPQSYSQSIQFLELHLPESPDLPPQYQTTNGLPLHLHDALKKVLKMAEPDLTKFLKTLNPDLLIYDVVNLWAEKVALSLNIPSVRFFTASAAMCSYFTHMMTKPGEEYPFPALRLRKYEQTRTSELLSHETRNFKREKSQETVDKPAQAMMLVNSSREVEGKYIDYLSETMKYKVVSIGTTFQAPVDSDDETELIDWLARKPASSTVFVSFGSEYFLRKEDLEEIAYGLELSGVNFIWVLRFPKGEEISVEEALPGGFRERIGERGRIVEGWAPQAKILGHRSTGGFVSHCGWNSMMESLNLGVPIIAMPMHLDQPMNARLMVEIGAAVEVERDEKGELHREEMAAALRETVGGESGENLKRNVKRISENLRLGGSREMDAVVAELEKLCTKPQRIA >itb01g21730.t2 pep chromosome:ASM357664v1:1:27779938:27784595:1 gene:itb01g21730 transcript:itb01g21730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVQPQAGNPSGGVNQFVTSLYVGDLDATVTDSQLYDIFNQLGQVVSVRVCRDLTSQRSLGYGYVNYGNPQDAARAMEVLNFTPLNGKPIRIMYSHRDPTMRKSGAGNIFIKNLDKAIDHKALHDTFSAFGSILSCKVATDATGQSKGYGFVQYDTEEAALKAIEKLNGMLLNDKQVYVGPFVRKQEREMAGEKTKFTNVFVKNLSESTTEDDLRKVFGDFGTLTSVVVMRDEDGKSKGFGFVNFEDTDDAARSVDVLNGHKFDNREWYVGKAQKKAERELELKNRFEQTAKEAVDKSQGSNLYIKNLDDTIGDEKLKELFSPFGTITSYKVMRDPNGISKGSAFVAFSTPEEASRALAEMNSKMINGKPLYVALAQRKEERRARLQAQFAQMRPIAITPQVGTRMPMYPPGGPGLGQQIFYGQPPPALLPPQPGFGYQQQLVPGMRPGGAHMPNFFMPLVQQGQQGQRPGGRRGGSGPMQQGQQPVPMMQQQMLPRGRGYRYPTGRGLPDVSIPGVAGGMFSVPYDMGVMPVRDAGMAQPMPIGALASALANASPTEQRTMLGENLYPLVEQLEPETAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVSQQQSNNAADQLASLSLNDGLVS >itb01g21730.t1 pep chromosome:ASM357664v1:1:27780036:27785575:1 gene:itb01g21730 transcript:itb01g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVQPQAGNPSGGVNQFVTSLYVGDLDATVTDSQLYDIFNQLGQVVSVRVCRDLTSQRSLGYGYVNYGNPQDAARAMEVLNFTPLNGKPIRIMYSHRDPTMRKSGAGNIFIKNLDKAIDHKALHDTFSAFGSILSCKVATDATGQSKGYGFVQYDTEEAALKAIEKLNGMLLNDKQVYVGPFVRKQEREMAGEKTKFTNVFVKNLSESTTEDDLRKVFGDFGTLTSVVVMRDEDGKSKGFGFVNFEDTDDAARSVDVLNGHKFDNREWYVGKAQKKAERELELKNRFEQTAKEAVDKSQGSNLYIKNLDDTIGDEKLKELFSPFGTITSYKVMRDPNGISKGSAFVAFSTPEEASRALAEMNSKMINGKPLYVALAQRKEERRARLQAQFAQMRPIAITPQVGTRMPMYPPGGPGLGQQIFYGQPPPALLPPQPGFGYQQQLVPGMRPGGAHMPNFFMPLVQQGQQGQRPGGRRGGSGPMQQGQQPVPMMQQQMLPRGRGYRYPTGRGLPDVSIPGVAGGMFSVPYDMGVMPVRDAGMAQPMPIGALASALANASPTEQRTMLGENLYPLVEQLEPETAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVSQQQSNNAADQLASLSLNDGLVS >itb04g27980.t1 pep chromosome:ASM357664v1:4:31853841:31857461:-1 gene:itb04g27980 transcript:itb04g27980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINEIASLAYLGLTQGRSSRGSGFVNSPKWQKLRVHACFRVSACMSGQNPSFAVPRKGKFREFRLFNSVELDSFVTSDDEEEMGEGFFEAIEELERMTREPSDVLEEMNEKLSARELQLVLVYFAQEGRDSWCALEVFEWLRKENRVDEETMELMISIMCGWVKKLIESKSESGDVVDLLVDMDCVGLKPSFSMIEKVVSLYWEAGEKEGAVAFVKEVLKRQIAYSVGDSEGHKGGPTGFLAWKMMEEGNYREAVELVVNMRDCGLKPEVYSYLIAMTAVVKELNEFGKALRKLKNFTKAGLVAELDVENVASIEKYQSDLLADGVRLSNWAIQEGGPSLYGVVHERLLAMYICSGHGVDAERHLWQMKLIGKEVNRDLYDIVLAICASQKEAGAIGRLLTRMETSSSLQKKKTLSWLMRGYIKGGHFENAAETLTKMLDLGLHPQFLDRAAVLQGLRRSIQQSGGLETYLNLCKRLSDAELVGPCLVYLYIKKHRLWIMKML >itb05g00090.t2 pep chromosome:ASM357664v1:5:75350:80159:1 gene:itb05g00090 transcript:itb05g00090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASLHTGVRIPIKSHQFHYKPPHIHPSFRLATIRCSVADPSKCYSITLLPGDGIGPEVVSVAKNALQLAGSLEGIEFGFNEMPMGGAAIDLTGVPLPEETLSAAKQSDAVLLGAIGGYKWDNNEKHLKPETGLLQLREGLKVFANLRPASVLPQLVDASTLKREVAEGVDLMVVRELTGGIYFGKPRGFGTNENGEEIGFNTEVYATYEVERIAHVAFETARKRRGKLCSVDKANVLEVYYDN >itb05g00090.t1 pep chromosome:ASM357664v1:5:74761:80159:1 gene:itb05g00090 transcript:itb05g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASLHTGVRIPIKSHQFHYKPPHIHPSFRLATIRCSVADPSKCYSITLLPGDGIGPEVVSVAKNALQLAGSLEGIEFGFNEMPMGGAAIDLTGVPLPEETLSAAKQSDAVLLGAIGGYKWDNNEKHLKPETGLLQLREGLKVFANLRPASVLPQLVDASTLKREVAEGVDLMVVRELTGGIYFGKPRGFGTNENGEEIGFNTEVYATYEVERIAHVAFETARKRRGKLCSVDKANVLEVYYDN >itb03g05890.t1 pep chromosome:ASM357664v1:3:4150102:4153022:-1 gene:itb03g05890 transcript:itb03g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRPHAVCIPYPAQGHIHAMLKLAKLLHHKGFHITYVLTQLNYTHIMKARNFIPLSQSPTFRFETIPDGLPSRENHDTALDIAELCFSTAKNCYAPFMELIDRLNRVKDVPPVSCVVSDAVMAFAVGASQELGIPVVLFWPISGVASLMHIYSPDLREKVSKNKVGKQVAASTERPTALTVSIATSGVVSQSAERAATRQSTERAVAKAVDEQAVSGGVLNF >itb09g08530.t2 pep chromosome:ASM357664v1:9:5081983:5088987:-1 gene:itb09g08530 transcript:itb09g08530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSRSGTIRTNCSMSSSARSRHDARVVAQTSIDAKLHVEFEESEEQFDYSTSVNLSNSTSNIPSSTVSAYLQKMQRGSLIQPFGCLIAIDEHNFSVLGFSENAPEMLDLAPHAVPSIEQQEALTIGTNVRTLFRSTGAAALEKAASFEEVSLINPILVHCKNSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISKLQSLPSGDISLLCDVLVREVRDLTGYDRVMVYKFHEDEHGEVVAECRKPDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCLAPSVKVIQDKTLAQPLSLCGSTLRAPHGCHAQYMANMGSIASLAMSVTINEDDDEMDSDQQKGRKLWGLVVCHHSSPRFVPFPLRYACEFLVQVFSVQINKEVELAAQRLEKHILRTQTVLCDMLLRESPVGIVTKSPNIMDLVRCDGAALYYRNKFWLLGATPTEPQIRDIAQWLLDSHSSSTGLSTDSLMEAGYPNASVLGDSVCGMAAVKITSKDFLFWFRSHTAKAIKWGGEKHDPGDKDDGRKMHPRSSFKAFLEVVKRSLPWEDVEMDAIHSLQLILRGSLQDEVVDNSKMIVNVPAVDTSIQRVDELRIVTNEMVRLIETASIPILAVDTSGCINGWNIKVAELTGLVVQEAIGAPLVDLVVSEAVSTIKNVLSLALQGKEEKNVEIKLKKFGSQENNDPVILVANACSSRDVKGNIIGVCFVGQDVTGQKLITDKYNRIQGDYVGILRSPSALIPPIFLMDEHGRCLEWNDAMQKLTGLKRAEAIDQMILGEVFTVSSFGCKVKDSDTLTKLRILLNGVIAGQDAEDLLFGFFDKQNKYVEALISANKRTDVVGRITGVLCFLHVPSPELQYAIHVQKLSEQAAANSLKKLAYVRREVRNPLNGIKCIQNLMKSSDLSKDQMQLLKTSTMCQEQLAKIIDDTDIESIEESYMEMNCCEFSLGEAIKAVVNQAMIPSRERQVQIMCDLPVEASSLYLFGDNLRIQQVLSDFLTTAVLFTPHFEESSVLFRIIPRREQIGAKMHVVHLEFRITHPAPGIPEELIQEMFNYSQSMSREGLGLYISQKLIKIMNGTVQYLREAERSSFIILVEFPASLRSDHQ >itb09g08530.t3 pep chromosome:ASM357664v1:9:5081983:5088084:-1 gene:itb09g08530 transcript:itb09g08530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSRSGTIRTNCSMSSSARSRHDARVVAQTSIDAKLHVEFEESEEQFDYSTSVNLSNSTSNIPSSTVSAYLQKMQRGSLIQPFGCLIAIDEHNFSVLGFSENAPEMLDLAPHAVPSIEQQEALTIGTNVRTLFRSTGAAALEKAASFEEVSLINPILVHCKNSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISKLQSLPSGDISLLCDVLVREVRDLTGYDRVMVYKFHEDEHGEVVAECRKPDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCLAPSVKVIQDKTLAQPLSLCGSTLRAPHGCHAQYMANMGSIASLAMSVTINEDDDEMDSDQQKGRKLWGLVVCHHSSPRFVPFPLRYACEFLVQVFSVQINKEVELAAQRLEKHILRTQTVLCDMLLRESPVGIVTKSPNIMDLVRCDGAALYYRNKFWLLGATPTEPQIRDIAQWLLDSHSSSTGLSTDSLMEAGYPNASVLGDSVCGMAAVKITSKDFLFWFRSHTAKAIKWGGEKHDPGDKDDGRKMHPRSSFKAFLEVVKRSLPWEDVEMDAIHSLQLILRGSLQDEVVDNSKMIVNVPAVDTSIQRVDELRIVTNEMVRLIETASIPILAVDTSGCINGWNIKVAELTGLVVQEAIGAPLVDLVVSEAVSTIKNVLSLALQGKEEKNVEIKLKKFGSQENNDPVILVANACSSRDVKGNIIGVCFVGQDVTGQKLITDKYNRIQGDYVGILRSPSALIPPIFLMDEHGRCLEWNDAMQKLTGLKRAEAIDQMILGEVFTVSSFGCKVKDSDTLTKLRILLNGVIAGQDAEDLLFGFFDKQNKYVEALISANKRTDVVGRITGVLCFLHVPSPELQYAIHVQKLSEQAAANSLKKLAYVRREVRNPLNGIKCIQNLMKSSDLSKDQMQLLKTSTMCQEQLAKIIDDTDIESIEESYMEMNCCEFSLGEAIKAVVNQAMIPSRERQVQIMCDLPVEASSLYLFGDNLRIQQVLSDFLTTAVLFTPHFEESSVLFRIIPRREQIGAKMHVVHLEFRITHPAPGIPEELIQEMFNYSQSMSREGLGLYISQKLIKIMNGTVQYLREAERSSFIILVEFPASLRSDHQ >itb09g08530.t1 pep chromosome:ASM357664v1:9:5081983:5088987:-1 gene:itb09g08530 transcript:itb09g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSRSGTIRTNCSMSSSARSRHDARVVAQTSIDAKLHVEFEESEEQFDYSTSVNLSNSTSNIPSSTVSAYLQKMQRGSLIQPFGCLIAIDEHNFSVLGFSENAPEMLDLAPHAVPSIEQQEALTIGTNVRTLFRSTGAAALEKAASFEEVSLINPILVHCKNSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISKLQSLPSGDISLLCDVLVREVRDLTGYDRVMVYKFHEDEHGEVVAECRKPDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCLAPSVKVIQDKTLAQPLSLCGSTLRAPHGCHAQYMANMGSIASLAMSVTINEDDDEMDSDQQKGRKLWGLVVCHHSSPRFVPFPLRYACEFLVQVFSVQINKEVELAAQRLEKHILRTQTVLCDMLLRESPVGIVTKSPNIMDLVRCDGAALYYRNKFWLLGATPTEPQIRDIAQWLLDSHSSSTGLSTDSLMEAGYPNASVLGDSVCGMAAVKITSKDFLFWFRSHTAKAIKWGGEKHDPGDKDDGRKMHPRSSFKAFLEVVKRSLPWEDVEMDAIHSLQLILRGSLQDEVVDNSKMIVNVPAVDTSIQRVDELRIVTNEMVRLIETASIPILAVDTSGCINGWNIKVAELTGLVVQEAIGAPLVDLVVSEAVSTIKNVLSLALQGKEEKNVEIKLKKFGSQENNDPVILVANACSSRDVKGNIIGVCFVGQDVTGQKLITDKYNRIQGDYVGILRSPSALIPPIFLMDEHGRCLEWNDAMQKLTGLKRAEAIDQMILGEVFTVSSFGCKVKDSDTLTKLRILLNGVIAGQDAEDLLFGFFDKQNKYVEALISANKRTDVVGRITGVLCFLHVPSPELQYAIHVQKLSEQAAANSLKKLAYVRREVRNPLNGIKCIQNLMKSSDLSKDQMQLLKTSTMCQEQLAKIIDDTDIESIEESYMEMNCCEFSLGEAIKAVVNQAMIPSRERQVQIMCDLPVEASSLYLFGDNLRIQQVLSDFLTTAVLFTPHFEESSVLFRIIPRREQIGAKMHVVHLEFRITHPAPGIPEELIQEMFNYSQSMSREGLGLYISQKLIKIMNGTVQYLREAERSSFIILVEFPASLRSDHQ >itb13g16590.t1 pep chromosome:ASM357664v1:13:23549989:23560041:1 gene:itb13g16590 transcript:itb13g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRRISSERRSVSDTTPLLHDQHRYRRLRSSLSLKVSSSAAEMATATSLPRSVFLGVDVGSGSARAGLFNEDGKLLGSASSPIQIWKSGDFVEQSSTDIWLAVCTAVKAACSLANVTGNDVKGLGFAATCSLVAVDSDGEPVTVSWSGDARRNIIVWMDHRAVKQAERINSSNSPVLQYCGGAVSPEMQPPKLLWVKENLQESWSMAFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQINEKDSRNMEACGWDDEFWEEIGLGDLVDGHHAKIGRSVAFPGHALGSGLTPVAAKELGLVAGIPVGTSLIDAHAGGVGVMESLPRSVIEPKEVDAEAICHRMVLVCGTSTCHMAVSQTKLFIPGVWGPYWSAMVPEYWLTEGGQSATGSLLDHVIENHLASPHLANLAASQRISVFELMNNMLESMKNDLRSPFIAALTDDIHVLPDFHGNRSPIADPKSKGIVSGLTLDTSEKQLALLYLATVQGIAYGTRHIVEHCNANGHKIGTLLACGGLTKNPLFIQEHSDIIGYPIILPRENESVLLGAAILGAVASKKYATVREAMKALNAAGQVFYPSKDPKVKKYHDAKYRIFRQLYEQQLSHRSIMREALP >itb12g08900.t1 pep chromosome:ASM357664v1:12:6970076:6981173:1 gene:itb12g08900 transcript:itb12g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQGDLRQYHLQQQQQQQQQQQQQSHLLIPRISFDGIGLAGVDQRADSSFSLQPESSALSSTNVKSELSLEVDEDILLNLAHQNYKAGNYKQALEHSKTVYERNPRRTDNLLLLGAIYYQLHDFDMCIAKNEEALRINPRFAECYGNMANAWKEKGNIDVAIRYYLVAIELRPNFADGWSNLASAYMRTGRLSEAAQCCRQALALNPQLVDAHSNLGNFMKTQGRVQEAYNCYVEALRIQPTFAVAWSNLAGLFMEVGDFNRALQYYKEAVKLKPNFSDAYLNMGNVYKALGMQQEAIMCYQHALQVRPDYAMAFGNLASLYFEQGNLELAILNYKRAISCDSGSLEAYNNLGNALKDTGRTEEAIHCYRQCLALQPNHPQALTNLGNIYMEWNMTSAAVQCYKTTLAVTTGLSAPFNNLAIIYKQQGNYVDAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVNEAIQDYLRAIIIRPSMAEAHANLASAYKDSGNVESAIKSYRQSLMLRPDFPEATCNLLHTLQCACDWDDREKMFVEVEAILRRQIKMSVLPSVQPFHAIAYPLDPMLALDISRKYAQHCSVIASRYSLPPFSHPPPFPLKGGRNGRLRVGYVSSDFGNHPLSHLMGSVFGMHDRENVEVFCYALSQNDGTEWRQRIQTEAEHFIDVSSMSSDMIARMINENQIHILVNLNGYTKGARNEIFSMQPAPIQVSYMGFPGTTGASYIHYLVTDEFVSPTQYSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPKCQPKRSDHGLPEDKFIFACFNQLYKMDPEIFTTWCNILKRVPNSALWLLRFPAAGEMRLRAYAAAQGVQPDQIIFTDVAMKQEHIRRSALADLFLDTPLCNGHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSNVKEYEEKAVSLALNRTKLQDLTNRLKAARMTCPLFDTTRWVKNLERAYFKMWSLYCYGQHPQPFKVTENDAEFPATVSS >itb05g19930.t1 pep chromosome:ASM357664v1:5:26205074:26208066:1 gene:itb05g19930 transcript:itb05g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYRNRAGAGYDSQLPVYGRPPSTSTPPSSHPHPMYAPPSQPHHPPGLYPRVGQHPINSLPGRNPPSFHHTSSSPSPNGIGIRVVIKPEYRITPPPHLSAQIRDIPRSSFQFDFDLERKILAEAEKDNQNWAGLGLENVLPKMPERTSPGSTGDPIANKYISSGLNREAVPLAVANFGDNPTKVKEFADHYTRLRDMGFPSNKVADALLMFDNDTEKALAYLLNNPS >itb01g34310.t1 pep chromosome:ASM357664v1:1:37069110:37075177:-1 gene:itb01g34310 transcript:itb01g34310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKIWCCSLCSTVSVYSKPGLSTKLRFPISLLQVVLLLLCLESGHAIIHEHQVPRQRAEKQNEDIVSHSCIHDQIIEQRKRPGRRVYSVSPQVYEESSVSEPLHRRGRALLEFSRKPKDAMQPIRIILNYDAVGHSSDRDCQNVGDVVKLGEPPGASYSGTPSCNPHGDPPIYGDCWYNCTLDDIAGEDKKHRLRKALGQTAEWFRRTLAVEPVRGNLRLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVTCERDQWGRAVAGHVNVAPRHLTAEAETLLSASLIHEVMHVLGFDPHAFAHFRDERKRRRIQVTEQAMDEKLGRMVTRVVLPRVIMHARYHYGAFSANFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYRANYSMADRLDWGRNQGTEFVTSPCNQWKGPYHCNSTQLSGCTYNREAEGYCPIVNYSGDLPEWARYFPQANRGGQSSLADYCTYFVAYSDGSCTDTNGARAPDQMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCLNNSLQVAVDGVWKVCPLAGGPVIFPGFNGELICPAYHELCHVNPVSVSDQCPSSCNFNGDCIDGKCHCFLGFEGRDCSKRSCPGNCSKHGKCLRNGVCECENGFTGIDCSTAICDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSMLLPSLSVCQDVLRKDALGQHCAPSELSILQQLEEVVVMPNYHRLFPAGPRKILNLIRGRDCDGASKRLACWISIQKCDQDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSNDGEGEGVCTGWDELRKF >itb04g03080.t1 pep chromosome:ASM357664v1:4:1904085:1905252:1 gene:itb04g03080 transcript:itb04g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVLLFLLLASPAAFAKDHVVGGDGGWSQSGDYTTWAGGETFTVGDNLVFNYGGSHGVAEVSKDDYDSCNAANALQSFSDGKTTIKLSKAGPMYFMCPTFGHCQTGMKLEINVKSGSSSTPAGGSPPSSGTAPSTPSDSDSPPTTPAPAGKSSPNGAADISGGMFGFSLALGALLALMS >itb01g30640.t2 pep chromosome:ASM357664v1:1:34590793:34599585:1 gene:itb01g30640 transcript:itb01g30640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDLGVEAKEAAVREVAKLLPLPELLQSIASIKADYIARQQANDAQLSTMVAEQVEQAQAGLESLSLSQKTISQLRENFLSIEKLCQECQTLIDNHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEAQESLGDDKELISTYERLTALDGKRRFALAAASSHEEEVGRLREYFEDVDRTWETFENTLWGHVSNFFKLAKESPQTLVRAIRVVEMQEILDQQLAEEAAEAEGGGAMTPITPRRNAKKAVNGTASTKNIIQQKLKIQGKGYKDKCYEHIRKSVEARFDQLLREEDLKAAIAEAKVMGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQWLRKLSDQANNLTNIEILKVTGWVVEYQENLIALGVDESLAQVCSESGSMDPLMNAYVERMQATTKKWYLNILEADKIQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQGAERKRLEEPASEIGLEPLCAMINNNLRCYDLAMELSSSTIEALPENYAEQVNFEDTCKGFLEVAKEAVHQTVNVIFEDPGVQELFIKLYQRDWLEGQVTEYLVATFVDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLIQKTYIKEETIERMRLDEEVLMDFFREYISVSVSPVTN >itb01g30640.t1 pep chromosome:ASM357664v1:1:34590758:34600983:1 gene:itb01g30640 transcript:itb01g30640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEDLGVEAKEAAVREVAKLLPLPELLQSIASIKADYIARQQANDAQLSTMVAEQVEQAQAGLESLSLSQKTISQLRENFLSIEKLCQECQTLIDNHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEAQESLGDDKELISTYERLTALDGKRRFALAAASSHEEEVGRLREYFEDVDRTWETFENTLWGHVSNFFKLAKESPQTLVRAIRVVEMQEILDQQLAEEAAEAEGGGAMTPITPRRNAKKAVNGTASTKNIIQQKLKIQGKGYKDKCYEHIRKSVEARFDQLLREEDLKAAIAEAKVMGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQWLRKLSDQANNLTNIEILKVTGWVVEYQENLIALGVDESLAQVCSESGSMDPLMNAYVERMQATTKKWYLNILEADKIQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQGAERKRLEEPASEIGLEPLCAMINNNLRCYDLAMELSSSTIEALPENYAEQVNFEDTCKGFLEVAKEAVHQTVNVIFEDPGVQELFIKLYQRDWLEGQVTEYLVATFVDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLIQKTYIKEETIERMRLDEEVLMDFFREYISVSKVENRLRILGDLRELASSESPDSFTLVYTNILEHQPDCPPEVVEKIVGLREGIPRKDAREVVQECKEIYVNSLIDGNPPKAGFVFPRVKSLSHSKVSNLWRKLT >itb07g03710.t1 pep chromosome:ASM357664v1:7:2494516:2499013:1 gene:itb07g03710 transcript:itb07g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRCCPTFSSFSHLSSPSPSRKSSISPRIGIPLITTFGSGYAKPHSIPGNGTRKGHHFNAIKSSDQERESQFQAQNVELSSNGGPRNSTSSPSTSLLSFLCPLLKFFSGGDPSRDRNYLFEEATSSLSTLTRIAWGSRAQPGSLNSGDNSNAVDPPMRLQLYEFEACPFCRRVREAMTELDLSVEVYPCPKGSTKHREIVRKFGGKEQFPFLIDPNTGTSIYESGDIVKYLFQTYGGGRSPSSGLLESTLFTGWMPTLLRAGRGMTLWEKSRDEPPPKKLELFSYENNPYARIVREALCELELPYILQNVGNGSRRENLLLEKSGKAEVPYLIDPNTNKRIGDYKEIISYLFQTYSLEAS >itb07g03710.t2 pep chromosome:ASM357664v1:7:2494516:2499013:1 gene:itb07g03710 transcript:itb07g03710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRCCPTFSSFSHLSSPSPSRKSSISPRIGIPLITTFGSGYAKPHSIPGNGTRKGHHFNAIKSSDQERESQFQAQNVELSSNGGPRNSTSSPSTSLLSFLCPLLKFFSGGDPSRDRNYLFEEATSSLSTLTRIAWGSRAQPGSLNSGDNSNAVDPPMRLQLYEFEACPFCRRVREAMTELDLSVESRVSWMQVYPCPKGSTKHREIVRKFGGKEQFPFLIDPNTGTSIYESGDIVKYLFQTYGGGRSPSSGLLESTLFTGWMPTLLRAGRGMTLWEKSRDEPPPKKLELFSYENNPYARIVREALCELELPYILQNVGNGSRRENLLLEKSGKAEVPYLIDPNTNKRIGDYKEIISYLFQTYSLEAS >itb01g07820.t1 pep chromosome:ASM357664v1:1:6188614:6191211:-1 gene:itb01g07820 transcript:itb01g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPLFHFLLLFPATLIYAYSDLDTLLKLKASLVRPGSSELGDWVAGISQAHCFFSGIACDQDSRVISIAISGVPLLGSLPPEIGLLDRLLNLTLASVNLTGELPWEMAKLTSIKAINMSKNSFSGHFPGEILVGMTELQVLDVYNSNFSGRLPHELVKLKKLKVVNLGANYFTGEIPEIYSNISSLHTLILQSNSLTGTIPASLAQLQNLRELCLGRFNTFERGIPPELGSITTLEVLDLSECNLSGEIPPSLGNLKQLNTLFLYGNSLTGHIPPELSGLESLMSLDLSENNMMGEIPQSLAQLKSLTLINLISNNFQRTIPAFIGDLPKLEVLQLWNNNFTSELPVNLGRNRRLRWLDVASNQISGRVPENLCMGGKLRALILMENNFSGPFPQDLGECKSLNEVRVEKNYLNGTIPPGFFNLPLLNILYLQDNYFSGQLPTKMLAKNLTDLHLHNNRISGEIPLALGNLENLWKLSLHSNRLSGKIPNEISHLKKLVTMDLSSNSLTGEIPASIAQCTQLNSFDLSANNLTGKIPKEISSLGRLNALNLSRNLLTGSIPSELGLINSLTILDLSFNYFSGPIPTNGQLGFFDNRSFYGNPRLFYSPPSSLPVNHNNHSWTTKQIRIIITVLILGTAAAFLSTVALLRCIIVARRKKIMKSNNAWKLRAFKKLEYEVDDVVECLKEENIIGRGGAGTVYKGSMPDGVIIAIKRLDRRGAGRRDLGFSAEIKTLGRIRHRNIVRLLGYVSNIDTNLLLYEYMPNGSLSEILHGTNGANLFWEMRFQIAVEAAKGLCYLHHDCSPLIIHRDIKSNNILLTYNYMACIADFGLAKSFNNIGVSEYMSSCVGTFGYIAPGQYEFYT >itb09g01290.t1 pep chromosome:ASM357664v1:9:761340:762764:-1 gene:itb09g01290 transcript:itb09g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLVNVTTEHFPLKFKPKGTVAFVNAIEFVSAPNPLIGEYATLLFPVSQKFELSTHSFQTVYRLNVGGPEILTDQNSLQRIWHPDTPYLDPMQMGKNVAVSPAIISYPEVGGSSLIAPPLVYASALELADSKSVKPNFNITWRMDVTVHFWYLIRLHFCDIVSKSLNELYFNVYINDKIAISGLDLSTLTRLLATAYYKDIVVNSTMVSSPLEIKISPVDDEAQGTRNAILNGVEVLKMNDSVGSLDGEFGVDGTRASDRTNGDRQTVAAVGFAMMFGSFVGLGAMAVKWRKRPQDWRRRSSFSSWLLPVHAKDATFTNPNRTPLGSRQSLFFSSTTEFGRYFSFLELQEATNNSETTKIIGIGGFGSVYLRLVDEKTKIAVKRGNPQLKQGFHEFQTEIHMLSKLRHRHLVSLIGYCDENNEMCLVYEYMSNGPLRDHLYGKNLPSLSWKQRLEICIRAARGLHYLHTGAA >itb02g08470.t1 pep chromosome:ASM357664v1:2:5327296:5329127:1 gene:itb02g08470 transcript:itb02g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVISTCFVGAERSNGVSRRVELTPWDLQFLQVGPIQKGLLFYKPTLVQQQQFFNSNLIHHLKTTLSSTLDFYPPLAGRFAMVMNEEDNTCSVYVDCNNAGVAFVEAKASGLTVAAILDQSNNNNNNNVPEILRCFFTLNGILNRDGICKALMGVQVTELLDGYFIGCTLNHSLGDGASFWNFFNSWAELSRGFLQPSITPIHQRWFPGNTTCPIHLPPLIESPERLSLDGFKLTKRMFHLSKENIGRLKQKANSEMGTKTISSLQSYMAHLWRAVTRARHLHSNEDVHLVVGIGTRARMGLPGGYWGNAVFFIKETLKAGDVLGKGLGWVAWQIKKAVEKHSHEEEVRKMYMKFVKSPALIGAETSGSPNTLGISSSPRFDVYGSDFGWGKPVAVRTGAVNKLDGRVALFGGCEEGSVEMELSIHPQTLLGLENDPQFLDYFTIPGN >itb14g17100.t1 pep chromosome:ASM357664v1:14:20422884:20423383:1 gene:itb14g17100 transcript:itb14g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAKTSKKRTALVGPRVPPDANNKVSDDEAELAGCRTPDREECRIPVMKFPPPPPRKKRLRLFGKKEVPKSAYFHSPDLELFLTIPLRRRAAITQLVE >itb04g24760.t1 pep chromosome:ASM357664v1:4:29500864:29503149:-1 gene:itb04g24760 transcript:itb04g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSAGDSPPEKSIHEFTVKDCRRNDVDLSIYKGKVLLVVNVASRCGLTNSNYTQLTELYNKYKDKGFEILAFPCNQFLKQEPGTSEAAQDFACTRFKAEYPIFQKVKVNGADAAPVYKFLKSSKGGFCGSSIKWNFTKFLVDKEGIVIKRFSSTTQPLAIEVSCFLFASCFSGLTPHTFCRLICPSF >itb03g21300.t2 pep chromosome:ASM357664v1:3:19147156:19150916:-1 gene:itb03g21300 transcript:itb03g21300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQTARLITNVSKKVRPITQSPLWQQQQHRLFGSPAPEVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVADAKAETKANASVIYVPPPFAGAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALKQQTKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLERFVADPQTEGKWD >itb03g21300.t1 pep chromosome:ASM357664v1:3:19145799:19150955:-1 gene:itb03g21300 transcript:itb03g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQTARLITNVSKKVRPITQSPLWQQQQHRLFGSPAPEVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVADAKAETKANASVIYVPPPFAGAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALKQQTKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLERFVADPQTEGIVLIGEIGGTAEEDAAAFIKASGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIRTLKEAGVTVCESPAKIGTTMLDVFTQRGLL >itb13g00940.t1 pep chromosome:ASM357664v1:13:886636:891422:-1 gene:itb13g00940 transcript:itb13g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKLAFFPPDPPTYDVFRDDYGAQCFTGLRADSNVDVHLLDTKVGNKIVAAFWRHPFARLTLLYSHGNAADLGQMQDLFIELRAHLRINIMSYDYSGYGASSGKPTEFNTYHDIEAVYNCLKSKYEIKQEDVILYGQSVGSGPTLHLASRLKRLRGVVLHSAILSGIRVLYPVKMTLWFDIFKNIDKIREVNCPVLVIHGTEDEIVDFSHGKRLWELSEDKYDPLWVKGGGHCNLETFPEYIKHLAKFLNAMERRSFSKRIKPQLSKAPSITDSRHNKCLIFGKR >itb10g02150.t1 pep chromosome:ASM357664v1:10:1764272:1766969:-1 gene:itb10g02150 transcript:itb10g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTVLLPMVLSLSLVQLAYAQSLDQGFYANTCPNVVGIVKRTTASFISQSPSLAASLLRLHFHDCFVRGCDGSVLLNSTNGIPAEKDAIPNKTLRGFQVIDAAKAALEVVCPGVVSCADILALVARDAVTMINGPYWAVPLGRRDGVVSLSSEALNSLPAPFANISDLKSTFGALGLSVKDLAVLSGGHTIGISHCPPFSDRLYNFTGNGDTDPSMDQRYIQQLKTKCPPADVTTTVEMDPGSYFTAVSARKGLFQSDAALLNDAETKAYVQQQTNSATSTFLKDFAASMVKMGQIGVLTGKAGEIRKNCALRN >itb01g28040.t1 pep chromosome:ASM357664v1:1:32603154:32606967:1 gene:itb01g28040 transcript:itb01g28040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQASFRGVMEKQQSFRNMEKQKSFKGLMEKQKSFRIAMERQLSFGGERKRGKDSPGKRGDNALHLAARAGNLSKVREILQRFDSKAIKDMLSKQNQEGETALYVAAENGHTLVVGEILKQLDLETASIASNNGYDAFHIAAKQGHLEVLKELLNVFPNLVMTTDSSNSTALHTAAGQGHADVVDLLLEIDSNLAKIARNNGKTVLHTAARMGHLEVVKSLVNKDPSIGFRTDKKGQTALHMAVKGQNVDIVLELIKPDPSVLAIGDNKENTALHIATRKGRPQMVQRLISIEGININAVNKAGETALDIAEKFGPPDVVTMLKEAGATHSKDHGKPPSAAKQLKQTVSDIKHDVESQLQQSRQTGFRVRKIAKKVKKLHISGLNNAINSLTVVAVLIATVAFAAIFTVPGQYVEKEKKGFTLGEANVAHKAAFIVFFLFDSLALFISIAVVVVQTSVVVIEQKAKKQMVFIINKLMWAACLFISVAFISLTYVVVGTREQWLAIYSTVVGGMIMLTTIGSMCYCVIRHRLEESRMRNIRKAETLSRSFSMSMVPSDSELYNENYKRMYAV >itb01g31300.t1 pep chromosome:ASM357664v1:1:35096227:35098158:1 gene:itb01g31300 transcript:itb01g31300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTLLPSSSSSAVYYNNKHCLRSHNLSLHSNLTFTISKQLSLPVLGISNAKSKRNYIVCNNGDQPGVPSPSGPPSSNSLKGWIVGIILSIILPSFRGKLGPWMQLKNTVDSLVETVEEIADGIEKVAEVVDKAVEEIVDDLPEGKLKDAAKFVENMAEKIDKSAETLGDMIDKAQEIEDKVEEKLESIAKLKKDEATKSMEDKVVERLEPIAVLDRVEASKPAEVAA >itb01g31300.t2 pep chromosome:ASM357664v1:1:35096227:35097729:1 gene:itb01g31300 transcript:itb01g31300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTLLPSSSSSAVYYNNKHCLRSHNLSLHSNLTFTISKQLSLPVLGISNAKSKRNYIVCNNGDQPGVPSPSGPPSSNSLKGWIVGIILSIILPSFRGKLGPWMQLKNTVDSLVETVEEIADGIEKVAEVVDKAVEEIVDDLPEGKLKDAAKFVENMAEKIDKSAETLGDMIDKVCPNFPLLAIQIQNY >itb15g03300.t4 pep chromosome:ASM357664v1:15:2107601:2110247:-1 gene:itb15g03300 transcript:itb15g03300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSRELSPSSGSIFSQNSRKGLFPRSREVFMDPSANSEFGNSSGRNSGLLRFRSAPSSVLENFTHGVEKSGGSGSRFNLVGSTNGGLVSQGSLNLEDSGGYKGFVNGFSGLPPQYPRQSSSAQLVDGGGLLGSNLMRQNSSPPGLFSHLTPQNGYAAMKGYRMAVHGDTSLSSSRLKSPLSYSSGCVGGMLSQITEVENESNAESCEDGEKPGGNGNADTRFFGHGFPFASWSDPPNFAENLNGLKRELDNDANLFAAANTQVGDMGTQPQNLSHPLGLQKADSASIAAIEKLSHFPDTVPWNIRAKRGCATHPRSIAERVGIREYDLSYNSWILNLYTHTTRFSFRTMFQVRRTRISERMRKLQELVPNMDKQTNTADMLDFAVEYIKDLQRQYKVQANNNDSTH >itb15g03300.t3 pep chromosome:ASM357664v1:15:2107601:2110247:-1 gene:itb15g03300 transcript:itb15g03300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSRELSPSSGSIFSQNSRKGLFPRSREVFMDPSANSEFGNSSGRNSGLLRFRSAPSSVLENFTHGVEKSGGSGSRFNLVGSTNGGLVSQGSLNLEDSGGYKGFVNGFSGLPPQYPRQSSSAQLVDGGGLLGSNLMRQNSSPPGLFSHLTPQNGYAAMKGYRMAVHGDTSLSSSRLKSPLSYSSGCVGGMLSQITEVENESNAESCEDGEKPGGNGNADTRFFGHGFPFASWSDPPNFAENLNGLKRELDNDANLFAAANTQVGDMGTQPQNLSHPLGLQKADSASIAAIEKLSHFPDTVPWNIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDFAVEYIKDLQRQYKVQANNNDSTH >itb15g03300.t1 pep chromosome:ASM357664v1:15:2107601:2110247:-1 gene:itb15g03300 transcript:itb15g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSRELSPSSGSIFSQNSRKGLFPRSREVFMDPSANSEFGNSSGRNSGLLRFRSAPSSVLENFTHGVEKSGGSGSRFNLVGSTNGGLVSQGSLNLEDSGGYKGFVNGFSGLPPQYPRQSSSAQLVDGGGLLGSNLMRQNSSPPGLFSHLTPQNGYAAMKGYRMAVHGDTSLSSSRLKSPLSYSSGCVGGMLSQITEVENESNAESCEDGEKPGGNGNADTRFFGHGFPFASWSDPPNFAENLNGLKRELDNDANLFAAANTQVGDMGTQPQNLSHPLGLQKADSASIAAIEKLSHFPDTVPWNIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDFAVEYIKDLQRQYKTLADCRDKCKCSAMKKPASNQRV >itb15g03300.t2 pep chromosome:ASM357664v1:15:2107601:2110247:-1 gene:itb15g03300 transcript:itb15g03300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSRELSPSSGSIFSQNSRKGLFPRSREVFMDPSANSEFGNSSGRNSGLLRFRSAPSSVLENFTHGVEKSGGSGSRFNLVGSTNGGLVSQGSLNLEDSGGYKGFVNGFSGLPPQYPRQSSSAQLVDGGGLLGSNLMRQNSSPPGLFSHLTPQNGYAAMKGYRMAVHGDTSLSSSRLKSPLSYSSGCVGGMLSQITEVENESNAESCEDGEKPGGNGNADTRFFGHGFPFASWSDPPNFAENLNGLKRELDNDANLFAAANTQVGDMGTQPQNLSHPLGLQKADSASIAAIEKLSHFPDTVPWNIRAKRGCATHPRSIAERVGIREYDLSYNSWILNLYTHTTRFSFRTMFQVRRTRISERMRKLQELVPNMDKQTNTADMLDFAVEYIKDLQRQYKTLADCRDKCKCSAMKKPASNQRV >itb13g23340.t1 pep chromosome:ASM357664v1:13:29383855:29390423:1 gene:itb13g23340 transcript:itb13g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVRSGGVVKKKSSSGCLIIRKKDDRVGGMGMGGIGPSGSFQKDRKRQRMIMMNDSESSDELPEPNSHNGSVMYRSGVEDKGIFRRNGEIENDWKRTRLGVEFNGYNDFDRRNARNEYREERLRMMGGMGSLREFENGPVRGDVMVEKRKHSYFDSSGSSNMVSARARGVDYGAKNRYEQHEDEVHCPVSSMRLKYQEASDEPIRVQGKNGVLKVMVNKKKKMDLLHNEFQNVENRKASMSHVKKEPRVQPTHFADHKHSDLRPLPIEREKSELKSQKPLREEPTSTADSETDGVRTPHKVAPLSSETGCSVKRVKEEEIRPSASAAETLSAVNKDGKVKRGGSTEKQQLRERIRGMLIEAGWTIDYRPRKNRDYLDAVYISPSGTAYWSIIKAYDAFQKHLEEDTGKNKSDGISAPFAPLSADLINKLTRQTRKKIEKEMKKKKKDESASSKKKALVKEHAEGTDSDQPDERLDSYIKKNSKPLKGKFHATKQKNEDKKIYNASESGRLKQDMVVRSTTAPTRNIILGKKSQIIGRCTLLVRSFEKGQNPENDGYVPFSGKRTLLAWMINSGTVKLSEKVQYMNRRKSRVKLEGWITRDGIHCGCCSKILTVSKFELHAGSKLRQPFQNIVLDSGVSLLQCLIDSWNRQKESECRDFYALGIDGDDPDDDTCGVCGDGGDLICCDGCPSTFHQACLGIEMLPPGDWHCPNCTCKFCGFANENLAEGDKDADELLFCNLCEKKYHTSCGQEENALPMNTNNVSTFCSNTCQELYDHLQKILGVKHELESGFSWSLIQRSELDSDTSHRAFPQRVESNSKLALALSIMNECFLPIVDRRSEINIIQNVVYNCGSNFSRLSYRGFYTAILERGDEIISAASIRIHGTQLAEMPFIGTRYIYRRQGMCRRLLSSIEMVLSSLRVEKLIIPAISDHMHIWTSVFGFKKLEEPHKHEMKSMNMLVFPGTDMLQKQLVMQEASEGLKSVELGLVEKPGIRLSAKHKGDVCNDSDLRGMKQNHAVGERMGSGSSASDVHMHDVMMVRPHNSSCVSRTKLSSKKTSSTKSDGKKRLSESSTNLKHASILAEKADESSSRSAGEGANENLNQLSVSGICGTKEETVLRSNSESDHRAISEIQSKAGANSEASSDAEMIPINRDLYEDPSDAGKPDSNHMKGVEDFSRKTSSHNATSAVKDEKSRNFSSASAFQDSNGVSNLNQQISPNVKSNLTMTSETKGGLVVEGTVPGPTPGVSAQCCAEEMMSEKDSKMCFKHDLNLQADMIKE >itb13g23340.t2 pep chromosome:ASM357664v1:13:29383906:29390423:1 gene:itb13g23340 transcript:itb13g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVRSGGVVKKKSSSGCLIIRKKDDRVGGMGMGGIGPSGSFQKDRKRQRMIMMNDSESSDELPEPNSHNGSVMYRSGVEDKGIFRRNGEIENDWKRTRLGVEFNGYNDFDRRNARNEYREERLRMMGGMGSLREFENGPVRGDVMVEKRKHSYFDSSGSSNMVSARARGVDYGAKNRYEQHEDEVHCPVSSMRLKYQEASDEPIRVQGKNGVLKVMVNKKKKMDLLHNEFQNVENRKASMSHVKKEPRVQPTHFADHKHSDLRPLPIEREKSELKSQKPLREEPTSTADSETDGVRTPHKVAPLSSETGCSVKRVKEEEIRPSASAAETLSAVNKDGKVKRGGSTEKQQLRERIRGMLIEAGWTIDYRPRKNRDYLDAVYISPSGTAYWSIIKAYDAFQKHLEEDTGKNKSDGISAPFAPLSADLINKLTRQTRKKIEKEMKKKKKDESASSKKKALVKEHAEGTDSDQPDERLDSYIKKNSKPLKGKFHATKQKNEDKKIYNASESGRLKQDMVVRSTTAPTRNIILGKKSQIIGRCTLLVRSFEKGQNPENDGYVPFSGKRTLLAWMINSGTVKLSEKVQYMNRRKSRVKLEGWITRDGIHCGCCSKILTVSKFELHAGSKLRQPFQNIVLDSGVSLLQCLIDSWNRQKESECRDFYALGIDGDDPDDDTCGVCGDGGDLICCDGCPSTFHQACLGIEMLPPGDWHCPNCTCKFCGFANENLAEGDKDADELLFCNLCEKKYHTSCGQEENALPMNTNNVSTFCSNTCQELYDHLQKILGVKHELESGFSWSLIQRSELDSDTSHRAFPQRVESNSKLALALSIMNECFLPIVDRRSEINIIQNVVYNCGSNFSRLSYRGFYTAILERGDEIISAASIRIHGTQLAEMPFIGTRYIYRRQGMCRRLLSSIEMVLSSLRVEKLIIPAISDHMHIWTSVFGFKKLEEPHKHEMKSMNMLVFPGTDMLQKQLVMQEASEGLKSVELGLVEKPGIRLSAKHKGDVCNDSDLRGMKQNHAVGERMGSGSSASDVHMHDVMMVRPHNSSCVSRTKLSSKKTSSTKSDGKKRLSESSTNLKHASILAEKADESSSRSAGEGANENLNQLSVSGICGTKEETVLRSNSESDHRAISEIQSKAGANSEASSDAEMIPINRDLYEDPSDAGKPDSNHMKGVEDFSRKTSSHNATSAVKDEKSRNFSSASAFQDSNGVSNLNQQISPNVKSNLTMTSETKGGLVVEGTVPGPTPGVSAQCCAEEMMSEKDSKMCFKHDLNLQADMIKE >itb04g23510.t1 pep chromosome:ASM357664v1:4:28534405:28535182:1 gene:itb04g23510 transcript:itb04g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGESCYYSVLGICKQASDAEIRGAYRKLALKWHPDRCVKDPAIVGQAKHKFLQIQEAYSVLSDKGKRSVYDAGLLDLLAENSDDKEFCGFMQEMVAMMEKEKSQAASSLEDLQSMLQEMMEGA >itb02g11380.t1 pep chromosome:ASM357664v1:2:7604888:7612140:-1 gene:itb02g11380 transcript:itb02g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINRVLLLVLSVILLVTLEGASQEIKRPEEDLLASLINSGDINHDEAELVWLNCRIELINAKEAVEDLELLVQEGQYSWKNEIISRRQPTKTDMQEFESLVHPLVKQTLLDCLQKNNLEFLVSGEENGSKTWYTWFLDFLFARLDTPKRRELVQNSGEAPAPAPVAASPGPISDSRPPTLPPPFFQRFNSSSLQPVASEPSSSGALSEKQSSNHKTVVVAVSVTAAVTLFMVAVLLICYYKVCKGGSRKGQNDERPLLCLSLSDYPIVSDCSGKSFASGNSLNNEKAGNHSLNHLSGGNFSTNHNFGGNFSTNHNFGGNFFMEPQTSNSSKMEVPLGAVSSAAVTSVEVSGQSAPGQLGIAGLPPLKPPPGRVNPHVAPSAKVGLPVSPSPAKTTFLPPTPPAKAAPPAPPPAKGAPTAPPPPPKTASSAPAPPPLPLRPSTGGPRPSPPGPPPPPVPSGKAGPRPPPPPAPMGLKPPQPPPVRQSHSSTSATGEGSADGPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIESLFGYASADKNKKDTKKDNPFQDASNQYIQIIDQKKAQNLAILLKALNVTTEEVCDALKEGHELPSELLQTLLKMAPTSEEELKLRLYNGEVSRLGHAERFLKVLVDVPFAFKRLESLLFMCTLQEEASMVKESFATLEAACTELRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKITLLHFVVQEIIRSEGVRAAGAKEAKGMSSVTADDPTQDLEEYHRSLGLQVVSGLGNELEHVKNAAVLDADSLTTTVSSLGHSLVKAKNFLNSVTDQVGEDDGFIQTLKNFVQNAEVDVMWLLEEEKRIMSLVKSTGDYFHGNAGKDEGLRLFVIVRDFLIILDKVCREVGATKMKTKWAPRKENMAVASSEPQQSQSPPPDPRHKLFPAITDRRYDSSGSDDEV >itb02g11380.t3 pep chromosome:ASM357664v1:2:7604888:7612140:-1 gene:itb02g11380 transcript:itb02g11380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKQLKILNFLSKRDSIVGRMKSFLEGNRPKQTCRNLRVSCIHWLDTPKRRELVQNSGEAPAPAPVAASPGPISDSRPPTLPPPFFQRFNSSSLQPVASEPSSSGALSEKQSSNHKTVVVAVSVTAAVTLFMVAVLLICYYKVCKGGSRKGQNDERPLLCLSLSDYPIGNSLNNEKAGNHSLNHLSGGNFSTNHNFGGNFSTNHNFGGNFFMEPQTSNSSKMEVPLGAVSSAAVTSVEVSGQSAPGQLGIAGLPPLKPPPGRVNPHVAPSAKVGLPVSPSPAKTTFLPPTPPAKAAPPAPPPAKGAPTAPPPPPKTASSAPAPPPLPLRPSTGGPRPSPPGPPPPPVPSGKAGPRPPPPPAPMGLKPPQPPPVRQSHSSTSATGEGSADGPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIESLFGYASADKNKKDTKKDNPFQDASNQYIQIIDQKKAQNLAILLKALNVTTEEVCDALKEGHELPSELLQTLLKMAPTSEEELKLRLYNGEVSRLGHAERFLKVLVDVPFAFKRLESLLFMCTLQEEASMVKESFATLEAACTELRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKITLLHFVVQEIIRSEGVRAAGAKEAKGMSSVTADDPTQDLEEYHRSLGLQVVSGLGNELEHVKNAAVLDADSLTTTVSSLGHSLVKAKNFLNSVTDQVGEDDGFIQTLKNFVQNAEVDVMWLLEEEKRIMSLVKSTGDYFHGNAGKDEGLRLFVIVRDFLIILDKVCREVGATKMKTKWAPRKENMAVASSEPQQSQSPPPDPRHKLFPAITDRRYDSSGSDDEV >itb02g11380.t2 pep chromosome:ASM357664v1:2:7604888:7612140:-1 gene:itb02g11380 transcript:itb02g11380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCINRVLLLVLSVILLVTLEGASQEIKRPEEDLLASLINSGDINHDEAELVWLNCRIELINAKEAVEDLELLVQEGQYSWKNEIISRRQPTKTDMQEFESLVHPLVKQTLLDCLQKNNLEFLVSGEENGSKTWYTWFLDFLFARLDTPKRRELVQNSGEAPAPAPVAASPGPISDSRPPTLPPPFFQRFNSSSLQPVASEPSSSGALSEKQSSNHKTVVVAVSVTAAVTLFMVAVLLICYYKVCKGGSRKGQNDERPLLCLSLSDYPIGNSLNNEKAGNHSLNHLSGGNFSTNHNFGGNFSTNHNFGGNFFMEPQTSNSSKMEVPLGAVSSAAVTSVEVSGQSAPGQLGIAGLPPLKPPPGRVNPHVAPSAKVGLPVSPSPAKTTFLPPTPPAKAAPPAPPPAKGAPTAPPPPPKTASSAPAPPPLPLRPSTGGPRPSPPGPPPPPVPSGKAGPRPPPPPAPMGLKPPQPPPVRQSHSSTSATGEGSADGPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIESLFGYASADKNKKDTKKDNPFQDASNQYIQIIDQKKAQNLAILLKALNVTTEEVCDALKEGHELPSELLQTLLKMAPTSEEELKLRLYNGEVSRLGHAERFLKVLVDVPFAFKRLESLLFMCTLQEEASMVKESFATLEAACTELRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKITLLHFVVQEIIRSEGVRAAGAKEAKGMSSVTADDPTQDLEEYHRSLGLQVVSGLGNELEHVKNAAVLDADSLTTTVSSLGHSLVKAKNFLNSVTDQVGEDDGFIQTLKNFVQNAEVDVMWLLEEEKRIMSLVKSTGDYFHGNAGKDEGLRLFVIVRDFLIILDKVCREVGATKMKTKWAPRKENMAVASSEPQQSQSPPPDPRHKLFPAITDRRYDSSGSDDEV >itb02g11380.t4 pep chromosome:ASM357664v1:2:7604888:7611708:-1 gene:itb02g11380 transcript:itb02g11380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQTSNSSKMEVPLGAVSSAAVTSVEVSGQSAPGQLGIAGLPPLKPPPGRVNPHVAPSAKVGLPVSPSPAKTTFLPPTPPAKAAPPAPPPAKGAPTAPPPPPKTASSAPAPPPLPLRPSTGGPRPSPPGPPPPPVPSGKAGPRPPPPPAPMGLKPPQPPPVRQSHSSTSATGEGSADGPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIESLFGYASADKNKKDTKKDNPFQDASNQYIQIIDQKKAQNLAILLKALNVTTEEVCDALKEGHELPSELLQTLLKMAPTSEEELKLRLYNGEVSRLGHAERFLKVLVDVPFAFKRLESLLFMCTLQEEASMVKESFATLEAACTELRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKITLLHFVVQEIIRSEGVRAAGAKEAKGMSSVTADDPTQDLEEYHRSLGLQVVSGLGNELEHVKNAAVLDADSLTTTVSSLGHSLVKAKNFLNSVTDQVGEDDGFIQTLKNFVQNAEVDVMWLLEEEKRIMSLVKSTGDYFHGNAGKDEGLRLFVIVRDFLIILDKVCREVGATKMKTKWAPRKENMAVASSEPQQSQSPPPDPRHKLFPAITDRRYDSSGSDDEV >itb13g03970.t1 pep chromosome:ASM357664v1:13:3982219:3986944:-1 gene:itb13g03970 transcript:itb13g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARTFLQLLLATSGLFLLASHAFPSDEVIALTKFKEAIYDDPLLVLTNWDALDSNPCHWNGILCSAAGDHVTNINISRASLKGFLAPELHLIPNLQYLMLDGNQLQGTIPREIGLLKSLIVLDLSNNKFSGPIPREIGNLQDIEKINLRSNGLTGRLPPELSNLKNLKELRLDRNKLKGTVPGSNSPGFASSIHGMYTSRGKPSGFPRSSCFPKLKFADFSYNFFVGKIPNCLNYLPRSSFQGNCLQVQEISQRPAAQCGRASTPKIHPELNNRHHRPAEHVPKHKSAAKPAWLFALEVVTGVMVATLFVVALLTTIKKCKSKSSIIIPWKKSGSEKDHLAIYVDTEMLKDVMRYSREELEVACEDFSNIIGTSPDSVVYKGTMKGGPEIAVIALCIKEEHWTAYLELYYQKEVAELARINHEHTGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEACQLSWTRRMKIVIGIAKGLKYLHTELNPPFTISELNSNAVYLTEDFSPKLVDFESWKTILSRSEKNSGAISSEGAICILPNSLEGRYIDVQGNIYAFGVLLLEIISGRPPYCKDKGSLVDWAKEYLEVPEAMSNLVDPELKHFRYEDLKVVCGVINTCIHPDSNCRASMKDLCAVLESDIDTSISAELKASSLAWAELALSS >itb01g04010.t1 pep chromosome:ASM357664v1:1:2653706:2659754:-1 gene:itb01g04010 transcript:itb01g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFDTHHHLLDMSHKPPENELDMLRDDEFESKSGTDIMEAPSGDDQDPNLPSNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELGRRLALEPLQVKFWFQNKRTQMKAQHERHENSQLRAENDKLRADNIRYKEALSNATCPNCGGPATIGEMSFDEQHLRIENVRLREEIDKISAIAAKYVGKPMLSYPNAPPLAPPRSLDLGVGSFGPPPAQLPGELYTAGDLLRSVSGPSDADKPMIIELAVAAMEELIRMAQSGEPLWVPSPDNSTEILSEDEYVRTFPRGIGPKPLGFKSEASRESAVVIMNHINLVEILMDVSQWSSVFAGIVSRALTLEVLSTGVAGNYNGALQTMTAEFQVPSPLVPTRENYFLRYCKQHGDGTWAVVDVSLDTLRPSAVTRCRRRPSGCLIQELPNGYSKVTWIEHVEVDDRAVHSIYRPLVNSGLAFGAKRWVTALDRQCERLASAMASNIQTGEVGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRNEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPASNGAVMNPEVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKSAVACESA >itb01g04010.t2 pep chromosome:ASM357664v1:1:2653731:2658978:-1 gene:itb01g04010 transcript:itb01g04010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFDTHHHLLDMSHKPPENELDMLRDDEFESKSGTDIMEAPSGDDQDPNLPSNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKELGRRLALEPLQVKFWFQNKRTQMKAQHERHENSQLRAENDKLRADNIRYKEALSNATCPNCGGPATIGEMSFDEQHLRIENVRLREEIDKISAIAAKYVGKPMLSYPNAPPLAPPRSLDLGVGSFGPPPAQLPGELYTAGDLLRSVSGPSDADKPMIIELAVAAMEELIRMAQSGEPLWVPSPDNSTEILSEDEYVRTFPRGIGPKPLGFKSEASRESAVVIMNHINLVEILMDVSQWSSVFAGIVSRALTLEVLSTGVAGNYNGALQTMTAEFQVPSPLVPTRENYFLRYCKQHGDGTWAVVDVSLDTLRPSAVTRCRRRPSGCLIQELPNGYSKVTWIEHVEVDDRAVHSIYRPLVNSGLAFGAKRWVTALDRQCERLASAMASNIQTGEVGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRNEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPASNGAVMNPEVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKSAVACESA >itb01g09750.t1 pep chromosome:ASM357664v1:1:7956889:7957273:1 gene:itb01g09750 transcript:itb01g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVRYATDQSTDSIADRPQTGDLQSDHRASIHRTITARSEISTSVAGSNLHHRKQKLLHPAYNIFSRSESYAIHHHQI >itb07g16170.t2 pep chromosome:ASM357664v1:7:19512480:19520177:-1 gene:itb07g16170 transcript:itb07g16170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGGSSGAGGSGMMGMGGRRAVIHPDPSVIAVDIWALAEETAQEVVNFIHPTMDSEEKRKDVTDFMQRLIRYYAPCEVFPYGSVPLKTYLPDGDIDLTALSVPDNEESLAREVLAILQAEELNENAEYHVRDTRFIDAEVKLVKCVVQDIVIDISFNQLSGLCSLCFLEQVDRLVGKNHLFKRSIMLIKCWCYYESRILGAHHGLISTYGLEILILYIFQFFHASLNTPAAVLYRFLDCYSRFDWEKYCISLSGPVFKSSLPEIVVEMPDNGRNSLLLSEEFLRNCMEMFSVPSRTTHESNKRVFQQKHLNIIDPLKENNNLGRSVHRGNYYRICSAFRYGVRKLGQILLLPQDKIGDGIKKFFSNTIKRHGYSDLGNLKNSSLSIDADSSGISSCSASLAEFFCEEELLLKSSAGDFDHDALEVEDKCTSMLTKAVSLEMAVLQQCLSGDANDLSTSTHQDPSSANETSDGGTYSASLYGRTHSAQNLPLPSTKLSAESGSCENGNVCQSDRSDSVDVDEKLGSGSEHGEFRPGCSSGCQLGTEYGEGFGSAISSLKTCTVEDASLDFREKDVASIAGDSEVWNPLADLTGDYDGHIRSLLYGKFCHGYTLSVAGISPFWFQNNELLLSDQQSMPPVFVGPMLYSAGNAVPSTATYNFEERSKVRGTGTFIPTMVNTYRDDRALRGKGKYRAYGGHGLLHRSTSSRGWAPALPRDSASENSSREGSHAWPAAAQERGKSSGFRPSHHSAGDSRVGEFGYARQYPEGGSSHERATTRFSQRVPCAKPVWGRKDRLADQSLAPEE >itb07g16170.t1 pep chromosome:ASM357664v1:7:19512480:19520229:-1 gene:itb07g16170 transcript:itb07g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGGSSGAGGSGMMGMGGRRAVIHPDPSVIAVDIWALAEETAQEVVNFIHPTMDSEEKRKDVTDFMQRLIRYYAPCEVFPYGSVPLKTYLPDGDIDLTALSVPDNEESLAREVLAILQAEELNENAEYHVRDTRFIDAEVKLVKCVVQDIVIDISFNQLSGLCSLCFLEQVDRLVGKNHLFKRSIMLIKCWCYYESRILGAHHGLISTYGLEILILYIFQFFHASLNTPAAVLYRFLDCYSRFDWEKYCISLSGPVFKSSLPEIVVEMPDNGRNSLLLSEEFLRNCMEMFSVPSRTTHESNKRVFQQKHLNIIDPLKENNNLGRSVHRGNYYRICSAFRYGVRKLGQILLLPQDKIGDGIKKFFSNTIKRHGYSDLGNLKNSSLSIDADSSGISSCSASLAEFFCEEELLLKSSAGDFDHDALEVEDKCTSMLTKAVSLEMAVLQQCLSGDANDLSTSTHQDPSSANETSDGGTYSASLYGRTHSAQNLPLPSTKLSAESGSCENGNVCQSDRSDSVDVDEKLGSGSEHGEFRPGCSSGCQLGTEYGEGFGSAISSLKTCTVEDASLDFREKDVASIAGDSEVWNPLADLTGDYDGHIRSLLYGKFCHGYTLSVAGISPFWFQNNELLLSDQQSMPPVFVGPMLYSAGNAVPSTATYNFEERSKVRGTGTFIPTMVNTYRDDRALRGKGKYRAYGGHGLLHRSTSSRGWAPALPRDSASENSSREGSHAWPAAAQERGKSSGFRPSHHSAGDSRVGEFGYARQYPEGGSSHERATTRFSQRVPCAKPVWGRKDRLADQSLAPEE >itb06g15210.t1 pep chromosome:ASM357664v1:6:19575506:19577502:-1 gene:itb06g15210 transcript:itb06g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLFLLPFTLIFIYHYIFPTSPSGPPRLPIIGNLHQFDSTEFHVFLWKLSKKYGSLMRIKLGYREAIVISSATMAKEVLKTHDLAFSSRPSFIGQQRLSYNGLDIAFSPYNDYWREVKKICVLHLFSLRRVKQFQPIREDEVSQMINRISKRAFSYQQVNLSEIAMSLTSNIICRSAFGLRYDEEGQEKRRFHKLLNEAQAMFVEGSLVADFFPSFGWMIDKFTGKVARLERVFKGLDSFYQELIDKHLQPNRPKSMDGDIIDILLGLMEENSSLVNLTCDHIKAVLMNVFAGGTDTSTVVIIWTMTALMKDPRVMNKVQKEMREFIGEKGKVDEDDIKQLPYFRAVIKETMRLYPPLPLLVKRETMSKCTIDEYEIKPKTIVIINAWAIARDPKYWKDPEEFYPERFLDCNIDYKGQNFELIPFGAGRRMCPAMALGLVVAELALANLLYAFDWELPSWMKKEDIDTESLPGLAMHKKNHLCLFAKSAHV >itb01g08080.t1 pep chromosome:ASM357664v1:1:6425621:6428342:1 gene:itb01g08080 transcript:itb01g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNEMPNFIPETAAAEKLAEKAQDSLTKLLHLPYKNVAEKIQKSALVLKEKIVEETWVAKGKRVSDYSLYTGALGTAFLLFKAYQVSKDGNDLALCSEIISACDLASRGSGRVTFICGQAGVCALGSVVAMRIGNERLCDHYLAQFKEIKLPKDIPDELLYGRVGYLWACSFINKNIGQGTISSSKMRAVVDDVIRSGRKMAKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDVELKPDEVEDIKCTLRFMIRNRFPSGNYRSSEGSESDRLVHWCHGAPGLALTLTKAAKL >itb01g08080.t3 pep chromosome:ASM357664v1:1:6425627:6428341:1 gene:itb01g08080 transcript:itb01g08080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNEMPNFIPETAAAEKLAEKAQDSLTKLLHLPYKNVAEKIQKSALVLKEKIVEETWVAKGKRVSDYSLYTGALGTAFLLFKAYQVSKDGNDLALCSEIISACDLASRGSGRVTFICGQAGVCALGSVVAMRIGNERLCDHYLAQFKEIKLPKDIPDELLYGRVGYLWACSFINKNIGQGTISSSKMRAVVDDVIRSGRKMAKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDVELKPDEVEDIKCTLRFMIRNRFPSGNYRSSEGSESDRLVHWCHGAPGLALTLTKAAK >itb01g08080.t2 pep chromosome:ASM357664v1:1:6425621:6428342:1 gene:itb01g08080 transcript:itb01g08080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNEMPNFIPETAAAEKLAEKAQDSLTKLLHLPYKNVAEKIQKSALVLKEKIVEETWVAKGKRVSDYSLYTGALGTAFLLFKAYQVSKDGNDLALCSEIISACDLASRGSGRVTFICGQAGVCALGSVVAMRIGNERLCDHYLAQFKEIKLPKDIPDELLYGRVGYLWACSFINKNIGQGTISSSKMRAVVDDVIRSGRKMAKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDVELKPDEVEDIKCTLRFMIRNRFPSGNYRSSEGSESDRLVHWCHGAPGLALTLTKAAKVFNSEEFLQAAVDAAEVVWNRGLLKRVGICHGISGNSYVFLALHRLTGNMKYLYRAKAFACFLHNRAQTLISEGIMHGGDRPYSLFEGIGGMAYLFLDMTEPLDTRFPAYEL >itb09g07920.t1 pep chromosome:ASM357664v1:9:4743781:4746976:1 gene:itb09g07920 transcript:itb09g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRNLPQEAIEEILSRLPPKPLGRCKCVSKSWHNLISSRNFVKKHLDRTLADNPPENPFRILTYWCYESLDFESPSALHSAADPYADSDAVVNLDDPLGPEDGPEDPCIDRRVIGSCDGLVALLYKYDDVERIILWNPCIKLARELPGPPPSPPHANPAAFYGFGYDASVDDYKVVWVVRSKSTNQTTAHVFCIYGGDSWRTINGPDKSIAVHELETAYNHGPMGTLLHGGLHWLADRGGDNGGLILRFDLTAETFREMPQPITGDPNKTFEFLWVAKDRLGLLSTPNFIDCNIEFWAMEEYGVGASWSKLFSTGDSLEFGEHVAPLCVARNGDLIIDLDGYSTVRYNVGEKRFEYLNMNHPDMHQCSVTNFVKKHLDRTLSNNSPENPFRILTYWCYESLDFESPSALHSAADSDALVDLDDPLGPEDPCIDRRVIGSCDGLVALLYKYDDVERIILWNPCIKLARELPGPPPSPPHANPAAFYGFGYDASTDDYKVVRVVRSKSTNQTTAHVFCIYGGDSWRTINGPDKSIVVHEMESSYFHGPMGSLLHGGLHWLADRGGDNGGLILRFDLTAETFSELPQPTTGDSNTTFEFLWVLKDRLGLLSSPSAIDCNIEFWAMEEYGVGASWSKLFSTGDSLEFGEFIAPLCVARNGDLILDLDGWNTVRYNVGEKRFEDLKSNHPDVHQCSVYVQTMVSPYKTH >itb04g09280.t1 pep chromosome:ASM357664v1:4:8588558:8592473:1 gene:itb04g09280 transcript:itb04g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWFHSNFTENKMDASILVELLRHMQDLVISEVNFLGGVSSKVEQIQAELNRLRCFLEEIDAKRDEDEMLHNWVLDITELLYDIDNVLDAFYLKIPVKREGDVFKRYACIWKEGIDLHTIGSRVEEIKTQIADLIKRFLQFSSLRALSRLENSSSTSERQNHPWLRRSYSHLVEEDLVGLEDDMKELVGCLVTEEKDQCPRVIAICGIGGLGKTTLARKIFHHGETRNHFQAFAWACISHQWQEKDTLQGILTKLEPERKQEFERSSYEELVKELHQLQQRKRCLVVLDDVWSTNFWDSVVSAFPTGRTGSKILVTTRNRDVCTHIDPSCFLHELRYLNNKESWELFQKKAFPRKHPADIKMDKEKEKLGRQMVGQCRGLPLAIIVLGGLLATKPTSGEWTKVHQNLSLHLSGVKSAGQYGGVYEVLALSYNNLSYRLKQCFLYLGNFPEDYEVPTQKLYQLWGAEGFIPVEIRQGDAEETMMDVAEQCLGELAQRCMVDVQVEETTGRFKKCQLHDLMRELCLSKVEEENFLTIIPAHRRNSPALLPHHLRKVFSPWGTARSIRRLSATVESDFDEHFHPGEGAFGQIRSALFYSRLPYRQSFQHQLALVCSNFKLLRVLDLEKFSFDQKLPKGIGNLVHLRYLSLRDSHFQKLPSSISNLKLLQTLDLRAYYFAYLPIPNVIRKLQNLRHLYLPPSHQKTYSLQLDSLSKLEILKNYDTQVAHFRDLPKLTRLQTLSATFSLEQEEMEAIINYLSRTKNPLRHSSLRIYYRFFSEKDLSLLTQLVGCHHLNTLDLIGYINYLPDFCHFSQNLTKLTLRKSELEEDPMAILEKLPKLDTLSLRGNVFSGKNMCCSRHGFPKLKTLRIQGLPNLESWEVEEGSMPTLLHLEIDKCEKLKKIPCGLKFISTIEKLVIANMPKDFKRRLHSEEGGEDLCKVKHIPCIRVFDTGSHRFNTEPVIQLSGGQILSGLFQTIYPSLVPKSWKSV >itb13g03000.t1 pep chromosome:ASM357664v1:13:2973962:2979915:1 gene:itb13g03000 transcript:itb13g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRIKDQDKNDAVDDDIESSHGKAVSGSISNVAIVGASSGDRSKWKRKSIVTLALTVLTSSQAILIVWSKRAGKYEYSVTTANFLVEALKCALSLVALMRIWRKDGVTDDNRLSTTYDEVSVFPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGILYRIILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPLQGWIMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMIFNAIAIVIQDFDQVANKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYATSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSAGKLQTQR >itb12g18830.t1 pep chromosome:ASM357664v1:12:21097590:21103548:-1 gene:itb12g18830 transcript:itb12g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEENNSPKCDLGSQPAQQFPVPSSYLSTSLPTGHVPQIHMWPSQQPFMPPYGMPYPMIYPQGIVYAHPAVSLAAVTSSVELVPKPSEAIDQNSAKRLKGHNGLAVPITNDNFEVNAEGSVHGTSQSDDVATSTDESNEGMEDPKTVEQPSLNRTPEISFARNAVRFPIEVSVQDERQLKREKRKQANRESARRSRLRKQAEYEEVVRRYESLNKDNTNLKSEMNKLKEDMEKLRLENATLMEKLESTQPRQPGEAVPNDPNVKLEDSCNKK >itb03g19420.t1 pep chromosome:ASM357664v1:3:17504505:17506392:-1 gene:itb03g19420 transcript:itb03g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGERNLGNHERTAAAAASSSRKSKKSNSEKPKTPQRGLGVAQLEKIRLHSQMGCAYHLPATSLHHNPFAANLSQEDMRLQTAYNSSSSSSSYACLPAFQTMMGNDMERANIRFGDSQPSSTPSWHPGTVYEPQQYAQPNMTRHFLNLQVEDSIEKKRKKDRSDSMGSGSQNSDQCNGSQELDLELRL >itb01g15890.t1 pep chromosome:ASM357664v1:1:19234374:19237687:1 gene:itb01g15890 transcript:itb01g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKQYLHELLREDQQPFHLKSYISDRKSQLKLMMGDDSSHSLVHVGTRKSFVETLTLKQRSLCKRGCLFSFRGSPEVGFPSPASAKTQNGRVLLHVPARTAALLLEAATRIQGPRIKNCGLGLFGSVLKRLKERNSKKKMREVKNIEEQHEVGFPCAYNHRRRRRLSSAGWSDESNDDEKSLDLDLDLEASSSFRSEDFEEIADSPFRFSLHRCPSPDLRTPEFSSPAASPGLHKTQNKENCEDEDLENAKQKEEDNKEQCSPVSVLDHSFEDDNFKADEDDEDDHDPECSYSTLQKAQQELLYKLHRFEQLAELDPIELEKLMFDEEEEEEEDVKERVICCRDGEINPYTTLQVSSQRSEENTEINDDLNNRKVVFGKVYNRVDFCRMGFEEWKNCRHQQAEEIAMEIEVVMFGVLVEELSQELSGS >itb04g32720.t1 pep chromosome:ASM357664v1:4:35169521:35172428:-1 gene:itb04g32720 transcript:itb04g32720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLAKPAKLAHASSSLFAENKASSPSEKKGSNNSSQPTPNGSVANTLATPSCNPPALSNLKPFAFNDLKVATRNFRSDSLLGEGGFGYVFKGWVDENSFAPCRPGTGMVVAVKKLKPESFQGHREWVSEVNYLSELHHTNLVRLIGYCLESENRLLVYEFMPKGSLENHLFRKGVQLMPWSIRMRIAVDVARGLSFLHGLNANVIYRDLKASNILLDSDFNAKLSDFGLARDGPVGDKSHVSTRVVGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGKRALGDESVGGAEETLVDWARPSLNDSRRVVRIMDTRLGGQYSKKGAQAAAALAYKCLHIDPKARPPMADVLAALENLPVPKDASRATQKKLDHSHKTVRAPTNSR >itb06g21970.t1 pep chromosome:ASM357664v1:6:24438812:24442879:-1 gene:itb06g21970 transcript:itb06g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLQDSRDMLQKEQNSSELMLKQHCLDAGNQYQKDSCLDANQPPWTEETFRAMEVQNSGNDPVHIQEQNGTQNPESQHLNIQSFSTQQSISIAMSGQWANLNGTSGQQITTKGVTSQKEVLQSKSSQPMANVPKKGIQLPFAILVPHLQTQVDKDRGMQLETLYLKLKKNEISKDVFVRHMRSIIGDHKLKMAILKFQYQTARNTEKQASAQQQQQTLSNVQIPTDVNNLKMESRECQADFQGTPENQVSSSGLSAIKQEEHLPFPIQGLSKQQQQHLHFPRASFSTYLKGNNNNTCSATNSSSTPAYAKPQHQDLLIRPIAHQNIGATQLRPGTYSMNMINSPNTERKGSLGEPKRAVTHTTSNSMAQQNSVQWQSSTNKEQRNIPVDHFPEPQHKSQISSPSISVQGGSTVGTSKELQSSRMGFSSSASDGPSNFRSTPMSAQVDTSSLLNSCKPPVTSPNEPGNNGKTPLKNPLIGQKKPLETLGSMPPPPSKKPKVSGDLLGQSIEQLNDVAAVSGVNLREEEEQLFSGANEDGRVSEASKRVVQEEEERLILQKFPLQKKLTEIMRKCGIKNRSSDVERCLSLCVEERMRVLMTTLIRFSKQRVDIEKLRHRTIPTSDVRKQIMVINERAKEEWEKKQAEIAKFQKANESEDDTAADGDKEKDEGHVKSGKVNKGEDEKTRATAANVAARAAIGGDDMLSKWQLMAEQARQKREGSGLEGASDSQARKDASWRPVSILNRNTSDPQESEKDASSPTGTVRTFGKNKVVTSQIARTITIKDVIAALEMEPQMSRSTLVYRLYERIRFDASTE >itb12g06760.t1 pep chromosome:ASM357664v1:12:5002607:5004454:1 gene:itb12g06760 transcript:itb12g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPWYLAVFIFNSSIWLAGMQAYICIIIFWVGFSIFTLQIKEMDAEARSLQPNVKAVLLAKLREYKSDLDNLKTEVTKQTSNSNQVDTLPALAETHDQTSHEIRETILDTEELDVPILQDLESQPQQPFLHAHAHKTLHDVDDNKERRISRWMSRNKKWIISAAIVAALIVAILLILYFILPN >itb05g16530.t1 pep chromosome:ASM357664v1:5:23721997:23726203:-1 gene:itb05g16530 transcript:itb05g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAFSGLTNRFNTTPRINKEEDEEEVILVSAVEQGGVIGAPPRYQTTVLVSSEGGGEYELVFFRRLDDEFNKVVGFYREKVEEARKEADELSEQMDALIAFRIMVEKPEIELHAAAQRKDSLCSFLSLSFTSPCIIEAKSYMDAIQETEMSGEDGGASAGTARMSNGEKKRRMEEEKTDNFTNFRPAPLEVLDHIKVNVEPETPISTLKNILKTSNSDLKFNRVELSKAEERMRKAFMEFYQQLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEVSRLMERTEAAFIKHFANGNRRKGMSSLKPHVKREKHRITFFLGLFSGCSIALIAAIIVLIRARNLLRHKGSAQFMENIFPLYSLFGFIVLHMLMYAGDIYFWRRYRINYPFIFGFKQGTELGYREVLLLASGLSVLALAAVLSHLDMEMDPVTRSYKVLTESVPPILITVLLIITFCPLNIVYRSSRFFLITCAWHCIWAPLFKVSLPDFFLADQLTSQVQAIRSLEFYICYYGWGDFRKRLNNCQNSEIYQIFNIVVAVIPFWIRLLQCLRRLFEEKDLSHGVNGLKHFTTVAALVLRTLYELKKGAAMRILAAVFSGITTIANTYWDIVIDWGLLQRNSKNPWLRDKLLVPNKAVYFAAIVMNMILRLVWMQLVLDFNLPFLHKKAMVAIIACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNHEVDKR >itb15g13280.t1 pep chromosome:ASM357664v1:15:11251045:11251674:1 gene:itb15g13280 transcript:itb15g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLRLAYIVNESKRKTTYRKRKNGMLKKLNELTILCGVDVAIIMYNSFESGPVIWPCAGEVLQRIAMFLNLPYVEQTRKMMSHESFVEQRIHKLSTKLLKVKKDNREREINALMHKIFTGERTIDSLSSIDLNDLGWVLNSNMTKIDNKAEEIMRHSSTLASSTLAPSQTLTAFLPGAHSFTTASPFVVGPGTSNVVAPADVFSKY >itb01g23680.t1 pep chromosome:ASM357664v1:1:29613614:29616384:1 gene:itb01g23680 transcript:itb01g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPLRLLTAKPNCGLTHPDFVPNEVLSIIDTVTPMEPSLDKVSHFLSSQAVASVIREQRKPELGFRFFIWTTNKRHFRSWDSHNLIIDMLAKDGGYFDLYWKTLEEVKNSAMPICSDAFAVLISAYWKLNKAEMAVECFGRMKDFDCKANPFTYNVILHVMVQKGVILLALAVYNMMLKSNCSPNCSTFSILIDGLCKSGNTLDALKLFDEMSGRGILPNRITYTVILSGLCQAKRIDDACRLFNLMKTRGCSADFVTYNVLLNGFCKLGRVDEALALLKSFKSEGYVVGLEGYSCLIDGLVRAKRISEAHSLFQKLSENNIAPDIVLYTIMIKGLSQEGRVKEALDLLREMTGRGVLPDTQCYNTLIKGFCDMGLLDEAGSLRLEISQHGCFPNTYTTTFLICGMCRNGMVKEATNIFNEMEKLGCSPSVENFNALIHGLCKAGELDEARIMFYKMEIGKKPSLFLRLSQGIDPVHDSASLQSRVKKLCESGLILKAYQLLMQLADSGAVPNIITYNILINALCKAGKINGAFKLFEELQIRGHSPDSITYGTLIDGLQRVGREDDSLKLFDHISKNGHAPGSSVYRSLMTWSCRRGKIAVAFNLWLRYLRSQGVRSEEAIELVEKHFEEGDTVKAIRTLLEMEIKLADFDSAPYNICLVGLCQMGRSEEAVKVFYILQEFGLNVSAPSCVMLIHSLRKNKNLDQAVNVFLYTVEKGIRLMPRICNNLLRSLLRSRDSAELVYVVLNGMKSAGYDLSAYLQHRTRPLLRHWNIRESENTSPG >itb11g08680.t1 pep chromosome:ASM357664v1:11:5695896:5700706:1 gene:itb11g08680 transcript:itb11g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVAEKSRNLVAQAASSPPPSLSSSVFPRRTSSSSPLRFLSGTSTTLHPSPSSDSMAGSDYSSTPLTLQSINPKVLKCEYAVRGEIVNLAQKIQEELQAKPGSHPFDEIIYCNIGNPQSLGQQPITFFREVLALCDHPSILDKSETQGLFSADSIERAFQILDQIPGRATGAYSHSQGIKGLRDTIASGIEARDGFPANPNDIFLTDGASPAVHMMMQLLISSENDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLETSELKKQLETAKSKGIKVGALVVINPGNPTGQVLAEANQKEIVDFCKKEGLVLLADEVYQENVYVPDKQFHSFKKVARSMGYGEKDISLVSFQSVSKGFYGECGKRGGYMEVTGFSPEIREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESFSAEKDAILSSLARRAKTLEDALNSLEGVTCNKAEGAMYLFPRIRLPEKAIKAAEAAKTAPDAFYARCLLNATGVVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIVSRLTEFHQGFMDQYRD >itb03g22760.t1 pep chromosome:ASM357664v1:3:20843394:20847367:1 gene:itb03g22760 transcript:itb03g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCGPDGSDPVILANASDVSHFGYFQRSSVKEFIVFVGRTVAKRTSPGQRQSVQHEEYMVHAYNRNGLCTLGFMDNHYPVRSAFSVLNQVLDEYQKNFGETWRSAQSDSTQQWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVDKSSDLSAASQMFYKQAKKTNQCCTIL >itb10g08510.t1 pep chromosome:ASM357664v1:10:10603586:10604188:-1 gene:itb10g08510 transcript:itb10g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVCVWFSRWTSEWYVKEDSPLALVWIQLPFLPIHLFQFDTIAAIYEPIGPEIALGSAMIHKTEPNLAKVRVEIDESKHVSDRRGLWQNIVVGKVLSYCGTLMSVDGWTSDENSHIDIHPAINHSVKEGEIIRINVKNKPSAARASMRSAAGLTSGLKTGKSKTLGLVPPLIEEILIRTVSWIAWCKPKFFFCFVLNHE >itb01g21210.t3 pep chromosome:ASM357664v1:1:27386337:27393158:1 gene:itb01g21210 transcript:itb01g21210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEHSNDSQAEQLKLRANEAFKAHKFGQAIDLYTQAIELNSKNAVYWANRALAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKRLCPNDPDATKKLKECEKAVMKLKFEEAISVPQSEKRSVADSIDYHSVEVEPQYTGARIEGDVVTLDFVKKMMDDFKNQKNLHKRYAYQIVLQTREMLRSLPSLVDIEVPQGKHFTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKNMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKIFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFQAPELKPNIVTFSAVPHPDIKPMAYANNFLRMFS >itb01g21210.t2 pep chromosome:ASM357664v1:1:27381560:27393159:1 gene:itb01g21210 transcript:itb01g21210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEHSNDSQAEQLKLRANEAFKAHKFGQAIDLYTQAIELNSKNAVYWANRALAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKRLCPNDPDATKKLKECEKAVMKLKFEEAISVPQSEKRSVADSIDYHSVGSGPGYSYVSTKVTAVAAAVALMAVLVVYMGSRPATGVVAAALATVLVVLGTFWWGRLNLSFFTKSQTVELEVEPQYTGARIEGDVVTLDFVKKMMDDFKNQKNLHKRYAYQIVLQTREMLRSLPSLVDIEVPQGKHFTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKNMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKIFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFQAPELKPNIVTFSAVPHPDIKPMAYANNFLRMFS >itb01g21210.t1 pep chromosome:ASM357664v1:1:27386337:27393158:1 gene:itb01g21210 transcript:itb01g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEHSNDSQAEQLKLRANEAFKAHKFGQAIDLYTQAIELNSKNAVYWANRALAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKRLCPNDPDATKKLKECEKAVMKLKFEEAISVPQSEKRSVADSIDYHSVGSGPGYSYVSTKVTAVAAAVALMAVLVVYMGSRPATGVVAAALATVLVVLGTFWWGRLNLSFFTKSQTVELEVEPQYTGARIEGDVVTLDFVKKMMDDFKNQKNLHKRYAYQIVLQTREMLRSLPSLVDIEVPQGKHFTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKNMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKIFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFQAPELKPNIVTFSAVPHPDIKPMAYANNFLRMFS >itb01g21210.t4 pep chromosome:ASM357664v1:1:27381560:27393159:1 gene:itb01g21210 transcript:itb01g21210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEHSNDSQAEQLKLRANEAFKAHKFGQAIDLYTQAIELNSKNAVYWANRALAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKRLCPNDPDATKKLKECEKAVMKLKFEEAISVPQSEKRSVADSIDYHSVEVEPQYTGARIEGDVVTLDFVKKMMDDFKNQKNLHKRYAYQIVLQTREMLRSLPSLVDIEVPQGKHFTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKNMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKIFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLELVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFQAPELKPNIVTFSAVPHPDIKPMAYANNFLRMFS >itb12g05990.t2 pep chromosome:ASM357664v1:12:4462444:4466525:-1 gene:itb12g05990 transcript:itb12g05990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEHPKKRVAFLLIDGLGDVSLPRFGYQTPMQAAKIPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYNPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEATGIVISRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLQAQPLDDTEEAKHTATVVNELSKEISRILIAHPLNAKRAAEGKNIANVVLLRGCGIRIEVPQFEKIHGLSPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTILTSKAIAIANALSAPLQSCPNIFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDRAIGQLAKLLWPAESSGEFQFFICVTGDHSTPVEYGDHSFEPVPFALCSLKDFVGAVGGEPVLLETSLDPFPLPTIKVGEDLAIDVGVEGGERSKAFSGDCVNEFSEIAAVRGCLGRFPGSEMMGIIKTYLNLKT >itb12g05990.t1 pep chromosome:ASM357664v1:12:4462444:4466525:-1 gene:itb12g05990 transcript:itb12g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLQAQPLDDTEEAKHTATVVNELSKEISRILIAHPLNAKRAAEGKNIANVVLLRGCGIRIEVPQFEKIHGLSPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTILTSKAIAIANALSAPLQSCPNIFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDRAIGQLAKLLWPAESSGEFQFFICVTGDHSTPVEYGDHSFEPVPFALCSLKDFVGAVGGEPVLLETSLDPFPLPTIKVGEDLAIDVGVEGGERSKAFSGDCVNEFSEIAAVRGCLGRFPGSEMMGIIKTYLNLKT >itb13g11440.t1 pep chromosome:ASM357664v1:13:16197283:16202168:-1 gene:itb13g11440 transcript:itb13g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICEQCGYHLKISSSDRIELLIDPGTWNPMDDDMVSMDPIGFHSEEEAYKDRIDSYQIKTGLTEAVQTGIGQLNGIPVAIGVMDFQFMGGLFISAYLWCAISWNVGSGYDRFDRKEGIVCIFRWGFPGKNRRIFFRYLIKDIQSIRIELKEGIYTRRVLYLEIRGQGAIPLTRTDENLTPREMEQKAAELAYFLRVPIEQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPEMKEKMGNLSFQSYRPNKQNILVIGPVPGQKYSEITFPILAPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNSTATGIVKKIVRKEKGGYEINIADASDGREVVDIIPRGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEVVLQDPLRIQGLLFFFASVILAQIFLVLKKKQFEKVQLSEMNF >itb01g19160.t2 pep chromosome:ASM357664v1:1:25147191:25152962:-1 gene:itb01g19160 transcript:itb01g19160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKNEAQNVRRVKLSYVFLCLGALYLILICLKFPEFYESATALGGDDSVHGLDAFLDTGEEGGELSKPHLGSAYTDGLHRILHNNVNYNAPQTPLEEALPQNTDLLPKKPRRLGYDQIATGIIRPQNMTSNSSVLERMADEAWALGLKAWDEADKYDEKEVGVNSIFEGKPESCPSSVTTTGEELAKGDRIMLLPCGLAAGSSITVIGTPRYAHREYVPQLAKSRKGDGMVLISHFSFELQGLKAVVGEDPPKILHLNPRIRGDWSGRPVIEHNTCYRMQWGTAQRCDGMPSKNDEDMLVDGFFRCEKWMRNDKVNAKEPKIFAWFERFVGRAKKPEVTWPFPFMEGRMFILTVRAGIDGFHINVGGRHLTSFPYRVGFTLEDATGLAIAGDVDVHSVYATALPTSHPSFSPQRVLDFSEKWKALPLPQNPIKLFIGILSATNHFAERMAIRKTWMQSQAIRSLHVVARFFVALNQRKELNAILRKEAEYFGDMVIVPFIDRYELVVLKTIAICDYGVQNVTAAYIMKCDDDNFIRLDAVLKEIDRVQSARSLYIGNLNLLHRPLRHGKWAVTFEEWPEDIYPPYANGPGYIISSDIAKYVVSEHLSNNLRLFKMEDVSMGMWVENYNGTKPVQYLHNWKFCQYGCMENYITAHYQSPQQMFCLWENLLKGQAHCCNS >itb01g19160.t1 pep chromosome:ASM357664v1:1:25147148:25152962:-1 gene:itb01g19160 transcript:itb01g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKNEAQNVRRVKLSYVFLCLGALYLILICLKFPEFYESATALGGDDSVHGLDAFLDTGEEGGELSKPHLGSAYTDGLHRILHNNVNYNAPQTPLEEALPQNTDLLPKKPRRLGYDQIATGIIRPQNMTSNSSVLERMADEAWALGLKAWDEADKYDEKEVGVNSIFEGKPESCPSSVTTTGEELAKGDRIMLLPCGLAAGSSITVIGTPRYAHREYVPQLAKSRKGDGMVLISHFSFELQGLKAVVGEDPPKILHLNPRIRGDWSGRPVIEHNTCYRMQWGTAQRCDGMPSKNDEDMLVDGFFRCEKWMRNDKVNAKEPKIFAWFERFVGRAKKPEVTWPFPFMEGRMFILTVRAGIDGFHINVGGRHLTSFPYRVGFTLEDATGLAIAGDVDVHSVYATALPTSHPSFSPQRVLDFSEKWKALPLPQNPIKLFIGILSATNHFAERMAIRKTWMQSQAIRSLHVVARFFVALNQRKELNAILRKEAEYFGDMVIVPFIDRYELVVLKTIAICDYGVQNVTAAYIMKCDDDNFIRLDAVLKEIDRVQSARSLYIGNLNLLHRPLRHGKWAVTFEEWPEDIYPPYANGPGYIISSDIAKYVVSEHLSNNLRLFKMEDVSMGMWVENYNGTKPVQYLHNWKFCQYGCMENYITAHYQSPQQMFCLWENLLKGQAHCCNS >itb10g10800.t1 pep chromosome:ASM357664v1:10:15831637:15838113:-1 gene:itb10g10800 transcript:itb10g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVEVLRKEADKCQRKTLLKYKIALEKSSGLEELLHGVCFVLFPKPSDYDARRDLIRIFNEIVKEIYGCSRDIPRVVEFGSFTMDLFAAKSDLDLSVNFSNVDIPRDRKISTLKKLAKKLNLLQRSGHVHGVNPITRAIVPVLKVVDSGTGVECDISVGNKDGILKSKILYFVSLIDERFRKLCFLMKAWAQAHNINSARDKTLNSLSIILLVAFHLQTRNHPILPPFSAILKDGCDTGAVAKSLHKFVNYGQNNTESVAELFLTLLLMLSSVDELWFQGLCVSTYEGSWISKTWDHKVGCITVEDFTDRSQNVARAVGESEMKRINDCINLSIERISDFLSCRMEGYALSEFLFGKDVAISESGVTMNWNANVTKSNAPPGLTTWRENKDAMGGHKASRQTRNVEHRGQSALGKRTRTDGSSVPSTNTKRMRDTGAFGQMQYSETDRAPYLPIPIAHPTYASNAGNWLSSDYVRESDIATSLVTPIVYHPSHPHDQLQRTFRPPNLPTPSVYPTFPPIANQMFPSHAAETQRVANLPSASDLLAYPLAGSKLF >itb03g15350.t1 pep chromosome:ASM357664v1:3:14709755:14712851:-1 gene:itb03g15350 transcript:itb03g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKGQYCPLGSEMEKKDGFFCESCSKLLELSAADDVAGFIGEVEGKGCDVNELSLWYGRRIGSRKMGLEERSPLMIASMYGSVEVLKYIVQTGKVDVNMACGSDGATALHCAVAGGSEAAAEVVKILIDASADVNCVDASGNKPCSLYAPNSKFSGGLKRRTLELLKGEVADEEDGDEKTVAPLVKEGSDKKEYPIDTSLPDINNGIYGTDEFRMYSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYTYSCVPCPEFKKGTCGKGDACEFAHGIFESWLHPAQYRTRLCKDETGCSRKVCFFAHKPEELRPLYASTGSAIPSPKSVSVSSMDMATLSPLALGSSSLLLSTTSTPPMSPTVSCSSPMWQNKMNFTPPTLQLPGSRLKTALNARDLDLEMELLSLESIRSQQQQHRQQLVDEMAGLSSPSHWNKDYNRLGDFKPTNLDDVLGSLDSPLASQLQCLSPKLTIATASQLQSSSLSQLQSSQLQSPTGLQMRQNMNQLRASYPATLSSSPARKTSSYGYDSSAAVAAAVMNSRSAAFAKRSQSFIDRSHHAANSPALGASSLSDWSSPGGKLDWGFNGDDLNKLKRSASFGLRGTNAAASNRTPITPHANEPDVSWVHSLVKDVSSGSAGLYGAEQKRGGVHDPNPSWIDQMYIEQEQMVA >itb07g09930.t1 pep chromosome:ASM357664v1:7:10037122:10038176:-1 gene:itb07g09930 transcript:itb07g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEGFQKFFECWLVEQKRDLEQLVSAVKTHRQDGRSNTNQGGEDNHNHNHLRPLIQRVINHYEHYYKVKSRYGKRDVIAMLTPSWRSKIEDAFMWIGGWRPSMAFHLLYSKSGLQLEPRLSELLSGLKTGDLGDLSPDQLTRIDELQRKTIREEKELDEKHAQVQESVADASMVELSHMVTELTRAGDETMEEHGLQDERVASALAPKEKAMVDILQKADDLRLSTLKEVVNILNLIQAAHFLTAAAELHLRFHEWGMKRDAAAAAAVHHHA >itb06g18280.t1 pep chromosome:ASM357664v1:6:21977020:21983191:-1 gene:itb06g18280 transcript:itb06g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNEMGIFGRRRRGSSVFLVPFFLWFLWQRSEAVETQTFNYTRYRHVSSLRLERIEKHLDKINKSPVLTIQSPDGDIIDCVHKRKQPALDHPLLKNHKIQRDPPEMPRMKTVKEDAVRETTMKNSNSSGAGGVPQLWHQNGLRCPKGTVPIRRSTVHDVLRAKSLYDFGKKQRRYVPLDRRVDAPDVVSANGHEHAIAYTGASQDIYGAKATINVWDPSIEMVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDNRPRLFTYWTSDAYQATGCYNLLCSGFVQTNSRIAIGAAISPVSAIDGSQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLAERATMVEWGGEVVNSRATGEHTSTQMGSGYYAEEGFRKASYFRNLEVVDSDNSLSAVQGISTLAENTNCYDIKSSYSSDWGTHFYYGGPGRSPNCA >itb14g15790.t1 pep chromosome:ASM357664v1:14:19126135:19130387:1 gene:itb14g15790 transcript:itb14g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRIIILSLILFLVFGEHASFPAPAKFNVGMIVDEESEMGRTVGRCVKMAVSDFYELNIKGVYGTTIHLHTRDSKGDPSIALSVAQDLLENVKVQAIMVPEMFSESAFLATLADKARVPLLSFSSIPSPKGHPFFVQISEDEGTEFLGISAFIEHRRGKVILIYEDTDDGRSSVLFLGKTLQHTKARVIYKIAISPLSRDLEVIEELAKIIRMKPAISIVHVSPSLASLLFLNANRLGMISEGYVWILTSKSMNFLHSLDVKVVELMQGLIGFKSYIPASSKLENFTLRWRRKLGEVNVHGIWAYDAIWALSLAVEKLGSRNGILDGDSILNEILRCRFTGLGGEFQLVNGKLMSKTYEIMNVIEKGFKRVGFWTLDEGFSKIIDPFFVERHELSTIISPRLSSTTRNGWPDQIKPAKLRVGIPVNAGFKQFTAISVDDETGAVEVAGFSVDVFLEAMKLIEVSVQYEFVPFLVENSSGPTNGSYTDILKQVQIGNFDAVVGDTTITSDRSSLVDFTLPYTDLGVGTVARREKQGMWYFLKPMGGDLWIMITISFILVGATVWLIEHKTNEQFQGSITQQIGTILWFAFSTLVYAHREKLQSNLSRFVVTVWLFIVFILTSSYTASLSSLFTVQQIQLSKGDYIGYPTGSLSFRSIVNNLNFDDNRLKHYNTLEEYHEALSEGSKNGGVGAIVDEIPYLKTFLAMYPSQYAIIGSAPITNGFGFAFQKGSPLVPKLSIAIAKMREEGKLKLLEEKWFTNKYQSSFIPEATEESQLQMLNLDNLCGLFIVSVTSKAIALSIFLFFILRKKICLKNFILRIFSAQFMRRIMMRYLFPNYKNTNMIHNANVEMTHVNPH >itb04g13310.t1 pep chromosome:ASM357664v1:4:13356104:13356394:1 gene:itb04g13310 transcript:itb04g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVRVEDRLDSTRRYTAGATSRVTTIVRYGECQRNYAATTGGHVLDGCLEFMASGEDGTAASLTCAACMRLPPEFPSPSGRFRGPIYLNIIVCE >itb09g03690.t1 pep chromosome:ASM357664v1:9:2032159:2036291:1 gene:itb09g03690 transcript:itb09g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIIQCDSNRFNTVPLEMETAAGVSSMPKDKRPGGGAEAAKVDVGGGVFLTWEDLWVTVSVGKKGKKAILKGLTGYARPGELLAIMGPSGCGKSTLLDTLAGRLELTTRQSGDVLINGRNQTLAYGTSAYVTQEDTLTATLTVREAVYYSAQLQLPSSLSRSEKKEIADTTIKEMGLQDAMNTRIGGGWGSKGISGGQKRRVSICLEILTRPKLLFLDEPTSGLDSAASYYVMSKIGRLARENRTVVASIHQPSSEVFSLFSSLCLLSSGRVVYFGPASAAIQFFETNGFPCPTLQNPSDHFLKTINKDFDNDDEDIEQGCARGIPTEEVINILVNSYKSSDRYQEVQRQVAEISKQEGEELKKTSRADVFTQSIVLTRRSLLNMFRDLGYYWLRLGIYITLAVGLGYMYINIGYTNNSIRARCSVLAFVASFFTFMAIGGFPSFVEDMKVFKKENLNGHYGCGAFMIGNTLSSLPYLLLVSIIPGMIAYFPVGLKREFGYFAYFALVIFMNMFLVESLMMIIASLVPNYLMGIITGAGLQALMILGGGYFRLPKDLPKPFWRYPIYYIDFQRYAYHGLFKNEFLGLRLQTETGGIIAGEEVLRDQLQVEMGHSKWIDLLVLMVMGVVYRVVFLLVVKASEKAKHVVKAVMRVSPKQSHQVVATFPSSTSSHTPSHTTRFLNI >itb09g29990.t1 pep chromosome:ASM357664v1:9:30692384:30694708:1 gene:itb09g29990 transcript:itb09g29990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MAAGVSGCIFCQIATSSTSTTLLHSDEKVVAFQDINPSALRHYLVISKEHIPTVKDLQKRQDDYTLVSHMLDVGKSLLRRDAPQSKQYRFGFHQPPLNSVNHLHLHCLALPYTPSWRCIKYTSLGPLGGFIEAEKLLQRLKL >itb05g15150.t1 pep chromosome:ASM357664v1:5:22540748:22546321:1 gene:itb05g15150 transcript:itb05g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAYLTFSTASSTSRNFLNTSCPISQTNPQFLQSRNARTTIGHDCRRRDLSVKARKQSFSTARQTLSSNWDVFNYTAAPAKLPRFEELDTTNMLLRQRIVFLGSQVDDATADLIISQLLLLDAEDSTKDIKLFINSPGGSVTAGMGIYDAMKQCKADVSTICLGLAASMGAFLLATGSKGKRYIMPNARVMIHQPLGSAGGSITDMMIRVREMAYHKVKLNKILSRATGKREEQIELDTDRDNFMSAWEALEYGLVDAVIDDGKPGLVAPIAEAAPPPEPRILGPWKAEGAKKAMQNMPSEEKLLQNGYLSKQGNGEEQNNEASTST >itb04g24300.t1 pep chromosome:ASM357664v1:4:29016408:29018698:-1 gene:itb04g24300 transcript:itb04g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNRRFQSATADRPNDNSALRPSPTIADSPVTADTRNSLGNDCFFFVCVLWVRHDTRKVVVVVDDAKELERRWSDLPPELLSKIANCLEFFDLLRFRFVCKDWRSASSTASASVESTPGRQPCFLLHGDSAENCILYSQVEKRKYTINIPELREATCLASNQGWLLLFQQGVIFFFCPFSGSRIDLPVFPQAEFSGHVGAFSSPPTSPDCTVAVICRKSEHRLEINVLDRKSSVWTKHEYSHFRGGFRTIKCATCHNGVFYFVDDTQMALTFSAGPRSWKMYRIVDSSEETEAEADPLPFAHMDRYFSTSELKKNMELGDHVSIVTCAASLPGSRYEIVINNESIDGDAMEGAEMQQLKGIWIQPRFFQIPPQYTWSL >itb15g16510.t1 pep chromosome:ASM357664v1:15:16110287:16120008:1 gene:itb15g16510 transcript:itb15g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPQPISRTVEDVFNDFKGRRAGLVKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQDKDWLSLVAVHSDSWLLSVAFYFGARFGFGKSERKRLFQMINELPTVFEVVTGAAKQARDADNNSNRKSKSSGKSRQSETHHHKEAKMSQPKEEDESGEEEEEEDEQGATLCGACGDNYANDEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPSCSNKRARV >itb03g22840.t1 pep chromosome:ASM357664v1:3:20960873:20964806:1 gene:itb03g22840 transcript:itb03g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTNSLIYQHTSEQSQMNSVQDFQVVAGAGSGGGATQHHISSSLFDSTAASSTDFLEHFLSSVPSSSPWPDLTKSHSPWDSHHLTSPPLLPNPNSAVDDHAYHFDDQSTALLASKLRQHQINGDDGGSSSAAKALMLQQQLLLSRGFSGTGLRSPTGRVGENGLLGMPLSLRNGDQNEAIENPVSDCSVQPVYNGSTGSLGQTSSQPHHFNHPQGGSMQAHNFGAQAPSPMNQPPAATASGSTGGAGTAPVQPKQQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAATVAPLVTDMSSEGGGDYLQGGNGGGRSSNGGASSSNNEGMTMTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPVGGGSTTNAAEAAGVPSSPSFSVLTVQSATTMGNGAIDLSAASVSKPSSS >itb12g14170.t1 pep chromosome:ASM357664v1:12:13557787:13559456:1 gene:itb12g14170 transcript:itb12g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDQEFRPIAGVSIGTDPGDQEFRPIVGTSIETNPGDQEFRLMVGTSIGTDLGDKKFRPMAGTSIGVDPRNQEFRPMAGTSIGADPGDRNLGLW >itb11g07710.t1 pep chromosome:ASM357664v1:11:4828235:4828555:1 gene:itb11g07710 transcript:itb11g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPWLLILLLHFLLLLTPSGVAATARPPGGTRHKGPGAVMMNSFKPEVGSEKTTTGFKFRGGEGVEECMPKGFRRSSAPSRYINYHTLGSSSPACSSGKKKGKAP >itb10g16670.t1 pep chromosome:ASM357664v1:10:22948249:22950714:1 gene:itb10g16670 transcript:itb10g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLRVRFASFFTGAAVASAIGLYVLHNDYKTAHHALSTQMNGVYESLNGRISALEKVKAVEATKQVEAAE >itb12g23850.t1 pep chromosome:ASM357664v1:12:25484309:25486388:1 gene:itb12g23850 transcript:itb12g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAHEQHHHHHLRTPYGTTAAPPPTPSSQPNLSSTSGHADALSLLLHRLPPTLSFPSRLSPPSNKTAAVPSVSLSEPAEVLSACKQLGFFQLSRHAVESELANSAESVARSLFDLPHDRKEMFFPKNWPLGFDSEADDDDHGAGGAGESFCLDSACSAEETELDLSSLRAFTREMEKIGKEVTEALASIVGFDNPAREDPTRVSPLLWISDGSSVSTPVLTGRMYPFVIGLQYQIRCQKYHMLTDSGWVSISPEVDSILVTVGDIAQVWSNGKVQKVRGRTVPGGEDGSRCISITLLITLPLDSIVSPMLPNPEKDEEHEEEDSKEERMFNPFQFEDYAWRIYHERLLLKDPLLRYRL >itb01g30760.t1 pep chromosome:ASM357664v1:1:34651874:34655801:-1 gene:itb01g30760 transcript:itb01g30760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWSLACFASRSFAPPLSIVACAPLRWRSSYLTSQSPGSFLSMTRMRLQPSPLQALVADTAAIPGDSDKEHMLCSEESNPRTDHKADVKEEDDKNDTVESVDENKMVRVCDKLIGVFMVDKPSPADWRRLIGFSRNWSNIRPHFYKRCQERANTESDPGMKHKLLKLGRKLKEIDDDVQRHNELLEVIRSSPSEINEIVARRRKDFTKEFFFHVNTVAESYYDNPDEQNAVARLGNECLEAVEAYDTATESIEALNAAELKLKDIINSPSLDAACRKIDDLAQKNQFDSALALLITKAWSAAKESDMTQTEAKDVLYHLYKKAVGSMQSLMPKEIRILKYLLTIEDPDECMHALKDAFTPGGEIEGKDVDLLYTTPEQLHNWIGIIADAYNFSREGTLIRQARDLMNPKTIQKLEELKKLIQDKFM >itb01g30760.t2 pep chromosome:ASM357664v1:1:34651874:34655801:-1 gene:itb01g30760 transcript:itb01g30760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWSLACFASRSFAPPLSIVACAPLRWRSSYLTSQSPGSFLSMTRMRLQPSPLQALVADTAAIPGDSDKEHMLCSEESNPRTDHKADVKEEDDKNDTVESVDENKMVRVCDKLIGVFMVDKPSPADWRRLIGFSRNWSNIRPHFYKRCQERANTESDPGMKHKLLKLGRKLKEIDDDVQRHNELLEVIRSSPSEINEIVARRRKDFTKEFFFHVNTVAESYYDNPDEQNAVARLGNECLEAVEAYDTATESIEALNAAELKLKDIINSPSLDAACRKIDDLAQKNQFDSALALLITKAWSAAKESDMTQTEAKDVLYHLYKKAVGSMQSLMPKEIRILKYLLTIEDPDECMHALKDAFTPGGEIEGKDVDLLYTTPEQLHNWIGIIADAYNFSREGTLIRQARDLMNPKTIQKLEELKKLIQDKFM >itb01g08450.t1 pep chromosome:ASM357664v1:1:6793686:6796873:1 gene:itb01g08450 transcript:itb01g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MQISVVVTATSKAPSFPTFHRLIKNQLQSNPLKHTHNLSFHTDTGISPPAAVKGGPSTVAGGFLSAIERVIDEEDYRRARAEVNRKGIDVEGYSFEGMSVGGHETCVVVPQLKCAFDIGRCPPKAVQQNFLFITHAHLDHIGGLPMYLATRGLYNLKPPTVFVPPCIKDDVRKLLDIHRSMSQVELSLDLVALEIGETYELRNDLVVRPFRTHHVIPSQGYVIYSLRKKLKKQYMHLQGRQIEKLKKSGAQITDTILCPEVAFTGDTASDFYIDPKNADALRAKILIIEATFLDESISVEHAREHGHTHLSEIMEHAQWIRSKAVVLTHFSPRYTLEDIRKGVSKLQSKVPAKVVALTEGFKSIHS >itb04g01770.t1 pep chromosome:ASM357664v1:4:1029290:1030735:1 gene:itb04g01770 transcript:itb04g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDPTPHHPIATDAIFMSYSFRLPGFAGSVVRCEAIYDKIIVDDDEQDSMMFRNCVLVCTMKTVIDTYITLNPIGSGSLSSSSHELQVFKAALFSTEMFDVSKGFRRYSITSVSETFYVVKAVDENNGGSILKAREEVRRNMAAMEGKRHHKNLLRMKAYFMDKPSGKLCIVMPFPGHSLRTVMKKAFPRGFPEDLMLSALRSVLDALGFLHTQSIFHTDINAGHVYLGQIFYDMYDVDLQFEDNDEINLGFAATVYDDDEDDEMENRAAYLPVASMSNWAAAPEVYNGNGKQAYSDKADIWLFGITALELAFGGLKLPNRNALEAIINHIHHNRELPIPIPIPAKNSNNYKQEKHDQEANNNMFKTPFKYLLQPFSSSNAAGDGDELVVPLCSSSPHDEESLTNCPSPSLNDNDNEDYCSFSETFTDMVMKCLSWDPEKRPAFNELMSHNFFTQDLGRLDGCYLYEEVMKQWPPSSSSS >itb07g08010.t1 pep chromosome:ASM357664v1:7:6270819:6278263:1 gene:itb07g08010 transcript:itb07g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVDLLINKVAQTVEENASLILGIKDQVEDLLEELKSFKAFLIEASKKNESCNANAVLRDVVQKIQKVVADAEDAIDKYLVERRNHRARPVLKRWAEKVSYYTKVNEAAKEIETIKKRAEKIRLDHAPSLKKLQENNPQLAVLQKAPVVEEDEVIGFDEEAKTIKDRLTEKLNDTVIISIVGMAGLGKTTLTNMVFNDRELHYGSFTRLWLHVSRNMNRRRIFMDIISEFTKELSAYNEMRDEQLAQRIKEFLKDGMYFIVLDDVWKTTDWDHLKIAFPNNQKGSRILVTTRNLEVANHVDSKNAPHKLKFLEPGESWELLQKKVFHKETCPEHLAPTGRSIAKKCNGLPLAVVVIAGVLDKNDTAARWKEVAEDPFPLINRESQSYNELVSLSYNQLPSHTKDCFLYLAAFPMGHEIPVWKLICLWIAEGFIPSSKNMEGTAETYLKDFVNRNLLMVMKKRADGEIKSCRLHDTLHEFCKSEAMKNNLFHEIDGAKIEGNKDYRRLCIRSNLRSFIHSESKPSGEHIRSLLTSTKYEVPKEHLATIPKSYPFLKVFDVEELKFEILPKEFYQLYHIRYLAITTDLKLFPKPFTNLWNTQTLVFNTSQSSVEVKAEICKLTKLRHLLANASFEFPTPENCRDKCEDLQTLSSISPKSCTEAIFDKMPNLLKLGVRGNLEELLEKKGGICLFDNIGNQLHKLQNLKLVHETANGQGSILRNFPRADKLPSNLRKLTLSNTSFEWNDICILGSLDGLEVLKLEEFAVKGDSWELNNTVFKSLQFLRIGRTDLAYWTCKETSFPALKKLYLLHCTNLNAVPLALKDVKGLKFMELFCTNKNAATSARNIKDFKSEGHGQLELSVYPPDHSG >itb13g26200.t1 pep chromosome:ASM357664v1:13:31549811:31552925:-1 gene:itb13g26200 transcript:itb13g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSNLPLSVLMLNQTQQHEVSRRSAGFHPSIWGDYFLPYSSQPKEADAQEWQEHQQLKEKVRNMLVEAPHISFQQLDLINKIQRLGVSYQFEKEIEATLQLIFRSYYESNIQEDENDLYIVSLRFRLLRQHGYCVPCRVFEKFTEFDGKFKKSLTDNVQAIINLYEASHLRVHGEKILDEALTFSTSYLQSIQPNLTDHLKSQVSEALKRPICKRLTRLEAKRYISVYEFDESHDVVLLKFAKLDFNMLQKEHQWEIGCLTRWWNELDFATKLPFVRDRLVECYLWALGVYFEQQYYLSRKFLTEVLAIATVIDDIFDVHGTLEELLLFNNAIQRWDASAINELPEYMRVCYIALLDIYVQMEKELSPKGGAYQVNYAITEMKKLVGAYYEEAKWFHDGSTPNFEDYMKNAIESSGYMMVATSSLLGMPEDFVTKEVFDWVTNEPLMVRASSIIARLMDDIAGHKDEQQRGDVDSSVECYIKQYGKSEEETVKELQEQITNAWKDINQECLKPTVVPMRILIQIANLARVIDLLYKNGDIYTHSTTELKVVITSLLVDPVV >itb09g28370.t1 pep chromosome:ASM357664v1:9:28974291:28980492:-1 gene:itb09g28370 transcript:itb09g28370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVEFLLDQLSAVISEEWSLLGGIREDAQYIMNALSRLKAALRVADEREEMDPQVKAWVKIVRELAYDTQDVLEEFLFRFGGCHTGGGFHTKINNIYTSVKNLRARRRLALALRNIKDKLNQNSQYQPILPTTTVHNHNQQLHDGRVGAHFQEDSDLVGFENSKHSLIKLLLGVVDDDLRVHSVVGMGGLGKTTLVKKAYDDAQVTKHFQRRVWATVSETFKIEELLKDVIKQLGNAPNGDSADELIKSVRNILSKQRYIIVLDDFGSRIVITTRNSEIGRDACHETQGDVYELKLLSEKDSWELFCKKTFLIDSCPPYLVKIAEDIVNRCGGLPLAIVVIAGILATKGTDIAEWTSFQNGLKLQLETNDRMKNLKNLLSLSYYDLPYYLKYCFLYFSIYPEDAIINKNRLIRLWIAEGFVKDKGQQVKEEVAEAYLNELIHRNLIQIAKKSLVGKIISLKVHDILREIILSKALEQNFAIIVTGQNKEWSDDNCRRLIIHRFDDDGILKSTSSKSHIRSLQCYNDPVLVSSSLLKLLSFDYYIPLKVLDLRGTHLNKIPKEVFKLFHLKYLSLRRTQLRNVPKSIQALQNLEILDLKYTWVSNLPIEIGNLHKLRHLIVGSIWGGGFYAPLEIGRLLCLQKLSYVQAKKINGVKVVSEIGNLIQLRNLKVKDLREEDGKELCSSMEKLTNLISLKLGTVRENEILDIQHSLSTVPLCLRTLILSGRLERIPQWLSSLVSLTKLELFSSCVLEDPLLLLQDLPMLACLRLHKSYEGDGLCFKAGKFPKLKFLDISWLRALKWITVEEGAMPHLEELQLDKCELLEQMALQECGALGGCHQFWHVWVGSRMVVNGGAATTAGRKKKKQTSSLTSPSATTAITAAATTADVTAADDQRRPDPANVATANGTLNRCCDLHHDLPLARTKIWIEDHRPPPFADTTATSTSPPEPINEPPPDQFRSA >itb14g17390.t2 pep chromosome:ASM357664v1:14:20639128:20645978:-1 gene:itb14g17390 transcript:itb14g17390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSKDVRTYLWVFLEFSMRLCCTFAREHPLASLFFVIFLVLYVFLTTIFWFLIYSLPFVLIAAVIIKVTYFPEVGAANRENADPKPSGKIKLSEDEERRKKAIGRAQSVRRRKSKKDFPPYVEEDGGSIFPPAGFSEHEVVDKSPLTEENMKDIREVEVDPAECSSSDASQSLCVEGLSKGWRKSFRVMDESDDNEMTDSSENEGGRRREKNKGVRWSQEDQKSLMDLSEMERNKRLESLMARRRARRLVSLHVRRTLMNIGSDDPPISIVIPRTNAGSSSPFSPTPGSAPSVLLPAHNPFDLPYDQHEEKPNLRGGSFHQDFMVPNQDIMFCRHESFTLGPSFNQNFRSKFPHRFKLFEMPEGFGPRSPFVNKEDAADRMIEQVTVEEPDELNRESTSDVKEQASADPEEDGSEVQIKSVLIEDIDDRTSSSSSEEDDEPFYRIDKDAILKSLSTPSSSRNIAVDSPESLNPGLSMSQKARMEEHYYYANGAMLPTPSHSVASDLQVEVSEIGSPPRSVDGASSLEEEISVYNRELEKDTASSNECTLAAPSRPSRLDENESRSREVHEVTEQDLVKSGFSNINPTLHIPPERVIQQDPVDSSSFADSHAHKSGERTEIIELVKRNSHSKIGEELEISEASESPADLEASSPPEPVFEQTHVASAPTLISEVENDTSNLDQEARVEVQDVNVHTVENDTSVLDQEARVEVQEHKVENDSSNLLLNDQMAQHSFSPAEADNNGENSMSMEKNDVREVTQDRAVEPPSERGSSAANQSELLIPESGDEHVPDVSSSSTSPISQPMFSMNEDSSSSFVSKIHSEDQQYGFAERRGEDLGSSMVNQSYDDPESVAEQISTSSSSSSSSPTSISHAKFSLDLASSNWDQEPQIEVPQSQNQIVETTHQVTDDISNPTTSHEPLQLSADIPTVHHQVGLEVSHVSSDDHNKSFDEASSDTHVNSSMIQEREILNIDSAKETEGLPELETIARRSESYDHDRSSEETRNNHVNPLVIHEDTQNKDSAKETEGLPKLETTAPCSELYDHDKSSEETRNNHMIPLVIHEDTQNKDSIVETKGLSELETSAHHSEPHDREKYYEETSTSNHTNTSVIPEEVLKMETESLPKPEMTNNRLESNDHEKSSKETSSDNHKNASVIPEEILKIDCTEAPERLPKLEMTTNRLESNDHEKSSKETSSDNHTNASVIPDEVLKIDCTEETKCLPKPEMIINRLESNDHENSSKETSSDNHTNAPVIPEEILKIDCTEKTESLPKSEMTTNRLESNDHEKSSKETSSDSHTNAPVIPETSSDSHTNAPVIPEEILKINCTEEIESLPKPEMTTNRLESNDHEKSSKETSSDSHTNAPVIPETSSDSHTNAPVIPEEILKIDCTEALERLPKPEMTTNNLESNDHEKSSKETSSDNHTNASVIQEKEIQNTNSIKEAGGPPELEMTESELPRLNEERGHQGPSMAENKEAKMTKGAAHLEGTVQKPVAEETSTNNHTNAAPMIPEEILKIDCTEETERLPKPEMTTNHLELNDHEKSSKETSSDNHTNASVIQKKEIQNTDSIKKAGGPPELDMTESGLPRLNEERGPQGPSMAENKEAKMTEGAAHLEGTVQKPVAEETSTSNHTNAAPVIPEEILKIDYTEEIERLPKPEITTNRLESNDHEKSSKETSNDNHTNVSVIQEKEIQNTDSIKKAGGPPELGMTESELPRLNEERGHQGPSMTENKEAKMTEGAAHLDGIVQKPVSEETSTGNHTNAALVIPEEILKIDCTEETERLPKPEMTTNRSEPNDHETSSNDTNRLESNAASVIPEEILKIDSTEETERLPKLEMTTNRLESNDHEASSNETRSDNHTNASVIQPIAEVESDVNNVVESESRNFTINEVSNFDVSKAVNEKSDSTPSVNSIEREATPDLSEKPIENEREIPNFNIVNNDNDKAPSFQGSHEGESSQGLPSPDSVTKQPQTSQSVHPSKGEDPEGEHRIQNEADAATSASRGDAGKDDGSQDSEKPMNSENAADHLKANEANIGSGERDHSNTNLKD >itb14g17390.t1 pep chromosome:ASM357664v1:14:20639128:20645978:-1 gene:itb14g17390 transcript:itb14g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSKDVRTYLWVFLEFSMRLCCTFAREHPLASLFFVIFLVLYVFLTTIFWFLIYSLPFVLIAAVIIKVTYFPEVGAANRENADPKPSGKIKLSEDEERRKKAIGRAQSVRRRKSKKDFPPYVEEDGGSIFPPAGFSEHEVVDKSPLTEENMKDIREVEVDPAECSSSDASQSLCVEGLSKGWRKSFRVMDESDDNEMTDSSENEGGRRREKNKGVRWSQEDQKSLMDLSEMERNKRLESLMARRRARRLVSLHVRRTLMNIGSDDPPISIVIPRTNAGSSSPFSPTPGSAPSVLLPAHNPFDLPYDQHEEKPNLRGGSFHQDFMVPNQDIMFCRHESFTLGPSFNQNFRSKFPHRFKLFEMPEGFGPRSPFVNKEDAADRMIEQVTVEEPDELNRESTSDVKEQASADPEEDGSEVQIKSVLIEDIDDRTSSSSSEEDDEPFYRIDKDAILKSLSTPSSSRNIAVDSPESLNPGLSMSQKARMEEHYYYANGAMLPTPSHSVASDLQVEVSEIGSPPRSVDGASSLEEEISVYNRELEKDTASSNECTLAAPSRPSRLDENESRSREVHEVTEQDLVKSGFSNINPTLHIPPERVIQQDPVDSSSFADSHAHKSGERTEIIELVKRNSHSKIGEELEISEASESPADLEASSPPEPVFEQTHVASAPTLISEVENDTSNLDQEARVEVQEHKVENDSSNLLLNDQMAQHSFSPAEADNNGENSMSMEKNDVREVTQDRAVEPPSERGSSAANQSELLIPESGDEHVPDVSSSSTSPISQPMFSMNEDSSSSFVSKIHSEDQQYGFAERRGEDLGSSMVNQSYDDPESVAEQISTSSSSSSSSPTSISHAKFSLDLASSNWDQEPQIEVPQSQNQIVETTHQVTDDISNPTTSHEPLQLSADIPTVHHQVGLEVSHVSSDDHNKSFDEASSDTHVNSSMIQEREILNIDSAKETEGLPELETIARRSESYDHDRSSEETRNNHVNPLVIHEDTQNKDSAKETEGLPKLETTAPCSELYDHDKSSEETRNNHMIPLVIHEDTQNKDSIVETKGLSELETSAHHSEPHDREKYYEETSTSNHTNTSVIPEEVLKMETESLPKPEMTNNRLESNDHEKSSKETSSDNHKNASVIPEEILKIDCTEAPERLPKLEMTTNRLESNDHEKSSKETSSDNHTNASVIPDEVLKIDCTEETKCLPKPEMIINRLESNDHENSSKETSSDNHTNAPVIPEEILKIDCTEKTESLPKSEMTTNRLESNDHEKSSKETSSDSHTNAPVIPETSSDSHTNAPVIPEEILKINCTEEIESLPKPEMTTNRLESNDHEKSSKETSSDSHTNAPVIPETSSDSHTNAPVIPEEILKIDCTEALERLPKPEMTTNNLESNDHEKSSKETSSDNHTNASVIQEKEIQNTNSIKEAGGPPELEMTESELPRLNEERGHQGPSMAENKEAKMTKGAAHLEGTVQKPVAEETSTNNHTNAAPMIPEEILKIDCTEETERLPKPEMTTNHLELNDHEKSSKETSSDNHTNASVIQKKEIQNTDSIKKAGGPPELDMTESGLPRLNEERGPQGPSMAENKEAKMTEGAAHLEGTVQKPVAEETSTSNHTNAAPVIPEEILKIDYTEEIERLPKPEITTNRLESNDHEKSSKETSNDNHTNVSVIQEKEIQNTDSIKKAGGPPELGMTESELPRLNEERGHQGPSMTENKEAKMTEGAAHLDGIVQKPVSEETSTGNHTNAALVIPEEILKIDCTEETERLPKPEMTTNRSEPNDHETSSNDTNRLESNAASVIPEEILKIDSTEETERLPKLEMTTNRLESNDHEASSNETRSDNHTNASVIQPIAEVESDVNNVVESESRNFTINEVSNFDVSKAVNEKSDSTPSVNSIEREATPDLSEKPIENEREIPNFNIVNNDNDKAPSFQGSHEGESSQGLPSPDSVTKQPQTSQSVHPSKGEDPEGEHRIQNEADAATSASRGDAGKDDGSQDSEKPMNSENAADHLKANEANIGSGERDHSNTNLKD >itb05g09760.t1 pep chromosome:ASM357664v1:5:14283572:14284599:-1 gene:itb05g09760 transcript:itb05g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHFWALTGTLSRFADEIAREKKVQVFAIRIDCSNSQSIREAFEGVLSIGFVEVLVYNAYKEVSKKPTNFVDIKVDQFEKSMAVSSIGAFHCAQQVSPGMVERGRGTILFSGCSAPVSGVAGFYELCCGKFALRGLSQCLAREMRQENLLFLERLR >itb09g00730.t1 pep chromosome:ASM357664v1:9:475955:478654:1 gene:itb09g00730 transcript:itb09g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRRPIHVVLTWLRRQPPKVKAFLAVITGMAALVLLWAVVHDHDNIFVAAEAVHSIGIAVLIYKLMKEKTCAGLSLKTQELTAMFLAVRLYCSFVMEYDIHTVLDLATLATTLWVIYMIRFNLKSTYMEDKDNFALYYVVVPCAVLALLIHPTTSHHLVNKVFWAFCVYMEAVAVLPQLRVMQNTKIVEPFTSHYVFALGVARFLSCAHWVLQVLDSSGDLLVALGHGLWPPMVLISEVVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >itb01g19340.t1 pep chromosome:ASM357664v1:1:25337664:25340049:-1 gene:itb01g19340 transcript:itb01g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRIQISSPFSSSSLKVDLGFTAKKSVSMANRRAQIRCGAVIHSPSVLTFPKNPFQKESPPPPAADTSHTPPPPPHWNVFQRAASAALNAVESALVAVERKQPLPKTADPRVQISGNFAPVPEQAVRHNLPVVGTVPDCINGVYVRNGANPLFEPVAGHHFFDGDGMVHAVTINGNSVSYACRFTETERLVQEKELNRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDHSRGTGVANAGLVYFNGRLLAMSEDDLPYHVRIKRSGDLETVGRFDFNSELRTTMIAHPKIDPVSGELFALSYDVVQKPYLKYFHVSTAGDLSPAVEIPLDVPTMMHDFAITENYVVIPDQQVVFKLQEMIKGGSPVIYDKEKKSRFGILKKNDGDSKDIIWVDSPETFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNECDENLKSVLSEIRLNLRTGESTKTPIIQSTEQQLNLEAGMVNRNRLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGKLEKYIYGDEKYGGEPFFVPSSSEKEDDGYILAFVHDEKTCKSELRIVNAVNLKLEATVKLPSRVPYGFHGTFISSNDLECQA >itb01g10320.t1 pep chromosome:ASM357664v1:1:8593997:8595380:1 gene:itb01g10320 transcript:itb01g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMKKSTYLVLVLALFVIEENIYDAWGGVNEGGEEEVTTLKPNYHIPTVSRKLLAFPGYNNSTGSDLVPDSDVIPGMDPGFSFGSGSNMGSGSNTDLVPDANPGSANPGSDPRFNFGSGSGTDLVPDANPISGSGTGTGSTFRIGPGGPDCSKWDIEVDQAQTPPLPNGIPTYTVIISNNCHVGTGPDAKSCTFTDVHLSCGWFSSYILVDPDVFRRLGYNDCLLKNGGNLNPREVISFVYADSFEYPISVTSATCQDSI >itb10g20890.t1 pep chromosome:ASM357664v1:10:26298430:26302252:1 gene:itb10g20890 transcript:itb10g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAQDGEGTVMVTPVPSSATESPNPHPLESDCEFETSLEPTSPGSASSESSDESAEVSSDSSAGSLSIIPKTICDLATARAKDCMVKALAAVQLEASRARLQLMALKKDLAKPACLSKSNNSSRPFDFKAALLSPAKQLASTSKQSGKSKNSVLVLPVPLMAFSPDRGSRRRRPPSRQHHVSFFGILETRISANKYEKVLKDLLPSEQFFVDYDIIRSGRIVLVWNANKVDCSILDVNPQCIHCKLRCKVSNNSFFCSIVYALYSVVERRDLWAKLQTYQSSISLPWTMCGDFNTVKGPNEKIGGIVPTNYFTKDLVNCCNNLDLTDAPSIGNLFTWSNGRVKAKLDRVLIDPLWANGNFNCWVEYKDFDFISDHCPILIKLFNNSEATNRPFKFFNMWLSHPSFQQILEDVWHRFIAGTCQYQFVQHLKALKAPLKRLNREEFGHISERTKAASLEFSHFAQSLDVVNATESERSKLSDLRNRASFLAEAERQFYNQKLKFKVLIDGDKGSKYFHDLVKKSNRDRSITCILDQQGQPTTSLNQVGNLFVEHFKDLFGGERARDSPLLKADYKVKCAIIEHTGSPQLARIFLVYAFWKNKFHTALVYELLRHKANEAVCWKFTWRPCIPRKFSFILWLALWNRLKTKDRLFLPDFESDCSLCIGQKESTNHLFFRCYFSQQVWSKIRESFGFPRNTIAIRSSIKWIRRLFKGSRRHSKAVYIALACTVYHIWRVRNLVIHDSVRPTLDGLVNCIATDVLRVASSVRL >itb11g15480.t1 pep chromosome:ASM357664v1:11:13142335:13148171:-1 gene:itb11g15480 transcript:itb11g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLITRKLWNGLSAAASLRAPPLCGQIQARYSSRRWYGLVPMVIEHSSRGERAYDIFSRLLKERIICINGPINDDTSHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRSLLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKVIHQPSGGYSGQAKDMTIHTKQIVRVWDALNELYSKHTGQSVDIIQKNMDRDYFMTPEEAKEFGIIDEVIDQRPLSLVTDAVAQEDKDKSSN >itb02g13160.t1 pep chromosome:ASM357664v1:2:9186527:9193673:-1 gene:itb02g13160 transcript:itb02g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRLSKLLSSKIHLQSQDEVLRFAAFDMERNRLFFSSSANILYTTQLPPPQDAGEFSSTSNHFIDLEPGDFITCMEYLMEKEALVFGTSCGLILLYTVDDNLTEIVGRVEGGVNCISPSPDGDLLGIITGFGQMLVMTHDWDVLYEMGINGLPEDIDTNEPSYSSNYSFESTISWRGDGKYFATLSMAEGSPQLDKKIKVWERESGKQHSFSELKAFMGTSVDWMPSGAKIAAVCDRKEEHKCPSIVFFERNCLERSSFSLNEEIDVTVENLKWNSSSDLLAAIVRSEKYDSLRIWFFSNNHWYLKQEIRYAKEDGVKFMWDPTKRMQLIYWTVGGQISTCSFNWVTAVMENSVALVVDDCKILVTPLSLSLIPPPMYLFSLKFPSAVQNMAFCTKGSKNHLAASLSDGSLCILELSELSTWEDLEDKEFDVEAASVDMICGSYLHLVWLDSHKLLTVSHLSHDHSNPKTKFSVKDGLGAYHLQEMEFVCSEDHIPGSVTCSGWQGMISNQSSLEGPVIGLVSDTVNNFSTYIQFDSGKVVEYISKSVGARVLHKRNDLNFQSSCPWMNLAHIGGPLPHKSLLFGLDDNGRLHVGEKIVCNNCSSFSFYSNSADQTVTHLILTTKQDLLFIVDINDIEQKDVAAEYGNFLPISSNRRGEDQKIYINIWERGAKIAGVLHGDESAIMLHTNRGNVECIYPRKLVLASIMNALLQQRFKDALLMVRRHRIDFNFIVDACGWQSFLQSAGEVIKQVNNLSHITEFVCSIKNENIIDTLYKNYLFVPSLTKAQDVESGDVKDYGSKNKVSSVLLAIRMALEEHVAESPARELCILTTLARSDPPALEEALERVKVLREMELSDSDDPRRTHYPSAEEAMKHLLWLSDSEAVFESALGLYDLNLAAMVALNSQKDPKEFLPYLQELESMTTVLMQYSIDLKLQRYEKALKHIVLAGDAYIEDCMNLMKNKPQLFSLGLQLITDSTKRRQVLEAWGDHLSAIKSFEDAATTYMSCYCLEKALKAYRACGNWCGVLTVAGLIKLGSEEILQLAQDLCEELQALGKPGDAAKIALEYCGDVNTGVTLFVSAREWEEALRIALLHRRNDLVLEVKNSSVECANTLISEYSEGLEKVGKYLTRYLAVRQRRVLLAAKLKSDETSVNEFDYETASETSSNFSGMSAYTMGTRKGSATSISSSTSTKGRGSRSHRKSGKIRAGSPGEELALVEHLKGMGLATGARRELKSLLICLVMLHEDAVARKLQHVAKNFQLSQTAAVRLAEDLMPTDKIDEQTYNLEQYVLRVGEEIQHSEVFSWQLKVLV >itb01g05600.t1 pep chromosome:ASM357664v1:1:3846910:3848461:-1 gene:itb01g05600 transcript:itb01g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLWDDVMAGPQPESGLDKLRKTLSVQTSVETGEGSSSKYQRSMTMPASPTTPTTPSTPSPTARKENVWRSVFHPGSNLATKRIGAAVFDKPTHPNAPTVYDWMYSGETRSKHR >itb04g26400.t1 pep chromosome:ASM357664v1:4:30705187:30706657:-1 gene:itb04g26400 transcript:itb04g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYSWVRRSLSRSKRTTLPAGEKSKECLKNDGEEHQLYGVTEALIEFIKSFSVETFRNFSLPDEERAASEDSEGTSGNIRQDLSDWQQHHALLVLSKVKELAQLRFKLCPRCLKEQQFWTIYFILVKSYVAEYELQAVRLARLKQMSMENESIPDVSTCEVEMLETKQKTHSAHEISLEDSDCHDNIKN >itb07g23380.t1 pep chromosome:ASM357664v1:7:27793486:27801716:1 gene:itb07g23380 transcript:itb07g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSAPASKAHLALAAFFGASVMAISAFYLHRRSLDQALDRIIKLLRHQRPLSSAASALESGTDDEAEADDVHDRNIWSHQSLPKTVAQNEDDSDEKVLRKYRVSSSMPNARLANDWMDEDAKLDRPSQYGDKAFSAELMELSTVPSGLPPLRTDNRDGENQHNDGFDRKMRVGSVGRQMTPKSSVIYSLDGDLQDDEETEFTQEDIEFLYENDNSPNVQNISIIESQAENGNQLHGQPHKAITDDAKTNIDHHDRKVDAVPGHIKGTDQVFVNGILPQVTTVHEPVNIEEEEVLKMIRESLYLRKKYVFKENISPWMKATSGESNVKQDPFQFVPCEATGHYFKMVDGVVRVYASENDTEELFPVANSTTFFTDMHHLLKVMAAGSVRTACHHRLRLLEEKFRLHLLVNADREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLEKEPDEVVIFRDGQYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEVTKEVLSDLEASKYQMAEYRISVYGRKQSEWDQLASWFINNGIYSENAVWLIQLPRLYNVYRSMGTVTSFQNILDNVFIPLFEVTVDPNSHPQLHLFLMQVVGFDIVDDESKPERRPTKHMPTPAEWTNVFNPAFSYYAYYCYANLFTLNKLRESKGLPTIRFRPHCGEAGEIDHLAAGFLLCHNISHGINLRKSPVLQYLYFLAQIGLAMSPLSNNSLFLDYHRNPFYMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSVAAKVWKLNACDLCEIARNSVYQSGFSHADKLHWLGNQYYKRGPEGNDIHKTNVPNMRISFRHDTWMEEILYVYRGKAEFCEEVDH >itb07g23380.t2 pep chromosome:ASM357664v1:7:27793486:27801716:1 gene:itb07g23380 transcript:itb07g23380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISRMMKKLNSLKRILNSSMRMITVQMFKISQSSNHKQKMVDAVPGHIKGTDQVFVNGILPQVTTVHEPVNIEEEEVLKMIRESLYLRKKYVFKENISPWMKATSGESNVKQDPFQFVPCEATGHYFKMVDGVVRVYASENDTEELFPVANSTTFFTDMHHLLKVMAAGSVRTACHHRLRLLEEKFRLHLLVNADREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLEKEPDEVVIFRDGQYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEVTKEVLSDLEASKYQMAEYRISVYGRKQSEWDQLASWFINNGIYSENAVWLIQLPRLYNVYRSMGTVTSFQNILDNVFIPLFEVTVDPNSHPQLHLFLMQVVGFDIVDDESKPERRPTKHMPTPAEWTNVFNPAFSYYAYYCYANLFTLNKLRESKGLPTIRFRPHCGEAGEIDHLAAGFLLCHNISHGINLRKSPVLQYLYFLAQIGLAMSPLSNNSLFLDYHRNPFYMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSVAAKVWKLNACDLCEIARNSVYQSGFSHADKLHWLGNQYYKRGPEGNDIHKTNVPNMRISFRHDTWMEEILYVYRGKAEFCEEVDH >itb07g04920.t1 pep chromosome:ASM357664v1:7:3325265:3327990:1 gene:itb07g04920 transcript:itb07g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGNQGGHYYYYTNCRGFWETVFINAIAVAEPIMGGASETALHWLRPLVESKNWDYCVVWRLGDDPSRFIEWVGCCCCGAYGVLCNVKKEKGMEEQDLGPLCRDVHVQHHVRTMACEALAGFPSSIPLYSGIRGEVVILNEPKWLIHGYASDSKAPDGISGTQVLIPVAGGLIELYSSRLIPKDQKTIEFVSNRFKFYVEEAMNDMAYFSMSRQQQALDLSPNECQSSWLAPLHSADLPEPCSNPSIQGSSTGSTPASTERLQCDSVSNLVSLSESFNQSNDLFNEKLKLHCNQHPTTMKSDEFSLSCVTYDIARNAIVTGQKRQRGQYRSKNLVTERNRRNKIKDGLFALRALVPNITKMDRASILGDAIDYVRELQQIVKELEDELNEIDAEDESQRIAEHVTPDLNGKSKDSEQTSKKSNNVLKKAHVEVNQIDSRVFMLRVIGKHSRCGFSRLMETMDSLGLQVLDANVTTLNEEVLSIFRAEAKADGMCNSRTLECALAELTN >itb11g16120.t3 pep chromosome:ASM357664v1:11:14029042:14034544:-1 gene:itb11g16120 transcript:itb11g16120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNISRANGGRSKCYSTVTIVTLLGFCMIGIWMLMSSYTIPIQDMEFSSLDTENEVKVKATKSIKGRFALSLDDSQTDGETDINSDAESSSNTNGEDTSSNDEESSHVSGDLDNEVEKSNSDGFDQAQESLQTNVNESEDNTGEDAVNSEHTEGSDENSKQEDEDNAETKQDEASDDKSGSDKEDNLVINQNGDEVFPSGDQSEILKETTIQNGPWSTQAAESAKEKESQESVLSMGNNGHKWRLCNTSAGPDYIPCLDNLQAIRKLPTTMHYEHRERHCPEEAPSCLVPLPEGYKQSIKWPKSREQIWYSNVPHAKLAKVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFVQNSLPKIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSLAPKDEHEAQVQFALERGIPAFSAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRNDPENAGIWKAMSELTKSMCWELVVIKQDKLNEVGAAIFRKPTSNDCYDGRAENEPPICKEADDPDAAWNVELQACMHKIPTGGSKRGSRWPEVWPKRLEKPPYWLKSSELGVYGKSAPEDFTADYDHWKTVVSRSYLKGFDIDWSSVRNVMDMKAVYGGFAAALKDLKIWVMNIVPIHSPDTLPVIFERGLFGMYHDWCESFSTYPRSYDLLHADHLFSEMKERCSLEGVIAEVDRILRPKGKLIVRDNSEMILELEKIARSLHWKVKFTFSKDDEGLLCVEKTQWRPTQTETIMSAIA >itb11g16120.t2 pep chromosome:ASM357664v1:11:14029042:14034544:-1 gene:itb11g16120 transcript:itb11g16120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNISRANGGRSKCYSTVTIVTLLGFCMIGIWMLMSSYTIPIQDMEFSSLDTENEVKVKATKSIKGRFALSLDDSQTDGETDINSDAESSSNTNGEDTSSNDEESSHVSGDLDNEVEKSNSDGFDQAQESLQTNVNESEDNTGEDAVNSEHTEGSDENSKQEDEDNAETKQDEASDDKSGSDKEDNLVINQNGDEVFPSGDQSEILKETTIQNGPWSTQAAESAKEKESQESVLSMGNNGHKWRLCNTSAGPDYIPCLDNLQAIRKLPTTMHYEHRERHCPEEAPSCLVPLPEGYKQSIKWPKSREQIWYSNVPHAKLAKVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFVQNSLPKIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSLAPKDEHEAQVQFALERGIPAFSAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRNDPENAGIWKAMSELTKSMCWELVVIKQDKLNEVGAAIFRKPTSNDCYDGRAENEPPICKEADDPDAAWNVELQACMHKIPTGGSKRGSRWPEVWPKRLEKPPYWLKSSELGVYGKSAPEDFTADYDHWKTVVSRSYLKGFDIDWSSVRNVMDMKAVYGGFAAALKDLKIWVMNIVPIHSPDTLPVIFERGLFGMYHDWCESFSTYPRSYDLLHADHLFSEMKERCSLEGVIAEVDRILRPKGKLIVRDNSEMILELEKIARSLHWKVKFTFSKDDEGLLCVEKTQWRPTQTETIMSAIA >itb11g16120.t1 pep chromosome:ASM357664v1:11:14029042:14034815:-1 gene:itb11g16120 transcript:itb11g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNISRANGGRSKCYSTVTIVTLLGFCMIGIWMLMSSYTIPIQDMEFSSLDTENEVKVKATKSIKGRFALSLDDSQTDGETDINSDAESSSNTNGEDTSSNDEESSHVSGDLDNEVEKSNSDGFDQAQESLQTNVNESEDNTGEDAVNSEHTEGSDENSKQEDEDNAETKQDEASDDKSGSDKEDNLVINQNGDEVFPSGDQSEILKETTIQNGPWSTQAAESAKEKESQESVLSMGNNGHKWRLCNTSAGPDYIPCLDNLQAIRKLPTTMHYEHRERHCPEEAPSCLVPLPEGYKQSIKWPKSREQIWYSNVPHAKLAKVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFVQNSLPKIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSLAPKDEHEAQVQFALERGIPAFSAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRNDPENAGIWKAMSELTKSMCWELVVIKQDKLNEVGAAIFRKPTSNDCYDGRAENEPPICKEADDPDAAWNVELQACMHKIPTGGSKRGSRWPEVWPKRLEKPPYWLKSSELGVYGKSAPEDFTADYDHWKTVVSRSYLKGFDIDWSSVRNVMDMKAVYGGFAAALKDLKIWVMNIVPIHSPDTLPVIFERGLFGMYHDWCESFSTYPRSYDLLHADHLFSEMKERCSLEGVIAEVDRILRPKGKLIVRDNSEMILELEKIARSLHWKVKFTFSKDDEGLLCVEKTQWRPTQTETIMSAIA >itb03g29820.t1 pep chromosome:ASM357664v1:3:31064801:31068576:1 gene:itb03g29820 transcript:itb03g29820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGGTTMRKKSTKKYQIPNPQQPQMVSSSPSSSLFNCRKISLSLLVVVVVSLVALLSFSSNKDRAFRSSSSPPLPVQLYVVEVVNVFPHDPNAFTQGLLYSENDTLFESTGLNGHSSVRKVALRTGEVHTIQSMHYSDFGEGLTLLDDRLFQVTWRQNTGYIYDRYNFSNFVAFTHEMEDGWGLATDGKVLYGSDGTSALYQIDPQTLKVTRKQIVKYQGHQVPQLNELEYINGEVWANVWMTDCIARISPKDGTVLGWILLHNLREGLLASGAKDIDVLNGIAWDRDGGRIFVTGKLWPKLYEIKVHPLKTPIREDIKQICIPTTEDF >itb02g09480.t2 pep chromosome:ASM357664v1:2:6051908:6055269:-1 gene:itb02g09480 transcript:itb02g09480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEVEERKGREKNKKKKGRRWGWMPIGAVMIALAVGFFPAHNFKSCPCAQDSRKYTGIVEDCCCDYETVDTLNAAVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPENEFPEPFKRPLQHGLGSDDPICQEGKPQAAVDRTLDSKAFRGWMEVDNPWTHDDETDNDEMTYVNLLLNPERYTGYSGPSARRIWDEIYSENCPKYAFGEMCQEKKVLYKLISGLHSSISIHIAADYLLDETKNLWGTNLELMHDRVLQYPDRVRNLYFTFLFVLRAVTKAKNYLEQAEYDTGNVEADLKAQSLMRQLLYNPKLQAACPLPFDEAKLWKGQSGPELKQQIQKQFRNISSLMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQTNSEPPQLQLQRNEVIALMNLLNRLSESINFVHTTSPLVEKTKWWRLVSDHPTTIISSWRRIWERIVEKVY >itb02g09480.t1 pep chromosome:ASM357664v1:2:6051908:6055269:-1 gene:itb02g09480 transcript:itb02g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEVEERKGREKNKKKKGRRWGWMPIGAVMIALAVGFFPAHNFKSCPCAQDSRKYTGIVEDCCCDYETVDTLNAAVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPENEFPEPFKRPLQHGLGSDDPICQEGKPQAAVDRTLDSKAFRGWMEVDNPWTHDDETDNDEMTYVNLLLNPERYTGYSGPSARRIWDEIYSENCPKYAFGEMCQEKKVLYKLISGLHSSISIHIAADYLLDETKNLWGTNLELMHDRVLQYPDRVRNLYFTFLFVLRAVTKAKNYLEQAEYDTGNVEADLKAQSLMRQLLYNPKLQAACPLPFDEAKLWKGQSGPELKQQIQKQFRNISSLMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQTNSEPPLQLQRNEVIALMNLLNRLSESINFVHTTSPLVEKTKWWRLVSDHPTTIISSWRRIWERIVEKVY >itb01g31340.t1 pep chromosome:ASM357664v1:1:35111330:35118938:-1 gene:itb01g31340 transcript:itb01g31340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTWGYSTINFFAPMSRYASAGGGPVNASREFKEMVKALHDAGLEVILDVVYNHTNEADDKNPYTTSFRGIDNKVYYMVDLDNNAQLLNYSGCGNTLNCNHPVVMELVLDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLDAPPVIRAIAKDSVLSRCKLISEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDIRRFIKGDAGMKGKFASRVSGSADLYMVNKRKPYHSVNFVIAHDGFTLHDLVSYNNKHNDANGEGGNDGSNDNFSWNCGAEGETSVANIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTAINHFQWGQLEARKDDHFRFFSELIKYRLGHHHFRREHFIGKKDVTWHEDNWDNYESKFLAFTLHDGNGGDIYLAFNAHHYSIEAALPLPPKNRQWYRVVDTNLESPSDCVLDGVPGISGIYNVAPYSAILLEAKE >itb01g31340.t3 pep chromosome:ASM357664v1:1:35110946:35121905:-1 gene:itb01g31340 transcript:itb01g31340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMHRLPPPPTLSNFNRPFFTPSNASLLMGVKWSRKASSENSGWRFLSKAGDRRRRKKLNVFARRAEEQRLIEEESPQMLETIPSIRVSPGLAHPLGASEVETGINFAIFSQHASAVTLRLILPESVDAGTIELALDPRINRTGDIWHICVEDLPRSGVQYGYCIDGPQSWNKGHRFDSRNVLIDPYAKLIEGRRLFGDVSNRMCTFYGTYDFDSLPFDWGDNYELPNIPEKDLVIYEMNVRAFTAGESSGLDPDIRGSYLGVIEKIPHLLELGINAVELLPIFEFDELEFQRRPNPRDHMINTWGYSTINFFAPMSRYASAGGGPVNASREFKEMVKALHDAGLEVILDVVYNHTNEADDKNPYTTSFRGIDNKVYYMVDLDNNAQLLNYSGCGNTLNCNHPVVMELVLDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLDAPPVIRAIAKDSVLSRCKLISEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDIRRFIKGDAGMKGKFASRVSGSADLYMVNKRKPYHSVNFVIAHDGFTLHDLVSYNNKHNDANGEGGNDGSNDNFSWNCGAEGETSVANIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTAINHFQWGQLEARKDDHFRFFSELIKYRLGHHHFRREHFIGKKDVTWHEDNWDNYESKFLAFTLHDGNGGDIYLAFNAHHYSIEAALPLPPKNRQWYRVVDTNLESPSDCVLDGVPGISGIYNVAPYSAILLEAKE >itb01g31340.t6 pep chromosome:ASM357664v1:1:35110944:35118938:-1 gene:itb01g31340 transcript:itb01g31340.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MINTWGYSTINFFAPMSRYASAGGGPVNASREFKEMVKALHDAGLEVILDVVYNHTNEADDKNPYTTSFRGIDNKVYYMVDLDNNAQLLNYSGCGNTLNCNHPVVMELVLDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLDAPPVIRAIAKDSVLSRCKLISEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDIRRFIKGDAGMKGKFASRVSGSADLYMVNKRKPYHSVNFVIAHDGFTLHDLVSYNNKHNDANGEGGNDGSNDNFSWNCGAEGETSVANIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTAINHFQWGQLEARKDDHFRFFSELIKYRLGHHHFRREHFIGKKDVTWHEDNWDNYESKFLAFTLHDGNGGDIYLAFNAHHYSIEAALPLPPKNRQWYRVVDTNLESPSDCVLDGVPGISGIYNVAPYSAILLEAKE >itb01g31340.t2 pep chromosome:ASM357664v1:1:35116328:35121773:-1 gene:itb01g31340 transcript:itb01g31340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMHRLPPPPTLSNFNRPFFTPSNASLLMGVKWSRKASSENSGWRFLSKAGDRRRRKKLNVFARRAEEQRLIEEESPQMLETIPSIRVSPGLAHPLGASEVETGINFAIFSQHASAVTLRLILPESVDAGTIELALDPRINRTGDIWHICVEDLPRSGVQYGYCIDGPQSWNKGHRFDSRNVLIDPYAKLIEGRRLFGDVSNRMCTFYGTYDFDSLPFDWGDNYELPNIPEKDLVIYEMNVRAFTAGESSGLDPDIRGSYLGVIEKIPHLLELGINAVELLPIFEFDELEFQRRPNPRDHMINTWGYSTINFFAPMSRYASAGGGPVNASREFKEMVKALHDAGLEVILDVVYNHTNEADDKNPYTTSFRGIDNKVYYMVDLDNNAQLLNYSGCGNTLNCNHPVVMELVLDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLDAPPVIRAIAKDSVLSRCKLISEPWDCGGLYLVGKFPNWDR >itb01g31340.t4 pep chromosome:ASM357664v1:1:35111330:35121702:-1 gene:itb01g31340 transcript:itb01g31340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMHRLPPPPTLSNFNRPFFTPSNASLLMGVKWSRKASSENSGWRFLSKAGDRRRRKKLNVFARRAEEQRLIEEESPQMLETIPSIRVSPGLAHPLGASEVETGINFAIFSQHASAVTLRLILPESVDAGTIELALDPRINRTGDIWHICVEDLPRSGVQYGYCIDGPQSWNKGHRFDSRNVLIDPYAKLIEGRRLFGDVSNRMCTFYGTYDFDSLPFDWGDNYELPNIPEKDLVIYEMNVRAFTAGESSGLDPDIRGSYLGVIEKIPHLLELGINAVELLPIFEFDELEFQRRPNPRDHMINTWGYSTINFFAPMSRYASAGGGPVNASREFKEMVKALHDAGLEVILDVVYNHTNEADDKNPYTTSFRGIDNKVYYMVDLDNNAQLLNYSGCGNTLNCNHPVVMELVLDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLDAPPVIRAIAKDSVLSRCKLISEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDIRRFIKGDAGMKGKFASRVSGSADLYMVNKRKPYHSVNFVIAHDGFTLHDLVSYNNKHNDANGEGGNDGSNDNFSWNCGAEGETSVANIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTAINHFQWGQLEARKDDHFRFFSELIKYRLGHHHFRREHFIGKKDVTWHEDNWDNYESKFLAFTLHDGNGGDIYLAFNAHHYSIEAALPLPPKNRQWYRVVDTNLESPSDCVLDGVPGISGIYNVAPYSAILLEAKE >itb01g31340.t5 pep chromosome:ASM357664v1:1:35110813:35121845:-1 gene:itb01g31340 transcript:itb01g31340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTMHRLPPPPTLSNFNRPFFTPSNASLLMGVKWSRKASSENSGWRFLSKAGDRRRRKKLNVFARRAEEQRLIEEESPQMLETIPSIRVSPGLAHPLGASEVETGINFAIFSQHASAVTLRLILPESVDAGTIELALDPRINRTGDIWHICVEDLPRSGVQYGYCIDGPQSWNKGHRFDSRNVLIDPYAKLIEGRRLFGDVSNRMCTFYGTYDFDSLPFDWGDNYELPNIPEKDLVIYEMNVRAFTAGESSGLDPDIRGSYLGVIEKIPHLLELGINAVELLPIFEFDELEFQRRPNPRDHMINTWGYSTINFFAPMSRYASAGGGPVNASREFKEMVKALHDAGLEVILDVVYNHTNEADDKNPYTTSFRGIDNKVYYMVDLDNNAQLLNYSGCGNTLNCNHPVVMELVLDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLDAPPVIRAIAKDSVLSRCKLISEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDIRRFIKGDAGMKGKFASRVSGSADLYMVNKRKPYHSVNFVIAHDGFTLHDLVSYNNKHNDANGEGGNDGSNDNFSWNCGAEGETSVANIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTAINHFQWGQLEARKDDHFRFFSELIKYRLGHHHFRREHFIGKKDVTWHEDNWDNYESKFLAFTLHDGNGGDIYLAFNAHHYSIEAALPLPPKNRQWYRVVDTNLESPSDCVLDGVPGISGIYNVAPYSAILLEAKE >itb04g28960.t1 pep chromosome:ASM357664v1:4:32507986:32514761:-1 gene:itb04g28960 transcript:itb04g28960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRREFKRDRSDSEFEELKYRYYRDLRDGEVKISRSGKYFHCPYCQHKRGKEYDMQELLRHSYRIGNDSKSSSLRDKARHLGLHKYLERITDDKSYTRKTDDKSDARNTGDKSDARNTGDKSDARNTGDKSDARNTDAKGNATHTSQISSVNDPSTKGADEETFVWPWKGIVANIPVEYRDGKFVGESGQKLKMEWVAKGYNPIKIHPLWSNKGHSGYAIVDFNGDFSGFENAMAFAREFELDKHGKQEWYSRKKDDKLYAWIAGKEDFNSCGIIGNFLRRNGDLRTISEIQNENKRKDSQLYSNLTNKLESKDKKCEEMEKKISRAEVKMGNVMKQKEEMLAEYNKELKMMQQKALEQLETILSEHERSKQHLDDQREQLKLREDELKQREKLNVNEKRKLDLEKEMNERAILAQKKADDTMMKLADEQKREKEQALEKMIALQRELDRKQALELEIEKLKGSVEVRRHMNEEGDLAAKTELTSIEEELKEKQEELDEMETLNNELIIKHRRDNDQVQEARKEMINGLKDSRANICVKRMGELDEKPFARAAKLKYPKEEAPEKALELCSLWDDHLRDPHWYPIKVIKKGGKDVWVINEEDEKLVGLKNECGDEVYNAVTTALMELNEYNSSGRYPVSELWNTKEKRRAELKEGADQIVKQLKRLKSRKS >itb15g19290.t1 pep chromosome:ASM357664v1:15:21528248:21531054:1 gene:itb15g19290 transcript:itb15g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLANNSFSGEIPKNISQCRLLNSIQLGGNNLTGKLPVEFQSLSKLQLMYVYRNKLTGRIPPQYGNLSSLIHFAVDENNLQGDIPNDFGKLKSLGYISFRSNNLSGMVPVSLLNLSLVKIDLQSNQFEGSLSLPPNLSGTGTFPRLEFLSVAHNRFIGHIPLWLSYALNIEVIELSDNYFTGEVPNFGSLKHLRILSFYENEHLGSEKSRDLRFLAPLTNCTDLQYLDFGGCNFGGDLPPYIANISNLNTFNIGDNYISGKIPTEICQLINLERLVLMDNQLSGIIPNTIGKLPKLFLLILERNKLLGKIPSSLGNVTMLSVLTISSNNLHGVIPSSLANCKFLLHIDLSANSLSGFIPKGLFYSNWGLCLGLVLLVQWIHQEKGWMLAQ >itb05g26270.t1 pep chromosome:ASM357664v1:5:30247451:30249372:1 gene:itb05g26270 transcript:itb05g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFILHGRLLFFALAAAIFLFCPHRSSAISIHDLLKSQGLPAGLFPKEVKNYTLSEDGLLEVFLDGPCLTKFDTMAFYESVVRANLSHGGLTGVQGFSQEELFVWLPVKGVIVDDPSSGLIFIDIGLAHKQLSLSLFEDPPDCKNDGILEEAGEEGRLEDA >itb05g26270.t2 pep chromosome:ASM357664v1:5:30247451:30249372:1 gene:itb05g26270 transcript:itb05g26270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFILHGRLLFFALAAAIFLFCPHRSSAISIHDLLKSQGLPAGLFPKEVKNYTLSEDGLLEVFLDGPCLTKFDTMAFYESVVRANLSHGGLTGVQGFSQEELFVWLPVKGVIVDDPSSGLIFIDIGLAHKQLSLSLFEDPPDCKNDEVTGILEEAGEEGRLEDA >itb06g19430.t2 pep chromosome:ASM357664v1:6:22780565:22783860:1 gene:itb06g19430 transcript:itb06g19430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNYCKRPSICYFFLLTFYCWFIFEDIVACHCCLSGKMVMMRNRIPCCSREREMSIDFDDQNGVTTYDGLESCIKQSESNDNESPSSRGDKCVTEDSLFDDDSSSVSSNTGLGSFSSHWTEAKTDDPGPDKLESSESPQHSWDMEDEGYRTGCSEVDKMKEEFSKLLLGEDMTGGSKGVSTALAMSNAISNLAANVFGEMLKLEPLSEENKRKWQTEMDWLLSPTKYMVELVPARQSGANGITFEIMTSQVRQDIHMNLPALQKLDSMLIDTLDSMVDSEFWYAEVGSRDDGQSKSVGQSKRWYNPMPQIPQSGLSDAERKKLLDGGKLVNQIFKAATAINENVLYEMPVPSIITDSLPKSSRVCLGDELYKILTTEPSSSVDNFNSLKLKSETAALEVINKLETSILMWKERIAEQAENGPPPRSSWSFMKDPSAEVDRMEHLRNKAEALLLQIKIRYPNLPHTFVDTMKIQHSKDIGHSIVEAYSRILGSLAYSTLTRIGEIMQEDILINPNSPAVAYHFPGMSDWTVHSEGLRHSSVVDMMNEAPEFGTCLF >itb06g19430.t1 pep chromosome:ASM357664v1:6:22779294:22783860:1 gene:itb06g19430 transcript:itb06g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRNRIPCCSREREMSIDFDDQNGVTTYDGLESCIKQSESNDNESPSSRGDKCVTEDSLFDDDSSSVSSNTGLGSFSSHWTEAKTDDPGPDKLESSESPQHSWDMEDEGYRTGCSEVDKMKEEFSKLLLGEDMTGGSKGVSTALAMSNAISNLAANVFGEMLKLEPLSEENKRKWQTEMDWLLSPTKYMVELVPARQSGANGITFEIMTSQVRQDIHMNLPALQKLDSMLIDTLDSMVDSEFWYAEVGSRDDGQSKSVGQSKRWYNPMPQIPQSGLSDAERKKLLDGGKLVNQIFKAATAINENVLYEMPVPSIITDSLPKSSRVCLGDELYKILTTEPSSSVDNFNSLKLKSETAALEVINKLETSILMWKERIAEQAENGPPPRSSWSFMKDPSAEVDRMEHLRNKAEALLLQIKIRYPNLPHTFVDTMKIQHSKDIGHSIVEAYSRILGSLAYSTLTRIGEIMQEDILINPNSPAVAYHFPGMSDWTVHSEGLRHSSVVDMMNEAPEFGTCLF >itb06g19430.t3 pep chromosome:ASM357664v1:6:22781328:22783860:1 gene:itb06g19430 transcript:itb06g19430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGYRTGCSEVDKMKEEFSKLLLGEDMTGGSKGVSTALAMSNAISNLAANVFGEMLKLEPLSEENKRKWQTEMDWLLSPTKYMVELVPARQSGANGITFEIMTSQVRQDIHMNLPALQKLDSMLIDTLDSMVDSEFWYAEVGSRDDGQSKSVGQSKRWYNPMPQIPQSGLSDAERKKLLDGGKLVNQIFKAATAINENVLYEMPVPSIITDSLPKSSRVCLGDELYKILTTEPSSSVDNFNSLKLKSETAALEVINKLETSILMWKERIAEQAENGPPPRSSWSFMKDPSAEVDRMEHLRNKAEALLLQIKIRYPNLPHTFVDTMKIQHSKDIGHSIVEAYSRILGSLAYSTLTRIGEIMQEDILINPNSPAVAYHFPGMSDWTVHSEGLRHSSVVDMMNEAPEFGTCLF >itb02g01560.t1 pep chromosome:ASM357664v1:2:875849:879944:1 gene:itb02g01560 transcript:itb02g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNALPPALVSNLQDVLQRRKGGDAGEGRNEDQQDKSNKDDSTEPSSSSSGEIPEPDSSKPIVLVTNADGIDSPGLACLLDALVRQGLYNVYFCVPQLDKSVSGHSVTLRETVAVSSTEIQGAPGYDVSGTPVDCISLVLSGALYSWSKPLLVITGINRGTSCSHHAFYSGVVAGAREALLSGIPSISISLNWKKDESQESDFKDAASVCLPLITAAIRDIEKGAFPKCCLMNVEVPTSPLANKGFKVTKQSLWKSTLSWNAIPANRNPGAGRFLSNHQSLGIQLAQLGRDASAAGAARRLATQKKDIEEVESVGVSGKSDPNRKVKYFRLELLDKPIADKDEDLDFRALENGFVAVTPLSVSMHAEPEVHTAASDWISAAL >itb02g01560.t3 pep chromosome:ASM357664v1:2:875849:878059:1 gene:itb02g01560 transcript:itb02g01560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNALPPALVSNLQDVLQRRKGGDAGEGRNEDQQDKSNKDDSTEPSSSSSGEIPEPDSSKPIVLVTNADGIDSPGLACLLDALVRQGLYNVYFCVPQLDKSVSGHSVTLRETVAVSSTEIQGAPGYDVSGTPVDCISLVLSGALYSWSKPLLVITGINRGTSCSHHAFYSGVVAGAREALLSGIPSISISLNWKKDESQESDFKDAASVCLPLITAAIRDIEKGAFPKCCLMNVEVPTSPLANKVCFDCYCCYCCYCLFFFCLLSLNPPFLE >itb02g01560.t2 pep chromosome:ASM357664v1:2:875872:879944:1 gene:itb02g01560 transcript:itb02g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNALPPALVSNLQDVLQRRKGGDAGEGRNEDQQDKSNKDDSTEPSSSSSGEIPEPDSSKPIVLVTNADGIDSPGLACLLDALVRQGLYNVYFCVPQLDKSVSGHSVTLRETVAVSSTEIQGAPGYDVSGTPVDCISLVLSGALYSWSKPLLVITGINRGTSCSHHAFYSGVVAGAREALLSGIPSISISLNWKKDESQESDFKDAASVCLPLITAAIRDIEKGAFPKCCLMNVEVPTSPLANKGFKVTKQSLWKSTLSWNAIPANRNPGAGRFLSNHQSLGIQLAQLGRDASAAVSILL >itb09g00090.t1 pep chromosome:ASM357664v1:9:190355:193489:1 gene:itb09g00090 transcript:itb09g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWSNSASDDNQSLAATTGHLTSSVGISQAISNTTSSTSTGSAISGSGTSQFSASSGGDEVYPHGQILPHPNLRIFTFAELKAATRNFRSDTMLGEGGFGKVYKGWLDERGTSKSGSGTVIAVKKLNSESMQGYEEWQSEVNFLGCLSHPNLVKLIGYSWDERELLLVYEFMQKGSLENHLFGRGSAVQPLPWEERLKILTGAARGLAFLHTSEKQVIYRDFKASNILLDGSYNAKISDFGLAKLGPSASQSHVTTRVMGTQGYAAPEYVATGHLYVKSDVYGFGVVLVEMLTGLRAIDENRPSGQHNLVDWIKPHLADKRKLKDKMDSRLAGRYPSRAAVQVAQLALSCLGSEPKMRPSMKEVVARLEEIESAKERPKEPRSTSRHHRTTYRYGHHQPLRHRSPLHPRQVANQAYPLPTRA >itb08g11830.t3 pep chromosome:ASM357664v1:8:11787196:11796974:1 gene:itb08g11830 transcript:itb08g11830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFASSLLGIVRTLFEQTRQDEMQILGCSVLVDFINSQTESTYMFNLEGLIPKLCQFAREVGDHDRALKFRAAGMQTLAVLVSFMGEQSHMSMDFDHIIAVTLENYMDPAMKPENEKDGKQSQCSEQWVDGVLKAEEHSSSFPDLSKKFSSLPSLINTKVDTSTLDTAKSPSYWSRVCLHNIALLAKEATTIRRVLEPLFHSFDSENYWSPQNGLAHSVLLYLQALLEESGENSHILFSVVVKHLDHRDVIKQPDLQINIINIITTLAENAKQQVSPAIVGGISDLVKHLRKCMHNSAEQLSPGDGLDNGNYDIQSAIENCILQLSYKQVADVGPILDMMAVVLENIPTSTAAARATISAVYRTAQIISPIPNIKYYRKAFPDALFHHLLLAMAHTDHVTRAGAQHIFSTVLMPNQLSSHSRNNSFSILAQSPRKLPKDRTRSFSITDGNAVESGLRDGEMRGENPNMDVQQCASSRTVDQSVSFKGAVPNRKPELTSLRLSSLQVSLLLSSLWLQSTMTENTPVNFEAMAHTYKIALLFTRSKSSSHMALVRCFQLAFSLRSISLDKDGGLQPSRRRSLFTVASYMLICSARAWNIPELIPFVKSSLTDETVDPYLKLGEEIKVQASFTGPGGEVEGYGTPEDEVAALRSLCAIKSEDRQLKEIVISNLTTTYEKLSEDELSGMKKQLLQGFSPDEAYPAGTLFMETPYPSSPLVQIEFQNFDEVMDPALSTDEEALLDPSGSQSGRKSSLSINSLHVLSVNQLLESVSNIFQLFLLNPKPVYR >itb08g11830.t4 pep chromosome:ASM357664v1:8:11787196:11796974:1 gene:itb08g11830 transcript:itb08g11830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFASSLLGIVRTLFEQTRQDEMQILGCSVLVDFINSQTESTYMFNLEGLIPKLCQFAREVGDHDRALKFRAAGMQTLAVLVSFMGEQSHMSMDFDHIIAVTLENYMDPAMKPENEKDGKQSQCSEQWVDGVLKAEEHSSSFPDLSKKFSSLPSLINTKVDTSTLDTAKSPSYWSRVCLHNIALLAKEATTIRRVLEPLFHSFDSENYWSPQNGLAHSVLLYLQALLEESGENSHILFSVVVKHLDHRDVIKQPDLQINIINIITTLAENAKQQVSPAIVGGISDLVKHLRKCMHNSAEQLSPGDGLDNGNYDIQSAIENCILQLSYKQVADVGPILDMMAVVLENIPTSTAAARATISAVYRTAQIISPIPNIKYYRKAFPDALFHHLLLAMAHTDHVTRAGAQHIFSTVLMPNQLSSHSRNNSFSILAQSPRKLPKDRTRSFSITDGNAVESGLRDGEMRGENPNMDVQQCASSRTVDQSVSFKGAVPNRKPELTSLRLSSLQVSLLLSSLWLQSTMTENTPVNFEAMAHTYKIALLFTRSKSSSHMALVRCFQLAFSLRSISLDKDGGLQPSRRRSLFTVASYMLICSARAWNIPELIPFVKSSLTDETVDPYLKLGEEIKVQASFTGPGGEVEGYGTPEDEVAALRSLCAIKSEDRQLKEIVISNLTTTYEKLSEDELSGMKKQLLQGFSPDEAYPAGTLFMETPYPSSPLVQIEFQNFDEVMDPALSTDEEALLDPSGSQSGRKSSLSINSLHVLSVNQLLESVSNIFQLFLLNPKPVYR >itb08g11830.t2 pep chromosome:ASM357664v1:8:11787153:11797392:1 gene:itb08g11830 transcript:itb08g11830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFASSLLGIVRTLFEQTRQDEMQILGCSVLVDFINSQTESTYMFNLEGLIPKLCQFAREVGDHDRALKFRAAGMQTLAVLVSFMGEQSHMSMDFDHIIAVTLENYMDPAMKPENEKDGKQSQCSEQWVDGVLKAEEHSSSFPDLSKKFSSLPSLINTKVDTSTLDTAKSPSYWSRVCLHNIALLAKEATTIRRVLEPLFHSFDSENYWSPQNGLAHSVLLYLQALLEESGENSHILFSVVVKHLDHRDVIKQPDLQINIINIITTLAENAKQQVSPAIVGGISDLVKHLRKCMHNSAEQLSPGDGLDNGNYDIQSAIENCILQLSYKVADVGPILDMMAVVLENIPTSTAAARATISAVYRTAQIISPIPNIKYYRKAFPDALFHHLLLAMAHTDHVTRAGAQHIFSTVLMPNQLSSHSRNNSFSILAQSPRKLPKDRTRSFSITDGNAVESGLRDGEMRGENPNMDVQQCASSRTVDQSVSFKGAVPNRKPELTSLRLSSLQVSLLLSSLWLQSTMTENTPVNFEAMAHTYKIALLFTRSKSSSHMALVRCFQLAFSLRSISLDKDGGLQPSRRRSLFTVASYMLICSARAWNIPELIPFVKSSLTDETVDPYLKLGEEIKVQASFTGPGGEVEGYGTPEDEVAALRSLCAIKSEDRQLKEIVISNLTTTYEKLSEDELSGMKKQLLQGFSPDEAYPAGTLFMETPYPSSPLVQIEFQNFDEVMDPALSTDEEALLDPSGSQSGRKSSLSINSLHVLSVNQLLESSLNPRT >itb08g11830.t5 pep chromosome:ASM357664v1:8:11787153:11796974:1 gene:itb08g11830 transcript:itb08g11830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFASSLLGIVRTLFEQTRQDEMQILGCSVLVDFINSQTESTYMFNLEGLIPKLCQFAREVGDHDRALKFRAAGMQTLAVLVSFMGEQSHMSMDFDHIIAVTLENYMDPAMKPENEKDGKQSQCSEQWVDGVLKAEEHSSSFPDLSKKFSSLPSLINTKVDTSTLDTAKSPSYWSRVCLHNIALLAKEATTIRRVLEPLFHSFDSENYWSPQNGLAHSVLLYLQALLEESGENSHILFSVVVKHLDHRDVIKQPDLQINIINIITTLAENAKQQVSPAIVGGISDLVKHLRKCMHNSAEQLSPGDGLDNGNYDIQSAIENCILQLSYKVADVGPILDMMAVVLENIPTSTAAARATISAVYRTAQIISPIPNIKYYRKAFPDALFHHLLLAMAHTDHVTRAGAQHIFSTVLMPNQLSSHSRNNSFSILAQSPRKLPKDRTRSFSITDGNAVESGLRDGEMRGENPNMDVQQCASSRTVDQSVSFKGAVPNRKPELTSLRLSSLQVSLLLSSLWLQSTMTENTPVNFEAMAHTYKIALLFTRSKSSSHMALVRCFQLAFSLRSISLDKDGGLQPSRRRSLFTVASYMLICSARAWNIPELIPFVKSSLTDETDLVVKLKAMEHRKMKLQL >itb08g11830.t1 pep chromosome:ASM357664v1:8:11787087:11797392:1 gene:itb08g11830 transcript:itb08g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFASSLLGIVRTLFEQTRQDEMQILGCSVLVDFINSQTESTYMFNLEGLIPKLCQFAREVGDHDRALKFRAAGMQTLAVLVSFMGEQSHMSMDFDHIIAVTLENYMDPAMKPENEKDGKQSQCSEQWVDGVLKAEEHSSSFPDLSKKFSSLPSLINTKVDTSTLDTAKSPSYWSRVCLHNIALLAKEATTIRRVLEPLFHSFDSENYWSPQNGLAHSVLLYLQALLEESGENSHILFSVVVKHLDHRDVIKQPDLQINIINIITTLAENAKQQVSPAIVGGISDLVKHLRKCMHNSAEQLSPGDGLDNGNYDIQSAIENCILQLSYKVADVGPILDMMAVVLENIPTSTAAARATISAVYRTAQIISPIPNIKYYRKAFPDALFHHLLLAMAHTDHVTRAGAQHIFSTVLMPNQLSSHSRNNSFSILAQSPRKLPKDRTRSFSITDGNAVESGLRDGEMRGENPNMDVQQCASSRTVDQSVSFKGAVPNRKPELTSLRLSSLQVSLLLSSLWLQSTMTENTPVNFEAMAHTYKIALLFTRSKSSSHMALVRCFQLAFSLRSISLDKDGGLQPSRRRSLFTVASYMLICSARAWNIPELIPFVKSSLTDETVDPYLKLGEEIKVQASFTGPGGEVEGYGTPEDEVAALRSLCAIKSEDRQLKEIVISNLTTTYEKLSEDELSGMKKQLLQGFSPDEAYPAGTLFMETPYPSSPLVQIEFQNFDEVMDPALSTDEEALLDPSGSQSGRKSSLSINSLHVLSVNQLLESVSNIFQLFLLNPKPVYR >itb04g07950.t1 pep chromosome:ASM357664v1:4:5509846:5517095:1 gene:itb04g07950 transcript:itb04g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPLHHCKVHKWQAIIHRIHAFIHCIALISIIYYRLSSIFLPAALPWLLVFVSEIFLSLLWLLSAAYTWRPVTRTVFPERLPPVDQLPAIDVFVCTADPKTEPPLGVMNTVLSVMALDYPPEKMSVYLSDDGGASRTLRSLRETWRFSRAWIPFCREFAVENRCPEAFFAETHDDGHGVEFLDEKQRIKREYERFRERLRVVGEEEEMDDANHQNHPPSIQVIGEGESGIDAANGSKVEMPLVVYVSREKRPSSPHHFKAGALNALLRVSGLISNSPYILVLDCDMHSNDPSSARQAMCFHLDPTISSSLAFVQFPQKFHNLSNNDIYTSALSSIFDVKWRGMDGLRGPILSGTCFYIKRKALYGMSITPKETDVDITKLRDSFGPSYQFTSSVTRSSMNGNADMVNSLLKETKSVASCAYEKDTQWGKDIGFLYNSVVEDYSTGFLLHCKGWSSVLCNPVRAAFLGSTTTNLNDTLVQGTRWNSGSLDCLLSRFCPLIYGLPRMPLLQCFCYTYLAAQPLYCLQVWCLAIVPQLCLLNNLPIYPKVSSPWFMIYAFAFISPLAKYLWDVVIIGGTTQTWWNEWRMWMIKSITAYFYGSLDAVMKQIGIRKASFIPTSKVVDEEQLNRYQKGIFDFQASPIFLVPLVTLTMLNMLALTWGIAKVLVVGRLRLDEFFGQVFVSFFILLVNYPIVEGMFRKDKGGISLRVTMLSALHAVLPKVSSFSGTNWKPNLVLDALASLRFNFCLSYPATKY >itb11g06010.t1 pep chromosome:ASM357664v1:11:3595129:3596640:1 gene:itb11g06010 transcript:itb11g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRKTPATPIQQPVANIAADPPRYRGVRKRPWGRFAAEIRDPVKKARVWLGTFNTAEEAAHAYDTAARAFHGPKAKTNFGFTDRRDNDNNNNHSHSIIPPVALPGENHFQRFPYSRPASSSLSSTVESSSGPRPPSDRNKQPPPPPRPKVPVPPLPDDCHSDCDSSSSVVDDQDQTTSSFFPKQPLSFDLNLPPPPLDEFVADELKVTALHL >itb07g15460.t1 pep chromosome:ASM357664v1:7:18545263:18548687:-1 gene:itb07g15460 transcript:itb07g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETIERAKAEGNLPVYGFHDPESFIRSIQKPRVVIILVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKEVAELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDIVLKVAAQVPDSGPCVTYIGRGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELHKVFTDWNQGELLSFLIEITADIFGIKDDKADGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDSRFLSGLKDERVQAAKVFKSSGIGDILTDQVVDKKQLIDDVRKALYASKICSYAQGMNLLRAKSIEQGWGLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLASLLVDEEFAKEIIERQSAWRRVVCLAISSGISVPGMSTSLAYFDTYRREKLPANLVQAQRDYFGAHTYERIDMPGSFHTEWFKIAKQSKN >itb09g22580.t1 pep chromosome:ASM357664v1:9:20976874:20977978:1 gene:itb09g22580 transcript:itb09g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPPRLRRLRQCRHSFGLHQVHFHGNNILVTVTKRAAEVDEWVNEILHNYCHALHNLVVGLDIEWHPCSEGEHNPAATLQLCVGERCLIFLLLHKDYIPVLSLPF >itb01g32390.t1 pep chromosome:ASM357664v1:1:35900034:35903504:-1 gene:itb01g32390 transcript:itb01g32390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRTLSGRTPLPHHERLHQNGGNQFSVSVDSPSHKHLFNGRSSSPLSAFGFGVGRLLGGLRYSRKCLSWKTSFYRCLVFFFLGFILGMTPFIDVEDWSRRDFSIEMKPPLVDDEREVKDVVIPRDSPAIGAAQLQSISTEEDIIPRKQLIIVTPTYNRVLQAHYLNRLGQVLRHVQPPLLWIVVEMDAASSETAEILRNTGVVYRHLVCLKNTKDIKDRGVHQRNTALEHIEHHRLDGIVYFADDDNIYSLELFESMRNISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSKQVRRFHVDMSGFAFNSTILWDPKRWHRHIADPIRQLDTVKEGFQETTFIEQLVEDESQMEGIPDGCSRVLNWHLHLEDCELVYPKGWQIQKNLDVVFQLHDGI >itb12g00190.t1 pep chromosome:ASM357664v1:12:195526:197125:1 gene:itb12g00190 transcript:itb12g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQRKNSMVVASGMLVCLVLLVSSAHAQLRTDFYKNTCPNVESLVRSAVQQKFRQTFVTAPATLRLFFHDCFVRGCDASVLLASPNGKAEKDHPDNLSLAGDGFDTVIKAKAAVDSNPQCRNKVSCADILALATRDVVSLAGGAFYPVELGRRDGRISSLTSVQRNLPGAGFNLDQLNSMFGRHGLTQTDMIALSGAHTIGFSHCKHVAKRIYRFQPRSWIDPTLNLRYAFQLRQMCPLKVDPRIAINMDPTTPNTFDNAYFKNLQQGKGLFVSDQVLFTDRRSRNTVNFFASNNAAFQQAFAAAMTKLGRIGVLTGNLSEIRRDCTRPN >itb01g19440.t1 pep chromosome:ASM357664v1:1:25530313:25533665:-1 gene:itb01g19440 transcript:itb01g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQPILENFSYINTSCKPTTTHFTGIPVVDLLDPEAKNIIVKACQEFGFFKVDNHGVPIEAVAKLEAEAVRFFGLPQHEKDQAGPPNPFGYGNKSIGPNGDVGWVEYLLLNANPQLIMNSSLTFPGNSQLFWKVVHEYVGAVRKMSCQVLELIAEGLKIEPRNVLSRLIKDERSDSCLRVNHYPPCPPAQQEEKLIGFGEHTDPQVISVVRSNNSTGLQIALRDGTWVSVPPDQSSFFIDVGDSLQVLTNGRFRSVRHRVIADSLKGRVSMIYFGGPPLSEKIAPLPCLMEEGEESLYTDFTWSEYKKSAYKTRLGDNRLGIFEKKIQVPL >itb01g03350.t2 pep chromosome:ASM357664v1:1:2224329:2225294:-1 gene:itb01g03350 transcript:itb01g03350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMKAWGVVVIMAVIVTGAVDANSTCKTALNYLSPCKAFLVNVTELIPDDCCKGCLLLQRLVTDLKFNRKQKIALCKCLQKDGTQSGADADRAFQIVHTCNVTYSPILTGPDVNCSKYKFPVFKIINTSHTHTILYSLSSISTFFS >itb01g03350.t3 pep chromosome:ASM357664v1:1:2224329:2225294:-1 gene:itb01g03350 transcript:itb01g03350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMKAWGVVVIMAVIVTGAVDANSTCKTALNYLSPCKAFLVNVTELIPDDCCKGCLLLQRLVTDLKFNRKQKIALCKCLQKDGTQSGADADRAFQIVHTCNVTYSPILTGPDVNCSKIF >itb01g03350.t1 pep chromosome:ASM357664v1:1:2224329:2225294:-1 gene:itb01g03350 transcript:itb01g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMKAWGVVVIMAVIVTGAVDANSTCKTALNYLSPCKAFLVNVTELIPDDCCKGCLLLQRLVTDLKFNRKQKIALCKCLQKDGTQSGADADRAFQIVHTCNVTYSPILTGPDVNCSNFSNVQNLLKSKIYLVAKHEDGDQEDYIIIIIRINLKRNIYICIS >itb13g05990.t1 pep chromosome:ASM357664v1:13:7069068:7070115:-1 gene:itb13g05990 transcript:itb13g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVVLLLALAVAAVVVAPPRPVLATEFVVGDENGWKNNNFDYKAWAGSKEFHVGDKLIFKYRPGAHNVYKVDGAGFQQCKAPENGTSLSSGNDEITLSSPGKKWYICGVGTHCQSGGMKLAINVLSRQGVAITPAMAPVPSTPAPPFTPAPSAAAHDAPARFAAWIVAPILLIFLSTMA >itb05g09790.t2 pep chromosome:ASM357664v1:5:14299890:14308601:-1 gene:itb05g09790 transcript:itb05g09790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKAAEDLFEVVDRRAKIAAGEKVDEQPSSQTPVSNGQGSQPKRSRPRRKPQKRVSSNEKPNTFDTESTQTPKEMPELDVATNRDKDVMLVENNQTLPDKTTIKENQNVDGDGAAVDAPLSETIANNELNVSVNSTEAEAHGSDTNTVPLKSNDKHSENISEVHEGPTHHAASVDDNTSHNDGPVESSQAIVLDDAVPPKNNGHEELQSASGGDPGKVDKLVEDTNAKAEIVSDLNKLPEHKTSTTSMKVQEQLDEAQGLLKNTSSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLLSERELSKSYEARLKQLQKDLSEAKNEVSKVESSMAEALSAKNAEIEALVSSMDSLKKQTALSEGNLASLQANMEALMRNRELTETRMMQAVREELAAAERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERMTKAADLEQKVALLEVECATLNQELQDMEARVRRGQKKSPEDANQAIQLQAWQEEVERARQGQREADNKLASMEAEMQKLRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMATEKAAAEFQLEKEVKRLQEAQLEAERSRVSRRASTSWEEETDIKTLEPLPLHHRHMAAASIQLQKAAKLLDSGAVRATRFLWRYPTARVLLLFYLVFVHLFLMYLLHRLQVWRTSLLP >itb05g09790.t1 pep chromosome:ASM357664v1:5:14299155:14308667:-1 gene:itb05g09790 transcript:itb05g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKAAEDLFEVVDRRAKIAAGEKVDEQPSSQTPVSNGQGSQPKRSRPRRKPQKRVSSNEKPNTFDTESTQTPKEMPELDVATNRDKDVMLVENNQTLPDKTTIKENQNVDGDGAAVDAPLSETIANNELNVSVNSTEAEAHGSDTNTVPLKSNDKHSENISEVHEGPTHHAASVDDNTSHNDGPVESSQAIVLDDAVPPKNNGHEELQSASGGDPGKVDKLVEDTNAKAEIVSDLNKLPEHKTSTTSMKVQEQLDEAQGLLKNTSSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLLSERELSKSYEARLKQLQKDLSEAKNEVSKVESSMAEALSAKNAEIEALVSSMDSLKKQTALSEGNLASLQANMEALMRNRELTETRMMQAVREELAAAERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERMTKAADLEQKVALLEVECATLNQELQDMEARVRRGQKKSPEDANQAIQLQAWQEEVERARQGQREADNKLASMEAEMQKLRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMATEKAAAEFQLEKEVKRLQEAQLEAERSRVSRRASTSWEEETDIKTLEPLPLHHRHMAAASIQLQKAAKLLDSGAVRATRFLWRYPTARVLLLFYLVFVHLFLMYLLHRLQEQADTLANKEVAMSMGLVNQTLP >itb05g09790.t4 pep chromosome:ASM357664v1:5:14299155:14308667:-1 gene:itb05g09790 transcript:itb05g09790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKAAEDLFEVVDRRAKIAAGEKVDEQPSSQTPVSNGQGSQPKRSRPRRKPQKRVSSNEKPNTFDTESTQTPKEMPELDVATNRDKDVMLVENNQTLPDKTTIKENQNVDGDGAAVDAPLSETIANNELNVSVNSTEAEAHGSDTNTVPLKSNDKHSENISEVHEGPTHHAASVDDNTSHNDGPVESSQAIVLDDAVPPKNNGHEELQSASGGDPGKVDKLVEDTNAKAEIVSDLNKLPEHKTSTTSMKVQEQLDEAQGLLKNTSSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLLSERELSKSYEARLKQLQKDLSEAKNEVSKVESSMAEALSAKNAEIEALVSSMDSLKKQTALSEGNLASLQANMEALMRNRELTETRMMQAVREELAAAERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERMTKAADLEQKVALLEVECATLNQELQDMEARVRRGQKKSPEDANQAIQLQAWQEEVERARQGQREADNKLASMEAEMQKLRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMATEKAAAEFQLEKEVKRLQEAQLEAERSRVSRRASTSWEEETDIKTLEPLPLHHRHMAAASIQLQKAAKLLDSGAVRATRFLWRYPTARVLLLFYLVLTKPSLLHCYTVADW >itb05g09790.t3 pep chromosome:ASM357664v1:5:14301907:14308667:-1 gene:itb05g09790 transcript:itb05g09790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKAAEDLFEVVDRRAKIAAGEKVDEQPSSQTPVSNGQGSQPKRSRPRRKPQKRVSSNEKPNTFDTESTQTPKEMPELDVATNRDKDVMLVENNQTLPDKTTIKENQNVDGDGAAVDAPLSETIANNELNVSVNSTEAEAHGSDTNTVPLKSNDKHSENISEVHEGPTHHAASVDDNTSHNDGPVESSQAIVLDDAVPPKNNGHEELQSASGGDPGKVDKLVEDTNAKAEIVSDLNKLPEHKTSTTSMKVQEQLDEAQGLLKNTSSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLLSERELSKSYEARLKQLQKDLSEAKNEVSKVESSMAEALSAKNAEIEALVSSMDSLKKQTALSEGNLASLQANMEALMRNRELTETRMMQAVREELAAAERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERMTKAADLEQKVALLEVECATLNQELQDMEARVRRGQKKSPEDANQAIQLQAWQEEVERARQGQREADNKLASMEAEMQKLRVEMAAMKRDAEHYSRQVRELNSHTSLLDLILFSSTHSNTSSILCLANHVS >itb06g20110.t2 pep chromosome:ASM357664v1:6:23180751:23184375:1 gene:itb06g20110 transcript:itb06g20110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKSSKGNCCGWVLLALVALAIGCAIYVLMNPKEAEFPDPEPGPPGKPIKKYAHALKLALEFFDVQKAGKLDENKIPWRGNSALKDGSQAKLDLSKGMYDAGDTIKFGFPMAYTASVLSWAILEYGDQMEVAKQLEPAQDSLKWIMDFLVNAHPSPNVLYIQVGDPKSDHKCWERPESITKARPLIQVNTSAPGTEVAAETSAAMAAASLVFKSSDSDYSALLLKHAKELFAFAEKHRASYSKSIPQVAAYYNSTGYGDELLWAATWLYHATGDETYYDFATGDDADEFVQWGSPTWFSWDDKFPGAQVLMSRVSFFKSKGLSNSVTLEKYKSTAEAVMCGLLPKSPTATSSRTDSGLIWVSEWNALQHSVASAFLAVVYSDYMRSSRTARITCDGKQFTPYDLRDFATSQADYVLGDNPMEMSYLVGYGDKYPEYVHHRGASIPANAKPGCKQGFKWLDSSKPNPNVAVGALVGGPFLNDTYVDARNNSMQGEPTTYNSALLVGLLSGLVTTSSVVKSFV >itb06g20110.t1 pep chromosome:ASM357664v1:6:23180750:23184506:1 gene:itb06g20110 transcript:itb06g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKSSKGNCCGWVLLALVALAIGCAIYVLMNPKEAEFPDPEPGPPGKPIKKYAHALKLALEFFDVQKAGKLDENKIPWRGNSALKDGSQAKLDLSKGMYDAGDTIKFGFPMAYTASVLSWAILEYGDQMEVAKQLEPAQDSLKWIMDFLVNAHPSPNVLYIQVGDPKSDHKCWERPESITKARPLIQVNTSAPGTEVAAETSAAMAAASLVFKSSDSDYSALLLKHAKELFAFAEKHRASYSKSIPQVAAYYNSTGYGDELLWAATWLYHATGDETYYDFATGDDADEFVQWGSPTWFSWDDKFPGAQVLMSRVSFFKSKGLSNSVTLEKYKSTAEAVMCGLLPKSPTATSSRTDSGLIWVSEWNALQHSVASAFLAVVYSDYMRSSRTARITCDGKQFTPYDLRDFATSQADYVLGDNPMEMSYLVGYGDKYPEYVHHRGASIPANAKPGCKQGFKWLDSSKPNPNVAVGALVGGPFLNDTYVDARNNSMQGEPTTYNSALLVGLLSGLVTTSSVVKSFV >itb11g20550.t1 pep chromosome:ASM357664v1:11:21723704:21724012:1 gene:itb11g20550 transcript:itb11g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVDSLAAGKPVVIFSKSSCCMSHTIKTLISSFGANPVVYELDELPNGQQLERALTASGQMPNIPAVYIGEELVGGANEIMALHLKGKLVPLLKKAKAIWV >itb06g25090.t1 pep chromosome:ASM357664v1:6:26331241:26333989:1 gene:itb06g25090 transcript:itb06g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYQTKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYRIERVIGEKDQYIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYIKTFQGPPHGIQVERDKLNKIDETNQIFQKQNGKHRLAALLFPSVEIPVAAAGGAGEGGGGPLFAAGHEFPAPVHRVAAEVGRVVPLTFHVPNVVVGRARSSGVPSRHVSPHNHLRLLHPLSPSVLIRD >itb08g06360.t1 pep chromosome:ASM357664v1:8:5432137:5434199:1 gene:itb08g06360 transcript:itb08g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDEWAEGIQEQKNEEEYEYDAQEQRQDREEGSSSSSSSSNLNFDFLSLVSQPKDYYRILEVDYDASEEVIRSNYIRLALKWHPDKLKGQDTATSRFQEINEAYQVLSDPLKRQEYDKTAVLRAYDYNIVEYLDRYKGLILTCNGLGMKHTIW >itb05g24190.t3 pep chromosome:ASM357664v1:5:29069173:29073385:1 gene:itb05g24190 transcript:itb05g24190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRLSSGKILTVKRAVRIEDRARKMAEEPPKQTSSSAEQSFSTSSLKSSDDAVTKVPQITSTYGSSSADSNNHMHQTMYQPLLSGFYYLQQNQEELNRGPGLYAVSVNPFMDHMTGFPPNTLIPLRYSVPTERSSSEHRAGSDEQGQGGQQPQLQQHAPEPQRQVVVRRFQIAFQRDLFLILKLAAVIFLFNQDGSKQRLVVLVFFASLIYLYQTGALAPLIRWLSQGMQRGAAPPQRPRPAVRAENAALAGRQGNQNGAAEAGAEGNVGENGNQPGNDGNRAGENEHGADAGVVAEEGNRLWLIVKEIQMIVFGFITSLLPGFHNID >itb05g24190.t2 pep chromosome:ASM357664v1:5:29069173:29073344:1 gene:itb05g24190 transcript:itb05g24190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRLSSGKILTVKRAVRIEDRARKMAEEPPKQTSSSAEQSFSTSSLKSSDDAVTKVPQITSTYGSSSADSNNHMHQTMYQPLLSGFYYLQQNQEELNRGPGLYAVSVNPFMDHMTGFPPNTLIPLRYSVPTERSSSEHRAGSDEQGQGGQQPQLQQHAPEPQRQVVVRRFQIAFQRDLFLILKLAAVIFLFNQDGSKQRLVVLVFFASLIYLYQTGALAPLIRWLSQGMQRGAAPPQRPRPAVRAENAALAGRQGNQNGAAEGAEGNVGENGNQPGNDGNRAGENEHGADAGVVAEEGNRLWLIVKEIQMIVFGFITSLLPGFHNID >itb05g24190.t1 pep chromosome:ASM357664v1:5:29069173:29073372:1 gene:itb05g24190 transcript:itb05g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRLSSGKILTVKRAVRIEDRARKMAEEPPKQTSSSAEQSFSTSSLKSSDDAVTKVPQITSTYGSSSADSNNHMHQTMYQPLLSGFYYLQQNQEELNRGPGLYAVSVNPFMDHMTGFPPNTLIPLRYSVPTSSEHRAGSDEQGQGGQQPQLQQHAPEPQRQVVVRRFQIAFQRDLFLILKLAAVIFLFNQDGSKQRLVVLVFFASLIYLYQTGALAPLIRWLSQGMQRGAAPPQRPRPAVRAENAALAGRQGNQNGAAEAGAEGNVGENGNQPGNDGNRAGENEHGADAGVVAEEGNRLWLIVKEIQMIVFGFITSLLPGFHNID >itb04g24060.t1 pep chromosome:ASM357664v1:4:28877649:28878998:1 gene:itb04g24060 transcript:itb04g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDENGTPKELAAEPYEAIQQGDSELVAETWFDQAAEYWKQAIALTPEEDSMIIRSPEPEVKILVDRDPVKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIIGQTTWALGPM >itb03g14410.t1 pep chromosome:ASM357664v1:3:14140858:14145320:-1 gene:itb03g14410 transcript:itb03g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYASSLSQSQSADSSDAGSAAERRLREAEERLRDAIEELQRRQRRERGLHPPCDHADESCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKLRKKETPLNAILAGSVAGLSILALDDSSRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWSHGDSLLFALACAQVMYAFIMRPESLPKSYQDFIQKTGPVAAPVYKAVKDCCRGSPVDVASLSAYLSYKKISSNAKLEEFPSIIPCSLIHAGTNSCLVHNANATAATFRKTFPLYFSLTFVPFVVLRLQKFMAAPVRTCWHALTGAVRSTTFLSAFVGIFQAVICLHRKVATKDHKLVYWVAGGASGLSVLLEKKARRSELALYVLPRAGESLWYILVNRHLMPDVKNTEVALFCACMGGIMYYLEHEPDTMAPFLRGLIRRFLASKISNPSPSSNRSASYSYLQALDAMKKKPKENQDAETSTTEKYNLESIPGL >itb03g14410.t2 pep chromosome:ASM357664v1:3:14140858:14145320:-1 gene:itb03g14410 transcript:itb03g14410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVAGLSILALDDSSRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWSHGDSLLFALACAQVMYAFIMRPESLPKSYQDFIQKTGPVAAPVYKAVKDCCRGSPVDVASLSAYLSYKKISSNAKLEEFPSIIPCSLIHAGTNSCLVHNANATAATFRKTFPLYFSLTFVPFVVLRLQKFMAAPVRTCWHALTGAVRSTTFLSAFVGIFQAVICLHRKVATKDHKLVYWVAGGASGLSVLLEKKARRSELALYVLPRAGESLWYILVNRHLMPDVKNTEVALFCACMGGIMYYLEHEPDTMAPFLRGLIRRFLASKISNPSPSSNRSASYSYLQALDAMKKKPKENQDAETSTTEKYNLESIPGL >itb14g15930.t1 pep chromosome:ASM357664v1:14:19243601:19245830:1 gene:itb14g15930 transcript:itb14g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNAFGLWAYDAVWSLALAIEKVGKRIPQTKEECKDLLNFTSIGVSDYGSSLLLKEVISSRFIGLTGEFQLLNGELGSKAYEVVNVMDQGERRVGFWTLNSGFTIHPSSNEPNNFFHAGLDSIIWPGPSLATPKEWLGKKIRVGVPIQQAFKEFINVHHDIQSNTTTVEGFSVDVFLAAIGSLEYKAPFEFIPFLVVDEKGKHHSYYNDLLHQIYLKNFDAAVGDITITSNRSTFVDFTLPYTEAGVGTVARLGSAGAWFFLKPFRTDLWIIIVVSFIVTGLVVWLIEHEKNEDFQGSLAQQVGTALWFAASTLVYAQRERIQSNLSRFVVSIWMFVVLIISSSYTASLSSLLTLQQIRIAKGDYLGYHSFQEGIIFNNMNFSGSRLIRYNSPEEFHEALSKGTIGGIVDEIPYIKSFLAKYPSQYALISTAPRTNGFGFAFQKGSPLVPEISQAIARLREGGKLAELEDKWFKNRPSMLTQDDETSNIKTLNVDNFRGLFLLNGISKAIAVTMFLCLVLGNKLSVYHYILRIASDMDFILVIHKSQMVCVGIIPLP >itb11g12220.t1 pep chromosome:ASM357664v1:11:9096019:9098341:1 gene:itb11g12220 transcript:itb11g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPVLAKSFSRNERKRFGYGGLVLIVIIILSSCMVFKPHLHPLFMIGEVMNLQLSITATQDLLVLNDTTFPRAMAKEEKEVKPICNVLEARSDYCEMKGDIRVVGNSSTIFLVTHHDLNNNNISRRNSWSLKPYPRKDSAAAMAQVKNWTVKLVQETSEIPKCGVFHGYPAVLFSQGGFSGNHFHDFTDLLIPIFAASQHFNGEVHFLATDFRKWWTGKYRMVLGRLSKHQVTDIDKDHVVHCFPSMTVGLKSHTNKELGIDSSKFPNKVSMKHFKHFLRATFSLPRTQAIRLGAGSPKRPRLMIISRNKTRVVMNEAEVIEMAEKVGYKVVKAEASISTNLSRFAGVVNSCDVLMGVHGAGLTNMVFLPDNGVVIQVIPLGEIDGFGRRDFGYPSRDLNVKYLEYKIGVNESSLVDSYPLDHAVFKDPKSIHRKGWMALRSIYLDQQNVRIDVNRFRDTLIQALTLLQH >itb15g00810.t1 pep chromosome:ASM357664v1:15:471128:474556:1 gene:itb15g00810 transcript:itb15g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKKRVWKSSSSSSSSTRLLWITAPLLILVGLVVALGSSNASSSWVFADSYYPWSWGPFLARNQIPGSHSPAPAPANDSSTQPPSTFPSVVTAENGGGGKILAYNSSINSSASPLHFESQEIKQPDIQKEEELNISSSIPSIISSSNETQTPLQVTIKRKFRNLERLEANLGKARATIRAAVHGINQTDDPDYVPTGPVYWNANVFHRSYLEMEKQFKIFVYEEGEPPVFHNGPCKSIYASEGNFIYHMETSPFRTRDPEMAHVFFLPISITAIVHFVYDRSVHSKEHWLPMKQTVSDYINLVAGKYPYWNRSLGADHFMLACHDWGPEISKAVPELFKNSIRALCNANTSEGFKPSKDVSIPEILLPGGTTHGLIGGPSPSRRSILAFFAGGLHGPIRPILLEHWENKDNDIQVHRYLPKGISYYDMLRKTKFCICPSGYEVASPRMVEALYTGCVPVLIKDHYVAPFSDVLNWKAFSVEIPVEDIPNLKKILTGISQRRYIRLQRRGLIARRHFEVNLPPKRYDVTHMILHSAWLRRLNVRLHGIEDT >itb13g26910.t1 pep chromosome:ASM357664v1:13:32010689:32013576:1 gene:itb13g26910 transcript:itb13g26910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger transcription factor, Floral organ identity, Cellular proliferatio [Source: Projected from Oryza sativa (Os01g0129200)] MRPEGNPLDLNNLPDDYSRDGKQVLEDSSSCAGYRKKKSGGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSSDNMLAQGTHHLGCQGIPHGGYHHQSSNIGDPTLQYRSIYPTRLFSGTSTNTLIPTPPPAGQPPPVQPYVYASPPRLQVSFPSSHYPVNDYFVGHVLPTTQSSPSYASAAPPETSYTCIGAPVGHGVLPSGRGSSEGVPGGGVAVRDMSLYSNASPINRFQDGF >itb10g25870.t1 pep chromosome:ASM357664v1:10:29021341:29025609:-1 gene:itb10g25870 transcript:itb10g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGERIGSLTRIAPVDIGDETPAQVQAFGGISVEGDSSLERNPSYKELAPLDSCLPITESRNGNFFTITSHMLSSGIGLQALLLPVAFTSLGWVWGIICLSLLFVWQLYTIWLLVDLHESTTTGTRFSRYTHLAIVAFGGKMGKLAAIFPTMYLSGGACVLLIINGGGSLQLFYKTMCGGDPKCHDKGLTGAEWFLVFLCIAILIALFFPNLNSLAWVSLVGSLMGIAYCTILWTLSLTKGRPPQGVTYDPPQLSTSKLDRFRDIANALALISLAFRGHNIILEIQGTLPSNSKVPLRRRMWRGVIASYLLIALCHFPLAIAGYWAYGNLMPTSYQKGGILNAFEKFHQHDISKSLMGAIYMIIIIACLCTYQIYALPVLDNWERIYLSKKKMSCPKWVRIGLKVLFGGLTYLVSVAFPFLGSLAGFIGSIALPLTLAYPCFMWIAMKKPRPYSLVWCVNMGLGCLGMLMCTVLATATLWNLIVSSYSSPFDDVPPPASQSQPCLLLPQLYASARRASACRDVASPPLSFGSDLVTASRMSE >itb10g25870.t2 pep chromosome:ASM357664v1:10:29021341:29025609:-1 gene:itb10g25870 transcript:itb10g25870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAAIFPTMYLSGGACVLLIINGGGSLQLFYKTMCGGDPKCHDKGLTGAEWFLVFLCIAILIALFFPNLNSLAWVSLVGSLMGIAYCTILWTLSLTKGRPPQGVTYDPPQLSTSKLDRFRDIANALALISLAFRGHNIILEIQGTLPSNSKVPLRRRMWRGVIASYLLIALCHFPLAIAGYWAYGNLMPTSYQKGGILNAFEKFHQHDISKSLMGAIYMIIIIACLCTYQIYALPVLDNWERIYLSKKKMSCPKWVRIGLKVLFGGLTYLVSVAFPFLGSLAGFIGSIALPLTLAYPCFMWIAMKKPRPYSLVWCVNMGLGCLGMLMCTVLATATLWNLIVSSYSSPFDDVPPPASQSQPCLLLPQLYASARRASACRDVASPPLSFGSDLVTASRMSE >itb02g04920.t1 pep chromosome:ASM357664v1:2:2942438:2946424:1 gene:itb02g04920 transcript:itb02g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIFASIVRDQKRRRSFICLLFPTASLFFLLLFTGSSFIQIRKEKLIRWRREDVDSCENKCRPPGSESLPEGIVAKDSNLERRPLWGSPKKLERGANLFAVAAGIKQKEKVDKMVEKRFLHPDIVAAYEYVFIWDEDLGVENFDPENYISIVKNEGLEISQPALDPSKSEVHHQITARGRRSKVHRRTYKLGDKGIVCNRSSMAPPCTGFIEVMAPVFSKAAWRCVWYLIQNDLIHAWGLDMQLGHCAQGDRTVKIGVVDAEYIVHYGFPTLGEPSGKKVKESSGDEATTTTTTSNSIDFRVEVRRQSYNEYKVFKRRWKKAAEMDKCWIDKYPNDTSIVMK >itb03g13770.t1 pep chromosome:ASM357664v1:3:13769767:13770503:1 gene:itb03g13770 transcript:itb03g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWSAENATKAYLKTMKMGKGAKQPDVAEFISALAAGNTARLILVACAAAGADSTTRALVAAAQQTGGRVVSVLSSADELRSCRTFLGEDDAKHVEFILGDVEALLRNQYREADCVVVDCNLKNSEAILETARRVGNPGAMVLGYNALCMASSSSRRCQGLLNDAHLLPIGEGVLVARSGGSAGKSKSRWIVTVDEATGEEHVFRVRSHGN >itb01g18790.t1 pep chromosome:ASM357664v1:1:24744760:24752988:1 gene:itb01g18790 transcript:itb01g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFSTNTSRRSNIRSGYYGNPYAYPAPSPYPYPAPHPHLLPPPPPPVNSYYGSTGYNGYPFLGRALLPPSCPYHCNDWGGVRLPPPPQGVPVPPPPPPPYRQSKKVKSGVNVHKDTAKLELDERNPDQHLVSFVFDALCDGSITIFYFAKEEPSCSFVPIYPEVYAPISIPFHKGLGQRFQQPIGTGIDLGFFALEDLSGPLSGKDVFPLVITAATYLPSLSTNEYSSNTQQNLSFHMQANYAVLEKNREGYFNVKVVRQALWVDGVCYELHEIYGIGNHTPDYDNNGSSKDCVICMTEPKDTAVLPCRHMCMCNGCARTLRLQSNKCPICRQHVEELLEIQINNLDD >itb01g23990.t1 pep chromosome:ASM357664v1:1:29787260:29788046:1 gene:itb01g23990 transcript:itb01g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAKETASNAAATAKSGMEKTKASVQEKAEKMTTRDPVQKEMSRAKKDERKREAELEKQATKDQNAASKQAAAAGGTPAYTTGAEGGVYDPNVAGGRHGSGPTGLL >itb01g16680.t1 pep chromosome:ASM357664v1:1:21158628:21159068:1 gene:itb01g16680 transcript:itb01g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRVIFEGDSHELISIFNEGEGDSHELISIFNEGSASIGAVCNIIRACRQEIGAVCNIIRACRQELAKLHKWEINVIPREVNAPADYLNVIPREVNAPADYLARKAREHPRGFKHLVEPPAEILELIESDCAGFPYWRLMFHAN >itb01g14480.t1 pep chromosome:ASM357664v1:1:16285105:16289853:-1 gene:itb01g14480 transcript:itb01g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MTSCCSIRAFWIISTQDTVLFSRRFPVVEKRWRAACQRENENLSDESVKSAAAAAAPSLYLPTDSEIATAFTERKNREGSARGFGVRVNRSVEGSDSWVDDPIMRHIISLIINKVDGVNHFLWPLIMHIKGHYCVLVLPLVEPHQLRVYSRMCKRADCGNSIGADENLSSLLLDLPSITGAFMVAHMIGDVITGDVTEPEIVISASPSVGGLLDSLTGSIGISGISARAKPVASPAAAPTVSSSGIGGAMAADGPKIGLRPLDKDAVRSFISSAMPFGTPLDLNYTNISSIKINGFSSTDMPPTDSRQPAWKPYLYRGKQRILFTIHETVHAAMYDRDEIPDSIKISGQVNCRAELEGLPDVMFPLTGLDAARLELLSFHPCAQVPEHSNDKQALMFSPPLGNFVLMRYQAFCRIGPPIKGFYQLSMVSENEGAFLFKLCLMEGYKAPISMDFCSVTMPFPRRRVVSFDGTPSLGTVSITEHSVEWKIITTGRGVSGKSVEATFPGTVKFAPWQTQSNGTADDEDDEIDSTNNTINVEDFLMEKMSKDLQAVELEEPFCWQAYDYAKVSFKIMGGSLSGMTIDPKSVTIFPTVKAPVEFSTQVTSGDYILWNTLGKCPVVATPKA >itb03g04150.t1 pep chromosome:ASM357664v1:3:2583029:2584459:1 gene:itb03g04150 transcript:itb03g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGEGGKPLHIVMFPWLAFGHMIPYLELSKLIALKGHKVSFVSTPTNIDRLPKLSASLASQISFVKLPLPHVKNLPENAEATIDVPYDRVKYLKLAHDALQESMAKFLEDSCPDWVFLDFDAYWLPPVASKLGVSTAYFSIYIAAFLGFLGPDPSSSLMVDYDERKRPEDFTVPPKWVPFESSVAFRLFEIFRVFDGVTGDEENVSDTFRLASTIERCDVLAVRSCEEFEPEWLKVVEDIYRKPVIPVGQLPTTAYNVEDDDSKNGAWEVIKGWLDRQARGSVVYIAFGSEAKPSQAELTEIALGLELSGLPFFWVLRTRRGEADTELTQLPEGFEELTKDRGIVCTTWAPQLNILSHDSVGGFLTHSGWSSVVEAIQFEKPLVLLTFLADQGLNARVLEEKKMGYSIPRDDRDGWFTRDSVAHSLKLVIVEEGGKIYRDKIKEMKGLFCDKAKQEAYVNNLLKFLHNHKKLKP >itb03g17600.t1 pep chromosome:ASM357664v1:3:16152077:16155174:-1 gene:itb03g17600 transcript:itb03g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMEKKRVVVVGGGVAGSLLAYTLQNYSDVFLIDSKEYFEITWASLRAMVEPSFAKRSVINHHEYLRNARIVSSPAVDITDSEVLTAQGRLIAYDYLVVATGHMEDGPVTTEERLDFYQTEHEKIKAASSVLIVGGGPTGVELAGEIAVDFPNKKVILVHRGSRLLDFLGEKAGKKALDWLISKNVEVIMGQSVELDSASNGVYRLSGGETVVADCHFLCTGKPFGTSWLKDTILKGSLDVHGKLVVDSNLRVEGFNNIFAIGDIVNTKELKQGYLAQAQALVAAKNLKSLIIGAREQQKLAKYKPMGSAMAIVSLGRREAVMQVKCVTLAGRIPGMIKSNDLFVGKTRKQLGLRSN >itb03g17600.t2 pep chromosome:ASM357664v1:3:16152139:16155152:-1 gene:itb03g17600 transcript:itb03g17600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMEKKRVVVVGGGVAGSLLAYTLQNYSDVFLIDSKEYFEITWASLRAMVEPSFAKRSVINHHEYLRNARIVSSPAVDITDSEVLTAQGRLIAYDYLVVATGHMEDGPVTTEERLDFYQTEHEKIKAASSVLIVGGGPTGVELAGEIAVDFPNKKVILVHRGSRLLDFLGEKAGKKALDWLISKNVEVIMGQSVELDSASNGVYRLSGGETVVADCHFLCTGKPFGTSWLKDTILKGSLDVHGKLVVDSNLRVEGFNNIFAIGDIVNTKVSQPISSLLSLLFCFPLLEPDS >itb05g24440.t1 pep chromosome:ASM357664v1:5:29233964:29238136:-1 gene:itb05g24440 transcript:itb05g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGDVGGSSYDPQYYPPHYQNPNLISAPTDPQYAHATAPYASAPPVSPGYASSDYSSFPSNYPPYPQNSDHVPTQPPPYSNTFPHLEAQQPGAYYTYDQNQNQNQNQSGLSYDYLAAGANYNPPNPNYNSASFSSAPHLSSSYGSSGGSNFGNSVESNVNYSGYGDQGLYGSGVYKYNGGKEDTYGGSGGSRSEPSSEVLFDDYGRPINIPGGKEQKGSGSFPKIVKAVPKVEEHEDPKGGVLKFRVKLLSEGYGQTDMDVLCQIGLDGIRILEPATSRTLKIYALDDVARWEVLDSYIFAFWTKSSVDTEPKRIRLKSNSYTTNNILDAVTAASIQVKEMGETNRPSDLTRSGSEQLADRKKGFTDWMKIMRPQNEEKDHWVPDEAVTKCNNCKSDFNAFVRRHHCRNCGDIFCDKCTQGRIALTADENAQQVRVCDQCMAEVTQRLSNAKEAAQRIGGLQSHEDLARKLKEEMSKNRKTTGKSSEGSGRMREVACPICTVHLQVQVPASGSETIECSVCQHPFLVSAH >itb13g22700.t1 pep chromosome:ASM357664v1:13:28964903:28968012:1 gene:itb13g22700 transcript:itb13g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGWYVKIAIVSAMIGGSMELFMVKTGFYDKVTELEAEKRAWESSPEAKAIKDALNPWRNRDAEPKRNS >itb04g22720.t1 pep chromosome:ASM357664v1:4:27977190:27978857:-1 gene:itb04g22720 transcript:itb04g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQNSITDPIGQNIIKQISNVCFSVFVFSVLILTMIAVTYQPPDPWESARALTRVFTEVGNATFKTDNSVLKTGEDLIDTASAPSPAFTIVPITEDTIEKSEGKLPNATLKSNCADGDSINCSDPRVMFTIERFNFKRFKSLVFLDYQAPVNGSRPNECDVAWRYRNKREKSWRKYRDFRRFRIGYSSNCSYKVTYAGRWHSGANARPPRVQANNTARPGPKAKIAPPVRDEEINDTIPVLASGLAFRNGKYLYYSRGGDRCKGMNQFLWSFLCALGEAQYLNRTFVMDLSICLASTYTQSHKDEEGKDFRFYFDFEHLKEEASIVDQVDFLTDWGKWDKTHKKKIPVRKVAGYKVTPMQLRKDKSTIIWRQFDAPEPENYWYRVCEGPSAKYIHRPWHALWKSKRLMNIVTAISGSMDWDFDAAHVVRGQKAENKELWPHLDADTSPDALVEKIQKMVVPGRNLYIATNEPFYNYFDKLRPHYKLHLLDDYQYLWSNTSEWYNETTQLNGGRPVEFDGYMRVEVDTEVLYRAKTKVETFYNLTKDCKDGINTC >itb04g27840.t1 pep chromosome:ASM357664v1:4:31747624:31749623:-1 gene:itb04g27840 transcript:itb04g27840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPVDRLLDAVEAPAASVIISDVFLTWVLGVGNRRNIPVACFWCGSVTVFSLWFHHQLLFVNGHFDANLSEKGDEVVDYIPGVSSMLVKDLPPLFHCKPPAPAVRSHFLGAFSALQKAQYLLFTSVADLEFAAIEALRPKLQTPIYSIGSAIPYFNSNQSCPDYLTWLDAQPACSVLYISQGSFLSLSTEQLEEIVAGVHESGVCFFWVARENTERLRESGGKQGLIVPWCDQLRVLRHRSVGGFWTHCGWNSIKEGAFAGVPFLAFSIALDQLTNSKMIVEDWKIGWSVRKINNDGGIVKRGEIAQLVRRFMDFECDNESKELRRRAKQVGEMCRESTESGSAKRDLQTFIEDVLRSRNSY >itb12g02010.t1 pep chromosome:ASM357664v1:12:1337112:1342545:-1 gene:itb12g02010 transcript:itb12g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTSVSRRDPYATSELQNGAAMGDNGAKRSEGEDIMSVEKMFESEAVPSWQSQLTFRAFIVSFVLSILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFLKTWTKLLEKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSSVVAKQSTEANNAQNIKEPSLAWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPKGAKLAKKQVRALGKFFSFSFLWGFFQWFFTAGDSCGFVNFPTFGLKAYENRFYFDFSATYVGVGMICPYLINVSVLLGAILSWGIMWPLIKDRKGHWYPADLSESSLHGLQGYRVFIAIAMILGDGLYNFCKVFGHTLYGLYHQFKNKSVLPVAGRSPNEPSLSIDDKRRTELFLKDQIPTWFAVAGYVVIAIISTISLPHIFHQLKWYHIVAIYVVAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPCVFWLFYKAFHDLGQPGSEYPAPYALVYRNMSILGVDGFSALPKNCLTLCYIFFAGAIVVNAIRDAAGKKRARFIPIPMAMAIPFYLGSYFAIDMCLGSLILFVWRKMNKRKADAFAPAVASGLICGDGIWTLPQSILALAGVNPPICMKFLSRKDNTRVDAFLGS >itb06g15110.t1 pep chromosome:ASM357664v1:6:19500731:19509496:-1 gene:itb06g15110 transcript:itb06g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDILDVLISLKRENSSSVNLTWDHIKAVLMIVFVAGSDTSAAVTVWAMTALMKDPRIMYIVQSEIRDRVGKKGKIDEEDIQDLPYFKAVVKETMRLYPPAPLLVERETLSKCTIDGYEIKPKTLVFVNGWAIARDPECWKNPHEFYPERFLDNKVDYQGQDFEFIPFGAGRRMCPGLALGIASAELALANLLYAFDWELPSWLKKEDIDTNVAPGITMHKKIPLCLVAKKTTFGEVFTLGVCDRPNLTTNKFLCWAIKPFPSLFSVHSLLSASGFIRIWGLLSSPTSISRDPNLRIRSEHPNFAQEVYLSVRSFNCQPRFMSELDLQTPAAFDPFAEANAESSGAGSKDYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHVAGYDLLLLCTIVMGHDDERKHICGTTGDDVNDAPALKRADIGIAVADAARSASNIVLTEPGLSMIVNAVLTSRAIF >itb05g05640.t1 pep chromosome:ASM357664v1:5:5420076:5420414:1 gene:itb05g05640 transcript:itb05g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTIPDPGPATITTVCENTKCVVVLISGRPLVLEPYLPKMDALVAAWLPGSEGQGVADVLFGDYEFTGKLPRTWFKTVDQLPMNIGDSHYDPLFPFGFGLTTQQVQLIADS >itb11g09280.t2 pep chromosome:ASM357664v1:11:6178257:6183132:-1 gene:itb11g09280 transcript:itb11g09280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAMKNSLLPPGLVSNLEQVLLSRKAGGAAAAAAGEGHQDRQPESNKDDLAEPSSSNSNSNSGGAAGELDSSKPIILVTNADGIESPGLTCLVNALVLQGLYNVHVLAPQSDKSVAGHSVTLRETVAVTSADIQGATAYEVSGTTVDCVSLALSGALFSWSKPLLVISGINKGSCCGHHMFYSGVVAGAREALFNGVPSISISLNWKSNESQESDFKDAASVCLPLITAAIRDIEKGAFPKFCSLNVEIPTSPLANKGFKLTKQSLWRSTLNWNAIPANRNLASSRFLSNQQSLGLQLAQLGRDASAAGAARRLTTQRKSMEVVESVGVAGKSDPKRTVKYFRLELLDKKQEEEDEDLDFQALENGFVAVTPISLSSHEQDIRSAASEWISTALQEERQKTC >itb11g09280.t1 pep chromosome:ASM357664v1:11:6178257:6183132:-1 gene:itb11g09280 transcript:itb11g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAMKNSLLPPGLVSNLEQVLLSRKAGGAAAAAAGEGHQDRQPESNKDDLAEPSSSNSNSNSGGAAGELDSSKPIILVTNADGIESPGLTCLVNALVLQGLYNVHVLAPQSDKSVAGHSVTLRETVAVTSADIQGTTVDCVSLALSGALFSWSKPLLVISGINKGSCCGHHMFYSGVVAGAREALFNGVPSISISLNWKSNESQESDFKDAASVCLPLITAAIRDIEKGAFPKFCSLNVEIPTSPLANKGFKLTKQSLWRSTLNWNAIPANRNLASSRFLSNQQSLGLQLAQLGRDASAAGAARRLTTQRKSMEVVESVGVAGKSDPKRTVKYFRLELLDKKQEEEDEDLDFQALENGFVAVTPISLSSHEQDIRSAASEWISTALQEERQKTC >itb06g00710.t1 pep chromosome:ASM357664v1:6:1651624:1658689:-1 gene:itb06g00710 transcript:itb06g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRLQNFKTQSIHSLPVIVPVIVRTVNCGQSWDICSSNSRSIFIGIDWSSFLLARSQGRDGIRTQRCDEKPWMRKQPTNTGNEQYRGEETDCDMEISLDGTKQWLPTTNDEKTPYVGQRFKTVEQGIEFYRTYAKIVRFDVRHNTMRKTREGEMRCSYRQYRQRLCERHP >itb02g17890.t1 pep chromosome:ASM357664v1:2:14181282:14185969:-1 gene:itb02g17890 transcript:itb02g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKIFHFSLAIEPLIHYSTIHVIAGGFVRTHDFLQPLEGAGKTVGKEEIDEVEMRGVEKPLPPAPAVEPVLPGGIGTYSISYLHQRAPKPEGSFYTVTDTNDVNSDYSSCTRTGFTVWNESTLKKDNSAGDFRAVLRETGMNKGGGPQLGRPSQTPSNLKNKTATFSSFLASQQFSEQNNQSFLNMIASSKSVREDDGNLEEEEFVIKKEQSLHPRGNLPVKVDEKSSDQKPNTPRSKHSAIEQRRRSKINDRFQMLRGIIPHSDQKRDKASFLLEVIEYIQFLQEKVHKYEGSYQGWDNETSKLPWSKCHSDAQGYIDHSQGKKNVSGPALMLAAKFAGNRPGISLPIPISEQNIESNMNLACPKENGHQSENNEKPVSLPNAFPFCGTSNTVAQHSPKLALGGDASTTFRHQFQCSSDRSHITSQAVASDKSKDEDLTVESGTISISSMYSQGLLNTLTKALENSGVDLSQASISVHVDLGKRSNGRLNNSSASTLKGDDVSTSNQPMPHSITSTSQGKCDQAQKRLKTSRG >itb02g04340.t5 pep chromosome:ASM357664v1:2:2571467:2573942:1 gene:itb02g04340 transcript:itb02g04340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNLNSTVVAGQNNNLGDTTLTKVFVGGLAWETPKEAMREHFEKFGEILEAVIISNKLTGRSKGYGFVTFKDAEAAKKACEDATPMIHGRRANCNLASLGARRPRPPHSSTTPPPPQGPNVGPRGASTAPVNHVQWYYPAGAPPPPPPAAGIAAPPPFHPQNHRQAVPIYGYPPTYVATDMTYNHVSIV >itb02g04340.t3 pep chromosome:ASM357664v1:2:2571467:2572478:1 gene:itb02g04340 transcript:itb02g04340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNLNSTVVAGQNNNLGDTTLTKVFVGGLAWETPKEAMREHFEKFGEILEAVIISNKLTGRSKGYGFVTFKDAEAAKKACEDATPMIHGRRANCNLASLGARRPRPPHSSTTPPPPQGPNVGPRGASTAPVNHVQWYYPAGAPPPPPPAAGIAAPPPFHPQNHRQAVPIYGYAPGSPH >itb02g04340.t2 pep chromosome:ASM357664v1:2:2571467:2573942:1 gene:itb02g04340 transcript:itb02g04340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNLNSTVVAGQNNNLGDTTLTKVFVGGLAWETPKEAMREHFEKFGEILEAVIISNKLTGRSKGYGFVTFKDAEAAKKACEDATPMIHGRRANCNLASLGARRPRPPHSSTTPPPPQGPNVGPRGASTAPVNHVQWYYPAGAPPPPPPAAGIAAPPPFHPQNHRQAVPIYGYPPTYVATDMTYNHKVSYGGGAYMNGHFYHPGQAMMGANTLVPVYPFYQIHHQTQTLGLPAHLYSPTTPGPVPTVPALVSKPTSIAPTAAVCLAVE >itb02g04340.t1 pep chromosome:ASM357664v1:2:2571503:2573942:1 gene:itb02g04340 transcript:itb02g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNLNSTVVAGQNNNLGDTTLTKVFVGGLAWETPKEAMREHFEKFGEILEAVIISNKLTGRSKGYGFVTFKDAEAAKKACEDATPMIHGRRANCNLASLGARRPRPPHSSTTPPPPQGPNVGPRGASTAPVNHVQWYYPAGAPPPPPPAAGIAAPPPFHPQNHRQAVPIYGYPPTYVATDMTYNHKVSYGGGAYMNGHFYHPGQAMMGANTLVPVYPFYQIHHQTQTLGLPAHLYSPTTPGPVPTVPALVSKPTSIAPTAAGTVVGNGEALKRVVKGN >itb02g04340.t4 pep chromosome:ASM357664v1:2:2571467:2573942:1 gene:itb02g04340 transcript:itb02g04340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNLNSTVVAGQNNNLGDTTLTKVFVGGLAWETPKEAMREHFEKFGEILEAVIISNKLTGRSKGYGFVTFKDAEAAKKACEDATPMIHGRRANCNLASLGARRPRPPHSSTTPPPPQGPNVGPRGASTAPVNHVQWYYPAGAPPPPPPAAGIAAPPPFHPQNHRQAVPIYGYPPTYVATDMTYNHVSIV >itb12g07470.t1 pep chromosome:ASM357664v1:12:5594317:5597762:1 gene:itb12g07470 transcript:itb12g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSSFPCLLFSLPHLNFSFLPFFLSSFPPFLCFSITYQHKTPILLDPLIPFNSSPSKHTTFSKMSSPSKRRDMDVMKLMMSDYTVEPINDEINKFNVEFHGPKESLYEGGVWKVRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDKKQYDQKVKEYCERYAKKENISTQKEESDEELSDEYLSGQSDSEDEVAGHADP >itb14g19750.t1 pep chromosome:ASM357664v1:14:22329795:22331368:-1 gene:itb14g19750 transcript:itb14g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQEVESSNSETNNSHGFSGAVAVGGSSAAATSSRYENQKRRDWNTFGQYLKNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPETNPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQMPAAQPPPPPGEAPRV >itb10g21710.t1 pep chromosome:ASM357664v1:10:26801294:26803035:-1 gene:itb10g21710 transcript:itb10g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMKHWKEGEITVFIKIWLSIYLSLFYCFFAAKMAPIGLPRLLLFLPVISLFLLIPLALHSVHFCGITAFFISWLANFKLLMLAFNHGPLPSLSLPHFLVIACLPIKIHQKHTQDSSNNTHSVSGQHRQLSWFVNETEDRVQTNTTPILSPNELFKEKPPVEVSENRQKSAVSYALKAVLMGLIIRIYDYSDSINPTVILVIYCLHIYLLIDIILAIVAALARAVLGLELEPTFNEPYLSTSLQDFWGSRWNLMVNRILRPAVYSPFLDVADKYLGRKWATYPAVMATFMVSGLMHELIYFYLGRVRPTWEVTWFFLLHGACVAVEIAMKKALRGRCRLPGILGTILTLEFVTLTVRWLFLPQLLRCEALVKALAEYAALGAFVKDVGIALTLGARSS >itb04g19630.t1 pep chromosome:ASM357664v1:4:24034332:24039141:-1 gene:itb04g19630 transcript:itb04g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLITRKLWNGLSAAASLRAPPLCGQIQARYSSRRCYGLVPMVIEHSSRGERAYDIFSRLLKERIICINGPINDDTSHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDALNELYSKHTGQSVDIIQKNMDRDYFMTPEEAKEFGIIDEVIDQRPLSLVTDAIAQEDKDKSSN >itb09g27240.t1 pep chromosome:ASM357664v1:9:27636953:27637709:-1 gene:itb09g27240 transcript:itb09g27240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIGHILRAKQGLRRSSSRTNRESEVPKGHFAVYVGEGEKRRFVIPVSYLKNPSFQGLLSQAEEEFGFDHPTGGLTIPCMEDTFLDVISSLRS >itb04g00780.t1 pep chromosome:ASM357664v1:4:428419:431520:1 gene:itb04g00780 transcript:itb04g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSIPASNRVAALNRREDAESGLSPMESMHASSTAAVSRGDVEASISREDTEIGLSPMEAMHASSTAAVSRGDVAASISREDTEIGLSPMEVMDDEESHMVDIETMSTIPSSSTTGEDIAVSVHTEEAASISLPNGAMDAEDIDSIDAGIVSDTLAQGRTQSNIPVHITTVDSEDELPTAAIDAEEVCMADELEHPFILTGDKEIPFTYMASLSAKWAAAMDKTSSVKGKIKCFLTGVKSFKYKERSTYELRVYVDDGSLISEILIDHNVVQKGTGYSPMEVTNALASSDKKQENDMKETMKQFQRFLMNFEGTMIVEMNEESPFPVATEMNQGCPASDAWLLLRRLQSSTSVQLQPHRGSDTIHLSP >itb13g02310.t1 pep chromosome:ASM357664v1:13:2148289:2150847:1 gene:itb13g02310 transcript:itb13g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLIENISSLREFLEISNFHGAAVKDLATKIRDFAFKAKEDMEKQSWEVRYSRFTDKHKKLSKKLLKALQQVAENTGELLDRIRNTSNKADEANETRPPIPWLNHNSSQSVNIKGGDNGSSSRCSPRFEDRMVGRQNDVTTIKDQLFSGFGGLKVIPIIGMLGIGKTTLARTIFEDQLVQLHFDVKIWFTMPRKYNKIQILRDLLRSIALAEQHEVKEGRTLVEMMEECLIDRRYLIVLDDIWSTQHWDDIKYVFHRYVEGSRILLTTRFNDVADYACTLKGNHHVMSLLDPNESWELFCNIFPLERYRAPRFESFRSHLSNVVEKCEGLPQVIVTAAKRISECKNNIQHELKKMEKEIELLGILDYSALALIYNQLPEYLKVCFLYLGIFPKRSEIQVKTLLRLWVAEGFVKPSRNKGLEKIAYCYLKDLIDRSLVLISSRTFDGKIKTCKVHSVMHNICFREAQKEGILCAVNTQQLPRWSLNAFANSCRWFSLCKHSFDYYVLFSLNNPRSVFFFQENSEILVPFKLLRVLAFVPSPFLQRVPMHLENLVFLRYLSVTQWFEGLNDVVSTNLNLQTLVVCGSDSESQLGVPTLHLPSTIWESPQLRHIELGALHTVDPPSVVKKNLQTLSWVGPTHYRKGVYSNFPNIKKLKIFCKENLESSHIGGSSSKHIILDNLDYLARLKSLTISVSVGCIITFPERCAFPPQLKKLSLSGTNLSGWDLTVIGSLKRLEVLKMENAFQEEVWRAVEGGFYGLKYLVLKDKKLKRFEAYTDAFPCLEHLVLRCYYLEEIPSSFGEIFCLKSIELDRCSRPSIVTSAKDIQEKLNKNFGKENFEVRSSGLIILCY >itb01g24360.t1 pep chromosome:ASM357664v1:1:30026017:30028259:-1 gene:itb01g24360 transcript:itb01g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATAELILIPSPAMGHVAGMLEFAKLLIKRSEQLYITVVIIKLPDYIDSVSGTFVDAVIASAGETRLRFVHLPPTDPSPEWSSRTRGHFVYRLVQSQRNHLRDFLSEYPGIVGVVVDMLVTPFMDVAGDFGIPAYVFFTSGVAFLGLMIHFQIVQDEEGEDVTEFANSLTELSFPSYDNSVPASVLPTVLVDKETWLGRFVVFARDFRKAKGIIVNSFAGLESHAITAYNSTTTVLPPLHTVGPIVNQTRTLSGASNWDWLMSWLDDQPPESVVFVCFGSQGSLPENQVREIARGLERSRYRFLWSLRRPPQRDSENNKAQFPGEYSDYNDILPDGFLHRTAGTGKVAGWVPQLEILSHRAVGGFVSHCGWNSILESIWCGVPIATWPVHSEQQVNAFQMVRELGMAVEISLDYCERSVNGRRAGEMVTAARIERGIKGVMEKESEVRKKVKKMQEESRRACEDGSSISTLIAQFTNTLHNG >itb05g20480.t1 pep chromosome:ASM357664v1:5:26551104:26555494:-1 gene:itb05g20480 transcript:itb05g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRSKYLLIPFLISLLVISVVVIYKLLIHFSWHSNTTLCNPSSCGSIHNISFPFRLNTDPQHCGNPDYELSCEQNRTVLTLHYSQKYYVQSINYGNYTIRMVDPDVQETNNMCPFPRYAVSRYSFFRYHDGYPYSIARAKRTTYYNREELPSIPLLTVPIIFLRCPFPMKSFTFVEMTKECWNDKNSSGRNAPPLYPSAGGYAYAKVGRLNASDLRISCGVELITMTSSWRVQENNVSSQINVSRLLEIHDALRYGFELSWFQAVCRQRCWSRECELDDTTNEITCWRNYGLLQQRIIPWALQIVGKLAWLITVLKIIIGVPCAIVFLIIKFRRRHLSEFDAIENFLSSENNLLPIRYSYKHIKNMTKAFKEKLGEGGFGSVYKGKLQSGGDVAVKIIGKPKSNGQDFINEVASMGRIHHVNIVRLVGYCAHNSKRALVYDFMSNGSLDKYINQGANASLLNWQRKFEIAMGVARGIDYLHRGCDIQILHFDIKPHNILLDENFIPKISDFGLAKLFPTDKSIVTLTAARGTIGYVAPELINRSIGAISHKADVYSFGMLLLEMLSLKKTPVTGQDESSQYFPSWIYKDINKRKAIEMGELDEDEKRITKKMTIVGLWCIQTSPIPRPSMSRVVEMLEGDVELLQMPIDTFLSEPVMVVDQELTSMPHSSESIAFLPNSANSDSISIILG >itb11g07280.t1 pep chromosome:ASM357664v1:11:4454976:4459785:1 gene:itb11g07280 transcript:itb11g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTNRMKLLPTSQWPPTRSETKAASHCKNWRLHFILVLNMMEESQSTNPPAIMDPPASTDPPATTDPPASTDPPAITNPPAITDPPTSMDPPASMDPPASDAEVKPATLEEVKEEGPMFPCNLFDAEMVHRIAGEFLTGLSSACVDNTTGGIFKSPASVAVDIRREMVDYLVQRSETFVAESVVLEGGATAEAPTDAFDIISDIIDDFAHSKRNFFSRVSGWVLSDRREDRIDDFVQEMETNGFWLIGRRESVAQTLLRNVDFKNTYHCSMKFRSEEELQQHLPSCNFRTMNCDNMGCNGIFIAGRADEHDSTCPFKIIPCEQKCPESIMRRDMDRHCITSCPMKLVNCPFYPVGCQSTVPQCNIAEHRAENLQAHLVCILKLVHKEASSEALNERAVQLMEALPRGKLAASRDARVLTFAIKDVEAKLGPIEVEEPTAEEENSTANNEESTAESTENAKDGESTLKTEGSPESPAKHNDVTSSESKAVPNESLAKDENAAPNESLPKAENAPNESLPKDENAPNESLAKAENAPEAPSENEDTTKKQSDTEGSEPIIDREEKSTDLAAQGDAGVVESPVSPKEQNASTALPREVESVESPAKAEDSPEATTENEGPTVLQSEKENISESPQEEENRKEQASEN >itb11g07280.t2 pep chromosome:ASM357664v1:11:4455237:4459785:1 gene:itb11g07280 transcript:itb11g07280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEESQSTNPPAIMDPPASTDPPATTDPPASTDPPAITNPPAITDPPTSMDPPASMDPPASDAEVKPATLEEVKEEGPMFPCNLFDAEMVHRIAGEFLTGLSSACVDNTTGGIFKSPASVAVDIRREMVDYLVQRSETFVAESVVLEGGATAEAPTDAFDIISDIIDDFAHSKRNFFSRVSGWVLSDRREDRIDDFVQEMETNGFWLIGRRESVAQTLLRNVDFKNTYHCSMKFRSEEELQQHLPSCNFRTMNCDNMGCNGIFIAGRADEHDSTCPFKIIPCEQKCPESIMRRDMDRHCITSCPMKLVNCPFYPVGCQSTVPQCNIAEHRAENLQAHLVCILKLVHKEASSEALNERAVQLMEALPRGKLAASRDARVLTFAIKDVEAKLGPIEVEEPTAEEENSTANNEESTAESTENAKDGESTLKTEGSPESPAKHNDVTSSESKAVPNESLAKDENAAPNESLPKAENAPNESLPKDENAPNESLAKAENAPEAPSENEDTTKKQSDTEGSEPIIDREEKSTDLAAQGDAGVVESPVSPKEQNASTALPREVESVESPAKAEDSPEATTENEGPTVLQSEKENISESPQEEENRKEQASEN >itb10g23280.t1 pep chromosome:ASM357664v1:10:27708547:27710670:-1 gene:itb10g23280 transcript:itb10g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGLCRFLNIWAFLLLVLPSLVRSLSFNLSRITPSDGNVRIKVEGDASITTQGIQLTPYERNSVLNYKAGRATYVQSLHLWDKATGDLADFTTRFTFNIDSDGNTSFADGLAFFLANFSTPFNQTATLGGGLGLMDQTLVTSPEPFVAVMFDTFSNVKYIPMTNVSINLNSWNRSANSTEWLNDITKGEDNNAVITYRAKSKTLRVGFTGFSDGYYQTDSLSYEVDLRDYLPEFVSIGFSGATGWLFEKNTVSSWQFDSTPLRSDSVSAPPAPSPSKPAPPTNHTIIIPEEKSSKKGLVIGLSIGVLVFVVLLGLAIYTCFKKKWASKGDNLIILGQPIDDNQNTIGRAMDGEFEKAGSGAKKFSYTELATATNSFSEEQKLGEGGFGGVYLGTLRGMKVAVKRVSRESKQGREEYASEVKIISRLRHRNLVPLYGWCHEKEELLLVYEYMPEGSLDYHLFKKKSPLNWKLRYRIAQGLASALSYLHEDWEQCILHRDIKSSNVLLDSIFNARLGDFGLAWLVDHENAPQKTYLGGTPGYIAPECHLTLKTSKQSDVYSFGVVALEIACGRRAIIRNGPEDVIRLVDWVWDLYGMGKLLEGADPKLCGDFVEQEMERLMVIGLWCAHPDSDTRPKISEVVHSLKSQVVQLPILPPKMPKPVYSTCTSTVSFTSEYHTNSSSNTHFTSSSVSTGSSTSSSVLHTF >itb13g15670.t1 pep chromosome:ASM357664v1:13:22562676:22568654:1 gene:itb13g15670 transcript:itb13g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEELQEALREKGVTVSTHPQKGRCIFTTRDFSPGEVIISQEPYVSVPTKTQTGSSAKCEWCFTSTNVKKCSACQVVYYCGATCQKSDWKLHRFECQSLLKLERDRIKSLAPTIRLMVKLYLRRKLQSENNIPTTAMDNYALVELLVSHISDIDEKQLVLYAQMANLVNLILQWTDINIKEIAENFSKFACNAHTICDSELKPLGTGLYPVISIINHCCLPNSVLVFEGRMAVVRAVHHIKKGTEVLISYIETAGSTITRQKALKEQYFFSCTCPRCIKLGQIDDIQESAILEGYSCKDSRCKGFLLRDSEDKGFICQQCGLLREKEEVMNLAGEIKSMSERASLSSSSGHKKDAIVMYKSIEELELRLYHAFSINLMRTRETLLKMFMELQDWKEALVYCRLTIPVYERVYQGFHPLLGLQYYTCGKLEWLLGESEEAFKSLSKAMDILRITHGTTTPFMKELSFELEEARAELSYKLSRKEDDEGFQG >itb13g15670.t5 pep chromosome:ASM357664v1:13:22562834:22568654:1 gene:itb13g15670 transcript:itb13g15670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MNLPLVLLGEVIISQEPYVSVPTKTQTGSSAKCEWCFTSTNVKKCSACQVVYYCGATCQKSDWKLHRFECQSLLKLERDRIKSLAPTIRLMVKLYLRRKLQSENNIPTTAMDNYALVELLVSHISDIDEKQLVLYAQMANLVNLILQWTDINIKEIAENFSKFACNAHTICDSELKPLGTGLYPVISIINHCCLPNSVLVFEGRMAVVRAVHHIKKGTEVLISYIETAGSTITRQKALKEQYFFSCTCPRCIKLGQIDDIQESAILEGYSCKDSRCKGFLLRDSEDKGFICQQCGLLREKEEVMNLAGEIKSMSERASLSSSSGHKKDAIVMYKSIEELELRLYHAFSINLMRTRETLLKMFMELQDWKEALVYCRLTIPVYERVYQGFHPLLGLQYYTCGKLEWLLGESEEAFKSLSKAMDILRITHGTTTPFMKELSFELEEARAELSYKLSRKEDDEGFQG >itb13g15670.t3 pep chromosome:ASM357664v1:13:22562676:22568654:1 gene:itb13g15670 transcript:itb13g15670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MVKLYLRRKLQSENNIPTTAMDNYALVELLVSHISDIDEKQLVLYAQMANLVNLILQWTDINIKEIAENFSKFACNAHTICDSELKPLGTGLYPVISIINHCCLPNSVLVFEGRMAVVRAVHHIKKGTEVLISYIETAGSTITRQKALKEQYFFSCTCPRCIKLGQIDDIQESAILEGYSCKDSRCKGFLLRDSEDKGFICQQCGLLREKEEVMNLAGEIKSMSERASLSSSSGHKKDAIVMYKSIEELELRLYHAFSINLMRTRETLLKMFMELQDWKEALVYCRLTIPVYERVYQGFHPLLGLQYYTCGKLEWLLGESEEAFKSLSKAMDILRITHGTTTPFMKELSFELEEARAELSYKLSRKEDDEGFQG >itb13g15670.t4 pep chromosome:ASM357664v1:13:22562676:22568654:1 gene:itb13g15670 transcript:itb13g15670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MVKLYLRRKLQSENNIPTTAMDNYALVELLVSRILVYIYIYHLSIELIKLYCFDLNVFTFEDISDIDEKQLVLYAQMANLVNLILQWTDINIKEIAENFSKFACNAHTICDSELKPLGTGLYPVISIINHCCLPNSVLVFEGRMAVVRAVHHIKKGTEVLISYIETAGSTITRQKALKEQYFFSCTCPRCIKLGQIDDIQESAILEGYSCKDSRCKGFLLRDSEDKGFICQQCGLLREKEEVMNLAGEIKSMSERASLSSSSGHKKDAIVMYKSIEELELRLYHAFSINLMRTRETLLKMFMELQDWKEALVYCRLTIPVYERVYQGFHPLLGLQYYTCGKLEWLLGESEEAFKSLSKAMDILRITHGTTTPFMKELSFELEEARAELSYKLSRKEDDEGFQG >itb13g15670.t2 pep chromosome:ASM357664v1:13:22562676:22568654:1 gene:itb13g15670 transcript:itb13g15670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MEELQEALREKGVTVSTHPQKGRCIFTTRDFSPGEVIISQEPYVSVPTKTQTGSSAKCEWCFTSTNVKKCSACQVVYYCGATCQKSDWKLHRFECQSLLKLERDRIKSLAPTIRLMVKLYLRRKLQSENNIPTTAMDNYALVELLVSRILVYIYIYHLSIELIKLYCFDLNVFTFEDISDIDEKQLVLYAQMANLVNLILQWTDINIKEIAENFSKFACNAHTICDSELKPLGTGLYPVISIINHCCLPNSVLVFEGRMAVVRAVHHIKKGTEVLISYIETAGSTITRQKALKEQYFFSCTCPRCIKLGQIDDIQESAILEGYSCKDSRCKGFLLRDSEDKGFICQQCGLLREKEEVMNLAGEIKSMSERASLSSSSGHKKDAIVMYKSIEELELRLYHAFSINLMRTRETLLKMFMELQDWKEALVYCRLTIPVYERVYQGFHPLLGLQYYTCGKLEWLLGESEEAFKSLSKAMDILRITHGTTTPFMKELSFELEEARAELSYKLSRKEDDEGFQG >itb15g06660.t1 pep chromosome:ASM357664v1:15:4443693:4448057:-1 gene:itb15g06660 transcript:itb15g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFASPSSSSSSSASSSVVVRVEKATSEFLIGPDWTLNIEICDTINSNHWLGKDVVKTLKKRLQHKNPKVQLLSLTLLETMVKNCGDNVHFQIAERNILQDMVKIVKKKTDMNVRDKILVLLDSWQEAFGGPGGKYSQYYWAYEELRRSGVQFPQRSRDTAPIFTPPATHAGPRLPQPGYGMPSNSSTRLDEAMAAEVENLSLSTVSSMRDVLDLLADMLQAVNPGDSAAVKDEVIVDLVDRCRANQKKLMQMLATTGDENLLAQGLELNDSLQSVLAQHDAIASGTVLPNPASPVNRPSIETHKPDQKIAEGSSTPPDTKPAAPVAAIPNCLDEEEDEEDDFAQLARRHSKTPQTNEGVSTTGTITSQGVSSDPAMSSALVLRDPPAPAKTTNEQDIIELLSITLSTVSPQAPQTPAQNVNQRSVSTTNQENSHTSSEPYPGYQSQTFNSYVAPWARSQPQPQPQPQPQLQQPRPNGHLQYQAQPQPQPQHNGQFQYQIRPQPQPQFPQYSAYPPPPWAATPGYFSNPNPSSRAPYMPSTLPATTSMPTPVKQVNSFPAGGSNTTAINGGARIGVNSATNPPAAAAQKTFIPSYRLFEDLNVFGNEDQRFKATSNSSAGMSGENNQSWISGHK >itb05g26020.t1 pep chromosome:ASM357664v1:5:30116188:30120211:-1 gene:itb05g26020 transcript:itb05g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTEMSWKSQACFFLLYLLLQFPTDSLGISKGNAQIQQNNLKRSQFPDEFLFGASTSAYQIEGAYLEDGKGINNWDVFCTINGKIQNGDNGNVADDHYHRYLEDIDLMHDLGLDAYRFSISWTRILPKGRFGGVNQAGIEFYNKVIDNLLSKGIKPFVTIHHHDHPQELEDRYGGWLSSEMQEDFVYFAEICFESFGDRVKYWITINEPNLFADMAYERGWYPPAHCSPPFGNCSVGNSDVEPLIAMHNMLLAHGKAAKAYREQFQAKQGGLIGITAHMFMYEPLSNDVHDQEAANRALAFTAAWTYDPLVFGDYPPEMRLYHGSELPSFTSEESALIKDSVDFIGINHYSTLYVKDCLYSRCNCTGSSCSKAWDRAIQGFYYTTGERDGVLIGEPTGNPRVFVVPRGIEEIVDYIKERYHNKPMFILENGYSSPNKTASALFIENDAKRIEYHKAYLPFLARAISNGADVRGYFIWSFMDAFEWTDGYETKFGLYYVQPLTLHRFPKLSATWYRDFLSNNVQTTNSTALHSVE >itb05g26020.t2 pep chromosome:ASM357664v1:5:30116188:30120211:-1 gene:itb05g26020 transcript:itb05g26020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTEMSWKSQACFFLLYLLLQFPTDSLGISKGNAQIQQNNLKRSQFPDEFLFGASTSAYQIEGAYLEDGKGINNWDVFCTINGKIQNGDNGNVADDHYHRYLEDIDLMHDLGLDAYRFSISWTRILPKGRFGGVNQAGIEFYNKVIDNLLSKGIKPFVTIHHHDHPQELEDRYGGWLSSEMQEDFVYFAEICFESFGDRVKYWITINEPNLFADMAYERGWYPPAHCSPPFGNCSVGNSDVEPLIAMHNMLLAHGKAAKAYREQFQAKQGGLIGITAHMFMYEPLSNDVHDQEAANRALAFTAAWTYDPLVFGDYPPEMRLYHGSELPSFTSEESALIKDSVDFIGINHYSTLYVKDCLYSRCNCTGSSCSKAWDRAIQGFYYTTGERDGVLIGEPTGNPRVFVVPRGIEEIVDYIKERYHNKPMFILENGYSSPNKTASALFIENDAKRIEYHKAYLPFLARAIR >itb10g20770.t1 pep chromosome:ASM357664v1:10:26207566:26212283:1 gene:itb10g20770 transcript:itb10g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHALYLALLCFLSFLPSFSPSTDTIAFNQSLKDGDVIISSGNTFSLGFFTPGNSRRRYVGIWYNAVSQQTAVWVANRNNPINGTSGVLFLDDTGNLVLRDERRNVSVWTSNVSFPAKTVVKKHSAQLLDTGNLVLLLQDDKGNRVIAWQSFHHPTNTILPYMKFGIDKKAGLDWALRSWKAPDDPGEGQYLYRLETKGIPQEFMYRGSERIWRAGPWNGFGWSGVPEMKTQFFFNLTYFENDDKVSQMYAIDGPSVFSRIVLNESGKMEGLTWDMGESKWEGFWFAPKDQCDDYEHCGAFSKCNPYEAAEDFECTCLPGFKPREERDWDSRDGLRGCGRKNGENACRNGEGFAKLTRMKIPDTEMAVVNMSMELKECEEVCLRNCSCTGFATANVSAGGIGCITWYDDLIDMKEFTDGGGQDMYIRISASELAKLSNKSEENSHERRLVAIAVVSLIAVVLALYLAYYVVMKKKGDKKGLQRNSMLSLNSSLASYQDSSMAEEMNESGPTDGQLSNGQEIAVKRLSRNSGQGAEEFKNEVTLIAKLQHRNLVRLLGCCIQQGEKMLVYEYLPNKGLDTFIFDSTRGSMLDWKKRFEIILEIARGLLYLHQDSRLRIIHRDLKASNVLLDACMHPKISDFGMARIFGGDQIEASTKRVVGTYGYMSPEYAMEGYFSMKSDVYSFGVLLLEIITGRKNSQYHESSMNLVGYVWDFWREEKALEVVDPVVGNSYEAGEVLRCIHIGLLCVQAHQNDRPAMSDVVFMLCNETTLSSPNPPGFIGRQSGNYAAHSSTAPNTSTAADIISCMSVNDMSITIVEGR >itb01g28210.t1 pep chromosome:ASM357664v1:1:32731322:32734777:1 gene:itb01g28210 transcript:itb01g28210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVPSSMSGLDDWDRPFTDLELLEIEAAFQSATNKRNDSDKSSAPAAEDEVNGDGNKFRRNHRRRLPESLFSTSFSLAPCPRSRFKHQLNRFPALAFRGRVVYSRTAVEAEKSAAELLNFIETRKRAEGVVALGFDIEWKPTFKRGVAPGKAAVMQICAEMNRCYVFHIFHSGIPQNLQTLLGNSVTVKVGVNIANDAHKVFLDHNVPVRNLEDLSALANLKIGGVPKNWSLASLTETLFCKQLPKPNHIRLGNWETPVLSKEHLNYAATDAFVSWHLYQALKSLPEPEAVDNKSNEPEHVSSE >itb04g03710.t1 pep chromosome:ASM357664v1:4:2311296:2315435:-1 gene:itb04g03710 transcript:itb04g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRSSLSLSSKLVHTSNSNASILLLRAPLTHHGLLSRSTSSAAGSESTAPADSVKLGLSSSSWARDGHSSSAVDLSSFAAASVLKSREVVDLAKHYGRCYWELSKARLSMLVVATSGTGYVLGSGSVIDYTGLWATCAGTMMVAASANSLNQVFEIKNDAKMKRTMHRPLPSGRISAPHAVAWASTAAIAGTSLLACKANMLAAGLAASNLVLYAFVYTPLKQIHPINTWVGAIVGAIPPLLGWAASSGQVSLNSMILPAALYFWQIPHFMALAYLCRKDYADGGFRMFSLADASGRRTASVSLRNCLYLLPLGYLAYDWGLTSGWFCLESTLLALAISCTATSFYINRTTKGARRMFHASLLYLPVFMSGLLFHRIDNEQQATVENPHKITELSYSSENTVFTQQKRGNAAQPRPPVAYASVAPFPFLPAPSYAMSES >itb05g01870.t1 pep chromosome:ASM357664v1:5:1461048:1463380:-1 gene:itb05g01870 transcript:itb05g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSSSMAMGENGEDEVIHVLAVDDDPVNLIILEKLLKASSCKVTAAENGMRALEYLGLLGDDDQHNTPNTNVPRIDLIITDYSMPGINGYQLLKKVKESAMLKDVPVVVMSSENVPSIINQCLEEGALKFMPKPLNRSDVKHLISQLP >itb12g03970.t1 pep chromosome:ASM357664v1:12:2606260:2608760:1 gene:itb12g03970 transcript:itb12g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIQVEQQTVRSHGVIVARSHITDWLILVLLVVILVVLNVIHPFYRFVGRDMVGDFKYPLKNNTVPIWAVPIYAVLLPIFIFLLFYCHRKDVYDLHHAVLGVLFSVLVTGVITDAVKDAVGRPRPDFFWRCFPDGNDVYDRWGNVVCHGDENVVREGHKSFPSAHTSWSFAGLGFLSLYLAGKICAFDRRGHVAKLCVVWLPLLFASLVGISRVDDYWHHWQDVFAGGLLGFVVATFCYLQFFPPPYHVQGWATYARFGVREDSRGTNTVANVALSSDNNGVENQQQNGAFIGMCLSTNNSGTRVDDDVESGRR >itb02g15670.t1 pep chromosome:ASM357664v1:2:11418046:11418726:1 gene:itb02g15670 transcript:itb02g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSTSTPSDTPTLEPTSASTNKGRGPTTCRSLKKKKATEQLSVHFDQYGRVIGPYATKFVSYIGSLVRSQVDINIKKWRDVDAGLKNIIWEDVKISGLGLLQDGRLKSLRKNLKKRGKCKR >itb04g07520.t1 pep chromosome:ASM357664v1:4:5130872:5132400:1 gene:itb04g07520 transcript:itb04g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSETVTRDPPKPNPTWVDATLLLRPLILAFLFSTNQKIGFEIQGRRSSQARVDFSRHRRDLYRDANQEWIWFTKILIFFWVI >itb03g00150.t1 pep chromosome:ASM357664v1:3:77341:79939:-1 gene:itb03g00150 transcript:itb03g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSAHRDPESSMKQRLVIGSKNGKLVIPSPLKSKPTVVNGADIKVTGLPLHSQHSSPHPMIIGSKEEEMFFDSQPWLESDCEDDFYSIKGDFSPSCGNTPVLRQSFSEGTPVIFRDRATISVNVIESSSPTDEKKKKKKKKKLSELLREISLQGNLQLQEEDINVQKDGETTGIDPTTVKVAVETPPSHSSVRSSSSSSSETTPDREFKPVSKSAKSGHCCLPRLLSSRSSCFNEKRKKMASPVHSVS >itb11g17330.t1 pep chromosome:ASM357664v1:11:17101883:17102662:-1 gene:itb11g17330 transcript:itb11g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQENCVRVTRLAKKRAADGLIVEQQPGKKRVALGEIKDFPNQIENLGSKLHSPKTKQAKRKVKKVVKVDKGDNAVKDSIDSKSDDPQMCSAYVSDIYEYLRQMEAQEKRRPLRDYLEKDISANMRGILVEVAEEYKLHSNTLYLTIDYLDRFLSKNPISRQKLQLLVVSSMLIASKYEEISPRHVEDFCYITDNTYTKQEVVKMEEDVLNALKFELGNPTIKTLLRRFNQIAQEDYKSQNLQLDGVLGLLPSRVEFV >itb11g05870.t1 pep chromosome:ASM357664v1:11:3492595:3494838:1 gene:itb11g05870 transcript:itb11g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNQRCGQRVVKALLGVMAVCLAGYILGPPLYWHLMEAIAAVTRSSSSYYSCPPCTCDCNSQPLLAIPQGLSNASFTDCGKHDPEVGEDTEKNFAELLSEELKSREAEASENQHKADIALLEAKKLTSQYMKEADKCNSGMETCEEAREKADAALLAQKKLTALWESRARQKGWKDKAVKSQ >itb13g19820.t1 pep chromosome:ASM357664v1:13:26735694:26740142:-1 gene:itb13g19820 transcript:itb13g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVKQRGTTFALAKAYADLKINGKLVKRMTFEQVASKIKSVSIIQTTKSLLHRLENRIIISQKVLGASRVFSLGNIDHLLLKCVAFPSKGVNVDKTTQKCRWGKEKIETVKLPRYPVRVVLCAYMIFGHPDMVVMGNGQDENALAESAGIFVKEFDLLIKVILEWSIQSQFGETVHPSSDCLTFRSQLEAFDKAWCSFLYSFVMWKVKDAKILEKYLVRAAFELEHSMSQACKQSGDHMPEMQALQKQVAENQKVLREKLHQLAGEGGIKRSGHALHETRSRFADKTESSSLLSSSYHHTPSSGGLLDSSASSDAKKCDVVEDFLSAQHDGASLLKLHSSSSNQNGSLTPKRTQNFSKTATMPTENEILVNEIFHERPGFDILNHNQIHIKTKVRHTMDKAFWDGVIDSMKQNEPDYSWVLKLIKEVQDELCKMSPSCRQDIVEKIDIDILSQMLNAGILDIDYLGRILEYSLLTLQNFCAPDDKDELKCAHQKLLQKLADIPQAADKSNTEFALVVVEALRFVLQQIQRLKQSKNKTRIRGLEPYIKGPAGVEYLRNAFFNRNGPPSKAYASLPMVKELLSALISEFENDWNDHLNSLSALGLSNERYSQDEAPSTLRAGCGIFREPKKNVITSTTAGVEHPECKGDKVDLLVRLGLLKLVTEIVGLTVEKLPETLKLNFYRLRAAQSEVHKIIVICISILVLRQTLLTEHLVSSAQDMETLIGKCVTRLYELVDNTEDAGISEIVESMSSFLESDETEKSQARKEVVKNMLTKSLRSEDTVFKRISHAIRLATRGVLLGGTGPKGKKLAENALKRVGASVLTEKLVETGEKLLVVAIASASIHRAWYAEVLRNF >itb01g07040.t1 pep chromosome:ASM357664v1:1:5237665:5241694:-1 gene:itb01g07040 transcript:itb01g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKGLQVQGAPHLPNSTLPHSNGEEDLEHHNDKDSDGGDVSSPASQDNHNFHELIDREEEEVDKEDGSLDQSLIHEHKSDEMTNGGGEMEKGTMEEESGIHVEEVDKQEDGSLDQSSIHEHKSDGMTNGGEEMEKGTIEEESALHLEREFEVEDVPQSKDISIECTEAPKESNEGSLFSSLSSSSDSEDGSTAARKNKIVVDDTPAVDLVKEVDSLSAGKVNDVGTVFTSDLDKAAMSENEVQVEKCVFDGSGLKENEDKKMGVVEENASVSEGVAKMDLGKMEDEAVQTSHDNDAEALDAAHFATRVNGEKVTQLHDVPKVCASIGDEGVGEKADEAIQISRDNDVKASEPKDYATMEKSDTLTLSYNAPIIDESIGDDPVKDSELSECSYNQHQAGSALRSVQTTSWKGCCGLFELFTGSNR >itb01g07040.t2 pep chromosome:ASM357664v1:1:5237665:5241214:-1 gene:itb01g07040 transcript:itb01g07040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEDLEHHNDKDSDGGDVSSPASQDNHNFHELIDREEEEVDKEDGSLDQSLIHEHKSDEMTNGGGEMEKGTMEEESGIHVEEVDKQEDGSLDQSSIHEHKSDGMTNGGEEMEKGTIEEESALHLEREFEVEDVPQSKDISIECTEAPKESNEGSLFSSLSSSSDSEDGSTAARKNKIVVDDTPAVDLVKEVDSLSAGKVNDVGTVFTSDLDKAAMSENEVQVEKCVFDGSGLKENEDKKMGVVEENASVSEGVAKMDLGKMEDEAVQTSHDNDAEALDAAHFATRVNGEKVTQLHDVPKVCASIGDEGVGEKADEAIQISRDNDVKASEPKDYATMEKSDTLTLSYNAPIIDESIGDDPVKDSELSECSYNQHQAGSALRSVQTTSWKGCCGLFELFTGSNR >itb12g16360.t2 pep chromosome:ASM357664v1:12:17188779:17212475:-1 gene:itb12g16360 transcript:itb12g16360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDPFSSSPSVRSWRTAFLTLRDETLISPPPTNVVQLLQNLIFSQSSTLIEVSTDLPPHEVTSDLMLLMELAQSISSSDAYNVVETCMQLSHLVYSVINCISIKLNHGSWPLVIDFLSSVVETFFQKAKTNRAFISNVAIVTSTKQCLEIAKRLLDVNEQATTLSETKQLLDFLLIIVVWFQPQLKNSIYSSDKILSTNACLWEVQMIAFTMIGDLYSRAGSSLPVDVWQSTIEVLRSILDLMASKGPLVEDSVMAMFYNSLLNCLHFVLVDPRGSLSGHVAGLVAILKMFLHYGLNNKSNVAIPVVDHNKFKSVHKKQNTESAKPYRPPHLRNKNFKNRQQIDEESPSSSERDECLTFFHPSSDSENSDSDGSGKDGCYVRYAKTRLAAILCIQDLCRADPKSFTTQWTNLLPSSDVLQPRRYEATLMSCLLFDPYMKARITSASTIRAMLDAPSSVFLQVAEFKESTRRGSFTALSSSLGQILMQLHTGILYLIKHETHSGLLVSLFKILMLLVSCTPYSRMPPELLPTIISSIHSRIEEGFSLRSDQNILLVAAINCLSAALSSSAFSTLVKDMLLAEMSAGFVTRKSGVLSVLFQYSEPETSPSISVEALQALKTLAHSHPTITVMWWGRVSSLMYAYLSSSTDIQNRSWRDNVPGEKVITAAIKVLDECLRAASGFKGTEDLFTDKSLDSPFTSDYVKTKTISSAPTYEMENNAPTKDEAETLQGSERWLEVIDRHMPLILNHSSPMVRAASVTCFAGITSSVFFSLPKAKQDFILYSSISAAVTDEVPSVRSAACRAIGVIACFPQIFDSAEILEKFICAAEHNSHDTLVSVRITASWALANICDALRHHVNVQSFNQHSLDSKASFKWISLLIDSSLRLAKDNDKIKANAVRALGNLSRFVPLTSNSSAYYRPNKCTGHLMHSSVQSFQISDDPRNNPNSDKKLLEESHWLEKMVQTFLSCVSTGNVKVQWNVCHALSNLFFNETLNLQDMDWAPSVFSILLLLLRNSSNFKIRIQAAAALAVPATINDYGRSFVDVLQGIEHVIENLRTDQISALSNLKYRVALEKQLTSTMLHVLALSSQIDDHGVPEFLGKKSSFLEEWFKGLCLSLRESNNELEAEYTSNAEQKKDIICRAIKSLIEVFEAHNLQAIAQKFYKLSNNL >itb12g16360.t1 pep chromosome:ASM357664v1:12:17188779:17212475:-1 gene:itb12g16360 transcript:itb12g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDPFSSSPSVRSWRTAFLTLRDETLISPPPTNVVQLLQNLIFSQSSTLIEVSTDLPPHEVTSDLMLLMELAQSISSSDAYNVVETCMQLSHLVYSVINCISIKLNHGSWPLVIDFLSSVVETFFQKAKTNRAFISNVAIVTSTKQCLEIAKRLLDVNEQATTLSETKQLLDFLLIIVVWFQPQLKNSIYSSDKILSTNACLWEVQMIAFTMIGDLYSRAGSSLPVDVWQSTIEVLRSILDLMASKGPLVEDSVMAMFYNSLLNCLHFVLVDPRGSLSGHVAGLVAILKMFLHYGLNNKSNVAIPVVDHNKFKSVHKKQNTESAKPYRPPHLRNKNFKNRQQIDEESPSSSERDECLTFFHPSSDSENSDSDGSGKDGCYVRYAKTRLAAILCIQDLCRADPKSFTTQWTNLLPSSDVLQPRRYEATLMSCLLFDPYMKARITSASTIRAMLDAPSSVFLQVAEFKESTRRGSFTALSSSLGQILMQLHTGILYLIKHETHSGLLVSLFKILMLLVSCTPYSRMPPELLPTIISSIHSRIEEGFSLRSDQNILLVAAINCLSAALSSSAFSTLVKDMLLAEMSAGFVTRKSGVLSVLFQYSEPETSPSISVEALQALKTLAHSHPTITVMWWGRVSSLMYAYLSSSTDIQNRSWRDNVPGEKVITAAIKVLDECLRAASGFKGTEDLFTDKSLDSPFTSDYVKTKTISSAPTYEMENNAPTKDEAETLQGSERWLEVIDRHMPLILNHSSPMVRAASVTCFAGITSSVFFSLPKAKQDFILYSSISAAVTDEVPSVRSAACRAIGVIACFPQIFDSAEILEKFICAAEHNSHDTLVSVRITASWALANICDALRHHVNVQSFNQHSLDSKASFKWISLLIDSSLRLAKDNDKIKANAVRALGNLSRFVPLTSNSSAYYRPNKCTGHLMHSSVQSFQISDDPRNNPNSDKKLLEESHWLEKMVQTFLSCVSTGNVKVQWNVCHALSNLFFNETLNLQDMDWAPSVFSILLLLLRNSSNFKIRIQAAAALAVPATINDYGRSFVDVLQGIEHVIENLRTDQISALSNLKYRVALEKQLTSTMLHVLALSSQIDDHGVPEFLGKKSSFLEEWFKGLCLSLRESNNELEAEYTSNAEQKKDIICRAIKSLIEVFEAHNLQAIAQKFYKLSNNL >itb01g03610.t1 pep chromosome:ASM357664v1:1:2352914:2354326:-1 gene:itb01g03610 transcript:itb01g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSCSGGHVNALCICKSVISRFQACRWRIVLLYSCKSKSFLEKTISFEISIFSVTHEVYISINHDFGFFNLAGFLMHLISVMPSPPPTKIAPNWFSVPYQERKPCVLLIKTSPFPQRSSSLAYESLGIIQSNKLASSVQTIFSENKRLLPVACKNLNDSEGSERDERAMETVLRLYRAIRQKNMDEVSDLIGEECRCISNFVYRFRAFHGKKNAQRLMHLWEKVSASSCAISIKGK >itb11g08650.t1 pep chromosome:ASM357664v1:11:5682331:5684136:1 gene:itb11g08650 transcript:itb11g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSELGGQLNESVVLTGKIMMGAGIGLFLVVAAIFSIHLYTRWFWRRRPDLLSAAAGGRRDDSQEVAIRIALRKGLEPSVLKTIPEVEFCSQDFKEGLECVVCLCEVCEGEKTRFLPKCNHGFHVECIDTWFQSHSTCPLCRNPISNPNSAQPALHPIPGVAAAASAEAPNFPTNVLYWGDEVRVSTLGPCVDEPRRAEAPCSSSAAGKVGAGGASVSARAEGMLVIDIPRQIVDDDQESPMIARLRSLRRLLSRGKRVDPDLRPCGPSVNIDVEQGGRSES >itb03g12700.t1 pep chromosome:ASM357664v1:3:12336663:12340283:-1 gene:itb03g12700 transcript:itb03g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEPFSCPFSGKQTKHTKMDFYSAAKHKKGKCFLEIIFLLSKHTLRGKETGLDEWWDLKVKENSKFEAFREEEFDIELECKMDQIFEFCAQGVLKYTPTPMDENDGVSRWHDATY >itb15g03140.t1 pep chromosome:ASM357664v1:15:1992331:1992918:-1 gene:itb15g03140 transcript:itb15g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHLHIFSRKHPSSSKLKTLTLIQTVVFSQLCRVVGAVAGAKSILLHILRALQMHLVRQLPMIAKKSNDRKRKLFLGSFRLHYNWCSSHVAPTAAAAPPLGGDSIGSAAARDESGGLSGYLDWLEEKGDCEDEESGDIDRIADMFIADCHERFRLEKVESDRRFQEMLARSV >itb07g13880.t1 pep chromosome:ASM357664v1:7:16218250:16220911:1 gene:itb07g13880 transcript:itb07g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28190) UniProtKB/Swiss-Prot;Acc:O78310] MLAACVAAMAAHTILSSATVASHSLLFPVSAPNASPLHSSFHGVSLKVNNKPTHQSLSLRAVSAPKPLTVVASSKKAVAVLKGTSNVEGVVTLTQDADGPTTVKVRITGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNGLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDSQIPLSGPNSVVGRALVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPI >itb02g05430.t2 pep chromosome:ASM357664v1:2:3263321:3263772:-1 gene:itb02g05430 transcript:itb02g05430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPSRKKQPTTTFVLKVSIHCDGCARDIKKNVEKMEGVDTVKVNSEQQTAVITGTLHPDKVIAMLYKKFKKKAELLPNKPAIKPPQPSQVVVPRNPGKVCSQVGQSWGANNLLGRR >itb02g05430.t1 pep chromosome:ASM357664v1:2:3263055:3263833:-1 gene:itb02g05430 transcript:itb02g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPSRKKQPTTTFVLKVSIHCDGCARDIKKNVEKMEGVDTVKVNSEQQTAVITGTLHPDKVIAMLYKKFKKKAELLPNKPAIKPPQPSQVVVPRNPGKVCSQVGQSWGANNLLGDPYKAAQFVREVSRIPGLSGVKFTNLISNQGVANAMELKFTPTNKQTNKY >itb12g20140.t1 pep chromosome:ASM357664v1:12:22620585:22626891:-1 gene:itb12g20140 transcript:itb12g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSQKKMEEGEEGRKGKSSIIVIFRYADWIDIFLMCLGTLGAIGDGMSTNCLLLYVSHLFNSLGYGNNSQISNGNLMREVEKCSLYFVLLGLAVMVVGFMEGYCWSKTSERQVLKIRYKYLEAILRQEVGFFDSQEATTSEITNSISKDTSLIQEVLSEKVPIFLMHTSVFISGLGFSTYFSWRLSLVAYPTIIILIIPGLIYGRYLLYLSKKSFKEYSKANGIVGQALSSIKTIYSFTAERSILERYSSILDRTIKLGMKIGIAKGLAVGSTGLSFAIWAFLAWYGSHLIMHKGESGGRIYAAGISYVLGGLSLGMALPEVKYFTEASVAASRIFSRIDRVPKIDGEDKSGMVLETIRGELEFKDVKFAYPSRPDSLVLKGFNLKVEAGQSVALVGASGSGKSTAIALLQRFYDANSGSVCIDGVEINALQLKWLRGQMGLVSQEHALFGTSIRENILFGKLGASMDEVVAAAMTANAHDFIRQLPQGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESEKLVQDALDQASAGRTTLVVAHKLSTIRNADLIGVVSGGCIIEHGSHSELMEKNGHYAQMAKLQRQFSCADQDQSFASSNARSSAGRQSTAKSSPAVLASPLLIETAKKVPTDHQPSPSFSRLLGLNLTEWKQGLIGSISAVAFGAVQPTYALTIGGMISAFFSPSHEEMQARIQKFCLVFALLCLLSITLNLCQHYCFAYMGEHLTRRIRLRMLEKILTFETAWFDEEHNSSGALCARLSNEAAMVKSLVADRVSLLVQAASAVTIAMVMGLIIAWKLALVMIAVQPLTILCFYTRKVLLSTITTKFVKAQNSSTQIAVEAVYNHRIVSSFGSVNKVLDIFDNAQDEPRKEAQKKSWLAGIGIGSAQGLTFMCWALDFWYGGKLVSSGEISSGDVFKTFFILVSTGKVIADAGSMTSDLAKGSAAVASIFAILDRPSIITGPYNGKGDNGGINLEKLSGKIEIKKVNFAYPSRPETPILREFCLEVKAGSSIGLVGKSGSGKSTIVALIERFYDVDEGSIRVDGVDVRSFDIGWYRQQMALVSQEPVIYSGTIRDNILFGKLDASENEIVEAAKAANAHQFISSLKNGYDTECGERGVQLSGGQKQRIAIARAIIRNPTILLLDEATSALDVESEQIVQEALDGIMIGRTTVVVAHRLNTIKNLDSIALVSEGKVVEHGTYSQLKNKRGQFFNLVNLQNTP >itb08g02320.t1 pep chromosome:ASM357664v1:8:1849930:1854422:-1 gene:itb08g02320 transcript:itb08g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFFLLVLCSLLSIASSETDTLKQGDVLNASKSSFLVSANRTFTLGFFTPEGTNRTYLAIRFTVSTWSKPVWIGNRDTPFPTNSSAKLLIDGSGRLILAYDEGQGTLTTPYRISSKKTSRNVAATLQDSGNFVLRERNADGSFGEELWSSFDDPTDTLLPGMKLGVDHRRGRNWTLTSWRRDDSPAPGAFSLEWDPTKRRLVIKNRGVVHWTSGELINATDFRHSPTHKSAYDGVHFFKFVNVSAREEEYFSYAVTLDPSDLPFFSPEEQIKLRLAGWRLDPEGLLFVTYNGTVIVDAGECYGYENETQQSKGCQLWQQPICRGAGGQTFKERSGSFVNYTGEEPKTFTTHNSSASLSDCRESCWKDCECVGYGSYGDDECTYWRGRALQFQQDNTGRTVTKYVLERPAQEGNDSNSRSNSKRWVRILVPTILATILTVLLGLFLLRRRRRKQEEARKEQELKDLFTLEEYTDVHELDKDPTQKEQLTWKRRLNIIEGIAQGLLYLHKYSRVRIIHRDLKASNILLDENMNPKISDFGIAKILRQNATEAKEMKFAGTRGYMAPEYVKKGIFSTKSDVYSFGILVLEIVSGRRNNYVHSEDGPLNLVEHAWELWNRDAVLQLVDPTLNSMFGSEEQLHRCINVGLLCIEELAVDRPSMSDVISMLTNENLAMVKPKKPAFVLRYSTARGFQEDESNKFTVNQLSISAIEAR >itb11g22750.t1 pep chromosome:ASM357664v1:11:24648723:24649482:1 gene:itb11g22750 transcript:itb11g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNGFSSREAEQKEATELLLQKRRCFFHFSCLGATSRDGFGSKWSTMMRAVEIEKSALWFRGIRALNRLRHWSGAMARPRWKSFLRRFNTSKEKAIAGCGCGGCENQGKFRYDPHSYALNFDEGPEHNENFSVRYAVVPAAVKATLV >itb05g25170.t1 pep chromosome:ASM357664v1:5:29710128:29713624:-1 gene:itb05g25170 transcript:itb05g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRNYYLNLRHPQKMERKWIFPVAIGSIVSLFLLFLTTLTSSDGTPLLPLYRYYASASAASIFIESKLRPIPVSALPPPPRIAYLISGSSGDGKMLRRTLQALYHPNNQYVVHLDAESSPQERLDLRNYLQAHPTFARFNNVRMISKANLVTYRGPTMVANTLHAAAILLKEGGNWDWFINLSASDYPLVSQDDLLHTFSHLPRDLNFIDHTSNIGWKEFQRAKPIIIDPGLYMSKKADVFWITQRRSVPTAFKLFTGSAWMVLSRPFIDFCIWGWDNLPRTVLMYYANFVSSPEGYFHTVICNAQEFKNTTVNSDLHFISWDNPPKQHPHYLTLDDMKRMVDSNAPFARKFHHDDPVLDKIDSDLLFRGQDMLVPGGWCVGSQENGTDPCSAVGDVTLLKPSAGAKRLESLISSLLSGEKFRPRQCK >itb05g19800.t3 pep chromosome:ASM357664v1:5:26131326:26135492:1 gene:itb05g19800 transcript:itb05g19800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAVRSPLNLPARNRGGYRKPAAPVLYSQPGPSFKSNRTMGTAFASKRNGSMSAMCAQTKIPEFIERADRKCKGWIHFVGVGGCGLSALAMLALKQGFEVSGSDIKWSSYMDPLHEAGARLYIGHSEFNLLRNDGSSLPDAVVVSSAIPVDNVEILHAKARRVPVYKRGAWLGKITEGHNLIAVSGSHGKSTTASMLAYLLHAMGDDITAVVGAKVPQFDGGNIIYGTGNNFVLEADEYDYCFLGLRPHIAVVTNVDWEHVDIFQNEEEVRATFRRFVQQIRDGGHLILCGDSCAGAYISLCSRGKADTEPHISNEFETFPSSELCCDRYHITTYGISSFNEWHASSIHPNSYGGSDYKLYHKGHYVADISLRMPGIHNIINSLAVIATVSIVASDKGFFDTITSLRSHLLNFRGICRRFEKHVKGFHLRSFCRIAALKSEFAAAFKDADQVVVTEVYAARETSHCTSGRDLARSIACPSSEFIPSRETSHCISGSDLARSIVCPSSEFIPSLDRVLDKLVSLVWKDPDREIVILTLGAGDITTLGRKLLCELQLRITYCSMFQ >itb05g19800.t1 pep chromosome:ASM357664v1:5:26131306:26135984:1 gene:itb05g19800 transcript:itb05g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAVRSPLNLPARNRGGYRKPAAPVLYSQPGPSFKSNRTMGTAFASKRNGSMSAMCAQTKIPEFIERADRKCKGWIHFVGVGGCGLSALAMLALKQGFEVSGSDIKWSSYMDPLHEAGARLYIGHSEFNLLRNDGSSLPDAVVVSSAIPVDNVEILHAKARRVPVYKRGAWLGKITEGHNLIAVSGSHGKSTTASMLAYLLHAMGDDITAVVGAKVPQFDGGNIIYGTGNNFVLEADEYDYCFLGLRPHIAVVTNVDWEHVDIFQNEEEVRATFRRFVQQIRDGGHLILCGDSCAGAYISLCSRGKADTEPHISNEFETFPSSELCCDRYHITTYGISSFNEWHASSIHPNSYGGSDYKLYHKGHYVADISLRMPGIHNIINSLAVIATVSIVASDKGFFDTITSLRSHLLNFRGICRRFEKVGTICGCHIYDDYAHHPTEIRAVLQAARQRFPFTELLVIFEPHTYSRIAALKSEFAAAFKDADQVVVTEVYAARETSHCTSGRDLARSIACPSSEFIPSRETSHCISGSDLARSIVCPSSEFIPSLGAR >itb05g19800.t2 pep chromosome:ASM357664v1:5:26131306:26135984:1 gene:itb05g19800 transcript:itb05g19800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAVRSPLNLPARNRGGYRKPAAPVLYSQPGPSFKSNRTMGTAFASKRNGSMSAMCAQTKIPEFIERADRKCKGWIHFVGVGGCGLSALAMLALKQGFEVSGSDIKWSSYMDPLHEAGARLYIGHSEFNLLRNDGSSLPDAVVVSSAIPVDNVEILHAKARRVPVYKRGAWLGKITEGHNLIAVSGSHGKSTTASMLAYLLHAMGDDITAVVGAKVPQFDGGNIIYGTGNNFVLEADEYDYCFLGLRPHIAVVTNVDWEHVDIFQNEEEVRATFRRFVQQIRDGGHLILCGDSCAGAYISLCSRGKADTEPHISNEFETFPSSELCCDRYHITTYGISSFNEWHASSIHPNSYGGSDYKLYHKGHYVADISLRMPGIHNIINSLAVIATVSIVASDKGFFDTITSLRSHLLNFRGICRRFEKVGTICGCHIYDDYAHHPTEIRAVLQAARQRFPFTELLVIFEPHTYSRIAALKSEFAAAFKDADQVVVTEVYAARETSHCTSGRDLARSIACPSSEFIPSRETSHCISGSDLARSIVCPSSEFIPSLDRVLDKLVSLVWKDPDREIVILTLGAGDITTLGRKLLCELQLRITYCSMFQ >itb01g30470.t1 pep chromosome:ASM357664v1:1:34498637:34498936:-1 gene:itb01g30470 transcript:itb01g30470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKHLSLSNFSRKWGKWLGCYGYREKDPVIQLAPVEIQGFHAGNADPSTYSGEVSSVWKKNIFMGAKCQLPDFSGVIIYDTAGNIVAPAKAPLALPWK >itb03g26320.t1 pep chromosome:ASM357664v1:3:25845113:25848266:-1 gene:itb03g26320 transcript:itb03g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGGGKPFHSPPTKKTSAATSNLMVDQLNCGVADLSFKSKQDDGQEVYVKKPKSRAGKQPMLQASTANVWGNSGPKAWGHPTNTVPNLEMRNNGGRGSGSKWQAYPMSTPTGRGNVRPQSANRDFGTVAYPPPVVQTPLKWSTLVASTHSLENDQSSHPSAVVVHTEEEDDDEQSYLTDESDDDFLSDEFDSCESEKSHDTRKQNRWFQGFFNCINSLSTEQINDPGRQWHCPACKGGPGAIDWYRGLYSLTVHARTKRSKRMKLHRELAEVLEEELSRRGTSVVQAGEIFRMWNGLANEEKDFSIVWPPMVIIMNTRHEKDENDKWIGMGNQELLDYFSFYDAVKARHSYGPKGHRGMSILIFDASPVGYLEAERLSKHFTDDARDRDAWERNPITFFAGGKRQLYGYMAEKRDIDDFNRHSHGKSRLRFEILSHREMVVDPLKKMGEDNQQLMHFKDKAARHQKQAKALEESVNVVGEKLRQTLEETKVVRVEEIAKYGEFQNYGMKEFIAEKEKLKKAHEEEIDAMRRRHREEEAALDKKFELAVLMEKYTPPASY >itb03g19370.t1 pep chromosome:ASM357664v1:3:17467680:17468960:1 gene:itb03g19370 transcript:itb03g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKGDLFKGQKKKKSIPPNRHGKVPKTRKGKRVVKSSKNTDVDHELTKFINNCNEVKAATVATKDGGHLSIVKPQVESSNAAK >itb01g33880.t1 pep chromosome:ASM357664v1:1:36816083:36824099:-1 gene:itb01g33880 transcript:itb01g33880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVDLQFLNLSGVYKHAGGGPVLIPGFCLRKALQPVLPIFLQSLVSGSAELREQAALGIGKLIEVTSEQALKEFVIPITGPLIRIIRDRFPWQVKRAILSTLSIIIRKGGLTLAMAEPPVAPPVKRGPVTAKKPEKRQSDHPFTADDRSRKSEHKAVGARSVSSVYERSKKKINRKLNTDDPIGNDRPNVDSTLENDASDPIENEHPKENNASENCANEEIKLKSEDQKASDKIIEDQLASDGQGEAETHHSEQLATENDNGEREVVPDELKSKTEIPDGLREELQAILKLSKEKESEARNIELLKAVRMINERLVAGERSETEVEIESQSGVVPIRVGNLNFQIETDKLEAIFVRSRNNEGSVEELLADHFVHNVVEIIEERKVDMEREADQNPTKFEKPFRAKLQAKVKENESQIHSKGVSFADDPGKENENHIPKEKGKERVRNENIENIPSNVASVSGTKNVEGPNPGKPVLAEACKQSVCENAKQKNENGSKVPLRMGDRNQGMGQKGAQMNQWNVTKKATALFNGDKSKIDGGIFDGLPKPTISEKEVGVHRGLPAIRFKKEETNQMNVIEKHLLVGKFSWGKPSLEDIRKTFAARYILKGTVEIGWIDPRHVSLAFSQEADCINIFMHEQIMFGSFQMRLFRWTMGFSTDRESSLAPVWVRLPKLSANCFTLETLRQISKPIGRFLAPDYATLHFTRPSYARIKVEIDLLKPLVHEIFIGFDEEPGLEDVGYVQQIVYERVPLYCSVCYKQGHSAEVCRLALASQSAGNVNATRGRTGVRGGMEGRRNSRSTGPGDRQRWNHAGGQNQNQNPPAGNQNQNQKNENRQPQQTVRNTFKKEEQGALAAFQKQQGMGQKEQQKNNEMQGIGVGKREGKESAKAEGERVDKEDSMYVPFDMIGEGEIEQQVKEGEWDPGDEESESGDEESDDDDFSLSMDMSEDERSSQEVVREVENDIMKDCQEVNVTPPNLKGKNKRKKKKKGGSSSGKKKAKASRRGGQKRMCGNEKIWLYWTREVVVKNIEDGEQVITITMETAQYAKEVHMSFVYASRNTAGMQAIDEIVPTLLHALEDDQTSDTALDGLKQILSVRTSAVLPHILPKLVHLPLSAFYAHALGALAEVAGPGLDAHLGGGPVLIPGFCLRKALQPVLPIFLQSLVSGSAELREQAALGIGELIEVTSEQTLKEFVIPITGPYIHIIGDRFPWQVKSANLSTLSILIRKGGLTLKPFLPQLQTTLSSVCRIIQGLFDEVLLFPLNIGNHITDEEVLDLLSSPAIICTSSSYGAVVNCLKSSLKDEKFPIRETSTRAFGRLIYLQMLLHIWKFLDLKYQPCRMIQVRRRALSSLKIAAKSNPSAVMIHVSKYGPVLAECMNKYTCQSCR >itb01g33880.t2 pep chromosome:ASM357664v1:1:36816083:36824099:-1 gene:itb01g33880 transcript:itb01g33880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVDLQFLNLSGVYKHAGGGPVLIPGFCLRKALQPVLPIFLQSLVSGSAELREQAALGIGKLIEVTSEQALKEFVIPITGPLIRIIRDRFPWQVKRAILSTLSIIIRKGGLTLAMAEPPVAPPVKRGPVTAKKPEKRQSDHPFTADDRSRKSEHKAVGARSVSSVYERSKKKINRKLNTDDPIGNDRPNVDSTLENDASDPIENEHPKENNASENCANEEIKLKSEDQKASDKIIEDQLASDGQGEAETHHSEQLATENDNGEREVVPDELKSKTEIPDGLREELQAILKLSKEKESEARNIELLKAVRMINERLVAGERSETEVEIESQSGVVPIRVGNLNFQIETDKLEAIFVRSRNNEGSVEELLADHFVHNVVEIIEERKVDMEREADQNPTKFEKPFRAKLQAKVKENESQIHSKGVSFADDPGKENENHIPKEKGKERVRNENIENIPSNVASVSGTKNVEGPNPGKPVLAEACKQSVCENAKQKNENGSKVPLRMGDRNQGMGQKGAQMNQWNVTKKATALFNGDKSKIDGGIFDGLPKPTISEKEVGVHRGLPAIRFKKEETNQMNVIEKHLLVGKFSWGKPSLEDIRKTFAARYILKGTVEIGWIDPRHVSLAFSQEADCINIFMHEQIMFGSFQMRLFRWTMGFSTDRESSLAPVWVRLPKLSANCFTLETLRQISKPIGRFLAPDYATLHFTRPSYARIKVEIDLLKPLVHEIFIGFDEEPGLEDVGYVQQIVYERVPLYCSVCYKQGHSAEVCRLALASQSAGNVNATRGRTGVRGGMEGRRNSRSTGPGDRQRWNHAGGQNQNQNPPAGNQNQNQKNENRQPQQTVRNTFKKEEQGALAAFQKQQGMGQKEQQKNNEMQGIGVGKREGKESAKAEGERVDKEDSMYVPFDMIGEGEIEQQVKEGEWDPGDEESESGDEESDDDDFSLSMDMSEDERSSQEVVREVENDIMKDCQEVNVTPPNLKGKNKRKKKKKGGSSSGKKKAKASRRGGQKRMCGNEKIWLYWTREVVVKNIEDGEQVITITMETAQYAKEVHMSFVYASRNTAGMQAIDEIVPTLLHALEDDQTSDTALDGLKQILSVRTSAVLPHILPKLVHLPLSAFYAHALGALAEVAGPGLDAHLGGGPVLIPGFCLRKALQPVLPIFLQSLVSGSAELREQAALGIGELIEVTSEQTLKEFVIPITGPYIHIIGDRFPWQVKSANLSTLSILIRKGGLTLKPFLPQLQTTLSSVCRIIQGLFDEVLLFPLIRVCAARILGIISQFPIRETSTRAFGRLIYLQMLLHIWKFLDLKYQPCRMIQVRRRALSSLKIAAKSNPSAVMIHVSKYGPVLAECMNKYTCQSCR >itb12g23840.t1 pep chromosome:ASM357664v1:12:25470027:25478776:-1 gene:itb12g23840 transcript:itb12g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLFQKFSSPQNEPRETPKLPAQIAVHYGIPATASILAFDPIQQLLAIGTSDGRIKVVGGDNIEGLLVSPKPLPFKNLEFLQNQGFLVSISNENDIQVWDLASRHLSSKLKWESNITAFSVIYGTHYMYLGDEYGYLSVLKYDAEERNVVQLPYYVPPNLVSEIVVSSIPNQLSIVGLLPHPCSFGNRVLIAYENGLLILWDITEDRAVFVSSQNHLQPKEIFVCTQTNMSTVHANDSKDHDQEEKEISSLCWLSSDGSILAVGYVDGDIFLWNLSVPDCSKSPKTENLSSNVVKLQLLSGDRRLPVTVLHCSANKSQNSLRGYLFVYGGGEIGAEEVLTILKLDWSSGLAALKCIDRVDLRLSGSFADIITAPSPCDTENDNSSLFILTNPGQLHYYDKTCLSTLKSERENKHNAHSIQYPVAIPTINPCMTVAKSCSMDRKWNFSRSSSKSKNEGESKWPLTGGVPSQLSLTEDNGVERIHIAGYQDGSVRIWDATYPVLSLMFVISSEVKGIESTSTSPAISALDFSSSSLSIAIGNEYGLVHHYMLGTSSGSEKISLHFVTETKSEVHFFPSGAGYQCKAIFSLLNSPVCILKYIASGSKLIVGFESSQVAVLDVDSPSVLFLTDCVSSSRSPITSLSVKTFPGIRQDILNPECAASYKVAEELAFALTRDGHIILMDSNTGNVINSQPVHPDKVTTSVSLHILESNTSFDKESDKDIESKDQSAQSGDHDPIDPLKPSLMPNATDAQILVCCEDILYLFSLNSVIQGNNTTLHEVNLVKPCCWTALFKNDDREYGLILVYRTGDIEIRTLPDLKLLGDTSLLSVLRWNFKTNVEKTMSSPSKGIISLVHGCEFAILVLCSGNDFRIPQALPCLHDKVLAATKNAAACLTQNERSKKTTVNSMIAGFLKGFKGDKGEQDIDDTETRETFIAHLEKIFSRFPFSDPLTNVMDDQGNLEINLDDIEIDDPIPISSSSHQSNTSKQENERQRQKLFEGGSTETKPRQRTREEIIAKYRNKGDAASTASAASAAAEARNKLHERGEKLEQLSERTAELQNGAEDFASLAKELAKKMEKRKWWNL >itb12g23840.t2 pep chromosome:ASM357664v1:12:25470027:25478776:-1 gene:itb12g23840 transcript:itb12g23840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLFQKFSSPQNEPRETPKLPAQIAVHYGIPATASILAFDPIQQLLAIGTSDGRIKVVGGDNIEGLLVSPKPLPFKNLEFLQNQGFLVSISNENDIQVWDLASRHLSSKLKWESNITAFSVIYGTHYMYLGDEYGYLSVLKYDAEERNVVQLPYYVPPNLVSEIVVSSIPNQLSIVGLLPHPCSFGNRVLIAYENGLLILWDITEDRAVFVSSQNHLQPKEIFVCTQTNMSTVHANDSKDHDQEEKEISSLCWLSSDGSILAVGYVDGDIFLWNLSVPDCSKSPKTENLSSNVVKLQLLSGDRRLPVTVLHCSANKSQNSLRGYLFVYGGGEIGAEEVLTILKLDWSSGLAALKCIDRVDLRLSGSFADIITAPSPCDTENDNSSLFILTNPGQLHYYDKTCLSTLKSERENKHNAHSIQYPVAIPTINPCMTVAKSCSMDRKWNFSRSSSKSKNEGESKWPLTGGVPSQLSLTEDNGVERIHIAGYQDGSVRIWDATYPVLSLMFVISSEVKGIESTSTSPAISALDFSSSSLSIAIGNEYGLVHHYMLGTSSGSEKISLHFVTETKSEVHFFPSGAGYQCKAIFSLLNSPVCILKYIASGSKLIVGFESSQTFPGIRQDILNPECAASYKVAEELAFALTRDGHIILMDSNTGNVINSQPVHPDKVTTSVSLHILESNTSFDKESDKDIESKDQSAQSGDHDPIDPLKPSLMPNATDAQILVCCEDILYLFSLNSVIQGNNTTLHEVNLVKPCCWTALFKNDDREYGLILVYRTGDIEIRTLPDLKLLGDTSLLSVLRWNFKTNVEKTMSSPSKGIISLVHGCEFAILVLCSGNDFRIPQALPCLHDKVLAATKNAAACLTQNERSKKTTVNSMIAGFLKGFKGDKGEQDIDDTETRETFIAHLEKIFSRFPFSDPLTNVMDDQGNLEINLDDIEIDDPIPISSSSHQSNTSKQENERQRQKLFEGGSTETKPRQRTREEIIAKYRNKGDAASTASAASAAAEARNKLHERGEKLEQLSERTAELQNGAEDFASLAKELAKKMEKRKWWNL >itb04g11590.t1 pep chromosome:ASM357664v1:4:11283471:11289084:-1 gene:itb04g11590 transcript:itb04g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMLMINQTNCHLLFISFTLLLLPLACFSTSHVRRVLLSHEADSYAVIFDAGSTGSRVHVFRFNQNLDLLPIGDDVEFFVKTSPGLSSYADDPEAAAKSLEPLLQEAEGVVPAELQPDTPLELGVRDMLKNESNFKYKAEWVSILNGTQEGSYFWVALNYLLGNLGKDYQKTIATIDLGGGSVQMSYAISRDTFVDAPIPDNGEEPYVQEKYLLGANYYLYVHSYLNYGLLAGRVEVLKTSANSTNVCILEGYDGYYTYNGVSYKAKAPPSGASLKNCRKLARKVVDFRAPCKYQNCTFNGVWSGSGGAGMENVYISSYFYDIASQVGIVDRSTTPSKIVKPRAYLEAAKVVCATNYNDMKSTFPKAEEEFYPYLCLDLVYQYTLLVDGFGLHPRKEITVITEVEYKNYMVGAAWPLGCAIDVISSSANKLLSKY >itb02g06300.t1 pep chromosome:ASM357664v1:2:3928222:3934215:1 gene:itb02g06300 transcript:itb02g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLKPIVTSTTNGVFQFPFYSIPSPHIRKRSNSIIAQATPSRTERIMKSIAVSGEVGGAGGAYSYNALKRLDQLWSDICSASQAVTQVPRQVVSTVSGSYKDSELGGKSLEMFDVVVCGGTLGIFIATALSSKGLQVGIVERNVLKGRDQEWNISRKELLELVEAGILTEDDIERAVAASYNPNRCGFEGRGDIWVNDILNLGISPSKLVQIMKERFDSLGGVTFEGCSVSTINVYEDGVVLHLDEGKVLSSRLIIDAMGNFSPIVKQIRRGRRPDGVCLVVGTCCRGFKDNSTSDVIFSSASVKEAGESKVHYFWEAFPAGSGSMDRTTYMFTYVDPQPGCPKLEELLEDYWDLMPSYQGVSLDSLEILRVIFGIFPTYRDSPLQAAFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLTNGIIEALDEDFLDSQSLSLLNPYMPNLSASWLFQRAMSAKKHSDVPPDFINDLLFSNFRSMQVGRSQLCFLGTCFCSSLYRALFVMMNCQRLGDPVLRPFLQDVIQFGPLVKTLGLVMLTKPQILPSIFKQVGIPVLLDWSGHFFMLGYYTFLSTYLDPAIRPLIDTFPAKMRYEWKRRLEAWKYGAGLDYKLECSTTQEQTGTRKDSSDTWSSNTV >itb02g06300.t2 pep chromosome:ASM357664v1:2:3928222:3934280:1 gene:itb02g06300 transcript:itb02g06300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLKPIVTSTTNGVFQFPFYSIPSPHIRKRSNSIIAQATPSRTERIMKSIAVSGEVGGAGGAYSYNALKRLDQLWSDICSASQAVTQVPRQVVSTVSGSYKDSELGGKSLEMFDVVVCGGTLGIFIATALSSKGLQVGIVERNVLKGRDQEWNISRKELLELVEAGILTEDDIERAVAASYNPNRCGFEGRGDIWVNDILNLGISPSKLVQIMKERFDSLGGVTFEGCSVSTINVYEDGVVLHLDEGKVLSSRLIIDAMGNFSPIVKQIRRGRRPDGVCLVVGTCCRGFKDNSTSDVIFSSASVKEAGESKVHYFWEAFPAGSGSMDRTTYMFTYVDPQPGCPKLEELLEDYWDLMPSYQGVSLDSLEILRVIFGIFPTYRDSPLQAAFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLTNGIIEALDEDFLDSQSLSLLNPYMPNLSASWLFQRAMSAKKHSDVPPDFINDLLFSNFRSMQVGRSQLCFLGTCFCSSLYRALFVMMNCQRLGDPVLRPFLQDVIQFGPLVKTLGLVMLTKPQILPSIFKQVYFTLLCR >itb14g01860.t2 pep chromosome:ASM357664v1:14:1550510:1552963:1 gene:itb14g01860 transcript:itb14g01860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAAAAFEDAGPAAFEDAGPATPLSTVADAFERITAELNSKGLENVKLKPFCEACSLVSVLFGCLGIAFKFAEIEYVAKVQSLTEASKSYETLESILDYDVEHNSVKTAGSLSRNLRRVRQGLHLMKDIFQNFLSSCDRSLKDAASTAYAKVCAPYHTWAVRTAVCAGMYALPTREQLLERMKENDVTAEVEMNRYINALNPIIDYIDNLYISRNITLDW >itb14g01860.t3 pep chromosome:ASM357664v1:14:1550382:1552980:1 gene:itb14g01860 transcript:itb14g01860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFRSIISATPKLHSSKRVRATASGARISMEEEEAAAAAFEDAGPAAFEDAGPATPLSTVADAFERITAELNSKGLENVKLKPFCEACSLVSVLFGCLGIAFKFAEIEYVAKVQSLTEASKSYETLESILDYDVEHNSVKTAGSLSRNLRRVRQGLHLMKDIFQNFLSSWYAINLPAQLL >itb14g01860.t1 pep chromosome:ASM357664v1:14:1550382:1552980:1 gene:itb14g01860 transcript:itb14g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFRSIISATPKLHSSKRVRATASGARISMEEEEAAAAAFEDAGPAAFEDAGPATPLSTVADAFERITAELNSKGLENVKLKPFCEACSLVSVLFGCLGIAFKFAEIEYVAKVQSLTEASKSYETLESILDYDVEHNSVKTAGSLSRNLRRVRQGLHLMKDIFQNFLSSCDRSLKDAASTAYAKVCAPYHTWAVRTAVCAGMYALPTREQLLERMKENDVTAEVEMNRYINALNPIIDYIDNLYISRNITLDW >itb12g12790.t1 pep chromosome:ASM357664v1:12:11472616:11477303:1 gene:itb12g12790 transcript:itb12g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPRRPIQAVTTWVKRQPPKVKAFLAVITGMAALVMLRAIVHDHDNLFVAAEAVHSIGICVLIYKLMKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDMATLATTLWVIYMIRFNLKSSYMEDKDNFAMYYVVVPCAVLAFLIHPTTSHHIVNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGHGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >itb04g31770.t1 pep chromosome:ASM357664v1:4:34496405:34500124:1 gene:itb04g31770 transcript:itb04g31770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAGGSSVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDLYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPDKPPSVRFHSCIHMTCVNAETGVVEAKKFSMLSNWQREYTMEDILTQLRKEMVASCNRKLTQPPEGTFF >itb03g28450.t4 pep chromosome:ASM357664v1:3:29203411:29211580:1 gene:itb03g28450 transcript:itb03g28450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MDISFAKLQSKFNNFQFRPSLPPHHCWEKDAKLLVSPFLIPQSNVHYANYCRCSYFKQPIWRISRTNNIALSTRIHSCASWPSSYPEMKFGRMPGDYNMFKHNRLPRYIAVRSELVGTGSAGAAYPLPELQFGSKIRGIGFYAATAFSAFFLFVLMLAAHPFVLCFDRYRRKIHHLVAMVWATLTVSPFYKIEVKGLENLPPDDTPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMYLMGTIPLRRMDTRSQLDCLKRCMDLVTKGASVFFFPEGTRSKDGKLGVFKKGAFSIAAKTGVPVIPITLLGTGKIMPAGMEGRLNCGSVKVVIHQPIEGNNPEALCSEARNVISNQLNLQG >itb03g28450.t2 pep chromosome:ASM357664v1:3:29203390:29211794:1 gene:itb03g28450 transcript:itb03g28450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MDISFAKLQSKFNNFQFRPSLPPHHCWEKDAKLLVSPFLIPQSNVHYANYCRCSYFKQPIWRISRTNNIALSTRIHSCASWPSSYPEMKFGRMPGDYNMFKHNRLPRYIAVRSELVGTGSAGAAYPLPELQFGSKIRGIGFYAATAFSAFFLFVLMLAAHPFVLCFDRYRRKIHHLVAMVWATLTVSPFYKIEVKGLENLPPDDTPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMYLMGTIPLRRMDTRSQLDCLKRCMDLVTKGASVFFFPEGTRSKDGKLGVFKKGAFSIAAKTGVPVIPITLLGTGKIMPAGMEGRLNCGSVKVVIHQPIEGNNPEALCSEARNVISNQLNLQG >itb03g28450.t5 pep chromosome:ASM357664v1:3:29209587:29211764:1 gene:itb03g28450 transcript:itb03g28450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MLAAHPFVLCFDRYRRKIHHLVAMVWATLTVSPFYKIEVKGLENLPPDDTPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMYLMGTIPLRRMDTRSQLDCLKRCMDLVTKGASVFFFPEGTRSKDGKLGVFKKGAFSIAAKTGVPVIPITLLGTGKIMPAGMEGRLNCGSVKVVIHQPIEGNNPEALCSEARNVISNQLNLQG >itb03g28450.t3 pep chromosome:ASM357664v1:3:29209587:29211458:1 gene:itb03g28450 transcript:itb03g28450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MLAAHPFVLCFDRYRRKIHHLVAMVWATLTVSPFYKIEVKGLENLPPDDTPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMYLMGTIPLRRMDTRSQLDCLKRCMDLVTKGASVFFFPEGTRSKDGKLGVFKKGAFSIAAKTGVPVIPITLLGTGKIMPAGMEGRLNCGSVKVVIHQPIEGNNPEALCSEARNVISNQLNLQG >itb03g28450.t6 pep chromosome:ASM357664v1:3:29203411:29211580:1 gene:itb03g28450 transcript:itb03g28450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MDISFAKLQSKFNNFQFRPSLPPHHCWEKDAKLLVSPFLIPQSNVHYANYCRCSYFKQPIWRISRTNNIALSTRIHSCASWPSSYPEMKFGRMPGDYNMFKHNRLPRYIAVRSELVGTGSAGAAYPLPELQFGSKIRGIGFYAATAFSAFFLFVLMLAAHPFVLCFDRYRRKIHHLVAMVWATLTVSPFYKIEVKGLENLPPDDTPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMYLMGTIPLRRMDTRSQLDCLKRCMDLVTKGASVFFFPEGTRSKDGKLGVFKKGAFSIAAKTGVPVIPITLLGTGKIMPAGMEGRLNCGSVKVVIHQPIEGNNPEALCSEARNVISNQLNLQG >itb03g28450.t1 pep chromosome:ASM357664v1:3:29203390:29211794:1 gene:itb03g28450 transcript:itb03g28450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MDISFAKLQSKFNNFQFRPSLPPHHCWEKDAKLLVSPFLIPQSNVHYANYCRCSYFKQPIWRISRTNNIALSTRIHSCASWPSSYPEMKFGRMPGDYNMFKHNRLPRYIAVRSELVGTGSAGAAYPLPELQFGSKIRGIGFYAATAFSAFFLFVLMLAAHPFVLCFDRYRRKIHHLVAMVWATLTVSPFYKIEVKGLENLPPDDTPAVFVSNHQSFLDIYTLLTLGRSFKFISKTAIFLFPIIGWAMYLMGTIPLRRMDTRSQLDCLKRCMDLVTKGASVFFFPEGTRSKDGKLGVFKKGAFSIAAKTGVPVIPITLLGTGKIMPAGMEGRLNCGSVKVVIHQPIEGNNPEALCSEARNVISNQLNLQG >itb03g12290.t1 pep chromosome:ASM357664v1:3:11362297:11364166:1 gene:itb03g12290 transcript:itb03g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKNVVSATKSVSCSSFFLFLFTFYLSTFLAQAARNPPPPKEIYHVVNLSSLQPKPYCESHRTGSELGSGKIRMASRNGPCSPHNAAAKKMSSYESLFTEKANSDGSSAGYMEYFVTIELGTPQAEFNMLVDTGSDNTWVGCKPCSTGCGPKDHLFDPSKSSTYVNGSCRPSTDGDNDQFYVTYGDKSKSKGYWGCDTLTTDQSYYAVKNFQFGCGQEIQNDDNSGDYDGILGLGRGDLSLPSQGGTNFQKFSYCLPMRNSKQGYLVFGNEAEAKSSSSKPQFTPLLPPPENLPGNYIKKSYYFVELIGMSVAGKRLDVAPSVFNSPGTIIDSGTSITLLPKEAYSALTTAFNQSMASKYPAAASNKNIKHLGTCYNVEDTSNVIVPAITFHFKGMSAKGEIDVELRQSGIVLVPPSMPNLVCLGFAQQKDGLAIIGNHQQRQMAMSFDLQGDSLGFGTNNCDE >itb04g09450.t1 pep chromosome:ASM357664v1:4:8744720:8750039:-1 gene:itb04g09450 transcript:itb04g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKDEITSPLSAQLLEFSESELFPETIQNSEVGSSSNCCYEDNSSYSTNHLPLTPDMNTFNGGADTKDDVAAVSQPSNPADDHNNNNHMSIIFDAQEDIDNDFSASIDFGPNGGGAESFSLPPHFLHHQFDISSLDAAHQIPVATDADQHQQYPSDQQMVQLMGPPPPAAQPAQQPQPIVYDDECLSSVPSYLRLTSSSPSCSLLDPSLGSYLSPGSLNPALSADNSGVFTGAGFFLGADLPPQDLEFQGDNSRLFCPDSIPRVYNCASDQFQTLSSESQHLVSGAGSSTPLTSDITCLEDTTFKVGKLSVEERKEKIHRYLKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDVTVARAAMGNHEDDTAVDEAARHNFLYDPNNYPPNAAAAALTHGGRIFNSNCLAAPPGAGPYDMCTTLFCADANMH >itb04g09450.t5 pep chromosome:ASM357664v1:4:8744720:8747369:-1 gene:itb04g09450 transcript:itb04g09450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFNGGADTKDDVAAVSQPSNPADDHNNNNHMSIIFDAQEDIDNDFSASIDFGPNGGGAESFSLPPHFLHHQFDISSLDAAHQIPVATDADQHQQYPSDQQMVQLMGPPPPAAQPAQQPQPIVYDDECLSSVPSYLRLTSSSPSCSLLDPSLGSYLSPGSLNPALSADNSGVFTGAGFFLGADLPPQDLEFQGDNSRLFCPDSIPRVYNCASDQFQTLSSESQHLVSGAGSSTPLTSDITCLEDTTFKVGKLSVEERKEKIHRYLKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDVTVARAAMGNHEDDTAVDEAARHNFLYDPNNYPPNAAAAALTHGGRIFNSNCLAAPPGAGPYDMCTTLFCADANMH >itb04g09450.t2 pep chromosome:ASM357664v1:4:8744720:8748816:-1 gene:itb04g09450 transcript:itb04g09450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLADRSSNLFLILDEITSPLSAQLLEFSESELFPETIQNSEVGSSSNCCYEDNSSYSTNHLPLTPDMNTFNGGADTKDDVAAVSQPSNPADDHNNNNHMSIIFDAQEDIDNDFSASIDFGPNGGGAESFSLPPHFLHHQFDISSLDAAHQIPVATDADQHQQYPSDQQMVQLMGPPPPAAQPAQQPQPIVYDDECLSSVPSYLRLTSSSPSCSLLDPSLGSYLSPGSLNPALSADNSGVFTGAGFFLGADLPPQDLEFQGDNSRLFCPDSIPRVYNCASDQFQTLSSESQHLVSGAGSSTPLTSDITCLEDTTFKVGKLSVEERKEKIHRYLKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDVTVARAAMGNHEDDTAVDEAARHNFLYDPNNYPPNAAAAALTHGGRIFNSNCLAAPPGAGPYDMCTTLFCADANMH >itb04g09450.t3 pep chromosome:ASM357664v1:4:8745584:8748816:-1 gene:itb04g09450 transcript:itb04g09450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLADRSSNLFLILDEITSPLSAQLLEFSESELFPETIQNSEVGSSSNCCYEDNSSYSTNHLPLTPDMNTFNGGADTKDDVAAVSQPSNPADDHNNNNHMSIIFDAQEDIDNDFSASIDFGPNGGGAESFSLPPHFLHHQFDISSLDAAHQIPVATDADQHQQYPSDQQMVQLMGPPPPAAQPAQQPQPIVYDDECLSSVPSYLRLTSSSPSCSLLDPSLGSYLSPGSLNPALSADNSGVFTGAGFFLGADLPPQDLEFQGDNSRLFCPDSIPRVYNCASDQFQTLSSESQHLVSGAGSSTPLTSDITCLEDTTFKVGKLSVEERKEKIHRYLKKRNERNFSKKIKVI >itb04g09450.t4 pep chromosome:ASM357664v1:4:8745584:8750039:-1 gene:itb04g09450 transcript:itb04g09450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKDEITSPLSAQLLEFSESELFPETIQNSEVGSSSNCCYEDNSSYSTNHLPLTPDMNTFNGGADTKDDVAAVSQPSNPADDHNNNNHMSIIFDAQEDIDNDFSASIDFGPNGGGAESFSLPPHFLHHQFDISSLDAAHQIPVATDADQHQQYPSDQQMVQLMGPPPPAAQPAQQPQPIVYDDECLSSVPSYLRLTSSSPSCSLLDPSLGSYLSPGSLNPALSADNSGVFTGAGFFLGADLPPQDLEFQGDNSRLFCPDSIPRVYNCASDQFQTLSSESQHLVSGAGSSTPLTSDITCLEDTTFKVGKLSVEERKEKIHRYLKKRNERNFSKKIKVI >itb12g26630.t2 pep chromosome:ASM357664v1:12:27440778:27444450:1 gene:itb12g26630 transcript:itb12g26630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGDSCSGSCLVMSVEGKENFGPIFFGVSCAFFALRVLPDSDESCDENWSEIRNKMLQGSAQLLGLLVWRIQKEEANTRMSDLTFELENARGEIEELKRRRSEDAKANAKVVGIYAAQEQCWFNERKKLRQHIGGLMHELRVLGVKKDKTVSELDDKLRENEAALQSKDKMIEEAGQKCKELEEELRKAENVAEELRNAAKVEAQKHASEITKHKTAFIELVSSQRQLEAEMGRAARQAEAAKEEFDSVLQQKEQSVLMMQKLSMDLIKVRKDLEQKDQILSAMLRKSKLDTAEKQMLLQEVKLSKSKRKQAELETERWKLLSESRHERLSLRNLLSKHGNSKLDVIPNGKGLLPNEIMPSNSGKNRLKKIDYLLEYEQSACRKDPELVSPLTDNYLTNDNEISVADIEHLENWVRSEVEKYRIAFEQRHHLEIEAFAEQLRLKDEKLEAFRWRLLSMEVESKRLRTHFEAMDQDLSLLRQENMRLEAVLLNREAELNSLNERLSESKDVPDCQKPQDQDTIWSKVKIIKRKPGEKEQGIKKGTEEICEEGEITRDEKGTAVNPPKDIILTLKYPVKEIQEEGASVALQPSSTGEEDFSTDSARGAETSTSVDNAATKISPAWKVNLQALGVSCKIKMLKQQFLVLERLTGKQERCENSESNDNAHFTMQGFYALMSVLNKQVARYESLQGKIDDLCKRMHENNLDKASERISIARTKDQIKMLEHFLEETFQLQRYIVATGQKLIEVQTKVASGLVGALQEVDRPDCFDMKRFADNIKTLFKEVQRGLEVRIARIIGDLEGTLACDGMTR >itb12g26630.t1 pep chromosome:ASM357664v1:12:27440628:27444450:1 gene:itb12g26630 transcript:itb12g26630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGDSCSGSCLVMSVEGKENFGPIFFGVSCAFFALRVLPDSDESCDENWSEIRNKMLQGSAQLLGLLVWRIQKEEANTRMSDLTFELENARGEIEELKRRRSEDAKANAKVVGIYAAQEQCWFNERKKLRQHIGGLMHELRVLGVKKDKTVSELDDKLRENEAALQSKDKMIEEAGQKCKELEEELRKAENVAEELRNAAKVEAQKHASEITKHKTAFIELVSSQRQLEAEMGRAARQAEAAKEEFDSVLQQKEQSVLMMQKLSMDLIKVRKDLEQKDQILSAMLRKSKLDTAEKQMLLQEVKLSKSKRKQAELETERWKLLSESRHERLSLRNLLSKHGNSKLDVIPNGKGLLPNEIMPSNSGKNRLKKIDYLLEYEQSACRKDPELVSPLTDNYLTNDNEISVADIEHLENWVRSEVEKYRIAFEQRHHLEIEAFAEQLRLKDEKLEAFRWRLLSMEVESKRLRTHFEAMDQDLSLLRQENMRLEAVLLNREAELNSLNERLSESKDVPDCQKPQDQDTIWSKVKIIKRKPGEKEQGIKKGTEEICEEGEITRDEKGTAVNPPKDIILTLKYPVKEIQEEGASVALQPSSTGEEDFSTDSARGAETSTSVDNAATKISPAWKVNLQALGVSCKIKMLKQQFLVLERLTGKQERCENSESNDNAHFTMQGFYALMSVLNKQVARYESLQGKIDDLCKRMHENNLDKASERISIARTKDQIKMLEHFLEETFQLQRYIVATGQKLIEVQTKVASGLVGALQEVDRPDCFDMKRFADNIKTLFKEVQRGLEVRIARIIGDLEGTLACDGMTR >itb12g26630.t3 pep chromosome:ASM357664v1:12:27440778:27444450:1 gene:itb12g26630 transcript:itb12g26630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGDSCSGSCLVMSVEGKENFGPIFFGVSCAFFALRVLPDSDESCDENWSEIRNKMLQGSAQLLGLLVWRIQKEEANTRMSDLTFELENARGEIEELKRRRSEDAKANAKVVGIYAAQEQCWFNERKKLRQHIGGLMHELRVLGVKKDKTVSELDDKLRENEAALQSKDKMIEEAGQKCKELEEELRKAENVAEELRNAAKVEAQKHASEITKHKTAFIELVSSQRQLEAEMGRAARQAEAAKEEFDSVLQQKEQSVLMMQKLSMDLIKVRKDLEQKDQILSAMLRKSKLDTAEKQMLLQEVKLSKSKRKQAELETERWKLLSESRHERLSLRNLLSKHGNSKLDVIPNGKGLLPNEIMPSNSGKNRLKKIDYLLEYEQSACRKDPELVSPLTDNYLTNDNEISVADIEHLENWVRSEVEKYRIAFEQRHHLEIEAFAEQLRLKDEKLEAFRWRLLSMEVESKRLRTHFEAMDQDLSLLRQENMRLEAVLLNREAELNSLNERLSESKDVPDCQKPQDQDTIWSKVKIIKRKPGEKEQGIKKGTEEICEEGEITRDEKGTAVNPPKDIILTLKYPVKEIQEEGASVALQPSSTGEEDFSTDSARGAETSTSVDNAATKISPAWKVNLQALGVSCKIKMLKQQFLVLERLTGKQERCENSESNDNAHFTMQGFYALMSVLNKQVARYESLQGKIDDLCKRMHENNLDKASERISIARTKDQIKMLEHFLEETFQLQRYIVATGQKLIEVQTKVASGLVGALQEVDRPDCFDMKRFADNIKTLFKEVQRGLEVRIARIIGDLEGTLACDGMTR >itb15g10800.t2 pep chromosome:ASM357664v1:15:8554688:8562909:1 gene:itb15g10800 transcript:itb15g10800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MEKQSGGRKIFGAQLNEDDASRLVGGIIEKGFSDSSSRLGFSLAPPRPTVLPFPAARHRSHGPHWKPKIQGEEAENEAEEEEDLTGLNKVGAFANPVLRKDKKGLDFSRWREIVDGGNNSRVHKKEESSKEQQNFAVQCENVGKLNSGSISRDATMHDKESEVPEVIKEQEQIISDMTIDIEEGNVGMPSIGLKKLNEDVQVDNGRQYMQTDRITDGFLSQNVGNGQAVTLESQIDAENRARLAGMSADEIAEAQAEILAKLSPAGIEAFKKRGLNKLKRKNHSNSGSLAIGEKDSFQNEKSLADSTVSSASSNSNVASNANLKEKHGDLDGNIPNSFPKGTGLWDGWSKRVERVRELRFSMDGDIIENDAHLAATTGVISSSSDYTLDNVSERDFIRTEGDPGGAGYTIKEAVALTRSVISGQRALALHLIASVLNKAIFGICQNQFASTLNYTGTNGSIDWEAIWAFALGPEPELALALRICLDDNHKSVVVACAKVIQCVLTCEINESFFDIAERIPYYWMDVPTAPVFRSRPDIDGGFLHGGFWKYNTKPSNILPLAEDSLNNNPEDEHTIQDDVVVAGQDIAAGLIRMGILQRFCYLLEVDPSAILEECALSILIAIARHSPTCANAIMKCKSLVQIIVNRFSAIDPMKITPSKIKAVTLLKILARVSKKNCAEFVKDGIFEKMTLHLYLYSSSLDQWVKSGREACKLSSALLVEQLRFWEVCIHYGYSVSHFSNLFPALCIWLTFPAFEKLIEKNIVREYAMISKEAFLVLRALSKRLPNFYSNVHQMKATTEDTENWSWSSVGPLIDSALEWTIINNIPHISRLLECENKHKEYSVTQESEINSLLWLISSAMHMFSGILEAVIPEDNRNLCNGHLPWLPEFVPKIGLEVIKNGFFSFSGVSGNKLDGHAGTGSLLESLCLLRLKCGVETSIASTCCLQGVIQVVVAVNKLISLANCKTHNASSIYQTALREDKILSDGILQSCLPDLGDLITSLAELIDPKWQPMQSIETFGRGGPAPGIGVGWGASGGGFWSKITLSAEVDGVLLVYLLDTLQIMSSENQMSSVIQRINSALAVCLVLGPKGQSTIDKLFGILFQAPVLKSLDFIIRQSIDLNKWLKPFEWEYKEEDYLLFSNVLISHFRNRWLGRKKKHNSLDGDQAHNKSEKGRISLETIHEETTVSSLTTQDPTSLVVEWAYQRLPLPLHWFLSPLSTVHCSKNAFPPIASNTMTCNQEHMGFLDVLKGGLFFIFGIEAASTLLAAGSPSPVLNVPVVLKLHALSVVLLTGMSVLEEGNCRIVYENLQNIYGQLLDVGGSTDVKSLNFQTEIHEGYSTFVETLVEQFAAVSYGDFLFGRQVACYLHRSVEAPVRLATWNALSTAFALELLPPLEKCISTADGYLEPVEDDERILEAYVKSWMSGALDKASTRFSASFTLALHHLSTFIFKTCSGDMLPLRNKLVRSLLRDCSRKQQHEGMMISLILYEKADACIRDGAGTEEEYMSQRNCKTENRLQKLREGCEGNSSLLKVVEKLGSEISLKQHGGS >itb15g10800.t1 pep chromosome:ASM357664v1:15:8554688:8562909:1 gene:itb15g10800 transcript:itb15g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MEKQSGGRKIFGAQLNEDDASRLVGGIIEKGFSDSSSRLGFSLAPPRPTVLPFPAARHRSHGPHWKPKIQGEEAENEAEEEEDLTGLNKVGAFANPVLRKDKKGLDFSRWREIVDGGNNSRVHKKEESSKEQQNFAVQCENVGKLNSGSISRDATMHDKESEVPEVIKEQEQIISDMTIDIEEGNVGMPSIGLKKLNEDVQVDNGRQYMQTDRITDGFLSQNVGNGQAVTLESQIDAENRARLAGMSADEIAEAQAEILAKLSPAGIEAFKKRGLNKLKRKNHSNSGSLAIGEKDSFQNEKSLADSTVSSASSNSNVASNANLKEKHGDLDGNIPNSFPKGTGLWDGWSKRVERVRELRFSMDGDIIENDAHLAATTAGVISSSSDYTLDNVSERDFIRTEGDPGGAGYTIKEAVALTRSVISGQRALALHLIASVLNKAIFGICQNQFASTLNYTGTNGSIDWEAIWAFALGPEPELALALRICLDDNHKSVVVACAKVIQCVLTCEINESFFDIAERIPYYWMDVPTAPVFRSRPDIDGGFLHGGFWKYNTKPSNILPLAEDSLNNNPEDEHTIQDDVVVAGQDIAAGLIRMGILQRFCYLLEVDPSAILEECALSILIAIARHSPTCANAIMKCKSLVQIIVNRFSAIDPMKITPSKIKAVTLLKILARVSKKNCAEFVKDGIFEKMTLHLYLYSSSLDQWVKSGREACKLSSALLVEQLRFWEVCIHYGYSVSHFSNLFPALCIWLTFPAFEKLIEKNIVREYAMISKEAFLVLRALSKRLPNFYSNVHQMKATTEDTENWSWSSVGPLIDSALEWTIINNIPHISRLLECENKHKEYSVTQESEINSLLWLISSAMHMFSGILEAVIPEDNRNLCNGHLPWLPEFVPKIGLEVIKNGFFSFSGVSGNKLDGHAGTGSLLESLCLLRLKCGVETSIASTCCLQGVIQVVVAVNKLISLANCKTHNASSIYQTALREDKILSDGILQSCLPDLGDLITSLAELIDPKWQPMQSIETFGRGGPAPGIGVGWGASGGGFWSKITLSAEVDGVLLVYLLDTLQIMSSENQMSSVIQRINSALAVCLVLGPKGQSTIDKLFGILFQAPVLKSLDFIIRQSIDLNKWLKPFEWEYKEEDYLLFSNVLISHFRNRWLGRKKKHNSLDGDQAHNKSEKGRISLETIHEETTVSSLTTQDPTSLVVEWAYQRLPLPLHWFLSPLSTVHCSKNAFPPIASNTMTCNQEHMGFLDVLKGGLFFIFGIEAASTLLAAGSPSPVLNVPVVLKLHALSVVLLTGMSVLEEGNCRIVYENLQNIYGQLLDVGGSTDVKSLNFQTEIHEGYSTFVETLVEQFAAVSYGDFLFGRQVACYLHRSVEAPVRLATWNALSTAFALELLPPLEKCISTADGYLEPVEDDERILEAYVKSWMSGALDKASTRFSASFTLALHHLSTFIFKTCSGDMLPLRNKLVRSLLRDCSRKQQHEGMMISLILYEKADACIRDGAGTEEEYMSQRNCKTENRLQKLREGCEGNSSLLKVVEKLGSEISLKQHGGS >itb15g10800.t3 pep chromosome:ASM357664v1:15:8554688:8562909:1 gene:itb15g10800 transcript:itb15g10800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MEKQSGGRKIFGAQLNEDDASRLVGGIIEKGFSDSSSRLGFSLAPPRPTVLPFPAARHRSHGPHWKPKIQGEEAENEAEEEEDLTGLNKVGAFANPVLRKDKKGLDFSRWREIVDGGNNSRVHKKEESSKEQQNFAVQCENVGKLNSGSISRDATMHDKESEVPEVIKEQEQIISDMTIDIEEGNVGMPSIGLKKLNEDVQVDNGRQYMQTDRITDGFLSQNVGNGQAVTLESQIDAENRARLAGMSADEIAEAQAEILAKLSPAGIEAFKKRGLNKLKRKNHSNSGSLAIGEKDSFQNEKSLADSTVSSASSNSNVASNANLKEKHGDLDGNIPNSFPKGTGLWDGWSKRVERVRELRFSMDGDIIENDAHLAATTGVISSSSDYTLDNVSERDFIRTEGDPGGAGYTIKEAVALTRSVISGQRALALHLIASVLNKAIFGICQNQFASTLNYTGTNGSIDWEAIWAFALGPEPELALALRICLDDNHKSVVVACAKVIQCVLTCEINESFFDIAERIPYYWMDVPTAPVFRSRPDIDGGFLHGGFWKYNTKPSNILPLAEDSLNNNPEDEHTIQDDVVVAGQDIAAGLIRMGILQRFCYLLEVDPSAILEECALSILIAIARHSPTCANAIMKCKSLVQIIVNRFSAIDPMKITPSKIKAVTLLKILARVSKKNCAEFVKDGIFEKMTLHLYLYSSSLDQWVKSGREACKLSSALLVEQLRFWEVCIHYGYSVSHFSNLFPALCIWLTFPAFEKLIEKNIVREYAMISKEAFLVLRALSKRLPNFYSNVHQMKATTEDTENWSWSSVGPLIDSALEWTIINNIPHISRLLECENKHKEYSVTQESEINSLLWLISSAMHMFSGILEAVIPEDNRNLCNGHLPWLPEFVPKIGLEVIKNGFFSFSGVSGNKLDGHAGTGSLLESLCLLRLKCGVETSIASTCCLQGVIQVVVAVNKLISLANCKTHNASSIYQTALREDKILSDGILQSCLPDLGDLITSLAELIDPKWQPMQSIETFGRGGPAPGIGVGWGASGGGFWSKITLSAEVDGVLLVYLLDTLQIMSSENQMSSVIQRINSALAVCLVLGPKGQSTIDKLFGILFQAPVLKSLDFIIRQSIDLNKWLKPFEWEYKEEDYLLFSNVLISHFRNRWLGRKKKHNSLDGDQAHNKSEKGRISLETIHEETTVSSLTTQDPTSLVVEWAYQRLPLPLHWFLSPLSTVHCSKNAFPPIASNTMTCNQEHMGFLDVLKGGLFFIFGIEAASTLLAAGSPSPVLNVPVVLKLHALSVVLLTGMSVLEEGNCRIVYENLQNIYGQLLDVGGSTDVKSLNFQTEIHEGYSTFVETLVEQFAAVSYGDFLFGRQVACYLHRSVEAPVRLATWNALSTAFALELLPPLEKCISTADGYLEPVEDDERILEAYVKSWMSGALDKASTRFSASFTLALHHLSTFIFKTCSGDMLPLRNKLVRSLLRDCSRKQQHEGMMISLILYEKADACIRDGAGTEEEYMSQRNCKTENRLQKLREGCEGNSSLLKVVEKLGSEISLKQHGGS >itb03g01320.t1 pep chromosome:ASM357664v1:3:724287:726617:1 gene:itb03g01320 transcript:itb03g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQRVCRKCQSAYSPVRRSHSMHWHHVPPLNDVESDHVVALTSSTLGSLLLDPLNQNSGEEHDGITVQAREEAPFDTVKTEAMTWSQMIDKKIARTPAGEPETINAWELMEDLEDITPLKPCSRHGRSFSFHVSPNPMFYHPPVLTTKDDTEASSKQQWSEVADNESNSTSASSVGFASEFDPEVIATFRKTLAELPPATPFRLKPLVPDTIDNGEVITLHYASEDAKSPESGKNRVIVYFTSLRGVRRTYEDCCYVRTILQGLGVKVDDRDVSMHSGFKDELKELLGESYNAGGLPRVFVGKNYIGGADEIRRMHEDGQLEKLVEFCETAAETAAAGGGISGGCEACGDIRFVPCETCSGSCKIYCEGGNGEEEQQEFGFQRCPDCNENGLIRCPICCD >itb08g09570.t1 pep chromosome:ASM357664v1:8:8781985:8787537:-1 gene:itb08g09570 transcript:itb08g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGIRFLLAIGDSQLTKEEVATLSKNINLLEDNKRKLLGEGLDSCSIDELQVMEKQLEGGLSCIRARKDLLFKEHINQLKAKVISYSCQLSPPAK >itb08g09570.t3 pep chromosome:ASM357664v1:8:8784466:8787537:-1 gene:itb08g09570 transcript:itb08g09570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGIRFLLAIGDSQLTKEEVATLSKNINLLEDNKRKLLGEGLDSCSIDELQVMEKQLEGGLSCIRARKDLLFKEHINQLKAKEKVLCKQNAELRKLVRYFPSLYWILYFSIYTCMLFKMTSHVYYILGHMIKSSP >itb08g09570.t4 pep chromosome:ASM357664v1:8:8781985:8787537:-1 gene:itb08g09570 transcript:itb08g09570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGIRFLLAIGDSQLTKEEVATLSKNINLLEDNKRKLLGEGLDSCSIDELQVMEKQLEGGLSCIRARKDLLFKEHINQLKAKCEKSQVPISLIKLGEPQKQIMEVETELYIGLPSQ >itb08g09570.t2 pep chromosome:ASM357664v1:8:8781907:8787537:-1 gene:itb08g09570 transcript:itb08g09570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGIRFLLAIGDSQLTKEEVATLSKNINLLEDNKRKLLGEGLDSCSIDELQVMEKQLEGGLSCIRARKDLLFKEHINQLKAKEKVLCKQNAELRKLCEKSQVPISLIKLGEPQKQIMEVETELYIGLPSQ >itb11g00400.t1 pep chromosome:ASM357664v1:11:177680:178087:1 gene:itb11g00400 transcript:itb11g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKPKINKREYYLSFVRPRRRCLSRRAVEVDASLLTQATATDCLTGGNPSPIAVNDAVPVPAGKTVSCFPLKASTTVSNSQHDTITGYSGSIISLFQYLLLYKSFSVSLLRSSRQFPIILDSSPVLRSLCFSLL >itb01g09690.t1 pep chromosome:ASM357664v1:1:7932988:7935273:1 gene:itb01g09690 transcript:itb01g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAIKAKEIAEKKMQNNDFEGAQKLAAKAQRLYPDLENINQLLAVCNVHCSAKNMLGLEKDWYGILQVDRSADEVTIKKQYRKLALVLHPDKNKFPGAEAAFKLIGEANVVLSDQTKRSIYDSKFRVSIRSTSMRPPPHQVNPPARPTFFTNGFTSQFSGQNQYQKVQSTSSVHETSFWTTCPFCSVRFQYRREIVNRAVRCQNCLKSFVAYDLGYQGVPTGVGLHQPSQPPQQKVVPNQGTTKTAANTAGFPSSHKEFQHGSGVNWAGQEPGTHRGNTSKVFEDLKAKEKHGNGMDSVAGGKGEAGTCKVNEWPQDCRDFKNKSGKRSRKQASESSESFDTSSSNESEDFSNEKGSNPAGGQGDEPNGNFVRRSSRRRQNVSYNEAEEEDDQINHPKIVPDSKPEEKGVPSEQNLHEGNINAPNVDADAAGSTVNGAKKVEVIDCESDSEVSDTSSSPKEFDYPDQEFHDFDKEKEEKCFRAGQLWACYDTVDGMPRYYAHIKKVASSKFRLHFNWLEVDPDDQYGIIWNKAGLPVACGKFKHGDPEVSDNLASFSHQMAWTKGKKKGSYIIYPSKGEIWALFKGWDISWSSEPNKHQPFKYEIVEVLSDFDADVGFKVGYLNKLDGFVSLFQRTSQGDADSSSLLIKQNELYRFSHRIPSFRLTGTEREGVPEGSFELDLASIPQDPNDLWYPLKEANRNGEPELKSPGRNGKTRTPKKVGTPNKSTDCKEVSDGDGEAEMPKLRKSPRAARKR >itb15g06050.t1 pep chromosome:ASM357664v1:15:3961381:3963077:-1 gene:itb15g06050 transcript:itb15g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKTKQVEVRRKIEYSMQLNASRIKFLQAQDDVVNAMKESASKELLRVSEDKNAYKRLLKGLIVQSLLKLQEPSVLLRCREMDVSLVKSVVEDAKREYIEKDKTRRTPNITLDSVYLPPPPSGANVHGPSCAGGVVLASQDGKIVFENTLNARLDVIFRRLLPEIRKQLYSAINA >itb15g06050.t2 pep chromosome:ASM357664v1:15:3961381:3963077:-1 gene:itb15g06050 transcript:itb15g06050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKTKQVEVRRKIEYSMQLNASRIKFLQAQDDVVNAMKESASKELLRVSEDKNAYKRLLKGLIVQSLLKLQEPSVLLRCREMDVSLVKSVVEDAKREYIEKDKTRRTPNITLDSVYLPPPPSGANVHGPSCAGGVVLASQDGKIVFENTLNARLDVIFRRLLPEIRKQLYSAINA >itb05g24200.t1 pep chromosome:ASM357664v1:5:29073839:29076808:1 gene:itb05g24200 transcript:itb05g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSERLMQVGRMMNNGVSFRFLSLVVIITAGLVITSLRISRETIAPKLEDDRKFKKTPLSSCNFYSGKWVFDKDFSPLYSSRSCSFMDDGMACGKYGRSNLDYMFWKWQPHDCELPRFDAISLLEKLRNKRVVFVGDSLNRNQWVSLVCLIESSIPDQSLKYVKFNGSLVTFEAIEYNAKIEFYWAPLLVESNCDDPSYHRVEDRIVRVDSIEKHARHWKDADVLVFNSYIWWRWMKMKVLRGSFESTNATYEDLGTLHTFELTLKTWSDWLDAHVNRTKTRVFFVSMSPTHSRAEEWGGRNGENCYNETEPISNPGYWGMDSDPDMMRLVEAAVHKLSSRGLNVEMLNITQLSEYRKEAHSSIYRKHWDPLTKEQLVNPGSYADCLHWCLPGVPDVWNQLLYVYLLYL >itb10g20500.t2 pep chromosome:ASM357664v1:10:26058093:26060727:1 gene:itb10g20500 transcript:itb10g20500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKMNRGELTMAGAGICTLLSMHLTIQTLTQHLFYWKNPKEQKAIIIIILMAPIYAVDSFLGLLDIRGSKEFFMFLDSIKECYEALAIAKFLALLYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTTRLDHHTLKLLKYWTWQFVIVRPISSILMITLQILGFYPSWLSWTFTIILNISFYVAMYSLLAFYHVFSKELQPHKPLSKFLCIKGIVFFSFWQGILLDILVAMGIIKSQHFWLDVEHIQEAIQNVLICVEMVFFALMQQYAFHVAPYSGDMEAKFRLKKSE >itb10g20500.t1 pep chromosome:ASM357664v1:10:26057846:26060745:1 gene:itb10g20500 transcript:itb10g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKMNRGELTMAGAGICTLLSMHLTIQTLTQHLFYWKNPKEQKAIIIIILMAPIYAVDSFLGLLDIRGSKEFFMFLDSIKECYEALAIAKFLALLYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTTRLDHHTLKLLKYWTWQFVIVRPISSILMITLQILGFYPSWLSWTFTIILNISFYVAMYSLLAFYHVFSKELQPHKPLSKFLCIKGIVFFSFWQGILLDILVAMGIIKSQHFWLDVEHIQEAIQNVLICVEMVFFALMQQYAFHVAPYSGDMEAKFRLKKSE >itb10g20500.t3 pep chromosome:ASM357664v1:10:26058084:26060745:1 gene:itb10g20500 transcript:itb10g20500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKMNRGELTMAGAGICTLLSMHLTIQTLTQHLFYWKNPKEQKAIIIIILMAPIYAVDSFLGLLDIRGSKEFFMFLDSIKECYEALAIAKFLALLYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTTRLDHHTLKLLKYWTWQFVIVRPISSILMITLQILGFYPSWLSWTFTIILNISFYVAMYSLLAFYHVFSKELQPHKPLSKFLCIKGIVFFSFWQGILLDILVAMGIIKSQHFWLDVEHIQEAIQNVLICVEMVFFALMQQYAFHVAPYSGDMEAKFRLKKSE >itb04g27930.t2 pep chromosome:ASM357664v1:4:31811636:31818916:1 gene:itb04g27930 transcript:itb04g27930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRPVEGEVVDGSSTCVDPESVVKKHRIGCLISSAATEKTSGSCDTDSIGKSGSSTNGSASGSKSVGEPSLKDMAFDDGNPHDIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDEGTVELWDLSSNFLFSETDVGKNRALASVQKLQELNNAVIVSTLTTKLTKEKLSEFQAVVFTDISLEEAVEFDDFCHTNQPPIAFIKADVRGLFGSIFCDFGPQFAVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDVVVFSEVRGMTELNDGKPRKILTARPYSFTLEEDTTKFGAYERGGIVTQVKQPKILNFKPLREALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFRSELGRFPIAGSEDDAQKLISIASAMNESSGDAKLEDINPKLLRHLSFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTDTLDPSDFMPLNSRYDAQIAVFGKKLQKKLEEAKVFVVGSGALGCEFLKNLALMGIACGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAATSINSKFHIEALQNRVGPETENVFDDTYWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEANAYLSNPSEYTSAQIQAGDAQARDNLERVLECLDRERCETFENCIAWARHKFEDYFANRVKQLIFTFPEDAATSTGAPFWSAPKRFPQPLQFSSKDQSHLHLVLAASILRAETYGIPIPDWAKQPQKLAEAVDSIIVPDFQPKKGVKIETDEKATSLTTSSIDDAAVINELIMKVEQCRKNLPPNFRMKPIQFEKDDDTNYHMDMIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDEGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHKDMSWTVWDRWIIKDNPTLKELIQWLADKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDVAKVELPPYRRHLDVVVACEDDDDNDIDIPLISVYYR >itb04g27930.t1 pep chromosome:ASM357664v1:4:31811636:31818916:1 gene:itb04g27930 transcript:itb04g27930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRPVEGEVVDGSSTCVDPESVVKKHRIGCLISSAATEKTSGSCDTDSIGKSGSSTNGSASGSKSVGEPSLKDMAFDDGNPHDIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDEGTVELWDLSSNFLFSETDVGKNRALASVQKLQELNNAVIVSTLTTKLTKEKLSEFQAVVFTDISLEEAVEFDDFCHTNQPPIAFIKADVRGLFGSIFCDFGPQFAVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDVVVFSEVRGMTELNDGKPRKILTARPYSFTLEEDTTKFGAYERGGIVTQVKQPKILNFKPLREALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFRSELGRFPIAGSEDDAQKLISIASAMNESSGDAKLEDINPKLLRHLSFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTDTLDPSDFMPLNSRYDAQIAVFGKKLQKKLEEAKVFVVGSGALGCEFLKNLALMGIACGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAATSINSKFHIEALQNRVGPETENVFDDTYWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEANAYLSNPSEYTSAQIQAGDAQARDNLERVLECLDRERCETFENCIAWARHKFEDYFANRVKQLIFTFPEDAATSTGAPFWSAPKRFPQPLQFSSKDQSHLHLVLAASILRAETYGIPIPDWAKQPQKLAEAVDSIIVPDFQPKKGVKIETDEKATSLTTSSIDDAAVINELIMKVEQCRKNLPPNFRMKPIQFEKDDDTNYHMDMIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDEGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHKDMSWTVWDRWIIKDNPTLKELIQWLADKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDVAKVELPPYRRHLDVVVACEDDDDNDIDIPLISVYYR >itb04g27930.t3 pep chromosome:ASM357664v1:4:31811636:31818916:1 gene:itb04g27930 transcript:itb04g27930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRPVEGEVVDGSSTCVDPESVVKKHRIGCLISSAATEKTSGSCDTDSIGKSGSSTNGSASGSKSVGEPSLKDMAFDDGNPHDIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDEGTVELWDLSSNFLFSETDVGKNRALASVQKLQELNNAVIVSTLTTKLTKEKLSEFQAVVFTDISLEEAVEFDDFCHTNQPPIAFIKADVRGLFGSIFCDFGPQFAVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDVVVFSEVRGMTELNDGKPRKILTARPYSFTLEEDTTKFGAYERGGIVTQVKQPKILNFKPLREALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFRSELGRFPIAGSEDDAQKLISIASAMNESSGDAKLEDINPKLLRHLSFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTDTLDPSDFMPLNSRYDAQIAVFGKKLQKKLEEAKVFVVGSGALGCEFLKNLALMGIACGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAATSINSKFHIEALQNRVGPETENVFDDTYWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEANAYLSNPSEYTSAQIQAGDAQARDNLERVLECLDRERCETFENCIAWARHKFEDYFANRVKQLIFTFPEDAATSTGAPFWSAPKRFPQPLQFSSKDQSHLHLVLAASILRAETYGIPIPDWAKQPQKLAEAVDSIIVPDFQPKKGVKIETDEKATSLTTSSIDDAAVINELIMKVEQCRKNLPPNFRMKPIQFEKDDDTNYHMDMIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDEGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHKDMSWTVWDRWIIKDNPTLKELIQWLADKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDVAKVELPPYRRHLDVVVACEDDDDNDIDIPLISVYYR >itb04g24850.t1 pep chromosome:ASM357664v1:4:29590670:29591683:-1 gene:itb04g24850 transcript:itb04g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMRALIFFVLISQLLFSSSGTAALITSPPSSLLADVFSKLGFRELAAVTAAANISIAAAAVTVFAPSDSAFLTCPSCSLPLLLQEHSLPGLYSIHHLRSFAFGTKIETLARHRCLTVTSSAAAAAVAVKKVFVNGAEVTKADLFNNGRVIIHGLQGFVSHLSDLSCEVDRQTTLVVSPAPAAGVFAARRMLKTAMMQLRASGYSIVALAMRVKYTELSELTTMTVFALDDADIFAAGEGHAYVADLGFHIVPNRLLTASELIALPPGTVLPTMERGRSLVVTTAGGGGAFTPLRINFVKIKNLDLVTNGRIVVHGLSAPFRALVRIKRMVGDSA >itb04g24850.t2 pep chromosome:ASM357664v1:4:29590670:29591008:-1 gene:itb04g24850 transcript:itb04g24850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFALDDADIFAAGEGHAYVADLGFHIVPNRLLTASELIALPPGTVLPTMERGRSLVVTTAGGGGAFTPLRINFVKIKNLDLVTNGRIVVHGLSAPFRALVRIKRMVGDSA >itb08g10850.t1 pep chromosome:ASM357664v1:8:10486577:10487870:-1 gene:itb08g10850 transcript:itb08g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASFLLLNLFLLLITSNSLSLASVSVQQSKFWSQNVDSNMPQSILSKLSPLSESEAEMFTPLASKKYFLSNPKFCTTANLVCSVAVKPLPPTNINYAHFTDKVYVPMEQVHPASLFRLSVIKKGHKVTLPTNLDRELPNRSFLPPQIARNIHLSASELQRIFPTAFSIPMTKAAIQLSLSHCDAPTVKGERRGCLKTLEDMIQFSRESLGSKHLVALASDYTKGVGNQVVMIENVKPYKTNKIVACHEMFFPFATYYCHKLPSTQIYAVDVVKPNKIGTTNKMFVICHMDTSAWAPDHVAFKLLKLSPGKGEVCHWITQMDLIWVGSD >itb01g27140.t2 pep chromosome:ASM357664v1:1:32076928:32077648:-1 gene:itb01g27140 transcript:itb01g27140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPCGDDDPEWIKRVRSEGPVPYLDPDNCSTGWASPSGDIFMVRGPEYFSNKVKIPGGEYLLKPLGFDWIRGPSKLSDLLHNPKNRIRKALEEEFPSGPKPFVWAFNLQVPTKENYSAVAYFVAVGSIVEGSLMDQFMKGDLEFRTSRLKLVANIFKGPWIVKKAVGEQAICLFSLRARLNQNFQNDFLEL >itb01g27140.t1 pep chromosome:ASM357664v1:1:32075549:32077648:-1 gene:itb01g27140 transcript:itb01g27140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPCGDDDPEWIKRVRSEGPVPYLDPDNCSTGWASPSGDIFMVRGPEYFSNKVKIPGGEYLLKPLGFDWIRGPSKLSDLLHNPKNRIRKALEEEFPSGPKPFVWAFNLQVPTKENYSAVAYFVAVGSIVEGSLMDQFMKGDLEFRTSRLKLVANIFKGPWIVKKAVGEQAICVIGRALNCSYCAGDNFIEIDVDIGSSVVANAIVHLAFNYLTKLTVDLAFLIESQTESELPERLLGAIRFSELNPESAIPVEIPPQRKLERTKSSFARLWKSLGSSLSRLRKGQESETSSGTSGESHTNGVVDGEKSDEMHVQERGASSGETHTNGVVDGEKSDEMPAQESGASSGESNVNGVADGEKSDKIPAQESGASSGESHTNGVVGEEKSDEMPAQESGASSGESHTNVVVDGEKTDQMPAQESGVSSGELHTNVVVDGEKIHEIPAQESGASSGESHTDVVVDGEKNYEMPAQESGASSGESHTDAVVDGESDEMPAQESGASSGESHANVVVDEEKNHGIPAQESGASSGEPHTDAVVDGEKSDEMPAQESGASSGESHTNVVADEEKSHEMPAQESGASSGEPHTDAVVDGERDEMPAQESGASSGEPHTDAVVDGERDEMPAQESGASSGEPHTDAVVDGEKSDEMPAQESGASSGESHTNVVADEEKSHEMPAQESGASSGESHTNGVVDGEKNDEI >itb04g07300.t3 pep chromosome:ASM357664v1:4:4937893:4939798:1 gene:itb04g07300 transcript:itb04g07300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIFRPASLRKSPHMWLQTMYKMGGGRPKKKIYHRVDELDRVMELQKKPSLILQLKSIIESQRNQSLLLRDLEKEVGFVQKWNFMNVIEKYPTIFYVTCAGAVMLSRKAKEIPAEEGQVRVEMEPILIKNLCKLLMLSVDCRVPLGNIDLIENELGLPADYKNSLISKYPEFFLVKSVNGRDYLHLENWDSSLAVTAREERSACERESKLEEAGIKPTRTSKDGNFSGPFAFHFSFPAGFRPNKSYLEEVVRWQKMEFPSPYLNARRFDIADPKARKRVVGVLHELLSLTMEKRLTSAQLEAFHSEYRLPAKLLLCLIKQHGIFYITNKGVKSSVFLKEAYSGSNLIHKCPLLVFRDKFIALMGRKDISSY >itb04g07300.t1 pep chromosome:ASM357664v1:4:4937892:4939798:1 gene:itb04g07300 transcript:itb04g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIFRPASLRKSPHMWLQTMYKMGGGRPKKKIYHRVDELDRVMELQKKPSLILQLKSIIESQRNQSLLLRDLEKEVGFVQKWNFMNVIEKYPTIFYVTCAGAVMLSRKAKEIPAEEGQVRVEMEPILIKNLCKLLMLSVDCRVPLGNIDLIENELGLPADYKNSLISKYPEFFLVKSVNGRDYLHLENWDSSLAVTAREERSACERESKLEEAGIKPTRTSKDGNFSGPFAFHFSFPAGFRPNKSYLEEVVRWQKMEFPSPYLNARRFDIADPKARKRVVGVLHELLSLTMEKRLTSAQLEAFHSEYRLPAKLLLCLIKQHGIFYITNKGVKSSVFLKEAYSGSNLIHKCPLLVFRDKFIALMGRKDISSY >itb04g07300.t2 pep chromosome:ASM357664v1:4:4937901:4939755:1 gene:itb04g07300 transcript:itb04g07300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSSVLRSGVPASLRKSPHMWLQTMYKMGGGRPKKKIYHRVDELDRVMELQKKPSLILQLKSIIESQRNQSLLLRDLEKEVGFVQKWNFMNVIEKYPTIFYVTCAGAVMLSRKAKEIPAEEGQVRVEMEPILIKNLCKLLMLSVDCRVPLGNIDLIENELGLPADYKNSLISKYPEFFLVKSVNGRDYLHLENWDSSLAVTAREERSACERESKLEEAGIKPTRTSKDGNFSGPFAFHFSFPAGFRPNKSYLEEVVRWQKMEFPSPYLNARRFDIADPKARKRVVGVLHELLSLTMEKRLTSAQLEAFHSEYRLPAKLLLCLIKQHGIFYITNKGVKSSVFLKEAYSGSNLIHKCPLLVFRDKFIALMGRKDISSY >itb15g10030.t1 pep chromosome:ASM357664v1:15:7309935:7311125:1 gene:itb15g10030 transcript:itb15g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLDSIFGTEKARVNCPFYSKIGACRHGDRCSRLHNRPTVSPTLLLCNLYQRPDMTTPTVDPQEHFEDFYEDIFEELSKLGEIESLNVCDNLAVHMIGNVYVQFKEESRAAAALQALQGRLYCGRPIIAEFCPVTDFREAKCRQFEENCCNRGGYCNFMHAKVIGRGLRRKLFGRSGWYRRRSGSPPPPVCFTRERENDSGEEDCIYRQGSFESNGEKRRQKRSRSPVGVREGSEERRARIEQWNVEREGRQC >itb09g24140.t2 pep chromosome:ASM357664v1:9:23756512:23761483:1 gene:itb09g24140 transcript:itb09g24140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGSRFPIVFFVLCSCAAPLILFAGRGLYTSVKLDQHQFSTFSGKEVFDVIKTSAEDLGQVIPDSFSKNNLLASWRFARQEVLAKNNSTYLEVGMMSNKIANHLKQNTSRGKQDVSLDDEHSQLLDSPAKLARRQLRQKRHEKRVADFAKQDDEVTVKLESAAMERSKSVDSSVLGKYSIWRKEIENENSDSTVRLMRDQMIMARVYISIATSKKKLDLANELELRFKESQHALGDVSADSDLPHSAHEKIKAMDQLLSKAREQLYDCKLVTEKLRGMLQTADEQVRSLKRQSTFLSQLAAKMLPNGIHCLSMRLTIDYYHLPLEKRKFPKSENLENPDLYHYALFSDNVLAASVVVHSAVMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGNATIHVENVDEFKWLNSSYCVVLRQLESAAMKEYYFKAAHSTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSINLNGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNEERALWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYSPSIDRKEIENAAVIHYNGNMKPWLELAMTKYKLYWSKYVKFDHPYIRGCKLSK >itb09g24140.t1 pep chromosome:ASM357664v1:9:23756512:23761483:1 gene:itb09g24140 transcript:itb09g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGSRFPIVFFVLCSCAAPLILFAGRGLYTSVKLDQHQFSTFSGKEVFDVIKTSAEDLGQVIPDSFSKNNLLASWRFARQEVLAKNNSTYLESNKIANHLKQNTSRGKQDVSLDDEHSQLLDSPAKLARRQLRQKRHEKRVADFAKQDDEVTVKLESAAMERSKSVDSSVLGKYSIWRKEIENENSDSTVRLMRDQMIMARVYISIATSKKKLDLANELELRFKESQHALGDVSADSDLPHSAHEKIKAMDQLLSKAREQLYDCKLVTEKLRGMLQTADEQVRSLKRQSTFLSQLAAKMLPNGIHCLSMRLTIDYYHLPLEKRKFPKSENLENPDLYHYALFSDNVLAASVVVHSAVMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGNATIHVENVDEFKWLNSSYCVVLRQLESAAMKEYYFKAAHSTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSINLNGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNEERALWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYSPSIDRKEIENAAVIHYNGNMKPWLELAMTKYKLYWSKYVKFDHPYIRGCKLSK >itb09g24140.t3 pep chromosome:ASM357664v1:9:23758183:23761482:1 gene:itb09g24140 transcript:itb09g24140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKSVDSSVLGKYSIWRKEIENENSDSTVRLMRDQMIMARVYISIATSKKKLDLANELELRFKESQHALGDVSADSDLPHSAHEKIKAMDQLLSKAREQLYDCKLVTEKLRGMLQTADEQVRSLKRQSTFLSQLAAKMLPNGIHCLSMRLTIDYYHLPLEKRKFPKSENLENPDLYHYALFSDNVLAASVVVHSAVMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGNATIHVENVDEFKWLNSSYCVVLRQLESAAMKEYYFKAAHSTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSINLNGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNEERALWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYSPSIDRKEIENAAVIHYNGNMKPWLELAMTKYKLYWSKYVKFDHPYIRGCKLSK >itb11g19430.t3 pep chromosome:ASM357664v1:11:20217606:20221060:-1 gene:itb11g19430 transcript:itb11g19430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSQAILELSGCITKSTIPCQKPYISSSNLYFSESRCFSNTLSQLSLKMHPSGKRKTSIMCNSRTSSSEDKVGGLTYKEAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIRGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIIAGDVLIGLPSSGVHSNGFSLVRRVIKQSGLSLKDQLPGSPITLGEALMAPTVIYVKQVLDIINKGGVKGIAHITGGGFTDNIPRVFPKGLGAVIHKDSWVVPPVFKWIQEVRSFSPLPPWF >itb11g19430.t2 pep chromosome:ASM357664v1:11:20217196:20221070:-1 gene:itb11g19430 transcript:itb11g19430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSQAILELSGCITKSTIPCQKPYISSSNLYFSESRCFSNTLSQLSLKMHPSGKRKTSIMCNSRTSSSEDKVGGLTYKEAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIRGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIIAGDVLIGLPSSGVHSNGFSLVRRVIKQSGLSLKDQLPGSPITLGEALMAPTVIYVKQVLDIINKGGVKGIAHITGGGFTDNIPRVFPKGLGAVIHKDSWVVPPVFKWIQEAGNIEDAEMSRTFNMGIGMVLVVTPDTARRILEDGCESSKAFRIGEVVQGDGVSYH >itb11g19430.t4 pep chromosome:ASM357664v1:11:20217196:20220253:-1 gene:itb11g19430 transcript:itb11g19430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSQAILELSGCITKSTIPCQKPYISSSNLYFSESRCFSNTLSQLSLKMHPSGKRKTSIMCNSRTSSSEDKVGGLTYKEAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIRGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIIAGDVLIGLPSSGVHSNGFSLVRRVIKQSGLSLKDQLPGSPITLGEALMAPTVIYVKQVLDIINKGGVKGIAHITGGGFTDNIPRVFPKGLGAVIHKDSWVVPPVFKWIQEAGNIEDAEMSRTFNMGIGMVLVVTPDTARRILEDGCESSKAFRIGEVVQGDGVSYH >itb11g19430.t1 pep chromosome:ASM357664v1:11:20217196:20221070:-1 gene:itb11g19430 transcript:itb11g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSQAILELSGCITKSTIPCQKPYISSSNLYFSESRCFSNTLSQLSLKMHPSGKRKTSIMCNSRTSSSEDKVGGLTYKEAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIRGIVDGCKQSDCALLGGETAEMPDFYANGEYDLSGFAVGIVKKDSVIDGKNIIAGDVLIGLPSSGVHSNGFSLVRRVIKQSGLSLKDQLPGSPITLGEALMAPTVIYVKQVLDIINKGGVKGIAHITGGGFTDNIPRVFPKGLGAVIHKDSWVVPPVFKWIQEAGNIEDAEMSRTFNMGIGMVLVVTPDTARRILEDGCESSKAFRIGEVVQGDGVSYH >itb04g26150.t1 pep chromosome:ASM357664v1:4:30545127:30547544:-1 gene:itb04g26150 transcript:itb04g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKCGVCEEAQSKYKCPSCLIPYCSLTCFKKHKEIPCGIPQPSSEEKLAPTPALHVDKQLCVDEPSEVLNQSQLESVASSSEICEALRSEELQKLICNIDSSTDAESELDKAMQNEEFHILAQKILSTISP >itb04g26150.t2 pep chromosome:ASM357664v1:4:30545149:30547278:-1 gene:itb04g26150 transcript:itb04g26150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDFAEIPCGIPQPSSEEKLAPTPALHVDKQLCVDEPSEVLNQSQLESVASSSEICEALRSEELQKLICNIDSSTDAESELDKAMQNEEFHILAQKILSTISP >itb05g16040.t1 pep chromosome:ASM357664v1:5:23342017:23343180:1 gene:itb05g16040 transcript:itb05g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKRPGNLITASNSKLYMDLKDIIREQALPFLPAKSLFRFLAVCRDWMLHISTPSFHHNQSLCCRGISGLFCQTPENPPVFVPIHPESCGVPDPSLSFLPEPVVIRASSNGLLCCQGLDEDRYYYLCNPVTKQWKKLPKPTASHGSKPALVVIFEPSLLNSVPEYKLICAFESTDFDDATEFEIYSSKNNSWNVSKAICFRDEKADLGSGVHVNGVVYWPVESDHILSFDLTKHRSKLLDSLTAGIDCLLGTFDARLCKVYILGDEVFVNVFKVLNMHKITWDESEMWEDTLVLDPDNTFIPLDDDYIDTRAVAVSRDILVVVCDNEFYCYGFEDHKTNTLNQPEPAESEFYYEICVPYVNSLVSL >itb03g02140.t1 pep chromosome:ASM357664v1:3:1187154:1188009:1 gene:itb03g02140 transcript:itb03g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCHNNIGTLLPLLITLFIATSVPVAESLSTAPPAHSPNSGRKCGGAAECGGGEEFLMDSQTATWLLSSLQGNTQNTISYKGLKRPPVCDAKRSGSCLADKVNGGNSKCDYHNRSCVR >itb08g01320.t1 pep chromosome:ASM357664v1:8:973096:974076:-1 gene:itb08g01320 transcript:itb08g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDEVLTLERIRNHLLGEFTQRGCNLVNELSNSCSANSSGTEVSSSQSESYYSSPLTNISDHFIYGNIDDISFDFPTDYFDFEGNLLELEPISSVSSFSSLSFEQIDGDIDILRPESQSSISSSPCMSFEHSDTKFEATNCNQIIDLTSPETRNSNFQASFEARNSNFQASLEARDSNFQASFEARDSKFQASLCKERKPSMSIDVPAKKKFEWIVFGSSSPVREKSERRHYRGVRRRPWGKFAAEIRDPKRRGSRIWLGTFDTAIDAAKAYDRAAFRLRGRKAILNFPSEAAKHKLQMATQAAMDGGIMKQRREAEVVKNEKP >itb07g06520.t1 pep chromosome:ASM357664v1:7:4673646:4686794:1 gene:itb07g06520 transcript:itb07g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELHGLLAGNVSIVTGENIKPSYGGDDESFLRKVITPIYHVIDKEAKKGQNGKSPHTAWCNYDDLNEYFWSLDCFSLGWPMRDDGEFFKSTRDKSKGKGTSDKNPARMGKSNFAETRSFWHTFRSFDRLWTFFILALQALIIIAWSDVELLDIFRKDVLYGLSSIFITAAFLRFLQSILDLILNFPGYHRWMFTDVLRNVLKIIVSLAWTITLPLCYAHQNNSFTFGQIRDVFSFLNRVKGIPPLYLMAVALYLLPNLLAAVLFLFPMLRRWIESSDWLVVRFLLWWSQVCFPNVKYTLFWVLLLCCKFSFSYFVQIKPLVRPTKDIMNIHHIQYAWHEFFPNATNNYGAVIALWAPVILVYFMDTQIWYAIFSTLCGGAIGAFDRLGEIRTLGMLRSRFQSLPGAFNTFLVPSERTRKRGFSLSKRFHEVSPSKRTEAAKFAQLWNEIPIALDMAAQFRPKDADLWKRICADDYMKCAVIECYESFKLVLNTLIVGETEKRIIGVIIKEIEGNISRNTFLANFRMALLPELFMKFVELVELLKVGDKSKKDNVVLALQDMLEIVTSDMMVNEIRELIELTKDSGKQLFASHDSGPAIVFPLKMTAQWEEQIRRLCLLLTVKESAVEIPMNLEARRRISFFANSLFMEMPRAPRVHKMLSFSVMTPYYSEETLYSKSDLEMENEDGVSIIYYLQKIYPDEWNNFMERLGCKKEHEIWENEENILQLRHWASLRGQTLCRTIRGMMYYRRALKLQAFLDMASESEILEGYKAVTTSSEEDKKSQRSLHAQLEAVADIKFTYVATCQNYGNQKRSGDRRATDILNLLVNNPSLRVAYIDEVEERVGGKVQKVYYSVLVKAVDNFDQEIYRIKLPGSAKIGEGKPENQNHAIVFSRGEALQTIDMNQDNYLEEAFKMRNLLEEFNENHGVLPPTILGVREHIFTGSVSSLAWFMSMQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKSSRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYVSSMVVVLTVYAFLYGKLYLSLSGMEKAIVKFARSKGDDALKAAMASQSIVQLGLLMALPMIMEIGLERGFRTALGDMIIMQLQLAAVFFTFSLGTKLHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKGLEIMILLIVYQMYGKAAPDSVAFLFLSFSMWFLVVSLLFAPFLFNPSGFEWQKIVDDWDDWSKWISNRGGIGVPANKSWESWWEEEQEHLQYTGVLGCICEVLLSFRFLIYQYGIVYQLHVANNNKSILVYCLSWLVIVAVIIILKVVSLGRKRFSADFQLMFRLLKLFLFIGFIVTLVIFFTFLSLTVGDIFACLLAFLPTGWAILQIAQACKPLVKGVGMWGSVKALGRGYEYIMGLLIFTPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKNK >itb14g07390.t3 pep chromosome:ASM357664v1:14:6762078:6765867:1 gene:itb14g07390 transcript:itb14g07390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSRGQWGTKRRMVGVALFLLCFYGGLISLSCAARLTAARKHAELKRHLKRLNKAPVKTIESPDGDIIDCVHISKQRAFDHPFLKDHEIQMRPSYHPEGLYDVNKESSGPKERTNPITQLWHMNGRCPEDTIPVRRTKEDDVLRASSVKRYGKKKHSSLAKPRGTDPDLVNESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDINILIWKVRSKFTKVSLFFKWWAPAIIQPDHHCNS >itb14g07390.t2 pep chromosome:ASM357664v1:14:6762078:6764119:1 gene:itb14g07390 transcript:itb14g07390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSRGQWGTKRRMVGVALFLLCFYGGLISLSCAARLTAARKHAELKRHLKRLNKAPVKTIESPDGDIIDCVHISKQRAFDHPFLKDHEIQMRPSYHPEGLYDVNKESSGPKERTNPITQLWHMNGRCPEDTIPVRRTKEDDVLRASSVKRYGKKKHSSLAKPRGTDPDLVNESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVLSLAPRARN >itb14g07390.t1 pep chromosome:ASM357664v1:14:6762078:6765867:1 gene:itb14g07390 transcript:itb14g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSRGQWGTKRRMVGVALFLLCFYGGLISLSCAARLTAARKHAELKRHLKRLNKAPVKTIESPDGDIIDCVHISKQRAFDHPFLKDHEIQMRPSYHPEGLYDVNKESSGPKERTNPITQLWHMNGRCPEDTIPVRRTKEDDVLRASSVKRYGKKKHSSLAKPRGTDPDLVNESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDINILIWKDPKQGNWWMQFGNDYVLGYWPSFLFSYLGESASMIEWGGEVVNSRPDGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDSSNNLKSPKGLGTFTDQSNCYDVQTGNNGDWGHFFYYGGPGRNPNCQ >itb15g18690.t1 pep chromosome:ASM357664v1:15:20464824:20466809:-1 gene:itb15g18690 transcript:itb15g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLEQFKKWALMICCACAILQLVQGFYLPGSFPHRYDIGDQLSVKVNSLTSIETEIPYGYYTLPFCKPLEGVKDSAENLGELLMGDRIETSPYRFKMRVNESEIFLCQTNALSADEFKILKERIDEMYQVNLILDNLPAIRYMTKDGFLLRWTGYPVGVKVQNSYYVFNHLKFTVLVHKYERTTVPGVIGAADGAELIATDDKASKEVGGYMVVGFEVVPCSFLHNPKSLGNQTMYAVYPTPIKCDPAMVAMVIKEGQPLAFSYEVTFVESDITWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLIILLRTIRRDLARYEELDKEAQAQMNEELSGWKLVVGDVFRAPESVELLCVVVGNGCQILGMAVATIFFAALGFMSPASRGSLITGMLSFYLLLGIVAGYVGVWLLKTIKCGDPTGWFSISWRVACFFPGIAFSILTILNLLLWGSQSTGAIPLLTYIILLLLWFCISVPLTLMGGLIGTRVPHLEYPIKTNQIPREIPAQRFPTWFLVIGAGTLPFGTLFIELFFIMSSIWLGHVYYVFGFLFIVLILLVLVCAEVSLVLTYMQLCVEDWRWWWKSFFASGSVALYIFLYSINYLVFDLKSLSGPVSAILYLGYSLLMALAVMLATGAIGFLTSFMFVHRLFSSVKID >itb07g06940.t1 pep chromosome:ASM357664v1:7:5248714:5249807:1 gene:itb07g06940 transcript:itb07g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISFHGDLFHEILGARPHHLPSMSSVVHEVDGQWGTQGCTTIYKYTQGGKTETAETVMDTIDNEKKIVKYRVVKGDILNSYKSFIATCEVETNGDDNFVTWTIVYEKLKEEIPEPLTYIEYFLKLTKELDDHHAKPNP >itb05g04480.t1 pep chromosome:ASM357664v1:5:4039956:4044768:-1 gene:itb05g04480 transcript:itb05g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEAGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDVRHRKRKRKGIDYNAEIPFEKKPPPGFYDVTDEDRTVEQPKFPTTIEELEGERRVDKEARLRKQDIARNKIAQRQDAPSAILHANKLNDPETVRKRSKLNLPAPQISDHELEAIAKFGIASDLIGSEELLEGNAATRALVANYTQTPRQGMTPLRTPQRTPANKQDAIMMEAENQRRLSQSQTPLLGGENPMLHPSDFSGVTPKKKEIQTPNPLLTPSATPGGTGLTPRIGMTPSSDGYSFGMTPKGTPMRDELHINEEMDMDGGKVGRSDSRRELRSRLESLPNPKNEYQIVMQPQPEESEEPEEKIEEDMSERIAREKAEEEARQQALLRKRSKALQRDLPRPPAASLDLIKSSLIRADEDKSSFVPPTLIEQADELIRKELLSLLEHDNVKYPTDEKSEKEKKKGTKKKSVSVPVIEDFEEDELKEAEDLIKDEAQFLCVAMGHETESLDEFVEAHKTCLSDIMYFPTRNAYGLSSVAGNMEKLSALQYEFENVKKKMDDDTKKAQKLEQKVKVLTNGYQFRAGKIWSQIEATFKQMDTAGTELECFKVLQKQEQLAASNRINNIWEEVQKQKDLERTLQKRYGDLLVEKERIEHLMDEYKKQAEMQEIEAKNRALELATAEADAADNKMIAAPSNEDPEPVASVNEHKSSTAVDPAQESANKQTEDESSMAVDPAQESPNKQTEHEGSMADDPVQENPNELTDDAQEQPSGSPKLGMDIDEVGSTTDTNDLSQSTPAARESSLTDEVHVENACNESESGVTSGGPQLMNADENPNSGNGGEASADASVSPIAEDQVS >itb10g01740.t1 pep chromosome:ASM357664v1:10:1428571:1430426:-1 gene:itb10g01740 transcript:itb10g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEPKDPLKDIEWKSMAEPSHSEPGVGRTVKKRLPKKIRQIPECYFLPRSSLPSAIAFYGSCIAAGIGAGMLLEVWINKKIKEDGGVIWEFDK >itb02g00540.t1 pep chromosome:ASM357664v1:2:352227:353026:-1 gene:itb02g00540 transcript:itb02g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVLLFVTFLSLFVPFAFSLEFPFPPSVTDIEGNDLQLGVPYYAFSAAFILPAGLCLLDLKNQTIGCPHDVVQCSLLYKPILGLPIIFSTGGAFNATPGAVVKEATPYTVRFPVTAGGLLCLKDTVWGLADVTPFWKFVTTDPNAAAAEFQVQREGFGYKIAYCVYIPIPRIPVCYPIGFIQDGFNRRLGVGLGVNTAQFFFTKNGTLLHNYATS >itb07g09460.t1 pep chromosome:ASM357664v1:7:8896067:8900661:-1 gene:itb07g09460 transcript:itb07g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRRLARVLHPDIASSFRRESSDEYFIRVHAAYATLTDPQKRANYNRTLFRPGQSRSPAEFSSVNSHRNWETDQCCCGATAQDFKNPTEKPNLGPFEEWRSAFFCLIHFKNTNSCPKKYELDLSGWLNVTKEDGREFCCSPCANQTSSVLDCIRDTERDFWFANKATVQHLRQTIHQGCHTNQGKTQIVNSKHWSIV >itb07g09360.t1 pep chromosome:ASM357664v1:7:8756508:8761319:1 gene:itb07g09360 transcript:itb07g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMVLDPNPIPKLSLEIPATRTDASAQDVSYDGEDDLYARLKSLQRQLEFIEIQEEYVKDELKNLRREHLRAQEEVKRIQSVPLVIGQFMEMIDQNNSIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTEFEFYK >itb02g20530.t1 pep chromosome:ASM357664v1:2:18524769:18525536:-1 gene:itb02g20530 transcript:itb02g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSPKFPISLHNWMSIYIWGQALRDDQIRSDQNRLITILIKMKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPKLKDYLVNSATAKKVYELCKVSMPKC >itb12g02690.t1 pep chromosome:ASM357664v1:12:1753111:1755689:1 gene:itb12g02690 transcript:itb12g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVALLSSLLVFSIISLGNALSSNYYEKTCPQAENAVTQVVKKASMADKTVPAALLRMHFHDCFIRGCDASVLLNSKKSAAEKNGPPNLSLHAFYVIDDAKKAVEAICPGVVSCADILAFAARDAVVIAGGPYWDVPKGRKDGRVSKASETIQLPGPAFNLSQLQKSFSQRGLSMADLVALSGGHTIGFSHCSSFNNRLHNFNATHDVDPTLHPTIAANLKAICPLNSKSKNAGTSMDPSSNTFDNNYYKLIAQGKSLFSSDQALFTNPNTKSLVLQYANSKEAFFKAFANSMIKMSSITGGQEVRRDCRIAN >itb01g04810.t1 pep chromosome:ASM357664v1:1:3284433:3289267:-1 gene:itb01g04810 transcript:itb01g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKDGLKRGPWTAEEDQKLIDYINKNGYGNWRTLPTNAGLQRCGKSCRLRWMNYLRPDIKRGRFSSEEEHIIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKKLLRMGIDPVTHRRRVDLLDLSSILNNPSLLYNSRILGAQTLANPHLLRFAASQHHNNNVINSEKANNVVQDTQQLHAPPPLVQDFPIYSPNMAAAQITQQPNVEFGLENYPANDFWLPESEMTQDYLLPPLQNYGYYEAAVDPQSAMDPPAPAADESCRFGFRQVWSTPSSSQVNSGSTTTTEDEREISYGSNLLNFDVANIFGVNEFM >itb01g04810.t2 pep chromosome:ASM357664v1:1:3284433:3285490:-1 gene:itb01g04810 transcript:itb01g04810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPDIKRGRFSSEEEHIIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKKLLRMGIDPVTHRRRVDLLDLSSILNNPSLLYNSRILGAQTLANPHLLRFAASQHHNNNVINSEKANNVVQDTQQLHAPPPLVQDFPIYSPNMAAAQITQQPNVEFGLENYPANDFWLPESEMTQDYLLPPLQNYGYYEAAVDPQSAMDPPAPAADESCRFGFRQVWSTPSSSQVNSGSTTTTEDEREISYGSNLLNFDVANIFGVNEFM >itb10g16640.t1 pep chromosome:ASM357664v1:10:22924447:22928075:-1 gene:itb10g16640 transcript:itb10g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGRGRTVLIMTGRVVNDVLSFIVFSFLDILDIVLCYVYKCADFLVEAQWKACYCSPVKEAMTSSDAILVSEPGESKVVRLTSSSQLRLDEISDTLYTRPSIVAEVSKGTEIKKLIRVDKATAGAGAGRSAFTVNSAIVEMLQERNGGHRSDQTIPRWSDCDCETCHSWASSCKDTLYVHVEGAKGNAVQENVVFIHGFISSSAFWTETLFPNFSKLTKSKYRLFAVDLLGFGKSPKPNDSLYTLREHLDMIERSVLQPNKVKSFHIVAHSLGCILALALAVKHPGSVKSLTLLAPPYFPVPKGEQASQYMMRRVAPRRVWPPIALGGSIACWYEHVSRTVCLLICKNHRVWDFLTKLITRNRIRTYLVDGFCCHTHHAAWHTLHNIICGTAAKMEAYLEVVSNRLTCGVAVFHGRDDELIPVECSFNVQSRIPRARVKVFENKDHITLVVGRQKAFARELEEIWKKNTTS >itb10g16640.t2 pep chromosome:ASM357664v1:10:22924447:22928075:-1 gene:itb10g16640 transcript:itb10g16640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGRGRTVLIMTGRVVNDVLSFIVFSFLDILDIVLCYVYKCADFLVEAQWKACYCSPVKEAMTSSDAILVSEPGESKVVRLTSSSQLRLDEISDTLYTRPSIVAEVSKGTEIKKLIRVDKATAGAGAGRSAFTVNSAIVEMLQERNGGHRSDQTIPRWSDCDCETCHSWASSCKDTLYVHVEGAKGNAVQENVVFIHGFISSSAFWTETLFPNFSKLTKSKYRLFAVDLLGFGKSPKPNDSLYTLREHLDMIERSVLQPNKVKSFHIVAHSLGCILALALAVKHPGSVKSLTLLAPNKNVFGGWVLLPYAPCSMAYTAQHHMWDCSEDGGVFRGGEQPANVRRGGVPRQRR >itb03g27720.t1 pep chromosome:ASM357664v1:3:27856207:27856650:-1 gene:itb03g27720 transcript:itb03g27720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVAKIVNMMKSERLFEPQGGPIIMSQIENEYGPVEWEIGAPGKAYTKWFTQMAVGLKTGVPWIMCKQEDAPDPMVRNLSSVSSYSSMNP >itb09g30330.t4 pep chromosome:ASM357664v1:9:30986324:30988533:1 gene:itb09g30330 transcript:itb09g30330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVIVEKAERSDIPNIDKKKYLVPSDLTVGQFVYVIRKRIELSAEKAIFIFVDNVLPPTGALMSAIYDEKKDEDGFLYVTYSGENTFGDNTML >itb09g30330.t1 pep chromosome:ASM357664v1:9:30983706:30988533:1 gene:itb09g30330 transcript:itb09g30330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKQQHEFEKRRVEATKIREQYPDKIPVIVERAERSDIPNIDKRKYLVPSDLTVGQFVYVIRKRIELSAEKAIFIFVDNVLPPTGALMSAIYDEKKDEDGFLYVTYSGENTFGDNTML >itb09g30330.t2 pep chromosome:ASM357664v1:9:30983706:30985884:1 gene:itb09g30330 transcript:itb09g30330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKQQHEFEKRRVEATKIREQYPDKIPVIVERAERSDIPNIDKRKFLVPSDLTVGQFVFVIRKRIQLSSEKAIFIFVDNVLPTTGALMSAIYEEKKDEDGFLYVTYSGENTFGDNTML >itb09g30330.t3 pep chromosome:ASM357664v1:9:30986324:30988490:1 gene:itb09g30330 transcript:itb09g30330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKQQHELEKRQVEARRIREKYPERIPVIVEKAERSDIPNIDKKKYLVPSDLTVGQFVYVIRKRIELSAEKAIFIFVDNVLPPTGALMSAIYDEKKDEDGFLYVTYSGENTFGDNTML >itb03g19560.t2 pep chromosome:ASM357664v1:3:17611726:17618689:1 gene:itb03g19560 transcript:itb03g19560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEGGDFPPKRSQPEVASTVAAAPVLASPDVPTKKLARQLDFTGFGGGGAGGGDGSAAAAIFSEHHGQIQPQVGKPSQSPRPQLQPQLMVKPSHPPRPQLQPHSQPQQQHILLMPMQQPPAQPHLPQIRPLKPESPRARPRQSAAEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNVENEAARREAVEATLERNPNAFRPKIASSPHGVRDNREETGDGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANHMAYLQQAANAAITGAIGASGYGSPPVNKKRKGQELFFGSTMKNPIHTFGQFPQANHIKSSVPSSSMSSIPNARVGNSAAMGPSKFTYRSLLADMIQPLDVKELCSVLVYSSRQAAKMLADEKNAREDQRETSAPSLSQEQLENQKGSMGKVLPDNCSSGSQDDKKGTSVRSSDTADVSKERPMSPGTLALMCDEQDTVFAAAGSPNESTSICNTSSQLSHGKAMTEAYAEQERIVLTKFRDCLNRLITLGEIKGHRVNL >itb03g19560.t1 pep chromosome:ASM357664v1:3:17611719:17618718:1 gene:itb03g19560 transcript:itb03g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEGGDFPPKRSQPEVASTVAAAPVLASPDVPTKKLARQLDFTGFGGGGAGGGDGSAAAAIFSEHHGQIQPQVGKPSQSPRPQLQPQLMVKPSHPPRPQLQPHSQPQQQHILLMPMQQPPAQPHLPQIRPLKPESPRARPRQSAAEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNVENEAARREAVEATLERNPNAFRPKIASSPHGVRDNREETGDGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANHMAYLQQAANAAITGAIGASGYGSPPVNKKRKGQELFFGSTMKNPIHTFGQFPQANHIKSSVPSSSMSSIPNARVGNSAAMGPSKFTYRSLLADMIQPLDVKELCSVLVYSSRQAAKMLADEKNAREDQRETSAPSLSQEQLENQKGSMGKVLPDNCSSGSQDDKKGTSVRSSDTADVSKERPMSPGTLALMCDEQDTVFAAAGSPNESTSICNTSSQLSHGKAMTEAYAEQERIVLTKFRDCLNRLITLGEIKETKCSSMARASDSVYQKGIVINNGTQPEAYSNGVAIATVPPPSRAPETVSSSTSVGGVSSPHPVVSQAAKNGDQKPKN >itb03g19560.t3 pep chromosome:ASM357664v1:3:17611726:17618689:1 gene:itb03g19560 transcript:itb03g19560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEGGDFPPKRSQPEVASTVAAAPVLASPDVPTKKLARQLDFTGFGGGGAGGGDGSAAAAIFSEHHGQIQPQVGKPSQSPRPQLQPQLMVKPSHPPRPQLQPHSQPQQQHILLMPMQQPPAQPHLPQIRPLKPESPRARPRQSAAEVKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCHNNVENEAARREAVEATLERNPNAFRPKIASSPHGVRDNREETGDGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANHMAYLQQAANAAITGAIGASGYGSPPVNKKRKGQELFFGSTMKNPIHTFGQFPQANHIKSSVPSSSMSSIPNARVGNSAAMGPSKFTYRSLLADMIQPLDVKELCSVLVYSSRQAAKMLADEKNAREDQRETSAPSLSQEQLENQKGSMGKVLPDNCSSGSQDDKKGTSVRSSDTADVSKERPMSPGTLALMCDEQDTVFAAAGSPNESTSICNTSSQLSHGKAMTEAYAEQERIVLTKFRDCLNRLITLGEIKGKFLFFLKN >itb07g03280.t1 pep chromosome:ASM357664v1:7:2218431:2222397:-1 gene:itb07g03280 transcript:itb07g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKTFVLIGAISLLILQVVAVKPVTQTEVDPKILQDEIVKTVNENPEAGWKADMNPRFSDFTVSQFKRLLGVKKAPKSLLKRTPVVTHSKEIELPKTFDARTAWPQCLSIADILDQGHCGSCWAFGAVESLTDRFCIHYGTNVTLSVNDLLACCGFLCGEGCDGGYPIAAWQYFKRTGVVTSECDPYFDQTGCSHPGCEPAYPTPACEKKCVKKNLLWSESKHFSVNAYRVSSDQHSIMAEVYKNGPAEVSFTVYEDFAHYKSGVYKHVTGSEMGGHAVKLIGWGTSEDGEDYWLLANQWNRSWGDDGYFKIIRGTNECGIEEDVTAGMPSTKNLDIESGLRDDDSLVASV >itb01g08010.t1 pep chromosome:ASM357664v1:1:6354757:6360407:1 gene:itb01g08010 transcript:itb01g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQLGAVMGKYPSSDGNGERRSGGIIKHNRKCRDVVFLLIFIAFWVAMIVNSSFGFNRGNPLRLTYGLDYKGNICGDRHADPNLHNLEVRYWLNPNEVYQSGLKDGDVKLPNARSICLLDCPILSEDSLNWVCDYPEGDVRLSVEDWINRNYDYFADLPPDLRKNSLQLLGPCYPVIFPSVNVYWSCQFNSRLSNVSLRHWKEMGGADIVEDIIIDKSIHKSINSRSSVLKRYVADVEKSWPVLIVCGGILPVFLSVIWLLMIRYFVAAMPWITVALFNILIISVTMFYYLKAGWIGNNAISPIIGEHDPYYHVSARELNHLHAAAVLMTIIMVIAFLSSIAIVRRILMATSVLKVAAKVIGEVQELIIFPVIPYAILGIFYMFWFSAAFHLFSSGQVVQNDCSNNCCAYDLKTKRVICDRCCGYSVQFTPHIAIAILFHLFGCYWATQFVVACSSTVIAGSVASYYWARDEASPEVPFLSVFSSMKRLIRYSIGSVALGSLVVSFTESIRFILESLRRKFKATNSMPESWIGRILFNTAQGCSKCISWIIKSVNRNAYIMIAITGKSFFKASEIATELIISNILRIGKVNIISDVILFLGKLCVSLASSLFAFLMLDTHKYKSAHDKISSPLFPVLVCWGLGYIVATLFFVVVQMSIDTIILSFCQDSDEHDGTAQYAPPLLMETLSDQNEMQRLTQ >itb08g03250.t1 pep chromosome:ASM357664v1:8:2692525:2693001:-1 gene:itb08g03250 transcript:itb08g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTRAQYSQRQPATTSHRHLLQSAKRRSGGEEEKRGLRRRHSQGREIVTPIVTQHRRSMLLIGGRPHRPPPSPSDAAAKTAVAQAPLPPLTYSERRAVENRNPLPEGRGTANFRDPDQTPSKGNQCQHQ >itb09g10070.t1 pep chromosome:ASM357664v1:9:6240660:6244165:-1 gene:itb09g10070 transcript:itb09g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARDEQAWLLENGNIKLLSKEMRHGHGQSHGRTAHNMSSSSLRTKSDHSIAKKVPCAILKNLLISLQEVFLGTKLSILFIAIPFAIYANYRHYGRPWVFGLSLIGLTPLAERISFLTEQIAFHTGPTVGGLLNATCGNATELIIAIFALMEHKVDVVKYSLLGSILSNLLLVLGTSLLCGGIANISKEQKFDRKQADVNFFLLLLGLLCHALPLVFGGVGQDVARAAQATLALSRTSCVVMILAYLGYLVFQLWTHRQLFDAQEEDGEDEDGESEEEAVLGFWSSFIWLVLMTAVVALLSEFAVDTIEAASGSWGISVSFISVILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIGIFVIPLTVIVAWIIGIKMDLAFSTMETTALALSIIVTAFTLQDGTSHYLKGLVLLLCYVVIGASFFVNQESSGQPHTVNLGPNQRIFRA >itb15g21450.t2 pep chromosome:ASM357664v1:15:24158116:24161462:-1 gene:itb15g21450 transcript:itb15g21450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVDHYDVLGLPSGEEGAKLSEKEISKAYRLKALELHPDKRPDDRNAHANFQRLKTSYEVLKDEKARKLFDDLLRVKRDKIRRQSQHDTKRRKMMSDLEERERASFEPDARAKAQDEEERIARKLKEEIAKIRAMHANKASATTPPPMKKETVAGGDKESTGGGSRSSLDKEKVLKVTWEKIGVDYTAQRLRELFGQFGEVEDVVIKSSRKKGSALVVMTSKDAVASACGNVLGDLSNPLLVVPLVPSNPPYASPPFSSESKDVEPNGPALNNLVGAGYKSFEDAVLEKMRKAAQRQK >itb15g21450.t1 pep chromosome:ASM357664v1:15:24156414:24161397:-1 gene:itb15g21450 transcript:itb15g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVDHYDVLGLPSGEEGAKLSEKEISKAYRLKALELHPDKRPDDRNAHANFQRLKTSYEVLKDEKARKLFDDLLRVKRDKIRRQSQHDTKRRKMMSDLEERERASFEPDARAKAQDEEERIARKLKEEIAKIRAMHANKASATTPPPMKKETVAGGDKESTGGGSRSSLDKEKVLKVTWEKIGVDYTAQRLRELFGQFGEVEDVVIKSSRKKGSALVVMTSKDAVASACGNVLGDLSNPLLVVPLVPSNPPYASPPFSSESKDVEPNGPALNNLVGAGYKSFEDAVLEKMRKAAQRQK >itb15g21450.t3 pep chromosome:ASM357664v1:15:24158062:24161481:-1 gene:itb15g21450 transcript:itb15g21450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVDHYDVLGLPSGEEGAKLSEKEISKAYRLKALELHPDKRPDDRNAHANFQRLKTSYEVLKDEKARKLFDDLLRVKRDKIRRQSQHDTKRRKMMSDLEERERASFEPDARAKAQDEEERIARKLKEEIAKIRAMHANKASATTPPPMKKETVAGGDKESTGGGSRSSLDKEKVLKVTWEKIGVDYTAQRLRELFGQFGEVEDVVIKSSRKKGSALVVMTSKDAVASACGNVLGDLSNPLLVVPLVPSNPPYASPPFSSESKDVEPNGPALNNLVGAGYKSFEDAVLEKMRKAAQRQK >itb13g07130.t1 pep chromosome:ASM357664v1:13:8813282:8813823:-1 gene:itb13g07130 transcript:itb13g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAASSLTLFLALNLLFFTVVSSTNVPCPPPPPKHQPPSPSSSSQAGKCPKDALKLGVCANLLTDLLNLVVGSPATTPCCSLIAGLVDLEAAVCLCTAIKANILGINLDIPISLSLLLNNCGKNVPSGYQCQ >itb15g16250.t1 pep chromosome:ASM357664v1:15:15695943:15699512:-1 gene:itb15g16250 transcript:itb15g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKQPSRYATANSSFETRSSTRSDPSSSTEVAPKSQLLLRPSSRDSSRAIVPSKAASKGNANFSSMVKKLVDHRSSSAQAKKKTGEYKLAIPADFIAARKGSTGFTSLHKKLFKGSAKKAEGGEPKALTEFKGNTRTLAMVLRSERELLSMNQEQENQITELKLLLEEKNKEVEKLKDLCLKQREEIRSLKSAVLFPEVMNSQVQELMEKQTSELKQARQLIPSLQRQVTSLTDQLQYLAEDLAEVKADKYSMRGFYDSCISSPRTPEYDHREAANSLDFSSEDNATPVSPDDMFLKDLNPCLTPYDKTKSKEFDTFDVPDDQDLHSTISFSSCARKLSKNSDCCQCSKSGNK >itb15g16250.t2 pep chromosome:ASM357664v1:15:15695943:15699512:-1 gene:itb15g16250 transcript:itb15g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKQPSRYATANSSFETRSSTRSDPSSSTEVAPKSQLLLRPSSRDSSRAIVPSKAASKGNANFSSMVKKLVDHRSSSAQAKKKTGEYKLAIPADFIAARKGSTGFTSLHKKLFKGSAKKAEGGEPKALTEFKGNTRTLAMVLRSERELLSMNQEQENQITELKLLLEEKNKEVEKLKDLCLKQREEIRSLKSAVLFPEVMNSQVQELMEKQTSELKQARQLIPSLQRQVTSLTDQLQYLAEDLAEVKADKYSMRGFYDSCISSPRTPEYDHREAANSLVKTSKLIQIFGANG >itb08g15540.t1 pep chromosome:ASM357664v1:8:17701768:17709438:1 gene:itb08g15540 transcript:itb08g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MAIQSVLSLSSHPFTFRSQSNKPSALILCKPLLHVQNLGFCFIRPLQHSRTVNKCPRSVLPVESQISDEGEDDEEEEDEEEEEEEEDDDADDEEAAEEYGVISAEVSDGGEESEYESFDAANSIDVAREDKLKFEEFKWQRVERICRDVREFGEGIIDANELASVYNFRIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVAKGRRLFYTTPLKALSNQKFREFRETFGDSNVGLITGDSVINKDAQVLIMTTEILRNMLYQSVGMVSSDSGLLHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGRTELVTSLRRPVPLTRHFSTKPALLPLLNEKGTSMSRSLSLNYLQLDESEATLQKGERSRRRNSRRRGSDVNPLSKNEISALRRSQVPQIMDTLLQLKARDILPAVWFIFSRKGCDAAVQYLENCKLLDECEVSEVELALKRFRIQYPDAVRESSVKGLRRGLAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRGDSGRVLLSSNELFQMAGRAGRRGIDELGHVVLVQTPYEGPEECCKLIFSGLQPLVSQFTASYGMVLNLLAGAKVTRGSMESDELKISRGGRTLEEARKLIEQSFGNYVGSNVMVAAKEELARIEKEIEMLTSEISDEAIDRKIQKLLSQSAYQEISNLQEELKAEKLLRTELRRRMELERMFSLKPLLKELEDGHLPFVCLQYNDADGVQHLVAAVYLGNVDALSASKLKNVVHHSDLFAINMEVESNENGGIEGEDNSKPCYHVALGSDNSWYLFTEKWIRTLYRTGFPNVALAHGDALPREIMTELVEKGDMQWQKLAESGFGGLWCMEGSLETWSWSLNVPVLSTLSEDDEVLEFSQAYKDIVECYKDQRNKVSRLKKKIARSEGFKEYKKIVDMAGFTEEKIRRLKVRSNRLINRIQQIEPTGWKEFLQVSNVIHESRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKLLLGLKPAQLAAVCGSLVSEGIKLRPSKNNSYIYEPSAIVLDVINLLEEQRTSLLELQEKHGVRIPCCLDSQFSGMVEAWASGLTWKEIMMDCAMDEGDLARLLRRSIDILAQVPKLPDIDPLLQSNAKSASSVMDRPPISELAG >itb08g15540.t2 pep chromosome:ASM357664v1:8:17701768:17708973:1 gene:itb08g15540 transcript:itb08g15540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MAIQSVLSLSSHPFTFRSQSNKPSALILCKPLLHVQNLGFCFIRPLQHSRTVNKCPRSVLPVESQISDEGEDDEEEEDEEEEEEEEDDDADDEEAAEEYGVISAEVSDGGEESEYESFDAANSIDVAREDKLKFEEFKWQRVERICRDVREFGEGIIDANELASVYNFRIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVAKGRRLFYTTPLKALSNQKFREFRETFGDSNVGLITGDSVINKDAQVLIMTTEILRNMLYQSVGMVSSDSGLLHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGRTELVTSLRRPVPLTRHFSTKPALLPLLNEKGTSMSRSLSLNYLQLDESEATLQKGERSRRRNSRRRGSDVNPLSKNEISALRRSQVPQIMDTLLQLKARDILPAVWFIFSRKGCDAAVQYLENCKLLDECEVSEVELALKRFRIQYPDAVRESSVKGLRRGLAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRGDSGRVLLSSNELFQMAGRAGRRGIDELGHVVLVQTPYEGPEECCKLIFSGLQPLVSQFTASYGMVLNLLAGAKVTRGSMESDELKISRGGRTLEEARKLIEQSFGNYVGSNVMVAAKEELARIEKEIEMLTSEISDEAIDRKIQKLLSQSAYQEISNLQEELKAEKLLRTELRRRMELERMFSLKPLLKELEDGHLPFVCLQYNDADGVQHLVAAVYLGNVDALSASKLKNVVHHSDLFAINMEVESNENGGIEGEDNSKPCYHVALGSDNSWYLFTEKWIRTLYRTGFPNVALAHGDALPREIMTELVEKGDMQWQKLAESGFGGLWCMEGSLETWSWSLNVPVLSTLSEDDEVLEFSQAYKDIVECYKDQRNKVSRLKKKIARSEGFKEYKKIVDMAGFTEEKIRRLKVRSNRLINRIQQIEPTGWKEFLQVSNVIHESRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKLLLGLKPAQLAAVCGSLVSEGIKLRPSKNNSYIYEPSAIVLDVINLLEEQRTSLLELQEKHGVRIPCCLDSQFSGMVEAWASGLTWKEIMMDCAMDEGDLARLLRRSIDILAQVPKLPDIDPLLQSNAKSASSVMDRPPISELAG >itb03g15330.t1 pep chromosome:ASM357664v1:3:14689386:14693202:-1 gene:itb03g15330 transcript:itb03g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFRGGRKTSPPLISSVLLNSMKSGRKNSNLSIFVVVFSVFIFGCFMYNEDVKSIAEFPFSRPKAAAADQELQENATAAAMRENSVLEKREETEQPLLQEENEIELVGDKEEEEDGGGTDDGVELPPEDCDLFIGEWVYDEATHPIYKEPECEFLTAQVTCMRNGRKDSSYQNWRWQPRDCSLPKFKPKLLLETLRNKRLMFVGDSLNRNQWESMVCLVQSAAPPGRKSLTKTGSLSVFRIENYNATIEFYWAPFLVESNSDDPNMHSILNRIIMPESIMKHGQNWKDVDYLIFNTYIWWMNTFAMKVLRGSFDEGATEYDEVPRPIAYGRVLSTWAKWVEENVDPNRTQVFFMSMSPLHIKSLDWENPDGIKCAKETTPILNTTMYLNVGTDRRLFVVASNITETMKVPVHFLNITRLSEYRKDAHTSVHTIRQGKMLTPEQQADPATYADCIHWCLPGLPDTWNEFLYTRIISRG >itb05g02160.t3 pep chromosome:ASM357664v1:5:1674675:1679763:1 gene:itb05g02160 transcript:itb05g02160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGLLTPAISVFSAVSGLEFYMSKDHHQYAVVPITCVILVCLFALQHYGTHRIGFCFAPIVLSWLICISGLGLYNILTWNPHVYKALSPYYMFKFLKKTRKDGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAFLSRHHHNMHEISFYLSVPGSVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSAKVHGQIYIPEINWILMILCVAVTIGFRDTKHLGNASGLALMAVMLVTTCLTSLVIVLCWHKPPILALVFLVFFGSIELLYFSASVIKFLEGAWLPILLALILVTVMFVWHYATIKKYEYDLHNKVSLDWLLALGPSLGIARVPGIGIVFSDLTSGIPANFSRFVTNLPAFHRVLVFVCVKAVPVPFIPPAERYLVGRVGPPSHRSYRCIVRYGYRDVHQDVDFFETELVSKLADFIRYDWYKTHGIITSPNDDDGSRSGASSSEYRLAVIGTMAFPGTPAFEIEETAQPESVSFGFPTAESLTDIIEMQPTTAAAAEKRVRFAVDDSEQDSHSHQEMDIQLQEELRDLHEAREAGIAFILGHSHVRTKQGSSIFKRLAINFGYNLLKRNCRGPDVSLKVPPVSLLEVGMVYVV >itb05g02160.t1 pep chromosome:ASM357664v1:5:1674653:1679763:1 gene:itb05g02160 transcript:itb05g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHGKCWDTTKKNSWKTTLILAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVMSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVSDEALSTYKREEPPERNNKVSRVKLVLEKYKFLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLEFYMSKDHHQYAVVPITCVILVCLFALQHYGTHRIGFCFAPIVLSWLICISGLGLYNILTWNPHVYKALSPYYMFKFLKKTRKDGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAFLSRHHHNMHEISFYLSVPGSVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSAKVHGQIYIPEINWILMILCVAVTIGFRDTKHLGNASGLALMAVMLVTTCLTSLVIVLCWHKPPILALVFLVFFGSIELLYFSASVIKFLEGAWLPILLALILVTVMFVWHYATIKKYEYDLHNKVSLDWLLALGPSLGIARVPGIGIVFSDLTSGIPANFSRFVTNLPAFHRVLVFVCVKAVPVPFIPPAERYLVGRVGPPSHRSYRCIVRYGYRDVHQDVDFFETELVSKLADFIRYDWYKTHGIITSPNDDDGSRSGASSSEYRLAVIGTMAFPGTPAFEIEETAQPESVSFGFPTAESLTDIIEMQPTTAAAAEKRVRFAVDDSEQDSHSHQEMDIQLQEELRDLHEAREAGIAFILGHSHVRTKQGSSIFKRLAINFGYNLLKRNCRGPDVSLKVPPVSLLEVGMVYVV >itb05g02160.t4 pep chromosome:ASM357664v1:5:1675745:1679763:1 gene:itb05g02160 transcript:itb05g02160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHGKCWDTTKKNSWKTTLILAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVMSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVSDEALSTYKREEPPERNNKVSRVKLVLEKYKFLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLEFYMSKDHHQYAVVPITCVILVCLFALQHYGTHRIGFCFAPIVLSWLICISGLGLYNILTWNPHVYKALSPYYMFKFLKKTRKDGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAFLSRHHHNMHEISFYLSVPGSVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSAKVHGQIYIPEINWILMILCVAVTIGFRDTKHLGNASGLALMAVMLVTTCLTSLVIVLCWHKPPILALVFLVFFGSIELLYFSASVIKFLEGAWLPILLALILVTVMFVWHYATIKKYEYDLHNKVSLDWLLALGPSLGIARVPGIGIVFSDLTSGIPANFSRFVTNLPAFHRVLVFVCVKAVPVPFIPPAERYLVGRVGPPSHRSYRCIVRYGYRDVHQDVDFFETELVSKLADFIRYDWYKTHGIITSPNDDDGSRSGASSSEYRLAVIGTMAFPGTPAFEIEETAQPESVSFGFPTAESLTDIIEMQPTTAAAAEKRVRFAVDDSEQDSHSHQEMDIQLQEELRDLHEAREAGIAFILGHSHVRTKQGSSIFKRLAINFGYNLLKRNCRGPDVSLKVPPVSLLEVGMVYVV >itb05g02160.t5 pep chromosome:ASM357664v1:5:1674653:1679763:1 gene:itb05g02160 transcript:itb05g02160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGLLTPAISVFSAVSGLEFYMSKDHHQYAVVPITCVILVCLFALQHYGTHRIGFCFAPIVLSWLICISGLGLYNILTWNPHVYKALSPYYMFKFLKKTRKDGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAFLSRHHHNMHEISFYLSVPGSVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSAKVHGQIYIPEINWILMILCVAVTIGFRDTKHLGNASGLALMAVMLVTTCLTSLVIVLCWHKPPILALVFLVFFGSIELLYFSASVIKFLEGAWLPILLALILVTVMFVWHYATIKKYEYDLHNKVSLDWLLALGPSLGIARVPGIGIVFSDLTSGIPANFSRFVTNLPAFHRVLVFVCVKAVPVPFIPPAERYLVGRVGPPSHRSYRCIVRYGYRDVHQDVDFFETELVSKLADFIRYDWYKTHGIITSPNDDDGSRSGASSSEYRLAVIGTMAFPGTPAFEIEETAQPESVSFGFPTAESLTDIIEMQPTTAAAAEKRVRFAVDDSEQDSHSHQEMDIQLQEELRDLHEAREAGIAFILGHSHVRTKQGSSIFKRLAINFGYNLLKRNCRGPDVSLKVPPVSLLEVGMVYVV >itb05g02160.t2 pep chromosome:ASM357664v1:5:1674653:1679763:1 gene:itb05g02160 transcript:itb05g02160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHGKCWDTTKKNSWKTTLILAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVMSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVSDEALSTYKREEPPERNNKVSRVKLVLEKYKFLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLEFYMSKDHHQYAVVPITCVILVCLFALQHYGTHRIGFCFAPIVLSWLICISGLGLYNILTWNPHVYKALSPYYMFKFLKKTRKDGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAFLSRHHHNMHEISFYLSVPGSVRWPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSAKVHGQIYIPEINWILMILCVAVTIGFRDTKHLGNASGLALMAVMLVTTCLTSLVIVLCWHKPPILALVFLVFFGSIELLYFSASVIKFLEGAWLPILLALILVTVMFVWHYATIKKYEYDLHNKVSLDWLLALGPSLGIARVPGIGIVFSDLTSGIPANFSRFVTNLPAFHRVLVFVCVKAVPVPFIPPAERYLVGRVGPPSHRSYRCIVRYGYRDVHQDVDFFETELVSKLADFIRYDWYKTHGIITSPNDDDGSRSGASSSEYRLAVIGTMAFPGTPAFEIEETAQPESVSFGFPTAESLTDIIEMQPTTAAAAEKRVRFAVDDSEQDSHSHQEMDIQLQEELRDLHEAREAGIAFILGHSHVRTKQGSSIFKRLAINFGYNLLKRNCRGPDVSLKVPPVSLLEVGMVYVV >itb14g08140.t1 pep chromosome:ASM357664v1:14:7513619:7517213:1 gene:itb14g08140 transcript:itb14g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFRPLFLLLTFTGLVSGILSVGVGINYGQIANDLPSPSRVAVLLKSLNITRVKLYDADPNVLSAFSNSEVEFVIGLGNEFLEKMIDPAQTKAWIQQHFQPYHGGTKITCITVGNEVLTGNDTQMMNFLLPAMQSVHAALVDLGLSNAVTVTTAHAFSVLTTSFPPSSGAFRPDLADYIRPILSFLAQTKAPFLVNAYPYFAYKSNPGEVSLEYVLFQPNPGSIDPITNLKYDNMLYGQIDAVYSAMKAMGHADVEVKISETGWPSKGDSDEVGATPENAALYNGNLLQRIAAGEGTPARPSVPVDIYVFALFNENLKPGPTSERNYGLYYPDGAPVYNVGRFGAQGYLPEMDYSASNPRALPISAFLLVFMVCVMHVWKA >itb10g22420.t1 pep chromosome:ASM357664v1:10:27266624:27271200:-1 gene:itb10g22420 transcript:itb10g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRQRRPAGPRLDRRNAAKGIDYEASDFAASPSSSSFDDQSSRGPRRSLHVLPLCDCTSFRVEGSEGQLDQICHFLGLSLEDFAIPAAAWEAGRKWLPSGSVGGPRLTRSRNLVADEPSDSIVASIQVMNSLNFNEVDTMDTVTSAIRSNIWHKDGGRGLKGSRLQRLAPLELVGDLIRSFGPPHDDEDAGLVLSAPTSSGRFQRVEDAGNAMANKERSEDNLREGDRGIPEYFSEPLRNDNDYSSSFTTESVNSMSPCGSSSFSIKSWQKGGFLGSGSFGRVYEGFTGDGFFFAVKEVSLLDPGSILQLEQEISVLSQIQHENIVRYHGTDKDDSKLYIFLELVAQGSLAKLYHTYHLRDTQVSVYTRQILSGLHYLHSRNVVHRDIKCANILVDVTGSVKLADFGLAKATKLNDIKSCKGTAFWMAPEVVNRKNKGYGLAADIWSLGCTVLEMLTRQIPYSHLDGMQAIFRIGKGELPRIPKSLSKYAQDFICKCLQVNPSNRPTAAELLDHPFVKKLPFSASCPLPTRF >itb07g24570.t1 pep chromosome:ASM357664v1:7:28812207:28815159:-1 gene:itb07g24570 transcript:itb07g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MATGDTKPSSDTTSQPPASTQLESSPTPNQTTPVPSRSLTSASTPATITSRLPETDVINVPSYSRWFSWNHIHEGEVRFLPEFFDGKFPSKNPRVYKYYRNTIIRTFRENPTRKINFTEVRKTIVGDVGSIRRVFDFLETWGLINYSASASASASKTQLKWEDKDTKASSQSSDTNAASVDIPVPRKRLCSGCKSVCSIACFACDKYDMILCARCYVRGNYRVGLNSSDFRRVELNEEVKTDWTEKETLLLLEAVMHFGDDWKKIAEHVGGRSEKECVSRFIKLPFGEQLAGPPESTEVDSEQLPAKRMRLTPLADASNPIMAQAAFISALAGNEVAEVAARAALKSLSEFSIGKFEGHLKSISASADKQESHAVEGAFAEAQSQLDSEEEKVENAISKVSVQIKEIEEKISEFEEIESQTEKEWQQLQQLQNLLFADQLNLILSKGGGNLASNIKTE >itb02g14090.t1 pep chromosome:ASM357664v1:2:10306806:10307961:1 gene:itb02g14090 transcript:itb02g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGSFLVTYSLLICCLAATGYGEVLFSSLKKSLEVSVKHKQGQVLKAGDDEITVTWSYNSSSPAKTDSSYKNVKVKLCYAPVSQVDRAWRKTEDHLKKDKTCQFNIATKPYNPKPNTLKWKIERDVPTATFFVRVYAYNAEGHEVGYGQNTDAKKTQNLFQIQAISGRHATLDICSVVFSVFSVVSLFGFFFLEKRKAKSAQQK >itb03g09220.t3 pep chromosome:ASM357664v1:3:7087762:7091588:-1 gene:itb03g09220 transcript:itb03g09220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSGDRMEKGQGNFEVMSDDCRSNDGKYGRDSRGGKGKRLWKKVKYQLVEYHSLPGYLKDNEFILGHYRSEWPLKQALLSVFTIHNETLNVWTHLVGFFLFLSLTIYTAMKIPEVVDFPTLQNLPDVLRKADLHSCLPSLLHRLQGELKTTFPSLSNWHIVQLLTNCLPECFSNSNHTNVCVLSSMKEDLVSIIAPLTARPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITLLGIGTILVSLLPVFQNPEYRTFRAALFFTMGVSGVAPILHKLVLYWNQPEALHTTGYEVLMGAFYGIGALVYAMRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLKWRDLQGC >itb03g09220.t4 pep chromosome:ASM357664v1:3:7087769:7091930:-1 gene:itb03g09220 transcript:itb03g09220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPEVVDFPTLQNLPDVLRKADLHSCLPSLLHRLQGELKTTFPSLSNWHIVQLLTNCLPECFSNSNHTNVCVLSSMKEDLVSIIAPLTARPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITLLGIGTILVSLLPVFQNPEYRTFRAALFFTMGVSGVAPILHKLVLYWNQPEALHTTGYEVLMGAFYGIGALVYAMRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLKWRDLQGC >itb03g09220.t1 pep chromosome:ASM357664v1:3:7087752:7091989:-1 gene:itb03g09220 transcript:itb03g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQGNFEVMSDDCRSNDGKYGRDSRGGKGKRLWKKVKYQLVEYHSLPGYLKDNEFILGHYRSEWPLKQALLSVFTIHNETLNVWTHLVGFFLFLSLTIYTAMKIPEVVDFPTLQNLPDVLRKADLHSCLPSLLHRLQGELKTTFPSLSNWHIVQLLTNCLPECFSNSNHTNVCVLSSMKEDLVSIIAPLTARPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITLLGIGTILVSLLPVFQNPEYRTFRAALFFTMGVSGVAPILHKLVLYWNQPEALHTTGYEVLMGAFYGIGALVYAMRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLKWRDLQGC >itb03g09220.t2 pep chromosome:ASM357664v1:3:7087769:7091930:-1 gene:itb03g09220 transcript:itb03g09220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQGNFEVMSDDCRSNDGKYGRDSRGGKGKRLWKKVKYQLVEYHSLPGYLKDNEFILGHYRSEWPLKQALLSVFTIHNETLNVWTHLVGFFLFLSLTIYTAMKIPEVVDFPTLQNLPDVLRKADLHSCLPSLLHRLQGELKTTFPSLSNWHIVQLLTNCLPECFSNSNHTNVCVLSSMKEDLVSIIAPLTARPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITLLGIGTILVSLLPVFQNPEYRTFRAALFFTMGVSGVAPILHKLVLYWNQPEALHTTGYEVLMGAFYGIGALVYAMRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLKWRDLQGC >itb15g09270.t1 pep chromosome:ASM357664v1:15:6578019:6578603:-1 gene:itb15g09270 transcript:itb15g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRKLIKLAKKWQKFAAIRRKMISFPMQNEGTDGCSTSYVGSKGHFGIYTADQKRFVVPLAFLDNEIIRQLLVMSEEEFGLPSDGPITLPCDAIFLEYIISLLSHGARDELQNALLLSVASSRCSSVLYQEGRNQQLAVF >itb05g19370.t1 pep chromosome:ASM357664v1:5:25909334:25910627:-1 gene:itb05g19370 transcript:itb05g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSWHKGSGHGNNSWWAIEACSVLVKRDEGRPVSWISDMRTHRHRVPLLLLLILLTLHHAIHSRLINPGIAEAEAKAKPFVMKTALSFPPRHLCADSRLEEVASSISSSKADPSDSVSDKVVPGGPNPLHN >itb10g00770.t1 pep chromosome:ASM357664v1:10:551394:555085:-1 gene:itb10g00770 transcript:itb10g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASSTTAILSSSLPIFSPLKSNRLSSKRSLCFSASPRGNGNEPDLRSNSNDSSLVPIISQDAAMGLVLSAANVRGWTTGSGMEGPSVPAGSNPETGMEQVSTFPWSLFTKSPRRRMLVAFTCKVCGQRTTRAINPHAYTDGTVFVQDSTPLLSTITMEALASSTTAILSSSLPIFSPLKSNRLSSKRSLCFSASPRGNGNEPDLRSNSNDSSLVPIISQDAAMGLVLSAANVRGWTTGSGMEGPSVPAGSNPETGMEQVSTFPWSLFTKSPRRRMLVAFTCKVCGQRTTRAINPHAYTDGTVFVQCCGCNIYHKLVDNLNLFHDMKCYVNSSFNPNPDNSLGFKYFDLGDDNEDDIPQHF >itb12g05490.t1 pep chromosome:ASM357664v1:12:4048484:4051649:-1 gene:itb12g05490 transcript:itb12g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVVLNQENLGDLDLPKEEIMEKEEAGRPGNDGNLILATLPISEDPPAPSPGKRKRKAKGVMDEFSPLLFIRKRKKMPRPPGSQFNHEVDDYKGKSPGTANSRFRSSSPLQVKSPTLICAEEVQSSLGQEHPTFLKLLVRSHVGSCFWMGFPVPFCKKHLSRTDTTLVLENESGEEFSVKYLAQKTGLSAGWRKFVAAHTLLEGDVLIFQLVSTERLKVYVIRANDLTEVDGALSLLNLEVLTKQSNAVEGATCNNKRRKHPKSLPLTAVEKKQQHEEGSTKQLALLEQQSGNDSDGVASEVLEDSKSSQLAVSFGDIKSFEDFTIVINNQCVDSGIPEHIRRKYYELCSSKNAFLHECLLPGLCCELVVGVIFELVSIADAIRTCKLTTTIKEFEKWDKSLRSFELLGMKIGFLRTHLQRLQSLALGSEGASDSKRYREAKAGLSRTEDEIRNLELKLAALKETSEKYGADAEALKLKAESHEEKFREEVEAPW >itb06g14790.t1 pep chromosome:ASM357664v1:6:19254127:19257539:-1 gene:itb06g14790 transcript:itb06g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFGKEMPAESKANGYHGGMVNQNQIQYTKSPGPEAQLPVVRPKPSPKPAFRSDTILGKAYEDVRLHYSLGKELGRGQFGVTYLCTEISSGEQYACKSISKKKLVTKADKDDMRREIQIMQHLSGQPNIVAFKGAYEDNGSVYLVMELCAGGELFDRIIANGHYSEKAAASLCRSIVNVVNVCHFMGVMHRDLKPENFLLSDKTENAALKATDFGLSVFIEQGKVYKDIVGSAYYVPPEVLRRKYGKEADIWSAGVILYILLSGVPPFWAETERGIFDAVLKGEIDFESEPWPSISSSAKDLVRRMLTQDPKTRITAAQVLEHPWMRGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIQGLKSMFMNMDTDKSGTITYEELKTGLAKLGSKLTEAEVKQLMEAADVDGDGSIDYIEFITATMHKHRLEREENLYKAFQYFDKDSSGFITRDELQTALKEHGIADAETIKEIIAEVDIDNDGTINYEEFCTMMRTGSKQPGKLF >itb06g14790.t2 pep chromosome:ASM357664v1:6:19254931:19257456:-1 gene:itb06g14790 transcript:itb06g14790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFGKEMPAESKANGYHGGMVNQNQIQYTKSPGPEAQLPVVRPKPSPKPAFRSDTILGKAYEDVRLHYSLGKELGRGQFGVTYLCTEISSGEQYACKSISKKKLVTKADKDDMRREIQIMQHLSGQPNIVAFKGAYEDNGSVYLVMELCAGGELFDRIIANGHYSEKAAASLCRSIVNVVNVCHFMGVMHRDLKPENFLLSDKTENAALKATDFGLSVFIEQGKVYKDIVGSAYYVPPEVLRRKYGKEADIWSAGVILYILLSGVPPFWAETERGIFDAVLKGEIDFESEPWPSISSSAKDLVRRMLTQDPKTRITAAQVLEHPWMRGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIQGLKSMFMNMDTDKSGTITYEELKTGLAKLGSKLTEAEVKQLMEAVSKLVSYLI >itb10g22270.t1 pep chromosome:ASM357664v1:10:27186392:27189466:1 gene:itb10g22270 transcript:itb10g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVENAASLRGWAMWALPFMALCVWLEKGEAIWLNLPGSGTKCVSEEIHNNVVVLADYVVISDDHVHPTPTISVKVTSPYGNTLHANENVTHGQFAFTTTEAGNYLACFWVDGHNPGGGGLSVNLDWKTGIAAKDWESVARKEKIEGIELELRKLEGAVEAIHENLLYLKTREAEMRTVSETTNSRVAWFSIMSLGVCIGVSFLQILHLKQFFQKKKLI >itb05g23230.t1 pep chromosome:ASM357664v1:5:28394525:28397771:1 gene:itb05g23230 transcript:itb05g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQIQETPTWKKFLSYVGPGLLVSVAYLDPGNLETDLQAGADHKYELLWIVLLGLAFAFIIQSRSANLGVATGRHLSEHCKDEYPKPVTYCLWILAEVSVIAADIPQVIGIAFALKLLLNIPLWSGVMLAGLNTLLLLGLQKYGIRKLELAIAVLLMIVGGCFFSVMVHAQPNVKDMAMGMFIPKLSNGSATRDSIALLGALIMPHNLFLHSALVTSRKIHRSNDQIRNATKYFLLESGMALFIAFLVNVAVVSVSGSICSNPYVSADEKAHCNEITLDSAAFLLKDSLGKWSSKLYAVSLLASGQSSSVTGTFAGQYIMQGFLDLKMKLWLRNLLTRCIAIAPSLMVCIIGGSSGAGKLIIIASMILSFELPFALVPLLRFTSSGSKMGQHKNSKMVTVITWLLGFCSIGVNIYFLSRSLFGWLTSKKMPRAVSIFSTVMICPVMVLYVAMLAYLTLKPEATSKSVQSSEASSTGTVEMELGQGADLQNSEVADEI >itb05g23230.t2 pep chromosome:ASM357664v1:5:28394525:28397740:1 gene:itb05g23230 transcript:itb05g23230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQIQETPTWKKFLSYVGPGLLVSVAYLDPGNLETDLQAGADHKYELLWIVLLGLAFAFIIQSRSANLGVATGRHLSEHCKDEYPKPVTYCLWILAEVSVIAADIPQVIGIAFALKLLLNIPLWSGVMLAGLNTLLLLGLQKYGIRKLELAIAVLLMIVGGCFFSVMVHAQPNVKDMAMGMFIPKLSNGSATRDSIALLGALIMPHNLFLHSALVTSRKIHRSNDQIRNATKYFLLESGMALFIAFLVNVAVVSVSGSICSNPYVSADEKAHCNEITLDSAAFLLKDSLGKWSSKLYAVSLLASGQSSSVTGTFAGQYIMQGFLDLKMKLWLRNLLTRCIAIAPSLMVCIIGGSSGAGKLIIIASVMTIKHNTFTKLASTDTWSRI >itb05g23230.t3 pep chromosome:ASM357664v1:5:28395763:28397740:1 gene:itb05g23230 transcript:itb05g23230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKLELAIAVLLMIVGGCFFSVMVHAQPNVKDMAMGMFIPKLSNGSATRDSIALLGALIMPHNLFLHSALVTSRKIHRSNDQIRNATKYFLLESGMALFIAFLVNVAVVSVSGSICSNPYVSADEKAHCNEITLDSAAFLLKDSLGKWSSKLYAVSLLASGQSSSVTGTFAGQYIMQGFLDLKMKLWLRNLLTRCIAIAPSLMVCIIGGSSGAGKLIIIASMILSFELPFALVPLLRFTSSGSKMGQHKNSKMVTVITWLLGFCSIGVNIYFLSRSLFGWLTSKKMPRAVSIFSTVMICPVMVLYVAMLAYLTLKPEATSKSVQSSEASSTGTVEMELGQGADLQNSEVADEI >itb05g23230.t5 pep chromosome:ASM357664v1:5:28395941:28397740:1 gene:itb05g23230 transcript:itb05g23230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIAFLVNVAVVSVSGSICSNPYVSADEKAHCNEITLDSAAFLLKDSLGKWSSKLYAVSLLASGQSSSVTGTFAGQYIMQGFLDLKMKLWLRNLLTRCIAIAPSLMVCIIGGSSGAGKLIIIASMILSFELPFALVPLLRFTSSGSKMGQHKNSKMVTVITWLLGFCSIGVNIYFLSRSLFGWLTSKKMPRAVSIFSTVMICPVMVLYVAMLAYLTLKPEATSKSVQSSEASSTGTVEMELGQGADLQNSEVADEI >itb05g23230.t4 pep chromosome:ASM357664v1:5:28395941:28397740:1 gene:itb05g23230 transcript:itb05g23230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIAFLVNVAVVSVSGSICSNPYVSADEKAHCNEITLDSAAFLLKDSLGKWSSKLYAVSLLASGQSSSVTGTFAGQYIMQGFLDLKMKLWLRNLLTRCIAIAPSLMVCIIGGSSGAGKLIIIASMILSFELPFALVPLLRFTSSGSKMGQHKNSKMVTVITWLLGFCSIGVNIYFLSRSLFGWLTSKKMPRAVSIFSTVMICPVMVLYVAMLAYLTLKPEATSKSVQSSEASSTGTVEMELGQGADLQNSEVADEI >itb01g12170.t1 pep chromosome:ASM357664v1:1:11581645:11586189:-1 gene:itb01g12170 transcript:itb01g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPVSLSSDSESPSNDSDEDGMSLGKLFSKDKSNTIETKNNLDGNDTLVYTPSKEKFPAKKARVEHTPGGKRKRESQLENKGKDDDLKVISKRKATEKLISHEEPEHSVWSLSSDSMSCPDTSPVREGHILDKDLLAHNEAQDMKSEGTKDPDFLDSDGEPAPTKDLKKKSPKKQSEKDNHTPKKKQKVEKTRNEDAENKANADVLEEDIPEKHSGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLTPQSNVYEAETMVEGTLDGFSFDSEEEPDNLPKKAAEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKTGGGKQPKKAKKKPQVTKKNKKK >itb01g12170.t3 pep chromosome:ASM357664v1:1:11581645:11586189:-1 gene:itb01g12170 transcript:itb01g12170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPVSLSSDSESPSNDSDEDGMSLGKLFSKDKSNTIETKNNLDGNDTLVYTPSKEKFPAKKARVEHTPGGKRKRESQLENKGKDDDLKVISKRKATEKLISHEEPEHSVWSLSSDSMSCPDTSPVREGHILDKDLLAHNEAQDMKSEGTKDPDFLDSDGEPAPTKDLKKKSPKKQSEKDNHTPKKKQKVEKTRNEDAENKANADVLEEDIPEKHSGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLTPQSNVYEAETMVEGTLDGFSFDSEEEPDNLPKKAAEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKTGGGKQPKKAKKKPQVTKKNKKK >itb01g12170.t2 pep chromosome:ASM357664v1:1:11581645:11586189:-1 gene:itb01g12170 transcript:itb01g12170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREESPDWLRSFKAPTPVSLSSDSESPSNDSDEDGMSLGKLFSKDKSNTIETKNNLDGNDTLVYTPSKEKFPAKKARVEHTPGGKRKRESQLENKGKDDDLKVISKRKATEKLISHEEPEHSVWSLSSDSMSCPDTSPVREGHILDKDLLAHNEAQDMKSEGTKDPDFLDSDGEPAPTKDLKKKSPKKQSEKDNHTPKKKQKVEKTRNEDAENKANADVLEEDIPEKHSGTHVSYSRLPLLLPEKVQRLKALVECDGDSIDLSGDVGAVGRVVISDNPSGSNEMLLDLKGTIYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLTPQSNVYEAETMVEGTLDGFSFDSEEEPDNLPKKAAEGNQNEAIEDETNGKTERKAKKSLGAEQKKGKTGGGKQPKKAKKKPQVTKKNKKK >itb02g12580.t2 pep chromosome:ASM357664v1:2:8672085:8674027:-1 gene:itb02g12580 transcript:itb02g12580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSIANGLVPIASASPNDFSKKKRSNRLAKLKQSKLDARREQWLSQVKNKGPKEELNGGGETHGSRVEVVNERGQSIGKLELKTRVEEYNDGLVHNCSDLDSPANSPTSYTSSIMGGNDSGTNFTGSSSRSSSSSSGGGGCCSGSMSGDEEGDDGCLDDWEAVADALAATDEKQEQHDRSLDSTAQENYNVVQSISQTEFSGLLVSNVEKSGGLTPKHHVNYQAWRADDAFRPQNLPSLPKQYSFPLNSDRHISGGAVWLQKNLSVPSSCPICYEDLDLTDTSFIPCSCGFKVCLFCHKRILEEDGRCPGCRKQYDRNPIEGEAVVDGGSLKPQLARSFSMI >itb02g12580.t1 pep chromosome:ASM357664v1:2:8672085:8674027:-1 gene:itb02g12580 transcript:itb02g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSGFLKNKGPKEELNGGGETHGSRVEVVNERGQSIGKLELKTRVEEYNDGLVHNCSDLDSPANSPTSYTSSIMGGNDSGTNFTGSSSRSSSSSSGGGGCCSGSMSGDEEGDDGCLDDWEAVADALAATDEKQEQHDRSLDSTAQENYNVVQSISQTEFSGLLVSNVEKSGGLTPKHHVNYQAWRADDAFRPQNLPSLPKQYSFPLNSDRHISGGAVWLQKNLSVPSSCPICYEDLDLTDTSFIPCSCGFKVCLFCHKRILEEDGRCPGCRKQYDRNPIEGEAVVDGGSLKPQLARSFSMI >itb02g12580.t3 pep chromosome:ASM357664v1:2:8672104:8673569:-1 gene:itb02g12580 transcript:itb02g12580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNDSGTNFTGSSSRSSSSSSGGGGCCSGSMSGDEEGDDGCLDDWEAVADALAATDEKQEQHDRSLDSTAQENYNVVQSISQTEFSGLLVSNVEKSGGLTPKHHVNYQAWRADDAFRPQNLPSLPKQYSFPLNSDRHISGGAVWLQKNLSVPSSCPICYEDLDLTDTSFIPCSCGFKVCLFCHKRILEEDGRCPGCRKQYDRNPIEGEAVVDGGSLKPQLARSFSMI >itb01g12370.t1 pep chromosome:ASM357664v1:1:11904860:11907269:1 gene:itb01g12370 transcript:itb01g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKAVAIISGDHNVKGSLQFIQQSDGATYVRGKISGLTPGLHGFHIHSFGDTTNGCNSTGPHFNPYKKDHGAPNHEERHAGDLGNIVAGPDGVAEVSVLDMQIPLTGANSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVGCGIIGLQSSV >itb15g14380.t1 pep chromosome:ASM357664v1:15:12594772:12596037:1 gene:itb15g14380 transcript:itb15g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNADLFSGYFGGAPTIHIPVEMCSNKQRLIETLID >itb04g27460.t1 pep chromosome:ASM357664v1:4:31463949:31465828:1 gene:itb04g27460 transcript:itb04g27460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFDSYTLPKLYVKMQYCVSCAIHSKVVRVRSRTDRRVREPPQRFRRPRDDQPKPGQAPRPTGAPTAART >itb11g22910.t3 pep chromosome:ASM357664v1:11:24791416:24804553:1 gene:itb11g22910 transcript:itb11g22910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLSLSTFFIFLSAFLFAGADSSTLGVRYVSRLLEIHDRERAPASLQLSAAYTVLNRLIPSHSSSFQFLIIAKEQCDGDACFRINNHPSQNNTGSSEILISGTTAVELLSGLHWYLKYWCGAHISWSKTGGVQFTSVPKSGSLPHVQDAGIIVKRPIPWSYYQNAVSSSYSFAWWDWERWEKEIDWMALQGINMPLAFTGQEAIWQKVFMSFNISASDLNDFFGGPAFLAWSRMGNLHGWGGPLPQSWLDQQLVMQKKILARMYELGMTPVLPAFSGNVPAALTQVFPSAKITHLDNWFTVHGDSRWCCTYLLDATDPLFVEIGKAFVREQLKEYGRSSHIYNWFTVHGDSRWCCTYLLDATDPLFVEIGKAFVREQLKEYGRSSHIYNCDTFDENTPPTDDPEYISSLGAAIFKGMQSGDDNAVWLMQGWLFTYDPFWKPPQMKALLHSVPLGRLVVLDLYAEVIPIWCMLHNFAGNIEMYGVLDAVGSGPVEARTSSNSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHDTVDVKAWVDLYSRRRYGKFVQSMQDSWNILYHTIYNCTDGANDKNRDVIVAFPDVAPHSISVLSRLLTKTQKHYDAHPSMRSILEDASDSYDHPHLWYSTSEVIPALKLFISSGDELSNSDTYRYDLVDLTRQVLAKYANQLFLDVIEAYKFGDLGAVATLSQKFLTLVEDMDTLLACHDGFLLGPYLQSAKELARDEDQKIQYEWNARTLITMWFDNTEDEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLTESLEKGEGFRLRDWRREWIKLTNDWQSSRKVFPVKSTGDALKTSKRLYEKYLLDGEPFYPLTGKGK >itb11g22910.t2 pep chromosome:ASM357664v1:11:24791270:24804753:1 gene:itb11g22910 transcript:itb11g22910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKDSFAWWDWERWEKEIDWMALQGINMPLAFTGQEAIWQKVFMSFNISASDLNDFFGGPAFLAWSRMGNLHGWGGPLPQSWLDQQLVMQKKILARMYELGMTPVLPAFSGNVPAALTQVFPSAKITHLDNWFTVHGDSRWCCTYLLDATDPLFVEIGKAFVREQLKEYGRSSHIYNCDTFDENTPPTDDPEYISSLGAAIFKGMQSGDDNAVWLMQGWLFTYDPFWKPPQMKALLHSVPLGRLVVLDLYAEVIPIWCMLHNFAGNIEMYGVLDAVGSGPVEARTSSNSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHDTVDVKAWVDLYSRRRYGKFVQSMQDSWNILYHTIYNCTDGANDKNRDVIVAFPDVAPHSISVLSRLLTKTQKHYDAHPSMRSILEDASDSYDHPHLWYSTSEVIPALKLFISSGDELSNSDTYRYDLVDLTRQVLAKYANQLFLDVIEAYKFGDLGAVATLSQKFLTLVEDMDTLLACHDGFLLGPYLQSAKELARDEDQKIQYEWNARTLITMWFDNTEDEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLTESLEKGEGFRLRDWRREWIKLTNDWQSSRKVFPVKSTGDALKTSKRLYEKYLLDGEPFYPLTGKGK >itb11g22910.t4 pep chromosome:ASM357664v1:11:24791416:24804553:1 gene:itb11g22910 transcript:itb11g22910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLSLSTFFIFLSAFLFAGADSSTLGVRYVSRLLEIHDRERAPASLQLSAAYTVLNRLIPSHSSSFQFLIIAKEQCDGDACFRINNHPSQNNTGSSEILISGTTAVELLSGLHWYLKYWCGAHISWSKTGGVQFTSVPKSGSLPHVQDAGIIVKRPIPWSYYQNAVSSSYSFAWWDWERWEKEIDWMALQGINMPLAFTGQEAIWQKVFMSFNISASDLNDFFGGPAFLAWSRMGNLHGWGGPLPQSWLDQQLVMQKKILARMYELGMTPVLPAFSGNVPAALTQVFPSAKITHLDNWFTVHGDSRWCCTYLLDATDPLFVEIGKAFVREQLKEYGRSSHIYNCDTFDENTPPTDDPEYISSLGAAIFKGMQSGDDNAVWLMQGWLFTYDPFWKPPQMKALLHSVPLGRLVVLDLYAEVIPIWCMLHNFAGNIEMYGVLDAVGSGPVEARTSSNSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHDTVDVKAWVDLYSRRRYGKFVQSMQDSWNILYHTIYNCTDGANDKNRDVIVAFPDVAPHSISVLSRLLTKTQKHYDAHPSMRSILEDASDSYDHPHLWYSTSEVIPALKLFISSGDELSNSDTYRYDLVDLTRQVLAKYANQLFLDVIEAYKFGDLGAVATLSQKFLTLVEDMDTLLACHDGFLLGPYLQSAKELARDEDQKIQYEWNARTLITMWFDNTEDEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLTESLEKGEGFRLRDWRREWIKLTNDWQSSRKVFPVKSTGDALKTSKRLYEKYLLDGEPFYPLTGKGK >itb11g22910.t1 pep chromosome:ASM357664v1:11:24791270:24804753:1 gene:itb11g22910 transcript:itb11g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLSLSTFFIFLSAFLFAGADSSTLGVRYVSRLLEIHDRERAPASLQLSAAYTVLNRLIPSHSSSFQFLIIAKEQCDGDACFRINNHPSQNNTGSSEILISGTTAVELLSGLHWYLKYWCGAHISWSKTGGVQFTSVPKSGSLPHVQDAGIIVKRPIPWSYYQNAVSSSYSFAWWDWERWEKEIDWMALQGINMPLAFTGQEAIWQKVFMSFNISASDLNDFFGGPAFLAWSRMGNLHGWGGPLPQSWLDQQLVMQKKILARMYELGMTPVLPAFSGNVPAALTQVFPSAKITHLDNWFTVHGDSRWCCTYLLDATDPLFVEIGKAFVREQLKEYGRSSHIYNCDTFDENTPPTDDPEYISSLGAAIFKGMQSGDDNAVWLMQGWLFTYDPFWKPPQMKALLHSVPLGRLVVLDLYAEVIPIWCMLHNFAGNIEMYGVLDAVGSGPVEARTSSNSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHDTVDVKAWVDLYSRRRYGKFVQSMQDSWNILYHTIYNCTDGANDKNRDVIVAFPDVAPHSISVLSRLLTKTQKHYDAHPSMRSILEDASDSYDHPHLWYSTSEVIPALKLFISSGDELSNSDTYRYDLVDLTRQVLAKYANQLFLDVIEAYKFGDLGAVATLSQKFLTLVEDMDTLLACHDGFLLGPYLQSAKELARDEDQKIQYEWNARTLITMWFDNTEDEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLTESLEKGEGFRLRDWRREWIKLTNDWQSSRKVFPVKSTGDALKTSKRLYEKYLLDGEPFYPLTGKGK >itb03g23460.t2 pep chromosome:ASM357664v1:3:21630389:21634030:-1 gene:itb03g23460 transcript:itb03g23460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFDYSNTQRIVLLIDLHPLQTFQNPICYLTSVSAAAKRLLTFAPLSQSLFSFKFFFSSLSPLLSASAVGRLIGNASASPLSFTHPSQTLASLTDVLTSMSIPVEFEVDPPRASCVASSLHQIIRDYALESEIDNLPGMASVDFPKISSNLVLLFSPMPKSMGCLSEYMNLGGNSENLRDFDGFMVKFRELFCTLSNAFANRDIQFSWIDVRDGPVECVDNVKVDEFGGLMLLEKGIKSYGWGFSSTDSIVLGSALIPFGLIYPKIGVSLEFLNCTDDALKGRFGHLNLEILDVKGKPLEWKCCDLEFLNLNILPRLRTDNILSTLGLIDSQSEVCNGESVWCIGEGVIRMQIKAIQRYGVAGKVEGCRTGSIVVREISGDSKKCKTKVADDFFAYRVLDLLSGETGEITQRNSMPIWNILLNFLYKEGCQATVSLSSDSGQTSAGILKPLTVHLALLSVIENDNVKEPDWNQSGSADVGERIHDTLVDANDSNVFSSQTSTSTNCEPLGNGKRKKNKKSLVQNLSWSSFCDLAFKCSDFDLVEVCFARKLETPKTLKFLKCWMEQIKKSSSSWLRAPPHLSQSQQDMLQPLFSQVNIMQEGDASLSCSETAEVFFGNLPKKIEHGLRSGMDLQSFAERLVKSSIRVLSQKYETDDTVGVRTEKIKGDNVHKNLAAELMELLLRKPKEMKEKLASNNPTSEASDFSTTSETIVREYPFHCSFNVKKISCSHSTSPSRNFILVCISLICTRYELQVLLRMEILRSDLSASMKDSSKETLVKHICSLLEIIQYLVEGGIHGHVSLYDYVERTIRTRYCHILEDAVNKIYTRMELLPFGDEDQIQAHLFNSEDSNQSWREKQDRYEMTESYSIQQSIFTADDSNQPLDANESSEGIREEEHARKVTEARERREKALRFVYHSRMRDLQRVWAPRQLKAPKGKSEQQEDSKRKERRKAHYSVVCETPMTERKRVRSCDGDEVHTDSGNSSQSVSKALFQDS >itb03g23460.t1 pep chromosome:ASM357664v1:3:21630389:21634030:-1 gene:itb03g23460 transcript:itb03g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFDYSNTQRIVLLIDLHPLQTFQNPICYLTSVSAAAKRLLTFAPLSQSLFSFKFFFSSLSPLLSASAVGRLIGNASASPLSFTHPSQTLASLTDVLTSMSIPVEFEVDPPRASCVASSLHQIIRDYALESEIDNLPGMASVDFPKISSNLVLLFSPMPKSMGCLSEYMNLGGNSENLRDFDGFMVKFRELFCTLSNAFANRDIQFSWIDVRDGPVECVDNVKVDEFGGLMLLEKGIKSYGWGFSSTDSIVLGSALIPFGLIYPKIGVSLEFLNCTDDALKGRFGHLNLEILDVKGKPLEWKCCDLEFLNLNILPRLRTDNILSTLGLIDSQSEVCNGESVWCIGEGVIRMQIKAIQRYGVAGKVEGCRTGSIVVREISGDSKKCKTKVADDFFAYRVLDLLSGETGEITQRNSMPIWNILLNFLYKEGCQATVSLSSDSGQTSAGILKPLTVHLALLSVIENDNVKEPDWNQSGSADVGERIHDTLVDANDSNVFSSQTSTSTNCEPLGNGKRKKNKKSLVQNLSWSSFCDLAFKCSDFDLVEVCFARKLETPKTLKFLKCWMEQIKKSSSSWLRAPPHLSQSQQDMLQPLFSQVNIMQEGDASLSCSETAEVFFGNLPKKIEHGLRSGMDLQSFAERLVKSSIRVLSQKYETDDTVGVRTEKIKGDNVHKNLAAELMELLLRKPKEMKEKLASNNPTSEASDFSTTSETIVREYELQVLLRMEILRSDLSASMKDSSKETLVKHICSLLEIIQYLVEGGIHGHVSLYDYVERTIRTRYCHILEDAVNKIYTRMELLPFGDEDQIQAHLFNSEDSNQSWREKQDRYEMTESYSIQQSIFTADDSNQPLDANESSEGIREEEHARKVTEARERREKALRFVYHSRMRDLQRVWAPRQLKAPKGKSEQQEDSKRKERRKAHYSVVCETPMTERKRVRSCDGDEVHTDSGNSSQSVSKALFQDS >itb11g09000.t1 pep chromosome:ASM357664v1:11:5932117:5932533:1 gene:itb11g09000 transcript:itb11g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPRLCHEDCKVAGYDILKGTRVLVSAWGIGRDPTLRDNPTEFIPDRFIGRDIDIKGCDFKLLPFGAGRRMCPAYSLGFKAIQVTLANLLHGFNWKLPNDVIPEQLNMEEIFGLTTPKKFPLPVVIEPKLPMHVYSI >itb14g21190.t1 pep chromosome:ASM357664v1:14:23315166:23321672:-1 gene:itb14g21190 transcript:itb14g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGYTGDLIIDKREHQQSLRVQLSPDTPIAEEPTFVLAPDLSFIQPSERDVIEKIIALGFYYRELDRFATKSRNLSWIRSSNESPLARASELSKGKKEKQSVYRRAIANGIVEVLSVYRSAVLHIEQKFLSDSLPILATLTHGLNKFFVLLPPLHELILEIERNGACGGKLLNLLHKRCHCGIPELQTCIQRLLWHGHQVMYNQLMSWMVYGILHDPYREFFIGRQDDKDSETDSGSDVLEKLAHLSTSDVSLSDWHLGFHISLDMLPDYIPMGVGESICFAGKAVRVLRNPSPTFQFDSVVSHQQTQRGSQKSQEFTEKISSQKNSVDVQSVGEDLLPQSEADKIESLLQDLKESSEFHKRSFETAIDSIKAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQSFLEESRQLMRLPPRQSTAEADLMAPFQLAALKTIGEEDKYFSRVSLRVPSFGIPLKSAQLDLPKANVNADGDLGGQTDGSLEMSLDGWDGISLEYSVEWPLQLFITQEVLSK >itb07g18760.t1 pep chromosome:ASM357664v1:7:23196354:23197283:-1 gene:itb07g18760 transcript:itb07g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSLDHYLDYDIFYSVRRNPTDSFHCSSAPSRPHIHVRFSHRTADDIDDAGEHEVESRTICLEDDLLAEGSEDDCRNFIYSKLHGGVNGGSVVKSLKRKRIEDGAVSCCVICLEELTAGRDVGVMPCSHHSFHEDCLSSWLERSPSCPLCRRKMSDSPS >itb12g16490.t1 pep chromosome:ASM357664v1:12:17704462:17704758:-1 gene:itb12g16490 transcript:itb12g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMFDSGFYTNNGRHWIVRMIVTQVSLSFNLVRSDKLPDNPTDRRILVLLDHSSIKSFHSLFFNSLQSQLADDPSHHQCPAIWTLLVRCAHSILAIS >itb04g00310.t1 pep chromosome:ASM357664v1:4:211169:215499:-1 gene:itb04g00310 transcript:itb04g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRHRVCVIGGAGYLGSSLVKKLVDEGYTVHATLRSLSEESKVGLLKSFAGADERLKLFEADLLNKSEQAINGCEFVFLVATPTPQAGRLNVDEIVEGVKNVAYACSRCGSVRRLIYTASTGAASPLKEDGNGFKGVMDETCWTPLPLPFHHSNDSLMDYMESKTLAEKEILKIGNDEEGLEVVSLALGAVGGDTCLWYCPYSVSVLFSHLIDDEIGHKSLKFVEDLLGKIPIVHIEDVCDAHIFAMKAPNGSMYGRFLCANSFVSAAEIANYLRHNYPQFHVNLQDQHCIMNDHERQVVLNYEKLLHKGFTYKKSLKDGVDDSISCDSTKVGLLKGLPGGETNLKLFEADIYRADEFVPAIHGCQVVLHLATPLLHSHQSSKYKNTSEAAVDGVRSIVDACIRSGTVKKLIYTATVMAAAPLSHEDVTSFKDSMDETCWTPSNFPTPYHHVDLFAKYTQSKIAAEKEVLSYNGRGIQVVSLVCGLVGGCETLQSVISESVGLIVSQVARDKNRYEMLRFLLGPSYVKRNK >itb04g00310.t2 pep chromosome:ASM357664v1:4:211169:215499:-1 gene:itb04g00310 transcript:itb04g00310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRHRVCVIGGAGYLGSSLVKKLVDEGYTVHATLRSLSEESKVGLLKSFAGADERLKLFEADLLNKSEQAINGCEFVFLVATPTPQAGRLNVDEIVEGVKNVAYACSRCGSVRRLIYTASTGAASPLKEDGNGFKGVMDETCWTPLPLPFHHSNDSLMDYMESKTLAEKEILKIGNDEEGLEVVSLALGAVGGDTCLWYCPYSVSVLFSHLIDDEIGHKSLKFVEDLLGKIPIVHIEDVCDAHIFAMKAPNGSMYGRFLCANSFVSAAEIANYLRHNYPQFHVNLQDQHCIMNDHERQVVLNYEKLLHKGFTYKKSLKDGVDDSISCDSTKVGLLKGLPGGETNLKLFEADIYRADEFVPAIHGCQVVLHLATPLLHSHQSSKYKNTSEAAVDGVRSIVDACIRSGTVKKLIYTATVMAAAPLSHEDVTSFKDSMDETCWTPSNFPTPYHHVDLFAKYTQSKIAAEKEVLSYNGRGIQVVSLVCGLVGGCETLQSVISESVGLIVSQVARDKNSGRKREVVGSTLCQHVKTRKPISLIYVLFPFH >itb01g07970.t1 pep chromosome:ASM357664v1:1:6331296:6333539:-1 gene:itb01g07970 transcript:itb01g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPTAQHHPCDSSSLPPCRVPTGDHKSATDDTGRLRVSSPVSAPASADDEVAAYGPCRVPRTKLPTLNLAAPVKCELSYVYREFIYPAKFIHFK >itb07g02310.t1 pep chromosome:ASM357664v1:7:1463349:1467707:1 gene:itb07g02310 transcript:itb07g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVRSKEIVRPSSPTPQSLKNYKLSFVDQFALNVRVPFVFFYDSSSGAYSHDHTIDELKKSLSETLSLMYPLAGRVKEDKLTIECNDEGVEFIVADVAEIMSCLLENPEMEKIKQLIPTGKAYEPQPVGKALVSVQVNRFSCGGMGFGVYVSHAIADASAVAIFFETWASINRGCAVNGSGFISGQPSILFPPLTDTSAIERSVKMAAEAIEQEEKSMIVKRFVIPANAIAQLREELIFNFKSKQMLGESLESESSIMLSEGHTCVRPSYGSLFVRRXADVAEIMSCLLENPEMEKIKQLIPTGKAYEPQPVGKALVSVQVNRFSCGGMGFGVYVSHAIADASAVAIFFETWASINRGCAVNGSGFISGQPSILFPPLTDTSAIERSVKMAAEAIEQEEKSMIVKRFVIPANAIAQLREELIFRNKNCILRRVAAAGCRQQNMHKIL >itb01g09560.t1 pep chromosome:ASM357664v1:1:7831619:7835758:-1 gene:itb01g09560 transcript:itb01g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKGSLLFSLLTISLVFSSSTLLCFGGEEKAKPQHISHFPLSGEIYSSDEVIFGERRRVLDEEPNDVEPPLNSSLILAAKRTYRKDPLDDFKRYTGGWNISNRHYWASVAFTAVPFFIVALIWFAIFGLCLLFICCCYCCCRREPYGYSRIAYALSLILLVLFTVVAIVGCVILYFGQGKFHSSTINTLDYVVKQANSTAGSLRDVSGYFSAAKQISVDKVFLPANVQSDIDGIQSKINSSASDLAQTAADNKDDIKDVIESVRIALIVLSAVMLALTFLGFVLSIFGVQFLVYILVIVGWFLVTATFILCGIFLLLHNVTGDTCVAMNQWVQNPTAQTALDDILPCVDNATAQETLTKTKEVTSQLTIVINQVITNVSNNNFAPVFVPFYYNQSGPLVPQLCNPYNSDLTDRACSPGEVDFNNATQVWSKYVCQVSPSGLCVTPGRLTPDFYSQMMASVNVSYGLYNYGQFLVDLEDCDFVRDTFTEIHTTHCPGLRRYSQWVYIGLVIVAVSVMLSLTFWVIYGRERRHRVYTKAHRPKPAEDEFDGDKYIGE >itb15g13400.t1 pep chromosome:ASM357664v1:15:11393601:11398517:1 gene:itb15g13400 transcript:itb15g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSSEEVSGQNQVKASVQRKIRQSIAEEYPGLEPVLDDLLPKKSPLIVAKCPNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIKYVLSGANIMCPGLTSPGGALDVEVGAETPVAIMAEGKQHALAIGFTKMSAKDIKAINKGIGVDNMHYLNDGLWKMERLD >itb04g28510.t1 pep chromosome:ASM357664v1:4:32211624:32213623:1 gene:itb04g28510 transcript:itb04g28510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQSSPSPATVSRDQLCKSAITDNHKSYPFFRFIKASKRAFAAAFLFWRKRPKPKILEGETRTTQTPIRDLSYSSAGSEKKGLGRLRNSSSRGSSTAASASGELGTVDLSFDEICKGTGNFSASNKIGEGRFGTVYKAKLRDGSCVAIKRARKDNYDNRLTAEFKNEIMVLSKIEHLNLVRYYGYLEHRDEKIIVVEYVSNGTLREHLDGAQGKCLEMAERLDIAIDVAHAITYLHMYTDPPIIHRDIKSTNIFITDNFHAKVSDFGFARLAAEEDAGATHISTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVEIVTGRSPIEQTFSSDEKLTTKWALKILKRGDTVMAMDPRLRRSPGSVEAVDKVLKLAHLCVAPSRSARPSMRKCAEVLWRIRKEFRDRNVHSTAASASYYTAFVGKNPPTPGVDFSDKLKFAST >itb04g12960.t2 pep chromosome:ASM357664v1:4:12862790:12871856:-1 gene:itb04g12960 transcript:itb04g12960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLLKWTWSPLVAPCVPARIVFCSKMSTTPRRTPPSNPNPGSQENPSGDSAAEARVFIRRKRMKKAVEIVAKEVEKESPNKNVLGLPDIEDFSYRKDAINSQSKPVSSEGMIGKEVLSLSQKTGQPPPNWEKVLEGIHKMRSSEDAPVDSMGCEKAGSSLPPRERRFAVLVSSLLSSQTKDNVTHGAVQRLLQNDLLTADAIDKADEATIKSLIYPVGFYTRKARNMKKIAKICLTKYDGDIPTTLDELLLLPGIGPKMAHLVMNVGWNNVQGICVDTHVHRICNRLGWVSRTGTKQKTRTPEETRESLQLWLPKEEWVPINPLLVGFGQTVCTPLRPRCGICTVRDLCPSAFKEASSPSPSSRKKK >itb04g12960.t1 pep chromosome:ASM357664v1:4:12862790:12871890:-1 gene:itb04g12960 transcript:itb04g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLLKWTWSPLVAPCVPARIVFCSKMSTTPRRTPPSNPNPGSQENPSGDSAAEARVFIRRKRMKKAVEIVAKEVEKESPNKNVLGLPDIEDFSYRKDAINSQSKPVSSEGMIGKEVLSLSQKTGQPPPNWEKVLEGIHKMRSSEDAPVDSMGCEKAGSSLPPRERRFAVLVSSLLSSQTKDNVTHGAVQRLLQNDLLTADAIDKADEATIKSLIYPVGFYTRKARNMKKIAKICLTKYDGDIPTTLDELLLLPGIGPKMAHLVMNVGWNNVQGICVDTHVHRICNRLGWVSRTGTKQKTRTPEETRESLQLWLPKEEWVPINPLLVGFGQTVCTPLRPRCGICTVRDLCPSAFKEASSPSPSSRKKK >itb13g14310.t2 pep chromosome:ASM357664v1:13:20864969:20869337:1 gene:itb13g14310 transcript:itb13g14310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVEFSSPCISNGSSSIPIASYAGHDQGSSLQLLSLSRLSSGLEKLLIDPGEYEDYNDADIVVEGISVGVNRGILAARSQFFHEKFKKAKKGCGGKPKFLMTELVPCGWVGYEAFMDFLNYVYTGKTKASPPEVSTCVDESCDHYACRPAIDFAVQLMYASATFQIKELVMLIQQNLDWFVDNAAIEDVIPILLVAFHCSLAQLVEHCVQRVTRSNLDNFTLEKELPGKISSDIKARRLNQQGAVQEVEAANEKRIRKILKALDCNDVELVKMLLEESEITLDDAYAVHYAAAYCNSKVVNEVLGLDKVDLNLRNAQGYTVLHMAARRKDPAIIVAILDKSGASVRDATCCNGHTAVTICRRSTRPKDYNKTTEHGQEKNNDRLCIDVLEGAMMNGNPISLPSSTPLENDLVMELYFLENRVALARTLYPREAKINMEIAHADSTSEFAGLSAIEGLCGNLKGVGLTGLPPDQVKRLIDRREALKKTVELGRRFFRNCSDVLDKLAADDVLDSLESLMLESGTPEEQTNKKRRYMELKDEVMKAFDKDMAQNRVGFSSCSSSSTSPKMSATSKIRKKIK >itb13g14310.t1 pep chromosome:ASM357664v1:13:20865116:20869424:1 gene:itb13g14310 transcript:itb13g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVEFSSPCISNGSSSIPIASYAGHDQGSSLQLLSLSRLSSGLEKLLIDPGEYEDYNDADIVVEGISVGVNRGILAARSQFFHEKFKKAKKGCGGKPKFLMTELVPCGWVGYEAFMDFLNYVYTGKTKASPPEVSTCVDESCDHYACRPAIDFAVQLMYASATFQIKELVMLIQQNLDWFVDNAAIEDVIPILLVAFHCSLAQLVEHCVQRVTRSNLDNFTLEKELPGKISSDIKARRLNQQGAVQEVEAANEKRIRKILKALDCNDVELVKMLLEESEITLDDAYAVHYAAAYCNSKVVNEVLGLDKVDLNLRNAQGYTVLHMAARRKDPAIIVAILDKSGASVRDATCCNGHTAVTICRRSTRPKDYNKTTEHGQEKNNDRLCIDVLEGAMMNGNPISLPSSTPLENDLVMELYFLENRVALARTLYPREAKINMEIAHADSTSEFAGLSAIEGLCGNLKGVGLTGLPPDQVKRLIDRREALKKTVELGRRFFRNCSDVLDKLAADDVLDSLESLMLESGTPEEQTNKKRRYMELKDEVMKAFDKDMAQNRVGFSSCSSSSTSPKMSATSKIRKKIKSGV >itb13g03170.t1 pep chromosome:ASM357664v1:13:3129523:3130628:1 gene:itb13g03170 transcript:itb13g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFWVVSIGEVIQYRNLKDDSVVKAGVITRNGILCHCCGEVLSISKFKRHAGFKLNHSCVESFPGIWECVRGLINCIEKMLSSFKVEKLVKSAIPSLVEILVLGFGFKPLEEEERSSLSNNNLMLFPRTMWLKTNVSSKLEKMHKAGTKDALRSKEVDPAMSELKPKNLELGNGGGNSLHSRWPICFTEGSVSSQASL >itb04g19910.t1 pep chromosome:ASM357664v1:4:24365700:24366335:1 gene:itb04g19910 transcript:itb04g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQIKSRTTGENLQIPINYWAVLLNQSSTKWALPDSAATQRHLYPSSKPSKVRNGAVRLRPKITDILKGKLILGAKLLQAGGIQKVFKKNFGTVRDGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSDRSIKIPSSTGKSMRMHYKVSIPIAKIKRANESDNLKNPSEKYIQVVTEDHFEFWFMWFLHHQTTLKYLQDAISQAQYP >itb12g20240.t1 pep chromosome:ASM357664v1:12:22729837:22732536:1 gene:itb12g20240 transcript:itb12g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESLSLKLPTATKPAGPGVHPSTTPCYAKLKFSNFPSQTALLPLCSPALPKDDDSSPSDSFASAEAFHLDPSALRRLSEKPAALKLSVFAGRMGHTCGITSGKLLGVVQVAVDLSGAQSKPVLFQSGWKRLGGGGSDNKPMLHVVVRSEPDPRFVFQFGGEPECSPVVFQIQGSIRQPVFSCKFSADRNNRTRSLPSDFSLNNRGWMRTFSGDRDRPGKERKGWMIIIYDLSGSSVAAASMITPFVPSPGSDRVSRSNPGAWLILRPNGPSVSSWKPWGRLEAWRERGPVDGLGYKFELVTDAGFTSGIPIAEGAMNVKKGGRFCIDNTRKQSASSSLSPVRGFVMCSSVEGKNGFLSVQVAVNHVNCMADAALFVALSAAIDLSMDACQLFSRKLRKEFCHDEHDSYS >itb12g09280.t1 pep chromosome:ASM357664v1:12:7293080:7294946:1 gene:itb12g09280 transcript:itb12g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPRGGAGAGPNRPGRTTTVRPVYETFTPVFQRQQDEEAEKLIIYLPGFSKENIKVSTEGKTTLKVRGERLIGNNKWNRFLEEFQAPEDCNMRGIHARFENGILTITMPIPKKIPPPVTTTNTQQEETTTLQKQPYIPPFLRTKDDHSPPLLAPQTTPQDTQNQPPKVDENISQKETTPPPPPKEVFQQKPLNAASDDGFADKTSQFRDEKRMEGQLIAAKPPNQDDDDFGAYKSLLHSVERQKKKPVGPMFPKDYEDESPPPSPSVLAAAKHGDQKSLEGQEKVTTGGGQKIGLKDFVEGEKISSEVKAKGTITQPLFDDDDDDDDDGRNKTTTAGVDQSVKQTSMTTSGGGGGDFRLGKAAVSDPKEERQLLVNAGVAVLVILALGAYIYNTVGTRKSD >itb03g10830.t1 pep chromosome:ASM357664v1:3:8696365:8696712:1 gene:itb03g10830 transcript:itb03g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKPTRVKPFPPTVIREFYANLLLETNNIAFPLFRRVWVRNNWYNFGPDQINMYFGVDADDVEEASFSDDLMARRISGGLLTYWPKDTTGLPTRMLTSNFPFYTRLQSQTGFG >itb03g18360.t1 pep chromosome:ASM357664v1:3:16666091:16668279:-1 gene:itb03g18360 transcript:itb03g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGDSDSPTFWTQSPTVFRRQPPSPIFNPVVLILLVPILTLLVVFFLLPPFLSHASQLLRPNAVKKSWDSINVLLVVFAILCGVFARKNDDVSASRNEDDDVRGEEGRGNASGLGFREVSGFEYSDPKAPIAVPETGISRLRRSSSSYPDLRQVPAPETEDNRFRFYDDFELNFYRSTAAAAAEYDRHRPRWSEVERKEDEVKVKVIPVDTVKLRSSSNPPPPPPPSQKKLKRRRSLNSVSRKGVLNMQSNETAAVNPVIVENRPPPPPPPPPPPPPPPAPPAVREHIPEEEKPPKLQRKKSGAKNEIATAIASFYNQRKRKQRTKTRNIYESAPASEHSPSFPHSPQTPPPPPPPPPPPPPPPSKVFHNLFKKSSKSKRVHSVSSNAPPPPPPPPPPPKSILNNLFKSGGKSKRFNHQSSSDPPPPPPPLPPRSSIVNSFLKAGNISRRFKSPSYASNPSPPSYHHQTPTQSPSTPPPRRPVTARKPPLPTKTPRSYYDDNLNSGSQSPLIPVPPPPLPFGMPEMSFVPRGDFVRILSNHGESRCSSPELEDVDVMSVKSEQIDGEDIIGPSVCPSPDVNVKAESFIARLRDEWRMEHNNSLRAKGNLG >itb05g24930.t1 pep chromosome:ASM357664v1:5:29580440:29583724:1 gene:itb05g24930 transcript:itb05g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVVVTIENSKSISLVEINDSNSPAFRDKQKATNPKTFLILKAHRALACLPWLATGLLTTFSSVKKRIALSDTDEEDPRYRGKLYRFIRGFLAVSVVALILEIIAYYNQWDLSHFALVNPGEVQNLLQWTYMAWLSFRADYVAPSIVTLSKFCTVLFLIQSVDRFALCLGCFWIKYKKIKPVIDENAYDPEDDSTFPMVLVQIPMCNEKEVFAQSIGAACQLDWPKDRFLVQVLDDSDDEVCQQMIRNEVLSWKEKGVNIVYRHRLIRTGYKAGNLNSAMACEYVKDYEFVAIFDADFQPNADFLKLTVPHFKGNPELGLVQGRWSFVNKDENLLTRLQNINLCFHFEVEQQVNGHFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVRAICELPESYEAYKKQQHRWHSGPMQLFRLCLPAILTSKISIWKKGNLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPAWVICYIPIIMSILNILPSPKSFPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAFAERESKSLQGEKITRRLSESGLEMYGKLKEQEQEIPMESKKKKKKNRIYRKELTLAFLLLTAAARSLLSAQGIHFYYLLFQGLTFLVVGLDLIGEQVS >itb03g02930.t1 pep chromosome:ASM357664v1:3:1658547:1658801:-1 gene:itb03g02930 transcript:itb03g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARHTGILHEKIIKLMSYENGTPQKMEIASSEKRVHLICGWKSFREANKIKNGDRCSFTLVNPHTTETMVLLVKKLPKLGMN >itb09g27930.t1 pep chromosome:ASM357664v1:9:28541505:28544751:1 gene:itb09g27930 transcript:itb09g27930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDEVVGRKSKKRKERNNDMLATNPIHIVGVEGDKSFEEENLMKKKSDNEGVERMKKKKKSAGDNKGGTLMEDSNGITVEKAKKRERKREVESNNNDTSNDVSVDNGGDVNSTATEIHESKKKHKKKAGKISDGCIEGKVEKVKRKKKKNKKKKGEDNISRNQVKDSCKDPKLKNSKKKVRFSNELEVFPESNVPESGNDQNKEVELIRGKRFSKIEDEKIKEAIYKYIEVCNLGEEGLDMVLNSKSHPELKNCWKEIGTAIPNRPYVAVYRRGQTIFRRSENRKWTEEEKALVLQHQKLHGNDWKSIAKELGRHRYHVKDTWCRIRLPNMKTGLWSQDEYQNLFDLVNTDLQLRIAEEKKSKHGMLRDNICWTAISDKLSTRYAPNCCLKWYNQLTSPMVAEGIWSDSDDYRLIGALYNLDETCIENVDWDNLVEHRSGEICLKRWKRMVLHIGNHGNKLFSEQVEVLAQRYCPSLIEVREIWDSKPVVP >itb02g10760.t1 pep chromosome:ASM357664v1:2:6994037:7000488:-1 gene:itb02g10760 transcript:itb02g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKSSETPENSMELRSLALTPTWSVATVLTVFVVVSLLVERSIHRLCNWLKKTDRKPLLAAVEKMKEELMLLGFISLLLTATSSIISNICIPSKFYDGVFAPCKKSEIEEENENEDFKERKLLMDLVFDHPLRRMLNSLNKNTCPESHEPFVSYEGLEQLHRFIFVMAITHISYSCLTMLLAIVKIHTWRVWEDEAHMDRHGALTDRTRAMTMRRQSTFVRVHTSNPMSRNSVLIWVTCFFRQFGRSVVRADYLSLRKGFIMNHNLTSKYDFHSYMIRSMEEEFQRIVGVSAPLWGFVVAFMMFNVKGSNLYFWIALFPITLVLLVGTKLQHVIATLALESAGITGYFQGAKLKPRDELFWFKKPELLLSLIHFVLFQNAFELASFFWFWWQFGYNSCFIFNHTLVYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETMHGWGKAARRKRRHHHRSMYDDSTTVHTDASTVLSVEEDDDHGSLDSPRKSYGPNAGIELQPPSIVTEGACMNVNEASSRAVTPLIRPCASISFTDPPRFLTEVISRSSSMPIRRDLEKD >itb11g09760.t2 pep chromosome:ASM357664v1:11:6628392:6631271:1 gene:itb11g09760 transcript:itb11g09760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRHLRLILSRYQHRGFATKYSGRVVVEADNGRSFAIEVDSPTLQTDVRGYALPRRDLICKVAQILQAPPSLSSDQFLDLSDYLETLSLSLTPSEASEVLKSLKSPTLALHFFRFCPENIPNFRHDAFTYNRIILILSKSSLPTRLDHIREIVSEMERSGTRGNISTVNLLIGVFGSGEAEIGSDLKRCLELVKKWDLRLTCYTYKCLLQAYLRLNDSSKALGVYREIRMRGYKLDIFSYNMLLDALAKEEKVEQAHKVFEDMKKWHCEPDVYTYTIMIRMNGKTGKPNESLVLFQEMLSKGCSPNLIAYNTVIQALSKGRMVDKTLFLFSKMIENNCRPNELTYSFILYALAAEGKLHRLDEVVEISERYMNKSIYAYLVRTLSKLGHAGEAHRLFCSMWNFHDKGDRDAYLSMLESLCTAGKVTEAIDLLSKMHEKGIGTDTFMYNVVFSALGRLKQIPHIHDLYEKMKEAGISPDLFTYNILISSFGRAGKVEEAVNIFEELENSNWKPDIVSYNTLINCLGKNGDLDEAHMRFREMQEKGLNPDVVTYSTLIECFGKTDKVEMACQLFDEMLADGCSPNIVTYNILLDCLERCGRTADAVNFYAKLKEQGLVPDSITYAILDRLQSGSQRTVRIRRQNPITGWVVSPLR >itb11g09760.t1 pep chromosome:ASM357664v1:11:6628392:6631271:1 gene:itb11g09760 transcript:itb11g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRHLRLILSRYQHRGFATKYSGRVVVEADNGRSFAIEVDSPTLQTDVRGYALPRRDLICKVAQILQAPPSLSSDQFLDLSDYLETLSLSLTPSEASEVLKSLKSPTLALHFFRFCPENIPNFRHDAFTYNRIILILSKSSLPTRLDHIREIVSEMERSGTRGNISTVNLLIGVFGSGEAEIGSDLKRCLELVKKWDLRLTCYTYKCLLQAYLRLNDSSKALGVYREIRMRGYKLDIFSYNMLLDALAKEEKVEQAHKVFEDMKKWHCEPDVYTYTIMIRMNGKTGKPNESLVLFQEMLSKGCSPNLIAYNTVIQALSKAEGKLHRLDEVVEISERYMNKSIYAYLVRTLSKLGHAGEAHRLFCSMWNFHDKGDRDAYLSMLESLCTAGKVTEAIDLLSKMHEKGIGTDTFMYNVVFSALGRLKQIPHIHDLYEKMKEAGISPDLFTYNILISSFGRAGKVEEAVNIFEELENSNWKPDIVSYNTLINCLGKNGDLDEAHMRFREMQEKGLNPDVVTYSTLIECFGKTDKVEMACQLFDEMLADGCSPNIVTYNILLDCLERCGRTADAVNFYAKLKEQGLVPDSITYAILDRLQSGSQRTVRIRRQNPITGWVVSPLR >itb11g00370.t1 pep chromosome:ASM357664v1:11:164760:167693:1 gene:itb11g00370 transcript:itb11g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILIFLLITSLSFQLKANSQSTERISSLTHLPRHNQDSFPADRASTDGEKLQSLQRSRVVLKGNAEIFSENKTFVLGFFSISGESNWYLGIGYASIPVPTYVWVANRETPIKNSSLARLEITQHGKLALLNQQDSVVWETDNVKEASDVKLLEQGNLVLLDSDGVLIWQSFDFPTDTWLPGMNLTAQRWLSSWKSSTDPSPGKYSLRLNPPAYGEIALIYNGNYTYWSTGNWTGNAFAGVPQMTIPYIYKFTFSQPFTPMASFGYSEVPIDPGVKPPLTRFHVDHTGQLKQYTWSVQTENWNMFWSQPENLCRVYGLCGNMGFCNARSALSPCKCFSGFKPWDDASWNAGDFSGGCLHESNGLCSESDGFKEVGTVSYDGAKVVSFTGTRSTCENECLVNCTCIGLHYNERASLCKNLYGDLLNLRNVSSDSTLDDKLLLRVQGDSISRSHERKRFLLIGVSCGVVVIFLLGILTLLVLRRRKLRRNEEEEAVFPVTNLKVFSYKELHAATKGFSEKLGHGGFGAVFQGELSDSSLVAVKRLDRPGGGEKEFRAEVCTIGNIQHINLVRLRGFCCENSHRLLVYDYMSKGPLSVYLRRDSQTLSWDLRFRIAMGVARGIAYLHEECRNCIIHCDIKPENILLDGDYSAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLAITTKADVYSYGMTILELIGGRRNVEGPPSTRGGDGKGRAEEKWFFPPWAAQQIIEGNVAAVVDERLQGRYNASEAERVGLVGVWCIQDEESVRPTMGMVVKMLEGVVEVQVPPPPKLLQALVSGESFHGKMASQDGSSGYNPQLSIHSKDSRSFP >itb11g15090.t1 pep chromosome:ASM357664v1:11:12473701:12474183:-1 gene:itb11g15090 transcript:itb11g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSIFGARRSNIFDPFSLDLWDPFQGFPFSSAVANAPGASAGETSAFANARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSREQEEKNDKWHRVERSSGKFLRRFRLPENVKMDEVKASMENGVLTVTVPKEEFKKPKIKAVEISS >itb01g30000.t1 pep chromosome:ASM357664v1:1:34099031:34101007:1 gene:itb01g30000 transcript:itb01g30000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGCMKPKVPHIAMLIVQLIYAGMALLSKTAIAQGMSPFIFVAYRQAFATIALAPFAVFLDRKNPVSLSYNILWKIFLGSFIGITLGLNLYCYAIKYTSATFAAATTNIIPALTFVMAVISRVESLSIRQSHGMAKVLGCVISVSGALVFAFVKGPHVQFIHSSTQIHSQTTSAAKFYSKSGELVKGPLLMLLANLVWSMWYIMQSRIVKQYPAKVRLTTLQCLFSCVQSSVWAMAMERDIASWRLKWDVNLVSVIYCGVIVTAITYWLQLWAVEKKGPVFIAVFTPLSLIITATISAILWKEKLYLGSVFGAILLIGGLYSFLWGQNREAEGEKIMKHPKMDRSALHIITD >itb02g12520.t1 pep chromosome:ASM357664v1:2:8573266:8574077:1 gene:itb02g12520 transcript:itb02g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNASDDDLRKAYRRLAMIWHPDKNPGPKKHEAEAKFKQISEAYDVLSDLQKRQIYDLYGEEALKSGQVPPPPRSGPHHFRTQPHPNPSFRFNPRDADDIYAELFGGSSSESNGRARGTRDGFFRSTGADFSGTSTGTRPGTTPRKAPPVENELQCSLEDLYKGAEKKMKISRTILDYYG >itb12g27230.t2 pep chromosome:ASM357664v1:12:27735461:27738660:-1 gene:itb12g27230 transcript:itb12g27230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGPVNVRANRKDVQLKVKEEYNSFRDRTAYLFLIFPSLLLGLRSWIWDGCLPALPVQLYQAWLLYLYTGLALRENILRLNGSDIRPWWIKHHYCAMAMALISLTWEIQREPNCAQKQRGVQLFLQWAIMQGVAMLLQNRYQRQRLYTRIALGKARRMDVVWGETAGVRGQLLLLFPILFILQGFEAYIGVLLLKTVAMGDVSEWQVVTCGVLLIVMAVGNFINTVETLVTKSRVKAKMKNSKSKQDLNPESVAKAL >itb12g27230.t1 pep chromosome:ASM357664v1:12:27735223:27739316:-1 gene:itb12g27230 transcript:itb12g27230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTAAEDLNYAEEVPRLVEQAKELQDAAGALISRTARDEESLRKRAASLDAHIQSLRSSIRSMKLDSLQAEKWEEELIKARYILSEGDAAAYLPSKSHGQFLRMFLGPVNVRANRKDVQLKVKEEYNSFRDRTAYLFLIFPSLLLGLRSWIWDGCLPALPVQLYQAWLLYLYTGLALRENILRLNGSDIRPWWIKHHYCAMAMALISLTWEIQREPNCAQKQRGVQLFLQWAIMQGVAMLLQNRYQRQRLYTRIALGKARRMDVVWGETAGVRGQLLLLFPILFILQGFEAYIGVLLLKTVAMGDVSEWQVVTCGVLLIVMAVGNFINTVETLVTKSRVKAKMKNSKSKQDLNPESVAKAL >itb09g05020.t1 pep chromosome:ASM357664v1:9:2860745:2864239:1 gene:itb09g05020 transcript:itb09g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARFGRSSSRYGPATVFTGPVRKWKRKWVHVSPPSSGNSNHQSHQAPTNVGANGSNGSYLLLLKWTPITPSQNGNNANNSNGGGAADKNGDSKSSAKDDAVAVEVPLKRKFKYIPIAVLEEQKSSELEDEANPIESETNGGNPASKTDGFHEKPDINDVPMEDNQAPENDVPERQDLNESTLDLSLG >itb15g16780.t1 pep chromosome:ASM357664v1:15:16770322:16778076:1 gene:itb15g16780 transcript:itb15g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLWCDYLNYVQEHDPSVSSCSIDGISKARNLFERALTAAGLHVAEGGQIWELYRDFEQAILITIDGTDHETREKQIQRIRNLFHRQLSVPLADLKTTLIAYKAWEVEQGGNIDVNSSNLDGISAQVVSAYQKALEMLNARLDLEDLISNKDATETDRLQQFMTYLKFEQSSGDPARVQILYERAVADFPISSDLWLDYTLYMGKTLKTSRIVRDIHHRATRNCPWSGELWTRYLLCLERSRASEDELSTVFEKSLQCTFLSIEEYLEVFLTRVDSLRRRMSSSQGVGSGLDYAMIRDTFQRASDYLSPHLKNTEKLIRMYSYWAHLEANLRKDLIAARGVWESLLKICGSMLEAWQGYISMEIKMGHINEARSLYKRCYSKKFPGTGSEDICHSWVRFERECGSLEDFDLAVQKVTPRLEELRLFRLQQESKNTGLSADQREIATGRGIHEKRKPLSDVADEQPAAKRRKEKAKNSKSTDEKGKTQATDLVGAGKMDVVVEASKPASASKKEKEDISTGKPKQYNDQCTAFISNLSFQANYDDLQKFFSDVGGVVAIRILTDKFTGKSRGLAYVDFCDDAHLAAALAKNRQKLHGKKLSILRSDPQQNRKRGNLGKGMPTKHDSGGQGSKSDSKDSGEISRQSGGGPKRDQSVDRQKDDIQLKGRNIFAMPRAVSKSKPKLEQIAEEGGDENPKSNDEFRKMFLKS >itb15g16780.t3 pep chromosome:ASM357664v1:15:16770322:16776744:1 gene:itb15g16780 transcript:itb15g16780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLWCDYLNYVQEHDPSVSSCSIDGISKARNLFERALTAAGLHVAEGGQIWELYRDFEQAILITIDGTDHETREKQIQRIRNLFHRQLSVPLADLKTTLIAYKAWEVEQGGNIDVNSSNLDGISAQVVSAYQKALEMLNARLDLEDLISNKDATETDRLQQFMTYLKFEQSSGDPARVQILYERAVADFPISSDLWLDYTLYMGKTLKTSRIVRDIHHRATRNCPWSGELWTRYLLCLERSRASEDELSTVFEKSLQCTFLSIEEYLEVFLTRVDSLRRRMSSSQGVGSGLDYAMIRDTFQRASDYLSPHLKNTEKLIRMYSYWAHLEANLRKDLIAARGVWESLLKICGSMLEAWQGYISMEIKMGHINEARSLYKRCYSKKFPGTGSEDICHSWVRFERECGSLEDFDLAVQKVTPRLEELRLFRLQQESKNTGLSADQREIATGRGIHEKRKPLSDVADEQPAAKRRKEKAKNSKSTDEKGKTQATDLVGAGKMDVVVEASKPASASKKEKEDISTGKPKQYNDQCTAFISNLSFQANYDDLQKFFSDVGGVVAIRILTDKFTGKSRVLSCSLTLPLPPLFFKRDLKTQLNT >itb15g16780.t2 pep chromosome:ASM357664v1:15:16770322:16778077:1 gene:itb15g16780 transcript:itb15g16780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLWCDYLNYVQEHDPSVSSCSIDGISKARNLFERALTAAGLHVAEGGQIWELYRDFEQAILITIDGTDHETREKQIQRIRNLFHRQLSVPLADLKTTLIAYKAWEVEQGGNIDVNSSNLDGISAQVVSAYQKALEMLNARLDLEDLISNKDATETDRLQQFMTYLKFEQSSGDPARVQILYERAVADFPISSDLWLDYTLYMGKTLKTSRIVRDIHHRATRNCPWSGELWTRYLLCLERSRASEDELSTVFEKSLQCTFLSIEEYLEVFLTRVDSLRRRMSSSQGVGSGLDYAMIRDTFQRASDYLSPHLKNTEKLIRMYSYWAHLEANLRKDLIAARGVWESLLKICGSMLEAWQGYISMEIKMGHINEARSLYKRCYSKKFPGTGSEDICHSWVRFERECGSLEDFDLAVQKVTPRLEELRLFRLQQESKNTGLSADQREIATGRGIHEKRKPLSDVADEQPAAKRRKEKAKNSKSTDEKGKTQATDLVGAGKMDVVVEASKPASASKKEKEDISTGKPKQYNDQCTAFISNLSFQANYDDLQKFFSDVGGVVAIRILTDKFTGKSRGLAYVDFCDDAHLAAALAKNRQKLHGKKLSILRSDPQQNRKRGNLGKGMPTKHADSGGQGSKSDSKDSGEISRQSGGGPKRDQSVDRQKDDIQLKGRNIFAMPRAVSKSKPKLEQIAEEGGDENPKSNDEFRKMFLKS >itb12g00730.t1 pep chromosome:ASM357664v1:12:525082:526693:1 gene:itb12g00730 transcript:itb12g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAALIALCFLSATLVGAHSSGNPFLVKGKAYCDTCNCGFETTATKYLAGARVQIQCRDIKTNALTYTIDGVTNSEGEYNIVVNGDRGDDLCDVVLMKSPDRRCSIPNKGRDRARVILTRNNGMVSDIRYANNMGFTSKEPLASCAQILAPYHLNDDNF >itb01g35760.t1 pep chromosome:ASM357664v1:1:37790372:37791090:-1 gene:itb01g35760 transcript:itb01g35760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRALFFCFYLFTILAVCDFGLQWSKTGWVLHKAHGDRNVTMNMILQKTYLSHRKILVHGACTNKDISISQSTYSTTGIPQYVVEIVNTCFSGCAPSKIHLHCDWFASARIVNPNTFKRLSYDDCLVNEGKPLKASQMIRFTYSNTFMYPLAFKSAKFC >itb13g25160.t2 pep chromosome:ASM357664v1:13:30598687:30610293:1 gene:itb13g25160 transcript:itb13g25160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MGQQRPTTRSKNKRNRADDNADAISETFRKVLSTGQVTQDDVNQLYMVWRPVCQGCRVNSKDNPNCFCGLIPPPNGSRKVGLWQKTSEIVHSLGPDPSDDLRASPDTPAGLTNLGATCYANSILQCLYMNRSFREGVFSVEPEVLKGDPVLDQLARLFAKLHLSKMAFVDSAPFIQTLELDNGVQQDSHEFLTLLFSLLERCLSCSKVSKARTIVQDLFRGGVSHVTMCSRCGNESEASSKIEDFYELELNVRGLKSLDDSLDDYLSIEELEGDNQYYCESCATRVNATRSIKLRSLPAVLNFQLKRCVFLPNTTTKKKITSAFCFPEELNMAQRISDHLQPDLVYDLSAILIHKGSAVNSGHYIALIKNEKTGQWWEFDDEQVSNLGSQPFGGGSSNSAVKPAQTEPPLHTSASEQMDVIVNGKNVNGGQQRSLNTNVVCNAKTFSSSDAYMLMYALRSAREGVEATNNETGGEELKSNSYKFSFGTDSPLPSHLHEEVDQLNVEFLESCNQYRERKETELKRITERRQEVRSILSEVPVQSLWKPYFWISTDWLRQWADSITPPIIDNIAIQCKHGKVPVSKVNAMKRLSQTSWAMLLSKYGGGPTLANDDHCMECLFEMARTMARADSYRDRTALMKDLAEAALAGKCLDGKLFYISKSWLQQWLRRKNVDSPCEADAGPTASIRCPHGELLPELAPGARRALIPENLWNFIHETAMAVKPGDTLGSSTFSSDSEPCAQCSIELSEHACLEDNLREFRIKQRQSHERLAAGKSIALYPSNKYYLVPSHWLSKWRSYISATGKNSSAELETLGSAMDLLTCEKHYRLLERPPELIWKRGVIHQKTPTTDGLTIVSENDWKLFCEDWCGLEARGIVAEIECVENDLVISCDEMPLSEDHMTVDGEPNIGIESRKPIIKTLPEVCEECIGEKASCELLKKLNYSNEDICVCFIRGKEPPKSILEASGNSLEPNRRTSKRSRKTSFGNSVNLNVSGSTSIYQLKMMIWEAFGVVKENQVLHKGSRIIDVESACLADLEIFPGDVLWVTDSEIHENRDIADELSDHKMEVHKAEEGFRGTLLTSSVSSQVSEACLN >itb13g25160.t4 pep chromosome:ASM357664v1:13:30602481:30610275:1 gene:itb13g25160 transcript:itb13g25160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MGQQRPTTRSKNKRNRADDNADAISETFRKVLSTGQVTQDDVNQLYMVWRPVCQGCRVNSKDNPNCFCGLIPPPNGSRKVGLWQKTSEIVHSLGPDPSDDLRASPDTPAGLTNLGATCYANSILQCLYMNRSFREGVFSVEPEVLKGDPVLDQLARLFAKLHLSKMAFVDSAPFIQTLELDNGVQQDSHEFLTLLFSLLERCLSCSKVSKARTIVQDLFRGGVSHVTMCSRCGNESEASSKIEDFYELELNVRGLKSLDDSLDDYLSIEELEGDNQYYCESCATRVNATRSIKLRSLPAVLNFQLKRCVFLPNTTTKKKITSAFCFPEELNMAQRISDHLQPDLVYDLSAILIHKGSAVNSGHYIALIKNEKTGQWWEFDDEQVSNLGSQPFGGGSSNSAVKPAQTEPPLHTSASEQMDVIVNGKNVNGGQQRSLNTNVVCNAKTFSSSDAYMLMYALRSAREGVEATNNETGGEELKSNSYKFSFGTDSPLPSHLHEEVDQLNVEFLESCNQYRERKETELKRITERRQEVRSILSEVPVQSLWKPYFWISTDWLRQWADSITPPIIDNIAIQCKHGKVPVSKVNAMKRLSQTSWAMLLSKYGGGPTLANDDHCMECLFEMARTMARADSYRDRTALMKDLAEAALAGKCLDGKLFYISKSWLQQWLRRKNVDSPCEADAGPTASIRCPHGELLPELAPGARRALIPENLWNFIHETAMAVKPGDTLGSSTFSSDSEPCAQCSIELSEHACLEDNLREFRIKQRQSHERLAAGKSIALYPSNKYYLVPSHWLSKWRSYISATGKNSSAELETLGSAMDLLTCEKHYRLLERPPELIWKRGVIHQKTPTTDGLTIVSENDWKLFCEDWCGLEARGIVAEIECVENDLVISCDEMPLSEDHMTVDGEPNIGIESRKPIIKTLPEVCEECIGEKASCELLKKLNYSNEDICVCFIRGKEPPKSILEASGNSLEPNRRTSKRSRKTSFGNSVNLNVSGSTSIYQLKMMIWEAFGVVKENQVLHKGSRIIDVESACLADLEIFPGDVLWVTDSEIHENRDIADELSDHKMEVHKAEEGFRGTLLTSSVSSQVSEACLN >itb13g25160.t3 pep chromosome:ASM357664v1:13:30598690:30609798:1 gene:itb13g25160 transcript:itb13g25160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MGQQRPTTRSKNKRNRADDNADAISETFRKVLSTGQVTQDDVNQLYMVWRPVCQGCRVNSKDNPNCFCGLIPPPNGSRKVGLWQKTSEIVHSLGPDPSDDLRASPDTPAGLTNLGATCYANSILQCLYMNRSFREGVFSVEPEVLKGDPVLDQLARLFAKLHLSKMAFVDSAPFIQTLELDNGVQQDSHEFLTLLFSLLERCLSCSKVSKARTIVQDLFRGGVSHVTMCSRCGNESEASSKIEDFYELELNVRGLKSLDDSLDDYLSIEELEGDNQYYCESCATRVNATRSIKLRSLPAVLNFQLKRCVFLPNTTTKKKITSAFCFPEELNMAQRISDHLQPDLVYDLSAILIHKGSAVNSGHYIALIKNEKTGQWWEFDDEQVSNLGSQPFGGGSSNSAVKPAQTEPPLHTSASEQMDVIVNGKNVNGGQQRSLNTNVVCNAKTFSSSDAYMLMYALRSAREGVEATNNETGGEELKSNSYKFSFGTDSPLPSHLHEEVDQLNVEFLESCNQYRERKETELKRITERRQEVRSILSEVPVQSLWKPYFWISTDWLRQWADSITPPIIDNIAIQCKHGKVPVSKVNAMKRLSQTSWAMLLSKYGGGPTLANDDHCMECLFEMARTMARADSYRDRTALMKDLAEAALAGKCLDGKLFYISKSWLQQWLRRKNVDSPCEADAGPTASIRCPHGELLPELAPGARRALIPENLWNFIHETAMAVKPGDTLGSSTFSSDSEPCAQCSIELSEHACLEDNLREFRIKQRQSHERLAAGKSIALYPSNKYYLVPSHWLSKWRSYISATGKNSSAELETLGSAMDLLTCEKHYRLLERPPELIWKRGVIHQKTPTTDGLTIVSENDWKLFCEDWCGLEARGIVAEIECVENDLVISCDEMPLSEDHMTVDGEPNIGIESRKPIIKTLPEVCEECIGEKASCELLKKLNYSNEDICVCFIRGKEPPKSILEASGNSLEPNRRTSKRSRKTSFGNSVNLNVSGSTSIYQLKMMIWEAFGVVKENQVLHKGSRIIDVESACLADLEIFPGDVLWVTDSEIHENRDIADELSDHKMEVHKAEEGFRGTLLTSSVSSQVSEACLN >itb13g25160.t1 pep chromosome:ASM357664v1:13:30598687:30610293:1 gene:itb13g25160 transcript:itb13g25160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MGQQRPTTRSKNKRNRADDNADAISETFRKVLSTGQVTQDDVNQLYMVWRPVCQGCRVNSKDNPNCFCGLIPPPNGSRKVGLWQKTSEIVHSLGPDPSDDLRASPDTPAGLTNLGATCYANSILQCLYMNRSFREGVFSVEPEVLKGDPVLDQLARLFAKLHLSKMAFVDSAPFIQTLELDNGVQQDSHEFLTLLFSLLERCLSCSKVSKARTIVQDLFRGGVSHVTMCSRCGNESEASSKIEDFYELELNVRGLKSLDDSLDDYLSIEELEGDNQYYCESCATRVNATRSIKLRSLPAVLNFQLKRCVFLPNTTTKKKITSAFCFPEELNMAQRISDHLQPDLVYDLSAILIHKGSAVNSGHYIALIKNEKTGQWWEFDDEQVSNLGSQPFGGGSSNSAVKPAQTEPPLHTSASEQMDVIVNGKNVNGGQQRSLNTNVVCNAKTFSSSDAYMLMYALRSAREGVEATNNETGGEELKSNSYKFSFGTDSPLPSHLHEEVDQLNVEFLESCNQYRERKETELKRITERRQEVRSILSEVPVQSLWKPYFWISTDWLRQWADSITPPIIDNIAIQCKHGKVPVSKVNAMKRLSQTSWAMLLSKYGGGPTLANDDHCMECLFEMARTMARADSYRDRTALMKDLAEAALAGKCLDGKLFYISKSWLQQWLRRKNVDSPCEADAGPTASIRCPHGELLPELAPGARRALIPENLWNFIHETAMAVKPGDTLGSSTFSSDSEPCAQCSIELSEHACLEDNLREFRIKQRQSHERLAAGKSIALYPSNKYYLVPSHWLSKWRSYISATGKNSSAELETLGSAMDLLTCEKHYRLLERPPELIWKRGVIHQKTPTTDGLTIVSENDWKLFCEDWCGLEARGIVAEIECVENDLVISCDEMPLSEDHMTVDGEPNIGIESRKPIIKTLPEVCEECIGEKASCELLKKLNYSNEDICVCFIRGKEPPKSILEASGNSLEPNRRTSKRSRKTSFGNSVNLNVSGSTSIYQLKMMIWEAFGVVKENQVLHKGSRIIDVESACLADLEIFPGDVLWVTDSEIHENRDIADELSDHKMEVHKAEEGFRGTLLTSSVSSQVSEACLN >itb07g15790.t1 pep chromosome:ASM357664v1:7:18832552:18836569:1 gene:itb07g15790 transcript:itb07g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGSGSSNVRQEKVDKECELRIEVSPDTPLRLRVLNGTAEIFGTELPPQIWLTFPPRLKFAVFTWYGATIEMDGPTETEYTADETPMISYVNVHAVLDARRHRAKASASDSDTYQGPRVIVVGPTDSGKSTLSRMLLSWAAKLGWKPTFVDLDIGQGSITVPGCIAATPIELPIDPVEGIPLEMPLVYFFGHVTPSANVDLYKVLVKELAQTLEKQFSGNAESRAAGMVINTMGWIEGVGYELLLHAIDTFNATVVLVLGQEKLWSMLNYALKSKPNVDVVKLQKSGGVVSRNAKVRQKSRGYRIREYFYGPSNDLSPHSNIANFSDLSIFRIGGGPQAPRSALPIGAEPAADPARLVPVNINRDLLHLVLAVSYAKEPDQIISSNVAGFIYITDIDIQRKKITYLAPCAGELPSKNLIVGTLAWIEN >itb07g15790.t2 pep chromosome:ASM357664v1:7:18832570:18835630:1 gene:itb07g15790 transcript:itb07g15790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGGSGSSNVRQEKVDKECELRIEVSPDTPLRLRVLNGTAEIFGTELPPQIWLTFPPRLKFAVFTWYGATIEMDGPTETEYTADETPMISYVNVHAVLDARRHRAKASASDSDTYQGPRVIVVGPTDSGKSTLSRMLLSWAAKLGWKPTFVDLDIGQGSITVPGCIAATPIELPIDPVEGIPLEMPLVYFFGHVTPSANVDLYKVLVKELAQTLEKQFSGNAESRAAGMVINTMGWIEGVGYELLLHAIDTFNATVVLVLGQEKLWSMLNYALKSKPNVDVVKLQKSGGVVSRNAKVRQKSRGYRIRVNRK >itb14g20950.t1 pep chromosome:ASM357664v1:14:23183054:23187117:-1 gene:itb14g20950 transcript:itb14g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNVVNGAQLVGGDHPDSRGDFGKMLYGCEHYRRRCKVRAPCCNEVFSCRHCHNEAKSGLSHPKEPHELVRHDVKQVVCAVCDTEQQVAGVCVKCGVKFGEYFCEICRFYDDDTSKKQFHCNDCGICRVGGSENFFHCQKCGSCYAVDLRNNHVCVENSMKNHCPICYEFLFDSVKRTTIMKCGHTMHMECYTEMISQNQYRCPICNKSVLNMTRTWETLDQEIEATIMPEEYRYEVPILCNDCNNTSTVTFHIIGQKCRHCNSYNTRNLATSSENRQ >itb15g08500.t2 pep chromosome:ASM357664v1:15:5964296:5966249:1 gene:itb15g08500 transcript:itb15g08500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPFLHPQILPISPLSLSPDQKTPHFDRQRCTPAKNNPPWPTTQSNTWFLLKSIMGCLPEISSRVHDHRAEAKNDDQLGILAFEAAKTMSRLLSLYKSLSNNHLAVLKKEMKSQGVAFLNSEDDNLLFSLACAERLEELDKITTGVARLSRRCHDYELSRFDLVYTDLKLGVVEFGRLEYGSRDVQKKVEKMEKLVSATAELYAALEVQAEIEICERKTKDWKGRANLEIFAQRVKYQRKLVHHYKEISLWTKTFDICVGLMARIVCIIYARICSVFGPFFPILPSFPLRKTPSPDIRPDYCLIEPIKEKITSHSGPLTSKAEPISVRFYSRKSVFFFRGEDPFGESKKDRILHAAGPTTVGGSGLALRYANVILSVEKYLDPTISMDEDSRASLYQMLPENLKSSVKSKLRKSMRCRDDDSSLAVGWRDALGHIMGWLAPMAHNTITWQMERKFEKMRFDTKPTVLSWQTLHFSDKEKTEAAIAEVLVGLSYICRYEKC >itb15g08500.t1 pep chromosome:ASM357664v1:15:5964296:5967015:1 gene:itb15g08500 transcript:itb15g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPFLHPQILPISPLSLSPDQKTPHFDRQRCTPAKNNPPWPTTQSNTWFLLKSIMGCLPEISSRVHDHRAEAKNDDQLGILAFEAAKTMSRLLSLYKSLSNNHLAVLKKEMKSQGVAFLNSEDDNLLFSLACAERLEELDKITTGVARLSRRCHDYELSRFDLVYTDLKLGVVEFGRLEYGSRDVQKKVEKMEKLVSATAELYAALEVQAEIEICERKTKDWKGRANLEIFAQRVKYQRKLVHHYKEISLWTKTFDICVGLMARIVCIIYARICSVFGPFFPILPSFPLRKTPSPDIRPDYCLIEPIKEKITSHSGPLTSKAEPISVRFYSRKSVFFFRGEDPFGESKKDRILHAAGPTTVGGSGLALRYANVILSVEKYLDPTISMDEDSRASLYQMLPENLKSSVKSKLRKSMRCRDDDSSLAVGWRDALGHIMGWLAPMAHNTITWQMERKFEKMRFDTKPTVLSWQTLHFSDKEKTEAAIAEVLVGLSYICRWHIGKTIVTTLFDKSNIGASNDFHRIGNPMHAKAKQKSLKSQFLAKMATAQWFGHI >itb02g10220.t1 pep chromosome:ASM357664v1:2:6588094:6590776:1 gene:itb02g10220 transcript:itb02g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSRSLITAGKQAISIALFRSYSSLTPPRNFLAFSAFRLRPLVSISPAVRQLYPAAYTAVRGLATRQTSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDDIIDSYIKTLAQVVGSEEEARMKIYSVSTRHYYAFGALVSEELSYKLKELKGVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNFDRSRNFERRQMANQNAQNPGPRPNFQPGPGPNQGNFAPGPNQGNFAPGPNQGNFAPGPNQGNNSPSNYGPGPNQSNPASWPNQNSSAPGPNQNNYAPGQNQNYPPPPGPNQHNYPPPPGPNQQNYPPPGPNQHMSANYAPGPNQNYTPGPNPNYYPPGPNQNNYAPNMDGRNPSQNQNIPPPNYHQ >itb04g04220.t1 pep chromosome:ASM357664v1:4:2596777:2602660:-1 gene:itb04g04220 transcript:itb04g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGEDDDTWTKPVGRCSVVFYGVGHMLNDITSACWFTYLLVFLTDIGLSPSNAAAVMLSGQIADGLTTIFAGELIDRFGHFKIWHAAGSLLVAVSFSSVFGGCLPCKIFGSNSSLLETIGYSMFAAIFNVGWAATQVSHMSMVNCITLNSTSRVVLASCRNAFTMVANLSLYGVAFVVFNMKASGSIDAVENQYRWIAYISIFIGCCFVIMFLLGTKEPRLKLEAHVNGYDRICWSYWFKKILYYQVALVYVLTRLVTNVSQSFLAFYVINELRMSDSAKALVPAIIYVSSFVVSVMLQELTWTSQRIKAFYSVGGILWIFCGIVILLLPRNMNVFMYILSVVIGIANALIMVTGVSMQSVLVGEDLNGCAFVYGSLSFLDKISCGVALFILESYEDSTPALGDCSPFYAGFSITRYALGLVPAVCALIGVIVTCSMKLHTRTLKPLTEPLLA >itb04g04220.t3 pep chromosome:ASM357664v1:4:2597654:2602535:-1 gene:itb04g04220 transcript:itb04g04220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAFSCFIVGSLDLIDRFGHFKIWHAAGSLLVAVSFSSVFGGCLPCKIFGSNSSLLETIGYSMFAAIFNVGWAATQVSHMSMVNCITLNSTSRVVLASCRNAFTMVANLSLYGVAFVVFNMKASGSIDAVENQYRWIAYISIFIGCCFVIMFLLGTKEPRLKLEAHVNGYDRICWSYWFKKILYYQVALVYVLTRLVTNVSQSFLAFYVINELRMSDSAKALVPAIIYVSSFVVSVMLQELTWTSQRIKAFYSVGGILWIFCGIVILLLPRNMNVFMYILSVVIGIANALIMVCTDFSNFLLALRQFILSTAIEFPNATKHEQFS >itb04g04220.t2 pep chromosome:ASM357664v1:4:2596785:2602535:-1 gene:itb04g04220 transcript:itb04g04220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAFSCFIVGSLDLIDRFGHFKIWHAAGSLLVAVSFSSVFGGCLPCKIFGSNSSLLETIGYSMFAAIFNVGWAATQVSHMSMVNCITLNSTSRVVLASCRNAFTMVANLSLYGVAFVVFNMKASGSIDAVENQYRWIAYISIFIGCCFVIMFLLGTKEPRLKLEAHVNGYDRICWSYWFKKILYYQVALVYVLTRLVTNVSQSFLAFYVINELRMSDSAKALVPAIIYVSSFVVSVMLQELTWTSQRIKAFYSVGGILWIFCGIVILLLPRNMNVFMYILSVVIGIANALIMVTGVSMQSVLVGEDLNGCAFVYGSLSFLDKISCGVALFILESYEDSTPALGDCSPFYAGFSITRYALGLVPAVCALIGVIVTCSMKLHTRTLKPLTEPLLA >itb03g03660.t2 pep chromosome:ASM357664v1:3:2145870:2149329:1 gene:itb03g03660 transcript:itb03g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALMFAVFYCGYLSQGQRVNSTLSAKPSVVNVGCILTLRSDIGKITKSVIETALEDINSNPDVLGGTKLNLSIVDDRNSGLLGIVEAIRYMEMDSVAIIGPQSSVIAHAIAHIANEIHVPLLSFSATDPALSSLQYPFFVRSSPNDMFQMAAIASIVQYYQWEAVIAIYVDDDYGRSGIDALADQLANRRCQISHKAPLKPQATLEEVRDTLAQLALMESRIIVVHTYAHMGLNIFSQAKNLGMTESGFAWIATNWLSTIFDTIGPLSSEVMDNIQGTITLRTYVPDSEAKKNFVSRWSNLSRRVGTNSTFGMCTYGLYAYDTVWLLARALDAFFKQGGNVSFSINPMLETGLNLYSMNVFDGGKLLLDNILKTNITGVTGLFKYTSDRELYRPAFEVINVIGTGIRKVGYWSNYFGLSVVHPDSPYSYPSSRLSSSQQLYTVVWPGETTEKPRGWVFPNNGRTLKVGAPIRAGLKEFVERVPGTDMFKGYCIEVFTTALNYLAYAVPFKISPFGESNYTELLGLVHEGVYDAAVGTIAITSNRTEMVDFTQPYIQSAYVVVVPVRERGSSAWAFLRPFTPMMWCVTGMFFLLIGAAIWVLEHRMNDDFRGRPRDQIETIFWFGFLTIVSGNKESNVRVISTLGRLVLMIWLFVVLIINDSYTASLASMLMVQHLSSPIKGIESLLKTNDPIGYHRGSFIRNYLIEELGIHESRLVPFNVTEDYAKALRDGPRKGGVAAVVDQRVYMELFLSTHCEFCIVGPEFFRSGMGFELSDSGELQRIHDKWLLRGACKSEDTQNEVNRLHPKCFSGLFLTCGFACLFALLLHFSSLAIYSHPADQSSSSESSSCPRTLLSCLDEKDSSVKYHSKRRQLHRVSS >itb03g03660.t1 pep chromosome:ASM357664v1:3:2145870:2149329:1 gene:itb03g03660 transcript:itb03g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALMFAVFYCGYLSQGQRVNSTLSAKPSVVNVGCILTLRSDIGKITKSVIETALEDINSNPDVLGGTKLNLSIVDDRNSGLLGIVEAIRYMEMDSVAIIGPQSSVIAHAIAHIANEIHVPLLSFSATDPALSSLQYPFFVRSSPNDMFQMAAIASIVQYYQWEAVIAIYVDDDYGRSGIDALADQLANRRCQISHKAPLKPQATLEEVRDTLAQLALMESRIIVVHTYAHMGLNIFSQAKNLGMTESGFAWIATNWLSTIFDTIGPLSSEVMDNIQGTITLRTYVPDSEAKKNFVSRWSNLSRRVGTNSTFGMCTYGLYAYDTVWLLARALDAFFKQGGNVSFSINPMLETGLNLYSMNVFDGGKLLLDNILKTNITGVTGLFKYTSDRELYRPAFEVINVIGTGIRKVGYWSNYFGLSVVHPDSPYSYPSSRLSSSQQLYTVVWPGETTEKPRGWVFPNNGRTLKVGAPIRAGLKEFVERVPGTDMFKGYCIEVFTTALNYLAYAVPFKISPFGESNYTELLGLVHEGVYDAAVGTIAITSNRTEMVDFTQPYIQSAYVVVVPVRERGSSAWAFLRPFTPMMWCVTGMFFLLIGAAIWVLEHRMNDDFRGRPRDQIETIFWFGFLTIVSGNKESNVRVISTLGRLVLMIWLFVVLIINDSYTASLASMLMVQHLSSPIKGIESLLKTNDPIGYHRGSFIRNYLIEELGIHESRLVPFNVTEDYAKALRDGPRKGGVAAVVDQRVYMELFLSTHCEFCIVGPEFFRSGMGFAFPKDSHLAVDMSTAIQELSDSGELQRIHDKWLLRGACKSEDTQNEVNRLHPKCFSGLFLTCGFACLFALLLHFSSLAIYSHPADQSSSSESSSCPRTLLSCLDEKDSSVKYHSKRRQLHRVSS >itb01g09860.t1 pep chromosome:ASM357664v1:1:8166730:8167227:-1 gene:itb01g09860 transcript:itb01g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWPSPLSPKLSQITSVFSTGMPPCFSSRSASSSSSKAPTTPPLITVPFTTRQTRSSARRGIVVACEARSSPENHHTLNIPVVSVDFNGLMEDIDAYVDVEEYQSNPNENSADNLNDEVVDFPENRHIALENLRTQDPGYTPSPPTDHRAAALVSGVQSVSTQK >itb03g13880.t1 pep chromosome:ASM357664v1:3:13843585:13844801:1 gene:itb03g13880 transcript:itb03g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALNNNQFLVAFLVSVLASALSVNPAVAVGTTNTEFIKTSCQATTYPGLCYKSLSSHASEIGADPELLAKTALTVTLQTTKSACAKLAKLAAETFNLTRREVGAMRDCIEELHDSVDRIRRSMEEMKELSGPDFDMKMSDIETWVSAALTDDDTCSDGFAGKSVDGKLKAAVRAQIRKVAHLTSNSLALINSFAALHN >itb04g30740.t1 pep chromosome:ASM357664v1:4:33781897:33784122:1 gene:itb04g30740 transcript:itb04g30740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRMKGNKMAKRSSFGSIVRKRLSDVTNSLPQPKSPIHLQNDASSAKDYIDYLVKENMALVKLIQDKKKIIELSANELKKLRVNLQKMQLQNWHLAQSNSHMLAELNLNKEKLKSLQHEIACKEALSKAKSLEVKEQESISGTMNEGKDGEAAEGNSLPNAESKPSKSNRPLRARRCRSMSHSTASPEAAKEKAAEKRRRLRRQSASYRMQQEQQEPVEDLFELEDITKQIVDDPNAPLHSCSANAEKDGSGVVGQASNRTSIGRPLRKAAEKVQSYKEIPINIKMRRAD >itb04g30740.t2 pep chromosome:ASM357664v1:4:33782024:33784118:1 gene:itb04g30740 transcript:itb04g30740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRMKGNKMAKRSSFGSIVRKRLSDVTNSLPQPKSPIHLQNDASSAKDYIDYLVKENMALVKLIQDKKKIIELSANELKKLRVNLQKMQLQNWHLAQSNSHMLAELNLNKEKLKSLQHEIACKEALSKAKSLEVKEQESISGTMNEGKDGEAAEGNSLPNAESKPSKSNRPLRARRCRSMSHSTASPEAAKEKAAEKRLVQLLCIDEDSSVFPNLLISLNRVHTVIILYL >itb06g08530.t1 pep chromosome:ASM357664v1:6:12581610:12582422:1 gene:itb06g08530 transcript:itb06g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREFGSVSIRTYAFEIVAAEVRTRLFPLLLFYGENREVLDFQDVLRRFSFDNICKFSFGMDPGYLSLSLPVSKLSAERAMTVSPAVWKVKRLLNIGSEKKLGEAIGLINELAKEMIKRKRECGGGGDGSDQSDLLSRFMGIIDDDKLLRDIVIISFLLAGRDTIASALTSFFWLLSRQANYCVDHGPHSCVHHEYKVHYFYTESTLLHLRQSGPQINSIRPIAYGWYVTLVPFRTNVPSGSVSSSRQNLESNCIDGNSRMLSCIAAVK >itb14g09040.t1 pep chromosome:ASM357664v1:14:9075424:9078684:1 gene:itb14g09040 transcript:itb14g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGEVMKSSSFALTEVKYCAGENIKHIVLENVQNATLKVRSRQENIAGVKLPKFEYFSEGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTINYIKGELDELEREDFFRLKKIQGYKKREIEKQMASAKLFAEEKVAEEISLRKGISLGAAHNLLSQGSQKDEDIIF >itb14g05740.t1 pep chromosome:ASM357664v1:14:4995695:5000796:1 gene:itb14g05740 transcript:itb14g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNTTRPGYPLSNDAITGAPRTTINPVHQIIPKPNNLNPRSLATTSPTAYPAKQEVLPVTTAAAAYPAAPLSTALVPATVQPVSPLQAAAKTVVPAVRGGRLLKRGDLHSGTEDAIMMDHVRATHDLDASHYNVKPLVHVIEDIIPRAKAAVPGHAQGDQSQPRLDAILEDKILHSGLNEALETFAYPVHRTSLEMICGSSCHGDAHGITLSLLRLLANYWWDAKVAIAFAAFAQQYGEFGLVVRLYPTDPLAKSVATVKQIPEIMESLESRGAVNAKFRELAKLVDKMLEVTHHIVKFKDVGSEEKRLKLKYRLNLHKELADSTSPVTAEQENLIAKASYFTVKAAVTCSLLLLNLIAVGRDYYSSTEEDLEISTLTHKLSYLLGDLQRALNQSSQEISKIKHQIKRKVLEETLARTHTDNKYSAELITCGENDPMPIIHGADMKKHSLDFLRRKYVFLLVSDLEIPNEVVSMLRHMYHDSKQDPSRPESQFEVVWLPIVDRRSAWTEAKDRQFQAVYGSMPWFSVSHPRNIDDAVFGYVKEVWGFTHKPLLAVVDPQGKLVNVNAFPMFWIFGSVAFPFTKSKEEALWNETSWSMGLLADSIDQNIFNWFNDGKCICLYGGEDMEWIRSFTKTARKVSQQSGIPLELLYVGKMNPKERIRRINATIHEEQLSHVLHDPTMVWFFWERLESMWYLRGEKTTTPEDSAPFLVPSEDTRDPILQEVKAILSYDGSNRGWAVFSRGLEEMTKGEGKNIMQVLGNFENWKHEVTDMTAFIPALDRQLRGLHTKHHCTRMVVPAAVGHFPETVACVECGRAMEKFFMYSCCLDDYE >itb02g00360.t1 pep chromosome:ASM357664v1:2:277937:282932:-1 gene:itb02g00360 transcript:itb02g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKEAKGTAAMDLPAATPALTLQSALKSLKLKTKQQEVLIRVTILCLVYVLAFITRLFSVLRYESMIHEFDPYFNYRTTLFLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALMYWTIRFFRFAVHIREVCVLLAPFFASNTTLVAYFFGKELWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLVQVFYFLDWVKHQLNDQKLFQAFLRITVTSAVGLGAIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLILFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTQLVRTKPKVAHGGSGKGSASGKASSKASLDLSLPYQRNGAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRIIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPNNRW >itb10g15490.t1 pep chromosome:ASM357664v1:10:21681476:21682895:1 gene:itb10g15490 transcript:itb10g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVASALMIWKGLMCVTGCESPIVVVLTGSMEPGIKKGDILFLTMNDDPIRAGEIVVFNVDGRSIPIVHRVVEVTGFVNSLICFVCMTYELEAMRIWTDQVIILLQVHERNNSRDFDILTKGDNNSVDDRAGGLYSPGQHWLNRQHIIGRAVGFLPYVGYVTIIMTEKPIVKFVLIGALGLLHFITN >itb03g25980.t1 pep chromosome:ASM357664v1:3:25375631:25381600:-1 gene:itb03g25980 transcript:itb03g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCVFCGGASSFLGIRVRPQRDSLHSSFFASVTPFGGNSSFSRAGASISFASPLPHCRFQVANSNGGGTKAVRTAKRKTRKSWGPGPVKNSQDLKSSPVSSSVEFERQGRRKPALTRKNTNTPANIAALYQNGDPLGRRDLGKCVVTWISLGMKAMAIDFATAEVQGEGEFSELRQQMGPGLTFVIQAQPYLNAVPMPLGLEAICLKACTHYPTLFDHFQRELRDVLKDLQTKSLVQDWRETESWKLLKELACSAQHKAIARKLPQPKPIQGVLGMDIDKAKAIQSRIDDFTKQMSALLRIERDAELEFTQEELNAVPTPAEENSKPLKPIEFLVSHAQPEQELCDTICNLHAVSTSTGLGGMHLVLFKVEGNHRLPPTNLSPGDMVCVRNCDSRGAGATSCMQGFVNNLGEDGCSITVALESLRGDPTFSKLFGKNVRIDRIHGLADTLTYERNCEALMMLKKKGLQKKNPSIAVVATLFGDQEDVAWLEKNDLADWAEVELDASIDSKGYDISQRRAIALGLNKKRPILIVQGPPGTGKTGLLKELISLAVQQGERVLITAPTNAAVDNMVEKLSDVAIDIVRFGNPAKISSVVNSKSLTEIVNTKLADFRAELERKKTDLRKDLRHCLNDDSLAAGIRQLLKQLAKSLKKKEKETVREVLSSAQVVLATNIGAADPLIRRLDTFDLVIIDEAAQAIEPSSWIPILQGKRCILAGDQFQLAPVILSRKALEGGLGISLLERAASLHEGMLSTKLTTQYRMNDAIASWASKEMYGGSLKSFPQVASHLLVDSPFVKPTWITRCPLLLLDTRMPYGSLSTGCEEHLDPAGTGSFYNEGEADIVVKHVLALVYSGVSPVAIAVQSPYVAQVQLLRDRLDEIPVTTGVEVATIDSFQGREADAVIISMVRSNNMGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYSGKVKNAEPGSFGGFGLGMDPMLPTAS >itb11g08320.t1 pep chromosome:ASM357664v1:11:5443401:5446788:-1 gene:itb11g08320 transcript:itb11g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGTTVVLLVGILLCLFGSGSSGGGAGELGFIPSECLRVPATEFVGSVKSTLDAVKDVASAVAKFANVFGDFRLATAVSDCLDLLDLSADELSWTLDASQYPNIGKKDNSTGNLSDDLRTWLSAALINQDTCMEGFDGTNGFVKNMVSGGLNEVTSLIGDILGKVRPVSDGNTKPKTPISGAGKGSSGGHHPGGGRKLLFSNDQLKFPDWLKPKDRKLLQSGGVAADAVVALDGSGSYSSIMDAVSAAPEFSSKRFVIYVKKGVYKEYVEIKKKKWNIMMIGDGMDVTVISGNRNFIDGWTTFRSATFAVKGRGFIARDITFENTAGPQKHQAVAFRSDSDLSVIYRCAMRGYQDTLYAHTMRQFYRDCTITGTVDFIFGDGTVVFQNCQIQARKGLPNQKNTITAQGRKESIQPTGFSIQFCNITGDPDLIAAGNSTATYLGRPWKQYSRTVIMQSFLSNVIMPQGWLEWNGDFALDTLYYGEYMNYGPGAGLDRRVNWPGYHVINDSAQANDFTVAQFILGNSWLPSTGVKYIAGLGTV >itb09g15490.t1 pep chromosome:ASM357664v1:9:10736452:10739080:-1 gene:itb09g15490 transcript:itb09g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MTRSITYITASQLLSLKRRPNIAIVDVRDDERSCDGHIAGSLHFASDTFLDKLPSLVQSVKGKDTLVFHCALSQVRGPKCARRLAEYLSDEMQDDAGIKNIMVLERGYNGWEASGRPVCRCTDVFCKDNSEHGQQSNQS >itb04g01870.t1 pep chromosome:ASM357664v1:4:1105425:1106219:1 gene:itb04g01870 transcript:itb04g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADTFKLKPFHSSKTTNKTPLRRFRNRRRGRVLLSKAAKVGKLKKLEMEMKNWKLYMENKSIIEENQRLQHKAFLLHQENKALLSQLQKCSSSC >itb04g10240.t1 pep chromosome:ASM357664v1:4:9535749:9536555:-1 gene:itb04g10240 transcript:itb04g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNCCSSLPPKSGRHLTEKHRRQQMRGLYRRLASLVPHENSLEKSPAFDVLDHATNYIKQLESNINELKARKDSLQLPVVIAVNESEGGESLEINIVCGLEKKEVMKMHKVFRILQEEGAEVVSATNSTVGLNIYHTIICKAFSPRIGMDTIRVQERLKNFISDLI >itb09g06260.t1 pep chromosome:ASM357664v1:9:3587219:3589663:1 gene:itb09g06260 transcript:itb09g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSLLNFKPLQHEVLQSHESSSTASLNASNMVKYDEQKQLEMMSHLLLEADSIKALVVIDTMQRFGIDHYFEHQITQCLKNQHSQPLLYNNNADDGHLYAVSLRFRLLRQRGFHVPADVFNRFKGENIKFKNALSEDIRGLMALQEASHLCIEDDGDILDEAFLFSTNFLTARLPHLDDFQASMVQNTLHYPHHKSLPRFMTNHYLKHHDLKKDWEKLIANLAIMDFNKMQRIYHEEILQVFKWWKGLLSKELKLARKQPLKWYIWSMATVTDPCLSKQRIELTKPISLVYIVDDIFDVYGTIDELILFTEAINMWEFPETKKLSNYMRMCFKVIHETTHEISNVVYQEYGWNPIDYLKKEWASLCNAFLIEAKWFASGHSPKADEYLKNGVISSGVPMVLTNLFIRFGYGEFVGTTDIEDIISSVATILRLLDDLGTAKDEEQDGKDGSYMEYYMKEQEGGSLSDGRQHVLDKVSLQWKLLNKHCLSPNTIPTSFKTACLNAARLIPMMYTYDDNHRLPVLEEHVKFMFSNIKENLMG >itb13g13910.t1 pep chromosome:ASM357664v1:13:20480143:20481924:1 gene:itb13g13910 transcript:itb13g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLSMAERGGSRPGKRAGGSNGAKRHSDALVVGCSSHISNEDDNFVDGLGDPMCDAPDTELTTEELRRCLLCLPPKIVGFVHYIDITILILLSFPMAVP >itb15g17040.t1 pep chromosome:ASM357664v1:15:17227413:17229375:1 gene:itb15g17040 transcript:itb15g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVTYDYKKVHRLDATEFYVNARLSSKEDAIHSTINGTEVTIVHGDIRALFNFPVHGGKTELPRLCSHLVTHSATPLLSDITPSQGLRRKATLIRIDHTAHLLYVIPTRTRRLPRGCEVLIHSPTANQQAVITFW >itb09g00460.t1 pep chromosome:ASM357664v1:9:365167:366180:-1 gene:itb09g00460 transcript:itb09g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSEWWCSENPVFLSSDNAAAFAAGLDAFSPPPPPQQQVHFVNQNFGNVMMAPMHPQQVMPDFPLNLAAQFEKQKLDLDRFICLQNERLRFGVQEQRMEQLGLILRSYEAKTQFLLKYKEGEMRVAMNKRAELESLVKRLESEKQSWEQVVKEKEAMVASLSKTLEGVRESTWAEDAESCNDEDDQVLFFLDQGNHEGEQGLRKMVCKSCNVRCSSVVFLPCKHLSSCKSCEAFLHSCPICRLPKTSFIPALLS >itb06g17960.t1 pep chromosome:ASM357664v1:6:21713708:21716435:1 gene:itb06g17960 transcript:itb06g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLWYFRFSWLCLLFCVVSEVVKILTALDSFRMENQEDGPIHEYREKILHIFSDFMTRVALFEELLSVGNRLLVGFQQGLEYVQRPPIEKKSELVERIIRDNETKRLLSYIEAGCKNTNDGIQNINKLHACHLGLQDYKAKAKCLVDELACLLDDAAALVQSANNSLPCMLDRDTNSNLNSGATSTDREEVPSCVLKPEVTDIATMMAVIFAMVKKDYVMQEKIVSSLDLKSSSGELESYCLMWSLRPFVDDEIMQRAWKLVH >itb10g24310.t1 pep chromosome:ASM357664v1:10:28205495:28205932:-1 gene:itb10g24310 transcript:itb10g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELIQPEILRYKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >itb01g22250.t1 pep chromosome:ASM357664v1:1:28180414:28182545:-1 gene:itb01g22250 transcript:itb01g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENQTNRQVTYSKRRNGLFKKAHELTVLCDAKVSIVMISTSGKLHEYISPSTTTKQLFDQYQKTVGVDLWSSHFEKMQDQLKKLRDVNRALRREIRQRMGESLNDLSFEQLTELIEDVDNSIKLIRERKYKVIGNQIETHKKKVRNVEEIHRNLLLECEARQEDPYGLVDHEGDYNSVLGFANGGPRILALRLQPDHHHHHPHGHLHSGGGSDLTTFTLLE >itb11g08240.t1 pep chromosome:ASM357664v1:11:5357353:5360457:-1 gene:itb11g08240 transcript:itb11g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFQYAYFTSGPETIRIKIPMTSNSFEPEVEVVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDLDDDVDDDVDDDVDVDDHQYVDLVHMFDPTKFDKIPFENIEQLEKLTCEHMVFPRVIRAEDRIYLLSVRNLFGYRLIRDAFRFQYFDPNKNLFETLPPPPVLIDREIYTNHLAVRCSFFLRDYIYVLITDTGTFCQPSKFNTTNSKWEDCPSMVDKFEEKNIPFPFSHAGDMGISDKLVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTSDFDMDCLASDWKQLADMGGGRFCVMYCALDEDILIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPISLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb11g08240.t3 pep chromosome:ASM357664v1:11:5358113:5360457:-1 gene:itb11g08240 transcript:itb11g08240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFQYAYFTSGPETIRIKIPMTSNSFEPEVEVVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDLDDDVDDDVDDDVDVDDHQYVDLVHMFDPTKFDKIPFENIEQLEKLTCEHMVFPRVIRAEDRIYLLSVRNLFGYRLIRDAFRFQYFDPNKNLFETLPPPPVLIDREIYTNHLAVRCSFFLRDYIYVLITDTGTFCQPSKFNTTNSKWEDCPSMVDKFEEKNIPFPFSHAGDMGISDKLVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTSDFDMDCLASDWKQLADMGGGRFCVMYCALDEDILIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPISLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb11g08240.t2 pep chromosome:ASM357664v1:11:5357353:5360457:-1 gene:itb11g08240 transcript:itb11g08240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVFKFSFSRMAEISEFQYAYFTSGPETIRIKIPMTSNSFEPEVEVVFSNPSQPLPKAMGLFSHNHIVYMVGGYYLRDGLDDDLDDDVDDDVDDDVDVDDHQYVDLVHMFDPTKFDKIPFENIEQLEKLTCEHMVFPRVIRAEDRIYLLSVRNLFGYRLIRDAFRFQYFDPNKNLFETLPPPPVLIDREIYTNHLAVRCSFFLRDYIYVLITDTGTFCQPSKFNTTNSKWEDCPSMVDKFEEKNIPFPFSHAGDMGISDKLVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTSDFDMDCLASDWKQLADMGGGRFCVMYCALDEDILIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPISLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb01g02100.t5 pep chromosome:ASM357664v1:1:1352825:1356413:-1 gene:itb01g02100 transcript:itb01g02100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDDDEGAGPSSSHSIDISANSGRRSDSLPYVHKVGVPPKQSWFDEFKATVKETFFHDDPLRQFKDQSLLKKLFLGIQAVFPILEWGRSYNLSKLKDDLIAGLTIASLCIPQDIGYAKLANLDPQFGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGNMLQNEIDPAKQKLEYQRLAFTATFFAGITQFVLGFFRLGFLIDFLSHAAIVGFMGGAGITIALQQLKGLLGIKKFTKKTDLISVMKSVWGSVHHGWNWQTIVIGVTFLAFLLAAKYIGKKSKKFFWVPAIAPLISVIISTFSVYITHAEKHGVKIVNHIEKGINPSSVNKLYFSGDYLAKGFRIGAIAGMVALTEATAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMSLVVLLTLELITPLFKYTPNAILASIIISAVAGIIDIPAMVLIWKIDKFDFVACMGAFLGVLFHSVEIGLLIAVAISFAKILLQVTRPRIAVLGRIPRTNVYRNIQQYPDATRIPGILIVRIDSAIYFSNSNYARDRILRWLSDEEEKLKEINSLLRVQYLIVEMSRK >itb01g02100.t1 pep chromosome:ASM357664v1:1:1351134:1357254:-1 gene:itb01g02100 transcript:itb01g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDDDEGAGPSSSHSIDISANSGRRSDSLPYVHKVGVPPKQSWFDEFKATVKETFFHDDPLRQFKDQSLLKKLFLGIQAVFPILEWGRSYNLSKLKDDLIAGLTIASLCIPQDIGYAKLANLDPQFGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGNMLQNEIDPAKQKLEYQRLAFTATFFAGITQFVLGFFRLGFLIDFLSHAAIVGFMGGAGITIALQQLKGLLGIKKFTKKTDLISVMKSVWGSVHHGWNWQTIVIGVTFLAFLLAAKYIGKKSKKFFWVPAIAPLISVIISTFSVYITHAEKHGVKIVNHIEKGINPSSVNKLYFSGDYLAKGFRIGAIAGMVALTEATAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMSLVVLLTLELITPLFKYTPNAILASIIISAVAGIIDIPAMVLIWKIDKFDFVACMGAFLGVLFHSVEIGLLIAVAISFAKILLQVTRPRIAVLGRIPRTNVYRNIQQYPDATRIPGILIVRIDSAIYFSNSNYARDRILRWLSDEEEKLKEINSLLRVQYLIVEMSPVTDIDTSGIHAFEDLYKSLQKRNIQLVLANPGPAVMAKLHASNLASMIGEDRIFLRISDAVLTFAARIDPENDQS >itb01g02100.t4 pep chromosome:ASM357664v1:1:1352825:1357254:-1 gene:itb01g02100 transcript:itb01g02100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDDDEGAGPSSSHSIDISANSGRRSDSLPYVHKVGVPPKQSWFDEFKATVKETFFHDDPLRQFKDQSLLKKLFLGIQAVFPILEWGRSYNLSKLKDDLIAGLTIASLCIPQDIGYAKLANLDPQFGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGNMLQNEIDPAKQKLEYQRLAFTATFFAGITQFVLGFFRLGFLIDFLSHAAIVGFMGGAGITIALQQLKGLLGIKKFTKKTDLISVMKSVWGSVHHGWNWQTIVIGVTFLAFLLAAKYIGKKSKKFFWVPAIAPLISVIISTFSVYITHAEKHGVKIVNHIEKGINPSSVNKLYFSGDYLAKGFRIGAIAGMVALTEATAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMSLVVLLTLELITPLFKYTPNAILASIIISAVAGIIDIPAMVLIWKIDKFDFVACMGAFLGVLFHSVEIGLLIAVAISFAKILLQVTRPRIAVLGRIPRTNVYRNIQQYPDATRIPGILIVRIDSAIYFSNSNYARDRILRWLSDEEEKLKEINSLLRVQYLIVEMSRK >itb01g02100.t6 pep chromosome:ASM357664v1:1:1352825:1356413:-1 gene:itb01g02100 transcript:itb01g02100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDDDEGAGPSSSHSIDISANSGRRSDSLPYVHKVGVPPKQSWFDEFKATVKETFFHDDPLRQFKDQSLLKKLFLGIQAVFPILEWGRSYNLSKLKDDLIAGLTIASLCIPQDIGYAKLANLDPQFGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGNMLQNEIDPAKQKLEYQRLAFTATFFAGITQFVLGFFRLGFLIDFLSHAAIVGFMGGAGITIALQQLKGLLGIKKFTKKTDLISVMKSVWGSVHHGWNWQTIVIGVTFLAFLLAAKYIGKKSKKFFWVPAIAPLISVIISTFSVYITHAEKHGVKIVNHIEKGINPSSVNKLYFSGDYLAKGFRIGAIAGMVALTEATAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSLTSCYIATGTGCEMFRNFSRIVFAVCSELYGGMPHRGV >itb01g02100.t3 pep chromosome:ASM357664v1:1:1351134:1356413:-1 gene:itb01g02100 transcript:itb01g02100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWVVREISPLGQWRWCLCCWETCYRMKLIPLNRSWSINASLSLLHSLLGLLSSCSVSSGITIALQQLKGLLGIKKFTKKTDLISVMKSVWGSVHHGWNWQTIVIGVTFLAFLLAAKYIGKKSKKFFWVPAIAPLISVIISTFSVYITHAEKHGVKIVNHIEKGINPSSVNKLYFSGDYLAKGFRIGAIAGMVALTEATAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMSLVVLLTLELITPLFKYTPNAILASIIISAVAGIIDIPAMVLIWKIDKFDFVACMGAFLGVLFHSVEIGLLIAVAISFAKILLQVTRPRIAVLGRIPRTNVYRNIQQYPDATRIPGILIVRIDSAIYFSNSNYARDRILRWLSDEEEKLKEINSLLRVQYLIVEMSPVTDIDTSGIHAFEDLYKSLQKRNIQLVLANPGPAVMAKLHASNLASMIGEDRIFLRISDAVLTFAARIDPENDQS >itb01g02100.t2 pep chromosome:ASM357664v1:1:1351134:1356413:-1 gene:itb01g02100 transcript:itb01g02100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDDDEGAGPSSSHSIDISANSGRRSDSLPYVHKVGVPPKQSWFDEFKATVKETFFHDDPLRQFKDQSLLKKLFLGIQAVFPILEWGRSYNLSKLKDDLIAGLTIASLCIPQDIGYAKLANLDPQFGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGNMLQNEIDPAKQKLEYQRLAFTATFFAGITQFVLGFFRLGFLIDFLSHAAIVGFMGGAGITIALQQLKGLLGIKKFTKKTDLISVMKSVWGSVHHGWNWQTIVIGVTFLAFLLAAKYIGKKSKKFFWVPAIAPLISVIISTFSVYITHAEKHGVKIVNHIEKGINPSSVNKLYFSGDYLAKGFRIGAIAGMVALTEATAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMSLVVLLTLELITPLFKYTPNAILASIIISAVAGIIDIPAMVLIWKIDKFDFVACMGAFLGVLFHSVEIGLLIAVAISFAKILLQVTRPRIAVLGRIPRTNVYRNIQQYPDATRIPGILIVRIDSAIYFSNSNYARDRILRWLSDEEEKLKEINSLLRVQYLIVEMSPVTDIDTSGIHAFEDLYKSLQKRNIQLVLANPGPAVMAKLHASNLASMIGEDRIFLRISDAVLTFAARIDPENDQS >itb10g22040.t1 pep chromosome:ASM357664v1:10:27022758:27025828:1 gene:itb10g22040 transcript:itb10g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASSSVPALATSPLTEEELTLTVKWSGKEYTVRVCGSDTVGELKRRICEVTNVLPKRQKLLYPKVGSKLADDCLLLSQIPIKTSLKMTMIGTVEDEIFVDQVDSPEIVDDFEIGQEEAVDIKDKEVNKQKLRRRIEQYKITLRNPCREGKKLLVLDIDYTLFDHRSTAENPLELMRPYLHEFLSAVYAEYDIIIWSATSMKWVELKMGQLGVLSNPNYKITAMLDHLAMITVQSDSHGIIDCKPLGLIWAKFPEFYSPKNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRGTDRELMKLTQYLLAIADLDDISVLNHKDWESYNEDNVKRRRHT >itb08g08860.t1 pep chromosome:ASM357664v1:8:7812888:7816053:1 gene:itb08g08860 transcript:itb08g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHNNSTGKCPPPMKATSDGSFQGDYPLDYSLPLIIVQICLVVALTRCLNYLLRPLRQPRVVAEIVGGILLGPSALGRSKTFLNAVFPAKSLTVLDTLANLGLLFFLFLVGLELDLNSLKRTGKKALAIALAGICLPFVLGIGTSFVLRATISKGVSQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGKMAMSAAAVNDLVAWVLLALAVALSGTGKSPLVSVWVMLSASAFLLLCTVIAPPIFRWMGKRCSEGEPVDELYVCATLAAVLAAGFVTDTIGIHALFGAFVLGVLVPHEGPFSGALVEKVEDLVSGLLLPLYFASSGLKTNVATIQGAQSWGLLVLVTFTSCFGKIVGTILVAILCKVPVQEATTIGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMAVFTTFITTPMVIAVYKPAKLATQEYKYRMIERKDASKQLRLLCCFHSTRNIPSLINLIEASRGTQKREGLRVYAMHLMQLSERSSAILMCHKARKNGLPLWKKGESVDSDHVVVAFETFEHLSKVVIRPTIAISPISSMHEDVISGAERKRVAMILLPFHKHQRFSGHLETSRTELMHVNRRVLEHAPCSVGIFVDRGLGGTAHVSASNVDYQITVLFFGGHDDREALSYGVRMAEHPGICLTVVRFILDPAVAGKMVSSSTDPGKPIIEDKSDDQTFLADFRVSNSKNESVKFEEKKAKDAAETTEVIKGHSRCNMFVVGRHPEGELAGMLSTKSECPELGSVGSLLTFPDFSVSASVLIVQQYRRNLSKNSQKEEGSGKEKQEEESV >itb01g30360.t1 pep chromosome:ASM357664v1:1:34420470:34422548:-1 gene:itb01g30360 transcript:itb01g30360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFGYAFCLLLSVLLSILLVANILYGGGGEWGLRLRNRELKWSQDAAAAAEAVAALQCSGHGIAYLDRKMGDDGITPVCECHTCYSAHDCSLLLPDCFADADSGDPLFLEPFWVQNAASSAVVMSGWHRMSYSFPDNASHFSQQLENHIRKVHAVAGNAITDAKYIVFGVGSAQLCNAAVYALSLENSSSLSPAKVVAKIPFYPLYKTQTEYFETKNYEFEGDPSLLKNDTDAAGNVIEFVTSPNNPDGHLRAPVVGGPFARTIYDRAYYWPHFTAIPAAADEDLSIFTISKLTGHAGSRFGWAIVKDKSVYENMVAYIRTAELEISKEIQLRALTLLKTVLQGDGRAIFNFSYEKMKNRWEKLSQIISQSQRFTLQEISPKIELAAYAWVKCLREEETDCSAVMEAAKIIGRAGSIFCTEDRYVRLSLLKRDDDFEQLLARLRELVAIEDGAKTM >itb01g30360.t2 pep chromosome:ASM357664v1:1:34421337:34422548:-1 gene:itb01g30360 transcript:itb01g30360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFGYAFCLLLSVLLSILLVANILYGGGGEWGLRLRNRELKWSQDAAAAAEAVAALQCSGHGIAYLDRKMGDDGITPVCECHTCYSAHDCSLLLPDCFADADSGDPLFLEPFWVQNAASSAVVMSGWHRMSYSFPDNASHFSQQLENHIRKVHAVAGNAITDAKYIVFGVGSAQLCNAAVYALSLENSSSLSPAKVVAKIPFYPLYKTQTEYFETKNYEFEGDPSLLKNDTDAAGNVIEFVTSPNNPDGHLRAPVVGGPFARTIYDRAYYWPHFTAIPAAADEDLSIFTISKLTGHAGSRFG >itb11g08110.t1 pep chromosome:ASM357664v1:11:5206406:5209125:1 gene:itb11g08110 transcript:itb11g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGKYGDVPRIPWFPEGKGPEVFGGKVLHTVDYCKLEEEECRELVKGKKVVVVGYKKSAIDVAVECAEANQGADGQACTMVIRTLHWTVPHYSIWGFPFYLFYSTRSSQFLYERPNQGLFRTLLCHMLSPLRGAVSKMVESYLAWKLPLDKYGLRPDHPFVEDYASCQMAILPESFFREADKGKIQFKRSDSKWWFWEGGVEFEDNTKIEADVVILATGFDGKKKLKTILPDPFRSLLEFPSGMMPLYRGTINPLIPNMAFVGYIESVSNLHTAELRCKWMSRLVDEQFKLPSVEKMLKQTTDEMEIMKRSTRFYKRSCISTFSINHSDEICEEMGWEPWRKMSWFSEAFSPYNSQDYADEN >itb01g17200.t1 pep chromosome:ASM357664v1:1:21937541:21939695:-1 gene:itb01g17200 transcript:itb01g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSFIHFRLLIYTAVACSANRRRFAHRCYTANANMHCAGHVQWSRDYETTNYQKVWEEVRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb12g08040.t1 pep chromosome:ASM357664v1:12:6180978:6183979:-1 gene:itb12g08040 transcript:itb12g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGRDEGGGDAGFVRADKVDLKSLDEQLQRHLTRALTMEKNKKKSGEEEEEELHHNGDREIPIRHDWEIDPSKLLIKSVISRGTFGTVHRGIYDGLDVAVKLLDWGEEGQRLEAEIASLRMAFSQEVSVWHKLDHPNVTKFIGATMGTTELNIQTDNGQIGMPRNVCCVVVEYLPGGTLKSFLIKNRRRKLPFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDRHRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPDIPRCCPSSFGNVMKRCWDANPDKRPEMDEVVSMLEVIDTSKGGGMIPLDQPQGCFCFRRYRGP >itb10g14060.t1 pep chromosome:ASM357664v1:10:20327186:20328373:1 gene:itb10g14060 transcript:itb10g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPANDLLPPPSSPTISSISSSDLDTESTGSFFHDRSITLGTLMGVTFPALAFRAPSQSQQRQGAAEAAGGDGGARRRSKKKSSRVAAVAAAEEEMHRRWRRRRWWRFCRDDGDSKPASLGEFLEVERRFGDVAFYGGGAAAAEIEGVVMEAQPRNERALFADGRVLPPPEADEESTGGEAGSGGGGLCRFSVVSLSGICTGGAGCVG >itb03g29750.t1 pep chromosome:ASM357664v1:3:30737108:30741125:-1 gene:itb03g29750 transcript:itb03g29750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLFLPNSLFSRPALPYSRRKNASPTLTSSTNAKPFPQDSPLPLYNHREKAPMWPPNRPNQFSSSVAVRSSLSYPIISPQDQWGMWTALFSVGAFGIWSEKTKIGSALSGALVSVLVALAASNLGIIACEAPAYKVVTGFLLPLAVPLLLYRADMRQVIKSTGKLLFAFLLGSVATTIGTVVALWLVPMRTLGNDAWKIAAALMGRHIGGAVNYVAISEALATSPSVVTAALAADNLICAVYFSTLFALASKEPAEATELKSDVGIKEDPESGSKLPVLQTATGLAVSLAICKAGSFLTKYFDIQGGTLPMVTAIVVLLATLFPRQFAYLAPSGEAMALILMQVFFAVVGANGNIRSVITTAPSIFLFALVQVAVHLALILGVGKLLRFDLKTLLIASNANVGGPTTACGMATAKGWTSMVIPGILAGIFGIAIATFLGIAFGQAVLRFM >itb03g19780.t1 pep chromosome:ASM357664v1:3:17786685:17789461:1 gene:itb03g19780 transcript:itb03g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLNLRMQRELKLLLSDPPHGASFPSLSSSSSPSLSSIDAQIEGPEGTVYANGIFKLKIQIPERYPFQPPVVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMHDASEEYKYNRQAFNQKARSMTEKFARAQASEDAGSVSHQEIQTRINPDMEKLQVENKGPDASKSNAIQCFLSQNRLCGVSKKLSLDSSGSKQHRISTERVTGFPPVPCLSDNQLEAGELKYYGDTTKEVNEIPAAHYLLDNHIEAGGSKQKRDSAKGVIEAGGLNKKAVGLSSEYEKLWGTELNMSPEFSGSSDARNSNNKDDLMPDQCASYLEAQSSSADPLTRDSSNTEKHANPSGSEFGSLKRKQSLRYDDSEQKSGKQLHTIPHPSVPHQFPSSASCHSLLLPEGPETTGRGCIDTVDRTLNKFSTTKHKKLGLTGRKMSLGSLGSSQRNNKENLISLDNVADSKANFHSKPSPLHAMSEAGDFDGHVGKYSSKVVQEKLGVKLQRQPLQPMVHVQESNNHHFQLDKKQQPKQDHEEKLETNIKEHEIGLPISEALIVLDSDDSEDERSLPTRSRLSLARKCLPGKRKAKA >itb03g19780.t3 pep chromosome:ASM357664v1:3:17787389:17789461:1 gene:itb03g19780 transcript:itb03g19780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASEEYKYNRQAFNQKARSMTEKFARAQASEDAGSVSHQEIQTRINPDMEKLQVENKGPDASKSNAIQCFLSQNRLCGVSKKLSLDSSGSKQHRISTERVTGFPPVPCLSDNQLEAGELKYYGDTTKEVNEIPAAHYLLDNHIEAGGSKQKRDSAKGVIEAGGLNKKAVGLSSEYEKLWGTELNMSPEFSGSSDARNSNNKDDLMPDQCASYLEAQSSSADPLTRDSSNTEKHANPSGSEFGSLKRKQSLRYDDSEQKSGKQLHTIPHPSVPHQFPSSASCHSLLLPEGPETTGRGCIDTVDRTLNKFSTTKHKKLGLTGRKMSLGSLGSSQRNNKENLISLDNVADSKANFHSKPSPLHAMSEAGDFDGHVGKYSSKVVQEKLGVKLQRQPLQPMVHVQESNNHHFQLDKKQQPKQDHEEKLETNIKEHEIGLPISEALIVLDSDDSEDERSLPTRSRLSLARKCLPGKRKAKA >itb03g19780.t2 pep chromosome:ASM357664v1:3:17786689:17789461:1 gene:itb03g19780 transcript:itb03g19780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLNLRMQRELKLLLSDPPHGASFPSLSSSSSPSLSSIDAQIEGPEGTVYANGIFKLKIQIPERYPFQPPVVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMHDASEEYKYNRQAFNQKARSMTEKFARAQASEDAGSVSHQEIQTRINPDMEKLQVENKGPDASKSNAIQCFLSQNRLCGVSKKLSLDSSGSKQHRISTERVTGFPPVPCLSDNQLEAGELKYYGDTTKEVNEIPAAHYLLDNHIEAGGSKQKRDSAKGVIEAGGLNKKAVGLSSEYEKLWGTELNMSPEFSGSSDARNSNNKDDLMPDQCASYLEAQSSSADPLTRDSSNTEKHANPSGSEFGSLKRKQSLRYDDSEQKSGKQLHTIPHPSVPHQFPSSASCHSLLLPEGPETTGRGCIDTVDRTLNKFSTTKHKKLGLTGRKMSLGSLGSSQRNNKENLISLDNVADSKANFHSKPSPLHAMSEAGDFDGHVGKYSSKVVQEKLGVKLQRQPLQPMVHVQESNNHHFQLDKKQQPKQDHEEKLETNIKEHEIGLPISEALIVLDSDDSEDERSLPTRSRLSLARKCLPGKRKAKA >itb10g23380.t1 pep chromosome:ASM357664v1:10:27773195:27775474:1 gene:itb10g23380 transcript:itb10g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRVLRVDWQSKSSLGIQLTPSEPNYKAGRANYVELLHLWDKDSGDLADFTTHFTFTIDSYGSSRYASGLAFFLANVSTPSNTTLTGGGGIGLMDRHLTTSQDPFVAVVFDTFSHQENRSQTNVSINIKSVLETVNITEWLNDIAMGMPNNACITYSATSKILQVVFTGYWNSQYLTSSLSFKFNSSSVGNVSLSPPPSSPYKPPIVTPPTSPSPNANPKEKKTKKGLVTALSIGVPVLVVLLVTLSIFTCLKKTQAEKGNNQIILDQAMDSEFEKAGSGPKRYPYSELASATNNFAEEQKLGEGGFGQVYRGFLRDLNLDVAVKRVSSESNQGIREYTSEVKIISQLRHRNLVPLHGWCHEKGELLLVYEYMPQGSLHSHLFKINSPLNWELRYRIAQGLASALFYLHEEWEQCVLHRDIKSSNVLLDSSFNVRLGDFGLAWLVDHETAPEKTYLGGTPGLWCAHPDSNSRPPISQALLCLKFQGQLPTLPSMMPKLVYSFSSNVPLVSSSHSQTFEYHSSSGRHNSCPSRFTSSSISDASSSASVPHFRTR >itb10g15640.t1 pep chromosome:ASM357664v1:10:21858435:21859928:-1 gene:itb10g15640 transcript:itb10g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSSSFIVCVSPNPVRPECFELNLKHESEDKLQGLCSSSNSKVKEHEAFAKEGADKIQNSGQRLPVHCFHALCCFFFASPPFHQQILSSTVEIRQLGMPAEISHSVNSPGLLYPYSLDTCIFIRF >itb08g01080.t1 pep chromosome:ASM357664v1:8:806200:808799:-1 gene:itb08g01080 transcript:itb08g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVVYLFLCFSLMHACTARPLGMFDDNKENVLLISTKGAKLSIMEDGAVSMAETIEAFKKIDDQEDKGGNEVATFSLQEFLHEKLEIKGSQKRARSTLESVAPPSAEEDVTTTGDNDPVEDAVVMDYAQPHRKPPIHNR >itb09g18650.t1 pep chromosome:ASM357664v1:9:14589718:14591772:1 gene:itb09g18650 transcript:itb09g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGKTTLAKQIYEDPSITSYFDKRAWTVASQHHSKRQMLLDLLGSKHDADKRSDGDLALQLYQSFKKYQRYLVVMDDVWNVEAWHALKTCFPDDGNGCRILLTTRLAEVANHICIKDNFSHQMQLLEQSESWQLFYEKACKSREDHEINANEFTKLWLAEGLVKAFENDSFDAVANRLNNIVDPVHLRFIRVGNRNIELSRAYEFLKSRRRESIELSRSWNLQTLDCYGRVSYGLDEGGKFSHFPQLHYICCNDNFCGNPPNFVKKLYWISADDCSKEYITNIPCLKKVGIQGEGRESNACIANLAYLEQLEGLRILSQFGTHSPINNDIVLLKNLRKLALDDIRFEWEKINILSKLPRLEVLKLLWNSCVGKEWKIFQIQEEEVIFCQLITLVIAYCNLERWEASSHNFPKLEHLYTRYCSELREIPIDFAEISTLKSIKLMGCLHSAVESAKKIQDEQRDYGNNDMVVIEKETLDVCHP >itb09g02740.t1 pep chromosome:ASM357664v1:9:1553531:1557980:1 gene:itb09g02740 transcript:itb09g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLPIADAMASLNQKVNLIGVVTESGIQRKSKGTDYYVSIRIVDESRPTPALPVNFFTETMDKLPQGITEGDIIVLSKVMMRIHGPDVYACFNKKFSSFALYEGKNSTSFEPYQCSDKFCPIEQVNKKIILDLRKWLLAGHQIDAGLTDLLQLKELKEGVDFSLVLHVCETEGDQWMLFVWDGTDTPPVAIKSNLEDEKENPLPLQLEPIPLSRDVLCTFPPVGTVLRVTVDSGDIKLGLNCIKMGRWVKLIGLKCEVRSSLWCAVLKSFTKLCYLSDDNDLVLKHERSYNGRFSSRQGHKVLTCFPCHSNLTETSCSRTVPFITLMNVLTYPEVTYKYRCVVRVVATAPCRVSDFRSPSKVYKIRLTLEDPTARLRAFLYKDDAEDFFARFPSPDDVLTREWNALLGIPNTDDCSEVGDASRHPPWIDCCLKSYYIDKNDVWGSRYYRIFSTTLVGRPRAAKCQFTIASGASPTSLTGATTCSSELIPPRTVLLITGELPAPSSGRHQLSTISPINALPV >itb13g08570.t2 pep chromosome:ASM357664v1:13:10858955:10867894:-1 gene:itb13g08570 transcript:itb13g08570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MEESLSTLLKLSVKLSGLSNVIEVLESSFKHSLSAKLHDLHHLQECILKTKQHMDMMIWCIRHKFLENVSSRFHGYVASWRTFVCERKSAAIKRAWPDSVNHSEEASGRSTLFIEDALTNLESDLGDTDEVQEEFDISCLQKDGRSSFLRLKIGGMAVCYPFENLRAATDILFLQGSSDLVVAKQAIFLYYIFDRQWTVPDEDWRYIIDDFATTFCVSRHSILESLVFFLLDDHSDEALQEACRLLPEISSPTIHPKVAQVLLERENPDAALMVLRWSGRDGVQLVSLGEAVTAVRVRVECGLLSEAFMYQRMVSAKVMEKKLRDEPFHDALHGLKDEHCSWDLWVETLVSEICCLCIRRNLVDRIIELPWNSDEERYLHKCLFDFAIEDPSAVTGSLLVVYYLQRYRYIEAYEIDRKLQSMEESFISQNSLNGAVLSRIRSVNHWRVGLVGKGIELLPGILQHQVKTGKLPEVVIPSKAMDISAKSDVHVSQGPILPSLLAHPPADHVDNAIVSPQASFLETPSKFELSNHSSIFKVVGSHGPPSQPIHLFDDDDAEKAETGLVKSLKFHEFTPRTNRHIHSPNAAASLSTIERSSSRVPRNSRLRNYQTNKVSSERVQKVFLNESLISNKQGLLTDSVENLDKNVSAKRVQPDVDRSWILPSNDSMDFSWSHGEDINANGGSRWRSDDTSEDEDYTTPDRLAGFAASHTTNSRGVRRGRFSRR >itb13g08570.t3 pep chromosome:ASM357664v1:13:10859104:10865239:-1 gene:itb13g08570 transcript:itb13g08570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MDESAVSSDPVIAFLLDEVVVKDWCKRTFRNILAETQVIYNHSVHGMEESLSTLLKLSVKLSGLSNVIEVLESSFKHSLSAKLHDLHHLQECILKTKQHMDMMIWCIRHKFLENVSSRFHGYVASWRTFVCERKSAAIKRAWPDSVNHSEEASGRSTLFIEDALTNLESDLGDTDEVQEEFDISCLQKDGRSSFLRLKIGGMAVCYPFENLRAATDILFLQGSSDLVVAKQAIFLYYIFDRQWTVPDEDWRYIIDDFATTFCVSRHSILESLVFFLLDDHSDEALQEACRLLPEISSPTIHPKVAQVLLERENPDAALMVLRWSGRDGVQLVSLGEAVTAVRVRVECGLLSEAFMYQRMVSAKVMEKKLRDEPFHDALHGLKDEHCSWDLWVETLVSEICCLCIRRNLVDRIIELPWNSDEERYLHKCLFDFAIEDPSAVTGSLLVVYYLQRYRYIEAYEIDRKLQSMEESFISQNSLNGAVLSRIRSVNHWRVGLVGKGIELLPGILQHQVKTGKLPEVVIPSKAMDISAKSDVHVSQGPILPSLLAHPPADHVDNAIVSPQASFLETPSKFELSNHSSIFKVVGSHGPPSQPIHLFDDDDAEKAETGLVKSLKFHEFTPRTNRHIHSPNAAASLSTIERSSSRVPRNSRLRNYQTNKVSSERVQKVFLNESLISNKQGLLTDSVENLDKNVSAKRVQPDVDRSWILPSNDSMDFSWSHGEDINANGGSRWRSDDTSEDEDYTTPDRLAGFAASHTTNSRGVRRGRFSRR >itb13g08570.t1 pep chromosome:ASM357664v1:13:10858955:10867937:-1 gene:itb13g08570 transcript:itb13g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MERRFEESSSIPSHSTINGGSASLPYPPARPPNYTCGRVQGALELLASIDPIELCDEAKVEHCRATRDLRSCGRHVQSVLSSCGHASLCEECSQRCDVCPICRVPLPKDGNRLRLRIYYECIEAGLISKRCDDRLQEKEDGEKQLTADIQRLYSLFDVALENNLVSLICHYVTDVCMDESAVSSDPVIAFLLDEVVVKDWCKRTFRNILAETQVIYNHSVHGMEESLSTLLKLSVKLSGLSNVIEVLESSFKHSLSAKLHDLHHLQECILKTKQHMDMMIWCIRHKFLENVSSRFHGYVASWRTFVCERKSAAIKRAWPDSVNHSEEASGRSTLFIEDALTNLESDLGDTDEVQEEFDISCLQKDGRSSFLRLKIGGMAVCYPFENLRAATDILFLQGSSDLVVAKQAIFLYYIFDRQWTVPDEDWRYIIDDFATTFCVSRHSILESLVFFLLDDHSDEALQEACRLLPEISSPTIHPKVAQVLLERENPDAALMVLRWSGRDGVQLVSLGEAVTAVRVRVECGLLSEAFMYQRMVSAKVMEKKLRDEPFHDALHGLKDEHCSWDLWVETLVSEICCLCIRRNLVDRIIELPWNSDEERYLHKCLFDFAIEDPSAVTGSLLVVYYLQRYRYIEAYEIDRKLQSMEESFISQNSLNGAVLSRIRSVNHWRVGLVGKGIELLPGILQHQVKTGKLPEVVIPSKAMDISAKSDVHVSQGPILPSLLAHPPADHVDNAIVSPQASFLETPSKFELSNHSSIFKVVGSHGPPSQPIHLFDDDDAEKAETGLVKSLKFHEFTPRTNRHIHSPNAAASLSTIERSSSRVPRNSRLRNYQTNKVSSERVQKVFLNESLISNKQGLLTDSVENLDKNVSAKRVQPDVDRSWILPSNDSMDFSWSHGEDINANGGSRWRSDDTSEDEDYTTPDRLAGFAASHTTNSRGVRRGRFSRR >itb13g05080.t2 pep chromosome:ASM357664v1:13:5995126:5998612:1 gene:itb13g05080 transcript:itb13g05080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRDLERDGIGGEKSRNNNNSYPPPSPYYVEVSESEWTPWMVPMIVVANVAMFVVIMYVNNCHHNYEGSRFGKGRHCVAKVLGRLSFQPLQENPLFGPSSSTLEKLGALEWKKIVHEHQGWRLITCIWLHAGVVHLLANMLSLLIIGIRLEQQFGFERYLDMHM >itb13g05080.t4 pep chromosome:ASM357664v1:13:5995126:5998109:1 gene:itb13g05080 transcript:itb13g05080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRDLERDGIGGEKSRNNNNSYPPPSPYYVEVSESEWTPWMVPMIVVANVAMFVVIMYVNNCHHNYEGSRFGKGRHCVAKVLGRLSFQPLQENPLFGPSSSTLEKLGALEWKKIVHEHQGWRLITCIWLHAGVVHLLANMLSLLIIGIRLEQQFGFVRVGIIYLVSGVGGSILSSLFLQRNISVGASGALFGLLGAMLSELLTNWTIYTNKAVALCTLVVIILINLAVGLLPHVDNFAHIGGFLSGFLLGFVLLLRPQFGWIERRHLPAEARLKSKYTVYQYVFFAAALMLLIVG >itb13g05080.t3 pep chromosome:ASM357664v1:13:5995126:5998612:1 gene:itb13g05080 transcript:itb13g05080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRDLERDGIGGEKSRNNNNSYPPPSPYYVEVSESEWTPWMVPMIVVANVAMFVVIMYVNNCHHNYEGSRFGKGRHCVAKVLGRLSFQPLQENPLFGPSSSTLEKLGALEWKKIVHEHQGWRLITCIWLHAGVVHLLANMLSLLIIGIRLEQQFGFVRVGIIYLVSGVGGSILSSLFLQRNISVGASGALFGLLGAMLSELLTNWTIYTNKAVALCTLVVIILINLAVGLLPHVDNFAHIGGFLSGFLLGFVLLLRPQFGWIERRHLPAEARLKSKYTVYQYVFFAAALMLLIVGFTVGLVMLFRGKNGNDHCNWCRYLSCLPTSRWQCSN >itb13g05080.t5 pep chromosome:ASM357664v1:13:5995126:5998589:1 gene:itb13g05080 transcript:itb13g05080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRDLERDGIGGEKSRNNNNSYPPPSPYYVEVSESEWTPWMVPMIVVANVAMFVVIMYVNNCHHNYEGSRFGKGRHCVAKVLGRLSFQPLQENPLFGPSSSTLEKLGALEWKKIVHEHQGWRLITCIWLHAGVVHLLANMLSLLIIGIRLEQQFGFVRVGIIYLVSGVGGSILSSLFLQRNISVGASGALFGLLGAMLSELLTNWTIYTNKV >itb13g05080.t1 pep chromosome:ASM357664v1:13:5995068:5998612:1 gene:itb13g05080 transcript:itb13g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRDLERDGIGGEKSRNNNNSYPPPSPYYVEVSESEWTPWMVPMIVVANVAMFVVIMYVNNCHHNYEGSRFGKGRHCVAKVLGRLSFQPLQENPLFGPSSSTLEKLGALEWKKIVHEHQGWRLITCIWLHAGVVHLLANMLSLLIIGIRLEQQFGFVRVGIIYLVSGVGGSILSSLFLQRNISVGASGALFGLLGAMLSELLTNWTIYTNKAVALCTLVVIILINLAVGLLPHVDNFAHIGGFLSGFLLGFVLLLRPQFGWIERRHLPAEARLKSKYTVYQYVFFAAALMLLIVGFTVGLVMLFRGKNGNDHCNWCRYLSCLPTSRWQCSN >itb09g10000.t1 pep chromosome:ASM357664v1:9:6198462:6200072:-1 gene:itb09g10000 transcript:itb09g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCARISMKMFHTFRINRTKFQIFSTATLAAIVFLIIFSDNAFINHSSIRSTQFRSQKHPRLKPLNSIKEDVIEQVHEDLATLVPPFNVTAEERTEWFKRKFPEFGIFKSDEVSRRFDGRVREFYRSRECKVRFFMTWIASANSFGRREFLSLESLFKAHKDGCLIIISQTMDSEYGNRVLEPLIRFGVIAIKPDLKFLFKNTPAEDWYDDLRSGKKDPGEIPLAQNLSNLIRLAVLYKYGGVYLDTDFIVMKDFSGLRNSIGAQSINLSGNWSRLNNAVLVFDKGHPLLLKFLEEFAASFDGNKWGHNGPYLVSRVVEKVSSGSSAVSFNFTVLPPMAFYPADWTRIAGFFRHPRDRRHGRWIEAKLVQLRGESYGVHLWNRESGRMRIEEGSIIGSIFSGHCIICKGIYDS >itb01g23790.t1 pep chromosome:ASM357664v1:1:29678772:29680752:1 gene:itb01g23790 transcript:itb01g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISFSASFHPVTSPAHTLIPNTRLQSFNCCISPYSLKIRKCPSLSLQLPVARHNFRTCTRATTPSNEGTVSVVSFEDVMEKDWSFLDANNGHSDEEHEEKIKKIITAGGISENSKVLISISSEEFVDRVVDSSPCKQILVVHDSLFVLACIKEAYDTVKCWQGELIYVPEKWAPFDVVFLYFLPAMPFELSQVLEALGKRCMPGARIVISHPQGRKVLEEQRKQYPDVVVSNLPDMTTLQNVAVENSFEIMSFVDEMGLYLAVLKFKG >itb01g23790.t2 pep chromosome:ASM357664v1:1:29678772:29680752:1 gene:itb01g23790 transcript:itb01g23790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISFSASFHPVTSPAHTLIPNTRLQSFNCCISPYSLKIRKCPSLSLQLPVARHNFRTCTRATTPSNEGTVSVVSFEDVMEKDWSFLDANNGHSDEEHEEKIKKIITAGGISENSKVLISISSEEFVDRVVDSSPCKQILVVHDSLFVLACIKEAYDTVKCWQGELIYVPEKWAPFDVVFLYFLPAMPFELSQVLEALGKRCMPEVNPKRTEGI >itb13g20150.t1 pep chromosome:ASM357664v1:13:26968504:26973864:-1 gene:itb13g20150 transcript:itb13g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQMVDPHSLSPTSFFSEEMRFHDERPVSFWKSDSLSNYHGLKTDETFRGGVPSSIEEQKVNGTIEKHAIRLQKAASYSLPRTLNNDLGARSIFNTESALYSTEGDKLNMAGTQHENGLFSSSLSELFSKNLQLSTNNFPHGNSAGAAAASHYEEEEPLESLEELEAQAIGDLLPDDDDLLSGVTDGLDCVHRSYTGEEDLDLFSSVGGMDLGEDDSSYGSHRLGGIPSSMGSGTNIAVKFPNGAPCSQYMDKTLSHGMSISFPNSLPSLLRLESVGNQSNLSETNHLQNQMNIEFRGSPNCHPNSLPKYHDGLTNLTPSNSPGGIGTNINARPSDLMDSRQYSRLGSNGHSIDLNEGVFGPTGNGGCPTPGNPYAWSSSPQRQPQRMMWPNSPSFVSGICAARPQLHGVPGTPSHMLSALLPVNNHHVGSAPSVNHSLWDRRQAYTGESPDASVFHPGSLGSLRISGNSPQPLEFVPHNIFSPGNGSCMDLPIPSKNVGLHSPHQRCMMFPSRGQMIPMMNAFDSPTGRTRSRRSEGTSSQTDNKKQFELDIDRIMRGDDKRTTLMIKNIPNKYTSKMLLAAIDERHRGSYDFIYLPIDFKNKCNVGYAFINMTEPSLIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPGKGRIGTSEENNQGSHTSPTNGESSGDSSSVSLNESN >itb02g22060.t3 pep chromosome:ASM357664v1:2:21140734:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MQESAIPSPCRLDDSPMFRQQMQSMEDNAEVLKEKCLKFCKGCRKYTEGIGEAYDTDISFASALEIFGGGHDDPTSVAFGGPDMVNFSIALREIGTYKEVLRSQVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKASKLFFSNSPLLGSFQQ >itb02g22060.t4 pep chromosome:ASM357664v1:2:21140734:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MTQTFLLLVPLKSLEEGMMIQLLWHLEVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIITLFHALGNAFANSVWEELLQVSTTFQDDEIPEWFVELDKRKQFSPKPNHNDQISVKEKFIHAKVSLQTFDHLFTSHAT >itb02g22060.t2 pep chromosome:ASM357664v1:2:21140734:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MQESAIPSPCRLDDSPMFRQQMQSMEDNAEVLKEKCLKFCKGCRKYTEGIGEAYDTDISFASALEIFGGGHDDPTSVAFGGPDMVNFSIALREIGTYKEVLRSQVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIITLFHALGNAFANSVWEELLQVSTTFQDDEIPEWFVELDKRKQFSPKPNHNDQISVKEKFIHAKVSLQTFDHLFTSHAT >itb02g22060.t7 pep chromosome:ASM357664v1:2:21139084:21144388:-1 gene:itb02g22060 transcript:itb02g22060.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIITLFHALGNAFANSVWEELLQVSTTFQDDEIPEWFVELDKRKQFSPKPNHNDQISVKEKFIHAKLTLTLVELGGFPDCILPLPSHYVCRKRFCSQGK >itb02g22060.t6 pep chromosome:ASM357664v1:2:21140734:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MTQTFLLLVPLKSLEEGMMIQLLWHLEVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKASKLFFSNSPLLGSFQQ >itb02g22060.t1 pep chromosome:ASM357664v1:2:21140734:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MQESAIPSPCRLDDSPMFRQQMQSMEDNAEVLKEKCLKFCKGCRKYTEGIGEAYDTDISFASALEIFGGGHDDPTSVAFGGPDMVNFSIALREIGTYKEVLRSQVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIITLFHALGNAFANSVWEELLQVSTTFQDDEIPEWFVELDKRKQFSPKPNHNDQISVKEKFIHAKVSLQTFDHLFTSHAT >itb02g22060.t5 pep chromosome:ASM357664v1:2:21140734:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MQESAIPSPCRLDDSPMFRQQMQSMEDNAEVLKEKCLKFCKGCRKYTEGIGEAYDTDISFASALEIFGGGHDDPTSVAFGGPDMVNFSIALREIGTYKEVLRSQVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKASKLFFSNSPLLGSFQQ >itb02g22060.t8 pep chromosome:ASM357664v1:2:21139096:21150599:-1 gene:itb02g22060 transcript:itb02g22060.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MQESAIPSPCRLDDSPMFRQQMQSMEDNAEVLKEKCLKFCKGCRKYTEGIGEAYDTDISFASALEIFGGGHDDPTSVAFGGPDMVNFSIALREIGTYKEVLRSQVERTLTEKLQHVAVVEIPQVKEARKRFDKANVIYDQAREKFLSLRKSTRLDIAVAIEEELYQSRSSFEYARFNLFGALTSVEAKKKFEFLEAVTATMDAHLRYFKQGYELLNQMEPYINQLLAYTQKSRESYMSEQAALMERMEEYQKKVDQDSKRSFGASPDPPNGDAIPIQSFPRSSHKAIEAVMQSTVEGKVQTIKQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKQWNRISGNSNTNASNKSSSSESGSGLLSRWLSSHYHGGVHDEKAVARHTVNLMTSTIKKDAEQSDLRFCFRIISPTKNYTLQAESATEQMDWIEKITGVIASLLTSQTAERFCLSPTSENSSIASPPDIDQRAIEDNSSGRNIASKNIMRPSRSTLQIPVYMQTGKLVDTLKSLPGNDICADCGAPEPEWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIITLFHALGNAFANSVWEELLQVSTTFQDDEIPEWFVELDKRKQFSPKPNHNDQISVKEKFIHAKYAEKGFVHKENNSVNMLSVAEQLWEGVRTNDKKAVYRLVIVYGADVNGINGNASAGKSLTLALAMKLDQLSDSDVKPNFNCTDSKSSSCFFDAEGSEDYYMNDYLDGCSLLHLACQLADIAMVELLLQHGANINACDSRDQTPLHHSIIRGRIAVAKHLLSRGADPNATDKDGKTPSKLVTELALGDVELLGLLKLTNTTLQCT >itb14g10720.t1 pep chromosome:ASM357664v1:14:12149994:12151633:-1 gene:itb14g10720 transcript:itb14g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVESTRTIKPLYEGNPPLTTSHVPLSVFDKVSYDAQIAVIYAYRPPTPPNSTIELGLRKALGVYREWAGRLGKDEKGNPVVLLNDEGVKFVEASGNTTLDKVMPFKPSASLLNLHPSLKGVVELVQVQVTRFTCGSLVVGFTAHHLVADGHSTSNFLVAWGKACRDVIIQPLPLRDRTIFIPRNPSRIEYDHVGAEYMPKQIKKNDHFLNNNDTTFLDDIVVHKVHFTLEFLAKLKAKASAMNGGARPYSTFESLVAHLWRAITKARNLGGFETTHIRISVDGRARLNPKVPNEYFGNLVLWAFPTAKVKDLLREPLPYAAKLIHDAVSKVNNNYFRSFIDFANSKVVTEEDGLVPTADMDKHILCPNLEVDSWLRFPFYDLDFGTGCPYIFMPTFFPTEGMMFLLPSFIGDGSIDAFIPLFQDNLPTFKQICYSLD >itb12g19560.t1 pep chromosome:ASM357664v1:12:21973719:21978464:1 gene:itb12g19560 transcript:itb12g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQNNGNMKEALFKVPDIKFTKLFINGEFVDSVSGKKFETIDPRTGEVFAKVAEGDKEDVDLAVKAAREAFDHGPWPRFPGCERRRLMMKFADLIEENAEELAALDAIDGGKLYGMGKALDIPAAAGTLRYYAGAADKIHGETLKMSRSIQGYTLREPVGVAGMIIPWNFPTSMFFTKVSPALAAGCTIVVKPAEQTPLSALFYAHLAKKAGIPDGVLNVITGFGPTAGAAIASHIDIDKVSFTGSTEVGKLVMKAAADSNLKQVTLELGGKNPLIIFDDADIEMATGLAVFGSLYNKGEVCVASSRIFVQEGIYDEFAKKLVEKVKMTVVGDPFDPSTHQGPQVDKNQYEKILSYIEHGKREGATLLTGGKPCGEKGYYIEPTIFSDVKDSMLIAKDEIFGPVISLLKFKTIEEAIQRANATKYGLAAGIVTKDLNTANTVSRSIRAGVVWINCYLAFDYDIPYGGYKMSGFGRDSGMEALDQYLQTKCVVTPLYDSPWL >itb12g19560.t2 pep chromosome:ASM357664v1:12:21973719:21976849:1 gene:itb12g19560 transcript:itb12g19560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQNNGNMKEALFKVPDIKFTKLFINGEFVDSVSGKKFETIDPRTGEVFAKVAEGDKEDVDLAVKAAREAFDHGPWPRFPGCERRRLMMKFADLIEENAEELAALDAIDGGKLYGMGKALDIPAAAGTLRYYAGAADKIHGETLKMSRSIQGYTLREPVGVAGMIIPWNFPTSMFFTKVSPALAAGCTIVVKPAEQTPLSALFYAHLAKKAGIPDGVLNVITGFGPTAGAAIASHIDIDKVSFTGSTEVGKLVMKAAADSNLKQVTLELGGKNPLIIFDDADIEMATGLAVFGSLYNKGEVCVASSRIFVQEGIYDEFAKKLVEKVKMTVVGDPFDPSTHQGPQVNFIKFVPVLILYLDLSLH >itb12g22680.t1 pep chromosome:ASM357664v1:12:24678331:24680119:1 gene:itb12g22680 transcript:itb12g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFLFSTSILLASDLDVLLKMKASMVRQRSSRLSDWRDADNASSGAAHCFFSGVKCDGDSRVIAINISGVPLFGTLPPEIGLLDRLVNLTLIGDNLTGELPPEMAKLTAVRVIGQVGRRGILNPLRSMCSSVWVVPSIVVWCAICCCVLCNPLL >itb12g24400.t1 pep chromosome:ASM357664v1:12:25936830:25937605:-1 gene:itb12g24400 transcript:itb12g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSNYLLPLYSSFSPIYLSPFSPLHSTPDLLRYQIPRSKRKMGHHHHHHHHGPGGPHDDPFLACCCCPCMMVSSLFSVFQRCLMFVCYPFLSCLGCVEHGHPHHHHHHRHF >itb02g17620.t1 pep chromosome:ASM357664v1:2:13761943:13767656:1 gene:itb02g17620 transcript:itb02g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMMKTLERYQRCSYAHLEANQPASDTQNNYHEYLRLKARVELLQRSQRNLLGEDLGPLSTKELEQLEHQLEASLKQIRSRKVYAN >itb11g07310.t1 pep chromosome:ASM357664v1:11:4479264:4484324:-1 gene:itb11g07310 transcript:itb11g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTTKQFLGAPSSSHLGSFQAPSNLSSSSLQLPARRSHTKRLEIKAAGSTFGNYFRVTTFGESHGGGVGCIIDGCPPRLPISESDMQVELDRRRPGQSRITTPRKETDTCKIASGVAEGLTTGSPIKVEVPNTDQRGNDYSEMSLAYRPSHADATYDFKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKQYCGTEIIAYVSQVHKVVLPEDLVDHQTVTLDQIENNICRCPDPEYAEKMIAAIDAVRVKGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKACMSLPATKGFEFGSGFGGTFMTGSEHNDEFYMDEHGKIRTRTNRSGGIQGGISNGEVINMRIAFKPTSTISRKQNTVTRDKHETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCMLFPINPALQEPLESNSKPEAANAAL >itb09g02470.t1 pep chromosome:ASM357664v1:9:1409654:1410444:-1 gene:itb09g02470 transcript:itb09g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYREMKKALMAYKEVLRLVKRLPEDTRPYYAKYVRENFVNYREIDANDSVSLHELLQRTYNHSIWILKKYSVDQSAANRLKEICSA >itb11g04300.t1 pep chromosome:ASM357664v1:11:2267734:2271467:-1 gene:itb11g04300 transcript:itb11g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVHEKLDEAMAEIEKLRAEYKIKAESSENFKRAYNEQLTKIRDASSKVDKLTLDLSLKEDELSVAKQAYEELKSNLKDKEAAIKSLRSANDRLRADCAEKLKKFEEENRSMGVALDETNAANMEQEQQIQTLGNEIDRLRKLAMASQKMSCEVEKRTRASKELRQRDDVLLKLEEENRELEDQLKWKKEQFGHLADAHEKIRQQFREREKEWEKEKDTLFNEISTLQLNLDSQIRISEGLQSRLQMCNQALAHEESRRKTLEVQLSESKTSLDNVSAEYKEAKSRTESLTNQRDKEIANLRDALGTREAIYKETEYQFRKLEQENRELMTSLKELQESGINDAGHSSLPNLRNKLKGLEQAHGACSAHLKAKESEWVSQLEGLAGELDCCKHELKNKNTLITNLQDELQACHSLVFDLALQNEETSLMLLVLRSHLSEVHQKLAANTYAAIDLTNKEEQNISTLKQLDQKNSDLVRTEKDLEEEREKVAMLLSRVESLNLIEEEQLPLQIEVDILKEMLKEASTHQVHLKEQVLKTKSELKEAKDALDRADEELAESYYEGKVIESELQIWRSVAERLQSSLDENHQVRREVEASLFAQVDVEFELRWEKDRLEHILVNKEEEIKELQEQIVLLNEKLNKRETRALQSPLGKKNSQDLHKELECFEEEWLRKELEKAILAQVEAEGYHKKEKENLHHRIQDLQNLVSLLELETDMFHKTWETVREALVLKEIEVQEKSMINLELENDLNTLQEKVTNLTSKLERLTCSSDVAMEKLMDEKAKAVEDARKLSSDREILLDMFMRLSNRISQLSMEDMELTNELGRIMQTCGGFGLDMKRINKFFDPAKENLNICSSPTRKVEAAMVEDRLPFRAIND >itb11g04300.t2 pep chromosome:ASM357664v1:11:2268371:2270517:-1 gene:itb11g04300 transcript:itb11g04300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVHEKLDEAMAEIEKLRAEYKIKAESSENFKRAYNEQLTKIRDASSKVDKLTLDLSLKEDELSVAKQAYEELKSNLKDKEAAIKSLRSANDRLRADCAEKLKKFEEENRSMGVALDETNAANMEQEQQIQTLGNEIDRLRKLAMASQKMSCEVEKRTRASKELRQRDDVLLKLEEENRELEDQLKWKKEQFGHLADAHEKIRQQFREREKEWEKEKDTLFNEISTLQLNLDSQIRISEGLQSRLQMCNQALAHEESRRKTLEVQLSESKTSLDNVSAEYKEAKSRTESLTNQRDKEIANLRDALGTREAIYKETEYQFRKLEQENRELMTSLKELQESGINDAGHSSLPNLRNKLKGLEQAHGACSAHLKAKESEWVSQLEGLAGELDCCKHELKNKNTLITNLQDELQACHSLVFDLALQNEETSLMLLVLRSHLSEVHQKLAANTYAAIDLTNKEEQNISTLKQLDQKNSDLVRTEKDLEEEREKVAMLLSRVESLNLIEEEQLPLQIEVDILKEMLKEASTHQVHLKEQVLKTKSELKEAKDALDRADEELAESYYEGKVIESELQIWRSVAERLQSSLDENHQVRREVEASLFAQVDVEFELRWEKDRLEHILVNKEEEIKELQEQIVLLNEKLNKRETRALQSPLGKKNSQDLHKELECFEEEWLRKELEKAILAQFAGTRD >itb06g18020.t2 pep chromosome:ASM357664v1:6:21739388:21744709:1 gene:itb06g18020 transcript:itb06g18020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESENVTPNPAVNQLPEADSLPDGFVVSSSAVPLALKSPKLASEKAPAPELADYKEDTLLEPELRPGVIVNHVNFGATSESGCRDAPRESGVGGEGQGSSENSTRNQLAPTIKEAPSQESSEHRKIEASEAKRKNAKRSFKSEKEFLEFTLKYQQVIAERDSAIAVRDRLESLCRELQRQNKILMDECKRVSSEGQNLRLDISNKFRDAIKEVSNRMEEQKDECLSQLKENETLKSKLKQVTDQYALAEQQYTQKLKQKDLELQIADLKIKQHEEKIMQEESQMKLYAEQVSELLTTEKNLRLQLAADGEKFQQFQVCVVTEGPRKFIDSFINIVSIKFSSSSLAHS >itb06g18020.t1 pep chromosome:ASM357664v1:6:21739383:21745854:1 gene:itb06g18020 transcript:itb06g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENVTPNPAVNQLPEADSLPDGFVVSSSAVPLALKSPKLASEKAPAPELADYKEDTLLEPELRPGVIVNHVNFGATSESGCRDAPRESGVGGEGQGSSENSTRNQLAPTIKEAPSQESSEHRKIEASEAKRKNAKRSFKSEKEFLEFTLKYQQVIAERDSAIAVRDRLESLCRELQRQNKILMDECKRVSSEGQNLRLDISNKFRDAIKEVSNRMEEQKDECLSQLKENETLKSKLKQVTDQYALAEQQYTQKLKQKDLELQIADLKIKQHEEKIMQEESQMKLYAEQVSELLTTEKNLRLQLAADGEKFQQFQEALSKSNEVFEAFKQEIDKMSKSIKELKNENSFLKTKCESTDIALIELAEEREQLKKQVEKMRNQKERLESLCRSLQAERKATSTPSPTSDSL >itb07g19760.t1 pep chromosome:ASM357664v1:7:24200684:24206226:-1 gene:itb07g19760 transcript:itb07g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGRIFVCSIFLCLLASGSFSIDTNFNQAFPIVEPDPGHTKLRLSKEGLEAIERITTPIAAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGSPIIVDIDGVKTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGSHETHRVDVVQGQDVAFEPAKLLWLIQRDFLQGKSVQEMVHEALQHVPNTSGDRNIDQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMNDTELDPLYVKKREQLKEVVASIIRPKIVQGKSLNGKEFVAFLEQILEALNKGEIPSTGSLVEVFNKGIQERCLKLYSGQMGSLHLPMPGDSLQKAHEDYRESAMRVFDEQHFGRHHAKRSVDKLEEEIDKMFKNFILANEYQSSKLCEALYTDCEDKMDHLQVLRLPSMAKFNAGFLQCNQSFEKECVGPSKRNYEQRMTKMMEKARSLFIKEYNHRLFNWLVAFSLVMVVVGRFVIKFILVEIGAWILFIFLETYTRMFWSAESLYYNPVWHVIVTTWETVVYSPILDLDRILLVGTDGQFPFV >itb09g09870.t1 pep chromosome:ASM357664v1:9:6053305:6056800:-1 gene:itb09g09870 transcript:itb09g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMEEPLLSAVNQNGQGESERWSSYEYVGRAGSVIPTASLAGTEVSVDEIRTAAAASTPYPPSLHSPLVSSPQSYHSQPHEPGPGFNYHGGYYGEVARATGGGVQRQVLDEVEIRKLLVDHVGHQWCWGSRPARTWKIHAVEDCNVYVGTLETFIEEREMITETEPYSGGNIEGRDKKTELGIWELDLRSEFPVLFIPHKESRTRVPHSEKIDKCPGCHGRGSVVCPTCNADQDPGFYNEGQMSQCPACYGRGLIAHKDGSDSICQNCKGKGKIPCATCESRGLIKCQTCQGGGSLLSYKVAIVRWKTLSTRKVNATSGAASVPDDVFHQAKGVQLCNNQAHQCTPAYFADSYFLNKFSSEVIAERADVPQTARVICERHTISVVPVTRVTMTHRNRSFSFYIIGCSREVYLRDDYPSRFCWGLCPCLEWLNA >itb09g09870.t5 pep chromosome:ASM357664v1:9:6053326:6056800:-1 gene:itb09g09870 transcript:itb09g09870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQVLDEVEIRKLLVDHVGHQWCWGSRPARTWKIHAVEDCNVYVGTLETFIEEREMITETEPYSGGNIEGRDKKTELGIWELDLRSEFPVLFIPHKESRTRVPHSEKIDKCPGCHGRGSVVCPTCNADQDPGFYNEGQMSQCPACYGRGLIAHKDGSDSICQNCKGKGKIPCATCESRGLIKCQTCQGGGSLLSYKVAIVRWKTLSTRKVNATSGAASVPDDVFHQAKGVQLCNNQAHQCTPAYFADSYFLNKFSSEVIAERADVPQTARVICERHTISVVPVTRVTMTHRNRSFSFYIIGCSREVYLRDDYPSRFCWGLCPCLEWLNA >itb09g09870.t3 pep chromosome:ASM357664v1:9:6053305:6056721:-1 gene:itb09g09870 transcript:itb09g09870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMEEPLLSAVNQNGQGESERWSSYEYVGRAGSVIPTASLAGTEVSVDEIRTAAAASTPYPPSLHSPLVSSPQSYHSQPHEPGPGFNYHGGYYGEVARATGGGVQRQVLDEVEIRKLLVDHVGHQWCWGSRPARTWKIHAVEDCNVYVGTLETFIEEREMITETEPYSGGNIEGRDKKTELGIWELDLRSEFPVLFIPHKESRTRVPHSEKIDKCPGCHGRGSVVCPTCNADQDPGFYNEGQMSQCPACYGRGLIAHKDGSDSICQNCKGKGKIPCATCESRGLIKCQTCQGGGSLLSYKVAIVRW >itb09g09870.t4 pep chromosome:ASM357664v1:9:6055014:6056691:-1 gene:itb09g09870 transcript:itb09g09870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMEEPLLSAVNQNGQGESERWSSYEYVGRAGSVIPTASLAGTEVSVDEIRTAAAASTPYPPSLHSPLVSSPQSYHSQPHEPGPGFNYHGGYYGEVARATGGGVQRQVLDEVEIRKLLVDHVGHQWCWGSRPARTWKIHAVEDCNVYVGTLETFIEEREMITETEPYSGGNIEGRDKKTELGIWELDLRSEFPVLFIPHKESRTRVPHSEKIDKCPGDDHMFCVWAIIILYMFHILVILLLYILTQSL >itb09g09870.t2 pep chromosome:ASM357664v1:9:6053326:6056614:-1 gene:itb09g09870 transcript:itb09g09870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITETEPYSGGNIEGRDKKTELGIWELDLRSEFPVLFIPHKESRTRVPHSEKIDKCPGCHGRGSVVCPTCNADQDPGFYNEGQMSQCPACYGRGLIAHKDGSDSICQNCKGKGKIPCATCESRGLIKCQTCQGGGSLLSYKVAIVRWKTLSTRKVNATSGAASVPDDVFHQAKGVQLCNNQAHQCTPAYFADSYFLNKFSSEVIAERADVPQTARVICERHTISVVPVTRVTMTHRNRSFSFYIIGCSREVYLRDDYPSRFCWGLCPCLEWLNA >itb02g04210.t1 pep chromosome:ASM357664v1:2:2502158:2513081:1 gene:itb02g04210 transcript:itb02g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDKQNTLDYINQMFPTEASLSGVEPLMQKVHSEIRRVDAEILAAVRQQSNSGSKAREDLAAATQAVQELMYKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKSIKQVLKSHVFSDFSSLGTGKETEESNLLQQLSDACLVVDALEPSVREELVKNFCSRELTSYQQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPPLWHVDYLLCIQFCKLTRAQLVEILGNLKEKPDVATLLMALQRTLEFEEELAEKFGSTSRSKEAGSAEDIDRVGQNSQTVSDIRKKYEKKLAAHHGSEYEEQDGHKDLSVPGAGFNFRGIISSCFEPHLIVYVELEEKTLMESLEKQMQEETWEIEEGSQTNILSSGIQVFAIIKRSLKRCSALTKSETLFNLFKVFQKILKAYATKLFARLPKGGTGIVAAATGIDGQIKTSDKDERVICYIVNTAEYCHKTSGELAENVSKIIDPQFVDKVDMSEVQDEFSAVITKSLMTLVHGIETKFDTEMAAMTRVPWGTLESVGDQSEYVNGINTILTSSIPVLGNLLSTIYFQFFLDKLASSLGPRFYLNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLAKQTSGAAGYSKFVSREMSKAEALLKVILSPFDSVADTYCALLPEGTPTEFQRILELKGLKKTDQQSILDDFNKRGSGIAQPTIVAPSAVPPAAAPNTSIAPTIANPASPVAISSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNS >itb05g21720.t1 pep chromosome:ASM357664v1:5:27372764:27373886:-1 gene:itb05g21720 transcript:itb05g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQDEIQFLGFFGIFKESFTLVSALKKIFFQITAVMIVPLSFIYLAHIEISDIIFTDILRDEYILDRIQEGTQTYDKISDVLSSEWTAFWFFKIAYFIFFLILALLSTSAVVYTIACIYTSKDISFKKVISVVPKVWKRLMVTFLWSFLILFAYNILTLSILVLWLIMMGPRGFGLFGALLLTLMYMAGFVYITVIYHLASVVSVLEDVHGLEAIIKSKGLIKGKLGISAIIFMLLNTLFFGIQTGFEYFVVLGYGGGFSGRVGYGIVFVLLLAILMLLGLTVQTIIYLICKSYHHENIDKSSLSDHLEDFHGEYVPLKSKDVQLESFHV >itb03g03180.t1 pep chromosome:ASM357664v1:3:1820374:1822035:1 gene:itb03g03180 transcript:itb03g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRMSNGAEEEVAVMEVAAEIGVKMMKRGREVVDGGEETDVVKRMKIGLGEGELKPSSPAAPSLVQLVMPVNSVAPPERLDHAPASCCIINQSSELGLENLEFVDLQEEGDGFATPKHNSESEESGKVPPFPAKLVPPKQLKFRLISKLIPNNYPLAEEVEEFFAAAEEQKRLQEFSDKYNFDFENEKPMEGRYEWVKLFEEPAMKVGEDSADEITVASSTMKIDEDSGDEVSPASQ >itb15g02560.t1 pep chromosome:ASM357664v1:15:1557643:1558833:1 gene:itb15g02560 transcript:itb15g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFPHPNKVFSFFYKEAGSDEIGSTYLDIPNSITRYVNCCHGLLCVIVGKGDFIIDGIEVVDMVFDVVIWNPSTRKIKTLPSIIVPNKPSNIPPVNFCLYEGFGFGLSNNMTWKVVMLWYYGDVNVLSKDSYEIVLVCSRVGDSWTWRQINAVPQLPVSSFQNFYLKGKCYWRVEVPQWLNSGPCRKEFLIWFDLDDEIFGTIELPSKWVATLSILVTVMNDTIALVSAPGIENENCIEIWLMNETNNNIDWNKQASIECGESIDLHDYWNLTGGDLESEYWNPIGIWNLGDFLLVFPTLSRFIPDLEHEFDYLPGQDTYIPYLISIDLATQKRNIIYLTQQRKSVSIVSNSTGYAQIYRERNINIVEEWKNNVKIVPDTKVCVRGYSESLKFL >itb09g07750.t1 pep chromosome:ASM357664v1:9:4598491:4599741:1 gene:itb09g07750 transcript:itb09g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGDDCECHPLGFLLGLPFAFVSLLLSLVGVVIWIVGIVLSCICPCCICVTVIVEMALGLIKAPFSVMKWFTEQIPC >itb14g12640.t1 pep chromosome:ASM357664v1:14:14326085:14330429:-1 gene:itb14g12640 transcript:itb14g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLLRHATSLLRECDRRPACSATATSLPATPLSLYAALIFSRVMDLGEKLLKFLKQTSWCGNLGLHFLWLIIHFFVGIWYFVLGIAQTIESFLISNGFWKSYKSLNISKIQHLAVVIDCEEARETSKVLELLQFLATIGVKNVSLYDSEGVLKQSKEAIKEELSRIKFYERTSLPTPLLHKYNNLEFVSSADGKHAVGKAANFLFVKHYTGTNLQKHTFTESDVIDALTVIGCCGPDPDLLLVYASARCHLGFPAWRLRYTEIVHMGPLKSMKFGSLMKAIYKFTMVHQNYGI >itb02g04500.t4 pep chromosome:ASM357664v1:2:2671937:2674554:1 gene:itb02g04500 transcript:itb02g04500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTHMLQSLTTTTALLNRTSSITVCCFSSRNGGRSGGSRWDSRAGNGQQRFRFDEEELRANGGEKDAEFGFGAATKQRIWWSDDDSSRGDSFDDEDEDFEGFGELEGSIGFSWIFKVLQAFGWMVPAVLVSMLLGTGTNTIVMALALPLAQSALSLIMDAFSGTSYDRARPKSRTRKRSYARAKTNARTREGEQNTQNGKGARGYGSNEKEAKTTQNFGGWDELDNPGTTSANKTPKTTPAQDPNKARLRNEGKLSRRISKKETPLLLRLLIAVFPFLGSWTKVL >itb02g04500.t1 pep chromosome:ASM357664v1:2:2671937:2675415:1 gene:itb02g04500 transcript:itb02g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTHMLQSLTTTTALLNRTSSITVCCFSSRNGGRSGGSRWDSRAGNGQQRFRFDEEELRANGGEKDAEFGFGAATKQRIWWSDDDSSRGDSFDDEDEDFEGFGELEGSIGFSWIFKVLQAFGWMVPAVLVSMLLGTGTNTIVMALALPLAQSALSLIMDAFSGTSYDRARPKSRTRKRSYARAKTNARTREGEQNTQNGKGARGYGSNEKEAKTTQNFGGWDELDNPGTTSANKTPKTTPAQDPNKARLRNEGKLSRRISKKETPLLLRLLIAVFPFLGSWTKPY >itb02g04500.t2 pep chromosome:ASM357664v1:2:2671937:2675415:1 gene:itb02g04500 transcript:itb02g04500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTHMLQSLTTTTALLNRTSSITVCCFSSRNGGRSGGSRWDSRAGNGQQRFRFDEEELRANGGEKDAEFGFGAATKQRIWWSDDDSSRGDSFDDEDEDFEGFGELEGSIGFSWIFKVLQAFGWMVPAVLVSMLLGTGTNTIVMALALPLAQSALSLIMDAFSGTSYDRARPKSRTRKRSYARAKTNARTREGEQNTQNGKGARGYGSNEKEAKTTQNFGGWDELDNPGTTSANKTPKTTPAQDPNKARLRNEGKLSRRISKKETPLLLRLLIAVFPFLGSWTKVSLLRKKIQSAASWQD >itb02g04500.t3 pep chromosome:ASM357664v1:2:2671937:2677058:1 gene:itb02g04500 transcript:itb02g04500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTHMLQSLTTTTALLNRTSSITVCCFSSRNGGRSGGSRWDSRAGNGQQRFRFDEEELRANGGEKDAEFGFGAATKQRIWWSDDDSSRGDSFDDEDEDFEGFGELEGSIGFSWIFKVLQAFGWMVPAVLVSMLLGTGTNTIVMALALPLAQSALSLIMDAFSGTSYDRARPKSRTRKRSYARAKTNARTREGEQNTQNGKGARGYGSNEKEAKTTQNFGGWDELDNPGTTSANKTPKTTPAQDPNKARLRNEGKLSRRISKKETPLLLRLLIAVFPFLGSWTKTRNGR >itb11g04470.t1 pep chromosome:ASM357664v1:11:2339849:2347859:-1 gene:itb11g04470 transcript:itb11g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKRLDLWGVGGGNCGIFLRITFGLYFAFLLFCPVTGLRPLRERYQAWGDEWLFVKKDDNQLGPFSAWNITGTYRGSWRFLDSANMTTRFPDFRKSNGNSVLELISTPTKITGVHYIQGVMVFHDVFDNEHEVAGAKIRVEGVYIWPFRQLRMVAYSGKEGEFGHEDEYLLSNPYHLLGVFSSQVFQESPRDKIWKRKHSPIYEMEKHCNIEIAAQISRVSSSQNDGDHDRYHLEGLMESPSVNDDGDCFSPILLNASSINIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNSGEGWEAMRRELSVLYSRFYGILLGGILIMYEFHKFLRPILLLLHSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIETDNKWCICLGIFMGIQASILLLQHYFGSRWFIPRQILPEKYSYYRKFDQDAVQPIDCVICMTNIDLSQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >itb11g04470.t2 pep chromosome:ASM357664v1:11:2339849:2347738:-1 gene:itb11g04470 transcript:itb11g04470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKRLDLWGVGGGNCGIFLRITFGLYFAFLLFCPVTGLRPLRERYQAWGDEWLFVKKDDNQLGPFSAWNITGTYRGSWRFLDSANMTTRFPDFRKSNGNSVLELISTPTKITGVHYIQGVMVFHDVFDNEHEVAGAKIRVEGVYIWPFRQLRMVAYSGKEGEFGHEDEYLLSNPYHLLGVFSSQVFQESPRDKIWKRKHSPIYEMEKHCNIEIAAQISRVSSSQNDGDHDRYHLEGLMESPSVNDDGDCFSPILLNASSINIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNSGEGWEAMRRELSVLYSRFYGILLGGILIMYEFHKFLRPILLLLHSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIETDNKWCICLGIFMGIQASILLLQHYFGSRWFIPRQILPEKYSYYRKFDQDAVQPIDCVICMTNIDLSQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >itb12g27210.t5 pep chromosome:ASM357664v1:12:27723526:27726939:1 gene:itb12g27210 transcript:itb12g27210.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGNPQGEYGRFEQILSQFLLKSLHIILDSRVPSIRSYGHTREVKKSDKWFNMVLGDRPAALDNLNFWHRNLMEPMIIDIILVQDKANSSAKQYSGTTLGDTFTETVIERWVVQYEHPRSLPPQVGDSSYKKTYKKLIILLRSLYSMTRLLPAYKAFRKLSSSQSCDFDLNYKVSSFTVPFSRAEEPLIKHYSFIPVDAQHGCFSLSLTYRENLSDFNLETSACFPPEIITDYVGSPLADPMRSFPSTSSEKGVRATSYPLRRSQTSTSGPFQRPHSWTSGLHKGPSLAQNEQSLESPPLYRSPYDLSSPPVNVHGRRISNYRVPTHHRTTSSEDYQLSPPFSPFSASPSPSLSPPTHVSHGNAALSRLSSETSPVNIPHPMTSRNSGFPSPNFSDPNRHSLPPQSPRSIKHDSSHHEFPTGIRSLKKSDSTRAGESSSGTANVGLKVLRDAKDDSGRFSGLHSSSGSPRIEFSRSSSRLSFPDDLDDCDFSCPFVVDDVDSQASLLWIKLLICEK >itb12g27210.t1 pep chromosome:ASM357664v1:12:27723526:27726939:1 gene:itb12g27210 transcript:itb12g27210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGNPQGEYGRFEQILSQFLLKSLHIILDSRVPSIRSYGHTREVKKSDKWFNMVLGDRPAALDNLNFWHRNLMEPMIIDIILVQDKANSSAKQYSGTTLGDTFTETVIERWVVQYEHPRSLPPQVGDSSYKKTYKKLIILLRSLYSMTRLLPAYKAFRKLSSSQSCDFDLNYKVSSFTVPFSRAEEPLIKHYSFIPVDAQHGCFSLSLTYRENLSDFNLETSACFPPEIITDYVGSPLADPMRSFPSTSSEKGVRATSYPLRRSQTSTSGPFQRPHSWTSGLHKGPSLAQNEQSLESPPLYRSPYDLSSPPVNVHGRRISNYRVPTHHRTTSSEDYQLSPPFSPFSASPSPSLSPPTHVSHGNAALSRLSSETSPVNIPHPMTSRNSGFPSPNFSDPNRHSLPPQSPRSIKHDSSHHEFPTGIRSLKKSDSTRAGESSSGTANVGLKVLRDAKDDSGRFSGLHSSSGSPRIEFSRSSSRLSFPDDLDDCDFSCPFVVDDVDSQASQNLDAKKDSESPSQTVLGTRKSQDAAVGALIQMLKTAPPLCQDSSCYSPCSVKNDPEGEIGTSSGFSFPRKISDAMEDLRAYKELKDLLLSKSATQSVRKAD >itb12g27210.t4 pep chromosome:ASM357664v1:12:27723652:27726787:1 gene:itb12g27210 transcript:itb12g27210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGNPQGEYGRFEQILSQFLLKSLHIILDSRVPSIRSYGHTREVKKSDKWFNMVLGDRPAALDNLNFWHRNLMEPMIIDIILVQDKANSSAKQYSGTTLGDTFTETVIERWVVQYEHPRSLPPQVGDSSYKKTYKKLIILLRSLYSMTRLLPAYKAFRKLSSSQSCDFDLNYKVSSFTVPFSRAEEPLIKHYSFIPVDAQHGCFSLSLTYRENLSDFNLETSACFPPEIITDYVGSPLADPMRSFPSTSSEKGVRATSYPLRRSQTSTSGPFQRPHSWTSGLHKGPSLAQNEQSLESPPLYRSPYDLSSPPVNVHGRRISNYRVPTHHRTTSSEDYQLSPPFSPFSASPSPSLSPPTHVSHGNAALSRLSSETSPVNIPHPMTSRNSGFPSPNFSDPNRHSLPPQSPRSIKHDSSHHEFPTGIRSLKKSDSTRAGESSSGTANVGLKVLRDAKDDSGRFSGLHSSSGSPRIEFSRSSSRLSFPDDLDDCDFSCPFVVDDVDSQASLLWIKLLICEK >itb12g27210.t2 pep chromosome:ASM357664v1:12:27723526:27726939:1 gene:itb12g27210 transcript:itb12g27210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGNPQGEYGRFEQILSQFLLKSLHIILDSRVPSIRSYGHTREVKKSDKWFNMVLGDRPAALDNLNFWHRNLMEPMIIDIILVQDKANSSAKQYSGTTLGDTFTETVIERWVVQYEHPRSLPPQVGDSSYKKTYKKLIILLRSLYSMTRLLPAYKAFRKLSSSQSCDFDLNYKVSSFTVPFSRAEEPLIKHYSFIPVDAQHGCFSLSLTYRENLSDFNLETSACFPPEIITDYVGSPLADPMRSFPSTSSEKGVRATSYPLRRSQTSTSGPFQRPHSWTSGLHKGPSLAQNEQSLESPPLYRSPYDLSSPPVNVHGRRISNYRVPTHHRTTSSEDYQLSPPFSPFSASPSPSLSPPTHVSHGNAALSRLSSETSPVNIPHPMTSRNSGFPSPNFSDPNRHSLPPQSPRSIKHDSSHHEFPTGIRSLKKSDSTRAGESSSGTANVGLKVLRDAKDDSGRFSGLHSSSGSPRIEFSRSSSRLSFPDDLDDCDFSCPFVVDDVDSQASQNLDAKKDSESPSQTVLGTRKSQDAAVGALIQMLKTAPPLCQDSSCYSPCSVKNDPEGEIGTSSGFSFPRKISDAMEDLRAYKELKDLLLSKSATQSVRKAD >itb12g27210.t3 pep chromosome:ASM357664v1:12:27723526:27726939:1 gene:itb12g27210 transcript:itb12g27210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHGNPQGEYGRFEQILSQFLLKSLHIILDSRVPSIRSYGHTREVKKSDKWFNMVLGDRPAALDNLNFWHRNLMEPMIIDIILVQDKANSSAKQYSGTTLGDTFTETVIERWVVQYEHPRSLPPQVGDSSYKKTYKKLIILLRSLYSMTRLLPAYKAFRKLSSSQSCDFDLNYKVSSFTVPFSRAEEPLIKHYSFIPVDAQHGCFSLSLTYRENLSDFNLETSACFPPEIITDYVGSPLADPMRSFPSTSSEKGVRATSYPLRRSQTSTSGPFQRPHSWTSGLHKGPSLAQNEQSLESPPLYRSPYDLSSPPVNVHGRRISNYRVPTHHRTTSSEDYQLSPPFSPFSASPSPSLSPPTHVSHGNAALSRLSSETSPVNIPHPMTSRNSGFPSPNFSDPNRHSLPPQSPRSIKHDSSHHEFPTGIRSLKKSDSTRAGESSSGTANVGLKVLRDAKDDSGRFSGLHSSSGSPRIEFSRSSSRLSFPDDLDDCDFSCPFVVDDVDSQASQNLDAKKDSESPSQTVLGTRKSQDAAVGALIQMLKTAPPLCQDSSCYSPCSVKNDPEGEIGTSSGFSFPRKISDAMEDLRAYKELKDLLLSKSATQSVRKAD >itb06g25990.t1 pep chromosome:ASM357664v1:6:27057549:27061460:1 gene:itb06g25990 transcript:itb06g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWFSLPIPFKSPDDEQNHSDPSLPSIFRGVAAFLAPPPPAAGDGGDSAAAESSQAIVGIRNDLVEIGDSFKSSLSLLASNMAASEFSKLASNVLRFKDDEVEGQVDEEDEVEEEDDKIGITDEVVDFVRTISFRPQLWTDFPISLAKDFHISDNQREHVENIEQLVPSLGSLKQKLAHHLTDGQFWMIYFILLLPRLDENDLELLSTPEIVKVREMLLQQLQNKKITESEPTKEPETVQRSLDGEKQLPNTNAAAEPCSNAAPDVKVITDEKSEQQIKDVEDVSFSDLEDDDTDSSDQKPETRVSHSKKASLSSESHEWVQLNESSNDRVTQRIAGQSTSSGKDSEGEESSGWHTVDDADFDSLATI >itb05g20560.t1 pep chromosome:ASM357664v1:5:26607930:26611808:1 gene:itb05g20560 transcript:itb05g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNQNIFSFFFVSLLLFQNANVYTWALSESKVYIVYMGARQHGDVNRITSTHNDMLTSVLGSRKAAVGSMIYSYRHGFSGFAAMMTESQAQTIANLPGVVKVMPNSVYKLQTTRTWDYLGLPYNSANTLLNDSKMGDDIIVAVLDSGVTPENEAYSDKGVGPIPSKWKGYCESGQMFDPKKNCNRKLIGARYFIDGYLAYKGQPGITTGIRDYISPRDADGHGTHTSSTAAGSPVSNVSYKGLSLGTFRGGAPRARLAIYKVGWEDGLFTDADILKGFDTAIHDGVDVISASFGANVPLYSELYPQAGAVSFGSFHAVAQGISVVAAGGNSGPAAQTVSNGEPWIITVAANTPDRAFPTPITLGNGQTLMGQSLFTGKDTGVVNLVAAQGPCESLSTNSRWITGNMVLCFTGMRGSDLEVAGFVGRARAVVRMMRGLGIIVAQKPFTSLDAYDDSFPSVQVDYETGTKILYYIVSIRDPKARLSPSKTQIGKPISSVIAKYSARGPYTINSVILKPDIAAPGTNILAAYVPENPAIPISTFQIISGTSMATPHVAGIVALLKATNPNWSPAAIKSAIVTTAWTCDPSSGQPIFNEGETMSKLADAFDYGGGIINPNKARYPGLIYDMSTADYLQCLCAMGYDSSVVSGLAGQTTACNNGISILNVNFPSITIPNLNGSVTLTRTVTNVGPVNSVYKVMVESPKGITVAVTPSTLNFSPNVKKMSFSVQISTSYGSNTGYYFGSLTWNDGVNNVKIPISVKTMY >itb04g26930.t2 pep chromosome:ASM357664v1:4:31109093:31111113:-1 gene:itb04g26930 transcript:itb04g26930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLDKKIVKKRVKKFKRPQSDRYISVKESWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHNVSTKKRKEIVERASQLDVVVTNKLARLRSQEDE >itb04g26930.t1 pep chromosome:ASM357664v1:4:31109093:31111113:-1 gene:itb04g26930 transcript:itb04g26930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLDKKIVKKRVKKFKRPQSDRYISVKESWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHNVSTKKRKEIVERASQLDVVVTNKLARLRSQEDE >itb03g20170.t2 pep chromosome:ASM357664v1:3:18079347:18083797:-1 gene:itb03g20170 transcript:itb03g20170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFEGTKQYYATCTVVLGYALCSSLLAVINKFAITHFNYPGLLTALQYFTSALGVWVLGKLGLLHHDAFTWETAKKFLPAAFVFFLAIFTNTNLLSHANVDTFIVFRSCTPLLVALADTAFRKQPWPSKLTFLSLVIILGGAIGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMIAPLFWIITGEFTQVLVSMGSGTGNLFNPVAFSAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVVIWDKHASPFGLLCLLFTIAGGVVYQQSVTGVSSAPSQREIKNHANNYADGDEEKGVSGKISGV >itb03g20170.t1 pep chromosome:ASM357664v1:3:18079266:18083813:-1 gene:itb03g20170 transcript:itb03g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFEGTKQYYATCTVVLGYALCSSLLAVINKFAITHFNYPGLLTALQYFTSALGVWVLGKLGLLHHDAFTWETAKKFLPAAFVFFLAIFTNTNLLSHANVDTFIVFRSCTPLLVALADTAFRKQPWPSKLTFLSLVIILGGAIGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMIAPLFWIITGEFTQVLVSMGSGTGNLFNPVAFSAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVVIWDKHASPFGLLCLLFTIAGGVVYQQSVTGVSSAPSQREIKNHANNYADGDEEKGVSGKISGV >itb13g19440.t1 pep chromosome:ASM357664v1:13:26439413:26447664:-1 gene:itb13g19440 transcript:itb13g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSEAPAIVAEHPNSHSFPFIMSSYPITLKFVDVSYRVKLENNGTRGGSLKRMFSAGPTSSDLENSMAQIQERTILSRITGMVSPGEILAILGPSGSGKSTLLNALAGRINGHGFTGQILANNKKFSRPVLKRTGFVTQDDILYPHLTVRETLVFCARLRLPGSLTRGEKTAVADAVIAELGLMKCENTIIGNSFIRGVSGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAHRLVSTLGSLAQKGKTVVTSVHQPSSRVFQMFSSVLVLSEGRCLYFGKANEAMSYFESVGFSPSFPMNPADFLLDLANGVCQVDGVSERERPNVKQTLISSYNTLLAPKVKEALCLETTTPKEAILSNGATHNIPPCNNNNGFSSFLNQLTILLQRGLKERRHETFNSLRVFQVVAAALLAGAMWWHSDYLDVQDRLGLLFFISIFWGVFPSFNAVFAFPTDRAVFLKERASGMYNLPSYFLARVAGDLPMELILPTLFLTILYWMSGLKPDILAFVLTLLVVLGYVVVSQGLGLALGAIIMDAKQASTVVTVTMLAFVLTGGFYVHKVPSCLAWIKYISTTFYSYKLLVFVQYGDGNGVSSLLKCSSSGHGSNRDGCKFVEQDIQGQIHPATSVVILLIMFFGYRLIAYLALRRFNKA >itb08g07970.t1 pep chromosome:ASM357664v1:8:6864362:6867663:1 gene:itb08g07970 transcript:itb08g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLELIKNRDNLHYSGARGTMTEAMAIRLGTGMVYDSLYHKEYTAVLAGVVEHMLNEAPLPLSRRTRFLIVHSLPFASSRAPHRLHPFHSPPAHSSSA >itb05g19720.t1 pep chromosome:ASM357664v1:5:26083927:26088283:-1 gene:itb05g19720 transcript:itb05g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLERKAKDAFIDEYFDLAVDLYSQAIDLSPNNAELFVDRAQANIKLQNFTEAVDDANKAIELDPSMARAYLRKGMACLKLEEYQTAKTALEAGIPLAPESLKPKFHDLIKECDELIAEEAGEQVKVEAATTDVAIPPESESLEKPQDAQPAFNLSYQGQTTVKPKYRHEFYQKPEEVVVTIFAKGIPAKNVAIEFGEQILSVTIDAPDGDAYTFQPRLFGKIIPEKCRYEVMSTKIEIRLAKAGAIHWTSLEFSGDIAVVQQAAVVPSVTQKPSYPSSKPKGKNWDKLEAQMKKEEKEEKLDGDAALNKFFRDIYQDADEDTRRAMMKSFVESNGTVLSTNWKEVGSKKVEGSPPDGMELKKWEY >itb02g17060.t1 pep chromosome:ASM357664v1:2:13060256:13073338:-1 gene:itb02g17060 transcript:itb02g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFAQDTLSQGQHQSQVLDQNHPALNNNLWVGSQGPIGVPSYSTKSYNLQQSVVDTGRGSNSHLNGPHGLNFARSTLRSEIQLQSQQQSLNGYMYGNLYDTRQDEGSFLAADIGSDQRNVASLDSSFCEQSPQGVGPQHQAQPISSGVSESSGNFGLFGGQQQMSRQQSNMLQSLQLQQSGLKDMQQLQQQVMFMKMQELQRQQQLQQQDARHQNSLGQILPFPKVAPGSHSSPLVHNTIHSGALSFPWATDTSNTNWLQGSSSALQVSSNGFVSTNHGQGHHLMGLTPQQIDQSLFGVPVSTSRAGVSQYSQAVAEKPLIQQTVSFNNSFPFRQYAPPPDQIGVQDGAVTSRQRFVGESFFGHAPNQSLNNAINMENLQDVNAIQHGAAAQEFQARQEPAIPSVNTQEKTSKETASSNNEVGLDPTEERILFGSDDNIWAAFGKSPNMREEACNSLDGTGLDNGFPSIQGGTWSALMQSAVAEGSSADVGPQEEWSGLNFHSTVVPPGNQNISQYNSGRQPSSLIDVRMPIASSLNSETGQPSGSNNMKNTYVNLPGSQQLGHKFPNETGHSMQSNSVQRLVQPSEEGNKWPDVGPLQMSGAEGSRMLTNASLPLDTEIDAKRVPSSWMDEVGGPSKTCDRLSDWNGLGSAMPTEDASVNTHSSQNYSKYLGSSQNKGTHGEAVHTGALWKTDPGPSPAVDLELTRSPAGALVNSELLGMKSTDTIAKSSSMSGAEETSRFLSSRNQVKHWKNANTLFKGKESQGLERSQSHIIKDSHILNSLETTSQRGAKTNEIDTCDKQENSNDSYRSNVSHQNSAGSLRENVMLDPTDSRAVATGKEKSNQVGKKNSARKFQYHPMGNLDEDVDPPYGLQKPIHTQAMASQNAHFGHSKFLIQGQSSDVLRDGKVLADLHSQSCFPSSVSNMSAPLTRSLDIPPPVTASPSSPNMLQLLPKVDQSRVFGATMRQNALQIEMPKAESSDGSVGRLYPSQSSSAQVFGLQLGPPSQLTPVQNHILSSQSSMQTVCSSMSHSAVEFGEKAHVASMSHVKSFPPSSETTQGEFANRSGVPTNDNNENIRYEMHGKFSPAFNSGFPYSRSRIQNQQMATGQELKSQSTSNSFKDPPCFTEEDDTSNSSKNPPCFTEEDDSLREQSGGGLSAKNFSHEVAGNPSSLGTAKQTSIINSHETPQKSVDNSLPVSQHPSISGMSQQASLSKTMNNTWGTSQANPFGVLPSGEPSSISRLHQLNIVGTSSAPENQGDQDAIRGGNFSSEVCANSVNSSGKAPSEEQHLKESTSQQLSLRNIDSVQKVNKAQEEHIIKNLSDVYPVSSSSMQRDIEAFGRTLKPSNFSHQNYSLPNQVRAMKNVEIEQSNNNALKRSRVPDDGPGGQQMSHEAVPSVDSRMPNFTGPDHLERNIEGNVPTLDMHAIHRDDSQANALCNSASSVKFEHTQISPQMAPTWFSQYGNGTFKNGQVLAMHDAQRAASMKMGEPPLILGKPSSSLHIFNSKQQLIPANNDISQQAKPSMHPTPTAAEHFPSSQSLSVSISDQHLLLRPKKRRRVTADLSPWCKEVSESLQSLQTISMGERDWAKAANRVTEKVEEDVDFNENCPSRVRARRRLILTTQLMQQLFRPPPMTILSANSSVEYEAFAYSASRLALGDACGVVSRPNSDHSMAAEGRELLSRKCTTSEDSDHQHFSKVVEEFTERARKLEEEFLKLDKRVSLVDLIVENQDLEKFSVINRFAKFYGRGQADGADTSTSSDAAAHPYKAFAQRYVTAFPMPRNVPSGVQCFSL >itb02g17060.t2 pep chromosome:ASM357664v1:2:13060934:13070928:-1 gene:itb02g17060 transcript:itb02g17060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRVHNFFAQDTLSQGQHQSQVLDQNHPALNNNLWVGSQGPIGVPSYSTKSYNLQQSVDTGRGSNSHLNGPHGLNFARSTLRSEIQLQSQQQSLNGYMYGNLYDTRQDEGSFLAADIGSDQRNVASLDSSFCEQSPQGVGPQHQAQPISSGVSESSGNFGLFGGQQQMSRQQSNMLQSLQLQQSGLKDMQQLQQQVMFMKMQELQRQQQLQQQDARHQNSLGQILPFPKVAPGSHSSPLVHNTIHSGALSFPWATDTSNTNWLQGSSSALQVSSNGFVSTNHGQGHHLMGLTPQQIDQSLFGVPVSTSRAGVSQYSQAVAEKPLIQQTVSFNNSFPFRQYAPPPDQIGVQDGAVTSRQRFVGESFFGHAPNQSLNNAINMENLQDVNAIQHGAAAQEFQARQEPAIPSVNTQEKTSKETASSNNEVGLDPTEERILFGSDDNIWAAFGKSPNMREEACNSLDGTGLDNGFPSIQGGTWSALMQSAVAEGSSADVGPQEEWSGLNFHSTVVPPGNQNISQYNSGRQPSSLIDVRMPIASSLNSETGQPSGSNNMKNTYVNLPGSQQLGHKFPNETGHSMQSNSVQRLVQPSEEGNKWPDVGPLQMSGAEGSRMLTNASLPLDTEIDAKRVPSSWMDEVGGPSKTCDRLSDWNGLGSAMPTEDASVNTHSSQNYSKYLGSSQNKGTHGEAVHTGALWKTDPGPSPAVDLELTRSPAGALVNSELLGMKSTDTIAKSSSMSGAEETSRFLSSRNQVKHWKNANTLFKGKESQGLERSQSHIIKDSHILNSLETTSQRGAKTNEIDTCDKQENSNDSYRSNVSHQNSAGSLRENVMLDPTDSRAVATGKEKSNQVGKKNSARKFQYHPMGNLDEDVDPPYGLQKPIHTQAMASQNAHFGHSKFLIQGQSSDVLRDGKVLADLHSQSCFPSSVSNMSAPLTRSLDIPPPVTASPSSPNMLQLLPKVDQSRVFGATMRQNALQIEMPKAESSDGSVGRLYPSQSSSAQVFGLQLGPPSQLTPVQNHILSSQSSMQTVCSSMSHSAVEFGEKAHVASMSHVKSFPPSSETTQGEFANRSGVPTNDNNENIRYEMHGKFSPAFNSGFPYSRSRIQNQQMATGQELKSQSTSNSFKDPPCFTEEDDTSNSSKNPPCFTEEDDSLREQSGGGLSAKNFSHEVAGNPSSLGTAKQTSIINSHETPQKSVDNSLPVSQHPSISGMSQQASLSKTMNNTWGTSQANPFGVLPSGEPSSISRLHQLNIVGTSSAPENQGDQDAIRGGNFSSEVCANSVNSSGKAPSEEQHLKESTSQQLSLRNIDSVQKVNKAQEEHIIKNLSDVYPVSSSSMQRDIEAFGRTLKPSNFSHQNYSLPNQVRAMKNVEIEQSNNNALKRSRVPDDGPGGQQMSHEAVPSVDSRMPNFTGPDHLERNIEGNVPTLDMHAIHRDDSQANALCNSASSVKFEHTQISPQMAPTWFSQYGNGTFKNGQVLAMHDAQRAASMKMGEPPLILGKPSSSLHIFNSKQQLIPANNDISQQAKPSMHPTPTAAEHFPSSQSLSVSISDQHLLLRPKKRRRVTADLSPWCKEVSESLQSLQTISMGERDWAKAANRVTEKVEEDVDFNENCPSRVRARRRLILTTQLMQQLFRPPPMTILSANSSVEYEAFAYSASRLALGDACGVVSRPNSDHSMAAEGRELLSRKCTTSEDSDHQHFSKVVEEFTERARKLEEEFLKLDKRVSLVDLIVENQDLEKFSVINRFAKFYGRGQADGADTSTSSDAAAHPYKAFAQRYVTAFPMPRNVPSGVQCFSLMGERDWAKAANRVTEKVEEDVDFNENCPSRVRARRRLILTTQLMQQLFRPPPMTILSANSSVEYEAFAYSASRLALGDACGVVSRPNSDHSMAAEGRELLSRKCTTSEDSDHQHFSKVVEEFTERARKLEEEFLKLDKRVSLVDLIVENQDLEKFSVINRFAKFYGRGQADGADTSTSSDAAAHPYKAFAQRYVTAFPMPRNVPSGVQCFSL >itb08g05620.t1 pep chromosome:ASM357664v1:8:4631257:4634909:-1 gene:itb08g05620 transcript:itb08g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSPAGSINRYVGIWYNQISVQIVIWIANRETALTSSSAVLKIVQPGRLVLIDGSNNSTIWSTNASKSVQNPVAQLLDSGNLVVRDAEDESPENFIWQSFDYPTDTYFPGMKLGWNFETGHEVYVTARKTDDDPASGQFTLHLDPSGYPQIVIKNGSKEIYKTGPWNGLQLSGTLGINPDNPHYKFKVYMSPTEVYARYDIFNNWIITRIVLASSGVFECIPWVKQAQSWASYIKYPSDVCDSYGICGANGICSMANSPVCGCLEKFTKSNNTEGEWVDWSDGCQRRKPLKCKNGTDGFNKYSGIKLPDTKNSWFNTTMNLKECEGVLEDLQEIAVKRLSKTSTQGVEEFKNEVVYIAKLQHRNLVKLLGCCIQGEEKILVYEYMANKSLDTFIFDEAKSKLLDWPERLSIINGIARGLMYLHQDSRLRIIHRDLKANNVLLDNKMNSKISDFGLARSLGGGDASRANTNRIWIHISGICNERSVFSKIRYV >itb12g08940.t1 pep chromosome:ASM357664v1:12:6993699:6997676:-1 gene:itb12g08940 transcript:itb12g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSQTNPNFSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLSNFLQNPSDLERLYCEVHLLKTLKHKNIMKLYTYWVDTANRNINFVTEMFTSGSLKQYRLKHRRVNIRAVKDWCRQILNGLLYLHSHDPPIIHRDLKCDNIFVNGNKGQVKIGDLGLAAILQKSQTCHCVGTPEFMAPEVYEEDYNELVDIYSFGMCVLEMVTFEYPYSECTHPAQIYKKVMSGKKPDAFYKVKDPEVRRFVEKCLETVSFRLSARELLDDPFLQLDDCESDISPIVCRRGQDYMDPLLRQPNFEPDYEGKSFSTSCSDYVFVEENALVCSPDEFRSGIELFEYNNVEEHEHSLNLDITIKGKRREDGSIFLRLRIAEGGGHARNIYFPFDVEYDTALTVAAEMVAELDIDGQDVTKIADMIDGEISKLMSDCKPGLGIEEPTHCTSSTLSFSFASDHTSAAPSMKFFSNNRTAMSSQFFQCSGCGTNGRFEEITDLANSPEVNDPNLADCSASLLLHQCSGCGACGRFNKITYLASSPEANDSNLANDPALLILNQCNGHIPHGQFVGITDQANICEAHDSNHASGPASSNQINGFYNTDYWDQQGFDHSSQCSEGCHSVEQLEQLYQEISAEVAKEAGIRSKVSAGARNLVQSFLGFCSLASIPMMQLTSHMGVNRRFCMGSDGLALSSTRRS >itb12g12780.t1 pep chromosome:ASM357664v1:12:11451838:11455095:1 gene:itb12g12780 transcript:itb12g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPSDTSHSDGLKNIGSASSIPELEGFDFSRLPDGPRNLNIERQSSWDERSLTSPLPPSIPDFIYRSAEHFDSAFSPKRSGYTSPMSPFGYETHPMFADAWENLRRSLVYFRGQPVGTIAALDNSDEKLNYDQVFVRDFVPSALAFLMNGETEIVKNFILKTIRLQSWEKKIDRFQMGEGVMPASFKVLHDPVRDSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMHLILEICLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDTEGKAFMERIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNIMPDSLPEWIFDFMPINGGYFIGNVGPSRMDFRWFCLGNCIAILSSLATPEQATKIMDLIESRWHELVGEMPLKVCYPAIEGHEWRIITGCDPKNTRWSYHNGGSWPMLLWLLTAACIKTGRPQIARRAIELIETRLSKDAWPEYYDGKLGRFIGKQARKYQTWSIAGYLVSKMMLEDPSHLGMIAIEEDKQMKPVLKRSTSF >itb01g22160.t1 pep chromosome:ASM357664v1:1:28106673:28108897:-1 gene:itb01g22160 transcript:itb01g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGCMFIVLTAAVHLLAFAECYSIGGRKFDPLESVLKTQKQKRQNNNNAQTMSGQDLGTEDEYSPVYIGAQDGLKDADKISALPGQPEGVKFAQFSGYVTVDPQAGRALFYYFAESETPSDKPLVLWLNGGPGCSSFGNGGMMENGPFRVNSDSKTLWLNQYAWNNVANVIFLESPAGVGFSYSNRTSDYVTGDKKTAADNYIFLLNWLERFPEYKTRDFFITGESYAGHYVPQLAQLILRNNKITNQTIINLKGIAIGNAYIDEETQDSGTYDFYWTHALISDQTHRGIIANCNFSSAASPSDTCDTFLDDANRGIGNIYSYNIYAPFCSSGSSSSSSSVQGYRGFDPCSKDYIYAYLNTPEVQKALHANVTGIPGPWDSCSLEVNVNWEDMPDTVLPTIKKLMGSGISVWLYSGDIDSRVAVTTTAYAIKKLTTSVKTPWYPWYSKGEVGGYVEGYENLSFVTIRGAGHFVPSYQPERALTFFSAFVGGKLPPKSAE >itb05g16570.t1 pep chromosome:ASM357664v1:5:23748885:23751090:-1 gene:itb05g16570 transcript:itb05g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEATFIKHFANGNRRKGMSVLRPHVKREKHRITFFLGLFSGCSIALIAAIIVLVRVRNLLQHKESDQFMENIFPLYSLFGFIVLHMLIYAGDIYFWRRYRINYPFIFGFKQGSELGYREVLLLASGIFVLALAAVLSHLDIEMDPVTRSYNVLTESVPLILISVLLIITFCPLNIVYRSSRFFLIRCAWHCICAPLFKVTLPDFFLADQLTSQVQAIRSFEFYICYYGWGNSRERLKKCQESDVYEIFYIIVAAIPFVVRLLQCLRRLFEEKDNSQGLNGLKYFTTVAALVLRTLFELKNGTTLTVLATTFSGITTIANTYWDIVIDWGLLQRNSKNPWLRDKLLVPNKAVYFVAIVVNIILRLVWMQMILGFKVPFLHRKAMTAVVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNHEVDRSM >itb15g03250.t1 pep chromosome:ASM357664v1:15:2091755:2093591:-1 gene:itb15g03250 transcript:itb15g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIPSCLPILPLSLILFLSLLSLFPAAAAGERCNPYDKKVLLKIKSDLGNPYHFASWDPKTDCCEWYVVECDEITNRIITLNVITADFAGQIPASVGDLTYLKTLIFHKVAKLTGNIPASVTKLTHLTFLEVSWTNVSGAVPAFLGQMKNLTYLNLSFNNFSGSIPESLGELKKLEALHLDRNKLTGTIPESFGKFPTAPDLYLSHNQLTGKLPASFAKLDFVRIDLSRNKLEGDASILFGKKKTAQIIDLSRNAFQFDFSKVKGFSKSLIWLDLNHNKIAGSLPAALTKIENLQQFNVSYNRLCGEIPQGGTGRLQDRFDKYAYLHNKCLCGPPLPAC >itb07g06060.t1 pep chromosome:ASM357664v1:7:4214563:4219283:1 gene:itb07g06060 transcript:itb07g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALPSSAQSSLVRLKTRVSLSAPQPPKPLAFPSLKPPVSRIAIRRNVTGAPPAVSKKSSAFSVEASSEKAKQRKNPIVVIDNYDSFTYNLCQYMGELGCDFEVYRNDDLTVDELKKKNPRGILISPGPGAPQDSGISLQTVLELGPIVPLFGVCMGLQCIGEAFGGKVVRSPYGVMHGKSSLVYYNEGGEDGLFTGLPNPFTAGRYHSLVIDKDSFPKDELEITAWTEDGLVMAARHKVYRHLQGVQFHPESIITNEGKTIVQNFIKLVEKKEKAESQHQS >itb05g23270.t1 pep chromosome:ASM357664v1:5:28430030:28432176:1 gene:itb05g23270 transcript:itb05g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLLQSKELYEYLLRTSVYPRESELLKEMRDITAKHPRAAMGTAPDSGPMVAMLLNLLNAKNTLEIGVFTGYSLLLTALTIPYDGRITAIDRDREAYDMGLPVIKKAGVEHKINFIESLALPVLDKLLEDRNNESSFDFAYVDADKMNYKNYHERVLKLLKVGGVVVYDNTLWCGTVAMPEKSVPEDRLRNWGPIVELNKRLAADTRVQIVQIPLGDGMTVCRRVV >itb09g13490.t1 pep chromosome:ASM357664v1:9:8796156:8798967:-1 gene:itb09g13490 transcript:itb09g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:Projected from Arabidopsis thaliana (AT3G11980) UniProtKB/TrEMBL;Acc:A0A178VDD9] MDTFYLSSSSSSSFLPRTRRLCFVVSKHRLCFPVRIKNMVYCQGGGSNMSSVVGRAVDQGSKAALMEAGSLLLAPNGTAAATTAAENVGVKELVSYVEKHEDGIGIVRFLRGKSFLITGGTGFLGKVLIEKILRTVPDVHKIYILIKADNKEVAMDRLKKEIISAELFKFLKQTYGKSYLVFMLSKLVPVVGNVCESGLGIDDHTAEVIAKEVDIIVNSAANTTFDERYDVALDINTGGPNRLMKFAHQCHKLKLFLHVSTAYVNGQRQGRIIEKPFCIGESIAGESVVNGNQETSVPKLNVEDEMEMVLSAKQGLQDGEMAQKMKELGLERANKFGWQDTYVFTKAMGEMMIDNMRGNIPVVIVRPSVIESTHKEPFPGWMEGNRMMDPIILHYGKGQLSGFLVDPNGVLDVVPADMVVNATLAAMAKHGAGGKPGTSIYHIASSVVNPLVFNDLARLLYEHFQSKPYIDSKGKPIDVPRMKLFSSMEALSSHLWRDAIDRSGLTALGDSKGKLSTKLENICRKSVEQAKYLASIYEPYTFYGGRFDNSNAQKLMECMSNEERWQFGFDVESIDWKDYISNVHIPGLRRHVMKGRGSCS >itb07g16940.t1 pep chromosome:ASM357664v1:7:20870407:20871639:-1 gene:itb07g16940 transcript:itb07g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTRTASKTSSSSSKFACSSFKDIYTLCAADDPTTQIHSYFKKPNIFHRVFRANAVLSAFKALPGAETKPNAVPSPLGQTEGSEPSIFLPGTESQIVVYFTSLRVVRRTFEDCKAVRLTLRSLRVSVDERDVSMDGRFMEELQRVMGVREKTKLTLPRVFIGGRYVGGAKEIRQLHETGQLKKMVRGLAPAIPDACQVCGGHRFVLCDECDGSHKCYRGKGGFRTCTACNENGLIRCPSCSCTPPASVPGPVESLKLDY >itb11g22480.t1 pep chromosome:ASM357664v1:11:24425406:24430117:-1 gene:itb11g22480 transcript:itb11g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTRTKVANHVVIIASVGLLMLTLFPTMVRGECTCESDEEDRDKSLALKYKLGAIASILVASAIGVSFPVLGKSAVPALSPERNLFFIIKAFAAGVILSTGFIHVLPDAFESLTSPCLKENPWGKFPFSGFVAMVAAIATLIVDTCATSYFSSKSAAGAGAGKINSNDDNHGGTPSVENEEAALHVHAHGHVHAHVTSAEVDSSSELLRHRVISQVLELGIIVHSVIIGIAVGASESPQTIRPLVAALTFHQFFEGMGLGGCIAQAKFKTRAVALMAFFFSLTTPIGIAIGLGITNVYDENSPTALIVEGILNSASAGILIYMALVDLLAADFMNPRMQNNGKLQLGANVSLLLGAGCMSLLAKWA >itb12g18440.t1 pep chromosome:ASM357664v1:12:20694306:20700432:-1 gene:itb12g18440 transcript:itb12g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIYLHTLLLFGFLVLLVFCSVDADSQVNTTSNNVLDTTSAESLKSNDTVTEELGRINSGNEKIVDLGKDNSSKQVDSKGAEKDVGANVRSSGSKDDKKVDIKDSGYHASEKKGEQKVKAIGGSGSESGNEVTLSSGRKDGIRGEECDSSFSCNIEEESLVACLRVPGNESPDLSLLIQNKGKGPVSVSISAPKYVQLEMRQIELKEKENQKIKVSFRDSGSENFITLKAGNGKCNLHFRDLIEHSTDKEADYVSQFNYFTLSSFGMIFLVALLLCASVWTFITYRKKHLAKTGGKYQRLDMELPVSNGAKIEADANDGWDNSWDDNWDDDEEAPKTPAMPITPSRSSKGIAPRRSNKEGWKD >itb07g20360.t1 pep chromosome:ASM357664v1:7:24742449:24743294:1 gene:itb07g20360 transcript:itb07g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAESKSTGGRGKPKASKSVTRSSKAGLQFPVGRVARYLKKGRYAQRVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEEFSKLLGSVTIANGGVLPNINQCLLPKKNAKGKAEIGSMSQEF >itb05g12710.t1 pep chromosome:ASM357664v1:5:19377918:19378268:1 gene:itb05g12710 transcript:itb05g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKMENTTTILKFNQKTTLLLEGLKVELKKTTGNTKSSGINPNAPITAFMSPKNGSIAAIVVATTTDNDRDITLGITFLLENSPLFGSPNILSNISFVGCKYTFHSQNIEKSIPA >itb12g27050.t1 pep chromosome:ASM357664v1:12:27653092:27654857:1 gene:itb12g27050 transcript:itb12g27050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPVVEEVDTEKKLLNDDEPEPVVEDVKEEDDHDDEDSDEDDGDKEDGPLGGNESSKQSRSEKKSRKAMLKLGMKPVPDVSRVTIKRTKNILFFISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQSQAAQQFRMPDMGSVLPKPEISASAASAQPDEEEEEIDETGVEPRDIDLVMTQAGVSRSKAVGALKTHNGDIVSAIMELTT >itb01g13650.t1 pep chromosome:ASM357664v1:1:14551366:14553872:-1 gene:itb01g13650 transcript:itb01g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKTARNLGNHLCEILWYGFSLGVEFEMGGCKAPITTFIVEPFVPHAEEYYLSIVSERLGKLRELTSSRVRKREGCRDFGATAEVGTMATGGFLGGGGGSDDDMELCRLVVPEWETASTT >itb08g11070.t2 pep chromosome:ASM357664v1:8:10837923:10858345:1 gene:itb08g11070 transcript:itb08g11070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDMHDANDIESVDEDFYSDGDGYGYGDSAMDSDAGLADYDFLGNESDDGEELALRRSQRNYTVLKEEDIRQRQEDDITRISTVLSISREAACILLRRYNWSVNKVHEEWFADEERVRKIVGLLEKPIQLTNAKEVVCGICFDNCPLDSTVSAACGHPFCTTCWKAYISTSINDGPGCLMLRCPDPSCGAAVCQDMIDMLASNEDREKYYRYLLRSYIEGNRKTKWCPAPGCDSAIEYVLGSGSYDVICSCTYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKHEGVFDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLLQMQNVHLEKLSETQCQPESQLKFIIEAWQQIVECRRVLKWTYAYGFYLPENELAKRQFFEYLQGIYV >itb08g11070.t1 pep chromosome:ASM357664v1:8:10837923:10858345:1 gene:itb08g11070 transcript:itb08g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDMHDANDIESVDEDFYSDGDGYGYGDSAMDSDAGLADYDFLGNESDDGEELALRRSQRNYTVLKEEDIRQRQEDDITRISTVLSISREAACILLRRYNWSVNKVHEEWFADEERVRKIVGLLEKPIQLTNAKEVVCGICFDNCPLDSTVSAACGHPFCTTCWKAYISTSINDGPGCLMLRCPDPSCGAAVCQDMIDMLASNEDREKYYRYLLRSYIEGNRKTKWCPAPGCDSAIEYVLGSGSYDVICSCTYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKHEGVFDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLLQMQNVHLEKLSETQCQPESQLKFIIEAWQQIVECRRVLKWTYAYGFYLPENELAKRQFFEYLQGEAEAGLERLHQCAEKELQSYLNAEGPSNDFNDFRTKLAGLTSVTRNYFENLVRALENGLTDVDSRGACSKVMSSRNAAGSSKVKGGARGKGSGSSKNSDDSGGWACDQCTYLNPWSASTCQMCHHRRP >itb10g13900.t1 pep chromosome:ASM357664v1:10:20204141:20211278:1 gene:itb10g13900 transcript:itb10g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLMKLLADNTPRAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGETTSHLVGMFSRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSRREDATAGLAAAEESGNAEDIEKFSKRTVKVTQQHNSDCKKLLTLMGVPVVEAPSEAEAQCAALCKSDQVYGVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEIEVGKVLEDLELTMDQFIDLCILCGCDYCDSIRGIGPQTALKLVRQHGSLESILENINKERYQIPDEWPYQEARRLFKEPLVLTGDDQPEIKWGPPDEEGLIEFLVDQNGFNKDRVTKAIEKIKAAKNKSSQGRLESFFKPVANTSAPAKRKGTKCVLVSPIPVTKFRMFSVQASFMRTQPRAFCSLIPPSLDMSSNYSMPFIRGVCYSI >itb10g13900.t3 pep chromosome:ASM357664v1:10:20204292:20209399:1 gene:itb10g13900 transcript:itb10g13900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLMKLLADNTPRAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGETTSHLVGMFSRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSRREDATAGLAAAEESGNAEDIEKFSKRTVKVTQQHNSDCKKLLTLMGVPVVEAPSEAEAQCAALCKSDQVYGVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEIEVGKVLEDLELTMDQFIDLCILCGCDYCDSIRGIGPQTALKLVRQHGSLESILENINKERYQIPDEWPYQEARRLFKEPLVLTGDDQPEIKWGPPDEEGLIEFLVDQNGFNKDRVTKAIEKIKAAKNKSSQGRYGLLINRTKFLTFVLSLKFFLPLDQVRIILQTSC >itb10g13900.t2 pep chromosome:ASM357664v1:10:20204141:20211278:1 gene:itb10g13900 transcript:itb10g13900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLMKLLADNTPRAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGETTSHLVGMFSRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSRREDATAGLAAAEESGNAEDIEKFSKRTVKVTQQHNSDCKKLLTLMGVPVVEAPSEAEAQCAALCKSDQVYGVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEIEVGKVLEDLELTMDQFIDLCILCGCDYCDSIRGIGPQTALKLVRQHGSLESILENINKERYQIPDEWPYQEARRLFKEPLVLTGDDQPEIKWGPPDEEGLIEFLVDQNGFNKDRVTKAIEKIKAAKNKSSQGRLESFFKPVANTSAPAKRKETTGNAEKGAANKKSKTGGGRKKK >itb05g24960.t1 pep chromosome:ASM357664v1:5:29602272:29607141:-1 gene:itb05g24960 transcript:itb05g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQVTITLGRSGQRVVKHPSGYDGASGSGQRLSGSKRSLAEPFVSNANGYLLTKRIKGDARTQGSRYIGPVGARIGNNDLRLKLLRKRMSKQIVETEERKKKQMQAKMSGIIRSTQQYERIRSTDTPLPRRVPLDLAASDGLRTRSPNRVLKDSREVASSRNINEFQRVPLTRPVDATRTRLMGSDPANPSRSNFPAPAMLGVANGSVPRSSYPDQEPVTVTGLLNALGLGKYAIHFQAEEVDMAALKQMGDRDLKELGIPMGPRKKISLAIMAYNKKPKPT >itb09g22110.t1 pep chromosome:ASM357664v1:9:20379556:20381733:1 gene:itb09g22110 transcript:itb09g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQEPIQLPVLDISQPLNPHSLSALASACKDWGFFHVSNHGISKHLYEKIHSLSNNLFNLPPDTKLKLGPFASLRTYTPHFIASPFFESLKVSGPDFLSSAQTSVDVLLGENHTEFSLVMKEYGSKMTELSRLIMKTVLMSLGESFEKKYYDSEFSNCHGYLRINNYTPPESLEGEVEGLGMHTDMSCITIAYQDEVGGLQVRSKDDGKWVDIIPREGTLVVNIGDMLQAWSNERFRSSEHRVVLKKLVNRFSLAFFWCFEDEKVISAPDEVVGKGNTRFYSPFVCSQYVKFRENDEKGKFEKIGFTVKDYAGLMMDFLT >itb13g06620.t1 pep chromosome:ASM357664v1:13:7978269:7981886:1 gene:itb13g06620 transcript:itb13g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASSSGGLRAALSYCVQQVRNYDYHNYLCLLELPPNMRKAAFALCAFNVETSRAMDVSSNPKIGLMRLLWWQEALDKLYANKSIEHPVAQALASVISQNKISKSWLKRSVEARINDARREHTDVPKTVEELERYAEDTASTILYSTLQAGGIRSTVADHAASHIGKANGLLLLLRSLPYHANRNRHHIYIPADVAEKHGLLVHQSGQSEIRLDSHESMCDAVFDMASVANVHLQKARELRGKVPAEARPVLLPAVPAQVMLDSLSRVQFDVFDPRLTRGILGAPPLLFQLKLKWYSWRGTY >itb13g22520.t1 pep chromosome:ASM357664v1:13:28826435:28827053:-1 gene:itb13g22520 transcript:itb13g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTB5-D [Source:Projected from Arabidopsis thaliana (AT2G32720) UniProtKB/TrEMBL;Acc:A0A178VXM5] MGAGEKRLLVSCNEVSLHNTPKDCWVIINAKAYNVTNFLNEHPGGEDVLLAAAGKDASEEFEDAGHGSAARLMLDEFYVGEVDTDTVAAAAYVPPEQPKDGGPGESSRRINFELLRFLLPLTVLGLGVAVHFWAT >itb03g00900.t1 pep chromosome:ASM357664v1:3:484318:487504:-1 gene:itb03g00900 transcript:itb03g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 [Source:Projected from Arabidopsis thaliana (AT5G40200) UniProtKB/Swiss-Prot;Acc:Q9FL12] MVREKRKSVPKPRNVAPESAGDGDALTAVEEEAVLPELAETTTSEPSTRRRGRGLGRGRGRDGRTKKVDAEEELVDVNVTFAVGEAAPWMHAVVKVYCVHTEPNFSLPWQRKRQYRTSSSGFIIGGKRVLTTAHSVEHHTQVKLKQRGSDTKFLATVLAIGIECDIALLTVNDDEFWEEIAIADLGELPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYAHGSTELLGLQIDAAINSGYSGAPAFNKIGKCVGIAFQSLKHEDVENIGYLIPTPVIKHFLEDYKKNGAYTGFPALGIEWQKMENPDHRMSVGMKSNQKGIRIRRVEPTASEYNLLKPSDVILSFDGVDISNDGTVPFMDGERIGFSYLISQKFTGDDATVKVLRDSKTLEFNIKLDTLKKLIPAHTKGKPPSYYIVGGFVFSAVSVPYLCSEYGKDCESDSPVKLLDKLLYAMAQSVDEQLVVVSQVLVDDINTGYEDIVNTQVIAFNGKPVENLKNLANMVETCEEKYLKFELDYDLVVVLQTKTAKAATSDILATHRIPAAMSDDLKA >itb02g21160.t2 pep chromosome:ASM357664v1:2:19711077:19713565:1 gene:itb02g21160 transcript:itb02g21160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLAITAIVTVVYQFIFFIVTALLKFDKVTDFAGSTNFVILAILTLALKGSWHFRQVILSVLVVIWGLRLGLFLLMRIMQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVVNASDDQPSLQARDIIGWLMWVFGIIVEVTADQQKLAFKNSPENRGKWCNVGVWKYSRHPNYFGEIVLWWGIFVASTPVLKGAEWLVVFSPVFITLLLLFVSGLPLLEESADKKYGNLPEYLSYKRETSPIILLPPAVYGNLPQWFKRVFLFEFPLYNRNLPQELS >itb02g21160.t4 pep chromosome:ASM357664v1:2:19711005:19713609:1 gene:itb02g21160 transcript:itb02g21160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVVNASDDQPSLQARDIIGWLMWVFGIIVEVTADQQKLAFKNSPENRGKWCNVGVWKYSRHPNYFGEIVLWWGIFVASTPVLKGAEWLVVFSPVFITLLLLFVSGLPLLEESADKKYGNLPEYLSYKRETSPIILLPPAVYGNLPQWFKRVFLFEFPLYNRNLPQELSCCRTSYGGNDAEMKMS >itb02g21160.t1 pep chromosome:ASM357664v1:2:19711005:19713609:1 gene:itb02g21160 transcript:itb02g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLAITAIVTVVYQFIFFIVTALLKFDKVTDFAGSTNFVILAILTLALKGSWHFRQVILSVLVVIWGLRLGLFLLMRIMQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVVNASDDQPSLQARDIIGWLMWVFGIIVEVTADQQKLAFKNSPENRGKWCNVGVWKYSRHPNYFGEIVLWWGIFVASTPVLKGAEWLVVFSPVFITLLLLFVSGLPLLEESADKKYGNLPEYLSYKRETSPIILLPPAVYGNLPQWFKRVFLFEFPLYNRNLPQELSCCRTSYGGNDAEMKMS >itb02g21160.t3 pep chromosome:ASM357664v1:2:19711005:19712665:1 gene:itb02g21160 transcript:itb02g21160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLAITAIVTVVYQFIFFIVTALLKFDKVTDFAGSTNFVILAILTLALKGSWHFRQVILSVLVVIWGLRLGLFLLMRIMQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVVNASDDQPSLQARDIIGWLMWVFGIIVEVTADQQKLAFKNSPENRGKWCNVGVWKYSRHPNYFGEVRLDHVFFVVWYRTRLFFPI >itb15g11600.t1 pep chromosome:ASM357664v1:15:9419940:9420908:-1 gene:itb15g11600 transcript:itb15g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDVEFYGMLGFECNPDGSITRQRELRSGVEPTSAVDPSLVLAKDISINQSKSTWARIMLPRKAVDSQPLSKLPLIVYFHGGGFVVCKVDRPGFQDFYRHIATETSAVVISVGYRRAPEHRLPAAYDDCMEALYWIKNSDDEWLTKYVDFSRCFLMGTSAGGNIAYRVGLSASSISTELKPLEIGGLILHHPYFGGKEKTVSELRSVDDKLFPLRLNELMWEAALPVGADRDHVYCNAMVEIRSNPGMFDQVKALGLKIMVSACGGDPLVDRQAEVLNALRDAGVEVVGRIIEGGYHGLEFADPLKAKELCIAIKEFVAS >itb02g06310.t1 pep chromosome:ASM357664v1:2:3935548:3935793:-1 gene:itb02g06310 transcript:itb02g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHFHFYTSFLIALLLFTSPSIIIQAVLPPPVDSSAPSERSSQQAFQPLPAGAPAASRDFEPEKRKIPTGSNPLHNKR >itb08g04400.t2 pep chromosome:ASM357664v1:8:3490781:3496120:1 gene:itb08g04400 transcript:itb08g04400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARNTKENTPSESSSISGVSVPNVQPNSASQMSQHINGNVTTEAQHSAVNSSKSEHLKEDSHGISPEGDYPSPAGMVTTSAKTDDTENPEMPEPHRDERSATLSVSIPSSVLEAKPHTTSATPSVEHDVSPCSSSNSDALKLQHVKINESSESIPSKDSEIKGGYPNSTKNAIHTPASSPQVRHIESENHSLPSDNTGQRLARISTHFIKTPTPIHSKHPENAEKNKIIIDTAAPFRSVKQAVSKFGGIVDWKAHQAQSTERQKFTNQELEKVQQEIPLLKKKFEAAEDAKQSVLKELDSTTHLVEQLKLKLERAQTEEQQARQDSELVKLRVEEMAQGIADESSIAAKAQLEVARARHTAAVSELQTVTAELEKLRKDYAFLLYEKEIALKKAEEAVSSSKEVERTVEDLTIELITLKESLDAAHAAHSEAEERRIGATMATEQDTLQWEKEVKQAEDELAKLNQQAMYALDLKSKLDAANALLHDLRAELTAYMESKLEQEVDNDQNLVGELVEPGKRTHGEIQSAIESSRKELDEVKLNLEKTIAEVNCLKVAATSLKSELDIEKSELSTTRQREGMASIAVASLEAELNRTKCDIALSQMKEKEAREKMLEIPKQLQDAAQEADLAKSLAKTACEELIKVRDEAEQAKAEASTIQSRLSAAEKEIEAAKASEKLAIAAINALQESESVQGTNDEESPTGVTLTLEEYYLLSKQAHQSEEQANRRVAAALAQIDIAKESEVKSLSKLEEVNNEKAETREALELALEKADKAKEGKLAGEQELRQWRADHEQRRKNNEPHPVVNPTKSARSSSEDRKESKASALHHTLSANEHMEVNNPEKSLMQETNSETDSSSAEVKIRKKKKKLFPRFLMFLTKKKSQSKTT >itb08g04400.t1 pep chromosome:ASM357664v1:8:3490801:3496122:1 gene:itb08g04400 transcript:itb08g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARNTKENTPSESSSISGVSVPNVQPNSASQMSQHINGNVTTEAQHSAVNSSKSEHLKEDSHGISPEGDYPSPAGMVTTSAKTDDTENPEMPEPHRDERSATLSVSIPSSVLEAKPHTTSATPSVEHDVSPCSSSNSDALKLQHVKINESSESIPSKDSEIKGGYPNSTKNAIHTPASSPQVRHIESENHSLPSDNTGQRLARISTHFIKTPTPIHSKHPENAEKNKIIIDTAAPFRSVKQAVSKFGGIVDWKAHQAQSTEKFTNQELEKVQQEIPLLKKKFEAAEDAKQSVLKELDSTTHLVEQLKLKLERAQTEEQQARQDSELVKLRVEEMAQGIADESSIAAKAQLEVARARHTAAVSELQTVTAELEKLRKDYAFLLYEKEIALKKAEEAVSSSKEVERTVEDLTIELITLKESLDAAHAAHSEAEERRIGATMATEQDTLQWEKEVKQAEDELAKLNQQAMYALDLKSKLDAANALLHDLRAELTAYMESKLEQEVDNDQNLVGELVEPGKRTHGEIQSAIESSRKELDEVKLNLEKTIAEVNCLKVAATSLKSELDIEKSELSTTRQREGMASIAVASLEAELNRTKCDIALSQMKEKEAREKMLEIPKQLQDAAQEADLAKSLAKTACEELIKVRDEAEQAKAEASTIQSRLSAAEKEIEAAKASEKLAIAAINALQESESVQGTNDEESPTGVTLTLEEYYLLSKQAHQSEEQANRRVAAALAQIDIAKESEVKSLSKLEEVNNEKAETREALELALEKADKAKEGKLAGEQELRQWRADHEQRRKNNEPHPVVNPTKSARSSSEDRKESKASALHHTLSANEHMEVNNPEKSLMQETNSETDSSSAEVKIRKKKKKLFPRFLMFLTKKKSQSKTT >itb11g10800.t1 pep chromosome:ASM357664v1:11:7716619:7718925:1 gene:itb11g10800 transcript:itb11g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESIKNQVDVSLTLAKHVFSTEVKEDSNLVFSPLSINIILGLIAAGSGGPTLDQLLGFLKSKSTDDLNAFSSQIVAVIFSDGSPIGGPRLSVANGAWIDQTLPFKPSFKHVVDTVYKAVSESVDFQLKASEVANEVNLWAEKETGGLIKEILPAGAVDSTTRLVFANALYFKGAWTEKFNALDTTDQEFHLLNGSPVQVPFMSSKKKQYVKAFEGFKVLGLPYKQGEDKRHFSMYFILPDARDGLPSLMDKVTSESGFLERHLPGHAVSVGEFRIPKFKISFGFEASRVLKELGVVSPFAGGLTEMVDSPVGNNLCVSQIFHKTFLEVNEEGTEAAAATGVTIKLRSLLVEEKVDFVADHPFIFFIREDLTGVVVFIGTLLNPLN >itb10g07210.t2 pep chromosome:ASM357664v1:10:8504811:8505965:-1 gene:itb10g07210 transcript:itb10g07210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLKMVSLKGCGIVALPVVCLTLTTLVLHADAARTGAAAAASAPAGGNIYCATAFDKIRCEKVTKTATNWTQAMTMVLSDAVKHNENTIRQSKEEALGDTGCSETYTNIHARLKECLDLVGKADRNDEINFKLAAAVTSLEDCKNALQDLRDDETPFYILNRHFNHALKICLAVDRSRKVE >itb10g07210.t3 pep chromosome:ASM357664v1:10:8498907:8505965:-1 gene:itb10g07210 transcript:itb10g07210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLKMVSLKGCGIVALPVVCLTLTTLVLHADAARTGAAAAASAPAGGNIYCATAFDKIRCEKVTKTATNWTQAMTMVLSDAVKHNENTIRQSKEEALGDTGCSETYTNIHARLKECLDLVGKADRNDEINFKLAAAVTSLEDCKNALQDLRDDETPFYILNRHFNHALKICLAVDRSRKVELKPLLFVWNHKTTTPQHRLTTIVVVSPLHWSRYDRCHLAALQLASHANATAQSSPSFSTVAQVPPQPCHRHTTLAVPVVATPMALY >itb10g07210.t1 pep chromosome:ASM357664v1:10:8504669:8505970:-1 gene:itb10g07210 transcript:itb10g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLKMVSLKGCGIVALPVVCLTLTTLVLHADAARTGAAAAASAPAGGNIYCATAFDKIRCEKVTKTATNWTQAMTMVLSDAVKHNENTIRQSKEEALGDTGCSETYTNIHARLKECLDLVGKADRNDEINFKLAAAVTSLEDCKNALQDLRDDETPFYILNRHFNHALKICLAVDRSRKVE >itb01g22440.t1 pep chromosome:ASM357664v1:1:28345778:28347506:1 gene:itb01g22440 transcript:itb01g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEHGSCSKLPLREIPGSYGLPFFGAIKDRYDFHYNQGIETFFRSRMQTHQSTVYRANVPPGPFMAGDSKAVILVDAVSFQILFDNSRVDKTDFFDGNFMPSTDFFGGYRLCPFLDTTELQHQTLKNFFLSTLAGLHNKFIPIFSLCMSQLFTKLEDGLEGKDGKTYFNDLSDETTFNFMFRLFSDNKDPTETSVGSNGTAYLNKWVFLQLAPLMTLGLKYLPNFLEDLALHTFPLPFFLMKSDYKKIYDAFYTSLGSILDEAEKVGIKRDEACHNFIFLAGFNAYGGMKVFFPSLIKWVGAAGEGLHGRLAAEIRAAVKQEGGVTLSALNKMSLTKSVVYETLRIEPPVPFQVGRAREDIVVDSHESAFLIKKGEVIYGYQPLATRDPKIFERGEEFVGDRFVGDGEKLVKYVYWSNGRETENATAGDKQCPGKDLVVLLGRLMLVEFFLRYDTFSIEYGTILLGPSVTFTSVTKAT >itb14g11620.t1 pep chromosome:ASM357664v1:14:13254060:13263068:1 gene:itb14g11620 transcript:itb14g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKIEEASHNMQSTTMAPTTSAAKISIFANKSGFVIPKNKLSGSMVPVLRGGKKEGSESVNEESIKQVRRKTKWGPDLTQDTTVRKGRALAYQTRVDQIAQQLSSGPMDWEENQDTLTASSIPHYKLNAEKIKSLELEKREAIGEVLKLNPNYKPPAGYKPIQKEGKVPIPIKEHPGYNFIGLIFGHVSDTHKRLEKETGAKVKVYGIKADTGQKVEVTSADGNESCSSYQEMYVQVLADTYEKVDAAVSLIELLVNPVSVKAGSTSMTSSSNGMAATDNPGVVQPIAGSGLAPAHGHFQQYPWFPRSASQNTIATLPGSMSSTDPPAAVVGNPIQVSSLSNPSSAPSLFGPPGMVAGLGSVPQNPSLVSSRPNTPLVSQQPYMPLTPLGQIGAPRNPLITASESTAFHQNMVVPPQISVNQSMATGTSQVFRPPISNQSLPSAGGHTGWSGWNSPVAQGTNMMPMTPPIAAAQGSHPLVSNPRGISVAAPVGFPHGNPSGSAPAGIVNQQSANSLLQSQMRHPLPQHPPSGHPNSTLPPQPAIPNSVTGRPSNFDAMNPLPIGAPRPQQSGSSDFIFQPHRPNSASQVIPRPVSRFDPPNIPLQNQLIQPGHAPQTPSIRPEMLNLNPSHAMPSFAELQVNNRMIQRQPIPVNFAGGPAGPPPPIRPMPFPNSGSPSFAHMHPRNFNPVAPPVNSGSYPPRTGNLMFHQNNPAMMTHPPNFPTSNNEFRPSKPGPGPFGVQQTYDPFSPTSLS >itb14g11620.t2 pep chromosome:ASM357664v1:14:13254060:13263068:1 gene:itb14g11620 transcript:itb14g11620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFILISYFLASGVYLKVEMSIKIEEASHNMQSTTMAPTTSAAKISIFANKSGFVIPKNKLSGSMVPVLRGGKKEGSESVNEESIKQVRRKTKWGPDLTQDTTVRKGRALAYQTRVDQIAQQLSSGPMDWEENQDTLTASSIPHYKLNAEKIKSLELEKREAIGEVLKLNPNYKPPAGYKPIQKEGKVPIPIKEHPGYNFIGLIFGHVSDTHKRLEKETGAKVKVYGIKADTGQKVEVTSADGNESCSSYQEMYVQVLADTYEKVDAAVSLIELLVNPVSVKAGSTSMTSSSNGMAATDNPGVVQPIAGSGLAPAHGHFQQYPWFPRSASQNTIATLPGSMSSTDPPAAVVGNPIQVSSLSNPSSAPSLFGPPGMVAGLGSVPQNPSLVSSRPNTPLVSQQPYMPLTPLGQIGAPRNPLITASESTAFHQNMVVPPQISVNQSMATGTSQVFRPPISNQSLPSAGGHTGWSGWNSPVAQGTNMMPMTPPIAAAQGSHPLVSNPRGISVAAPVGFPHGNPSGSAPAGIVNQQSANSLLQSQMRHPLPQHPPSGHPNSTLPPQPAIPNSVTGRPSNFDAMNPLPIGAPRPQQSGSSDFIFQPHRPNSASQVIPRPVSRFDPPNIPLQNQLIQPGHAPQTPSIRPEMLNLNPSHAMPSFAELQVNNRMIQRQPIPVNFAGGPAGPPPPIRPMPFPNSGSPSFAHMHPRNFNPVAPPVNSGSYPPRTGNLMFHQNNPAMMTHPPNFPTSNNEFRPSKPGPGPFGVQQTYDPFSPTSLS >itb14g11620.t3 pep chromosome:ASM357664v1:14:13254060:13263068:1 gene:itb14g11620 transcript:itb14g11620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEENQDTLTASSIPHYKLNAEKIKSLELEKREAIGEVLKLNPNYKPPAGYKPIQKEGKVPIPIKEHPGYNFIGLIFGHVSDTHKRLEKETGAKVKVYGIKADTGQKVEVTSADGNESCSSYQEMYVQVLADTYEKVDAAVSLIELLVNPVSVKAGSTSMTSSSNGMAATDNPGVVQPIAGSGLAPAHGHFQQYPWFPRSASQNTIATLPGSMSSTDPPAAVVGNPIQVSSLSNPSSAPSLFGPPGMVAGLGSVPQNPSLVSSRPNTPLVSQQPYMPLTPLGQIGAPRNPLITASESTAFHQNMVVPPQISVNQSMATGTSQVFRPPISNQSLPSAGGHTGWSGWNSPVAQGTNMMPMTPPIAAAQGSHPLVSNPRGISVAAPVGFPHGNPSGSAPAGIVNQQSANSLLQSQMRHPLPQHPPSGHPNSTLPPQPAIPNSVTGRPSNFDAMNPLPIGAPRPQQSGSSDFIFQPHRPNSASQVIPRPVSRFDPPNIPLQNQLIQPGHAPQTPSIRPEMLNLNPSHAMPSFAELQVNNRMIQRQPIPVNFAGGPAGPPPPIRPMPFPNSGSPSFAHMHPRNFNPVAPPVNSGSYPPRTGNLMFHQNNPAMMTHPPNFPTSNNEFRPSKPGPGPFGVQQTYDPFSPTSLS >itb13g17380.t1 pep chromosome:ASM357664v1:13:24375464:24379145:-1 gene:itb13g17380 transcript:itb13g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFARLPEACVAEIISFTSPEDAARSSLVSAGFKSAADSDVVWDKFLPSDYQSIISRSLPPIVYATKKDLYFNLCHSPILLDQGKLRFFVDKRSGKKCYMLGATQIISWGDSTSLHMEWTSPTDSRFSEVANIISAIFLDIRARIQTQMLSPATTYAAYLVFRVGTRYHGLEAAKATVRFVRDESDSEAETKASLVHLKSRAYPNYDPMFLRGKIPRWRDDKWMEIEMGEFLSTKGDCGGDEVEVRLFDNRQFYAKCGLIVDGVEFRPK >itb04g34010.t1 pep chromosome:ASM357664v1:4:36068349:36070837:1 gene:itb04g34010 transcript:itb04g34010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EML3 [Source:Projected from Arabidopsis thaliana (AT5G13020) UniProtKB/TrEMBL;Acc:A0A178UIH0] MPGDMEMQIHHIEQEAYTSVLRAFKAQADAITWEQESLITELRKELRVSDEEHRELLSRVNADDIIGKIRQWRKRKASGFQPGMASGGLQPSHDQVPSSTSSSHKKKKKSKASLPLVAPSPACNLSNKPSSSIPKRGLSRTEGKNPQPSMQYPVTGFSGRHQAPPVQTSSGPVGTANFDPLIRRKVLSRTEDENIYEAFITDYNPLEISPDDIQLESDGPRKSSKGSHNGKNQASKKSVVRGGASAGSGRGKGVKKSQLENNIIPTQSGTGKGSMGDIEILHTDTLLEQVEKVCAYYPEPDVIEKSKKVLKEHEQALVDAIGRLEEASDGESVHKTVSGRRT >itb04g34010.t2 pep chromosome:ASM357664v1:4:36068349:36070724:1 gene:itb04g34010 transcript:itb04g34010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EML3 [Source:Projected from Arabidopsis thaliana (AT5G13020) UniProtKB/TrEMBL;Acc:A0A178UIH0] MPGDMEMQIHHIEQEAYTSVLRAFKAQADAITWEQESLITELRKELRVSDEEHRELLSRVNADDIIGKIRQWRKRKASGFQPGMASGGLQPSHDQVPSSTSSSHKKKKKSKASLPLVAPSPACNLSNKPSSSIPKRGLSRTEGKNPQPYPVTGFSGRHQAPPVQTSSGPVGTANFDPLIRRKVLSRTEDENIYEAFITDYNPLEISPDDIQLESDGPRKSSKGSHNGKNQASKKSVVRGGASAGSGRGKGVKKSQLENNIIPTQSGTGKGSMGDIEILHTDTLLEQVEKVCAYYPEPDVIEKSKKVLKEHEQALVDAIGRLEEASDGESVHKTVSGRRT >itb04g27510.t1 pep chromosome:ASM357664v1:4:31497730:31499980:-1 gene:itb04g27510 transcript:itb04g27510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWPEGDPKTATMQEILFWQSQTMHMMYQVIAQEIQSMQLDEHPSDYLNFYCLGNREDVPSSVSQSISGKDNVSESLKFQRFMIYVHAKGMIVDDEYVIVGSANINQRSMAGSKDTEIAMGAYQPHHTWARKQCRPHGQIYGYRMSLWEEHLGKVEGCFEEPNTLECVRRVNEIAQDNWKKFIDGSFTTPLQVKESALTLKNRSLLCRPLPVDIGRCTCVIVKETSPDGFNGGTLYSLYTYISKQYELDFRDRGRPDLKIQSSVKNFQLTMELNDEFIISQEN >itb12g21590.t2 pep chromosome:ASM357664v1:12:23892217:23894567:-1 gene:itb12g21590 transcript:itb12g21590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METISSFELSKQSADSKTLKEEKREELFEELKTNGSIGWAVDVIDPRDLSAKMLKKNKINLNEISHESAMGLVRRALDMGVLLSEVYVDTVGDPDKYRLKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVFDETAENMNRVFGSGYPGDPDTKAWLDHHKHLVFGFPSLVRFSWGTCNSYSKDTVEVLWESDANDEDESSSAKSSKRQIKLTSIGFSGVKRKSEDIESSGKGRCKFFQSRKLELLPNF >itb12g21590.t1 pep chromosome:ASM357664v1:12:23892189:23895616:-1 gene:itb12g21590 transcript:itb12g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGELPPWALKPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLSTLNFADSKTLKEEKREELFEELKTNGSIGWAVDVIDPRDLSAKMLKKNKINLNEISHESAMGLVRRALDMGVLLSEVYVDTVGDPDKYRLKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVFDETAENMNRVFGSGYPGDPDTKAWLDHHKHLVFGFPSLVRFSWGTCNSYSKDTVEVLWESDANDEDESSSAKSSKRQIKLTSIGFSGVKRKSEDIESSGKGRCKFFQSRKLELLPNF >itb12g21590.t4 pep chromosome:ASM357664v1:12:23892217:23895350:-1 gene:itb12g21590 transcript:itb12g21590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGCLYCARSYQKTLSTLNFADSKTLKEEKREELFEELKTNGSIGWAVDVIDPRDLSAKMLKKNKINLNEISHESAMGLVRRALDMGVLLSEVYVDTVGDPDKYRLKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVFDETAENMNRVFGSGYPGDPDTKAWLDHHKHLVFGFPSLVRFSWGTCNSYSKDTVEVLWESDANDEDESSSAKSSKRQIKLTSIGFSGVKRKSEDIESSGKGRCKFFQSRKLELLPNF >itb12g21590.t3 pep chromosome:ASM357664v1:12:23892189:23895142:-1 gene:itb12g21590 transcript:itb12g21590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKNKINLNEISHESAMGLVRRALDMGVLLSEVYVDTVGDPDKYRLKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVFDETAENMNRVFGSGYPGDPDTKAWLDHHKHLVFGFPSLVRFSWGTCNSYSKDTVEVLWESDANDEDESSSAKSSKRQIKLTSIGFSGVKRKSEDIESSGKGRCKFFQSRKLELLPNF >itb15g14720.t1 pep chromosome:ASM357664v1:15:13132111:13139270:1 gene:itb15g14720 transcript:itb15g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLWFLGRPLLAAACLCSLTILLLPGPVLSACKNPPVIFNFGDSNSDTGGLVAGLGIPVVLPNGRTFFGKSTGRLSDGRLVIDFLCQSVNTSFLRPYLDSMGSTFVNGANFAVAGSTTLPRYLPFALNIQILQFLHFKARSTELVAAGNGHLIGNEGFRSAIYMIDIGQNDLADSFAKNMSYMQVVKKIPSIIEEIKMAIETIYSEGGRKFWVHNTGPLGCLPQKLSLIERGTGNNVDQHGCISSYNSAARLFNEGLNQLCEKLRSEMKDATIVYVDIYAIKYDLIANSNKYGFSSPLMACCGNGGPPYNYNIKAACGHPGSGACDEGSRFISWDGVHYTEAANNIISSNILSTQYSTPRISFDFFCH >itb02g25890.t1 pep chromosome:ASM357664v1:2:26700006:26701458:1 gene:itb02g25890 transcript:itb02g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPGLPNDMGLECLIRVPYRNLSAVNSVCRGWKLEIQLPEFWRQRKAAGFARRVIAMAQARVEKTREPASMKYAATPVYRLTVFEPETGDWAELPPIPGFSNGLPMFCRVVGVGSNLVVMGGWNPVTWEVSKAVYVYNFVYATWRRGADMPGCRRSFFACASDHNSTVFVAGGHDEEKNALRSAMAYDVAGDRWVPLPEMAMERDECKGSFHRGKFHVIGGYDTAMQGRFGASAEAFDVSTWQWDVVQEDFLGTATCPRTCVDYDDDDGYLYMCRDNNVVKQTNSSWQVVIQLPSDVSNVAYVTAWQGKMLVIGSSKFSEPHKTFILDLSTYAWTNVNMPENFSGHVQSGCCLEI >itb01g22380.t1 pep chromosome:ASM357664v1:1:28280675:28281550:-1 gene:itb01g22380 transcript:itb01g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHLVPGLALAFLGLMHTINTIRAYYQNGPAKFRSRFWYPIKKNHLLYLELILVLSFSVFAMVTQLISFPPLQFSLELLNLEHATMFLHLAIFAGFTLFAELNHSTEILTGISGILASSVFSQELFLLHYHSTDHVGLEGHYHWLLQIIVCISLMAALFVTTFPAVFPAALVLSVSVVFQGCWFVNMGFMLWVPRFVARGCMGQHSDAAAHHSAVVCGSHEAGVRAVALANLQFSWILALILALVGTLCLVFARNRAGRGGFPNYEQLHSRGVDDPDAISGLKQVQV >itb05g09880.t1 pep chromosome:ASM357664v1:5:14518767:14522493:1 gene:itb05g09880 transcript:itb05g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSQLLSAIPSNQTPMDEVTRRSANFHPTIWGDYFLTYSSQPMEVDTEDWLEHQKLKEEIKNMLVEAPNISSQKLDLINKIQRLGVYYQFENEIDASLEYIFKAYDDFNDGEDENDLYVVSLRFRLLRQDGYHVSAGVLEKFKDGNGKFKESLINNVQAMLSLYEASHLRVHGEQILEEALTFTTSQLESMLPHLSNPLRSQISEALKQPIRRRLTRLDAQKFISTFEHDGTQDALLLKFAKLDFNLLQRQHQRELGSLTRWWKGLDVPNKLPFARDRLVECYFWTLGTYFEPKYQLARKFILKIISLTSIVDDIYDVYGTPDELKLFTNAVQRWDVSATNQLPEYMRSTYIYLLDTYAEMEKELANEGESYRVNYAKIEMTKLVGAYYEESKWYNDGCSPTFEEYMKVALVTSGYTMLATTSLVGMQEDFLTKEAFDWMISGPLIVRASEIVGRLMDDITGYEFEQQRGHFESSVQIFMKEYGKSKEETTTELQEQVINAWKDINQECLKPTIFPMPILTRILNLTRVIDLLYHDGDLYTHSKTKLKQIITSTLVNPIL >itb13g12400.t1 pep chromosome:ASM357664v1:13:18553872:18555596:-1 gene:itb13g12400 transcript:itb13g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLNSPHSLFNWDFGRRKPEDKPQPPKYHNIDLPFPPSLVTKTFLKGRELKCCYQASTDGFSATDFHQNCDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWPENDSDEPIVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYKKRADGKESLFGDESKAILDEVLVFCSPQIASLY >itb14g00280.t1 pep chromosome:ASM357664v1:14:199330:199860:-1 gene:itb14g00280 transcript:itb14g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKIIFCIAVFLAAIAVLLLALFSPIPHKNNPSNSPMLALSLYIQQPNISTSNSYTAAPAANALIFQRLLTEGPENTSRVVGKAQGFIIPLERFAHSAFNIIYLTFHTDQFSGSLSIQAKNLEHKHQEEEEVELSVVGGTGSFAFARGLAVFAQTELHATFHVKLHLDFPNTIPR >itb07g17490.t1 pep chromosome:ASM357664v1:7:21629398:21629778:-1 gene:itb07g17490 transcript:itb07g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGEETIDAFHDQKQIKYTRSCSLKMPKRSELFHPIRYLKRFSGSMMEALRTMSPGRCRKVASSSSSSSSSSSESGLKGSCAAGAIYIGQHGIIGSDHRAEAIDDCIQFINSSLSLSRSNSISAC >itb11g17100.t1 pep chromosome:ASM357664v1:11:16387266:16391656:-1 gene:itb11g17100 transcript:itb11g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSTSLFYNSFFLCFAVQPICVFFLLLLLVLFIFFLPPAASAAPLNGLLRDSQHLLSFKATIPDQTPLQNWVSTANPCSFTGVTCKGSRVSSIDLESTMLSVDFGVVSAFLLGVENLERLVLKNTNLSGSLTSASKSQCGASLSYLDLAENSISGPVSDIYSLGGCYNLKALNLSRNSMDPPPPGAGAGAATISLQSLDLSYNNISGQNIFLLLPPADFAELQLLSLKGNKLSGNIPELSFKNLTHLDLSANNFSINFPSFQDCSTLQHLDLSSNKFTGNIGASLSSCPNLSFLNLTNNKFGGAVPKLPTGSLQYLYLRGNDFQGVLPQHIGDLCSTLVELDLSFNNLSGMVPDNLVACSALELLDISNNNFSGGLPVETLLKLTSLKTLDFSFNNFVGSLPESLSSLVNLETLDLSSNNISGSIPSGICKDPRNSLKVLYLQNNLLHGPIPETLSNCSQLESLDLSFNFLKGKIPSSLGSLSKLKDLIIWLNQLEGEIPSELMYLQSLENLILDFNDLSGSIPESLSNCTRLNWISLSNNLLSGVIPASLGQLSNLAILKLGNNTFSGSIPAELGYCSSLLWLDLNTNLLNGTIPPALFKQSGKIAMALLTGKQYVYIKNDGSRECHGAGNLLEFGGITQERLDRISTRHPCNFTRVYKGITQPTFNHNGSMIFLDLSYNQLEGSIPKELGSMYYLSILNLGHNDLSGPIPQDLGGLKNVAILDLSHNQLNGTIPQSLTSLTLLGDIDLSSNQLSGIIPESAPFDTFPDYRFANNSGLCGYPLAKCGSASSSSANQHKSHRRQASVAGSVAMGLLFSLFCIIALLIVAIEAKKRRKKSEASLEMDSHSFSGTAHTDWKLTSIREALSINLATFEKPLRKLTFADLLEATNNFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLKYVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLRDRRKHGVKLNWAARRKVAIGAARGLAFLHHNCTPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNTVDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKQPTDSPDFGDNNLVGWVKQQAKIRIRDVFDPELLKEDPSLELELLEHLKVSVACLDDRPFRRPTMIQVMAMFKEIQAGSGIDSSSTIAAEDGGFHTEGVHMSIKESIKEGNEH >itb09g31080.t2 pep chromosome:ASM357664v1:9:31575217:31580913:-1 gene:itb09g31080 transcript:itb09g31080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRERVRRAILPPAQENIDKLDKVLKDGNYYGAQQMFKSISARYVGAERFSDALDFLQYGACAQLEIEQVTCGGELAVLFVETLVRGNLSYDDDTLDRIRKIYKKFPRITVPQNLELADDDDMQKVAEALTAAKTRVEACTSFLKAALKWSSEFGAHKYGSPELHDMLAEYIFSESPEVDMGKVSFHFVRGKNPKKFTSTVISFMGKCYPGEDDLAIARAVLMYLSLGNLRDANYLMDEIKKQVSSKELEFPESELMQFIGYLLLTLQRDAIPLFNKLRVVYKASIEREPTFYELLDEIAEKFYGLRRQSPLQGMFGDIFKMMGGE >itb09g31080.t3 pep chromosome:ASM357664v1:9:31576558:31580913:-1 gene:itb09g31080 transcript:itb09g31080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRERVRRAILPPAQENIDKLDKVLKDGNYYGAQQMFKSISARYVGAERFSDALDFLQYGACAQLEIEQVTCGGELAVLFVETLVRGNLSYDDDTLDRIRKIYKKFPRITVPQNLELADDDDMQKVAEALTAAKTRVEACTSFLKAALKWSSEFGAHKYGSPELHDMLAEYIFSESPEVDMGKVSFHFVRGKNPKKFTSTVISFMGKCYPGEDDLAIARAVLMYLSLGNLRDANYLMDEIKKQVSSKELEFPESELMQFIGYLLLT >itb09g31080.t5 pep chromosome:ASM357664v1:9:31575246:31579636:-1 gene:itb09g31080 transcript:itb09g31080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVAEALTAAKTRVEACTSFLKAALKWSSEFGAHKYGSPELHDMLAEYIFSESPEVDMGKVSFHFVRGKNPKKFTSTVISFMGKCYPGEDDLAIARAVLMYLSLGNLRDANYLMDEIKKQVSSKELEFPESELMQFIGYLLLTLQRDAIPLFNKLRVVYKASIEREPTFYELLDEIAEKFYGLRRQSPLQGMFGDIFKMMGGE >itb09g31080.t1 pep chromosome:ASM357664v1:9:31575139:31580920:-1 gene:itb09g31080 transcript:itb09g31080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRERVRRAILPPAQENIDKLDKVLKDGNYYGAQQMFKSISARYVGAERFSDALDFLQYGACAQLEIEQVTCGGELAVLFVETLVRGNLSYDDDTLDRIRKIYKKFPRITVPQNLELADDDDMQKVAEALTAAKTRVEACTSFLKAALKWSSEFGAHKYGSPELHDMLAEYIFSESPEVDMGKVSFHFVRGKNPKKFTSTVISFMGKCYPGEDDLAIARAVLMYLSLGNLRDANYLMDEIKKQVSSKELEFPESELMQFIGYLLLTLQRDAIPLFNKLRVVYKASIEREPTFYELLDEIAEKFYGLRRQSPLQGMFGDIFKMMGGE >itb09g31080.t4 pep chromosome:ASM357664v1:9:31576558:31580913:-1 gene:itb09g31080 transcript:itb09g31080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRERVRRAILPPAQENIDKLDKVLKDGNYYGAQQMFKSISARYVGAERFSDALDFLQYGACAQLEIEQVTCGGELAVLFVETLVRGNLSYDDDTLDRIRKIYKKFPRITVPQNLELADDDDMQKVAEALTAAKTRVEACTSFLKAALKWSSEFGAHKYGSPELHDMLAEYIFSESPEVDMGKVSFHFVRGKNPKKFTSTVISFMGKCYPGEDDLAIARAVLMYLSLGNLRDANYLMDEIKKQVSSKELEFPESELMQFIGYLLLT >itb05g26260.t2 pep chromosome:ASM357664v1:5:30242369:30244988:-1 gene:itb05g26260 transcript:itb05g26260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHKGKAVVLMGPSGAGKSTIGEMLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb05g26260.t5 pep chromosome:ASM357664v1:5:30242369:30244986:-1 gene:itb05g26260 transcript:itb05g26260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGAGKSTIGEMLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb05g26260.t4 pep chromosome:ASM357664v1:5:30242369:30244986:-1 gene:itb05g26260 transcript:itb05g26260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGAGKSTIGEMLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb05g26260.t7 pep chromosome:ASM357664v1:5:30242369:30243359:-1 gene:itb05g26260 transcript:itb05g26260.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb05g26260.t1 pep chromosome:ASM357664v1:5:30242369:30244988:-1 gene:itb05g26260 transcript:itb05g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGAGKSTIGEMLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb05g26260.t3 pep chromosome:ASM357664v1:5:30242369:30244865:-1 gene:itb05g26260 transcript:itb05g26260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHKGKAVVLMGPSGAGKSTIGEMLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb05g26260.t6 pep chromosome:ASM357664v1:5:30242369:30243665:-1 gene:itb05g26260 transcript:itb05g26260.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGAGKSTIGEMLGKAMNGHFLDADDYHSPSNKEKMQNGIPLTDEDRVPWLETLRDALRASLTKGQLAVLACSALQKCYREILRSADPRYEQGSRACSVKFVLLDVTADVLAERLNRRAAEGKHFMPAKLLQSQLDLLQIDESEGIFKIDATLDPRDIVSKIQAFVI >itb15g04650.t1 pep chromosome:ASM357664v1:15:2992477:2995173:-1 gene:itb15g04650 transcript:itb15g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFNVDLKDRKIIFPTSEKKLAGWRCTKGGGDNSSDRPRRLSVDGRIGNGSECMVDKEFARARLSDVGGAAASTSTSFTSDDSFFTAGGMTMHPSDSASFARGSPIRIPKVVKKQGESISKGHKNYELMLNLQLGIRHSVGRPGPAPSLDLKPSAFDPREKYWTRFPPEGSKSTPPHASCDFRWKDYCPKVFRALRMLFKVDAADYMLSICGNDALRELCSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLNAYFNHVRSYENTLVTKYYGLHCVKLSGTAQKKVRFIIMGNLFCTEYTIQRRFDLKGSTFGRLTDKPESEIDATTTLKDLDLNFIFRLQKAWFQEFRRQVDRDCELLEQERIMDYSLLVGLHFRESSTGEQTPTGCLTPTDNGVSETDSVARLSRADMDQLLVDPAGWASIRLGINMPARVERTERRSDGELQLVGEPTGEYYDVILFFGIIDILQDYDITKKLEHAYKSFQYDPNSISAVDPKAYSKRFRDYILKVFAEDT >itb01g31460.t2 pep chromosome:ASM357664v1:1:35194560:35202337:-1 gene:itb01g31460 transcript:itb01g31460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEESSQSPKQSQPWPIEATPLDRRFSALGDLRIIPDEILCAILTYLSPRDVARVACVSSVLYIFCNEEPLWLSLCLNNLQHPLQYKGSWKKTTMHQMDLPIESDILCQKPLRFDGFNSLFLYRRLYRCYTTLNGFAFDNGNVERSKNLSLEEFHDKYDGQKPVLIAGLADSWPASNTWTTEQLVLKYGDTTFKISQRSPRKITMKLKDYVSYTQLQRDEDPLYIFDEKFGEVAPGLLEDYSVPHLFQEDFFDVLDREQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPAGVTVHVNEEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQHPGETIFVPSGWWHCVLNLETTIAVTQNFVNSKNFEFVCLDMAPGYRHKGVCRAGLLALDEDVIEDVRMNIQSVENDFSYSDLSRKEKRTKVPQHIEDSDCETARNVDPEFNDTSNLEFSYDINFLAMFLDKERDHYNSLWSLSNCIGQREMREWLSRLWHRRPVLRDLIWKGACIALNSSIWFECMEEICAYHELPLPTEDERLPVGTGSNPVYLVSNNVIKILVEGGLEASLHSLGTELEFYSTLQKVDSPLKEHIPDVLASGILYIENGLCRIVPWDGKDVPEVISNSVPLLGKHQLGDYPYGIWSKGQFEYKKAGMSAHELETSNNLKVWPYVVTRRCRGKIFADLRETLSWEETLSLASFLGEQLRNLHLVPYPSLNFSALLGSDEETVLPQSNGCVGLLGNDSIPVEWDLFIQTLNKKKEDVSNRLMKWGDPIPVALIEKVSEYLPDNLAKLLFVSEDTVGVDKSCTWIHSDIMDDNIHMELSCLTSCSAENSNSTNGDHSEQKSSWFATHILDFSNLSIGDPLLDLIPIYLDMFRGDSRLLKHFLESYKLPLLGRQNLNGVASDNSKCARLSYQAM >itb01g31460.t5 pep chromosome:ASM357664v1:1:35194659:35199969:-1 gene:itb01g31460 transcript:itb01g31460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHQSTNTSKQVLIAGLADSWPASNTWTTEQLVLKYGDTTFKISQRSPRKITMKLKDYVSYTQLQRDEDPLYIFDEKFGEVAPGLLEDYSVPHLFQEDFFDVLDREQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPAGVTVHVNEEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQHPGETIFVPSGWWHCVLNLETTIAVTQNFVNSKNFEFVCLDMAPGYRHKGVCRAGLLALDEDVIEDVRMNIQSVENDFSYSDLSRKEKRTKVPQHIEDSDCETARNVDPEFNDTSNLEFSYDINFLAMFLDKERDHYNSLWSLSNCIGQREMREWLSRLWHRRPVLRDLIWKGACIALNSSIWFECMEEICAYHELPLPTEDERLPVGTGSNPVYLVSNNVIKILVEGGLEASLHSLGTELEFYSTLQKVDSPLKEHIPDVLASGILYIENGLCRIVPWDGKDVPEVISNSVPLLGKHQLGDYPYGIWSKGQFEYKKAGMSAHELETSNNLKVWPYVVTRRCRGKIFADLRETLSWEETLSLASFLGEQLRNLHLVPYPSLNFSALLGSDEETVLPQSNGCVGLLGNDSIPVEWDLFIQTLNKKKEDVSNRLMKWGDPIPVALIEKVSEYLPDNLAKLLFVSEVGFGVLLIYQYSFGSFSIKQEWELQNFHSYSHNIHIDC >itb01g31460.t3 pep chromosome:ASM357664v1:1:35194560:35202392:-1 gene:itb01g31460 transcript:itb01g31460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEESSQSPKQSQPWPIEATPLDRRFSALGDLRIIPDEILCAILTYLSPRDVARVACVSSVLYIFCNEEPLWLSLCLNNLQHPLQYKGSWKKTTMHQMDLPIESDILCQKPLRFDGFNSLFLYRRLYRCYTTLNGFAFDNGNVERSKNLSLEEFHDKYDGQKPVLIAGLADSWPASNTWTTEQLVLKYGDTTFKISQRSPRKITMKLKDYVSYTQLQRDEDPLYIFDEKFGEVAPGLLEDYSVPHLFQEDFFDVLDREQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPAGVTVHVNEEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQHPGETIFVPSGWWHCVLNLETTIAVTQNFVNSKNFEFVCLDMAPGYRHKGVCRAGLLALDEDVIEDVRMNIQSVENDFSYSDLSRKEKRTKVPQHIEDSDCETARNVDPEFNDTSNLEFSYDINFLAMFLDKERDHYNSLWSLSNCIGQREMREWLSRLWHRRPVLRDLIWKGACIALNSSIWFECMEEICAYHELPLPTEDERLPVGTGSNPVYLVSNNVIKILVEGGLEASLHSLGTELEFYSTLQKVDSPLKEHIPDVLASGILYIENGLCRIVPWDGKDVPEVISNSVPLLGKHQLGDYPYGIWSKGQFEYKKAGMSAHELETSNNLKVWPYVVTRRCRGKIFADLRETLSWEETLSLASFLGEQLRNLHLVPYPSLNFSALLGSDEETVLPQSNGCVGLLGNDSIPVEWDLFIQTLNKKKEDVSNRLMKWGDPIPVALIEKVSEYLPDNLAKLLFVSEVGFGVLLIYQYSFGSFSIKQEWELQNFHSYSHNIHIDC >itb01g31460.t1 pep chromosome:ASM357664v1:1:35194406:35202392:-1 gene:itb01g31460 transcript:itb01g31460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEESSQSPKQSQPWPIEATPLDRRFSALGDLRIIPDEILCAILTYLSPRDVARVACVSSVLYIFCNEEPLWLSLCLNNLQHPLQYKGSWKKTTMHQMDLPIESDILCQKPLRFDGFNSLFLYRRLYRCYTTLNGFAFDNGNVERSKNLSLEEFHDKYDGQKPVLIAGLADSWPASNTWTTEQLVLKYGDTTFKISQRSPRKITMKLKDYVSYTQLQRDEDPLYIFDEKFGEVAPGLLEDYSVPHLFQEDFFDVLDREQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPAGVTVHVNEEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQHPGETIFVPSGWWHCVLNLETTIAVTQNFVNSKNFEFVCLDMAPGYRHKGVCRAGLLALDEDVIEDVRMNIQSVENDFSYSDLSRKEKRTKVPQHIEDSDCETARNVDPEFNDTSNLEFSYDINFLAMFLDKERDHYNSLWSLSNCIGQREMREWLSRLWHRRPVLRDLIWKGACIALNSSIWFECMEEICAYHELPLPTEDERLPVGTGSNPVYLVSNNVIKILVEGGLEASLHSLGTELEFYSTLQKVDSPLKEHIPDVLASGILYIENGLCRIVPWDGKDVPEVISNSVPLLGKHQLGDYPYGIWSKGQFEYKKAGMSAHELETSNNLKVWPYVVTRRCRGKIFADLRETLSWEETLSLASFLGEQLRNLHLVPYPSLNFSALLGSDEETVLPQSNGCVGLLGNDSIPVEWDLFIQTLNKKKEDVSNRLMKWGDPIPVALIEKVSEYLPDNLAKLLFVSEDTVGVDKSCTWIHSDIMDDNIHMELSCLTSCSAENSNSTNGDHSEQKSSWFATHILDFSNLSIGDPLLDLIPIYLDMFRGDSRLLKHFLESYKLPLLGRQNLNGVASDNSKCARLSYQAMCYCILHDDNVLGAIFSIWKELKKAKSWEEVEETVWGDLNSYKGSY >itb01g31460.t4 pep chromosome:ASM357664v1:1:35194659:35199969:-1 gene:itb01g31460 transcript:itb01g31460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHQSTNTSKQVLIAGLADSWPASNTWTTEQLVLKYGDTTFKISQRSPRKITMKLKDYVSYTQLQRDEDPLYIFDEKFGEVAPGLLEDYSVPHLFQEDFFDVLDREQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPAGVTVHVNEEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQHPGETIFVPSGWWHCVLNLETTIAVTQNFVNSKNFEFVCLDMAPGYRHKGVCRAGLLALDEDVIEDVRMNIQSVENDFSYSDLSRKEKRTKVPQHIEDSDCETARNVDPEFNDTSNLEFSYDINFLAMFLDKERDHYNSLWSLSNCIGQREMREWLSRLWHRRPVLRDLIWKGACIALNSSIWFECMEEICAYHELPLPTEDERLPVGTGSNPVYLVSNNVIKILVEGGLEASLHSLGTELEFYSTLQKVDSPLKEHIPDVLASGILYIENGLCRIVPWDGKDVPEVISNSVPLLGKHQLGDYPYGIWSKGQFEYKKAGMSAHELETSNNLKVWPYVVTRRCRGKIFADLRETLSWEETLSLASFLGEQLRNLHLVPYPSLNFSALLGSDEETVLPQSNGCVGLLGNDSIPVEWDLFIQTLNKKKEDVSNRLMKWGDPIPVALIEKVSEYLPDNLAKLLFVSEDTVGVDKSCTWIHSDIMDDNIHMELSCLTSCSAENSNSTNGDHSEQKSSWFATHILDFSNLSIGDPLLDLIPIYLDMFRGDSRLLKHFLESYKLPLLGRQNLNGVASDNSKCARLSYQAMCYCILHDDNVLGAIFSIWKELKKAKSWEEVEETVWGDLNSYKGSY >itb14g19300.t1 pep chromosome:ASM357664v1:14:22022295:22023478:1 gene:itb14g19300 transcript:itb14g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSSPPRIIVQKSLSDAQLSELRIQSWPKWGCSPGKYQLRFDAQETCYLLRGKVKVYPKNQTSSTAAEVVEFGAGDLVIIPKGLSCTWDVSVAVDKHYKFDSS >itb01g10600.t1 pep chromosome:ASM357664v1:1:9213675:9215559:-1 gene:itb01g10600 transcript:itb01g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKWEDDTSSSSRRRRRRAAGGLNKVVPKYRWWHCKDCRLGGQEGRIGEANNNATQPQNDETTPNLNMPRRRRRRRLGRLSGAHNNNTAGNYNETMKLRNGKTIERGSSRIPSETERENDTIISPSRMASNPNPNPAISHCTAAAQNVGSGVDKCYTTDNAPLPPPRNKGILIREAAPNANAKANAAHHHQSLRIRSSPPTLHNNPSATSFTRLGKAPILHNNEDFSDEDDDDDDDDTESDEDIKMLRLEVEARTQKPNIQTRIDDTLKGRAYGSYGNQSSAHRLSPGTNFQPNQPRGNIHIATGVLIHTGSSSHINNTPTGLPGSPRSSMNNRDPRGSELISPRPKFFSFPPPSNPNNLTFHPQLSNLGGASTLQPAVTSLFGGTAPMPLNPHQQQSPRLMLQLSQLGTTRPPPMTTDANNTTSGGVLHKNQGSSQGNKKDSH >itb14g21530.t1 pep chromosome:ASM357664v1:14:23499123:23500866:-1 gene:itb14g21530 transcript:itb14g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLGRAATGSSVCTGYGGPERGRNGRIKGRERNTGVAVSAGRPRFLIDLGTQKKNPKPHRPATEVLAVPVSGTAATPKTGICAFATSARFKQRHRPTAGGLSEFGNLTTVPLTFEPTRKLIKVQNQLYLKTPNLPYLSSKQPSL >itb03g07670.t1 pep chromosome:ASM357664v1:3:5697149:5697824:1 gene:itb03g07670 transcript:itb03g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVMVISTTGKPRFSKFYESQSPEKQQEFIRHVYAVLSNRPGDVSNFVQGIGSVFGSDTQLVYKHYATLYFVLLFDNSENELAMLDLIQGNSFIIVIFSYFKPAFRKQCQ >itb12g00890.t1 pep chromosome:ASM357664v1:12:631475:635342:1 gene:itb12g00890 transcript:itb12g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVEEEEKYSPPPLLEHKNRSLRSKAAHFVSDLTTVILNPISDKPSKRKPRPPPVPEDGSDSEGSKLELDEGEPGDIVDGPDTSSFTAFLYSLLSNSEPGSHSSLNGNNEKQGDKAEPSEPPVKEPSRRRSLITRGKQTIGRAVYQVARLGGFRNQGSSKGNSDMGIDDGSNSKVDRHEAILKQDKNEEVPSGSLPETSEPSLLLSEKTRTALYTALPALVKDRKWVMLYSTWRNGISLSTLYRRSMLWPGLSLLVVGDRKGTVFGGLVEAPLRATTKRRYQGTNASFVFTNVSGHPTIFRPTGINRYYTMCSTEYIALGGGGHFALYLDGDLLTGSSAASQTYGNSCLSCSEDFEVKEVELWGFVYASKYEEILSVLRTEAPGICRF >itb12g00890.t3 pep chromosome:ASM357664v1:12:631500:635280:1 gene:itb12g00890 transcript:itb12g00890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVEEEEKYSPPPLLEHKNRSLRSKAAHFVSDLTTVILNPISDKPSKRKPRPPPVPEDGSDSEGSKLELDEGEPGDIVDGPDTSSFTAFLYSLLSNSEPGSHSSLNGNNEKQGDKAEPSEPPVKEPSRRRSLITRGKQTIGRAVYQVARLGGFRNQGSSKGNSDMGIDDGSNSKVDRHEAILKQDKNEEVPSGSLPETSEPSLLLSEKTRTALYTALPALVKDRKWVMLYSTWRNGISLSTLYRRSMLWPGLSLLVVGDRKGTVFGGLVEAPLRATTKRRYQGTNASFVFTNVSGHPTIFRPTGINRYYTMCSTEYIALGGGGHFALYLDGDLLTGSSAASQTYGNSCLSCSEDFEVKEVELWGFVYASKYEEILSVLRTEAPGICRF >itb12g00890.t2 pep chromosome:ASM357664v1:12:631475:635342:1 gene:itb12g00890 transcript:itb12g00890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVEEEEKYSPPPLLEHKNRSLRSKAAHFVSDLTTVILNPISDKPSKRKPRPPPVPEDGSDSEGSKLELDEGEPGDIVDGPDTSSFTAFLYSLLSNSEPGSHSSLNGNNEKQGDKAEPSEPPVKEPSRRRSLITRGKQTIGRAVYQVARLGGFRNQGSSKGNSDMGIDDGSNSKVDRHEAILKQDKNEEVPSGSLPETSEPSLLLSEKTRTALYTALPALVKDRKWVMLYSTWRNGISLSTLYRRSMLWPGLSLLVVGDRKGTVFGGLVEAPLRATTKRRYQGTNASFVFTNVSGHPTIFRPTGINRYYTMCSTEYIALGGGGHFALYLDGDLLTGSSAASQTYGNSCLSCSEDFEVKEVELWGFVYASKYEEILSVLRTEAPGICRF >itb13g00100.t1 pep chromosome:ASM357664v1:13:110519:111929:1 gene:itb13g00100 transcript:itb13g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEVLFGDLPEVSTVSWNIMIGGFGQKYEKKRAMEYMEKMQSCGYEPDEATHSFRKMQFRNERPDRTTLAIILTSCAEIALLEYGKQLHAASFKNDVPGDIYVACSLIGMYSKCGWVKVAKCIFKRLPQLDIVCWNSMISGLNLNSLDKEAFSFFKEMLQIGMPPSEYSYATVLSSCARLSSLALGTQVHGMIARGGYANDVVMGSALINMYSKCGDIDGARLCFDMMPCKNNITWNEMIHGYAQNGFGDKAIFLYEQMIETGNKPDGVTFIAVLTACSHSGLVDRGIRIFPSMQLEYGVEPLVDHYTCIIDCLGRAGRFDEVEELLNKMPYKDDPIIWEVLLSTCRVHMNVSLARRAAAELFRLDPENFVPYMLLASMYSSLGKWDESKGIREMMVEGKVVEDSGFSWVENQNGIEENGGTYTVASH >itb11g23320.t1 pep chromosome:ASM357664v1:11:25277510:25280598:1 gene:itb11g23320 transcript:itb11g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 3 [Source:Projected from Arabidopsis thaliana (AT2G46710) UniProtKB/Swiss-Prot;Acc:Q8GYY5] MTRLFRSKSYPLARFNGSHSVCDEDEDDEEHDNNNNNGRRRYSGLFFNATARTSTTPFRRKSRGRGDTQTQSPILAVLMAALRKSLVTCSVERDDVAANMDIGGPIDVRHVSHVTFDRFNGFLGLPDELQPDVPRKVPSASMSVFGVSPQSMQCSYDDRGNSVPRILLKMQSRLYSEGGLRAEGIFRINAENSEEKDVRCMLNRGVVPHGMDVHCLAGLIKAWLRELPTGVLDSLTPEQVMHCNTEEECTMLVNLLPPTDAALFDWALNLMADVVEHECYNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLITKTLMERENSDATDQTLPISSADFSCHSPEVLATNNLKLSRSVTLDRWESECYHSRQVLATNKLSRSVTLDRWESERYLSRKGLAAKLSRSFTLDRWESEKNEVSGL >itb02g09850.t1 pep chromosome:ASM357664v1:2:6335947:6338748:-1 gene:itb02g09850 transcript:itb02g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWNKPCSSLVYIFVLFMLSFRPSSSDIAVLQHHLESQHAISSCNLFQGSWIYDESYPIYNSSVCSFIDKEFDCQGNGRPDKLYLKYRWKPSACEMPKFDGRDLLRRLKGKKLMFVGDSLSLNQWQSLTCMLHAALPQSNLAIQRKGAFPTFNFPEFNVSVMLCRNPFLVDLVTEKIGRVLKLDSIQNGNSWKGYDMLIFNTWHWWLHKGSSQPWDYIQKGDQVYKDMDRMVAFEEGLKTWSKWVDSNVDPSKTKVFFQGISPTHYRGEEWNASKGMSCKGETQPIAGSTYPGGPHPATAVVKQVLSNMSKPVTLLDITTLSQLRKDSHPSVYGDDATGSDCSHWCLAGVPDTWNHLLYAILVSQGKSKPM >itb02g09850.t2 pep chromosome:ASM357664v1:2:6335947:6338748:-1 gene:itb02g09850 transcript:itb02g09850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIEPLLDFCRFDGRDLLRRLKGKKLMFVGDSLSLNQWQSLTCMLHAALPQSNLAIQRKGAFPTFNFPEFNVSVMLCRNPFLVDLVTEKIGRVLKLDSIQNGNSWKGYDMLIFNTWHWWLHKGSSQPWDYIQKGDQVYKDMDRMVAFEEGLKTWSKWVDSNVDPSKTKVFFQGISPTHYRGEEWNASKGMSCKGETQPIAGSTYPGGPHPATAVVKQVLSNMSKPVTLLDITTLSQLRKDSHPSVYGDDATGSDCSHWCLAGVPDTWNHLLYAILVSQGKSKPM >itb01g24070.t2 pep chromosome:ASM357664v1:1:29827445:29831067:1 gene:itb01g24070 transcript:itb01g24070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIQTPEAPSPTTGKETQEDTHCQRERERVVCSFSLSTKPYPFLFSLSHSLARFQKASKTHTHPFAFLLSSLCWWSDIQSFTTHYLLFSSHEHLRFGAFRSNVLIGFRSFLVITCNRDNNLKMQRPQHEDFTLKETKPHLGGGKVSGDKLASTYDLVEQMQYLYVRVVKAKDLPGKDVTGSLDPYVEIRLGNYKGTTRHFEKRSNPEWNQVFAFSKDRIQASVLEVSVKDKDFVKDDFVGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKARGGGELMLAVWMGTQADEAFPEAWHSDAAAVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLLPNDKSRFPEVFVKAILGNQALRTRVSMNKSINPMWNEDLMFVVADPFEEPLILSVEDRVAPNKDEVLGRCAIPLQFVDRRLDHRPLNSRWYNLEKHVIVEGEKREIKFSSRLHMRVCLEGGYHVLDESTHHSSDLRPTAKQLWKSNIGVLEVGIISAGGLSPMKTKDGRATTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGDKSAGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIQLAVRFSCSSLLNMMHMYSQPLLPKMHYIHPLTVIQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLLAVGRWFDQICNWKNPITTVLIHILFLILVLYPELILPTVFLYLFLIGVWNYRWRPRHPPHMDTRLSCADNAHPDELDEEFDTFPTSRPPDVVRMRYDRLRSIAGRVQTVVGDLATQGERLRSLLSWRDPRATALFVIFCLVAAVVLYVTPLQVVALLIGFYTLRHPRFRYRLPSVPLNFFRRLPARTDCML >itb01g24070.t1 pep chromosome:ASM357664v1:1:29827445:29831067:1 gene:itb01g24070 transcript:itb01g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPQHEDFTLKETKPHLGGGKVSGDKLASTYDLVEQMQYLYVRVVKAKDLPGKDVTGSLDPYVEIRLGNYKGTTRHFEKRSNPEWNQVFAFSKDRIQASVLEVSVKDKDFVKDDFVGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKARGGGELMLAVWMGTQADEAFPEAWHSDAAAVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLLPNDKSRFPEVFVKAILGNQALRTRVSMNKSINPMWNEDLMFVVADPFEEPLILSVEDRVAPNKDEVLGRCAIPLQFVDRRLDHRPLNSRWYNLEKHVIVEGEKREIKFSSRLHMRVCLEGGYHVLDESTHHSSDLRPTAKQLWKSNIGVLEVGIISAGGLSPMKTKDGRATTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLQGGDKSAGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIQLAVRFSCSSLLNMMHMYSQPLLPKMHYIHPLTVIQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLLAVGRWFDQICNWKNPITTVLIHILFLILVLYPELILPTVFLYLFLIGVWNYRWRPRHPPHMDTRLSCADNAHPDELDEEFDTFPTSRPPDVVRMRYDRLRSIAGRVQTVVGDLATQGERLRSLLSWRDPRATALFVIFCLVAAVVLYVTPLQVVALLIGFYTLRHPRFRYRLPSVPLNFFRRLPARTDCML >itb03g24840.t2 pep chromosome:ASM357664v1:3:23671472:23674147:1 gene:itb03g24840 transcript:itb03g24840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKQQEAVEGEAKVVEEKKEEKAEEKKEEKGAAEEEKKEEEEPPKPPPPFVLYVDLHCMGCAKKIERSILKIRGVEGVVIDMAKNQVTIKGVVEPQAICTRITKKTKRVAKVLSPLPAAEGEPIPEVVASQVSGLTTVELTVNMHCEACAQQLKSKILKMKGVRTAETELASGKVTVTGTMDADKLVDYVYRRTKKQAKIVPQPEPEPEKAAPAEEPKPEEKKAGEEKPAEEAAPEEKKEEGEKAAAAEGKKKEEAKAEEEESVSEEAAAGMHRMMYYYQPLYFVERIPPAPQIFSDENPNACCIS >itb03g24840.t1 pep chromosome:ASM357664v1:3:23671472:23674147:1 gene:itb03g24840 transcript:itb03g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKQQQEAVEGEAKVVEEKKEEKAEEKKEEKGAAEEEKKEEEEPPKPPPPFVLYVDLHCMGCAKKIERSILKIRGVEGVVIDMAKNQVTIKGVVEPQAICTRITKKTKRVAKVLSPLPAAEGEPIPEVVASQVSGLTTVELTVNMHCEACAQQLKSKILKMKGVRTAETELASGKVTVTGTMDADKLVDYVYRRTKKQAKIVPQPEPEPEKAAPAEEPKPEEKKAGEEKPAEEAAPEEKKEEGEKAAAAEGKKKEEAKAEEEESVSEEAAAGMHRMMYYYQPLYFVERIPPAPQIFSDENPNACCIS >itb06g10350.t1 pep chromosome:ASM357664v1:6:14711714:14712545:1 gene:itb06g10350 transcript:itb06g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQANPNSENETHIKSREAGKGVTPFAVTVAHIPHPRHRCRTTDLTDVIAVARHHHRTPDLTPPPPPEHSRPHVTADARQISRHRRRTQDLTPPPHAGSHATAVASRPHATAVACSSTSHVAAAVTRLHWQWISMFHKIHTFWLLICEL >itb12g06300.t1 pep chromosome:ASM357664v1:12:4679348:4683761:1 gene:itb12g06300 transcript:itb12g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARIVSSLTDERADMRKQVGCMTGIFQLLDRHHFLIGRRIGAHSNKKLLTKGGHHNMEPRSVTKTNKEKSVQEGLKGKQMVSDQSSKIYPSSPTSSNLSSSLGRYRTPQLESPSCSQTDFPKTPKKQHSSSLHLGRQSPDIQDVVKESMHREARSVSIKAVAKVEGKIHLLKHIDSPRPLKQPELDDKDNVLQRAARNPPRFSCDGMESRERLKATMKLGDTPRLSLDSGLRSIKSSADQESGCYKRSSSVVAKLMGLEGFPDSVSIIHHSFFPDEYSISMSSTQTQHPNLQFRPATSTSNSRLPLELAPWCQPDSNQIKMRKSKVPSTVFGEIEKRVTELEFKKSGKDLRALKQILEAMHRTSPRLECQKQEHNHPCSTKWETYLTKKFNSSIPIPKQDSHNGNTKVAGSIDKGRAKLAPKRNNVVKEPSRGAEKKNNGRTSKSVQDHNHIQVRSPGAVSPRLQDKKQQGKDKQPNIPPSDSSRLRRHQNKQQPKPGPPQRRHKPKPNNLQYDDDQTSETSSDTRNPSEKGNNTASVQSERNDCLVSVGNDTTMTEQPSPVSVLDAAFYIEDSPSPVKKISSAFRDYEPADANETEWHLDCTRILGSEYNLVHKLSILNTDPDPDHEHSINQIASLCPSENFDHHYINKVILASGILKDLGCVSTTELHPSGNLINPELFHVLEQTERPTDKNPLQFDQKIHRKLIFDTVNEILSHKKLHLKGSKRRCLSGQQLLKELYAEIEYLQPKPNSRLGIEDDEIVSILTADMKHEWGGDDSEIPALALSIERLIFKDLITEVIRGDGEERSRGHCRQLFTH >itb06g10510.t1 pep chromosome:ASM357664v1:6:14967860:14977527:1 gene:itb06g10510 transcript:itb06g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGAECIKVSGNDEVECPETTVEIKIKTLDSQTYTLRVDKCVPVPALKDQIATVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPGSESNPDPQGTSTSSGLGNAQGSPSGPGLFVGTLNLSEHGDGAFPDMSRIVSAVLGSIGIASLGSGSEGIDLNGLGNLRNSLRLQNEQGGLRDQSSSSSDASARQADVPLDSMQLPVITDSLTTLSQYLTHLRETSVGGQSGISLASGANGSNRQDSDASSHSTGQGGLPTPESLAEVLQSTRRLIVEQAAEFLLQLSRQLENQGNVTDTVERMRIQRLALSSGAFFQHLGALLLELGRTTMTLQMGQTPDNAVVNAGPAVFVSATGTNPIMVQPQPFQAGTGIGAIPFGSVHGSGISGGPVGSGFIPRNIDIRIRTGGAYMPSIVNRRDPTGPQTLGQVVHGAPNAENSIQGTEGTRSSTTRGVEVRVLPIRTLVAAVPASVGRAASDSARGSMGIFSPVLGRVQHLSSENANNSSASQGSHQNHPHNVEIGQQPIPDAAGQRNVRLFGVNVNRSSTGEMSSRVEHFLRALFPGEQLENVSVHGMNTHSAAGESVATQNAAASQETGAIEDGVFLSNILRHILPIVSESTETAPNIPPPTEQVDVAEDRSTQASTQAQDNIEQATSSRRRRDPRLQPGSKRQKRE >itb08g06580.t1 pep chromosome:ASM357664v1:8:5571907:5572434:-1 gene:itb08g06580 transcript:itb08g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYHPCYELGGLRDLTAATARLQRRHVSNERVQRRAEDSGNGGGGEPLRRCLCSPTTHPGSFRCRYHVAAYVWGRRVIRGRS >itb04g01000.t1 pep chromosome:ASM357664v1:4:534103:539042:-1 gene:itb04g01000 transcript:itb04g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSVISHRNFGSFIGSGITSQAERSTSIHGGVRVGCAAMRSTLPFQGEGCQPSQLGIELRVISPRQRLRRCTCCQAATSSGRSWIQSRKLDNLCFFNKQSQRMKYGAPRRAHADFTSEEYGITGALESFVSPDGEAVLIDGAEQAKPWWEQFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIAGGIWADKLGGKLVLGFGVVWWSIATILTPIAAKIGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAVSPILIQKFGWPSVFYSFGSLGSIWFAFWLSKAYSSPKEDPGLSISEKKLIMGGGISKEPVTNIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVCANIGGWIADTLVSKGFSITSVRKIMQSIGFLGPAFFLTQLKHVKTPALAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDDVFKVAVVLYIVGTLVWNIFSTGERILD >itb04g01000.t2 pep chromosome:ASM357664v1:4:534103:539042:-1 gene:itb04g01000 transcript:itb04g01000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSVISHRNFGSFIGSGITSQAERSTSIHGGVRVGCAAMRSTLPFQGEGCQPSQLGIELRVISPRQRLRRCTCCQAATSSGRSWIQSRKLDNLCFFNKQSQRMKYGAPRRAHADFTSEEYGITGALESFVSPDGEAVLIDGAEQAKPWWEQFPKRWVIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQIAGGIWADKLGGKLVLGFGVVWWSIATILTPIAAKIGLPFLLIMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAVSPILIQKFGWPSVFYSFGSLGSIWFAFWLSKAYSSPKEDPGLSISEKKLIMGGGISKEPVTNIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVCANIGGWIADTLVSKGFSITSVRKIMQSIGFLGPAFFLTQLKHVKTPALAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAL >itb03g16950.t1 pep chromosome:ASM357664v1:3:15703940:15715694:-1 gene:itb03g16950 transcript:itb03g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVIKAVEAGNKNNLNRFKTYADTVVQQAGLAVAEGAKIFQDRIGNRNFKSFKQTVKRLEEASVSCRGPERVHLMRRWLAALKVIKEIEELSGTPIEDNERSNEQQYPSEGPTESSRKQSMVLYYDSDVGGEPMNFRDVFLYSQALEGVSICMILEAPNEEEISLLLELFGLCLTGGKEVHNAIISSIQDLAKVFLGYEDEVLVKRDELLQFAEGAITGLKINADLGRIDAEVSTLKKRLEIREKKESVNEGHKTISQETATTVETLKETLAHIRACSRLEGLLLKKIALKYGDSPEIHAQKVDKLKILCESLASSSSKAERKISDQRAQREDALRFRVAKASEVSEIEKELSVEISALEKQRDELEAQLKQVKISLAAVNGRLRNAREERDQFYEANDQIVAHLRTKEDELSKVISSCRVEGNVLSTWINFMEDTWALQCSYTDAKRKEAIDELEGHEDYFVNLVIQLLSAYERDLKPSIDRIEKYVENLKSLGTGSVAALGVGHDDSRALNPRNNLEEEYLDYETKIITTFSVVDNMREQYYAQQGKISRKDDSKVKDLFDNIEKLRTVFESIERPNLEMETPDQEAEISSSENPHENVSHPTKEAGEIPDVREKEHSKSPATNVQKQEPSTLKLEHCLDAEAELAQLESEFGKVNPDYSAEEIGDWEFDELERELRSGDSATNK >itb03g16950.t2 pep chromosome:ASM357664v1:3:15703940:15715634:-1 gene:itb03g16950 transcript:itb03g16950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRDVFLYSQALEGVSICMILEAPNEEEISLLLELFGLCLTGGKEVHNAIISSIQDLAKVFLGYEDEVLVKRDELLQFAEGAITGLKINADLGRIDAEVSTLKKRLEIREKKESVNEGHKTISQETATTVETLKETLAHIRACSRLEGLLLKKIALKYGDSPEIHAQKVDKLKILCESLASSSSKAERKISDQRAQREDALRFRVAKASEVSEIEKELSVEISALEKQRDELEAQLKQVKISLAAVNGRLRNAREERDQFYEANDQIVAHLRTKEDELSKVISSCRVEGNVLSTWINFMEDTWALQCSYTDAKRKEAIDELEGHEDYFVNLVIQLLSAYERDLKPSIDRIEKYVENLKSLGTGSVAALGVGHDDSRALNPRNNLEEEYLDYETKIITTFSVVDNMREQYYAQQGKISRKDDSKVKDLFDNIEKLRTVFESIERPNLEMETPDQEAEISSSENPHENVSHPTKEAGEIPDVREKEHSKSPATNVQKQEPSTLKLEHCLDAEAELAQLESEFGKVNPDYSAEEIGDWEFDELERELRSGDSATNK >itb03g16950.t3 pep chromosome:ASM357664v1:3:15704234:15715475:-1 gene:itb03g16950 transcript:itb03g16950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVIKAVEAGNKNNLNRFKTYADTVVQQAGLAVAEGAKIFQDRIGNRNFKSFKQTVKRLEEASVSCRGPERVHLMRRWLAALKVIKEIEELSGTPIEDNERSNEQQYPSEGPTESSRKQSMVLYYDSDVGGEPMNFRDVFLYSQALEGVSICMILEAPNEEEISLLLELFGLCLTGGKEVHNAIISSIQDLAKVFLGYEDEVLVKRDELLQFAEGAITGLKINADLGRIDAEVSTLKKRLEIREKKESVNEGHKTISQETATTVETLKETLAHIRACSRLEGLLLKKIALKYGDSPEIHAQKVDKLKILCESLASSSSKAERKISDQRAQREDALRFRVAKASEVSEIEKELSVEISALEKQRDELEAQLKQVKISLAAVNGRLRNAREERDQFYEANDQIVAHLRTKEDELSKVISSCRVEGNVLSTWINFMEDTWALQCSYTDAKRKEAIDELEGHEDYFVNLVIQLLSAYERDLKPSIDRIEKYVENLKSLGTGSVAALGVGHDDSRALNPRNNLEEEYLDYETKIITTFSVVDNMREQYYAQQGKISRKDDSKVKDLFDNIEKLRTVFESIERPNLEMETPDQEAEISSSENPHENVSHPTKEAGEIPDVREKEHSKSPATNVQKQEPSTLKLEHCLDAEAELAQLESEFGKVNPDYSAEEIGDWEFDELERELRSGDSATNK >itb11g06520.t1 pep chromosome:ASM357664v1:11:3910772:3914189:-1 gene:itb11g06520 transcript:itb11g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNISKIWRFFLDGAVRFLHERLLRSLCVWGAFVVPMASLGQSSPSISLLRLTVCFAPWYRNEIVTVLGLRRA >itb14g05990.t1 pep chromosome:ASM357664v1:14:5230001:5235807:-1 gene:itb14g05990 transcript:itb14g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQGFYLHAHPSSHSTTRPEHQSLLKNQRFIYARFVKRVRVYAGFKLQCCSKTVSFPSKSVINGKRKGSEGVLPSILRTLATENEVEKTLDLYYGKLSDKEFTVILKEQSSWEKVVRVFQWMKSRKEYVPNVIHYNVVLRTLGRARKWDELRLCWTEMAKDGVLPTNNTYGMLVDVYGKAGLVKEALLWIKHMKLRGIFPDEVTMTTVVRVLKDAGEYDRADRFYRDWCVGKIKLDDLDLDSIGESDASSSSEPFSLKQFLLTELFRTGVRNPSRVVELSEAESSLRKPRLTATYNALIDLYGKAGRMKDAGDVFAEMLKNGVAMDTYTFNTMIFICGNLGNLDEAEALLSKMEERGIHPDTKTYNIFLSLYANAGRIDLALQYYRRIREVRLFPDVVTHKAILNILCKKKMVHEVEVVLKEIESLGMRIDERSLPVVMELYISGGLTERANALLEKCQLIGGLSSKTYAAIMDAYADQGLWAEAEDVFFSKRDMLRQKKTVLEYNVMVKAYGKAKIYDKAFFLFKGMKSQGTWPDECTYNSLIQMFSKGDLVDQAKKILIEMRDVGFKPSCLTFSAVIASYVRMSRLCDAIDVFQEMLKTGVKPNEIVYGSLIDGLAEAGRFEEAINYFHMMEESGVSANQIILTSMIKAYSKVGSVEGAKRLYQKMQNLDTGPDIVASNSMLNLYAAFGMVSEAKLLFHDLRQKGKADEVTFSTMIYAYKNKGMLSEAIDIAEEMRQLGLLRDCTTFNQIMTCYCANRQLIKCGELLHEMVDRKLIPNKSTFKILFTILQKGDFPAEAISQLKLSYQERKDYAGQAVIASVFSAVGLHAFALESCETFLKPAMELHSFAYNVAIYAYGASGKIDEALNIFMRMQDMGVKPDIVTYVNLVCCYGKAGLVQGIERVYRQLKCGAIEHNESLYNAVIEAYKDAGRHDLAYLVSQEMRFASSEEKYPNSETEDAFDEELYPHPETEGLPEDVSSESEKQSSRMDKSMTGDMDNLPEEDKIRMSAMIDQLQIHDRFFLFLCKVEIQSYALVMNSSIEV >itb10g00300.t2 pep chromosome:ASM357664v1:10:194976:199731:1 gene:itb10g00300 transcript:itb10g00300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSALLFNQLKTAEPFFLLAGPNVIESEDHILYMAKHLKATTSKLGLKFVFKSSFDKANRTSSKSFRGPGLAEGLKILERVKTTYDVPIVTDVHESIQCEAVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMLNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGLFMEVHNDPLNAPVDGPTQWPLRHLEELLEELIAIGRVSKGKKQFKIDLTPVRD >itb10g00300.t1 pep chromosome:ASM357664v1:10:193983:199731:1 gene:itb10g00300 transcript:itb10g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSALLFNQLKTAEPFFLLAGPNVIESEDHILYMAKHLKATTSKLGLKFVFKSSFDKANRTSSKSFRGPGLAEGLKILERVKTTYDVPIVTDVHESIQCEAVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMLNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGLFMEVHNDPLNAPVDGPTQWPLRHLEELLEELIAIGRVSKGKKQFKIDLTPVRD >itb01g23360.t1 pep chromosome:ASM357664v1:1:29405598:29411648:1 gene:itb01g23360 transcript:itb01g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAAVIVPLGVLFFISGLFTNLIQAICFVLIRPLSKNTYRRINRVFAELLWLELIWLVDWWAGVKVKLYTDPETIKLMGKEHALVISNHKSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDESTLKSGLQQLRDYPLPFWLALFVEGTRFTQAKLLAAQEYATSTGLPVPRNVLIPRTKGFVTAVSHMRSFVPAIYEVTVAIPKSSPPPTMLRIFKGKSSVVHVHLKRHLMKDLPENDDNVAQWCRDVFVAKDKLLDKHNAEDTFGQELERDLGRPVKSLVVVVSWACLLILGAVKFFQSSALLSSWKGLSISAALLAVVTVLMQILIKFSQAERSVPAKVAPTNTNTSNQVAADSRQRKVQ >itb01g00970.t1 pep chromosome:ASM357664v1:1:453922:458570:1 gene:itb01g00970 transcript:itb01g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRADDEYDFLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATKSLSIGGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVTRWLKELRDHTDPNIVVMLIGNKSDLRHLVAVPTEEGKNFAEREALYFMETSALEATNVENAFTEVLTQIHHIVVKKAVEAGDEGATSSAPPKGETINIKNDGSTWKRIGCCSS >itb03g15550.t1 pep chromosome:ASM357664v1:3:14873385:14875090:1 gene:itb03g15550 transcript:itb03g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFDRKVKAELPSKSPRPSNKLHVSIPVASGRVAAAAEAACLAYEHYLRLPELKELWSCKEFTSWNHEPLLKPALQGLEITFRFISTALSDPRPYANRREWKRRLESLARNQVEIIAMLCEDEAEDGATRGAAPIVDLTSSDGTLARRNSSAEVWKLSDEVTVVSRTSEASLLPRLVTWRKSEDIAQNILDFIESEMRNCPYTLGLGEPNLNGKPSLDYDAIVKPSELHSLKKSPAETMNLQNFEDQTLYTTHQILESWICASKSILNRIAERIESKSFGNAASDCWILERTWNLLTEIENLHLLMDPDDFLRLKHQLSIKVTAESQPFCFRSRGLVEITKLSKDLRQKVPGILDVEVDPNGGPRVQEAAMKMYAEKDGFERIHLVQGLQAIEMGVKRFYYSYKQLLTVVMGSLEAGDSGDSLAQVFLEPTYFPSLDAAKTFLAVHRSHGHGRFGP >itb09g07300.t1 pep chromosome:ASM357664v1:9:4212617:4220308:-1 gene:itb09g07300 transcript:itb09g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEDEYEQQYNDDEEEITQEDAWAVISAYFEDKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKINFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFNDTPMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKDILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCAIGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGTWVGIHRDPDMLVRTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIQALQLRESPEEGGWHDLVAKGFIEYIDTEEEETTMISMTINDLIQARLNPGEAYSVTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPLSQEDAQGQSARYTKRDHSTSLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEHCGLIAIANLKKSSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKDVKQAKDQKKKGA >itb01g05410.t1 pep chromosome:ASM357664v1:1:3711596:3717430:1 gene:itb01g05410 transcript:itb01g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDSKSAGKDSKSASASSAAGKKKEVKKETGLGLTYKKDENFGEWYSEVVVTSEMIEYYDISGCYILRPWAISIWEIMQTFFDAEIKKMKIKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVTKSGESELEVPIAIRPTSETVMYPYFSKWIRGHRDLPLRLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFATKEEADAEVLDILELYRRIYEEYLAVPVTKGKKSELEKFAGGLYTTTVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYTTRTIGVMIMVHGDDKGLVLPPKVAATQVIVIPVPYKNADTQGIFDACAATVKKLTESGIRVEADLRDNYSPGWKYSNWEMKGVPLRIEIGPKDLEKNQVRAARRDNGAKTDIPMDDIVEQVKEMLSTIQQNLFDVAKQKRDACVQIVKTWDEFVNALNGKKLVLAPWCDEEDVEKDVKTRTKGEMGAAKTLCSPFDQPELPEGTLCFASGKPAKKWTYWGRSY >itb01g05410.t2 pep chromosome:ASM357664v1:1:3711596:3716435:1 gene:itb01g05410 transcript:itb01g05410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDSKSAGKDSKSASASSAAGKKKEVKKETGLGLTYKKDENFGEWYSEVVVTSEMIEYYDISGCYILRPWAISIWEIMQTFFDAEIKKMKIKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVTKSGESELEVPIAIRPTSETVMYPYFSKWIRGHRDLPLRLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFATKEEADAEVLDILELYRRIYEEYLAVPVTKGKKSELEKFAGGLYTTTVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYTTRTIGVMIMVHGDDKGLVLPPKVAATQVIVIPVPYKNADTQGIFDACAATVKKLTESGIRVEADLRDNYSPGWKYSNWEMKGVPLRIEIGPKDLEKNQVRAARRDNGAKTDIPMDDIVEQVKEMLSTIQQNLFDVAKQKRDACVQIVKTWDEFVNALNGKKLVLAPWCDEEDVEKDVKTRTKGEMGAAKTLCSPFDQPELPEGTVSQIHILNEKFSFSVEV >itb02g04410.t1 pep chromosome:ASM357664v1:2:2625847:2627352:-1 gene:itb02g04410 transcript:itb02g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQQAPTMLLEIKVISANDLPPLARLLRTYVVACLDHDDRSHTAVDREGGTNPTWNHKFSFYVDDRFLNSKSSEIKFEIYNVAWLRDLPMGTTSLVISTISPPLSPRNPTVRHFALRIRRPSGHLQGILNVGVQLKDNLLIHPPESTIGCQNPAAVLESNADKVPLTVELQNYNGTDEFGEHQNMPKECKLSETASFLESITTAYEDKSLLSCVTEQSNTTRLRSARSSGMHRVTSSETAVVDFWGGDNVEDDNCLAGNSIFESWIRAAAGDESREGETKNMKKESIPATGKDKAGSRRGGRKHCLHRRCESEGGRPRSISFSAKLKLICGISFMDRKNRSSRRHSKKVADDCTCG >itb02g24840.t4 pep chromosome:ASM357664v1:2:25557101:25560507:1 gene:itb02g24840 transcript:itb02g24840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAVASKDGFVDLQLKDGDFESDVPSRKLVASDQENRYVKPVNADELELDDIDFDNLPLIFGENKSGSGIYGAVFNLTTTIIGAGIMALPATMKVLGLILGFILIILMGILSEMSVELLIRFSVQCNASSYGEVVQAALGKTARILSEICIIVNNAGVLIVYLIIIGDVMSGSLHHIGVFDQWLGQGVWDHRKLVVLIFLVIFLAPLCALDKIESLSLTSAASVALAVVFVFVAFIVAFIKLVEGKIEAPRMTPDFGSTRAILDLLVVIPIMSNAYVCHFNIQPIYNELEGRSPQKMYRVGRITTVICVLVYASTAVAGYLLFGKETESDVLTNFDKDLGIRFSTALTYIVRIGYVFHLILVFPVIHFSLRQAVDALFFKDSAPLQESRKRCLALTAVLLALIYFGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRLSKEGNGLSSGEKALCWSMLVMAVIVSTLGVIGNIYSIK >itb02g24840.t3 pep chromosome:ASM357664v1:2:25557103:25560593:1 gene:itb02g24840 transcript:itb02g24840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAVASKDGFVDLQLKDGDFESDVPSRKLVASDQENRYVKPVNADELELDDIDFDNLPLIFGENKSGSGIYGAVFNLTTTIIGAGIMALPATMKVLGLILGFILIILMGILSEMSVELLIRFSVQCNASSYGEVVQAALGKTARILSEICIIVNNAGVLIVYLIIIGDVMSGSLHHIGVFDQWLGQGVWDHRKLVVLIFLVIFLAPLCALDKIESLSLTSAASVALAVVFVFVAFIVAFIKLVEGKIEAPRMTPDFGSTRAILDLLVVIPIMSNAYVCHFNIQPIYNELEGRSPQKMYRVGRITTVICVLVYASTAVAGYLLFGKETESDVLTNFDKDLGIRFSTALTYIVRIGYVFHLILVFPVIHFSLRQAVDALFFKDSAPLQESRKRCLALTAVLLALIYFGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRLSKEGNGLSSGEKALCWSMLVMAVIVSTLGVIGNIYSIK >itb02g24840.t1 pep chromosome:ASM357664v1:2:25557127:25560497:1 gene:itb02g24840 transcript:itb02g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAVASKDGFVDLQLKDGDFESDVPSRKLVASDQENRYVKPVNADELELDDIDFDNLPLIFGENKSGSGIYGAVFNLTTTIIGAGIMALPATMKVLGLILGFILIILMGILSEMSVELLIRFSVQCNASSYGEVVQAALGKTARILSEICIIVNNAGVLIVYLIIIGDVMSGSLHHIGVFDQWLGQGVWDHRKLVVLIFLVIFLAPLCALDKIESLSLTSAASVALAVVFVFVAFIVAFIKLVEGKIEAPRMTPDFGSTRAILDLLVVIPIMSNAYVCHFNIQPIYNELEGRSPQKMYRVGRITTVICVLVYASTAVAGYLLFGKETESDVLTNFDKDLGIRFSTALTYIVRIGYVFHLILVFPVIHFSLRQAVDALFFKDSAPLQESRKRCLALTAVLLALIYFGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRLSKEGNGLSSGEKALCWSMLVMAVIVSTLGVIGNIYSIK >itb02g24840.t2 pep chromosome:ASM357664v1:2:25557103:25560593:1 gene:itb02g24840 transcript:itb02g24840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MDSNYSAVASKDGFVDLQLKDGDFESDVPSRKLVASDQENRYVKPVNADELELDDIDFDNLPLIFGENKSGSGIYGAVFNLTTTIIGAGIMALPATMKVLGLILGFILIILMGILSEMSVELLIRFSVQCNASSYGEVVQAALGKTARILSEICIIVNNAGVLIVYLIIIGDVMSGSLHHIGVFDQWLGQGVWDHRKLVVLIFLVIFLAPLCALDKIESLSLTSAASVALAVVFVFVAFIVAFIKLVEGKIEAPRMTPDFGSTRAILDLLVVIPIMSNAYVCHFNIQPIYNELEGRSPQKMYRVGRITTVICVLVYASTAVAGYLLFGKETESDVLTNFDKDLGIRFSTALTYIVRIGYVFHLILVFPVIHFSLRQAVDALFFKDSAPLQESRKRCLALTAVLLALIYFGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRLSKEGNGLSSGEKALCWSMLVMAVIVSTLGVIGNIYSIK >itb12g04330.t1 pep chromosome:ASM357664v1:12:2840864:2843100:-1 gene:itb12g04330 transcript:itb12g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSGVSMENLLGLLRIRIYRGVNLAIRDVLSSDPYVIVRMGKQKLKTSVVKRNVNPEWNEDLTLSISDPNLPITLQVYDKDRFSFDDKMGDAEFDITTFVEAVKMHFQNIPSGTIITKIKPSRVNCLAEESSIVWEDGKVVQNMVLRLRNVECGELELQLQWIDIPGCKGL >itb11g06300.t1 pep chromosome:ASM357664v1:11:3732453:3735599:-1 gene:itb11g06300 transcript:itb11g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVELLSKERMMKQSSPTPPHLKTLKLSFLDQTSPPFFAPFIFFFHHATTDDDHECSSQLLKQSLSKVLTLFYPLAGRIKGNDFIDCSDEGALWVEARVHGFLKNVIENPLMEELEKFLPLEPYSGDDRELMLGVQVNYFLDCGIAVAVCMSHKIGDALSVVNFVNAWAVTAREGDAAVISPPNFGLVSSLFPPTTKDLSGSGFSPTLGMTTREKIVTRRVVFDKESLTALKKSAAAESSRVGNPTRVEALSAFLWKHFREASRKKSQHGSVKKTLQATQNVNLRAKMNPPLSDATFGNLWSLANTTEKPSESDDDLVFQLRTSIREINAEYVEALQNGKGHSEHLRKWHERFSGEGETEFLEFTSACGFPIYEVDFGWGKPVWVCTTTFPYKNLIILMSTKCGDGIEAWINMGEEDDIYMGVGSLTPGPKL >itb04g12580.t1 pep chromosome:ASM357664v1:4:12313872:12316449:-1 gene:itb04g12580 transcript:itb04g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHPLLCKSSMAALILLLVGLLHITGVESIGVCYGKNGNNLPSDTETINLMKSNGIQGLRVYAADTTVFNALKGSNIAVTVDVSNPKLKELTNAAQAKAWVQNNIVPYANNVKFKYIAVGNEVYAGNSETAQYVDSVMPALRNVHNALAAFGLQGKIKATTATYSAVLTNTYPPNQGVFQENAKKLMVPVVQFLAQTGNPLLANIYPYFSYRGNPSQIPLSYALFTDPNPNSVGYRNLFDALLDSMYAAVQKAGGPNVPIVVSETGWPSAGGFAATMQNAATYYRNLIAHVKGNAGTPMKPGRSIETYLFAMYDENQKPGDQVEKHFGVYRPDKSSKYQLQF >itb07g00030.t2 pep chromosome:ASM357664v1:7:42460:45843:1 gene:itb07g00030 transcript:itb07g00030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFVSSEEDEFDAGSDGYSISADVSESESSTSSTTFSCGRLAPSSSLSTTPLAAFCSNSNSPPPAPTMFPAVVGGRHVFIPTMKPPKSKTDMSEVELMKSRFAKLLLGEDMSGGGKGVCTALAISNAITNLAASVFGELWKLEPLAPQKKSIWRREMEWLLSVSDSIVELVPSMQEFPNGGTFEVMVPQPRSDLYINLPALKKLDAILINILDGFHNSEFRYVDRGVVVADGEQIETCPRSLSSHRPSIRLEEKWWLPFPKVPSNGLSEESRNQLIQCRECTSQIFKAALAINTSVLFEMEVPKVYMESLPKSGKESLGETLYSDITTGQLSPECLLDYLDLSSEYTASEIANRIEAAMHIWRQKHRKKQLNPAKSGKASWGGTMKGLVGNKERNKMLSERAEAILRSMKLNLPGLRQTTLDMQKIQYNRDVGQSILESYSRVLESIAFNLMARIDDLFYIDDATRRRVAAESSMLNQRGCGACSLQRQVSSGSLSYQRKHSAPLPTDQAFRPSASLVDVSRRTHNMQARR >itb07g00030.t3 pep chromosome:ASM357664v1:7:42531:44872:1 gene:itb07g00030 transcript:itb07g00030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFVSSEEDEFDAGSDGYSISADVSESESSTSSTTFSCGRLAPSSSLSTTPLAAFCSNSNSPPPAPTMFPAVVGGRHVFIPTMKPPKSKTDMSEVELMKSRFAKLLLGEDMSGGGKGVCTALAISNAITNLAASVFGELWKLEPLAPQKKSIWRREMEWLLSVSDSIVELVPSMQEFPNGGTFEVMVPQPRSDLYINLPALKKLDAILINILDGFHNSEFRYVDRGVVVADGEQIETCPRSLSSHRPSIRLEEKWWLPFPKVPSNGLSEESRNQLIQCRECTSQIFKAALAINTSVLFEMEVPKVYMESLPKSGKESLGETLYSDITTGQLSPECLLDYLDLSSEYTASEIANRIEAAMHIWRQKHRKKQLNPAKSGKASWGGTMKGLVGNKERNKMLSERAEAILRSMKLNLPGLRQTTLDMQKIQYNRVSFLSLQHPFIYARPAS >itb07g00030.t1 pep chromosome:ASM357664v1:7:42460:45843:1 gene:itb07g00030 transcript:itb07g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFVSSEEDEFDAGSDGYSISADVSESESSTSSTTFSCGRLAPSSSLSTTPLAAFCSNSNSPPPAPTMFPAVVGGRHVFIPTMKPPKSKTDMSEVELMKSRFAKLLLGEDMSGGGKGVCTALAISNAITNLAASVFGELWKLEPLAPQKKSIWRREMEWLLSVSDSIVELVPSMQEFPNGGTFEVMVPQPRSDLYINLPALKKLDAILINILDGFHNSEFRYVDRGVVVADGEQIETCPRSLSSHRPSIRLEEKWWLPFPKVPSNGLSEESRNQLIQCRECTSQIFKAALAINTSVLFEMEVPKVYMESLPKSGKESLGETLYSDITTGQLSPECLLDYLDLSSEYTASEIANRIEAAMHIWRQKHRKKQLNPAKSGKASWGGTMKGLVGNKERNKMLSERAEAILRSMKLNLPGLRQTTLDMQKIQYNRDVGQSILESYSRVLESIAFNLMARIDDLFYIDDATRRRVAAESSMLNQRGCGACSLQRQVSSGSLSYQRKHSAPLPTDQAFRPSASLVDVSRRTHNMQARR >itb11g12740.t1 pep chromosome:ASM357664v1:11:9708935:9713181:1 gene:itb11g12740 transcript:itb11g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKIVVIVEEEEVARTAFEWALHNLLRCGDLITLLHVFPSSRSSSRNKKKQRLLRLKGFQLALSFQDLCNSAFINTRTEIVVREGDLEGGTIAATVREIGASTLVAGLHDHSFLYKLAMSHTNITNNLNCKILAIKQPHSSPLTARSRTISLPGSSTNMDFSQIEIGALSFPEIGPPKIQYQVCPDPHSIMWRSRRPRRRPKRI >itb14g10990.t1 pep chromosome:ASM357664v1:14:12469055:12470536:1 gene:itb14g10990 transcript:itb14g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLSLVRGNHEENDHRQHKLGSIANQESLGKRQRSEIENDFSDLLGVSASPPSASALHHNRPSPTHCLGTLIVTIDLAASPVPVPDLRPKRLPLSGIIVFEFEDLLSSYL >itb06g17700.t1 pep chromosome:ASM357664v1:6:21488411:21489756:-1 gene:itb06g17700 transcript:itb06g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKAAALTGGNLDLALAAPTRRLGPGFRFHPTDEELVVYYLRRKVRGKPFHVEAIAVVDIYKHEPWELHAFSAVNSTDQEWYFLVGFEKKYKGSRLINRSLTEKGYWKTSEKDQTVSHKREIVGMKKTPVYHAGRPPNGRRTNWVMHEYRLVEAELDKAGVDQDDSFMLCKIFCKNEMGPPCSGVYGPFNEEEWENNDEVMRQAGFTSNTKNMLKFDLFPGNKCVSSDEASSLSTDVPVPQPGLLNFSLSAQPNLENHSHLPLPPKVVGAFNSSCLEKSVPPGYLKFINDLEEERNKLMDSMMNSEATIRRLLESNKQLEEENRRLKNLHF >itb04g23150.t1 pep chromosome:ASM357664v1:4:28337716:28339589:1 gene:itb04g23150 transcript:itb04g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKLEGLEETRELPDSMWVEILSRLPSKTLAWVRFVSKKFNHIVSDRKFIKSQLKPREQLSGFFFQDELSIVPKRERANYISVDRAFPKAEKDVLNFLPTPPENVVIVSSSNGLVCFKTRLASYENKFYVCNPLTKECITLQWSNNRPFRTMLTRCLTALIFEPFKSQIDVSTDFQVVTIFQSQAFEGEFEGVRLSFHIYSSRTKEWTISRETCTCDRELLDMEFAVVEGVIYCRTYDQCILMFNPETEQSFLFSKPDPNSSDTPETLLGDAAGKLQFLQLYEDRGLQVWELEDSSSSDWSVKHSISLEEIEKENPCLEGVLTTNEITLLSFKDMTLLMIVTTGVYAFNIETKKAKLLCPSSELTYNRGRDYW >itb09g06440.t1 pep chromosome:ASM357664v1:9:3699114:3701487:1 gene:itb09g06440 transcript:itb09g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIDESSRSPTRLEGKPGAIVVCWILGLGSLISWTSMLIIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLVGYVLFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADANVQGGVIGDLSFMCPEFIQSYLAGVAASGTLISGLRLVTKAAFEHFNNGLRKGVILFVAVSTFFEFLCIILYAFIFPKLPIVKYYRAKAASEGSKTVTADLAAAGITTPSESVDDAKQVEKLSKKKLFIENIDYALDIYLTYVVTLSIFPGFLYENTGTHNLGSCV >itb09g06440.t3 pep chromosome:ASM357664v1:9:3699114:3701337:1 gene:itb09g06440 transcript:itb09g06440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIDESSRSPTRLEGKPGAIVVCWILGLGSLISWTSMLIIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLVGYVLFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADANVQGGVIGDLSFMCPEFIQSYLAGVAASGTLISGLRLVTKAAFEHFNNGLRKGVILFVAVSTFFEFLCIILYAFIFPKLPIVKYYRAKAASEGSKTVTADLAAAGITTPSESVDDAKQVEKLSKKKLFIENIDYALDIYLTYVVTLSIFPGFLYENTGTHNLGSCV >itb09g06440.t2 pep chromosome:ASM357664v1:9:3699114:3701487:1 gene:itb09g06440 transcript:itb09g06440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIDESSRSPTRLEGKPGAIVVCWILGLGSLISWTSMLIIGDYYYQLFPKYHPSRVLTLVYQPFAIGTIAILAYKGEKLNTRKRNLVGYVLFFLGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADANVQGGVIGDLSFMCPEFIQSYLAGVAASGTLISGLRLVTKAAFEHFNNGLRKGVILFVAVSTFFEFLCIILYAFIFPKLPIVKYYRAKAASEGSKTVTADLAAAGITTPSESVDDAKQVEKLSKKKLFIENIDYALDIYLTYVVTLSIFPGFLYENTGTHNLGSWYPTVLVAVCNLWDFISRYIPLIKKVELKSRKGLMIVTLSRFLLIPCFYFTAKYGDQGWMILLVSFLGLTNGYLTVCVLTAAPKGYKGPEANALGNILVLCLLSGIFFGVVLDWLWLIGNSGF >itb01g36210.t1 pep chromosome:ASM357664v1:1:38088359:38090061:-1 gene:itb01g36210 transcript:itb01g36210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSKSITNQVDLSLKLAKHVFSTQAEGDSNLVFSPLSINVILSLIAVGSNSPTRDELLAFLMSDSTDLNTFYSQIVDNIRVDGSLTGGPCLSVASGLWIDRTLPLKPSFKYVVDSVYKAASESVDFQNKASEVVDQVNSWAEKETKGLINNLLPPSAIDDTTRLIFASALYFKGDWSKKFNESNTQDHEFHLLNGSSIQVPFMRTCVKQYVKAFSGFKVLKLSYNRGNDDKERRSFSMYFFLPDAMDGLPSLLDKASSESGFLERHLPTKLVSVGKFRIPKFQISFQFEVSRVLGELGVKAPFNPRGGGLTEMVDSPEGSELYVSKILQKSFIEVNEGGTEAAAVSVSLMVVGAGFRIEKEDKTDFVADHPFLFAIREDLSGAILFVGTVLHPQYNSKNLPSKPTPALPPPWVFWERSRRPSIGPESMGLLQSLRPFVGGSENKELLEWDLAEIERLFQF >itb09g13540.t1 pep chromosome:ASM357664v1:9:8861626:8865290:1 gene:itb09g13540 transcript:itb09g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSFSVSNLNNLDSQIESYLVRTISFGGEGVKKKKAKRPTSLDDSGPSMLKSSVSFNGSGSEFKTKKTLLSRNVVRSYSHGSREFSLKSPSPGSISMPPLNTRDQRNQAAVKVQKTYKSFRTRRQLADCAVLVEQRWWKLVDSVEPKLNAISFFDIDRPETAVSRWSRAMTKAAMVGKGLSKAGKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLQCDSRQAFFYWLDIGEGREVNLERCPRPKLQQQCIKYLGPVEREPYEVKVVYGKFFYKHSGKLLDTREGTDDTKWIFVLSVSRVLYVGQKRKGTFQHSSFLAGGATLAAGRLVVENGILKAVWPHSGHYLPTEENFEEFICFLEQHNVDITLVKRAPSVDEEGCFIKKEYSFGLRSSMSEPDFRTTSSEENTVKCVESEEDDSSRTYSKGVENVRAPRSRRARRSRPNIPVLQIPKREDILEKFRGEEKWQPGTSSTPVETPADGYETAEEYLSDTEFSVSKHNLFDGETEEDFEEPVPREKIARRIVSHKGMKSFQLADQLSCRWTTGAGPRIGCVRDYPVELQFRVLEEVNLSPKSTYSSPPRKSARTTPTQLSCREANASKSPLGRESMLLNHQVATP >itb03g26880.t2 pep chromosome:ASM357664v1:3:26543863:26546714:1 gene:itb03g26880 transcript:itb03g26880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSHSSGIMWFFKDRGFDDKSIHEMFQKCRRLEGMQRQNASENWDYLKSIGIKERKLPSIIRKCPKILTLGLHEKLVPMVQCLATLGTKPDEVASAITKFPHILSHSVEEKLCPLLAFFEALGITEKQIGKMILINPRIISYSIESKISQIVDFLSNLGLSKEGMVGKVLVKHPSIMGYSVDKRLRPTSDFLKSLGLTEHDLQRVIINFPAILCRDVNKILKPNISYLRSCGFEASQIAALVTHYPPVLIKSINNSLQPRIRFLVDVMGRRIDEVADYPDYFRHGLKKTLELRQKVLTQKNIDCSLSEMLDCNDKKFLSKFGLVE >itb03g26880.t3 pep chromosome:ASM357664v1:3:26544604:26546718:1 gene:itb03g26880 transcript:itb03g26880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSHSSGIMWFFKDRGFDDKSIHEMFQKCRRLEGMQRQNASENWDYLKSIGIKERKLPSIIRKCPKILTLGLHEKLVPMVQCLATLGTKPDEVASAITKFPHILSHSVEEKLCPLLAFFEALGITEKQIGKMILINPRIISYSIESKISQIVDFLSNLGLSKEGMVGKVLVKHPSIMGYSVDKRLRPTSDFLKSLGLTEHDLQRVIINFPAILCRDVNKILKPNISYLRSCGFEASQIAALVTHYPPVLIKSINNSLQPRIRFLVDVMGRRIDEVADYPDYFRHGLKKTLELRQKVLTQKNIDCSLSEMLDCNDKKFLSKFGLVE >itb03g26880.t1 pep chromosome:ASM357664v1:3:26543863:26546718:1 gene:itb03g26880 transcript:itb03g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSHSSGIMWFFKDRGFDDKSIHEMFQKCRRLEGMQRQNASENWDYLKSIGIKERKLPSIIRKCPKILTLGLHEKLVPMVQCLATLGTKPDEVASAITKFPHILSHSVEEKLCPLLAFFEALGITEKQIGKMILINPRIISYSIESKISQIVDFLSNLGLSKEGMVGKVLVKHPSIMGYSVDKRLRPTSDFLKSLGLTEHDLQRVIINFPAILCRDVNKILKPNISYLRSCGFEASQIAALVTHYPPVLIKSINNSLQPRIRFLVDVMGRRIDEVADYPDYFRHGLKKTLELRQKVLTQKNIDCSLSEMLDCNDKKFLSKFGLVE >itb02g09120.t1 pep chromosome:ASM357664v1:2:5812126:5814369:-1 gene:itb02g09120 transcript:itb02g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKELKAALGQRINVEGIASSLEIFRKDKHLIIPHVSVPDIRYIDWGELKRRGFQGVVFDKDNTITAAYSLGLWPPLESSIQHCKALFGNNIAVFSNSAGLYEYDPDGKKAMILERAIGIKVIRHKMKKPAGTAEEIEQHFGCESSKLIMVGDRPLTDIAYGNRNGFLTILTEPLSLAEEPFIVQQVRVIERALMKHWSRKGLKPITHELLPDYMLCVKDKPL >itb12g09760.t1 pep chromosome:ASM357664v1:12:7711410:7716966:-1 gene:itb12g09760 transcript:itb12g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMTAIKTASDGAWQGQNPLNFALPLLIVQTTLVIVVSRFFAFVLKPLRQPKVIAEILGGVILGPSAFGRNQEYMQTIFPEWSTPILETVASIGLLFFLFMVGLELDLSSLRRNGRSAFCIAAAGISLPFVFGIGITFLFRRVVQGADEVGFAPYSVFMGVALSITAFPVLARILAELKLLTTDVGQTAMAAAAFNDVAAWVMLALAVALAGNGGGAAHKSPVIPIYVLLCGVAFVIFMMVVIRPAMKWVARRCSPEHEALEEAYVCLTLAGVMVSGFITDLIGIHSIFGAFVFGLTIPKGSDFSERLILRIEDFVSGLLLPLYFASSGLKTDVAKICGGEAWGLLVLVITAACGGKIIGTFLVAMLCKAPVRESLTLGLLMNTKGLVELIVLNIGKEKKVLNDEVFAILVLMALFTTFITTPTVMAIYQPARGVTHYTHPQLQSPSAAKDDELRLVACLHGSANVSSLINLVDLIRPTKSRLKLYVMHLVELTERSSSIVMLQRFQKNGFPLISRLLPGGRRRLHDLVAVAFRAGGVSVRPATAVSPLPTMHADVCQMAEEKRAFMVILQFHKRWVGGHGGEVVEDMGHGWRGVNQMVLKEAPCSVALLVDRGYREAGFLETREKSDGVEGGGAVAQRVCVIFIGGADDREALVLGGKMAEHPAVRVKVIHFVEKQEVEKRSDLNKCWTADLEKEKEVDERAMEGFLRRWEGVVEYQVKETSNIVDAVLTIGRSGDYDLLVVGIGRRPSKMVAELAERPSEHPELGPIGDLLASSGEGVVSSVLVIQQQHYMARAQEVPV >itb12g09760.t2 pep chromosome:ASM357664v1:12:7711755:7716966:-1 gene:itb12g09760 transcript:itb12g09760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMTAIKTASDGAWQGQNPLNFALPLLIVQTTLVIVVSRFFAFVLKPLRQPKVIAEILGGVILGPSAFGRNQEYMQTIFPEWSTPILETVASIGLLFFLFMVGLELDLSSLRRNGRSAFCIAAAGISLPFVFGIGITFLFRRVVQGADEVGFAPYSVFMGVALSITAFPVLARILAELKLLTTDVGQTAMAAAAFNDVAAWVMLALAVALAGNGGGAAHKSPVIPIYVLLCGVAFVIFMMVVIRPAMKWVARRCSPEHEALEEAYVCLTLAGVMVSGFITDLIGIHSIFGAFVFGLTIPKGSDFSERLILRIEDFVSGLLLPLYFASSGLKTDVAKICGGEAWGLLVLVITAACGGKIIGTFLVAMLCKAPVRESLTLGLLMNTKGLVELIVLNIGKEKKVLNDEVFAILVLMALFTTFITTPTVMAIYQPARGVTHYTHPQLQSPSAAKDDELRLVACLHGSANVSSLINLVDLIRPTKSRLKLYVMHLVELTERSSSIVMLQRFQKNGFPLISRLLPGGRRRLHDLVAVAFRAGGVSVRPATAVSPLPTMHADVCQMAEEKRAFMVILQFHKRWVGGHGGEVVEDMGHGWRGVNQMVLKEAPCSVALLVDRGYREAGFLETREKSDGVEGGGAVAQRVCVIFIGGADDREALVLGGKMAEHPAVRVKVIHFVEKQEVEKRSDLNKCWTADLEKEKEVDERAMEGFLRRWEGVVEYQVKETSNIVDAVLTIGRSGDYDLLVVGIGRRPSKMVAELAERPSEHPELGPIGDLLASSGEGVVSSVLVIQQQHYMARAQEVPV >itb14g10180.t1 pep chromosome:ASM357664v1:14:11482815:11483381:1 gene:itb14g10180 transcript:itb14g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSIGAVFALFAGFHYWVGKIFGRTYPETLRQIHFWITFFGVNLTFFPMHLLGLSGMPRRILDYPDAYAGWNALSSFGSYISVVGICHFFVVVTITSSSGKNKRCAPSPWAVEQNPTTPEWMVQSPPPFHTFGELPAIKETKSYVK >itb04g08580.t1 pep chromosome:ASM357664v1:4:6932162:6939440:-1 gene:itb04g08580 transcript:itb04g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTLWISELQILKLAPQRRSQRHMGGQVQQSNAAATALYDHPGNASHAGDAGEAVMARWLQSAGLQHLASPMASTGVDHRLLPNLLMQGYGAQSVEEKQRLLKLMRNLNFNGESGSEPYTPTAQSSGAIAVSDDFYSPEFRGDFGAGLLDLHAMDDTDLLSENVVTEPFEPSPFMPAVNKAYDSDFGVLNSQQQKEQIDAHASIEVPGSEKDNTTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVSSDDCCLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEHLVRLLHQPIYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNTARSTGTTGANEESSRSHAILQLAVKKHTEGKDSRRNNDGNEMKIGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKSGNTKKDQNASTLPPMNKETSSAPSLAIYADAGDINEQLQGSKVSDMGRRVLEESGATSGVTERERFEVKNTYGGPPSQKASSTSYSQNSMDTEEKTQKVSPPRRKAYRDEKTENSERPGNWSKNHISNSNSFVTGIPSIKSTSIPSTKSTGIPSIQNTSIPSIKSVGLKQQEVGSSPEDDSINEILEEEEALIAAHRKEIEDTMEIVREVNTTLFLFPTRR >itb05g01060.t1 pep chromosome:ASM357664v1:5:913248:918104:1 gene:itb05g01060 transcript:itb05g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVLLLLVLSAVLGSALSKTNSSISIAKSKCRDSCGNVMIPYPFGLTEDYCSLNSNFFIACNSSFDPPKPYLPNSNIEISDISVDGQLTVMKYIARICYSKTGEAVPPSDSWLKTSNFYVNQTANKFVAVGCDAVATVSGSDRSTKMEGCRASCDGIGEVKNGTCSGIGCCETAIPNMAKNVYFTVGSIFSYNQTTGVVPCNYAFVVKNGGFDFSSTMLTKEWDVVEFPMVLDWFISSETCNTACQGNTSCVPVNGTVNGEGYRCACKEGYEGNPYLSGCKDIDECVNGQNNCSINSICSNIEGSYECHCEEGYHGDGKGELGCQLPKDDCKDNGKGCTSSKRMIIEHFIGIALCTVLLISGFCLYLGYRRKKSTQIREKFFRENGGLILKQKIAQGTTSSCTTRIFTAQGLRKATNNYDQTRIIGQGGFGVVYKGHLLDGRTVAVKKPKMLDPTQVEQFINEVIVLSQINHRNIVKLFGCCLETEIPLLVYEFINNGTLCEHLNDKNKASTLAWPVRLRIATETAEVLSYLHSAASPPIIHRDVKPANILLDNECTAKVSDFGASRLVLQDQTQLITMVQGTFGYLDPEYMQTHQLTEKSDVYSFGVVLMELLTGRRAVIYDGPMEERSLYEHFLSSLKTNQLFKILDDNIVCEGNTEELQEVALLARRCLNVKGEERPTMKEVAVELSGLRRAAKHSWVNNSDTSIKSHALFTDDQPPLISSGYIDATFSITSEYDSLKHHMELPMTTAR >itb04g03660.t1 pep chromosome:ASM357664v1:4:2278660:2280819:1 gene:itb04g03660 transcript:itb04g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGCEGGAEAVLELKPNSSLSIAYHPRFGPHDDLFLIELDEKLLPDFLHQSVTLRGQPDEDAVLCTLSKTYAVKFVGSSNSVFLIPPSDSSISREASEDASVRSDDKKTVASVIKVAPGCMELVEVAPRLDKLRMLLSQNPYSFDEASEMDISEAVDEGRFLYTWDDLVESVQASDEELRAGLQALSAVEINGYWRILDEKYMDAVLNMLLHNSVINNWSLNRLIEDDVLHVMEGDGFPSKIAKHCIQVHGSKVEEGSSRSSIWKLDEKKICVHFAREILRGKKMKTETFLEEWRLRIPDGMKPCFEMLEGEVLTEKLGIDTWVYPFSVSSLSSVPAERFSVLFQERPKWEWKDLHPYVRDLKVPGLSLEGLLLKYTRRTQPTADAEPIFSVR >itb05g21780.t2 pep chromosome:ASM357664v1:5:27401929:27415057:-1 gene:itb05g21780 transcript:itb05g21780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGLTRGETAEPREITRTIPTSESIGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYMFQICYMMVHKPSPSLDKFVIDMCTKSLKIALKVHWFLMAELEDIDDNEGISRIQEKCQIAATLMGEWPPLIKPQNSTSSPMGKNQMLNKLLSSKQRLLSLTSSPPAVQRSMSFSPNSVSLSQQDDNGGSKISTPEENKIFKKLIPGPKVRDALLFRKSAEKEDDSADKDSFFKRLLRDSRDEDSRKASVDRDDEESEKDSFFKRLLRDSRDEDGKKLTGKDEDDSEKDGFFKRLLSSSRDEDARKSVEKDEEESEKDGFFRRLLSSSKDDEEEVTTSSDGFFKRIFRDSKNDVDDKASSKSVEDDENEGFFRKIFKERSEDKKDGSEKNEYVEKAKKFSEDDDKDGFFKKFFKEKFEDKKEGTDKIYEDGRDDHVNGEEEEPSDFSLFRRLFRVHPEDSKVSTANENNISGNLHESSPGTENFFRKLFKDRDRSVEDSELFGSKRNKEKRPGSPKQHDKPTSKPPLPNNGVSQIRKGAYHESLEFVQSLCDTSYGLVDVFPIEDRKSALCESLGEINAHVATAQNSGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCETPSLKDAPNMQKLSKGGIPLANGDVFLQKPPPWACPVWNGQDMYHSGYDSRMSRSTSQAIDQAMTQFREAKVKFVRVNLSLEMQSDCMTDFYKQNKEIGPYASKSMDDCGLEWVRVTLTSEPGVSMDDIVDQEPPRRKEHRRVPSTVAIEEVKAAALKGEAPPGLPLKGAGQDSDAQPKVPNGGLPNACDALSGELWEVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKNRFSNITSLRDFFVAKYQENSPTFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >itb05g21780.t1 pep chromosome:ASM357664v1:5:27401929:27415115:-1 gene:itb05g21780 transcript:itb05g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGLTRGETAEPREITRTIPTSESIGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYMFQICYMMVHKPSPSLDKFVIDMCTKSLKIALKVHWFLMAELEDIDDNEGISRIQEKCQIAATLMGEWPPLIKPQNSTSSPMGKNQMLNKLLSSKQRLLSLTSSPPAVQRSMSFSPNSVSLSQQDDNGGSKISTPEENKIFKKLIPGPKVRDALLFRKSAEKEDDSADKDSFFKRLLRDSRDEDSRKASVDRDDEESEKDSFFKRLLRDSRDEDGKKLTGKDEDDSEKDGFFKRLLSSSRDEDARKSVEKDEEESEKDGFFRRLLSSSKDDEEEVTTSSDGFFKRIFRDSKNDVDDKASSKSVEDDENEGFFRKIFKERSEDKKDGSEKNEYVEKAKKFSEDDDKDGFFKKFFKEKFEDKKEGTDKIYEDGRDDHVNGEEEEPSDFSLFRRLFRVHPEDSKVSTANENNISGNLHESSPGTENFFRKLFKDRDRSVEDSELFGSKRNKEKRPGSPKQHDKPTSKPPLPNNGVSQIRKGAYHESLEFVQSLCDTSYGLVDVFPIEDRKSALCESLGEINAHVATAQNSGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCETPSLKDAPNMQKLSKGGIPLANGDVFLQKPPPWACPVWNGQDMYHSGYDSRMSRSTSQAIDQAMTQFREAKVKFVRVNLSLEMQSDCMTDFYKQNKEIGPYASKSMDDCGLEWVRVTLTSEPGVSMDDIVDQEPPRRKEHRRVPSTVAIEEVKAAALKGEAPPGLPLKGAGQDSDAQPKVPNGGLPNACDALSGELWEVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKNRFSNITSLRDFFVAKYQENSPTFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >itb15g15590.t2 pep chromosome:ASM357664v1:15:14557774:14565193:-1 gene:itb15g15590 transcript:itb15g15590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIYYILVALPCTAGAIGLAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYALTSFLSLVMNKSAIYFNSLREMRFIRKCKQGCLQFVILKPILVALTFILYAKGKYEDGNFNPGQSYLYLTIVYTISYSMALYALLLFYVACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRLIKDTEEAAEFQNFIICVEMLIAAIGHFYAFPYKEYAGANIGPSRGFTASLAHALMLNDFYHDTVHQFAPTYHDYVLYNHGDGEGAKKYRARTFVPTGQEMDAVRRNKHAFGNKLEDVQMSCRSSSASRTSQNPDNTQDSTKTEAMNSSLLMDTSSSQSLPYDLSLIDIDISDYPSKVPSVNETVKR >itb15g15590.t1 pep chromosome:ASM357664v1:15:14557763:14565193:-1 gene:itb15g15590 transcript:itb15g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIYYILVALPCTAGAIGLAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYALTSFLSLVMNKSAIYFNSLREIYEAWVIYNFLSLCLEWVGGPGAIVLNLSGRFLKPNCCLMTCCFPPISLDGRFIRKCKQGCLQFVILKPILVALTFILYAKGKYEDGNFNPGQSYLYLTIVYTISYSMALYALLLFYVACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRLIKDTEEAAEFQNFIICVEMLIAAIGHFYAFPYKEYAGANIGPSRGFTASLAHALMLNDFYHDTVHQFAPTYHDYVLYNHGDGEGAKKYRARTFVPTGQEMDAVRRNKHAFGNKLEDVQMSCRSSSASRTSQNPDNTQDSTKTEAMNSSLLMDTSSSQSLPYDLSLIDIDISDYPSKVPSVNETVKR >itb07g12520.t1 pep chromosome:ASM357664v1:7:14400265:14412791:-1 gene:itb07g12520 transcript:itb07g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIDNLPPMDLMRSEKMNFVQLIIPVESAHRAVTYLGQLGLLQFRDLNAEKSPFQRTFVNQVKRCAEMSRKLRFFKDQIHKAGLPLSPSPTSQPDIELEELEVQLAEHEHELIEMNANSEKLQTSYNELLEFKMVLQKAGEFLVSSQSNTSAQETELDENVHSHDEYADSASLMEQEMRSDLPNQFHVRFISGVICKSKVLTFERMLFRATRGNMLFNQAPAEYEIMDPASNEMVEKVVFIVFFSGEQARTKILKICEAFGASCYPVPEDIIKRRQIIQEVLSRLSDLETTLDAGLRHRDKALTSIGCELTKWMNTVRKEKAVYDTLNMLNFDVTKKCLLGEGWCPIFAKTKIQEALQRATFDSNSQVGIIFHVMDAFESPPTYFRTNRFTSAFQEIVDAYGVAKYEEANPAVYTVITFPFLFAVMFGDWGHGTCLLLAALFLIAREKKFGSQKLGSFMEMLFGGRYVLLLMSIFSIYCGLIYNEFFSVPFHIFGNSAYKCRDAACRDASTVGLIKYRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAHYFSNSIDVKYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPFEDLRDNKLFWGQSVLQVLLLLLALVAVPWMLFPKPFILKRLHTERFQGQSYGILGTSEMMDMEEPGSARPHHEDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNVFIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALLADDDD >itb03g26920.t1 pep chromosome:ASM357664v1:3:26571484:26582080:1 gene:itb03g26920 transcript:itb03g26920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQKERDQCYKPILEELERLFPNRCKESPPTCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNVTQTAKEWTIFPWIHSNCNSLSDNDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDPSQEGYWDAVVTCFFLDTAHNIVEYIEVISKILKDGGVWINLGPLLYHFADMYSLEDEMSIELSLEDVKKVALHYGFEFVKESTIETTYTTNPRSMMQNRYYTAFWTMRKKTNPA >itb01g01710.t1 pep chromosome:ASM357664v1:1:1016623:1017726:-1 gene:itb01g01710 transcript:itb01g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHLTLFFSLSLSILAAAVAAAFDPIRLPTAGYPVVDINGNDLFAGSEYYMSADVSGKARGQGVSLEKLNLTAPCPNDVVQLRSLDLGDPLVFTPAEKNYLVIPSTFQTISFTGAEECADKVTWKVEHDDQIGQQLVKAGEAVENVSNLFKIELVEWSLKAYKLTYCPRYEDNCYNVGRYYDRATRTMRLALTNTTDTLIVFKKK >itb04g10190.t1 pep chromosome:ASM357664v1:4:9461907:9462903:-1 gene:itb04g10190 transcript:itb04g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEKSSSKPGRGLTEKHRRQQMKFLYDKLAAALSLASSEEKMPALDLLDQATDYIKELQKNIKELRTRKDNLGQPVAVDVSEHNMGALLEVNIVCGSENKCLKMCKVLQILEEEGAEVVSATTSAVLDKICLTILCKAFSSRLGIETKQVQERLRNLVSGR >itb12g02190.t1 pep chromosome:ASM357664v1:12:1458841:1461349:1 gene:itb12g02190 transcript:itb12g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGFLHHLRCLQAQQQKMKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGDEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLQRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGESDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRNFTTKSGKKASKAPKIQRLVTPLTLQRKRARIAQKKQRIAKAKTEAAEYQKLLASRLKEQRERRSESLAKKRSRLSAASKPSVAA >itb12g26170.t1 pep chromosome:ASM357664v1:12:27172927:27181031:-1 gene:itb12g26170 transcript:itb12g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MEGLSAICAGLGAIEEDENDNRIGYEVGEYCSDNLKDLLRFLRRDDPQTREVFKQVCKWNIVGKDLLPIIEHCQDDRNLILNAVKILVFLTMPVEPSSTDIPQQMEYLWGIKRSITFSEAVPVIMSLLESPLENLECESFTEDDWKLVQLVITLFRNILAIQDISPNQKAGGSTSQFMFLRDKFLELLFQENVMDVILVLSQHVGGSCRHLRHDNLLLLETFYYIFMGQEPEFIAKAYMKCSKVDEDAETSLNSLKEIMEEEREKRKIIRQRNLGCYSQFSGTFTRFSLDGSKTLLKGNPSVSHDALLKVNKNHRGPMKRTVWDHGRLPSTKNKILELLYGFMNQFLEGGYNVLIESVREDIDKEHHTVQNSDVLIFIHVAQFVVSFQYHKFLNKPNIGVHTESSVDCHTDSTLVRGSICGPIAESLNESMFQLVTQKWRYAFDTLKESNDYKFLSVAGSFMRTMLLMLDLVLEQSPENSKENKTTRILLYKLFYDQTDEGMTLFILNQIKSFDTHKQAKSYLTDLLETMSILLQLMEKLQACGVLRVSKKQRKKHRKKPMMDGKKDNDDKAFQDTTTIQNDQGGSGSERTIHLDASIQEGNSIPTQLDESMVLGEKKTKMDDYDETVGNSNTIRNDVDGFSNEKPMNIDAVHANDEPSARLSSPGSHVPEMEQKITDETCHDSNNGMNDYSGEEDVMTSEVDLNVSALVSALANNDIVQNLCWLLKFYKSNSVITNNFIIRMLQRICDDLELSPMLYQLSLLTIFYNILEEQKLRPCKEYENVVIFLTSLVRRMLRKMKNYPLLFIEVLFWKTRKECCYINCESMLNELSGLKRSTENWSSASDRQGWVHRSIADALGDDEADFVLSNRDSTGDKAWGVECSNENLGQEEHNSQSISDVELCATENFDKEEHFVEKETEREPKRRKLSVLGYELKAKVKELYEKYKDDQHCDRLIAEALDPDGKVSPLQVSKALKHLGFKMPRRRRTANTSVDAAGVSDATTHPNLTVMEKESSVRRPTNTRKRVRAFSEEQEQKIKDLFEQFKGEKRCNQMIADALDPDGTFTAAKVSRKLKQLGLYLPKKKKSASNLQLRDEAFSDGSDDETLLSMRIRSQKQLNEERQEQSTRISSHSQDESDDELLSNVLVKTRKKNKEKLMINSTEEDFTEKHTDMPSSRGEDEETAEMEAAGADFISPNRSGDELSSEKEWPQRLEVEVEEKLDELADYENDEDAPLLASSNKNTGSRRQLRMVIDMDEEDE >itb05g17140.t2 pep chromosome:ASM357664v1:5:24174189:24178660:-1 gene:itb05g17140 transcript:itb05g17140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRLWVWGQNQGSNYPRLFWGAFSPDVIIRQVSCGAVHVVALSEDGVLQAWGYNEYGQLGRGVTSEGLQGPRVISGYAKFLDDAPEVVKITQVSCGEYHSAAISEEGEVYTWGLGSMGQLGHCSLQSSDKELLPRRVVSLDSVFVKDIACGGMHTCALTTKGALYTWGGGQVGQLGLGPLSGSFSCKLNESDVMLRNIPILVIPAGVQLVTCGHSHTLISTKDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAESVTPANASEIEDVASRTGSDALARLCERLREHMLDGDDLSHNGDEFD >itb05g17140.t4 pep chromosome:ASM357664v1:5:24174170:24178660:-1 gene:itb05g17140 transcript:itb05g17140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFFLFQGSNYPRLFWGAFSPDVIIRQVSCGAVHVVALSEDGVLQAWGYNEYGQLGRGVTSEGLQGPRVISGYAKFLDDAPEVVKITQVSCGEYHSAAISEEGEVYTWGLGSMGQLGHCSLQSSDKELLPRRVVSLDSVFVKDIACGGMHTCALTTKGALYTWGGGQVGQLGLGPLSGSFSCKLNESDVMLRNIPILVIPAGVQLVTCGHSHTLISTKDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAESVTPANASEIEDVASRTGSDALARLCERLREHMLDGDDLSHNGDEFD >itb05g17140.t3 pep chromosome:ASM357664v1:5:24174719:24178584:-1 gene:itb05g17140 transcript:itb05g17140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEIFGESRTTSLPTKSAIYVWGYNNSGQTGRKGKERSLRIPRQLPSQLFGCPPPAGNSRWLDIACGREHTAAVASDGSLFTWGTNEFGQLGDGTEEGRKHPAKVKQLQSEFVLSVSCGAHCTAAIAEPRENDGSMSTRRLWVWGQNQGSNYPRLFWGAFSPDVIIRQVSCGAVHVVALSEDGVLQAWGYNEYGQLGRGVTSEGLQGPRVISGYAKFLDDAPEVVKITQVSCGEYHSAAISEEGEVYTWGLGSMGQLGHCSLQSSDKELLPRRVVSLDSVFVKDIACGGMHTCALTTKGALYTWGGGQVGQLGLGPLSGSFSCKLNESDVMLRNIPILVIPAGVQLVTCGHSHTLISTKDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAESVTPANASEIEDVASRTGSDALARLCERLRYWFA >itb05g17140.t1 pep chromosome:ASM357664v1:5:24174170:24178660:-1 gene:itb05g17140 transcript:itb05g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEIFGESRTTSLPTKSAIYVWGYNNSGQTGRKGKERSLRIPRQLPSQLFGCPPPAGNSRWLDIACGREHTAAVASDGSLFTWGTNEFGQLGDGTEEGRKHPAKVKQLQSEFVLSVSCGAHCTAAIAEPRENDGSMSTRRLWVWGQNQGSNYPRLFWGAFSPDVIIRQVSCGAVHVVALSEDGVLQAWGYNEYGQLGRGVTSEGLQGPRVISGYAKFLDDAPEVVKITQVSCGEYHSAAISEEGEVYTWGLGSMGQLGHCSLQSSDKELLPRRVVSLDSVFVKDIACGGMHTCALTTKGALYTWGGGQVGQLGLGPLSGSFSCKLNESDVMLRNIPILVIPAGVQLVTCGHSHTLISTKDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAESVTPANASEIEDVASRTGSDALARLCERLREHMLDGDDLSHNGDEFD >itb01g17540.t1 pep chromosome:ASM357664v1:1:22334471:22335820:-1 gene:itb01g17540 transcript:itb01g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIVSAELIKPSSPTPPSLQRLSFSMLDQLSPSNYVPMAFYYPAAEGDSPTTISVQLLKTSLSQILTKFYPLAGRINGNAWIDCSDQGAAFVVARASCPLSSFLVRGSEPESARRVLPIGVETNDPFRGCALIIQMTMFPCGGAVIGVRVCHKICDASSRTVFVNAWAAVCRGDTHVTAAPEFISTKLFPPPPPPLPNRDGNPRQQTITSPSRALELKRDESLMTKKYVFSASSVLALKAKGASEQVPKPSRVEAVTALLWKCAIKARKTSHNNHPIHSVMTQAVNLRKRLHPPLPDSCIGNLILCYAPFYQNRDSTNNEVPELRELVAILRRGLSEMSDKYVERLRRGGDEANRAIAECFREIEEITGGEGAEVYRFTSWCGFPIYEADFGGGAPACVGIAASPFKNVGVLMDAKDGCGVEAWLCLSAHDMESIDTNNDLLASLHCD >itb13g21890.t1 pep chromosome:ASM357664v1:13:28380057:28389002:-1 gene:itb13g21890 transcript:itb13g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPPQPTAPSRGGTKYVQMQSEPSPSVTSSLLPHHHQQTTSELTRIFDELPKATIVHVSRPDASDISPLLLTYTIEFKYKQFNWQLVKKAPQVFYLHFTLKKRLFIEEITEKQEQVKELLQNLGLGENIPHTPTMHEDDDPDDESLAMRTDESVRNRDVPSSAALPIIRPTLGRQHSMSDTAKVAMQGYLNHFLGNMDIVNSPEVWAVLKPGFLAFLRDPFDNVPLDIVVFDILPGSDGEGQVSLTKELNEGKPLRHYFRVSSGTRSIKLRVKSDAKVKDWVAAINDAGFRPREGWCHPHRFGSFAPPRGFTEDGSQAQWFVDGRAAFEAIALAIEEAKSEIFICGWWLCPELYLRRPFQSHLSSRLDLLMEAKAKQGVQIHILLYKEVALALKINSVYSKKKFLGIHKNIKVLRYPDHFSSGVYLWSHHEKIVIVDHQICFIGGLDLCFGRYDSLEHKVGDCPPITWPGKDYYNPRESEPNSWEDTMKDELDRRKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKFREEHTANKDISIAQDIERQEQESFSCRSSFQDIPLLIPQEADRMDINGEPKLSGFSTVHDFHRQPSKKIRSLSLRRGKMEPLVPDMPMKGFANGVDVLDLQQDLSSNLMHRGTKSLDRDLWEAQDQDDQVGLLDEAGQVGPCVPCHCQVIRSVSQWSAGTSRIEGSIHNAYCSLIEKAEHFVYIENQFFISGLSGDDIIQNRVLDALYKRIMRAYNENNCFRVIIIIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGHSSILQNLHDRIGSRVNDYISFYGLRAYGRLFDGGPLVSSQIYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLIIEDKEFVDSYMGGKPWKAGKFASSLRLSLWSEHLCLHAEEVGKINDPVIDSTYKDIWMSTAKTNTMIFQDVFSCIPNDLIQSRAALRQCTAQLKGKISHATIDLGVAPDKLERQKDRDAKGTNPMARLESVKGHLVCFPVDFMSKEDLRPVFNESEYYASPQVFH >itb01g02170.t1 pep chromosome:ASM357664v1:1:1411697:1413745:-1 gene:itb01g02170 transcript:itb01g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFFILHVFFLGLVSPEISFTYHGFQHANLTLDGAAFMRSDGILAVTKESSYKLFGHAIYPSSLQFKESGQGGEKKSTALDFSTTFVFSINPKYTDLGGHGLAFVLQSRKQLLACLANQYLGLPNDTSVAEFNEKFLAVEFDVVQNLELKDINDNHVGIDISSLVSNISEPAAYYVDTNRTRNVSVNLKGGDPVQAWIDYSSRGMLLNIALSPLGISRPDQPLISLPIDLSGVLDDYMYVGFSASTGLLAAAHNVMGWSFRVGGKAKDLDLSKLPSLVKPKKVVKKRGFVVGLTFASVAVVLLLIGAALHFLHKFTDEDEILEDWEIRFSTHRFKYSELSTATRGFGEGNLVGKGGFGKVYRGVIPDTGLEVAIKRVAQGSCQGMQEFIAEITSLGRLKHRNLVQLHGWCRRRDELLIIYDYIPNGSLDKLLYDNPQQKKKILTWGQRYKILTGVAQALLYLHEECQQIVVHRDVKPSNVLIDADLNAKLGDFGLARIYEHGIQPKTTHIVGTLGYLAPEFTRTGKATRSTDTYGFGVLLLEVASGRRPIEPQKTAQELVLVDWVKELHSQGTIVQAVDPTLDVYNLHEAELVLNLGLICTHLSPEYRPTMRRVVQVLSGDASLPNFHPDFHAARATADYSYIYHDDSDPFSGKATSSKGTSFSSFDNKISPKHASRITF >itb01g02170.t2 pep chromosome:ASM357664v1:1:1411697:1416680:-1 gene:itb01g02170 transcript:itb01g02170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRSRRWRRSGAAETVRAAKKRMGLVSPEISFTYHGFQHANLTLDGAAFMRSDGILAVTKESSYKLFGHAIYPSSLQFKESGQGGEKKSTALDFSTTFVFSINPKYTDLGGHGLAFVLQSRKQLLACLANQYLGLPNDTSVAEFNEKFLAVEFDVVQNLELKDINDNHVGIDISSLVSNISEPAAYYVDTNRTRNVSVNLKGGDPVQAWIDYSSRGMLLNIALSPLGISRPDQPLISLPIDLSGVLDDYMYVGFSASTGLLAAAHNVMGWSFRVGGKAKDLDLSKLPSLVKPKKVVKKRGFVVGLTFASVAVVLLLIGAALHFLHKFTDEDEILEDWEIRFSTHRFKYSELSTATRGFGEGNLVGKGGFGKVYRGVIPDTGLEVAIKRVAQGSCQGMQEFIAEITSLGRLKHRNLVQLHGWCRRRDELLIIYDYIPNGSLDKLLYDNPQQKKKILTWGQRYKILTGVAQALLYLHEECQQIVVHRDVKPSNVLIDADLNAKLGDFGLARIYEHGIQPKTTHIVGTLGYLAPEFTRTGKATRSTDTYGFGVLLLEVASGRRPIEPQKTAQELVLVDWVKELHSQGTIVQAVDPTLDVYNLHEAELVLNLGLICTHLSPEYRPTMRRVVQVLSGDASLPNFHPDFHAARATADYSYIYHDDSDPFSGKATSSKGTSFSSFDNKISPKHASRITF >itb04g11230.t1 pep chromosome:ASM357664v1:4:10783714:10785539:1 gene:itb04g11230 transcript:itb04g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLNIVDAPLTHNLLKTERFSFLINLEEEEMLWCNSFGLTISRTDPPRPRFSSHTCHPVRPGPFIVQSKLKASLYDLLGISETGSLPEIKRAYKQLARKYHPDVSPPERVEEYTQRFIRVQRAYETLSDPKTRALYDTDRAKGLRFPLSSPTRYSTHQAMEDKSEWKSIWQSQLSELKRRSMSKDSHHNTSWGATMRTQSHQTSS >itb12g26020.t1 pep chromosome:ASM357664v1:12:27091351:27092798:-1 gene:itb12g26020 transcript:itb12g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMILMNNDDNIWTVGVSDLLYWSFNPGRPFPIQKQSQIYRLFGLNFSSTGTHCTHTTPSRCSLQPDPNSSLSYSIWMYPVDKAQRRSPSSFSPLVEQQEGRAM >itb12g26020.t2 pep chromosome:ASM357664v1:12:27091351:27092798:-1 gene:itb12g26020 transcript:itb12g26020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMILMNNDDNIWTVGVSDLLYWSFNPGRPFPIQKQSQIYRLFGLNFSSTGTHCTHTTPSRCSLQPDPNSSLSYSIWMYPVDKAQRRSPSSFSPLVEQEGRAM >itb06g18910.t1 pep chromosome:ASM357664v1:6:22427556:22433337:-1 gene:itb06g18910 transcript:itb06g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFAKTHVESHAPEPINIHKNILKTFNSESNSSPYWYSAETGIYRSLHPSVELPSHPFLDVVSFIFSHNHGGVSALVDSKSGFSISYRELLSLVNSMAAGLHGMGVSQGDVALILLPNSVYFPVIFLGLLSVGAVAATMNPLSTLAEIKKHLLAFDHYNIRLAFATPDRVQNLGSALGIPVIGLPEISNLDSTGNNSGSDFLKLISCDPKLAPNPRIRQQDTAAILFSSGTTGGCKAVVLTHANFIAMVELFVRFEASQYEYAATGNVYLDVVPMFHVYGLSLFVMGLLSLGSTVVVMRKYDIEDVVRAIDRYGVTHFPMAPPLLVALTRRGKATVGAANCSLKSLKQSPHCQQPTKKTMAATFAKTHVESHAPEPINIHKNILKTFNSESNSSPYWYSAETGIYRSLHPSVELPSHPFLDVVSFIFSHNHGGVSALVDSKSGFSISYRELLSLVNSMAAGLHGMGVSQGDVALILLPNSVYFPVIFLGLLSVGAVAATMNPLSTLAEIKKHLLAFDHYNIRLAFATPDRVQNLGSALGIPVIGLPEISNLDSTGNNSGSDFLKLISCDPKLAPNPRIRQQDTAAILFSSGTTGGCKAVVLTHANFIAMVELFVRFEASQYEYAATGNVYLDVVPMFHVYGLSLFVMGLLSLGSTVVVMRKYDIEDVVRAIDRYGVTHFPMAPPLLVALTRRGKATVGAANCSLKSLKQGYGMTESSAVGTRGFNTAKLRNHTSVGLLAPNMEARVVDWTTGSSLPPNSMGELWLRGPGIMKGYLNNGEASKNAVDEDGWFHTGDIVYFDEDGYLYVHDRLKEMIKYKGFQIAPADLESVLMSHPHITDAAVTSASNEEVGEIPVAFVVKTEGSGLSQAAVIDFVAKQVAPYKKVRRVYFTKSIPKSAQGKILRKELRTLLITSKI >itb02g25870.t1 pep chromosome:ASM357664v1:2:26679919:26682828:1 gene:itb02g25870 transcript:itb02g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPLTMDPPDPLRAFAGLLLLPQTIRVQSEASMPSEPNNLDSIHQFMKSMGLRNAEKLLEESKAIVDNGSEILNANFPRFKASLDLDDHVAAKVEKEKPRERRPGLGRKRARFSMKPDTSSQPNISLESSLDVEQLQDPEEYFLACEKLENAKQELNRQMGVVADDSNINNLPTTARRRRPGILGKSVRYKHRFSSVPPDDNDIDMSSQETLKQDDLCALKSDLQKENSNDVELGELELTGLITEKESRVSAILDELLSRDAKDLDGDRVLSLLQEQFQMKPPDLSALCMPEFPAARTDYMTLGERIPKARKSVLGLPNSDKRTSDKRQREESLQSNLTSPTPPKSPFSSLALLRKQVLKSNPLRDPFSPLNIDLNDINKSSDQVGSAKDFSKSGNLHGECNIKGMNISDHQADRSADTNAQSSNIDISHSGSHAQMGDCGGGSHAQMGDCGGGSHAQMGDCGGHTDAGRMVMRGLEQLNNEQSLENSGGETIAVGQGDSHGSMERCNGWNHMDIRTADENSGMQNRDTEVQPSGHDITDKEKMHDTCIFMQGLSPAQVQVEADDKTNTSNETETDIQNNVSCRTDEHVEDMPSMSAFPEEQEHNGNDAHTSENWSDNQNPSGMICCFLHIPKSIC >itb02g25870.t2 pep chromosome:ASM357664v1:2:26679919:26682828:1 gene:itb02g25870 transcript:itb02g25870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPLTMDPPDPLRAFAGLLLLPQTIRVQSEASMPSEPNNLDSIHQFMKSMGLRNAEKLLEESKAIVDNGSEILNANFPRFKASLDLDDHVAAKVEKEKPRERRPGLGRKRARFSMKPDTSQPNISLESSLDVEQLQDPEEYFLACEKLENAKQELNRQMGVVADDSNINNLPTTARRRRPGILGKSVRYKHRFSSVPPDDNDIDMSSQETLKQDDLCALKSDLQKENSNDVELGELELTGLITEKESRVSAILDELLSRDAKDLDGDRVLSLLQEQFQMKPPDLSALCMPEFPAARTDYMTLGERIPKARKSVLGLPNSDKRTSDKRQREESLQSNLTSPTPPKSPFSSLALLRKQVLKSNPLRDPFSPLNIDLNDINKSSDQVGSAKDFSKSGNLHGECNIKGMNISDHQADRSADTNAQSSNIDISHSGSHAQMGDCGGGSHAQMGDCGGGSHAQMGDCGGHTDAGRMVMRGLEQLNNEQSLENSGGETIAVGQGDSHGSMERCNGWNHMDIRTADENSGMQNRDTEVQPSGHDITDKEKMHDTCIFMQGLSPAQVQVEADDKTNTSNETETDIQNNVSCRTDEHVEDMPSMSAFPEEQEHNGNDAHTSENWSDNQNPSGMICCFLHIPKSIC >itb07g23560.t1 pep chromosome:ASM357664v1:7:27936112:27940256:-1 gene:itb07g23560 transcript:itb07g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFWSAAINVCSLPFILIFIASPLSLSQSIPPQNIQTFYPFFLPPLHAPRIPIRRRVNPPPPPPDPETLLPPPPPLLLPLPPSTPSRKAVGTAIGVTAASTVVVSALFFFFVLWYLRSRRQKDGGATVSAAAVAAADGGGNENKPAGANKDEFLRFEGNLKAVIVDEDGLDVLYWRKMEGGEGKESFDRQLFSNIESDRKDEEKRMIAARGGGRKKPRPPVQEIPLLRGKSSTSQHPVWAEAENQEPASPPAPAPLSVQAIPETPSPPPSPLKPDTLASSSSSGHGVSGNEEEEKLNPLNLDNVDHSSMDWNKIQDGSSKFDGDLMEALFGTVATNRKSPRTDTTPASTPREIAGPPSQIFILEIQKSQEFASLIKSLNATRKEITNALDNGVGLDTKTLEKLIKLALTKSEQSDILGFQGPPTRLADAESFLFFILKQFPSAFHRFNAMFLRSRFGSEITLLKADFQTLESACNELRNSRLFVKLLEAILKAWNRMNVGSSERGNGHGFKLSALRKLSGVKSGDGTITVLQFVVQEVIRAEGKRCVCSRDRRIISNVAGSGDDDREKEYMTLGLPIVGGLSSEFAGVKKAAGIDYDSLSAAQSALSSKAAEIGNLAAQCGDDGGGGFAAEMRRFLGAAEEEMEAVRDEKARVMEMVKKTTEYFQRGSSNDRTWAPLQVFVVVKDFLGMIDEACVEIARNLQKKRPAGSSLPSRTVRFPKLPADFLSE >itb07g09140.t1 pep chromosome:ASM357664v1:7:8142979:8148709:-1 gene:itb07g09140 transcript:itb07g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDIECIIDIHTYPGEYFCPVCRTLVYPNEALQTQCTHLYCKACLPLVSNGSKACPYDGYLVLEADSKPLIESNKTLAESIGKVKVRCLFHKSGCTWEGVLSECTSHCSGCAFGNSPVICNRCGVQIVHRQVHEHAQSCPGVYQAQQTAEVNSNSQSGAPATSTAGADQNRQSAQPGPLASQVQNPQTTAAPQLPGQDLNQQANTNSQAPAAVATPEQWYQQQYQQYYQQYAGYDPYQHSYQQYYPYQQGMQQYQQHPSHVQGQPQPQVYTQPQAQSQAQSQPHSQAQSQPHSQAQPQLPAQSQPQAQVPTQVQVQPHPHIQPSATQHQNQSQMNPQQQFQSPVQSQAQVPSQSYPPVHGQPPQPYSVQPHPQHMHVSHYQQPSAQMHNAQPPVLPQPHSQVQPQLSMRPPQSSQPAVPNVQPQTLHPTPHPVTGHQSYPQPQPAHQMPVGAVASGSFPSVQAQGQILQQRPMMHPPPAPNANQQQGMLPPQGQVLPQQSHLYPNTQHPGAPIQSRPPQAIQQLVPQQPFAGPFPSHSHKQGPYGQQQQSHLHPPGPPHIVPQSSHASVQSQPNVALGPGMHPQPPQNYFGRSVMQNQGLQLQPVPLAPSGSGIAPSVRTMQHGLNQPPISQSYANSVNNQLQISTDQKKHVVHESQPDPSSVKPENSAEVTITSQSNADKDATVLETQSFETNGTKLEAGLSTEQDAAKECAKTEHPEKGNSNDPVMKQTVKEEHTENALELSSGAKSADAEVVIERASFGRMPGHFPLSRGIDAQSNTSQGFPLNALPSGSTEIRDGMGRASLTGPEGHFGPQHAPLNVPEGHFGQQHMSNPMEAEMFQNRRMNGFDRGLPYHTEASRDERLKVTGGEHPGTLPVEPRWPIDQGSLDKVPRGFGYDGNVVAPSRLPSSYHPPGAPYINNTAEREVRHGLLNDERRNADFVHRHSDFMGPGPGFGPRHMDRLTPRSPDREFFGIPPRGYLPRGRAGFDDVDGREAQTFAGGSRPFGLPTNPVSNSFHDDRFHALHCHPQGDAINEHVAGGPPHNHFRGGELFGNDVPSHLRMVDPLGPRNLPSHLHVGEPAGFSPFPGPGNLSHLPFRESFGGNKPGMPRLGEPGFRSRYSLHRVSNEGTYMGDVDSFDRSRKRASLSMGWCRICKVDCETVEGLDMHSQTREHQNMAMDMVRNIKEQNRKRQKNRAAVEEGGKKRNAAFEGCGNKP >itb02g00230.t1 pep chromosome:ASM357664v1:2:198892:202920:1 gene:itb02g00230 transcript:itb02g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G73370) UniProtKB/TrEMBL;Acc:W8Q3K7] MASSSTVVSGMPDALKQSRYHMKRCFARFTGAGKRVMKLKHLTEEIEQTIEDKAERSKVFEGTLGQIMSSTQEAAVVPPYVAFAVRHNPGCWDYFKVNAGNLGVEGISAKEYLIFKELVFDENWAKDENSVEVDFGAFDYSNPRLALSTSIGKGLNFISKFMTSKFGGNLEDAKPLLDYLLALNYHGEKLMINETLDTVAKLQKALIVTEVYVSALPKDTPYKDFEHKVREWGFEKGWGENAERVRETMRLLSEILQAPDPINTESFFSRLPAVFNIVILSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEEMLLRIKQQGLNVKPQIVVVTRLIPDARGTNCDKEMEPILNTTHSHILRVPFRTDDGGILQQWVSRFDIYPYLERFSQDATAKIVEVMEGKPDLIIGNYTDGNLVASLMSSKLGVTQATIAHALEKTKYEDSDAQWKALDPKYHFSCQFTADLIAMNAADFVIASTYQEIAGSKSRAGQYESHAAFTMPGLCRVVSGINVFDPKFNIVAPGADQSVYFPFADKDNRFTSFVPAIQELLYSNNGEHIGFLADKKKPIIFSMARLDTVKNLTGLTEWFGKNKRLRELVNLVIVGAFFDPSKSKDREEAAEIRKMHDLIEKYQLKGQMRWIAAQTDRKRNSELYRMVADTKGAFIQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPYNGEDSSNKIADFFEKCKSDGRYWNKISLGALKRINECFTWKIYANKVLNMGAMYGCWREMHSGQKLAKRRYLELFYNLHMRKLAKNVGVEEGAAAPTETIIPPGKLPPPTTDDAKPKVPEPKPQPNLTDVRNDNAADVAPPARSSFTTSCACSMLCLCISASVILYALVKIYRLVE >itb03g19890.t1 pep chromosome:ASM357664v1:3:17836659:17841240:1 gene:itb03g19890 transcript:itb03g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLAALRRSDRRHICITHPIYYIPFAHYRPQKPQPPPSPPLPPKPPKKPATFTLHGESWEDPYSWMSQLNDKVAMRHMDVYMEQEEKYTEAVMSDTERLQSKLQSEMASRMAYDLSTPPLQWGPWLYYRRVEEGKQYPVLCRRLVSTNEEFISHKSPSAGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEISPDHRYLAYTMYDKDNDYFKLSVRDLNFGSLCTKPQVDRVSNIAWAKNGQALLYVVTDHQKRPCRLYCSMLNTDEEDVLLLEEPNASVNLNIRHTKDFLFVTVNVLATTFSKVFLIDASEPLSGLKLVWECEGQAHCIVEHHQGYLYLFTNAAKNGQSVDSHYLLRAPVNYPFDQKKWENVFVDDSEVIEDVDFSNTHMVLIVRDGRKFRLCSVPLPLPSGKVGIRLEELNPQFLPLPDNACQILPGPNYDFYSSTMRFTILSPVMPDAVVDYNLSSGSWNIVQQQNMFHERTRLLYGSASSGNEKSSTAGSTKLASAENYQLWSDLSEIYACEHFDVTSHDGVTVPLTVVYSRRGKKQAENPGLLHVHGAYGEILDKRWRTELKSLLDRGWVIAYADVRGGGGKGQKWHHEGRCSKKINSIYDYISCAKYLIEREIVEETKLAGWGYSAGGLLVASAINFCPSLFRAAVLKVPFLDPSNTLVSPILPLTPADYEEFGYPGDIEDFQGMRKYSPYDNIQKDVVYPAVLVASSFNTRFGVWEAAKWVARVREYSIYEPKRPILLNLTAEIVEENRYLHCKEMALETAFLIKMMET >itb04g04630.t1 pep chromosome:ASM357664v1:4:2875447:2876313:1 gene:itb04g04630 transcript:itb04g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVWQESYFWVWLVVWLVVMASVVRESKATPCGSTFISAIIQLLPCRPAVSAFRPFPPSEACCAAVRSLGQPCLCLVVNGPPIVGVDRNMALQLPDKCTANFEPCELGK >itb10g16450.t1 pep chromosome:ASM357664v1:10:22686599:22690509:-1 gene:itb10g16450 transcript:itb10g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASPSAPRFSSFCCCRVGPARAAASVGLLSPIHTRFPARKFTLYSRLDSSSSASSNGSDGDLRYELLHGVPTYNRRRGSSVFVTLPVDAVSSPSGQMTRKKIMEHSFRALTAMGVEGVVMEVWWGLVERESPRVYNWEGYLEIVALAKRHRLKVRAVMAFHQCGTGPDDRFWVPLPQWVLEEMEKDPDLAYSDRFGRRSLEYISLGCDVLPILHGRSPLQAYTDFMRHFRETFKAFLGTTITGIQVGMGPGGELRYPSCPPKKLTSAWRSRELGEFQCYDRYMLASQNACAGEVGMREWANGGPIGASSLMHDPESTEFFKSDGSWNTAYGEFFLKWYSGMLLLHGERICKEAESIFRGFEVNLTGKVAGIHWHYGTPSHPSELTAGYYNTSLRDGYLPIVRMFSRYRFTVCCPCFEMRDADEQKLNLMSSPEGYLRRLLLDARYCDIPLVGENWATSLDDKSFEQVLKMSKFYSDGLETSDFSFNFVRMDKHFFDSRNFANFTRFVRQISDTNTIQAKLSFGDGDMRVSSSSSASTANMKAVFVY >itb12g20940.t1 pep chromosome:ASM357664v1:12:23370027:23373314:1 gene:itb12g20940 transcript:itb12g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLASLDSIQNAHDDSIWTASWVCPGDGAPSLLLTGGLDETVRLWDPEKLTSLRTNTGHCLGVVSVASHPTRRIAASASMDSYIRVFDVDSNRTIATLEAPPSEVWQLSFNPQGTTLAAAGGGSLSVKLWETTHWQLTSALSIPRPEGLKPTEKSNTKKFVLSVAWSPDGRLIACGSMDGTISVFDVIRAKFLHFLEGHCMPVRSLVFSPSLHDARVLFSASDDGHVHMYDAEGKALIMPLSGHSSWVLSVDVSPDGGAIATGSSDKSVRLWDLKMRAATQILTNHTDQVWAVAFGPPGKNDPRSCMLASVSDDKSIAFYQYS >itb05g04710.t4 pep chromosome:ASM357664v1:5:4337030:4343208:1 gene:itb05g04710 transcript:itb05g04710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMELLRSKVVDSSIGDFDRRVEADYSTPAPVLSGGLLEENKTERLTSRGVFTTPVVSSKIHENGVASPAELAKSYMDSRPSKVSPSTLSLRSQAVRQDASLLKMVPYDSKSPIISLANRPIGRVGVPENGFNTSRSRGRSAIYSMARTPYSRVCATNKASGSMDYVFGRPSSSQSMLEHDESFQSKQLSGKRRSSVLDDDLGSGGPIRRIRQKPNLLSHEVSGVSKHRLRLTQRVSNFVEENPDYRTPSTSRAHVPNKSCETAAKILEHLDKLTPKKKSSESKLASLKEKTPSKLTSMVDGQGVRIHEDSDSSKLLQNAQDSYKSEDHFSAATPDSHISDLPKLDSVKENGAMKLVDMANPLTNNELMHQNFVTPEKKHATSSYENAFQLHHDVQAIGSAPKPVTEVITKAEISLVGSTQFISKELSGDKASTSPEFRTPLGFLSKRTSEVTPDGVIKGVKNSCSPTSVDAHQSPQVTQSTLVSDKLEQTYSNKVLSTAFSLPSVTETKGSSSIFWNGSKPESSSSPANLAPDATGSLSKLSESDNSQKADNIDVPLVASIATSATFLSSKSAPSNTSSLCNGQLSPSNAFSSTSILASSNCENHGFTTSGPSEAATFTTSVTATNVAASTSTASGGSSIFSGVSLAAVRSECSTFAGNSSAASFSTGTLFQSTVFNAGGSVDNAKPSLPGFGSATGLQQITTTQFGSSMSALASGTSGLTSFGSTSSSTTPFSSGAMFGPTSTASSESNLTGSSSAVISNVFSFGSSSSAPSSTPAAPFTFNFGASSTASPPETKTLASFSGATPGVFNFGSSSVASSSSSATAAVFNFGGSSVAPSSTNVTTTGFGFNGTASPSSISATPGGTSTSSISLVSASSNATPGTFTFSPSSSTCSQSTPMTSSSAGVGVFGSGWQSPAFGSSPSPTGFTFGASSSSFSTSNTAPMAFGSSLGASSSPAFTFAAAPSTSASLSMLGSSTATFDAAPGNNDQMNMEDSSMAEDPVQSSAPTVSVFGQAASSSPPSSVTFGSTVPLSNPFQFGGQQNPATPQNSSLFQATVPTPNPFQFSGQQNPAAPPSASPSPFQPPGSLGFSTGGSFSLGSSGNDKSGRRIVKINRNKNRRK >itb05g04710.t3 pep chromosome:ASM357664v1:5:4335692:4343211:1 gene:itb05g04710 transcript:itb05g04710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMELLRSKVVDSSIGDFDRRVEADYSTPAPVLSGGLLEENKTERLTSRGVFTTPVVSSKIHENGVASPAELAKSYMDSRPSKVSPSTLSLRSQAVRQDASLLKMVPYDSKSPIISLANRPIGRVGVPENGFNTSRSRGRSAIYSMARTPYSRVCATNKASGSMDYVFGRPSSSQSMLEHDESFQSKQLSGKRRSSVLDDDLGSGGPIRRIRQKPNLLSHEVSGVSKHRLRLTQRVSNFVEENPDYRTPSTSRAHVPNKSCETAAKILEHLDKLTPKKKSSESKLASLKEKTPSKLTSMVDGQGVRIHEDSDSSKLLQNAQDSYKSEDHFSAATPDSHISDLPKLDSVKENGAMKLVDMANPLTNNELMHQNFVTPEKKHATSSYENAFQLHHDVQAIGSAPKPVTEVITKAEISLVGSTQFISKELSGDKASTSPEFRTPLGFLSKRTSEVTPDGVIKGVKNSCSPTSVDAHQSPQVTQSTLVSDKLEQTYSNKVLSTAFSLPSVTETKGSSSIFWNGSKPESSSSPANLAPDATGSLSKLSESDNSQKADNIDVPLVASIATSATFLSSKSAPSNTSSLCNGQLSPSNAFSSTSILASSNCENHGFTTSGPSEAATFTTSVTATNVAASTSTASGGSSIFSGVSLAAVRSECSTFAGNSSAASFSTGTLFQSTVFNAGGSVDNAKPSLPGFGSATGLQQITTTQFGSSMSALASGTSGLTSFGSTSSSTTPFSSGAMFGPTSTASSESNLTGSSSAVISNVFSFGSSSSAPSSTPAAPFTFNFGASSTASPPETKTLASFSGATPGVFNFGSSSVASSSSSATAAVFNFGGSSVAPSSTNVTTTGFGFNGTASPSSISATPGGTSTSSISLVSASSNATPGTFTFSPSSSTCSQSTPMTSSSAGVGVFGSGWQSPAFGSSPSPTGFTFGASSSSFSTSNTAPMAFGSSLGASSSPAFTFAAAPSTSASLSMLGSSTATFDAAPGNNDQMNMEDSSMAEDPVQSSAPTVSVFGQAASSSPPSSVTFGSTVPLSNPFQFGGQQNPATPQNSSLFQATVPTPNPFQFSGQQNPAAPPSASPSPFQPPGSLGFSTGGSFSLGSSGNDKSGRRIVKINRNKNRRK >itb05g04710.t2 pep chromosome:ASM357664v1:5:4334464:4343211:1 gene:itb05g04710 transcript:itb05g04710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGEPTAAYDVGGAGGKFRKRPLRRPQATPYDRPPTALRNPSWLTKLVVDPASKLINAGAQRFLAPLFRKRLPPPPLHSPPTPERRQESIDVLQDSGPNNHSGVLQTKVHESSGAAYHSDSNAFSELEGLLKQKTFTRYEIDRMMELLRSKVVDSSIGDFDRRVEADYSTPAPVLSGGLLEENKTERLTSRGVFTTPVVSSKIHENGVASPAELAKSYMDSRPSKVSPSTLSLRSQAVRQDASLLKMVPYDSKSPIISLANRPIGRVGVPENGFNTSRSRGRSAIYSMARTPYSRVCATNKASGSMDYVFGRPSSSQSMLEHDESFQSKQLSGKRRSSVLDDDLGSGGPIRRIRQKPNLLSHEVSGVSKHRLRLTQRVSNFVEENPDYRTPSTSRAHVPNKSCETAAKILEHLDKLTPKKKSSESKLASLKEKTPSKLTSMVDGQGVRIHEDSDSSKLLQNAQDSYKSEDHFSAATPDSHISDLPKLDSVKENGAMKLVDMANPLTNNELMHQNFVTPEKKHATSSYENAFQLHHDVQAIGSAPKPVTEVITKAEISLVGSTQFISKELSGDKASTSPEFRTPLGFLSKRTSEVTPDGVIKGVKNSCSPTSVDAHQSPQVTQSTLVSDKLEQTYSNKVLSTAFSLPSVTETKGSSSIFWNGSKPESSSSPANLAPDATGSLSKLSESDNSQKADNIDVPLVASIATSATFLSSKSAPSNTSSLCNGQLSPSVSLAAVRSECSTFAGNSSAASFSTGTLFQSTVFNAGGSVDNAKPSLPGFGSATGLQQITTTQFGSSMSALASGTSGLTSFGSTSSSTTPFSSGAMFGPTSTASSESNLTGSSSAVISNVFSFGSSSSAPSSTPAAPFTFNFGASSTASPPETKTLASFSGATPGVFNFGSSSVASSSSSATAAVFNFGGSSVAPSSTNVTTTGFGFNGTASPSSISATPGGTSTSSISLVSASSNATPGTFTFSPSSSTCSQSTPMTSSSAGVGVFGSGWQSPAFGSSPSPTGFTFGASSSSFSTSNTAPMAFGSSLGASSSPAFTFAAAPSTSASLSMLGSSTATFDAAPGNNDQMNMEDSSMAEDPVQSSAPTVSVFGQAASSSPPSSVTFGSTVPLSNPFQFGGQQNPATPQNSSLFQATVPTPNPFQFSGQQNPAAPPSASPSPFQPPGSLGFSTGGSFSLGSSGNDKSGRRIVKINRNKNRRK >itb05g04710.t1 pep chromosome:ASM357664v1:5:4334449:4343211:1 gene:itb05g04710 transcript:itb05g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDGEPTAAYDVGGAGGKFRKRPLRRPQATPYDRPPTALRNPSWLTKLVVDPASKLINAGAQRFLAPLFRKRLPPPPLHSPPTPERRQESIDVLQDSGPNNHSGVLQTKVHESSGAAYHSDSNAFSELEGLLKQKTFTRYEIDRMMELLRSKVVDSSIGDFDRRVEADYSTPAPVLSGGLLEENKTERLTSRGVFTTPVVSSKIHENGVASPAELAKSYMDSRPSKVSPSTLSLRSQAVRQDASLLKMVPYDSKSPIISLANRPIGRVGVPENGFNTSRSRGRSAIYSMARTPYSRVCATNKASGSMDYVFGRPSSSQSMLEHDESFQSKQLSGKRRSSVLDDDLGSGGPIRRIRQKPNLLSHEVSGVSKHRLRLTQRVSNFVEENPDYRTPSTSRAHVPNKSCETAAKILEHLDKLTPKKKSSESKLASLKEKTPSKLTSMVDGQGVRIHEDSDSSKLLQNAQDSYKSEDHFSAATPDSHISDLPKLDSVKENGAMKLVDMANPLTNNELMHQNFVTPEKKHATSSYENAFQLHHDVQAIGSAPKPVTEVITKAEISLVGSTQFISKELSGDKASTSPEFRTPLGFLSKRTSEVTPDGVIKGVKNSCSPTSVDAHQSPQVTQSTLVSDKLEQTYSNKVLSTAFSLPSVTETKGSSSIFWNGSKPESSSSPANLAPDATGSLSKLSESDNSQKADNIDVPLVASIATSATFLSSKSAPSNTSSLCNGQLSPSNAFSSTSILASSNCENHGFTTSGPSEAATFTTSVTATNVAASTSTASGGSSIFSGVSLAAVRSECSTFAGNSSAASFSTGTLFQSTVFNAGGSVDNAKPSLPGFGSATGLQQITTTQFGSSMSALASGTSGLTSFGSTSSSTTPFSSGAMFGPTSTASSESNLTGSSSAVISNVFSFGSSSSAPSSTPAAPFTFNFGASSTASPPETKTLASFSGATPGVFNFGSSSVASSSSSATAAVFNFGGSSVAPSSTNVTTTGFGFNGTASPSSISATPGGTSTSSISLVSASSNATPGTFTFSPSSSTCSQSTPMTSSSAGVGVFGSGWQSPAFGSSPSPTGFTFGASSSSFSTSNTAPMAFGSSLGASSSPAFTFAAAPSTSASLSMLGSSTATFDAAPGNNDQMNMEDSSMAEDPVQSSAPTVSVFGQAASSSPPSSVTFGSTVPLSNPFQFGGQQNPATPQNSSLFQATVPTPNPFQFSGQQNPAAPPSASPSPFQPPGSLGFSTGGSFSLGSSGNDKSGRRIVKINRNKNRRK >itb03g20000.t1 pep chromosome:ASM357664v1:3:17932394:17935605:1 gene:itb03g20000 transcript:itb03g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLFFFIALAVFFTLSRSDPDQTPKAAPSEAHRELIKYGFPIGLLPTSVRGYSINQTTGSFVLFLDDKCRITLPPDNYLATYSKKITGKIVENRIAELDGISVKAFFKWWGITGIRSSGDNLVFEVGMVTAKYPSKNFDESPECEGKRSSS >itb01g25150.t2 pep chromosome:ASM357664v1:1:30633314:30638243:1 gene:itb01g25150 transcript:itb01g25150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADNSIGMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTDNLLGDAQEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDAAS >itb01g25150.t1 pep chromosome:ASM357664v1:1:30633155:30638328:1 gene:itb01g25150 transcript:itb01g25150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADNSIGMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTDNLLGDAQEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDAAS >itb09g20610.t1 pep chromosome:ASM357664v1:9:17484250:17485648:-1 gene:itb09g20610 transcript:itb09g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDWDLHAVVRGCAAAASSSAATATATTASPLGSAFQPRQDQDLLCLQDLLEFGAKRNESRRRFNDDLHDLYRPFFRPPPPPPPSQPPQPSLQRTQAAPPLSPQNTPISPLSVLGGLPDLSPSHQILKPHISPAATIIHPKKQPFPVNISSATTPTTPHTQSPRSKRRKNQLKRVCQVPAEALSSDVWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPSMFIVTYTAEHNHPMPTHRNSLAGSTRQKPAAAHQPETSGDTMKSAASPPLTSPAPEKQESSRDEREDMFEDEDDEFGVANMAIDSLGPDDDFFEGLEDLGGDCFPDSLPGTTLQFPWLTTTTAAGGG >itb13g07490.t2 pep chromosome:ASM357664v1:13:9350966:9363034:1 gene:itb13g07490 transcript:itb13g07490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFCKTLNPTFPLRVTSSHSSLPRSTRTIVRNMSSGSRMFQLKLDPLTGNSEWVVIEESDTPEQTPTPLLATTSYLDMLNDSPRNRAFREAIDKTVTKPCHVLDIGAGTGLLSMMAARAMGPADSASNSDSKGMVTACESYLPMVKLMRKVLRANGIERGIRIINKSEILDSELLGEGLIPTLQHAHDNLLVESPKTVPYRATIFGQLVESKDLWKMHDLFNNEEKALDTIHLVPKGMDTIICVKHQQFPMHCDAISEGIKLLSEPFKIFDFDFWKRPDSSRETELLIKATKGGIAHAIISWWLLQLDREGTVFYSTGPKWIHCPSDVKDPSLSCSEAWCDHWKQCVWFIPNIGLSVRKDEYLHLHAVHTDVSLSYVVKTQSQNMEVRQYKLSAQDCQLFLSPERIAIYGDNNWRCSMLKAIKNALKQKASPLCIVADDSIFLTIAVGHLARASNVISLFPGLQDKGEQYLQAVAASNDYSMDCVKVLKKRNSALTMQDTHHRKVDLLIAEPFYYGSDSVLPWQNLRFWKERTTMDNILSKDVVIMPCKGLLKACAMSLPDLWRSRRCLKDIEGFDHSVVNSTLGACGDLPAGEQSPCLPFFIWQCGETKKLSEIITIMEFNFQELMCPCSEEAQFEFTESGICHGLVFWIDWVMDAGESTVISTGPEQRYWKQGVKLLEKPVTVQERGSISNFCRSTKLKVSFDPSVADLSVEIAFV >itb13g07490.t1 pep chromosome:ASM357664v1:13:9350957:9363034:1 gene:itb13g07490 transcript:itb13g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFCKTLNPTFPLRVTSSHSSLPRSTRTIVRNMSSGSRMFQLKLDPLTGNSEWVVIEESDTPEQTPTPLLATTSYLDMLNDSPRNRAFREAIDKTVTKPCHVLDIGAGTGLLSMMAARAMGPADSASNSDSKGMVTACESYLPMVKLMRKVLRANGIERGIRIINKRSDELEVGRDIASRADVLVSEILDSELLGEGLIPTLQHAHDNLLVESPKTVPYRATIFGQLVESKDLWKMHDLFNNEEKALDTIHLVPKGMDTIICVKHQQFPMHCDAISEGIKLLSEPFKIFDFDFWKRPDSSRETELLIKATKGGIAHAIISWWLLQLDREGTVFYSTGPKWIHCPSDVKDPSLSCSEAWCDHWKQCVWFIPNIGLSVRKDEYLHLHAVHTDVSLSYVVKTQSQNMEVRQYKLSAQDCQLFLSPERIAIYGDNNWRCSMLKAIKNALKQKASPLCIVADDSIFLTIAVGHLARASNVISLFPGLQDKGEQYLQAVAASNDYSMDCVKVLKKRNSALTMQDTHHRKVDLLIAEPFYYGSDSVLPWQNLRFWKERTTMDNILSKDVVIMPCKGLLKACAMSLPDLWRSRRCLKDIEGFDHSVVNSTLGACGDLPAGEQSPCLPFFIWQCGETKKLSEIITIMEFNFQELMCPCSEEAQFEFTESGICHGLVFWIDWVMDAGESTVISTGPEQRYWKQGVKLLEKPVTVQERGSISNFCRSTKLKVSFDPSVADLSVEIAFV >itb03g20500.t1 pep chromosome:ASM357664v1:3:18389327:18390702:-1 gene:itb03g20500 transcript:itb03g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTELDHLVPMDFKNVVQVPDTHTWLINNSCSSEESVPLIDLEDPQAVEKIKMACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSPNGYGIIPISRTFNTLMWMEGFTLSGSPLELARRVWPKDYSPFCTVIEDYQEQMMGVAVKITSLIFKSLGLSREDVEWFDPKSTEAFFHLNSYPRCPDPTRALGMVPHTDSSLITLLYQSSTNRGLQVYGPNLKWVDVEPISNAIVVNVSDLLQIYSNDQFKSVVHRAIVSEANHRISVVYFFGPKIDVNILSPPKLIKDGDSPMYRPVSWKEYREIKGVHFDKALEVLRFNSVVVENANAVTRGNEAPLDGVDGGKVEALEA >itb10g00890.t1 pep chromosome:ASM357664v1:10:643153:647066:-1 gene:itb10g00890 transcript:itb10g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLLPPSLHFRFPSLHFCRRILRREKPFEPPVFAAALSITTASSARQFSLGSEPQEAEGYDVASLPNRNYDFTPLIEFLSASYTPTYSDAESSSPTRLDPAELKLAESYRAVPAPLWHNLLKSLSSAPDSFPTAYALVTWLQKHNLCFSYELLYAILIHALGRSEKLYEAFLLSQRQTLTPLTYNALIGACARNNDIEKALNLLTKMRRDGYHPDFVNYSLIIQSLTRSNSVDSAMLEKLYAEIEADMIELDMQLLNDITVAFAKAGNVDRALDFLGMIQGNGMSLKRATITAIISGLGHSGRTEEAEAVFVELKEGGLTPTKRAYNALLKGYAKAGCLNDAEDVVSEMKRSGVTPDEYTYSLLIDACANAGSWESAEIVLKEMESNGLQPNSFIFSRILASYRDRGEWQKSFEVLKEMKSCGVKADRHFYNVMIDTFGKYNCLEQAMDAFERMKVEGVEPDNVTWNTLIDCHCKHGHHNTAEELFEAMQKSGRSPCTTTYNIMINSFGQQERWEGVKDLLGKMQSHGVLPNVVTYTTLVDIYGQAGKFSDSIECLQVMKSAGLKPSSTMYNALINAYAQRGFSEEAVNAFRNMKADGLRPSILALNSLINAFGEDRRDAEAFAVLRYMKENDLKPDVVTYTTLMKALIRVEKFGKVPAVYEEMLMSGCKPDRKARAMLRSALRYMKSTLKL >itb02g06090.t1 pep chromosome:ASM357664v1:2:3778067:3779572:1 gene:itb02g06090 transcript:itb02g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSPEEDGEAFAEVDPSGRFGRYDELLGHGSVKKVHRAFDLEEGRDVAWNQIKLSKFMDNPCIISKIHSEIELLERLKNENIIVMYHFWKDEEHNILNFITEACASGNLRDYRKKHRHVSVKAMKKWSRQILQGLDYLHTHDPCVIHRDLNCSNIFINGNIGKVKIGDLGMAAIVAKNHAAHTLLGTPEYMAPELYEENYTELVDIYSFGMCLLEMATLEIPYSECDSIAKIYKKVTAGVKPRALNKVKDPELKDFIEKCIGQPRVRPSASDLLNDPFFQDIVMCD >itb12g10860.t1 pep chromosome:ASM357664v1:12:8945540:8948292:-1 gene:itb12g10860 transcript:itb12g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMESSWFRIFILVGVALLFPAIVECRVRHYKFNVVVKNMTRLCSTKPTVTVNGKFPGPTIYAREEDTVLIKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTVTGQRGTLFWHAHVLWLRSTVHGALVILPKLGVPYPFPKPDHEAVVLLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPVTNCPSQGGYRLGVAPGKTYMLRVINAALNEELFFKIAGHKMTVVEVDATYVKPFKTDTIVIAPGQTTNVIITADQASGQYMVAASPFMDAPIAVDNQTGTATLHYTGVQTTLATTLTRIPPKNATPVANNFVDSLRSLNSKTFPAKVPQTVDHSLLFTVGLGINPCPSCKPANGSRVVASVNNVTFVMPTTALLQAHFFGIKGVFTTDFPGNPPFAFNYTGTPPANLQTSSGTKLYRLPYNATVQLVLQDTGIVAPENHPIHLHGFNFFAVGRGIGNFNPKTDPKKFNLVDPVERNTIGVPAGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPKDLPKC >itb03g06280.t1 pep chromosome:ASM357664v1:3:4634053:4634433:1 gene:itb03g06280 transcript:itb03g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDVKKSIAAIKKIDDKIDGSTPLDVHHDISSVIRALREASAVSLSIFQLVLLFLSMPVLKPKPSKWSVVSKLVQNGRVACEYQHNSTCNLETLEAQLEDIENKMEIIFRSLIKLRSSLLNIISC >itb11g07640.t1 pep chromosome:ASM357664v1:11:4693716:4695554:1 gene:itb11g07640 transcript:itb11g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFPFSSSDDGGRKTTKSCVSVRSSASTSTDPELRKSAGSSEFNSQNNVSSAEESPSRISVFPSLSSCRRRNKELREFTLAELKTATKNFSRSAMLGEGGFGCVYRGNVVIESQDSNKRIDVAVKQLSTRGLQGHKEWVTEVNVLGVVDHPNLVKLVGYCAEDDERGIQRLLVYEYLANRSVQDRLSSRFLSPLPWSARDFKSSNILLDDQWNAKLSDFGLARLGPSDGISHVSTAVVGTVGYAAPEYIQTGRLTAKSDVWSYGVFLYELITGRRPMDRNRPKNEQKLLHWVRPHITQDLSKFEKILDPRLEGKYSIKSAQKLAAIANRCLLKHPKNRPKMSRVLEMVNRVVEEESPEPAPESCSAGEDGNGGIKVKCLNTSFVEHIARDKKFGWKTLRVGILKGT >itb06g24420.t1 pep chromosome:ASM357664v1:6:25988147:25991679:1 gene:itb06g24420 transcript:itb06g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVVYLSAVLLVVATQWVYRWRNPKCKGLLPPGSMGFPIIGESIQYFSSHPLEGIHPFINKRTLRYGSLFRTSLVGQKVVFSTDPEVNHFIFQQEGKLFQLWYTESALKLTGKQGLTVHHGAFHRYLKNLILTLVSPENLKASLLSEMDHLTRKHLHSWTALPKFDVKEATETMIFKLVAKKVFSYGEKRAMELRHCYRAFIDGFISFPLNIPGTAHHASMRGRKNAIKLIKGTVKERRGMKEKHGDEDFLDYLLKEVDDESTFLTEQIAVDLMFVLLFATYETTSAAITLALNFLNQHPQALRQLQGQELHSASKKFMAFGGGQRLCAGADFAKMGMAILLHYLVTNYRWKVVEEGKIVRTPALLFLNGFQIQLTSLTD >itb03g02330.t1 pep chromosome:ASM357664v1:3:1298090:1300183:1 gene:itb03g02330 transcript:itb03g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSDERMKGGKGMKSMWEGKMGKNKVMVCNVRMKMWIMRAITLLLLWTCTAQLTAIGEVWGSKLLNSWPSQVNISDLHVHQKPFLPANRVYKNNGYLMVSCNGGLNQMRAAICDMVAVARYLNVTLIIPELDKTSFWADPRCKRYLYSHASDIFDVDHFIESLRDEVRVLRELPTRLKQRVYELQPLSWSNLSYYHDQILPLIRKYEVVRFNRTDARLANNGLLLGIQKLRCKVNFSALRFTSHIEELAHKVIKSLRQNGPYLALHLRYEMDMLAFSGCTHGCSEEEAEELRRMRYANRWWKEKVINSVPKRKKGLCPLTPEETALALKALGIDRNFQIYIAAGEIYGGERRMRNLSAAFPNMVKKESLLGPSALKFFQGHLSQMAALDYLVSLESDIFVPTYAGNMARVVEGHRRYLGFRKTIVLDRKVIVHLTDQYNAGNITWDKFSNSMKETHRNRMGKPKTRLVITEKPKEEDNFYANPQECYSHILS >itb05g20620.t1 pep chromosome:ASM357664v1:5:26654419:26656670:-1 gene:itb05g20620 transcript:itb05g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSISSTARPFSCSSCYSPSSSPSSSTLSLAKCGKKLKSLSSSSSSFLASSFKISESSNVPPRKPVGIRMAWDGPLSSVKLIIQTKHIELTPNVRSYVEEKLGKAVQKHSHLVREVDARLSVRGGEFGKGPKVQRCEVTLYTKKHGVVRAEVDAETLYGSVDMVSSVIQRKLRKIKEKDSDHGRHMKGFDRLKVRDPVVLAEPEEEALEPLALEEEEQGKDEGFIDEVVRKKYFDMPPLTVAEAIEQLENVDHDFYGFRNEETGEINIVYRRRAGGYGLIIPKGNGKVEKLEPIEAEPIREPSRA >itb05g20620.t2 pep chromosome:ASM357664v1:5:26654532:26656653:-1 gene:itb05g20620 transcript:itb05g20620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTPNVRSYVEEKLGKAVQKHSHLVREVDARLSVRGGEFGKGPKVQRCEVTLYTKKHGVVRAEVDAETLYGSVDMVSSVIQRKLRKIKEKDSDHGRHMKGFDRLKVRDPVVLAEPEEEALEPLALEEEEQGKDEGFIDEVVRKKYFDMPPLTVAEAIEQLENVDHDFYGFRNEETGEINIVYRRRAGGYGLIIPKGNGKVEKLEPIEAEPIREPSRA >itb15g09630.t1 pep chromosome:ASM357664v1:15:6893495:6897548:1 gene:itb15g09630 transcript:itb15g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAEKQAPSGKTAGKPIRCRAAVARKAGEPLVIEEVIVAPPKSHEVRVKIICTSLCHSDIFFWKLQQFPGCFPRILGHEAFGIVESVGEDVEDFKEGDSVVPIFLPDCTECLDCKSKKSNLCTKFPFRASPLLHRDETSRFTDLKGEALHHFLFVSSFSQYTVIDIANVTKIDPSIPPNRACLLSCGVSTGVGAAIRSANVEPGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDINPDKFERGKTFGVSDFVNSLTIGDKSVSQVICEMTGGGADYCFECVGQATLVQEAYASCRKAWGKTVVLGVDQPGKQVSLSSFEVLHSGKTLTGSLFGGLKPKSDIPILAKRYTDGELQLDKFVTHEVGFEDINKAFDLLIQGKSLRCVIWMDK >itb15g09630.t3 pep chromosome:ASM357664v1:15:6894541:6897461:1 gene:itb15g09630 transcript:itb15g09630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAEKQAPSGKTAGKPIRCRAAVARKAGEPLVIEEVIVAPPKSHEVRVKIICTSLCHSDIFFWKLQQFPGCFPRILGHEAFGIVESVGEDVEDFKEGDSVVPIFLPDCTECLDCKSKKSNLCTKFPFRASPLLHRDETSRFTDLKGEALHHFLFVSSFSQYTVIDIANVTKIDPSIPPNRACLLSCGVSTGVGAAIRSANVEPGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDINPDKFERGKTFGVSDFVNSLTIGDKSVSQVICEMTGGGADYCFECVGQATLVQEAYASCRKAWGKTVVLGVDQPGKQVSLSSFEVLHSGKTLTGSLFGGLKPKSDIPILAKRYTDGELQLDKFVTHEVGFEDINKAFDLLIQGKSLRCVIWMDK >itb15g09630.t2 pep chromosome:ASM357664v1:15:6893495:6897548:1 gene:itb15g09630 transcript:itb15g09630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAEKQAPSGKTAGKPIRCRAAVARKAGEPLVIEEVIVAPPKSHEVRVKIICTSLCHSDIFFWKLQQFPGCFPRILGHEAFGIVESVGEDVEDFKEGDSVVPIFLPDCTECLDCKSKKSNLCTKFPFRASPLLHRDETSRFTDLKGEALHHFLFVSSFSQYTVIDIANVTKIDPSIPPNRACLLSCGVSTGVGAAIRSANVEPGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDINPDKFERGKTFGVSDFVNSLTIGDKSVSQVICEMTGGGADYCFECVGQATLVQEAYASCRKAWGKTVVLGVDQPGKQVSLSSFEVLHSGKTLTGSLFGGLKPKSDIPILAKRYTDGELQLDKFVTHEVGFEDINKAFDLLIQGKSLRCVIWMDK >itb12g01990.t1 pep chromosome:ASM357664v1:12:1328870:1330384:-1 gene:itb12g01990 transcript:itb12g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRILRKLSSKPPTSCSSAAAATVHLRCKTTSAEYVASRARDITFEKLMEKYKNLFRVIAIQDLILASRSNPRSVSVDFLNRLSQRLHLNRGATAFLRRYPHIFRIFYHTAKSQPYCALTETALEIARLEAQAIDSSIPLAVERLVRVLSMSLTKTVPLRAIFKLWKELGLPDDFESSVIAENSHLFALDDGNEPNTHVLKLVTEVPKDSLVAAVENWRIKECCGEDCSVDRTEILYSFKHAYPPGMRLKRDFKAKVKEWQGIPYIGPYEGFVTCVKKKMTKRQTMAKEKRAVGIVHEFLSLTVEKMVEVEKISHFRKWFGIDLNIRDLFLDHPGMFYLSTKGYRHTVFLREAYERGCLIEPNPVYDARRKLLHLVAMGHRGMSGGVSGAKRVSHGEEESELEEEKIVEVEKISQFRKYFGIDLNIRGLFLDHPGMFYLSTKGYRHTVFLREAYEKGSLIV >itb12g14260.t1 pep chromosome:ASM357664v1:12:13674886:13678805:1 gene:itb12g14260 transcript:itb12g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLIMGKTSAAAANKDKDKDKKKPTSRSSRAGLQFPVGRIHRLLKERTTANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >itb04g04300.t1 pep chromosome:ASM357664v1:4:2652702:2654868:-1 gene:itb04g04300 transcript:itb04g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKFPPPSAPSTATADAQLFGLLTNLLQQVESLSNQEEVELRAKIQALGMEITKVPSKSAHLLDEMEIAEELDKLSSKLDHVDEMVSSAMAADPQVQSLLSSTADLWMPVITASSDERRNFTAPVGDDNQEANGKHSK >itb06g00370.t1 pep chromosome:ASM357664v1:6:933515:935707:1 gene:itb06g00370 transcript:itb06g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCNEVVRPVTNYPPSLWGDQFQHFVFDNEVAKTYAQEIEVLKERVRSMLVSIRNSKLAEKLNFIDTLERLGISYHFNEEIDEMLEKIYNVIPSFELEDDLCTSALMFLLLRQHGYNISSGIFENFQENGKFKETLSSNVKGLFNLYEASHVQGHNDNTLNDAYNFSRTHLEAVSPHLNSTFGKQVRRALEQPLHKGIPRVEINHFIKVYQEDESKNNVLLRFAKLDFNLLQMHHRQEVSELMRWDISQMNHLPDYMKIIYKALIDLWEEYDKELSEEGRSFALYYTKERIKELVRAYNIELKWSIGGCMPPVEDYLKNAQASSTLYLLITASFLGMKSVTRETFEWLSQNPRIIEANALLGCVLNDIASYEREKSTRSIATGIDYYMNDYGVSVEEAMEKFEEMPENA >itb08g15410.t1 pep chromosome:ASM357664v1:8:17565383:17570449:-1 gene:itb08g15410 transcript:itb08g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQCNFPTTPISPPFFSNDKAAPCNSSSSLCCVRPRGIRRGVGIRCESRDEEKKPKANPYPGGMGPYTGRDPSVKKPGWLRQKAPQGEKYLEVKETLSGLKLHTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPAPPDPLEPLHTAQAVASWGVDYIVLTSVDRDDLPDGGSGHFAETVQTLKILKPNIMVECLTSDFRGDLDAVSTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKHFKEGMVTKTSIMLGLGETDDEIKETMADLRAIDVDIMTFGQYLQPTPLHLTVKEFVTPEKFAFWKEYGESIGFQYVASGPLVRSSYRAGELYVQNLVKKRTKQISTLVR >itb12g27740.t1 pep chromosome:ASM357664v1:12:27971825:27974434:1 gene:itb12g27740 transcript:itb12g27740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNYYVKFKAQPNYVMRVYNYQSGLCQEACNYQPRLCHGANGVTTTKMYINKVLSYFNPYVTITFPEFEIEGFHERSKVFGHIERYLSSSASTQATSLLANGVRGSTEYVVLSMGHYEKVMDVYKGIKLEWSSYKHSPSRQTISWGGRDEDKRLFDLKFHKKHLEVVTKHYLKHVVEEGKRISRKEKKRLLHTNNKSSEDDMWSHVTWKHPSTFETMALAPKLKEEIIDDLIAFRNTKDYYRKIGKAWKRGYLLYGPPGTGKSSTIAAMANLMEYDVYDIELTTVKDNSDLRKLLIDTASKSIIVIEDIDCSLDLTGKRKEEESSSSDDDDDDDEKIPMSNKARKKKSEEVTLSGLLNFVDGLWSAIGEERIMVFTTNHVEKLDPALIRRGRMDMHIELSYCCFEAFKVLAKNYLQLESHLLFPKIECLLAETKITPADVGENLMPKSAIENADVCLQRLIKTLETAKEEARLKTMEQHKIKVAEGNEKGMMNKIRRQLKRLFKKKKMRIT >itb03g17390.t1 pep chromosome:ASM357664v1:3:16033221:16033864:1 gene:itb03g17390 transcript:itb03g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRSTAAVWLVLAFFVVMNNLQSGCAMRVLRGGDVWVNKHHDMLVQSLPRGRLPSSGANPCTRIPGARRGRCTLEGSKPERPAVAAAAAATKNPITRVAHTSQHH >itb11g18870.t1 pep chromosome:ASM357664v1:11:19339083:19339783:-1 gene:itb11g18870 transcript:itb11g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVASRASRIKTRLLSALEASLIEVEDVSYQHAGHVAVKESGSNGETHFNVKIVSGKFDGQNLVKRHRMVYDLLSDELQSGLHALSIVAKTPKEAGLN >itb02g04700.t1 pep chromosome:ASM357664v1:2:2781816:2788875:1 gene:itb02g04700 transcript:itb02g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSNRRRQQWSSSSGEISTDVGAEKLVYDGNANPSELPRNNSTSADFAGPVDRSLPVHAIGNVERPADTNPRSTRPAKDKKGRLNHHSIGFLGSKFFDEKLPLKKKLKLLKRSGAIQQDGTVKFKVPEDIKPERLDLGTGIVSSGATGEVTSDTTDIQDLRPLEIVMLIVGTRGDVQPFVAIGKKLQEYGHRVRLATHSPFKEFVLGAGLEFYPLGGDPKVLAAYMVKNKGFLPSGPSEVQIQRKQIKDIILSLLPACIESDPVSNIPFYVDAIIANPPAYGHTHVAEALDVPLHIFFTMPWTPTSEFPHPLSRATQPVAYRLSYQIVEGLIWLGIRDMINDFRKKYLKLRPITYLSKSNSSPTDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPESLLKWLKDDQKPIYIGFGSLPLQDPKGMTKTIVQALERTGQRGIINKGWGGLGNKFLSVTEPKDVVYLLDNCPHDWLFARCAAVVHHGGAGTTAAGLKAGCPTTVVPFFGDQFFWGGRVHARGVGPAPIPADEFSLERLVSAINFMIKPEVKERAILLAKAMENEDGAMGAVKAFYKHIPRGRLRTESSKISRLKTESKISRRPKTRFSLKCFCGQ >itb02g11090.t1 pep chromosome:ASM357664v1:2:7258167:7259009:-1 gene:itb02g11090 transcript:itb02g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAKRSAWMVATSIAAVEALKDQGFARWNYPLRSLHQHAQTKVASYNQAMRRSSALQSFCKATTREAKLKRERNFNRVMDVNCWGPSTVRF >itb04g14810.t1 pep chromosome:ASM357664v1:4:15520150:15533206:1 gene:itb04g14810 transcript:itb04g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFRRRLLPGNIVWRIAQFKDNVELYSLSLDIKHKIPLGVCNCSRQWSSLAGTKKVDFTDLTRPHTWYPIARQKKRNVVLHVGPTNSGKTHHALKQLQSSSSGIYCGPLRLLAWEVAKRLNKAKVPCDLMTGQEREEVDGAKHMAVTVEMADVTSNYGCAVIDEIQMIGCRTRGYSFTRALLGISADELHLCGDPAAVPLIEEILKVTGDSLKVEYYERLSPLVPLKVPLGPFSNIRTGDCIVAFSRAKIYRFKKQVEIEGKHLCSVVYGSLPPETRTRQATMFNDANSDSDVLVASDAIGMGLNLNISRIIFSTLQKFDGVQMRDLSVPEIKQIAGRAGRYGSEYPVGEVTCFSYKDLPLLHSALNSPSPILEKAGLFPNFDLLYMYSRLHPKHGLYHILEHFVDNAKLSANYFICNIEDLLKVASIIDELPLSLHDKYLFCISPVDVVDDLSTQGLIQFATNYSKSGIVRLREIFTPGTLEVPKTQVALKELESIHKVLGLYVWLSFRLEDSFPDRELAASQNAICSMLIEDFLERLGWQKPMSRMLPHQHQRSSLLNSQLFQVATTEY >itb04g14810.t2 pep chromosome:ASM357664v1:4:15521236:15533147:1 gene:itb04g14810 transcript:itb04g14810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANVTNILNLLNDFFATFFPPSVAEVVSLFCWTDNVELYSLSLDIKHKIPLGVCNCSRQWSSLAGTKKVDFTDLTYEIYITVLVYSPPLMNCNIHNAYIFFHYGTSRPHTWYPIARQKKRNVVLHVGPTNSGKTHHALKQLQSSSSGIYCGPLRLLAWEVAKRLNKAKVPCDLMTGQEREEVDGAKHMAVTVEMADVTSNYGCAVIDEIQMIGCRTRGYSFTRALLGISADELHLCGDPAAVPLIEEILKVTGDSLKVEYYERLSPLVPLKVPLGPFSNIRTGDCIVAFSRAKIYRFKKQVEIEGKHLCSVVYGSLPPETRTRQATMFNDANSDSDVLVASDAIGMGLNLNISRIIFSTLQKFDGVQMRDLSVPEIKQIAGRAGRYGSEYPVGEVTCFSYKDLPLLHSALNSPSPILEKAGLFPNFDLLYMYSRLHPKHGLYHILEHFVDNAKLSANYFICNIEDLLKVASIIDELPLSLHDKYLFCISPVDVVDDLSTQGLIQFATNYSKSGIVRLREIFTPGTLEVPKTQVALKELESIHKVLGLYVWLSFRLEDSFPDRELAASQNAICSMLIEDFLERLGWQKPMSRMLPHQHQRSSLLNSQLFQVATTEY >itb13g23650.t1 pep chromosome:ASM357664v1:13:29573962:29576624:-1 gene:itb13g23650 transcript:itb13g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKWIKNFLSGKKEKEKEKVIGYGGGIEQPTTPVSVTPREKKRWSFRRSSATAPGQRELFSSAPESTATTPNAKQDALESSDHDQKKHALAVAAATAAAADAAAAAAKAAAAVIQLTAAASGGGRASDAAATKIQAVFRGYLARKALNALKGLVKLQALVRGHLVRKQAAATLRCMQALITAQARARAQRLKMAAEDQETPTNQRLSVHSRFRHSFKDYERGMEENIKIVEMDLGDSKGSMKSRNSYSNHGQTTEHRFSSYNPSYTNQEPPQISPAPSAVTDQSPRACSAHFEDYSFNTAQSSPQCYSSALSKPDPPRIPPVPYARSEYSDSIYTDYPFYPSYMANTQSSRAKLRSHSAPKQRPETFERQPSRRRPSIEGRNVPRAVRMQRSSSHVSSAAQGYQYPWSIKLDRSNISLKDSECGSTCSVLTTNTMYCRPVVGFDVQGNRY >itb07g20980.t1 pep chromosome:ASM357664v1:7:25382995:25384271:1 gene:itb07g20980 transcript:itb07g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVRTIASATSVFFPYFRRSPPPPTTAATAPSSSSSYRLPLPVFFSSSSSTIFHLHRISVVRHRGNTSIFHHSSNPSAFHYRRNFPNIATPSSPSADLRPATTHRRTPPTPFLTTTAAVYIYKYILIIK >itb04g26630.t2 pep chromosome:ASM357664v1:4:30906523:30910913:1 gene:itb04g26630 transcript:itb04g26630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGRSSFIVPFPKFPENGSMPGNYMQNTKLVGSVFSKPSMDVDQLKEETTALGTSSFSASPPLKEQENAKFPEVKRRKRHRRKHFDNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFDWDEFLAMTRSAITSKKTRRRSGVGARRKSESSPQNSEEGEQQGNGFSASEDADQDTSV >itb04g26630.t1 pep chromosome:ASM357664v1:4:30906523:30910913:1 gene:itb04g26630 transcript:itb04g26630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGRSSFIVPFPKFPENGSMPGNYMQNTKLVGSVFSKPSMDVDQLKEETTALGTSSFSASPPLKEQENAKFPEVKRRKRHRRKHFDNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFDWDEFLAMTRSAITSKKTRRRSGVGARRKSESSPQNSEEGEQQGNGFSASEDADQDTSV >itb01g22400.t1 pep chromosome:ASM357664v1:1:28296696:28297402:1 gene:itb01g22400 transcript:itb01g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWKEELQAYTYPCPCGDLFQITIEELKQGEEIARCPSCSLYITVIYNMEDFVGDKSKKSLDPPKQQAVAVN >itb04g21530.t1 pep chromosome:ASM357664v1:4:26629121:26635364:1 gene:itb04g21530 transcript:itb04g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGVLHSNCTGNIRFMLLRSSILEKPILSGNIKNMTLAPCSLSGPSFRVDHLGAFDINLPKGGAFSSSGFSRSFVVRAAGKKNSGNSNSNSSSHGKGDNSFAEDGSFKESNPISEGNIENNGGQTSHHKPLDWREFRASLYIQEQAAIAVSDPHKHDGTAFGFKPLPPKWAHPIAAPENGCLLVATEKLDGVRTFERTVVLLLKSGTNHPQEGPFGVVINRPLGKKMKHMKPTNLDLATTFAECPLHFGGPLEASMFLLRAEGKSDTHRFEEVVPGVSFGARNSLEEASALVKKGVLKPQDFKFFFGYAGWQLDQLMEEIESDYWYVAACSANLILGASHISPPENLWKEILQLMGGHYSEVSRKPKQDI >itb01g11630.t1 pep chromosome:ASM357664v1:1:10694407:10705103:1 gene:itb01g11630 transcript:itb01g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEALRVSSARLSSSNVWRSSGVEVFSRSSREAAEDDEEALKWAAIEKLPTYLRIRRGILAGEEEGGPKEIDVEKLGVAEKRSLLERLVRIAEEDNERFLLKLKHRTDRVGIDLPTIEVRFEHLNVDAEAYVGSRALPTIFNFTANILEAFMNYLRILPNRKKPLPILHDVSGIIKPARMTLLLGPPSSGKTTLLLALAGKLDSDLKVSGRVTYNGHEMTEFVPRRTSAYINQHDLHIGEMTVRETLAFSARCQGVGHRYEMLAELSRREKELNIKPDPDIDVYMKAISIEGQEASVVTDYILKILGLEVCADILVGDEMIRGISGGQRKRLTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSIRQSIHILQGTAVISLLQPAPETYELFDDIILLSDGQVVYQGPRDNVLEFFEHMGFKCPERKGVADFLQEVTSRKDQEQYWMRREEAYKFITVREFSEAFQSFHVGKKLGDELAVPFDKSKSHPAALTNKKYGVNKKELLKACASREFLLMKRNSFVYIFKMIQLILMAFVAMTVFLRTEMPKNSIADGTVFMGALFFAVIMTMFNGFSELALSILKLPAFYKQRDLLFFPPWAYALPTWILKIPVTLVEVAIWVCMTYYAIGFDPDAGRFFKQLFLLICVNQMASALFRLTAAAGRSVIVANTFGSCALILVLVLGGFILSRDEVKKWWIWGYWISPLMYAQNAISVNEYLGKSWRHVPSGSSETLGVTVLRSRGIFPEAHWYWIGVGALVGYVFLLNFLFCLALTYLNPFGKPQAMLSEETLAERNACKSGEPIELELKGGSTSEKGNVVRRSVSSRSLSSRVGSVKEADPNRKRGMVLPFEPLCITFDEIKYAVDMPMEMKAQGLTEDKLVLLKGINGAFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYIEGSITISGYPKKQETFARIAGYCEQTDIHSPHVTVYESLVYSAWLRLPPEIDTATREMFIEEVMDLVELTSLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRHCSQLIRYFETINGVQRIREGYNPATWMLEITSEAQEGGLGIDFAQVYRNSELYRRNKALIQELSKPALGSKDLYFPTKYSQSFFTQCMACLWKQHWSYWRNPPYTAVRLMFTTFNALLFGTIFWGIGPKRKTQQDLFNAMGCMYAAVVFLGVQNATSVQPVVAIERTVFYRERAAGMYSALPYAFGQVVIELPHLFVQTLIYGVIVYAMIGFEWTAAKFLWFIFFMYFTLLYFTLYGMMTVAVTPNHNIAAIISSAFYGFWNLFSGFIIPKTRIPVWWRWYYYICPVSWTLYGLIASQFGDVKEKLDTNETVKDFVKSFFDFDHDFVGYVATIIVGICVMFGFIFAFSIKAFNFQTR >itb01g34820.t1 pep chromosome:ASM357664v1:1:37317010:37318324:1 gene:itb01g34820 transcript:itb01g34820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPQLLHSTSPSSSVISHKPYLNPASTPTLEFNKPFSASYALHCRRQRRRSLSSLRCSASSFSEKHHTGSPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLQTDLRFGVIYSDSTTGAAEVGCVGEVVKHERLVDDRFFLICKGQERFRVTKLVRTKPYLVAEVTWLEDRPRDGGDEDLESLASEVETYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAMRLKREKETLRNTLNYLSAASAVKDVFPSS >itb02g01390.t1 pep chromosome:ASM357664v1:2:761455:765229:-1 gene:itb02g01390 transcript:itb02g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRAADPGSYRDRRSDSGFGGGSTYRSSSASRKDYEGSESPRKLDLDGLTPFEKNFYVESRSVAAMTDSEVEEYRRRREITVEGRDVPKPVKSFSDVGFPEYVLKEIEKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPFLAQGDGPIVLVLAPTRELAVQIQQESTKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNAYKVIIGSEDLKANHAIRQHVEIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQTTRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLIHILQEAGQKVSPELAAMGRGAPPPPPGGHGGFRDRGRGYGGGRRWN >itb15g04370.t1 pep chromosome:ASM357664v1:15:2793838:2797589:-1 gene:itb15g04370 transcript:itb15g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAHHFRRSLRSLLAHSHPHSNPHINPRLLCSLSSQPPSSDAEVRKYIGYTVLVLGCAAATYYSFPFPADAKHKKAQLFRYAPLPEDLHTVTNWSGTHEVQTRTFLQPESLQELEAAVKDSNEKKQKIRPVGSGLSPNGIGLTRAGMVNLGLMDKVLEVDKEKKRVTVQAGIRVQQLVDSIKEHGLTLQNFASIREQQVGGIVQVGAHGTGARLPPIDEQVISMKLVTPAKGTIEISEEKDPDLFYLARCGLGGLGVVAEVTLQCVERQELVEHTYISNMKDIKKNHKKLLSENKHVKYLHIPYTDAVVVVTCNPISKWKGPPKYKPKYSPEEAVGHVQDLYRESLKKYRSTENESEINELSFTELRDKLLALDPLNTDHVKKINQAEAEFWRKSEGYRVGWSDEILGFDCGGHQWVSETCFPAGTLSKPSMKDLEFIEQLMQLIEKESIPAPAPIEQRWTACSKSLMSPAYSSVDDDIFSWVGIIMYLPTMDARERKHITEEFFHYRHLTQAHLWDHYSAYEHWAKIEVPKDKEELQALQARLRKKFPVDEYNRARQELDPNRILSNNMLEKLFPSS >itb10g23780.t2 pep chromosome:ASM357664v1:10:27944040:27946106:-1 gene:itb10g23780 transcript:itb10g23780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSVETKETIPVDVPPSTSLPELKRRLSQSLPASSASSLHSSDSIRLSLNKKDELRSSSPDETLQMLGITSGDLIFFSFNPNVQKSSESQITTGLSPNLPAESTKNSDSINLSEKSPELSSDLPVESTNTSDFNSHQGEEEEVEFMETDWEENDADVVSVGVGKSFSVPGFLRKVFTEELSDSDGGRDHKLLLIAVHAVLLESGFVRFDPILHKKVPNQLFLTEPQVSILSYSLPEIVDGDTDQNSNAVPTVELKFQTLGKVVMVYGSLSGNSSVHSVQLDKDHLVPFLNVAWSNCGLSEEIASKDGLLWTSPEKEVFEFWRTVKDGLALPLLIELCEKAGLGLPPCFMRLPTELKLKILELLPAVDLAKMSSLCSDLRYLASNDDLWKQKFQEQFPNADRLWNGGTWNWKQKFAAARKQRKTMRRRRFFDQLGYVNPPFMGPWQRPPFMGPWQPNIIRDPDPLLPPFDNVPPPSRWASHVPRCNRREP >itb10g23780.t1 pep chromosome:ASM357664v1:10:27943621:27946106:-1 gene:itb10g23780 transcript:itb10g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSVETKETIPVDVPPSTSLPELKRRLSQSLPASSASSLHSSDSIRLSLNKKDELRSSSPDETLQMLGITSGDLIFFSFNPNVQKSSESQITTGLSPNLPAESTKNSDSINLSEKSPELSSDLPVESTNTSDFNSHQGEEEEVEFMETDWEENDADVVSVGVGKSFSVPGFLRKVFTEELSDSDGGRDHKLLLIAVHAVLLESGFVRFDPILHKKVPNQLFLTEPQVSILSYSLPEIVDGDTDQNSNAVPTVELKFQTLGKVVMVYGSLSGNSSVHSVQLDKDHLVPFLNVAWSNCGLSEEIASKDGLLWTSPEKEVFEFWRTVKDGLALPLLIELCEKAGLGLPPCFMRLPTELKLKILELLPAVDLAKMSSLCSDLRYLASNDDLWKQKFQEQFPNADRLWNGGTWNWKQKFAAARKQRKTMRRRRFFDQLGYVNPPFMGPWQRPPFMGPWQPNIIRDPDPLLPPFDNVPPPSRWASHVPRCNRREP >itb08g06880.t1 pep chromosome:ASM357664v1:8:5890177:5892230:-1 gene:itb08g06880 transcript:itb08g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSTAFLLFLSLCKLFTSCFSGTIPMYDSFLQCLSTVSTNPIPKDQVSSILYAPANASFSSVLQAYARNRRFNTGSSPKPAIIVTPLQESHVPPVVLCAKKLGVEIRIRSGGHDYEGISYVSDVNFIILDMFNLRSVDVDVAGETAWVQAGATLGELYYRISEKSSVHGFPGGVCPTVGVGGHVSGGGYGNMIRRFGLTVDHVVDARIVDVKGRILDRKGMGEDLFWAINGGGGASFGVILAFKIKLLRVPKTVTYFRAEKYLGLTPNFNDVVSQYLNTASKIDNNLFIRLLLQIVPPPNHNSKTVRSSYVGLFLGNSENLISILNHDFPSLGLTKQDCFEMSWIESLLRWGNFGNGTKPEALLNRTPAGDVSFLKRKSDYLQTPIPKHILDPLWNKMLELGNTGLAVNPYGGKMAEIPSTQTPFPHRAGILFKIQYAVSWNQEGEAADREHISQIRALHSFLAPFVSSNPRQAYLNYRDLDIGTTDHGLHSYEEGKVYGHKYFMGNYERLVKVKSMVDPENFFRNEQSIPSLNRSRNRNQTGSESCEPGFCRNIHRRL >itb12g11290.t1 pep chromosome:ASM357664v1:12:9484790:9486458:-1 gene:itb12g11290 transcript:itb12g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPLRVLNLASCKLEKFPNLRNQSKMARLDLSDNQIKGGIPNWIWQVGDGGLTLLNLSYNLLENLEEPYRINTSLTVIDLHSNRLQGNLPIPPASSIYVDYSENNFSSGIPHEIGNSLAVAAFLSLSKNRLTGPIPGSICNSSFLQVLDLSKNLLSGKIPSCLMKLGSIVALDLGRNQFRGTIPDTFLDGSGLKTLDLSRNILEGQMPGSLVNCKFLQVLNVGNNRILDKFPCFLGSSSTLRVLVLHSNQFFGQLHCLTVNLSWPNLQIIDISSNNFTGKLDSGYFLNWTGMKANEDSLPPKENQMGFVSQFNDFHYQDTVTFSGFFCITKYAEKYSTGTSTVVFKGFFVSDLKEENFQNAGNKCCRHSCYAPVSDSISM >itb15g16100.t1 pep chromosome:ASM357664v1:15:15236043:15242446:1 gene:itb15g16100 transcript:itb15g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILPRIWLCLLPVLINILVVAAWTNPDDVAALNSLKSEWENVPPNWTGQDPCDDHWDGIQCRNSRVVGLTLSSMSLRGGLSGDIAGLSELETLDLSYNNELTGPLPQAIGNLKALSNLILVGCGFSGPIPDAIGSLTELRFLSLNSNKFVGTIPPSVGKLSKLYWLDMADNQLTGTLPVSKGDTPGLDMLLDTKHFHFGKNQLSGDIPAQLFNPNMKLIHLLLEQNGLTGNIPETLGLVKTLEVVRLDKNSLRGPVPSNLNNLTSVNELYLANNGFSGALPNLTGMNNLNYLDMSNNSFDPTDFPLSLTTLQSLTTLVMENTGLQGQVPPDLFSLNQLQNVILSKNRLNGTLTISPSYSSQLQLIDLRINLIDAFTQRPGIPFQIILVKNPYCDEGGIASYCVVPQPKSPYSTPINCSPRQCSAGQISSPKCACAYAYTGNIFFRAPSFSNLGNATIFETLQGSLMRAFLSHQLPVDTVSLSNPTKNLDDYLVVHLEVFPSGQDYFNRTAVSGVGFVLSNQTFKPPKEYGPFFFIAEGYKFFTGSDSTESGKSSSTGIIIGAAVGGSVLVIVSLVIGVYAFRQKRRAQEATKKSDPFASWDPSKNSGGVPQLKAAKCFSFEELKKCTNNFSETNDIGSGGYGKVYRGSLPGGHLVAIKRALQGSMQGAPEFKSEIELLSRVHHKNVVALVGFCFDQGEQMLVYEYIPNGTLKDSLSGKSGIRLDWMRRLRIALGSAKGLQYLHDLVNPPIIHRDIKTNNILLDERLNAKVADFGLSKSMGEPERGHVTTQVKGTMGYLDPEYYMTNQLTEKSDVYSFGVVLLELLTARVPIEKGKYIVREVKQVMDRSKEMFNLHVVLDPAIRSSATPRSVEKYVDLALRCVEESGVHRPTMSEVVKEIENVMEILGMNPNAESASASENYEGSSTGFQHPYSDQSLFVYSGAYPPLNLEPK >itb10g14780.t1 pep chromosome:ASM357664v1:10:21056674:21065928:-1 gene:itb10g14780 transcript:itb10g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKPPEKENLPASTPTRGDDDDDIANASPLTSLPPANINDPIQFGNTAGFNETHRSTNDCNMTDSDDDEVKYLADTLLQLEGMITRRENDVQPVDAQLSSPKNIDKRVQTAGRERIREPLQKRSRTKLGTKEFAGDLSSPASGSGTSTFLANDDDAAMRSRPSENSLPFSQTEQTVFGSYEPSSANSGRSSALVGPTSSTNNQMYLPPGFRAQDVVGQTPSFTNIAGSMPPGVIYSHVPVGNRGLLGQNHLAQPFYGNSTRNMSPQSSMYFRTPMAYRGGFAAFARGNHLGGSGTQAFQGVPFSSSIQPVVSTGQQFSSGQVMNLPPGSNYFSQGLRIQAAESSNIQPVMRNQFPIRFGISQADLFGTQQSGRYQQYQAPNVGYRMSFPSGQGIPSANVAVGIPRASNIHGHPPRGWSRVRPRSKLIPSAHPSLPPVVEHEMRDLDSTSSSTDSDSTPSPVSAPSLESIPSLQPSGEQCWLCKRDVAFTPDGPLSQPRMPPVVAVLPCHHVFHAECLEKITPKEQAEDPPCIPCVTAKADSEHSPSRQAELPEQRRDRPSDAALWSLATSPSEQPSSSSSNTEASTPLLIVAKLFTGVAVSCFSALPQPWMVLPENAAVTRILVIAGSLFTWIQTPLCRVFLMLYF >itb01g03800.t1 pep chromosome:ASM357664v1:1:2500721:2501477:-1 gene:itb01g03800 transcript:itb01g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALCLSRRSHGYAKMEAEDPEETSHRRAQFLIYKSLQKADSLTQRRRSPSSSCLKLRVFRLKIRIGRRLKRLRKSISLTLSAAAKGGVVCRQVSLQLKSWRRFLRGSSAVVPPLLK >itb04g20310.t1 pep chromosome:ASM357664v1:4:24981056:24982820:-1 gene:itb04g20310 transcript:itb04g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSRCAACRQLRRRCTSDCIFLPYFPPNDPQRFSVVHKIFGANNAGKMLKQVEEYKRGDVAESLYYEAQCRVEDPVYGCVGIITLLQQEIYNFESQLAKIEAQIRLLKAQGVATPTL >itb12g18250.t1 pep chromosome:ASM357664v1:12:20499564:20505281:-1 gene:itb12g18250 transcript:itb12g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKHKSHKQSKHGSKEARGHSDSEEDVKTGRSSKGDVSPRVLKDSGHSTSGEKRRRASQSREGRDGKDLVGYGNGNVSEEYTSSKRRRKEKSEGSGGSDRWNSGADERGDDWEINKESGAENLKAAQEKGLKHTESKSSGELKSKSSRRHESGCVERKDEHVAAEMEEEARSGRVESKRKSEKDSSRKENKDSKEKERGSEKDKKQSSKLDTELKGYNGELQKKLGSQSVVSEEKQGKRSRDNADLSRQSKVDNCDLDKEVEKKSRKRRETSVDRDKYEDDITEIDKRRSSSRSERTKDDKYIDVKHKDGSYGDKYREDSYRDDRHKDEKYWDDKDKDSRRTEDKYYEDDRHRSDKYCEDVDIDNRYKDDKFHQGDDRDYGHRDPKYLDEIERVSRRRDDKYREDSGRDGRHWDDRYQEEGGKDVRYNNDRYREDCERDGRQSDNKDREDGERDDRRKEEKHREDSELDSRHRGDKQKDEANRHKRNRDMKYRDESMLRDRPGDRSDAKRLRDENYSTDSISRKSGLGESSPSYDIRISKYKDEQGRRRNNDKDYGDIKSQSCKDQRYDAEKISASGSRLDSISSRGKSSSRVTDLELSSNDSKKRSPASTGHYATRDHYRHLKQDESTNKDYAYQEKGNNYLGDGFAGGYLKSDSHTSLLQPIDKSPSLTSNGRRHVNRFDVRQCLDAEDPTQRSVGSRDLKDFSGKEGKGSRELPIEAPVGDELSQADGDTLSVSSPFIRGGNLSSATKSSLPPPVRSGVDSPFGFSEDDSRGKPSNRHRRTSDPSIGRFQGNSWKGVTNWPSPVNGYMPFQHGPPPVGFPPMMQQFPGPPMFGIRTPMEMSHPGLPYHLSNADRYTGHGHPIGWRIPMNDSCGPSLHAWDSTNPCFGDEAQFYGRPDWDQYTTMSRSRSWETNDMWKGPNGSASVEPPSASQKEDNSAQGLGDDSLTGQSALHVQNEQRQPDLEVESKAISQSSEAVEKNTPEGPKTSGKEASSQSKLSRRDGPHYCHVYLSKLDISADLTEPELYNQCTSLLLANQNMLTDDASKILFVEAVEARLSRPSEISSASLFAAMSDSVLQKSISLYKKERQEIKVISGEKVLCSNALGTGDTYTTNHQIEDLMVVDIVKKPEQAIYVVDTEGMDVDVGSNPTEENDTENSSAENVEGSVEPISDLSKNITRVSNDLDNPSPNRKEGNKLFDAKCGPLVCPDVSPEASEVLVPLSIESGSVNLSRIHHSPEIKH >itb09g10870.t1 pep chromosome:ASM357664v1:9:6746985:6749235:-1 gene:itb09g10870 transcript:itb09g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQVKFSCKVQLWAVMFTVLIAMSNSYLLKDSNSDRFEARDSAEQDWSYRGGEMEDEWTMVQKSGNQFVVNGQPFYVNGFNTYWLMVFAADESTRGKVTEVFKQASSVGMTVCRTWAFNDGQWRALQKTPGVYDEDVFKALDFVVSEAKKYKVRLILSFANNWEAYGGKKQYVKWGKDAGLNLTSDDDFFSHPTLKGYFKSHIKAVLNRVNTVNNITYKDDPTIFAWELMNEPRCESDPSGDKLQNWIQEMAVFVKSIDPKHLVEIGLEGFYGPSTPNRAQFNPNTYATQVGTDFIRNHMALGVDFASAHIYPDSWISQSITDAHVEFTKTWMQSHIEDAESYLGMPIIFAEFGVCTKDPGFNATYRDTILSTVYKSILNSTKKGGGGAGALVWQLFPEGTEYMDDGYAIVLSKSASTSDIISLHSKRLRAFNSLCSWKCHWGCKKKQVLGTFLGHDEL >itb13g20680.t1 pep chromosome:ASM357664v1:13:27443207:27445628:-1 gene:itb13g20680 transcript:itb13g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRMMEDPKERDRKFREHLYTLKDKCNKTKEMWNLPLRPYGFWTFDRHNAQIFWDSKISQAPGRRDPYDDLIQDAQGSSAPSSSTDQ >itb13g20680.t4 pep chromosome:ASM357664v1:13:27443207:27445628:-1 gene:itb13g20680 transcript:itb13g20680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRMMEDPKERDRKFREHLYTLKDKCNKTKEMWNLPLRPYGFWTFDRHNAQIFWDSKISQAPGRRDPYDDLIQDAQGSSAPSSSTDQ >itb13g20680.t5 pep chromosome:ASM357664v1:13:27443207:27445635:-1 gene:itb13g20680 transcript:itb13g20680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRMMEDPKERDRKFREHLYTLKDKCNKTKEMWNLPLRPYGFWTFDRHNAQIFWDSKISQAPGRRDPYDDLIQDAQGSSAPSSSTDQ >itb13g20680.t3 pep chromosome:ASM357664v1:13:27443207:27445635:-1 gene:itb13g20680 transcript:itb13g20680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRMMEDPKERDRKFREHLYTLKDKCNKTKEMWNLPLRPYGFWTFDRHNAQIFWDSKISQAPGRRDPYDDLIQDAQGSSAPSSSTDQ >itb13g20680.t2 pep chromosome:ASM357664v1:13:27443207:27445635:-1 gene:itb13g20680 transcript:itb13g20680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVMEFAENLILRMMEDPKERDRKFREHLYTLKDKCNKTKEMWNLPLRPYGFWTFDRHNAQIFWDSKISQAPGRRDPYDDLIQDAQGSSAPSSSTDQ >itb01g11220.t1 pep chromosome:ASM357664v1:1:9904606:9905169:1 gene:itb01g11220 transcript:itb01g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVENAASKGALCLDGTPPAYHLSERFGPRKGNSMVYFLGSAWFPIVSDCLARMNGHLGSSTKWNNTKTIQRFEGILYNSSKFNPDFRSWTKVMARYCDGSSFTGDVDPITGLHFRGKRVFDAIVDDLLFSKGMKDAKEVLFTSGFAGELAVIIYYDRFANHFPNTTNVKCLSDGGFFLLSNNPLQA >itb02g14260.t1 pep chromosome:ASM357664v1:2:10430045:10434052:1 gene:itb02g14260 transcript:itb02g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEMEAIYTILGVCVSVGLLVYAWGVLGWVWFKPKKLEKCLNQQGLKGNPYRIFSGDMKEIAKMAKDAVSKPMALLDNIAPRIIPYYAHLADKYGKKCYVWLGPMPMVFIRDPELIKEVLNKYYLFLKNKDNPLGKKLARGLVSYDKDQWAKHRRLINPAFYSEKLKLMQPAFLLSCSEMLSKWEGMINVSGSCELDVWPDLQCLTCDVISRTAFGSSYEEGKRIFQLLNEQAVHFVEAIRQVYIPGWRFVPTKRNRRMNAIENEVKSSIRVIIEKRMKAAGETNKNNDDLLGILLESNLREIKQQGDEGFGMSIDEIIDECILFYFAGQETTSAMLVWTMILLSRFPDWQARAREEVLQVFGDNKNPDFEGLNDLKVVTMILYESLRLYPPVAGLVRRTIEETRLGEMLLPPGVMLSLPTLLMHLDTEIWGEDSKEFKPERFREGIMKATNGKQVFFPFGGGPRICIGQNFALVEAKMALAMILQRFSFRLSPSYAHAPFSRVMTQPQYGAPLIMHSL >itb01g03620.t1 pep chromosome:ASM357664v1:1:2365023:2370326:1 gene:itb01g03620 transcript:itb01g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGAGPKRANPLRKGAVAKAEIGLKNDGKRRPPRQNHVGLTPLYKALRLVISSHQPKKLTSNQVALPPFLACPHCTILFPSPSNLPMATVEVESSPATIVENVTPEVEVKPAPEEPTAAAAEPEAVVQEAEPEVTKPEEEKPAAEEEAEAPAAEVAVETKEAEPEAPALEPAEEKAEEPAAAAVLEEAAEVKEPVAAEEETPAAETKTEEAAAPAPPAEAVTEETVAAEAAAEEPAAAAAAEVTDEKAAE >itb15g11190.t1 pep chromosome:ASM357664v1:15:9017612:9021159:-1 gene:itb15g11190 transcript:itb15g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADKEIIEEAVNKMVRYVGYGSGEWSTGAPPGLYSKLKDVSFNIQMINARLQDQEAYTNPIASVDVLMLKTFQTIVNEATDAADKYWILKIHNEHKTLKKFLEPYRREVKSCASKIQSVESKVNMMIHQQQEINRRHSPTTDLNNVLLTLQIERPIGFDKAIKKVEQAVNILDQTVGDNVHLVSDKSEIEDITSQIKTFTESLVVACKSPLANENRVLRLIVKKFGTRVNEARDAVANYFAQEKKRGLAKAFDKIRFCGKLNNVASEIQSIKEKVKTICEDHKAYLQHLQEDCNKRSDHLPPPKCKLSRL >itb14g02020.t1 pep chromosome:ASM357664v1:14:1636371:1643414:1 gene:itb14g02020 transcript:itb14g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADEPLYPIAVLIEELKNDDIQLRLNSVRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELAVFIPYVGGVEHAHVLLPPLETLCSVEETCVREKAVESLCRIGAQMREADLVDWFIPLVKRLAAGEWFTARVSACGLFHIAYASASEALKTELRSLYSQLCQDDMPMVRRSAATNLAKFAVSVEPAHLKTDIMSIFDDLTHDDQDSVRLLAVEGCGALGKLLEPQDCIGHILPVVVNFSQDKSWRVRYLVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPILGKDATIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGIDFFDDKLGALCMQWLQDKVHSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLDMVTNPHYLYRMTILRAISLLAPVMGSEITCSKLLPAIITASKDRVPNIKFNVAKVLQSLIPIVDQSVAEKIIRPCLIELAEDPDVDVRFYANQALQSIDKVMMSS >itb14g11420.t1 pep chromosome:ASM357664v1:14:13053394:13053849:1 gene:itb14g11420 transcript:itb14g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPIIVCLSVNSQDELARFGLSDEDIFAGKVSDKWREFMKEQIRRARFYFNLAEEGASHLDKASHLPVWASLMLYIKILDAIEENEYDNLTKKAYVGRLGKLVTLPLAYSRAQSVPSLAFQ >itb15g12910.t1 pep chromosome:ASM357664v1:15:10850948:10865625:1 gene:itb15g12910 transcript:itb15g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMARASSGLAYPDRFYAAAAYVGFDGSADSGKAVTSKFSNDAALLLYALYQQATIGPCKIPKPRAWSPVEQSKWSSWNGLGNMASAEAMRLFVKILEEEDPGWYSRASNFVKEPVEDVEINHNPNVEPVGNGNGNTFPETKTVPAENGNMSETQDKDVVSEGSSAVSVYDQWVAPLVSGPRPKPRYEHGAAVIDDKMYIFGGNHNGRYLSDLQALDLKSWTWSRVEVKETSEASSPTGPCAGHSLIPWEGNKLLSVGGHTKNPSETLQVKVFDLQSHTWSTLKTYGKPPVSRGGHSVTLAGTTLVIFGGQDANRSLLNDLHILDLETMTWDEMDTLGVSPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSRPTQQGEIPSPRAGHAGATVGENWFIVGGGNNKSGVSETVVLNMSALVWSVVTTVQGRVPLASEGSSLTLSSCNGEDVLVSFGGYNGRYSNEVNVLKPSHKSTLQSKMVETPVPDSVSAVHNATNATRDMESELETGQEGKIREIVMDNDSQPMVKKVEETSEHLLSALKAEKEELESSLNKEKLQTLQLKQELADAETRNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQAVLSAKQRQSSGGVWGWLAGTPADQKSDDD >itb13g18940.t3 pep chromosome:ASM357664v1:13:25962173:25966194:1 gene:itb13g18940 transcript:itb13g18940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLHSMFRPPETPREPMEFLSRSWSVSALEISKALAPPPPQILGKSFAGNTSSGAGGGAAIPEDIIGEFEDAAAAAGNPFSFASSETSQLIMECIMSQSDVSPRTSGRLSHSSGPLNGGQSCGGSLTDSPPVSPSEMDDSKFCRISNPPLNNQYKGGATVAVAATGGGKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAGVAAAVAAMAAATAASSGAGKDEHVAKTNMAVASAATLVAAQCVEAAESMGAEREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKDVWNIAAVIPVDKRTGGSNGSNGSNGSSSGELAPEENFLGICSRELLSRGSELLKRTRKGDLHWKIVSVYINRMGQVMLKMKSRHVAGTITKKKKNVVIEVMKNLPAWPGRHLVEGGDNFRYFALKTVMRGVVEFECRDQREHDVWTQGVSRLLAIAAERNNNRNNVY >itb13g18940.t2 pep chromosome:ASM357664v1:13:25963017:25965877:1 gene:itb13g18940 transcript:itb13g18940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVSPRTSGRLSHSSGPLNGGQSCGGSLTDSPPVSPSEMDDSKFCRISNPPLNNQYKGGATVAVAATGGGKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAGVAAAVAAMAAATAASSGAGKDEHVAKTNMAVASAATLVAAQCVEAAESMGAEREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKDVWNIAAVIPVDKRTGGSNGSNGSNGSSSGELAPEENFLGICSRELLSRGSELLKRTRKGDLHWKIVSVYINRMGQVMLKMKSRHVAGTITKKKKNVVIEVMKNLPAWPGRHLVEGGDNFRYFALKTVMRGVVEFECRDQREHDVWTQGVSRLLAIAAERNNNRNNVY >itb13g18940.t1 pep chromosome:ASM357664v1:13:25962173:25966367:1 gene:itb13g18940 transcript:itb13g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLHSMFRPPETPREPMEFLSRSWSVSALEISKALAPPPPQILGKSFAGNTSSGAGGGAAIPEDIIGEFEDAAAAAGNPFSFASSETSQLIMECIMSQSQDVSPRTSGRLSHSSGPLNGGQSCGGSLTDSPPVSPSEMDDSKFCRISNPPLNNQYKGGATVAVAATGGGKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAGVAAAVAAMAAATAASSGAGKDEHVAKTNMAVASAATLVAAQCVEAAESMGAEREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKDVWNIAAVIPVDKRTGGSNGSNGSNGSSSGELAPEENFLGICSRELLSRGSELLKRTRKGDLHWKIVSVYINRMGQVMLKMKSRHVAGTITKKKKNVVIEVMKNLPAWPGRHLVEGGDNFRYFALKTVMRGVVEFECRDQREHDVWTQGVSRLLAIAAERNNNRNNVY >itb06g01250.t1 pep chromosome:ASM357664v1:6:2518976:2520424:-1 gene:itb06g01250 transcript:itb06g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLASKPSSNLKKPSQTFAGNDPKASASAAKEYVTEFDASKAPAASDSVANYIIPPKANEWEPRKRMKNIDLPPIRSSDDQPLQFEVDTGSSVEPSSDSVSYGLNIRQSADDPNTDKSENPKPSPNVDPMLHRLKEDLMRLPDDNGMDEFTDMPVEGFGAALLKGYGWSEGRGIGRNAKEDVKVREYKRWSAKEGIGFTAELPNDTKVHKVDGGEKRDKKMNANGKEERGEKEGKGLFVGKNIRIVGGREIGMKGKVVEVKSGGNMVIRLSSDDREVIVQSSDVAKLGSVEEEKCMRKLKELKIKDSNKDSSSVRHRRESRDEVTRDREIREERSRDRRKDSKRSRDESNAKGVEQISWLTSHIRVRVISKTLKGGKLYLKKGEVVDVVGPSTCDISMDESRELIQGVNQNQLETALPRRGGPVLVLCGRHKGVYGSLVERDTEKETGVVRHGDTHELLNVRLEQIAEYTGDPSYIGY >itb11g19990.t2 pep chromosome:ASM357664v1:11:20921182:20926409:-1 gene:itb11g19990 transcript:itb11g19990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIVKVKDGQHLSEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPEKNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVLCVHGGLSPDVRTIDQIRIIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >itb11g19990.t1 pep chromosome:ASM357664v1:11:20921125:20926429:-1 gene:itb11g19990 transcript:itb11g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIVKVKDGQHLSEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPEKNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRIIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >itb12g07200.t4 pep chromosome:ASM357664v1:12:5380364:5382656:1 gene:itb12g07200 transcript:itb12g07200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAAYAEVILNTMKEAADRVMESEQKSLRIEQDLQSTKDEALRLLLRLKRMIDAKQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQLISLSGKWS >itb12g07200.t6 pep chromosome:ASM357664v1:12:5380272:5382820:1 gene:itb12g07200 transcript:itb12g07200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAAYAEVILNTMKEAADRVMESEQKSLRIEQDLQSTKDEALRLLLRLKRMIDAKEAEAEMSSINQQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQLISLSGKWS >itb12g07200.t1 pep chromosome:ASM357664v1:12:5380272:5382831:1 gene:itb12g07200 transcript:itb12g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEGMEALKAAYAEVILNTMKEAADRVMESEQKSLRIEQDLQSTKDEALRLLLRLKRMIDAKEAEAEMSSINQQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQLISLSGKWS >itb12g07200.t8 pep chromosome:ASM357664v1:12:5380845:5382831:1 gene:itb12g07200 transcript:itb12g07200.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMIYSSQEAEAEMSSINQQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQLISLSGKWS >itb12g07200.t3 pep chromosome:ASM357664v1:12:5380272:5382831:1 gene:itb12g07200 transcript:itb12g07200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEGMEALKAAYAEVILNTMKEAADRVMESEQKSLRIEQDLQSTKDEALRLLLRLKRMIDAKEAEAEMSSINQQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQVSDNYHLLSDQQNYYYYTYFFVWKVVIN >itb12g07200.t5 pep chromosome:ASM357664v1:12:5380407:5382761:1 gene:itb12g07200 transcript:itb12g07200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAAYAEVILNTMKEAADRVMESEQKSLRIEQDLQSTKDEALRLLLRLKRMIDAKEAEAEMSSINQQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQVSDNYHLLSDQQNYYYYTYFFVWKVVIN >itb12g07200.t7 pep chromosome:ASM357664v1:12:5380845:5382831:1 gene:itb12g07200 transcript:itb12g07200.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMIYSSQEAEAEMSSINQQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQVSDNYHLLSDQQNYYYYTYFFVWKVVIN >itb12g07200.t2 pep chromosome:ASM357664v1:12:5380364:5382656:1 gene:itb12g07200 transcript:itb12g07200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEGMEALKAAYAEVILNTMKEAADRVMESEQKSLRIEQDLQSTKDEALRLLLRLKRMIDAKQRRIDELEAQLNEAEGLVVDLRAELNLVWEELGEAKKKKKTAKIVKDDPALSSMITKYKEAEMYRNGFVQRISAVEMSTADEKLQPGDDPSFGAHSKPIRECSETKADVHVEASLKIMKKMENHIHQLKGNVKKDRPTRFHGKIRRRKSCHGKAKANLQRCLHNGHLKSKQPLLATSTGNKIDPRGFTATNVEKINTLDNSCVLGEKVQQSIAQSALVRRSVRKRKIKYWDDAASVWRSHDYHSNQCRKVSQPCHKSKPVKCNVKFTEDRLESQNVVEIEDHADCIASQHVPEELNRDSECTDNTTGDITELHRLIDMPVTLKQDSHATPLKLESRLLISDSDAKTSQRSDVTLDRTDTEGRLRYTFSRKRKKNSLINPDENASEEQDTTMVDGSLRKNRQLAQVAHQLISLSGKWS >itb01g22480.t1 pep chromosome:ASM357664v1:1:28367775:28368838:1 gene:itb01g22480 transcript:itb01g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNATQKHHFFSSLLFSFIPFNSLIDRNQMGNSCASSDHRIIRRCGRSVNSPSAGVAVVVKLDGKPEEFREAVRAGDILSENENCFLCSSEAMDVDSPVARLGDEEELQLGQLYFLLPMSKSHVPLSLHDMCDLAIKASAALNDCTAMNPVREGKGRRRHVNKVFSARALVAADASLGWMIKLLMVTKRLTSILFEQRS >itb15g03380.t2 pep chromosome:ASM357664v1:15:2154582:2160226:1 gene:itb15g03380 transcript:itb15g03380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRETERKQVQKTTQIKRASKPDKKGQKPQEKNGGKILKGKETDIKATSPPTNSRTSVSDPNTGPEPPEVYENMVIHYVDDANRSVDTIQDSKTVRMVEKESKNKLEGYSCEQGNDSKEEMEDESDPETVNDSVSSQGDPQTVEDEKLERASRISRNGSSDSSSHALRGNSDNGVQIKASKGAPKNTTKPTKGPSKVTTKSISGNSKNMKVHPKAISESSEELDDRPLEEVKQAADTTDESSSSAQSFGSDDETVNTAEHFDHEDRAALEQQVQEMESRVEKLEEELREVAALEVALYSVVPEHGNSAHKVHTPARRLSRLYIHACKYWSQDKRATVARNVVSGLVLVSKSCGNDVPRLTFWLSNAVVLREIISQSFGSSCSSSSLTKILESSGGKKGDGKHLSLKPSSNGVLHFIDNWQESRTFTAALERVESWIFSRIVESIWWQAFTPNMQSPNDNPSTGKAVVRLSGPALGDQQQGRFSIDLWNNVFRDAFNRLCPVRAGGHECGCLPVLARRVMKQCVARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLNESFGMALDDCAEDGHGNSENDDRGSGREKNHFNLLNALSDLLMLPKDMLMDRTIRMEVCPSIHLSLVKRILCNFSPDEFCPDPVPGAVLEALNAECIIERRLSSAESMSSSFPYPAAPVVYTPPSSTDIVEKVAEVDRKSQLSRTPSSIQRKGYTSDEELDEIDSPLASIVDKTSSAHSENGNGNIKDDENKGSLGSNARYELLREVWRATT >itb15g03380.t1 pep chromosome:ASM357664v1:15:2154582:2160226:1 gene:itb15g03380 transcript:itb15g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETERKQVQKTTQIKRASKPDKKGQKPQEKNGGKILKGKETDIKATSPPTNSRTSGNDSKEEMEDESDPETVNDSVSSQGDPQTVEDEKLERASRISRNGSSDSSSHALRGNSDNGVQIKASKGAPKNTTKPTKGPSKVTTKSISGNSKNMKVHPKAISESSEELDDRPLEEVKQAADTTDESSSSAQSFGSDDETVNTAEHFDHEDRAALEQQVQEMESRVEKLEEELREVAALEVALYSVVPEHGNSAHKVHTPARRLSRLYIHACKYWSQDKRATVARNVVSGLVLVSKSCGNDVPRLTFWLSNAVVLREIISQSFGSSCSSSSLTKILESSGGKKGDGKHLSLKPSSNGVLHFIDNWQESRTFTAALERVESWIFSRIVESIWWQAFTPNMQSPNDNPSTGKAVVRLSGPALGDQQQGRFSIDLWNNVFRDAFNRLCPVRAGGHECGCLPVLARRVMKQCVARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLNESFGMALDDCAEDGHGNSENDDRGSGREKNHFNLLNALSDLLMLPKDMLMDRTIRMEVCPSIHLSLVKRILCNFSPDEFCPDPVPGAVLEALNAECIIERRLSSAESMSSSFPYPAAPVVYTPPSSTDIVEKVAEVDRKSQLSRTPSSIQRKGYTSDEELDEIDSPLASIVDKTSSAHSENGNGNIKDDENKGSLGSNARYELLREVWRATT >itb14g01660.t1 pep chromosome:ASM357664v1:14:1334592:1335399:1 gene:itb14g01660 transcript:itb14g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYPGERRRGPGNAAVLVAVLFLIVFPALHGGGGRAGAIRTFPPTPMAIPKKPPTQTNLFRQYFSSRSEENDGTRNATASFRDSKRRVPSCPDPLHN >itb03g16790.t1 pep chromosome:ASM357664v1:3:15604179:15607440:-1 gene:itb03g16790 transcript:itb03g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNGLCFACCLWCVVGVISVVCGAFSPIDGFLISCGKSRAVEVEDGRVFQPDFGNSNVGLSANSHIVVSNAGKGMSDLYGSARVFTESSTYTIRTKQGGRHWLRLHFFPVENSDYDLKLAAFSVVANGVTLLHRFSYSKLQENGPVVKEYVVEIGRLSSDNLELILSPWPGSIAFINGIEVVSLPKGQFDSRVVPIPDGSPSVIPSSTALETVYRVNMGGPSLTPKNDTLWRVWRSDYPFLVNPAAAVNVSTNPRSVRYPAGVSVEIAPNWVYATAQEMADAQVVDPNFNLTWAFGVDPGFAYFIRMHFCDIVSDALGKLVFNVYVNEYTAVDSLDISSKTMELSAAYFIDFVVNISEGSDKLFIKVGPSTSGRVQANAILNGLEIMKLSDSSQCLDGGCIGISHNSVSSEHKKPVMVVIFACLGSVAVLVLVSVACFLYSRRSKTGEKAKVNQVSWVSFRTHAGVSETKVSAGSFASSSPSPLGRVFTFSEILGATKNFDEGLVIGVGGFGKVYKGVLESGVTVAVKRGNRKSQQGLVEFRTEIEMLSKLRHRHLVSLIGYCEELNEMILVYEFMAGGPFRKHLYGSDLPPLSWKQRLEICIGAAKGLHYLHTGASETIIHRDVKTTNILLDESLKAKMADFGLSKFGPAMDQTHVSTAVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLMEVLCARPAINPALPREQVNIAEWAMQWQKKGQLEQIIDPHLLGKVSLESLRKCGETAEKCLAEYGTERPSMGDVLWNLEYALQLQETASGQSIDDENSSSYIPDIPYLFPRTESAADTDEIDVVSNGESSDISTSTGVFSQILNPKGR >itb05g01190.t1 pep chromosome:ASM357664v1:5:997625:999590:1 gene:itb05g01190 transcript:itb05g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIPTLLYIHPLSPSPSFITYIHQCQSYQEERRKDFQNCLSNSSQEKKNTTYKKKKKKKQNIMGRSPCCEKAHTNKGAWTKEEDQRLINYIRSHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLLSRGLDPQTHRPINAAAAAGGGGGGSAAKDICLDFRNAAAPAKSSNEKATLSLSQEDTKCNSGTTTEESQSHQQQKDDQTALNLGLSIGLSTAAETPSSSNTAESVAPPQEPPSAAAVGYAMTQTVCLCWQLGWSPSGKLCTKCHNSYKWFP >itb10g04240.t1 pep chromosome:ASM357664v1:10:4040356:4041845:1 gene:itb10g04240 transcript:itb10g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHHQRLLDNTSYEAEEFGGEIKVSKSTDEPKIVKWKWWFELAFYTLLTLSGLEAAILLSRIYYDQGGNSKWLMASTQSAGFPFLIPFLFISSSPKIHPNSSSNPPPSLLILALVSTVLGLLLAATDIFYSLALDYLPASTYSLLNSSQLAFTALFSFLLNAQSFTPYIINAVILLTFSPMLLIFSQETTSSEVASKDDYMLGVLFTIAASACPALLFSLTQLVFEKIIKRETLKDTVMLTVSQSFVATVVTLVGLFASGEWKGLRREMEGYKQGVLAYNLDLFFSAVACQAYFVGSFTLTFKVSSLFSNVVIRLATPLTPLLSMLLLHEEMSGLKVMSLLLSVWGFASYIYQQYLDDLEAASKCTQKISDI >itb01g12320.t1 pep chromosome:ASM357664v1:1:11821440:11825506:1 gene:itb01g12320 transcript:itb01g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENSSNSCSNDSSLNDRSSETQVVLNVYDLFPLNHYTVWFGFGIFHSGIEVHGMEYGFGAHDFPFSGVFEVEPKSCPGFIYRCSIPLGRINMPVSEFHTFLENVASEYHGDTYHLISNNCNHFTDDMANRLTGRGIPGWVNRLAKLGALCNCLLPESLQVTTVKQVPEYHLYTDEDGSGSLSTITPHSQERTESDDGDQDKHLLSPTGSGVVSLVKEVPR >itb07g04240.t1 pep chromosome:ASM357664v1:7:2857366:2859365:1 gene:itb07g04240 transcript:itb07g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLYYLLFLLPLLAPTCTFSSPYPDPELIVQEVNEKIANATIARRKLGFLSCGTGNPIDDCWRCDPKWESNRQRLADCAIGFGKQAIGGRDGKIYVVTDTGDDPVNPKPGTLRYGAIQDEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCQQGGNANVRDSPEHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLVDAIHGSTAITISNNYMTHHNKVMLLGHSDTFIKDKSMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPNDRFNKEVTKHEDAPQSEWKSWNWRSEGDLMLNGAFFTMSGSAGASSSYAKASSLSARPSSLVGSLTGGAGALTCKKGKSC >itb07g04240.t2 pep chromosome:ASM357664v1:7:2857366:2859365:1 gene:itb07g04240 transcript:itb07g04240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLYYLLFLLPLLAPTCTFSSPYPDPELIVQEVNEKIANATIARRKLGFLSCGTGNPIDDCWRCDPKWESNRQRLADCAIGFGKQAIGGRDGKIYVVTDTGDDPVNPKPGTLRYGAIQDEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCQQGGNANVRDSPEHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLVDAIHGSTAITISNNYMTHHNKVMLLGHSDTFIKDKSMQVTIAFNHFGEGLIQRMPRYYLSYIYC >itb12g21690.t1 pep chromosome:ASM357664v1:12:23971597:23973784:-1 gene:itb12g21690 transcript:itb12g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPESQELDRPAPWPPSPPKPLTSKLFTLFSVLILFSAISYAIITQTNHHKIPLLRSILSPHPNILQRLLNFLTIRTPNQTPSNTNTDSTPPHCVLWMAPFLSGGGYSSESWSYILSLHEFAKHQKSPKFSLRIEQHGDLENIEFWEGLSVEMRNLAIELHQTQCTLDETIVICHSEPGAWYPPLFETLPCPPIGYENFKAVIGRTMFETDRVSADHVNRCNNMDYVWVPTEFHVETFTRSGVDPLKVVKVVQAVDVEFFDPGKYEPLDIGARGSLVLGESNSGKEEFVFLSVFKWEHRKGWDVLLRSYLREFDRDDGVALFLLTNPYHTDKDFGNKIVEYVERSDLKEPHCGWAPIYVIDDHIPQVDLPRLYKAANAFVLPSRGEGWGRPIVEAMAMSLPVISTNWSGPTEYMTEANSYPLSVDTFSKVAKGPFKGHLWAEPSVDKLQMLMRHVMTNYEEAKTKGEQAHVDMTTKFSPELVARIVADHIHGIIDRADEDIK >itb04g08200.t1 pep chromosome:ASM357664v1:4:5866977:5867333:-1 gene:itb04g08200 transcript:itb04g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSVRVNRKFCSQKWLDNLGKAMKADEEVMDLMVDALKEIASYMYVSFDKNKNIHVKEEGAIARKASVILMEVARLYTAGEILGSGAELGKELRANQESVGVKLCKWAWMKFKPGY >itb13g01900.t1 pep chromosome:ASM357664v1:13:1740921:1742111:-1 gene:itb13g01900 transcript:itb13g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSFAAALLFVMLFMATEVGRDTKMVAEAKRECVEKPSANYHGSCGSDLACLAVCRSEKMKSGYCKGGFVGAKCTCIVHCL >itb12g10330.t1 pep chromosome:ASM357664v1:12:8387189:8392956:-1 gene:itb12g10330 transcript:itb12g10330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSTIGAVNKSLLSLNNGNVGSSVPSSGFFGKGLKKTGGHRVAMPKISNSRQMRVAAEAPEAAEPEIDEQKQTNQDRWKGLVEDISDDQQDITRGKGLVDTLFQAPMGTGTHHAVLSSYEYISQGLREYNIDNTLDGFYIAPAFMDKLVVHITKNFLKLPNIKIPLILGVWGGKGQGKSFQCELVFRKMGINPIMMSAGELESGNAGEPAKLIRQRYREAAEIIRKGNMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPDEHVVKLVDTFPGQSIDFFGALRARVYDDEVRKFIASIGVDAVNERLLNSRDGPPKFDQPKMTIEKLLQYGYMLVQEQENVKRVQLADKYLKEAALGDANEDAIKNGNFYGQGAQSGNLKVPEGCTDPQATNFDPTARSDDGTCTYQV >itb01g31370.t1 pep chromosome:ASM357664v1:1:35150890:35152417:1 gene:itb01g31370 transcript:itb01g31370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSFCDSSATLLYPPQEKDMKENLVYSGELQAMLDGLDDDDSVEENVGIMNGKKRRLSVEQVHALEKVFEVDNKLDPERKVKIAAELGLQPRQIAIWFQNRRARCKTKQLERDYNLLKANYESLHLNYIKIEQEKEGLIAELKGLKEKQLVEENKECNHSANENQDPSAPRNCGLSSETKDLMDFKDGSSDSDSSGVLNNEDCSFHYPPLMPPKSSSLYQPQFVKMEEQASGFNAEDSCNIFSLDQPPNLYWYCGDYRNY >itb09g02530.t2 pep chromosome:ASM357664v1:9:1442958:1444815:1 gene:itb09g02530 transcript:itb09g02530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKSETKTPGGLVARPVLTSYYKSEHFKTRPYDPYNVYTSPNEAILCPDSFQSMYTQMLCGLYEREQVLRLGAIFASGLLRAIRFLQLHWAQLSNDIRTGTLSPHVTDQAICECMTRVMRPDPELAEFIVRECSKENWEGIITRIWPGTKYLDVIVTGAMAQYIPTLDYYSGGLPMACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMGYFEFLPHDQNSDGFTRDSPPRLVDLVDVEVGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQGAVESASKLLTEFNTSVVEYTSYADANTIPGHYVIYWELLMKDPAHSPSKEVLDQCCLAMEDSLNSVYRQGRVECNSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVSFAPIMELLDSRVVSSHFSPSLPRWTPERKC >itb09g02530.t1 pep chromosome:ASM357664v1:9:1442304:1444821:1 gene:itb09g02530 transcript:itb09g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSVLTSPLGPPACEKDAKALQFIEDMTRNADSVQERVCAEILSRNSQTEYLQRFGLNGATDRETFKAKVPVVTYEDLQPEIQRIANGDRSPILSSHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLNKGKGLYFMFVKSETKTPGGLVARPVLTSYYKSEHFKTRPYDPYNVYTSPNEAILCPDSFQSMYTQMLCGLYEREQVLRLGAIFASGLLRAIRFLQLHWAQLSNDIRTGTLSPHVTDQAICECMTRVMRPDPELAEFIVRECSKENWEGIITRIWPGTKYLDVIVTGAMAQYIPTLDYYSGGLPMACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMGYFEFLPHDQNSDGFTRDSPPRLVDLVDVEVGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQGAVESASKLLTEFNTSVVEYTSYADANTIPGHYVIYWELLMKDPAHSPSKEVLDQCCLAMEDSLNSVYRQGRVECNSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVSFAPIMELLDSRVVSSHFSPSLPRWTPERKC >itb10g09500.t1 pep chromosome:ASM357664v1:10:12629846:12634896:-1 gene:itb10g09500 transcript:itb10g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDSTWVGRKPVRRLGGMSDALSIAADLGFAVSPPPSQEEIQSLSSGSGEKSDDLIRVLKELTAVQRKIADLQVELQGRKEDKNVAHLTHVSEMEKKIETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMRAASDYSALTASVADFQWSQNFKDPPTIWAEMLRPIPVALASCTRFFEAMSAMRESFATLQALRVGHPSSPSSSKDPSQRGPRNSDFVTPPPWRTEKSSDDLAIRSLRQENEQQEVSSSEHGEVESRRLSWPPSVKKVGI >itb07g13460.t1 pep chromosome:ASM357664v1:7:15731789:15733866:1 gene:itb07g13460 transcript:itb07g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIESQREKTFAISSNDSPTATDDVGGGGFDLPVDSEHKAKAIKIFSFAQPHMRSFHLSWMSFFTCFVSTFAAAPLVPIIRDNLDLTRSDIGNAGVASVSGSIFSRLAMGFICDLIGPRYGCAFLNLLTAPVVFSVAFISSAPGFIAVRFLIGFSLATFVSCSFWTSTMFNGKIIGLVNGISAGWGDMGGGATQLLMPFLFQLIILAGATPFTAWRIAFFIPGWLHIITGVMVLTLGQDLPDGNLSALQKKGDVVKDNFSKVFLHAVKNYRTWVFFFLYGYSMGVELCINNIITEYFFDRFDLKLQTAGIIAASFGMANFFSRPFGGYASDVAAKRFGMRGRLWVLWVVQTLGGVFCIWLGRANTLPVAVVAMILFSLGAQAACGATYGIIPFVSRRSLGLISGLTGAGGNFGGGLTQLLFFSSSKFSTAAGLTWMGAMAVACTLPVAFIHFPQWGSMLFPASKNESYSEEYYYGSEWNEEEKSKGLHHGSLKFAENSRSERGKQRISSVASPPNITPTRTVLN >itb03g27340.t1 pep chromosome:ASM357664v1:3:27117900:27126138:1 gene:itb03g27340 transcript:itb03g27340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVPLAYQNNTSTSPEWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVIICWVTWAYKMSFGEKLLPFWGRAGPALGQKFLIKQAALPATVHYFSNGDLETAEATPLYPMASMVWFQCVFAAITLILLAGSLLGRMNIKAWMMFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGIAGITAAYWVGPRSKNDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTCLDVIFFNKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGILSGSIPWFTMMIIHKRWTLLQKIDDTLGVFHTHAVAGLLGGCLTGLFAEPVLCNLFLPVTNSRGGFYRGSGGVQFLKQIVGGGFVIGWNIVVTSIICVVISLIIPLRMSEEQLKIGDDAVHGEEAYALWGDGEVYDATRHGYSPDYEATQFGKTAIGATQVV >itb04g32090.t2 pep chromosome:ASM357664v1:4:34785370:34790080:1 gene:itb04g32090 transcript:itb04g32090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIVTVRCGHCANLLSVNMGSLLQPLPLQDIQKQQSNNEDGSGSSSSSKCNKFAPFDSPEHEQQPRLAPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLNLDNNKQAKIDHAVGGEGPQKSLGLY >itb04g32090.t1 pep chromosome:ASM357664v1:4:34783527:34790082:1 gene:itb04g32090 transcript:itb04g32090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSSSSSTTSERVCYVHCNFCTTILAVSVPCSSMFTIVTVRCGHCANLLSVNMGSLLQPLPLQDIQNEQKQQSNNEDGSGSSSSSKCNKFAPFDSPEHEQQPRLAPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLNLDNNKQAKIDHAVGGEGPQKSLGLY >itb04g32090.t3 pep chromosome:ASM357664v1:4:34785370:34790080:1 gene:itb04g32090 transcript:itb04g32090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIVTVRCGHCANLLSVNMGSLLQPLPLQDIQNEQKQQSNNEDGSGSSSSSKCNKFAPFDSPEHEQQPRLAPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLNLDNNKQAKIDHAVGGEGPQKSLGLY >itb09g08590.t1 pep chromosome:ASM357664v1:9:5116507:5118695:-1 gene:itb09g08590 transcript:itb09g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRPKHLVMLIFLVHYLCPGVVSDDSIPIPASKDEVNSWFTANVQPASDRKNLDPKLAKAESKPKHIKVSKDGKGDFKTVSEAIKSVPKDNTQRVIISIGGGNYTERVLIEREKPFITLYGDPKDMPSITFHSTAAKDGTVGSATVIVEAEYFTAVNLNILNSAPRPDGKRKGAQAVALTQSGDKAAYYNCKLYGFQDTFCDDKGRHFFKDCYIEGTVDFIFGNGKTLYLNTELHVLEGVITAQARHTNAEDTGYSFVHCKITGHKEAGFLGRGWMAFSRVIYAYTEMTDAVRPLGWHGVPGKPHYGGTTYFGEYKNTGPGAKMDGRPNFAKKMTDEEVKPFITLGFIEGSKWLLPPTKV >itb15g23620.t1 pep chromosome:ASM357664v1:15:26438769:26443610:-1 gene:itb15g23620 transcript:itb15g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGAATAQYPVGIFHGGSRKLSSGSDSPTVNFPSNIGISRLNLYPTSSSILSSSMDNSKVYCLPNDADLKFDDPPRRILDTIENPMHLKNLSLKELKQLAEEIRSELRFAMSNTEKPLTASLGVVELAVAIHHVFHAPVDKILWDMEEQTYAHKILTGRMPLKHSHLQSNSFTSPMCRSESEFDPFEAGHGCNSISAGLGMAVARDIKGKRDRIVAVISNETTMAGQVYEAMSNAGYLDSNMIVILNDNRHSLHPKLEEAPETPINALSSTLSKLQSSKLFRRFRELAKVLTKKIGKGMHEWAAKIDEYARGMIGPPGSTLFEELGLYYIGPVDGNNVADLICVLHEVASLDSMGPVLVHVITKEQQEIKDNQMVEVSNITPESSFNSDPSLSWTRTYSDCFVEALVVEAKIDKDIVAIHAGMGMEPSLQLFKNEFPNKFFEIGMAEQHAVTFSAGLSRGGMKPFCIVPSTFLQRAYDQVIHDVDRQKIPVRFVITSAGLVGSDGPKQCGAFDITFMSCLPNMIVMAPSDEVELAHMVATAARIDDRPVCFRYPRGAVAMANHSCEGGIPMEVGRGRILVEGKDVALLGYGSMVQNCLRAQFVLSKLGVEVTVADARFCKPLDAKLIRSLCKNHAFLITVEEGSIGGFGSHVAQFISLDGQLDAGIKWRPITLPDNYIEQASAKEQLEVAGLSGNHIAATVLSLLGRNREALFLM >itb15g23620.t2 pep chromosome:ASM357664v1:15:26438769:26443610:-1 gene:itb15g23620 transcript:itb15g23620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MGAATAQYPVGIFHGGSRKLSSGSDSPTVNFPSNIGISRLNLYPTSSSILSSSMDNSKVYCLPNDADLKFDDPPRRILDTIENPMHLKNLSLKELKQLAEEIRSELRFAMSNTEKPLTASLGVVELAVAIHHVFHAPVDKILWDMEEQTYAHKILTGRMPLKHSHLQSNSFTSPMCRSESEFDPFEAGHGCNSISAGLGMAVARDIKGKRDRIVAVISNETTMAGQVYEAMSNAGYLDSNMIVILNDNRHSLHPKLEEAPETPINALSSTLSKLQSSKLFRRFRELAKVLTKKIGKGMHEWAAKIDEYARGMIGPPGSTLFEELGLYYIGPVDGNNVADLICVLHEVASLDSMGPVLVHVITKEQQEIKDNQMVEVSNITPESSFNSDPSLSWTRTYSDCFVEALVVEAKIDKDIVAIHAGMGMEPSLQLFKNEFPNKFFEIGMAEQHAVTFSAGLSRGGMKPFCIVPSTFLQRAYDQVIHDVDRQKIPVRFVITSAGLVGSDGPKQCGAFDITFMSCLPNMIVMAPSDEVELAHMVATAARIDDRPVCFRYPRGAVAMANHSCEGGIPMEVGRGRILVEGKDVALLGYGSMVQNCLRAQFVLSKLGVEVTVADARFCKPLDAKLIRSLCKNHAFLITVEEGSIGGFGSHVAQFISLDGQLDAGIKVISFLSYNRMHILFIKMNFTIICWFESWFS >itb05g23810.t1 pep chromosome:ASM357664v1:5:28854943:28856539:1 gene:itb05g23810 transcript:itb05g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASLSLCGPTSHSPLHCSSSKPILCCPNFTTPNHHRKKRSSSHRQRKFRVLAVTEGSAKNTSKEEDGEDPSVPSWAKPGSEEPPPWARNESQKDSSSFQVPFYVYLLASSITAIAAIGSIFEYVNQKPVFGVVSPDSAFYAPLLGFFVFTGIPSSGFLWFKSVQAANKEAEEQDKRDGYL >itb06g18560.t1 pep chromosome:ASM357664v1:6:22191934:22196083:1 gene:itb06g18560 transcript:itb06g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MEHVSSLEPSTETKKSEEDTKTNDATVASEYHSSSMKKARLHSTLGALLNDPILADVPKKPSLSDVDTLISLELGSAMRITILKLDNTSIDVAVLNSATVKELKQAVRKKIEEMEDSKMGHRHISWKHVWENFCFSYHNEKLLDDGAKLQDYGVRNNCEVQFIPYIMSRASQRHSRGRKHRFFHGLNRVG >itb06g18560.t4 pep chromosome:ASM357664v1:6:22192060:22196083:1 gene:itb06g18560 transcript:itb06g18560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MEHVSSLEPSTETKKSEEDTKTNDATVASEYHSSSMKKARLHSTLGALLNDPILADVPKKPSLSDVDTLISLELGSAMRITILKLDNTSIDVAVLNSATVKELKQAVRKKIEEMEDSKMGHRHISWKHVWENFCFSYHNEKLLDDGAKLQDYGVRNNCEVQFIPYIMSRASQRHSRGRKHRFFHGLNRVG >itb06g18560.t3 pep chromosome:ASM357664v1:6:22192060:22196083:1 gene:itb06g18560 transcript:itb06g18560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MEHVSSLEPSTETKKSEEDTKTNDATVASEYHSSSMKKARLHSTLGALLNDPILADVPKKPSLSDVDTLISLELGSAMRITILKLDNTSIDVAVLNSATVKELKQAVRKKIEEMEDSKMGHRHISWKHVWENFCFSYHNEKLLDDGAKLQDYGVRNNCEVQFIPYIMSRASQRHSRGRKHRFFHGLNRVG >itb06g18560.t2 pep chromosome:ASM357664v1:6:22191934:22196083:1 gene:itb06g18560 transcript:itb06g18560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MEHVSSLEPSTETKKSEEDTKTNDATVASEYHSSSMKKARLHSTLGALLNDPILADVPKKPSLSDVDTLISLELGSAMRITILKLDNTSIDVAVLNSATVKELKQAVRKKIEEMEDSKMGHRHISWKHVWENFCFSYHNEKLLDDGAKLQDYGVRNNCEVQFIPYIMSRASQRHSRGRKHRFFHGLNRVG >itb06g18560.t5 pep chromosome:ASM357664v1:6:22191934:22195704:1 gene:itb06g18560 transcript:itb06g18560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MEHVSSLEPSTETKKSEEDTKTNDATVASEYHSSSMKKARLHSTLGALLNDPILADVPKKPSLSDVDTLISLELGSAMRITILKLDNTSIDVAVLNSATVKELKQAVRKKIEEMEDSKMGHRHISWKHVWENFCFSYHNEKLLDDGAKLQDYGVRNNCEVQFIPYIMSRASQRHSRGRKHRFFHGLNRVG >itb01g20200.t1 pep chromosome:ASM357664v1:1:26471604:26475390:1 gene:itb01g20200 transcript:itb01g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVTYHNLEFGRSRLDFVGAGCCSNAAIDLHSVRKVANCVFGNVSRGFKARKLLCCRRDIGHCRVFCTETSGGLVNVAPASPPVLDLKDKPRSPVLLANMFGPVADDLLTLNKNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVARATAEICDLKELTREHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRVAVLAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASGLFDCDVELEEYLIKSYYKTASLIAASTKGAAIFSGVDNEVCEQMYQYGRNLGLSFQIVDDILDFTQSAEQLGKPTGSDLAKGNLTAPVIFALEKEPKLRDMIESEFCETGSLEEAISIIKNCGGIERAQELAREKADLAIQNLKCLPPSPFRMGLEEMVKYNLERIE >itb12g27500.t1 pep chromosome:ASM357664v1:12:27849900:27851752:-1 gene:itb12g27500 transcript:itb12g27500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRIARGSAGRSEGEGGGRGSERTRKVLLGIGFWVQGFRCFPWMGVNFFLKDSLGVDSSTLQILQNSANFPMVAKPFYGIISDSFYVSGQHRVPYIALGAFLQAVSWLVLALFSPSSISFFAITVCLLIGNLGASIAEVANDAIVAEIGKQSSSSSKNAQSHPSGELQSFVWMTSSLGGVLGNILVGIAMNRFSPQAMFLVFGIILSLQYLITVFIKESSLGLPKAPPNLRIRKQLSELLVVLQKPEISYSILWFAASYAVIPALTGTMFYYQTQYLRIESSVLGISKVFGQDDGYSRLLICCGFLGLSRGVILLQDSAF >itb12g27500.t2 pep chromosome:ASM357664v1:12:27849653:27851752:-1 gene:itb12g27500 transcript:itb12g27500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRIARGSAGRSEGEGGGRGSERTRKVLLGIGFWVQGFRCFPWMGVNFFLKDSLGVDSSTLQILQNSANFPMVAKPFYGIISDSFYVSGQHRVPYIALGAFLQAVSWLVLALFSPSSISFFAITVCLLIGNLGASIAEVANDAIVAEIGKQSSSSSKNAQSHPSGELQSFVWMTSSLGGVLGNILVGIAMNRFSPQAMFLVFGIILSLQYLITVFIKESSLGLPKAPPNLRIRKQLSELLVVLQKPEISYSILWFAASYAVIPALTGTMFYYQTQYLRIESSVLGISKVFGQVAMLLWGVVYNSHLKSISPRKLISAIQASMAVFMVSDVLFVKGVYRMMGIPDSLYVVVFSGFLEVLYFFKILPFSVLMAQLCPPGCEGSIMAFLMSAIALAFIVSGYIGVALSSFLMVMGNDFSGLPYALMIQAASTLVPLCWSSCIPDGFKHKMN >itb15g10050.t1 pep chromosome:ASM357664v1:15:7314141:7315079:1 gene:itb15g10050 transcript:itb15g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLDSVSWNAMIAALGQHGHGAHVIELFEEMLEERIYHDRISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISLGEDHYSRLIDLLSRARRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGTIELAVQAADQLFDLVPQNDGSYILMANMFSSAGRWSDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELAVKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVDAREIIVRDGKRFHHFRDGECSCGNYW >itb01g11480.t1 pep chromosome:ASM357664v1:1:10405342:10410054:1 gene:itb01g11480 transcript:itb01g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHPANANTKISANAAQGLKGSAVFHDFFGKGCPPDSSPAASASLGASSAGGRTPISTTSDLASGPETSYRYSGSKRCNSDSIMGASRDKFPTVRPDSLESSRAMKLLQSAGGERLIRRPYDEEASLGVHPMRPLSASLMSQSSLGAKPDATNTSRWDRGVPINVGPTLQYPPRASQISPYSYQAPSNRFRDGIAGPSVISQAAADEGSRTGIKGSGILSSINASGGMTDRALPGVPLSSCKQKSGVHISEPESSTNLSQRGAASTGRQMTIFYGGQAHVFDDVQPNKADIIMALAGSNGGSWSTTYAPKSAPRPSSIENCMPSGENEMGVGRGTSLLRELQNRSSLRAVCSHASGSGDQISLPPGIHRGSFIAKEARAAVQTAETMNEEKRDI >itb01g11480.t2 pep chromosome:ASM357664v1:1:10406530:10409921:1 gene:itb01g11480 transcript:itb01g11480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFDEFKCGFYFIGPETSYRYSGSKRCNSDSIMGASRDKFPTVRPDSLESSRAMKLLQSAGGERLIRRPYDEEASLGVHPMRPLSASLMSQSSLGAKPDATNTSRWDRGVPINVGPTLQYPPRASQISPYSYQAPSNRFRDGIAGPSVISQAAADEGSRTGIKGSGILSSINASGGMTDRALPGVPLSSCKQKSGVHISEPESSTNLSQRGAASTGRQMTIFYGGQAHVFDDVQPNKADIIMALAGSNGGSWSTTYAPKSAPRPSSIENCMPSGENEMGVGRGTSLLRELQNRSSLRAVCSHASGSGDQISLPPGIHRGSFIAKEARAAVQTAETMNEEKRDI >itb05g03640.t1 pep chromosome:ASM357664v1:5:3125438:3126961:1 gene:itb05g03640 transcript:itb05g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSTLLSFLYPPPPSIYITAMTVINFAALGNAGLKETRGKNMQYSKFSTSSSSSSAAMNKAKISSKSGMVILYTPAFLAGLFASFWMVPDEDSRFCLVSAALTIHFFKRVFEVLFVHKYSGSMDVEATIAISLSYLISTANMIYAQHLTHGFPEPQVDLKTVGAGIFLIGILGNLYHHYLLSSLRTEGEKQYKIPQGGLFNLVVCPHYLFEVLGFVGISCISQTWYAFMFTLGTTLYLMGRSVATRRWYHSKFEHFPKDRKAIIPYLF >itb03g29840.t1 pep chromosome:ASM357664v1:3:31072782:31086245:-1 gene:itb03g29840 transcript:itb03g29840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQGYTTGQGKELQVSPPVPISYRADEVCVNDIVVVDQKRKRMESDAEGSRNPPDMDVENATPRAIVEVMDDKDLTISRVRSHLEIHRATVSIRSNWLEIALILACGGLLLSSSLAETVQFPALNCRKHTAYLTDFGGKGDGKTLNTAAFQSAIANLSKYASDGGAQLIVPPGKWLTGPFNLTSHFTLYIHKDAVTLGTQDISEYTIIPPLPSYGQGRDAPGPRMISLVFGTNLTDVVITGGNGTIDGQGEVWWEKFRKKELTYTRPYLIEIMYSKQIQISNLTLLNSPSWVVHPVYSSDIVIQDLTILAPIDSENTDGIDPDSCSNVRIWDNYIVSGDDCIAVKSGWDEYGIAVGMPTKHLSIKRLTCISPFSATIALGSEMSGGIQDVRAEDLKALNTESGVRIKTAPGRGGFVKDIYVRNAEYHTMKYVFWVSGEYNSHPDKGFDPTALPNITGINYSKINAKNVTIAGKLIGLEKDPFTGICISDATIEMRADDDDNKPKPAWNCTDISGVASSVTPKPCGQLVESQKPVVCEFPTDKLPIENVELTTCSAVIS >itb08g02990.t14 pep chromosome:ASM357664v1:8:2530645:2533457:1 gene:itb08g02990 transcript:itb08g02990.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVFPFSLKF >itb08g02990.t1 pep chromosome:ASM357664v1:8:2530645:2534725:1 gene:itb08g02990 transcript:itb08g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb08g02990.t18 pep chromosome:ASM357664v1:8:2532824:2534718:1 gene:itb08g02990 transcript:itb08g02990.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQNFHFMHITHSPSMA >itb08g02990.t9 pep chromosome:ASM357664v1:8:2530645:2534718:1 gene:itb08g02990 transcript:itb08g02990.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQNFHFMHITHSPSMA >itb08g02990.t17 pep chromosome:ASM357664v1:8:2530662:2533457:1 gene:itb08g02990 transcript:itb08g02990.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVFPFSLKF >itb08g02990.t10 pep chromosome:ASM357664v1:8:2530662:2534616:1 gene:itb08g02990 transcript:itb08g02990.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVRKNRSRFLSSLSFLSLSYPLRFLTCFPCKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb08g02990.t11 pep chromosome:ASM357664v1:8:2530645:2534718:1 gene:itb08g02990 transcript:itb08g02990.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVLSATIYDDQ >itb08g02990.t12 pep chromosome:ASM357664v1:8:2530662:2534616:1 gene:itb08g02990 transcript:itb08g02990.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVRKNRSRFLSSLSFLSLSYPLRFLTCFPCKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb08g02990.t8 pep chromosome:ASM357664v1:8:2530665:2534718:1 gene:itb08g02990 transcript:itb08g02990.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVLSATIYDDQ >itb08g02990.t4 pep chromosome:ASM357664v1:8:2530665:2534616:1 gene:itb08g02990 transcript:itb08g02990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb08g02990.t3 pep chromosome:ASM357664v1:8:2530665:2534616:1 gene:itb08g02990 transcript:itb08g02990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb08g02990.t7 pep chromosome:ASM357664v1:8:2530665:2534718:1 gene:itb08g02990 transcript:itb08g02990.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVLSATIYDDQ >itb08g02990.t15 pep chromosome:ASM357664v1:8:2530662:2533457:1 gene:itb08g02990 transcript:itb08g02990.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVFPFSLKF >itb08g02990.t2 pep chromosome:ASM357664v1:8:2530645:2534725:1 gene:itb08g02990 transcript:itb08g02990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb08g02990.t6 pep chromosome:ASM357664v1:8:2530665:2534718:1 gene:itb08g02990 transcript:itb08g02990.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQNFHFMHITHSPSMA >itb08g02990.t16 pep chromosome:ASM357664v1:8:2532224:2534718:1 gene:itb08g02990 transcript:itb08g02990.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQNFHFMHITHSPSMA >itb08g02990.t5 pep chromosome:ASM357664v1:8:2530665:2534718:1 gene:itb08g02990 transcript:itb08g02990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQTILRHLGQLGHEAVMVDEAELQCHQQLQNLYRSTRAAKHFQKDIVRGIEGYIATNKKQMQIARKLAEDCCKYGIENASDAPPLARAASIFGTSHAAMEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQNFHFMHITHSPSMA >itb08g02990.t13 pep chromosome:ASM357664v1:8:2532224:2534616:1 gene:itb08g02990 transcript:itb08g02990.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRETMIGILGKQVSEPLRALINGAPLEDARHLTHRYDKMRQELETQASEVIRRQSKFRDASPESLVKLKNAEARLTDLKSAMLVIGKEAAEAMLDVEEEQQQTTYKKLLTMVDAERSYHRSVIALLEKLHSEMVIEEQLNEPVLQSSSTPQREAHEMTSNGSLHQREDVKNRDYFIAKVLHSFDAQADGELSLEVDGYVVVRQVAPNGWSEGECNGKAGWFPSAYVERTEETPAIKLAKEEESSQ >itb09g09280.t1 pep chromosome:ASM357664v1:9:5567748:5572744:1 gene:itb09g09280 transcript:itb09g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDVVSGLSSSVLPALISSIPSQIAGTFRLAAVEEGKRGEEMGKIKVALIVSLWVIPVSILVSRIVPEPYMDEIFHIPQAQQYCRGNFRSWDPMITTPPGLYFVSLAHVASLFPGLFSVQLASSFSETCSTPILRSINGVLAVICSILVYDIITHLSPGLSDRKATLRAVVLALYPLHWFFTFLYYTDIASLAAVLASYLMSLKKNYPFSALLGALAVLVRQTNIIWVLFIACTGVLDFSIAHRKDNRVYADFKVVQEDTLSSSSQSSTMGSNVRKRRTASHANRKSHLNGQTTASSTHHISEHTELFDEIREVISSSWQMKWDLLASFSPFFITLVAFVTFVYWNGSIVLGAKEAHAVSPHFSQVLYFSLVSAIFMAPVHFSFGQFILLVQSFWKNKLISLFKLSVALAAGLISVHFFSIAHPYLLADNRHYPFYLWRKVINRYWFMKYLLVPIYSYLWLSILSILVKSQKKIWVVAYFLATAATLVPAPLIEFRYYTIPFFFLNLHSHVNDDRSWILMGLLYVAVNCFTMFMFLFRPFSWTHEPGVQRFIW >itb09g09280.t2 pep chromosome:ASM357664v1:9:5567748:5572744:1 gene:itb09g09280 transcript:itb09g09280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDVVSGLSSSVLPALISSIPSQIAGTFRLAAVEEGKRGEEMGKIKVALIVSLWVIPVSILVSRIVPEPYMDEIFHIPQAQQYCRGNFRSWDPMITTPPGLYFVSLAHVASLFPGLFSVQLASSFSETCSTPILRSINGVLAVICSILVYDIITHLSPGLSDRKATLRAVVLALYPLHWFFTFLYYTDIASLAAVLASYLMSLKKNYPFSALLGALAVLVRQTNIIWVLFIACTGVLDFSIAHRKDNRVYADFKVVQEDTLSSSSQSSTMGSNVRKRRTASHANRKSHLNGQTTASSTHHISEHTELFDEIREVISSSWQMKWDLLASFSPFFITLVAFVTFVYWNGSIVLGAKEAHAVSPHFSQVLYFSLVSAIFMAPVHFSFGQFILLVQSFWKNKLISLFKLSVALAAGLISVHFFSIAHPYLLADNRHYPFYLWRKVINRYWFMKYLLVPIYSYLWLSILSILVKSQKKIWVVAYFLATAATLVPAPLIEFRYYTIPFFFLNLHSHVNDDRSWILMGLLYVAVNCFTMFMFLFRPFSWTHEPGVQRFIW >itb09g20420.t1 pep chromosome:ASM357664v1:9:17264545:17265918:-1 gene:itb09g20420 transcript:itb09g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSNVIKNLRSWRTGRFIFALGMFGLLVYLTMLSKFYSLRSLNSFCSCNGPVTHFRIDSHVNSTLHTIIQKIQQEIDTLREMPNKSMPGSKSAVFLADILGLVEFVQTSMYDVEGSGEAHPLIQSDEPGEYFLTEEVRKYVRIKPNRLGKQNFMGANGTFTSIGHACFAMKGDLEEYMDYDVGEICNDDWKLAQKLMVHGCDPLPRRRCLARAPQLYSKPYPINESLWRLPDDKNVRWSQYRCKNFTSLARNATGKGFFKCADCFNLTHHEMPRWVVPGYQDLNSNLTADILITQVLNLKPGEIRIGLDFSVGTGTFAARMREHNVTIVSATINLGAPFSEMIALRGLVPLYLTINQRLPFFDNTLDLIHTTRFIDGWIDFVLLDFVLYDWDRVLRPGGLLWIDSFFCVEEDLNDYLEVFKMLRYKKHKWIVVPKLDKNGREVFFSAVLEKPPRPF >itb05g13930.t1 pep chromosome:ASM357664v1:5:20999147:21015140:1 gene:itb05g13930 transcript:itb05g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQISVGENVCYFKETSSSMDPNSAIVKLSASVQSSEPLSEIDDKLSESMVTPNVSGTDVLVTAFDDVNTAELSEQKHEKRSGIPKADDASERKCPDIVCMAPPRRSGRKSLLLQNQVNPSVRNAGRTAAKRATLDINNLNIIRRKRTSSRKPTRSSVWTSLGNDIHTSEHGEAAEVVKSDQRKSRRAKRGQKSEKHDNNQAVQNLQTSMAKNRICLKVKFGQRSLMDVLPLIGNANGTYSSTSKEPVRVPESICDQFEDEMNKITGLNGINASLDSSFTSSDASVTNMCPAGKNVDESPTEEYSESHNESPTIAEAGKLGTPVDDRCSNPGTSPDSEVINLIPDAHISLKGLDNLHGLMPTQASDAPEDFASFGIVENCHIKGKKKDRLVKTVDCSVKATFPSSEIINNEQPLGQLTLGEFIGDGSYCDRADTYILTTSKNASGSVSGTELCPGEPEPLSKVDDFGRSSASPMLEHSAVVNLCSSLDTPSPDMQMPEKSLSSTGKLKLSKKGKSKGVGKSQSETFNSSSRANSSKTKVNKGKKSGKCEVKKKPHGVQGLTELGNDPEPENQTSSQLGQIGSGIKITCLGTSNQTEGHTESISLRNAWVQCDDCQKWRRIPAVLADQIEETNCKWICKDNMDKDFADCSIPQEKSNSAINAELEISDVSGDEDASHASLNHNCSGKKSTVDQSSSWTLIKSNLFLHRAHKSQTIDEVMVCHCKPPSDGQMGCGDGCLNRMLNIECVQGTCPCGELCSNQQFQRRNYAKLKWFKCGKKGYGLQLLEDVSEGQFLIEYVGEVLDMQAYEGRQCEYASKGHRHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCSTEKWMVNGEVCIGLFALRDIKKGEEVTFDYNYVRVFGAAAKRCVCGSPQCRGYIGGDTLNAEVIVQDDSDDEYPEPVVECEDIDMYDELNYIKSSANSFSDLEMRTVDEASEYNDILVGHGSVHTPNSVKTKNGDITCPENFETNKSAAAIECLNTSLRRELLDSSVSSAVRVETSVTLEGSGGLQFSGAKEEGSEGEKVVENSVSPVELEVTSSPATLSKPLKKSKSGCVGGKAEGTKSCPLVKASRRSSSVKKAKSKSIKAPLEIGNRSKLPENKFKKPPEGSLNGRFEAVEEKLNELLDPEGGISKRKDASRCYLKLLLLTAVSGGSGNSETIQSNRELSMILDALLKTKSRTVLVDVINKNGLQMLHNIMKRYRREFNKIPILRKLLKVLEYLAMREILTFEHINGGPSRPGVESFRDSILTLTEHIDKQVHQIARSFRDRWIPRLPRKSCFMDKDDGRIEFHSHSTVGSNAADACALDGSTVSCSGLGVSNGTKTRKRKSRWDQEAEPKDDCEQDKDDAPPPGYEFPPGFSAPINNPKEHCASKQPVTGHCQQKFIPHLPVSFGIPFNVVQQFGTPQIGTSEVWAVAPGIPFQSFPPLPTCPHGRMDNVPPLPSSQVSHQPAQMPPPQCHKIDPMASATTTNNQKLNLELNPPSQNCFQEYPCHTQNPTGRTSGANLPPEVATGEQSHQRPNGLGRRYFRQQKWSGSKPPPPWLRMRNGWGYNAGNNPKNVMCNVGVGSVATEFRSSHGPEDVGMVREGSGTGPPFSQN >itb09g00710.t1 pep chromosome:ASM357664v1:9:471943:474209:1 gene:itb09g00710 transcript:itb09g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDRSNHDAGAFYQSINAPTTSLPEFSQQPLPDMSLHRLPPVCSAKDRPEAELRDSIAARKVQKADREKLRRDRLNEQFTELGNTLDPDRPKNDKASILTDTIQMVKDLSDQVSRLKSEYTALTEECRELTQEKNDLREEKASIKSDIESLNAQYQQRLRTMYPWAGMDHPLVMHPPSYPYPVPVPIPTGPIPMHPSMQAYPFFGNQNPAVIPNPCSTFVPYMTPTTIIEQPSTHVSPAVQPSSRTHGLSKQDSRHKSDQEESRIERSEDSNEVATDLELKTPGSTSEQELSSGQRRAKKRSMENNSLTDGSSSSRCSSSHSVQAFSSNSVVGDSKKDEK >itb11g01830.t1 pep chromosome:ASM357664v1:11:904148:904462:-1 gene:itb11g01830 transcript:itb11g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARGLLDAVRKGVRAALASDAILEAALRTAEERKIDLVWRRQQRLPILLESLLQSPMLFMIDERVALRCQAGERIPHTGINPNVSSLYRSSSRSMPAISSGI >itb05g13690.t1 pep chromosome:ASM357664v1:5:20739999:20772944:-1 gene:itb05g13690 transcript:itb05g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MMKRFVYINDDDLSQNIFCDNRISNRKYTLWNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRSLSDKKANEKEVWVVRQGIRKHIQAQDIHVGNIVWLRENDEVPCDLILIGTSDPQGLCYVETSALDGETDLKTRAVAPACMGIDSELLHKIKGVIECPNPDKDIRRFDANMRLFPPFLDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGLPEPKLTAVDAMIDKLTGAIFIFQIVVVMVLGIAGNVWKDTEARKQWYAKYPDEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMVDLETGTASKATNTAISEDLGQVEYILTDKTGTLTENKMIFKRCFVNGSFYGSENGDAVKDSELLNAVASGSPDIIQFLTVMAICNTVVPVQSKAGPISYKAQSQDEEALVHAAARLKMIFLNRNGPVLEIKFNGSIIQYEVLDTLEFTSDRKRMSVVVKSSQTGKIFLLSKGADEAILPCAYAGQQTRTFSEAVEQYSQLGLRTLCLAWRELEEDEYHEWSLMFKEANSTLVDREWRVAEVCQRLEHDLEILGVAAIEDRLQDAVPETIETLRKAGIYFWMLTGDKQNTAIQIARSCNLVSPEPRGQLLPINGKTEDEVCKSLERVLLTVRITNSEPRDVAFVIDGWALEIALKHHRKAFTELAILSKTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIAKFRFLKRLILIHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSERTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHSYAFEKSEMEEMSMVALSGCIWLQAFVVAIETNSFTVLQHIAIWGNLIAFYIINSVLSALPSSGMYTIMFRLWRQQSYWITIFLIVAAGMGPILALKYFRYTYTSSKINILQQAERLGGPIMSLGHVEPQSRSMEKDMVPLSISQSKIRSPVHEPLLADSPNATRRSFGQATPFDFFQSQSRLSSNYTRNCKDK >itb12g24680.t1 pep chromosome:ASM357664v1:12:26133455:26135238:1 gene:itb12g24680 transcript:itb12g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRITVVESTLIVKAIQAAAVVRSPLVIFIWRPEGLCVQSMLTDKLEHGQNLSTLLRLEAQTFSSYESTDQYFSAVVERVDLEAVFSTAEDAEHIEFSQSDEDNKLNDFGYLRARFNRPVGAYDENCISLKIYGTFSESMLRSADVSADPSIFPRLPLAAICGLAFADAIAVMESMSGTVLITTRGEIVSFSPVGKGLVPLLLESRNGVIFTRNDLHHGLAGLEIQLPFLNMHAGAKLSAVVFLYRRTKNVGLVWLKLERTRYRLHGDIIYYFY >itb12g24680.t2 pep chromosome:ASM357664v1:12:26133455:26135238:1 gene:itb12g24680 transcript:itb12g24680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRITVVESTLIVKAIQAAAVVRSPLVIFIWRPEGLCVQSMLTDKLEHGQNLSTLLRLEAQTFSSYESTDQYFSAVVERVDLEAVFSTAEDAEHIEFSQSDEDNKLNDFGYLRARFNRPVGAYDENCISLKIYGTFSESMLRSADVSADPSIFPRLPLAAICGLAFADAIAVMESMSGTEWGDFYEE >itb04g04060.t1 pep chromosome:ASM357664v1:4:2528928:2529266:-1 gene:itb04g04060 transcript:itb04g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPFISGTNVADNCTSHKKKKGRSSMTTTVVPPLMDVEMVGPTMIRLRNPSMREGTTGEGPPLVIAMNMHTFNLWVASKRTEILWSVIKTGNISKRSCFFGYGNGQMLLFL >itb15g06730.t2 pep chromosome:ASM357664v1:15:4521476:4524536:1 gene:itb15g06730 transcript:itb15g06730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MTFSPSNPPPYILSLHHLLPHKIFHFFHSDFIFLRLSSLQKPERDRYNAAKASQTKKKMSSSGGSLNTCVDNSHHHNSYSSFSSFSFTDLLSNNEESKNPEKGLGSSSSSFNWGISDTHEIPKFKSFPPATLPISPSPVSPSSFLNIPPSLSPSVLLDSPVFFSTSNVLPLSPTTGAFAGLNNNPKDEERKSNDFSFQSRAASSSSMFQSSLGRNSMEEQMSRQQQQPNMGSADFSTMKTDVKPELPQTHSFSQENPAMQQPTMVHYSQPSQYARAQKAEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERNLDGHITEIVYKGNHNHPKPQSTRRSSSSSQSVQINPESFNIDVANQSNMMLGSTQRDSFITPENSSASFGDEDLEQGSPSRDDDENEPEAKRW >itb15g06730.t1 pep chromosome:ASM357664v1:15:4521476:4524535:1 gene:itb15g06730 transcript:itb15g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MTFSPSNPPPYILSLHHLLPHKIFHFFHSDFIFLRLSSLQKPERDRYNAAKASQTKKKMSSSGGSLNTCVDNSHHHNSYSSFSSFSFTDLLSNNEESKNPEKGLGSSSSSFNWGISDTHEIPKFKSFPPATLPISPSPVSPSSFLNIPPSLSPSVLLDSPVFFSTSNVLPLSPTTGAFAGLNNNPKDEERKSNDFSFQSRAASSSSMFQSSLGRNSMEEQMSRQQQQPNMGSADFSTMKTDVKPELPQTHSFSQENPAMQQPTMVHYSQPSQYARAQKAEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERNLDGHITEIVYKGNHNHPKPQSTRRSSSSSQSVQINPESFNIDVANQSNMMLGSTQRDSFITPENSSASFGDEDLEQGSPSRDDDENEPEAKRWKGDNENEAISSASRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFLGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGGYSLNKPQQPQPAGNMVSSAAPVALRPSTMPNHSLNYQNAIFNPRPQTTQSQQPITLQMLQRPAGNLGYSSLGNSTGSYMPTGKDEPKDDFFSTFLN >itb15g06730.t3 pep chromosome:ASM357664v1:15:4521476:4524536:1 gene:itb15g06730 transcript:itb15g06730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MTFSPSNPPPYILSLHHLLPHKIFHFFHSDFIFLRLSSLQKPERDRYNAAKASQTKKKMSSSGGSLNTCVDNSHHHNSYSSFSSFSFTDLLSNNEESKNPEKGLGSSSSSFNWGISDTHEIPKFKSFPPATLPISPSPVSPSSFLNIPPSLSPSVLLDSPVFFSTSNVLPLSPTTGAFAGLNNNPKDEERKSNDFSFQSRAASSSSMFQSSLGRNSMEEQMSRQQQQPNMGSADFSTMKTDVKPELPQTHSFSQENPAMQQPTMVHYSQPSQYARAQKAEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERNLDGHITEIVYKGNHNHPKPQSTRRSSSSSQSVQINPESFNIDVANQSNMMLGSTQRDSFITPENSSASFGDEDLEQGSPSRDDDENEPEAKRWKGDNENEAISSASRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPR >itb03g29630.t1 pep chromosome:ASM357664v1:3:30589521:30593808:1 gene:itb03g29630 transcript:itb03g29630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFRRNGPSGFSYSSTAEEVTAGIDASALTAIVTGASSGIGYETARVLALRGVHVVMAVRNMGAGKEAKEAIVKEVPPAKIDTLELDLSSLASVKKFASEFKSSGRSLNLLINNAGVMATPYTLSKDKIELQFATNHLGHFLLTNLLLDTMKETARKSKKEGRIVNVSSEAHRFAYKEGIRFDKINDSESYNSLGAYGQSKLANILHAKELTKRLKLDGVNITVNALHPGTITTNLFRHMGIVEGLVSKVGKFVLKNVQQGASTTCYVALHPQVKGLSGEYFSDNNIATTTDQAMDKDLAKRLWDFSMDLVK >itb03g29630.t2 pep chromosome:ASM357664v1:3:30591057:30593808:1 gene:itb03g29630 transcript:itb03g29630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEHRGLHNSHCDQFSLHFAGHFLLTNLLLDTMKETARKSKKEGRIVNVSSEAHRFAYKEGIRFDKINDSESYNSLGAYGQSKLANILHAKELTKRLKLDGVNITVNALHPGTITTNLFRHMGIVEGLVSKVGKFVLKNVQQGASTTCYVALHPQVKGLSGEYFSDNNIATTTDQAMDKDLAKRLWDFSMDLVK >itb06g22610.t1 pep chromosome:ASM357664v1:6:24851458:24853517:1 gene:itb06g22610 transcript:itb06g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSDDQDQQLHRWPTYSEAIEEMKAIGKISGPTAITGLLMYSRAFISMLFLGYLGELELAGGSLSIGFANITGYSVIAGLAMGMEPICGQAYGAKQMKLLGITLQRTVLLLLSASIPISFMWLNMNKILLWCGQDEEISSMAHRFIVFAIPDLFFLSLLHPLRIYLRTQGITLPLTYCAAVSVVFHVPLNFLLVRYLGLGIAGVAVAMVWTDFNLFVLLCFFVYFSGVYKESWVGMSVDCFKGWSTLLALAVPTCISVCLEWWWYELMIMMCGVLGNPKAAIASMGILIQTTSLVYVFPSALSLGVSTRVGNELGAGRPVRARVATLVSLACAFGLGGAAMVFAALMRHKWGRIFTADREILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLVGMPVAVLLGFFLKMGFPGLWLGLLAAQGSCAILMLFVLYRTDWKLQAERAKHLTTQSSSSSSTAPLSKQAQKKRTDNLEEILCRKDDDEDNDELEKSSDVTLETIPLICTTTTQIIVH >itb06g21320.t1 pep chromosome:ASM357664v1:6:23934726:23939848:-1 gene:itb06g21320 transcript:itb06g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSLSFLSPLPPPSRPSTTHLRLHRSRISPRCVRIESSDPLLSSSSSSKQPTVVVTRERGKNGKLIKALAIHGIDCLELPLIQHTHLPDLDRLPSVLSQNTFDWIVITSPEAGLVFLDAWKVAGTPNVRIAVVGAGTASIFDEVTQSSKQYLDVAFAPSKATGKVLALELPKHENKRCTVLYPASAKASGEIEEGLSKRGFEVTRLNTYTTAPIQHVDEALLKIALCAPVVAVASPSAIRAWANFVPALEECGNAIACIGETTALAAKRLGLRNVYYPENPGLDGWVDSILEALGVLEKMQSV >itb07g21870.t1 pep chromosome:ASM357664v1:7:26402129:26403240:1 gene:itb07g21870 transcript:itb07g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLCPSVSHLTVLGILVFNTYWTQLGYIGSIKAHSKGDIVKIVVGASRDGKGFCRFPMPWGDLHFEITLNVIDPCSKIEGIDLSVRSKSSGASILRMESPRIFYNPAYFEVPTMMGEVLEVYAELYPWKMIFFAFEIHRNREGEVRFFPSTKGWIPSYNTEDGERKRKRKMQIGRGRQRRFLPITRGFIPSVMSLGDANGQRKRKKRKLQIHR >itb11g10130.t1 pep chromosome:ASM357664v1:11:6881558:6882931:-1 gene:itb11g10130 transcript:itb11g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-3 [Source:Projected from Arabidopsis thaliana (AT3G19640) UniProtKB/TrEMBL;Acc:A0A178VLJ7] MATGPLLPPKISAPPWDDCKPTTALFGGVGGIGGTRKKASGVRPWLLLDSTGKAVVEEAGKHDIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVVNLEHIKAIITAQEVLLLNSKDPSVTPFAEEIQRRIQRHHEATKSQETGGYNAEWRDLYSLEDSQSHPVFRPNFSSEGENRDGAKKLLPFEFVGLEACLETACSCLDNEARTLELEAYPALDKLTSKISTRNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMREMYLTEKLQEHDSNSVSFMNEQNGNGTDDNDEAAAAEAAATEAEQAYLIPPERANSPGRESHGSGSSNSKQHLHVEELEMLLEAYFVQIEGTMNKLSTLREYVDDTEDYINIMLDDKQNHLLQVSVTLNTATLVVNAFVVVSGIFGMNITIELFDSQLAGMAEFMWTIGGGTAACILLYVSAIAWYKHRRLLD >itb04g30640.t1 pep chromosome:ASM357664v1:4:33705272:33708536:-1 gene:itb04g30640 transcript:itb04g30640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNILKPKANPQQLLRDWQRRLRQECRNIERQIRDIQREEKNVQKAIKEAAKRNDMGSAKALAKELVRSRKTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVARTMQEFSKEMTKAGVIEEMMNDAVDNALDSEDIEEETEEEIDKVLTAIAGETAAELPEAVRKQKLKQPAQEVEDTEGADDEEELEELRARLAKVRS >itb06g16190.t1 pep chromosome:ASM357664v1:6:20389927:20395727:1 gene:itb06g16190 transcript:itb06g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRRSRSLLIAALLLLLSFTSYNFVLSQDTQAGFISLNCGGEDYTDDITGLRYISDSTFIGDNNNAVRSTVSQDYKLPQFDLHLGVQYWDTVEFDPKEDTKAVDKEIIHTPSSDLIHVCLVNTGLGTPFITVLELRPLDNSMYPTGYVGSLMKYIRSDIGSTATQILRYKDDVFDRIWLQGTLDGATPVNTTSTEKFATDENLFNMPPAVMSTGVVPSDSTQQLTFGWSTKNYSDIIYFCLSFAEIQNLKSNQTRQLNIYLNGDLFFGPVVLPSKGYTTVYPKHPYTNATQYNISINKTQNSTLPPILNAVEVYRLKQFNDPQTDDNDVEAMFGIKSDYGVTKNWQGDPCSPLSDSWDGLKCSYTASNPPRITSLKLNASGLTGSISSHISNLTMIQILDLSNNKLTGPIPDFLGELPSLSVLNLSGNNFSGPVPEKLLQKSKEGNLALSIENVSSNTNICQNGSCDGNNKKKKNIVVPVLGSVASVLLLALAIFAILSVIKRRKQKEPKQGSAESKKYDDLQTKNHRYSFAEIRDITNNFDKELGRGGFGTVYQGCIDGTQVAVKMLSSTSGQGFKEFQSEANVLMNVHHKNLTSLVGYCIEETNIGIIYEFMANGNLHQHLSVSAGNHNVLSFRDRLQIAADSAQGYIDPEYYISNRLTEKSDVFSFGVIILEIITGQPAIIGKPPNHAHISRWVTSTLKNGDIRDVVDPRLIGEFDVNSAWKVVELAMACVSQDSDKRPSMNEVVSELKDCLSTEMARHGTTSQRSINTLSAATIDLEFGPMAR >itb11g20730.t1 pep chromosome:ASM357664v1:11:22020355:22026591:-1 gene:itb11g20730 transcript:itb11g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVGRKMASTGVFALISNRFLMRSRVFGSRSFSSTAKEITVREALNTALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFAGIGVGAAYYGLKPIIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAACPGLKVLTPYSSEDARGLLKAAIRDPDPVVFLENELLYGEAFPISDEALDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGISAEVINLRSIRPLDRSTINASVRKTNRLVTVEEGFPQHGVGAEICAIVVEESFGYLDAPVERISGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPMSAAA >itb06g16240.t2 pep chromosome:ASM357664v1:6:20423034:20433081:-1 gene:itb06g16240 transcript:itb06g16240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSFGLHRLPSRPWLQSLCSTRRPAPSPRSISILSSLSSVEDTDDTLLNTTAEKPNSTTSSNNRNDKAKKSFFPRRGQILELVCESLAFKGKGVCKVADSGFVVLCDRALPGERFIGVVTRKKDNYAEVRKMKTITPHWDYVEAPCEYASHCGGCKTQNLSYEAQLKAKEQQVHELVVHVGKFSDQNPEFAHVMKPIVPCDIQFGYRNKMEFSFGPKGWVPAELLQERKNAREYALGLHAPGFFDKVLNVNKCLLQSDPANKVLATVQEYWRDLEFGLSPYDVHSHSGYLKHLMLRSGRDCKTGLPELMVNFVTSSYSPELLKPIVEKIATIPEVVSIVNNVNTSIGNTSVGEEEYTLYGKSAITESLRGLTFQISANSFFQTNTHQAEILYKLIEDCASLKGDGSEVVLDLFCGTGTIGLTLAKRVRHVYGYEVVAQAISDANQNAKLNGICNATFIEGDLNKIDENFGSNFPKPDIVITDPNRPGMHMKLIKFLLNLKAARIVYVSCNPATCARDLNYLCFGVPEKNISGCYKLSKLQPVDMFPHTPHIECVCLLELLS >itb06g16240.t1 pep chromosome:ASM357664v1:6:20423016:20433081:-1 gene:itb06g16240 transcript:itb06g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSFGLHRLPSRPWLQSLCSTRRPAPSPRSISILSSLSSVEDTDDTLLNTTAEKPNSTTSSNNRNDKAKKSFFPRRGQILELVCESLAFKGKGVCKVADSGFVVLCDRALPGERFIGVVTRKKDNYAEVRKMKTITPHWDYVEAPCEYASHCGGCKTQNLSYEAQLKAKEQQVHELVVHVGKFSDQNPEFAHVMKPIVPCDIQFGYRNKMEFSFGPKGWVPAELLQERKNAREYALGLHAPGFFDKVLNVNKCLLQSDPANKVLATVQEYWRDLEFGLSPYDVHSHSGYLKHLMLRSGRDCKTGLPELMVNFVTSSYSPELLKPIVEKIATIPEVVSIVNNVNTSIGNTSVGEEEYTLYGKSAITESLRGLTFQISANSFFQTNTHQAEILYKLIEDCASLKGDGSEVVLDLFCGTGTIGLTLAKRVRHVYGYEVVAQAISDANQNAKLNGICNATFIEGDLNKIDENFGSNFPKPDIVITDPNRPGMHMKLIKFLLNLKAARIVYVSCNPATCARDLNYLCFGVPEKNISGCYKLSKLQPVDMFPHTPHIECVCLLELLS >itb13g06440.t1 pep chromosome:ASM357664v1:13:7731532:7732288:1 gene:itb13g06440 transcript:itb13g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPQVINKLQEEVDALVGKDNIVEESHIHQLPYLYAVMKETLRLHPTLPLLVPHCPTQTCLVGGYTVPKGSRVFVNVWAIHRDPSIWENPLDFRPERFLDNKWDFTGKDFNYFPFGSGKRICAGMASAERMFMYALASMIHSFDWKMAQGDKLELSEN >itb06g13220.t3 pep chromosome:ASM357664v1:6:17890813:17899352:1 gene:itb06g13220 transcript:itb06g13220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRRKVQAQDVTGGIPDELWNLTSINDLNLGQNYLNGTLSRSIGNLRRLQYLSVGKNALSGLLPKELGLLTDLRSFSISTNNFSGPLPSELGNLKKLTQLYIDSSGVSGPIPPSFANLLNLETVWASDIELTGRIPDFIGNWAKLNTLRLQGNSFQGPIPSTFLKLTLMVDLRISDLLNGNSSLDFIRNMKNLSKLVLRNNNISASIPSNIGEYQSLSLLDLSYNNLTGRVPDQLFNLSSLQNLFLGSNKLTGPLPSQKSQSLQNIDLSYNELSGSLPSWTGGRGLQLNLISNNFTIGSSRGSALPSGLNCLQKNFPCHRGKPIYSSFAIKCGGQQITSSNELVFERDNETLGPATYYMTSTGRWAVSNVGLPSDSTAPEYTASSLSQFTNTLDSELFQTARLSAGSLRYYGLGLENGNYTVTIQFAEPIILNPNPPSWKTLGRRIFDVYIQGNLKEKDFDIKKIAGGSLRALSRKYTVHVSENHMDIHLFWAGKGTCCVPRQGTYGPLISAISATADFHPTVSNEPPFEDELPSEKKKNRTGMVVGIVVGVGVVSFLSVFAVYCFVQKRKRQHTYEDEELLGMDAKPYTFTYAELKAGTSDFSPSNKLGEGGFGPVYKGTLEDGRTVAVKQLSVVSRQGKRQFVAEVATISAVQHRNLVKLYGCCYEGDNRLLVYEYHENKSLDKALFGHSDLYLDWPRRFEICLGVARGLAYLHEESRLKIVHRDIKASNILLDSYLNPKISDFGLAKLYDDKKTHMSTHVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALEIVSGRSNSDSNLEQDKRYLLEWAWQLHENNSDVDVVDEDLLDFNEDEVKRVIGVSLLCTQASPTSRPSMSRVVAMLSGDTEVPILTSKPSYITDCKFDDKTSLLQSIIRCSQTADEDPSVVTTSVATTDLNSSPLDNPSRPILHEIIGDGR >itb06g13220.t2 pep chromosome:ASM357664v1:6:17891636:17899352:1 gene:itb06g13220 transcript:itb06g13220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSSISTNNFSGPLPSELGNLKKLTQLYIDSSGVSGPIPPSFANLLNLETVWASDIELTGRIPDFIGNWAKLNTLRLQGNSFQGPIPSTFLKLTLMVDLRISDLLNGNSSLDFIRNMKNLSKLVLRNNNISASIPSNIGEYQSLSLLDLSYNNLTGRVPDQLFNLSSLQNLFLGSNKLTGPLPSQKSQSLQNIDLSYNELSGSLPSWTGGRGLQLNLISNNFTIGSSRGSALPSGLNCLQKNFPCHRGKPIYSSFAIKCGGQQITSSNELVFERDNETLGPATYYMTSTGRWAVSNVGLPSDSTAPEYTASSLSQFTNTLDSELFQTARLSAGSLRYYGLGLENGNYTVTIQFAEPIILNPNPPSWKTLGRRIFDVYIQGNLKEKDFDIKKIAGGSLRALSRKYTVHVSENHMDIHLFWAGKGTCCVPRQGTYGPLISAISATADFHPTVSNEPPFEDELPSEKKKNRTGMVVGIVVGVGVVSFLSVFAVYCFVQKRKRQHTYEDEELLGMDAKPYTFTYAELKAGTSDFSPSNKLGEGGFGPVYKGTLEDGRTVAVKQLSVVSRQGKRQFVAEVATISAVQHRNLVKLYGCCYEGDNRLLVYEYHENKSLDKALFGHSDLYLDWPRRFEICLGVARGLAYLHEESRLKIVHRDIKASNILLDSYLNPKISDFGLAKLYDDKKTHMSTHVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALEIVSGRSNSDSNLEQDKRYLLEWAWQLHENNSDVDVVDEDLLDFNEDEVKRVIGVSLLCTQASPTSRPSMSRVVAMLSGDTEVPILTSKPSYITDCKFDDKTSLLQSIIRCSQTADEDPSVVTTSVATTDLNSSPLDNPSRPILHEIIGDGR >itb06g13220.t1 pep chromosome:ASM357664v1:6:17887026:17899352:1 gene:itb06g13220 transcript:itb06g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKFLPSGVGPMKNIITIIIIAIAIFAPHKLLPPALAQTTATLDPTEARILNSIFQKWGIQANNLQWNLSGQLCTGFAVDSTSMQDTAYNPAIKCTCSSTVCHITALKVQAQDVTGGIPDELWNLTSINDLNLGQNYLNGTLSRSIGNLRRLQYLSVGKNALSGLLPKELGLLTDLRSFSISTNNFSGPLPSELGNLKKLTQLYIDSSGVSGPIPPSFANLLNLETVWASDIELTGRIPDFIGNWAKLNTLRLQGNSFQGPIPSTFLKLTLMVDLRISDLLNGNSSLDFIRNMKNLSKLVLRNNNISASIPSNIGEYQSLSLLDLSYNNLTGRVPDQLFNLSSLQNLFLGSNKLTGPLPSQKSQSLQNIDLSYNELSGSLPSWTGGRGLQLNLISNNFTIGSSRGSALPSGLNCLQKNFPCHRGKPIYSSFAIKCGGQQITSSNELVFERDNETLGPATYYMTSTGRWAVSNVGLPSDSTAPEYTASSLSQFTNTLDSELFQTARLSAGSLRYYGLGLENGNYTVTIQFAEPIILNPNPPSWKTLGRRIFDVYIQGNLKEKDFDIKKIAGGSLRALSRKYTVHVSENHMDIHLFWAGKGTCCVPRQGTYGPLISAISATADFHPTVSNEPPFEDELPSEKKKNRTGMVVGIVVGVGVVSFLSVFAVYCFVQKRKRQHTYEDEELLGMDAKPYTFTYAELKAGTSDFSPSNKLGEGGFGPVYKGTLEDGRTVAVKQLSVVSRQGKRQFVAEVATISAVQHRNLVKLYGCCYEGDNRLLVYEYHENKSLDKALFGHSDLYLDWPRRFEICLGVARGLAYLHEESRLKIVHRDIKASNILLDSYLNPKISDFGLAKLYDDKKTHMSTHVAGTIGYLAPEYAMRGHLTEKADVFSFGVVALEIVSGRSNSDSNLEQDKRYLLEWAWQLHENNSDVDVVDEDLLDFNEDEVKRVIGVSLLCTQASPTSRPSMSRVVAMLSGDTEVPILTSKPSYITDCKFDDKTSLLQSIIRCSQTADEDPSVVTTSVATTDLNSSPLDNPSRPILHEIIGDGR >itb05g16350.t1 pep chromosome:ASM357664v1:5:23565187:23567979:1 gene:itb05g16350 transcript:itb05g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIISSSFSSCFLTHSNRNGYGRGIRCVKPKLNCRVSCEKKKIDFNDPEWKKRYQDEFEERFSLPHLKDTFDATPRPTTFSLSNRGVHQSRHGIDVFDEQKHSYVDDADRALLKVIKYASPTSAGAEGVDPDCSWLELWVPRAGPRRHIYFEPDEVKAAIVSCGGLCPGLNDVIRQIVFTLEIYGAKKIVGIQYGYRGFFQDGLPEVQLSRKVVQNINLSGGSLLGVSRGGAQINRIVDSIQARGINMVFVLGGDGTHAGANAMHEECRRRKMKVSVVCVPKTIDNDILLIDKTFGFDTAVEEALRVVNSAYIEAHSAYHGIGVVKLMGRSSGFIAMHASLASGQIDVCLIPEVPFKLDGENGVLKHLEYLIETKGSAVVCVAEGAGQDLMEKSNLKDASGNPVLSDIGVHIQQQIKKHFKKIEQMVDVKYIDPTYILRGCRASASDAIMCTVLGQNAVHGAFAGFSGITVGICNTHYIYLPIPEVIAASRHVDPNSRMWHRCLTSTGQPDFS >itb08g17520.t1 pep chromosome:ASM357664v1:8:20177990:20187422:1 gene:itb08g17520 transcript:itb08g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MPTCAVKGGCPSDYIAISIALASIILLLAKSMSPYLIHKIPRPRGSSFWLVAIQVFASFNLLLSIVVALHLLKFGKRHWWKSCYLWAVWIEGPLGFGLLLSCRIAQAFHLYFVFVKRRLPPIRSYIFLPMILLPWIAGASFLHKEKPLNDHCHMGVRWIIPVISLHAIYVATLIGFTGAIHHIEFRFQELKALWRGILVSTASIGLWIVAYVLNEIHEEISWLQVASRFVLLVVTSALVMAFFSFSISQPLASLMSMTKKDQGEYQTMGQALGIPDSGVLFQRESSWDFNPNEPLDKLLLNKWFRQSFMAFADSCLAGESVHFYEELQQLDKLPINDPVRRIYMARHIIEKYIVAGASMEVNISHHCRQDILTTTDLAHPQLFQNALAELMQLMKLNLAKDYWSSTFFMKLRDEASMRTVHHGLDDSSWNFSPRLSSVHCTDDPFHHEHSPVESAGKHRDSGLQ >itb08g17520.t3 pep chromosome:ASM357664v1:8:20177990:20187422:1 gene:itb08g17520 transcript:itb08g17520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MPTCAVKGGCPSDYIAISIALASIILLLAKSMSPYLIHKIPRPRGSSFWLVAIQVFASFNLLLSIVVALHLLKFGKRHWWKSCYLWAVWIEGPLGFGLLLSCRIAQAFHLYFVFVKRRLPPIRSYIFLPMILLPWIAGASFLHKEKPLNDHCHMGVRWIIPVISLHAIYVATLIGFTGAIHHIEFRFQELKALWRGILVSTASIGLWIVAYVLNEIHEEISWLQVASRFVLLVVTSALVMAFFSFSISQPLASLMSMTKKDQGEYQTMGQALGIPDSGVLFQRESSWDFNPNEPLDKLLLNKWFRQSFMAFADSCLAGESVHFYEELQQLDKLPINDPVRRIYMARHIIEKYIVAGASMEVNISHHCRQDILTTTDLAHPQLFQNALAELMQLMKLLRDEASMRTVHHGLDDSSWNFSPRLSSVHCTDDPFHHEHSPVESAGKHRDSGLQ >itb08g17520.t2 pep chromosome:ASM357664v1:8:20177990:20187422:1 gene:itb08g17520 transcript:itb08g17520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling 1 [Source:Projected from Arabidopsis thaliana (AT3G26090) UniProtKB/Swiss-Prot;Acc:Q8H1F2] MPTCAVKGGCPSDYIAISIALASIILLLAKSMSPYLIHKIPRPRGSSFWLVAIQVFASFNLLLSIVVALHLLKFGKRHWWKSCYLWAVWIEGPLGFGLLLSCRIAQAFHLYFVFVKRRLPPIRSYIFLPMILLPWIAGASFLHKEKPLNDHCHMGVRWIIPVISLHAIYVATLIGFTGAIHHIEFRFQELKALWRGILVSTASIGLWIVAYVLNEIHEEISWLQVASRFVLLVVTSALVMAFFSFSISQPLASLMSMTKKDQGEYQTMGQALGIPDSGVLFQRESSWDFNPNEPLDKLLLNKWFRQSFMAFADSCLAGESVHFYEELQQLDKLPINDPVRRIYMARHIIEKYIVAGASMEVNISHHCRQDILTTTDLAHPQLFQNALAELMQLMKLVRLLPKHVYFLYSANVMCLS >itb11g14610.t2 pep chromosome:ASM357664v1:11:11791074:11795469:-1 gene:itb11g14610 transcript:itb11g14610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVICIGRIWAGHNILKFDCPRIREAFAAIDRPAPEPKGTMDSLVLLTQRFGRRAGNMKMATLATYFGLGQQTHRSLDDVRMNFEVLKCCATVLFLESSLLDNSPENTWVSPNSTSRSCSNGKASLEGAGLNPNTPSSSRMIGNVSPNTTTRNHSNGKASLGGADLNASTSSSSLMIENGIPPMETRSTKNRRMLSFTTLGRIEDLSDHVESVAARPDPFNMGPLSDEMEKEFLESDDMDEEESDSPSHVSSTATESVGSSGCTFLEPDEISMPSISVALAPSSYPGMQKIKILHNHAELQICCKRMKVRFGISTKFLGPAGRPRLSFVVNASESLCRILDAIDKHAHSLSVESGSSSEWRPLVTRKPGFMKFPTIRFNIPTVVNGDSVHWATEIYQKEASDTQKLVFSRFDVEELDALITTGTCVDAYISLDAYDYQQNAGIRLVANKLILHCN >itb11g14610.t1 pep chromosome:ASM357664v1:11:11790974:11796009:-1 gene:itb11g14610 transcript:itb11g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVEEDATEIAFFDVETTIPTRPGQGFALLEFGGILVCPRKLVELESYSTLVRPADPSLITTLSVRCNGITKDAVASAPAFADIADKVYDFLHGRIWAGHNILKFDCPRIREAFAAIDRPAPEPKGTMDSLVLLTQRFGRRAGNMKMATLATYFGLGQQTHRSLDDVRMNFEVLKCCATVLFLESSLLDNSPENTWVSPNSTSRSCSNGKASLEGAGLNPNTPSSSRMIGNVSPNTTTRNHSNGKASLGGADLNASTSSSSLMIENGIPPMETRSTKNRRMLSFTTLGRIEDLSDHVESVAARPDPFNMGPLSDEMEKEFLESDDMDEEESDSPSHVSSTATESVGSSGCTFLEPDEISMPSISVALAPSSYPGMQKIKILHNHAELQICCKRMKVRFGISTKFLGPAGRPRLSFVVNASESLCRILDAIDKHAHSLSVESGSSSEWRPLVTRKPGFMKFPTIRFNIPTVVNGDSVHWATEIYQKEASDTQKLVFSRFDVEELDALITTGTCVDAYISLDAYDYQQNAGIRLVANKLILHCN >itb04g09170.t1 pep chromosome:ASM357664v1:4:8487460:8490184:1 gene:itb04g09170 transcript:itb04g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSAQNLLKTLLSNPSAIKSKSQATQLHALIIKTTSASSPSLATLLSIYTNFSLLQECLSLFDSLPSPPPPKAWKSIIKCYSSNGLFSQSLRSFVEMRASGKYPDRNVFPSVLKACTHLRDLRLGESVHGYVVRCGLDCDLYTANALMNMYAKLQELDEPNMFDKIPQRNQIDASENAYLAYNTAFELTSDSKSNIDGVVQVPGFCHTNQNGEGRINKALKVDSVRKLFEVMPNRDIVTWNTVIGGNVQNRMYEEALEMVREMGNSDLKPNSFTLSSILPIFAEHVDVLKGKEIHGYAIRHGFDKDVFIGSSLIDMYANCTLVEDAYRVFCELAEKDDVSWNSTIAGFVQNGMFDEGLKLFREMLAANKEPLPVSFSSIIPACANLTTLHLGKQLHAYIIRTGFVDNMYISSSLVDMYAKCGKIMIARWIFDKMVLRDSVSWTAMIMGYALHGYAREAILLFEKMEMEGVKPNSVAYVAVLTACSHAGLIDEGWKHFTTMRQKYGISPGLEHYAAVADLFGRAGRLAEAYELISSMHIKPTGSVWSTLLSACRVHKNVELAEKVANEITKVDPENLGPYVLLSNMYSVTGRWKDALKLRKNMKKKGMRKTPACSWVEVKNKIHAFVSGDKSHPQYIQIEMALQDILERLKLEGYVPETSEALHDVDEEQKHDLFFAHSERLAIAFAIINTPAGTTIRVTKNLRVCVDCHTATKFISKVMGREIIVRDNNRYHHFKDGVCSCGDYW >itb05g20050.t2 pep chromosome:ASM357664v1:5:26275663:26281216:-1 gene:itb05g20050 transcript:itb05g20050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATLQLSLRSLLTSKASTARRLSRVSEVTTRRKMMADTSSMAKQGPVLDVKPEKDDDGGYASGGWKSEDGRLSCGYSSFRGKRASMEDFYDIKTSKIDGKTICLFGIFDGHGGSRAAEYLKQNLFENLMKHPEFVTNTKHAISETYQQTDRDFLESEKDSLRDDGSTASTAVLVGNHLYAANVGDSRTIVSKKGKAIPLSQDHKPNRSDERKRIENAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQYVVAEPEIQEEVIDEELELLVLASDGLWDVVPNEDAVSLAESEESPEAAARKLTETAFTRGSADNITCIVVKFHHKKTEPEVSQQEAKNLSTSPAHNF >itb05g20050.t3 pep chromosome:ASM357664v1:5:26275663:26281160:-1 gene:itb05g20050 transcript:itb05g20050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMADTSSMAKQGPVLDVKPEKDDDGGYASGGWKSEDGRLSCGYSSFRGKRASMEDFYDIKTSKIDGKTICLFGIFDGHGGSRAAEYLKQNLFENLMKHPEFVTNTKHAISETYQQTDRDFLESEKDSLRDDGSTASTAVLVGNHLYAANVGDSRTIVSKKGKAIPLSQDHKPNRSDERKRIENAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQYVVAEPEIQEEVIDEELELLVLASDGLWDVVPNEDAVSLAESEESPEAAARKLTETAFTRGSADNITCIVVKFHHKKTEPEVSQQEAKNLSTSPAHNF >itb05g20050.t4 pep chromosome:ASM357664v1:5:26275663:26281160:-1 gene:itb05g20050 transcript:itb05g20050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTSCLGSVVVEAGQFGIFQAPRIVRYAVGRQFNNFVPNLIATRNISYNIWDCRVTTRRKMMADTSSMAKQGPVLDVKPEKDDDGGYASGGWKSEDGRLSCGYSSFRGKRASMEDFYDIKTSKIDGKTICLFGIFDGHGGSRAAEYLKQNLFENLMKHPEFVTNTKHAISETYQQTDRDFLESEKDSLRDDGSTASTAVLVGNHLYAANVGDSRTIVSKKGKAIPLSQDHKPNRSDERKRIENAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQYVVAEPEIQEEVIDEELELLVLASDGLWDVVPNEDAVSLAESEESPEAAARKLTETAFTRGSADNITCIVVKFHHKKTEPEVSQQEAKNLSTSPAHNF >itb05g20050.t1 pep chromosome:ASM357664v1:5:26275663:26281216:-1 gene:itb05g20050 transcript:itb05g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTSCLGSVVVEAGQFGIFQAPRIVRYAVGRQFNNFVPNLIATRNISYNIWDCRVTTRRKMMADTSSMAKQGPVLDVKPEKDDDGGYASGGWKSEDGRLSCGYSSFRGKRASMEDFYDIKTSKIDGKTICLFGIFDGHGGSRAAEYLKQNLFENLMKHPEFVTNTKHAISETYQQTDRDFLESEKDSLRDDGSTASTAVLVGNHLYAANVGDSRTIVSKKGKAIPLSQDHKPNRSDERKRIENAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQYVVAEPEIQEEVIDEELELLVLASDGLWDVVPNEDAVSLAESEESPEAAARKLTETAFTRGSADNITCIVVKFHHKKTEPEVSQQEAKNLSTSPAHNF >itb05g20050.t5 pep chromosome:ASM357664v1:5:26275663:26281160:-1 gene:itb05g20050 transcript:itb05g20050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTSCLGSVVVEAGQFGIFQAPRIVRYAVGRQFNNFVPNLIATRNISYNIWDCRVTTRRKMMADTSSMAKQGPVLDVKPEKDDDGGYASGGWKSEDGRLSCGYSSFRGKRASMEDFYDIKTSKIDGKTICLFGIFDGHGGSRAAEYLKQNLFENLMKHPEFVTNTKHAISETYQQTDRDFLESEKDSLRDDGSTASTAVLVGNHLYAANVGDSRTIVSKKGKAIPLSQDHKPNRSDERKRIENAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQYVVAEPEIQEEVIDEELELLVLASDGLWDVVPNEDAVSLAESEESPEAAARKLTETAFTRGSADNITCIVVKFHHKKTEPEVSQQEAKNLSTSPAHNF >itb15g18790.t1 pep chromosome:ASM357664v1:15:20608732:20612608:1 gene:itb15g18790 transcript:itb15g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNQLSQEMASLQHFSEQQLGENSSVLRTILPQQLGGQSSPTGKPAESQQQQAPPPTWLNSAILRQQSQYVGDGSGGSGEGNFLNSHSNSESSAAAAASQASNQWLSRSILQRNVRDDVPVSRDSMIAAAIMHESAADLNANDDDDVKNIGNNDNHGGAAGGELGESEVVGGGTGIVNWQNARYKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVIAKYSALGHGNLGDDRELDQFLTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDDQVDSDANLFDGGGLDGTDSLGFGPLIPTENERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSLKSKRKR >itb04g25320.t1 pep chromosome:ASM357664v1:4:29917244:29919004:-1 gene:itb04g25320 transcript:itb04g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLELSASLLICIVTFVFLLLFCNFTGLITFSSKCGNVPRAYPLVGSYFSMLKNKDRRLQWIADVLKSTPNLTFTLHRPLGYRQVFTANPLNVQHILKKKFPVYPKGDYSRRILGDFLGDGIFNANGESWKFQRQVASHEFNTRSLKKFVETVVDTELSDRLIPILASAAKNKTVLDFQDILQRFTFDNICKIAFGYDPGYLLPSLPEAKFAVAFEEAVKISSQRFNAVSPLIWKFKRLFNVGSERKLRAAVEVVQEFAKKIVREKKQELEEKSALESVDLLSRFLSSGHSDENFVMEIVISFILAGRDTTSAALTWFFWLLFRHPEVENEILKEVRSKSESAVYDEVKNMVYTHAALSETMRLYPPVPVDSKAAAEDDVLPDGTVVKKGWRVAYHPYAMGRVEDIWGKDWAEFRPERWLEKDGANDSGNWSFVGRDSYVYPVFQAGPRICLGKEMAFLQMKRVVAGVLRRFRVVPVVADKDVEQVFITYLTSKMKGGFQVMTEERSTAADE >itb15g07260.t1 pep chromosome:ASM357664v1:15:4913024:4918324:-1 gene:itb15g07260 transcript:itb15g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCAPIACGRCLLMALVFLSASAIAQTTTTNLSQAAAPIGRRGCPTRCGNLAIPYPFGIGSGCAFDSEFQILCDTSSNPPNTVLTTGAGNPALVYDISDRHIWVGGGGGITVCYDSKGAWRPLQFPFNSTSQTMSFQRLNHYSYSLENKFAIVGCDDTLLISHGTNDVSSCTSRCSNASQVPPVNGTTCSGVGCCQLPMPKDLNKLYNISMVSAMNHTKVWSFNPCAYSFFGDTSRFRFLGASDLNNPNFPRRVHETVPLVMDWAIGDLNCKEAQHITEYGCKGNSHCVDSDTGGYRCSCDHGYQGNPYLGCIDPPIGKLSCDEAKKGSEYACHANSHCLDSDTGLGGYRCRCDNGYEGNPYLSPGCTDIDECKNPETMKSCEQRCINFPGGFNCSCLEGYFGDGRKGGQGCILINKKPAASSWVKLSLGIGLGTLVFVALATSLSYIIKKTNDAKMRLKFFEQNGGFLLEQKITDGGSDGTDVTKIFSAKELRQATNNFAQDMILGQGGNGIVFKGILLPNQLQVAVKRSKTVDDTQIEQFINEVVILSRINHRHVVKLLGCCLEAEVPLLVYEYISNGTLYYHIHGEAGGSDWLSWENRLRIAIEAAGALAYLYSSASTPIIHRDVKSANILIDENYTAKISDFGASRLVPLDHTHVATLVQGTLGYLDPEYFQTSLLTEKSDVYSFGVVLAELLTERKPVSPYMSEEDRNLSSFFVRSLNENRLFQIIVPRLVREGTLDQLQRIAELVKRCLQLKGEDRPQMKEVASELERIRNSTKVSWTTESSCLLSAEDESLDLYAAPINQ >itb12g19110.t1 pep chromosome:ASM357664v1:12:21408466:21411361:1 gene:itb12g19110 transcript:itb12g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATSSFHWSALLPRKSYSERQSSLTGIHGQSISFKPHAPSLVLSPKTSPAVVLASTTAGALETEPRISAATPSKSLPFRVGHGFDLHRLEPGYPLIVGGITIPHDRGCEAHSDGDVLLHCIVDAILGALGLPDIGQIFPDNDPKWKGAASSVFIKEAVRLMHEAGYELGNLDATLILQKPKVSPHKEAIRSNLCELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >itb14g16010.t1 pep chromosome:ASM357664v1:14:19296895:19297680:-1 gene:itb14g16010 transcript:itb14g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRLFGGRRNGNVSDPFSLDVWDPFRDLSVPFSASGETSAFVNTRVDWKETPEAHLFKADVPGLKKEEVKVEIEDDRILQISGERNVEKEEKNDAWHRMERSSGKFMRRFRLPENAKMEEIKASMENGVLTVTIPKAEVKKPDVKSIEISG >itb06g14710.t1 pep chromosome:ASM357664v1:6:19204108:19205479:1 gene:itb06g14710 transcript:itb06g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFSNPIYGKPAGIPTRISSRSCCRSFKPRAVMLAENQSYWESIEADIEAHLKKALPVRAPASVFEPLRYLALAAPRTTAPALCVAACELVGCDRDQAMDAASAIHLMHAATHAHRHLPLSSDVPGPKPAVPHKFGPNIELLTGDALIPFGLELLARSMDYPARPSPDKIARVIIEITRATGSQGVLEAQYRETQSAMTGGEKVTGAGKKTGMLHACGAACGGIIGGGNEEEIERLRNFGHYVELINGVGTEEKMKEWKISAMKELEMLEGREIGQISSLLALHFCSV >itb06g01770.t1 pep chromosome:ASM357664v1:6:3078809:3079618:1 gene:itb06g01770 transcript:itb06g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRRDRRNQTRRPNYPREEAPVDRGQAPRKKAGFNDMGTQSRFTALEGLGDTTADQDQDTVGTLALQQSGKTLPRIRTTDHNKQRGPELGDNGNNGVPRELGSGWWNQPAYQEAYHNSNRGGYQSAYRGGYQAAFRGGSQTTYKGGYQTAYQGDYQTSNCGGPQLINGGSRGRHGRGGGPNRAAAESEHTVVRGSNRGRNISSSVVYHEYGQPDDSIMAGFEYSPKEDPPNRGGPLSNDFNFPDIAMAEGVGSDEPLGVVARHGSFC >itb05g00710.t2 pep chromosome:ASM357664v1:5:620302:628385:1 gene:itb05g00710 transcript:itb05g00710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPELPSTEVDTEMEVEDDQIPPSNSKDPPPILRLDQSVVNRIAAGEVIQRPVSAVKELVENSLDAGSTSISVVVKDGGLKLIQVSDDGHGIRKEDMPILCERHTTSKLSKFEDLQSIKSMGFRGEALASMTYVGHVTVTTITTGQLHGYRATYRDGVMEDEPKACAAVKGTQIMIENLFYNMAARRKTLQNSADDYPKIVDLISRFAIHHTDVSFSCRKHGAARADVHSVATPSRLDAIRSVYGVSVARNLVKIDVSDNDPSNSVFEMDGFISNANYIAKKITMVLFINDRLVDCGALKRAIEIVYGATLPKASKPFVYMSITLPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVVELKLRSSNESRIFQEQTMDPSSSSPLATNQDSQKDPSKSVIKSQKTPVHKMIRTDSLDPAGRLHAYLQIKPSNNTERSSCLTSVRSSIRQRRNPKETADLTSIQELINEIDSTCHSGLLDTVRGCTYIGMADDVFALLQHNTHLYLANVINLSKELMYQQVLRRFAHFNAIQLSEPAPLSELLMLALKEEDVAADDNENDDLKEKIADMNTSLLKQKAEMLEEYFSIYIDSNGNLSRLPVILDQYTPDMDRVPEFVLCLGNDIDWEDEKNCFQSISAALANFYAMHTPLLPNPSGDGSQFYKKGTSSSSINGQGNSSKTTGNDTTEDEYENDLLSEAENAWAQREWSIQHVLFPSLRLFFKPPNAMATNGTFVQVASLEKLYRIFERC >itb05g00710.t1 pep chromosome:ASM357664v1:5:620302:628385:1 gene:itb05g00710 transcript:itb05g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPELPSTEVDTEMEVEDDQIPPSNSKDPPPILRLDQSVVNRIAAGEVIQRPVSAVKELVENSLDAGSTSISVVVKDGGLKLIQVSDDGHGIRKEDMPILCERHTTSKLSKFEDLQSIKSMGFRGEALASMTYVGHVTVTTITTGQLHGYRATYRDGVMEDEPKACAAVKGTQIMIENLFYNMAARRKTLQNSADDYPKIVDLISRFAIHHTDVSFSCRKHGAARADVHSVATPSRLDAIRSVYGVSVARNLVKIDVSDNDPSNSVFEMDGFISNANYIAKKITMVLFINDRLVDCGALKRAIEIVYGATLPKASKPFVYMSITLPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVVELKLRSSNESRIFQEQTMDPSSSSPLATNQDSQKDPSKSVIKSQKTPVHKMIRTDSLDPAGRLHAYLQIKPSNNTERSSCLTSVRSSIRQRRNPKETADLTSIQELINEIDSTCHSGLLDTVRGCTYIGMADDVFALLQHNTHLYLANVINLSKELMYQQVLRRFAHFNAIQLSEPAPLSELLMLALKEEDVAADDNENDDLKEKIADMNTSLLKQKAEMLEEYFSIYIDSNGNLSRLPVILDQYTPDMDRVPEFVLCLGNDIDWEDEKNCFQSISAALANFYAMHTPLLPNPSGDGSQFYKKGTSSSSINGQGNDTTEDEYENDLLSEAENAWAQREWSIQHVLFPSLRLFFKPPNAMATNGTFVQVASLEKLYRIFERC >itb06g09740.t1 pep chromosome:ASM357664v1:6:13993862:13994149:1 gene:itb06g09740 transcript:itb06g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMAPYLGLKSAFPDIENHFNFSLSLKTIFFRQRGENGAASLLFSCLGGKESSSSAAAKHSSSDENATAEEQRRGGPVVDRSSYHRLSRSCSST >itb12g07310.t1 pep chromosome:ASM357664v1:12:5488486:5489391:-1 gene:itb12g07310 transcript:itb12g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMIKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCISVYSARSDGSWDTKRIDQAHPVGVTAVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPAIQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb14g12850.t1 pep chromosome:ASM357664v1:14:14632012:14632416:1 gene:itb14g12850 transcript:itb14g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEFSSGKNGANVGNSNINSNNNNNSGSNNVVVANKLRKGLWSPEEDEKLMHYMLSNGQGCWSEVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRYLSSLSPSQINYYTISLIN >itb12g08160.t1 pep chromosome:ASM357664v1:12:6321912:6322767:1 gene:itb12g08160 transcript:itb12g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNWQQYQRVFDCFDENRDGKLSPAELQRCMASIGDDLSLEEAQEAILRMGSGPDGLLGFEEFVRLLEDGSDEDKARDLKEAFSMYEMDGCGCITPKSLKRMLSRLGESRTIDDCKNMIAHYDLNGDGLLNFDEFKVMMSC >itb09g11510.t1 pep chromosome:ASM357664v1:9:7173988:7177415:1 gene:itb09g11510 transcript:itb09g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLFRICFIPASFLLFLLFIPTQLSHYRPSIAILRPTASVFVRPSSGEYPVTFAYLISASRGDVPRLRRLVHALYHPGNYYLIHLDADAPPSEHRELAGFVAGNAVFAQIGNVWVVEKPSLVTYRGPTMLATTLHAMSLLLRTAKNWDWFINLSASDYPLVTQDDLIHSFSNLPRDLNFIQHTSHLGWKLNKRGKPIILDPGLHSVNKSEIWWAIKQRTLPTAFKLYTGSAWTVLSRSFAEYCVVGWDNLPRRLLLYYTNFVSSPEGYFQTVACNSEDYKNTTVNHDLHYITWDIPPKQHPRSLGLKDYRRMIQSNRPFARKFKKNDPVLNKIDRELLKRRNGQFSLGGWCSKGDSKRQTCSALQIEKYGVVQHGTGARRMKTLLTKLVSGQNSTKQRCR >itb11g14360.t1 pep chromosome:ASM357664v1:11:11446626:11449267:1 gene:itb11g14360 transcript:itb11g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRINAWNSDELPIYEPGLDEVVKQCRGKNLFFSTDVEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILSHNSKGIKYEILSNPEFLAEGTAIEDLFKPDRVLIGGRDTPGGQKAIHALRDVYAHWVPQDRIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVTQVSHAVGRDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLTEVANYWKQVIKVNDYQKSRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKANLSIYDPQVTMDQIQKDLSMNKFDWDHPIHLQPMSPTTVKQVSVAWDAYEAAKDAHGLCILTEWDEFRALDYQKIFNSMQKPAFVFDGRNIVDVQKLREIGFIVYSIGKPLDSWLKDMPAMA >itb08g06500.t2 pep chromosome:ASM357664v1:8:5515828:5516464:1 gene:itb08g06500 transcript:itb08g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFFSQGEEQQAFVKEVCHDLKFLDSALNHNCCFGVAKIGFVDVALAWIVCWAQMIEEIVGVKLILDEEMPSLAKWYQNVLEAAPVLKECTPPREKLVEHFKGFRKMLAAASN >itb08g06500.t1 pep chromosome:ASM357664v1:8:5515260:5516464:1 gene:itb08g06500 transcript:itb08g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFWVKFADDKCFPAMKGVFFSQGEEQQAFVKEVCHDLKFLDSALNHNCCFGVAKIGFVDVALAWIVCWAQMIEEIVGVKLILDEEMPSLAKWYQNVLEAAPVLKECTPPREKLVEHFKGFRKMLAAASN >itb02g00200.t1 pep chromosome:ASM357664v1:2:173990:180081:1 gene:itb02g00200 transcript:itb02g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYERDVVPMLSATDSQADESDESRYRRFSYRARRSLSIPANSMEPYDTENSHFGFTGPLRNERRTSYIQMSGPLYIGQKASITNNLRTSQVALGRKSTRQTVEIYPSTAIRESSDWEDYDYAQKNEHLLRSGQLGVCNDPYCTTCPTIDILKAQGQKSKSPEIFDHKFHNMIYGDAKGWAKRSCSFLWSCLPGVMNPHAKVVQRWNKFFVFSCSFAVFIDPLFFFLLTVNQDNKCIVLNWPLTTTIVILRSLTDFIYLIHMLLQFRLAYVAPESRVVGAGDLVDHPKKIAVNYLKGFFLIDLFVVLPLPQIIILLILPDSMGSSGANNAKNLLRAAILVQYVPRLWRFLPVLRGQSSSGFIFESAWANFVINLLTFMLSSHVVGSCWYLFGLQRVNQCLRDACHTSGIGRCREFIDCGHGNDYSKFSSDPAWNQWKNDTNSIACFSTNGFDYGIYEQAVNLTTKHSLFTRYIYSLFWGFQQISTLAGNLVPSYFEWEVLFTMAIIGLGLLLFAMLIGNMQNFLQSLGSRQLEMSMRRRDVEKWMSHRRLPEGLRRRVRLAERYTWAATRGVNEEMLLENLPEDVQRDIRRHLFKFIKKVRIFALVDELVLDAICEKLRLTTYIEGSKVFYRGGLIDKMVFIIRGKMESTGEDGNMAMLSEGDACGEELLTWCLEHSSSVNKDGIKVKIPGHRLLSNRIVRCLSNVEAFILREADLAEVISLFARFLRNPRVQGAIRYESPYWRALAARCIQVAWRYRKKRLSRADSNNSSQQNLLGMEVRLRTLDRETSEFYFGYSNCI >itb02g00200.t3 pep chromosome:ASM357664v1:2:173990:180062:1 gene:itb02g00200 transcript:itb02g00200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYERDVVPMLSATDSQADESDESRYRRFSYRARRSLSIPANSMEPYDTENSHFGFTGPLRNERRTSYIQMSGPLYIGQKASITNNLRTSQVALGRKSTRQTVEIYPSTAIRESSDWEDYDYAQKNEHLLRSGQLGVCNDPYCTTCPTIDILKAQGQKSKSPEIFDHKFHNMIYGDAKGWAKRSCSFLWSCLPGVMNPHAKVVQRWNKFFVFSCSFAVFIDPLFFFLLTVNQDNKCIVLNWPLTTTIVILRSLTDFIYLIHMLLQFRLAYVAPESRVVGAGDLVDHPKKIAVNYLKGFFLIDLFVVLPLPQIIILLILPDSMGSSGANNAKNLLRAAILVQYVPRLWRFLPVLRGQSSSGFIFESAWANFVINLLTFMLSSHVVGSCWYLFGLQRVNQCLRDACHTSGIGRCREFIDCGHGNDYSKFSSDPAWNQWKNDTNSIACFSTNGFDYGIYEQAVNLTTKHSLFTRYIYSLFWGFQQISTLAGNLVPSYFEWEVLFTMAIIGLGLLLFAMLIGNMQNFLQSLGSRQLEMSMRRRDVEKWMSHRRLPEGLRRRVRLAERYTWAATRGVNEEMLLENLPEDVQRDIRRHLFKFIKKVRIFALVDELVLDAICEKLRLTTYIEGSKVFYRGGLIDKMVFIIRGKMESTGEDGNMAMLSEGDACGEELLTWCLEHSSSVNKDGIKVKIPGHRLLSNRIVRCLSNVEAFILREADLAEVISLFARFLRNPRVQGAIRYESPYWRALAARCIQVAWRYRKKRLSRADSNNSSQQNLLGMEVRLRTLDRETSEFYFGYSNCI >itb02g00200.t2 pep chromosome:ASM357664v1:2:173990:180047:1 gene:itb02g00200 transcript:itb02g00200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYERDVVPMLSATDSQADESDESRYRRFSYRARRSLSIPANSMEPYDTENSHFGFTGPLRNERRTSYIQMSGPLYIGQKASITNNLRTSQVALGRKSTRQTVEIYPSTAIRESSDWEDYDYAQKNEHLLRSGQLGVCNDPYCTTCPTIDILKAQGQKSKSPEIFDHKFHNMIYGDAKGWAKRSCSFLWSCLPGVMNPHAKVVQRWNKFFVFSCSFAVFIDPLFFFLLTVNQDNKCIVLNWPLTTTIVILRSLTDFIYLIHMLLQFRLAYVAPESRVVGAGDLVDHPKKIAVNYLKGFFLIDLFVVLPLPQIIILLILPDSMGSSGANNAKNLLRAAILVQYVPRLWRFLPVLRGQSSSGFIFESAWANFVINLLTFMLSSHVVGSCWYLFGLQRVNQCLRDACHTSGIGRCREFIDCGHGNDYSKFSSDPAWNQWKNDTNSIACFSTNGFDYGIYEQAVNLTTKHSLFTRYIYSLFWGFQQISTLAGNLVPSYFEWEVLFTMAIIGLGLLLFAMLIGNMQNFLQSLGSRQLEMSMRRRDVEKWMSHRRLPEGLRRRVRLAERYTWAATRGVNEEMLLENLPEDVQRDIRRHLFKFIKKVRIFALVDELVLDAICEKLRLTTYIEGSKVFYRGGLIDKMVFIIRGKMESTGEDGNMAMLSEGDACGEELLTWCLEHSSSVNKDGIKVKIPGHRLLSNRIVRCLSNVEAFILREADLAEVISLFARFLRNPRVQGAIRYESPYWRALAARCIQVAWRYRKKRLSRADSNNSSQQNLLGMEVRLRTLDRETSEFYFGYSNCI >itb06g14380.t2 pep chromosome:ASM357664v1:6:18942369:18946545:-1 gene:itb06g14380 transcript:itb06g14380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGISNLSPEVSPELAADVEYRLREIMQESIKCTRHSKRTILTAEDVDAALSIRNVEPIYGFASGDPMRFKRAVGHKDLFYIEEKDLDFKDVIEAPLPKAPLDTALVSHWLAVEGVQPSVPENPPHEALAAPQDNRMMEYKEDGGSVDLKLPVKHVLSRELQLYYEKITDLAVNRSNSVLLKEALVSLATDSGLHPLVPYFTFFIADEVSRNLNNFPLLYALMRLVWSLLQNPHIHIEPYLHQLMPSVMTCLVAKRLGNKLSDNHWELRDFTAKLVALVCKRFGHVYHNLQSRVTRTLLHAFLDPTKALPQHYGAVQGLAALGPSVVRLLLLPNLEPYMQLLEPEMQLEKQKNEMKRHEAWRVYGALACATGLCIYDRLKTLHTLLPSPARAVLKSRMKVASISSMYIGLLVMSILDFKV >itb06g14380.t1 pep chromosome:ASM357664v1:6:18941650:18946545:-1 gene:itb06g14380 transcript:itb06g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGISNLSPEVSPELAADVEYRLREIMQESIKCTRHSKRTILTAEDVDAALSIRNVEPIYGFASGDPMRFKRAVGHKDLFYIEEKDLDFKDVIEAPLPKAPLDTALVSHWLAVEGVQPSVPENPPHEALAAPQDNRMMEYKEDGGSVDLKLPVKHVLSRELQLYYEKITDLAVNRSNSVLLKEALVSLATDSGLHPLVPYFTFFIADEVSRNLNNFPLLYALMRLVWSLLQNPHIHIEPYLHQLMPSVMTCLVAKRLGNKLSDNHWELRDFTAKLVALVCKRFGHVYHNLQSRVTRTLLHAFLDPTKALPQHYGAVQGLAALGPSVVRLLLLPNLEPYMQLLEPEMQLEKQKNEMKRHEAWRVYGALACATGLCIYDRLKTLHTLLPSPARAVLKSRMKVASISNKSKMSMDNTVQQPPLKKLVTDGPAGAVPAKSLPGGTQGATGGYAASFPSTLSSENLPRMGGSRRDMVGGQASKAAAALDRASKGDVDVAHLIPMLYEHFGESVISFSLAPELSIFL >itb15g05180.t1 pep chromosome:ASM357664v1:15:3318544:3323132:-1 gene:itb15g05180 transcript:itb15g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIQKTQEERKKMEQQIASLSAVTFDTDLYSADRFEGYEKSIPVNDEEDAFENAENEIARKMASFTAPKQFFKEAPRGGDDDENLGFNKPSKIIDREDDYRRRRLNRVISPERNDPFLDKTPGPDVRTYADVMREEALKRQKEDLMKEIAKKKKEEEARAAEKEKEAEKQPAQKRRNRWDQSQDENSAKEKKAKTSSDWDLPDSTPGIGRWDATPTPGRVGDATPSVRRNRWDETPTPGRLADSDATPSAGGVTPGATPAGMTWDATPKNLGLATPTPKRQRSRWDETPATMGSATPMAGATPAAAYTPGVTPVGGSELATPTPGAINLRGPLTPEQYNLMRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPIGTPLYNIPEENRGQQFDVPKEMPGGLPLMKPEDYQYFGALLNEENEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRNDILPEFFRNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCGEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDDSNNTYSRPELSMFV >itb15g05180.t2 pep chromosome:ASM357664v1:15:3318544:3323122:-1 gene:itb15g05180 transcript:itb15g05180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIQKTQEERKKMEQQIASLSAVTFDTDLYSADRFEGYEKSIPVNDEEDAFENAENEIARKMASFTAPKQFFKEAPRGGDDDENLGFNKPSKIIDREDDYRRRRLNRVISPERNDPFLDKTPGPDVRTYADVMREEALKRQKEDLMKEIAKKKKEEEARAAEKEKEAEKQPAQKRRNRWDQSQDENSAKEKKAKTSSDWDLPDSTPGIGRWDATPTPGRVGDATPSVRRNRWDETPTPGRLADSDATPSAGGVTPGATPAGMTWDATPKNLGLATPTPKRQRSRWDETPATMGSATPMAGATPAAAYTPGVTPVGGSELATPTPGAINLRGPLTPEQYNLMRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPIGTPLYNIPEENRGQQFDVPKEMPGGLPLMKPEDYQYFGALLNEENEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRNDILPEFFRNFWVRRMALDRRNYKQLVETTVEIANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCGEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDDSNNTYSRPELSMFV >itb03g18580.t1 pep chromosome:ASM357664v1:3:16823021:16827949:1 gene:itb03g18580 transcript:itb03g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNPGVTPKKVTLTNMYGEKLVGLLHDTGSPDIVVLCHGFRSSKEFKIMVNISIALEKEGISAFRFDFSGNGESEGTFHFGHYMKEVDDLHSVVEHFIGEKRRVAAILGHSKGGNVVLLYASKYHDVPTVVNASGRYVMGRGIAERLGNDFLERIKKDGFLDVKNRAGEVQYRVTEESMMDRLNTDMHKACTQIDNECRVLTIHGTSDEIIPVEDAFEFDKIIPNHKLHIVEGASHDYQSHQDQLVSVAVSFIKEGLHQK >itb05g16050.t1 pep chromosome:ASM357664v1:5:23351119:23352213:1 gene:itb05g16050 transcript:itb05g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNLTSASNSVLFMDLKYIIKEQALPFLPAKSLFRFLAVCRDWKLHISTPSFHHNQSLCCRGISGLFCQAPENPPVFVPIHPESCGVPDPSLSFLPEPVEIRASSNGVLCCQGRNEDKYYYLCNPVTKQWKKLPKPTASHGSKPALGLIFEPSLLNSVPEYKLICAFQSTDFDDATEFEIYSSKNNSWNVSDAICFGDEKADLGSGVHVNGVVYWPVESDHILCFDLTKDRSQFQRSITDGIDCLLGTFDGRLCKVYISGNEVRVRVSKVLNIPTITWDESDMWEDTLELDSDVTFIPLDDDYIDTRAVAVSRDILVAVSENEFYCYDFEDQKTNTLSRPEPAESESDYEICVPYVNSLVSL >itb05g23690.t1 pep chromosome:ASM357664v1:5:28759117:28765875:1 gene:itb05g23690 transcript:itb05g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEHLALSPSDRAVEQAIVVLKKGAHLLKYGRIGKPKFCPFRLSQDEKYLIWYSGEKEKQLRLSSVTNIIHGQNPVNLQKQLKPERESQCISLVYANGQRSLDLICKDKMQAESWFLGLRAVISRSHHKRFLGNPKSRRGAQSCVSSPASYIRRRQNLGLYPMDSTRLSKVRSLAGSPDQSFSERGFSDGLSCSSDSFFSELSISSVHNAVDAINSGSPYAGPDDLNKRTVDFGQGENQANMLNLLETSVYESTQSAQYALRDVFIWGEGVEGGFLEGGGTKLDALLPKVLDSTVMFDVQMISLGRNHACLATKQGEVFSWGEAKHGRLGHKVDIDSPHPKIVVSLNGIHVKSVSCSEYQTCALTASGEIYTWGDNFSNADYASEDRKRSHWLPRKVLGLLDGVKISSVACGEWHTAIVSTSGQLFTYGDGTFGALGHGNNKSVVYPKEVKSLEGLRVKSVACGSWHTAAIVEIMAGNFESNNSVGKLFTWGDGDKGRLGHSDQENRLVPTCVARLVEQDFVQVSCGRTLTVVLSSTGKVYTIGSAVHGQMGSPQAKDKSITVVQGKLRDEFVREISAGSYHVAALTSKGNVYAWGKGANGQLGLGDRKDRSSPTLIDALRDRQVEHIRCGPSSTAAICLHKSISSNDQSTCRGCNVAFGITRKKHNCYNCGVLLCRTCCSKKSTNASLAPSKSKPFRVCDPCFNQLQRTTHLGPSSELEIYTPRPLFINTRSYIEENEERDQVNTTCRITSSRRKCFSMSSQLNEKKAMNNQEKDQNLNPVSSGPDFPRWGQVPCPQSFENNLIEHEKVQVPEKDPIVSTSPVCLLKFQTEPNNMPSPPLMQPDCSKSDDLLLEEVQSLRNQVESLKKLCQSRNEKIQECQQKLEEVWLLAKTEATRRKAAQEVIKALSSRLQSMSEGLSVGRETKDQDSGHSSVDDASGNSLPTKILRIDARKTDSLCSSPIIFSSTSRSLRNKDDYGQSMSGEESCLTEADFGQAAGMVSSTPEWVEQYQPGVYITLRTSPIGEKTTLKRVRFSRKKFTEKEAQRWWNENQLIVYQKYNADRYSELNQRI >itb05g25210.t1 pep chromosome:ASM357664v1:5:29728428:29731262:1 gene:itb05g25210 transcript:itb05g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METYNKMNWPLVLVLLCAFVAVTPAMAGAEIGDIYSSDTYWVNRKAEAMEHVMKAYTSHPEHITRNLTAEIHSMKNETMGDHDFDELELVNGTRRNLRARRYKGPCKVSNPIDRCWRCDKNWANDRKKMANCALGFGAGTTGGKDGPIYVVTDSSDDNMEEPKNGTLRHAVIQDGPLWIIFGSSMTITLQHELLVTGDKTIDGRGAYVKIAGGSGITVQFVKNVIIANLKIKQIKATSGGIIRDSTTHKGLRTFDEGDGITVFGSSNVWIDHCSMSKCEDGIIDAVKGSTAVTISNCHFTDHSKVLLFGANNWDPIDKVMQITVAFNHFGKRLEQRMPRCRWGMFHIVNNDYTYWEMYAVGGSAGATIISQGNRYIAPPGLYFKEVTHRDWPDDSWKGWTWVSDSDLFMNGAFFLPSGDPAGAQKYGLLDLVEKMPGSAVGRITRFSGALSCKIGKPC >itb01g30160.t1 pep chromosome:ASM357664v1:1:34249456:34251729:-1 gene:itb01g30160 transcript:itb01g30160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNLSGQVPTSIRNLTRLNWFNIFFNQFSGHLPLELNNVSANLILFQIGANHFSGHLPENLCLGKSLTHFSVVYNNFSGKIPKGIKNCTTLQRVRLEYNKLSGDISEDFGIYPNLTYIDLSSNNFHGQLSSNWGLCSNLAALKISRNRISGEIPPELATASHLEFLDISSNQLVGNIPKSFSTLHSLGVLKLDGNKLSGNITLGIGELSLLTELNLAANRFIGFIPEGLRSCQKLTILNFSQNMFDGRIPYDIGSLQFLQTLDLSQNMLTGKLPRQFGGLISLQSLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGMLPNNKAFQNATFESLRNNKGLCGNIAGLKPCSSGSPEKKIDQGHKTTSLVLIIVIPIGVIGVVAMVVVIWLIPLKRCIKEMPRASRENLFTVLNFDGNIAYESIVEATDNFDSRYCIGVGGSGSVFRAELSNGEVFAVKKLKQGDESRDFKSFSNEIRTLSEVRHRNVVRLYGFCSHVRHSFLVYEYLEGGSLAQVLSHDEKSKELDWIKRVNVVKALAKALSYMHHGCFPTIAHRDISSKNILFNHEYEAHISDFGTAKLLNSNSSNWTSFAGTFGYAAPEFAYTMEVNEKCDVFSFGVVVLEVIMGRHPGDIVASISSSSLSENQNLVLKEVLDPRPSSPGKHEAEELVLIAKIAFSCLNFNPRSRPTMFQVSALLSKKMQPSDLFIHITLCQLFGLEFPTV >itb03g20470.t1 pep chromosome:ASM357664v1:3:18370493:18371742:-1 gene:itb03g20470 transcript:itb03g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTELDHLVPMDFKNVVQVPDTHTWRLDSLYINNSCSSEESVPLIDLEDPQAVEKIKMACENWGIFQVTNHRVPMELLAQMEHQVRRFFDLTREEKLLTLRSPDSPNGYGIIQVSPCFNTLMWMEGFTLSGSPLEFARRVWPQDYTPFCTVTEDYQEQMRGLAKKITSLIFKSLGISREDVEWFEPKSMETSLQLNSYPNCPDPARALGMIPHTDSSLITLLYQSKTNRALQVYRPNLKWVDVEPISNAIVVHVGDMLHMYSNGQCKNVLHRAIVSEAHHRISVIYFFGPKSDVKISSPLKFIKSGDFSMYRPITWKEYRKLKLKHTDKALEMVRFNSVVVENANALTSGDEAHHLMELIVAKWKL >itb04g06980.t1 pep chromosome:ASM357664v1:4:4636634:4639303:-1 gene:itb04g06980 transcript:itb04g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGAALIGEIKQQQDQFWVCACLPALNLYGREWKQETSLKMRERSALEMVAKHSAEGDNNNKVMMFEREGSEMVEYLQTVDSIIQRFRHNASLETAETTARKQLLDEFGTMLSHAFTHPPTTISASYSTSVSNASLFFGELTGTLLPDLHSIAHRLSAMGFLEDCKQLYKTKRLAFIDATLRRFGVQKLSAVEVKRMEWEALDKAVGMWLKALVFCVDLIPIEKQTHDSIFKDDSSSALVVGIVRAYVSPFLDFTQAIVTSRTSPRKLFRFLDLHRILNSTLRPSVLFEVPRDDPLATQMEEALSQLIEAAKVSFQNFERAVNREISHISSVREGGVHTTTNYVMDYMIRLISEYGEECEWIVSGPRKVEISTRINGGNICEKKTIDGSGLSPFASHVLWVIASLLRRLKSKTVQHQDDDAFAQFFMMNNLNYIVQTVNEQPSLREIVEGGDFLRDLETGLFATKLKYLGSTWTKIVQDLAQCSTPKKIKLFNVTLFRQSRRRKEILRNFNEMFGKIHQTQSRWSGSDANLMRQLRKVILDRLVPSYEHLLQQLSDNSSCNPITGIQYSVEDILSLDILLMDKSYTDLSRINLRNGLRGKHRGDPRRSTGRPDPAKAEPAWATSGLARPRPTSMRWAGLRS >itb04g08030.t2 pep chromosome:ASM357664v1:4:5599332:5601590:-1 gene:itb04g08030 transcript:itb04g08030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNPFCEIALEEALRIREAGLASEVVAVSVGPTQSVDTLRTGLAMGADRGIHVEAPGSLYPLSIAKILRALVEIEKPGLLLLGKQAIDDDCNQTGQMVAGLLQWPQGTFASKVVLDKEKQVATVDREVDGGIETLSLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKITPEELNVEIKSDMEIVQVTEPPKRKAGIILSSVDELVDKLKNEARVI >itb04g08030.t1 pep chromosome:ASM357664v1:4:5599297:5601590:-1 gene:itb04g08030 transcript:itb04g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAIKRVIDYAVKIRVKPDKSGVETSNVKMSMNPFCEIALEEALRIREAGLASEVVAVSVGPTQSVDTLRTGLAMGADRGIHVEAPGSLYPLSIAKILRALVEIEKPGLLLLGKQAIDDDCNQTGQMVAGLLQWPQGTFASKVVLDKEKQVATVDREVDGGIETLSLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKITPEELNVEIKSDMEIVQVTEPPKRKAGIILSSVDELVDKLKNEARVI >itb12g25220.t2 pep chromosome:ASM357664v1:12:26484769:26489416:1 gene:itb12g25220 transcript:itb12g25220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDFPPSSSPPPPLHTQTHSLVAPLAGGIAAAASILLIFTFCFRKISRKRTVPSSDAESKPPHRFSYAALRRATSNFNPSLRLGQGGFGSVYSGTLKISGSQSDVAIAVKVMDSGSLQGEREFQNELLFAGKIESNYIVSIVGFSSDQRGRRMMLVYELMANGSLQDCLLHRKCSELKIWKNRFSIALDIAKGLEHLHHSCDPSVIHGDIKPSNILLDANFNAKIGDFGLARLKTEDHVEIEVRKEGSLGNGVEDNGSVIEETESVTTTVNGFEEFHGGGLEQSPESFVQIQASPETGMGVELSPEGPVVSPRTVAAMASPSEGLEKTSVSDANFDRFSVESSKEMSDKKGRMMMKKKSVSRNDWWWKQDTGGGESGPVKDYVMEWIGNEIKKERPRSEWIGQPSSSTVGATAKTEKKKHRKRLDWWVSLDDEKTAKMEKRRPAREWWKEEYCEELQRKKKKKKQQGQSSASDDCQSEYWPRDDEMYGDRKKKRSRSRGSKSSMDWWLDGISGDLWKARRNSYDSASGEIPKSGGISSTPSMRGTVCYAAPEYGITGDLSEKCDVYSYGVLLLVLIAGRRPLQVTGSPMSEFQRANLLSWARHLARVGKLLDLVDQSIESLNKEQALLCIKVALLCLQKSPARRPSMKEVVGVLSGELELPELPVELSPSPPSRFAYKSHRKARILTLCFSCLYKTCTKPVPWMVEGVKKVDEVSEVYPSNEISQNSIKHRKYGKSIIHPIT >itb12g25220.t3 pep chromosome:ASM357664v1:12:26484769:26487311:1 gene:itb12g25220 transcript:itb12g25220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDFPPSSSPPPPLHTQTHSLVAPLAGGIAAAASILLIFTFCFRKISRKRTVPSSDAESKPPHRFSYAALRRATSNFNPSLRLGQGGFGSVYSGTLKISGSQSDVAIAVKVMDSGSLQGEREFQNELLFAGKIESNYIVSIVGFSSDQRGRRMMLVYELMANGSLQDCLLHRKCSELKIWKNRFSIALDIAKGLEHLHHSCDPSVIHGDIKPSNILLDANFNAKIGDFGLARLKTEDHVEIEVRKEGSLGNGVEDNGSVIEETESVTTTVNGFEEFHGGGLEQSPESFVQIQASPETGMGVELSPEGPVVSPRTVAAMASPSEGLEKTSVSDANFDRFSVESSKEMSDKKGRMMMKKKSVSRNDWWWKQDTGGGESGPVKDYVMEWIGNEIKKERPRSEWIGQPSSSTVGATAKTEKKKHRKRLDWWVSLDDEKTAKMEKRRPAREWWKEEYCEELQRKKKKKKQQGQSSASDDCQSEYWPRDDEMYGDRKKKRSRSRGSKSSMDWWLDGISGDLWKARRNSYDSASGEIPKSGGISSTPSMRGTVCYAAPEYGITGDLSEKCDVYSYGVLLLVLIAGRRPLQVTGSPMSEFQRANLLSWARHLARVGKLLDLVDQSIESLNKEQALLCIKVALLCLQKSPARRPSMKEVVGVLSGELELPELPVELSPSPPSRFAYKSHRKAR >itb12g25220.t1 pep chromosome:ASM357664v1:12:26484769:26489416:1 gene:itb12g25220 transcript:itb12g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRDFPPSSSPPPPLHTQTHSLVAPLAGGIAAAASILLIFTFCFRKISRKRTVPSSDAESKPPHRFSYAALRRATSNFNPSLRLGQGGFGSVYSGTLKISGSQSDVAIAVKVMDSGSLQGEREFQNELLFAGKIESNYIVSIVGFSSDQRGRRMMLVYELMANGSLQDCLLHRKCSELKIWKNRFSIALDIAKGLEHLHHSCDPSVIHGDIKPSNILLDANFNAKIGDFGLARLKTEDHVEIEVRKEGSLGNGVEDNGSVIEETESVTTTVNGFEEFHGGGLEQSPESFVQIQASPETGMGVELSPEGPVVSPRTVAAMASPSEGLEKTSVSDANFDRFSVESSKEMSDKKGRMMMKKKSVSRNDWWWKQDTGGGESGPVKDYVMEWIGNEIKKERPRSEWIGQPSSSTVGATAKTEKKKHRKRLDWWVSLDDEKTAKMEKRRPAREWWKEEYCEELQRKKKKKKQQGQSSASDDCQSEYWPRDDEMYGDRKKKRSRSRGSKSSMDWWLDGISGDLWKARRNSYDSASGEIPKSGGISSTPSMRGTVCYAAPEYGITGDLSEKCDVYSYGVLLLVLIAGRRPLQVTGSPMSEFQRANLLSWARHLARVGKLLDLVDQSIESLNKEQALLCIKVALLCLQKSPARRPSMKEVVGVLSGELELPELPVELSPSPPSRFAYKSHRKARILTLCFSCLYKTCTKPVPWMVEGVKKVDEVSEVYPSNEISQNSIKHRKYGKSIIHPIT >itb04g20850.t1 pep chromosome:ASM357664v1:4:25844147:25847367:-1 gene:itb04g20850 transcript:itb04g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEAAFEAQEESPLNSPVGAEENEAQNEASLAVNDRETDSLVSINHPEHPSTSFAATVLTGTAGKPKEDDEEEEEEHMDVQLGKFTSSTDPDKKAKMQSILSQFTEEQMSRYESFRRSGFQKSNMKRLLVSITGSQKISVPMTIVMSGIAKMFVGELIETARIVMSERNDTGPIRPCHVREVYRRLKLEGKVPKRSVSRNFR >itb07g05000.t1 pep chromosome:ASM357664v1:7:3391541:3399261:1 gene:itb07g05000 transcript:itb07g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSANLLDMASEEILDILQTPRVLPRLMTVPGIISDGSNDGDSDGTSSICRDRKIVVSNMLPLHAQRDKGTSKWCFSLDKDSLLLQLKDGFSPETEVIYVGCLKADIEPSEQEEVAQRLLDDFKCVPTFLPPDVQKKFYYGFCKQYLWPLFHYMLPMCPDHGDRYDRQLWQAYVSANKLFADKVMEVVNPEDDYIWVHDYHLMVLPTFLRKRYHRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVLNQPSTFDKVKEIQEQFKGKKVILGVDDMDIFKGISLKLLAFEQLLQQHQDLQGKLVLVQIVNPARSSGKDVQEAKRETYSTAKRINELYGHPSYEPVILIDHPAARYEKTAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGSPGMDEAMGIKAGSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIEAVAEALNVAITMSDSEKQLRHEKHYRYVSSHDVAYWARSFMQDLERACKDHYSKRCWGIGLGLGFRVISLSPSFRKLSNDHIVSSYKRTNRRAIFLDYDGTIVPQSSIVKSPSAEVIHFLNALSNDPKNTVFIVSGRGRSSLCEWLEPCERLGLAAEHGYFIRWNKSSDWECMTADTEWKQIVEPVMKQYTDATDGSSIETKESALVWHHQDADPDFGSCQAKELLDHLENVLANEPAVVKRGQQIVEVKPQGVTKGLAAEKVLLMMVNGGRPPDFVMCIGDDRSDEDMFEGIIKMVSGLSISTAPEMFACTVGRKPSRARYYLDDTADVVRLLQGLATASNPKPRQIAEFQVAFDTVI >itb10g10340.t1 pep chromosome:ASM357664v1:10:14937186:14943032:-1 gene:itb10g10340 transcript:itb10g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICISVVLGLDFVEGRFVVEKNSISIVSPYSIRAKYDASIGNFGVPDYGGSLVGTVVYPQKGAFGCSAFEGDKPFKSKTPRPNILLVDRGECYFALKVWNGQQAGAAAVLVADTIDENLITMDSPDESSDADGYIEKIGIPSALIEQSFGESLKAALKKGEEVVIKMDWTESMPHPDERVEYELWTNSNDECGIRCDEQMNFIKNFKGHAQILEKGGYTMFTPHYITWYCPQAFIGSSQCKSQCINHGRYCAPDPEQDFGEGYEGKDVVFENLRQLCVHRVANESGNSWVWWDYVTDFHIRCSMKKKQYSKECAEEVMKSLDLPIEKIKKCMGDPEADVENAVLKTEQDLQVGRGSRGDVTILPTMVINDVQYRGKLERTAVLKAICAGFKETSEPAICLSGDLETNECLDGNGGCWHDPKSNITACKDTFRGRVCECPSMNGVQYKGDGYTSCEAVGPGRCMINNGGCWSETKDRTTFSACSEALITGCKCPYGFRGDGHKCEDVDECKEGTVCQCDGCSCKNTWGGYDCKCKGDKLYIMEHDTCIERHSSKLGRFLTFAVIAVALGAGIAGYIFYKYRLRSYMDTEIMAIMSQYMPLDNNHQNQVVQHETEPLRDASSV >itb03g21980.t1 pep chromosome:ASM357664v1:3:19909763:19912444:1 gene:itb03g21980 transcript:itb03g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triphosphate tunel metalloenzyme 3 [Source:Projected from Arabidopsis thaliana (AT2G11890) UniProtKB/Swiss-Prot;Acc:Q9SIY3] MEIEVKLRLPDADSHRKLLSLLTPFHIQTHHQRNTFFDGAAAELSSQRAVLRLRFYENSESPKCVVSLKAKAVIVNGVSRVEEDEEELDPKTGYECVENPAKLAEVDSRILRRAKQEFQVGEAGFVGLGGFRNVRNVYEWKGMKLEVDETMYDFGTLYEIECESTEPDKVKGMIEEFLKENGVEYSYSEKSKFATFRAGKLP >itb12g22010.t1 pep chromosome:ASM357664v1:12:24224688:24224999:1 gene:itb12g22010 transcript:itb12g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCQRSPFHFRSQILQRCIHHRKPSLFVGSLRRRSVTGSSPQGADETANAATTSSFATAPPSSPPPVTGLNWRLKPANKSDVSLWNIKFILKFVHLYFKYNL >itb04g26420.t1 pep chromosome:ASM357664v1:4:30772933:30774057:-1 gene:itb04g26420 transcript:itb04g26420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTREKGLLKLVHPGGHVEVYREPVAAAEVMKKYPRHCITRPDVFKFPWVVVKPEAVLLPGKVFYVVPYLTVYQLLKDRRQKNQHTPRHEHSENNAQEQPVFSSDNYFPSYKLINGESHDSFGPSLPHSKNQPLNNQENRQSSSLSSLSKKERPLKHHENHPSSSQGSSHLGTSPQKIGESHSFASQETPIRSSAGRTPKHINRRMQNSPLYRPRNEEELSQSPDGPVYYAPSTELPSSSKKMNRYQNALLDDRSSDRSYSYSGRRQYTDTKNISRPKISSRGHEADDHHARGSTSTQVKLKSSLKVRSRGHEADDHHTRGSTSTQVQQKSSLRKPGSAQRKRNLRVTFASSVFIPTQERDSPAQQHNFTES >itb04g21590.t1 pep chromosome:ASM357664v1:4:26679083:26682583:1 gene:itb04g21590 transcript:itb04g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQLPPPFCFRFPSFLSLLPTGGAYYTGGVGQRGRTVLCDFHGNAQPPRVQLEKGLNCFKYLLPKNFSLLQVEKFIPKKWKQSVKVSDIQNNIQSLISSSHELIVGTHMPYDESMVDCLLLTLKNFVSQGHLAKAVRTFGLIQLHVPLQSPCDTVLQSLSSLLLCCTNLKSFSEGKQLHAYIITSGLARHRMLVPKIVTFYTTFDLLFDAHKVTETSNILHPLPWNLLISSYVKNRHYEEALSAYKQMVSKGIWPDNFTYPSVLKACGEQVNLAFGREVHKSIDASSPEKNLFVQNALVSMYAKCGELDVAHDIFEQMPVKDAVSWNSIISGYASNGIWSKAIDLFENMMANGIELNIITWNTVAGGCSKTGNFTGTFELLSRMRNYGFQLDPVAVLIGLGACSQTGLLRLGKEIHCLAIRNYFENFDNVTNALITMYARCKGLKQAYILFQLKETKTIVSWNSIISGFSHWDKYEETSFLFREMLFSGFDPNFVTIASILPLCARVANLQHGREFHCYITRHEGFEEQLLLWNALLDMYARSGKVSVAKKLFDSMRKKDTVTYTSLIAGYGIQGEGKAAIKLFEDMIRSQLKPDHVTMVAVLSACSHSGLVMQGEKLYEQMQSVYGISPQLEHFSCMADLFGRSGLLKKAVQVLKKMPFEPTAAMWATLIGACRIHGNTEIGEWAAEKLLEMRPDNSGYYVLIANMYAAAGCWNKLAKVRTLMRDSGVRKDPGCAWIDMGAGFSSFMVADTSNSQRCEIYSLLGGLTRQIKDASYVANEESTFSEEAY >itb15g04360.t1 pep chromosome:ASM357664v1:15:2783048:2785685:1 gene:itb15g04360 transcript:itb15g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPESPGGGGGADPMGSRMGGAAASSPRGSGGGGGGGQVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARSFGVEQLALLTQQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIEVVAKIEELRLKFSIARRSIMPHHHHHHHHHHHDLSGAGAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHVAAEMVSPDMVAVLLDHHADPNVRTVDGITPLDILRTLTSDFLFKGAVPGLARIEPNKLRLCLELVQSAAMVISREEGNTAAAAAANPSSAAIYPPISEEHTSSISTGNIGNLNLDSRMVYLNLGAAAHHQMGCKMNDGDESSSRHSHHRHSSGGGGGCDPSSMYHHSHDY >itb04g07290.t1 pep chromosome:ASM357664v1:4:4924039:4928334:-1 gene:itb04g07290 transcript:itb04g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMGDRAPSPSSSSPASLTPILLRSGDSVLDNDLPLIKLDFLLDLSHSFGYNTCTMGRFSSLALLLVGFLVFLDFPAVSSGASAGDGDALLALKSRVDPSGVLVGWSEKNVGSFCRWEGVKECMNGRVTKLVVERLNLSGALDGPSLSRLDQLRVLSFKDNSLSGEIPSLAALPNLKSLFLNNNFFTGGFPANLTLLHRLKVIVLSGNSLSGEIPPSLLRLSRLYVLYLQDNQFTGEIPPLNQTSLRFFNVSNNQLSGKIPTTPALTAFNQSAFSGNLELCGVQINKACPNSGPSITPSYPIIPRNEPNHRKRNRNRKLVLIIVPIIGGILLLCLAAAVLIICLRRRGRKIGEVKSKAAAEGGGGGGEEGSGGGDGGGREGNNGGKQGSFSWDQGGGEGGLGALVFCGPGDQQMNYTLEDLLKASAETLGRGTMGSTYKAVMESGYIVTVKRLRESRYPRLEEFRRHVEILGRLRHPNLVPLRAYFQAKEERLVVYDYFPNGSLFSLIHGSRASSGSKPLHWTSCLKIAEDVATGLLYIHQNPGLTHGNLKSSNVLLGSDFESCLTDYGLTPFRNPEALEESSASSLFYRAPECRDIRRPLTQQADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDEPASSNEASEEKLSALLNIAMACVSLTPENRPTMRDVLKMVRDARAEAQGSSNSSDHSPGRWSDTVQSLPRDENLSI >itb03g24130.t1 pep chromosome:ASM357664v1:3:22680549:22681274:1 gene:itb03g24130 transcript:itb03g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNIDKLDGLARWFGSSVASAFFASLERCSCVNLNTCDSDDEDDEEAKDAPLMLNSLTPSHIDPDCSSPNPKSDSLAASVEKLPV >itb09g25990.t1 pep chromosome:ASM357664v1:9:26110929:26112625:1 gene:itb09g25990 transcript:itb09g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLARRVYKEKAVVNHFHKRAWCTVSQEYDCKDLLNKIYNQVCSKVAETEIDSVAEKLRKSLMGLRYLIMLDDIWSVKVWEELNIVFPSCDNGSRIILTSRQESVVSDAKLICLPFFTIDESWKLLEVKLFKGKGCPKELENVGREISNKCKELPLTVGLIAGLLEKVTKNFLRKLPCLEQLVLGNCRRLKQMPSSFDDVSTLKRIIAFNCNHSVGSWLDNIKKSAWDIGNEQLGVEERLCK >itb09g16590.t2 pep chromosome:ASM357664v1:9:11754009:11758232:-1 gene:itb09g16590 transcript:itb09g16590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLRLNPTNLSKPQTPLPSQSLLLGSSSLKNSPVSVKFLKSNKDSIFTATRLPLQVRATVATAKKPSMVPEEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSQGTTVIDNLLSSDDIHYMLGALRTLGLRVEEDSAIQRATIEGSGGLFPASKESTDEIQLFLGNAGTAMRPLTAAVVAAGGNARYVLDGVPRMRERPIGDLIEGLKQLGADVDCFLGTNCPPVRVIGKGGLPGGKVKLSGSVSSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTIKLMERFGVSVEHSDSWDRFLIHGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTITVEGCGTSSLQGDVKFAEVLEKMGAEVSWTENSVTVKGPPRAPSGRKHLRAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIINPPEKLNVTEIDTYDDHRMAMAFSLAACAEVPVTIKDPGCTRKTFPDYFEVLQKFSKH >itb09g16590.t1 pep chromosome:ASM357664v1:9:11754009:11759001:-1 gene:itb09g16590 transcript:itb09g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLRLNPTNLSKPQTPLPSQSLLLGSSSLKNSPVSVKFLKSNKDSIFTATRLPLQVRATVATAKKPSMVPEEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSQGTTVIDNLLSSDDIHYMLGALRTLGLRVEEDSAIQRATIEGSGGLFPASKESTDEIQLFLGNAGTAMRPLTAAVVAAGGNARYVLDGVPRMRERPIGDLIEGLKQLGADVDCFLGTNCPPVRVIGKGGLPGGKVKLSGSVSSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTIKLMERFGVSVEHSDSWDRFLIHGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTITVEGCGTSSLQGDVKFAEVLEKMGAEVSWTENSVTVKGPPRAPSGRKHLRAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIINPPEKLNVTEIDTYDDHRMAMAFSLAACAEVPVTIKDPGCTRKTFPDYFEVLQKFSKH >itb01g02290.t1 pep chromosome:ASM357664v1:1:1471699:1483334:-1 gene:itb01g02290 transcript:itb01g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVDKSKLDEEHQACIVRFYKIVLSWDYLRILKDSDNYNKKGKKGGASGVREVKDTYSDVDDYIATFEPLMFEEVKAQIIQGKKDDEGGTEWTQAIVAECNELNGFHLPTVICADVESISQNDLLLLSNKKFEEGKPLPTAYAFAFVEHRQQDKVKVRMHLNGEYKQYNTDKIDACPRLLNMRPLISEIQKYLYVLKICSLSTIAREYVALWSISSLPFKDLILSAAESNSDNDDRAWKISKPLNEYIEANHNKSQLEAINVGLSRRTFVLIQGPPGTGKTQTILGLLSAILHATPAKIHSNRGKLNTLKCGPEFSIQDKYTHWLRASPWLAGLNPREQEMPKDGDDGFFPTTGNELRPEVVNSSRKYRVRVLICAPSNSALDEIVLRVLNTGIRDENDHVYNPKIVRIGLKPHHSVQAVSMDYIVEQKMAGMDMQTTSDKQKQGGTGKDKDSIRASVLEEAVIVFSTLSFSGSPLFSKLNHGFDVVIIDEAAQAVEPSTLVPLANGCKQVFLVGDPVQLPATVISTVAEKLGYGTSLFKRLQKAGYPVQMLKTQYRMHPEIRNFPSREFYDEALEDGPDVEEQTKRAWHNYRCFGPFCFFDIHEGKESQPSGSGSWVNVDEVEFVLAIYRELVTRYPELKSSSKLAIISPYRHQVKLIRQKFRETFGLDSEKVVDVNTVDGFQGREKDVAIFSCVRSNKDRGIGFVADFRRMNVGITRARASVLVVGSASTLKRGDKHWKNLIESAEQRNAFFKVTKPYNDLFTDVKLKTTWARDSAQQGMPEAHTGDMDTEMPVDTTAVDAADQEQGDEPEWGDGGDEGMDGGGGADED >itb01g01060.t1 pep chromosome:ASM357664v1:1:518559:519866:-1 gene:itb01g01060 transcript:itb01g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTEEHKELKEAGEKWMKDTANSCTIAAALIVTIVFAAAITVPGGNSGENGLPIFSNNNAFTIFAISNAASLFTSATSLLVFLSILTSRYAEEDFLYALPNRLIIGLFTLFFSIIFMMIAFSATVYLVWSSTLLRLFPYHPLHRLEPSIPATAHQVWSSTLLRLFPYHPLHRLEPSIPATAHQVWSSTLLRLFPYQPPQVWLSTFLRLFPYQPPLGLVVYSSSTLPLLINMNFSKSHPLKS >itb08g16940.t1 pep chromosome:ASM357664v1:8:18924687:18926887:-1 gene:itb08g16940 transcript:itb08g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPGKSNMDPFGVQNKNGRGTDKTGRNQKTPPETTARHSGQNLGKTPGSASGNAGQVLVLESDSGNNVATSSTNRTAQLGQKSVSGIPSVLLDPGRHAAYGIVAAGMANLGAENGIHSSGLLNGDNNSGVNNVRNANENVAQVSGVSPETTNQNRQTEQNNQQADSGHRANSDETVSRTLGSTDTFSEEVENEEDGVFGTKRMKWVPKQNHNNPARNRHAILNTVISKSDLEDEDCKLEFVGSEEEDDIFQLGSDEILEEEKSYCLMGRFAGRFPGMRHAIQLVRSWMVECKVEYELNEHVRFRFKKEDDRQIILDGRPYHLFGKRLFLDKMKEDYVLGANAFRYLPVWIRLPMLPRKCWSQKALSRIASKLGIPIEKHHTASCPLAKVENNLRERELKDQNKLNEEQKESDEGDLADKLVPVQDKSQENNQNCNPESVPIRDQNQQTEVVVICEGGKKNNGQGSEEQCNPLETESGQNGICDTEMVNQSEEEEENRAEDDMESDDMSTEYEDMSEEAALERIKVSKRGNHTCNNPLAEGGGDGLLAEDRFGTQEDIHESAGVENETAVIQNGKTVNIVGDKEKNSEKNPTLQVEDQCETSKPGDRDTEKNKKKDVVMNSGKQNTAKKKKRGKEKGKFDAAFFADMTNSPEPTGEKLMAGGGGRRAPTLGDKC >itb04g33010.t1 pep chromosome:ASM357664v1:4:35359141:35370505:-1 gene:itb04g33010 transcript:itb04g33010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDVGRTGGPVERDIEQAVTALKKGAHLLKYGRRGKPKFCPFRLANDESALIWISGKEEKYLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRGHQRKWRTESRSDGISSGATSPRTYTRRSSPLHSPFGSGNSSQKDLGDQLRLRSPYGSPPKNGLDKAFSDVILLPPKVFFPSDSASGSIHSLSSGGSDGMHGHMKATGIDGFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVMGGGPHRVGSSLDATLDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEMFSWGEESGGRLGHGIDADVLNPKLIDALSISNIELVACGEYHTCAVTLSGDLYTWGDGHFGLLGHGNEVSHWVPKRVNGPLEGIHVSFISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSISKPREVESLKGLRTVRAACGVWHSAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKESRLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQADGKFPCRVEAKIAKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDIDDRSSPTLIEALKDKQVKSIACGTNFTVAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLRASMAPNPNKSYRVCDNCFFKLKKAAETDASCQSSVSRRGSMDQGITDVIDKEEKLDTRSRSHLARFSSMETFKQGEVRASKQKKKLEINSSRVSPIPNGSSQWGTLNASKSFNPVFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPKIVLDDSKKTNDNLNQELIRLRAQVDNLTRKARLQEIELEMTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKEMAEKLPVGAARNIKSPTSASFSSSPLSVDISNVSIDHLNGQVSSQELDSNGGNNQPLSNWNIGHNRQGNSEAMARNGNRTKESESRNENEWVEQDEPGVYITLTSLPGGLKDLKRVRFSRKRFSEKQAEQWWAENRARVYKLYNVRMSDKSSIATGTEE >itb01g02580.t2 pep chromosome:ASM357664v1:1:1686826:1689507:-1 gene:itb01g02580 transcript:itb01g02580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAFPKLFLLLLAIASGVKLSKCTNITIINNCKETVWPGITTNNNDSGDGFALKPGQSAVFAAPSGWNGRIWGRTGCNFDKSNGSSTPCQTGSCGGALKCSGTGQPPASIADFTLGETDFYDVSLVDGFNLPIVVKPVNGKGNCSAVGCDKDLRENCPSELALKSGGKTVACRSACNVFDTDEYCCRGAFGRPASCLPTNYSKSFKAACPIAYSFAFDDPTSVVTCSATDYVVSFCSSRNHTQCTFHDKNVSCSSEAKGMAPFFKPWQMLLAVALPTTVINLGVLL >itb01g02580.t1 pep chromosome:ASM357664v1:1:1686826:1689507:-1 gene:itb01g02580 transcript:itb01g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAFPKLFLLLLAIASGVKLSKCTNITIINNCKETVWPGITTNNNDSGDGFALKPGQSAVFAAPSGWNGRIWGRTGCNFDKSNGSSTPCQTGSCGGALKCSGTGQPPASIADFTLGETDFYDVSLVDGFNLPIVVKPVNGKGNCSAVGCDKDLRENCPSELALKSGGKTVACRSACNVFDTDEYCCRGAFGRPASCLPTNYSKSFKAACPIAYSFAFDDPTSVVTCSATDYVVSFCSSSSEAKGMAPFFKPWQMLLAVALPTTVINLGVLL >itb15g08520.t1 pep chromosome:ASM357664v1:15:5976463:5979643:1 gene:itb15g08520 transcript:itb15g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSTIGAVNKAPVGASLAPNTGFYGKSLKKVSFRNGSPKNMNWQIRASSDPPPAQPEIDESKQTHEDRWKGLVEDISDDQQDITRGKGLVDTLFQAPMGTGTHHAVLSSYEYVSQGQKTYSLDNKLDGFYIAPAFMDKLVVHITKNFLKLPNIKVPLILGIWGGKGQGKSFQCELVFRKMGINPIMMSAGELESGNAGEPAKLIRQRYREAAENIRKGNMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDGVPDEHVVKLVDTFPGQSIDFFGALRARVYDDEVRKFVAGLGVEKVNERLLNSREGAPVFAQPKMTIEKLLEYGNMLVQEQENVKRVQLADKYLKEAALGDANADAINNGTFFAS >itb15g18680.t2 pep chromosome:ASM357664v1:15:20459817:20461794:-1 gene:itb15g18680 transcript:itb15g18680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGAIEKQTSAAAGNPATSSCRRKKSENATFVEDVRDHIDEFINASMDEHKTCFQKTIRKMFGMSKVVAERNAEVKEVESSLPLRTVVSE >itb15g18680.t5 pep chromosome:ASM357664v1:15:20459817:20461794:-1 gene:itb15g18680 transcript:itb15g18680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGAIEKQTSAAAGNPATSSCRRKKSENATFVEDVRDHIDEFINASMDEHKTCFQKTIRKMFGMSKVVAERNAEVKEVESSLPLRTVVSE >itb15g18680.t1 pep chromosome:ASM357664v1:15:20459817:20461794:-1 gene:itb15g18680 transcript:itb15g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGAIEKQTSAAAGNPATSSCRRKKSENATFVEDVRDHIDEFINASMDEHKTCFQKTIRKMFGMSKVVAERNAEVKEVESSLPLRTVVSE >itb15g18680.t3 pep chromosome:ASM357664v1:15:20459817:20461794:-1 gene:itb15g18680 transcript:itb15g18680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGAIEKQTSAAAGNPATSSCRRKKSENATFVEDVRDHIDEFINASMDEHKTCFQKTIRKMFGMSKVVAERNAEVKEVESSLPLRTVVSE >itb15g18680.t4 pep chromosome:ASM357664v1:15:20459817:20460922:-1 gene:itb15g18680 transcript:itb15g18680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGAIEKQTSAAAGNPATSSCRRKKSENATFVEDVRDHIDEFINASMDEHKTCFQKTIRKMFGMSKVVAERNAEVKEVESSLPLRTVVSE >itb09g02050.t1 pep chromosome:ASM357664v1:9:1236748:1240602:-1 gene:itb09g02050 transcript:itb09g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAKFVAPSLPNHRNVKLTKSTRLHATPPQTVAPPASGSAEIDSARLEPRVEEKDGYFILKEKFRQGINPAEKVKIEKEPMKLFMENEVEELSKIPFEEIDQSKLSKDDVDSRLKWLGLFHRRKHQYGRFMMRLKLPNGVTSSAQTRYLASVIRKYGKEGCADVTTRQNWQIRGVVLPDVPEILKGLDEVGLTSLQSGMDNVRNPVGNPIAGIDPDEIVDTRPYCNLLSHFITANSQGNPAFTNLPRKWNVCVVGTHDLYEHPHINDLAYMPAMKDGRFGFNLLVGGFFSPKRCAEAIPLDAWVPGDDILPVCKAVLETFRDLGTRGNRQKTRMMWLIDELGIEGFRAEVVKRMPWQALERAAPEDLIKKDWERRECLGVHPQKQEGYSFVGLHIPVGRIQADEMDELARLADQYGSGELRLTVEQNIIIPNVQTANVDALLKEPLLNDRFSPNPPILMKGLVACTGNQFCGQAIIETKARALKITEELHQRVAVNRPVRMHWTGCPNTCGQVQVADIGFMGCLTRKEGKTVEAADVYLGGRIGSDSHLGDVYKKAVPCEDLVPLIVELLVERFGAVRREREEDED >itb04g33060.t2 pep chromosome:ASM357664v1:4:35407558:35419008:1 gene:itb04g33060 transcript:itb04g33060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYLGRTVKKKFKRFGVFTGTVESYDSESGFFKIVYEDGDSEELDLSELVDLLDGSAGAERSRKPSRVGRKPKKRRRVEKTGNAAAIDGGEGLNSGDISQGFAKTLNQNGGLNLDLNDGFNLINDDNAVRGVDLSVNLNGGLDLNKGVELNIGDDLNNVNRISNRNNGIDLNMDANGEANINFEKHDSLVIEAKTPTFDLNLGMNEETKNVDTECDVKLMATSFSQPDEEIQNSENGLLAEGLCCNNVVKTPENLCSGLIKNRVDDGSLEGVDIQFGDTPILRDDLGSGTCSSVQKGRRGRKKRRLSDTPNDMTETVLRRSTRRARREAMSSQDNIPQPVVSDVVSDPLSSPALSVVSDEKVHEVPDDQNALPPKPELPPSSNHLDLNGISVLDIFSLYAFLRSFSSLLFLSPFELENFVASIKCSTPTLLFDSIHVSLLQMLRKHLESLSSEGSESASNCLRSLNWDLLDLITWPIFMVEYMLMHSSGLRPGFDICQLKLFECDYYKQPTSVKIEMLRCLCDDVMEIEAITSELNRRTVVIETNNDFDQNMKLDILKKRRAATDVAAGSCLTEELIDETADWNSDECCLCKMDGNLICCDGCPAAFHSKCVGIASSLLPEGDWFCPECIIDRKFPWMKVGKSIRGAELLGMDPYGRLYFNCCGYLLVSDSWDDESSFKYYYRNDLPLVVRALKSSEIVYHTLLTSISKIWDASSTIDGAKSDVDSQPTAICTGCEKSETVNPLTKMENLHKNVNEPKSLGKESSRLNSRAIMSEQQCLDTYMNFYSFARSASAVVEELLTRKPSDKSTGHVFRSEEELISFQLKAISNHSTDFCWANIHNLNVGSRKEKCGWCFPCRVPECERDCLFIMNDTGPDLKRFSSEALGVSSRKNRKGHLIDVICHILCIEKRLLGLLLGPWLNPMHSQIWQKSVLKASDVSSLRFSLLKLESNLRHLALSADWLKHVDSLSTLGSACHIVTRGKKKVRRSELESNPSSNAGSGLGLLWWRGGRISRQIFSWKVLPRPLACKAARQGGCKKIPGILYPDGSEFAKRSKSVAWRAAVETSRSVEQLALQIRDLDANIRWNEIGNVNILSMIDKESQKSIRFFKKVIVRRKCSEGPVVKYLLDFGKRRFIPDVVVKYGTKLEDSSSERKRYWLEETYVPLHLLKGFEEKRIARKSSMMSSPKHRENKKIIKKPIKNKGFSYLFEKAEKAESYQCGHCNKDVLISEAVSCCYCKGFFHRRHVKKSGAAFASECIFTCHKCQDGKHVKNNAKKGKSVVKKSKKTSKILRTVCPRTKKRGTKDKQQPQSQNNTKVTVVVPLRRSARRATIVQVQEKKANKKVGRPRRKKMKSRKVATKKPTEIVSQKKRTKVYRIYWLNGLLLSQKPNDERVALFRSKNLLVVSGELDATIDSPKCSLCSELESTPTVNYIACELCGDWFHGDAFGLTCERICSLIGFKCHKCRSRSAPVCASTPMTRSGEAKLAELKSDDEIECANDTHLVEKIQSHTHSKESSLTAYNDEKQPLENIHDSGSGETRSVPVGVEWCTEAKDHDSDSGETRSLHVGLETCTKAKGCDPDSGEGRGLPVGLETFTEAKDHDLYSGETRTLPVGLETCTEAKDRDSDSGGTRSLPVGLETCTETKDRDSDSGGTRTLHVGLEICTQANHRDLDSGETRNLPLGVEQCTEAKDHDSDSGETRSLPVGVERCTKAKDHDSDSGDTRSLPVGVEQCTEAKDSSSKLDVEVQEDPLPLTKDFADSSKMDIDVEARTEANDSSSKLDIEVQEDPIPLIKDFENSSKMDIDAEQCTEAKDSSSKLDIEVQEDSVPLTKDFENPSKMDIDVQEHQAPHQVLLTENSIKEDETPPLQIDIVK >itb04g33060.t1 pep chromosome:ASM357664v1:4:35407558:35419008:1 gene:itb04g33060 transcript:itb04g33060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYLGRTVKKKFKRFGVFTGTVESYDSESGFFKIVYEDGDSEELDLSELVDLLDGSAGAERSRKPSRVGRKPKKRRRVEKTGNAAAIDGGEGLNSGDISQGFAKTLNQNGGLNLDLNDGFNLINDDNAVRGVDLSVNLNGGLDLNKGVELNIGDDLNNVNRISNRNNGIDLNMDANGEANINFEKHDSLVIEAKTPTFDLNLGMNEETKNVDTECDVKLMATSFSQPDEEIQNSENGLLAEGLCCNNVVKTPENLCSGLIKNRVDDGSLEGVDIQFGDTPILRDDLGSGTCSSVQKGRRGRKKRRLSDTPNDMTETVLRRSTRRARREAMSSQDNIPQPVVSDVVSDPLSSPALSVVSDEKVHEVPDDQNALPPKPELPPSSNHLDLNGISVLDIFSLYAFLRSFSSLLFLSPFELENFVASIKCSTPTLLFDSIHVSLLQMLRKHLESLSSEGSESASNCLRSLNWDLLDLITWPIFMVEYMLMHSSGLRPGFDICQLKLFECDYYKQPTSVKIEMLRCLCDDVMEIEAITSELNRRTVVIETNNDFDQNMKLDILKKRRAATDVAAGSCLTEELIDETADWNSDECCLCKMDGNLICCDGCPAAFHSKCVGIASSLLPEGDWFCPECIIDRKFPWMKVGKSIRGAELLGMDPYGRLYFNCCGYLLVSDSWDDESSFKYYYRNDLPLVVRALKSSEIVYHTLLTSISKIWDASSTIDGAKSDVDSQPTAICTGIPAIAVQHGNEMSNESNRVGMLMTSPSSTDLGCEKSETVNPLTKMENLHKNVNEPKSLGKESSRLNSRAIMSEQQCLDTYMNFYSFARSASAVVEELLTRKPSDKSTGHVFRSEEELISFQLKAISNHSTDFCWANIHNLNVGSRKEKCGWCFPCRVPECERDCLFIMNDTGPDLKRFSSEALGVSSRKNRKGHLIDVICHILCIEKRLLGLLLGPWLNPMHSQIWQKSVLKASDVSSLRFSLLKLESNLRHLALSADWLKHVDSLSTLGSACHIVTRGKKKVRRSELESNPSSNAGSGLGLLWWRGGRISRQIFSWKVLPRPLACKAARQGGCKKIPGILYPDGSEFAKRSKSVAWRAAVETSRSVEQLALQIRDLDANIRWNEIGNVNILSMIDKESQKSIRFFKKVIVRRKCSEGPVVKYLLDFGKRRFIPDVVVKYGTKLEDSSSERKRYWLEETYVPLHLLKGFEEKRIARKSSMMSSPKHRENKKIIKKPIKNKGFSYLFEKAEKAESYQCGHCNKDVLISEAVSCCYCKGFFHRRHVKKSGAAFASECIFTCHKCQDGKHVKNNAKKGKSVVKKSKKTSKILRTVCPRTKKRGTKDKQQPQSQNNTKVTVVVPLRRSARRATIVQVQEKKANKKVGRPRRKKMKSRKVATKKPTEIVSQKKRTKVYRIYWLNGLLLSQKPNDERVALFRSKNLLVVSGELDATIDSPKCSLCSELESTPTVNYIACELCGDWFHGDAFGLTCERICSLIGFKCHKCRSRSAPVCASTPMTRSGEAKLAELKSDDEIECANDTHLVEKIQSHTHSKESSLTAYNDEKQPLENIHDSGSGETRSVPVGVEWCTEAKDHDSDSGETRSLHVGLETCTKAKGCDPDSGEGRGLPVGLETFTEAKDHDLYSGETRTLPVGLETCTEAKDRDSDSGGTRSLPVGLETCTETKDRDSDSGGTRTLHVGLEICTQANHRDLDSGETRNLPLGVEQCTEAKDHDSDSGETRSLPVGVERCTKAKDHDSDSGDTRSLPVGVEQCTEAKDSSSKLDVEVQEDPLPLTKDFADSSKMDIDVEARTEANDSSSKLDIEVQEDPIPLIKDFENSSKMDIDAEQCTEAKDSSSKLDIEVQEDSVPLTKDFENPSKMDIDVQEHQAPHQVLLTENSIKEDETPPLQIDIVK >itb13g15070.t1 pep chromosome:ASM357664v1:13:21731693:21737164:-1 gene:itb13g15070 transcript:itb13g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVMKTKQNNKSSLQVHQAAAPPPQTRLWKIIMVAAIAAGVQFGWAIQLSLLTPYVQLLGIKHQYAPLIWLCGPISGMIVQPMVGYYSDNCTSRFGRRRPFIAAGASLVTVAVFLIGFAADIGHASGDPLGKVIKPRAIAVFVVGFWILDVANNMLQGPCRALLADLSGGRAKRMRTANSFFSFFMAIGNILGYAAGSWSSLHKVFPFSNTKACDVYCANLKSCFFISAALLLSVTTLALSTVREHELTKEVDEADDPDDEKLPTEKSKLPFFGEIFGALRDLPRSMWILLLVTCLNWIAWFPFFLFDTDWMGKEVYGGNPDGSANDNRLYEQGVQAGSLGLMLNSVVLGVMSLGVELIARRFGGVKKLWAGVNFILAACLALTIMVSKMADKSRRFAPNGDLMPPSAGARAGALTLFSVLGIPLAVTYSIPFALASIFSSSTGSGQGLSLGVLNLGIVVPQMFVSLVGGPWDQLFGGGNLPAFIVGAISAALSGIFAITLLPSPPPDAKAGVPVAAFH >itb02g03480.t2 pep chromosome:ASM357664v1:2:2015109:2021549:-1 gene:itb02g03480 transcript:itb02g03480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQDGQVCLTIPFSFPLNVNPVVKKLSKKEKIILNVNSGSGTVVCCQSASHPLQESNRSGGNIGFIYESEVPMWSTNDFSFSYTVSSVENSCGLLLKSPSRHDFDQRNMFCFYLYPPHDILGKLFRKEMVFVVDTSASMQGSALEHVKTALQSALSKLDPEDTFNIIAFSDTSLSFSPKMEPATKETIDNAIQWINLNIVANGSTNFSLPLDQAMKMISKSKHSIPLIYLITDGTVEDEREICEVIRCQRTKGGLNSPRICTFGIGYYCNHYFLQMLASIGRGYYDAAFDTESISSRLERLFSCSSSIILADIKIDALQYLDSLELYPNSLPDLLSGNPLILYGRYHGNFPDNVEVKGTLADFSNFEIGVKVHKVMDVPLERVFSVRQMGMLTAQAWLTGKKELENKVIRLSLQTGFASEYTRMILVESEKGKQISKRETLKEVMMTDSTVRKILYLRQLGLGFGNLKATADNLPPEAAEPKLHETSDAIFAAAANCCSKLVDCCCCMCFIQFCSKLSDRCAVTLTQLCTALACFECINVCCEICECDLCQ >itb02g03480.t1 pep chromosome:ASM357664v1:2:2015109:2021549:-1 gene:itb02g03480 transcript:itb02g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFARSVDRGLNLSKRIYYGKEPPKMPSMERKMPAAESNLPTAPMMYAVITEPVIVDNPDIPSYQPYVHGRCEPPALIPLQMHAVAMEVDCYLETVFVTVSGTWRVHCVSASKTCDCRVAIPMGEQGSVLGVSIETPSRSYCTELITTDETDDPVKLANAKDGFLLKRQIYTLKVPQVVGGSVLSVKVRWSQKMLYQDGQVCLTIPFSFPLNVNPVVKKLSKKEKIILNVNSGSGTVVCCQSASHPLQESNRSGGNIGFIYESEVPMWSTNDFSFSYTVSSVENSCGLLLKSPSRHDFDQRNMFCFYLYPPHDILGKLFRKEMVFVVDTSASMQGSALEHVKTALQSALSKLDPEDTFNIIAFSDTSLSFSPKMEPATKETIDNAIQWINLNIVANGSTNFSLPLDQAMKMISKSKHSIPLIYLITDGTVEDEREICEVIRCQRTKGGLNSPRICTFGIGYYCNHYFLQMLASIGRGYYDAAFDTESISSRLERLFSCSSSIILADIKIDALQYLDSLELYPNSLPDLLSGNPLILYGRYHGNFPDNVEVKGTLADFSNFEIGVKVHKVMDVPLERVFSVRQMGMLTAQAWLTGKKELENKVIRLSLQTGFASEYTRMILVESEKGKQISKRETLKEVMMTDSTVRKILYLRQLGLGFGNLKATADNLPPEAAEPKLHETSDAIFAAAANCCSKLVDCCCCMCFIQFCSKLSDRCAVTLTQLCTALACFECINVCCEICECDLCQ >itb02g03480.t3 pep chromosome:ASM357664v1:2:2015159:2021488:-1 gene:itb02g03480 transcript:itb02g03480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQDGQVCLTIPFSFPLNVNPVVKKLSKKEKIILNVNSGSGTVVCCQSASHPLQESNRSGGNIGFIYESEVPMWSTNDFSFSYTVSSVENSCGLLLKSPSRHDFDQRNMFCFYLYPPHDILGKLFRKEMVFVVDTSASMQGSALEHVKTALQSALSKLDPEDTFNIIAFSDTSLSFSPKMEPATKETIDNAIQWINLNIVANGSTNFSLPLDQAMKMISKSKHSIPLIYLITDGTVEDEREICEVIRCQRTKGGLNSPRICTFGIGYYCNHYFLQMLASIGRGYYDAAFDTESISSRLERLFSCSSSIILADIKIDALQYLDSLELYPNSLPDLLSGNPLILYGRYHGNFPDNVEVKGTLADFSNFEIGVKVHKVMDVPLERVFSVRQMGMLTAQAWLTGKKELENKVIRLSLQTGFASEYTRMILVESEKGKQISKRETLKEVMMTDSTVRKILYLRQLGLGFGNLKATADNLPPEAAEPKLHETSDAIFAAAANCCSKLVDCCCCMCFIQFCSKLSDRCAVTLTQLCTALACFECINVCCEICECDLCQ >itb03g07700.t1 pep chromosome:ASM357664v1:3:5719037:5719813:1 gene:itb03g07700 transcript:itb03g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDTWFSANINDKAGDKMDTNWRNTFAITIWWLWKWRNDMIFQGKAWTIQMKMEWIEKQRQEIKRVFTRANIPGGTHGRNCSKNLKWQPKTNAQYILNIDGSFKHSDNLAGGGGVLRDRNGNWIAGCAQRFRATTALEAEWKALTMGVQWAKSKGYRDCEIQTDCERIANSINDNSWTRNGQDHAFDFLRKKIMEQGVDRVVHVYREQNQVADRLAKIVLFGKADWIEFDEPPPCCCNAIDLDQIGGCMQRFVFLKS >itb08g06340.t1 pep chromosome:ASM357664v1:8:5402025:5416463:-1 gene:itb08g06340 transcript:itb08g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFVCVLRLTRRSQNQRKRKGKNSAGEGRKLQFAIEYYLPVFCPQLFLHRCLSAAFLSLLLRSIIIVFSKDFLIEIADVCAQNRAMTYHNSDASQGEGGNFPLQPPDSTDHQQQFQNFYKVYKCGPLFVSSKGIGWTSWKKRWFILTHTSLIFFRSDPTVSPQKPGEVNLILGAIDLNSSGSVVVKEDKKLLTVLFPDGRDGRTFKAETLEDLFEWKVALEEALANVPSVALVIGQNGMLRNDQVNATDVSSEKSKDRQPGLLALEDIDGNPSFLEKALQFIEKHGVKIEGILRQAADVDDVECRIREYKQGKVEFSPDEDAHIIGDCVKYILREMPSSPVPASCCNALLEAFRTDRGKRVSAMRSAIMETFPEPNRRLLQRMLIMMRNVVTHKDMNRMSTTAVAACMAPLLLHPLLAGDCETDHNFDMGGDGSVQLLKAAAAAANHAQAIVITLLEEYDNIFEEGSLSRSPEQYSESDGSGTGSREYTNDVDHDERYGGDGSVENIDDNSDTASTATTSQTGENEVANESPRDGNLVSKSSEVSNAVEVDKMPPRKPPRTSLWHERKEGIDSAPSHGDVCPTPENESSELFKTGSAETSTFLKSIDMDSGPILHLRWKSMFRRRIGRKNLSMESIDVAFDDEDEIQRLEATKTVLQNRIAEEAKRNALLQENLEKRKNALLECRLALEKDVARLQEQLQKEREFSMVLEAGHKNFQGSLPVSNSTDETNAEPKEIAPMEADIIKLKQRDNDHPAQLNKQCQQNSGLGIDVVNPPNALDFQEVEDKQRDVDSLATSQAHEKSESSKHGTCLNNAESERDRKQESHLLDKQNSQSKQSDSVHYPNTSGASTILKNSGSKEGGNTALSKLRNRINLLRERRTQIKNEAKTLDNGINSGQSIQTLKRKGSGSDLHQITSENKLL >itb12g01410.t1 pep chromosome:ASM357664v1:12:968227:971174:1 gene:itb12g01410 transcript:itb12g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFAAMLHSMTAVLVSAVLEWILILLLLLSSTLSYLTERFAAYFGLKPPCNWCSRINRVLQTDKNAHFSRDLFCEDHAREVSKLGYCSNHRRLAESGRLCSDCSPSRLPENAAETTGISASIGFFTWVNESAAENKENCRCSCCDESLSKKLDLPCLQFKPSWDILHHIWKTRVVNDERYEEFELSADQKNGNKAKNCDNLAFHDSDTESFSFGPIVEQDCSISVSNFADEGSGCQKPSFPEDELQQSCKVHLNDLQNDEEKRAFLDDGRDDLQADADDFKVVVSEPDNFPEHEQQNCFRILNVGADESSDNIVSVLLEEGGDENFTIEQLKSAVKAQEKALNASYAELEAERNAAAIAASQTMAMISKLQEEKAAMQMEALQYQRMMEEQSEYDQEALQILNDLMTKREKEKQELEKELETSRKKVSYYESRETRRRSKQSPASPPSSPTNELSNRFTCEGKHDNISISNIDSITRESDTDSLKHISILDKSVIEFEEERTSILQELKELEDRLLALADENGTLHHPTDHFPEDYDHSLYESYVHSFLEQNGSSERESLSSTAKNLLPLLDEEAESIEEELEEHSPSVMINSRLAIMEEFGHVYEKLQALEADKEFLKHSISSLKKGDKGLDLLQEILQHLRDLKSVESAN >itb12g01410.t2 pep chromosome:ASM357664v1:12:968227:971174:1 gene:itb12g01410 transcript:itb12g01410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFAAMLHSMTAVLVSAVLEWILILLLLLSSTLSYLTERFAAYFGLKPPCNWCSRINRVLQTDKNAHFSRDLFCEDHAREVSKLGYCSNHRRLAESGRLCSDCSPSRLPENAAETTGISASIGFFTWVNESAAENKENCRCSCCDESLSKKLDLPCLQFKPSWDILHHIWKTRVVNDERYEEFELSADQKNGNKAKNCDNLAFHDSDTESFSFGPIVEQDCSISVSNFADEGSGCQKPSFPEDELQQSCKVHLNDLQNDEEKRAFLDDGRDDLQADADDFKVVVSEPDNFPEHEQQNCFRILNVGADESSDNIVSVLLEEGGDENFTIEQLKSAVKAQEKALNASYAELEAERNAAAIAASQTMAMISKLQEEKAAMQMEALQYQRMMEEQSEYDQEALQILNDLMTKREKEKQELEKELETSRKKVSYYESRETRRRSKQSPASPPSSPTNELSNRFTCEGKHDNISISNIDSITRESDTDSLKHISILDKSVIEFEEERTSILQELKELEDRLLALADENGTLHHPTDHFPEDYDHSLYESYVHSFLEQNGSSERESLSSTAKNLLPLLDEEAESIEEELEEHSPSVMINSRLAIMEEFGHVYEKLQALEADKEFLKHSISSLKKGDKGLDLLQEILQHLRDLKSVESAN >itb11g00500.t1 pep chromosome:ASM357664v1:11:222174:222873:-1 gene:itb11g00500 transcript:itb11g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSLGAFLLVLLAFATLTQSCLAAGRLQACQPSGKIRGIKPPPGKCNRENGSDCCKQGQLYTTYKCSPAVIGATRAILTLNSFEKGGDGGGPSECDNHYHSDEKHVVALSTGWYSGGDRCLNYIKISANGRTVTAMVVDECDSTMGCDDEHDYQPPCRNNIVDASKSVWEALGLDQNEGQHEITWTDA >itb12g20970.t1 pep chromosome:ASM357664v1:12:23387893:23392594:-1 gene:itb12g20970 transcript:itb12g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGQYNPRTVEEVFKDYKGRRAGMIKALTTDVDDFYQQCDPEKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMQDKDWLALVAVHSDAWLLSVAFYFGARFGFDKSERKRLFCLINELPTLFEVVTGAAKKQVKDKSSVTNNSSSKLKSNSKVGKYSKVQPKDEEDGFEEEEEEEDEHGDTLCGACGENYGTDEFWICCDICERWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >itb01g05030.t1 pep chromosome:ASM357664v1:1:3422606:3425913:-1 gene:itb01g05030 transcript:itb01g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVITTPGGPEVLQIQEVEDPKINDDEVLIRVAATALNRADTLQRQGKYPPPKGDSEYPGLECSGTVEAVGKHVNKWKVGDQVCALVGGGGYAEKVAVPAGQVLPVPSGVSLEDAASFPEVACTVWSTVFMMSRLSPGETFLIHGGSSGIGTFAIQMAKHQGVKVFVTAGSEEKLAACKELGADVCINYKTEDFVARVKQETGGKGVDVILDNIGGPYLQRNIDSLNFDGRLFIIGFMGGTVTEVNLVGLLARRLTVQAAGLRNRSVENKAVIVSEVEKNVWPAIAAGKVKAVVYNRFPLAEAAEAHRVMESSKHIGKLLLVT >itb01g22910.t1 pep chromosome:ASM357664v1:1:29011355:29012227:-1 gene:itb01g22910 transcript:itb01g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNAMPSRHGCSSGPGSWTAEQNKEFEKALAVYDKDIPDRWSNVAKAVGGGKTAEDVKIHYQLLVRDVFYIERGLVPFPDYQKTAWKLA >itb11g09150.t2 pep chromosome:ASM357664v1:11:6037777:6041804:-1 gene:itb11g09150 transcript:itb11g09150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLNTGSLREPEEDDAVTTPSTKQAIKALTAQIKDMAVKASGAYKHCKPCSRGSNNNHNHNRNYADSETGLSASEKIHCGYRRPGSANSTPRVWGKELEAASSGGGTPASASGRTESVVFTEDDEAKEWVAQVEPGVLITFVSLPQGGNDLKRIQFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAAPLPTPPKSDDENSKVESVEGSPVTPPLSKERLPSHFNHPTGKSYSSESLEHHSMHSHHCNGLGGPTPKLSNISAAKTETSSVDASARSSSSREVDHSGELSVSNASDMETEWVEEDEPGVYITIRALPGGTRELRRVRFRSVIICLCMLSAFLS >itb11g09150.t1 pep chromosome:ASM357664v1:11:6036931:6041804:-1 gene:itb11g09150 transcript:itb11g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLNTGSLREPEEDDAVTTPSTKQAIKALTAQIKDMAVKASGAYKHCKPCSRGSNNNHNHNRNYADSETGLSASEKIHCGYRRPGSANSTPRVWGKELEAASSGGGTPASASGRTESVVFTEDDEAKEWVAQVEPGVLITFVSLPQGGNDLKRIQFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAAPLPTPPKSDDENSKVESVEGSPVTPPLSKERLPSHFNHPTGKSYSSESLEHHSMHSHHCNGLGGPTPKLSNISAAKTETSSVDASARSSSSREVDHSGELSVSNASDMETEWVEEDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQQQYL >itb04g06380.t2 pep chromosome:ASM357664v1:4:4148353:4150556:-1 gene:itb04g06380 transcript:itb04g06380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFWFERTELRTFSDEEDQFRTPWRELLQEEEKCIIDNLWNMSSPQAKAKQVACIKALVSYFCLGKHKKPFTCYVLYTGPKAGVYLTWEELVPFKEELEISMRYGQPSYKGFYDIDEAIKSAFQICGKDIIISPDVKRYQEYLKVLKTSFDQEFPNKTGNSPRTRVLASSPSLQKRENSEDKPSSGPTPLPVKLEAPPQTSSSKGKGVQPVSDHLPAQISTVIPTGTNEPTFHTFCLLQEFLAQVTNTLGISTSWEFEFAYNPFKFCSSQFDSCPENKGGQCYCKLDWGIRKANIHIPSFKPLRFRGYPVTIQTLIQHGLIDSIFFPPSITDFSEYFPEILAETFEQIVLVNPRKTQLKFLSLYPDFEQNIPSFHLIDASFQGIDDEYTDFPVQNIGPKTIQIEEPLQTQLDLVRAKIIANEFGWTRQKFDTKKLVREDRSVKIYCDSSIASQVFFPFNVEHSRPRRVELYRNQMRRQGSSSFGSRPSSFDSSKRSRLESRSPSATSGKAIGSQHQP >itb04g06380.t1 pep chromosome:ASM357664v1:4:4148308:4150586:-1 gene:itb04g06380 transcript:itb04g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFWFERTELRTFSDEEDQFRTPWRELLQEEEKCIIDNLWNMSSPQAKAKQVACIKALVSYFCLGKHKKPFTCYVLYTGPKAGVYLTWEELVPFKEELEISMRYGQPSYKGFYDIDEAIKSAFQICGKDIIISPDVKRYQEYLKVLKTSFDQEFPNKTGNSPRTRVLASSPSLQKRENSEDKPSSGPTPLPVKLEAPPQTSSSKGKGVQPVSDHLPAQISTVIPTGTNEPTFHTFCLLQEFLAQVTNTLGISTSWEFEFAYNPFKFCSSQFDSCPENKGGQCYCKLDWGIRKANIHIPSFKPLRFRGYPVTIQTLIQHGLIDSIFFPPSITDFSEYFPEILAETFEQIVLVNPRKTQLKFLSLYPDFEQNIPSFHLIDASFQGIDDEYTDFPVQNIGPKTIQIEEPLQTQLDLVRAKIIANEFGWTRQKFDTKKLVREDRSVKIYCDSSIASQVFFPFNVEHSRPRRVELYRNQMRRQGSSSFGSRPSSFDSSKRSRLESRSPSATSGKAIGSQHQP >itb06g11630.t1 pep chromosome:ASM357664v1:6:16165319:16168347:-1 gene:itb06g11630 transcript:itb06g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQILAAIVSPTVTELYRLLHLESICARIKNVRKIRSNYKDLETKKERVSALESRLRKDLDDDQLRHRLPITQVQDWLSRVEKLEKNFNSVRSTVTASGITRDASFCCCCASSLHWKLSNEIVRQIQEAKQLIEKGESYENATALSRGPIPRPVQETGVVLIDDESIGGRILEKLMDLLKKKECKRIGVWGMGGVGKTTLVKNLNNQLSKPPKNRIFTMVILVEVSRNASVESVQSKIAKRLFLQISEGESKESVASHLYNKLKGENFLLILDNVWEKIDLDHVGIPQGTDHSGSKIVLTTREFKVCQQMLIDTHLEMRCLSPDEAWELFSQRVGKEVVNDDQIKPLAKAIVQRCDGLPLAVTIIGASLMKKRQISLWKNALAALEKSEPIHLHGVEEDVYKKLKWSYDSLQGEQLKSCFLFFCLFPEHYEVDTYRLVQYWLAEGLLDERRNYEELHNSCCGIVESLKDCCLLEEGSSMNTVKMHDVVRDVGIWIAATAARTTTSLDHQDYGYCKSSFICTGVGRTHSQASQTQLWNGFNNRVKRVSFMNNNVEKLPDCKVQCFETSTLFLQALGALQVLDCSGSAITELPADFEELTNLRQLDLSRTRMLQKFPADKISKLQSLEYLNMTDSATKWGIRITNEENSLPLEELLCLQRVISVYIDLKCIPGVTREGVSRLKGIENFTVNVIAENPDHWFSVYTPYQPNTKSVSFYYVSFSRDEPVGWLLKYAFDCKIMYCQGVDLMLGNLNPCVNLKCLCIAGCCISFNHQLGCDLVKGSDLLPNLEKLILHGLTGLKSLSDFSNFLGLRFAKLKYIDVLECYELENLLAMDNIVQKLEEVEVIRIRVCEELTEVFKNVSVDNFVPKLESLELKRLELLGEICKADVSWQILKHLDVTECNKLWKLPLGIQNGEGIQWISGEQEWWEQLQWDCKNFKMRLQSHFKPC >itb10g22890.t1 pep chromosome:ASM357664v1:10:27545846:27552145:1 gene:itb10g22890 transcript:itb10g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSKLNVSNPKLWVVIGVSVAGVLILAEVHRRRRRLRVREDFGAFIERFELLPFPQQPPPAARLPLSGLTFAINDNIDVKGYVTGFGSPEWKRTHEAAGITAMVVTALLKAGATCIGKTVMDELGLGFTGKNAHYGTPTNPKLPSHIPGGASSGSAVAVASKLVDFALGTDTTGCIRLPASFCGVIGFRPSHGIVSTIGVLPLSQSLDTIGWLSHDPSILHKVGHLLLQLSPSEPKRMGRFLIADDLFQLSKVPSQKTIDIVKRSTEKLSGYHTPLHINLGKYIATNVPSLKGFSEEPTRQQQGISTLQAISTVMFQLLSYEFKTNYEGWINEVKPKFVSDVADCVTAAITSIPKNIKLLYKIRTELRAALDSLLKDDGILVIPTVADPPSKLLSKEGLSTEVRDRTFTLLSIASLSGCCQAAIPFGEHEKYPVSVSFIASHRSDKFLLDTILDMFSSLQEEVSEVSKALPLPDDSADDSELLKEKGNAAYKGKQWDKAISYYTEAIKLNEMNATFYSNRAAAYLELGWKISGILIAGVVKGKAMRYKFLGSMKKTGLRETTRKDSINHSKTSRCLSFTASRDWYYRYFFAFSGLRSVTTDFGDGTKMHCWVPKVQKPDKPNLLLLHGFGANAMWQYGDILRHFIPRFNVYVPDLLFFGGSSTTRPERTEEFQARCVKRLMEAHGVEEMSLVGISYGGFVGYSLAAQFPRAVERVVLCCAGVCVEQKDMENGLFQVADLDEAASILMPQTPDKLRELMRFSFVKPIKVIPSYFLTDFIDVMCSDYIIEKTQLIHTILEDRHFCNLPKIKQRTLIIWGDQDQIFPLELGYRLQRHIGEEADLVVIKNAGHAVNLEKTNEFVKHLKAFLYESLSD >itb12g01770.t1 pep chromosome:ASM357664v1:12:1206234:1207097:1 gene:itb12g01770 transcript:itb12g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIFEPQRGTQFTIEIGYFDTVQEIKEKVQKYQGIPASTQTLVFNGNILQDDLNVHSSEILDRSHVQLLVAASDSDSDKHTAAAATGNAEEPPPLPPSSPPPPDRKSILIFLKILGANKQGVSLEVEVTDTIRKLKEKIEEADGIPVSRITVYANGSELLDDRTLHDYELSDHSEVEVSFKPLPATTTTSSASSGNTNSSKKLRITVLSQCETKKIPVEMNPSENVGELRKELERLKEQWQLELPDDGYFFIYKQNVMDDDRSFRWHNVGQGETIEIFNGSVTGGG >itb04g34220.t1 pep chromosome:ASM357664v1:4:36244181:36244930:1 gene:itb04g34220 transcript:itb04g34220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKGTTTTIFGVCIMVMAMMLIGNLELSMAQEEACSVNDLSSCAFPVLSGGKPSEKCCSDLKKQPPKCLCQFLNDPNYKDYAENARKTFKACGVQPPC >itb03g22770.t1 pep chromosome:ASM357664v1:3:20848300:20850849:1 gene:itb03g22770 transcript:itb03g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKEMEVVRNLDVERYMGRWYEIASFPSRFQPKDGVDTRATYTLNPDATVHVLNETWSGGKRGFIEGTAYKADPKSDEAKLKVKFYLPPFLPIIPITGDYWVLHIDQDYQYALIGQPSRNYLWILCRQPRLDDEIYNQLLERAKDEGYDVSKLHKTPHSETPPEGEVASDKGIWWLKSIFGK >itb02g25690.t2 pep chromosome:ASM357664v1:2:26468972:26470962:-1 gene:itb02g25690 transcript:itb02g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKCAEFQFRKLLLQAVINYGASWCGVCSQMLPAFSELSNKFSKLSFVYADIDACPETTLNIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >itb02g25690.t1 pep chromosome:ASM357664v1:2:26468972:26470962:-1 gene:itb02g25690 transcript:itb02g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGKEHKENPRSTQPGNLVIAAGDDNLKDIFHQIRTSKTPAVINYGASWCGVCSQMLPAFSELSNKFSKLSFVYADIDACPETTLNIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >itb10g07910.t1 pep chromosome:ASM357664v1:10:9629127:9655006:1 gene:itb10g07910 transcript:itb10g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHFPADEVLSSSPTGVRSREWEGPGRWTEYLGHDVASTAAHKASRTAGTDGTAANSSSGSSHKGLNMQWVYQLTQVAEGLMAKMYRLNQILDYPDVIGHVFSEAFWKSGVFPNHPKICIFLSRKFPEHHSKLQLERVDKIALDAMNDGAEVHLQSLEPWIQLLLDLMAFREHALRLILDLSSTVITLLPHQNSLILHAFMDLICAFVRVNLFSEKIPRKMMLQTYNLLHAISRNDRDCDFYHRLIQFVDSYDPPLKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIASVVLKENLVLPLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHDQALYSCDIIHRERRIFLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQSEVLWYFQHVGVASSKSKTARMVAVEIDSSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLEATLKGLFQKIVQQLESIPKPQGENVYAITCDLSDLRKDWLSILMVVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELEAQLSRHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGVASSFPECASSIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLEMQLVPEQAAHLMNLTSRISTPSVKSPKTMSGFHLPGYESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILVNFRRRLLAVLKSDNDLQRPSVLESLIRRHTAIVHLAEQHVSMDLTQGIREILLRETFCGPVSSLHLFEKAAEQYTGSATEAVCNWYIENIVNDVSGAGILFAPLHRCFKSSRPVGGYFAESVTDLRELKAFVRIFGGYGVDRFDRMMKEHTAALLNCIDTSLRANREILEAVAGSMHSGDRIDRDTNIKQIVDMDTMVGFCVQAGQAIAFDCLLAEAAGAVLQDGAPLIHSLLTGVAKHLPEEIPEKKEIRRLRRVINSVTLADDHDSEWVRSIFEEVGGASDGSWSLLPYLFATFMTSNIWSTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLERENQQKQSFSNGHVDEALDPETQNRSSVEASIKSTMQLFVKFSAGIILDYWNEHNRSHIVAKLIFLDQFCEISPYLPRSSLEAHLPFAILRSIYTQYYSNSSPVHVQLALLNTSPRHSPAILAHTSPAMRQPGPREESTPHSTLNDSGYFKASSSHNQNQLYDTENKARNTRRSGPLDYSASATRKVKFMEGSTSSSTGPSPLPRFAVSRSGPISYK >itb10g07910.t3 pep chromosome:ASM357664v1:10:9628667:9655006:1 gene:itb10g07910 transcript:itb10g07910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHFPADEVLSSSPTGVRSREWEGPGRWTEYLGHDVASTAAHKASRTAGTDGTAANSSSGSSHKGLNMQWVYQLTQVAEGLMAKMYRLNQILDYPDVIGHVFSEAFWKSGVFPNHPKICIFLSRKFPEHHSKLQLERVDKIALDAMNDGAEVHLQSLEPWIQLLLDLMAFREHALRLILDLSSTVITLLPHQNSLILHAFMDLICAFVRVNLFSEKIPRKMMLQTYNLLHAISRNDRDCDFYHRLIQFVDSYDPPLKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIASVVLKENLVLPLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHDQALYSCDIIHRERRIFLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQSEVLWYFQHVGVASSKSKTARMVAVEIDSSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLEATLKGLFQKIVQQLESIPKPQGENVYAITCDLSDLRKDWLSILMVVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELEAQLSRHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGVASSFPECASSIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLEMQLVPEQAAHLMNLTSRISTPSVKSPKTMSGFHLPGYESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILVNFRRRLLAVLKSDNDLQRPSVLESLIRRHTAIVHLAEQHVSMDLTQGIREILLRETFCGPVSSLHLFEKAAEQYTGSATEAVCNWYIENIVNDVSGAGILFAPLHRCFKSSRPVGGYFAESVTDLRELKAFVRIFGGYGVDRFDRMMKEHTAALLNCIDTSLRANREILEAVAGSMHSGDRIDRDTNIKQIVDMDTMVGFCVQAGQAIAFDCLLAEAAGAVLQDGAPLIHSLLTGVAKHLPEEIPEKKEIRRLRRVINSVTLADDHDSEWVRSIFEEVGGASDGSWSLLPYLFATFMTSNIWSTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLERENQQKQSFSNGHVDEALDPETQNRSSVEASIKSTMQLFVKFSAGIILDYWNEHNRSHIVAKLIFLDQFCEISPYLPRSSLEAHLPFAILRSIYTQYYSNSSPVHVQLALLNTSPRHSPAILAHTSPAMRQPGPREESTPHSTLNDSGYFKASSSHNQNQLYDTENKARNTRRSGPLDYSASATRKVKFMEGSTSSSTGPSPLPRFAVSRSGPISYK >itb10g07910.t2 pep chromosome:ASM357664v1:10:9628667:9655006:1 gene:itb10g07910 transcript:itb10g07910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKSRQHFPADEVLSSSPTGVRSREWEGPGRWTEYLGHDVASTAAHKASRTAGTDGTAANSSSGSSHKGLNMQWVYQLTQVAEGLMAKMYRLNQILDYPDVIGHVFSEAFWKSGVFPNHPKICIFLSRKFPEHHSKLQLERVDKIALDAMNDGAEVHLQSLEPWIQLLLDLMAFREHALRLILDLSSTVITLLPHQNSLILHAFMDLICAFVRVNLFSEKIPRKMMLQTYNLLHAISRNDRDCDFYHRLIQFVDSYDPPLKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIASVVLKENLVLPLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHDQALYSCDIIHRERRIFLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQSEVLWYFQHVGVASSKSKTARMVAVEIDSSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLEATLKGLFQKIVQQLESIPKPQGENVYAITCDLSDLRKDWLSILMVVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELEAQLSRHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGVASSFPECASSIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLEMQLVPEQAAHLMNLTSRISTPSVKSPKTMSGFHLPGYESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILVNFRRRLLAVLKSDNDLQRPSVLESLIRRHTAIVHLAEQHVSMDLTQGIREILLRETFCGPVSSLHLFEKAAEQYTGSATEAVCNWYIENIVNDVSGAGILFAPLHRCFKSSRPVGGYFAESVTDLRELKAFVRIFGGYGVDRFDRMMKEHTAALLNCIDTSLRANREILEAVAGSMHSGDRIDRDTNIKQIVDMDTMVGFCVQAGQAIAFDCLLAEAAGAVLQDGAPLIHSLLTGVAKHLPEEIPEKKEIRRLRRVINSVTLADDHDSEWVRSIFEEVGGASDGSWSLLPYLFATFMTSNIWSTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLERENQQKQSFSNGHVDEALDPETQNRSSVEASIKSTMQLFVKFSAGIILDYWNEHNRSHIVAKLIFLDQFCEISPYLPRSSLEAHLPFAILRSIYTQYYSNSSPVHVQLALLNTSPRHSPAILAHTSPAMRQPGPREESTPHSTLNDSGYFKASSSHNQNQLYDTENKARNTRRSGPLDYSASATRKVKFMEGSTSSSTGPSPLPRFAVSRSGPISYK >itb12g16260.t1 pep chromosome:ASM357664v1:12:16977229:16978931:-1 gene:itb12g16260 transcript:itb12g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVKIGGIHVRSEIHEPNAGEVKKKALRLEIHEPNAGELQKKDFLLKIHELNAVEANEAARRETDATRQTDAIAIAPRVRLLSPENQLHRHRLSYRRRRAAPPLLLDDANAGRSRTGAGDRQQLPPLRRRDRRDRRKDRNGRLNSDQDADR >itb08g07390.t1 pep chromosome:ASM357664v1:8:6327370:6328159:1 gene:itb08g07390 transcript:itb08g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKLKKDSDGRRRSWATDGGLISTSLGDAGDGAWSCSDHEGVGGWRRRCRNWVPRWSKRRRQAERGNDVR >itb06g21780.t1 pep chromosome:ASM357664v1:6:24334323:24338849:1 gene:itb06g21780 transcript:itb06g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFLGMDFSCALGSLSKGEFPEKDCLLPLISKLLGYAIVAASTTVKLPQILKILRHRSVSGLSVVAFELEVVGYTIALAYCLHKGLPFSAYGEYAFLLVQAIILVATIYYFSQPLGTKTWMIALLYCGIAPTILAGKVDPILFEALYASQHAIFLFARIPQIWANFKNKSTGQLSFLTFFMNFGGSMVRVFTSIQEKAPTSVAMGSVLGVLMNGTILSQILLYQKPTPKKEKKTD >itb01g04600.t1 pep chromosome:ASM357664v1:1:3119333:3120989:-1 gene:itb01g04600 transcript:itb01g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQFPDDFRCPISLEIMSDPVILSSGHTFDRSSIQRWLDSGHRTCPITNLPISDPPCLIPNHALRSLISNYTLVSLPVTRACPADPQALIQTLISKSAPVDSKLSSLDQLSKLCKRDSAIRRRLSESGAVSAVLNCVDADGDSGLQEKVLHLLLNLSLDDDNKVGLVAEGVVGKVVAALRVGSGDSRAVAATVLTSLTVVEVNKATIGAYPDAIPGLVWLLWYGNARERKEAATALYTLCSFPDNRLRAVENHAVPILIQNSNSGLERAVEVLGLLAKCKEGRLEMMKYGGFLDTLLEFLKNGSSRGVQYALLTINMLCTFSEHMCIEAIRKGVFEICVQLLEDENEKVRRNANTLIQILQGKKGVFSRNGMS >itb15g00350.t1 pep chromosome:ASM357664v1:15:208514:210465:1 gene:itb15g00350 transcript:itb15g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRSVKKRRYSHKTARRTKFLLKGDDAVYQELNKPDADAQTKNMPVDEDLPGMGQYYCLHCDRYFANVTVRDEHFKTKKHRRRVKLMMGPAPHTQLDAELAAGMGMPDNGPKLMLMG >itb05g12320.t2 pep chromosome:ASM357664v1:5:18838793:18842891:1 gene:itb05g12320 transcript:itb05g12320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVERPREETPMNENEIRITTQGRLRNYITYATSLLQEKGANEIALKAMGRAINKTVIIAELIKRRIAGLHQNTSIGSTDITDTWEPLEEGLLPLETIRHVSVITVTLSKKELDCSSPGYQPPIPSDQVKPVVGYDYERRPNNNGVVRNDEDGGWEGDRGTAGRGRGRGRGRGRGRGRGYQGRGRGYGSWNDSYGASGAIPAQGRGGRGRGRGRGNGRGLARGVFKPNVTIQAAAA >itb05g12320.t1 pep chromosome:ASM357664v1:5:18838841:18842911:1 gene:itb05g12320 transcript:itb05g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVERPREETPMNENEIRITTQGRLRNYITYATSLLQEKGANEIALKAMGRAINKTVIIAELIKRRIAGLHQNTSIGSTDITDTWEPLEEGLLPLETIRHVSVITVTLSKKELDCSSPGYQPPIPSDQVKPVVGYDYERRPNNNGVVRNDEDGGWEGDRGTAGRGRGRGRGRGRGRGRGYQGRGRGYGSWNDSYGASGAIPAQGGRGRGRGRGNGRGLARGVFKPNVTIQAAAA >itb08g03450.t1 pep chromosome:ASM357664v1:8:2836397:2841619:-1 gene:itb08g03450 transcript:itb08g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVEEQEEGAAVKGGELLFCGCTNWDGIGRRKVSPEFNLISPTRLRPLVGVNICFVAAGCASCHCVALDTEGRCYTWGRNEKGQLGHGDKITRDRPTVVSELSRHKIIRAGSGRSHTVVVTNEGQSFSFGWNKHGQLGTGSVKNEFEESPVRCLVSEVKTTSCGADFTVWLTSIEGSSILTAGLPQYGQLGHGTDNEHNTKDSSVRLAYEAQPKPRAIAALAGETIVKVACGSNHTVAVDKNGFVYTWGFGGYGRLGHREQKDEWIPRRVDIFTRQNFLPPEAIVSAGSVNSSCTAGGGQLYMWGKIKNTGDDSMYPKPLMDLSGWHLRCMDSGNMHHFVGADSSCISWGHAQSGELGYGPNAQKSSAIPKKVEILEGMHVISVACGFAHSMVVVDRTDVGDKLDQLEVFDGKASEEGPENETPVPEKAPKKATKSAANSKKRKKAKDSSESEEEEDEEENSDYDSDDSEEQPNGKPAGRGRGGSKGGNKSTRGKKGGTGRGRGRPPAATKSSASEKAKGGSGKRGRPKKSN >itb08g03450.t2 pep chromosome:ASM357664v1:8:2836397:2841619:-1 gene:itb08g03450 transcript:itb08g03450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVEEQEEGAAVKGGELLFCGCTNWDGIGRRKVSPEFNLISPTRLRPLVGVNICFVAAGCASCHCVALDTEGRCYTWGRNEKGQLGHGDKITRDRPTVVSELSRHKIIRAGSGRSHTVVVTNEGQSFSFGWNKHGQLGTGSVKNEFEESPVRCLVSEVKTTSCGADFTVWLTSIEGSSILTAGLPQYGQLGHGTDNEHNTKDSSVRLAYEAQPKPRAIAALAGETIVKVACGSNHTVAVDKNGFVYTWGFGGYGRLGHREQKDEWIPRRVDIFTRQNFLPPEAIVSAGSVNSSCTAGGGQLYMWGKIKNTGDDSMYPKPLMDLSGWHLRCMDSGNMHHFVGADSSCISWGHAQSGELGYGPNAQKSSAIPKKVEILEGMHVISVACGFAHSMVVVDRTDVGDKLDQVSFRLPTLLFEFVYIGNFGMRSCSIFTHNHIYIHDFIFEAI >itb07g22130.t1 pep chromosome:ASM357664v1:7:26612078:26614984:1 gene:itb07g22130 transcript:itb07g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative SNAP25 homologous protein SNAP30 [Source:Projected from Arabidopsis thaliana (AT1G13890) UniProtKB/Swiss-Prot;Acc:Q9LMG8] MFGFGKKPPASASASPMHSADETPARRTASEPKLNFDDMSVQELEDYAVNQAEDTTRSVNNCLKIAEDIRKDGAKTLETLHQQGEQIHRTHEMAVEMDRDLSKGEKLLNNLGGMFSMPWKPKKTKEITGPQTSKDDNHRGKKGSKEQREKLGLNAKGKSAPTAPPNEPQNAMQKVEMEKAKQDDALDDLSDILGDLKGMATDMGQELDRQNKALDNLDTDIDELNSRVKGANQRARHLLAK >itb14g04790.t1 pep chromosome:ASM357664v1:14:4173464:4176378:1 gene:itb14g04790 transcript:itb14g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEAIKEELLKIKNRDEVEERKTAHDVLQRSEVVSSQAQMVGKNDEFEIIKKLLTELGSKEKKVVSIIGMGGIGKTTLARQVYEDPSISIHFDVRAWVVASQLHNKRQMLIGLLNSISRQGSLEESTDEDLALKLYQCLKRQRYMVVVDDVWSGEAWDDVSNCFPEDGNGSRVLLTTRLAEVANYSSSDSDFSHHMQLLDQSDSWNLFCEKSGKFCGVEFEIIGRSIVEKCKGLPLAIIVVAGLFSKLSTLNEWENIAKVLDSSTTTTIAAICSKILLLSYNHLPHHLKACFLYLGVFPEDYAINANELVRLWSAEGLVKTSENENFDVVADRHIQELMDRNLILVRKWSCCGRKIKVFGVHDLLHAFCVKEAQKENLLHVVRENGSDFPQRCFRWVSIQSSNFDVSTLCYSSRSYRSFFCFSHNDINLNWEQFKLVRVFFSPRCTKYKNIVNFVHLRYFSRPSYHYIGKLFKTWNLQTLSTNDAVHKDCLKFPQLQYFACLSLSGQSPVRQSLQSLSRWKPEHCTKQFFTHQNLQSLSRLKPEHCTKLFFRHVPNVKKIWIVRGNKRESNDCIENLVNLQQLERLHISANNWDNKIVQINGHITLLKCLKRLRFEGNHFEWNGINVLCKLPRLEVLKLNGGSCVGKQWELLEDDKFCQLIFLRIGSTDLKDWKATSDHFPKLEHLSLFSCKKLKEIPSGFAEISKLKSIQLADCRPSVVSSAEEIKEEQLDYLNNIVDVVVAERRRYSAYTRVSKPESDEYESDEA >itb04g03900.t1 pep chromosome:ASM357664v1:4:2445388:2445853:-1 gene:itb04g03900 transcript:itb04g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPDSTKRFLELCYRDLGAEDVPLITARFDGGGELRLNPVNNFVDIGDGIGCLLVFTEFLSIIQEKTKLD >itb07g18250.t2 pep chromosome:ASM357664v1:7:22697698:22699721:1 gene:itb07g18250 transcript:itb07g18250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDYSYLTRKRHVPAFGNWDCNQDFPIPFTQCFESATHAGLRGDLYVAGDLYDNHILTPAMIVVPRRKGKAQSYNNVGGGGGGKGLEGRKDAWVVCDCDCDNAPPPPPPPKRAPKAVDEDLYKISPDLLYSKPKQRRVWGFLLSCLRPSSCA >itb07g18250.t1 pep chromosome:ASM357664v1:7:22697698:22700565:1 gene:itb07g18250 transcript:itb07g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDYSYLTRKRHVPAFGNWDCNQDFPIPFTQCFESATHAGLRGDLYVAGDLYDNHILTPAMIVVPRRKGKAQSYNNVGGGGGGKGLEGRKDAWVVCDCDCDNAPPPPPPPKRAPKAVDEDLYKISPDLLYSKPKQEFTSTHGRCI >itb05g17270.t1 pep chromosome:ASM357664v1:5:24262992:24275879:1 gene:itb05g17270 transcript:itb05g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MQSSTSSTVAQPEAILEWLQKEIGYRPLGPYTASSKAAAMPTADSLRKICRGNMIPVWNFLLKRVKSEKTVENIRRNILVHGGDDGAGGSSSSASADSAKVVEKSRGRRKEKSGAGKESSSLGAGAGLGSAENSKEIALQERDLAEKEVERLRQMVRRQRKELKARMLEVSREETERKRVLDERFNYRHKQVMLEAYDQQGNEAAKIFAEYHKRLRYYVNQARDAQRSNVDSSDEMVTTFQLNNEKESVYSTVKGTKAADDVILIETTWERNIRKACEALAVQMAEKIRISFPAYEGNGIHLSPQVEVAKLGIDFDGDVPDEVKDAIVCCLKNPPQLIRAITAYSQRLKALISREIEKIDPRSDAEMLRYKYENNRVMDASTSDVNSPLQYQLYGNGKIGGDAPSRGNQNQLLERQKAHVQQFVATEDELNKAAEARKTSQKLLQRLQGTGDTMSSNTLGIGATSQNMGSLRQLELEVWAKEREAAGLQASVNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELESIYNALLKASMDAASYWSQQPVVTKEYAASTIIPSCNIVVDLSSKAKDLIEKEVSAFYQTPDNTLYMLPSTPQALLECFGSSGSTGPEAVVAAERTAAVLTAKAGARDPSAIPSICRISAALQSAAGSDVALASVLESMEFCIKLRGSEACILEDLEKAINLVHARRDLFESARALLDHAYRAQHEYDRTTNYSLNLAAEQEKTITEKWLPELRNAVINSQKFLEDCKYVWGLLDEWWEQPASTVVDWVTVDGQNVAAWSNHVKQLLTFYDKELL >itb03g08510.t1 pep chromosome:ASM357664v1:3:6391360:6392805:1 gene:itb03g08510 transcript:itb03g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHPTPLRDIGITGHKDCILYSVRASSHNTAKGKMDHKMTNEPACKIHMNGGDGPESYTKNSKYQKQLVNYSKQLTIELIEQHLDVESSCFDPSKPFQMADFGCSTGPNTFMAVQNISEAVQRKYCKKWQEESGIPEFHVFFNDLAENDFNVLFRNMPTNRPRHFTAGVPGSFHGRLFPKGSLHLGHCSCALMYLSRLPKEIVDKNSPAWNKGRIHYSTPGAAKEVKEAYSAQFRQDVLTFLDARGDELVPGGLMVIIVIGIPDGVLPSECGMGMNTAILGSCLQDMVNMARIPEEDFDSFNLPMYHTSPTEFEALIKENGLFDIVKFERLPNPFTKEAAADVERGILLTRAVFQGLIEDHFGKDIIEDFFKLYSKKLAANPMVFHEKYRQEGSYFVFLKRKIAD >itb11g07720.t1 pep chromosome:ASM357664v1:11:4830067:4833750:1 gene:itb11g07720 transcript:itb11g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPDRSLGQKGGLNPESAVTNDPKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITNPDSFIKAQYWVKELQKHGSPDIIMALVGNKADLLEKREVSVQDAIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPPAS >itb07g04900.t1 pep chromosome:ASM357664v1:7:3312281:3318732:-1 gene:itb07g04900 transcript:itb07g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKGDAHDLRSILCSSDRDFLIRNTGDQVKVDDLKGKKIGIYFSASWCGPCQRFTPNLVTVYNELLPKGNFEVVFVSADEDEESFKEYFGKMPWTAVPFSDTATRKKLDDLFNVRGIPHLVILDESGKVTTEDGVDIVLEHGVEAYPFTLERVKEIKDAEDAAKREQSLKTVLVSTSRDYVIAADGKKVPVDILEGKTVGLYFSVATYGGCSDFTPKLREVYQELKEKNANFEIVMIPLDDDEESFKEAFESLPWFSVPLGDRSCEKLVRYFELSSLPTLVIIGPDGKTLQSNVAEAVEEHGALVYPFTPEKFAEVEEIEKAKKEKQTLESILVKGEADFVIGKDGVKIPVSDLVGKNILLYFSAHWCPPCRAFLPKLVEAYHKIKAKDDAFEVIFISSDRDQSSFDEFFSKMPWLAIPFGDDRKGSLSRLFKVRGIPMLVAIGRTGRTLTTEARNLVMSHGADAYPFTEERLKELEAEYEEMAKGWPEKVNHPLHEEHELLLTRRQHYNCDGCNDGGQGWSFYCDDCDFDLHPKCALEDKKEEPAEEESQKDDEETKEGWVCDGEVFEALAYISFADFFHRVSYPDFRITNQQNMAEGSDGKSCSCDLVSLLSSMDRDYLIQRGNGEQVKVSCITGKIVGLYFSGSWCGPCQQFTTNLVETYEALLPRGDFEVVFVSSDKDEASFHSYFEKMPWLAVPFSDVETRKTLKQTFKVRAIPHLVILDETGKVSSGEGIRLVKHFGAEGYPFTPERIRYLREEEERAKQDQSLQSLLVYGSRDYLISNRGNKISVSELEGKTVGLYFAMSSHSGCRKFTSRLIEAYKNLKRESFEIVLVSLDDKVEEFREGFEAMPWLALPFKDENCRRLGRYFENKWLPQLVVIGPDGKTVSPNVVEIVEEHGEEAFPFTREKLVQLANMKKAKLEAQTLESILVSAARDFVISKDGSKVPVSELVGKNILLYFAAKWSLPCQEFLPKLVKAYQEIKAKDDGEGESFEVIFISSDHDQSSFDDFFSGMPWLALPFGDESNKFLLQRFRVAIGGIPAAIAIGPCGLTVNTQVRQLIETHGSSAFPFTKEHVKKLQGQTTEKGRGGDEVHTEHDLALAHRNVYLCNGCKEMGYGWSFLCKHCDFGLHPKCASSTQG >itb09g07890.t1 pep chromosome:ASM357664v1:9:4724819:4730477:1 gene:itb09g07890 transcript:itb09g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICSIKNPQLPHFFPRSPSSTLHSLLKLQLSAPLLSFSTNQTHPLSSSPRIHRHLVAMAAEHSTAASVPNSSMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSVPGVTFDQMKLEIKLGVPRSLQHLLDIEKIKSVFPYGKITNIEVADGGLICSSGVHVEEMGDKNDDCYIVNAAVYVGY >itb09g07890.t2 pep chromosome:ASM357664v1:9:4724819:4730477:1 gene:itb09g07890 transcript:itb09g07890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICSIKNPQLPHFFPRSPSSTLHSLLKLQLSAPLLSFSTNQTHPLSSSPRIHRHLVAMAAEHSTAASVPNSSMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSVPGVTFDQMKLEIKLGVPRSLQHLLDIEKIKSVFPYGKITNIEVADGGLICSSGVHVEEMGDKNDDCYIVNAAVYVGY >itb01g02850.t1 pep chromosome:ASM357664v1:1:1840579:1845009:-1 gene:itb01g02850 transcript:itb01g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAFHNLFDRRPITKSKAPATKWFKEWVPQDVVATGGKCFLLKWVNEATLKALKEKPEEPEVPEPEPKPATDVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYICHYENCGKKFLDSSKLKRHFLIHTGERNYMCPHEGCGKAFSLDFNLKSHMKTHSQENYHVCPYPECGKRYAHEYKLKNHVASAHQKTAMPDATKYSQPVEKPNKTAKSSGAVHASASSNRPYICPYEGCDKAYIHEYKLNLHLRKEHPRHFPDESAKNAQPAATENEMDEDVAQDTYPVKRKNTKTQKQSRPKPNLKPPPAKARRQNAIDSPANLSAVNKPWPVKEEAYEEDEDSEETEEEKFNVGDQWRYRENGDDEDEDTELED >itb12g00880.t1 pep chromosome:ASM357664v1:12:627881:628672:1 gene:itb12g00880 transcript:itb12g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHVSSLSCELKIIGAKNIDTQHYSSGSFFVRCYLSAGNGQKAELNSREIPPSGDLSWNESFSLDCLGSEENISLLKLAGDVVFELRWRRRRFPAGSKLVGRAQIPWKLCFEAPNMEIEKWVFVDSKKGSSDKPPALQIAMKVKAEERRRRRRWGHDDECECGCMDGGDHGCADYEIFALGAALEAF >itb12g08230.t1 pep chromosome:ASM357664v1:12:6384964:6389760:-1 gene:itb12g08230 transcript:itb12g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALALTFTHFSLSPPFYRISCQATTAVASPSAVHISPQLPSPPLLPQLSHVQNPNSAPAPSVSCALNCSHFQMCSGCSHEYNLHHPVMVDEAMNFFKKLGVTDFTFDSCRLWGWRCRAKLAVRGTSTKPLIGLYREGTHNVVDIPDCRAHHPNINAAVQLLKRGITELNVEPYDEDAGTGELRYVQMAVTTHDTSRPISERYKNGKVQVSLVWNSRSENSPTSEKLNALANFLWRTGGPRSELHLIHSVWANFQTSSNNIIFGNRWRYLLGERDFWEHVGGIDVSLAPSSFGQANTRAFDSLLRKLHKYVPYGASVVDLYAGAGVIGLSLASTRKCSSVKCVEVNKESKQSFTKTAERLPTNLNSSISWHHADTSIEPLSWLVGSDVVVVDPPRKGLDPSLIKALQTVSSMTRKSKKSESNEKAKDEKRPWILRAREASVLIREKTEYEENQSLPQTLIYISCGWESFKEDCMSLVSSKAWHLEKAHGFNFFPGTQSVEILAVFKRGSRNSTKKKKLGKKKNRP >itb02g09410.t1 pep chromosome:ASM357664v1:2:6010371:6012701:1 gene:itb02g09410 transcript:itb02g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGAILIILAAVLLEEPLVAVAVAVAVAVADVVEEAVVVVKLEIEHILASLEGSGFFIMLGMVVMSVMMISMVIFACGDSTNGDSRRRTGYGGAGGFVGGGGGSVGGGSGCGGGGGGGGCGGGGC >itb02g07910.t1 pep chromosome:ASM357664v1:2:4959839:4961192:1 gene:itb02g07910 transcript:itb02g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPENNSVTQPNFHVSKEDHPFCLVERFLPRQHPTFTPRISLAENHYQCLKHQRDQTNNKLQQKKTYRVIVSSELKRVAGINCMSSLNDVTNWAIRRKKGLQCLLREISGSENHRRLKDTETCSSVNELDGFIRKLHSKLQHGSNKRAEEKNLFEEIKNAELRRQNLIAAANKTPQASSREERIQNIVQNIEQLKTEDMAFRTKVQQHRRHLVAIEKDIRRLEKKLTDLNVKKAQAFHRILQLKQYQFQSSVIFS >itb05g24640.t1 pep chromosome:ASM357664v1:5:29342608:29343006:1 gene:itb05g24640 transcript:itb05g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSLSHPVRFNPPAGTSTNSSTIFPSRHATTSAAASTCIFLFNNPFRHARRLHLSRLPIQAINAVQHYEFDRCSGVEQLQKLSAIANSSPKLSFVKNHFCSLPFFLINCSFYSSFSLYARLSESYTLCTLP >itb01g32930.t1 pep chromosome:ASM357664v1:1:36260810:36262199:1 gene:itb01g32930 transcript:itb01g32930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMLWYPSQQMDPKIWSRMPEDLLERVLSFLPLKTFMSLRSTCRHFNSLVFSPPFISKYSPSSSPSPSAFSSFFLLSHPQFSRKYPLFDTVHNNWRNLSVSLSAAQPCGSTSALLSSSNGLLCFSHPTSNSFVISNLLSRSSRVVKFPVLPFSLESITLVSMPNGNYKILVIRSSMGSSKTTFIYDSSFHSWEQSEDFDLIFSNYIHHQEGVSHHGSLYFITPEPFHVLCFDFQIGKWKRAAIDLPADLSFARLVSDGDGTLFLIGGIGRNGISKSMRLWEWNGDEKRWIDVEDVPEMMCKKFLSVCYHNYEHVYCFWHQGLVCVCCYTWPEILYFKVSRKTWHWLPKCPSLPPKWSCGFKWFSFVPELYAFV >itb14g04580.t1 pep chromosome:ASM357664v1:14:4076038:4078569:-1 gene:itb14g04580 transcript:itb14g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKKSLGVIGMASILFLMAFVVGATATESGSCAPSDTKGTCEVQSIEHQPQEVMKEGFVAVQGIEHRPKEVFVAVQGIEHRPKEVTKEGFVAEHRPKEVTKEGFVAVQGIEHRPKEVFVAVQGIEHRPKEVTKEGFVAEHRPKEVTKEGFVAVQGIEHRPKEVTKEGFVAVQGIEHRPKEVTKEGFVAVQGIEHRPKEVMKEGFSLDSIADAIGKSEHLQESVKALCASTDFKELCEKSLARANHSHDPKKLLNAAFSVAWENLSESMSKSALLKRADKDPRTHEALDICKEVLNHSIEDLKRSSHKVEESTTINVEHGNDLKVWLSAAITFEETCLDAFQNTTGDTGEKMRHLLKTAMELTSNGLAMLTKLTELLKTLEIPGFSRRLLEDAAAVDSTGEFPKFVDAPTRRLLTAPPSSIKPDMVVSKDGSGKFNSINAALATIPPKSNRTVIILIKAGVYSEYVVVPRKVNNVVFMGEGPSKTVITGNKNFIDGVGTYKTATVVVEGDGFVCRDLTIENRAGAAKHQAVALRVSADMVVIHNCNIEAYQDTLYAHSYRQFYRGCTITGTIDFIFGDAAAVFQNCKMIVRKPMENQACMVTAQGRKDRRSVGGTVLQACEILPDPALKGVTPPVKVYLGRPWKEFSRTIIMSSFIDGFIAPEGWSPWQGDFALNTLWYAEYGNRGPGANTASRVKWGGYKRNISPEIAKQFSPSVYLDGDAWIKRTGVPYTP >itb14g13950.t1 pep chromosome:ASM357664v1:14:16321603:16332704:-1 gene:itb14g13950 transcript:itb14g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAATSHSPAIAIPAALLAFSAARISPSLSFRRLSTFGLALAGRAFLHCTLSRRFPLPGMVNLSLMSDRLPVSKGIRASFSSGSSSEHNREILVQHLLVKEDDQKLLLELQKRIAQGEDLSDLAAEYSICPSKEGGGMLGWVRKGQMVPEFEEAAFGAPINKVVRCKTKFGWHLLQVLSEREESILEHIQPNELYVKLHDPTFMEDAQLVDVREPEEVAKAHLPGFQVLPLRQFGEWGPEITTKFDPQKDTYVLCHHGMRSLQVAKWLQTQHEKIENLSATVSSLSTASHTHTAPLANMERLVKQLVTNQGKQPIQEENSVTAVHIRGVSTTADIGDRSHCFYQYSKVEFPLFSEEDPMVWLVRCESSFRHANTPDQERFPL >itb05g28460.t1 pep chromosome:ASM357664v1:5:32113996:32114295:-1 gene:itb05g28460 transcript:itb05g28460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSHLQSTPTSHVCQPTTTLEDLTTTLGGPTTATLVNSEPPHRLESPLPTSETTQPGMFVGGLASVSSGQAPTSSDFPPSSFSILPADMCFHDISSL >itb07g19850.t1 pep chromosome:ASM357664v1:7:24290496:24297258:-1 gene:itb07g19850 transcript:itb07g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MLFCPLLCIDTIDLQSKSTYYTIHHLFFCSIINLSPLISDISMDAKLVQHLETPRIIVHHHHRTTTKRHLPLVRAVVTKPADNNSNTKTTLSSSWCSKNVYHDTWFDRLAINHLSQCVKAVTGVRSSKSGYDGLVEVTRAVFHNFDSTQQQSLMIQALHKAIPNPILMLMSMVLPQSRFAREYCAAFTTIFFAWLVGPCQVKEAEFNGRREKNVVHIEKCRFLEETNCVGMCTNLCKMPSQTFIKNSMGMPVNMVPNFENMSCEMIFGQDPPSTSHDPAMMQPCYKLCNSKKKHHKNCITQ >itb07g13580.t1 pep chromosome:ASM357664v1:7:15857821:15858395:1 gene:itb07g13580 transcript:itb07g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSSSRPNGNKKNGMMKFKRVVEKLQKSFLLRKRSPVNHLDDFEESKDVPNDVKEGHFAVRAVDDDNKVKRFIVPLSCLRNPCFLRLLEKAAEEYGFEHEGALMLPCRPSELEKILGKQYNGRADWSSPTYKSRALSLI >itb15g01210.t1 pep chromosome:ASM357664v1:15:726448:729882:-1 gene:itb15g01210 transcript:itb15g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDDPAISRSSRRSLASSSRRSWQSASLREVAWLATPEAFGRSARREDEEDELRWAAIERLPTFDRMRKGVLKKHAVDGDGRLVAEEIDITKLGKQEKEMLMESILRAVEEDNDRFLLRFRERIDRVGVDIPKIEVRYEHLSVVGETYVGRRALPTLANTTMNVLENVLRMVHLAPSNRRSIQILKDVSGIIKPSRMTLLLGPPGAGKTTLMRALAGKLDNDLKKTGKITYCGHEFHEFVPQRTCAYISQHDLHHGELTVRETLDFSGRCLGVGTRYELLAELSRRERDAGIKPDPELDAFLKSISVAGQKTSLVTDYIIKILGLEICADITVGDEMRRGISGGQKKRVTTGEMLVGPAKVLLMDEISTGLDSSTTFQIVKYMSQLVHIMDVTMVISLLQPAPETYDLFDDIILLSEGQIVYQGARENVLEFFESMGFKCPERKGTADFLQEVTSKKDQEQYWLRKEQPYRYISVAEFAEAFTSFRLGQQLADELSIPYDKSRAHPAALVTNKYGISNWELLKACFSREWLLMKRNSFLYIFKTTQITIMSILAFTVFLRTEMPYGRLQDGTKFFGALFFSLLNVMFNGMAELALTVMRLPVFFKQRDHLFFPPWAFALPIWFLKIPLSFMESAIWIILTYYPIGFAPSAGR >itb02g04240.t1 pep chromosome:ASM357664v1:2:2527357:2529218:-1 gene:itb02g04240 transcript:itb02g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAALPADYDLMDSALYEALVEGNQDLDEALWYKMEQQVTPKGNTILHIAALYGHMHLVQKILEKHTSLLCVVNNKSETALHIAAREGHTGVVSALISCAKARPELESGIGGARDMVRMMDGDKDTALHKAAGETPLYLAAELQFHECLLEILNNSHRPVFDGPLGRTALHAAIIHSEPPKDSTKLLLEKEASLCEVGDDWGWTPLHYAVRLNNEKAVEEILQVKSSAAYIPAGGKEGEWTTAVHIAVSENSVVLFKQILQRCPLCWEMVNSKGQNVLHEAILNNSTDMIKFILNSPKMDHLVDEKDEDGNTPLHLLAASNICVPELIQHPRLSGGMVFNKQHLTPLDIASRVGSGSVGKRGDLTMKRKSNDETRMEMTQEMRVPKERSVSSAGKMAKAHIVVVALIVTVTFAAGMTVPGGYNDNQGERQGMPILLRKSAFKAFVVTNAIGFVCSISSLIGYITLVEEISSFGRPKVVHKLVSYSILLLDLSLTALILAFITGMFAVLTVHSSAVAVGVCIGICICIIGIISFLLFSGYNEHWWIVRKYEEVIQLFNKLG >itb00g00760.t1 pep chromosome:ASM357664v1:16:5033483:5037807:-1 gene:itb00g00760 transcript:itb00g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHQSAKRLPRDRSAKRERARRRKGQTLRPNWKECFSLVIRHSPLVGLVIGCVEAAHCEGLNAFSPPQSPAQLPEEPLTPPEAAPVPQPVPQPVPQPVPQPVVIPQLAHPLIPDDVRGALLFQRYSLLNLGGGWDPQRLVSIIDTQVVVERHIEAALVADGFHPNSIMARFREIRGSAKRLPRDRSAKRERARRRKGQTLRPNWKECFSLVIRHSPLVGLVIGCVEAAHCEGLNAFSPPQSPAQLPEEPLTPPEAAPVPQPVPQPVPQPVPQPVVIPQLAHPLIPDDVRGALLFQRSAKRLPRDRSAKRERARRRKGQTLRPNWKECFSLVIRHSPLVGLVIGCVEAAHCEGLNAFSPPQSPAQLPEEPLTPPEAAPVPQPVPQPVPQPVPQPVVIPQLAHPLIPDDVRGALLFQSKGYSIKDGWHSSVRGSAVGVGSATRRPALAIEKPIVVLYAAGYRDRTSPERPDMRKPLNEFNAEQQAQLRDIAINHFSLKNEIIAKMKSLYPNDEWELTKVIREKFFQGRQKDRESSLEDLQRMLSALNEQGKSASCAKRLRYNISYWNWKE >itb12g00580.t1 pep chromosome:ASM357664v1:12:431481:433281:-1 gene:itb12g00580 transcript:itb12g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTTWIWAALAMLAAISICNTFLKSRKKRLPPSPRRLPILGHLHLVGKTPHQDLQKLAKIHGPIMHLRFGFVDNIVVSSPQAAELFLKTHDLNFASRPPSEAAKYMAYGQKSMVFGEYGPFWRNMRKLCTLELLSNAKINSFQSMRREELRLLIESCKQAAKTGEAVDLSAKVSSMSADMSCRMVFGKKYEDKDLGEKGFKAVIQESLHLTGIPNLGDYIPYFSKIDTQNLTRRMKDVAQLFDQFFEKIIDEHEQQAKNQGNTQTTKDFVDIMLEIRRSGETSFEFRREHVKSMMLDLLVTSMDTSSTAIDWIMSELLKNPEIMKKVKKEIERQVGYDRMVEEEDLEHFEYLEMVIKESLRLHLIVPLLVPHASIEDCIVDGFHIPKKSRIIVNAWAIARDPNVWSDPEKFIPKRFNENAVDYRGKHFEYLPFGSGRRSCPGMQLGLTTVRLVVAQLIHCFDWDLPNGTLPKDLDMTEHFGVVISRAKNLMAVPKYQLCV >itb13g18210.t1 pep chromosome:ASM357664v1:13:25213377:25214212:-1 gene:itb13g18210 transcript:itb13g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYAEHVMDLGYTMFELLSEALGLDPDHLKGMGCSEGLYMIGHYYPPCPQPDLTLGFSSHTDSAFLGIVLQDQLGGLQVLHQNVWVDVPFLPGSFISLVGALIPPPSTVLENLAKEHAPDHQLVDRRHVPPLRQFRRGTHLHSSREITTLRSWKILCSSIPLTIRMPF >itb10g03950.t1 pep chromosome:ASM357664v1:10:3742421:3744019:-1 gene:itb10g03950 transcript:itb10g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPEKANSDDPQQTVCSFFRKPSKAKNIRKRTAIEEGENEDGDSKDETSVVFNKKKPAVADNKLHFSTGPSKREITSESDAGSKKSLFQFESSREIQVQNDNKATATLETETEFSKDARAIRERVLKQAEEALKGKNENTGDEKLYKGIHGYTDYKAGFRREHTISSEKAGGSHGPLRASAHIRVSARFDYQPDVCKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWDEAEKAKKRRMEMGMLDDDESAEQSDDDEDDAIPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAFEIRKKMAAEGK >itb03g21620.t1 pep chromosome:ASM357664v1:3:19525046:19528341:-1 gene:itb03g21620 transcript:itb03g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVTLRRVLKDVEDLIVMIEARTAKGLQTQNPESSSSSGGQSSSSQHASKFEDIMVGQTKEFEELKKKLLSSNKPLQVMSLVGMGGIGKTTFARKLANDSAVKLHFDCCGWVTMSQEHNKRQVLLQLCRSIMSMRDDINTMNHDKLAEQLRKNLLGRRYLIIVDDIWTIGAWDDVKRCFPSEVENTGSQILLTTRLKEVSIYACSDNLHDMSFLNYDECWDLFCQKFLVRESLNKEFERIGREIVERCGGLPLTVVVLPGHLSTNMEVDEWRSVKSMLNSLVNLDLSQQFSRILSLSYNNLPCHLKSCFLYLGAFPEDSEIGIKKLIRLWIAEGFIKEESEKTLEESGEGYLQDLMNRSLIMVSGRSSSNGKVETCKMHDLLHDLCASKAKKEKLLCTRDGDELHPNNVVCSDGNRWLSLKIVSQHSLHLTALKKSRSILCFGMRKWNDSLANFCQMTANSFKMLRVLDLTVLKFKGSIPSDIIDVVLLRYLALASNRLLTSIPVSRNRNLQTLVIREDINGVRKLPRGIWELPQLRHLELYHQLIPMYTPEVAQVNLQTMYWLQCVQCTKQVLSRIPNVKELGIIAQGCISHHCLDDLNSLKKLDKLKVQGSYCPIQLQSCTFPQNLKEITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQDWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVRLEHCHDSLVSSARMIEEEQRENYGEEYGFEIVEFHTQSDQKTRGKVKNMYFRFSNYIHSKLTQTQVSLPLCHSFPEENDENIKMSVFLEATSLYIPYYTNNHRDWSWTRDYEHKVAVLQGEYWLKIKGHLDTRCLHKISSYSAYFIFKFKFEPQWFEAFTSVRYINDKRSDSENRRCQVFLTEKRSSEDPGRFPNRRHDGWMEIKLGDFYISSRNEGEVEMQLWNFENEHGKSGLIVKGIEVRPN >itb09g01540.t2 pep chromosome:ASM357664v1:9:938391:940884:-1 gene:itb09g01540 transcript:itb09g01540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRRAVRFYAACFGFREPYKILCDGTFVHHLVVNQITPADSALVNILGAAVKLFTTRCAVEELKSVLGASYSESLDAARSLLTARCGHEKRRNTIDCITEVIGENNPEHFFVATQDADLRKMLQQIPGVPVIYALRNALFLERPSTLQQQFAKAAEEARSHMTDKEYKELSIMRKRKLSDEGAVDTSNASEEDEGHGLEVQNMKTSLKRKRNTSDFKDKVQFKRKKAMNPNPLSVKKKKKKPTAESEKETKKSDDGTAKRKRRKRSRKGKGKSIGGEGSG >itb09g01540.t1 pep chromosome:ASM357664v1:9:938391:940979:-1 gene:itb09g01540 transcript:itb09g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRRAVRFYAACFGFREPYKILCDGTFVHHLVVNQITPADSALVNILGAAVKLFTTRCAVEELKSVLGASYSESLDAARSLLTARCGHEKRRNTIDCITEVIGENNPEHFFVATQDADLRKMLQQIPGVPVIYALRNALFLERPSTLQQQFAKAAEEARSHMTDKEYKELSIMRKRKLSDEGAVDTSNASEEDEGHGLEVQNMKTSLKRKRNTSDFKDKVQFKRKKAMNPNPLSVKKKKKKPTAESEKETKKSDDGTAKRKRRKRSRKGKGKSIGGEGSG >itb08g07780.t3 pep chromosome:ASM357664v1:8:6680327:6684546:1 gene:itb08g07780 transcript:itb08g07780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQVQTSVSECVLRNQSHFLKVLVSSCGSDRRGDDSDSEENSPVIDITDLWVHKPQYLEKTRRNDERNTSSEDSGNNWDKVREIVAFSGKGKNAGENKLENSPSRSDASAAQVPNSGGVSSLVRRWRDFEVVANNNNNNSSISISRSNSTSSTLCDDSSIDGRLVQSSSGDGESDRTMPPLRDKDSDASERERLKVSDIIKKLTCNVIGGEENHSDHGGIESPSPRLRTPSDHHSPAVKSPRFIRGRHAFADLLMQMERDRVREIEGLMERKTVSKFQHKGRIQAMFRLKLIRGPVDANDSRPLHFKASESNKSKQSSIMNLRDRFNAGLQQGVADSKTTSKEESIGSISPNRDVVDRTLVIGNVSTSCQVKEEKHPQQGVETSLSPQKRSAEPSTRHSGSSSIAGIQLDGCSNSKEINSAVYEVTGQNVSSGVGHNESIKSLEIARPLETGDTEERKENGQQVAGTSEWGWVNEYSHMRNGSDESQYDFREQEDASQDWIIDVSRPQSVWEDLRQARYQEMLDPFLDNHDIQELLKRRSVSNFLYGGMREIIDRLMISRSQGHQRTIDTAVQEVKEQTAADGGDRVEEKRCIASGEGEHQEGEDEEEVDNREEDNGDNDEYGCQIQQLNGPAGSVDQTWSYNQGRDLSDESDQTTSAYSQNNEPFRSPSQRHPSIEIQLIYELKTHMEQLHQEMFEIRRSLKSCVNMQMKLQRSIKHEVTAVLAQSGHKRGKGSGNKRLRKGYCCICQDTKVDSLLYR >itb08g07780.t2 pep chromosome:ASM357664v1:8:6680408:6683645:1 gene:itb08g07780 transcript:itb08g07780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQVQTSVSECVLRNQSHFLKVLVSSCGSDRRGDDSDSEENSPVIDITDLWVHKPQYLEKTRRNDERNTSSEDSGNNWDKVREIVAFSGKGKNAGENKLENSPSRSDASAAQVPNSGGVSSLVRRWRDFEVVANNNNNNSSISISRSNSTSSTLCDDSSIDGRLVQSSSGDGESDRTMPPLRDKDSDASERERLKVSDIIKKLTCNVIGGEENHSDHGGIESPSPRLRTPSDHHSPAVKSPRFIRGRHAFADLLMQMERDRVREIEGLMERKTVSKFQHKGRIQAMFRLKLIRGPVDANDSRPLHFKASESNKSKQSSIMNLRDRFNAGLQQGVADSKTTSKEESIGSISPNRDVVDRTLVIGNVSTSCQVKEEKHPQQGVETSLSPQKRSAEPSTRHSGSSSIAGIQLDGCSNSKEINSAVYEVTGQNVSSGVGHNESIKSLEIARPLETGDTEERKENGQQVAGTSEWGWVNEYSHMRNGSDESQYDFREQEDASQDWIIDVSRPQSVWEDLRQARYQEMLDPFLDNHDIQELLKRPKESKNVA >itb08g07780.t1 pep chromosome:ASM357664v1:8:6680327:6685078:1 gene:itb08g07780 transcript:itb08g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQVQTSVSECVLRNQSHFLKVLVSSCGSDRRGDDSDSEENSPVIDITDLWVHKPQYLEKTRRNDERNTSSEDSGNNWDKVREIVAFSGKGKNAGENKLENSPSRSDASAAQVPNSGGVSSLVRRWRDFEVVANNNNNNSSISISRSNSTSSTLCDDSSIDGRLVQSSSGDGESDRTMPPLRDKDSDASERERLKVSDIIKKLTCNVIGGEENHSDHGGIESPSPRLRTPSDHHSPAVKSPRFIRGRHAFADLLMQMERDRVREIEGLMERKTVSKFQHKGRIQAMFRLKLIRGPVDANDSRPLHFKASESNKSKQSSIMNLRDRFNAGLQQGVADSKTTSKEESIGSISPNRDVVDRTLVIGNVSTSCQVKEEKHPQQGVETSLSPQKRSAEPSTRHSGSSSIAGIQLDGCSNSKEINSAVYEVTGQNVSSGVGHNESIKSLEIARPLETGDTEERKENGQQVAGTSEWGWVNEYSHMRNGSDESQYDFREQEDASQDWIIDVSRPQSVWEDLRQARYQEMLDPFLDNHDIQELLKRRSVSNFLYGGMREIIDRLMISRSQGHQRTIDTAVQEVKEQTAADGGDRVEEKRCIASGEGEHQEGEDEEEVDNREEDNGDNDEYGCQIQQLNGPAGSVDQTWSYNQGRDLSDESDQTTSAYSQNNEPFRSPSQRHPSIEIQLIYELKTHMEQLHQEMFEIRRSLKSCVNMQMKLQRSIKHEVTAVLAQSGHKRGKGSGNKRLRKGYCCICQDTKVDSLLYRCGHICTCFNCARQLQSGDGKCPICQAPILDVVRTHTH >itb01g20010.t1 pep chromosome:ASM357664v1:1:26306547:26309499:1 gene:itb01g20010 transcript:itb01g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYYEQRLREEVIYLHSLWYQGPPTPKFPKPNPTTLHPTNPTQFKKRGTKPLRNRNKTQTESSDSGIEWPCKTPPKSPAATDSGWPSLRPPSNSEPPSITPEQQARLASDQAHKAALKAASKFLSRGDNSDDESTDEAEEEEDEDSEGEWGAKLEFFVKLFEDDSGLREYYEKNNAGGEFSCLVCYAAGKKGWKRYKDCVALVQHSISVTKTKNRQAHRAYAHAICKLLGWDINRLPSSIVSGDNQLRPCSNNPVEGQENDTGSGKDGLNVPGSDTELMSISVDGSKGSANNENAGANDGGSALLESNIDNHVNDELKLPECIKDAVNVNTGEVLLENESTNNENLRDNISS >itb13g03900.t1 pep chromosome:ASM357664v1:13:3911992:3916299:1 gene:itb13g03900 transcript:itb13g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRDGIGLSGSASYYLSRGAGSGSGGGPPPPPPGIPVGFKHHPNPNIAVQANVRGGGAVSSAFQVEDPPGSIVPGISMGSSSGGGSTGGDPVKKKRGRPRKYGPEGANMSLALSPMSSLPSPGSSTPGPKRAKGRPPGTGWKQQLAPLGEWMNNSAGLAFTPYVIQIGVGEDIAAKVLAFAKQRPRALCILSANGTVSAVTLRPPASSGSTVTYEGRFEILCLSGSYLVSENGSPQNRTGGLSISVCSPDGHVIGGAIGGRLIAASPVQVVVCSFVHGNLKAKSKGETSTNDDKDSAVRSVERSSTMVMSGAGQEPAPNPSMVWPPSSRADIRTMQTGIDLMRG >itb06g14570.t1 pep chromosome:ASM357664v1:6:19095184:19097721:1 gene:itb06g14570 transcript:itb06g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMTVGDTSAGARSYSLEPSVVPSNLPLLTAFLACAIAQFLKLFTTWFKEKRWDSRRMLSSGGMPSSHAATVTALAMAIGLQDGAGGSAFAIAVVLACVVMYDATGVRQHAGRQAELLNQIVCELPPEHPVASVRPLRDSLGHTPLQVLAGALLGCLVAYLMRRSS >itb04g10710.t2 pep chromosome:ASM357664v1:4:10270298:10271367:1 gene:itb04g10710 transcript:itb04g10710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSGPGGFNDDESFREQDRWLPIANVGRIMKKTLPANAKVSKDAKETMQECVSEFIGFVTGEASEKCRKERRKTVNGDDICWAMAALGFDDYAPPLRRYLQRYRDQEGERANQERVSNEEAENINNNQFQEPSSSSYHRSHEDETQEQDFPSSSSSFPMTFKSMSKPY >itb04g10710.t1 pep chromosome:ASM357664v1:4:10270298:10271367:1 gene:itb04g10710 transcript:itb04g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSGPGGFNDDESFREQDRWLPIANVGRIMKKTLPANAKVSKDAKETMQECVSEFIGFVTGEASEKCRKERRKTVNGDDICWAMAALGFDDYAPPLRRYLQRYRDQEGERANQERVSNEEAENINNNQFQEPSSSSYHRSHEDETQEQDFPSSSSSFPMTFKSMSKPY >itb10g02490.t1 pep chromosome:ASM357664v1:10:2087851:2089887:-1 gene:itb10g02490 transcript:itb10g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSNFTLILAALFLLGTWASQATTHTREEASMLKRHEDWMIRYGRSYKDNAEKAKRFQIFKENVEFIESFNKASTLSYKLGINQFTDLTNEEFRATMLNEEKPPPLPKPSKPVSIQNESLAQLQNQYLDWREMGAVTDIKDQGPTCGSCWAFSVVATIEGINKIRTGQLISLSEQQLIDCDVKNYGCDGGNVDEAFRYIIGNSGGVAAESNYPYDGVQHSCDTKRIGNPPATITGYQQAYPDEIALQSAVTDQPVSVAITIDPQLFRQYAGGVFTGYNGGGDCGSEGSHAVTIIGYGTSDEGKDYWLVKNSWGTDWGENGYARMARGISGAGVCGINLAAYYPTA >itb01g09540.t1 pep chromosome:ASM357664v1:1:7795561:7799722:-1 gene:itb01g09540 transcript:itb01g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPIFLPLLFLLQLSFWSLAVASGDGYTINGRVKLPGFNLKGSGLLAKASDVKVLLNGGQRVTFLRPDGYFSFHNVPAGTHLIEVDAIGYFFSPVRVDVSARNPGKVQAALTENRRVLTELVLEPLREEQYYEIREPFSVMSIVKSPMGLMVGFMLLVVFVMPKLVENMDPEEIRRAQEEMRSQGVPTLSSLLPGAGAQRSN >itb01g09540.t2 pep chromosome:ASM357664v1:1:7795561:7799722:-1 gene:itb01g09540 transcript:itb01g09540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPIFLPLLFLLQLSFWSLAVASGNSDGYTINGRVKLPGFNLKGSGLLAKASDVKVLLNGGQRVTFLRPDGYFSFHNVPAGTHLIEVDAIGYFFSPVRVDVSARNPGKVQAALTENRRVLTELVLEPLREEQYYEIREPFSVMSIVKSPMGLMVGFMLLVVFVMPKLVENMDPEEIRRAQEEMRSQGVPTLSSLLPGAGAQRSN >itb01g04190.t2 pep chromosome:ASM357664v1:1:2815176:2818913:1 gene:itb01g04190 transcript:itb01g04190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSFFLLIITLFTGATSRPVFYFCPNTTTYTPNSPYGANLKSLLSALSSHGNHENGFYTFTAGDHHDDTAAHGLFGCRGDIPTADCDICVTQACSDILQLCPKEKTAISWYDKCMLRYSDGPVSGRAAGDDDRLATRVLCGKEQNRISQRALFMEWIGKTLEEMTNSLSSGGKKFGTHKGNFTEESETTTTIYSLVQCWPDISDSDCQSCIRRGIQKLHACGDSTLGARVLLPSCTFRYETYRFYFGETASAQPPHSQGNIGHSSSKKVIFVIAVPVIIGIIILFLATFSFVRIRNVKKRNTTTKTIDVIGTSTGEFSQYDFATIRTITNDFSLENKIGEGGYGSVYKGMLPIGQEVAVKRLLRSSRQGDQEFKNEVEVVAKLQHKNLVRLLGFCSEGEEKILIYEFVPNKSLDYFLVDPEKQCLLNWSTRYKIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDANMDPKIADFGLARIFGVDQTQGTTNRVVGTYGYMSPVYAMHGEFSASSDVFSFGVILLEIITGRKNRYFCEINKTQNLVSYAWEHWRDGSPLKILDPTLEKYYAENEVIQCMHIGLLCVQEYANERLTMGEVMCMLNNYSANNWATPHEPAFYGNGSKRMPREIELEQSMTVNEVSISELYPR >itb01g04190.t3 pep chromosome:ASM357664v1:1:2815176:2818913:1 gene:itb01g04190 transcript:itb01g04190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSFFLLIITLFTGATSRPVFYFCPNTTTYTPNSPYGANLKSLLSALSSHGNHENGFYTFTAGDHHDDTAAHGLFGCRGDIPTADCDICVTQACSDILQLCPKEKTAISWYDKCMLRYSDGPVSGRAAGDDDRLATRVLCGKEQNRISQRALFMEWIGKTLEEMTNSLSSGGKKFGTHKGNFTEESETTTTIYSLVQCWPDISDSDCQSCIRRGIQKLHACGDSTLGARVLLPSCTFRYETYRFYFGETASAQPPHSQGNIGHSSSKKVIFVIAVPVIIGIIILFLATFSFVRIRNVKKRNTTTKTIDVIGTSTGEFSQYDFATIRTITNDFSLENKIGEGGYGSVYKGMLPIGQEVAVKRLLRSSRQGDQEFKNEVEVVAKLQHKNLVRLLGFCSEGEEKILIYEFVPNKSLDYFLVDPEKQCLLNWSTRYKIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDANMDPKIADFGLARIFGVDQTQGTTNRVVGT >itb01g04190.t1 pep chromosome:ASM357664v1:1:2815176:2818913:1 gene:itb01g04190 transcript:itb01g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSFFLLIITLFTGATSRPVFYFCPNTTTYTPNSPYGANLKSLLSALSSHGNHENGFYTFTAGDHHDDTAAHGLFGCRGDIPTADCDICVTQACSDILQLCPKEKTAISWYDKCMLRYSDGPVSGRAAGDDDRLATRVLCGKEQNRISQRALFMEWIGKTLEEMTNSLSSGGKKFGTHKGNFTEESETTTTIYSLVQCWPDISDSDCQSCIRRGIQKLHACGDSTLGARVLLPSCTFRYETYRFYFGETASAQPPHSQGNIGHSSSKKVIFVIAVPVIIGIIILFLATFSFVRIRNVKKRNTTTKTIDVIGTSTGEFSQYDFATIRTITNDFSLENKIGEGGYGSVYKGMLPIGQEVAVKRLLRSSRQGDQEFKNEVEVVAKLQHKNLVRLLGFCSEGEEKILIYEFVPNKSLDYFLVDPEKQCLLNWSTRYKIIRGIARGLLYLHEDSRLRIIHRDLKTSNILLDANMDPKIADFGLARIFGVDQTQGTTNRVVGT >itb12g20690.t1 pep chromosome:ASM357664v1:12:23136084:23138760:-1 gene:itb12g20690 transcript:itb12g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSAKPISSPVPVSMYPTLAFFMLAVGLVVTASFFIYEATSSRKNRSLAKELTTATVASVFMGFGSLFLLLASGVFV >itb15g05980.t1 pep chromosome:ASM357664v1:15:3927671:3930451:1 gene:itb15g05980 transcript:itb15g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEAVVLDQSGGGEMYRSVLKDGQSKNQVRRNSPRLSALNASKETQQKKASEGASEKEECHGDKKQGQPSKTRAGVKRKFSSLAAKHVSEGSSHGQQRGDQPANNGVPTKLPEKRLLELILDILQRRDAYEIFAEPVDPNEVKDYYEIIKNPMDFGTMRAKLHEGMYENLEQFEHDAFLIPDNAMNFNSPGTIYFRQARGICDLAKKVFHVLKTDPENFEMEFAGTKRRSIRKLQNETKELSKPQKDAKPDNPRPDIAANGPLFCLGAPSTLARSSKGSPRFGGNGDAPRSCSAEVSRRSTYTNWKSSLENECPKPAIPLNELNESYRESLTRFVEGLGPTAKRIANRKLQALEANIAPVQTPSFQVPTAYATFQMPSSVPSISSAALTSDLTLPDSIPGFGPPGNLPSIAETANKQKSSNKTAPGSVLQYKRSTKKVSETEKRAQNRGKISEKQPEDASKKTSSSKRPAGSSVIVDTRKPDDSKFRPIVLALEQSSPPELKTRNRRSTNASTLPPKSQNKSTPAPPCKNNAKADKASNSSSSSKAPALQPPTTQPMPPPSLPLLSGFTFNMPFLKAQLNQMNPSAAAPHTWDTRLFEATPRLAPRDYLRFGSSVSSSALNLAPNLDEHQQIPPPRACLYGNNTTANNAQSSWQSLAPPLDSDLSLQLYK >itb05g12280.t1 pep chromosome:ASM357664v1:5:18787530:18789600:1 gene:itb05g12280 transcript:itb05g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPPESSPVPLVVVNAPATSVDGSGQCLLRWHRDLELQAADDGRRRACRHMAAAELVPGGGDMLLLFSFRRRRRCYSSSSRRRDLESRAADGGRRRSKMAATRLGFPARRYCLPHFFSVTFVSLSPFLSLAAGI >itb06g08130.t1 pep chromosome:ASM357664v1:6:12056203:12058740:-1 gene:itb06g08130 transcript:itb06g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASIIGSALIEPFKVVFGSIYAAMKNRFKIHSNYNHLERDITLVLALKSRLRNGLDDEQLQHLLPTTQVQDWLSKVEELENNFNSLRSTVTESGITSDATSCCSRSLHYKLSNNIAKQILKAKQLIEEGKSFENMIVGVVPVARPVQYIEVTSIKGQPTASRNLAKMMDLLKSEEYKRIGVWGMGGVGKTTLVKNLNNQLTNDPIFNIVIWVVVTRNATVESVQSKIAERLKLQHMMNKESMASLLYNKLKGERFLLILDDIWEEINLDVVGIPRPNEHSGSKIILTTRDFNVCQQMLTDIDLEMGRLHPEEAWKLFHETVEEEVVDDDQIKPMAEAIVEECDGLPLALIIVGASLRKKREIRLWQCALHALQKSEPSHIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEIDTYKLVQYWVAEGLIDEQQNFEQQQNEVVRIVDYLKDSCLLERGQRFNHVKMHDVVRDVGVWIAKSLEEGCKSINKAGISGVQISQQLFNCSERVKRVSFMESDIEFLPNCNIQCAEASTLFLQGNRRLLEVPNTFLQGFQMLRILDLSDTKIQFLPHSLLQLGELRALFLQNCHELFELPSLATLGMLQVLNCFGSAITKLPEDFEKLTNLRRLDLSRTSKLWKISSEKLSNLCNLEFLNMKGSAIKWGMMKVNDEYVPFEKLLCLNQLISFQIDLEDISHATAEHVSWLRRINSFFVNVSPDHKRSYGQYRPNAKIVFFSGFLFSGDESIGWLLVHAFSCQIRECKGVDLMLDNMVRSSVTLGPFLNLKSLTIVGCCIPVKRSSQGCDLIPNLEVLGFLSLDRIRKHFRFQQFTWTAFHQA >itb07g24490.t1 pep chromosome:ASM357664v1:7:28773084:28774207:1 gene:itb07g24490 transcript:itb07g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGAAGDGLLRGMFEGSISGHDTGIQRRPYHKNCGCALHKSRGHCHHSPKHAHVSYPIRRSWSDGCLALAAAAAATTASNSPSPCCSPTAAVASANDSIARKRHSSMIFSIDEEDDGDNK >itb12g19350.t2 pep chromosome:ASM357664v1:12:21722058:21726237:-1 gene:itb12g19350 transcript:itb12g19350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMAGQGEEKTRSAMVTTTGYWYADAPTNPQIHQIQQNFEPNPEIYNLTTGMEMIGWKSFFNKGAAAAACGGGGGGGPSSSKTGLSGEPSTSHEFYHQDFSTTSDTMMGGAPPPPGGAGGGAWVEDDPSLRCVFPCEGNERPSQGLSLSLSSSNPSAIGLQSFELRHHENDLRAPMMQHDGFLGKAVSNLNHPSETGYFQIRNSKYLGPAQDLLNEFCCLGTSADNSNHHSAKMKLQKTSQWQDENSASAASSSKKPSLGSLDLLELQKRKTKLLQMLEEVDRRYKHYCDQMKAVVSSFEAVAGNGAATVYSALASKAMSRHFRCLKDGIVAQIKAIKKATSEKDTVAPGTTKGETPRLRALDQALRQQRAFQQMTMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEETKEQENLLATSDDNGEGAGRNLQNPRNDTEDQKPNLVRIDSECISSIINNHPAAADDKNNASDQPRGGGEDDHHHQHHLHHQQAAFQIFGARGGGGDHQFGPVGMDFSSYSGGAAFSYAAVGGGSGGKSGGGGGGGVSLTLGLQQQGGNGGMGLAAAAASSSSLFYPRDQIEDCQTVPYSLLDSEGQNLPYRNLMGAQLLHDLAG >itb12g19350.t1 pep chromosome:ASM357664v1:12:21722058:21726237:-1 gene:itb12g19350 transcript:itb12g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMAGQGEEKTRSAMVTTTGYWYADAPTNPQIHQIQQNFEPNPEIYNLTTGMEMIGWKSFFNKGAAAAACGGGGGGGPSSSKTGLSGEPSTSHEFYHQDFSTTSDTMMGGAPPPPGGAGGGAWVEDDPSLRCVFPCEGNERPSQGLSLSLSSSNPSAIGLQSFELRHHENDLRAPMMQHDGFLGKAVSNLNHPSETGYFQIRNSKYLGPAQDLLNEFCCLGTSADNSNHHSAKMKLQKTSQWQDENSASAASSSKKPSLGSLDLLELQKRKTKLLQMLEEVDRRYKHYCDQMKAVVSSFEAVAGNGAATVYSALASKAMSRHFRCLKDGIVAQIKAIKKATSEKDTVAPGTTKGETPRLRALDQALRQQRAFQQMTMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEETKEQENLLATSDDNGEGAGRNLQNPRNDTEDQKPNLVRIDSECISSIINNHPAAADDKNNASDQPRGGGEDDHHHQHHLHHQQAAFQIFGARGGGGDHQFGPVGMDFSSYSGGAAFSYAAVGGGSGGKSGGGGGGGVSLTLGLQQQGGNGGMGLAAAAASSSSLFYPRDQIEDCQTVPYSLLDSEGQNLPYRNLMGAQLLHDLAG >itb14g17240.t1 pep chromosome:ASM357664v1:14:20527792:20529812:-1 gene:itb14g17240 transcript:itb14g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDTVKLISAEGFEFVIDKEAAMVSQTIRNMLTSPGGFAETEHREVTFPEISTTILEKICQYFYWSLQYARGKETEFHIEPELTLELMMAANYLHT >itb13g10640.t1 pep chromosome:ASM357664v1:13:15523029:15524429:1 gene:itb13g10640 transcript:itb13g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRTFILNGAHRHFSPLLSQKCPIRLLTSHCRRNSLFCYSSSPSCSSAQFTPQLRNESEMESDQWQRRWNVVEILEERGLVESITSENLRSICSNPNLPPLKVYCGFDPTAESLHLGNLLGLIVLSWFLRCGHKVVALLGGATGRIGDPSSKSVERPELDYVTLNHNIAGISANIRQVLLSPDPSYLTSNIQIIDNYDWWKDVKFLDFLRDVGRFARVGTMMSKESVKKRLENVEQGMSYAEFTYQLLQGYDFVHLFEKEGVTVQIGGSDQWGNITAGTDLIRRIIGKSSETEAAASLAYGLTFPLLLKSDGTKFGKSEEGAIWLSPSLLSPYKFYQYFFSVFDADVVRFLKILTFLTIEEIEELKTQMGNPGYAPNTAQRRLAEEVTRFVHGQEGLDEALKATEALRPGNADTKLDWKTIEGIAGDVPSCSLPYDQVLSISVLDLIVSSGLLESKSAARRMLK >itb02g08700.t1 pep chromosome:ASM357664v1:2:5520258:5524423:-1 gene:itb02g08700 transcript:itb02g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRAAISGRILKSSTVVGARSMSSWWQSVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGGFNMEYLPMGGSVNMIEESLKLAYGENSDLIKDKRIGAIQSLSGTGACRIFADFQKRFCPDSQIFIPVPTWSNHHNIWRDANVTQKTYRYYHPESKGLDFTGMMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWKEISHQLKVKGHFAFFDMAYQGFASGNTVKDAKAIQIFIEDGHQVGCAQSYAKNMGLYGQRIGCLSVVCDDDKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILGDPELKKLWLGEVKGMADRIIGMRTALRENLENLGSSLSWEHITNQIGMFCYSGMTPDQVDRLTKEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTK >itb03g28460.t1 pep chromosome:ASM357664v1:3:29213304:29214008:-1 gene:itb03g28460 transcript:itb03g28460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITDLNSDFFTLFSIAFPSKAIDRKWQPFPSPHCIFILQTIKPHQTPQNSTNTQPSNPTMAFLSHPQETHFYSLSQIPSPFPPIHPASETPLSLLLRTPPDKIPLHWHSGRDGRSPTHRAGYEGPESLTMKIGAMFVLDGVNLGDIIGVNETCLTVTEFDTQLSKFTVGLALETLRKTSEHRSLVNLERAFVQGHVDRTRVILGLDPEGDSLWVKVKMTKELIKYMVDVFDDE >itb12g00640.t3 pep chromosome:ASM357664v1:12:479799:484175:1 gene:itb12g00640 transcript:itb12g00640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb12g00640.t6 pep chromosome:ASM357664v1:12:480871:483651:1 gene:itb12g00640 transcript:itb12g00640.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb12g00640.t2 pep chromosome:ASM357664v1:12:479799:484175:1 gene:itb12g00640 transcript:itb12g00640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAVVAVVYVMLIEYSATAMYSSRLVHRFSDEVRKHKESRNGAWPERRSLGYYRSLISSDARRQKMKLGPKFQLVFPSQGSKTLPLGNEFGWLHYTWIDIGTPHVSFLVAVDAGSDLLWLPCDCIQCAPLSASYYSSLDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb12g00640.t7 pep chromosome:ASM357664v1:12:479809:484175:1 gene:itb12g00640 transcript:itb12g00640.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSGFFCSVRLHYTWIDIGTPHVSFLVAVDAGSDLLWLPCDCIQCAPLSASYYSSLDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb12g00640.t5 pep chromosome:ASM357664v1:12:479844:483651:1 gene:itb12g00640 transcript:itb12g00640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb12g00640.t1 pep chromosome:ASM357664v1:12:479799:484175:1 gene:itb12g00640 transcript:itb12g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGYITHGLISELHMFRFSSQWMLEVIYFGFRVIAYNALLYQQVTIAVWCSLVFGASIMQDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb12g00640.t8 pep chromosome:ASM357664v1:12:479809:483109:1 gene:itb12g00640 transcript:itb12g00640.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSSQWMLEVIYFGFRVIAYNALLYQQVTIAVWCSLVFGASIMQDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCE >itb12g00640.t4 pep chromosome:ASM357664v1:12:479799:484175:1 gene:itb12g00640 transcript:itb12g00640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSSQWMLEVIYFGFRVIAYNALLYQQVTIAVWCSLVFGASIMQDKDLNEYDPSGSNSSKYLSCSHQLCEKSSTCKSSKQPCPYTVNYYSDATSTSGLLVEDIIHLTSNKNNVSNNSLRAAIILGCGSKQSGSYLNGVAPDGLMGLGPGEISVPSRLAKAGLTRNSFSLCFKEDDSGRIFFGDQGISNQQTTPFLLSDGKYVTYVVGVEMCCVDSTCLQQTSFKALVDSGTSFTFLPNEIYDKVVQEFNRQVNAAKTRFDGYPWEYCYKSSSKGLPKVPLLALNFGLNNSFVVQDPIVAIYGTQGLAGFCLAVQPIRGDIGIIGQNFMTGYRMVFDRENQKMGWSRSDCHDFADDTESASSGRLPNPLPAIEQANASGNHGVAPAAVGGSTPSKANAMIKYQLFLANFFLFSVLSSHNPFRTLLLMF >itb06g22650.t1 pep chromosome:ASM357664v1:6:24902812:24906126:1 gene:itb06g22650 transcript:itb06g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNAFTGAKLESLPLRNAGASTSAPIAVFCNSGRGRMALVHKGSLNFAVRCESATSDVVAPTESTPDSANVALASSLSALQQLKTSAADRYTKERSSIVVIGLSIHTTPVEIREKLAIPEAEWSRAIGELCNLNHIEEAAVLSTCNRMEIYVVALSLHRGVKEVTEWMSKISGVPVSEICKHRFLLYNKDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVSGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPEASHASARMLVIGAGKMGMLVIKHLAAKGCTRMVVVNRSEDRVAAIQDEMKDAEIIYKPMSEMLNCAAEADVIFTSTASETALFLRENVIDLPPVSPSVGGLRLFVDISVPRNVGACVDALDTARVYNVDDLKEVVAANKEDRLRKAMEAQAIISEESSQFEAWRDSLETVPTIKKLRAYAERIRVAELEKCMSKMGDDITKKARKAVDDLSRGIVNKILHGPMQHLRCDGSDTRTLSETLENMHALNRMFSLETEISVLEQKIRAKVEQSQN >itb03g17050.t1 pep chromosome:ASM357664v1:3:15792538:15794770:-1 gene:itb03g17050 transcript:itb03g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSESMNRKLHVAMFPWLAYGHMIPFLHLSNELAKRGHKVSVLLPKNATLKLANLNLHPHLITFHALTLPPVEGAPPGAETSSDSKDSLALARALDGTRDQVKAILVAAGDKPDFIFFDFAYWIPEVASEIGCKSVYFKVIGPTISALGLVVLAGSGKTLTASDLTEPPPEYPSSKVVLREHEARQVAQFANIPGNQMTTLFERGFAGMRTCDALAMRTCKEIEGQFCDYIASHFGRRPMLYTGLILPEIQEDPLDPAISNFLEKSRPNSVVFCAFGNERHMEKDQFQELLLGFEKTGLPFLLALRAPKGANSIDEALPEGFQERVKDRGMVRDWVPQTQVLAHKSIGCFVNHCGYGSMWEALLSHCQLVFVPNIIDQTLNTRLMADELGVAVEVEKGENGWISKENLCRAVRSVMDEDSQIGCLVRENHKKWRQVLTRPGFMTDYVESFIEDLHGLLV >itb10g00900.t1 pep chromosome:ASM357664v1:10:648093:657974:1 gene:itb10g00900 transcript:itb10g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAQVNKAHKTRFSSKSSRNVHKVPSQDKGKWARPERNVLKGARATRLQRNKMIREQKKAALLKEKRASSGSDAPPRVIVLFGLSASLDLCSLEQDILTLLSAEGTSSGFPAVASSEFKLRATVLKAPHGDLLACMEMAKVADLIAFVASAISTSQEDYIDSFGYHCLSVFRAIGLPSTAVLIRDLPSELKRKQDLKKACISSLASEFPEGCKFYPAGTKDELHKFMWLFKEQRLTAPHWRNQRPYVMAQKVDLVADDSASGKCTVLLTGYVRARGLSINQLVHISGVGDFQLSKIELLKDPCSLNARKGDDLMESDEIDNAQVISCLTPDPLKQEHLLIENVPDPLAGEQTWPTEEEMAEAERNHKEKKLKNKMLPRGTSDYQAAWIVDDSDVDNSESEENEDGDDGMVLDNGEDVIPRQGHGDCFALDEDQGSLSLHSDEETDTDSVMMESEKLTKEQIEDEIKKIKESHAEDEEYPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFTRTQKLVLAKAQDLEQNNVSECVPAGSYARLHIRDVPIGAANKLCMLVKTMPVISCGLLQHESKVSVLHFSIKKHESYSAPIKAKDEMLFHVGFRQFVARPIFSSDNINSDKHKMERFLHAGRFSIASIYAPISFPPLPLIALKTDGESTSLAVAAVGSLRSIDPDRIILKKIILTGYPQRVSKMKASVRYMFHNPEDVRWFKPVEVWTKCGRRGRIREPLGTHGAMKCVFNGVLQQHDTVCMSLFKRAYPRWPNQWYPIGA >itb10g24770.t1 pep chromosome:ASM357664v1:10:28412862:28414781:-1 gene:itb10g24770 transcript:itb10g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHIAPAPAPALHHRNVSRRAPSPSAAVFHRTFSSPETSSAMRSNSSSSPPSKLRVEFSPPLIAMVVIIAAAFIGITYSRLIYRHLIHFHRRYRRWRRRRRRYVPSSSAGDIESPPYSFDPTDGFHVLSPYGLDETVIKTIPLSIYSRKSSVHDCAVCLLEFEDDDYVRTLPVCSHAFHVDCIDIWLRSHANCPLCRAGIFRPDSPFTPVMAARIRPSLEDMIMESTILEPLAEIPPESDVATIGEITMEPSPRRNNQSEDRFNGPDFLLKRSYSFGFERSIGSERLVLEATTASPWRYRRGGGGSFWSKRSPFSSLSKPRVFSFRYYRGMKSPFFRPRRSGGFFPLSESSVRFGSASGGGGGSSSRRTKSFASPMFMRSSGAPNSFFSSSRLRSGDPEALLSPDRFNRR >itb08g01070.t1 pep chromosome:ASM357664v1:8:802678:805762:1 gene:itb08g01070 transcript:itb08g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAELERVQIGILRRISDLELAHLPDTFSQNLSITSPNDSAADDTTEGRLSTILRENGVRDFAFKRVATDYYDWPLEARRDALAAASIDHLCKSIVLVNTQAPTSVTDCKDRNNSKYYLVVVQYTARFNAEAVKNFLYTLNDGKIAKKKFNMRLAPEEISQSLTGYGHNAVTCVGMKTDIPVILDEAITKLKPDFFWLGGGEVDLKLGIKTPEFIDAVKPFIVNCSGS >itb05g05070.t2 pep chromosome:ASM357664v1:5:4840620:4841500:-1 gene:itb05g05070 transcript:itb05g05070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHQPRLLFTKCFLVYWNGWSSDSFNSVGLELWQTEKYMIGITGMLRMVLETSTLNPQTPRPLVEGRRRKCTLHQFQFNSVEEDDTDIKRINNTCAEEPVISIC >itb05g05070.t1 pep chromosome:ASM357664v1:5:4840620:4842303:-1 gene:itb05g05070 transcript:itb05g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLTSTRSLIWEKQHLIRSESDELDVLFTKCFLVYWNGWSSDSFNSVGLELWQTEKYMIGITGMLRMVLETSTLNPQTPRPLVEGRRRKCTLHQFQFNSVEEDDTDIKRINNTCAEEPVISIC >itb11g00240.t1 pep chromosome:ASM357664v1:11:108487:110635:1 gene:itb11g00240 transcript:itb11g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQPLATESFSYSWLLNGNLPLDGLADSESPRISIDEAGAFEITTFIVHQKRLLNEEAQNFNFDLPAASSESAILVHADEIFSEGQIMPLYIDRSKNEAVKESLSSSITAPESAHTPTTQKEFIEKWRGLPSRMLHKWLRYLKPLLKRLGMQRNTAKVGCQASAPRFTKAYSAVDRTGEKQTGNKKKLRKTRSWSNTPQASPLQSPYHSTDDNHDKECLVTEAILHCKRSFDCRNSRNQTSILCLHVYTAAQVLILNVREASFQYITLSAGTFAPIQFVSTKYVRILDLRTKINQIGQQSDRRSAFWNQFPKANLAENAFQVAFPP >itb11g14000.t1 pep chromosome:ASM357664v1:11:11062830:11063993:-1 gene:itb11g14000 transcript:itb11g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMHYLVSVTKDGDDEKLEVLIDFLMACPEAVKDATVECKTPMHETNLAESSDTEVICSGFHALSELQRCVNSLLTLPHTIQALSRHEDYEKWVDDLLKNSVKILDICGTTREIVLQGIFFVISGPV >itb05g13310.t1 pep chromosome:ASM357664v1:5:20254112:20254752:1 gene:itb05g13310 transcript:itb05g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAALAEAYVMRKLHKQKMKKTTENSATAQNRSAGNPSSAGCFPLIFKKVHPSAAPPSSASSRFPPETAMSDIKS >itb04g03500.t1 pep chromosome:ASM357664v1:4:2144565:2147030:-1 gene:itb04g03500 transcript:itb04g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRSAVLRHVRLPVSQALTARGSILSSARWMSSHDDHLSKAEVVERVLDVVKSFPKVDPSKVTHEVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCALAIEYISNHPMAG >itb07g16010.t2 pep chromosome:ASM357664v1:7:19171033:19172572:-1 gene:itb07g16010 transcript:itb07g16010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYTDFGQITVALKLLERSPNLFELEIKAENMPSSRWIDKDDKDAASRINQDLKMLKTIFKIGSFSRSILDMDMHITIAFFRFLNRAGAMY >itb07g16010.t1 pep chromosome:ASM357664v1:7:19171033:19172572:-1 gene:itb07g16010 transcript:itb07g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVCVYGRDLLLLDDVAVVAQAFPTANLQVIWEMDSYTDFGQITVALKLLERSPNLFELEIKAENMPSSRWIDKDDKDAASRINQDLKMLKTIFKIGSFSRSILDMDMHITIAFFRFLNRAGAMY >itb08g16650.t1 pep chromosome:ASM357664v1:8:18678678:18680006:1 gene:itb08g16650 transcript:itb08g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQVFTYYQSKTTPLLVSSSFISDLPKSHSFKHAVSDPLFSKCYSSMKITRKNVIPVLVFVLSVASLIRLLKISVITASFSSFYYYPWSPGGTAALPPTRLALVSGDDHHLNILSKKEMRFLSDLISERAPCNILVFGLESQYSGLISMLNVGGFTLFLEDNDDKMKTETMMMSMNKSRVHKVEYQTRAEDAYQLLKDARKHPHLCSPLASYSITSNRSSNGRSGRCKLANALLARLSQEVYETDWDVVVVDGPSGHQAESPGRMATIYAAAVLARKIRTTSDDEKMQAVDVVVHDVDRMIEKWFSWEFLCANNLVASKGRFWNFRIQPYKSNNATKFC >itb05g05200.t1 pep chromosome:ASM357664v1:5:4965400:4966582:-1 gene:itb05g05200 transcript:itb05g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVLALRQTRVKYIADLGALAKTNPILAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRFPRLGKNGEVHPNRPMKNKRRAKRKRQWRAKRMRNGHGEKEVWRRSSRAHSLRFLGQKLSFLAK >itb03g05800.t1 pep chromosome:ASM357664v1:3:4120188:4122806:-1 gene:itb03g05800 transcript:itb03g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRPHAVCIPSPAQGHICAMLKLAKLLHHKGFHITYVLTQLNYTHIMQARDFRPLNQTPTFRFETIPDGIPERETRNSAIDLTEMVFSVSKNCPAPFKALIQKLNAASSEDVPPVSCIVSDACMSSTTIGAAQELGIPAIMYWPFSGVGSMLHLHSPHLRDKVSINKDDYIIDWIPGVKSIRVGDIPTSAWSPDPKDPVVDYIISQVSGSYNASAVIFHTFDELEPEVCKALCSMFNRAYTIGPIPMLLKGFPENEINKIECNMWKEDQDCFQWLNSKTPKSVVYVNFGSMAVTSPDKLVELAIGLCKSQQNFLWIIRPELIYGDWSTILPPEFTDVIKGGRGYVAGWCDQEQVLNHPSIGGFLSHCGWNSIVESMTAGVAMICWSCFAEQQLNRLCCCSEWGFGLEIDLDVNRENVESVVRELMEGEKGREAKQKAMFWKKRGEAATAIGGSSFLNLDKLIGQILLSDG >itb11g07170.t1 pep chromosome:ASM357664v1:11:4363087:4368456:1 gene:itb11g07170 transcript:itb11g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEGEDRVIEKEEGLPPALLGSCNDRAKQLHNSPSGRLLTALICEYLDWAQLNHTSKVYLPECNLQKDFWKSELKEFSSKNGYDINRNGDSGPLLLDVLEGFLKYENLSQARGAGRRLTTADGELETRNVRRPSSVAGGLPPLGRPVPASQASDRRAGSSMSGYRKDEYNWRYDSDEDAEDVVRASAALENLQLDRKARNLTTSWRHAGDSDADGRIE >itb01g19260.t1 pep chromosome:ASM357664v1:1:25222801:25231888:-1 gene:itb01g19260 transcript:itb01g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLISISFLLLIHLTSTQASSSAQMAKPGCQTKCGNLSIPYPFGIGKGCYLDHEFEVTCNKSTNLASLSLVGGLNIYNISTEYVAVEAVNSPFLFNQSTGENLDGSLLGSSLLPSKHYNFSSSKNKLVAMGCDVFAYIKDRTSGEIISECASLCRHDTSSPLTVPLAIYQTGPQASCSGHGCCESLNISGPMTNFSAMMHTMNTLDRSWVGSGCNFLLIVDRDFSDYGRINVSDCDRSYELPLVLDWTVSDRSCGDEGTATICGLNSDCVNHSGRRGYSCHCKVGYEGNPYLQSGCQDINECEGRNGAKHNCSKNAYCVNTPGSYSCNCLSGYHDTADGCILDQQRHTWILYLCLGIGLSIGFLVMIVLGFWLHKELKRRKQAKVKKQFFRKNGGLLLEQQISFNKGSVADTQVFTIEELEKATDNFNVGRILGKGGLGTVYKGMLPDGSIVALKKPNQLNEKEIDQFINEIFILSQINHRNIVKVLGCCLEVQIPMVVYEYVPNGNLSYYLHGRRHTDDQKRSLSWMDRHRIAAEVAGALAYLHSCASMAIFHRDIKSSNILLDENFRAVVSDFGLSKSVPVDKTHLTTTVGGTFGYLDPEYFRSGQLNDKSDVYAFGVVLAELLTGRKVIPTEKNDECLVSRFVSLTKQDRAFEILDKQIVEDGEEEEIMAVVKIARKCLKWSSRKRPGMKEVAAELDRLRKTTMGKGKGSVVSPVRSMGDEYYCSFSHSFETCAAMEDSSEESIYSVDAASSFLK >itb01g19260.t2 pep chromosome:ASM357664v1:1:25222801:25231902:-1 gene:itb01g19260 transcript:itb01g19260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLISISFLLLIHLTSTQASSSAQMAKPGCQTKCGNLSIPYPFGIGKGCYLDHEFEVTCNKSTNLASLSLVGGLNIYNISTEYVAVEAVNSPFLFNQSTGENLDGSLLGSSLLPSKHYNFSSSKNKLVAMGCDVFAYIKDRTSGEIISECASLCRHDTSSPLTVPLGPQASCSGHGCCESLNISGPMTNFSAMMHTMNTLDRSWVGSGCNFLLIVDRDFSDYGRINVSDCDRSYELPLVLDWTVSDRSCGDEGTATICGLNSDCVNHSGRRGYSCHCKVGYEGNPYLQSGCQDINECEGRNGAKHNCSKNAYCVNTPGSYSCNCLSGYHDTADGCILDQQRHTWILYLCLGIGLSIGFLVMIVLGFWLHKELKRRKQAKVKKQFFRKNGGLLLEQQISFNKGSVADTQVFTIEELEKATDNFNVGRILGKGGLGTVYKGMLPDGSIVALKKPNQLNEKEIDQFINEIFILSQINHRNIVKVLGCCLEVQIPMVVYEYVPNGNLSYYLHGRRHTDDQKRSLSWMDRHRIAAEVAGALAYLHSCASMAIFHRDIKSSNILLDENFRAVVSDFGLSKSVPVDKTHLTTTVGGTFGYLDPEYFRSGQLNDKSDVYAFGVVLAELLTGRKVIPTEKNDECLVSRFVSLTKQDRAFEILDKQIVEDGEEEEIMAVVKIARKCLKWSSRKRPGMKEVAAELDRLRKTTMGKGKGSVVSPVRSMGDEYYCSFSHSFETCAAMEDSSEESIYSVDAASSFLK >itb01g11040.t1 pep chromosome:ASM357664v1:1:9655928:9657589:-1 gene:itb01g11040 transcript:itb01g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMECYGKRRTLHSFMDEEKNSTQSSLSPPNHHNLSYKSIQELCDHEQQQLQISSPPSSIATMYSLIPPPSPESPWTLSPLQTPSPSLLYHCITSLHRQDGIIHSVAISKGLVFTGSESSRIRAWRQPDCMERGYIKARCGDVRAMLAYGNTLFTSHKDHKVRAWSVASSSSLEKNYSHFQAKKIAVLPKKGSSFSIFSRARGASGQHHKDCVSCMAYYHAGGLLYTGSWDKTVKVWAVSSGRCVDSFHAHEDNVNAVVVNQDDGCVFSCSLDGSVKIWRRVYGQSSHTLTMTLKFQPSPVNALALSLTTSSCFLYSGSSDGFINFWEKEKMSGRFNHGGFLQGHRFAVLCLVAIEKLVFSGSEDTTIRVWRREEGSYFHECLAVLDAHRGPVKCLAVSLEIEKYVMGFLVYSASLDQTFKVWRIKVLPEAGEEKRGCLEDNNNNNSEEGDGAGDSKTKMKFEHSPVLSPSWVEKKLQDVHPLHH >itb08g16510.t1 pep chromosome:ASM357664v1:8:18595496:18602218:1 gene:itb08g16510 transcript:itb08g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDQPHIQNPSFAATFLFLMPYLLSTDYATGYYRYRANIPQIRRARRFSGSLPRVLAASSVPAYRSSGVRPTAGAPATPLSRLSASCLLSVLDFGVQFSGLFERYSLIENCKIKAPDLQEMADKLAPEKHHSFIHNGQKVFEWDQTLEELNIYINLPENVPKKLFYCKIESKHLVVGIKGNPPYLNHDLTNHVKTDCSFWTLEDDIMHVTLQKRDKGQTWSSPIMGQGQLDPYTTDLEQKRLMLQRFQEEARVLLGPAMNNLLSKWLGSWAVSERSR >itb02g13810.t1 pep chromosome:ASM357664v1:2:10065943:10066721:1 gene:itb02g13810 transcript:itb02g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB [Source:Projected from Arabidopsis thaliana (AT5G63090) UniProtKB/TrEMBL;Acc:A0A178UKE6] MASSSSYSSPCAACKFLRRKCLPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVSSLAYEAEARVRDPVYGCVGAISYLQRQVERLQKELDAANADLIRYACNEVPPPPPPQQLSGGNLRRRMGNDGGTFHQRSGPVMSMGVHYPYPFPWDDDNNNNYPQGGGEGSA >itb06g11300.t1 pep chromosome:ASM357664v1:6:15878768:15885332:-1 gene:itb06g11300 transcript:itb06g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIQLLLVPVFIQLFVIVALTDKDDYAAIKSLKDSWENVPSNWDGSDPCGDHWDGIGCHGSNVVSLTLSSMNLNGQLSRDIGGLSELQNLDLSYNKGLTGSLPREIGNLTKLSNLNLVGCGFSGQIPDTIGSLSKLKFLSLNVNKFFGPIPPSLGKLSELYWLDLADNMLTGSIPVSDGSTPGLDMLVEAQHFHFGRNNFSGEIPSQLFSSNMKLKHLLLEQNQFTGSIPETLELVRSLTFVNLDGNLLSGSVPSNLNNLSSINELHLSNNNLNGPFPNLTGLNGIHYVDLSNNTFNPTDFPSWFSTLQNLTTLVLDGTGLQGPIPASLFGLYQLQNVIMRNNKLNGTLSIGPNYSSQLRLVDLQNNFIESFPQGPAYQFQTSLVSNPICFEGNSASYCAIPREYNSSYSTPPTNCSPSPCSSDQTSSPTCACAYPYTGYILFRTPSFSDLANSSEFVALQDSMMQSFKSLQLPVDSVSLSNPTKNLDYYLVLYVQVFPNGQNHFNQTGVSALGFLLSYQNFKPPESFGPFIFIADNYKFLNGLSKSLSTGIIIGAAVGGSVLVILSLIIGVYAFYQKRRAQEAVKKIDPFASWDRSKSSGTVPQLQGTKCFTFEEVVKCTNNFSEAIGVGGFGNVYKGTLSNGQMVAIKRSLQGSMQGPPEFKSEIELLSRVHHKNVVGLVGFCFDQNEHVLIYEFMPNGTLRESLSGKSGIKLDWMRRLTIALGAAKGLQYLHDLVNPPIIHRDIKTNNILLDENLNAKVADFGLSKSLNEHESTHVTTQIKGTMGYLDPEYYLTNQLTDKSDVYSFGVVLLEIITARCPIEKGKYIVREVKEAMDKTKDMYSLHGFLDSSIHSSTTPPSIEKFVDVALRCVEGTALKRPTMGEVVKEIESIIEMVGLNHNAESASTSDTYSGVSKSSGHLYSDESLFVYTRVQPK >itb04g20410.t1 pep chromosome:ASM357664v1:4:25115326:25116445:1 gene:itb04g20410 transcript:itb04g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEHDLAGTTAASTPGCVKPSCIVPVNHISDTRFCISTSKKWKLHSTAQIDNLVLNDEEKKMWDSCRQALSSFKFSVEEEDKILGKAFGYIHTPYWYEERKKEVPQLETVNEILDYLRSLNLTDDDLCKVLKKFPEVLGCGLEDELKNNVQVLSKQWGIEGKTLRNLLLRNPKVLGYNVDCKGDCEAKCTRCWARF >itb11g05180.t1 pep chromosome:ASM357664v1:11:3067817:3068227:1 gene:itb11g05180 transcript:itb11g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISENITVLEQIPVAPSPEGTPKITLPFTFLDLLWLHMTSVHRLIFYQHPISRTHFLETVIPAMKHSLSLTLRHYTPLAGKLIVSPDNSILSEIRYEEGDTVPLVLAESEGDFDHLTSDQAKSCTDFHEGLGILL >itb14g17760.t1 pep chromosome:ASM357664v1:14:20956591:20958624:1 gene:itb14g17760 transcript:itb14g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRKLRCELNRLNFQGFYTVVSEKNDELITVATVRPSGGGSGVSAPAPVPPSPCAKATLDYSATGAEQKEDETFKCYQRRRTLQPVEAKLTYLTLNFCQFAAENLDMNFVDENQKPGLWEFLILASDGLWDKVSNQEAVDAVRPLCTGSEKPQLLSACRKLVDLSVSRLF >itb13g21710.t1 pep chromosome:ASM357664v1:13:28244387:28248861:-1 gene:itb13g21710 transcript:itb13g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKPAVGCKMEQGKNCCDACRRVLKEDDDNRCHVRLLDPPQSPRRSRCSYYMYSVPFTSLPLYGEKAEYVRCLAGGCKNTSAVPPETSGTKKRQRFPDLKGFAAGMYSGLTYGLKEARGVHDWKNSALAGAVTGAALALTTDDHSHEQVVQCAITGAAISTVANLLTGVF >itb08g08200.t1 pep chromosome:ASM357664v1:8:7114733:7117772:1 gene:itb08g08200 transcript:itb08g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTATSPLLRSNSLSLNPSLIQSLKPLNKHQLRRLRRSLNQRRFGIGRCRAELANDAPIAVAIGACILNSLVFPITPSPDDEETDSVIDSADARFAVMGIISFIPYFNWMSWIFAWLDTGKRRYAVYAIVYLAPYLRTNLSLSPEESWLPIASILLCILHIQLEASIKDGDIQGLRFFSEARKHLSTFTSKTDSTIYEEEITPDHKNLPSAQWRDNKLKWRVPEKPSKDPEHSDKDGEDPTGRKH >itb08g08200.t4 pep chromosome:ASM357664v1:8:7114733:7117772:1 gene:itb08g08200 transcript:itb08g08200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTATSPLLRSNSLSLNPSLIQSLKPLNKHQLRRLRRSLNQRRFGIGRCRAELANDAPIAVAIGACILNSLVFPITPSPDDEETDSVIDSADARFAVMGIISFIPYFNWMSWIFAWLDTGKRRYAVYAIVYLAPYLRTNLSLSPEESWLPIASILLCILHIQVFYLLLKKPRGTNFARVLIGHCVLAA >itb08g08200.t3 pep chromosome:ASM357664v1:8:7114733:7117772:1 gene:itb08g08200 transcript:itb08g08200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTATSPLLRSNSLSLNPSLIQSLKPLNKHQLRRLRRSLNQRRFGIGRCRAELANDAPIAVAIGACILNSLVFPITPSPDDEETDSVIDSADARFAVMGIISFIPYFNWMSWIFAWLDTGKRRYAVYAIVYLAPYLRTNLSLSPEESWLPIASILLCILHIQVFYLLLKKPRGTNFARVLIGHCVLAA >itb08g08200.t2 pep chromosome:ASM357664v1:8:7114733:7117772:1 gene:itb08g08200 transcript:itb08g08200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTATSPLLRSNSLSLNPSLIQSLKPLNKHQLRRLRRSLNQRRFGIGRCRAELANDAPIAVAIGACILNSLVFPITPSPDDEETDSVIDSADARFAVMGIISFIPYFNWMSWIFAWLDTGKRRYAVYAIVYLAPYLRTNLSLSPEESWLPIASILLCILHIQLEASIKDGDIQGLRFFSEARKHLSTFTSKTDSTIYEEVKPSKSHKNLTVYTF >itb08g14970.t1 pep chromosome:ASM357664v1:8:16883041:16886922:-1 gene:itb08g14970 transcript:itb08g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSSLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDSQGSAYDRSRGNRRNWILVWEDGFCNFAASTSAGEMNAEECPAGSSSAYDYQQYQGLQPELFFKMSHEIYNYGEGIIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFQSGIKTIALIAVREGVIQLGAIHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSAAYPMKIDGYALSPEAWPPHFQPNIVPPPPPAEYYDHFNHHNHQPPPPQTAAAAAAMMKITPSMSSLEALLSKLPSVVPTGPSMAVPGNYSDVAQPYMVAKEEYEEDDEENNKNKNEGENNNNNKDVGESSSSMSSYSHHHHHHYGYHYDLNVREFN >itb14g13960.t1 pep chromosome:ASM357664v1:14:16340048:16341112:1 gene:itb14g13960 transcript:itb14g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSKKDNNLSVIYKTIVDKTYLDSFLTLSNALQQPKCTFAEIDNELKQKPVAWVRGKLRMKNVGPLEYYIGCNYCNKTVNSIEGLKLHCLYCGQTDGLTVRRYKLNVEISDGSTIVQATLFNHDVHRLMLLVGIEMPTTVEESEIFQQKFDAIDFVVGLRINALNEDHPSTLTYSVACICKDITTDIGEQQATPHARSSNIVEETFMVGNPTKKRLDFDESSKHAIDILEDATSKEKSVSLDKGKRAKVD >itb01g35860.t1 pep chromosome:ASM357664v1:1:37837152:37841763:-1 gene:itb01g35860 transcript:itb01g35860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSGEGGSGLPTTDDLESLISTTDAELLKPAWRNEKGAPQILQFEDALLLLSTDLGIRCQYHLLLSLPLPPLNQPTTSLRRYLLHNHLLPPLSSHINLLTSNRANNPPPSRLLPPLSN >itb03g00360.t2 pep chromosome:ASM357664v1:3:179556:182433:1 gene:itb03g00360 transcript:itb03g00360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSACLMHAFSYASAVPNEAKQGNPMHALGESISFGRFMSESLAWEKWSTFSHNKYVEEAERYARPGSVAQKKAFFEAHYKRIAAKKAAALLEQANEDSSESINHDINSKEDPPIINGDDEHSSVTVAAGDDEDCKPETEEVNLNLKALPRVEAQETVSGSELSETPQMERPLLKNSCSTKEEDEDEVSSAPPPPPPIKKRSALSSLKSSIHGKTPRIPSTPAMPYTYPHLNKENIITPIAPIMKSYGAVSIGVMKPTSKSLSSLLNLTPAKDPDTAPPLAKETSQVAPISTKAAKNCPTPLMTPMATSNGLSKLYSATPASENGRTKTPVNPIPSGSKTSGPKWHLLTSVCSKSLTACRNKLQSPNLSTTPFRLRTEERAARRKQKLEEKFNAKEEVQKVQLQTKLKVQALSIKY >itb03g00360.t1 pep chromosome:ASM357664v1:3:179556:182433:1 gene:itb03g00360 transcript:itb03g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSACLMHAFSYASAVPNEAKQGNPMHALGESISFGRFMSESLAWEKWSTFSHNKYVEEAERYARPGSVAQKKAFFEAHYKRIAAKKAAALLEQANEDSSESINHDINSKEDPPIINGDDEHSSVTVAAGDDEDCKPETEEVNLNLKALPRVEAQETVSGSELSETPQMERPLLKNSCSTKEEDEDEVSSAPPPPPPIKKRSALSSLKSSIHGKTPRIPSTPAMPYTYPHLNKENIITPIAPIMKSYGAVSIGVMKPTSKSLSSLLNLTPAKDPDTAPPLAKETSQVAPISTKAAKNCPTPLMTPMATSNGLSKLYSATPASENGRTKTPVNPIPSGSKTSGPKWHLLTSVCSKSLTACRNKLQSPNLSTTPFRLRTEERAARRKQKLEEKFNAKEEVQKVQLQTKLKEKAGTELRKLGRSLCFKARPLPDFYKERETTKNQSAKENPVIHPQPLKLGKKSSSSSRMPGTVSSLTKSSGASKNAAKTNDKRPTVSVSVTSPRSIA >itb04g26320.t1 pep chromosome:ASM357664v1:4:30643592:30645080:1 gene:itb04g26320 transcript:itb04g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQTADISGCLSCKHSQDSFDNVLLDLYKKCGKVDEQIVLLKQKLRKIYQGKVFNGRPTKTARSHGKKFQVSVKQETARILGSLGWAYMMKSNFITAEVVYRKAQMIDADGNKACNLAYCLIKQSRHDEARFFLEQVSSGRYPGSDEPKTRARLEELLSELDSVQPPCFMQNLPAGPGLGLELDHDFLAELDGVMSEWGPPRSRRLPVFEAITPIRDQLAC >itb11g13280.t1 pep chromosome:ASM357664v1:11:10279907:10284723:1 gene:itb11g13280 transcript:itb11g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCLSSARSSLLSLGDAELDAQLDSLRNKLTQAGKESADLNRELRALERQSRMSNHSVATLNEALQFYNEQNVQEKFEELVKIASEFRSSLENHKNRTFTEVEHLRAEKIRKLDGDTLRLNYHGGHDHSGLLNAKLDDLHQFLDSIMTK >itb10g24650.t1 pep chromosome:ASM357664v1:10:28351963:28353249:-1 gene:itb10g24650 transcript:itb10g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFSGIRFSSPAAICSLLGCFLVFNLYFWNFLGEKADKGNTHHQFLHEIELIQIPQESDNLTDEFLDESSKFRHIFFPDRTIAVDPRKDDPEENLYHYPGRSWLDSDGNPIQAHGGGILYDEKSRTYFWYGEYKDGPTYRARGRGTARVDIIGVGCYSSKDLWTWKNEGLVLSADKNNITHDLHKSKVLERPKVVYNDKTGKYVMWMHIDDGTYGKASQGIALSDSPTGPFRYLHSKRPNGSESRDITVFKDDDDGKAYVIFSSVHNKELHISPLSQDFLDVSNVMAKALVGQYREAPALFKYKGKYFMVTSGCSGWAPNEALVHVADSVMGPWETIGNPCVGGSKGFRVATFFSQSTFVLPMPNSPPGWFIFMADRWKPDDLRDSRYVWLPLRVEEVEDGVVMRPRVSVFWHKRWRLPDKKHLEM >itb10g18140.t1 pep chromosome:ASM357664v1:10:24174766:24175916:1 gene:itb10g18140 transcript:itb10g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSQTSDTYHMVPVVGVMVTNLNNEPVIKALQKSFKALELQHDIIENLCARIASLSATSHNHATSLANLERLVKHILTNQGKQRVQEEKSEVVVHTEGVSNTANVDHSRHFHKCPKVEFPLFLGEECPMVWLLRCESSFRHADTPNLDQVLLVAYHMIGEAQLWYHSETTVSPFASWATFKEECCLSFGPPRSISLLGELKQLFKTGRHMHNTPSRVDLFTGGLDEVLWIDVERTKPSSLNKAINTTDDF >itb05g19870.t1 pep chromosome:ASM357664v1:5:26158890:26164214:1 gene:itb05g19870 transcript:itb05g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILSAALVSTLVGLAASNVGIIPHGAPAYSTVLGFLLPLTIPLLLFRADLRSVLRSTGPLLLAFLLGSVATVVGTVVAFLVVPMRSLGQDNWKIAAALMGSYIGGTINYIAICNALSVSPSVVAAGVAADNVICAIYFIVLFALASKIPSEASTSTSDATATMDSRPESKLPVLQIATAVAVSFAICKAGTSFTRFLRIEGADLPAITAIVVLLATIFPTHFRQLAPTGDAIAVVLMQVFFTVVGASGSIWNVVNTAPSIFMFAVVQVSVHLFVILGLGKLFGVELKLLLLASNANIGGPTTACGMATTKGWTSLVVPGILAGIFGISIATFLGIGFGLFVLKHM >itb05g19870.t2 pep chromosome:ASM357664v1:5:26158890:26164214:1 gene:itb05g19870 transcript:itb05g19870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILSAALVSTLVGLAASNVGIIPHGAPAYSTVLGFLLPLTIPLLLFRADLRSVLRSTGPLLLAFLLGSVATVVGTVVAFLVVPMRSLGQDNWKIAAALMGSYIGGTINYIAICNALSVSPSVVAAGVAADNVICAIYFIVLFALASKIPSEASTSTSDATATMDSRPESKLPVLQIATAVAVSFAICKAGTSFTRFLRIEGADLPAITAIVVLLATIFPTHFRQLAPTGDAIAVVLMQVFFTVVGASGSIWNVVNTAPSIFMFAVVQVSVHLFVILGLGKLFGVELKLLLLASNANIGGPTTACGMATTKGWTSLVVPGILAGIFGISIATFLGIGFGLFVLKHM >itb05g19870.t3 pep chromosome:ASM357664v1:5:26158890:26164214:1 gene:itb05g19870 transcript:itb05g19870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAPSPLLATRPPLSSSIPRIVLYSRLNIPALSNEGIRLRHSPTFASRRCSVTANSHSGSPLISPEDNWGTWTALFATGAFSLWSEKTKMGSILSAALVSTLVGLAASNVGIIPHGAPAYSTVLGFLLPLTIPLLLFRADLRSVLRSTGPLLLAFLLGSVATVVGTVVAFLVVPMRSLGQDNWKIAAALMGSYIGGTINYIAICNALSVSPSVVAAGVAADNVICAIYFIVLFALASKIPSEASTSTSDATATMDSRPESKLPVLQIATAVAVSFAICKAGTSFTRFLRIEGADLPAITAIVVLLATIFPTHFRQLAPTGDAIAVVLMQVFFTVVGASGSIWNVVNTAPSIFMFAVVQVSVHLFVILGLGKLFGVELKLLLLASNANIGGPTTACGMATTKGWTSLVVPGILAGIFGISIATFLGIGFGLFVLKHM >itb05g19870.t4 pep chromosome:ASM357664v1:5:26158890:26164214:1 gene:itb05g19870 transcript:itb05g19870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGQDNWKIAAALMGSYIGGTINYIAICNALSVSPSVVAAGVAADNVICAIYFIVLFALASKIPSEASTSTSDATATMDSRPESKLPVLQIATAVAVSFAICKAGTSFTRFLRIEGADLPAITAIVVLLATIFPTHFRQLAPTGDAIAVVLMQVFFTVVGASGSIWNVVNTAPSIFMFAVVQVSVHLFVILGLGKLFGVELKLLLLASNANIGGPTTACGMATTKGWTSLVVPGILAGIFGISIATFLGIGFGLFVLKHM >itb05g19870.t5 pep chromosome:ASM357664v1:5:26159611:26164214:1 gene:itb05g19870 transcript:itb05g19870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILSAALVSTLVGLAASNVGIIPHGAPAYSTVLGFLLPLTIPLLLFRADLRSVLRSTGPLLLAFLLGSVATVVGTVVAFLVVPMRSLGQDNWKIAAALMGSYIGGTINYIAICNALSVSPSVVAAGVAADNVICAIYFIVLFALASKIPSEASTSTSDATATMDSRPESKLPVLQIATAVAVSFAICKAGTSFTRFLRIEGADLPAITAIVVLLATIFPTHFRQLAPTGDAIAVVLMQVFFTVVGASGSIWNVVNTAPSIFMFAVVQVSVHLFVILGLGKLFGVELKLLLLASNANIGGPTTACGMATTKGWTSLVVPGILAGIFGISIATFLGIGFGLFVLKHM >itb04g06860.t1 pep chromosome:ASM357664v1:4:4534224:4537555:-1 gene:itb04g06860 transcript:itb04g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKSSLYFVDPSRLSTTIYTLLNPSVFIVLFLQINRDKSLSISLHRSTPKASPNMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >itb09g26020.t1 pep chromosome:ASM357664v1:9:26153269:26155749:-1 gene:itb09g26020 transcript:itb09g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRKKLIPPMCVKHLGKWLGEIATLRRPSGHEWSVHIRTERDGTFFSDGWQTFYEDNDLDIGELVFYTYLGGMHFDVEIFIKDGLEKVWDCGVIQNSNEESDHDNPSTTPVRCSTC >itb09g26020.t2 pep chromosome:ASM357664v1:9:26153269:26154495:-1 gene:itb09g26020 transcript:itb09g26020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKHLGKWLGEIATLRRPSGHEWSVHIRTERDGTFFSDGWQTFYEDNDLDIGELVFYTYLGGMHFDVEIFIKDGLEKVWDCGVIQNSNEESDHDNPSTTPVRCSTC >itb06g21610.t1 pep chromosome:ASM357664v1:6:24218409:24221514:1 gene:itb06g21610 transcript:itb06g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWALVQFKWAEYSGRRGFPVKEQDFAEKENERAAKLRSQAKGSKNFMSPTISAASKICASPKNKSKHEIPGNPNHKEDVLQGPPVTKALKMVKFAETAALIKKDGDEVADMDSDNHHHRSPLKCTHHVTPALPCHTLQCTYRLLALPSNHHFHGSHCISPSRSRLRHLSSRFKCRCSEALEWPKTTSLSVPDSIDDQEGVDLNGGDVGVDRRSLYWQHAKHFGHYYISYGALSANRIPCPPGSGRSYYTHDCYKATGPVRPYTRGCSCITRCR >itb12g06210.t1 pep chromosome:ASM357664v1:12:4632068:4634552:-1 gene:itb12g06210 transcript:itb12g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRSILLLLVLAFFTCCFSFSSTDATSHDLNHGGNITINWDVISWTADGYVAVVTIYNYQRLRKIREPGWTLGWRWAKYEVIWTMIGAQTTMQGDCSRFKGNIPHSCRRAPEVVDLLPGTPYNQQVENCCKGGVLSSWGRNGSRAAVSGFQLAVGQAGSTNTTVSLPKNFTFEAPGSGGGYACRRPKIVRPTRFVSPDGRRVTQAMMTWKLSCLYSNKSPKVA >itb03g18990.t1 pep chromosome:ASM357664v1:3:17128383:17132305:-1 gene:itb03g18990 transcript:itb03g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSTPSVEKLSLSDSVAKAEFSQRVLIKSILGRPDGGAGLAGQVVKIGGWVKTGREQGKGAFAFLEVNDGSCPANLQVIVDAAVHKLGDLVATGTSVHVEGALKLPPEGTKQKVELRVQKVIAVGTVDAAKYPLPKTKLTLEFLRDVVHLRSRTNTISSLARIRNALAYATHTFFQKHGFLYVHTPIVTTSDCEGAGEMFQVTTLISEAEKLEKDMKENPAPSESDIKAAEQLVKEKGEAVAKLKSEKASIGKDDPGVAEINKKISAAVGELTRAKENLVKLEERYKLGERCKHSAGMPMKDGKIDYSQDFFARQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADIEDDMNCAEAYVRFLCQWLLDNCLDDMEFIAKFIDKGAINRLRLVASSDFHRITYTEAITILEEAAKVKKFENKVEWGIDLASEHERYLTEEHFKAPTIVYNYPKGIKAFYMKVNEDKKTVAAMDVLVPKVGELIGGSQREENYEVLRDRILEMGLPLEPYEWYLDLRRYGTVKHSGFGLGFERMILFATGVENIRDVIPFPRYPGRADL >itb04g33280.t1 pep chromosome:ASM357664v1:4:35572835:35573446:-1 gene:itb04g33280 transcript:itb04g33280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILENPSAENFPSQGLAEQAQPLPYGENLDLFWNYEEHSEVQQLQKGKEMMRPESNDDDHDSFNIEDYLLEDVLDVPTQSPGRMVVSSPRTANSSDDSLGSFDPGRLWGDNEYSVVVQMLQNWQTFKPCSRCFITLNPCKPRKLCVPAFLLHLEWLCQNMVVEVGKGEEIMRINVRKLILPQKRITLFALVQKHKHLNPGER >itb12g00340.t1 pep chromosome:ASM357664v1:12:317052:323487:1 gene:itb12g00340 transcript:itb12g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVVQRRHVAVLAFPFATHPGLLFGLVRRLATPAPNTTFTFFSTAKSNAKVFATTSQIPGNIQRCDVADGLPEGYVFAGNVEEEIGMFLKSAPENFKTAVAAADGKGVGCVVADAFLWFSGEMAAEMGVSWVPVWTSGAASLLLHLYTDLIRETIGLQPGREEEIVTFIPGLSELRLGDMPSGIVSGNIDSPFAVMLHNMGSALQKTTIIPINSFEELDPPIINHLKTRFSNVLNIGPFNLTSPPPSSDNLDRHNCLPWLDSQPPNSVAYLAFGTVATPPPNELNAMAEALEQSKTPFLWSLGEKFITHLPEGFLERTKGFGKIVPWTPQVKVLGHGSIGVFINHGGWNSILESITAGVPIICRPFFGDHHLNSWMVERVWGIGVRVDIGGGFTKNGTMDALELVLSSDTGKALKRQVEVYKELVQRAVGPNAEGERKEKKKKKESCSREREEDVEFPLISKDGKEKQKKWEETKAEAKKLMGLSVPLMSVNLLLNCFNVISIMFVGHLGELSLSGASIATSFATVTGYTLLNGMSCALETFCGQAYGAKHYRLLGVHTQRAMLILLLISVPLACIWANTGPILVFMGQDPEISAEAGNYASFLIPGLFAYALLQCYIRFLQAQNNVFPLVVTAGISTLVHVLSCWILVFKTGLGNKGAALATAVSYWVNVMLLALYIRKSPMCKDTWPAFSKEVFSEIMKFLKLAIPSAAMLCLEYWSFEVMVLLAGILPNPQLEASAVSITLNTCAIVYMLPFGISGALSIRVSNELGAGEPVAARRAVSTGILLVIAEGILAATVMVSVHKVWGYCYSTEEEVVAYVGQMLLLLAGSHFLDGIQCVLSGAARGCGWQHIGAIINLGAYYLVGLPAAIFLAFVLHVGGKGLWLGTMVALFVQAILLAIVTWRTNWEKEAMKAANRVEDSMIPH >itb12g00340.t2 pep chromosome:ASM357664v1:12:317252:322114:1 gene:itb12g00340 transcript:itb12g00340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVVQRRHVAVLAFPFATHPGLLFGLVRRLATPAPNTTFTFFSTAKSNAKVFATTSQIPGNIQRCDVADGLPEGYVFAGNVEEEIGMFLKSAPENFKTAVAAADGKGVGCVVADAFLWFSGEMAAEMGVSWVPVWTSGAASLLLHLYTDLIRETIGLQPGREEEIVTFIPGLSELRLGDMPSGIVSGNIDSPFAVMLHNMGSALQKTTIIPINSFEELDPPIINHLKTRFSNVLNIGPFNLTSPPPSSDNLDRHNCLPWLDSQPPNSVAYLAFGTVATPPPNELNAMAEALEQSKTPFLWSLGEKFITHLPEGFLERTKGFGKIVPWTPQVKVLGHGSIGVFINHGGWNSILESITAGVPIICRPFFGDHHLNSWMVERVWGIGVRVDIGGGFTKNGTMDALELVLSSDTGKALKRQVEVYKELVQRAVGPNAEGERKEKKKKKESCSREREEDVEFPLISKDGKEKQKKWEETKAEAKKLMGLSVPLMSVNLLLNCFNVISIMFVGHLGELSLSGASIATSFATVTGYTLLNGMSCALETFCGQAYGAKHYRLLGVHTQRAMLILLLISVPLACIWANTGPILVFMGQDPEISAEAGNYASFLIPGLFAYALLQCYIRFLQAQNNVFPLVVTAGISTLVHVLSCWILVFKTGLGNKGAALATAVSYWVNVMLLALYIRKSPMCKDTWPAFSKEVFSEIMKFLKLAIPSAAMLCLEYWSFEVMVLLAGILPNPQLEASAVSITSVPRNTSTNISICYVFQSY >itb08g02100.t1 pep chromosome:ASM357664v1:8:1671476:1676828:1 gene:itb08g02100 transcript:itb08g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAGMGLSKIVFLLGAGYTTTVLMQNGKLSDMLGELQNLVKNYEKSVEDGGETDAILAAQVRRLAMEVRSLASARPITVLNGGSNGNITNLVVPAAAVGALGYGYMWWKGISFSDLMYVTKRSMATAVENLTKHLEHVSDALAATKRHLTQRIENVDGKLDDQIEISKQIRTEVNDVRGDLSQIGFDLDSLQRMVTGLDGKLLSLEEKQELANAGVMYLCGIVNGQRVKMPEKVQEQLRIGGNSVGSLMGLKEIVDTCGQGDTDRLITDGSALDKSIVPPRSLMRTASTKC >itb07g13080.t1 pep chromosome:ASM357664v1:7:15184131:15190321:1 gene:itb07g13080 transcript:itb07g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFTLPSYICIREGPDHAPRFKAIVNFNGESFESPHYCSTLRLAEHAAAEVALGSLSHRGPSHSLAARILDETGVYKNLLQAISQRVGAPLPQYATFRSGLGHHPVFTGTVELAGITFTGEPAKNKKQAEKNAALAAWSSLKQLAKQDASSSSEPENTDEQEQIRIARALLNYRSREKIEMANSSISSIPFQKKFPIPSSQSSSPRCHPAATSKILPLICPKTIPRHRSLSTATGDSHAYSAAGAAPYAPARQYRTTPYRGGIAPPITVRTAVPVFSAPPVSARPSQMVRAQPVRVAPPVCIRQAVPVFAAPTKPAKEAVSNTEESTNKSECIEVDESTALRCLEQLQI >itb01g06620.t1 pep chromosome:ASM357664v1:1:4815296:4815871:-1 gene:itb01g06620 transcript:itb01g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESLICLFDSLWFNHGFFKKHTNPSSPPISDPPKKIPLNIIAIPVPIAVSKKQKSRMRGSRREGAEKETPQRIKSISELELEELKGFMDLGFEFSEEDAKNSSLVEIIPGLNTLMKKKSCDKAAENYPKLDNSKPRPYLSEAWEAMEEKERRKMKKALLAKWRIPAMSNEADMKHNLRWWAHTVASTLR >itb04g06140.t1 pep chromosome:ASM357664v1:4:3991108:3997076:-1 gene:itb04g06140 transcript:itb04g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKVGSIITAGVYSVATPFHPFGGAVDIIAVKQQDGSFRSTPWYVRFGKFQGVLKGAEKEVRIEVNGVEADFHMYLDHSGEAYFIREVDSDKENEGNECLKDTDSFDGSREGSSIDNANGGESSPGVHSKSEPNDDESEMQDERLAFGVDRFERTESGRRFYEFDDVDSSLEGSIDLSGYESSLYENLETVEQVLESQNSGSEVVLVSVDGHILTAPISSSERNTENVELATPQFHLGPGEETDFCETNTDINTEKVKWGTDNLSSTGISSQRVASTDASSSNSEHGPAEHLLEVSKGDGEHLSQVQETSYVETQDQDLLRNESGESTPSGIRKGDIFASCLTLPELALQVENESKLSDIASSLDIQESAKGSEEASPRSPLAINETENGSSEKFENDNGLPSSRDISSSSPLSVQVEVKALEGGTLDNSDSDRAHVRFVFNDEDSEKQIEGTPDAKELESSQQRSDECTQCKNTEQETTASAEDMNIGTSTRLEIALCGHLLHPGMGSCAAAEVFNATRISEMEFKNSAKSIIKNENLVARIQGKYLSWEKAASIVLGLAAYDLELPIEYTDVIPVEHGGTPRVDDSGLERSASGRRWRLWPIPFRRVKTLEHTSSNVSAEDVFLDTESSLHNQGADPSPTARGGKLSPRKQLVRTNVPTSEQIDSLNLKDGQNMVVFIFSTRVLGVQKVEAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTHSGIARLFSAIKENGYQLLFLSARAIVQAYLTKSFLFNLKQDGKTLPIGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHHRIDVKSYTSLHTLVNDMFPPTSMVEQEDYNSWNYWKMPLPDLGSL >itb14g03010.t5 pep chromosome:ASM357664v1:14:2711679:2717286:1 gene:itb14g03010 transcript:itb14g03010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMSLLYLPFAIANALTARLNDGIPLVDEFWILLGFCVYSVSLYLHFATSVIHEITAALGISCFRITRKEA >itb14g03010.t3 pep chromosome:ASM357664v1:14:2710442:2717286:1 gene:itb14g03010 transcript:itb14g03010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMSLLYLPFAIANALTARLNDGIPLVDEFWILLGFCVYSVSLYLHFATSVIHEITAALGISCFRITRKEA >itb14g03010.t6 pep chromosome:ASM357664v1:14:2710284:2715802:1 gene:itb14g03010 transcript:itb14g03010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPNMITLTGFMFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMVTLLFWLINYCKSCCN >itb14g03010.t7 pep chromosome:ASM357664v1:14:2710284:2715642:1 gene:itb14g03010 transcript:itb14g03010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPNMITLTGFMFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVVICWFMLLVPCLNIVVLWTEIVLFYVN >itb14g03010.t9 pep chromosome:ASM357664v1:14:2713892:2717239:1 gene:itb14g03010 transcript:itb14g03010.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMSLLYLPFAIANALTARLNDGIPLVDEFWILLGFCVYSVSLYLHFATSVIHEITAALGISCFRITRKEA >itb14g03010.t4 pep chromosome:ASM357664v1:14:2710284:2716094:1 gene:itb14g03010 transcript:itb14g03010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPNMITLTGFMFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMIIFVFMQTNHF >itb14g03010.t1 pep chromosome:ASM357664v1:14:2709728:2717225:1 gene:itb14g03010 transcript:itb14g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMSLLYLPFAIANALTARLNDGIPLVDEFWILLGFCVYSVSLYLHFATSVIHEITAALGISCFRITRKEA >itb14g03010.t2 pep chromosome:ASM357664v1:14:2710284:2717239:1 gene:itb14g03010 transcript:itb14g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPNMITLTGFMFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVGRMILSHLCDEPKGLKTSMCMSLLYLPFAIANALTARLNDGIPLVDEFWILLGFCVYSVSLYLHFATSVIHEITAALGISCFRITRKEA >itb14g03010.t8 pep chromosome:ASM357664v1:14:2710442:2715472:1 gene:itb14g03010 transcript:itb14g03010.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACTFEALAFGSTAMCGPATFWFWFISAVTFYCATWEHYFTNTLILPAINGPTEGLMLIYFAHFFTALVGAEWWAQPFGKSLPVFSWVPFIDEISTVRAVLLLMIVFGVIPTVSFNVQNVYKVVTAKKGSMLLALAMLYPFVVLLGGVLLWEYISPYGLMGNYPHLFIVGTGLAFGFLVVICWFMLLVPCLNIVVLWTEIVLFYVN >itb12g28260.t1 pep chromosome:ASM357664v1:12:28243769:28250478:-1 gene:itb12g28260 transcript:itb12g28260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDFDEYDYLEKAVEETNGPSSKSKDKDRENSSSEKEKSEKSYRRRERDESDDLADEDGRDRKSSKRSRGGDEENGREKDRDRERDRDKERSSRHKSRERESDRDKERSSRDREKREKDKERDRDRDKDRDRERRDRDKEKERERERRERDREKERERERRSRSRSRLDRERERELMRERERELELRDSRRFKEKKEAAEPEADPERDQRTVFAYQMPLKATERDVYEFFSQAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGHLLLGQPVMVKPSEAEKNLVQSNTAAGGAGVTGPYAASERKLYVGNLHFNMTELQLKQIFEAFGPVELVQLPTDPETGHCKGFGFVQFAQLEHAKAAQSLNGKLEIAGRTIKVSSVTEHVGVQDSAAKTADFDDDEGGGLALNAQSRAMLMQKLDRSGIASSITGSLGVAGLNGAAPSQAAISLPIGGAPAIPAPILPAQVVAAMVPEPIGNPSECLLLKNMFDPAMETDPEFDLDIRDDVHEECSKYGAVKHIHVDKNSAGYVYLRFDSVESASRAQQAMHKRWFARRSVSAIFLQPYEYDAKFKGAA >itb04g18010.t1 pep chromosome:ASM357664v1:4:21251820:21252425:-1 gene:itb04g18010 transcript:itb04g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLDADVSATAKRKLDEYSDDAFASECLIPAAVRMRKDQAGPTSAADSAQVSDLRSTSTAAVSTSQSLASFAAASSSSSVFASFSVLPRFSSQFQFFVRPLSGGSTLVLRANSDDSVESIHKKIYTTTKIPVFEQQLIYRGKQLQCDQTLAECGIQKDAILELVGQMRSTSHPQAWQFMDDMVWKIFELCKSKTLQPSA >itb04g09640.t1 pep chromosome:ASM357664v1:4:8915161:8920177:-1 gene:itb04g09640 transcript:itb04g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRKKKQLITPIRESASEKSQGKRRVSDLKNRVGKLRSEIEAATANNEEAKPESTVNLSTKQTTKELRRDRIERSTRFLPFPLLLVTLITTVNALYGPSTSVLQLNPSSFKSKILNSNGLVLVEYFAPWYGQCEALTPMYEKVTSVLKGIVAALDVDAHRSLVQEYGIKGFPTIEVLPGKQPVDYQGAREGKSMVDFAKAHIRDLFGLDPYVNRSGDVWHASIDGSLQFVGYGYRCKAFTAEKTKHALLVPYAKILVDYIPPREGVNLVPRFLGQLCKEPALVWSNEIRPNIPMEKLIVYHLNVSNSTKDKSRKLPAQVAGIFFGITEKLQHFKDLGVDGEVAKVGLDMKILIACTCFLLEQKLVKAWLVDKYAEALRCQKLLVGGGCILIF >itb07g21520.t1 pep chromosome:ASM357664v1:7:25886636:25888264:1 gene:itb07g21520 transcript:itb07g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGISFPSAPFNHDRLLHRKGSVNDELRSSKDDNNDWFEMEECDDDFDSLFAGAKKIEHLIEGQNQIPSPNPQISEPKPHRTPFSSAYFEILKCYGRFFKDRSSVTSKTDHIDAGGAGGKLSVAEILKLAGERYIQFFTQTADGFSAFFHPYASALSGISVDDVRDVELVHLLLAAGEDVSRRQYHLATGFITRCLWTASDSGTPVQRLAFHFAEALSERIERETGRFRGVSEERLARCRESMALSCNPALLASHQGLPFGQVIQFAGIQAIIERVKNARKIHLVDINIRSGIQWTILMQALAEQHGSSPMERIRLTAVGVAEREKMEECGKRLQSFADSLNLPFRFDTVFLSDLKDFRKDLVQVENEEVVAVLASTVLRTMIARPDCLDNLMRGIRGLRPAVMVVAEVEANHNSRSFINRFVEALFFYGAYFDCLGDCLDRDDPCRRIIEGLHFGEGIRNVVATEGDERFSRNVKIEVWRAYFARFSMEEMQLSDSSVYQANLVGKKFGNGNSCTLDRSGKGLIFGWKGTPMHSVTCWKFP >itb06g14520.t1 pep chromosome:ASM357664v1:6:19068400:19071336:-1 gene:itb06g14520 transcript:itb06g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSTISVGRKALLRRLEAKPKHSLLQSASQVCHYSIQSPLNPTPHFTASTNCICQYSFRFFSSNPSSLDSEESEFPNTHDASASGHWTSAFDEEKSADDGSSSVFGEADGDGFTAGNDFAVENGDVPFVVEDGEPPPPEVSLGEEEEEGDDSVEKLERMLSLLQSSGMVDGSLESSLEDIGLNIDKEHVIKVLKTEYIPRENLIEFCSWALRRPGMALSTTMLEMLTRAICSGETMRDAYALWSLLKELGENEIGVLSTDILNELISFLSRFGKGKAAYEVFNKFGDLGCEPNSDTYYFTIEALSRRKIYDWACSVSEKMLGAQKLPDACRVGKIISFLCKGNKARDAHLVYVAAKGNGISLPQTSVNFLIKSLCEKDEDVYSALEMVQGFSQDERKHAIFSFSSVIQGLCRPIDDGEKKAHRSKDVQEAKSLLVSMVDAGPPPGNAVFNTVITALSKQGDMEEATKSLKMMEDRGLKPDVYTYTVIISGYVRKLEMDEARKILDDAKKKLSKLSSATYHTLIRAYCKLEQFDQALALLREMKEHGVQPNADEYNKLIQSLCLNALNWVKAENLLEEMKGNGLHLNAITKGLVRAVKEMEQEELERKEMTDVA >itb01g29330.t2 pep chromosome:ASM357664v1:1:33583204:33586695:1 gene:itb01g29330 transcript:itb01g29330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDLCFDKLMVSNSCRGIGGGGVMIKEWKDIPMELLLRIVSLVDDRTVIVASGVCCGWRDAISWGLTQLSLSWCKKNMNNLVLSLVCKFTKLRVLILRQSLPQLQDNAVEAIANRCHELQELDLSKSFKLTDRSLYALAHGCPNLKKLNISGCTAFSDNGIAYVSEHCRKLEVFNLCGCVKGATDKALKAIGYYCNRLQSVTLGWCEKVGDEGVMSLAYGCPDLRALDLCGCVLITDESVIALANNCPHLRSLGLYYCQNITDRAMYSLAQSRAKKHKHEIWQSMKKSRYEEEGLMNLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALQAHRAHTAVPHTAH >itb01g29330.t1 pep chromosome:ASM357664v1:1:33583204:33586695:1 gene:itb01g29330 transcript:itb01g29330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDLCFDKLMVSNSCRGIGGGGVMIKEWKDIPMELLLRIVSLVDDRTVIVASGVCCGWRDAISWGLTQLSLSWCKKNMNNLVLSLVCKFTKLRVLILRQSLPQLQDNAVEAIANRCHELQELDLSKSFKLTDRSLYALAHGCPNLKKLNISGCTAFSDNGIAYVSEHCRKLEVFNLCGCVKGATDKALKAIGYYCNRLQSVTLGWCEKVGDEGVMSLAYGCPDLRALDLCGCVLITDESVIALANNCPHLRSLGLYYCQNITDRAMYSLAQSRAKKHKHEIWQSMKKSRYEEEGLMNLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALQAHRAHTAVPHTAH >itb13g12810.t1 pep chromosome:ASM357664v1:13:19143608:19144401:1 gene:itb13g12810 transcript:itb13g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPNQYGSSSTHHLTVPPGLGPEEFEELKPWITQFHSYRVNSGKCSSLLAQRVHAPPDTVWSVVRRFDRPQTYKHFIKSCSVGEGFRMAVGDTRDVNVISGLPAATSTERLDVLDEDRRVTGFSIIGGEHRLRNYRSVTSVHGLSSSPRHPTTVVLESYVVDVPEGNTEEDTKLFADTVVRLNLQKLACITEAMARGEGGGGGTAAAAGDTSHH >itb10g03550.t1 pep chromosome:ASM357664v1:10:3278890:3281307:1 gene:itb10g03550 transcript:itb10g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLNNRKLIGARYYLKSYEANFGRLNQTIDFRSSRDVNGHGTHTASTVGGRRVPNAAAIDGFGDGTASGGAPLVRLAIYKVCWRLPNNQTVLEEEVTCLDDDILAAFDQAISDGVHVISASLGSIPSGGYYKEDGVAIGALHAVKRNIVVSCSAGNDGPTPSTVGNAAPWIITVGASSIDRVFSLPLKLGNGMIVEAGDQEVEMLDYDGLLGQRVLVMGDSPGS >itb05g12900.t1 pep chromosome:ASM357664v1:5:19622795:19624356:1 gene:itb05g12900 transcript:itb05g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANPNSPFLLVLLMMSFLFTFSCTARHEDVLVAADVGSQKSDAQYYPQVGKVPKTRTGLTQRFSRHYLGEGKVPRRINWERYDDPPICYSPPCYSSGTGRNGP >itb05g11710.t1 pep chromosome:ASM357664v1:5:17904652:17905737:-1 gene:itb05g11710 transcript:itb05g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSPGLGRWVRMSSSTFNADDEASVEDAFTVVQDYNVVLRDVMMANGDAHDCMPSTEASSSASKGDDEILTSLLKPGDLDFIVARPPWYKKNSLSDEKRTMILSFLSYVDYFRPKYVLVENVRNLVSFDNMKPFQLTLKSYLEMGY >itb07g20010.t2 pep chromosome:ASM357664v1:7:24467290:24474416:-1 gene:itb07g20010 transcript:itb07g20010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MNVLILLPLLMPVGFCSPIKVFLPLWLGIQCWIRNLSQWFSLWWQELFKHPRLRFKRLILRELTLLYLTLGIAGQRKCKLFFGARALEMKTDEKDGGQVFDSVNGFPGKKGAAGFTKLLEREQQLIETEKLILRETINAIEQAAPLMEEVSLLIDAVSQLDEPFLLVIVGEFNSGKSTFINALLGERYLKDGVIPSTNEITFLRYSELDSNKPQRCERNPDDQYVCYLSAPILKDMIIVDTPGTNVILQRQQRLTEEFVPRADLLIFVMSADRPLTESEVNFLRYTQQWKKKVVFVLNKSDIYLNMDELEEAVAFIKENTKKLLSTKCVTLFPVSSRCALEAKLSSPSDVVENSNLLKSASFSELEKYLYSFLDASTTTGIERMRLKLETPVRIAEQLLSSCQNLVREECQQAKKDLMSVNEFINGVKDWKKKMESESISWKRQILSLIENTQARVFQLVDSTLRLSNLDLVAAYIFKGDKTSLMPATLTVRNEIIGPAALEAEKLLSEYMAWLQSNADREEIFYRDSFQKRWPSLVDAEKQIQADASKLLGRKYVQSSHAMGDFSPTAASKLFEQEIREAFLGTFGGLGVAGLSASLLTSILTTTLEDLLALGLCSAGGLLAVSNFPARRQQVVKKVKRTADGLAREIEEAMQKDLLETMAYLEDIVKFIGKPYQEAAQNRLDKLLATADNLTDIESKLKTLQNEIQNLHVS >itb07g20010.t3 pep chromosome:ASM357664v1:7:24467290:24474416:-1 gene:itb07g20010 transcript:itb07g20010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MCQHLIFLPPVFFRKKKSECVDFLVTLQGEFNSGKSTFINALLGERYLKDGVIPSTNEITFLRYSELDSNKPQRCERNPDDQYVCYLSAPILKDMIIVDTPGTNVILQRQQRLTEEFVPRADLLIFVMSADRPLTESEVNFLRYTQQWKKKVVFVLNKSDIYLNMDELEEAVAFIKENTKKLLSTKCVTLFPVSSRCALEAKLSSPSDVVENSNLLKSASFSELEKYLYSFLDASTTTGIERMRLKLETPVRIAEQLLSSCQNLVREECQQAKKDLMSVNEFINGVKDWKKKMESESISWKRQILSLIENTQARVFQLVDSTLRLSNLDLVAAYIFKGDKTSLMPATLTVRNEIIGPAALEAEKLLSEYMAWLQSNADREEIFYRDSFQKRWPSLVDAEKQIQADASKLLGRKYVQSSHAMGDFSPTAASKLFEQEIREAFLGTFGGLGVAGLSASLLTSILTTTLEDLLALGLCSAGGLLAVSNFPARRQQVVKKVKRTADGLAREIEEAMQKDLLETMAYLEDIVKFIGKPYQEAAQNRLDKLLATADNLTDIESKLKTLQNEIQNLHVS >itb07g20010.t1 pep chromosome:ASM357664v1:7:24467248:24474416:-1 gene:itb07g20010 transcript:itb07g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVSLLSQCCSVHSPSHCLFASPSPSHYSPPHFKPRLRCANISVRAARTNVSLVFNNEEQQPKQQPRTLFPGGFKRPEIKVPNLVLQLSTQEVFDNRNVLDEIDQAVSGRVGIVLLSGGGVSGGKLYEAACLLKSVIRERAYLLIDERVDIAAAVNASGVLLSDQGLPTIVARNTMLDTKSESVVLPLVARIVQTPEAALQASNSEGADFIIFNVGDSRSEEVVSSVFETLKVPVFVMIDSLGEGKLFNEASYFLELGASGLVISTKELKFISNDDDFSKLFFGARALEMKTDEKDGGQVFDSVNGFPGKKGAAGFTKLLEREQQLIETEKLILRETINAIEQAAPLMEEVSLLIDAVSQLDEPFLLVIVGEFNSGKSTFINALLGERYLKDGVIPSTNEITFLRYSELDSNKPQRCERNPDDQYVCYLSAPILKDMIIVDTPGTNVILQRQQRLTEEFVPRADLLIFVMSADRPLTESEVNFLRYTQQWKKKVVFVLNKSDIYLNMDELEEAVAFIKENTKKLLSTKCVTLFPVSSRCALEAKLSSPSDVVENSNLLKSASFSELEKYLYSFLDASTTTGIERMRLKLETPVRIAEQLLSSCQNLVREECQQAKKDLMSVNEFINGVKDWKKKMESESISWKRQILSLIENTQARVFQLVDSTLRLSNLDLVAAYIFKGDKTSLMPATLTVRNEIIGPAALEAEKLLSEYMAWLQSNADREEIFYRDSFQKRWPSLVDAEKQIQADASKLLGRKYVQSSHAMGDFSPTAASKLFEQEIREAFLGTFGGLGVAGLSASLLTSILTTTLEDLLALGLCSAGGLLAVSNFPARRQQVVKKVKRTADGLAREIEEAMQKDLLETMAYLEDIVKFIGKPYQEAAQNRLDKLLATADNLTDIESKLKTLQNEIQNLHVS >itb04g32270.t1 pep chromosome:ASM357664v1:4:34912139:34917241:1 gene:itb04g32270 transcript:itb04g32270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLCTICLILLSVLELVFGRSDLEALLEVKKGFQDDPSGKVLVSWDSKSLASNGCPQDWYGISCSEGHVTSIVLNGVGLVGVLDFPAIGSLKLLRNLSVADNQLRGNVTAEIGAIESLEYLDLSRNMFSGSIPSEFAGLKNLVFLNFSLNNMEGGVPSGFDSLEQLMYLDLHSNAFSGDVTSLLSQLGGVVYVDISGNEFFGSLDLEVGIGGSGFVSTVRYLNISHNKLTGELFPHDGMPYFDSLEVFDASNNQFVGNLPSFNFIVSLQILRLGNNKLSGSLPQGLLQKSSMVLSELDISLNELEGPIGNINAENLKVLNLSSNKLSGPLPVRVGRCAVIDLSNNMLSGNVSRIQGWGNNVEVITLSSNLLVGSLSSQTSQFLRLTSLKISNNSLDGTLPPILCTYPELKTIDLSTNVFSGPLLACLFNSSRLSNVNLSSNKFTGTIPMEELTPQNPILVSLDLSHNVLSGHLPPELNRFPNIESLDLSDNDFEGGIPDGLSETLKTFNVSGNNLSGPVPKNLLRFPNSAFHPGNSLLEFPHEEILPNGNSNLNPVRRGRHIKSAVRAALIAGLVVTASVVALLIVLIYCKIHHVDSGKANPKGSSEKKGSPLSAGPEIGNQGLPVPVRQNEALSSPISVMSSANISPSRTQDPSKSPNSLKVSSPDKMAGSLHLFDSTLKFTAEDLSCAPAEAVGTSCHGTLYKAVLGSGHVLAVKWLKEGILKNRKDFAREVKKLGNITHPNLVSLLGYYWGPKDHERLIISNYVNSPCLALYLHDKNSKTLNPLSLVDRLKIAVDVARCLCYLHHESAIPHGNLKSTNILVEASTHTALLTDYSLHRIMTSAGTAEQVLTAAALGYRPPEFASTSKPCPSLKGDVYAFGVILLELLTGRNSAEIVPGNAQVLDLTEWVRLLAAQNRSLECFDPSVLGTGSMERVYAALDDMLCTALRCILPADERPDMRVIFEELSSVSVENNTTEK >itb05g00220.t1 pep chromosome:ASM357664v1:5:171060:174658:-1 gene:itb05g00220 transcript:itb05g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AK1 [Source:Projected from Arabidopsis thaliana (AT5G13280) UniProtKB/TrEMBL;Acc:A0A178UUC2] MPISLAYFTVRTPCQQFSLNTRSSCSPPVSLRVNTLKVFYSAAVPCRLFPSAISVDFPCPRTFKVRVHDKESVLKDDAPEEEEEEKGHRGNDGQQLTCVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNNLLLAGEKALSCGVSNVSEIEEFTSLKELHLRTIQELGLDVSIISEHLEKLDQLLNGIAMVKELTLRTKDKLVSFGERLSTRIFAAYLNKLGAKAQQYDAFDIGFITTDDFTNADILEATYAAVAKKLYSAWVRDHAIPVVTGFLGKGFKSCEITTLDVDGVLTCDPTIHPRAKPVPHLTFEEATELAYFGAQVLHPLSMRPAREGDIPVRVKNSYNPRAPGTIITKNRDMSEVLLTSIVLKQNVTMLDIVSTRMLGQFGFLAKVFSTFEDLGISVDVVATSEVSISVTLDPSKIWSRELIRQELDHVVEELGKIAVVNLLPHKSIISLIGNVQCSSLILEKAFRVFRTNDVNVQMISQGASKVNISLIVNDSEAEVCVKALHHSFFESEESGMNMDAASFSNGTVVQSPRH >itb04g32690.t2 pep chromosome:ASM357664v1:4:35154152:35158791:1 gene:itb04g32690 transcript:itb04g32690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPKIKRKVGKYELGRTIGEGSFAKVKFARNSETGEHVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVMASKTKIFIVLEFVTGGELFDKIVNHGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQIRDDGLLHTTCGTPNYVAPEVLDDQGYDGSAADLWSCGVILFVLLAGYLPFDDPNLMNLYKKISCAEFTCPPWISFSAMKLITRILDPNPVTRITIPEILEDEWFKKDYKPPVFYEKEDTNLDDVEAVFKDCKEHHVTEKKEEQPTAMNAFELISMSKGLDLGNLFDEKGFKRETRFTSKCPANEIITKIEEAAKPLGFDVRKKNYKMRLENSKAGRKGNLNVSTEVFQVAPSLHLVEVRKAKGDTLEFHKFYKNLSTCLEDVVWRTEEDMQETT >itb04g32690.t1 pep chromosome:ASM357664v1:4:35153410:35158825:1 gene:itb04g32690 transcript:itb04g32690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPKIKRKVGKYELGRTIGEGSFAKVKFARNSETGEHVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVMASKTKIFIVLEFVTGGELFDKIVNHGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQIRDDGLLHTTCGTPNYVAPEVLDDQGYDGSAADLWSCGVILFVLLAGYLPFDDPNLMNLYKKISCAEFTCPPWISFSAMKLITRILDPNPVTRITIPEILEDEWFKKDYKPPVFYEKEDTNLDDVEAVFKDCKEHHVTEKKEEQPTAMNAFELISMSKGLDLGNLFDEKGFKRETRFTSKCPANEIITKIEEAAKPLGFDVRKKNYKMRLENSKAGRKGNLNVSTEVFQVAPSLHLVEVRKAKGDTLEFHKFYKNLSTCLEDVVWRTEEDMQETT >itb04g32690.t4 pep chromosome:ASM357664v1:4:35154775:35158611:1 gene:itb04g32690 transcript:itb04g32690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPKIKRKVGKYELGRTIGEGSFAKVKFARNSETGEHVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVMASKTKIFIVLEFVTGGELFDKIVNHGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQIRDDGLLHTTCGTPNYVAPEVLDDQGYDGSAADLWSCGVILFVLLAGYLPFDDPNLMNLYKKISCAEFTCPPWISFSAMKLITRILDPNPVTRITIPEILEDEWFKKDYKPPVFYEKEDTNLDDVEAVFKDCKEHHVTEKKEEQPTAMNAFELISMSKGLDLGNLFDEKGFKRETRFTSKCPANEIITKIEEAAKPLGFDVRKKNYKMRLENSKAGRKGNLNVSTEVFQVAPSLHLVEVRKAKGDTLEFHKFYKNLSTCLEDVVWRTEEDMQETT >itb04g32690.t3 pep chromosome:ASM357664v1:4:35154404:35158811:1 gene:itb04g32690 transcript:itb04g32690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPKIKRKVGKYELGRTIGEGSFAKVKFARNSETGEHVALKILDKDKVLKHKMAEQIKREIATMKLIRHPNVVRLYEVMASKTKIFIVLEFVTGGELFDKIVNHGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGNLKVSDFGLSALSQQIRDDGLLHTTCGTPNYVAPEVLDDQGYDGSAADLWSCGVILFVLLAGYLPFDDPNLMNLYKKISCAEFTCPPWISFSAMKLITRILDPNPVTRITIPEILEDEWFKKDYKPPVFYEKEDTNLDDVEAVFKDCKEHHVTEKKEEQPTAMNAFELISMSKGLDLGNLFDEKGFKRETRFTSKCPANEIITKIEEAAKPLGFDVRKKNYKMRLENSKAGRKGNLNVSTEVFQVAPSLHLVEVRKAKGDTLEFHKFYKNLSTCLEDVVWRTEEDMQETT >itb04g15640.t1 pep chromosome:ASM357664v1:4:16874818:16875551:-1 gene:itb04g15640 transcript:itb04g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLALDPDRAEREKLSQVAGQELDRRIFICEVYPEQNKVTNALDRLGVQQQTNPAEFVSCHRPVPSQNKVANTPDSGGEEAVLNNLVRVTSARRGRESN >itb09g09450.t1 pep chromosome:ASM357664v1:9:5697922:5700629:-1 gene:itb09g09450 transcript:itb09g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYFPQIFSMVIILPLLALCLLPQHAQAETRHYEFNVTMQNVTRLCHTKSMVTVNGKFPGPPILAREGDRLLIKVNNHVANNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLFWHAHISWLRATVYGPIIIRPKKNVSYPFVKPYKEVPIIFGEWFNADTEAIISQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRMINAALNDELFFSIANHTLKVVDVDAIYVKPFETDTILIAPGQTSNVLLKTKPEFPGATFLMTARPYVTGQGTFDNSTVAGILEYESPHHHSTASEKKKLPMFKPILPALNDTSFASNFSKKLRSLDSPSYPAKVPQTVDKHLFFTVGLGTNPCDKNQTCQGPNGTKFSASINNVSFVQPTTALLQAHFSGQSNHVYSPNFPVSPLNWFNYTGNPPNNTMVSNGTKVMVLNYNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDQTKDPKNFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPSDLPKC >itb09g14280.t1 pep chromosome:ASM357664v1:9:9488191:9501686:-1 gene:itb09g14280 transcript:itb09g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLHHQSFASSRCFARKTVRVRVSLFQKEYIVISHILPDFRKHCIKIRKSRKIKHLLPFASAEDGVTVNQSSKACTSTEIEEMRMKLDLSLQSEDNSSGLLQSIHDAARLFELGIKQQGSLSSMSWFSTAWLGVDNTAWVKVLSYQASVYSLLQAANDVSSRGDGRDKDINVFIQQSVLKQTAPLESVIRDKLLAKQPEAYEWFWSEQIPTVVATFVNYFESDQRFVAATAVTGIGVSSSPDNTSDVTLLMLVLSCIAAIIKLGPAKVSCVQFFSIIPDTLGRLMDMLIEYIPIEKAYNSLKNTGLRREFLVHFGPRAAVCNLRNDQEGNDEAAFWVGLIQNQLRQAIDRERIWSRLTTSESIEVLERDLAIFGFFIALGKTTQSFLSANGYDLLDEPIEGLIRYLIGGSILYYPQLSSISSYQLYVEVVCEELDWLPFYPGFSSNTKCKLEHRSKELPNSEAVLLVLDVCCYWTQSFIRYSRWLENPANVKAARYVSTMHVKIKKCMTELGIEKNHSGAYSRTENEPNSFDKAMESVEEALIRLEGLLKQLHMSRNSSGEHLKAACSDLEKIRRLKKEAEFLEASFRAKAASLEKGDTAARSSSLIKEQHQYSRGEDDINANNRDMNNRGHGLWSFLVRSQNKASDSSLPAPTENDGGRFKEAMPDAGTIDSKVNEVQRFKILQSELMELERRVKRSADWNESEEEEIQMAAQSAQLVPHQKKENIIGKSLEKLKETSTDVLQGTQLLAIDVAAAMELLKRAIIGDELTEKEKQALRRTLTDLASVIPIGFLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLALLRQLEKVKEMETEVNPNEKADE >itb03g18010.t1 pep chromosome:ASM357664v1:3:16400136:16403628:-1 gene:itb03g18010 transcript:itb03g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNDSIDRRKNKKIRRKQDESSKVSNRIASIIAAKKRRLTGKRRKCQGMCFSLPTPEDPFNDKSGKSDPVKNKKRAGSKKEKRVDKKKLAPNKRPTDVIHANMNQECKIMQVDNLQTAHTIIEKAEGDSFENYADCPSKFLLLCLNTIQNAMLHDGASNDKGGKPFFAYSWGIEFWKRYSSGKDILDTSQAHSSIEQIAWIASTAADTIAKKEKEGLSLTNPFLLYLVPSQEKAVKVRQICKPLKTLGIHTISLHPGASMDHQIQGLKSCEPEFVIATPERLQELVSCNAINISGVSLLVVDGPSYEIGSIDAIKTIRQIICGSLQTVVFSDCSSNPYISVLQKLIQGSFCRIPLESLTHER >itb03g05540.t2 pep chromosome:ASM357664v1:3:3904566:3912382:1 gene:itb03g05540 transcript:itb03g05540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIDFKKEPSHEVNSNIAEPVMGHIDFKEEPSHEEFVMGHAGFKEEPSNEDGSRQYVMLMKDKKIICRTITWGCNLPGKIPEVWSVLRFARQSSVEIISGPSYFLLECAPENATQRDEWGRFMDFLQKYERVAICKLGIYDLFIVAPLQDTNFKRAAVIYQRVENPALHGSQMHDAVARIPPISREQAVSAHKPINIQQPSGEPGKSHDQSRRNSSAEAAVSNSAVVEDLKVRSNKSPEEAESNGSLVRNYVRTDPSYLKTLGHTHSGWIFGAIAELIDNSKDAKATKLEISIDLIYLKSVGEEIPMLSVIDDGCGMTHKEILQMISFGHRQPEKDDRNRIGRFGIGFKTGAMRLGKDALVFTQTTNSRSIAFLSQSLNEGKDNLEIPIISYRKIGQLMELDTSVQDEVSAKCNLKTIKNFSPFDKYFIGEKSGLFSDKGTGTQIYIWNLDKWGSNYSLQWDPGMSGGSSFHQGDILVRSKRIRTRPGQMTQMVPLDYSLRSYLEVIFFNPRMKMYVQGAQVKSCSLARSLNNTVVEEDTILEKPVRLILGRNQIEWERANCGIFLYWHGRLIEVSLNCYPCYL >itb03g05540.t1 pep chromosome:ASM357664v1:3:3904566:3916574:1 gene:itb03g05540 transcript:itb03g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIDFKKEPSHEVNSNIAEPVMGHIDFKEEPSHEEFVMGHAGFKEEPSNEDGSRQYVMLMKDKKIICRTITWGCNLPGKIPEVWSVLRFARQSSVEIISGPSYFLLECAPENATQRDEWGRFMDFLQKYERVAICKLGIYDLFIVAPLQDTNFKRAAVIYQRVENPALHGSQMHDAVARIPPISREQAVSAHKPINIQQPSGEPGKSHDQSRRNSSAEAAVSNSAVVEDLKVRSNKSPEEAESNGSLVRNYVRTDPSYLKTLGHTHSGWIFGAIAELIDNSKDAKATKLEISIDLIYLKSVGEEIPMLSVIDDGCGMTHKEILQMISFGHRQPEKDDRNRIGRFGIGFKTGAMRLGKDALVFTQTTNSRSIAFLSQSLNEGKDNLEIPIISYRKIGQLMELDTSVQDEVSAKCNLKTIKNFSPFDKYFIGEKSGLFSDKGTGTQIYIWNLDKWGSNYSLQWDPGMSGGSSFHQGDILVRSKRIRTRPGQMTQMVPLDYSLRSYLEVIFFNPRMKMYVQGAQVKSCSLARSLNNTVVEEDTILEKPVRLILGRNQIEWERANCGIFLYWHGRLIEAYKRVGSMIHNGDKGRGIIGVIEVMDLMDDGCGRVSVHNNKQGFLDCEVYAELERWLGERVDSYIDDYVDKVELKKGSRFYKPDHDWVQCYKCRKWRMLPSGFDIRALPIEWFCYMKPYNGNCEMPEQLVEHGVITISSKRSECESKGDPVNVPHGLSRKGTNASKDASKNRKHDSLDPALDNNEQQEEAASLKRLRRGPARICRKS >itb04g05130.t1 pep chromosome:ASM357664v1:4:3167066:3168818:1 gene:itb04g05130 transcript:itb04g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLNNKNMIVVAAVLVLEMWACYEATRLDGGDEEMVKRYEQWIVEYGRVYANQTEKMERFSIFKDNAEYIDSFNRVENQSFTLGVNQFADLTNDEFREKTACLMPEPSGTTPFMYENVSAGSEVDWVKKGAVTPAKIQLDCGSCWAFSAVAAMEGITQITTKKLVSLSEQQLVDCDKVSGGCNGGYMNAAFKYVVGNKGIATEATYPYTGKKGVCDPKRASVVAAKIGGFQTVPPSSEAALMKAVSKQPVSVGVDAGGKAFQFYKGGVVNTGCTTKLNHGVVAVGYGVLQGKKFWLIKNSWGATWGDKGYIRLAKDIPAKEGLCGIALGPSFPTPGK >itb12g24150.t1 pep chromosome:ASM357664v1:12:25773634:25775329:-1 gene:itb12g24150 transcript:itb12g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKESDEDPFEEESRNKAVVIALYEALSSRDVEKVQKLLASDLEWWFHGPPSHQFLMRILTGTADSAAAADSFQFKHQTIDAIGSSVVLVEGCDPPRNITWVHAWTVTDGVITQVREYFNTYLTVTRFGDAAAASIAPLSCTAVWESSLPNRGGKSVPGLVLAI >itb07g02940.t1 pep chromosome:ASM357664v1:7:1965246:1969258:-1 gene:itb07g02940 transcript:itb07g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELPEPQSLRKLTFRSTKRAMDVFSPLHGHFPPPAPESKKIRITHKLNIEYGDIASKGVQPHSNSNAAAQNQAQKASAPSNALSLPGPENARDPPKGVPKNEAVGPSLQPNSTTDGLSSRSIAVIPSQHTSSERNLSTAAIMERIPSKWPRPVWHSPWKNYRVISGHLGWVRSVAVDPANSWFCTGSADRTIKMWDLATGTLKLTLTGHIEQIRGLAVSNSNTCLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPAMDVLFTGGRDSVCRVWDSRTKMQIHALSGHENTVCSVFTRKLDPQVITGSHDSTIKLWDLRYGKAMATLTHHKKSVRAMAWHPKEDVFASASADNIKKFNLPKGEFLHNMLSQQKTIINAMAVNNEGVMATGGDNGSLWFWDWKSGHNFQQAQTIVQPGSLESEAGIYALSYDVTGTRLITCEADKTIKMWKEDKNATPETHPLHFKPPRDMRRF >itb05g15040.t2 pep chromosome:ASM357664v1:5:22384954:22387687:1 gene:itb05g15040 transcript:itb05g15040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNEPHWSERKLCMSEFNPNSTTNNPHFYGRINPNPNPNPNHSHCSFNPSLRASSSFGVAAKPQRRHFNHQSQRSVPPLPERLIDRNDSFHREYVTFNLGSYSRREIKELKQRLIFDLERVQGVLKRLETLEFEARASFHGLQRGEAPEPPSPPKLPQPPRLQMNQPGMEVFPENQAPNGLGHHFQSSEISGAKNKGKSKSSGKKRAFPDAAEGDHPRKAAKLDGAEVSMMRRCAQILTKLMKHKFGWVFNSPVDTKGLGLHDYHVIIKHPMDLGTVKSRLESCQYETPLQFAGDVRLTFKNAMIYNPKGQDVHAMAELLLKNFDDMFTSAYRKYEAELKKAVAAQKNVRAQPERIVASRSPEPMLIAKNSDMGSLLDPHPLLVSNAPVSVPAEKPSLPPLVLPRSGKLPKPKAKDPNKRQMTFEEKARLGIELQNLPQDKMDHMMQILRKRNSSVSTEGDEIELDIEALDNETLWELDRFVGYQKKALNKMMRQGLISGASQENIIAEAPGVGENVSNVRDAFVSLGLINLTFLLLVWLIMFLFLLFSSQEMCLQHQTLWYIKTRKMKLVKRMLILGKKVQSITSPQCRLRRMSVDQAAPAPAPAVTLLPVIQIQEALVGVILMRIVYSCKRPLKCVILDGFSMLRSGGFRADRVYGVFHSVLSYVICRFGMEGEELKQFYAGREA >itb05g15040.t1 pep chromosome:ASM357664v1:5:22384954:22387687:1 gene:itb05g15040 transcript:itb05g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNEPHWSERKLCMSEFNPNSTTNNPHFYGRINPNPNPNPNHSHCSFNPSLRASSSFGVAAKPQRRHFNHQSQRSVPPLPERLIDRNDSFHREYVTFNLGSYSRREIKELKQRLIFDLERVQGVLKRLETLEFEARASFHGLQRGEAPEPPSPPKLPQPPRLQMNQPGMEVFPENQAPNGLGHHFQSSEISGAKNKGKSKSSGKKRAFPDAAEGDHPRKAAKLDGAEVSMMRRCAQILTKLMKHKFGWVFNSPVDTKGLGLHDYHVIIKHPMDLGTVKSRLESCQYETPLQFAGDVRLTFKNAMIYNPKGQDVHAMAELLLKNFDDMFTSAYRKYEAELKKAVAAQKNVRAQPERIVASRSPEPMLIAKNSDMGSLLDPHPLLVSNAPVSVPAEKPSLPPLVLPRSGKLPKPKAKDPNKRQMTFEEKARLGIELQNLPQDKMDHMMQILRKRNSSVSTEGDEIELDIEALDNETLWELDRFVGYQKKALNKMMRQGLISGASQENIIAEAPGVGENVSNFTGNVPTTPNLVVHKDQKDEAGEEDVDIGEESPINNFPPVQIEKDVSRSSSSSSSSSSDSSSSDSDSGSSCGSDSDEDSVQLQETSKMCDS >itb03g02810.t1 pep chromosome:ASM357664v1:3:1613951:1614616:-1 gene:itb03g02810 transcript:itb03g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLIYYIVVPSKTMSLCKGSCVFCLQMASMLVFSGQWKRESNNLKGLKLLTEVIDRKIISHGWKKLLKEKGKTEISNFQQDFCKLCHLRFLVMFGLNMRGEGLQM >itb09g23190.t2 pep chromosome:ASM357664v1:9:22376697:22378788:-1 gene:itb09g23190 transcript:itb09g23190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHPCQYRSLCLRLCRSTTPPPTTASSFPDSVFTLNPSQSPVGSPLRLHQSPPSSRRLASGEVLYLLQLQLYT >itb09g23190.t1 pep chromosome:ASM357664v1:9:22376697:22378788:-1 gene:itb09g23190 transcript:itb09g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHPCQYRSLCLRLCRSTTPPPTTASSFPDSVFTLNPSQSPVGSPLRLHQSPPSSRRLASGEVLYLLQLQLYT >itb03g15340.t2 pep chromosome:ASM357664v1:3:14703557:14706858:1 gene:itb03g15340 transcript:itb03g15340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLSECGLRPFPKIYPKPRTGMGSLSCGSPSRLTVSGTDLGSCSLIGIRERNWALRVSAPVRVPSVGEEEGEERERINGVNGNGDGFFDPGAPPPFKLSDIRAAIPKHCWVKDPWKSMGFVVRDVAIVFGLAAVAASLNNWIVWPLYWLAQGTMFWALFVLGHDCGHGSFSNDPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIFKSLDDVTRKLRFTIPFPLLAYPVYLWSRSPGKKGSHFDPNSDLFVPNEKKDVITSTVCWSAMVAILAGLSFVMGPVQLLKLYGVPYAIFVMWLDLVTYLHHHGHEDKLPWYRGKV >itb03g15340.t1 pep chromosome:ASM357664v1:3:14703557:14706858:1 gene:itb03g15340 transcript:itb03g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLSECGLRPFPKIYPKPRTGMGSLSCGSPSRLTVSGTDLGSCSLIGIRERNWALRVSAPVRVPSVGEEEGEERERINGVNGNGDGFFDPGAPPPFKLSDIRAAIPKHCWVKDPWKSMGFVVRDVAIVFGLAAVAASLNNWIVWPLYWLAQGTMFWALFVLGHDCGHGSFSNDPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIFKSLDDVTRKLRFTIPFPLLAYPVYLWSRSPGKKGSHFDPNSDLFVPNEKKDVITSTVCWSAMVAILAGLSFVMGPVQLLKLYGVPYAIFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSWPLPLHLLGDLVRSMKKDHYVSDDGDVVYYQTDPKLAGTEK >itb07g08440.t1 pep chromosome:ASM357664v1:7:6643026:6644677:1 gene:itb07g08440 transcript:itb07g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIASPPLSISERLIIRPTSPTPSSLRLHKLSLIDQALTSMYIPLVFFYPNNSHTDPTRVSDILQTSLSRTLTSYYPYAGRLLDNATVECNDAGAEFFRVRVNCTMLDIINCPDADAQNVAFPTGLPWANIYDGGLAVFQLSQFDCGGIAVSAAMCHKVGDGGTVRNFMGHWVAATRHPKLKLTPSFVSDSVFPTLPSGPLDKPMMPSKIEEDCVQKRFVFSGEKLDLLNDVVSQSGVKNPTRAEIVSALLYKCCVKAAASPRPSILVHYANMRQPLGLPCNSAGNILSTFSTSPAAGDEMDLARLVAELRDGKERLQSKDNEIVEEIVTSLRTGIKPYENPNLDIYFCSSLGKYPAYTADFGWGWPCKVSMPKGPMKKMFFLIDNLSGGGFEALVMLKKTEMSVFERDEELLRFASPVPISNP >itb03g08720.t1 pep chromosome:ASM357664v1:3:6546059:6547311:1 gene:itb03g08720 transcript:itb03g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKKSLSEKMLMQRRQKSMNKSCCSRLLISINVFGSAGPIRFVVNEDDKVVGVVDMALKQYAREGRLPLLGSEPHDFFLYPASSGFQALSASSSIGNCGARNFMLCKKNRQPLMTEGRPQVTGLKGTRSWKSWLTKSFFHKMILTE >itb10g17140.t1 pep chromosome:ASM357664v1:10:23379373:23381410:1 gene:itb10g17140 transcript:itb10g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQAKVVFVATPTIGNLVPVVEFSKLLSQKNQNLSVTVLLINFPQRPLIQSYIDSLVSDGNVEFLSLPAAEPPSPDRATISFLSLWIENHGPHVRRALTKLKSDDDVRIAGFFVDMFCTSFIDVAEELDIPCYLYFASPASFLSFMLHMPTLDAQVRDIEFRDAAKEYVIPGFENPVPVESFPHFMSKRHEDTYLPFLQHAKRYRETRGMVVNTFEELESYCLGSMFAGNDDVGPVYPIGPVVDREGPARWHPDSWNHEIVMEWLDNQPPSSVIFLTTGSMGSLNPAQIREIATGLDRSGYRFLWSIREPPKSKLDLPNDYTNLDHVLPPGFSDRTNGKGMVCGWVPQVTILAHKAIGGFVSHCGWNSILEALCHGVPIGTWPIYAEQHLNAFQMVKELGLAVEITFDYKDGVTLVSAEDVERGVRKLMDGDDEVRGKVKEYSEMCKRVWMKNGSSAVSLGKLIEDLNI >itb11g03790.t1 pep chromosome:ASM357664v1:11:2035954:2038423:-1 gene:itb11g03790 transcript:itb11g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRNLDESVSSHYHHRRHPWHVLTPLLALYITPAVKSRKPTVPIASPETMEVIYIILGVCFSVGLLAYAWGVLGWVWFKPKKLEKCLKQQCLKGNPYRILSGDMKELAEMTSDAISKPMNLSDDHIAPRVIPYYVLHVNKYGKSCYVWMGPTPMVLIRDPELIKEVLNKHYQYQKARANPLGMKLARGLANYEKDQWAKHRRLLNPAFYTEKLKLMQPAFLQSCCEMMSKWEGIVSGKGACELDVWPDLQGLTCDVISRTAFGSSYEEGKRIFELQREQATLVIEAFRQVYVPGWRFVPTKRNRRMNAIEKEVNSSIRGIIDKRMKAMQSGETNNDDLLGILLETNLQEIRQQGGKEFGMSIEDVIEECKLFYFAGQETTSVMLVWTMVLLSRFQDWQVRAREEVLQVFGDQEPDFEGLNSLKVLTMILYESLRLYPPIIDIVRWTVEETKLGEIVLPRGTMLMLPTLLMHVDSEIWGDDAKEFKPERFREGIMKATNGKQVFFPFSGGPRVCIGQNFAMVEAKMAMAMILQRFSFELSPSYAHAPFSRVTTQPQHGAPLIMQKL >itb13g26180.t1 pep chromosome:ASM357664v1:13:31543275:31543601:-1 gene:itb13g26180 transcript:itb13g26180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHTHLQATLMDSSGDLGLPPPLPPHPHELFPHNHHRHHLCPRHHHQHLHIVPNCPLHSFLLKPPSHHSPSCPLFSPFPNHLNPQPVSSSQFSGISNLPAPFPLHAM >itb01g28090.t1 pep chromosome:ASM357664v1:1:32621838:32625539:1 gene:itb01g28090 transcript:itb01g28090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFVKKPKITEVDKAILSLKTQRRKLAQYQQQLEKVIEAEKQAAKDLLRDKKKDRALLALKKKKAQEELLKQVDSWMINVEQQLADIELSSKQKAVFESLKTGNNAIKAIQSEVNLDDVQKLMDDTAEAKAYQDEINAILGEKLSAEDEEEILAEFENLESQLIDQDLPNVPASLPSGEKEEKLDLPDVPTKAPVISDAISDDDASTGVSTKKKVMEEPLPA >itb03g06590.t1 pep chromosome:ASM357664v1:3:4793165:4798079:1 gene:itb03g06590 transcript:itb03g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCLSFSTAPSSPFSGIVPSTRHRIRVHRPTYASAQVSLDAPLSNETSPSDQNKTGVIVIGAGLAGLAAATRLRAENVPFVLLEASDGVGGRVRSDVVDDFILDRGFQIFITAYPEARRLLSYEALDLRKFYSGAQVYYGGRFHTVADPLRHFSDALGSLANPIGSVADKLLVGLTRLRVLTRQDDEILTADEVPTINLLKTIGFSDSMVDRFFRPFFGGIFFDRELGTTSRLFDFIFKCLALGENTLPAKGIAAIPEQLAAKLPKGSVWLNSRVASIDLESTSDSNPAGMHVTLENGKTLKSELGVILAVEEPVAVKLLAGKLAGDKPLQLKKPVRSTVCIYFSAEQDRIPVKEPVLFLNGSGKGIVNNMFFATNVAPSYAPTGKALVSVSLIGVYDDVADEDLVNLVVKELSGWFGEAVVQSWTYLRMYRIAFAQPNQCPPTNLVKNPRLRPDLYMCGDFVTSATFDGALELETSYGEMLCIYCRSKLGDEIGKILQIPYTPQVNLVNGRVIKSLATMAYSQASSPLQSESRQGSVFSKKYIWGGVIVAISMLFFVGSMFCCFRKKLYANCRSRRKQKGALKAEELMLRIFQLEEILKATNNFSEDCLLGTGAFGNVYQGTFDREILAIKKAHSESYMSTEEFINEVRLLARVKHGNLVGLVGFCEEAGPKGAKILVYEYVPNGSLLDYIMGRGGKSLTWRQRVSIAIGAAKGIAHLHEGIKPGIIHRDIKPSNILIGESFEAKVSDFGLVISGPSGDQSHVSSQIKGTPGYLDPAYCSTLHLTPFTDVFSFGVILLQLVAARPVILSTKAHPNHHIIDWARPSLEQGKVEDIVDVNLLLEPCNMEMMLKMGQLGLKCVVQDPKQRPTMTQVWQELEAALNLVDNAIHKQPSHHLCRPASRSSQLTEIWNQDSTQISSQNSISIDAIQLQKFYIEMDSLSHFPSENLGWLENKCADVDDYDSQNLKETIIAVEDYNMHR >itb15g11890.t1 pep chromosome:ASM357664v1:15:9768777:9769855:1 gene:itb15g11890 transcript:itb15g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTAAASTVDDDDIRSADIDDEVGGNFDGLTSCTESSVGYESSSSVELDEIVDDNDIKDSLSYSWRFGRTEAERSRRKSKKFPPPLSSLTQDGKPIFFLRPVRKDGRLKLRQVKANWPETLLASRKDGRLRMHLIYSDDNDDDQGSPEICDEQEDEAQDAITEEEEEEEEGLWN >itb04g06220.t1 pep chromosome:ASM357664v1:4:4035476:4038338:-1 gene:itb04g06220 transcript:itb04g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSQEMDLDSFSTGVFELPGEPAVVVNGLPPLPPNDGNLVPCPAITDAELHRDEGFGQWLEGREVQKLFGGQFYIGKVIKYDVEMHWYKVVYEDGDSEELDWQELKEILLPLDIDVPLKTLATKITKSTQKHAQESGKTDIAYREMGLLESGQINYS >itb12g25310.t2 pep chromosome:ASM357664v1:12:26570569:26573829:1 gene:itb12g25310 transcript:itb12g25310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSVPDLKNLSIASNGKSAEKSRLVVCFGEMLIDFVPTVAGVSLAEAPAFQKAPGGAPANVAVCISKLGGSSAFIGKVGDDEFGHMLADILKQHNVDNSGMRFDKNARTALAFVTLTAEGEREFLFFRNPSADMLLRESELDIDLIKKAAIFHYGSISLIEEPCRSTHLAAMTVAKKSGCLLSYDPNLRLPLWPSADAARSGIMSIWDQADIIKVSEDEISFLTGGDDPYDDDVVMKKLFHPNLRLLIVTEGSEGCRYYTKQFKGRVKSIAVKAVDTTGAGDSFVGGLLTSLASDLNLYQDEKRLREAIFFANACAALTVTQRGAIPALPTKEAIQRFISEATV >itb12g25310.t1 pep chromosome:ASM357664v1:12:26570569:26573929:1 gene:itb12g25310 transcript:itb12g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSVPDLKNLSIASNGKSAEKSRLVVCFGEMLIDFVPTVAGVSLAEAPAFQKAPGGAPANVAVCISKLGGSSAFIGKVGDDEFGHMLADILKQHNVDNSGMRFDKNARTALAFVTLTAEGEREFLFFRNPSADMLLRESELDIDLIKKAAIFHYGSISLIEEPCRSTHLAAMTVAKKSGCLLSYDPNLRLPLWPSADAARSGIMSIWDQADIIKVSEDEISFLTGGDDPYDDDVVMKKLFHPNLRLLIVTEGSEGCRYYTKQFKGRVKSIAVKAVDTTGAGDSFVGGLLTSLASDLNLYQDEKRLREAIFFANACAALTVTQRGAIPALPTKEAIQRFISEATV >itb14g01260.t2 pep chromosome:ASM357664v1:14:978378:981382:1 gene:itb14g01260 transcript:itb14g01260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACALETLSGQAYGAKQYYKLGTQTYTAIFSLLIVCIPLAILWTYMGKVLVFIGQDPLISREAGKFLKWLVPALFAYSTLQPLIRFFQMQSMIYPMLLSSCITIGFHIPLCWVMVFKSGLSNIGAAVAIGVSMWLNVIILALYMKFSPACTRTRSPMSWEVFHGVKEFFRYAIPSAVMICLEWWSFELLVLLSGLLPNPQLEASVLSVCLNTVGTLYAIPYGLAGAVSTRVSNELGAGNPEGARLSVVSVMFIAVAETVVVSATLFACRHVFGYVYSNEKEVVDYVADMTPLLCLSVITDSLQGTLSGVARGCGWQHIGAYVNLASFYLCGIPISASLAFWLNLRGKGLWIGIVSGATLQTILLSIITSCTNWNKQAARARERFVE >itb14g01260.t1 pep chromosome:ASM357664v1:14:976603:981382:1 gene:itb14g01260 transcript:itb14g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLLKKREGESCGGGERRRWAAVWVEVKRLGYLAGPMVAVTLSQYLLQVISVMMVGHLGELSLSSTSIAISIAGVTGFSFLLGMACALETLSGQAYGAKQYYKLGTQTYTAIFSLLIVCIPLAILWTYMGKVLVFIGQDPLISREAGKFLKWLVPALFAYSTLQPLIRFFQMQSMIYPMLLSSCITIGFHIPLCWVMVFKSGLSNIGAAVAIGVSMWLNVIILALYMKFSPACTRTRSPMSWEVFHGVKEFFRYAIPSAVMICLEWWSFELLVLLSGLLPNPQLEASVLSVCLNTVGTLYAIPYGLAGAVSTRVSNELGAGNPEGARLSVVSVMFIAVAETVVVSATLFACRHVFGYVYSNEKEVVDYVADMTPLLCLSVITDSLQGTLSGVARGCGWQHIGAYVNLASFYLCGIPISASLAFWLNLRGKGLWIGIVSGATLQTILLSIITSCTNWNKQAARARERFVE >itb09g29940.t1 pep chromosome:ASM357664v1:9:30648468:30654582:-1 gene:itb09g29940 transcript:itb09g29940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAWRCGGGALSNVAAVAAVQHRQKHHLFVLCASSSRRPISWTAICGVMLFALGLISLFTGHVASNLEWYSQHSWLFRRDRSIRAPIDIWKSKYSKFYYGCSERGHLFGGSVSERSSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFLNIFDVNWFINYLAKDVTIVKRVPERVMRSMEKPPYTMRVPRKSEPEYYLDQVLPILIRRRVLQLTKFDYRLTNELDEELQRLRCRVNYHALRFTKPIRSMGQKLVMRMRKMEKRFIAVHLRFEPDMLAFSGCYYGGGDQERYELGEIRKRWDTLPEISPDEERLRGKCPLTPHEVGLMLRALGFKNDTYLYVASGEIYGGEKTLQPLRELFPNFYSKEMLAGEEELKPFLPYSSRMAAIDYIVCEESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALFMKREKMDWDTFASKVKSYQRGFMGEPDEMRPGRGEFHEYPASCICQKPFRYSSARNSSYEYSSDDLSVRNSQKLSNENLRENPASVFDESDHVNPLSD >itb09g03570.t1 pep chromosome:ASM357664v1:9:1974168:1976990:1 gene:itb09g03570 transcript:itb09g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATEQHRPCWHYPAWNLLDSNHVATHLEPMHHTNLPSSFNSHVFTANAVSQGISALVPGSPYSRTNQLIGVHGVLQPIPTHINCSSPSTNPYMNESQSALFHGIGRKVVPTSACGKKFLIFDHSGDQTRLFFSPSFPPRNELLSRKTSDFDDNGLGHGKLTALVEHRSPGKLIIQEQLDRSYSNSYGSERPEDTEEINALLYSDDGDVDGEDDEVTSTGHSPFPVQGRCHEHAQFGELTGDIASSDGSSKRQKLHDGGYRKSSLKDTASSVQLRRSHRYDDDDDDDTGSSCVKGTEFKGQEQSPHSHASKKKAKIHEMLKILETIIPGLKSKDPLSIFDEAIDYLKHLRLKAKELGLEPSPVPPSSPLEVSGAVLAEGEGKKWADLDYVFPRNDYF >itb09g25620.t1 pep chromosome:ASM357664v1:9:25726588:25728538:-1 gene:itb09g25620 transcript:itb09g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFILWIPIVDDHFLWSTKQYKEFRDKMQFAMMDDPHKRIARSFTRFVKENLLPHFQIGEESILISLDQQGRIIHTNAMHMIQTWSPDYIEDRKLKVQGRNNIIPFIKKEMKERSQGLDSLIFDIDEQISLLAREVDDKIDAWTNQINNRINELREHSNMYTSERENALWKKEKDWSLRLVVGEMDNEVTSWIEKERCIFLYGGNDIKWVREFTSKVHEVTLNTESDIELIYVGKNEKVRASIDEEHPSYFLESPYHAWRFWTRLQSALLSRINYLNAANCHGDECDDEIAQGFKKLLGYECKGATMEGWTLLGKGQRVITCGQGAKMLRVINEYENWKENMSLKSFEQAFKDYYNKTLHTSCSPNSHSCCAFEYPITLKEIPKKEKCPECFHDMQKLVTFTCYHGDN >itb01g34550.t1 pep chromosome:ASM357664v1:1:37183244:37183819:1 gene:itb01g34550 transcript:itb01g34550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNCKNALFFAFSVVISLQIVAIDANPFLIDAICLKTMKPSFCSQQLRSDSRSIGANYTTLVRILLDRVESRVVSMKDVIRVILRQNLPVNQQLRLATCLDGCNEAIVDASWCKYALKLGSGDFKGLKSQAFSLLNHFKKCDNLFEESPAEPLKIKEASGKIQELCNAILVISKLGILEHPFDVCSGFNC >itb02g12310.t2 pep chromosome:ASM357664v1:2:8390136:8401782:1 gene:itb02g12310 transcript:itb02g12310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRPIAPRSKPSVAPVEVAAVAVDGAAPPAPLESDTTALLVQEKSLIRHDQAVVESETNASSSYANIKLECERALTSLRRGNHNKALRLMKDLCAKHENSPNLALIYRVQGTVCVKVASIIDDPNVKNRHLKNAIDSARKSVMMSPNSIEFAHFYANLLYEAANDGKEYEEVVQECERALAIENPIDPAKESLQENQQKVSTPEARISHVQGELRNLIQRSNLASISTWVKNFGSGEEKFRLIPIRRMSEDPMELRLVQARKPNEIKKATKTPEERRKEIEVRVAAARLLQQKSESAQSFNDGERSLDLSAGTGQRGNERRKSGNARKNVSSMERRDCVRSYWNSMSTDMKKKLLRIRIVDLKSHFGSLKDGLANEVLSEALSFAEANKAWKFWTCCHCVERFADSESHIQHVINEHMCSLSPKLQSVLPQNVENEWVEMLLNCSWKPLDVNAAAKLLEKQLKCRVHGFLENTYTRNDVDESKDDISDPYCNVYGWDRSPIKKTFGDGCNGSTVDSREYDKNSEVWMDRDGNEGTKICPPSESWPIADDPERAKLLERINAVFQTLIKNKYLGSSHLSKVIHFAVEELQSLGYGSQLLNYNIDQTPLCICFLGAPELKKILKFLQELSHSCGLGRYPERSSAIDDINTGIQGVDAMEKIVFSEDGSCLLFDEHFKPCKLTPIMFHDGVVADSNPNSAAISSNIQCDNGGLLDSDELLSWIFMGTSSGEQLTSWSRSREEKAQQAMEIVRLLEKEFYELQGLCERKCEHLNYEEALQVVEDLCLEEGKKREHTIDFVRRSYDIALKKRRDELMESHHEATSTNYRFEIEAISSVLKDSESLNVNQFGFEDNYGGISSHLCDLESGEDDDWRVKDYLNQADSCVEVAIQRQKEHVSVELSKIDARIMRVVSGMQQLEVKLESVSAHDYRQILVPLVKSYLRAHLEDLAERDATEKSDAAREAFLAELARDSKKSSNVGSENAKHSHEKTRDKKKIKDYRKTKDLKGSNGNGLHVLHHDTAEEASFSVVHDGDGEDAELSIGGSNSITQEEEEQRRRIELEAEERKLEETLEYQRRIENEAKLKHLAEQHKKGARTLLEMPEAVPDTFLNYNEQDQVVNKQQGTTKKELLQSNGSPLEGHPKNSTVSDIHKAGIALGFSNGGFLEDGTLTSDRRAGRRSRRQKGSAKYNEEKCPPVTSGAENIEVGEVRPLDDSLEKKHAGESGPKTLRQLHVEDDDEERFQADLKKAVRQSLDTFHAHQKLPLIPRSGTAQISEMANLGVSCEDVATGIVNGIEVYGTGLKNEVGEYNCFLNVIIQSLWHLRRFRDEFLRSSSEHIHVGDPCVVCALYDIFTALSLASSETRRDAVAPNSLRIALSNLYPDRLLFQEGQMNDASEVLGVIFDCLHQSFASASGASDTESVDSSCMGSWDCANSACIVHSLFGMDVFERMNCYECGLESRHLKYSSFFHNINASALRTMKVMCQDSSFDELLNLVEMNHQLACDPDARGCGKHNHIHHFLSTPPHVFTTVLGWQNTCESVEDITATLVALSTEIDISVLYRGLDPKSKHCLVSVVCYYGQHYHCFAYSHDHERWIMYDDKTVKVIGSWADVLTMCERGHLQPQVLFFEAVN >itb02g12310.t3 pep chromosome:ASM357664v1:2:8390518:8401088:1 gene:itb02g12310 transcript:itb02g12310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRPIAPRSKPSVAPVEVAAVAVDGAAPPAPLESDTTALLVQEKSLIRHDQAVVESETNASSSYANIKLECERALTSLRRGNHNKALRLMKDLCAKHENSPNLALIYRVQGTVCVKVASIIDDPNVKNRHLKNAIDSARKSVMMSPNSIEFAHFYANLLYEAANDGKEYEEVVQECERALAIENPIDPAKESLQENQQKVSTPEARISHVQGELRNLIQRSNLASISTWVKNFGSGEEKFRLIPIRRMSEDPMELRLVQARKPNEIKKATKTPEERRKEIEVRVAAARLLQQKSESAQSFNDGERSLDLSAGTGQRGNERRKSGNARKNVSSMERRDCVRSYWNSMSTDMKKKLLRIRIVDLKSHFGSLKDGLANEVLSEALSFAEANKAWKFWTCCHCVERFADSESHIQHVINEHMCSLSPKLQSVLPQNVENEWVEMLLNCSWKPLDVNAAAKLLEKQLKCRVHGFLENTYTRNDVDESKDDISDPYCNVYGWDRSPIKKTFGDGCNGSTVDSREYDKNSEVWMDRDGNEGTKICPPSESWPIADDPERAKLLERINAVFQTLIKNKYLGSSHLSKVIHFAVEELQSLGYGSQLLNYNIDQTPLCICFLGAPELKKILKFLQELSHSCGLGRYPERSSAIDDINTGIQGVDAMEKIVFSEDGSCLLFDEHFKPCKLTPIMFHDGVVADSNPNSAAISSNIQCDNGGLLDSDELLSWIFMGTSSGEQLTSWSRSREEKAQQAMEIVRLLEKEFYELQGLCERKCEHLNYEEALQVVEDLCLEEGKKREHTIDFVRRSYDIALKKRRDELMESHHEATSTNYRFEIEAISSVLKDSESLNVNQFGFEDNYGGISSHLCDLESGEDDDWRVKDYLNQADSCVEVAIQRQKEHVSVELSKIDARIMRVVSGMQQLEVKLESVSAHDYRQILVPLVKSYLRAHLEDLAERDATEKSDAAREAFLAELARDSKKSSNVGSENAKHSHEKTRDKKKIKDYRKTKDLKGSNGNGLHVLHHDTAEEASFSVVHDGDGEDAELSIGGSNSITQEEEEQRRRIELEAEERKLEETLEYQRRIENEAKLKHLAEQHKKGARTLLEMPEAVPDTFLNYNEQDQVVNKQQGTTKKELLQSNGSPLEGHPKNSTVSDIHKAGFSNGGFLEDGTLTSDRRAGRRSRRQKGSAKYNEEKCPPVTSGAENIEVGEVRPLDDSLEKKHAGESGPKTLRQLHVEDDDEERFQADLKKAVRQSLDTFHAHQKLPLIPRSGTAQISEMANLGVSCEDVATGIVNGIEVYGTGLKNEVGEYNCFLNVIIQSLWHLRRFRDEFLRSSSEHIHVGDPCVVCALYDIFTALSLASSETRRDAVAPNSLRIALSNLYPDRLLFQEGQMNDASEVLGVIFDCLHQSFASASGASDTESVDSSCMGSWDCANSACIVHSLFGMDVFERMNCYECGLESRHLKYSSFFHNINASALRTMKVMCQDSSFDELLNLVEMNHQLACDPDARGCGKHNHIHHFLSTPPHVFTTVLGWQNTCESVEDITATLVALSTEIDISVLYRGLDPKSKHCLVSVVCYYGQHYHCFAYSHDHERWIMYDDKTVKVIGSWADVLTMCERGHLQPQVLFFEAVN >itb02g12310.t1 pep chromosome:ASM357664v1:2:8390136:8401782:1 gene:itb02g12310 transcript:itb02g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRPIAPRSKPSVAPVEVAAVAVDGAAPPAPLESDTTALLVQEKSLIRHDQAVVESETNASSSYANIKLECERALTSLRRGNHNKALRLMKDLCAKHENSPNLALIYRVQGTVCVKVASIIDDPNVKNRHLKNAIDSARKSVMMSPNSIEFAHFYANLLYEAANDGKEYEEVVQECERALAIENPIDPAKESLQENQQKVSTPEARISHVQGELRNLIQRSNLASISTWVKNFGSGEEKFRLIPIRRMSEDPMELRLVQARKPNEIKKATKTPEERRKEIEVRVAAARLLQQKSESAQSFNDGERSLDLSAGTGQRGNERRKSGNARKNVSSMERRDCVRSYWNSMSTDMKKKLLRIRIVDLKSHFGSLKDGLANEVLSEALSFAEANKAWKFWTCCHCVERFADSESHIQHVINEHMCSLSPKLQSVLPQNVENEWVEMLLNCSWKPLDVNAAAKLLEKQLKCRVHGFLENTYTRNDVDESKDDISDPYCNVYGWDRSPIKKTFGDGCNGSTVDSREYDKNSEVWMDRDGNEGTKICPPSESWPIADDPERAKLLERINAVFQTLIKNKYLGSSHLSKVIHFAVEELQSLGYGSQLLNYNIDQTPLCICFLGAPELKKILKFLQELSHSCGLGRYPERSSAIDDINTGIQGVDAMEKIVFSEDGSCLLFDEHFKPCKLTPIMFHDGVVADSNPNSAAISSNIQCDNGGLLDSDELLSWIFMGTSSGEQLTSWSRSREEKAQQAMEIVRLLEKEFYELQGLCERKCEHLNYEEALQVVEDLCLEEGKKREHTIDFVRRSYDIALKKRRDELMESHHEATSTNYRFEIEAISSVLKDSESLNVNQFGFEDNYGGISSHLCDLESGEDDDWRVKDYLNQADSCVEVAIQRQKEHVSVELSKIDARIMRVVSGMQQLEVKLESVSAHDYRQILVPLVKSYLRAHLEDLAERDATEKSDAAREAFLAELARDSKKSSNVGSENAKHSHEKTRDKKKIKDYRKTKDLKGSNGNGLHVLHHDTAEEASFSVVHDGDGEDAELSIGGSNSITQEEEEQRRRIELEAEERKLEETLEYQRRIENEAKLKHLAEQHKKGARTLLEMPEAVPDTFLNYNEQDQVVNKQQGTTKKELLQSNGSPLEGHPKNSTVSDIHKAGFSNGGFLEDGTLTSDRRAGRRSRRQKGSAKYNEEKCPPVTSGAENIEVGEVRPLDDSLEKKHAGESGPKTLRQLHVEDDDEERFQADLKKAVRQSLDTFHAHQKLPLIPRSGTAQISEMANLGVSCEDVATGIVNGIEVYGTGLKNEVGEYNCFLNVIIQSLWHLRRFRDEFLRSSSEHIHVGDPCVVCALYDIFTALSLASSETRRDAVAPNSLRIALSNLYPDRLLFQEGQMNDASEVLGVIFDCLHQSFASASGASDTESVDSSCMGSWDCANSACIVHSLFGMDVFERMNCYECGLESRHLKYSSFFHNINASALRTMKVMCQDSSFDELLNLVEMNHQLACDPDARGCGKHNHIHHFLSTPPHVFTTVLGWQNTCESVEDITATLVALSTEIDISVLYRGLDPKSKHCLVSVVCYYGQHYHCFAYSHDHERWIMYDDKTVKVIGSWADVLTMCERGHLQPQVLFFEAVN >itb14g01960.t1 pep chromosome:ASM357664v1:14:1606072:1606955:-1 gene:itb14g01960 transcript:itb14g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILVVLEPYNNATTGAVVRLSTDLNVRFFTPTICARKTVWKVDTYDESVNKYFVKTGGVEGNPSPQTLSSWFKIEKYKSVYKFVFCPTMWRLQVCVLSDRVQLLQGYLQRCRNL >itb12g15060.t1 pep chromosome:ASM357664v1:12:15477868:15479545:1 gene:itb12g15060 transcript:itb12g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGPELLSRSFCDIHMVTYSLIVHFTQQSRSNLEGFDIFYREKRHHKRKISHFPFPPEILEIFFAMANGWRRNQPTKILSPKNLLLFLSTSLLLLVFLYLASQTQNPYPKALKSVRNPIFQRSIKPFDCYSSPQAHPVIANVVEGLKYPFLFSLSDFGNLPEKPHKNINRTLKGKAFRKPDISETVQGLLENKRNEGSNGIFVDVGANVGMATFAAAVMGFKVLAFEPVFENLQKICEGVYFNRVGELVEIYEAAASDQLGNIILHKLCEELL >itb02g09940.t1 pep chromosome:ASM357664v1:2:6402163:6405928:1 gene:itb02g09940 transcript:itb02g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYFPILLLISGFLTSANRNPTALLPSEPQLKLSANCPAPDGNLNYRPVIGIVSHPGDGAKSRLSNASDVSYIAASYVKFVESAGARVIPLIYNEPPEILIEKLNLVNGVLFTGGSSKTGLYFEVVKVIFQKVLEKNDAGDHFPLLAICLGFELLTMIITKDNNILEGFNAANQASKLQFLEVSNFEGTLFQRFPSELLKEMSKDCLVMQNHHYGISPEKFRANADLCNFFKILTTSADQDNKIYVSTVQAEQYPVTAVQWHPEKNAFEWGLSEIPHTEDAILVTQNVANYFISEARKSLNRPDAQKVLNNLIYNYSPSYSGKSGSGFDEVYIFTQNSGLSSL >itb02g09940.t2 pep chromosome:ASM357664v1:2:6402163:6405928:1 gene:itb02g09940 transcript:itb02g09940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYFPILLLISGFLTSANRNPTALLPSEPQLKLSANCPAPDGNLNYRPVIGIVSHPGDGAKSRLSNASDVSYIAASYVKFVESAGARVIPLIYNEPPEILIEKLNLVNGVLFTGGSSKTGLYFEVVKKVLEKNDAGDHFPLLAICLGFELLTMIITKDNNILEGFNAANQASKLQFLEVSNFEGTLFQRFPSELLKEMSKDCLVMQNHHYGISPEKFRANADLCNFFKILTTSADQDNKIYVSTVQAEQYPVTAVQWHPEKNAFEWGLSEIPHTEDAILVTQNVANYFISEARKSLNRPDAQKVLNNLIYNYSPSYSGKSGSGFDEVYIFTQNSGLSSL >itb12g13730.t1 pep chromosome:ASM357664v1:12:12726698:12736168:-1 gene:itb12g13730 transcript:itb12g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWQKSGGCERGYFAGGLRSLVRRKQVDSAAAHSNPSSSSSPPSSSGHGRVHHHQLAKALTVPHLIAIGVGSTVGAGVYILVGTVAREHTGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALLFGGQDSLPIFLARQTIPGLNIVVDPCAAILVCIVTGLLCVGIKESTMAQGFITMANICAMVFVIVVGGYLGFKTGWPGYDLPVGYFPFGVNGMLAGASTVFFSYIGFDTVASTSEEVKNPQRDLPLGIGLALLICCMLYMLVSAVIVGLVPYYAMDPDTPISTAFGSYGIEWAVYIITTGACTALCSTLLGSLLPQPRILMAMARDGLLPSFFSDVNKHTQVPVKSTLVTGLLSGALAFFMNVDQLAGMVSVGTLLAFTVVAISVLILRYVPPDEVPLPPSFQEAIDAVTIRYSGSEDNADITKTATVTMDSDLPLLVKAPVWHPLPEKAAAQFSCLVSERRKVAGWAIVLTCIGVLVLASAASSVGLPNSPRFILSGIGGFLVLSGLLVLTFIHQDDARHNFGHSGGFVCPFVPLLPIACILINVYLLINLGGATWARVSVWLLIGMIVYILYGRSHSKLQDAVYVPAAHVDEIYRNSDFSLP >itb12g13730.t3 pep chromosome:ASM357664v1:12:12726698:12734172:-1 gene:itb12g13730 transcript:itb12g13730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGFITMANICAMVFVIVVGGYLGFKTGWPGYDLPVGYFPFGVNGMLAGASTVFFSYIGFDTVASTSEEVKNPQRDLPLGIGLALLICCMLYMLVSAVIVGLVPYYAMDPDTPISTAFGSYGIEWAVYIITTGACTALCSTLLGSLLPQPRILMAMARDGLLPSFFSDVNKHTQVPVKSTLVTGLLSGALAFFMNVDQLAGMVSVGTLLAFTVVAISVLILRYVPPDEVPLPPSFQEAIDAVTIRYSGSEDNADITKTATVTMDSDLPLLVKAPVWHPLPEKAAAQFSCLVSERRKVAGWAIVLTCIGVLVLASAASSVGLPNSPRFILSGIGGFLVLSGLLVLTFIHQDDARHNFGHSGGFVCPFVPLLPIACILINVYLLINLGGATWARVSVWLLIGMIVYILYGRSHSKLQDAVYVPAAHVDEIYRNSDFSLP >itb12g13730.t6 pep chromosome:ASM357664v1:12:12726698:12736168:-1 gene:itb12g13730 transcript:itb12g13730.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWQKSGGCERGYFAGGLRSLVRRKQVDSAAAHSNPSSSSSPPSSSGHGRVHHHQLAKALTVPHLIAIGVGSTVGAGVYILVGTVAREHTGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALLFGGQDSLPIFLARQTIPGLNIVVDPCAAILVCIVTGLLCVGIKESTMAQGFITMANICAMVFVIVVGGYLGFKTGWPGYDLPVGYFPFGVNGMLAGASTVFFSYIGFDTVASTSEEVKNPQRDLPLGIGLALLICCMLYMLVSAVIVGLVPYYAMDPDTPISTAFGSYGIEWAVYIITTGACTALCSTLLGSLLPQPRILMAMARDGLLPSFFSDVNKHTQVPVKSTLVTGLLSGALAFFMNVDQLAGMVSVGTLLAFTVVAISVLILRYVPPDEVPLPPSFQEAIDAVTIRYSGSEDNADITKTATVTMDSDLPLLVKAPVWHPLPEKAAAQFSCLVSERRKVAGWAIVLTCIGVLVLASAASSVGLPNSPRFILSGIGGFLVLSGLLVLTFIHQDDARHNFGHSGGICFKRLFVW >itb12g13730.t4 pep chromosome:ASM357664v1:12:12727797:12736163:-1 gene:itb12g13730 transcript:itb12g13730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWQKSGGCERGYFAGGLRSLVRRKQVDSAAAHSNPSSSSSPPSSSGHGRVHHHQLAKALTVPHLIAIGVGSTVGAGVYILVGTVAREHTGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALLFGGQDSLPIFLARQTIPGLNIVVDPCAAILVCIVTGLLCVGIKESTMAQGFITMANICAMVFVIVVGGYLGFKTGWPGYDLPVGYFPFGVNGMLAGASTVFFSYIGFDTVASTSEEVKNPQRDLPLGIGLALLICCMLYMLVSAVIVGLVPYYAMDPDTPISTAFGSYGIEWAVYIITTGACTALCSTLLGSLLPQPRILMAMARDGLLPSFFSDVNKHTQVPVKSTLVTGLLSGALAFFMNVDQLAGMVSVGTLLAFTVVAISVLILRYVPPDEVPLPPSFQEAIDAVTIRYSGSEDNADITKTATVTMDSDLPLLVKAPVWHPLPEKAAAQFSCLVSERRKVAGWAIVLTCIGVLVLASAASSVGLPKYVFTL >itb12g13730.t5 pep chromosome:ASM357664v1:12:12730361:12736168:-1 gene:itb12g13730 transcript:itb12g13730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWQKSGGCERGYFAGGLRSLVRRKQVDSAAAHSNPSSSSSPPSSSGHGRVHHHQLAKALTVPHLIAIGVGSTVGAGVYILVGTVAREHTGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALLFGGQDSLPIFLARQTIPGLNIVVDPCAAILVCIVTGLLCVGIKESTMAQGFITMANICAMVFVIVVGGYLGFKTGWPGYDLPVGYFPFGVNGMLAGASTVFFSYIGFDTVASTSEEVKNPQRDLPLGIGLALLICCMLYMLVSAVIVGLVPYYAMDPDTPISTAFGSYGIEWAVYIITTGACTALCSTLLGSLLPQGGKGLDPASHQHWLNFILP >itb12g13730.t2 pep chromosome:ASM357664v1:12:12730295:12736163:-1 gene:itb12g13730 transcript:itb12g13730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWQKSGGCERGYFAGGLRSLVRRKQVDSAAAHSNPSSSSSPPSSSGHGRVHHHQLAKALTVPHLIAIGVGSTVGAGVYILVGTVAREHTGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALLFGGQDSLPIFLARQTIPGLNIVVDPCAAILVCIVTGLLCVGIKESTMAQGFITMANICAMVFVIVVGGYLGFKTGWPGYDLPVGYFPFGVNGMLAGASTVFFSYIGFDTVASTSEEVKNPQRDLPLGIGLALLICCMLYMLVSAVIVGLVPYYAMDPDTPISTAFGSYGIEWAVYIITTGACTALCSTLLGSLLPQRVAKVLTLHLISIG >itb14g11820.t1 pep chromosome:ASM357664v1:14:13454058:13455290:1 gene:itb14g11820 transcript:itb14g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSENSSRSTHIQNFGSDGDLQRAMNERKQKRMQSNRESARRSRMRKQKHLDDLVAQVWGATEAGGGAVRGDGEGRGIDGEGKVQR >itb08g02620.t1 pep chromosome:ASM357664v1:8:2247041:2252635:-1 gene:itb08g02620 transcript:itb08g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRSAGKEIVVVVIMLMMSFTMMLTLTAEYEIPWKSDRYDRYEGQKEIYNMVPRGCRNKCGNVSIFYPFGIGPKKNCYLNKWFLINCTNTSDGVEKPFLSSFSDEKDGVREILRISYELQTITMKEWFSPLCQTTTGSANLSVMRNTKLSGAPFFYSSSANRFMFYGCGTAVLTTPGQEFIQSSCKLTCRNNTTPAPKFVDSCNGINCCRLRFDYDVSAYQINITTHSSIVNACNYAFFLATPSSRFSLSNLPPEEKVVVPVVWRWIIKRYDFNYLPPHYSIPCYSYENIFPPQPRGTNWNCHCNFPEKGNVYLPNGCQAWDDSWALGEKARLNNVPKGCQDKCGTVNIYYPFGIGNGGSKSCYLNKWFLINCTQSSDGSEKPYLNSISGGVEILGMSYESQTITVKEPISPYCQTTEFIKGSNFSQISNTKLSASPFFYTIGNNFMLFGCGNAFITMAGEELEQQGYKLNCSKNTAPKTAYDCHGINCHYLTFDYDVNTYQVNFTHSPINAPCNYAFFLSASSSLPTTLQSLPSRQQEVVVVPVELRWTITEDDVPPSYSKYCSPSTYINPQLQRHNYLQCDCGIKGRNAYFSDGCEQRDIDDKISSNKMRPAIIGVSASFGFVLLIWACIILYKAIKKRKMKKLREKFFKRNGGLLLQQQLLAKEGIVEKTMIFTASELDKATDHFNVDRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENQLEPFINEVVILSQINHRNVVKLMGCCLETEVPILVYEFIPNGTLFGLIHNNFDDELIPLSWDIRLRIASEVACALAYLHSATSVPIYHRDIKSGNILLDEKFRAKVSDFGTSRSISIDKTHLTTLVKGTFGYLDPEYFQSSQFTEKSDVYSFGVVLAELLTGQKPISFELDDDENRSLVSRFLLCMEENRLMEILDVQVIEQGKKEDVAAMAWLAQCCLNLNGKKRPTMKEVATELDAIKASHSHLPLAMEALEIESDFIA >itb11g01060.t2 pep chromosome:ASM357664v1:11:482527:486549:1 gene:itb11g01060 transcript:itb11g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQINGLHINPQISPPSAILGFALIQACSEESPPQLRDQKSPTATMGRMHSRGKGISSSAIPYKRSPPSWLKISSQDAIELPKIELKQNPGARMQINGLHINPQISPPSAILGFALIQACSEESPPQLRDQKSPTATMGRMHSRGKGISSSAIPYKRSPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >itb11g01060.t1 pep chromosome:ASM357664v1:11:482527:486874:1 gene:itb11g01060 transcript:itb11g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINGLHINPQISPPSAILGFALIQACSEESPPQLRDQKSPTATMGRMHSRGKGISSSAIPYKRSPPSWLKISSQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >itb12g19010.t1 pep chromosome:ASM357664v1:12:21308377:21310312:1 gene:itb12g19010 transcript:itb12g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLKYWRGGGGGGGNTFSAADAGFSSRSTDSSTAGATTIVTTVSPHFTDSDSDFDSDTEDGPFFDLEFAVPEDEDGDAKAQTGEGENEDKSVDAQHSEVDDGGDSDESDDENEGELKLTFSPSSASNVDRSDANASLSPRDDLFFKGRLVPVEHASLTLTASEANSKFPVSFLKSATKFRVMMLKLKKPKANAQRNPEKSEPKSEPKSKPCPKRKATRNEKDEAQIRAEKKSFTVKFKVEEVKIKSLFTRESSSKGNSNGKAEQKPSTLESHLNSSSSSEERKFPKETIQKYLKLVKPLYIRVSKRYSDKLGFSGHLSFPGGGTAAAAATTHSPPPQSTAEKAETEPTDLSEKAAVLSNAKSQKQGNLPAGLRVVPKNLMKSRSASSAAAAAAASPVSSSQRRDDSLIEQHDGIQGAILHCKRSFNASRDSYYRDEPGIQTYSCSRKEAIAQQGLATN >itb11g18050.t1 pep chromosome:ASM357664v1:11:18175492:18177370:1 gene:itb11g18050 transcript:itb11g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEDCNGACGFFTRLCKSWKKIERGIDDGVSKSKVGKFFKLEARKSCFSKEVRAGTATFLTMAYIITVNATILGDSGATCSLSDCTFPANQTHASPDCKFKANEGYERCLAKVRSDLIVATAVAGLVGSVGMGLLANLPLGLAPGMGPNAYIAYNLVGFHGSGKLSYQTVMAIVMIEGIAFLGIAAVGLRAKLARLIPSSVRIACAAGIGLFIAFVGLQAQQGVGLVGPDPSTLLGLAACRHTDPVTGACVGGQMRSPTFWLGFAGFIIMCYGVMKNVKGSMIYGMLFVTLVSWVRGTSVTIFPNSPLGDTKYDYFKRVVDFHKIESTAGAISFTHFTSSRVWVALVTLLYLEVLATTGTLYTMAEIGGFINDKGGFEGEYTAYMVDAASTVVASALGTSPVAVYIESSAGIREGGRTGLTGIVIGLYFALSLFFTPLLASVPPWAIGPSLVMVGVFMMKVVKDINWENTKEAVPAFVTIILMPLTYSIAYGIVGGIGMYIALSLYDWIKELVKWTARMKTMVAKEQNQVSATNVVEHNIENI >itb13g05750.t1 pep chromosome:ASM357664v1:13:6904149:6905837:-1 gene:itb13g05750 transcript:itb13g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKQLCYLVGLYKQFVFNGRWESTASELLEFLSCIKQPRRVESLCEKYDIVIAVPSQPYTVGKCCQNFLQSLMEELMASCSFFSVLMSEKDVAKL >itb09g05030.t1 pep chromosome:ASM357664v1:9:2865387:2867806:1 gene:itb09g05030 transcript:itb09g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSQSQNTSLQRLQNVEKRIVRVLELAGGVMDELANPSGPRKELINNQCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYVPRIASEICCEKLEYVISQLDDMKQTIDKYHGST >itb09g16250.t1 pep chromosome:ASM357664v1:9:11464139:11465467:-1 gene:itb09g16250 transcript:itb09g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAFSLLGVMDCLWFYQSIFSAESPSVLCPKPLESLQYSPVQNLIPGNYSSSTVDEITPQDEDEEEESRQNETTEKERLTRLDLAACKSRSHSFSPSLDRICKSLGELELEEVKGFMDLGFIFDKEQTSKKMMSVLPGLQRLQLINEIKDKDDMESGRVRPYLSEAWLIKSPNSPLLNLRMPRASAAVDMKRHLKHWAKTVATVIHQES >itb09g16250.t2 pep chromosome:ASM357664v1:9:11464170:11465286:-1 gene:itb09g16250 transcript:itb09g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAFSLLGVMDCLWFYQSIFSAESPSVLCPKPLESLQYSPVQNLIPGNYSSSTVDEITPQYAAFVNQDEDEEEESRQNETTEKERLTRLDLAACKSRSHSFSPSLDRICKSLGELELEEVKGFMDLGFIFDKEQTSKKMMSVLPGLQRLQLINEIKDKDDMESGRVRPYLSEAWLIKSPNSPLLNLRMPRASAAVDMKRHLKHWAKTVATVIHQES >itb14g01210.t1 pep chromosome:ASM357664v1:14:948800:956136:-1 gene:itb14g01210 transcript:itb14g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSSSSATTNVMLAIYEKKTVSIDLYRPLRNFIVVNYSEREAQSLEDDLETLKELRSELERGISDSLPARRDLLQKYFRALCALESRFPISPDKDHVNTVTFTWYDAFKNKQKAAQQNIHLEKAAVLFNLGAVHSQMGLSFDRSSVDGRRQASHSFIAAAGAFTFLKDNVAMKASTGNSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGIFYEEALSALTSAPLSQHFDKAWLTHVQIKAALFYAEACYRYSLELHEKEEIAEEIARLKCGISALSDTKKSSPKGALQQLIDAANKLETNLNHNLERAVKENDRVYLMRVPPASSLTALPAFSMVKPMLMNEVLDASKEKMFSSLVPDSSAKALSRYTEMVDDVIRSQAEKLQQGSELARVRLKEMDLPDSILALEGNSTLPKVLKEDAEAVQICGGPAALEGDLEQLKDLRRVNQELLVQTEELLQKESMEDAQFRSQFGTRWTRPQSSTLTKNLQERLNRFAANLKQAIESDARIERSVRDHAVLMSILDRRPIESALPTLARPMMSLDANEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSHEDLFRKEIGKYDHICQDIAQNIEAQEQLLMQIQAQNDEFASIFNLVDYKATCEKIYRQIEAAIAKYREIKDNVNEGLKFYVTLQDAITNVKQQCTDFVNTRNMQCREMIDEVQRKISGLSFQDSKTSGAYNYPSVGQLNSQPTGPANLSNPPPHTQSPVYQPPQQPTVPSYVHPPHPHPSHPPPPYATPQQPPPPSHQPPPYVTPQQPPPPYHLPPQSIPYPHPQYQQQPLPNHEYAQPAYPGWRAPYYNAPPQQPGSMPRPPYTVPPYPPNQNGYYRQ >itb14g01210.t2 pep chromosome:ASM357664v1:14:948800:955640:-1 gene:itb14g01210 transcript:itb14g01210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFDRSSVDGRRQASHSFIAAAGAFTFLKDNVAMKASTGNSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGIFYEEALSALTSAPLSQHFDKAWLTHVQIKAALFYAEACYRYSLELHEKEEIAEEIARLKCGISALSDTKKSSPKGALQQLIDAANKLETNLNHNLERAVKENDRVYLMRVPPASSLTALPAFSMVKPMLMNEVLDASKEKMFSSLVPDSSAKALSRYTEMVDDVIRSQAEKLQQGSELARVRLKEMDLPDSILALEGNSTLPKVLKEDAEAVQICGGPAALEGDLEQLKDLRRVNQELLVQTEELLQKESMEDAQFRSQFGTRWTRPQSSTLTKNLQERLNRFAANLKQAIESDARIERSVRDHAVLMSILDRRPIESALPTLARPMMSLDANEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSHEDLFRKEIGKYDHICQDIAQNIEAQEQLLMQIQAQNDEFASIFNLVDYKATCEKIYRQIEAAIAKYREIKDNVNEGLKFYVTLQDAITNVKQQCTDFVNTRNMQCREMIDEVQRKISGLSFQDSKTSGAYNYPSVGQLNSQPTGPANLSNPPPHTQSPVYQPPQQPTVPSYVHPPHPHPSHPPPPYATPQQPPPPSHQPPPYVTPQQPPPPYHLPPQSIPYPHPQYQQQPLPNHEYAQPAYPGWRAPYYNAPPQQPGSMPRPPYTVPPYPPNQNGYYRQ >itb06g19820.t1 pep chromosome:ASM357664v1:6:23025834:23031077:1 gene:itb06g19820 transcript:itb06g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMNNGLGTPVRVQELVAVMQKEKDANRSIADATRQWSAVASTIAATENKDCLELFIKLDGLGFIDSWLKNAQKLGNDSSDNFIEESITDLLRAVEKLHVGNEKSVSSGIRTSVEKLLSHKSSKVQEMAKALFDRWDKGTDAAPVSLDVEKLPASVDGETGVGVNLKGENAQLESSCGDVCHSKGGCIEGCNEQEKDNLVPSLRSDAPHPEMVHDKTTVDEIMQDVPSPYAKSPSSTKHISDTSDEVETTRFHSEGTSLIETCSSTGPKHSTLQDQNENPDVVPGDGLNDVQKTRSSEKLDSVPSKPLDEMILSPSAETKDAVDSVPRPGLQGCSDAKDNDCIQETSSFNETTAIVSDRKDVMDESGSENHCRDPMVLETNENTKGHGELLQVSSNKHKMECMNELGTSSLKVENIGVKEADEDLSDQSEDDSTTDLAFLYMGNKDANIGKKSDLDLDCGIFDPLEVARQVAIEVEREVEQSCSSVKKTVKVHEPNSQDSENAKQCETNDGSNKEVQEGIHPSVEASQIGERNLKSAENSDGAAVNETQDMDTSQVTMAAQDLEANSEKGLCEFDLNQDVYSEDTDQPSRAVVAPDMPITALQLEGSTGWKGSAVTSAFRPAACRIPESDKAVSNAESDGSSKQQAGFLGIDLNVTETGDERCTDLNIKEQITLSSALPSGESSVEASSKKLERVELDLDLNRVSDEGEAPSDWRMDRQLSSRQNGPLSQSPSSSSSSKQPSLRNIDLNDQPSFLNDASNLPYFTKSPQNLTSVVSIMGMKVEVNQKELLPQSMPLTNGRISEAILDANRTALGMGSLFSYAHSAAYNYNNGITPGPAAPFSSTMYGGPGGPIPCMVNFRGVPVIPQIVGPPSSVPPPFSQQPFIISMTGPPPTNGVMASRSSFDLNSGLTSEAAGNRDLGGLRQIFNPLQPMPMPMAMPMPMPMDSYLKPNSQPSTSLSIGGKRKEPDGGLEPYPFNHHQPPWK >itb06g19820.t2 pep chromosome:ASM357664v1:6:23026725:23031075:1 gene:itb06g19820 transcript:itb06g19820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMNNGLGTPVRVQELVAVMQKEKDANRSIADATRQWSAVASTIAATENKDCLELFIKLDGLGFIDSWLKNAQKLGNDSSDNFIEESITDLLRAVEKLHVGNEKSVSSGIRTSVEKLLSHKSSKVQEMAKALFDRWDKGTDAAPVSLDVEKLPASVDGETGVGVNLKGENAQLESSCGDVCHSKGGCIEGCNEQEKDNLVPSLRSDAPHPEMVHDKTTVDEIMQDVPSPYAKSPSSTKHISDTSDEVETTRFHSEGTSLIETCSSTGPKHSTLQDQNENPDVVPGDGLNDVQKTRSSEKLDSVPSKPLDEMILSPSAETKDAVDSVPRPGLQGCSDAKDNDCIQETSSFNETTAIVSDRKDVMDESGSENHCRDPMVLETNENTKGHGELLQVSSNKHKMECMNELGTSSLKVENIGVKEADEDLSDQSEDDSTTDLAFLYMGNKDANIGKKSDLDLDCGIFDPLEVARQVAIEVEREVEQSCSSVKKTVKVHEPNSQDSENAKQCETNDGSNKEVQEGIHPSVEASQIGERNLKSAENSDGAAVNETQDMDTSQVTMAAQDLEANSEKGLCEFDLNQDVYSEDTDQPSRAVVAPDMPITALQLEGSTGWKGSAVTSAFRPAACRIPESDKAVSNAESDGSSKQQAGFLGIDLNVTETGDERCTDLNIKEQITLSSALPSGESSVEASSKKLERVELDLDLNRVSDEGEAPSDWRMDRQLSSRQNGPLSQSPSSSSSSKQPSLRNIDLNDQPSFLNDASNLPYFTKSPQNLTSVVSIMGMKVEVNQKELLPQSMPLTNGRISEAILDANRTALGMGSLFSYAHSAAYNYNNGITPGPAAPFSSTMYGGPGGPIPCMVNFRGVPVIPQIVGPPSSVPPPFSQQPFIISMTGPPPTNGVMASRSSFDLNSGLTSEAAGNRDLGGLRQIFNPLQPMPMPMAMPMPMPMDSYLKPNSQPSTSLSIGGKRKEPDGGLEPYPFNHHQPPWK >itb14g05330.t1 pep chromosome:ASM357664v1:14:4661853:4667075:1 gene:itb14g05330 transcript:itb14g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGGVLELANLGEQNIWCFSDNCCGKRQDNICTQQTQTSSEQEQETITTTQDSSTMSSSKISPIISVFVCFLFFIIFSVSPAGALETGQANQTFRPGDELQKMKTVRAHLMKINKPAIKTIQSPDGDIIDCVLSHKQPAFDHPQLKGQKPLDPPERPKGHNKMSFFLASENFQLWSLSGESCPEGTVPIRRTSEQDVLRASSISKFGRKIARPVIRDSSSNDGHEHAVGYVSGDQYYGAKASINVWAPVVANQYEFSLSQIWIISGSFGDDLNTIEAGWQVSPELYGDNFPRFFTYWTSDSYQGTGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQYDISILIWKDPKHGNWWLEFGSGVLVGYWPSFLFTHLRTSASMMQFGGEVVNSMPSGSHTSTQMGSGHFAGEGFGKASYFRNLQLVDWDNSLIPLSNLQVLADHPNCYDIQAGSNRVWGNFFYYGGPGKSPKCP >itb13g24610.t1 pep chromosome:ASM357664v1:13:30240462:30240854:1 gene:itb13g24610 transcript:itb13g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEQPEPIKYGDILPVSGELASKPVAMKDAAALQSAESRVVGETTQEVGAAAIIPLLHSAAALNESRGAVERDQMTDVVRERGVEVREEVGAGGAAIVTQKVGGEVVAQYAHPGKGKSNTAPDLSSPC >itb12g07250.t1 pep chromosome:ASM357664v1:12:5404888:5417256:1 gene:itb12g07250 transcript:itb12g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYGSPQFKKPFGSSRGESYGQSQAPGSGGGDRGSSGGTGGSVPGSTQKLTTNDALTYLKEVKDMFQDQRDKYDMFLDVMKDFKAQRIDTAGVIVRVKDLFKGHPNLILGFNTFLPKGYEITLTEEEVVPQKRTVEFEEAISFVNKIKKRFQNDDQVYKSFLDILNMYRKEHKSITEVYQEVAYLFQQHADLLSEFTKFLPDTSVTASAVQPSLARQSFHRFDERISAMPNLRPSHLDKQRFRRERVSMSHGERGHSVERTDVDADKSMMKIHKEQIRHSEKEDRDRRNRDHDCREPESENNGDISMHRHIEKRKSAQKVEELGGNNTLASYEDKDALRSMYSQEFTFCEKVKERLCSSKEYNAFLHCLNIYSTEIITRDELQSLVTDLLGKHPDLMEGFNEFLERCEKVDGFLVGVVNKKSLWTEGNTVKSVNLEEKDKENKREIDSSKEKDRFKEKYWGKSIQELDLSDCQRCTPSYRLLPEDYPIPTVSQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNAINDNKIGGDGPIRIEDHFTALNLRCIERLYGDHGLDVMDILHKNPSLALPVILTRLKQKQEEWTKCRVDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSFLAEIKEIKEKKQKDDDLVLAIAAGSKHPLSPNLEFGYADLEIHEDIYKLINYSCEEVCSTKEHLNKVMKLWTSFLEPILGVPSRIHGSEAIKDDAPFKHCAIKCNATNIGESDGTPIGDAATQNSKQSKVICIGDANNSPQRLSSTKASLKNADALAKDRLTATSEHISNSGAGNAMGEDGIHGGMNMDSTARSARPGNGTVEDCHGNKSNIDNIPALEGGDSSRGTAIVNGGFAEGFRVNGYNTDSVNPSKNEKEEGELSPNGDLEEENFIGYRDGAPQDMSRPYQTMAVEGTCQDAACENDADIDDEDSENASMAGDDVSGSESAADECSREEHEEEEDGEHDEADGKAESEGEAAGMSEAHFIGDNSLPLSERFLLRSKPLTKNVVSSLYGSEKKYPRVFYGNDNFYVLFRLHQILYERLLSAKLNSASSESKRRTGKDNSSDPYARFMSSLYSLLDGSADNSKFEDDCRSIIGNQSYVLFTLDKLIYKLVKQLQTVSTDELDNKLLQLHEYEKSRKPDKYIDSVYYENAHVLLHEENIYRIESRSSPTSMSIQLMDDGNEKSEVVAVSIDPNFASYLHNDYLSLEHGKREPSTLMLKSYDADLLFRNKRKYAHLEGCSALCMAKENVIIVNGLECKMTSHSSKISYVLDTEDFFFRFGKKRKTNIGRSSYHNQARVERFHRFLASSLRKDVHAHAL >itb12g07250.t2 pep chromosome:ASM357664v1:12:5404888:5417256:1 gene:itb12g07250 transcript:itb12g07250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYGSPQFKKPFGSSRGESYGQSQAPGSGGGDRGSSGGTGGSVPGSTQKLTTNDALTYLKEVKDMFQDQRDKYDMFLDVMKDFKAQRIDTAGVIVRVKDLFKGHPNLILGFNTFLPKGYEITLTEEEVVPQKRTVEFEEAISFVNKIKKRFQNDDQVYKSFLDILNMYRKEHKSITEVYQEVAYLFQQHADLLSEFTKFLPDTSVTASAVQPSLARQSFHRFDERISAMPNLRPSHLDKQRFRRERVSMSHGERGHSVERTDVDADKSMMKIHKEQIRHSEKEDRDRRNRDHDCREPESENNGDISMHRHIEKRKSAQKVEELGGNNTLASYEDKDALRSMYSQEFTFCEKVKERLCSSKEYNAFLHCLNIYSTEIITRDELQSLVTDLLGKHPDLMEGFNEFLERCEKVDGFLVGVVNKKSLWTEGNTVKSVNLEEKDKENKREIDSSKEKDRFKEKYWGKSIQELDLSDCQRCTPSYRLLPEDYPIPTVSQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNAINDNKIGGDGPIRIEDHFTALNLRCIERLYGDHGLDVMDILHKNPSLALPVILTRLKQKQEEWTKCRVDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSFLAEIKEIKEKKQKDDDLVLAIAAGSKHPLSPNLEFGYADLEIHEDIYKLINYSCEEVCSTKEHLNKVMKLWTSFLEPILGVPSRIHGSEAIKDDAPFKHCAIKCNATNIGESDGTPIGDAATQNSKQSKVICIGDANNSPQRLSSTKASLKNADALAKDRLTATSEHISNSGAGNAMGEDGIHGGMNMDSTARSARPGNGTVEDCHGNKSNIDNIPALEGGDSSRGTAIVNGGFAEGFRVNGYNTDSVNPSKNEKEEGELSPNGDLEEENFIGYRDGAPQDMSRPYQTMAVEGTCQDAACENDADIDDEDSENASMAGDDVSGSESAADECSREEHEEEEDGEHDEADGKAESEGEAAGMSEAHFIGDNSLPLSERFLLRSKPLTKNVVSSLYGSEKKYPRVFYGNDNFYVLFRLHQILYERLLSAKLNSASSESKRRTGKDNSSDPYARFMSSLYSLLDGSADNSKFEDDCRSIIGNQSYVLFTLDKLIYKLVKQLQTVSTDELDNKLLQLHEYEKSRKPDKYIDSVYYENAHVLLHEENIYRIESRSSPTSMSIQLMDDGNEKSEVVAVSIDPNFASYLHNDYLSLEHGKREPSTLMLKRNKRKYAHLEGCSALCMAKENVIIVNGLECKMTSHSSKISYVLDTEDFFFRFGKKRKTNIGRSSYHNQARVERFHRFLASSLRKDVHAHAL >itb12g07250.t3 pep chromosome:ASM357664v1:12:5404935:5415904:1 gene:itb12g07250 transcript:itb12g07250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYGSPQFKKPFGSSRGESYGQSQAPGSGGGDRGSSGGTGGSVPGSTQKLTTNDALTYLKEVKDMFQDQRDKYDMFLDVMKDFKAQRIDTAGVIVRVKDLFKGHPNLILGFNTFLPKGYEITLTEEEVVPQKRTVEFEEAISFVNKIKKRFQNDDQVYKSFLDILNMYRKEHKSITEVYQEVAYLFQQHADLLSEFTKFLPDTSVTASAVQPSLARQSFHRFDERISAMPNLRPSHLDKQRFRRERVSMSHGERGHSVERTDVDADKSMMKIHKEQIRHSEKEDRDRRNRDHDCREPESENNGDISMHRHIEKRKSAQKVEELGGNNTLASYEDKDALRSMYSQEFTFCEKVKERLCSSKEYNAFLHCLNIYSTEIITRDELQSLVTDLLGKHPDLMEGFNEFLERCEKVDGFLVGVVNKKSLWTEGNTVKSVNLEEKDKENKREIDSSKEKDRFKEKYWGKSIQELDLSDCQRCTPSYRLLPEDYPIPTVSQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNAINDNKIGGDGPIRIEDHFTALNLRCIERLYGDHGLDVMDILHKNPSLALPVILTRLKQKQEEWTKCRVDFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTKSFLAEIKEIKEKKQKDDDLVLAIAAGSKHPLSPNLEFGYADLEIHEDIYKLINYSCEEVCSTKEHLNKVMKLWTSFLEPILGVPSRIHGSEAIKDDAPFKHCAIKCNATNIGESDGTPIGDAATQNSKQSKVICIGDANNSPQRLSSTKASLKNADALAKDRLTATSEHISNSGAGNAMGEDGIHGGMNMDSTARSARPGNGTVEDCHGNKSNIDNIPALEGGDSSRGTAIVNGGFAEGFRVNGYNTDSVNPSKNEKEEGELSPNGDLEEENFIGYRDGAPQDMSRPYQTMAVEGTCQDAACENDADIDDEDSENASMAGDDVSGSESAADECSREEHEEEEDGEHDEADGKAESEGEAAGMSEAHFIGDNSLPLSERFLLRSKPLTKNVVSSLYGSEKKYPRVFYGNDNFYVLFRLHQILYERLLSAKLNSASSESKRRTGKDNSSDPYARFMSSLYSLLDGSADNSKFEDDCRSIIGNQSYVLFTLDKLIYKLVKQLQTVSTDELDNKLLQLHEYEKSRKPDKYIDSVYYENAHVLLHEENIYRIESVCSLQLYSSLLLPPSLFPQPPPYNKL >itb10g18940.t1 pep chromosome:ASM357664v1:10:24738293:24743341:-1 gene:itb10g18940 transcript:itb10g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MACPF domain-containing protein CAD1 [Source:Projected from Arabidopsis thaliana (AT1G29690) UniProtKB/Swiss-Prot;Acc:Q9C7N2] MENLITTLCNSIQALGRGFDVTSDIRLLYCKGAPGSRLVHLDQHRTKTLNLYSGVLIPNVSADIECSSGQRTTEATPVFSFHEMAKYFNDMSNIPGQIPLGSFNAMFNFTGSWKLDAAATKSLAMIGYIIPLFTVKLVNSESLVLRDEIKRAVPYSWDPASLASFIENFGTHIVTSATIGGRDVVYIKQHQSSPLSVSDIENYVKDIGDQRFSDSKSLPSSGPLKYKDKDVTVIFRRRGGDDLEQSHDKWAKTVETTPDVINMTFTPIVSLLEEVPGIKYLTRAIELYLEYKPPIEDLQYFLDFQISRVWAPEQNNLQRKEPVCPTLQFSLMGPKLHISPDQVTVGRKPVTGLRLSLEGCKQNRLAIHLQHLVSLPKILQPHWDSHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETSIGDLSGVHIVTGAQLGVWDFGAKSVLHLKLLFSKVPGCTIRRSVWDHNPANPCAAQRPDGSSTSASNEKAADKKGESSSQMGKLAKIVDMTEMSRGPQDTPGHWLVTGAKLGVDKGKIVLRLKYSLLNY >itb02g24150.t1 pep chromosome:ASM357664v1:2:24509000:24510975:-1 gene:itb02g24150 transcript:itb02g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSKKGAASKKRAAVSSPPPPPDPVISEADKELERIDKLFYTYANDSSGLIDPEGIEILCSHLGVDHTDIRMLILAWKMQAGRQGYFTLEEWRNGAKELKADTIENLKKAFGQLEEELESPSNFVDFYAYAFQYCLTEHNQKCIETEIVCVLLDLVLGPRFQPQVAALVEYIQIQKDYKVITMDQWLGFNRFLSEISFPDFSNYDEELAWPLILDDFVDWMREKQC >itb05g22500.t2 pep chromosome:ASM357664v1:5:27908344:27910889:1 gene:itb05g22500 transcript:itb05g22500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMREDNISDGSHKPRSVFRDVTNQLGKRSSSLISGKKPGDRERENLGAKRVLESDGDSGKRACLSPRGSIENNPLTRNAIVDSLKNPIGSKALDVSYLDKRNAIAKSVSEGLRKGYVAGISGSEGLDVGKTFEPCGDRASSESTESDTNGCPNEGEEHGAENLVISQSGSIDYSRFLESQESQVEPEKCTELKAIDGCSEPVTAIDSTKTCSCSFCTKAAYMWLDLHYQDAKGRIAALKKSQKEASILVERSSKGKAPQKYGTVHNSDVSNLESSLWGQWRSLFLHMENIYECEISQLESSLLSLTDLKERCKAELELINKAPPEPPEH >itb05g22500.t3 pep chromosome:ASM357664v1:5:27908440:27910889:1 gene:itb05g22500 transcript:itb05g22500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMREDNISDGSHKPRSVFRDVTNQLGKRSSSLISGKKPGDRERENLGAKRVLESDGDSGKRACLSPRGSIENNPLTRNAIVDSLKNPIGSKALDVSYLDKRNAIAKSVSEGLRKGYVAGISGSEGLDVGKTFEPCGDRASSESTESDTNGCPNEGEEHGAENLVISQSGSIDYSRFLESQESQVEPEKCTELKAIDGCSEPVTAIDSTKTCSCSFCTKAAYMWLDLHYQDAKGRIAALKKSQKEASILVERSSKGKAPQKYGTVHNSDVSNLESSLWGQWRSLFLHMENIYECEISQLESSLLSLTDLKERCKAELELINKAPPEPPEH >itb05g22500.t1 pep chromosome:ASM357664v1:5:27908344:27910889:1 gene:itb05g22500 transcript:itb05g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREDNISDGSHKPRSVFRDVTNQLGKRSSSLISGKKPGDRERENLGAKRVLESDGDSGKRACLSPRGSIENNPLTRNAIVDSLKNPIGSKALDVSYLDKRNAIAKSVSEGLRKGYVAGISGSEGLDVGKTFEPCGDRASSESTESDTNGCPNEGEEHGAENLVISQSGSIDYSRFLESQESQVEPEKCTELKAIDGCSEPVTAIDSTKTCSCSFCTKAAYMWLDLHYQDAKGRIAALKKSQKEASILVERSSKGKAPQKYGTVHNSDVSNLESSLWGQWRSLFLHMENIYECEISQLESSLLSLTDLKERCKAELELINKAPPEPPEH >itb10g00990.t2 pep chromosome:ASM357664v1:10:718615:725205:-1 gene:itb10g00990 transcript:itb10g00990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSDENDAGVVRASDLPRGSSAIEGKISSETVESSRALNAIDVNIVQAEPHPSVLHKRSATPEKYNAQMASEQPPISEGDGIEIKYVEENPIVNIDSPDERNVLAVVEYVDDIHAFYKKTESLSCALPDYMNLQPAINEEMRSILIDWLIEVHQKFALMDGTLYLTVNLVDRFLAVEPIRREKLQLVGVTAMLLACKYEEVYAPAVVDFVLISDNAYCRQEVLDMEIIMANTLQFNFSVPTPYVFMMRFLKAAEFDKELESLSFFLLELCLVEYQMLRFPPSELAAAAVFTAQCTLVGFKQWSKTCEKYTNYTEHQLLECSKAMVILHENAGFGELTGVYRKYDTYRFGFAARCEPAFFLTDA >itb10g00990.t1 pep chromosome:ASM357664v1:10:718615:725300:-1 gene:itb10g00990 transcript:itb10g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSDENDAGVVRASDLPRGSSAIEGKISSETVESSRALNAIDVNIVQAEPHPSVLHKRSATPDENANAANKNPRILFRDTPARKYNAQMASEQPPISEGDGIEIKYVEENPIVNIDSPDERNVLAVVEYVDDIHAFYKKTESLSCALPDYMNLQPAINEEMRSILIDWLIEVHQKFALMDGTLYLTVNLVDRFLAVEPIRREKLQLVGVTAMLLACKYEEVYAPAVVDFVLISDNAYCRQEVLDMEIIMANTLQFNFSVPTPYVFMMRFLKAAEFDKELESLSFFLLELCLVEYQMLRFPPSELAAAAVFTAQCTLVGFKQWSKTCEKYTNYTEHQLLECSKAMVILHENAGFGELTGVYRKYDTYRFGFAARCEPAFFLTDA >itb01g27630.t1 pep chromosome:ASM357664v1:1:32338752:32339813:-1 gene:itb01g27630 transcript:itb01g27630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIELVFVPAPAMGHLGSAVGTAKLLLERQPQLSITVLLMKNPLLPGSKINSFIDSLIADEKDVNPRLKLILLPDDLTALKGHTDMLSIFHAYYDYHKTKAREYLNEIRKSSTASVRRPRLAGFVVDFMFIHMTDVAEEFGVPTYVFYAPGAAMFGLHFYINALKEDHGKDPAEFKDSDPDLNVPAYSVPFPVKLLPSFEWDTTSEFLGYAKQIRQHVKGVIVNTFFDLEPHAIESFSKDNTIPPVYPLGPILNLNGHPKYRESGKQILKWLDDQPASSVVFLCFGSGATFPEPQLKEIAYALERSGQRFLWALRKPSSPGSPVPIEYTNPEEVLPDGFLGRTKSIGKLIG >itb13g01290.t1 pep chromosome:ASM357664v1:13:1233670:1240963:1 gene:itb13g01290 transcript:itb13g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILRFTWISMVLLFSDILRIIAMHGHGLGECVPDQKAVLLQIRNQLSYNSSLSFKLVLWDKRVDCCQWPGVSCNHAGYIIGVDLSYEPITGGANAIFLLKLLPSLSVIRLDWVNFSAPFPDIFAGFTNLTVLSLSNCNFTGTVPQKVFQVPTLQTIDLTFNKMLEGSLPDFPENGSLQSLQLGITKFSGRLPESIGNLRLLSHIDLTGCSLSGAIPASITKLTNLVELRLGGNHFSGWIPPFKLFKNLTEIGLSNNDFTGEIPSSHWDGLNNLESLYLDGNSFSGPIPPSLFFLPSLTTLCLFKNKFSGHINELQNVTSQLIDLDLSDNNLEGTVPLFFFQLPNLTSLYLSSNKFSGQIIDLQNVTSQLRDLDLSKNNLEGPIPSFFFQLQNLTTLFLSSNKFNGTVHLTRFKNPENIWFLDISHNSLAVETNIRAAELSLLPEFRILYLASCNLHKFPDFLKNQSKLQMLDLSSNAISGEIPEWISEMGTRNLLFLNLSHNLLHNMQKPKEYGSLYYLDLNSNMFSGQIPQPPSLAVYLDLSNNSFSSLPLDIGDQLPNVSFFSIANNRVSGTIPLSWCHANQLEVLDLSNNALHGTIPPCLVQKNSKLAVMNLKGNHLSGEFEERCLYVGVWRHGEAADQRLVESEGCSDQSPSPMALIGYYALHWAALNNRTAPAQYIIEHGGDVTAADHTGQTALHWTAVRGAIPVAKILLQAGARKNAIDMYGYQVCILDTFIV >itb12g10820.t1 pep chromosome:ASM357664v1:12:8891736:8892244:-1 gene:itb12g10820 transcript:itb12g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFKDVDVDTICYWTLLGMVVNLGYKQDNEDTVRQCKEWRRLMKEAVDSLCLEGNAHRVHPHSFLHVHLQNPSSAARAGGSHPSSISVNSPTPATTLLCRLLTVATPSPQEKAREIAPHPLEIELPRYTKEPQFLRK >itb05g11390.t1 pep chromosome:ASM357664v1:5:17461039:17464631:-1 gene:itb05g11390 transcript:itb05g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAMALYKVPKVSWTSSSCDFVANNNQFPLQFQCPNFPEFEACSYYPPTHQQWLPNSSPADHVVVAEEEDDEVSGPRRQHRRSNAQTNRRQQINHNLSYLHSLLPESYIQKGDEASILGGAINYVKELEEELQVLCAKNSTTNLLEDVGTGASDGCRGYQLSTPSGDHIQVTMAGENHVDLKLHSKWRPKLLPRFMSQIESLMLTVLHFNLSRADQFVLCSLSLKVEDGSKYTSVKRIATVVNRIMARIQEEDGRV >itb07g10500.t1 pep chromosome:ASM357664v1:7:11573902:11580743:1 gene:itb07g10500 transcript:itb07g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELDRRPMNGTNESSFDDELEKEIGFLLREQRRQEADDLEKELSLYRSGSAPPTVEGSLSAVGGLFNHGGAGGLGSGASGGARNSTFQEFAWNRTGNGSISEEELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRFAQRLQGGASTIGERRTVNKNDTAASGRSLFSMPPGFNSKKQEAENESDNLQGGSVEWGGDGLIGLPGLGLGSKQKSIAEVLQDDFNCTPALGHHSRPASRNAYDDSGDTLASAETELSNLHHDYSSSDPLRSASNTQVSSAAQHVAPPTSYSYAAALGLSRSTTPDPQHIARAPNPSVTPIGAGRAITSEKRNINSPNSFNGVSSHANEPADLVASLSSMNLSNVGQSNAKKHAYLKKSESEKQYLASSNGKYMNLQYGDTGPNNTNGSDIQSSAIQADLRRSSVSSGSSYHKGSLTTSLCGEGDLLSQCPNLDSPNSSFSNYSLNGHSANQLSTQRGNYNLPPLFENAAAASAMAVPAMDSRMFTGSNLNSASLDQNLGRMENQMAGSGLQAQYMDPMYIQYLLQFASHNDPSMDRNYLGNSYTDLLQKAYVGSMLSPQKSQYNVPLSSKNIGSGHHGYYGNPGFRVGLSYPGSLLTSPVIPNSPVGPGSPIRHSDYNMRVGGGMRNFGAGVIGPWNLDNMENSFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEINMVFQEIIPQALTLMTDVFGNYVIQKFFEHGMPSQRRELASKLFGRVLTLSLQMYGCRVIQKVIEVVDVDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECVPEDHIQFIVSTFFGQVVTLSTHPYGCRVIQRVLEHCKDPNTQSKMMEEILGSVSMLAQDQYGNYVIQHVLEHGKPHERTAIIRELAGKIVQMSQQKFASNVVEKCLTFGDPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMAAQIPNPA >itb07g10500.t2 pep chromosome:ASM357664v1:7:11573907:11580639:1 gene:itb07g10500 transcript:itb07g10500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELDRRPMNGTNESSFDDELEKEIGFLLREQRRQEADDLEKELSLYRSGSAPPTVEGSLSAVGGLFNHGGAGGLGSGASGGARNSTFQEFAWNRTGNGSISEEELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRFAQRLQGGASTIGERRTVNKNDTAASGRSLFSMPPGFNSKKQEAENESDNLQGGSVEWGGDGLIGLPGLGLGSKQKSIAEDDFNCTPALGHHSRPASRNAYDDSGDTLASAETELSNLHHDYSSSDPLRSASNTQVSSAAQHVAPPTSYSYAAALGLSRSTTPDPQHIARAPNPSVTPIGAGRAITSEKRNINSPNSFNGVSSHANEPADLVASLSSMNLSNVGQSNAKKHAYLKKSESEKQYLASSNGKYMNLQYGDTGPNNTNGSDIQSSAIQADLRRSSVSSGSSYHKGSLTTSLCGEGDLLSQCPNLDSPNSSFSNYSLNGHSANQLSTQRGNYNLPPLFENAAAASAMAVPAMDSRMFTGSNLNSASLDQNLGRMENQMAGSGLQAQYMDPMYIQYLLQFASHNDPSMDRNYLGNSYTDLLQKAYVGSMLSPQKSQYNVPLSSKNIGSGHHGYYGNPGFRVGLSYPGSLLTSPVIPNSPVGPGSPIRHSDYNMRVGGGMRNFGAGVIGPWNLDNMENSFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEINMVFQEIIPQALTLMTDVFGNYVIQKFFEHGMPSQRRELASKLFGRVLTLSLQMYGCRVIQKVIEVVDVDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECVPEDHIQFIVSTFFGQVVTLSTHPYGCRVIQRVLEHCKDPNTQSKMMEEILGSVSMLAQDQYGNYVIQHVLEHGKPHERTAIIRELAGKIVQMSQQKFASNVVEKCLTFGDPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMAAQIPNPA >itb01g20740.t1 pep chromosome:ASM357664v1:1:26941869:26944964:1 gene:itb01g20740 transcript:itb01g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILRRRYLAAFSYFILISHACHGFYLPGTYMHTYSPGEPIIVKVNSLTSIETELPFSYYDLPYCKPQGGIKKSAENLGELLMGDQIDNSPYRFRMNVNETLFLCTRGPLNDHEVKLLKQRTRDLYQVNMILDNLPALRYATQNGVKFQWTGFPVGFTSQNNNQDYIINHLKFKVLIHEYEGAGVEIIGTGEEGMGVITKTDKKASGYEIVGFEVIPCSVKYDADDMAKLHMYDNASSLNCPFDLEKSQIIREQEKISFTYEVEFVKSNTRWPSRWDAYLKMEGSRVHWFSILNSLMVIVFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPNHSKLLCVMIADGVQITGMGVVTIVFAALGFMSPASRGMLLTGMILLYLFLGIAAGYVGVRMWRTIKGTSEGWRSVSWATACFFPGIAFVILTVLNFILWGSNSTGAIPISLYFELLALWFCVSVPLTLLGGFLGTRSEPIQFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWFGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWMWWWKAFYASGSVALYVFLYSVNYLVFDLQSLSGPVSALLYIGYSLLMAVAIMLATGSIGFLTSFYFVHYLFSSVKID >itb12g05450.t1 pep chromosome:ASM357664v1:12:4030730:4032432:-1 gene:itb12g05450 transcript:itb12g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVVEALIFGDRKSQVMAAKQVGQFTRKQRHKIAEKDIIPPLISMLHSQDYEAIEASLLALLNLAYGSERNKILIGRFGAIPVLAMVLHLQNESLCGLAIAALLTLSSCMANKVEIARSGAVEHLLYLLRSQFTAANYMISLDIISVLHNLSTCHETVPRIAVSGGGALLLQLINSWEKSSELVEKATAVLENMVSSSDAALKEAAETSGCVGVLVEAMEEGSRLCKEYAVGILLRICRSWRESYRGMILREGAMAGLLQLTVDGTATARDTAKALILLLRDCGGGGQRNPQAQAKNALLEEVMSQIDRGDRAGMSVAVLEEMIAKLRT >itb06g24670.t1 pep chromosome:ASM357664v1:6:26139445:26144601:1 gene:itb06g24670 transcript:itb06g24670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFPTRGNEKNDRIVRGGRPEGVSGKTVGNKKARTENENRRRTGETRRQERTENESETRGQERTENESETRGQERTENESEPRGQERKENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERRTRAKQEGKNGERERNKKARTENESETRRQERTENESETRGQERTENESETRGQERTENESETRRQERRTRAKQEGKNGELERNKKACKNGEREGNKKARTENGERRTRTEKRDFRYGEGHRENKKATTENENRKTVMKDFRYGEGHRRNKKARKENENRRRLLEIR >itb12g15830.t1 pep chromosome:ASM357664v1:12:16355632:16357724:1 gene:itb12g15830 transcript:itb12g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 4L [Source:Projected from Arabidopsis thaliana (ATMG00650) UniProtKB/TrEMBL;Acc:G1C2W6] MNSKIVGSPDSAYANEEVGAIFRSGETESILEGFILCPPSPYQSSYFITSTFPLLWQLLSLRGLQRLNRMLECIRGILLNRRNIPIMSMPIESMLLAVNSNFLVFSVSSDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINSIQGSGPFSLGERIRF >itb05g02940.t1 pep chromosome:ASM357664v1:5:2383309:2386667:1 gene:itb05g02940 transcript:itb05g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNLDREDGLETVLEVPIPEEMFPASKNKSWQTMKCWMRPQTEKSGPGGRSAEIQLLLSVVGAPLVPIPIPSHQACSPAGKINDHPIEASMAKYIVHQYIAAAGGETAMNAIDSMYAMGKVKMAASEFISGDGLGSNVEGGRVMKIKSVRNGAGEVGGFVLWQRRPGLWSLELVVSGCKISAGCDGKLAWRQTPWQNSNASRGPPRPLRRSLQGLDPRSTANLFSNSICTGEKTVNEEDCFVLKLEAEAPSLKARSSSNVEIIRHTLWGCFSQRTGLLVQLEDSHLLKIKSPGAGNIFWETTMESTIQDYRTINGVNIAHAGRTAVSLFRFGENDEEKTRTRMEEVWSIEEVDFNIKGLSMDCFLPPSDLKKEDETPAAKIGSRLPAAAKLRPSSGKLSSGKGVKIVAIDEEDHE >itb04g10530.t1 pep chromosome:ASM357664v1:4:10066375:10069398:-1 gene:itb04g10530 transcript:itb04g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCKSPSHQEPKRSKSSHHLQTQIPTTSTQSSNTNPLFVFPPLLPEILSEILSRLPAKSLSRFRCVSKPWCALISSSEFVKLHLKASASRKDYAHHRILCSFAGPRSFSVMQCSLQSVMSERPAEGFHIDYPMKTPHNSVWIIGSCNGLVCIAIEEKYLFIWNPTTGKFKKVPDPGVKLKAGFYFMYGFGYDELNDDYKVVGVFCVFHATNSCETEVMVYSMKGDFWRKIEDLKGGFLLNEAGKYANGKIHWAVSPFGASSGWEIVSLDLAKETYGKVGRPDFGEGNPDLTLGVLCGSLCVLCQYEKAHMDLWVMREYGVNESWTKMFTIPPLYDPWNRTFSSPICISKNGEVVLVLGSTIVVFYPKSKALRYPEIINFSAILEADIYVESLVSPVADGDGEAGTPGREQHQ >itb15g22690.t1 pep chromosome:ASM357664v1:15:25473504:25479924:1 gene:itb15g22690 transcript:itb15g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLLGIFSLLSVGVVACHAALSPEAYWKSVIPNTPMPGAVKDSLSTAEREENKGTAVSVGNGGVVVDVSPSASPLNYNYAATEDQLHDDPNVGLFFKKNDLQQGNKMKLHFTKTSNAATFLPRSAAGKIPFSAVKLPQILSRFSLSPDSKEARVMKKTLEECEAPAIMGEEKLCATSLESMVDFSVSQLGKNVKAISTEGGRKNEVPQKYVISRSKSMLGKDGKAVVCHKQSYAYAVFYCHKTQNTEVYEVSLVGADDGAKVKAVAVCHKDTSAWNPNHLAFQVLKVKPGTVPVCHFLPDDHVVWVN >itb01g25580.t1 pep chromosome:ASM357664v1:1:30981958:30984468:1 gene:itb01g25580 transcript:itb01g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNISGFALVLILGLFFTQIHSLRFDLESGKSKCIAEDIKSNSMTVGKYHVVNPNEGYPLPDSHKITARVTSTYGNSYHNADNVPDGQFAFQTAEAGDYMACFFAADHKPPITITVDFDWKTGIAAKDWTNVAKKGSVEVMEMELKKMYETVQSIHDEMFYLREREEEMQELNRSTHSKMNMLTFVSVLVCLSVAGLQLWHLKTFFEKKKLI >itb04g10310.t1 pep chromosome:ASM357664v1:4:9641711:9643386:-1 gene:itb04g10310 transcript:itb04g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPSFRTPKAGRHLAEKQRRQKLKGLYDQLTSLVVISGENYPEKKKPSGLDLLDQATNYIKQLEKNITELKARMDSLQVPVEIAVKESGALLEINIVCGSQNKKLKMHKVIRILEEEGAEVVSASFSTVDLKVYHTILCKAFLPRLGMDTIMVQQRLKSFISGTG >itb12g19800.t1 pep chromosome:ASM357664v1:12:22226047:22230396:1 gene:itb12g19800 transcript:itb12g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGKEAAIVRKNKKGTVASKSQISASPTMESHLHEGEVHLVSTPVSYPTQVRCTACWGNDSCQTVRSRTKLMKTLIERGKPQEVHSITKGLTEEGHRPTLVTYTTVLAALTLQKRFKSIPLLLKKVEQNGLRPDSIFFNAMINAFCESGNVKEAMKIFRKMREKGCKPTASTFNALIKGFGIIGKPEESSKLLEIMSREENIKADDRTYNILVQAWCNKNNIEKAWGVVHRMVGAGLKPDVVTYNTIARAYALGKETSRAEELISQMQNNKVAPNVRTCGIVVDGYSKEGNMADALKFIYKMQDFGVHPNLFIFNSLLKGFLDITDTKGVDETLTMMEEFGVKPDVVTYSTIMNAWSSAGLMEKCKEMFEDMIKSGIEPDNHAFSILAKGYVRAGEPEKAEALLEVMGTHGAHPNVVTFTTIISGWCSAAKMEDALRVYDRMCRMDVQPNLNTFETLIWGYGEAKQPWKAEELLQIMEEIGVSPRKNTVQLVADAWRAIGFINEAKRILNDDQSSVLIPRTDEKPVEDLQRVYQEKTNGSYKTLPDNGQRPALNTKMDENREDSVHRGDHHEEIMKGSFSSLPEMTGSAITNQNGSSTFTLRTATKSMNMAAKAILISNNCGFKPNPSMVIQRPLHVQIGIHRYMNSCRLVA >itb01g35840.t1 pep chromosome:ASM357664v1:1:37825572:37833010:1 gene:itb01g35840 transcript:itb01g35840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPIRGAVWIAIVTLSLIITQSDSASQAYRRDPGHPQWHHGAFHDVKDSVRSEVRQMLHSRAEVPFQVPLEVNIVLIGFNGDGGYRYTVDSQKLEEFLRVSFPSHRPSCLETGKPLDIEHHIVYNAFPVGQPELIALEIALKAAMVPAGTAREVDFGREVPLFEVDATVVEPEFQKLYSYLFDIDNGAHYGEEMDKPWPTTIFIVNFDKVRLDPRNKDIDLDSLMYGKIAQLTEEEMKKQEGDYIYRYHYNGGGASQVWLGSGRYVVIDLSAGPCTYGKIETEEGSISTKSLPRLRNVAFHNVAGTVSEHYAHDIFVGQLASLIATTIEHVVAPDVRFETVDMTTRLLVPIIVLHNHNRYNIMEKGHNYSIDVGAIENEVKKLVHQGQEVVIVAGSHALHHHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKMYLDGAILKEEMERSADVLAAGLLEVANPSLSNKFFLRQNWMDESNGSGDSVLKHKPLWASYSQGYGKQKKKKEVTKKRGNLYRTYGTRVIPVFVLSLADVDPHLMMEEESLVWTSKDVVIVLQHPNDKIPLSYVSELERRHASPWQVQRYIVGGLASVLGGLSAPYEKASHVHERPVVNWLWATGCHPFGPFSNTSHISELLRDVALRNTIYARVDATLRRIRETSEGVQTFAAEHLRTPLGEPVKGKKNKTSTELWLEKFYKKTTNLPEPFPHELVERLEKYLDSLEEHLVDLSALLYDHRLQDAHMNSSEIFQSSIFTQQYVEHVLSNEKEKMKCCSIEYKIPVQSSQNLIYAGILLAGFLVYFIVIFFSSPVR >itb04g14340.t1 pep chromosome:ASM357664v1:4:14818903:14820597:1 gene:itb04g14340 transcript:itb04g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLGGKKTAKVMRIDGQTMKLKTPVNAGEVVKDHPGHVLLESEAVKHYGIRAKPLEPQEPLRPKRLYFLVELPKFPAEAKAARRVRSGIQMSAKDRLENLMLARRSVSDLSIMKPASMSAELAGEEPEPAAAAAAEKGAVRLKVRLSKAEVEKLMMESKDESEAAEKIMRLCMANNGGSTSTVDNSAPAEPAAQSQTAVACNRGLKTRQRKVGFLPIMEGEIQHLAMAS >itb06g13530.t1 pep chromosome:ASM357664v1:6:18183944:18186959:-1 gene:itb06g13530 transcript:itb06g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANINMEIEEDDDDYVEYVPVAKRRALEAQKILQRKGKSAALEEEAENINQVEAKPSLLVKATQLKKELPEVSETEQMVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPMFTGWKPPLPIRRMSKKACDTIRKQWHIIVDGDDIPPPIKNFKEMRFPEPILEKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMMPIVSGEGPFGLIICPSRELARQTYEVIEQFLEPLREIGYPELRPLLCIGGVDMKSQIDVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPITVNVGRAGAANLDVIQEVEYVKQEAKLVYLLHCLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKTQSPTTLLDLKHLLQEAKQRIPPVLAELENPMADDDAIMNASGLKGCAYCGGLGHRIRDCPKLEHQKSQQIANQRRDYFGSGGYRGEI >itb07g13320.t1 pep chromosome:ASM357664v1:7:15491720:15494754:-1 gene:itb07g13320 transcript:itb07g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSGTTPATYSPFPSQRVKKPSALTGDDWVRPDGRHFYRCRDALLNTGAVKAASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDTGRLNCNVTYTTFATPVRGQMSENKELSSMLHKALEGAIILDSFPKTTVDVFALVLESGGSDLPVIISCASLALADAGILLYDLVAAVSVSCVGKNLIIDPISEEESFQDGSLMIACMALRKEVTQLTITGEWSTPKINEAMELCIDACSKLGNNMRACLKSVVSDSKE >itb08g09950.t1 pep chromosome:ASM357664v1:8:9358602:9359887:-1 gene:itb08g09950 transcript:itb08g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLADAEEQLVAEIMRQKLNEITAATEAQLSGVKDHVNFTLQQAYFKCAYECFDRSKTQEEIGNCVEYCTVPVLKAHYLVEDETAKFAGKINNKHLLQVTSYKGSDEWI >itb05g26340.t1 pep chromosome:ASM357664v1:5:30282238:30283156:1 gene:itb05g26340 transcript:itb05g26340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGAAKKAQSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGGSSKPSADED >itb05g16060.t2 pep chromosome:ASM357664v1:5:23356454:23359300:1 gene:itb05g16060 transcript:itb05g16060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKRPGNLLTASNSKLYMDLKDIVKEQALPFLPAKSLFRFLAVCRDWKHQISAPFFHHNQSLCFRGTSGLFCQTPQNAPVFIPIHPKSCGVPDPSLSFMPEPVVIRASSNGLLCCQGRDEDRYYYLCNPVTKQFKKLPKPTACHGSEPALVVIFEPSLLNFVPEYKLICAFQSADFDDATEFEIYTSKNNTWNVSGELCFGAKKVSPRSGVHVNGVVFWTLASGGILCFDLRKDRSQLLGSYYYARNCVLGTFGGRLCKVSITNHSAMLSVLDNIHSNTMEIHSRSKMWDNPRSVFLDTDVLKFDANGTKVVSISGDILVVKSGNKLYSYDFKSKETKALSQPAELNDATICVPYGSSLVSL >itb05g16060.t1 pep chromosome:ASM357664v1:5:23356859:23359248:1 gene:itb05g16060 transcript:itb05g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKRPGNLLTASNSKLYMDLKDIVKEQALPFLPAKSLFRFLAVCRDWKHQISAPFFHHNQSLCFRGTSGLFCQTPQNAPVFIPIHPKSCGVPDPSLSFMPEPVVIRASSNGLLCCQGRDEDRYYYLCNPVTKQFKKLPKPTACHGSEPALVVIFEPSLLNFVPEYKLICAFQSADFDDATEFEIYTSKNNTWNVSGELCFGAKKVSPRSGVHVNGVVFWTLASGGILCFDLRKDRSQLLGSYYYARNCVLGTFGGRLCKVSITNHSAMLSVLDNIHSNTMEIHSRSKMWDNPRSVFLDTDVLKFDANGTKVVSISGDILVVKSGNKLYSYDFKSKETKALSQPAELNDATICVPYGSSLVSL >itb05g00230.t1 pep chromosome:ASM357664v1:5:174681:175805:1 gene:itb05g00230 transcript:itb05g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRSSMESTNFDDKLAGSRWTAAAVCGAPVAGSQSSFGRRRRPLFCGLWFAGGRLYRLCSGANGRLGFGKEERVRGESGGAEPLRE >itb03g22110.t1 pep chromosome:ASM357664v1:3:20070330:20072006:1 gene:itb03g22110 transcript:itb03g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGGGTKRNTPTKKRKKTKKKKKITLSSSNGVKKKSQPLLLTCHHNNQIAKLIPFLISTANSVYSFLLRHDLHLLPKQSLSLESLLASTSISVSNLFSLLSLPPPLTVPHAANPPDSDCWFQRFLASATSDSDSRWIHFFNLGKPSFTLLLRLLTPSLSSLFPLPPNYALALALLRLAHGASYSAISRRFGLDSATACRAFYAVCKAINEKLGHLFELKTDINRVIVGFGWISLPNCCGVLGLEKFELDGDLMGENGYLIVQALVDSEGRFLDVSAGWPCTMSPVNILRQSKLFSGIEESKGYLNGPTFELNDGNSIPQYILGDSCLPLLPWLLTPYIKPVEDVDLSPTQAAFNSVHARAMELVGTAFERVRCRWKLVANKWKGRCDEAFPFVIVACCLLHNFLIKCSENLPDENVEYSRNQEFPVCDEALDDSGKRVRDVIASHLCLVS >itb02g13010.t1 pep chromosome:ASM357664v1:2:9001838:9006689:-1 gene:itb02g13010 transcript:itb02g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAPGPKADEPAPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTAVIIPTALVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSFFGTRLPAVIGGSYTFVVPTISIILSGRWNDPDPISKFKKIMRATQGALIVASTIQIVLGFSGLWRNVTRFLSPLSAVPLVALAGFGLYEFGFPGVAKCVEIGLPQLVILVIFSQYLARLIKPGKHIFDRFAVIFSVAIVWIYALLLTVGGAYNGKPPKTQVSCRTDRSGLIDAAPWIRIPYPFQWGAPSFDAGEAFAMMMAAFVALVESTGGFIAVARFASATPLPPSILSRGVGWQGIAILLSGLFGTGNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPAPIVGALYCLFFGYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTVIAGYGPVHTSGRWFNDIVNVPFSSEAFVAGILAYFLDNTMHKDHHIRRDRGKHWWDKFRSFKTDTRSEEFYSLPFNLNKYFPSV >itb02g13010.t2 pep chromosome:ASM357664v1:2:9001838:9006645:-1 gene:itb02g13010 transcript:itb02g13010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAPGPKADEPAPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTAVIIPTALVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSFFGTRLPAVIGGSYTFVVPTISIILSGRWNDPDPISKFKKIMRATQGALIVASTIQIVLGFSGLWRNVTRFLSPLSAVPLVALAGFGLYEFGFPGVAKCVEIGLPQLVILVIFSQYLARLIKPGKHIFDRFAVIFSVAIVWIYALLLTVGGAYNGKPPKTQVSCRTDRSGLIDAAPWIRIPYPFQWGAPSFDAGEAFAMMMAAFVALVESTGGFIAVARFASATPLPPSILSRGVGWQGIAILLSGLFGTGNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPAPIVGALYCLFFGYVGAGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTVIAGYGPVHTSGRWFNDIVNVPFSSEAFVAGILAYFLDNTMHKDHHIRRDRGKHWWDKFRSFKTDTRSEEFYSLPFNLNKYFPSV >itb01g31390.t1 pep chromosome:ASM357664v1:1:35160955:35161373:-1 gene:itb01g31390 transcript:itb01g31390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVVVVVAVICGGGKRQCALADAACDQQVQALSNNCYSYVAKGTTQTTPSAACCTAVKATTVACACSYVTPIVEAFVDANKVVYVASYCGLAVPHGTKCGSVTVP >itb04g11190.t1 pep chromosome:ASM357664v1:4:10748686:10749392:-1 gene:itb04g11190 transcript:itb04g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRKNVKRIRIKRIWRMKRKAATFALSLLRLFLPGPNRPAPPRPRTSSAPRPHRAPATALIRQTVANFQLRIVSPPSPLLSLPPLPATSHHPAAAFSSLANF >itb01g28830.t1 pep chromosome:ASM357664v1:1:33172306:33174143:1 gene:itb01g28830 transcript:itb01g28830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDESMKPDSQKRKTYEKKKIRSPFLDLGNVQQKRPKLSSPASSGKQRWKSTKENILAVPISLKPQKNASRLHKCQTGEKPIQVLDLDGNDSNLENFTPVGKRKTADSANFEGNNNVGEDSTVKTSTNVVKTPPIKSSVSPEIQCNVLSATSASAAVTPCYSAGHVLSGISDKRKCRPIGILTVPLDCEKSSKKGCIIGMIDDEKADSLVPLPAEASMHWLSSSCGEENQIRGPELPYSPSFCCKVDCDVLPCQRMPCCKATELHEERNIIKSPLSGSSVSSDNVIQTPSSDSASDRHTTFSCLNVDDKHEFESELDNGLAEVVNGAKLPLQNS >itb01g02130.t2 pep chromosome:ASM357664v1:1:1375476:1377281:1 gene:itb01g02130 transcript:itb01g02130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILSLSNPDCPTTELNEVSQSEMCKRQKISVNVWEDIPDLIPSLPNEISIQILARLPRNYYLNAKLVCRGWKDAVTSPELYKVRKELGTTEEWLYVLTKGSGDKLLWYGLDPVSRKWQRLPPVPSLTVNDGSRRGLSALRLWSVVSSTIRIAGAIRGWLGKKDSLDQTPFCGCAIGAVNGCLYVLGGFCKASTMRCVWRYDPITNTWNEVSPMCVGRAYCKTGVLNGKLYVVGGVTRDQGGLTPLQSAEVFDPHTGVWVEVPSMPFTKAHMLPTAFLADFLKPMATGISSYRGKLYVPQSLYCWPFFVDAGGEVYDPETNAWVEMPMGMGEGWPARQAGTKLSVIVDGELYALDPSSSFDSAKIKVYDSQDDSWKAIEGDIPIRDLTDSESPYLLAGLLGKLNVITKDANQNILVLQADRPNQNQNENAPSPQDKSSQEHSESALPSDTNIWRVIASRNSGAAELISCQILDM >itb01g02130.t1 pep chromosome:ASM357664v1:1:1374268:1377485:1 gene:itb01g02130 transcript:itb01g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILSLSNPDCPTTELNEVSQSEMCKRQKISVNVWEDIPDLIPSLPNEISIQILARLPRNYYLNAKLVCRGWKDAVTSPELYKVRKELGTTEEWLYVLTKGSGDKLLWYGLDPVSRKWQRLPPVPSLTVNDGSRRGLSALRLWSVVSSTIRIAGAIRGWLGKKDSLDQTPFCGCAIGAVNGCLYVLGGFCKASTMRCVWRYDPITNTWNEVSPMCVGRAYCKTGVLNGKLYVVGGVTRDQGGLTPLQSAEVFDPHTGVWVEVPSMPFTKAHMLPTAFLADFLKPMATGISSYRGKLYVPQSLYCWPFFVDAGGEVYDPETNAWVEMPMGMGEGWPARQAGTKLSVIVDGELYALDPSSSFDSAKIKVYDSQDDSWKAIEGDIPIRDLTDSESPYLLAGLLGKLNVITKDANQNILVLQADRPNQNQNENAPSPQDKSSQEHSESALPSDTNIWRVIASRNSGAAELISCQILDM >itb12g26670.t1 pep chromosome:ASM357664v1:12:27463989:27467424:-1 gene:itb12g26670 transcript:itb12g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICEVGRYQDDEDAAKNNGIEIFYRTYGHGPVKVLMIIGLAGTHNSWNPQIEELAGTVTPNDDDGSSGEVAGNGKIEVCAFDNRGVGLSSVPKKKSEYTTRIMAKDAIALMDHLGWEKAHVFGHSMGAMIACKLAAMVPNRVLSLALLNVTGGGFQCMPKLDRRTLSIAVRFLKAKTPEQRAAVDLDTHYSKEFLEECVGTSTRRAILYQEYVKAISATGMQSNHGLDGQINACWTHKVTRKELESICSAEFPISVIHGRDDVIAQLCHAQALAKKLYPFARMVELHGGHLVSHERTEEVNIF >itb05g27810.t1 pep chromosome:ASM357664v1:5:31001328:31003791:-1 gene:itb05g27810 transcript:itb05g27810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVPENRLPDSTEDGIPSVAGDGNDDETNTSTSPMGIGLSTLISSVIREFDSRADATSRSQDQLSFALDRLTGELDKLLEDAPLPFIMQHAARISGVRKRVTSLNSVLKSIQRRVDNIDRMLLAGLVQGAFLEKKMGEDGGQH >itb13g02230.t1 pep chromosome:ASM357664v1:13:2080505:2081000:1 gene:itb13g02230 transcript:itb13g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTATISHFQFQSKIKIMEAMMESNPATVTQPVHRHRLSFSIQNQNNGSKNGEQSTAIVTHLVHRHCLSFSISIQNQNNGSNSGEQPATTSTPSHFPSKTEEQRRTEASEAVDGAGEEARPTASRVFGVQSPGKALRSQF >itb06g05640.t1 pep chromosome:ASM357664v1:6:8323229:8329009:1 gene:itb06g05640 transcript:itb06g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKDRFSGGYSVGFATNYELEDSGSSGRIDTETGVSQASTAPRRKWINLNSSSNDGFAVPLQVIPLAQLSPSERWNLVLQLKSELERTRILQKKIDLQRTNTVTASSCSDILSCSNTKKRPSVGNIKKSVGSGKKSGSNSLGQKPRVLNPGSSGRFEPAKLAPEQSSLNATLLKQCGNLLKNLMTHQHGWVFNEPVDPVKLNIPDYFTVIKHPMDLGTIKKKLASGQYSNPLDFLADVRLTFSNAMTYNPPGNHVHIMADTLRKFFEMRWKLIEKKIPVGIAESAEVNSGLHEESETTKPVSSKPVSSSKKRKLSPAHHMVIPEPPKLRMTNEEKNKLSRELEASLPDLPDNIIEFLKEQSSSGTEAGEDEIEIDIDVLSDETLFTLRKLLDDFFQQKQKDNAKAEACEIELQNESGFSNSSMQLDKGNDLVEEEIDIGGNEPPVSSYPPVEIEKDIALQSNECINAGGPTGNSDSDSSSSSDDDSDGHKASALTKQDQACLAASIDRKGVNDLTDGDRAISLFDQVEQSSQQKPNSSEFDGQQDGEISQNDRPASPEKLYRAALLKNRFADTILKAREKTLGQADKGDPDQLRREREVLEMQRKKEKARLQAEAKAAEEARKRAEEEAAAEAKRQREFEREAARQALLKMEQTVEINENSRFLEDLEMLRVGPAEQHSPLNESSPQHSLDALGSFKFTGSNPLEQLGLYMKEDDDFEECEPPPSSVPKDTKDVEEGEID >itb04g31730.t1 pep chromosome:ASM357664v1:4:34475538:34476920:1 gene:itb04g31730 transcript:itb04g31730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHTTTPAADHGGATAITAVHPEIIQTHILKRLDGATLAAAGSAGSQLRELCSEENLWREICNSAWPSTTDPIVRHVISGFPSGHRSFFSDAFPTIHHHRQGEERRRGSPAPELISAVDILYGEKLIYSKAIVSETSSGWFRSSPFRIDLLGMSETVPTPVKFAGDEATTVAHLEKHLTLSWILINASTKRAVNISSLKPVNIRRHWLTGDIKINFGTVISGGEITGKELAQCSVVAMCEGKEGGELHVREVSMQVEDMDGKTMNGKDSMVILQDAMERKRKKREEGEAKERYKEFVEYKKQWREIKQRREDRLDMACIAGGITILIAFFTLVLLGSERGRIYFSHLF >itb12g04320.t1 pep chromosome:ASM357664v1:12:2830790:2832351:-1 gene:itb12g04320 transcript:itb12g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCAWSGVRKGAWSEEEDNLLRKCIQKYGEGKWHLIPFRAGNLLIPSFVTCFRWSLIAGRIPGRTANDVKNYWNTHIQKKVFAMAAASSDNWKGKATEMRENTVVRPRPRRLSRTPLTGKAAAVTCDAQIQGHKIPTSELVMENLQQNNTITSELETTTSNDRLQWWEDFLFDNEGNTCMNQGQVCWANFPTDMDLSELLS >itb04g13290.t1 pep chromosome:ASM357664v1:4:13333883:13334149:1 gene:itb04g13290 transcript:itb04g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSIRVEDRLDSTRRHTAGRTPRVTTIVRYGECQRNYAATTGGHVLDGCLEFMASGEEGTPAALTCAACGCHRSFHRQVVVSVATST >itb07g05470.t1 pep chromosome:ASM357664v1:7:3782379:3786976:1 gene:itb07g05470 transcript:itb07g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKSYLDAVLVPLGFLICLGYHAWLWHKVRTQPLTTIIGTNAAGRRLWVSAIMKDNEKKNILAVQTLRNMIMGSTLMATTCILLSSGLAAVISSTYSVKKPLNDAVYGAHGEFMVALKYVTLLIIFLFSFVSHSLSIRFTNQVNFLINCPPDNLGVVGPDYVVELLERSFALNVVGNRLFYAAIPMLLWIVGPVLVLLCYVTMVPVLYNLDFVFAQPKGGKLGHFHDHDHEIGNGVLAV >itb13g05120.t1 pep chromosome:ASM357664v1:13:6057423:6059670:-1 gene:itb13g05120 transcript:itb13g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT1G12740) UniProtKB/TrEMBL;Acc:Q9LN73] MSALMCIGALIVVIITHWIYRWRNPRCKGKLPPGSMGWPLLGESLQFFAPNSTSDIPPFVKERMKRYGPIFRTSLVGRSVIVSTDPELNYFIFQQEGQMFQSWYPDTFTEIFGKQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLPEVEEAANRNLRRWSNQTTVEMKESTATMIFDLTAKKLISYDSENSSENLRESFVAFIQGLISFPLDIPGTAYHNCLQGRKKAMKMLKEKLEERRENPRKNQTDFFDFVLEELKRKDTILTEGIALDLMFVLLFASFETTSLALTLAMKFLSDHPLVLEKLTFIHETVRLANIVPGIFRKSLRDINFKGYTIPAGWAVMVCPPAVHLNPTRYKDPLEFNPWRWQGVEINGATRNFMAFGGGMRFCVGTDFTKVQMAVFLHCLMTKYKWIPIKGGDILRTPGLQFPNGFHIQISEKHEKGQQTAS >itb09g01560.t1 pep chromosome:ASM357664v1:9:951379:953133:1 gene:itb09g01560 transcript:itb09g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRFSSASVIFGTLLLLQMVYEGCSARKMGKSCDDGGKIQETGLQHHSPQSSSSSYMDPQLNVFFTPKDLEIGKRLPVFFDINNDPSTSPHLLSREEADSIPFSSAHLPYLLNFFSFSAASPQATAMAETLRHCEFRPMDGETKFCATSLESLLDSTRQTFGSSSKFRVLATKFVTKPSVSLQNYTVVAAPREIPAEKMVGCHTLPYPYAVFYCHSQQEGRNKVFRISLVGENGEDRVDAVGVCHMDTGKWNPNHVSFRVLGIEPGSSPVCHFFPVDNLVWLPLP >itb01g30950.t1 pep chromosome:ASM357664v1:1:34767775:34768177:-1 gene:itb01g30950 transcript:itb01g30950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGGLSEITDCCLVVHVQEVLNEHTYVQAEPQRKKLLCPMVLSISALMKVSKLDYLSGCCQSLGLKSWSRSCWYLEHSLYSLVCHSSCHYWLV >itb15g12930.t1 pep chromosome:ASM357664v1:15:10873221:10878782:1 gene:itb15g12930 transcript:itb15g12930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVMSNKGFDSDRRNDAVAVPDLRASTASSSGAVNDGVSSVSTHSGDARASEDVENAGGMDLDRGVSLVSDDSAIRNEDRKLNAERKKLGSEVVSSVVNLEDKGGTELIRGFGNSIIDVGHSKIAERSNGTERNSVVSEYDLMLSKFDDFAANGRRWTVGLGFEMGDMVWGKVKSHPWWPGHIFNEAFATTTVQRSRKDDHILVAFFGDSSYGWFEPSDLVPFDSNFHEKSQQTNLRSFTKAVEEAVEELSRRCALGLLCRCRQADNFRPLSDEGSFQVDLSDTETNFIYTASQIKKARENFHPRETLTFAKKLALTPMSCDYGNINFIKNRATAIAYRKAVFAPHDPTYAEAFGAHVPKHLQQTQSTAQPSKGPQRASLSGRLISMEAMGKGKTSVRHNKAASQTEKDRYLFKRRDEPENLKSRKVAEVQAGSSSQPIHVDSSVCLGKGSSASVTDNTKSTSIEELKQPLKQDAAVGELHRSGQVQDCVGEMHISVPTEAKPQGEGFRVRSHGGAQKVKNRKRAASDTSSETNQLLEKKKRKKKKILSTNEGSNFVEKQVGGGVERIVNQKFEGDSVPVPSGTKEDIQMDNQQKGDGASISSHEQAVSQQAIGIKSVELELPQVLCDLQTLALNPFHGMERSCPTTTRTVFLRFRSLVFQKSLASSPGENESNQVPSSKLPAIAPASDGAPAERIREAAPVKMPPKPSVRPDDPTRGGRKRSPSDRQEELSAKKKKKMNDLKQLAAEKKAPQRASEVPKSDNKEMVTKMSSSIPTKLSKPEVSKRIEHSPRVPEPTMLIMKFPPNGALPSIPELKAKFARFGPLDHSATRVFWKSSTCRLVYRYKHHAESALKFAESSTNLFGNTDVKCALREAEAAETSGAKVSREDSSALGGSHSQSKDLVIDRLPPASRVTQVQLQQPVQLKSCLKRPPGEEAAGNGNNRGTRVKFDLGGEDSSRGELSMSNDSKNTKTAIAASSSSSDTNVKNFSKVIPPSPSPAQFQNPPITTNVHLPEVKMPHRNMHNFNTHPSSSAPPLATSTADIDISQEMISLLTMCHEVVINVTNVLGFPPYRPL >itb11g05830.t1 pep chromosome:ASM357664v1:11:3459690:3460764:-1 gene:itb11g05830 transcript:itb11g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIRGKIIGHGSSAAVSVATCRQSGEVFAVKSVELSKSEFLQREQRIFSTLNCPQIVEYKGCDVTWEENKAMFNVVMEFMPGGAVADAVKRGGGLEELAVGRYTKEIVQGLVYLHCNGIVHCDIKGGNILLGKSGAKIGDFGCSKWIFDAGAAAIGGTPLFMAPEVAQGKEQGFPADIWALGCTVIEMATGDSPWPNLTNPATLLYTIAFSGESPPVPAFLSDQAKDFLKNCLRRDPGERWTAKQLLSHPFLQQFDTSGKQNQELETISPTSVLDQGIWKSSSMAERCRIGLRALGSLSEEEQRKTSSEILAENKVAH >itb02g17760.t1 pep chromosome:ASM357664v1:2:13931455:13933366:1 gene:itb02g17760 transcript:itb02g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYQEIIASLPKKEIWNGVSYIYEYQGFWFNSPFLEGTISVQESFQAQPGDIVLCSAMKTGTTWLKALAFAIVTRNQFDVLSSDNNNNHPLFNAVPHDCIPFLEVDLVENKKDPEMPLLSTHLPFSSLPKCIISKDCKMVYICRDPKDTFVSYWHFLQRVLPGKQLSLEKEFEMFCDGSSVYGPYWDHVLGYWKASIERPDSVLFLKYEDLKRGTLFYVRKLGEFMGQPFTAEEERRGAAERIVELCSLQKLSGLEVNKTGKHRQGTALSINNDSFFRRGVVGDWKNLLIPQMQRRMDEITEQKLQGSGFSF >itb03g14900.t1 pep chromosome:ASM357664v1:3:14426663:14427351:1 gene:itb03g14900 transcript:itb03g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQTWVSQHKLTTIGTVWASAIGASLAYSRSATPLKPSLRLIHARMHAQALTLAVLSGAAVYHYYEKRGANLEPSGDHN >itb01g05730.t1 pep chromosome:ASM357664v1:1:3955270:3958916:-1 gene:itb01g05730 transcript:itb01g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLILLPTIFTALFCALHSNAVLGDSLAPRSNQPSHISLRTQLQDAKIKLSRLESTMEEYDYILNAKSEYIERIQKKLEKISFDIDRVQTALSSFKDQESNENQRLTLLSHLEEEVQLLWATSRENNFEIHKLTLDAQESKERLKDVASEVEKAVAIVNELWIQIQQLEQAAQLAEIRVLKVKSQLRYGRCPFVKSIKNLSSHHLEMLKGLLRPNSTLEQNSYLSKALHQFEKTFAPIKPYHHQLQGFLKQAVEQYGVLAVLANDEFVFIVVSALVVFPVIGALTFLMSRLH >itb01g05730.t2 pep chromosome:ASM357664v1:1:3955270:3958916:-1 gene:itb01g05730 transcript:itb01g05730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLILLPTIFTALFCALHSNAVLGDSLAPRSNQPSHISLRTQLQDAKIKLSRLESTMEEYDYILNAKSEYIERIQKKLEKISFDIDRVQTALSSFKDQESNENQRLTLLSHLEEEVQLLWATSRENNFEIHKLTLDAQESKERLKDVASEVEKAVAIVNELWIQIQQLEQAAQLAEIRVLKVKSQLRYGRCPFVKVVQKCLCNCSLALLVQTILND >itb09g05170.t1 pep chromosome:ASM357664v1:9:2934113:2936476:1 gene:itb09g05170 transcript:itb09g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLRSDAEKHEYNKKFYKALMGQKKEEVIELCKHVPEGPLHVVTLHGDTVLHLAAYSKQRDLAKCLLEQVSKCDGAERLLQRANSLHYTVFHEAATSNKAVPLAKEMLRLSPGLLHLRGDNNETALFRSVRYGKREMFDFLDKEVGKAVEGDQEKWDAFHYRSDRSTTLHQSVLMEHFDLALCIAERHGCLIGEQDGDGMTALQLLALNPSAFKVGKKNRFIKLLVNSTPKFISIPLLDHIRMKFDKYESALKLAKFLIAKDTSWESTEAVKNKSETRFHKYGHLFQEQKPDDGERMQSGAKRCPETPLFLATKSGCIEIVQEILEAYPQAVEYVDEKGRMILHVAIKYCQTQIFRLVQSMKLPRKRLKRKVTNEGNSILHMVGLKDKEVLGDMRSPALLLQERLLFFEMVKSICKADMVKLLNSEGHTAEEVFNCTHAQLRSDAKDWLKRTAENCTIVAVLISTVAFAAAYTIPGGPNQSTGYPVLLHKTFFVVFTIADVLSITLALTSTITFLAILTSPFHMKDFKRSLPQKLMLGLSLLILSVTMMMLAFAATVILMISNKERWTKIALSCMSFIPVTIFAVSYVPLYISLWKNYSYTFRKLWDALPRLNISSGARSNIAGVSSSSAFSVSKTQGFDSLV >itb04g28390.t1 pep chromosome:ASM357664v1:4:32147451:32147974:-1 gene:itb04g28390 transcript:itb04g28390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINESESELRASHANSRGGGGGVASPKLRRSCSSFRRWELSPIRYLKLVGGKVVLAALRMMYPAAAKSGGRNRKVTSSSSSENATPAAAARLAVDDSHRAEAVKDCIHFMNNISTVPRSNSLSCKP >itb02g15200.t1 pep chromosome:ASM357664v1:2:11058024:11062201:1 gene:itb02g15200 transcript:itb02g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIVVTSLLLIGRVICREDGDDVNIGAIFSFGTTNGQVARIAMSAAVKDINSDPTILGGRKLNLYMHDSNYSGFLGIVGAMKFLETDTVAIIGPQSSVMAHVLSHFANELHVPFLSFTALDPTISPLQYPYFIQTAPNDLFLMTAVADMVSYFGYSEVTAVFSDDDQGRNSITALGDKLYERRCKISYRAILPPDPSATRQDIKDELMRVRLMESRVIVVHTLSLTGILVFEVARDLGMMTGEYVWIATSWLSTFLDSSLAPISTRVAKTMQGVLTLRPYIPDSKKKRDFSSRWSQLSNGSVGLNPYGLYAYDTVWMIARAVKQLLNDGGVISFSDDSRMNSFRGGALNLDALSIFDGGEQLLNNILKTNMTGVTGALGFNVADRSIIHPSFEILNVFWNGKKLYREIGYWSNYSGLSVVPPETLYSKPPNRSSSAQRLHGGVIVWPGQTTVQPRGWVFPNNGRQLRIGVPNRVSYRDFVSQDYNDNGDVVISGFCIDVFLAAIKLLPYAVPHQFVLFGDGHKNPSYSEFVNMITSNAFDAVVGDIAIVTNRTKIVDFTQPYIESGLVVVVPISKPKSSAWAFLRPFTPLMWGVTAAFFLFVGAVVWILEHRTNDEFRGPPKQQFITILWFSFSTMFFAHRENTVSTLGRMVLIIWLFVVLILTSSYTASLTSILTVQQLSSPIRGLESLAISGERIGFQVGSFAESYLKEEINIAKSRLVALGSPEEYADALQNGSVAAVIDERPYIDVFLSKYCGMFQIVGQEFTRSGWGFAFPRDSPLAIDMSTAILALSENGELETLRERWVYRRRGDCEWAGSGSVDQLQLSSFWGLFLICGVTCLLALLIYFCSLSRQFNKHYPQLSGYPHTNPPSRIRTFLTFVDEREEVSKNKFKRKRIETTTTATENGD >itb02g15200.t2 pep chromosome:ASM357664v1:2:11058024:11061365:1 gene:itb02g15200 transcript:itb02g15200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIVVTSLLLIGRVICREDGDDVNIGAIFSFGTTNGQVARIAMSAAVKDINSDPTILGGRKLNLYMHDSNYSGFLGIVGAMKFLETDTVAIIGPQSSVMAHVLSHFANELHVPFLSFTALDPTISPLQYPYFIQTAPNDLFLMTAVADMVSYFGYSEVTAVFSDDDQGRNSITALGDKLYERRCKISYRAILPPDPSATRQDIKDELMRVRLMESRVIVVHTLSLTGILVFEVARDLGMMTGEYVWIATSWLSTFLDSSLAPISTRVAKTMQGVLTLRPYIPDSKKKRDFSSRWSQLSNGSVGLNPYGLYAYDTVWMIARAVKQLLNDGGVISFSDDSRMNSFRGGALNLDALSIFDGGEQLLNNILKTNMTGVTGALGFNVADRSIIHPSFEILNVFWNGKKLYREIGYWSNYSGLSVVPPETLYSKPPNRSSSAQRLHGGVIVWPGQTTVQPRGWVFPNNGRQLRIGVPNRVSYRDFVSQDYNDNGDVVISGFCIDVFLAAIKLLPYAVPHQFVLFGDGHKNPSYSEFVNMITSNAFDAVVGDIAIVTNRTKIVDFTQPYIESGLVVVVPISKPKSSAWAFLRPFTPLMWGVTAAFFLFVGAVVWILEHRTNDEFRGPPKQQFITILWFSFSTMFFAHRENTVSTLGRMVLIIWLFVVLILTSSYTASLTSILTVQQLSSPIRGLESLAISGERIGFQVGSFAESYLKEEINIAKSRLVALGSPEEYADALQNGSVAAVIDERPYIDVFLSKYCGMFQIVGQEFTRSGWGFVSLILCV >itb13g24200.t1 pep chromosome:ASM357664v1:13:29928387:29930577:1 gene:itb13g24200 transcript:itb13g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEHDVAAGQPKKRTFKKFSFRGVDLDALLDMSTDDLVKLFTARARRRFKRGLKRKPLALIKKLRKAKREAPPGEKPEPVKTHLRNMIIVPEMIGSVIGVYNGKTFNQIEVKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >itb01g28990.t1 pep chromosome:ASM357664v1:1:33285020:33290173:1 gene:itb01g28990 transcript:itb01g28990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSILFCPNPISLKRPTSGNYLFLSGSEVQLTHCRSWKRRFRKLIPHVKKRNSKKSRGRKSWWQKFFDEEGNWFGLKDDEMLDEVEIEEGLSGGEEVSEDEKFEAWKRRAEAIIELREAQEDIRNEENRRWEDWLVDPTAIDLGNGSSWVRSSNGSIGNPEEGAVGEDLSDLIPVRGLVKSMRDMMLGREEDDILYEDRIFQYASFNSAKFLAVLIIIPWALDFLVHDYVLMPFLDRYVKIVPLAAELLDVKTSQKREMIKELKLEQARYRLEVEIGKSPPLSEKELFMELHQKALEMRDERRLENRRAFANIWSDAVFGISLFILLYFNQNKVSLLKFTGYKLINNISDTGKALLIILITDIFLGYHSESGWQTLSEVIVEHYGFEVDQAAITIFVCIFPVVIDACVKLWLFKYLPKISPKVSNIFREMKRH >itb11g10150.t1 pep chromosome:ASM357664v1:11:6889517:6891299:-1 gene:itb11g10150 transcript:itb11g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKRHLFLVFTIVVLIICLKNQNAETNKNHSSSSEFEMLNWSSSDEQSLSASLFSFTGNNVEAPKHSESLRYDYYDETCPQAEHIVQSVVRRLYMTRPRIAPQLLRLVFHDCFVQGCDASVLLDPADGIESEKAAIPNLFLKGDDVIDIIKSELEAACPQVVSCADIVVLAARESVILVGGPFYPLTTGRRDSNEPHFQFTNELPAPTDDLPKILEKFSSKGFKEWEIVSLLGRDLSFFWSHSTGVIHCNFFSDRLGRTNEPDPSIDTEFLNLLRSKCNVSEASSALPASGSAPVPSNEQPTIEMDYKGPGNGFGTIYYQSLLQSKGILFSDQQMIAAEETAAWVQAYALDVNLFRLKFCRSMMKLSNLGVLTASKGRVRLNCRKVH >itb08g05830.t1 pep chromosome:ASM357664v1:8:4784983:4787969:-1 gene:itb08g05830 transcript:itb08g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLLLVALLFSCQKISFARDTVSSTEFLKYGDTIVSSGGIFEMGFFSPINSQNIYVGIWYKNIPIRTVVWVANRDSPLSNTSSVALQIISPGWLALVDGNDTDIWHTNTSKQVPNAVAKLLDSGNLVVIDANDDNPESFLWQSFDHPTDTHLPGMKLGKNFVTGIDTTLSAWKSESNPGMGEYELSVDPAGCPQLILRKGGQEVSRWGPWNGLRWSGLSGMLKKGKIAEIFVIINSNEVSFDYNVYNRSTLARTVLSNVGSADIYVWEDGKGEWNSLRKIPTDVCDKYRLCGAYGSCDYNNNAACECLDKFLPRDPGAWGRTDFSGGCVRRTPLKCQNTSSDGFKKYSGIKLPDTQFSWFNSSMNLQECEQVCLNNCSCTAYSSLDISNGQNGCLLWFGDLIDIKVLPVHGQDLYIRMASADLDIRRRALLDWSKRFNIINGIARGLLYLHQDSRLKIIHRDLKASNILLDIDMNPKISDFGLARSIGLNETGANTDRVAGTLGYMSPEYAGHGTFSIKSDVFSFGVLVVEIVSGKRNREFSHHQDHYENLLGRAWKLYRDGKLIELVDKHLDEQRDLPQILRSIHVGLLCVQHCPKDRPDMSSVIHMLANDVELPNAKEPGFFTDGSAIEGKSSSSTEISCSINEVTISSLDPR >itb07g18790.t1 pep chromosome:ASM357664v1:7:23215387:23218234:1 gene:itb07g18790 transcript:itb07g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSKVYTLAQVSQHNNAKDCWLIIDGKVYDVTKFLEDHPGGDEVLLSSTGKDATDDFEDVGHSSSARAMMDEYYVGDIDSSTIPSKTKYTPPKQPHYNQDKTSDFIVKLLQFLVPLIILGVAVGVRFYTKQSA >itb13g11790.t1 pep chromosome:ASM357664v1:13:16813245:16817824:1 gene:itb13g11790 transcript:itb13g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINYFLSLSFVFFCICILWAKSKAAAKQKLPPGPWKLPFLGSLHHLVGSLPHRSLRNLSRKYGPIMHLKLGEISTVVISSPQLARAITKTHDLVFASRPNIMALDIVFYKSTDVAFSPYGDYWRQMRKICVLEILSVKMVKSFCSFRQEELSNLVSSIRLSGETGFPIINLTEKVSWFTSSVIAKVAFGRVCSEDQEKFITLLKQVVSLAGGFDVGDLFPSKKWLHYISGMKPKLLKLHHELDRIFDIIIGEHKENHLKTRNSSGKDEDIVDVLLRVKEGGELQFPITEDNIKAVINDMFSAGTETSATTIIWAMSEMIKRPSVMVKAQAEVRQALKGKKTFDDKDLENLTYLKLVIKETLRLHTPFPLILPRESMEEAKIGEYIIPPKTRVIINAWAMATSPESWEDPEKFLPERFENSYVDFMGNHYEFIPFGAGRRMCPGISFASTSIAHSLAGLLYHFNWELPDGVSPKDLDMTEAIGIIASKKKDLCLIARPFVDL >itb14g16440.t1 pep chromosome:ASM357664v1:14:19792948:19798245:1 gene:itb14g16440 transcript:itb14g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKSSRLESEFSSHSREVMSSDEEEFRYNSGAVESEDDDDDEFDDCDSGAGSDDFDLLELGESGEEFCQVGDQTCSIPYELYDLQGLGDVLSMDVWNDVLTEEERFCLTQYLPDMDQETFMRTLKDLLTGCNLHFGNPLNKLFNMLKGGLCEPRVALYRQGLIFFQKHQHYHLLRKYQNVMVNSFCQIRDGWQNCRGYSIEEKLRVLNIMKTQNSYISEKMEEMESDESDREGSGDAFWGKRVKDRKHGQKSARYSGYGMSSAIDLLYPGKQTAAEPAKFGKQNSKGALKLTGTKGSTMKEFSCNFPSVHHEMEINAGYYGSQPLSHHGKIAGYDSGMTVHMRDRLLGDEDDDEGMYEVSAQRNFSRAGLKDKAGHFKMGKKHDGLRSEEYLESLNGFPMPLKPELYATGRKKTIHQLLDTTVSKPLNARSPFDFGSKANYVENLQQFPSEDRMIYGKGRISNPSLKGSRMELADESEPIWHGKGQLDPYLTNPSQKYSDWNSKSKKWKHQDSSELKQNDFHSGYKAKPFQEKFRATLHSAQRGVAGSKDRRGILKNEETESDSSEEIDEEDDDNPLLRSKLAFPFPCDASDMKSGSNAKKAKFVKKNKRGGFDEHLSSIKAGNLGSRAEQKGKMLDYSRVNILSARELEENYLSGQGQLIDDNDEDDDNDDQPIYKLARNGRAQGDYRPSLREKQKGRFGVPQSNCMQDFGLHGEDLSRTQLLANDNEGIPRSGKKSQILEMLASDHQERSDLQLIGCSSTSKKRKIKEDVSFMDEQGDNEFTQLQLEDASSSKKRGKRKLESETGNSEKGVSELPSSDIREEDVHQESILQKKPFTLITPTVHTGFSFSIIHLLSAIRMAMTSLLSEDSLEVGKNLDQADGRQKSEEERGLKQEGLNGVKTQAELDVNGSLSSHEMRIPSLAVQEIVNRVKSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKPLVVYEKSTKSWSWVGPVHHTSSDHEAMEEVTSPEAWGLNHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPSSLTQYNFDEKERFRDLRAQKSLITITPSSEEVRAYFRKEEVLRYSIPDRAFSYTAIDGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDTQVNQVVSGALDRLHYERDPCVQFDTDRKLWVYLHREREEEDFEDDGTSSTKKWKRQKKESAEPIDQAAVTVAYHGPGDQNVGDLGSDPNFDLPNIHEDKGKELEYEDSRDLGDDNVENHHGTEQGNPRDFGQTQVASDAMGENKLFCQENSTNDNFDDET >itb11g04860.t1 pep chromosome:ASM357664v1:11:2594220:2595539:1 gene:itb11g04860 transcript:itb11g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQTEQIPRDGVTQGMGPVYANKDSLVLVHWIGYKLNGFPIDDVVTLRPESVPIPDGFDRRSVHSKVQINDVTFNNIWGTSTSKVALALQCSPLVPCKDVNLVDINLNYFLPCEGSPGAVEL >itb13g07920.t1 pep chromosome:ASM357664v1:13:10135603:10136994:1 gene:itb13g07920 transcript:itb13g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEIRLAVGGGDSNSVAITVAANLGNKKKIQKLPLHLRYKEDSDFYDPRVVPIGPYNYGHPSLQLVQGIKYKVLDHFISNNSSSSWQKDYEFYFKKMLEVVDTARSCYILLENKYPFDDHRLAEIMLLDACFLLNHFLITTDDAVLAWGYYSPLDITTLIDAAGGLAFTECDMLLLENQIPLWIIELLFNARYCNPPHTWKQLLSMKCQQVVWEEIVFKNVDELERHVNLQHDDEAPLHLLEYCHMLMVSRPHSAEAAGIGNAPTMSTQQLIDEHGYLFSSVMDLKSKGIHFRRNYTDYSLRGIKFDSTLLRAEVKLPVFDASTVTRVCLQNMIAYELCNYRRTQFVVGSYINFMKSLIVSPGDVKELREKCIIRRAIGEDNDIVKLFHGLNTYGFDNPAIFKEVKHKILKHYNSKTKTWMVEIAHTYFKSPWTAIALFAATFLLVLTFLQTYFTINPRGN >itb05g18500.t3 pep chromosome:ASM357664v1:5:25270067:25278067:1 gene:itb05g18500 transcript:itb05g18500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSGTTNPSPPPSNRASEVEPSASESIVSEKDSPSDSMPSVLSTPLLPSWAKNLTAPQPVQQGQQNSETGSVSWPSLSRLASGFGLQFTSKASLMNESAGDNSANAQSSVIESLTKGLADSSMTAVKALQVKARHIVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVINFFETHHKGKYKVYNLCSERLYNASLFEGKVASFPFDDHNCPPIHLIKLFCQSAYSWLKEDIVNVVVVHCKAGKARTGLMICSLLLFLKFFPTAEECIDYYNQKRCVDSKGLILPSQIRYVKYFERILTHFNGEPPSGRRCMLRGFRLHKCPYWIRPSITISNHNGVLFTTKKHPKTKDLMPEDFWIRAPRKGIVVFALPGEPGLTELAGDFKIYFHDRHGDFYCWLNTSVMENRIILDGSQLDGFDKRKFPSPGFQVEIVMIDYDGTIPAKFKSEDASKKSDEMSGTNSSTDGTQANSDQCMGSGSRDQNDDVFSDSEGEESTKTSARSSSAAPSVGVIDSSTSEEQITQVTCQTEQLTIGGQEPTDLKTDTSSEIKIDADNRTASIPNLGSTDFKAIAADASVFSFGDDDEDYESE >itb05g18500.t1 pep chromosome:ASM357664v1:5:25270043:25278111:1 gene:itb05g18500 transcript:itb05g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSGTTNPSPPPSNRASEVEPSASESIVSEKDSPSDSMPSVLSTPLLPSWAKNLTAPQPVQQGQQNSETGSVSWPSLSRLASGFGLQFTSKASLMNESAGDNSANAQSSVIESLTKGLADSSMTAVKALQVKARHIVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVINFFETHHKGKYKVYNLCSERLYNASLFEGKVASFPFDDHNCPPIHLIKLFCQSAYSWLKEDIVNVVVVHCKAGKARTGLMICSLLLFLKFFPTAEECIDYYNQKRCVDSKGLILPSQIRYVKYFERILTHFNGEPPSGRRCMLRGFRLHKCPYWIRPSITISNHNGVLFTTKKHPKTKDLMPEDFWIRAPRKGIVVFALPGEPGLTELAGDFKIYFHDRHGDFYCWLNTSVMENRIILDGSQLDGFDKRKFPSPGFQVEIVMIDYDGTIPAKFKSEDASKKSDEMSGTNSSTDGTQANSDQCMGSGSRDQNDDVFSDSEGEESTKTSARSSSAAPSVGVIDSSTSEEQITQVTCQTEQLTIGGQEPTDLKTDTSSEIKIDADNRTASIPNLGSTDFKAIAADASVFSFGDDDEDYESE >itb05g18500.t2 pep chromosome:ASM357664v1:5:25270043:25278111:1 gene:itb05g18500 transcript:itb05g18500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDSGTTNPSPPPSNRASEVEPSASESIVSEKDSPSDSMPSVLSTPLLPSWAKNLTAPQPVQQGQQNSETGSVSWPSLSRLASGFGLQFTSKASLMNESAGDNSANAQSSVIESLTKGLADSSMTAVKALQVKARHIVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVINFFETHHKGKYKVYNLCSERLYNASLFEGKVASFPFDDHNCPPIHLIKLFCQSAYSWLKEDIVNVVVVHCKAGKARTGLMICSLLLFLKRYVKYFERILTHFNGEPPSGRRCMLRGFRLHKCPYWIRPSITISNHNGVLFTTKKHPKTKDLMPEDFWIRAPRKGIVVFALPGEPGLTELAGDFKIYFHDRHGDFYCWLNTSVMENRIILDGSQLDGFDKRKFPSPGFQVEIVMIDYDGTIPAKFKSEDASKKSDEMSGTNSSTDGTQANSDQCMGSGSRDQNDDVFSDSEGEESTKTSARSSSAAPSVGVIDSSTSEEQITQVTCQTEQLTIGGQEPTDLKTDTSSEIKIDADNRTASIPNLGSTDFKAIAADASVFSFGDDDEDYESE >itb03g17940.t1 pep chromosome:ASM357664v1:3:16339206:16341421:-1 gene:itb03g17940 transcript:itb03g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLGFFY >itb15g02660.t1 pep chromosome:ASM357664v1:15:1673804:1680814:-1 gene:itb15g02660 transcript:itb15g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSCSHPPSFGSSGALSHVYIQYPPLRCKVPGSRELFYDDGTKNLIAPTSGRVFSWKMFPFNPHVAPSSEAIGVGPVLSIRYSLDLKLLAIQRSAHEVQILHREAGDIFSQKCKPESESILGFFWTDCPTCDIVFVKTSGLELFSYNFESKSLTSIETKKQTVSWYVYTHESRLVLLASGMQCKTFKGYQFSSMGIIRLPRFEMAMAKSEANNVPVLAAEDVRVITVYGRIYCLQVDRVAMLLHSYRFYRDAVIPQGSFPIYSNRIAVNVVDNVLLVHQMDAKVVIIYDLFVDSHSPVSSPLPLLMRGLPKSNDATSQSMCQKSEASEEKELSNAETIMYGDDWTFLVPDFICDTGNGLSWKVYLDLEAISASSSEVQLVLEFLQRRKLEANKAKQLCLSIARTIILERRPVPVVARAIDVLVTSYMSSLKTGNYHKGTKAEKASPSGGSNTKTAVEDKVKRAKDESTSSMQQKMKASPSGSEDNVSYGTQRSEFQDFDSSTLVGPEGHSSAVQSQIVGTCSTPLNANVPEQQDSRLTSAAISLDDLYSFVFAPVEEEIAGDASYLVAIIIEVLRSANSEKLKVPLNMYCLMIQLLARNERHAEIGLFIMNKIIEPSKEVAMQLLESGRQNFQTRKLGLDMLRELQLHEDYVLQRLQDGHYLDALQYARKKKVNTVRPSLFLEAAYASNNSQHLAAVLRFFSDFIPNFKTTADHQTYTHVLANMNASITNHASQVLA >itb02g00490.t1 pep chromosome:ASM357664v1:2:326481:335170:-1 gene:itb02g00490 transcript:itb02g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKADPAQKLYRRMRLWEFSDQYVIEPSDGSSGMCMAISRVDASMHLIDEMPHCSTLRVPRIQTIFGVVGMLKLGAGSFLIIITERECVGSYLGHPIFKVTSLNVLPCDHSLKSSSVEQKRMKAEFSALLSVAERTHGLYFSYDVNITLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMMEVLIDSKLDPFLLPVLHGSFNNFQAAIGRNVIDVSLIARRCNRRTGTRMWRRGADSDGYVANFVESEQIIQITGFRASFVQVRGTIPILWDQIVDLTYKPNFEIVRWDEGPRVAERHFLDLRKKYGNVVAIDLVNTHGGEGCLSEKFSEATHPLANEDVRYLHFDFHQICGHVHFERLSILYEQIEDFLRKNRYFLLNEKGEKLEAQSGVPRTNCIDCLDRTNVTQSMIGQKMLEFQLRRLGLFNAEETISKHPNLDENFKILWANHGDDISIQYSGTPALKGDFVRYGKRTINGIVNDGCNALMRYYLNNFCDGTKQSFPMAFGLILIGFFFTLVSLRRVQYDPWNILFSMIWASISLGMGGFVKANGRVFCNRPRLNQPRH >itb12g04420.t6 pep chromosome:ASM357664v1:12:2900134:2903584:1 gene:itb12g04420 transcript:itb12g04420.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYFLGTIDLVEKGTGSVVFRTCAEERSRVVFQMGTSDAVRALSAAQMVCKDVAAVDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTPVTCKIRLLKCPQDTVELARRIEKTGISALAVHGRKVPDRPRDPAKWNEIADVVAALSIPVIANGDVFEYDDFQRIKDATGASSVMVARGALWNVSMFSPKGKIPWENVKREYVRKSILWDNDLKSTKHTLKEMIMHHSCLELPEGKAVIRSENLEHLA >itb12g04420.t1 pep chromosome:ASM357664v1:12:2899865:2903610:1 gene:itb12g04420 transcript:itb12g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRNKLVLAPMVRVGTLPLRLLAADYGADITYGEEIIDHKMLKCERQVNDFLGTIDLVEKGTGSVVFRTCAEERSRVVFQMGTSDAVRALSAAQMVCKDVAAVDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTPVTCKIRLLKCPQDTVELARRIEKTGISALAVHGRKVPDRPRDPAKWNEIADVVAALSIPVIANGDVFEYDDFQRIKDATGASSVMVARGALWNVSMFSPKGKIPWENVKREYVRKSILWDNDLKSTKHTLKEMIMHHSCLELPEGKAVIRSENLEHLAQLYGEENYFQQVTKNRGVFGGG >itb12g04420.t3 pep chromosome:ASM357664v1:12:2899865:2903610:1 gene:itb12g04420 transcript:itb12g04420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRNKLVLAPMVRVGTLPLRLLAADYGADITYGEEIIDHKMLKCERQVNDFLGTIDLVEKGTGSVVFRTCAEERSRVVFQMGTSDAVRALSAAQMVCKDVAAVDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTPVTCKIRLLKCPQDTVELARRIEKTGISALAVHGRKVPDRPRDPAKWNEIADVVAALSIPVIANGDVFEYDDFQRIKDATGASSVMVARGALWNVSMFSPKGKIPWENVKREYVRKSILWDNDLKSTKHTLKEMIMHHSCLELPEGKAVIRSENLEHLA >itb12g04420.t4 pep chromosome:ASM357664v1:12:2899865:2903610:1 gene:itb12g04420 transcript:itb12g04420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCERQVNDFLGTIDLVEKGTGSVVFRTCAEERSRVVFQMGTSDAVRALSAAQMVCKDVAAVDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTPVTCKIRLLKCPQDTVELARRIEKTGISALAVHGRKVPDRPRDPAKWNEIADVVAALSIPVIANGDVFEYDDFQRIKDATGASSVMVARGALWNVSMFSPKGKIPWENVKREYVRKSILWDNDLKSTKHTLKEMIMHHSCLELPEGKAVIRSENLEHLA >itb12g04420.t2 pep chromosome:ASM357664v1:12:2899865:2903610:1 gene:itb12g04420 transcript:itb12g04420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCERQVNDFLGTIDLVEKGTGSVVFRTCAEERSRVVFQMGTSDAVRALSAAQMVCKDVAAVDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTPVTCKIRLLKCPQDTVELARRIEKTGISALAVHGRKVPDRPRDPAKWNEIADVVAALSIPVIANGDVFEYDDFQRIKDATGASSVMVARGALWNVSMFSPKGKIPWENVKREYVRKSILWDNDLKSTKHTLKEMIMHHSCLELPEGKAVIRSENLEHLAQLYGEENYFQQVTKNRGVFGGG >itb12g04420.t5 pep chromosome:ASM357664v1:12:2900134:2903584:1 gene:itb12g04420 transcript:itb12g04420.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYFLGTIDLVEKGTGSVVFRTCAEERSRVVFQMGTSDAVRALSAAQMVCKDVAAVDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTPVTCKIRLLKCPQDTVELARRIEKTGISALAVHGRKVPDRPRDPAKWNEIADVVAALSIPVIANGDVFEYDDFQRIKDATGASSVMVARGALWNVSMFSPKGKIPWENVKREYVRKSILWDNDLKSTKHTLKEMIMHHSCLELPEGKAVIRSENLEHLAQLYGEENYFQQVTKNRGVFGGG >itb10g15980.t1 pep chromosome:ASM357664v1:10:22240999:22241816:-1 gene:itb10g15980 transcript:itb10g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCNSILAFVAAFALVFTTILPVAQGQESAPAPAPASDGTTIDQGIAYVLMLLALVLTYIIHTADVPMKV >itb06g08360.t1 pep chromosome:ASM357664v1:6:12358027:12362387:-1 gene:itb06g08360 transcript:itb06g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METELKIKGILGSKRSLPMPICCARCKKRKRVESDRKGPKNINTIQQGVPGTIELQFVDHICGYRERFPDCCVQEEENGWSVTPDHEDDGGGNDDDPQYKIFLASTVQRGTSYTTTLEENGSPLFLHYETENVCRDEPDYVCRRRVKNIKKGHDDDDDPQYLKFLANTVQKGTSYVTKLEENGLPLFIHYEKEDGSGEERSDASRRRAKSIKKGYNDNGGGNDVDPQYMTFLANTVQRGTAYTTTLEESGSPLVLHYEKEDGCGDDPVDVCRRRVKNIEKGHNAREKAFGSVSGNYNVERANGFEMVLEKNKDVFVVVDNEMFEVPKSHYEYDIDSESHKERSTQNRRNNGSNNGRNTQNWRISEDREEEYIDEDCVVLNQNNYVVKTSSSANWHMECGRNHSEGVEAMFCKERSPDLSPGNQHVEYDLKDREEEDIDNVYLVLLQNMTCKNSVSKASCQSNQPVEYDLKHWEEDEIDYDYLALLQNMTCQKYAIKACQSNQTVEYGCNQSEDVEVIQNNYKERSLDHFISSTEYLNLDETSELLGESMQSPFRKKIINILKRPYNQSEYVELLKDVKVRKPILKNLELRDGRDMLYPTSKIGKSYLDHHKDLKKRLDEVRTNKHKRLNILRGFFFWLQNVTLVGVFKPWNDPTCLAIVPGSC >itb02g03340.t2 pep chromosome:ASM357664v1:2:1918653:1925720:1 gene:itb02g03340 transcript:itb02g03340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADYSYGAPYLQKFRLYETRSNFYMIGRDKNRTYWRVLKIDRTEPLELNIHEDPTTYSEFECYELLKRIHEGNRSTGGLKFVTNCYGIVGFVKFLGPYYMLLITQRRKIGTICGHAVYSIAKSEMIPIPSSTVLSNMAFSKNENRYKKLLRTVDLTKDFFFSYSYLIMLSLQKNLSNHESGLVLYETMFVWNEFLTRGIRNQLKNTMWTVALVYGFFKEVSLSVCEKDFKLILIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVVENVPEGYSVQISSIVQNRGSIPLFWSQETSRLNIKPDIILSRKDPRYEATKLHFENLIKRYGSPIIILNLIKTLEKKPRESILRTEFANAIDSINKKFSEENHLKFLHWDLNKFTKNKATSVLMALNKVSANALELTGFFYCQVTPASRTAELLNFPCARNKGNGDGAEKDHHNAVRDTDCLETGSNCSTDDLLGVQSIKCPTFQRGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYIDVENIALGSPLGDSLMKIYEEMGDTLALQYGGSAAHNKIFSERRGQWRAATQSQELIRTLQRYYSNAYMDAEKQDAINVFLGHFQPHDGKPALWELDSDQHYPVGRHSLAFGSIRSRIKRSFSDGNIICPTNLPVEDTSNKQQEAYEPPLPVQSTPDVSTCESEMPYSRYTPSMSGRELFSEPQAEQSLERGDSNFSNFVDVEWLSSSGNSCEEETYERSSIVGSPSSGLSSEGGIGCASKAETSLHAYDSGFSIKTTGDLNFEMAQEMQRRGEFSDKFVHWVTFGDMLFP >itb02g03340.t1 pep chromosome:ASM357664v1:2:1918653:1925720:1 gene:itb02g03340 transcript:itb02g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADYSYGAPYLQKFRLYETRSNFYMIGRDKNRTYWRVLKIDRTEPLELNIHEDPTTYSEFECYELLKRIHEGNRSTGGLKFVTNCYGIVGFVKFLGPYYMLLITQRRKIGTICGHAVYSIAKSEMIPIPSSTVLSNMAFSKNENRYKKLLRTVDLTKDFFFSYSYLIMLSLQKNLSNHESGLVLYETMFVWNEFLTRGIRNQLKNTMWTVALVYGFFKEVSLSVCEKDFKLILIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVVENVPEGYSVQISSIVQNRGSIPLFWSQETSRLNIKPDIILSRKDPRYEATKLHFENLIKRYGSPIIILNLIKTLEKKPRESILRTEFANAIDSINKKFSEENHLKFLHWDLNKFTKNKATSVLMALNKVSANALELTGFFYCQVTPASRTAELLNFPCARMQCRNKGNGDGAEKDHHNAVRDTDCLETGSNCSTDDLLGVQSIKCPTFQRGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYIDVENIALGSPLGDSLMKIYEEMGDTLALQYGGSAAHNKIFSERRGQWRAATQSQELIRTLQRYYSNAYMDAEKQDAINVFLGHFQPHDGKPALWELDSDQHYPVGRHSLAFGSIRSRIKRSFSDGNIICPTNLPVEDTSNKQQEAYEPPLPVQSTPDVSTCESEMPYSRYTPSMSGRELFSEPQAEQSLERGDSNFSNFVDVEWLSSSGNSCEEETYERSSIVGSPSSGLSSEGGIGCASKAETSLHAYDSGFSIKTTGDLNFEMAQEMQRRGEFSDKFVHWVTFGDMLFP >itb02g03340.t3 pep chromosome:ASM357664v1:2:1918653:1925693:1 gene:itb02g03340 transcript:itb02g03340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADYSYGAPYLQKFRLYETRSNFYMIGRDKNRTYWRVLKIDRTEPLELNIHEDPTTYSEFECYELLKRIHEGNRSTGGLKFVTNCYGIVGFVKFLGPYYMLLITQRRKIGTICGHAVYSIAKSEMIPIPSSTVLSNMAFSKNENRYKKLLRTVDLTKDFFFSYSYLIMLSLQKNLSNHESGLVLYETMFVWNEFLTRGIRNQLKNTMWTVALVYGFFKEVSLSVCEKDFKLILIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVVENVPEGYSVQISSIVQNRGSIPLFWSQETSRLNIKPDIILSRKDPRYEATKLHFENLIKRYGSPIIILNLIKTLEKKPRESILRTEFANAIDSINKKFSEENHLKFLHWDLNKFTKNKATSVLMALNKVSANALELTGFFYCQVTPASRTAELLNFPCARNKGNGDGAEKDHHNAVRDTDCLETGSNCSTDDLLGVQSIKCPTFQRGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYIDVENIALGSPLGDSLMKIYEEMGDTLALQYGGSAAHNKIFSERRGQWRAATQSQELIRTLQRYYSNAYMDAEKQDAINVFLGHFQPHDGKPALWELDSDQHYPVGRHSLAFGSIRSRIKRSFSDGNIICPTNLPVEDTSNKQQEAYEPPLPVQSTPDVSTCESEMPYSRSLY >itb12g05860.t1 pep chromosome:ASM357664v1:12:4366161:4367677:1 gene:itb12g05860 transcript:itb12g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMFQRLIYYLSEQPSIVGFRWSHSQSWGNTWSFVFTSIAAYVGISLVLHLFLLLLFRHRRPLPLGPIPALHSLAMALISLTIFAGILLSTAAEIRDTRWFWRRSKTTPFQWLLCFPLGTRPSGRVFFWSYAFYLSRFLHTLRTFFTILRRRRLSAFQLFNHSILIFMSFLWLEFSQSFQVLAILLTTSVYSVVYGYRFWTAIGLPSACFPFVINCQIVLLGCNLLCHVGVLLLHFIKGGCNGIGAWLFNSVLNAAILLLFLNFYLKMHLPKRRNSIAINGHFLSVKDKDV >itb15g03340.t2 pep chromosome:ASM357664v1:15:2130642:2133176:1 gene:itb15g03340 transcript:itb15g03340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEPILWLIFFLLNIALIALILYQIVCLSDLEADYMNPYESSSRINNVILKEYVLQGVFCILFLVTGHWFLFLLTVLPTYYNLKKFLSRQHLIDVTEVFRAIDFEKKLRIAKLAFYLIFFIVVIVRFSNAGAFSTVLSVLRSNSKDLDVRSSVLEF >itb15g03340.t1 pep chromosome:ASM357664v1:15:2130642:2133176:1 gene:itb15g03340 transcript:itb15g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEPILWLIFFLLNIALIALILYQIVCLSDLEADYMNPYESSSRINNVILKEYVLQGVFCILFLVTGHWFLFLLTVLPTYYNLKKFLSRQHLIDVTEVFRAIDFEKKLRIAKLAFYLIFFIVVIVRLVISVIRCLIDDEHEDLHFGVFSSSPVFR >itb12g06410.t1 pep chromosome:ASM357664v1:12:4785925:4787372:1 gene:itb12g06410 transcript:itb12g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKPHIIAIPYPAQGHVIPLMELCLCLVKHGCKVTFVNSEFNHKRIIESMSEADDADNVINLVSVPDGLAVEEDRNDLKKLTEALFEVVPGKLEALIHSINESDENRVSCVIADENLGWALELAKKLGLQRVAFWPAAATSLTMMFNVPKLIDDGIVGKNGEILKKQSIKLLPHMPAMNTTDLIWNCFSDPGLQRLVFDLAFKNTESVKAAEWLLCNSSQVMESDVFAFYPNLIPIGPLLANNRLGKTSGHFWREDTDCLKWLDQQPLNSVIYVAFGSFTIFDMAEFQELALGLELTNRPFLWVVRQGFIEEAGNPYPEGFIDRTRNRGRLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVSNGLPFLCWPYFADQLFNKSYICDVWKIGLGFDRNENGVIGRQEIENKVEQLFGDENFKARAVDLQAEVLASVKRGGSSYRNFSSFVNWIKAKN >itb09g12850.t1 pep chromosome:ASM357664v1:9:8178707:8180443:-1 gene:itb09g12850 transcript:itb09g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITGIGAEVVVVMVPLPGQGHLNQALHLSRLVTSYNVPVFYTGAALHIRQAKHRIQGWDPLSISNLHFHEFPTPPFETPAPDPKTRYPTQILPAFNAALLLRDPLRQFLSDLSKKARRVVVIYDSMMAFNVQDIPSIPNAESYSFQPISAISIYTFHWLSLQRPPLPPEAEILNELGNFETFVTPEVLEFVKIQDDALKFNSGTLFDTCRVIEGAFLDLLAKDPSAGTGQLWAIGPFNPVILPENKDPSTRHKCLAWLDKQEENSVIFVAFGSTTTLSDEQNKELAIGLAKSEQKFIWVLKEGDKGDVFAADKRRAYLPEGYEDEIKDRGLIVRDWAPQLDILAHPSTGGFMSHCGWNSSMEAISMGVPIATWPMHSEQPWNAALITKVLKTGVEVDDCTSEEMVSSQRIADAVKRLMASPEGDEMRRRAEEIRGAIMLSVKDGGAVPREMDSFISHITRE >itb13g10930.t1 pep chromosome:ASM357664v1:13:15856588:15857025:1 gene:itb13g10930 transcript:itb13g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRSLFLTFLVPTNSFGRDILRCHDAPIPMAIPLILLALGSLFVGYLAKV >itb02g13090.t1 pep chromosome:ASM357664v1:2:9098964:9106826:1 gene:itb02g13090 transcript:itb02g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNYLRQESTKFLNWFKQSLIYDRQKPWWQQILDPNSDFILRWNHIFLVTSLVGLFIDPLFLLLPRIRKNKCMNVDIPLGYTLIFFRSFADVFSIVQIAMKFRTAFVSRTSRVFGKGELVLDKRKISIRYLKTDFAIDLAATLPLPQILIWFIMPLKKSPTAAHANHAITLVIMLQYVPKLLVIFPLNWKIIKNTGVVAKTAWSGAAYNLLLYMLASHVLGAVWYLMSVERHFSCWKMECKKQGKKCNALFLDCSYITMHRRRGWARSTKVFKHCNAKTTPNGFEFGMFADAYNDQVASASFYERYFYCLWWGLRSLSSYGQGMDTTTNTVETLFSSLICLMGLVIFALLIGNMQSYLQSMSTRLEEWRIKRRDTEEWMSHRQLPQDLQERVRRFVQYKWLATRGVEEEEILRSLPLDIRREIQRHLCLALVRRVPFFSQMDDQLLDAICERLVSSLNTKDTFIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLKPGDFCGEELLTWALMPNVNLNLPSSTRTVKSLMEVEAFALRAEDLKFVANQFRRLHSKKLQHAFRYYSHQWRTWGACFMQAAWRRYKRKKLAEELARQESMSYMQYTEENEFGEGEEGGGDPAATDANAQHLSATILASKFAANTRKGAAGHGQKVRVDPAADPSLRMPKLFKPNEPDFSSNRQPTRPGDDFELD >itb01g35550.t1 pep chromosome:ASM357664v1:1:37692903:37695374:-1 gene:itb01g35550 transcript:itb01g35550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVKTKLPVPESVLKKQKRNEEWALAKKQELSAAKKKSAENRKLIFNRAKLYSKEYEEQEKELIRLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPRTKKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNLKSVRELIYKRGYGKLDKQRIPLTDNSIIEQALGKHGIICVEDLIHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDFINELIRRMN >itb12g01170.t1 pep chromosome:ASM357664v1:12:844542:847978:1 gene:itb12g01170 transcript:itb12g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKIQIKKIDNATARQVTFSKRRKGLFKKAEELSVLCDADVALIIFSSTGKLFDYASSSMKGILERRNLHSKNLEKMDQPSLELQLVENANHSRLSKEIADMTHRLRQMRGEDLQGMSIEELQQLERSLETGLSRVIEKKGEKIMKEINELQQKGMNLMEENERLTQQVMAISNGQRVTPVINSDNMLNEEGLSSESITNVCNSTSPPQDYDDSSDTSLKLGLPY >itb15g22050.t1 pep chromosome:ASM357664v1:15:24730835:24732097:-1 gene:itb15g22050 transcript:itb15g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTESLPDSPVSVVNCGGGGEVSGPESKKMKRIRDSSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGSSAILNFPDLAGILPRPDSLSPRDIQSAAAKAAAMEKFDLPSPSSHSSSSSSSSLSSLVSAIDLATSEELSEIVELPSLDTSFDSLGLGGEIVYVDSVEEWLYPPPWLGGAADDGGGGPGIISSSFESSLWDY >itb04g29190.t1 pep chromosome:ASM357664v1:4:32658441:32662496:-1 gene:itb04g29190 transcript:itb04g29190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCRTSDEHGLFTAVQCGDVETVETVLGREPGLIHHTTVYDRHSALHIAAANGQIEVVTVLLNRSVNPDLLNRHKQTPLMLAAMHGKIDCVQKLIEAGANILKFDSLHGRTCLHYAAYYGHSDCLKAILSSARTSHVAASWGYARFVNVRDGKGATPLHLASRQRRPECVHMLLNNGALVCASTGNYGFPGSTPLHLAARGGSLDCIRELLAWGADRLQRDACGRIPYTVAFRHNHRTCAALLNPSSAEPIVWPSPLKFISELNEEAKALLERALMDANKEREKNILKGTSYMLPSPSHSEAGIEDCISEASDSELCCICFDQLCTIEVQDCGHQMCAQCVLALCCHNKPNPTTTVTAAPLCPFCRSSIAQLTVIKVNNDDSDHDAYSKVRKSRRSRNLSEGSSSFKGLSAVGSFSKVTNRGSGRIADENDMIDKPLSLD >itb04g03750.t1 pep chromosome:ASM357664v1:4:2352637:2354360:1 gene:itb04g03750 transcript:itb04g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNKNLQETQNPSSSMDFPLHFRCPISMEVMKDPVTISTGVTYERKNIETWFHTYNKKTCPATMQSIERLEMTPNHTLKRLIHAWRQSSPSSSSSSKRDELVSLLSAVETTPFKVSCLKKLRTVLEVGDDDVKDDFKRLGGVEVVVTIMQQILVESSDFVAFRACEEAVGVLHKLPLMEESDSAMTKRVLFTPELIKSMAVMLQRGSAEARYSAIATFQKLSRADSDEWNAMVQEQGIEFFKSLLEIISDDYASAKASSSALQLLIDILDGSKRARLKATEAGGVCTLIDLLPDAAGSKCEKIMYLLKLLCESADGRLALAEHGLGIAAVSKKMLNVSTAATKIGVKILALICGSHPAERVLEEMLMYGAVKKLVALLHIGGASSTKDRAVKIFKMHGNTWKRYPCFPCDLKDYLGLGTTNSC >itb13g03040.t1 pep chromosome:ASM357664v1:13:3006374:3008895:-1 gene:itb13g03040 transcript:itb13g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGAGAGGGVPQLFRALAVGASVLVGALYTVGAVAGSIHRRNSALKREKHGKPCGVCKGRGYYTCKLCKAKGTIQWSPLYDPLVINPCVCPTCEGNKVQKCLNCLGFGLV >itb04g17500.t1 pep chromosome:ASM357664v1:4:20419060:20422595:1 gene:itb04g17500 transcript:itb04g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVCLTISNTFATRIGIIPRFDSPKLNSRSSLSFLSFSAHNFVSLKHSSPMDTSFQVKASSSATDATTEGLDASSGVAIGANDLLIVGPGVLGRLVAEKWREEHPGSQIFGQTITTDHHDELIQMGIKPSLKENKLSDKFPYVIFCAPPSRTEDYPADVKEAALKWNGEGSFLFTSSSAPYDCFDNGPCNEDSPDVPIGRSPRTDVLLKAEKAVLEFGGSVVRLAGLYKADRGAHTFWLSKGTSEIRPDHILNLIHYEDAASLAIVILKKKLHGRIFLGCDNHPLSRQELMDLVNKSGKYSQKFKGFTGTSDPLGKKLNNSNTRTELGWEPKYPSFAQFLGVSE >itb04g17500.t2 pep chromosome:ASM357664v1:4:20419060:20422595:1 gene:itb04g17500 transcript:itb04g17500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVCLTISNTFATRIGIIPRFDSPKLNSRSSLSFLSFSAHNFVSLKHSSPMDTSFQVKASSSATDATTEGLDASSGVAIGANDLLIVGPGVLGRLVAEKWREEHPGSQIFGQTITTDHHDELIQMGIKPSLKENKLSDKFPYVIFCAPPSRTEDYPADVKEAALKWNGEGSFLFTSSSAPYDCFDNGPCNEDSPDVPIGRSPRTDVLLKAEKAVLEFGGSVVRLAGLYKADRGAHTFWLSKGTSEIRPDHILNLIHYEDAASLAIVILKKKLHGRIFLGCDNHPLSRLSC >itb07g06280.t1 pep chromosome:ASM357664v1:7:4421469:4424370:-1 gene:itb07g06280 transcript:itb07g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSPPILKLLFSNSALLLRSSSTTITYFSSSSAPSSSAFSHFLKKCKSSIKAKLIVAGGLSADPETACLHLVFWWNNLIKRGVLLRSYEHAVLLFREMLRLQWTPDGYTFPYVLKACGELCSFRRGESVHAFCVVTGNDANVFVCNGVIAMYGRCGALDHAHKVFDEMIVRGIDDVISWNSIVAAYAQSQEFRRALGLFGSMIARCDIELRPDVISLVNVLPACAPAKTWRLGKQIHGYAIRRGLYEDVFVGNAIVDMYAKCEQMNEANKVFERMEVKDVVSWNAMVTGYSQIGRFTEALGLFERMRDQEIELNVITWSAVIAGYAQRGFGYEALNVFKEMRLSRTRPNIVTLASVLSGCAAVGALRQGKETHCYAIKQMLSLEGNDPEEDLMVINGLIDMYAKCKNVEIARAMFDAIDCKDRNVVTWTVIIGAYAQHGDANEALELFSVMMKDDNYSIIPNGFTISCALMACARLASLRVGQQIHTYVLRNHYENCMLFVSNCLIDMYAKSGDIDKARKVFDVMTQRNTVSWTSLMTGYGMHGRGDDALQVFEKMRQAQLVIDGVTFLVVLYACSHSGMVEKGMNYFNNMTRDHGVIPEIEHYACMVDLLGRAGRLDEAMKLIQDMPIEPTSIIWFSLLSACRVHKNVEIGEYAAAKLSELEAENDGSYTLLSNIYANARRWKDVARIRSLMKHSGIKKRPGCSWVQGKKGTAVFFVGDRRHPMSDKMYNLLADLIHRIKGMGYVPETSFALHDVDDEEKGDLLFEHSEKLALAYGILTSAPGMPIRITKNLRICGDCHLAMTYISKIIDHEIILRDSSRFHHFKNGSCSCRGYW >itb11g23110.t1 pep chromosome:ASM357664v1:11:25053004:25054893:1 gene:itb11g23110 transcript:itb11g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAMAMLQSWGSAGTAIASFLFVWDMIRRHLPPELLRVLERWTRKLRVVFNPYVQISISEYMNRNLKPHDAYAAVEAYLSVNSVKEAKRLKAEMITGADKLVLSMDENEKVNDEFHGAKVQWISGKLEARQREHYPEVDKRYYKLIFHKKHREMITGPYLDHVVRTGKEIQTRYKKQKLYTNSHSKTTWSHIVFDHPASFEKLAMDRERKQEIVEDLITFREGREFYERIGKAWKRGYLLYGPPGTGKSTMIASMANLLNYDIYDLELTSVRDNTDLRRLLAETTSKSIIVIEDIDCSLDLTGKRKKILEKKTEGGTEKSKKEALSKDTEDGSSRVTLSGLLNFIDGLWSACSGERIIVFTTNYVHKLDPALTRRGRMDKHIELSYCSFEGFKVLARNYLGLESHPLFESIRLLMKETRITPADVAENLMPKSRKEVAERCLRNLVQTLERAKEKQRSDKGKEELEDNEPITEDKLEEKQETRNADETIELNAV >itb08g01850.t1 pep chromosome:ASM357664v1:8:1498370:1499410:-1 gene:itb08g01850 transcript:itb08g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNRQMGRHPDTLGVNKMGKNIKKSPIHQPNFSNANVGGAGGARPQPQPQVYNINKNDFRSIVQQLTGSPLREPAPRPPNAPKPQNTRLQKIKPPPLTPINRPQLPIRPPPQMVGPPQGPTNVPYNNSFARPPPPPPQYGQPPSPVLPPFTPTDIWANTAESPISAYMRYLQTSIVDSGPRQQTHLPGQPNFQPQGPMHGQPHPPPSGLLPNPPVPPIPSPRYGLPSPRMNAAAPPFPSPRMNGAPSPLPSPGINGPPFLPSPTSQFLLPSPSGFLNLLSPHSSYPLLSPGMQQPPPLSPNFSFSPIGQPGILGPGPHPPPSPGYGFPLSPSGFFPITSPRWRA >itb11g12800.t1 pep chromosome:ASM357664v1:11:9762493:9765435:-1 gene:itb11g12800 transcript:itb11g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRICGSDLRWLLFLLIIVQYLNGSRSCFENERNALLSFKHTIISDPFSSLSSWGASRDDDCCKWKGIGCDNTTGHVVALHLEHEGLQDWLQSITKLSLLRTLSLSSCLLPSPPSSLLHINSSTLLEDLSLQDGNIATFPLLNLWLNQSYFLQYLDLSGNSLVGGNKDIKFLRHLGKLKTLDLSYNSFSFNFSEPILGSEKLIEILKLNDNKIVGSISEISFSNFSKLDELILSDNFLIVNLGTHWIPPFQLRSLSLRSCELGPKFPDWIQTQTELNLLDISNNGISDLIPQWLTNLSNLNYLSASQNRIQGKLPNLPPLVLIVDFRSNLLEGPIPKNYSRGPDLLLSKNKLSGTISTLCTNFSKHYSVLDLSNNFFREKIPECLWKFNEWYILNLANNNFFGEIPLSIGHLNSIESLNLRNNRFYGKFPVSLKNCTSLVVLDLGNNYLTGGIPASIWESLEVLKILCLNSNELKGSIPINICQLHSIRIMDLSSNHFSGSIPTCFNSLMTTDDERMPELYFDTFEDPMEEVFFDSEWLIWKGIEREYGKNLKFMKFIDLSSNKLVGEIPIEITDLHMLNSLNLSRNKLTGSIPDKIGQMSSLESLDLSNNQLSGAIPFSMASISFLAHLDLSNNNLSGCIPLGPQLQGFTEAYQGNSKLRGPPLQTKCHRDEPGNAPQQGKIDEIEDDEYWIIWDFDFFVSMALGFILGFWGVCGTLILKRSWRHAYFQFLEDKKENIFTAILVYGAKLKRGMGAS >itb11g12800.t2 pep chromosome:ASM357664v1:11:9762493:9765435:-1 gene:itb11g12800 transcript:itb11g12800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRICGSDLRWLLFLLIIVQYLNGSRSCFENERNALLSFKHTIISDPFSSLSSWGASRDDDCCKWKGIGCDNTTGHVVALHLEHEGLQGEISPSLLHLPFLNFLDLSSNEFETIPSFIGSLDKLVYLDLFFNNFSGNVPPHLGNISTLKYLDLGSYFYSPLKVVDTLEWISHLSSLEYLNMDYVDLHSVSDWLQSITKLSLLRTLSLSSCLLPSPPSSLLHINSSTLLEDLSLQDGNIATFPLLNLWLNQSYFLQYLDLSGNSLVGGNKDIKFLRHLGKLKTLDLSYNSFSFNFSEPILGSEKLIEILKLNDNKIVGSISEISFSNFSKLDELILSDNFLIVNLGTHWIPPFQLRSLSLRSCELGPKFPDWIQTQTELNLLDISNNGISDLIPQWLTNLSNLNYLSASQNRIQGKLPNLPPLVLIVDFRSNLLEGPIPKNYSRGPDLLLSKNKLSGTISTLCTNFSKHYSVLDLSNNFFREKIPECLWKFNEWYILNLANNNFFGEIPLSIGHLNSIESLNLRNNRFYGKFPVSLKNCTSLVVLDLGNNYLTGGIPASIWESLEVLKILCLNSNELKGSIPINICQLHSIRIMDLSSNHFSGSIPTCFNSLMTTDDERMPELYFDTFEDPMEEVFFDSEWLIWKGIEREYGKNLKFMKFIDLSSNKLVGEIPIEITDLHMLNSLNLSRNKLTGSIPDKIGQMSSLESLDLSNNQLSGAIPFSMASISFLAHLDLSNNNLSGCIPLGPQLQGFTEAYQGNSKLRGPPLQTKCHRDEPGNAPQQGKIDEIEDDEYWIIWDFDFFVSMALGFILGFWGVCGTLILKRSWRHAYFQFLEDKKENIFTAILVYGAKLKRGMGAS >itb07g02530.t1 pep chromosome:ASM357664v1:7:1638872:1639451:1 gene:itb07g02530 transcript:itb07g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEIMPAGCGKKWDDQSFGQVVGIVVHHNSSCFKSLRFIYVKDNVRQQLSKTYGEIGGKCEMIMLDYPTEFFIAVNGCYSVGDRIRCVTFVTNKATYGPFGQQRNNGSPEFSFKIAGNGPRNWISGFYGTVYDGDVETIGVYVQTETVSQPASFKSE >itb01g03530.t1 pep chromosome:ASM357664v1:1:2319540:2319842:-1 gene:itb01g03530 transcript:itb01g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSPQLIFACTLILLMLWEMPSLSSACDTDSGGCRDCIVKHTMKDCPKCSTIMCCMAQCLWGGSSRSKCSKKCDCNGGYPRLSDCKNCLSPCKCSCSA >itb09g03150.t1 pep chromosome:ASM357664v1:9:1774389:1777381:1 gene:itb09g03150 transcript:itb09g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGGYAASVTVPASKPKLGSSSTHTPSSTLPFSVPFRCRRLKPLVYRTGSLNPRCDGGPNSLVTSEEKRSSLSALELLKTSAADRYTKQSSSIVVIGLNIHTAPVEVREKFAIAESDWPRAINELCSLNHIEEAAVLSTCNRIEIYVLALSQTRGVKEVTEWMSKTSGVPIPEISQHRFLLHDMDAIQHLFEVSAGLDSLVLGEGQILAQVKQVVKTSQGASGFGKKISGLFKHAITVGKRVRSETNISTGSVSVSSAAVELVQMKLPENSYATARVLVVGAGKMGKLVIKHLVAKGCRQMVVVNRTEDKVAAICEELKDVKIAYRPLSEILACASQADVVFTCTASDAPLFSKDNVQALPPVSDQLGGQRLFVDISVPRNVEPSVSDLEATNVYNVDDLKEVVAANKEDRLRKKLEALAIINDEVKQFEAWKNSLETVPTIKKLRAYAERIRASEVDKCLSKMGNDIPKDQKKAIYDLSLGIMNKLLHGPMEHLRCDGDNRPLSEILDNMHALNRIFGLDTDTSLLEEKVRAKLEQNQKQAS >itb06g20080.t2 pep chromosome:ASM357664v1:6:23172722:23175119:1 gene:itb06g20080 transcript:itb06g20080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATKLQSPFLCCPLKLSSSPSLSLSQKPFKTQRSPPSFPCIRADLDQNTIVAISVGFVSIAVGIGIPVFYETQIDNAAKRENTQPCFPCSGSGARKSPKEPFIVVAMEECNMLQLKV >itb06g20080.t1 pep chromosome:ASM357664v1:6:23172722:23175119:1 gene:itb06g20080 transcript:itb06g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATKLQSPFLCCPLKLSSSPSLSLSQKPFKTQRSPPSFPCIRADLDQNTIVAISVGFVSIAVGIGIPVFYETQIDNAAKRENTQPCFPCSGSGAQKCRLCMGSGSVTVELGGDEKEVSQCINCEGVGSLTCTTCQGSGIQPRYLDRREFKDDD >itb12g17150.t1 pep chromosome:ASM357664v1:12:19059189:19063883:-1 gene:itb12g17150 transcript:itb12g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDNHSVNGFSIPADTAGKIITCKAAVAYSPGQPLIIEDVLVDPPQKLEVRIKILFSSICHTDLSAWKGESEAQRVFPRILGHEASGVVESVGEGVTEMEAGDLVVPIFNGECGDCAYCKSTKTNLCKKFRVNPFKSVMAGDGKCRFSTKDGNPIYHFLNTSTFSEYTVLDSACLVKIDPLAPLKEMTLLSCGVSTGLGAVWNTADVQAGETVAVFGLGAVGLAVVEGARTRGASRIIGVDINAEKCIKGKAIGITDFINPMELDKPIHEKIREMTEGGVHYSFECAGNLDVLREAFLSTSDGWGLTVILGIHPSPRLLPLHPMELFDGRRMVASVFGDFKGKSQLPLFAKQCMAGEVKLDEFITHEMPFSRINDAFQLLVHGKSLRCLLHF >itb04g24130.t1 pep chromosome:ASM357664v1:4:28911915:28913170:-1 gene:itb04g24130 transcript:itb04g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSHLLKTSLDFNLQDVDELLQWNGLRSEKCLEPWRCYCVFVALIYTVDLSQIRGAPLYSALSPARLSSLPLLFSLCLCVLKALVTHSPKPLKANWILNYASAIENLMNCFDLRI >itb05g20440.t1 pep chromosome:ASM357664v1:5:26517471:26519857:1 gene:itb05g20440 transcript:itb05g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIIFFFSFRLLIITLLLPFLVVGFDDCKESRCRRGGPGIHFPFKLKHEQAAQNCGYPGFELSCDNNGNTVIELPHEVQLQVDQIDYASQQIFLSDPDACLSGKMLLHLNLSLSPFQYSGSLHWYDYSLFNCSASNDSGATISDGLIPCLGVPGHQIYAIFSSQSIDEFPSSSCVKFREITFFYPLEDTLQLNWTSPSCFNCEAQGKDCRLKNHNNITLGVECLIRPKKTGGSKNPIIIAGAILGFFLLAFVSFGAYQFYTTGKTQKQNQKRVEMFLEDYSAMRPTRYSFADIKKITNQFSERLGEGGYGIVYKGKLSSEIHVAVKVLNDSKGNGEEFINEVGIIGKIHHVNVVRLVGYCADGFRRALVYEYLPNESLEKYIFSTAGGSKNVAPLGWKKIQEIALGIAKGIEYLHQGCDQQILHFDIKPHNIILDHNMNPKICDFGLAKLCSKEKSAVTMTAARGTMGYIAPEVVSRNFGKVSHKSDVYSFGMLLLEMVGGRKNFDANKGTNASQDSFPEWVYNHLNRGGELRIRIEEEEDEAIVKKLAIIALWCIQWQPVDRPPMKVVVQMLEREGQDLVLPSSPFVATNVNDVPPILAETFYSNQF >itb03g02420.t1 pep chromosome:ASM357664v1:3:1380714:1381265:1 gene:itb03g02420 transcript:itb03g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTESTELHYLLAPNQNQLPTRSNLSNNPNSPFQHFPLTLPNPSYHPLLQMNPQFQDLIISHPACNFSSNSTSDEAEEHHQQQSLINERKQRRMISNRESARRSRMRKQRQVDELWSQVVWLRNENHQLSDKLSHATERHDQAVQENVQLKEEATGLRQMITEIQLHSPFPAAGCTLKDIHI >itb03g00170.t1 pep chromosome:ASM357664v1:3:87002:88980:1 gene:itb03g00170 transcript:itb03g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNRLRLAARFSCPSNRAYGSAAAVQLDYDFDYYDNEDNNAGGYSNRTPRIMEDSDGLVPVRGVQWVIIGDPMAKRNVYAQWLSKLLDVPHISMGSLVRQELHPHSSLHNQITSAVNEGKLVPAEVIFRLLSKRLEEGYCRGENGFILDGIPRTKVQAEILDQVVDIDLVLNLKCQEDSMVKKCASSGVHPCQEFLCMTTSKFDLGPQPDDGNLKFTNYSDGAWREKLRVYAEQSQPLEEYYRKQRKLLDFQVSGAPGETWQGLLAALHLQHMNVVSSSQKLTA >itb12g26320.t1 pep chromosome:ASM357664v1:12:27282889:27286792:1 gene:itb12g26320 transcript:itb12g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVSSSAARSPLGLSTRFSSRLCALKRPLVLSFKANKPKNAALVPPDEATSLSLETSKESKKRLGRAKKRSDRIHAVSTGEASPSTLDLDYNDVAAKLEKLYIHSPEPTTSGIGSEGCIVKRSCQRKKTKRLSLDKRVALRNMKGGEIVASSHERKLKEETEEEKINKLVREYSVGTDLVSMDWKKMKIPAVLASSEHAWLFKLMQPMKVILQVKEHLQNDLGREPSGVEIADATNVDIVLLRKILEAGRAARNKLIKHNLRLVLFVIKKYFQDFANGPKFQDLCQAGVKGLITAIDRFEPKRKLQLSTYGLFWIRHAVIRSMTLSSFIKVSFGLESVRAEIQRAKLELLFELQRMPTDDEITERVGISTDRYHEVMKASKPVSSLHARNRVTQEELINGITDLDGVEGDKRQQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYIF >itb10g20490.t2 pep chromosome:ASM357664v1:10:26051300:26057203:-1 gene:itb10g20490 transcript:itb10g20490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALTYGGFCFRGCASVSVTYDHKALVIDGKRRVLQSGSIHYPRATPEVWPDIIRKAKEGGLDVIESYVFWNYHEPVRGQYYFEGRFDLVRFVKTVQEAGLYVHLRIGPYACAEWNYGGFPMWLHLISGIQFRTTNGLFMNEMKRFLEKIVNLMKDENLFASQGGPIILAQVENEYGNVEGSYGTAGELYVKWAAETAVSLNTTVPWVMCQQGDAPDPVINTCNGMYCDRFTPNSKSKPKMWTENYSGWFLAFGYPVPYRPVEDLAFAVARFFETGGSFQNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHLAIRHCEDYLVHANPKRVSLGPNLEAHVYYKTSKKCAAFLANYGSTKDATVKFKGKSYFLPAWSVSILPDCKNVVFNTARVTSQKTLGSTFTENPIATMSSLASSTWGWFKESVGVSGNGSFVASSLLEQINTTKDQSDFLWYTTSINVDQNIIERGKTKEAELTISSLGHAALVFVNKKLIGFGYGNHDVPDFKFSEKIRLNEGTNTLDILSMMIGLQNYGPWFDIQGAGIFSVVLGDHKSSKKDLSSAKWIYQVGLEGEHIGLDKTALANSSLWIHGNSLPVNQSLTWYKTMFLAPEGSGPVSINLGSMGKGQAWINGQSIGRYWSAYHSSPTGCSKKCDYRGAYDPFKCINKCGQPAQVLYHIPRSWLHPGENLLVLHEELGGDPSKISLATRNGEEICAHISQADPPPVGSWKMNQAFESQHPELQLSCEGGWKIGSIRFASFGTPGGECGTLSPGNCHSDVTSILHQVCVGKTQCSIPVTAANLGDPCPGVIKSLAVKAICSA >itb10g20490.t1 pep chromosome:ASM357664v1:10:26051300:26057203:-1 gene:itb10g20490 transcript:itb10g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNFLLIILFLVSAYGGFCFRGCASVSVTYDHKALVIDGKRRVLQSGSIHYPRATPEVWPDIIRKAKEGGLDVIESYVFWNYHEPVRGQYYFEGRFDLVRFVKTVQEAGLYVHLRIGPYACAEWNYGGFPMWLHLISGIQFRTTNGLFMNEMKRFLEKIVNLMKDENLFASQGGPIILAQVENEYGNVEGSYGTAGELYVKWAAETAVSLNTTVPWVMCQQGDAPDPVINTCNGMYCDRFTPNSKSKPKMWTENYSGWFLAFGYPVPYRPVEDLAFAVARFFETGGSFQNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHLAIRHCEDYLVHANPKRVSLGPNLEAHVYYKTSKKCAAFLANYGSTKDATVKFKGKSYFLPAWSVSILPDCKNVVFNTARVTSQKTLGSTFTENPIATMSSLASSTWGWFKESVGVSGNGSFVASSLLEQINTTKDQSDFLWYTTSINVDQNIIERGKTKEAELTISSLGHAALVFVNKKLIGFGYGNHDVPDFKFSEKIRLNEGTNTLDILSMMIGLQNYGPWFDIQGAGIFSVVLGDHKSSKKDLSSAKWIYQVGLEGEHIGLDKTALANSSLWIHGNSLPVNQSLTWYKTMFLAPEGSGPVSINLGSMGKGQAWINGQSIGRYWSAYHSSPTGCSKKCDYRGAYDPFKCINKCGQPAQVLYHIPRSWLHPGENLLVLHEELGGDPSKISLATRNGEEICAHISQADPPPVGSWKMNQAFESQHPELQLSCEGGWKIGSIRFASFGTPGGECGTLSPGNCHSDVTSILHQVCVGKTQCSIPVTAANLGDPCPGVIKSLAVKAICSA >itb06g02310.t1 pep chromosome:ASM357664v1:6:4074765:4075634:1 gene:itb06g02310 transcript:itb06g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSPFSWLPPLNSHPNYVIHITAQQQPYVDQDFSSNSPNPEFAVDFHVRVDFYSEDQTKKIPIPGLDLHRLFYVPCSPWNSKGIDESDVDSMLSFMRIPFPMNKLLWENTTSNPEIMVPLESKDDLLKTFLGFMNALKNQPANRDLMFLPVSLRIVKKVTISDFEFEAWVSWQEEQARVIPNFDEEYKKAIGRLRLPAELPDREMQPKPATASAVAALESWEVAGGGGSAITCSICLEEVVFGMKATGMPCSHVFHGDCILKWLKGDHTCPVCRYSLPFTLPSRFH >itb14g19000.t1 pep chromosome:ASM357664v1:14:21808297:21810469:1 gene:itb14g19000 transcript:itb14g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDETQERVASSSSPSWKERILVPTILAGIAGGGAGLVSKHRKVHGLANISATYATNFAIVTGCYCGAREFVRVSRTGKPDDLLNSVIGGFGSGALLGRLQGGQLGAIRYSVAFAVVGTSVDFATLKLKPVLRRYYNSAVGSKDSWLKLPEWSPIQVLDEEALAAKHAREQEIYRRVHNLNKEES >itb10g03470.t2 pep chromosome:ASM357664v1:10:3193293:3194683:1 gene:itb10g03470 transcript:itb10g03470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTIKWFKHTTCSPVLWVVAQGGDPERCEIERDDESVEAEPEPPKEVKRRRRCSETEKDDERSERPRQTATEKVGRVRMFWVRHAIGAVPLSAVAVAEQGWSRWRTGGVGLVAVAEQSWSRTRGRGAGMVAVAVSVSEQSWASSPSRSRAGPRHRRRRPSRSRAGGVVAVAVAVAMRLVDRQLQFTESQRGGKGIRDLGVLILLPTTICIRALNFFF >itb10g03470.t1 pep chromosome:ASM357664v1:10:3193293:3197310:1 gene:itb10g03470 transcript:itb10g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTIKWFKHTTCSPVLWVVAQGGDPERCEIERDDESVEAEPEPPKEVKRRRRCSETEKDDERSERPRQTATEKVGRVRMFWVRHAIGAVPLSAVAVAEQGWSRWRTGGVGLVAVAEQSWSRTRGRGAGMVAVAVSVSEQSWASSPSRSRAGPRHRRRRPSRSRAGGVVAVAVAVAMRLVDRQLQFTESQRGGKGIRDLGVLILLPTTICWSGRRRWAKAEGGGDTRQTANAEGRQKGSAVERAVCGLSGKGRGVFALWSLA >itb03g29800.t1 pep chromosome:ASM357664v1:3:31005537:31007143:-1 gene:itb03g29800 transcript:itb03g29800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVFSTNTPSWRHKSVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFLSNSSALNSLFSCENLK >itb05g06350.t1 pep chromosome:ASM357664v1:5:6763112:6767718:-1 gene:itb05g06350 transcript:itb05g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSFIVLLLVILNVLATSSAERKLYVVYLGEHSGGKSFQEIEDHHCSFLNSVKGSTEEAKAEELLHKARGGKDVIVGIMDSGVWPESPSFSDEGMEPVPLSWKGICKEGHAFNSSHCNR >itb11g02940.t1 pep chromosome:ASM357664v1:11:1503067:1504856:1 gene:itb11g02940 transcript:itb11g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTPNKLSIKKDYFGGGIRERREISNPNPNPLKGYGYGRRRSGKGLVFVCGWRFLSHFFFGFLLVSCFLPSFVSASSPTAIFGTAPPPINPVRTGGFDRGVVIVVVSVSVLILVCVMAIFACLRVKARRRRAAVEGEGVEVVVAGEAEKAAAVRRFSREEVERLAANFARSRVIAYGGFSTVYLGKFSDSTPAAMKIVDGSSERLQRIFRQELEILLKIRHDNIVKILGFSEDSENGALVLEYISNGTLHDKLHNSKTSHHLPWKNRITIAFQLAQALDYLHDHCDLQIVHGDLKASNILLDSRLNCKLCDFGSAKMGFSSTVLPPSSRGRMVQMIGSPGYADPHFLRTGIASKKNDIYSFGVILLELITGLEALSSDNNVRLISKVGPVLKDVSKVVGMVDRRLAGEYEVEEAMAMASLAGLCLSDPPSLRPSASDIIETMKNCVSSVAFPSSTDKML >itb04g18610.t1 pep chromosome:ASM357664v1:4:22162734:22164217:1 gene:itb04g18610 transcript:itb04g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRERRQINLRLPLPEPSQRRPRFPLPLPPSSSSTTTASSSTSSAAFSMSDFEKLHVLGHGNGGTVYKVRHKRTSAIYALKVVHGDSDPAVRRQIFREISILRCTDSPHVIKCHGTSDIPGGDIAILMEYMDAGTLESLMKSSQKKVFSEDSLAKIAKQVLLGLDYLHSHKIIHRDLKPANLLVNREMEVKIADFGVSKMMRRSLDPCNSYVGTCAYMSPERFDPDTNGGNYNGYAGDIWSLGLTLMELYMGHFPFLHEGQRPDWATLMCAICFCEPPSLPAEASPEFKDFIDSCLQKESSKRWSTQQLLQHPFVKDIEFKSQINSQN >itb01g34750.t1 pep chromosome:ASM357664v1:1:37291711:37293102:1 gene:itb01g34750 transcript:itb01g34750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGNSSGSTQILQNSGSEGDLQMQGAMNERKRKRMQSNRESARRSRMRKQKHLDDMVSQVAQLRNDNAQILNNINHTTQHYLNVERENSVLRAQVMELTHRLQSLDDMIASINSIYGIFDSSPAPAPEILTAPETFMANPWMYLNQPIMASADSMFQC >itb13g09660.t1 pep chromosome:ASM357664v1:13:13883828:13885072:-1 gene:itb13g09660 transcript:itb13g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGAGAHNLPADVTQLIDQLERHCLAPDGSLISKSTYYDLKLVVYIEAVGMVEEYQQALSVVNLGGIRDVQGHYPQIGLKTPPHVPHFCTVTNYIMRKMPVYEALEHRMVVAEAAQRLRLPLISKDGEVQDDEIEKWSILSRSSIDSSVTSVTVSSSSISTNFTNLSASGTAAAGISAPSSSASDASEPSIGGVPNRTWQNIRYCFHVRLGVG >itb06g10850.t2 pep chromosome:ASM357664v1:6:15351042:15353108:1 gene:itb06g10850 transcript:itb06g10850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLSSAPAPLSQEPLLLCKSLNPRHILQFALKPKPSYLPLRIYKPLRLQLTQVSQSPSNYQHPDEKVSSSSKGYVWINPKSRRASKLRQVSYDSKHSSLLSISRSLDSCSAIEEDVSSVLSALGGDAVEQDAVVILNNMSNPDTALLVMDYFRERMNFSQEVILYNVTLKVLKKSKDLSRAEKLFDEMLERGVRPNKITFLTIISCARISSLPEKAVEWFEKMPAFGCQPDSHTYSAMIDSYGKAGNVDKALSLYDYARAEQIHMDAGTFCTLIRIHAASGNFDGCLNVFEEMKKLGSKFNLVAYNNLLDAMGRAGRPEQCKNIYNDMIQNGFQPSWATYAALIRAYCRARYGGDALKVYKELKDKGVKLNVKLYNTLLAMCSYLGLVDEANGIYNDMKEMCKPDHRTFSLLITIYSCCGKVSEAEAILNEMVEAGVVPDIFVLTSLVQCYGKANRSDDVVRMFDRLSNLGLTPDERFCCCLLNVLQQTPKGEMHKLAACIEKASPKLGYIVKLLMEEENAEDELFKQEAAELLNSIGTDVRKPYCNCLIDVSVSLNRLQKACELLDLGITLGIYTDIQSKEPARWSLHLKSLSLGAAVTALHIWMNDLNKTIENGEELPLLLRINTGRGKHVYSEKGLAQGIAAHLRVLNAPFHEAPNLAGWFLATNIAVTSWLKSRHSEKIVAA >itb06g10850.t1 pep chromosome:ASM357664v1:6:15350916:15353322:1 gene:itb06g10850 transcript:itb06g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLSSAPAPLSQEPLLLCKSLNPRHILQFALKPKPSYLPLRIYKPLRLQLTQVSQSPSNYQHPDEKVSSSSKGYVWINPKSRRASKLRQVSYDSKHSSLLSISRSLDSCSAIEEDVSSVLSALGGDAVEQDAVVILNNMSNPDTALLVMDYFRERMNFSQEVILYNVTLKVLKKSKDLSRAEKLFDEMLERGVRPNKITFLTIISCARISSLPEKAVEWFEKMPAFGCQPDSHTYSAMIDSYGKAGNVDKALSLYDYARAEQIHMDAGTFCTLIRIHAASGNFDGCLNVFEEMKKLGSKFNLVAYNNLLDAMGRAGRPEQCKNIYNDMIQNGFQPSWATYAALIRAYCRARYGGDALKVYKELKDKGVKLNVKLYNTLLAMCSYLGLVDEANGIYNDMKEMCKPDHRTFSLLITIYSCCGKVSEAEAILNEMVEAGVVPDIFVLTSLVQCYGKANRSDDVVRMFDRLSNLGLTPDERFCCCLLNVLQQTPKGEMHKLAACIEKASPKLGYIVKLLMEEENAEDELFKQEAAELLNSIGTDVRKPYCNCLIDVSVSLNRLQKACELLDLGITLGIYTDIQSKEPARWSLHLKSLSLGAAVTALHIWMNDLNKTIENGEELPLLLRINTGRGKHVYSEKGLAQGIAAHLRVLNAPFHEAPNLAGWFLATNIAVTSWLKSRHSEKIVAA >itb02g15610.t2 pep chromosome:ASM357664v1:2:11353115:11355577:-1 gene:itb02g15610 transcript:itb02g15610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRMFSSYYHCILTFIIVFALFTISSAADCSQAFYSVVKTRKLGRCKKLASGAEFGWNYTDGGGNGTRQLEVLIGARVDGETGWLAWGLNPGPEPQMVGTRAIILIKSANGSAPLCDKYNVTASVKLGCTPLLPSDIDLDVTKFECGSLVKIGYYTIQASIDLPVIYNDSRLNHVWQTGDVADGAEPKMHHTSLRNFDSAEAFDLNTGKVSSFVMEKRQQMRLMLALRLKPAKKDEYRRHWNKYHHFLGYSLLVVIAYNIFKGISVLRQQEKAWRSGYAVVIAVLVSIFVGCEVYTWIRFCYLRKKDDKIHKNNEEEGDKIPKNNEEHQYDKGLTPPTRVDSSTHRQIPVN >itb02g15610.t1 pep chromosome:ASM357664v1:2:11353115:11355577:-1 gene:itb02g15610 transcript:itb02g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRMFSSYYHCILTFIIVFALFTISSAADCSQAFYSVVKTRKLGRCKKLASGAEFGWNYTDGGGNGTRQLEVLIGARVDGETGWLAWGLNPGPEPQMVGTRAIILIKSANGSAPLCDKYNVTASVKLGCTPLLPSDIDLDVTKFECGSLVKIGYYTIQASIDLPVIYNDSRLNHVWQTGDVADGAEPKMHHTSLRNFDSAEAFDLNTGKVSSFVMEKRQQMRLAHGIFNIVGWGTFLPAGVIGARYFKAFPFDARHWFSFHVGCQIIGYSMGVVGWGLGLWLGHVSHYYTFRTHRITAIFIFTFATLQMLALRLKPAKKDEYRRHWNKYHHFLGYSLLVVIAYNIFKGISVLRQQEKAWRSGYAVVIAVLVSIFVGCEVYTWIRFCYLRKKDDKIHKNNEEEGDKIPKNNEEHQYDKGLTPPTRVDSSTHRQIPVN >itb08g01240.t1 pep chromosome:ASM357664v1:8:921369:924464:-1 gene:itb08g01240 transcript:itb08g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALHARRLYDDNKIEGAREKGIEMEFHSDVKASFMGMLPLRSISRFWGFLTGVEFPIWLRPYVYRAWARAFHSNLEEAALPLEEYVSLRDFFVRTLKEGSRPVDSDPCCLVSPVDGTILRFGELKEAGGMIEQVKGFSYSVPKLLGATSFLSMTAEDDTEQKNNEKESSDMDANKKSWWRVSLASPKVRDPAPACPMKGLFYCVIYLKPGDYHRIHSPVDWNVLLRRHFSGRLFPLNERATRTIRNLYVENERVVLEGRWQEGFMAMAAIGATNIGSIELFIEPTLRTNKPQKNILHLEPPEERVYEPDGTGIRMKKGDELAAFNMGSTVVLVFQAPISSPSDFRFCIKKGERIRMGEALGRWHDS >itb13g14490.t1 pep chromosome:ASM357664v1:13:21077027:21079434:1 gene:itb13g14490 transcript:itb13g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLQKMAGSVRTGGKGTMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKEDVVIQFLNPKVQASIAANTWVVSGSPQIKKLQDILPQIIHQLGPDNLENLKKLAEQFQKQTTGTTDSADATSGANAPQEDDDDEVPQLVDGETFEAAADEGQK >itb03g20340.t1 pep chromosome:ASM357664v1:3:18264700:18265014:-1 gene:itb03g20340 transcript:itb03g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYVVKFHLGILGKSGKIQPNPNTASHIYNRTLKQIQKSQNQNSIFFSVLPIFPDSLSNPNNIAIQTVPLCITLTDSLISQITTAIQSIIQHLSEIPVSPEFP >itb06g22390.t1 pep chromosome:ASM357664v1:6:24689106:24691969:-1 gene:itb06g22390 transcript:itb06g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLQVLIILSISNICLGKDIDLISRTQFLKDGDTIISKGGTFVMGFFSPTNSSNRYVGIWYKQIPVQTVVWVANRDAPLANTSSAVLKITLGGQLSLLGDKGQAVWSVNTSRSVQNPVAELLDTGNLVVRDADDENPENFLWQSFDHPTDHLLPGMKYGWNLQTGHEVFLTAWKGENDPASGQYTQHLDLTGYPQLILKNGTTEIFSTGPWNGLRFSVSTEEQNSNNLGPQGVVINKKEVYFWYNPRNDLSLFRFVVTSNGFLKAWVWEDQIKQWVNYRSQPADICGTYGLCGGNGVCNIQQFHGCVCLDKFLPNNNATATGSLSQGCHRRKPLSCHNNGSSSDGFLKYSNIKLPDTKQSWYNESMSLQECKQVCLRNCSCMAYSTLNISNGGSGCLIWYEDLVDMRTVQNGQDLYIRLASSEIPVLEIVSGKRNTEFVNEDQYVTLPEHAWKLYREGKSIVLVDEHIAGSCDVVQVLRSIHIGLLCVQQSPKDRPCMSSVVQMLVNDFALPQAKEPGFIFDKEYPLGTPAKSSLNEVTITTLSPR >itb07g07280.t1 pep chromosome:ASM357664v1:7:5550645:5551160:1 gene:itb07g07280 transcript:itb07g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb12g02580.t2 pep chromosome:ASM357664v1:12:1687178:1689464:-1 gene:itb12g02580 transcript:itb12g02580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQHNIINLVFLCIIVTLFCACWVPAATEELAAPVFLFGDSLLDVGTNNYLIGSKATANNPYYGIDYPNSTPTGRFSNGRNFADYIASLLNYSDESPPPFLALIQDMGNFNRNILGGVNFASGGSGVFDRTGCQAFTNVVSWKQQIRQFETVCGNITQSLGEIKAGKLISNALYIISVGSNDLFDYHRFSPPISGPHFLANLNNALAIKLKILYTLGARKFGLLGVPALGCSPAIRSMNGGACNETLNSMAEAFHDSTLTLLHNLSQANPGMHYALGNSYKLTRVIIDHPALGGFKVASNACCGQGPNKGQGKCMETSNLCKNRDSYVFFDLFHPTQTASNYAAIFLVSGGNQTVSPINFTTLANIHV >itb11g19470.t1 pep chromosome:ASM357664v1:11:20241696:20242196:-1 gene:itb11g19470 transcript:itb11g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDAYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYITAGGEKGNPGSQTLNNWFKIVKTTNAYKLMSCPDVCYYCSYYCRDVGISVEAGQRRLVRLPFSSW >itb08g13540.t3 pep chromosome:ASM357664v1:8:14614384:14620646:1 gene:itb08g13540 transcript:itb08g13540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRGLSRRLFFVVRQYSSQRCGISLNDDSVIRVSNNVAHLGCPREGSKPPQLMSLPPFPGHCLPGKKSTTVPGRSSHRITAISWLKYYFDEIPGPVIQSHFNKGLVQMQCHDSSSVERPKISMRKIKHHEVMEMGARVYVPVSVAESKISNRFDIIPSGTMCPNADEIAYLQRLVIYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALSYNYDEGPRLVHHLDRESSGLLLMGRTEESISLLHLVLSDLKKKKFQSQAWDDSCKATYRRYWALVIGSPKEKEGLICAPLSKVVLDNGKTERVILAQNSMLEASQDALTEYRVLGPMINGCSWIELRPHTSRKHQLRVHCAEALGTPIVGDYKYGWFVHKKWKQMPRVDIEPTTGEPYRMRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLELNSNSNRLRNYNVKSDTVSKPDLLRFVAPMPPHMKISWNLMSSYLI >itb08g13540.t4 pep chromosome:ASM357664v1:8:14614437:14620646:1 gene:itb08g13540 transcript:itb08g13540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGARVYVPVSVAESKISNRFDIIPSGTMCPNADEIAYLQRLVIYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALSYNYDEGPRLVHHLDRESSGLLLMGRTEESISLLHLVLSDLKKKKFQSQAWDDSCKATYRRYWALVIGSPKEKEGLICAPLSKVVLDNGKTERVILAQNSMLEASQDALTEYRVLGPMINGCSWIELRPHTSRKHQLRVHCAEALGTPIVGDYKYGWFVHKKWKQMPRVDIEPTTGEPYRMRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLELNSNSNRLRNYNVKSDTVSKPDLLRFVAPMPPHMKISWNLMSSYLI >itb08g13540.t2 pep chromosome:ASM357664v1:8:14614384:14620063:1 gene:itb08g13540 transcript:itb08g13540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRGLSRRLFFVVRQYSSQRCGISLNDDSVIRVSNNVAHLGCPREGSKPPQLMSLPPFPGHCLPGKKSTTVPGRSSHRITAISWLKYYFDEIPGPVIQSHFNKGLVQMQCHDSSSVERPKISMRKIKHHEVMEMGARVYVPVSVAESKISNRFDIIPSGTMCPNADEIAYLQRLVIYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALSYNYDEGPRLVHHLDRESSGLLLMGRTEESISLLHLVLSDLKKKKFQSQAWDDSCKATYRRYWALVIGSPKEKEGLICAPLSKVVLDNGKTERVILAQNSMLEASQDALTEYRVLGPMINGCSWIELRPHTSRKHQVI >itb08g13540.t1 pep chromosome:ASM357664v1:8:14614384:14620646:1 gene:itb08g13540 transcript:itb08g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRGLSRRLFFVVRQYSSQRCGISLNDDSVIRVSNNVAHLGCPREGSKPPQLMSLPPFPGHCLPGKKSTTVPGRSSHRITAISWLKYYFDEIPGPVIQSHFNKGLVQMQCHDSSSVERPKISMRKIKHHEVMEMGARVYVPVSVAESKISNRFDIIPSGTMCPNADEIAYLQRLVIYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALSYNYDEGPRLVHHLDRESSGLLLMGRTEESISLLHLVLSDLKKKKFQSQAWDDSCKATYRRYWALVIGSPKEKEGLICAPLSKVVLDNGKTERVILAQNSMLEASQDALTEYRVLGPMINGCSWIELRPHTSRKHQLRVHCAEALGTPIVGDYKYGWFVHKKWKQMPRVDIEPTTGEPYRMRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLELNSNSNRLRNYNVKSDTVSKPDLLRFVAPMPPHMKISWNLMSSYLI >itb08g13540.t5 pep chromosome:ASM357664v1:8:14614384:14620642:1 gene:itb08g13540 transcript:itb08g13540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRGLSRRLFFVVRQYSSQRCGISLNDDSVIRVSNNVAHLGCPREGSKPPQLMSLPPFPGHCLPGKKSTTVPGRSSHRITAISWLKYYFDEIPGPVIQSHFNKGLVQMQCHDSSSVERPKISMRKIKHHEVMEMGARVYVPVSVAESKISNRFDIIPSGTMCPNADEIAYLQRLVIYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALSYNYDEGPRLVHHLDRESSGLLLMGRTEESISLLHLVLSDLKKKKFQSQAWDDSCKATYRRYWALVIGSPKEKEGLICAPLSKVVLDNGKTERVILAQNSMLEASQDALTEYRVLGPMINGCSWIELRPHTSRKHQLRVHCAEALGTPIVGDYKYGWFVHKKWKQMPRVDIEPTTGEPYRMRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLELNSNSNRLRNYNVKSDTVSKPDLLRFVAPMPPHMKISWNLMSSYLI >itb10g01040.t1 pep chromosome:ASM357664v1:10:757896:762050:1 gene:itb10g01040 transcript:itb10g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLCPSATLLNVSSSDCFMDLDYMNELLCWVERSEGSDVLFHHSPPTTSYMWPVLEANNNGQEERQRASLLPENLSGFQYRTGISPSGQSRRCLVEGSERGWWVCPKTANSITDRLVRAVQYINEFSRDKNVLIQIWVPVSKGGKCVLTTSGQPFQLNKNCPQLAHYRDISVNFQFPAEEDSNESSGLPGRVFLDKLPEWTPDVQFFKKDEYPRVGHAQQYDVRGSLAVPVFEQSGCSCLGVIEVVLTTQKLKYLPQLESVCKALEAVNLRGSILPNARNAKACDLTYQAVFGEIHDVFRSACETHRLPLAQTWVPCIQQGKEGCRHSDDNLIRCVSTVDSACYVADPHVRGFHEACSEHHLLKGQGLVGRAFMTNQACFSADVMSSSKAEYPLAHYAKMFGLQASVAIRLKSVSICSAEFVLEFFLPRNCISPEEHKRMLTSLSIIIQNVCQTLRVVTDQELQQETSGFTFSEEGLKLKRNLPDEPYEEEGLPGNSQQTDCHESRGCVSAFENGPSEVVLKKRCPELRLQLNECVPGSGLAINGNNRASSTCNSRGVAKRGDKKRLKTDKTITLQILQQYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKIQHVIDSVQGVSGGLQIESFYSSFPELVSPNSASNQFKNLESHEQPRQHEGDSTSPRAVAAAAASVTPSSSCSQSSSSSQCCSTGRQVFRADLAGVKRVKSAAELHSSSNEPKTLPRCQSHASLIQRPPSRFLPRTKGGDCRPREDVLRVKVSFGDEKIRLRVHNTWGYEDLLNEIARRFGMDDSMGLQLKYLDDDSEWVLLTCDADLQECFDVCRSSQNQTIKLTFHRVPQHC >itb08g05610.t1 pep chromosome:ASM357664v1:8:4626451:4629192:-1 gene:itb08g05610 transcript:itb08g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWNFVTGHEVYATARKSENDPSSGQFTLHYDPTGYPQGVIKNGSQKRFVTGPWNGLGWSGMPELAPDARQYKYQVSMNPREVYARYDILNNWIVAREVLTSSGDLQLLAWVHEVQSWISFIKTPRDVCDIYAICGANGICNMANSPVCGCFEKFTNNNRKGLNNWSDGCHRRKPLKCENGTDGFKKYSGIKLPDTSYSWFNRTMSLKDCEHKCLKNCSCTAYSSLDISKGGSGCLLWFNELIDIRVLLEKGQDIYIRLDSSEIPDEARSKLLDWPKRLSIIIGTARGLMYLHQDSRLRVIHRDLKASNVLLDNNMNPKISDFGLARSVVGDATEANTNRIFGTHGYISPEYAIDGIFSIKSDTFSFGVLLLEIVTGKRNRKFCHPDHGHNLIGHAWKLYKENKALELIDVHLAPSCDISQVQRCIHVGLLCVQERPEDRPTMSSVVTILSNDNTLPEAKEPGFFTERKVNEGECSSNTQEMSSGNGCSFTILDPR >itb12g03530.t1 pep chromosome:ASM357664v1:12:2332379:2340822:1 gene:itb12g03530 transcript:itb12g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSMDSMTMRISELLKEVQLDYSPVNTKIINDVVSSIKEAIDKIPENKVTADLAPGFIRDINADKVEFTFKKPLSIEIGGSYAMQCVVKPDINVDLLLCLPKECFHEKDYLNHRYHAKRFLYLCKIKKHLDGLPQFDSLRWSAFQNEARKPILVVSPAAKLVEKTGFIVRIIPTATSLFNPSKLRLERNNIRSLNQGDVIQATPKYNSSILEDLFIEYNAEFIKSTFSEWKELKEALILLKVWARQRSSIYVHDCLNGFLVSLIIAFLAKRTGSNSIKNIMTAMQIFRVTLEFIANSKIWDQGLLFKVEGENSDSIKDRRIFFQQFPVVICNSSIELNLAFRLSQSGFQELRNEARLALICIKNCRDGGFDELFMTKIDFPAKYDYCIRLNLQGHHDFRKLGFCLDDECWRSYENKVLSVLNQGLTDRSKLVRVIWKNTVYGGNFEEGMLLWDGEPLLIGISVSSAEAAFKKAIMGPSHEEKDKATLRQFRDNRIAEVAVWECKEWEKHLIMKDIVEYVLSRHLSITKENIIPIAGQLDFTLLQKDLDPISFSTTLLGAFEELSKRLRQLNDIPLKVSSVQALDPAFRLTSVFPPAPHPLAYEKGIDTKLQKPISTCLRPLEVAIQLEGSGNWPMDEVAIEKTKSAFLLNIGESLQNNWGMTCTATEDDVEVLMSGFAFRLKILHERALSLVNGQINSGPSKLVLTADKELLLHNIHAGKINGLRGRYPIYGPVVRLAKRWVSAHLFSTLLAEEAIELLVAHLFLSPLPFDPPYSRITGFLRFLRLLSEYDWMFSPLVIDFHGVSTNEEKQEGSDFTTEDMKKINVAFKKSREESQTKMHDVSPAMFLATKYDLASETWTRSTPAMTELKRLVAYATSSANLLTKLISQDECDSSVWKCLFRTPLNNYDAVVLLHRDKLPYPHHLLFPSELEQGRCVLHGKPTKIFHPFIVPADLRGRSEELKSKLMVNFDPLRCFISEIEREFPDTFKIWYDSLGGDAIGLTWGKASSKKRGRDSMAEGEDPLDLLKSVGEVGKGFVRSIHFLKARKLSQ >itb04g18890.t2 pep chromosome:ASM357664v1:4:22682162:22693367:-1 gene:itb04g18890 transcript:itb04g18890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIEDMETIVWQTPANPPERHDYIFRDRIRYVKPYYFEFISHVKNRWAGKTIVDLFSEEFKGRPRDYYVSAVKAGRIQVDGQRVPISYVVQSSQKISHFLHRHEPPVMAWDVEVLCKEPEVLTVCKPASLPVHPCGQYRKNTVLGILQAEHGLAPLFPIHRLDRLVSGLLILARSSSRADIFRQQIESGRVQKQYIARVLGVFPEDEQVVNANVNYNAREGRSTVEVGDNNINGNDPVKGKAACTKFTRICTNGQHSIVLCQPITGRTHQIRVHLQYTGHPIANDMLYLSECIPRSATGMSADRAAAKSGHSLKPTDIEGAAAESDSGPNEDFSIDPMCTNCPNLAPKGYDGDEEGLWLHCVKYSGPDWTYECPYPVWSSLS >itb04g18890.t3 pep chromosome:ASM357664v1:4:22685500:22693367:-1 gene:itb04g18890 transcript:itb04g18890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIEDMETIVWQTPANPPERHDYIFRDRIRYVKPYYFEFISHVKNRWAGKTIVDLFSEEFKGRPRDYYVSAVKAGRIQVDGQRVPISYVVQSSQKISHFLHRHEPPVMAWDVEVLCKEPEVLTVCKPASLPVHPCGQYRKNTVLGILQAEHGLAPLFPIHRLDRLVSGLLILARSSSRADIFRQQIESGRVQKQYIARVLGVFPEDEQVVNANVNYNAREGRSTVEVGDNNINGNDPVKGKAACTKFTRICTNGQHSIVLCQPITGRTHQIRVHLQYTGHPIANDMLYLSECIPRSATGMSADRAAAKSGHSLKPTDIEGAAAESDSGPNEDFSIDPMCTNCPNLAPKG >itb04g18890.t4 pep chromosome:ASM357664v1:4:22686005:22693367:-1 gene:itb04g18890 transcript:itb04g18890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIEDMETIVWQTPANPPERHDYIFRDRIRYVKPYYFEFISHVKNRWAGKTIVDLFSEEFKGRPRDYYVSAVKAGRIQVDGQRVPISYVVQSSQKISHFLHRHEPPVMAWDVEVLCKEPEVLTVCKPASLPVHPCGQYRKNTVLGILQAEHGLAPLFPIHRLDRLVSGLLILARSSSRADIFRQQIESGRVQKQYIARVLGVFPEDEQVVNANVNYNAREGRSTVEVGDNNINGNDPVKGKAACTKFTRICTNGQHSIVLCQPITGRTHQV >itb04g18890.t1 pep chromosome:ASM357664v1:4:22682162:22693367:-1 gene:itb04g18890 transcript:itb04g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIEDMETIVWQTPANPPERHDYIFRDRIRYVKPYYFEFISHVKNRWAGKTIVDLFSEEFKGRPRDYYVSAVKAGRIQVDGQRVPISYVVQSSQKISHFLHRHEPPVMAWDVEVLCKEPEVLTVCKPASLPVHPCGQYRKNTVLGILQAEHGLAPLFPIHRLDRLVSGLLILARSSSRADIFRQQIESGRVQKQYIARVLGVFPEDEQVVNANVNYNAREGRSTVEVGDNNINGNDPVKGKAACTKFTRICTNGQHSIVLCQPITGRTHQIELLLSQAIL >itb07g02800.t1 pep chromosome:ASM357664v1:7:1806921:1813001:1 gene:itb07g02800 transcript:itb07g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPSSAAHGNLDEHIAQLMQCKPLSEQEVRMLCEKAKEVLMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNMKLVARAHQLVMEGFNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFDPAPRRGEPDVTRRTPEYFL >itb08g03750.t1 pep chromosome:ASM357664v1:8:3039693:3041552:1 gene:itb08g03750 transcript:itb08g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSEEGQVIACHTVDHWKEQFAKGVETKRLVVVDFTASWCGPCRMIAPILAEIAKKMTHVIFVKVDVDELQAVAEEYKVEAMPTFVFLKDGNEVDRMVGAKKDDLQNCITKHAAAVMTA >itb09g26730.t1 pep chromosome:ASM357664v1:9:27434218:27435915:-1 gene:itb09g26730 transcript:itb09g26730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLKRSSSRTNRESEVPKGHFAVYVGETEKKRFVIPVSYLKDPSFQDLLCQAEEEFGFDQPKGGLTIPSYVFYVLALSLPGPVEPPATYFPANFSAEAL >itb05g20940.t1 pep chromosome:ASM357664v1:5:26884423:26888648:-1 gene:itb05g20940 transcript:itb05g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHYSSVTGNSKSRSPLLIFVLALLPLSFVFYMFSSPSPSETTTAAIHSVRSPSSGVDYSFVSSLEKFLAKSQRSPTVGDDSVVGEATVADIRKLDDSVWKKETQRLYEEPFYSPFSPIKVYVYEMPKKFTYDLLWLFQNTYKQTDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKNIVRVHKQEEADLFYIPFFTTISFFLLEKQQCKALYREALKWVMDQPAWKRSEGRDHILPVHHPWSFKSVRKFMKKAIWLLPDMDSTGNWYKPGQVYLEKDLILPYVPNVDLCDAKCVSENAPKRSTLLFFRGRLKRNAGGKIRAKLVTELSGAQGVLIEEGTAGTVGKAAAQSGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGIIDYRKIALFVSSSDAVQPGWLLSFLRNVSAAQIREMQMNLVKYSRHFLYSHPAQPLGPEDLTWRMMSGKLVNIKLHTRRSQRVVKESRSICTCDCLRPNSTNPERMRVITCKSLLPGNRPPTSYTSYH >itb02g10400.t1 pep chromosome:ASM357664v1:2:6716493:6717036:1 gene:itb02g10400 transcript:itb02g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRIDKLDTVFTCPFCSHGSSVECRIDKKNSIGEAVCNICKASFSTTATTLSEPIDIYSEWIDECERVNPPEAKDGK >itb05g26310.t3 pep chromosome:ASM357664v1:5:30263472:30265929:-1 gene:itb05g26310 transcript:itb05g26310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQFFGYGVTGADFAYSSYPDIPSIPIRHIGSLKFDSRTSPNSPFAPHFDPQTPTSLSDSQEQQSSTDNLSGVSASSNSLLDYSSYFQQRSSPLDCGPESLLIPSGATSFHRNQKAKHVFWQVEPALIVPDQTGAKTSDLSLSENKHQQPLGQKSRSWSQEGQISGLIEFQPSRVSSIGKPDGSVHSLKRYKTVQDFPLQGVPQGNIKQLLISCARALLAENKDNFDRLVEEARVSVSITGDPIQRLGAYMIEGLVAKKEASGANFYRGLKGKEPAGKDLLSYMHILYELCPYLKFGYMAANGAIADACRNEDRIHIIDFQIGQGTQWMTLLQALAARPSGAPHVRITGIDDPVSQYARGDGLAAVGKQLAAISEKFSIPVEFHAVPVFAPKVTRDMLDIRPGESLAVNFPFQLHHTPDESIDMNNPRDGLLRFVKSLSPKVVTLVEQESNTNTAPFFPRFLETLDYYSAIFESIDVTLARDKKERINVEQHCLARDIVNVIACEGQERVVRHELLGKWKSRFTMAGFREYPLSSYVNSVIKSLLKYYSEHYTLVEKDGAMLLGWKQRNLISASAWH >itb05g26310.t1 pep chromosome:ASM357664v1:5:30263436:30266975:-1 gene:itb05g26310 transcript:itb05g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQFFGYGVTGADFAYSSYPDIPSIPIRHIGSLKFDSRTSPNSPFAPHFDPQTPTSLSDSQEQQSSTDNLSGVSASSNSLLDYSSYFQQRSSPLDCGPESLLIPSGATSFHRNQKAKHVFWQVEPALIVPDQTGAKTSDLSLSENKHQQPLGQKSRSWSQEGQISGLIEFQPSRVSSIGKPDGSVHSLKRYKTVQDFPLQGVPQGNIKQLLISCARALLAENKDNFDRLVEEARVSVSITGDPIQRLGAYMIEGLVAKKEASGANFYRGLKGKEPAGKDLLSYMHILYELCPYLKFGYMAANGAIADACRNEDRIHIIDFQIGQGTQWMTLLQALAARPSGAPHVRITGIDDPVSQYARGDGLAAVGKQLAAISEKFSIPVEFHAVPVFAPKVTRDMLDIRPGESLAVNFPFQLHHTPDESIDMNNPRDGLLRFVKSLSPKVVTLVEQESNTNTAPFFPRFLETLDYYSAIFESIDVTLARDKKERINVEQHCLARDIVNVIACEGQERVVRHELLGKWKSRFTMAGFREYPLSSYVNSVIKSLLKYYSEHYTLVEKDGAMLLGWKQRNLISASAWH >itb05g26310.t2 pep chromosome:ASM357664v1:5:30263620:30266213:-1 gene:itb05g26310 transcript:itb05g26310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQFFGYGVTGADFAYSSYPDIPSIPIRHIGSLKFDSRTSPNSPFAPHFDPQTPTSLSDSQEQQSSTDNLSGVSASSNSLLDYSSYFQQRSSPLDCGPESLLIPSGATSFHRNQKAKHVFWQVEPALIVPDQTGAKTSDLSLSENKHQQPLGQKSRSWSQEGQISGLIEFQPSRVSSIGKPDGSVHSLKRYKTVQDFPLQGVPQGNIKQLLISCARALLAENKDNFDRLVEEARVSVSITGDPIQRLGAYMIEGLVAKKEASGANFYRGLKGKEPAGKDLLSYMHILYELCPYLKFGYMAANGAIADACRNEDRIHIIDFQIGQGTQWMTLLQALAARPSGAPHVRITGIDDPVSQYARGDGLAAVGKQLAAISEKFSIPVEFHAVPVFAPKVTRDMLDIRPGESLAVNFPFQLHHTPDESIDMNNPRDGLLRFVKSLSPKVVTLVEQESNTNTAPFFPRFLETLDYYSAIFESIDVTLARDKKERINVEQHCLARDIVNVIACEGQERVVRHELLGKWKSRFTMAGFREYPLSSYVNSVIKSLLKYYSEHYTLVEKDGAMLLGWKQRNLISASAWH >itb01g08830.t1 pep chromosome:ASM357664v1:1:7061061:7066628:1 gene:itb01g08830 transcript:itb01g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNGSSDHTQPKTGSRRTAAAVAANGGGKPRGWCKGLTDCIILGPNNGSRYHSTAPTNATHRQLVTEMEKEVERQKELKGMYKMKLERTQNYLRYCLQVAQENGFLDFIINNKQKPQQEVASSSSTICNGSMSPVISTPPTPQPLYYSNLEALIHQAKLNGWHIEPHEIELQELVAQGTTADIYKARWRGLDVAVKCIYPDFFHSNDNGVGFFAQEVETLSRQRHRFVLSLMGACLEPPDHGWIVTEFLGTTLKDWLHGPGKRRRERRVPLPPIAERLGKAVEISQAMQYLHAQKPLKVLHRDLKPSNIFLDDAFHVRVADFGHARFLSYQEKALTGETGTYVYMAPEVIRSEPYDEKSDVYSFGIILNELITGEHPYIETEYGPSKIAIEVAENGLRPALPEHDDDDQTKEIIELIQHSWDEDSSMRPSFATLTCALINIHKALLTHDAL >itb08g15910.t3 pep chromosome:ASM357664v1:8:18084186:18088070:1 gene:itb08g15910 transcript:itb08g15910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHRAKTVRLRSNHDKYLTAEEDEESVTQDRNGAAKSARWTVEFLENADNVIRLKSCYGKYLTASNQPFLLGMTGQKVVQYLPCRLDSSVEWEPVRDGQQVKLRTRYGQFLRANGGLPPWRNSVTHDIPHRTSSQDWILWDVHVVDILVIQSPVANPNPPPQSYSPAPESSPSSPVSYKSSSFSRQESGDSLDVFPKKTSEGRLIYYRITDGYGEIDEAVEELCISFKGNGVEELKKILEEETGLGDIIVCTRSVLNGKLYPLRLQLPPNNADMHVCLVPSSSKGEFLFA >itb08g15910.t1 pep chromosome:ASM357664v1:8:18084186:18086266:1 gene:itb08g15910 transcript:itb08g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHRAKTVRLRSNHDKYLTAEEDEESVTQDRNGAAKSARWTVEFLENADNVIRLKSCYGKYLTASNQPFLLGMTGQKVVQYLPCRLDSSVEWEPVRDGQQVKLRTRYGQFLRANGGLPPWRNSVTHDIPHRTSSQDWILWDVHVVDILVIQSPVANPNPPPQSYSPAPESSPSSPVSYKSSSFSRQESGDSLDVFPKKTSEGRLIYYRITDGYGEIDEAVEELCISFKGNGVEELKKILEEETGLGDIIVCTRSVLNGKLYPLRLQLPPNNADMHVCLVPSSSKVGRDFTEARIPL >itb08g15910.t2 pep chromosome:ASM357664v1:8:18084186:18086266:1 gene:itb08g15910 transcript:itb08g15910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHRAKTVRLRSNHDKYLTAEEDEESVTQDRNGAAKSARWTVEFLENADNVIRLKSCYGKYLTASNQPFLLGMTGQKVVQYLPCRLDSSVEWEPVRDGQQVKLRTRYGQFLRANGGLPPWRNSVTHDIPHRTSSQDWILWDVHVVDILVIQSPVANPNPPPQSYSPAPESSPSSPVSYKSSSFSRQESGDSLDVFPKKTSEGRLIYYRITDGYGEIDEAVEELCISFKGNGVEELKKILEEETGLGDIIVCTRSVLNGKLYPLRLQLPPNNADMHVCLVPSSSKGEFLFA >itb11g20770.t1 pep chromosome:ASM357664v1:11:22149330:22151206:-1 gene:itb11g20770 transcript:itb11g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPSSPTPQTLRYHKLSLLDVLAGPFYTPLILFYDSGAGPRRHDYDELRESLSKTLSVLYPFAGRLKDGSIIECNDEGADFVRANVTNYDLGEFLRDPKLEDLRQLLPRDPYPGAIDPAMPMLAVQLTRFRCGGTAVAFCTWHGVVDAIGMAGLFNTWAAINRGERVATNPGGGLVVDAAAIFSPGNSTVFQAMSTAMAGLKKKLGKYASKRFIFSKQDIDRIKNQYSQSEHHRRPSRVEALSAFVWAAVIRATLVANPTLKTHLLSHYVNLRNKVDPPLPSQCLGNIIQGTESVWEVATGPVSTRSVVGRVVEAIDKVTKDYVREMHTEGGFLRSIIARRSKIIDYNKGETKTLNISSLCNIPFGEVDFGWGKLIWIGMGHTLNDIALFVDTKDGGVEAWIGLEHEVMYNLDKDIEFCAYVSFAHIVLESSCRLSSAL >itb01g30840.t1 pep chromosome:ASM357664v1:1:34706113:34706745:-1 gene:itb01g30840 transcript:itb01g30840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCVELGEYVGVESCFDSVGADMESGLMSRRVHRGEGRREKGAMKAKEFPPPLPWLARTGNSPASRMPWILKRRYTEDGRLIITGEKTERHEYFEAHRSDGRLRLRLVPMDVVVGGEPFANDPDEGAEEEEGEMHGGDHHQIDGDGDVAVCDGSDAGGGDDPTAAALEDPRSATANAGSLITDACGGGGNKCSNSFIFSLAVTAIPPVHT >itb05g19280.t1 pep chromosome:ASM357664v1:5:25873688:25873951:-1 gene:itb05g19280 transcript:itb05g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPNEKDESIFLVSSFYVIARRRLECERSRQDCVNELSFHRSMPWRHRYRFCLQMHPEFDVIEFESFQLQASRELSSASNPLLLAS >itb09g14260.t2 pep chromosome:ASM357664v1:9:9480284:9484394:1 gene:itb09g14260 transcript:itb09g14260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFFSRHANTLKRQLLSYFFHQHNPRPLSISQTFLLLPILQFDVKTSKPSPRRPAPPPRALRKMPVNHFTPEGFWLTKQHHLPAPSNDNTPCNLAATTASSAGDSNSQFYHHGAAAMFAAQNTGYSFNLNNKNEDEEESEEQQSQAAKEEEEEMTIIPKEPLFEKPLTPSDVGKLNRLVIPKQHAEKYFPLTGQEPGGGGAEKGLLLTFEDECGKTWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDAVVFARHRADAERLFIGWRRRNSSAVQDGNSGISGAGEASTVGGGGGWAPAWHPYPPPATPAAIPYQPNCLHAGSVAGVVPSQTGASGNSKRSVRLFGVNLECEPEPTTADRSVQPGQHQPFQYYANSHASYNHMEMKFSRDVNQMRFQQG >itb09g14260.t3 pep chromosome:ASM357664v1:9:9480284:9483429:1 gene:itb09g14260 transcript:itb09g14260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFFSRHANTLKRQLLSYFFHQHNPRPLSISQTFLLLPILQFDVKTSKPSPRRPAPPPRALRKMPVNHFTPEGFWLTKQHHLPAPSNDNTPCNLAATTASSAGDSNSQFYHHGAAAMFAAQNTGYSFNLNNKNEDEEESEEQQSQAAKEEEEEMTIIPKEPLFEKPLTPSDVGKLNRLVIPKQHAEKYFPLTGQEPGGGGAEKGLLLTFEDECGKTWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDAVVFARHRADAERLFIGWRRRNSSAVQDGNSGISGAGEASTVGGGGGWAPAWHPYPPPATPAAIPYQPNCLHAGVVPSQTGASGNSKRSVRLFGVNLECEPEPTTADRSVQPGQHQPFQYYANSHASYNHMVITAAAAIWKFKSHSKHV >itb09g14260.t1 pep chromosome:ASM357664v1:9:9480284:9484892:1 gene:itb09g14260 transcript:itb09g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFFSRHANTLKRQLLSYFFHQHNPRPLSISQTFLLLPILQFDVKTSKPSPRRPAPPPRALRKMPVNHFTPEGFWLTKQHHLPAPSNDNTPCNLAATTASSAGDSNSQFYHHGAAAMFAAQNTGYSFNLNNKNEDEEESEEQQSQAAKEEEEEMTIIPKEPLFEKPLTPSDVGKLNRLVIPKQHAEKYFPLTGQEPGGGGAEKGLLLTFEDECGKTWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDAVVFARHRADAERLFIGWRRRNSSAVQDGNSGISGAGEASTVGGGGGWAPAWHPYPPPATPAAIPYQPNCLHAGVVPSQTGASGNSKRSVRLFGVNLECEPEPTTADRSVQPGQHQPFQYYANSHASYNHMEMKFSRDVNQMRFQQG >itb10g16410.t1 pep chromosome:ASM357664v1:10:22650945:22654523:1 gene:itb10g16410 transcript:itb10g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKLTEVSQSFERFKAAFTRNDFDTCTTLLSQLKVMLTAFKSLPPLFGETPNAVHELTIARDIYEHAVVLAVKMEDQDAFERDFFQLKPYYTDARNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSPNALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHEAYAYFMDLLAKTVRDEIAGCSEKAYDSLSIGDARQMLLFSSDKELLAYVEEEHPEWEIKGGLVIFQKVKDSAPCKEIPSLQLINQTLSYARELERII >itb02g08730.t1 pep chromosome:ASM357664v1:2:5531966:5534207:1 gene:itb02g08730 transcript:itb02g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLNNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVARRRRCKARVGIQHRVTKEDAMKWFQVKYEGVILNKASNI >itb04g31130.t1 pep chromosome:ASM357664v1:4:34089081:34090958:-1 gene:itb04g31130 transcript:itb04g31130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTSSSGINLKSLTRASSPPGFTHRVGSNRLAVQCAFRFDSANANGAAAAAAANSSSTVNTNSAPSGYFGGHIGATRADWQSSCSILASKVVSQQQDVQKSGGDAGNITAVNGHMTTLDLVPIESSLPKPLTITDLSPAPMHGSTLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALAQCELTLTKLGLNVAREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGLHVLEEGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDENVGTAKHFEYMFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSRGD >itb09g03370.t1 pep chromosome:ASM357664v1:9:1891867:1895068:-1 gene:itb09g03370 transcript:itb09g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISRATMSMYGAEPEHHAPQIDDGEEEDDDGVSATDVGGGGGEDSIDGTSHHIQFEPQSHALVLHRSMDAAMNGAEGVSPHGVYAPGGSDVVPAPVDGGAADQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPSGIPAAAVAPQSHRGMGEYPGRFSQPQRIASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKWVSDEAGSSSADRNSSSGQEEQEIFCTHCGISSKATPMMRRGPAGPRSLCNACGLKWANKGILRDLSKVPTTGVQDHNVVKAGGQLNGEAIGPDALTAANVIMTNGGNSAPIPEQ >itb09g03370.t2 pep chromosome:ASM357664v1:9:1892508:1895016:-1 gene:itb09g03370 transcript:itb09g03370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAISRATMSMYGAEPEHHAPQIDDGEEEDDDGVSATDVGGGGGEDSIDGTSHHIQFEPQSHALVLHRSMDAAMNGAEGVSPHGVYAPGGSDVVPAPVDGGAADQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPSGIPAAAVAPQSHRGMGEYPGRFSQPQRIASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKWVSDEAGSSSADRNSSSGQEEQEIFCTHCGISSKATPMMRRGPAGPRSLCNACGLKWANKVSFCLVNLLIMKILKLVPFVAKLLHLYVLSMKKIELKNVSFLLLLTE >itb09g10570.t1 pep chromosome:ASM357664v1:9:6546361:6547430:1 gene:itb09g10570 transcript:itb09g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVLGNPLVVISPEYCAPHHLQISIKKKVYFLAGNGYEVKDAANGTILFKVENIPTFFNSKVVVYDPAGNSILTLRRKAFTWRTRWKVYRGESKEEKDLIFSARESSAFQFTTNLDVFLAGNTSEQACDYKMKTSYGQSTCDIYVKPSSTLIAQMKKKVTAGSIFLGKDNFTVTLQPNVDQAFIVALIVILQEIVDSRRRNHSSS >itb13g18420.t1 pep chromosome:ASM357664v1:13:25389869:25390581:-1 gene:itb13g18420 transcript:itb13g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVPPDLHPISILIYPHHLSTFSTHKVTPKKEKGNIYKFKMHLPKGFILLVMLIVCMLSSPNTLQMAEAIRPLGREKWLIEKALLLQSLPRGPTPPSGPSPCTQIPGGGDGSCP >itb11g23340.t1 pep chromosome:ASM357664v1:11:25336278:25340083:1 gene:itb11g23340 transcript:itb11g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDMNPFRLCSGLRFLGYFMIVIVLAIVAVSYYAVVIVTWGPHLLDGGSNSFFSFTILSIFHILLVLLSWSYIRVILQDPGSVPENWKVVPEQSVEEGCSVSLPANAGPENVVSASSSSGTGERRQAVGFCNHCQNGKPPRCHHCSVCQRCVLKMDHHCLWVVNCIGARNYKFFLLFVIYTFLETTLDTLVLLPSFIEFFKKSKHHSLSPGNLAIIFLSFVLNLAFALSLLCFVVMHASLVSSNTTSVEVYEKKRNVRWKYDLGWKQNFVQVFGSSKALWFLPLFSKKDLESIPALHGLEFPARSDENLRSS >itb11g23340.t2 pep chromosome:ASM357664v1:11:25336293:25340083:1 gene:itb11g23340 transcript:itb11g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDMNPFRLCSGLRFLGYFMIVIVLAIVAVSYYAVVIVTWGPHLLDGGSNSFFSFTILSIFHILLVLLSWSYIRVILQDPGSVPENWKVVPEQSVEEGCSVSLPANAGPENVVSASSSSGTGERRQAVGFCNHCQNGKPPRCHHCSVCQRCVLKMDHHCLWVVNCIGARNYKFFLLFVIYTFLETTLDTLVLLPSFIEFFKKSKHHSLSPGNLAIIFLSFVLNLAFALSLLCFVVMHASLVSSNTTSVEVYEKKRNVRWKYDLGWKQNFVQVFGSSKALWFLPLFSKKDLESIPALHGLEFPARSDENLRSS >itb14g19780.t1 pep chromosome:ASM357664v1:14:22347839:22350187:-1 gene:itb14g19780 transcript:itb14g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGRASSRAPTSVSSTKSTPSSPPSASRPRAASPSSGTPTMSSSSAAGPMSSATSSSPEASSCLPTPTPPLSPTSNKERIILRCFELVFAINLKFIWTDTFLTGSGEFGIVVPGCAETYQYSQQSQQSEQERHQKIGSYRQGDILVFTEGTAHWVYNSGEEQTVMVVLQDTSNDANQLDANPLRFFLAGNSEQSQGNSHQGQREQGRHQQGQREQEQREQGRQQGQQQHGGQQEQTRRLKEQLGYNSNLLSGFDVQIIKDALNTDMETAQKIVGENSQQERGHIITVERELQLIAPWYSQSEERKSGRQGGSSNGLEETLCTARVRQNIDNPERADIYDPQAGRFTALNRFTLPIFGLVRLSASRGVLNRNWGIVPKWTMNAHSFVYVTKGSAQVQIVNHQGETILDQQVQEGQLFLVPQNFAVVKQAGDQGFEWVEFNTNENAMYNTLSGRTSTLAGLPADIIAASYDLSSSKAQSLKQNMVSTWFYQASKGSRFV >itb04g04960.t1 pep chromosome:ASM357664v1:4:3087158:3093769:-1 gene:itb04g04960 transcript:itb04g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLRIKDGKATYVSRFVKTSRLKQEQFFGGAKFMKIGDLKGLFGLLSVFIQTLRTKLKVLDISYGTSTANTALIYHHGKLLALSEADKPYVVKVLEDGDLQTLGMLDYDKRLSHSFTAHPKVDPVTGEMFTFGYSHTPPYVTYRVVSKDGIMHEPVPITVPEPIMMHDFAITENYAIFMDLPLYFRPKEMVKNKEFIFKFDSTKKARFGVLPRYAKNELAIQWFELPNCFIFHNANAWEEGDEIVLITCRLENLDLDMVNGTAEEALENFANELYEMRFNMKSCLATQKKLSESAVDFPRVNENYTGRKQRYVYGTILNSIAKVKGIVKFDLHAEPQTGKTQLEVGGNVQGIFDLGPGRFGSEAIFVPRQQGTSCEEDDGYLIFFVHDENTGKSGVYVIDAKTMSADPVAVVDLPKRVPYGFHAFFVTEEQLKTQAEI >itb09g21500.t1 pep chromosome:ASM357664v1:9:19490039:19491418:-1 gene:itb09g21500 transcript:itb09g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDCYVLLVIYPGQGQINPCLQFAKRLVNLGVKVTLSTSLYVINRISKRPAIPGIDFAPFSDGYDDGYKGTADGFCHIEEKPKILVNTFDDLEVDALRAIKKLTLIGIGPLVPSSYLDGKDPSDTSFGGDLLEKSDDYVEWLDSQPKGSVIYLAFGSYSDLPNAMMEEIAQGLIQSKMPFLWVIRETLTGVQKPEENLSCKEELEKQGKIVRWCTQVEVLQHQAIGCFLTHCGWNSTIENLALGMPIVAFPLWSDQICNAKLIQDVWKIGMRVKANEEGIVEACELKRCIGCVMGEEGAELRKNAMKWRELAKSSMKEYGSSNLNLKAYVNDILF >itb05g02400.t3 pep chromosome:ASM357664v1:5:1908661:1913081:1 gene:itb05g02400 transcript:itb05g02400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISRRLLRSFCSSDSPACLLRSLSGSTASNVTSSKHFSVAKNEPIFLALRRISTSILTSGSGESEFPSDLLTKKRVLTPDREIGLYQDLVVPVTNFHNEDKGFMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAIMHGPKPRSHAIKLNKKVRRLGLKIALSARAAEGKLMVFEDMELPTHKTKNIVSYAQKMENAKKVLLVDGGPINENLKLATQNIHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >itb05g02400.t2 pep chromosome:ASM357664v1:5:1908406:1913081:1 gene:itb05g02400 transcript:itb05g02400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISRRLLRSFCSSDSPACLLRSLSGSTASNVTSSKHFSVAKNEPIFLALRRISTSILTSGSGESEFPSDLLTKKRVLTPDREIGLYQDLVVPVTNFHNEDKGFMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAIMHGPKPRSHAIKLNKKVRRLGLKIALSARAAEGKLMVFEDMELPTHKTKNIVSYAQKMENAKKVLLVDGGPINENLKLATQNIHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >itb05g02400.t1 pep chromosome:ASM357664v1:5:1908406:1913081:1 gene:itb05g02400 transcript:itb05g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISRRLLRSFCSSDSPACLLRSLSGSTASNVTSSKHFSVAKNEPIFLALRRISTSILTSGSGESEFPSDLLTKKRVLTPDREIGLYQDLVVPVTNFHNEDKGFMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAIMHGPKPRSHAIKLNKKVRRLGLKIALSARAAEGKLMVFEDMELPTHKTKNIVSYAQKMENAKKVLLVDGGPINENLKLATQNIHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >itb01g23130.t1 pep chromosome:ASM357664v1:1:29193927:29195170:-1 gene:itb01g23130 transcript:itb01g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFRSSWTPRQNKLFEKALATFDKDTPDRWQNVARAVGGGKSADDVKRHYEILLEDLRRIESGDVPLPAYKTTADDEQRLLRFLKLQ >itb04g12660.t1 pep chromosome:ASM357664v1:4:12383788:12384102:-1 gene:itb04g12660 transcript:itb04g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKGSKPGLGLAQSLGTTQHNKKRLQAHVSIHPRCFWVLPSFPYALSAFYLSHLHTESTISQRIPPSSRLLRAYIRISRFSRSEIPRICSLRICARFRLFRSV >itb15g01460.t1 pep chromosome:ASM357664v1:15:876844:877623:1 gene:itb15g01460 transcript:itb15g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNLTANTTSRRREFKNAGEPERRRPCLGDKSEAPEEKKPQKSCSDREEPTGGGETGVQGCSAAVPAAPAAEDTGRERLKRHRVEVAGRVWIPDIWGQEDLLKDWTDCCGFDASLMNSNIMSAREALMAEGRRATSSRLRIENSC >itb08g01130.t3 pep chromosome:ASM357664v1:8:855714:860123:-1 gene:itb08g01130 transcript:itb08g01130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASEIQSQVSKSNTIAIGGNLAVNGNVGGGAASVLFQHQMSSVSSIEFMASAGLRAVIGVQTSRHLTPHSTAAMGLTMSLRDGSINLSNSWTRQLSNTANGNIQLVLGPDSHVAVGWQQKEQKMSAAGEIKIGVGSFGATAHYTHRFSSKSHGRIAGRVGSNALEFEVGGGRKVSEFSTVRMLYTIGIQGIFWKLELHRGGQRLIVPILISRHLNPLFATCAFFIPTSLYLLLKTYILKPYFLKWENQRELESAEKTRAQVREARAAAEKAQQLLENVANRKRTRQLETGGLVVTKAVYGSRKALKDRTKNTAETDDGVSSQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTSGGNKFEVTVDDYEQLIIPQEEHTI >itb08g01130.t4 pep chromosome:ASM357664v1:8:855974:860123:-1 gene:itb08g01130 transcript:itb08g01130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAADAPPNRELYALLNLSPEASTEEIRKAYRQWAQVYHPDKYQAPQMKDIATENFQRICQAYEILCDETKRQIYDIYGMEGLSSGLELGPQLKKAEEIKEELERLRRQKELEKVAVHVRPSGSILANLSLPQFLEGDGIMKGMAMASEIQSQVSKSNTIAIGGNLAVNGNVGGGAASVLFQHQMSSVSSIEFMASAGLRAVIGVQTSRHLTPHSTAAMGLTMSLRDGSINLSNSWTRQLSNTANGNIQLVLGPDSHVAVGWQQKEQKMSAAGEIKIGVGSFGATAHYTHRFSSKSHGRIAGRVGSNALEFEVGGGRKVSEFSTVRMLYTIGIQGIFWKLELHRGGQRLIVPILISRHLNPLFATCAFFIPTSLYLLLKTYILKPYFLKWENQRELESAEKTRAQVREARAAAEKAQQLLENVANRKRTRQLETGGLVVTKAVYGSRKALKDRTKNTAETDDGVSSQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTSGGNKFEVTLLLFSPDFLDFIVCPRGNTQNGL >itb08g01130.t1 pep chromosome:ASM357664v1:8:855714:860138:-1 gene:itb08g01130 transcript:itb08g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAADAPPNRELYALLNLSPEASTEEIRKAYRQWAQVYHPDKYQAPQMKDIATENFQRICQAYEILCDETKRQIYDIYGMEGLSSGLELGPQLKKAEEIKEELERLRRQKELEKVAVHVRPSGSILANLSLPQFLEGDGIMKGMAMASEIQSQVSKSNTIAIGGNLAVNGNVGGGAASVLFQHQMSSVSSIEFMASAGLRAVIGVQTSRHLTPHSTAAMGLTMSLRDGSINLSNSWTRQLSNTANGNIQLVLGPDSHVAVGWQQKEQKMSAAGEIKIGVGSFGATAHYTHRFSSKSHGRIAGRVGSNALEFEVGGGRKVSEFSTVRMLYTIGIQGIFWKLELHRGGQRLIVPILISRHLNPLFATCAFFIPTSLYLLLKTYILKPYFLKWENQRELESAEKTRAQVREARAAAEKAQQLLENVANRKRTRQLETGGLVVTKAVYGSRKALKDRTKNTAETDDGVSSQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTSGGNKFEVTVDDYEQLIIPQEEHTI >itb08g01130.t2 pep chromosome:ASM357664v1:8:855714:860123:-1 gene:itb08g01130 transcript:itb08g01130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAADAPPNRELYALLNLSPEASTEEIRKAYRQWAQVYHPDKYQAPQMKDIATENFQRICQAYEILCDETKRQIYDIYGMEGLSSGLELGPQLKKAEEIKEELERLRRQKELEKVAVHVRPSGSILANLSLPQFLEGDGIMKGMAMASEIQSQVSKSNTIAIGGNLAVNGNVGGGAASVLFQHQMSSVSSIEFMASAGLRAVIGVQTSRHLTPHSTAAMGLTMSLRDGSINLSNSWTRQLSNTANGNIQLVLGPDSHVAVGWQQKEQKMSAAGEIKIGVGSFGATAHYTHRFSSKSHGRIAGRVGSNALEFEVGGGRKVSEFSTVRMLYTIGIQGIFWKLELHRGGQRLIVPILISRHLNPLFATCAFFIPTSLYLLLKTYILKPYFLKWENQRELESAEKTRAQVREARAAAEKAQQLLENVANRKRTRQLETGGLVVTKAVYGSRKALKDRTKNTAETDDGVSSQILDVTLPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTSGGNKFEVTVDDYEQLIIPQEEHTI >itb06g12650.t1 pep chromosome:ASM357664v1:6:17287065:17287874:1 gene:itb06g12650 transcript:itb06g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRGRQRIDMVKIQKKSSLEVTFSKRRIGLFKKASVLSTLCDADVATIVFSPAGNKVFSFGYPTVEAVLERFLGENNPEPVNETGGGGLATEQFIEAQRNARVQELNMELTRPEAVFEFEKKRGEAIDGFVEANREAHGWMRGSYDDLSFQQLLTLKSGMENLMKEIQQKAHHQLMAVHGNGTLFNTYASENMIFGDPTSEFNFGTNGGASGALPFTSGVPGAHPSTSGSSSMAGGYNTVSPWVASTSGASNSAGGNLGATHAFF >itb02g00970.t3 pep chromosome:ASM357664v1:2:546793:551259:1 gene:itb02g00970 transcript:itb02g00970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHCRGYVHGDVKPENFLLGQPGTPDEKKLFLVDLGLATRWRDATSGLHVDYDQRPDVFRGTVRYASVHAHLGRTSSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPEVLCCLCPQPFKLFVEHVVNLKFDEEPNYAKYISLFDGIVGQNPLIRPINTDGARKLIYQVGQKRGRMTFEDEDDEQPKKKVRMGVPATQWISVYNSHRPKKQRYHYNVTDARLPQHIDKGYEDGLYISSVASASNLWALILDAATSFTHQVHELSPSFLHKEWIMEQWEKNYYITALAGSTNGHSLVVMSKGTQYFQQSYKVSDQFPFKWINKKWKEGFYITSMATAGSQWAIVMSRGAGFSYQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFALSIPRRKPTDETQETLRTSAFPSAHVKEKWAKNLYIASICYGRTVS >itb02g00970.t1 pep chromosome:ASM357664v1:2:546703:551259:1 gene:itb02g00970 transcript:itb02g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRGGVRRGRRVNQQPQANENNNQNPIEEAGIATRTRRRRAAAAAAAAAAVVPINDNVGGLPPPAAAAAAEEVVVAGKVEAIPAVNNRVEVGDKLMVMNDQGGGGGKSVDKGQAADDDANAAPLPERVQVGDSPIYRLERKLGKGGFGQVYVGHRFTGGVLGERTGPGAQEVALKFEHVNSKGCSYGPPHEWQVYNTLGGSHGVPQVHYKGRQGDYFIMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHCRGYVHGDVKPENFLLGQPGTPDEKKLFLVDLGLATRWRDATSGLHVDYDQRPDVFRGTVRYASVHAHLGRTSSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPEVLCCLCPQPFKLFVEHVVNLKFDEEPNYAKYISLFDGIVGQNPLIRPINTDGARKLIYQVGQKRGRMTFEDEDDEQPKKKVRMGVPATQWISVYNSHRPKKQRYHYNVTDARLPQHIDKGYEDGLYISSVASASNLWALILDAATSFTHQVHELSPSFLHKEWIMEQWEKNYYITALAGSTNGHSLVVMSKGTQYFQQSYKVSDQFPFKWINKKWKEGFYITSMATAGSQWAIVMSRGAGFSYQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFALSIPRRKPTDETQETLRTSAFPSAHVKEKWAKNLYIASICYGRTVS >itb02g00970.t4 pep chromosome:ASM357664v1:2:546703:551259:1 gene:itb02g00970 transcript:itb02g00970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRGGVRRGRRVNQQPQANENNNQNPIEEAGIATRTRRRRAAAAAAAAAAVVPINDNVGGLPPPAAAAAAEEVVVAGKVEAIPAVNNRVEVGDKLMVMNDQGGGGGKSVDKGQAADDDANAAPLPERVQVGDSPIYRLERKLGKGGFGQVYVGHRFTGGVLGERTGPGAQEVALKFEHVNSKGCSYGPPHEWQVYNTLGGSHGVPQVHYKGRQGDYFIMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHCRGYVHGDVKPENFLLGQPGTPDEKKLFLVDLGLATRWRDATSGLHVDYDQRPDVFRGTVRYASVHAHLGRTSSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPEVLCCLCPQPFKLFVEHVVNLKFDEEPNYAKYISLFDGIVGQNPLIRPINTDGARKLIYQVGQKRGRMTFEDEDDEQPKKKVRMGVPATQWISVYNSHRPKKQRYHYNVTDARLPQHIDKGYEDGLYISSVASASNLWALILDAATSFTHQVHELSPSFLHKEWIMEQWEKNYYITALAGSTNGHSLVVMSKGSLLRPILWIRLFCFISTLLDFVRFHP >itb02g00970.t2 pep chromosome:ASM357664v1:2:546703:551259:1 gene:itb02g00970 transcript:itb02g00970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQRGGVRRGRRVNQQPQANENNNQNPIEEAGIATRTRRRRAAAAAAAAAAVVPINDNVGGLPPPAAAAAAEEVVVAGKVEAIPAVNNRVEVGDKLMVMNDQGGGGGKSVDKGQAADDDANAAPLPERVQVGDSPIYRLERKLGKGGFGQVYVGHRFTGGVLGERTGPGAQEVALKFEHVNSKGCSYGPPHEWQVYNTLGGSHGVPQVHYKGRQGDYFIMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHCRGYVHGDVKPENFLLGQPGTPDEKKLFLVDLGLATRWRDATSGLHVDYDQRPDVFRGTVRYASVHAHLGRTSSRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPEVLCCLCPQPFKLFVEHVVNLKFDEEPNYAKYISLFDGIVGQNPLIRPINTDGARKLIYQVGQKRGRMTFEDEDDEQPKKKVRMGVPATQWISVYNSHRPKKQRYHYNVTDARLPQHIDKGYEDGLYISSVASASNLWALILDAATSFTHQVHELSPSFLHKEWIMEQWEKNYYITALAGSTNGHSLVVMSKGTQYFQQSYKVSDQFPFKWINKKWKEGFYITSMATAGSQWAIVMSRGAGFSYQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFALSIPRRKPTDETQETLRTSAFPSAHEKWAKNLYIASICYGRTVS >itb01g33780.t1 pep chromosome:ASM357664v1:1:36760344:36767339:-1 gene:itb01g33780 transcript:itb01g33780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCFRVLLLIASFKRKFNGILSMKEILGSKNKEGLAQLYARSRLPVSAFFPQRGIFMEFCKHDRCGCGSEVNYFHSSLVVPFSNLISYCESIWMKMWSDQERDYDIMGWKDQCNSISCGSRDHVQLIRRAVHSDEIGIALVGCLKISESSGRLQLVDATGSIDVIPDISLNWNVNRLYEVKKFTLSMEGIPKKMDHMNLLQNEPFTCRHIFTSGPSIREINMSLHLSYNVADKISIDHPVSDCINMKETFQELESGKFHLLWIKHKFPILQKYQHHQGISSKSSVFAEALVLPWDLHIAENNGDALSAPFSDLLKDSMECLSRSLPPCKKGKIGHPSTGKYCNFSCLSEVPCLVTGRRVNSHCIGRLQCNNVGVKFGCSEHEMRKALLEFSFEAFSFYEAMKIGCYYIIKHHNDDMLCTSSDGGKVFLNSRNHIWSLSFSTDEILQNSNSSSIFPQGSSIVNDNEVSPEGYHQQQIPPSEPNSLRTEIHTDVNLFLSSAFISLLEDKFKLPKVGLIDPLASSEEETEINYCSGTTVTPLMQPHGTRYSGRHLPKGDLISLCGRVQAIHYLDEKSLSVPLRCEVHSGALHSMFFEEKSFCVHLMVDQKMVRICGASHKHACPAGFGKGVTATFHRILVLSGQDSFMLIPASFISVHSRSEIYDAFDDMSHYAPADAESLSVASSSDVPKALIYEMMHCSKTKPLQLRGRVVAVYALILENKDAIHSWQRIKSKSSSSLINIPLAGFVLDDGSSSCCCWANCERATAFLGLHSKEHCKACEKSSWSSRKSRKDKNCSSIGCRLNKVIKRHGRVVVKNHGSTSDPSLQDLMFAVNSDEIISSSEEDFLRSLILKACSSTSLNVVASLMNSEATNALKQHLTGLDMVMPHVKNVWALGVDHIEPLAEARNIIQELVDNT >itb04g23780.t1 pep chromosome:ASM357664v1:4:28718952:28724081:-1 gene:itb04g23780 transcript:itb04g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTVSEIFKVSQSCCFQGCYTVLITVFCVGRMFLQECVMRDGMIVQSGKYNDLLVSGLDFKSLVAAHESSLELVDVETTSGSKDSPRMEKTKQRSHKQGDENEDGALQQSEGGTGRSKLINEEERETGTVGFHVYKLYCTEAFGWWGVAAVVLLTVLWHGTQMASDYWFAYETSEERSFNPSLFLEVYGTIAVLSAVVCVVRIYSITLMGLKTAQIFFGQILYSILHAPMSFFDTTPSGSILSRVTLLDSITKAPVIHHFSESIAGVMTIRCFRKQEGFSQENVIRVNENLRMDFHNYGSNEWLGCRLEMIGSFILCISAVFMIVLPRSIIKPENVGLSLSYGLALNSSLFWTIFNSCFMENKMVSVERIKQFTNIPSEAEWRRKDLLPPPNWPSKGNVELENLQVRYRPNTPLILKGITLSIEGGEKIGVVGRTGGGKSTMIQVFFRLVEPAAGRIVIDDIDISILGLHDLRSRIGIIPQEPVLFEGTVRSNIDPVGQYSDEDIWKSLERCQLKDVVVSKPGKLYSLDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTNGVIQRIIREDFASCTIISIAHRIPTVMDCNRVLVIDAGRAKEFNRPSRFLERPSIFGALVQEYANRSSEL >itb01g05580.t1 pep chromosome:ASM357664v1:1:3832426:3839809:1 gene:itb01g05580 transcript:itb01g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDIPNSIAKLRACSFSKSIGYKAETLYLHLVRFPPPPPPPRITATTAGELGSQLGESMPAQKRSREASPPPTTEEEEEKDDDLHPENQNQNDEEADEGDDSDESSSSSDAEKDEFITLRLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAILYPDIDKYEEEELAFHEEEMVRNKQIQASIAQTLRRQTEALGRKRTSRATPPAFIRRSGNRNLRRRRNQRIEPQGSDDDEDANGHDDKDSSSGDDHSAEVKPKRPKRLGGRFSQGSTAGSANAGCDENDSEMNRESLGATALIGSSEILAWGKGGMRSQKTQNRYSSLGGRNGRLCRSSRVSKLIESLQHSNENDAKVDIGLMLVSLCEEDIPSLQRPYLCCRPTLTVKHLIQYVSLQTSIQADEIDMLVMKEPPPTDKPSSSEAVPITKSVAGVPCNSEFDKLQEHQTLEEIQPSCGFSQCNLLLAYRRKPKE >itb01g05580.t2 pep chromosome:ASM357664v1:1:3832426:3839809:1 gene:itb01g05580 transcript:itb01g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDIPNSIAKLRACSFSKSIGYKAETLYLHLVRFPPPPPPPRITATTAGELGSQLGESMPAQKRSREASPPPTTEEEEEKDDDLHPENQNQNDEEADEGDDSDESSSSSDAEKDEFITLRLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAILYPDIDKYEEEELAFHEEEMVRNKQQIQASIAQTLRRQTEALGRKRTSRATPPAFIRRSGNRNLRRRRNQRIEPQGSDDDEDANGHDDKDSSSGDDHSAEVKPKRPKRLGGRFSQGSTAGSANAGCDENDSEMNRESLGATALIGSSEILAWGKGGMRSQKTQNRYSSLGGRNGRLCRSSRVSKLIESLQHSNENDAKVDIGLMLVSLCEEDIPSLQRPYLCCRPTLTVKHLIQYVSLQTSIQADEIDMLVMKEPPPTDKPSSSEAVPITKSVAGVPCNSEFDKLQEHQTLEEIQPSCGFSQCNLLLAYRRKPKE >itb13g02440.t1 pep chromosome:ASM357664v1:13:2331181:2333625:-1 gene:itb13g02440 transcript:itb13g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPAIIVATLATTCLLLIITFIYNLVFHKGSTQKPPEAGGAWPIIGHLHLLAAPRPTFKILADMADKYGPIFRIRLGTQQVLVVSDSRIAKECFTTNDRALAGRPKALASEIIGYNYAMFGLCPYGDYWRHVRKVLLSNRRREALMQVWDSGVRSFAQEIYRSWASKMFGPTLNLDNVVKLDMKEMFGELLMDVTMQTLFGQQYEEEGSRTVVRTASRLFNLLGVPVVGDYLPWLRWVDIGGHEKTLKETAKKMDSIVEGWLQEHKRKRNTKSKEEEDFMDGLINSFHGDDGDHKDDIPKDFDADTIVKSTCMGMLFAGTDTTTIALTWALSLILNNSSVLEKIRAELDVHVGRERHVNQFDLNNLIYLQAVVKETLRLYTPGPLLLPHECIDDCVVNGYNIQKGTRLLVNVSMIHRDPDFWSDPDVFRPERFLNECKDIDVRGNHFELIPFGSGRRVCPAISLALQVMELVLACLIHDFDLKRISDEPIDMKEMVGMTNKKATPLHVFLTPRLPSHLYLK >itb09g10620.t1 pep chromosome:ASM357664v1:9:6560912:6565068:1 gene:itb09g10620 transcript:itb09g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLAMAPASSWYSTSSSVVVRVEKATSEFLTGPDWPMNIDICDIINSNRWVAKDVLKSVKKRLQNKNPKVQLLSLTLLETMVKNCSNVVHVHIAERSILTEMVKIVKKTMDTHVRDKILVLIDSWQEAFGGPGGKYPQYYWAYQELKLAGVGFPPRSYDTAPIFTPPVSHLVQSPPRIEYGMPSNSSTRHDGNMVSEMEKLSFSAISSMGGIVDLLAEMLQAVNPGDRSAVKDEVIVDLVERCRANQKKLIDMLTATRDNGLLEQGLYLNDILQSVLAKHDAIASGTTLPNPVEDIKHPTTESREGGRAGTSGTREGGRADKSDTREIVRVDTSVTREGGRADTTSDTEADAKSLVPVSASHLDDEEEDGKKEDCAELIQRRSKTRPAAPREGEGEPSDPSMSALIVIDPPEPTKATKEQEIIEQLSITLASSMPPDTPETPVPTSADQTMNQTAPVSCFNPWNNPHTPHSYPGHHSQAFNSYIAPWAQPQPQPQMQTFAQPQFQLQSQSQSMFHQMPQSNGQFPQNSSAYTPPPWAPTPGYYSQSNQSFTPLYMYSTPQPATTSSTPFANSFPATGNTSPGLNGNAQASPRPSATTGPSSPEPKPFIPSYRLFEDLHVWGNGEQNPRTTGNNPAYNLFGANSHNIIDLRK >itb08g10590.t1 pep chromosome:ASM357664v1:8:10219986:10220787:1 gene:itb08g10590 transcript:itb08g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRKPWYQKAMDMASLWKAFAKPSEIPTTNATLWRTLSKSSQISNSNSNRHKLRKCTSLKVATSFTRVCLCAPISSYTEVFPAEVPPRRSNSYPRTKPLITPPTSKDQTRVPSARISMEGRRIFRGKSLTDDVLMRRFVVEEEAMWQVRRRNQMETIKRRSSMKRRKLGPSPLSRMVLAEEECD >itb08g17320.t1 pep chromosome:ASM357664v1:8:19775878:19777309:-1 gene:itb08g17320 transcript:itb08g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASCSNQQPYPMIPITTPRPPPFLPSTTFVHLESISSRCESKSNPQTDGLQKKKPKQRIHSQTTIYLRSPHINLPNTTKMSGCITNSLRS >itb08g07480.t1 pep chromosome:ASM357664v1:8:6401776:6405980:1 gene:itb08g07480 transcript:itb08g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLERPSEELHRDKGNEVCCTEEAAASAAMTVQHDQENFRPKDTHLVSDGDRVPLSHHKFLEPHDGMEFESKEDAFSFYKEYAKSIGFSVIIKASRRSRISGKFIDAKFVCTRYGSKQKASVFETPEAVANIDGTNNTPIKKKRGRKNQSLSKTDCKACLHVKRGSDGMWVIHTFIKEHNHEIVPVQSYNVQGHRHLDQGHNNADAMHAVRDRTKKMCASMHRQSGVAKKLENNGSTNDLNNFEEATQSNCAQKTNEKDESRRDKVQMEQEGLAISIHDSWQQMGQLNSRVATLDTYFSSHQVVPGVGQMNTISSIYSNQPGIQELGQLNTTAPMDNGPYLTQPRLHGLTQLHFRPQSLQNYFEMPESLQDLV >itb09g03440.t1 pep chromosome:ASM357664v1:9:1931455:1932270:-1 gene:itb09g03440 transcript:itb09g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSVVYGRIGGNNGRVSGGTLGRFSGERVSGGTLGRFNGGRVTGGTNGRFTGGRVTGGTNGRFTGGIVTGGSDGSGLRFKRLRRASATTMPPATDAIRISRIGSKGGNGTVFWCAMFGMMELWW >itb04g22820.t3 pep chromosome:ASM357664v1:4:28090499:28092381:-1 gene:itb04g22820 transcript:itb04g22820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKVSSHFPIDWQYPAGRRLPYSCCVQAGFHSYTSTAPAPALIFCTNPNLTCRKYSPAKFTSSAKWSSNASPDDVEVSCSDGFSEAGGEVVLDDGIELVIEKSGKNQRTIRSKVAVNASLQTVWDVLTDYERLADFIPGLAVSQLLQKEPNFARLFQIGQQSIAFGMKFDAKGIVDCYEKDLQILPYGQRRDIDFKMIEGDFKVFEGKWSVEQVLSSSPCLLYFCIFFTCLPY >itb04g22820.t2 pep chromosome:ASM357664v1:4:28091355:28092381:-1 gene:itb04g22820 transcript:itb04g22820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKVSSHFPIDWQYPAGRRLPYSCCVQAGFHSYTSTAPAPALIFCTNPNLTCRKYSPAKFTSSAKWSSNASPDDVEVSCSDGFSEAGGEVVLDDGIELVIEKSGKNQRTIRSKVAVNASLQTVWDVLTDYERLADFIPGLAVSQLLQKEPNFARLFQINSKTMLSIRTSWDQVSGLSKMY >itb04g22820.t1 pep chromosome:ASM357664v1:4:28089642:28092381:-1 gene:itb04g22820 transcript:itb04g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKVSSHFPIDWQYPAGRRLPYSCCVQAGFHSYTSTAPAPALIFCTNPNLTCRKYSPAKFTSSAKWSSNASPDDVEVSCSDGFSEAGGEVVLDDGIELVIEKSGKNQRTIRSKVAVNASLQTVWDVLTDYERLADFIPGLAVSQLLQKEPNFARLFQIGQQSIAFGMKFDAKGIVDCYEKDLQILPYGQRRDIDFKMIEGDFKVFEGKWSVEQCNTLGEPRDSSVCQELHTTLFYVVRVEPKLWFPVRILESRICKEIKVNLSCVREEAQRMYHSNRPSV >itb14g05950.t1 pep chromosome:ASM357664v1:14:5193393:5196364:-1 gene:itb14g05950 transcript:itb14g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTAMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >itb05g20360.t1 pep chromosome:ASM357664v1:5:26472142:26473607:-1 gene:itb05g20360 transcript:itb05g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPTTKARATHNISSHFSTKLEGKSCNNSKMEGGDDDQYPAAPEDDGPAVRCQQPSNKKKGTANCKRFSDEQVKLLESMFTLETKLEAHKKLQLARDLGLQPRQVGIWFQNKRARWKAKQIEQDYRELKAKFDTLNLQFDYLKKENHSLLIQLEKLRYEVENRKTQDSKGSKDANFESTWKEKAAAGCLNGGAEDAKEVGINEYLEQQEEEAELLKLQGGLGDCSPLSSQENWCCIGLGDAFCHSCGTSELWDI >itb04g23500.t3 pep chromosome:ASM357664v1:4:28528038:28532917:1 gene:itb04g23500 transcript:itb04g23500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVVSVVEDVLQQHGTRSRGLDLDARRAEEAAIRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRSGIVLCNVLNKIQPGAVPKVVESPSDAALLPDGAALSAYQYFENVRNFLVAVQEVGIPTFEASDLEQGGAPSRIVNCVLGLKSYSEWKQSGGIGVWKFGGNVKPTTTCAKQLTRKNSEPFMSSSSSRNLLMNEKSLSGAGTETETNRMPSSSLSMLVRAVLLDKKPEEVPNLVESVLSKVVEEFELRIASQNDVQRRATLKDSIISHVNKPITKQTAGITKVEQRNINVMKKEVFQKNNNIIDEELQRRCLKQQMVVDEQEKGLKELKQTLSTTKAGVQFMQMKFDEEIHNIGLHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSYLSAVDQIEDGTITINTPSKHGKGHRSFNFNKVFGPSATQGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKDLTEQSQGVNYRALGDLFLLAEQRKDTFHYDVFVQMIEIYNEQVRDLLVTDGLNKRLEIRSSSQGLTVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVRGQDLTSGTILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVAAVELGAARVNKDSADVKELKEQISVLKAALAKKEAEPVSIQHKLQSSPHIMQPSSFQTNLHGKDTLASSNNQRKPMGEVDCIEVIIFDSLCLSQLLSSRYLLLV >itb04g23500.t1 pep chromosome:ASM357664v1:4:28527736:28534044:1 gene:itb04g23500 transcript:itb04g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVVSVVEDVLQQHGTRSRGLDLDARRAEEAAIRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRSGIVLCNVLNKIQPGAVPKVVESPSDAALLPDGAALSAYQYFENVRNFLVAVQEVGIPTFEASDLEQGGAPSRIVNCVLGLKSYSEWKQSGGIGVWKFGGNVKPTTTCAKQLTRKNSEPFMSSSSSRNLLMNEKSLSGAGTETETNRMPSSSLSMLVRAVLLDKKPEEVPNLVESVLSKVVEEFELRIASQNDVQRRATLKDSIISHVNKPITKQTAGITKVEQRNINVMKKEVFQKNNNIIDEELQRRCLKQQMVVDEQEKGLKELKQTLSTTKAGVQFMQMKFDEEIHNIGLHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSYLSAVDQIEDGTITINTPSKHGKGHRSFNFNKVFGPSATQGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKDLTEQSQGVNYRALGDLFLLAEQRKDTFHYDVFVQMIEIYNEQVRDLLVTDGLNKRLEIRSSSQGLTVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVRGQDLTSGTILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVAAVELGAARVNKDSADVKELKEQISVLKAALAKKEAEPVSIQHKLQSSPHIMQPSSFQTNLHGKDTLASSNNQRKPMGEVDCIEVNKKPALREKRQSFDLDELLGNSPPWPPVSSPGENYREDNKLVSSGEWVDKVMVNKQEPMQRMESPFGCWESEMGNMSDIFYQKYLTDSFKSLPKKSCNLFPDSNHFDITAADDLDELDAATSDSSEPDLLWQFNHSKLGSFGNGMVSNVPKPNMKPMKSPEPRTKPNKTGPSHSRKPSGGSGHNPQRGGRQAIPAELNRKAGNRK >itb04g23500.t2 pep chromosome:ASM357664v1:4:28528038:28532294:1 gene:itb04g23500 transcript:itb04g23500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGAFSFSVVSVVEDVLQQHGTRSRGLDLDARRAEEAAIRRYEAAAWLRKMVGVVGAKDLPAEPSEEEFRLGLRSGIVLCNVLNKIQPGAVPKVVESPSDAALLPDGAALSAYQYFENVRNFLVAVQEVGIPTFEASDLEQGGAPSRIVNCVLGLKSYSEWKQSGGIGVWKFGGNVKPTTTCAKQLTRKNSEPFMSSSSSRNLLMNEKSLSGAGTETETNRMPSSSLSMLVRAVLLDKKPEEVPNLVESVLSKVVEEFELRIASQNDVQRRATLKDSIISHVNKPITKQTAGITKVEQRNINVMKKEVFQKNNNIIDEELQRRCLKQQMVVDEQEKGLKELKQTLSTTKAGVQFMQMKFDEEIHNIGLHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLHGQSSYLSAVDQIEDGTITINTPSKHGKGHRSFNFNKVFGPSATQGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKDLTEQSQGVNYRALGDLFLLAEQRKDTFHYDVFVQMIEIYNEQVRDLLVTDGLNKRLEIRSSSQGLTVPDASVVRVTSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVRGQDLTSGTILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGEDV >itb09g26670.t1 pep chromosome:ASM357664v1:9:27354089:27356724:-1 gene:itb09g26670 transcript:itb09g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTKLMVELRHSSSMFLANYWIARSVVAYAHLLILGLEPQNQIMTELSHVSTKIKEILASSLPLLSWQAKRMEDNYQTLLHAFDNSSNILDVLKLIFNVKNDKEEPIWYKDTWPRGSSPRSMGVDEFEGEGVLLLISSGKHFPIYAYFAGPPSEVRTIWVPIINNLDDHIQMSDDYYVVLDPQKWIAPEFVRFLKEKCFSTFQVGSDPIVISLDKRGRLVHSNALHMVFTFGFQLYQRSKTRLGDIIPSLENELRERTSSADRVIDDIDKQIHNFAREVRKKINYWVDDIEAKMKSSFRSYDYMLEREQELWIKESWNLLLLVRGSHWTPNEWASHESSTCLNSN >itb09g26670.t2 pep chromosome:ASM357664v1:9:27353917:27355989:-1 gene:itb09g26670 transcript:itb09g26670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAKGVMLLACFAIIRGKAKVVSQLCHRKGLSYNMTALRNSLNSMLFPDNEFFFNDSIRSMLNLTKLMVELRHSSSMFLANYWIARSVVAYAHLLILGLEPQNQIMTELSHVSTKIKEILASSLPLLSWQAKRMEDNYQTLLHAFDNSSNILDVLKLIFNVKNDKEEPIWYKDTWPRGSSPRSMGVDEFEGEGVLLLISSGKHFPIYAYFAGPPSEVRTIWVPIINNLDDHIQMSDDYYVVLDPQKWIAPEFVRFLKEKCFSTFQVGSDPIVISLDKRGRLVHSNALHMVFTFGFQLYQRSKTRLGDIIPSLENELRERTSSADRVIDDIDKQIHNFAREVRKKINYWVDDIEAKMKSSFRSYDYMLEREQELWIKESWNLLLLVRGSHWTPNEWASHESSTCLNSN >itb09g26670.t3 pep chromosome:ASM357664v1:9:27353917:27355989:-1 gene:itb09g26670 transcript:itb09g26670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAKGVMLLACFAIIRGKAKVVSQLCHRKGLSYNMTALRNSLNSMLFPDNEFFFNDSIRSMLNLTKLMVELRHSSSMFLANYWIARSVVAYAHLLILGLEPQNQIMTELSHVSTKIKEILASSLPLLSWQAKRMEDNYQTLLHAFDNSSNILDVLKLIFNVKNDKEEPIWYKDTWPRGSSPRSMGVDEFEGEGVLLLISSGKHFPIYAYFAGPPSEVRTIWVPIINNLDDHIQMSDDYYVVLDPQKWIAPEFVRFLKEKCFSTFQVGSDPIVISLDKRGRLVHSNALHMVFTFGFQLYQRSKTRLGDIIPSLENELRERTSSADRVIDDIDKQIHNFAREVRKKINYWVDDIEAKMKSSV >itb09g04510.t1 pep chromosome:ASM357664v1:9:2517077:2518144:-1 gene:itb09g04510 transcript:itb09g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTEYYRYDYEELREASENFSESRLIGKGSHGCVYKAFLKNGVTVAIKKPSLAFQKLAESSNLENEARVLSSLPPCPYVVKLLGTSRDELGNRVVLVMEHLPNGTLHDALHAASATPLAWARRARIAIRVAKALRFLHRRSPPVVHRDVKSSNILFDARWDAKVADFGLAINNNDSVSRLGESLSRPAGTIGYLDPSYTVPCNLSTKNDVFSFGVVLLEIISCRKVIDVARSPASIVEWASQLIRVGRPLDICDKRVPVPWYMEHTIRQILGVAARCVSPELENRPSMEEIITELENCIVEPARFPLWMNILRRVTLLTRQRKMNSSTRKRGVHDISRGEKVLVREILADTTLE >itb13g18330.t1 pep chromosome:ASM357664v1:13:25291939:25297364:1 gene:itb13g18330 transcript:itb13g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMESEKEINLIRSVVGSRVSEPDILKALSCCNNSAAAAINYILDNPLPPDVKKTVTSTGARISGPVKQEIGEEELKGSDYPEKGAKKEPGWYGEYYKWLDEQDTEKVKKEGELKVKTEPYVGDDSKAIVIAEPILESDIKPRVKAEPILESDIKPIVFAEPVLESDIKPRVKAEPISSSFVKEEKGGEVLSVQPLSARPVTGEYAERFLSWRPGRKTEKKVDMTLSTVVIEDGDFPEEADWMLVGRNVVTGLSTTKGRKIENNEIVHFAFPKAVSSRYSKVTSSIVRFSTKRFGEIGRLPMEWGNCLIPLVNSKKVKVLGRCVAAPANLQLMQEIMLYVSFYIHSSVFKDGDKSSWRIDCPSEIETTIYPLLTLFKLLKINPFQKAEFKPDDFDSRKRPLDLEGDSVGVVAKRIKGCIEQNKTEQGLSESTLNKLVGAAEMYDLKEIEPPETLVCSLRPYQKQALYWMSELEKGTEAEQTAKVLHPCWAAYRICDERGPAIYVNIFSGEATAEFPSALETARGGILADAMGLGKTVMTIALILARPGKGIPDNQELAEPITQHYRNRRIKGGTLIVCPMALLGQWKDELEAHSKPDSISVFVHYGGDRSDDPRVIAEPDVVLTTYGLLTAAFKADEDNSIFHKVDWHRIVLDEAHTIKSWKTMSARAAFKLSAHCRWCLTGTPIQNNLQDLYSLLCFLHVEPWCNWAWWNKLIQRPYENGDQRALKLIKAILRPLMLRRTKETKDKEGRPILVLPPTDIQIIECEQSEAERDFYDALYKRSKVQFDEFVAQGKILHNFANILELLLRLRQCCNHPFLVMSRGDTDKYANLNKLAKKFLDTNPDLDKVAETNPDSASQKVPTRAFVEEVVEEIRKGENTECPICLESADDPVLTPCAHTMCRECLLSSWRNPSCGMCPMCRRLLNRSELITSPSANKFRIDVQKDWKESSKVTKLMDCLQRIRESGSGEKSIVFSQWTLFLDLLEIPLRKSGIGFLRFDGKTQQKQREKVLHEFSETTEKMVLLMSLRAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVCVRRFIVKETVEERMQQVQARKQKMIAGALTDDEVRSARIEELKMLFR >itb09g10860.t1 pep chromosome:ASM357664v1:9:6743891:6747010:1 gene:itb09g10860 transcript:itb09g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLPSVAKSYMPKSFRNDFLPPLSSPDVPAEQVELDFSDVFGPLPVQALNEPSNGISEGTTPPANMSELVYDDPEVICNRSHSLVGPSSFVSQSLKLGRLTLHETEDSVELIESLIGETIKEDQELNDSIAQEPDNILMTDRTIGLEDFEVMKVVGQGAFGKVFQVKKKGTSEVYAMKVMRKDKIMEKNHAEYMKAERDILTKMDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHGNGIMHRDLKPENILLDEDGHAMLTDFGLAKEFEKDTRSNSMCGTVEYMAPEIILGKGHDKAADWWSVGILLFEMLTGKPPFIGNREKVQQKIIKEKLKLPSFLTSEAHSLLKGLLSKDPSKRLGSGPKGGDEIKGHKWFRPINWRRLEAREVQPSFRPEVAGKHCIANFDKCWTDMPLQDSPVSSPNGDHFQGFTYVRPAASFLQRSSPFC >itb11g09980.t2 pep chromosome:ASM357664v1:11:6801792:6805571:1 gene:itb11g09980 transcript:itb11g09980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVLSSRRAGGPVNEDGDDDSTGDESKTRKHSFTPMRFINYLTRTGHIWPCLLIGLVVVVITSFIVHTRDLVCISASSADHTSRLRFFGFDGLETDFGSLGVPWCRSKHGKTVEWTTKDLLEGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFVTRWLKPDLMIESGAFKGHSTWVLRQAMPDTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWAIVLKKHGIEDISRVIVFFDDHQNELKRLKQALEAGFRHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFEESDEARIRSRRKKFWEKAVDTEELCGPGEAWWGVRGYMRDNFNHSNKAITYAEHFQNSRFVESVLDVYWELPPVAGPSLTHQMRYDPARTSTPIVEDGRYGLFQRLGLSRFENSVFNGYTQMVYVQVSPQKS >itb11g09980.t1 pep chromosome:ASM357664v1:11:6801792:6805571:1 gene:itb11g09980 transcript:itb11g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVLSSRRAGGPVNEDGDDDSTGDESKTRKHSFTPMRFINYLTRTGHIWPCLLIGLVVVVITSFIVHTRDLVCISASSADHTSRLRFFGFDGLETDFGSLGVPWCRSKHGKTVEWTTKDLLEGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFVTRWLKPDLMIESGAFKGHSTWVLRQAMPDTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWAIVLKKHGIEDISRVIVFFDDHQNELKRLKQALEAGFRHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFEESDEARIRSRRKKFWEKAVDTEELCGPGEAWWGVRGYMRDNFNHSNKAITYAEHFQNSRFVESVLDVYWELPPVAGPSLTHQMRYDPARTSTPIVEDGRYGLFQRLGLSRFENSVFNGYTQMVYVQVSPQKS >itb10g14350.t1 pep chromosome:ASM357664v1:10:20704992:20708396:1 gene:itb10g14350 transcript:itb10g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARRLYEDSSIASHFDKRAWVVASQNHDKLQILTDLLISMRGCVDSDTQEDQLAEKLYQNMMRQRYFVVMDDIWSVEAWDSVKACFPNNGNGSRVLLTTRSSEVATIIGSKDDFSHQMQLLEEGESWNLFHEKIGKSLGSEFDMIGRQIVEKCKGLPLAIIIAAGLFSKLDTLDEWMNVAEALNSSTTTTTIDEECSRILSSSYNHLSHSLKACFLYLSIFPEDKEIHVREIVKLWVAEGLVKGAKDMSFDAVARRHVQELKDRNLILLQSLHLLGTLFGGLVIPNINHLVYLKNLTRLRFTYLTFEWKAINILSKLSKLEVLRLSRCWCIGEEWELLEEENFDQLIYLEIKTRNFERWEASACHFPKLERLVLACCKELEKIPTEFAEIPNLKSIELYECLPSAVDSAKEIQKEQHGQGNDNMVVIDKSIKELHSNSDSEEDELES >itb06g04890.t1 pep chromosome:ASM357664v1:6:7650769:7659371:1 gene:itb06g04890 transcript:itb06g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G14930) UniProtKB/Swiss-Prot;Acc:Q93ZB6] MARRSSHTTELGCIACDDLSDLGAGKEGWLVENPNLLTALDTHSLALASRSIILVLQWTDAGDPAAKIIPELSPIQAEYLSALAWLVFDDIKVLAVGTSSGYLLIYSLRGDLIHKQLVNPAKILKLRVRGTKRDQMQDASLEEVCVVMPGAVARFEGSDIQSMLRRWFQERYSQFWDQPKPINRYMEDSGDSFGRLPYQLWNVNKYGSCVDAAIIGVMPPPLMEHQSSQRYYCAVTVGDDAVISAYRLSVDKSRSIVGAILSKVVPATFSTISSISKMLWRSEPSPTRKPEPKPQPFAKASPLTCLKDYPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFVEMLVNKDSSTLKSAHHEHIKSDYCLCLAIHAPRKGIVEIWQMRTGPRLQTIPCPKGQKHSGEDKKLESGPFPCPAEMGFSVLMATSGCSSSYGTPALGWKSSTSLAQLGFIPNGLNAIRTSRKTANFIRACASSSSSSSSSEPLLVRAARRERVSRPPAWMMRQAGRYMAIYRKLAEKHPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVQFDIEEVRGPVIQSPIRSEEGLRTLHSIDLEKLQFVGNSLKILRKEVGEQAAVLGFVGAPWTIATYIVEGGTTRTYTTIKGMCHTAPHVLKALLSHLTKAITEYIIYQVESGAHCIQIFDSWGGQLPPHMWETWSKPYIDEIVSVVKRKCPQTPLVLYINGNGGLIERMKTTGVDVIGLDWTVDMADGRKRLGSDISIQGNVDPATLFCPLSALTDEINRVVRSAGPKGHILNLGHGVLVGTPEEAVAHFFEVARSFNFDTIENHAAAQASKVTA >itb10g15740.t1 pep chromosome:ASM357664v1:10:21971428:21977346:1 gene:itb10g15740 transcript:itb10g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEPQLISNANDLPPQLFSFPSTSLQAPPPPSLLSPLLAPTMQSSAQLGPDIDWVGLLSGCVDQTQAQVLSENGNKSKGKKLVQSGKKNNFPPRIAFHTRSSEDILDDGFKWRKYGQKSVKNSTHPRLGSTFLLSASPLPTASPVHHVATGHRLADATACPSLPRPSPIASSPRQSTPPTAHQISYFRGYK >itb06g24110.t1 pep chromosome:ASM357664v1:6:25803651:25807002:-1 gene:itb06g24110 transcript:itb06g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSWGAAILKNRRGSGLGFAAIAYIGVDYLRFVSPSLHAWVQPLLWTALAIAAIIRVPFYNHWSAELRSALPFIFAVLFMLSALLIEAISVRSVTAVLGLDWHNDTPPLPDVGQWLLLALNEKLPGTLVDVLRARIIGLHHFLMLFIMLAFSVLFNSVEAPGLGLGARYMFTMGVGRLLRTIAFVSTILPSARPWCAESRFRVPYHPNRWVQKYYVPYAKDSQAIRQVIGWDTAYADPGEYEGDFHPNWGVMNFLIDFLRPTAPEGKSSWYHLLKKAGGGCNDLIYSGHMLVAVLTAMAWTEAYGGYSSALIWILLFHSAQREIRERHHYSVDVVVAIYMGICLWKMVGLFWPVKDESKDRRLVKLEKVQARLMRAAKDCNMDEVRALLKEVELSGQHVRQSPRSKVLWLFSGATIFFSLSIVLIAFTLTSDG >itb13g04120.t1 pep chromosome:ASM357664v1:13:4549037:4555211:1 gene:itb13g04120 transcript:itb13g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQACSELTRPLAAAGATLTDLHKAWHVFALLMSIRRPALPPELASRCTLFHTTPDFIQFLCTIPYSPLHLTRECLVTFSPVVYITFAKFVACVNEIAAVFPGLKFEGFTSGRAVDGELRTYYRKKKRTRWTVDGLPVLKKRRTLDSLYAEKGNHVVMELPGETQDVYAKECFQDDNYMSMNIDTWEPLCLASDNGLGKIFTTCSIDVCNEAFRHGSDSIQCNDEPMSDKIGSKTEAGTVHSIVSKAEFLYDETFLNFPEPIEKVNTYSTPQLKPLQRTGLVENHIASTEMEVYDITKIKVNSKITSCLLDQRTPVPAAELDTAIYSYPRIIETERSVEGIEELFSCTVGNRFGVNHIPINSSNREKSAEGHHNIHAATVGSGLFKSLDDEENKVPIHSHAILGQKLSFDGKQLATCAPSSKCVLKDALITAQPTVYKPLDNGAPASPFLEKEPINRNGKPISAKKKLNYNHDVYKPLDNDVPVSPCPEKEPINRDVKPISAKKPNYNHEMLLDTKTRNGNFKEKRQDLNPHSAKEQIEQKELPNFESFAVEEEEGSGGYGTVYRARRKSDGKKFAIKCPHSNANRQHVLNEVKMLERFGGKNFVIKYEGSIKNGSSDCLVLEHVEHDRPDVLKKEIDVFQIQWYGYCMFKALACLHKQGIVHRDVKPGNFLYSRKLNKGYLIDFNLALDMNQKYGIADKTKLEQATGFDETPLSLKKSLPPIKSRRFPNMTLLETVNQEAAAKGIKRTLQPKNTKMKDGLEKVHPDIGSRNIIKSQGADGSGLTSTKEPTSTRTPSAERLRQPLPCHGRKELISLAQEALQGANHREVKGPTSKRKRIAAPPGKEDRQFVYVTPMPLHSSGIGIRGAGLLKSKGDGKQKREGSCVGTKGFRAPEVLLRSLHQGAKLDVWSAGVSLLYLMIGRTPFAGDPDQNIKEVAKLRGSEDLWEVAKLHNRESSFPAELFDVKSLPSVKLREWCTRNTRRPDLLDAIPASLFDLVDKCLTVNPRLRMSAEEALRHEFFDPCHESLRKRLSRQGASNDSGSILPLTGQAQASGVGL >itb13g04120.t2 pep chromosome:ASM357664v1:13:4549074:4555211:1 gene:itb13g04120 transcript:itb13g04120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQACSELTRPLAAAGATLTDLHKAWHVFALLMSIRRPALPPELASRCTLFHTTPDFIQFLCTIPYSPLHLTRECLVTFSPVVYITFAKFVACVNEIAAVFPGLKFEGFTSGRAVDGELRTYYRKKKRTRWTVDGLPVLKKRRTLDSLYAEKGNHVVMELPGETQDVYAKECFQDDNYMSMNIDTWEPLCLASDNGLGKIFTTCSIDVCNEAFRHGSDSIQCNDEPMSDKIGSKTEAGTVHSIVSKAEFLYDETFLNFPEPIEKVNTYSTPQLKPLQRTGLVENHIASTEMEVYDITKIKVNSKITSCLLDQRTPVPAAELDTAIYSYPRIIETERSVEGIEELFSCTVGNRFGVNHIPINSSNREKSAEGHHNIHAATVGSGLFKSLDDEENKVPIHSHAILGQKLSFDGKQLATCAPSSKCVLKDALITAQPTVYKPLDNGAPASPFLEKEPINRNGKPISAKKKLNYNHDVYKPLDNDVPVSPCPEKEPINRDVKPISAKKPNYNHEMLLDTKTRNGNFKEKRQDLNPHSAKEQIEQKELPNFESFAVEEEEGSGGYGTVYRARRKSDGKKFAIKCPHSNANRQHVLNEVKMLERFGGKNFVIKYEGSIKNGSSDCLVLEHVEHDRPDVLKKEIDVFQIQWYGYCMFKALACLHKQGIVHRDVKPGNFLYSRKLNKGYLIDFNLALDMNQKYGIADKTKLEQATGFDETPLSLKKSLPPIKSRRFPNMTLLETVNQEAAAKGIKRTLQPKNTKMKDGLEKVHPDIGSRNIIKSQGADGSGLTSTKEPTSTRTPSAERLRQPLPCHGRKELISLAQEALQGANHREVKGPTSKRKRIAAPPGKEDRQFVYVTPMPLHSSGIGIRGAGLLKSKGDGKQKREGSCVGTKGFRAPEVLLRSLHQGAKLDVWSAGVSLLYLMIGRTPFAGDPDQ >itb14g04110.t1 pep chromosome:ASM357664v1:14:3652986:3654857:-1 gene:itb14g04110 transcript:itb14g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETVKLLGLWASPFVLRACIAFNIKSVAYEFVEENLAAKSDLLLKSNPVYKKIPVIIHDQKPVCESLIIVQYIDEVWTSGPAIMPADPYDRAIHRFWATYIDDKWYPKLRTIAAAEDEEARKAAIGEVEEGLGVLEGAFQSCSKGKNFFGGDKIGFLDIALGCLVGWIRVIEAFNSINLLDEAKVPGLANWAQDFIADDAVKDVMPSTEKLAEFAKTLFTHMKPQ >itb02g14110.t1 pep chromosome:ASM357664v1:2:10319385:10321308:1 gene:itb02g14110 transcript:itb02g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGGFLVTYSLLLCCLATTGYGEVLFSSLKKSVEVSVKHKQGQVLKAGDDEITVTWSYNSSSPAKTDSSYKNVKVKLCYAPVSQVDRAWRKTEDHLKKDKTCQFNIATKPYNPKPNTLKWKIERDVPTATFFVRVYAYNAEGHEVGYGQNTDAKKTQNLFQIQAISGRHATLDICSVVFSVFSVVSLFGFFFLEKRKAKSSQQK >itb12g06010.t1 pep chromosome:ASM357664v1:12:4473272:4474823:1 gene:itb12g06010 transcript:itb12g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEESSCGDLDFGGSTNTKTHNWASVCHTCHSVPCSINCRTNSAYLSASSQGCMHATNRETSVHEHMWLCDSCQRAPAVFWGNADAASLCADCDADIHAANPLARRHHRVPLLGTIYGPPDTDHGGGGPVMITHAGDATEDDGFMRDAEETTLDEEDEDEAASWLLLNLIPAKNNNSSQGGNNSNGMLYAGKVEDAYLDLVEYSSCQDNQYSDDYSINHQQQYSVPQKKMKYEGDCVVPGKGKNQLQYHQHGSFQFLGVEYENSNTGYPASISQSVSISSFDVGVVPESTITDASFAYPRPSKGTIDLLSGPPAQMPSQLTPMDREARVLRYREKKKSRKFEKTIRYASRKAYAETRPRIKGRFTKRTDVETEEDQIFSTALMAEGGYGIVPYF >itb05g25180.t1 pep chromosome:ASM357664v1:5:29718805:29721179:1 gene:itb05g25180 transcript:itb05g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAISIHVCSITPSPLPHNRAGIAVLRKKSAKLRTHFIVLAISATSSSKDAWKRTAEPPPPRTTPYRRKKLPAFLDSSVDMEELLLSISKTSNEHELFALMSRYKGRTLSMKFMVTLLSRESDWQRSLALLDWINEEALYTPSVFAYNVVLRNVLRAKQWQLAYGLFDEMRQRGLSPDKYTYSTLITHFGKEGLFDDALSWLQKMEQDHVSGDIVLYSNLIELSRKLCDYSKAISIFSRLKSSGIVPDLVAYNTMINVFGKAKLFREARLLLKEMKSAGVMPDTVSYSTLLNMYVENQKILEALSVFSEMKEVNCRLDLTTCNIMIDVYGQLGMAKEADTLFWSMRKMGIEPSVISYNTLLRVYGDAELFGEAIHLFRLMQRKSIQQNVVTYNTMIKIYGKTLEHEKANNLVKEMQSRGIEPDTITYSTMISIWSKAGKLDRAATLFQKLRSSGVEIDQVLYQTIMVAYERAGLVAHAKRLLHELKRPDNIPRGTAITILAGAGRIEEATWVFRQAVDAGEVKNIEVFECMIDLYSRLRKHRNVIEAFEKMREAGYFPDSNTIALVLNAYGNLREVEKADGLYREMHEEGCVFSDEVHFQMLSLYGDTRDFETVEALFEKLNSDPHINKKDLHIVVAGIYERANRLNDASRIVNRMSDMRMLV >itb12g28300.t1 pep chromosome:ASM357664v1:12:28273742:28274506:1 gene:itb12g28300 transcript:itb12g28300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSFPYHSSSSANFDLEGGRTKSYSFNGRRPAGECNPEVKRRKRVAAYNMYAMEGKLKSSLRNSFKWIKTKFTHTSYYDSSQTN >itb02g04320.t1 pep chromosome:ASM357664v1:2:2562032:2562773:1 gene:itb02g04320 transcript:itb02g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGSSRLYEVEMEMLKENMPYRILVPAMSVLVFAVGYIVGGDPVSHVPVMIKQTGFLAVIFSFLCCIASMCVYMVVRFQLKPPTPRKLFSLWTLISAVAALTLVIWMYAVLTHSSYTRLWISVTVVGLVCFPILAYLVYAVALELALRIAIAEVIASGIASAIDSAIAGLINQAISHPTTQQANTNDNNSE >itb06g24050.t1 pep chromosome:ASM357664v1:6:25749498:25754857:1 gene:itb06g24050 transcript:itb06g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSYSESPSSSSFGPPSSKFKHNPPGDSQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYTKRGDVVLDLACGKGGDLIKWDKAKISYYVGIDIAEGSIEDCRTRYNGEADHHQRRKKFSFPARLICGDCFEVQLDRALADDMPFDIISCQFAMHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIRKLREAKGLGFGNSVYSIEFSEEYSEKKFRTSNPFGIKYKFHLQDAVDCPEWIVPFHVFKEIAEEYDLELVFAKNSHAFVDEYMKKPEFIELMRRLGALGDGNQDQSTLSPDEWDAAYLYLSYVLRKRGQPEQRPVNPKREKGKMQLSKEDIVYISGGV >itb06g24050.t2 pep chromosome:ASM357664v1:6:25749498:25754857:1 gene:itb06g24050 transcript:itb06g24050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSYSESPSSSSFGPPSSKFKHNPPGDSQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYTKRGDVVLDLACGKGGDLIKWDKAKISYYVGIDIAEGSIEDCRTRYNGEADHHQRRKKFSFPARLICGDCFEVQLDRALADDMPFDIISCQFAMHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIRKLREAKGLGFGNSVYSIEFSEEYSEKKFRTSNPFGIKYKFHLQDAVDCPEWIVPFHVFKEIAEEVKFYSYDLELVFAKNSHAFVDEYMKKPEFIELMRRLGALGDGNQDQSTLSPDEWDAAYLYLSYVLRKASLVNKILNFKCPYSSIHSLRIHWLDIVGRGLVKGQVQQLVARAR >itb04g33550.t1 pep chromosome:ASM357664v1:4:35761161:35762794:-1 gene:itb04g33550 transcript:itb04g33550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVELLACCLVGIEGAKGLRFKGVCEKKRFKGAAAGNGVIISLIIGYIIYIDIDGRPDANLIHKSTKIPSKAKAEIKHRYIFHQINPTANSAMTLFENDDDDASLSAENLASPGLALPYAASPSHTRWLFNHGGLTWNPVTEMGTAENAAAASLREWEMSEGGVNRPQRYSVNTECNLLVDMAGGMMMSPPRPGMSFSGEEALFLRPDMAGGMMMSMSPPRMNYFSPPSGDSIGNFDPFTLCNFADHSEAQELENRKGMMMRPTCIEEVDAGRASALGQNQSLGIWPAAQNMGEGRREEAALLSRALGGGRGGSSRQIQRGRGGDGGGGGHWRVANGQQRAD >itb10g09530.t1 pep chromosome:ASM357664v1:10:12830414:12836359:-1 gene:itb10g09530 transcript:itb10g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEQKKSMKRMAVLVGCNYENNSVFKLNGCRNDVLAMKEVLISRFGFKPDCIEVLTDEEGSPVLPTAANIKSTLLRMVARSEPGDVLFFHFSGHGILIESLNEEAILGHDLNVITSVDFRHIVKGVPRGATFTILSDSCHSGGLIDKEKEQIGPCDHPTCGNETPEPPPNTQVKSVPFEFLLRHLSSITKLATRDIAPHHLELFGDHASLSFKQADKRPEPLDADEGILLSGCQANEVSRDVPTKEGQPPRGAFSYAVETVLKEHPGPLSNRELVLLARKVIKKYQLPQHPCLYCCDGNADAVFLRQS >itb01g29950.t1 pep chromosome:ASM357664v1:1:34013651:34013965:-1 gene:itb01g29950 transcript:itb01g29950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGRGGADSEIEFMERKGKEADVRDVWKGKDGFLQDNRRMRMANMHHVKAKTKGVIFWQALLPWITACLHVSSHLPQLFDSPIPHPHWPFPISHFPMPSVIFI >itb08g04670.t1 pep chromosome:ASM357664v1:8:3826329:3827532:1 gene:itb08g04670 transcript:itb08g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVRSENGDLCVKVEKMSSEHAVKVEKVRSDVVELCDRFEKVSSEHAVKVEKVRSDVAELCDKVEKMSGEHSVKVEKVPWSEVAELWDRVEKMSSEHAVEVEKVWSVVVELRDRVEKVSNEHAKIRVGVEKVKSENAEMRAMQKNKSKDAKQSVTIAKFLEEFKLREDKHREMMKALKDRSDRFL >itb11g15070.t1 pep chromosome:ASM357664v1:11:12424617:12425545:-1 gene:itb11g15070 transcript:itb11g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPETPTLPLFSIPPMQSPEHPGMLTPPLHTAVSVPFRWEEQPGKPRPCTDLIALPPSVPDHAMFSGRPKCLDPPPRLYLEYSSCRNSGTAKSPSPTTVLDGPYITARPQFSSSFRFSSDGAGPEKGQLRALVLGENKKKKRSWWQRTLKFKGGSREIGGSSFIFPSYNSMDAASDNDNGNNSSSKMARFTRTGSFSTLSPSRSHFWVRFPLPISSQIIALISHHKHYTHNTMNESTIV >itb06g15940.t1 pep chromosome:ASM357664v1:6:20174109:20175128:1 gene:itb06g15940 transcript:itb06g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETHSSEAESYTNSSSSTPMQNSVLLGGAEAKTPAKWRGKRGRESGHRHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALSIKGSSAILNFPHLAASLPAPTSRSPRDVQAAAAKAAAMEDLNSSSSSSSSHSSSSLSTSASSSECASAATLASDELGEIIELPPLEGSVDFLESNAELTVADVAAEGWVYPPWWASDGEEFFGYFLDQEGVSLGDCVFPESFG >itb05g21980.t1 pep chromosome:ASM357664v1:5:27559059:27561875:1 gene:itb05g21980 transcript:itb05g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETARSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKSEYEESGPSIVHRKCF >itb05g09420.t1 pep chromosome:ASM357664v1:5:13727332:13729446:-1 gene:itb05g09420 transcript:itb05g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSPSKTILANKYGYIGGDGLIRCRGEHLRKAAEAENHVVVTERRLPQDSQSLAARSSVSTCRRSAEPPSQGLASRLLAVCISFLLFQFLTGSFEMGCCWYQQFLV >itb11g18520.t1 pep chromosome:ASM357664v1:11:18906345:18910159:1 gene:itb11g18520 transcript:itb11g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSCSVPVLVASSTDAARAIMKDHDLAFSDRPASSMADRLLYGSKDMSFAPYGEYWRQVRSISVLHLLSNTRVQSFRNVREEETGLMVEKIRQSCGSVMNLSNVFFELTNDIVCRVALGRKYGRKGGNNGEKDFKLLVGEFLELLGTFNVGDYIPWLAWVDKINGLDRRVEKVAKDLDEFIDGVVEEHIGLKKEEGDGLDFVDILLDIQRENKIGFPIHRDTVKALILDMF >itb02g09210.t1 pep chromosome:ASM357664v1:2:5854618:5855571:-1 gene:itb02g09210 transcript:itb02g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTGSNKTACEEPRPIPAFPTKHKLTRAADQLPGISNALQQSLSGCRRARRPEVHQSKKAANWMKGSEGEESAAVADEKAEVEKKILALQRIVPGGEALGVDKLFEETAGYILALQFQVKALRAVTSFVEGSEKEKRKLGG >itb11g02430.t2 pep chromosome:ASM357664v1:11:1220314:1222655:1 gene:itb11g02430 transcript:itb11g02430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLYFDDLRSNSEVIDSPPQTKDMMDVGEHVNETTPNSLKPNTAVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCVGIYPYYSKLKHESQCAFRPYNCPYAGSECSVIGDTPYLVNHLKDDHKVDMHAGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >itb11g02430.t1 pep chromosome:ASM357664v1:11:1219565:1222655:1 gene:itb11g02430 transcript:itb11g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLYFDDLRSNSEVIDSPPQTKDMMDVGEHVNETTPNSLKPNTAVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCVGIYPYYSKLKHESQCAFRPYNCPYAGSECSVIGDTPYLVNHLKDDHKVDMHAGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >itb11g02430.t3 pep chromosome:ASM357664v1:11:1220314:1222655:1 gene:itb11g02430 transcript:itb11g02430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLYFDDLRSNSEVIDSPPQTKDMMDVGEHVNETTPNSLKPNTAVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCVGIYPYYSKLKHESQCAFRPYNCPYAGSECSVIGDTPYLVNHLKDDHKVDMHAGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMVWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >itb15g23240.t3 pep chromosome:ASM357664v1:15:25980485:25986150:-1 gene:itb15g23240 transcript:itb15g23240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEERIERIIRGLLKQPDNRRCINCNSLGPQYVCTTFWTFICTNCSGIHREFTHRVKSVSMAKFTADEVSSLQAGGNERVRQTFFKTWDPSRNFCPDGSNLNKLREFIRAVYVDRRFTGDRIQENRPSNVKLGSKDVYDDRRSFERTTPTAREEFVERHSFERSAPTAREAFVERHSFESSSLADRRSYEKFSRGVRDELHGRWSIEKYTSPRKSNERSLRYCIDQVRSPVTPVRQKSRSARFEIVDDRFREDGPRNVKKYEHYSFSKTESKRGSRSPDARRVRSEQSPPVMSPVKAISGDKAPELKVSEPPTPKSKARKETDGSAKAEKTGVSKNSSGSSANAKPSQNEVVQPVSLIDFDTDPQSLALTLTTQPIASSPETSGTTSSNDKVSPVDTLEALLFGLSPSVETTENKALVPYVNDANPGITPPARDAAQTEILALPAPEGFEAKVQNVQQLHSMQSFPSRINSLTPQQSTALVLYNQRSNSEPLPNYGEGAAFSNTSQQSSQPASTPAWDASSGNDLRSSGRRELPAELFTSSYAPFAAASSPWQFHPPHGMGYGMQYYPYPTMMVSTKSRNPFDDDSPQVPAPMFHSMSLPLQGQPPNMSPSPPFPYSMASPQLASSYGMTMPAGAYVGQQLNDNMLNPRHQRSHSDETGESAFASMNPLHQSSSGITYQMPNASNPPLSRGNPFS >itb15g23240.t1 pep chromosome:ASM357664v1:15:25980406:25986263:-1 gene:itb15g23240 transcript:itb15g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEERIERIIRGLLKQPDNRRCINCNSLGPQYVCTTFWTFICTNCSGIHREFTHRVKSVSMAKFTADEVSSLQAGGNERVRQTFFKTWDPSRNFCPDGSNLNKLREFIRAVYVDRRFTGDRIQENRPSNVKLGSKDVYDDRRSFERTTPTAREEFVERHSFERSAPTAREAFVERHSFESSSLADRRSYEKFSRGVRDELHGRWSIEKYTSPRKSNERSLRYCIDQVRSPVTPVRQKSRSARFEIVDDRFREDGPRNVKKYEHYSFSKTESKRGSRSPDARRVRSEQSPPVMSPVKAISGDKAPELKVSEPPTPKSKARKETDGSAKAEKTGVSKNSSGSSANAKPSQNEVVQPVSLIDFDTDPQSLALTLTTQPIASSPETSGTTSSNDKVSPVDTLEALLFGLSPSVETTENKALVPYVNDANPGITPPARDAAQTEILALPAPEGFEAKVQNVQQLHSMQSFPSRINSLTPQQSTALVLYNQRSNSEPLPNYGEGAAFSNTSQQSSQPASTPAWDASSGNDLRSSGRRELPAELFTSSYAPFAAASSPWQFHPPHGMGYGMQYYPYPTMMVSTKSRNPFDDDSPQVPAPMFHSMSLPLQGQPPNMSPSPPFPYSMASPQLASSYGMTMPAGAYVGQQLNDNMLNPRHQRSHSDETGESAFASMNPLHQSSSGITYQMPNASNPPLSRGNPFS >itb15g23240.t2 pep chromosome:ASM357664v1:15:25980406:25986013:-1 gene:itb15g23240 transcript:itb15g23240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEERIERIIRGLLKQPDNRRCINCNSLGPQYVCTTFWTFICTNCSGIHREFTHRVKSVSMAKFTADEVSSLQAGGNERVRQTFFKTWDPSRNFCPDGSNLNKLREFIRAVYVDRRFTGDRIQENRPSNVKLGSKDVYDDRRSFERTTPTAREEFVERHSFERSAPTAREAFVERHSFESSSLADRRSYEKFSRGVRDELHGRWSIEKYTSPRKSNERSLRYCIDQVRSPVTPVRQKSRSARFEIVDDRFREDGPRNVKKYEHYSFSKTESKRGSRSPDARRVRSEQSPPVMSPVKAISGDKAPELKVSEPPTPKSKARKETDGSAKAEKTGVSKNSSGSSANAKPSQNEVVQPVSLIDFDTDPQSLALTLTTQPIASSPETSGTTSSNDKVSPVDTLEALLFGLSPSVETTENKALVPYVNDANPGITPPARDAAQTEILALPAPEGFEAKVQNVQQLHSMQSFPSRINSLTPQQSTALVLYNQRSNSEPLPNYGEGAAFSNTSQQSSQPASTPAWDASSGNDLRSSGRRELPAELFTSSYAPFAAASSPWQFHPPHGMGYGMQYYPYPTMMVSTKSRNPFDDDSPQVPAPMFHSMSLPLQGQPPNMSPSPPFPYSMASPQLASSYGMTMPAGAYVGQQLNDNMLNPRHQRSHSDETGESAFASMNPLHQSSSGITYQMPNASNPPLSRGNPFS >itb08g00070.t1 pep chromosome:ASM357664v1:8:59535:62080:-1 gene:itb08g00070 transcript:itb08g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALPESGTFSSCKPMGECWFDDACILDMDYFVKTLSGIKAKGVRPELIGSIITHYASKWLPDLTGGGSEESIGHLIIKFEESESSSTSVAESVTASWMKKRFLVETLIGILPPEKDSIPCNFLLRLLRIGNMVGVEAAYRAELEKRISWQLEQATLRDLMIPSFSHTCGTVLDVELVLRLVKRFVTTIEDQAAAARCSGPTSLNLNLIKVAKLVDNYLAEAAVDSNLTMSHFIALAAAIPAHARPTDDALYRSIDTYLKAHPGVSKEERKGVCKLIDSRKLSAEASIHAAQNERLPVRAVIQVLLSEQTKLSKQLMRQLDWSGSFISAGASASGGARSPNNPPLQQDPRCMSKRDMNTINIQHLEIKKLKDEVLRLQTQCINMHAQIEKLLESSRKRGYGFFSSWKKIGQLNKGIIITTSSSKLGSEVDQAEGGGENIAIGGFGRQTPMPMDTKARLVRGRTSTKWRKSLS >itb03g14270.t2 pep chromosome:ASM357664v1:3:14067133:14068811:-1 gene:itb03g14270 transcript:itb03g14270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMSITNEPGNYNGRMTPFVVLSCMMAAMGGLIFGYDIGISGGVTSMEPFLKRFFHDVYTKMKEDSAEVSNYCKFDSQLLTLFTSSLYVSGLFASLLASSVTRACGRTPSILIGGVAFLAGAALGGAAINVYMLILARVLLGIGIGFANQAVPLYLSEMAPAKYRGGINISFQMCVGSGVLIANLINYGTEKIREGWGWRISLGLAAVPAAMLTLGGIFLPETANSLIQRCNDHDRAKRRLQRVRGTDDVQAELDDLVAASEASRTIKRPFKNILQRRYRPQLVMSIAIPFFQQVTGINVIASYAPVLFRTIGLGESASLMSAVVTGAVGLFTTFVSMLIVDKLGRRRVLIIGGILMFLTQMTVGGVMAAKLGNHGGLTKGWAISVLLLICIYDAGFGFSWGPLGWLIPSEIFPLEIRSAGQSITVAVNFFFTFLVGQTFLAMLCHFKYGIFFFYGGWVAVMTTFAYFLLPETKNMPIEKMDIVWRDHWFWKKFAEVKYYDEEYNI >itb03g14270.t1 pep chromosome:ASM357664v1:3:14067872:14068811:-1 gene:itb03g14270 transcript:itb03g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMSITNEPGNYNGRMTPFVVLSCMMAAMGGLIFGYDIGISGGVTSMEPFLKRFFHDVYTKMKEDSAEVSNYCKFDSQLLTLFTSSLYVSGLFASLLASSVTRACGRTPSILIGGVAFLAGAALGGAAINVYMLILARVLLGIGIGFANQAVPLYLSEMAPAKYRGGINISFQMCVGSGVLIANLINYGTEKIREGWGWRISLGLAAVPAAMLTLGGIFLPETANSRAGRSRCC >itb15g10140.t1 pep chromosome:ASM357664v1:15:7614051:7618161:1 gene:itb15g10140 transcript:itb15g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGTKPAWMEGLMAETFFGGCGVHQHRRKNEKNIFCLLCCQSFCPHCLPSHQSHPFLQVRRYVYQDVIRLNDLEKLIDCSYIQPYTINSAKVIFLNQRAQSRSCKGSGKSCFTCDRVLQDPFSFCSLSCKVDFMVYHDQDLSSILLKFDESDFAFTQFEGLRMDGSDSPDDDGQHTPYSTPEEPSEYKNTSCSNTAIGISSDSEIKRNKKGSSAFLPGFMVSLNNRRKGAPSRSPLS >itb15g01090.t2 pep chromosome:ASM357664v1:15:623998:630549:1 gene:itb15g01090 transcript:itb15g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGSRRPFDGPRVEPGLKKARLAEDAAPDGRGFIQQRPVGSGLVSSRFQHSDRGDAESSDSVRGPFSQQQHHELVRQYKAALAELTFNSKPIITNLTIIAGENSHAARGIAATICTHILEVSSEQKLPSLYLLDSIVKNIGRDYIKQFAARLPEVFCKAYRQVDPSIHHGMRHLFGTWKGVFPPQTLQLIEKDLGFTPGVNVSTSRTESQSSRPAHSIHVNPKYLEARQRMQQSTRGELNEPVAEYGPTVSKHPDMGVGRMSGQVKEQVLDKRWHDFSSKSSGYDLKHGFQNYPSHKSASHDALNKSWKNSEEEEYMWDDITSRAPHATKSLVKDHWTLDDPERMDLECQLQRSQIMKEIGLSFDSDTSTDSLSTENKRQASFGHQVSSSWSREPHSFDGARHPDSARNISSHSEGYHNSFSGLSTAANSIGRTSFQPRTGTVPIGSSNNGLSLNVAPEYAGLIAKQREPRRTASPSSHSTMHQRSPSPSISTHSSSQITRKVGDRDQTHTISQVDPRTSQIPRSTLDPRNKFYQEYQPTLSRNAHLDISQRTSLVGSSLQGRNNVSLPEPLASEPQEFESIHQAEKPVVSVIPGYTKPSVTQSSLSNASKHSGAESPGQASTSSLLAAVMRSGILSSDTLVGSLPGLNSQDSVSPPLPSCSSPAQPVDIPPSPSPNVTNSGSNPVSSGSNPVSSLLSTLVAKGLITASKTQSPTAVESKIAPQISIRSPPTVSKNSGVVSSVPASVSPSSSKAEELSKPATKTSDVPAKSATSEIKNLIGLVFKPDVIRQPHPIVISELLDDIPHQCSMCGLRLKFKEQLDRHLDWHASRNPDMKLLNKAPRKWYSSSAEWVAGNDSFNKGSCKKMESSEPMVPADERQCICILCGEVFEDIFSEDSNRWMFKGAVYMSTQSSGENCGSINKEGIALGPIVHKNCISETSLHDLGLVQDVKQEKDT >itb15g01090.t1 pep chromosome:ASM357664v1:15:623893:630549:1 gene:itb15g01090 transcript:itb15g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGSRRPFDGPRVEPGLKKARLAEDAAPDGRGFIQQRPVGSGLVSSRFQHSDRGDAESSDSVRGPFSQQQHHELVRQYKAALAELTFNSKPIITNLTIIAGENSHAARGIAATICTHILEVSSEQKLPSLYLLDSIVKNIGRDYIKQFAARLPEVFCKAYRQVDPSIHHGMRHLFGTWKGVFPPQTLQLIEKDLGFTPGVNVSTSRTESQSSRPAHSIHVNPKYLEARQRMQQSTRGELNEPVAEYGPTVSKHPDMGVGRMSGQVKEQVLDKRWHDFSSKSSGYDLKHGFQNYPSHKSASHDALNKSWKNSEEEEYMWDDITSRAPHATKSLVKDHWTLDDPERMDLECQLQRSQIMKEIGLSFDSDTSTDSLSTENKRQASFGHQVSSSWSREPHSFDGARHPDSARNISSHSEGYHNSFSGLSTAANSIGRTSFQPRTGTVPIGSSNNGLSLNVAPEYAGLIAKQREPRRTASPSSHSTMHQRSPSPSISTHSSSQITRKVGDRDQTHTISQVDPRTSQIPRSTLDPRNKFYQEYQPTLSRNAHLDISQRTSLVGSSLQGRNNVSLPEPLASEPQEFESIHQAEKPVVSVIPGYTKPSVTQSSLSNASKHSGAESPGQASTSSLLAAVMRSGILSSDTLVGSLPGLNSQDSVSPPLPSCSSPAQPVDIPPSPSPNVTNSGSNPVSSGSNPVSSLLSTLVAKGLITASKTQSPTAVESKIAPQISIRSPPTVSKNSGVVSSVPASVSPSSSKAEELSKPATKTSDVPAKSATSEIKNLIGLVFKPDVIRQPHPIVISELLDDIPHQCSMCGLRLKFKEQLDRHLDWHASRNPDMKLLNKAPRKWYSSSAEWVAGNDSFNKGSCKKMESSEPMVPADERQCICILCGEVFEDIFSEDSNRWMFKGAVYMSTQSSGENCGSINKEGIALGPIVHKNCISETSLHDLGLVQDVKQEKDT >itb08g03880.t1 pep chromosome:ASM357664v1:8:3134421:3135421:1 gene:itb08g03880 transcript:itb08g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDNLQQQQQQTPRKRGRPRKIVPKEEEFEEQTGKEDVAESETKKPRISGEEESSEHKVETKGKVEASSSPSKKEDDEEASRNISQKQQARSRGRRKSKPRKSS >itb04g24750.t1 pep chromosome:ASM357664v1:4:29488806:29490215:1 gene:itb04g24750 transcript:itb04g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGYVPQTFMCKQKQPLRHIPNGGGIDHLKILRRPQKEKVAVVMGPTGAGKSRLSVDLARRFSAEIVNADKMQVYEGFDVLTNKITDEEAGGVPHHLLGIIDPENDFTAKDFCNVASSCIKSIAGWGRVPIIAGGSNSFIEALVEDEDWELKSKYDFCFICVDVSLPVLHSFVSERVDRMVEKGLIEEARGMFNPASRDYSQGLRRAIGMQELDRYFRIETAISDEEIRARLLEDAINDVKKNTRKLACKQLEKIKRLKHVKGWKIHRLDATEAFLKRGGREADEAWENLVVAPGAAILNRFLYSHAASASLFFTADNLLIPRATVAAAAIH >itb12g01070.t1 pep chromosome:ASM357664v1:12:751410:753697:-1 gene:itb12g01070 transcript:itb12g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSLGGSDSLDVYGREFRSMMEGVDEEEAAGGGCGGGMGEKKRRLGVHQVKALEKYFDMENKLEPERKVKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYGVLKANYDSLKRSYETLQHDNQDLLKQIRELKGKMNGEDGERKVTVKEEAVESFSGGGGKSKQTAADNETELNFDDNHFHGGGNASIFAEFKDWSSDSDSSAILNEDNSPNAAMSSSGAAAAAFFTASDNPAQFSEIPKNILGDSYDDSQFVKIEEHNFFAAGEEPCTILLSEEQAPTFPWICPDDWNWEDES >itb01g05680.t4 pep chromosome:ASM357664v1:1:3931467:3933694:-1 gene:itb01g05680 transcript:itb01g05680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAARSVFRSATASARTAATRLATGAKPKTSASPFRIPTQKPLTARIFRSPLELSCVRLETMLPYHTATACALLNSMLSVAPRSRGWSLEDL >itb01g05680.t1 pep chromosome:ASM357664v1:1:3931467:3933694:-1 gene:itb01g05680 transcript:itb01g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAARSVFRSATASARTAATRLATGAKPKTSASPFRIPTQKPLTARIFRSPLELSCVRLETMLPYHTATACALLNSMLSVAPRSRGWSLEDL >itb01g05680.t2 pep chromosome:ASM357664v1:1:3930465:3933694:-1 gene:itb01g05680 transcript:itb01g05680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAARSVFRSATASARTAATRLATGAKPKTSASPFRIPTQKPLTARIFRSPLELSCVRLETMLPYHTATACALLNSMLSVAPRSRGWSLEGL >itb01g05680.t5 pep chromosome:ASM357664v1:1:3931467:3933694:-1 gene:itb01g05680 transcript:itb01g05680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAARSVFRSATASARTAATRLATGAKPKTSASPFRIPTQKPLTARIFRSPLELSCVRLETMLPYHTATACALLNSMLSVAPRSRGWSLEDCNDDA >itb01g05680.t3 pep chromosome:ASM357664v1:1:3930460:3933694:-1 gene:itb01g05680 transcript:itb01g05680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAARSVFRSATASARTAATRLATGAKPKTSASPFRIPTQKPLTARIFRSPLELSCVRLETMLPYHTATACALLNSMLSVAPRSRGWSLEDG >itb05g14270.t1 pep chromosome:ASM357664v1:5:21325648:21327707:1 gene:itb05g14270 transcript:itb05g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDILCLVVKYTQNLVGKSWFSKSSGGRYVLRIDWKNIMTTKQQWMEQMLGFINKTLLRVALVFPRYWLFGAVKFKCLLVTNDEMRDQIFKLLGSNFFIRYTFVKGDLELLMPPSFSGVIQVEVTALNSFEEKEEQFREQVVVVITCQGTARN >itb06g15080.t1 pep chromosome:ASM357664v1:6:19413384:19423278:1 gene:itb06g15080 transcript:itb06g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSKIKSVDFYRKIPRDLTEASLTGAGLSIIAAFCMILLFGMELNDYLTMSTTTSVIVDKSSDGDFLRIDFDMSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDSNLRHTGSEFESGPIANAIKHDEDVDEDYTEGSFSLNGRNFDIVAHRYPILVVNFFAPWCYWSNRLKPSWEKAANIIRERYDPEMDGRILLGKVDCTEEVDLCRRNHIQGYPSIRIFRKGSDLREDHGHHDHESYYGDRDTESLVKTMEELVAPISLESHRDSSDAKLPTNGTKRPAPTSGGCRIEGFVRVKKVPGNLVISARSAAHSFDASQMNMSHVISRFSFGKRLSQKAISDMKKFLPYLGQSYDRLSSNAYITNPKESNENVTIEHYLQVVKTEVMTGSDKVVEDYEYTAHSSLVHSLDIPLAKFHFEPSPMQVLITENPKSFSHFLTNVCAIIGGTFTVAGILDSVLHNTLRLVKKVELGKNF >itb01g20170.t1 pep chromosome:ASM357664v1:1:26452116:26455277:1 gene:itb01g20170 transcript:itb01g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRNLLPFYITVCVVSFVISKIVIAVLCYRRWKRKHMEVHDSLSAAGGKLVMFRTAKMKEVKSSALVKRTMKLSNKDIIGSGGYGTVYRLAMGGELSVCFAVKKLNRMSGEQDRGFEREVEAMADIKHRNIVTLHGYYTTPHYNLLIYELMPNGSLDKLLHGKCSNKKVLDWDTRLKIALGAARGLSYLHHDCIPHIIHRDIKSSNILLDDNMEARVSDFGLATLMQPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGRKPSDEVFIEEGSKLVSWVKAVVASKREEYVVDSNLEKCPIDETIQVFNVAMMCLEYEPSKRPTMAEVVKLLEQIKLDALV >itb12g09700.t1 pep chromosome:ASM357664v1:12:7647657:7648342:1 gene:itb12g09700 transcript:itb12g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRANRHQRRPSQSVFALPDDLSAPPLDICGGDQQGKPALPPPPAAPPSAPPGQVNQPPFVKASPAENKPKASHAVLQE >itb04g02840.t1 pep chromosome:ASM357664v1:4:1759950:1764650:-1 gene:itb04g02840 transcript:itb04g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRSSYTCSFTHHHHHHSNGDSGELTAAEMKKGPWKVEEDEVLLNHVKKYGPRDWSSIQSKGLLQRSGKSCRLRGVKFSAEEERIVIDLQAEFGNKWARIATYLPGRTDNDVKNFWSSRRKRLARILHNNNHSAASSSHSNMSPEKNSVAVEDAPAFHHASSLEAQKLSSMDDEQEAMLLSKPQSCSSSSNVVGKSEEAACNVVSAMPPEAAVNIPIPFTFEPVNLFHPHLLSFPEFPQLLTDDDIALPPAIESLPDGFNDNLGPLWDPSLLDMFGSSAACDASGMGNGMQLPFPAQEGGFRREDGVKKEAGTLTPESFIDDFPIDMFDHIEPLPSPSDCKRVHRQGGNPSLQNKESTLPRKLAYRVGEELGRPKALSVPSLSLQLPFPGASF >itb02g12870.t1 pep chromosome:ASM357664v1:2:8896541:8899886:1 gene:itb02g12870 transcript:itb02g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLTIFLPLIFFFLCLAAYEVSSDPRIEGARETEHASLSQAFHVFTRDNRRLMEDMVLRLSRYLNQGHHQEANVMAPAAAPGPVHNPVPLDCGGLCSHRCSLHSRKNVCLRACGTCCARCKCVPPGTSGNREMCGKCYSEMTTHGNKPKCP >itb12g00930.t1 pep chromosome:ASM357664v1:12:654684:659863:-1 gene:itb12g00930 transcript:itb12g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATYGQLNLDESPAWGSRSVDCFEKLEQIGEGTYGQVFMAREKRTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLKEIVTSQGPEGDEPERTDNNKYKGNIYMVFEYMDHDLTGLADRPGLRFSIPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDQNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLYGKPILPGKNEPEQLNKIFELCGTPDEINWPGVSKVPWYNKFKPTRPMKRRVRETFRHFDRHALDLLDKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKTLPKYESSHEFQTKKKRQQQRQHEEMAKRQKLQHPQQHTRLPPIQPGQAHPQHWGGPNNPMNNAQATISAGPSNHQYGKSRGPAGGQGRYPPGGNPSGGYYQDRGGQGGNYSSGPYPPQGRAPPYPASGVANSGPRGASGGYGVPPNYSQSGQYGGSNTGRGPNQMGGNRNQQYGWHQ >itb11g21240.t1 pep chromosome:ASM357664v1:11:22706258:22707302:-1 gene:itb11g21240 transcript:itb11g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCNQATCPLPRPEMSPSMIPPSLHPPPPPPGYPVGDGGRVSGDVSTPGRTQSRGDGFWRGCCAALCCCCLLDACA >itb02g23820.t1 pep chromosome:ASM357664v1:2:24092050:24095197:-1 gene:itb02g23820 transcript:itb02g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDGYSFGMTPKGTPMRDELHINEEMDMDGGKVACSDSKRELRSRLGGLPNPKNEYQIVMQPLPEESEEPEEKIEEDMSERIAREKAEEEARQLALLRKRSKALQRDLPRPPAASLDLIKSSLIRADEDKSSFVPPTLIEQADELIRRELLSLLEHDNVKYPIDEKSEKEKKKGTKRKSVSVPVIEDFEEDELKEAEDLIKDEAQFLRVAMGHETESLDEFVEAHKTCSSDIMYFPTRNAYGLSSVAGNMEKLSALQFEFENVKKKMDDDTKKAQKLEQKVKVLTNGYQFRAGKIWSQIEATFKQMDTAGTELECFKVLQKQEQLAASNRINNIWEEVQKQKDLERTLQKRYGDLLVEKERIEHLMDEYKKQAQMQEIEAKNRALELTTAEGDAADNKMIVAPSNEDLESVAYVNEHYPAQESPNKQAEDGSSMAVDPAQESPNKQTEHEGSMADDPAQENPNEPTDNAQEQPSGSPKLGMDIDEVGSTTDTNELSQSTPAARESSLTDEVHAENACNESESGVTSGSPKLMNADENPTSGIGGEASADAFVSPITEDQVF >itb02g15810.t1 pep chromosome:ASM357664v1:2:11499133:11502563:1 gene:itb02g15810 transcript:itb02g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIMRRRMFLVVFYVVLVVTVEANIGQYDEVWKKRAHDAKKAAHGAYNPNPHHVIHHINDHVHKAAKGTNRTIRRQLRLRHRYHGPCLATNPIDQCWRCDPNWARNRMKLADCVLGFGRHTTGGKGGKIYIVSNPADDDLVNPRPGTLRHAVIQPGPLWIIFGRSMVIRLNGELIVTSHKTIDGRGRQVHLAQGAGITLQFVQNVIIHCLHIHDIKAGAGGMIRDSLSHFGLRTRSDGDGISVFGSSNVWIDHISMSNCDDGLIDVIQSSTAVTISNCHFTHHNDVMLFGASNSYSKDKILQITLAFNHFGQGLIQRMPRVRYGFVHTVNNDYTHWLMYAVGGSQNPTILSQGNRFIAPPNPAAKEVTKREYTPEGVWKNWVWKSDGDLMMNGAFFVQSGNPNQKFPTAEEGIKPKPGNFVNMLTKFSGHLDCHDGKPC >itb04g08950.t1 pep chromosome:ASM357664v1:4:8206676:8208226:1 gene:itb04g08950 transcript:itb04g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNTLENSGTSVSQTLGSTDTCAADDAMVGESNGFNKSNIPLMKEKSKTLWVDLFKPSIEKGKEVLHLDFYEPVNGGAVIQENELLVIKEQWAFALLGCFAGRFPGVTAIQALVDSWNIQCKWSTQPNDYVLFRFNTEEDRSTILTKGEYSLFGKPLFLKSLPERFQLENSDFSTLPIWVQFPSLPTEFWGPIALSKIASCLGRPLWSDDTTKTLKKGGYARVLVEIDTSFHPLEAIPVSTPSGYSFSQEVFYELPPCFCTKCRSNEHYKEECTGKWKYSRRGRKANPSKGKRGRSRRPQSSRNPSVYPSEQSLEAPNSGQNVTASENTLPLVPEIPINEGPAQSSSPCEERIPEDIQEIAHEKENEFVMPEKDGDTDREEESSQALSSSEPPSPVRKETGLINEVSPLGTNTSRGRNVLKEIIAEVFSEPTVVMGKKKAAKSVVTGKSVVTRNGTTKNSKGTSGYKAALLSPSAEQGTSTVSSAHGIMKMTPLPLKDNVMARGGGRRRPTSVVK >itb04g13690.t1 pep chromosome:ASM357664v1:4:13820686:13822256:-1 gene:itb04g13690 transcript:itb04g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSIVLATLLAWTLCLLAKRCSTSNLAKKLPPGPVPLPIIGNLHHLLDGQPHKFLALLAQKYGPVMNLKLGMINTVVISSSTMAKQALQNQDLIFSSRPILDALRAHNHNQLSVIWLPVSSKWRTLRKILISSIFSDSKLDTNQQLRDRKIQELIMYCQNKGHVGEAVDLGRAAFRTTLNLLWNTIFSKDLADPYSDSAKEFKELIRKIMEEAGKPNLVDHFPFLQKFDLQGSRRRMTGYFTKGLQLFNDLIDERLEERKVRGSKNIDLLDLLLNISQERPEEIDRTQILHVCLDLFVAGMDTSSSTLEWAMAELLKNPDIMAKAQAELADVIGKGKQLREADVTHLPYLQCVIKETLRIHPPSPFIPRKVEQDVILCRYTIPKDSQVLVNVWAIGCDSSIWESPLIFKPERFWNLQVDVKGQDFELIPFGTGRRICPALRLAMRMVPIMLGSLLNSFQWKLEGDITPMDLDMEEKSGFTLAKAQPLRAIPIPF >itb03g14610.t1 pep chromosome:ASM357664v1:3:14246252:14249226:1 gene:itb03g14610 transcript:itb03g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDLEMEKENLSVLVPHGLNSDGKIRTLEKELEMTREKLMASEQESTNLKGNLSEVISKNADELEHLHCQVAEQRVELANNASKISFLANQLEMAKEKLQRSEEANTKLNFDLSKIVSESTQLSHQLEASRCDVHVLKAQLDSGKKYALELEDMYKSKLLNQEDQMKKINSEYHGAKEQFLLEKEQLQSVISSLSVQLTLQDEMKEIQEMQNKELEDLKSELYFKDELIQVLNRELDGFKLKYGTLMTEKDETIATLQTLKAVLSSRDDEIQQLEDNVKQLHSKCLFLNAGSQSAEKLTNELRQRVAHLEKEVGMQNEVISDRAEEKREAIRQLCFSLEHYRNGYQQLREAYIEKKEANHFCLRFP >itb15g21400.t4 pep chromosome:ASM357664v1:15:24120945:24124160:1 gene:itb15g21400 transcript:itb15g21400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSYRKHVLFLISIINFSLIGPALSYGSKHETKVLHVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLDLLDNQGAMSVLVTVEPEGVVAIRGVQERKFIIFNIVCDELYLGIPEKAWYVVMFAILCLVVAFVIPSFLPPYLLPRSQNRNIADQVISKDS >itb15g21400.t5 pep chromosome:ASM357664v1:15:24120945:24124160:1 gene:itb15g21400 transcript:itb15g21400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSYRKHVLFLISIINFSLIGPALSYGSKHETKVLHVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLDLLDNQGAMSVLVTVEPEGVVAIRGVQERKFIIFNIVCDELYLGIPEKAWYVVMFAILCLVVAFVIPSFLPPYLLPRSQNRNIADQVISKDS >itb15g21400.t3 pep chromosome:ASM357664v1:15:24120945:24124160:1 gene:itb15g21400 transcript:itb15g21400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSYRKHVLFLISIINFSLIGPALSYGSKHETKVLHVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLDLLDNQGAMSVLVTVEPEGVVAIRGVQERKFIIFNIVCDELYLGIPEKAWYVVMFAILCLVVAFVIPSFLPPYLLPRSQNRNIADQVISKDS >itb15g21400.t2 pep chromosome:ASM357664v1:15:24120945:24124160:1 gene:itb15g21400 transcript:itb15g21400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSYRKHVLFLISIINFSLIGPALSYGSKHETKVLHVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASQIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLDLLDNQGAMSVLVTVEPEGVVAIRGVQERKFIIFNIVCDELYLGIPEKAWYVVMFAILCLVVAFVIPSFLPPYLLPRSQNRNIADQVISKDS >itb15g21400.t6 pep chromosome:ASM357664v1:15:24120945:24122440:1 gene:itb15g21400 transcript:itb15g21400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSYRKHVLFLISIINFSLIGPALSYGSKHETKVLHVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLDLLDNQGAMSVLVTVEPEGVVAIRGVQERKFIIFNIGTRTYNLNTLTCLFGPV >itb15g21400.t1 pep chromosome:ASM357664v1:15:24120945:24124160:1 gene:itb15g21400 transcript:itb15g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLKMLCSYRKHVLFLISIINFSLIGPALSYGSKHETKVLHVGEELQKETIPLQSGSRLYHLQGLKSHTWYEVKISYPASQIPATFSLQLKKDSSGLELNRERKLLNTEKLIFKTDVLDLLDNQGAMSVLVTVEPEGVVAIRGVQERKFIIFNIVCDELYLGIPEKAWYVVMFAILCLVVAFVIPSFLPPYLLPRSQNRNIADQVISKDS >itb03g15070.t1 pep chromosome:ASM357664v1:3:14524771:14526858:-1 gene:itb03g15070 transcript:itb03g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKSGWNCPDLNGKPCLSPFVFTLLAFIVLAAVFYHRQDNLGDIFCLLDYSNKTAATTTTPQFKTRTKLAFAIGETEKDCDVFSGRWVWDEENRPLYEEHDCPYLWRQVTCQGHGRPDREYLHWRWQPHACSLPSFDATMMLESLRGKRMLFAGDSLNGGQYISMVCLLQRAIPHDHAKSFVGNESLAIFTAKDYNVTIEFYFAPFLLEASTSRIVRKDSMNANGQHWKGFDIIVFNTYVWWMTDATVKLLQSGSLDDEKKDIIKVSPEEAYRMAMKNMLKWVEENMDPKKTRVFFTSMSPLHPRSIEWGGDPNGNCYNETTPIKDLNFWSITTKKSIMEVIKQVFSESRVPITFLNITQLSSYRKDAHTSIYKTQWNPFTGKDEIANPKTTYTDCTHWCAPGLQDTWNELLFAKLFYP >itb06g07570.t1 pep chromosome:ASM357664v1:6:11213083:11217203:-1 gene:itb06g07570 transcript:itb06g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGGKIRDNRLIMFIFVLVLFNLQACKCSELELLASMKASLNDPLGSLRDWNPSRALCEWSGLVCDGSSHVAKIELSGKNLSGRIPESSFQLPYVKSIDLSSNQLFGEIPSNLSSCLSLQYLNLSNNNFTGPLPQGPSPFLETLDLSNNMLSGKIPENIGFFSGLKVLDFGGNVLVGSIPKSITNMSQLEYLTLASNQFSGEIPPELGLMKNLKWIYLGYNNFSGGIPEEIGELANLYHVDLVYNNLTGEIPSSLGNLTSLQYLFLYLNKLSGPVPSSIFGLKKLKSLDLSDNFLSGEIPELVGQLQNLEILHLFSNNFTGKIPTSLTSLPRLQILQLWSNQFSGEIPRDLGKFNNLTILDLSTNKLTGKIPKHLCYPGHLFKLILFSNSLEGEIPESLSICKTLQRLRLQNNKLTGHLSPEFTKLPLVYFLDVSGNNLNSSISDRNWDMPALQMLNLARNKLYGSLPDSFGTQKLENLDLSGNGFSGEIPPSFGKFSELMELKLSANKLTGKIPDELCSCQKLVSLDLSHNQFSGQIPSSLSQMPVLGQLDLSVNELSGEIPQNLGQIQSLVQVNVSFNHLYGSIPSTGAFLAINSSAVAGNTLLCGGDETSGLPPCKGAYKARVWWYFLTSLLVLVLAAAAMIFVFIRRRRKELVMNRVGSKDGTWELQFFDSKASKSITMEDISSSRTEENFISRRSYRGSSTINNMQFLAKIVSNINHSSYWIQTSELGNLHHPNIVRLLAAAACMSEKHGILIYEYIEEGKELCEAIRGMGWERRLKVAIGIARALKYLHCCCSPGVIVGDDLSSHNIIIDHRDEPCLRLRLPHSKRSSSHLAPAEMVESKDIYGFGLILMELLTGRSPADDEFGVHESIIEWARYCYSDCHLDAWVDSPIKPDAVIYRTKIVATMSLALDCTADNPAARPTATAAVKALDAFVRSNSCGLKLCSNV >itb06g07570.t2 pep chromosome:ASM357664v1:6:11213064:11217203:-1 gene:itb06g07570 transcript:itb06g07570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGGKIRDNRLIMFIFVLVLFNLQACKCSELELLASMKASLNDPLGSLRDWNPSRALCEWSGLVCDGSSHVAKIELSGKNLSGRIPESSFQLPYVKSIDLSSNQLFGEIPSNLSSCLSLQYLNLSNNNFTGPLPQGPSPFLETLDLSNNMLSGKIPENIGFFSGLKVLDFGGNVLVGSIPKSITNMSQLEYLTLASNQFSGEIPPELGLMKNLKWIYLGYNNFSGGIPEEIGELANLYHVDLVYNNLTGEIPSSLGNLTSLQYLFLYLNKLSGPVPSSIFGLKKLKSLDLSDNFLSGEIPELVGQLQNLEILHLFSNNFTGKIPTSLTSLPRLQILQLWSNQFSGEIPRDLGKFNNLTILDLSTNKLTGKIPKHLCYPGHLFKLILFSNSLEGEIPESLSICKTLQRLRLQNNKLTGHLSPEFTKLPLVYFLDVSGNNLNSSISDRNWDMPALQMLNLARNKLYGSLPDSFGTQKLENLDLSGNGFSGEIPPSFGKFSELMELKLSANKLTGKIPDELCSCQKLVSLDLSHNQFSGQIPSSLSQMPVLGQLDLSVNELSGEIPQNLGQIQSLVQVNVSFNHLYGSIPSTGAFLAINSSAVAGNTLLCGGDETSGLPPCKGAYKARVWWYFLTSLLVLVLAAAAMIFVFIRRRRKELVMNRVGSKDGTWELQFFDSKASKSITMEDISSSRTEENFISRRSYRGSSTINNMQFLAKIVSNINHSSYWIQTSELGNLHHPNIVRLLAAAACMSEKHGILIYEYIEEGKELCEAIRGMGWERRLKVAIGIARALKYLHCCCSPGVIVGDDLSSHNIIIDHRDEPCLRLRLPHSKRSSSHLAPEMVESKDIYGFGLILMELLTGRSPADDEFGVHESIIEWARYCYSDCHLDAWVDSPIKPDAVIYRTKIVATMSLALDCTADNPAARPTATAAVKALDAFVRSNSCGLKLCSNV >itb01g06490.t1 pep chromosome:ASM357664v1:1:4677202:4677720:1 gene:itb01g06490 transcript:itb01g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIGGNGGDPLLLRLYFIRGSDRICTTTTTTASFFTITQNIIFAAAALGVLLGRINYVYTYGVVKLPVSTSSLIVASQLAFTADAAFVLVKQRFMVNVVVLLTIGAKVLVVGSSGDRPAGESKKEYVAEFLMALAAASNDLTL >itb05g25730.t1 pep chromosome:ASM357664v1:5:29978829:29981506:-1 gene:itb05g25730 transcript:itb05g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLTTVLVGCFLPSILVVEGNNDGMDLPSCDFPAVYNFGDSNSDTGGIAAAFFPTIEPFGETYFHRPTGRASDGRLIIDFIAEHLGIPHLSAYLDSVGTSYRHGANFATGGATIRRQYESWFENGVSPFPLDIQVEHYTQFKARTSYFYNQAKEETDRARLPVPEHFSKALYTMDIGQNDLAAGFRKMNMEQLHAAIPDIVNQLTAQVRDLHNKGARNFLIHNTAPIGCLPVSTTKIRDPKPGELDEQGCVKAQNEAAMEFNRQLKDSVVKLRAELSQAALTYVDMYHAKYELIKRAKNQGFEDPFKICCGYHDTRNDVWCGHRAKINDTEVYAGSCEKPSAAVSWDGVHYSEAANNWVASQIVNGTWSDPPIPITKACHRLTLG >itb15g15330.t1 pep chromosome:ASM357664v1:15:14046008:14046977:1 gene:itb15g15330 transcript:itb15g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKRLVPTLNRVLVEKINAPAKTTSGILLPEKSSQLNSGKVVAVGPGLRDKSGNVVPVALKEGDNVLLPDYGGTQVKLDDKEYHLFRDEDILGVLHDK >itb03g22930.t1 pep chromosome:ASM357664v1:3:21038455:21039630:1 gene:itb03g22930 transcript:itb03g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVGILCIVAATSLLFLLTLNPYDEDGRVVLEDDEQVWMRKNHIMLPSKSRRVTPPTPNFDTNNVVGLNDRMNQLLLPSMQWRPVGPPSPNSAINTNPTLGQQNFADLPPAKENLFLPSMQTWRPVSPPKSNTGHNSVTPIGEINLASSKNENQQVLLPSLEKGQVSPPGPNPGNNFVSPTPNPPGHDSVATLSGMDFARSKLSSQHILLPSLQKGPVTSPGSSPIHNPITPPRSNPSLTGEKTFARPELKKQQVLLPSLERGPVTPPTPNPGHNSVTALSGTESASSKKRSQLILLPSLQKGPVTSPGFSPGHNSVPPIGEKSFAYLKMIKNRNLIPYSQKLRPTTPPGTDVEQNSSGCNMGTKKKFAARKMGGARLPSVAVSLKASY >itb01g26980.t1 pep chromosome:ASM357664v1:1:31969983:31973753:1 gene:itb01g26980 transcript:itb01g26980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNALVNLSTNSTMLHIVPNRRNGLVDFLPRSRTPARPSGRVFRVKAMSAETGHSQEQKQEQPGVASERKNIISVVLDVPRNIWRQTLRPLNDFGYGRRSIWEGGVGLFLVSGAVLLALSLAWLRGFQLRSKFRKYLAVFEFDQASGICTGTPVRIRGVNVGNVIRVNPSLKNIEAVAEVDDDKIIIPRNSLVEVNQSGLLMETMIDITPRDPIPTPSVGPLDQDCLKEGLIVCDRQKIKGYPGVSLDALVGIFTRIGREVEEIGVANTFSLAEKVVYAIEEARPLLNKIKAMAEDVQPLLTEVRDSGLLSEVESLTKSLTQASEDLRKVHSSVMTPENTELIRKSVYTLVFTLKNIESISSDILGFTGDEATRRNLKLLIKSLSRLL >itb09g10080.t1 pep chromosome:ASM357664v1:9:6255378:6256461:-1 gene:itb09g10080 transcript:itb09g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRHPDDANQPYFPKFVVVFGLSVAAISVLVPIDVVNRQASLPAARHLQRNGACILTLPMKELWLSIYIVDAMLVFFIIPFAMFYYELKAIRTSPN >itb12g20410.t1 pep chromosome:ASM357664v1:12:22887525:22892030:1 gene:itb12g20410 transcript:itb12g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHSTLILFLLILHLLPSSPTSASHFNATNTHSLLPANYLQIANEVASLLTWKSSLDLKAQKVLSSWVAGGSHCNWTGIDCNVGGIITALNLTNCGLRGTLEGLNFSSLASLQIIDLSNNSFHGNISFIKEMTNLRNLTILYLYKNQFSGYIPQEIGLLVSLVDLALYQNSLRGQIPTSFGKLKNLELLDLNGNNLSGKIPQGIGSLKSLVELDLSSNTLTGLIPIEIGNLSMLVNLSLFENHLFGVIPEEVGKLKFLERLSLYSNNLNGQIPTSIGNLSKLIDLRLSFNALSGQIPISFCNLKNLTILFLNNNRFSGYIPYDFGLLTSLVNLCLAENALTSEIPSTIGNLTHLTILYLHVNNLSGQIPQEIGNLSSLIDLRLSFNALTGQIPTSLCNLRNLTILFLNNNKFSGYIPKDFGLLTSLVNLDLQKNALTSEIPSTLGNLKNLATLYLSFNNLSGQIPQEIGLLVSLVKLTLYQNRLTGHIPTSIGKLKNLELLYLYQNNLLGNIPQEIGSLKLLGELDLSSNNLMGQIPTSLCNLRNLTILFLNTNRFSGYIPNDFGLLTSLVNLSLAENALTGEIPSTIGNLKHLTNLYLHVNNLSGQIPHEIGNLSSLIDLRLSFNALTGQIPKSLCNLRNLTILFLNNNRFSGYIPNGFGLLTSLVNLSLAENFIMGEIPTSIGQLKNLELLYLYHNNLSGKIPQEIGSLKSLVMLSLSSNSLSGHIPREIGNLSMLLHLHLHMNHFHGSIPEELGKLKSLRELLLQSNDLNGQIPYEFENITHLRDFQLFNNHLSGSLPENLCLGKSLERAGIADNRFSGKIPKSLKNCTTLYRLRLDNNEIYGDISKDFGIYPNLNYIDLSYNYFHGQLSSNWALCSKLTALKISGNIISGNFPPNFGNASQLRYLDLSSNQLVGKIPESLGKLSLLYVLTLDNNKLTGNIPLQLGELSWLSNLNLASNMLVGSITPQIGRCQRLITLNLSRNMLVGKIPPDMLSLKSLENLDLSHNMLSHQIPTQVGGLSNLQMMNLSHNNLSGSIPSSISQCAALVSVDVSYNQLEGPIPNTKAFLQAPYSALSNNNKGLCGNHSGLKPCFLHNQSDRMNRKLVVIILVALGSVFLLTVVIVIFVIFGRPTRNRGEEVAEQRDFTNDLFTKDLFAIWSFDGKMTYESIIEATGNFDSKYCIGVGGHGSVFRAELPSGQIVAVKKFHTLDLKDDGRRDMRSFSNEIKTLTNLRHRNIVKLYGFCAHNRHSFLIYEYLQGGSLAQILSDDEKALHFGWLERINVVKAVAKALSYMHHDCSPPIVHRDISSNNILFDSELEAHISDFGTSKFLSTDSTSWSTIAGTMGYIAPEFAYTAEVNCKCDVYSFGVVTLEMIMGKHPGDLITCLYSSSISTMDGMLFSDLLDSRVPTQKRYNAQQLVLVAKIAVSCINPNPQCRPSMEQVSMLLSKERDFPKISPEITLSQLFGL >itb07g23630.t1 pep chromosome:ASM357664v1:7:27992264:27996470:1 gene:itb07g23630 transcript:itb07g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKAMAVMAVVLPFFLLFCFGAGESTKLPLAVDGGLRDRIKGLANETETVESMRRVRREIHRNPELAYEEFATSAVVRRELDRLGVKYRWPVAKTGVVATIGSGNPPFVALRADMDALPIQELVEWEHKSQVDGKMHACGHDAHTAMLLGAAKILQQLRQQLQGTVLLIFQPAEERGRGAKDMIQNGVLEHVDAIFGLHVAHKYPVGVVASRPGEFLAGCGIFKATIKGKGGHAAIPQESVDPILAASTAVISLQSIVSREIDPFDAQVVSVSMIHGGSSFNVIPDSATITGTYRAFNKDSFYSLKKRIEEIVRAQAAVHRCTVEIDFDGAENPTFPPTLPPTINDVRIYEHARKSSSLIVGEDNVELAPGQMGSEDFGMYLDKVPGAFFFIGVKNEKTATAFPPHSPYFTVDEDVLPVGAAVHAAFAYTYLLSHAKPPHYS >itb10g01470.t1 pep chromosome:ASM357664v1:10:1102836:1106249:-1 gene:itb10g01470 transcript:itb10g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEAMHKTLEDCYNNNHVLDKYSDEDDDIGSNLSSEREAIDDDHKPGKVKKCMSLGTGLDLRAMAYDYGNDSEDYESWQRSFDDSKDFDTMIAHSECSEANSDLVNNDEESASKMSSNGDEKLSEIDEICDDDDIPDETQHEEGDFRLRRMEKWVSELQNCGSFEETNDNNEGAERDKGFMMLDRTSSSPVPKLDEMVSPGKDAVKKYLSSLSSTATFAQLVNRGLVVIPFLSAFTTLRSLDLRGNAIARITGGSLPRGLHILNLSNNNISTIEGLRQLTRLRVLDLSYNRLLRVGHGLGSCSSLKELYLGGNKIGEVEGLHRLLKLNVLDLRFNKISATKCLRQLSANFNLQAINLEGNPAEKHVGDEHLKKYLQALLPHLVFFNHQPIRGGALNHSADRPARLLAGSGHCMRRGGTRSRGSSSHKLSSSSVYSRKSQSTALQSPSTALPKACKSRRGRVPPKATRRSSHHLHSCEVSKLASFRANMYV >itb01g12580.t3 pep chromosome:ASM357664v1:1:12129097:12134593:-1 gene:itb01g12580 transcript:itb01g12580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRNPLSHHTIKQDTEEFRVMYREGPEGTPFHTLLVEGYVDGPVDVCHCISWEADLYKKWLPQSTIPTFKISFTQCLQKIRIGEQISLVRMKLSWPLSSREALLHYFEFDYFQDGLVVVLLNSISDVEGVDISTHGFSKDGIPDAEDVVRIDVVGGFAMQKVSANRSFFRTIANLDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVTKGDEDFSKALKDPLYSRVREALYSDHTCNGASVLENSTGNSDKQAKDASRDENVNMDHIVINSQAEEEEEEEDSAGGNETIPCEIEEIDEGDGEKSENSPEDDREQTEQCDSPSNPVLHECFSSKKNKVVISREVQQALATLEKAISFIRENQCNVDARPSCGANAEKPLGAVDKHDVEEVKISAELPRKESAERISSYEHSNSYSSRGSRRISSSLCTRETNHNKIAPASPDVYIANPSETRDAVSHSPEDEREEETILEKTLKSDHVSNITSENSIGRKKPRKLKNRCFSFLPGKRTA >itb01g12580.t2 pep chromosome:ASM357664v1:1:12129222:12134086:-1 gene:itb01g12580 transcript:itb01g12580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGDISEYRDRLDKTLSSQDLVNEDLLKGLVKSQIISSLNIENEECIDNIIERRTKEVQNALGMLRSTSVTDGEKSKSSEPSHGWKIKQDTEEFRVMYREGPEGTPFHTLLVEGYVDGPVDVCHCISWEADLYKKWLPQSTIPTFKISFTQCLQKIRIGEQISLVRMKLSWPLSSREALLHYFEFDYFQDGLVVVLLNSISDVEGVDISTHGFSKDGIPDAEDVVRIDVVGGFAMQKVSANRSFFRTIANLDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVTKGDEDFSKALKDPLYSRVREALYSDHTCNGASVLENSTGNSDKQAKDASRDENVNMDHIVINSQAEEEEEEEDSAGGNETIPCEIEEIDEGDGEKSENSPEDDREQTEQCDSPSNPVLHECFSSKKNKVVISREVQQALATLEKAISFIRENQCNVDARPSCGANAEKPLGAVDKHDVEEVKISAELPRKESAERISSYEHSNSYSSRGSRRISSSLCTRETNHNKIAPASPDVYIANPSETRDAVSHSPEDEREEETILEKTLKSDHVSNITSENSIGRKKPRKLKNRCFSFLPGKRTA >itb01g12580.t1 pep chromosome:ASM357664v1:1:12129082:12134677:-1 gene:itb01g12580 transcript:itb01g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGDISEYRDRLDKTLSSQDLVNEDLLKGLVKSQIISSLNIENEECIDNIIERRTKEVQNALGMLRSTSVTDGEKSKSSEPSHGWKIKQDTEEFRVMYREGPEGTPFHTLLVEGYVDGPVDVCHCISWEADLYKKWLPQSTIPTFKISFTQCLQKIRIGEQISLVRMKLSWPLSSREALLHYFEFDYFQDGLVVVLLNSISDVEGVDISTHGFSKDGIPDAEDVVRIDVVGGFAMQKVSANRSFFRTIANLDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVTKGDEDFSKALKDPLYSRVREALYSDHTCNGASVLENSTGNSDKQAKDASRDENVNMDHIVINSQAEEEEEEEDSAGGNETIPCEIEEIDEGDGEKSENSPEDDREQTEQCDSPSNPVLHECFSSKKNKVVISREVQQALATLEKAISFIRENQCNVDARPSCGANAEKPLGAVDKHDVEEVKISAELPRKESAERISSYEHSNSYSSRGSRRISSSLCTRETNHNKIAPASPDVYIANPSETRDAVSHSPEDEREEETILEKTLKSDHVSNITSENSIGRKKPRKLKNRCFSFLPGKRTA >itb01g12580.t4 pep chromosome:ASM357664v1:1:12129082:12132642:-1 gene:itb01g12580 transcript:itb01g12580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSWPLSSREALLHYFEFDYFQDGLVVVLLNSISDVEGVDISTHGFSKDGIPDAEDVVRIDVVGGFAMQKVSANRSFFRTIANLDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVTKGDEDFSKALKDPLYSRVREALYSDHTCNGASVLENSTGNSDKQAKDASRDENVNMDHIVINSQAEEEEEEEDSAGGNETIPCEIEEIDEGDGEKSENSPEDDREQTEQCDSPSNPVLHECFSSKKNKVVISREVQQALATLEKAISFIRENQCNVDARPSCGANAEKPLGAVDKHDVEEVKISAELPRKESAERISSYEHSNSYSSRGSRRISSSLCTRETNHNKIAPASPDVYIANPSETRDAVSHSPEDEREEETILEKTLKSDHVSNITSENSIGRKKPRKLKNRCFSFLPGKRTA >itb15g10810.t1 pep chromosome:ASM357664v1:15:8565425:8568987:1 gene:itb15g10810 transcript:itb15g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKSLLSSSFLGGTQIFTSPPTPKTTTKHLQFHSRRRFLAPQSLSKESKLDSFKGLSSKATLAALLFSSIAPQAIALDNATPAAPPTEIQAEIPQPTASNASPFSQNVVLNAPKPQAQPASDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGKRATVIVPNDPDLIDILAMNGVDISVSEGDGGNGLFSFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVRILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGEDNVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQQMSTQKDYSMATADVVDAEVRELVERAYSRAKQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELFVA >itb01g10280.t1 pep chromosome:ASM357664v1:1:8560304:8561279:1 gene:itb01g10280 transcript:itb01g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTKLLEHKNSIAVLIAASLFLGFIINTAPRLITMLAYFWPLFLSTTLFMGAIIAFTQVPPFAVDFRGEKDGEGLLGYLVFQQEQQLEDF >itb15g13290.t1 pep chromosome:ASM357664v1:15:11263314:11263619:1 gene:itb15g13290 transcript:itb15g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRLRLAYIVNESKRKASYRLRKKRLLKKLNELTILCGVDDAMIMYNPFESGPVIWPSAGEVLQRIARFLLLPNVEQTRRIMSHESYLEERIQKLTLDF >itb03g24870.t1 pep chromosome:ASM357664v1:3:23746051:23746707:1 gene:itb03g24870 transcript:itb03g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAVPSVKAKEIQRHKTPAAEEEVLRKRNEELETELKRSLEREETMRREVQRTWERLMVAEEAEERLCSQMGELEAEAVEQARAYKARVTSLMDQLSAAQMLLHSLSVSR >itb04g30650.t1 pep chromosome:ASM357664v1:4:33709478:33711316:-1 gene:itb04g30650 transcript:itb04g30650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTKATPINHPCRLFVFPSAAVGFSPAGFIRLKPRTATKCATMDSQSTQVSPKKMQRVVNNGGAPLTPVDFLERAATVYGDCASVVYNDTAYTWSETHERCSRLASSISRLGIKKGDVVSVLAPNISAIYELHFAVPMMGAILNTINLRLDARTVSLQLLHSESKLVFVDYQSKDLILEAISLFPALSQRPILVLITDEEKNFVGKSTKGLSLHDYCYGDMVRGGNPEYSYVGPESEWDPITLNYTSGTTSSPKGVLHSHRGAFCVTITSLLHWSVPPQPVYLWTLPMFHANGWSYTWGMAAVGGTNVCLRRVDPASIYDAIETHGVTHLCGAPVVLNMLANHTNTPLKSPVDILTAGAPPPAAVLERVESLEVKDRCKDVIICGGENISSVEVESVLYTHPAVDEAAVVARPDKFWGETPCAFMSLKRGIMEKPTEKDIREFCKHHLPLYKVPRRVVFLAALPKTSTGKIQKFLLRDMAKDLSINQNGSIKTNIY >itb15g09120.t1 pep chromosome:ASM357664v1:15:6482565:6487693:-1 gene:itb15g09120 transcript:itb15g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGSEYKEALLKKEEKCYYENCPGCKVEQQKELHTGVPIKMLLSIWVIVLCTALPISSLFPFLYFMIRDFKIAETEEDIGYYAGYVGSAFMLGRALTSVFWGLIADRYGRKPVIIFGTISVVIFNTLFGFSVNFWMAIITRFLHGCLNGLLGPIKAFAAEIFPDRYHSLGMSTVSTAWGIGLIIGPALGGFLAQPADKYPSLFSADSLFGRFPYLLPCLCISVFALMVTIYSFWLPETLHKHDSARVSAPDSYKTLEAAPEVAKDGEKPASKFSILRNWPLMSSIIVYCVFSLHDMAYTEIFSLWAESPRNLGGLSYSSDEVGVVLAISGFSLLVFQLVLYPIIERAIGPVMVSRVAGVLSIPLLTSYPYIAMLTGITLSVVLNCASLMKNVLSVSIITGMFILQNRAVDQDQRGAANGIAMTGMSIFKALGPAGGGSLYSWSEKRQDASFLPGSQMVFFILNVIEGLGVLLTFRPFLVEPPKH >itb01g24650.t1 pep chromosome:ASM357664v1:1:30249262:30251259:1 gene:itb01g24650 transcript:itb01g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLASASRPILNTNAGLSTVVNKGCKLIGCGSAVPSLRISNDDLSKMVDTNDEWISTRTGIRHRRLLSGKESLTSLAVEASRKALEMGEVDPEDVDLILLCSSTPDELFAGGFKNVLVIGADAISRFVDWSDRGSCILFGDAAAAVLIQECDISEDFLFSFDFHSNGEGLRHLSCPLKEDGTNHSPTRNGFPHKGGFSYSRLQMNGKEVFKFAVGIVPRSIKAALGKTALALSDIDWLLLHQANQRIIDAVAARMEFPAERVISNLVNYGNTSAASIPLALDEAVRAGKIQAGHTIAAAGFGAGLTWGSAIIRWR >itb01g25450.t1 pep chromosome:ASM357664v1:1:30861630:30862623:1 gene:itb01g25450 transcript:itb01g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETDSPVSNPPRISRNMEDFSDFPPFILGFTNAELGDRFLLLNPLTGGVFVVESSTGTARSSSSSLDSLLAGVLGKDGQPPASKASIEALRSVEIGEEEEGECAICLEEWGLGETAKEMPCRHRFHKECIEKWLGIHGSCPVCRHKMPEEDDDDEAKQKSESSRWRRDGIWFGFTVDGIRQFFEHETEA >itb01g00560.t1 pep chromosome:ASM357664v1:1:258026:258931:-1 gene:itb01g00560 transcript:itb01g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVVVFVSYLLLMGFVCLSEAHTLYVGGKDGWVLQPCDGLNHWAGENRFQINDTLIFRYEKGEDSVLVVNKKDYYQCNKTSPIDNLTDGNSSVTLTRSGEFFFISGQADNCEKGQKLIIIVMSPQHPKPPTVIPPPLPSPTSVTPPSPAPAPAPGPALDKSTATAVHASVGTLWGSALLLAPLFMMSLG >itb07g07670.t1 pep chromosome:ASM357664v1:7:5833752:5834486:1 gene:itb07g07670 transcript:itb07g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFIPRLFFLLPLTAFYFANGEDESPLSNCPKEFTCGNIDNLQFPFAQHTQPHCGLVVVNCYTTPPTVQLGDWYQLQNVSTNGEVKTILLEDSKLQRLFDRHDCSILNYTVQFQNSPSITFRYSESNTSFLQCNNRSSICNYERYSNYVEGLSLYYKRPSSAPENYTRCSSVPASCALLPSPIIIRKPNALLTAQFGVELQVSEACYECYYGGGRCTVDIHNQFHCEKGNSDPYQSSILRVY >itb10g05470.t1 pep chromosome:ASM357664v1:10:5649205:5655863:1 gene:itb10g05470 transcript:itb10g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAMKRLKNEVPGMRRFKLSHILLGLAVFYLILICLKLPDYLESAQALSSDHGEMSVDAFISVEEEGGELNKRHLGSVYTDDYHRILQNNANHHAPKTPWEEALPEKSSDSPPVEPHRLSYGRIASAILKQRIMTRNISVLDRMVDEAWTLGLKAWEEADEYNEKEMVMNSIIEGKPESCPSSVTANQEELAKRDSVMLLPCGLAAGSSVTVIGTPRYAHHEYVPQLARRRTDDGLVLVSQFMFELQGLKSVVGEDPPKILHLNPRLRGDWSHRPVIEHNTCYRMQWGRAHRCDGMPSKSDDDMLVDGYLRCEKWMRNDIVDSKESKIFSWFDRFIGRAKKPEVTWPFPFVEGKMFILTVRAGIDGFHISVGGRHLSSFPYRTGFTLEDATGLAVRGDVEVHSVFATSLPTSHPSFSPQRVLDFSEKWKSHPLPQNPIQVFIGTLSATNHFAERMAIRKTWMQSQAIKSSDVVVRFFVALNPRKELNAILKKEAAYFGDIVILPFIDRYELVVLKTIAICEYGVRNVTASYIMKCDDDNFVRLDAVLQEINHVPPGKPLYMGNLNLLHRPLRNGKWAVTLEEWPEDIYPPYANGPGYIISNDIAKYIVSQHHNHSLRLFKMEDVSMGMWVEKYNSSTPVQYSHNWKFCQYGCTENYFTAHYQSPRQMECLWDNLLKGWVRCCNI >itb11g01510.t1 pep chromosome:ASM357664v1:11:741140:741667:1 gene:itb11g01510 transcript:itb11g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGATQRPILAPSQSPQPDENPKKHPLTFHITCPFSIPSTTESAASRVTTNLEKLALYYFEFVWLVLFIALVPKRHVSLVIMVATKEAAIIYTLLMRAMPASLVLVHRVLDRFLVFSLLAFGTGIALIATHSGLHLLITLAATIPIILAHAGLWCGWVDACENNNVNEYSPLV >itb12g07680.t1 pep chromosome:ASM357664v1:12:5894788:5898815:-1 gene:itb12g07680 transcript:itb12g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQTKIMIAVNESTMKGYPHPSISSKGAFEWTLDKIVRSNTAGFKLLFLHVQVPDEDGFDDTDSLFASPEDFKNLRHKDKIKGLHLLEYFVNQCHERGVSCEAWIKRGDPKDVICHEVQRVHPDLLVVGCRGLGPFQRVFVGTVSEFCVKHAECPVVTIKRSASDTPQDPVDD >itb05g03420.t3 pep chromosome:ASM357664v1:5:2888160:2890931:1 gene:itb05g03420 transcript:itb05g03420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGVCEILVDRNEEMGNLNSEAPLPQSHQRLLNEDGGYEHYDLRDQMLKWINQMLMEDNAEEKAYMLRQSAALKDAERSFYELIGEEYPPSPNLQRVPDLDRNENYGGSSYYGLGDNGNDDSLCPNRDPYPREHQTTSDHVPVNVASNTITIPDISNCTESVEKGVREASSFLPTRNSLLVDGIGAEKNTGNQDTLEGRRGTKNTLREDMHLAEGRSYKQSAIYAEPSIKQEEFDKVLLYSGEDESSLCHSLRGVLCESATGNDDSKGSNRKKKPGSERTVVDLRSLLTLCAQAIAVEDIRTANDYLKRIRQHSSQTGDDMQRLAHYFADGLEARIAGSGTRIYKALMKYPRYAARALKAFQLYCSSCPFLKISYLFSNKTITTLAQNASSLHIIDFGIGTLFGFQWPCLIQHLSSRPGGPPKLRITGIDFPQSGFRPAEKAEGTGRLLSYYAEKFNVPFEFNAIAKQWETITVDDLKIIEGEVLVVNCIYQLRKLLDDTVVVNSLSPRDTVLKLIHELHPDVFIHGILNSACNSPLFTSRFRAALSHYSAVFDMLEVTIPREVHERMLIESYIFGQQAMNAIACEDTERVERPETYKMWQTRNTRAGFLQLPLNREIVKMSMHMLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb05g03420.t1 pep chromosome:ASM357664v1:5:2887836:2890931:1 gene:itb05g03420 transcript:itb05g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGVCEILVDRNEEMGNLNSEAPLPQSHQRLLNEDGGYEHYDLRDQMLKWINQMLMEDNAEEKAYMLRQSAALKDAERSFYELIGEEYPPSPNLQRVPDLDRNENYGGSSYYGLGDNGNDDSLCPNRDPYPREHQTTSDHVPVNVASNTITIPDISNCTESVEKGVREASSFLPTRNSLLVDGIGAEKNTGNQDTLEGRRGTKNTLREDMHLAEGRSYKQSAIYAEPSIKQEEFDKVLLYSGEDESSLCHSLRGVLCESATGNDDSKGSNRKKKPGSERTVVDLRSLLTLCAQAIAVEDIRTANDYLKRIRQHSSQTGDDMQRLAHYFADGLEARIAGSGTRIYKALMKYPRYAARALKAFQLYCSSCPFLKISYLFSNKTITTLAQNASSLHIIDFGIGTLFGFQWPCLIQHLSSRPGGPPKLRITGIDFPQSGFRPAEKAEGTGRLLSYYAEKFNVPFEFNAIAKQWETITVDDLKIIEGEVLVVNCIYQLRKLLDDTVVVNSLSPRDTVLKLIHELHPDVFIHGILNSACNSPLFTSRFRAALSHYSAVFDMLEVTIPREVHERMLIESYIFGQQAMNAIACEDTERVERPETYKMWQTRNTRAGFLQLPLNREIVKMSMHMLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb05g03420.t2 pep chromosome:ASM357664v1:5:2887836:2890931:1 gene:itb05g03420 transcript:itb05g03420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGVCEILVDRNEEMGNLNSEAPLPQSHQRLLNEDGGYEHYDLRDQMLKWINQMLMEDNAEEKAYMLRQSAALKDAERSFYELIGEEYPPSPNLQRVPDLDRNENYGGSSYYGLGDNGNDDSLCPNRDPYPREHQTTSDHVPVNVASNTITIPDISNCTESVEKGVREASSFLPTRNSLLVDGIGAEKNTGNQDTLEGRRGTKNTLREDMHLAEGRSYKQSAIYAEPSIKQEEFDKVLLYSGEDESSLCHSLRGVLCESATGNDDSKGSNRKKKPGSERTVVDLRSLLTLCAQAIAVEDIRTANDYLKRIRQHSSQTGDDMQRLAHYFADGLEARIAGSGTRIYKALMKYPRYAARALKAFQLYCSSCPFLKISYLFSNKTITTLAQNASSLHIIDFGIGTLFGFQWPCLIQHLSSRPGGPPKLRITGIDFPQSGFRPAEKAEGTGRLLSYYAEKFNVPFEFNAIAKQWETITVDDLKIIEGEVLVVNCIYQLRKLLDDTVVVNSLSPRDTVLKLIHELHPDVFIHGILNSACNSPLFTSRFRAALSHYSAVFDMLEVTIPREVHERMLIESYIFGQQAMNAIACEDTERVERPETYKMWQTRNTRAGFLQLPLNREIVKMSMHMLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb04g13450.t1 pep chromosome:ASM357664v1:4:13524905:13532946:1 gene:itb04g13450 transcript:itb04g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MASGGGIQVGVERLFIGAGCNRIVNNVSWGASGLVSFGAQNAVAIFCPKTAQILTTLPGHKASVNCTHWVPSSKFAFKAKQLEQHFLLSGDADGVIILWEFSLSEKKWRYVLQLPQSHKKGVTCITAVLVSHQQSIFASTSSDGTVNVWDLALPSTYGGDCKLSCLDSLFVGRKPMVSLSLAELPGSQHLVLAMGGLDSKIHLYCGERTGKFLPACELKSHTDWIRSLDFSLPIYTNGETSILLVSSSQDKGIRLWKLALQDTLADHKKQEMSLASYIKGPVLVAGSSSYQVSMESLLIGHEDWAYSVEWQPPSSSSDEGGECYQPQSILSASMDKTMMIWQPEKTTGIWMNVVTVGELSHCALGFYGGHWSPCGNSILAHGYGGSFHLWKNVGVDCDDWKPQKVPSGHFAAVSDISWARSGDYVLSVSHDQTTRIFAPWTNNNCSVNGESWHEIARPQVHGHDINCVTMIQGKGNHRFVGGADEKVARVFEAPLSFLKTLNYFTSDDHSFSDNLQVEVQILGANISALGLSQKPIYVQASSDAKQVSNNEGIDTMETVPEAVPVVLTEPPIEEQLGWHTLWPESHKLYGHGNELYSLCCDHEGKLVASSCKAQSASVAEIWLWQVGSWKSVGRLHSHSLTVTQMEFSHDDNFLLTVSRDRHFSVFSINNTGTNDTSYQLVTKQEAHKRIIWACSWSPYGHEFATGSRDKTVKIWAVENEGSVKQLLTLPPFNASVTSLSWVGLSRHDNHGLLAVGMENGLIELWSLHNRRTEDGSPSSAPTANLAVKLDPFMCHVSTVNRLAWRNSEKSEESNAMQLASCGADQCVRIFNICFG >itb10g02130.t1 pep chromosome:ASM357664v1:10:1754143:1756008:1 gene:itb10g02130 transcript:itb10g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFCYFGFLILCFLGSVHAQLKMNFYAQTCPKAEKLVEEYVKEHIPNAPSLAAAMLRMHFHDCFVRGCDASVLLNFTSATKNQTEKTAAPNLTLRGFGFIDGIKRIVEKECPGIVSCADILALVARDSVLVIGGPYWNVPTGRRDGRISNASEALNNIPPPFSNFTVLQTLFANQGLDLKDLVLLSGAHTIGISHCSSFSNRLYNFTGVFGTQDPSLDSEYATVLKARKCKSINDNTTIVEMDPGSFRTFDLSYYKLVLKRRGLFESDAALLTNPTTKSFIDKLAQGSLQDFYAEFATSVEKLGRIQVKTGSDGEIRKQCAVVN >itb12g28210.t1 pep chromosome:ASM357664v1:12:28218121:28220145:-1 gene:itb12g28210 transcript:itb12g28210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >itb10g17000.t1 pep chromosome:ASM357664v1:10:23269423:23271381:-1 gene:itb10g17000 transcript:itb10g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKEREKGGGGERKMFVGVVWNCAAELKILLTALLFLFSIITILQFIPARFSFSSAADLRSCLSNPPPGLSFSAAVQSNLTVLNATVVPRRAAAVERDEVLGNGVVKRGFSGVGSAAYNFVLMSAYRGGYNTFAVMGLASKPLHVFGKPSYECEWVPADKSQDSLSVPGQKILPDWGYGRIYTAVVVNCTFPFPVGDSDSGGKLLIHATTNGGGDTNLNTTDTFEAVVETPPDFSNFTKSFQSPPKYDYLYCGSSLYGNLNPQRVREWLAYHVRMLGEKSHFVIHDAGGVHPAVMEVLKPWMEKGYVTLQDIRDQERFDGYYHNQFLIVNDCLHRYKFQAKWMFFFDVDEFIFVPKKSTLKSVLGSLSGFTQFTIEQMPMSNKLCLREDFGKTFRKWGFEKLVYKDVKRGIRRDRKYAVQPRNVFATGVHMSQNTVGKTTHKTEGQIMYFHYHGTIAERREPCRQLVNTTSLTVDGIPYVLDTTMRDAAGAVKRFELKTIGPRLQKTRQ >itb02g12400.t4 pep chromosome:ASM357664v1:2:8451162:8459010:1 gene:itb02g12400 transcript:itb02g12400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHDLSAKPSVDNPLSDLAMSGSSPIQQNPGESKNTANSNHSNSNLSKRNNDCSNNNFVVRRERPARECTKRAAARLQAQAAAEAEAQAAARQRKKKTSARKERLAARLLREEEEDEEEEYEDEKMNGNEEEEGEEEEDGLSKSSKQQCSKIVTPLVAEPEASQLPRWKLRSMWQLASILNFLNIFRPLLNIKVEFSVEEFETALIMPNSTLADIHMPLLKAIPPVTRVALGYNTWVTVLCRKLRDWWHWVAEGELPIVASHGAEIDAYSALDPAVRVVILKALCDIRVEQEDIRNYIDNSLKQGVQLSAFRKERIGGDSHGISYWYEDDPIIGHRLYREIRKVEVKKGKGKNVQPIPNSCYQWETAATNLDEFQDVSEKLFTSNNRTEVSVGKKLKNNMLHEIEKVHKRKEKLLKKQHRQALLLDSMMNIDGFTAGRSLRGRKPVTYSFDDYDRSINEAIKVTTKKQPSPEHAGRRDASVKREAPANGISRGPQFPHVSFKLRSPKSPEYDEYDDDYDKAEPLDRGNRQRQRPQRYSEKEFVEAVSDNEAEFNSDDDIVGEVVYDDEYLRRRKQRRMASSSSEGDEEYKWDEETPEEEEEEEDDDDGDDSFSASEDSGEHRRLKRLRVGRTRRESKLRSAGEFQSGLRRSRRATRNSIDYKQYELSESETEPMKPEKSNSSDDYDYNNEFSTGSTESVENSDNQDGKANQSVNVATGTTSEQANAPDYRQYELSESEMEPVKPDKSNSSDEYYNGLDYNNAEFSTRSTESEENNDNQDGKANQSVNMATGTTLEQANAPEISNSTDQEEVEGGGRKRRFLDLNELAPGSGFDDGPTFHYQIQQLTGGCISDSFRDLDEVSSQPEQGRHYWFNEVREKMV >itb02g12400.t1 pep chromosome:ASM357664v1:2:8450694:8459105:1 gene:itb02g12400 transcript:itb02g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHDLSAKPSVDNPLSDLAMSGSSPIQQNPGESKNTANSNHSNSNLSKRNNDCSNNNFVVRRERPARECTKRAAARLQAQAAAEAEAQAAARQRKKKTSARKERLAARLLREEEEDEEEEYEDEKMNGNEEEEGEEEEDGLSKSSKQQCSKIVTPLVAEPEASQLPRWKLRSMWQLASILNFLNIFRPLLNIKVEFSVEEFETALIMPNSTLADIHMPLLKAIPPVTRVALGYNTWVTVLCRKLRDWWHWVAEGELPIVASHGAEIDAYSALDPAVRVVILKALCDIRVEQEDIRNYIDNSLKQGVQLSAFRKERIGGDSHGISYWYEDDPIIGHRLYREIRKVEVKKGKGKNVQPIPNSCYQWETAATNLDEFQDVSEKLFTSNNRTEVSVGKKLKNNMLHEIEKVHKRKEKLLKKQHRQALLLDSMMNIDGFTAGRSLRGRKPVTYSFDDYDRSINEAIKVTTKKQPSPEHAGRRDASVKREAPANGISRGPQFPHVSFKLRSPKSPEYDEYDDDYDKAEPLDRGNRQRQRPQRYSEKEFVEAVSDNEAEFNSDDDIVGEVVYDDEYLRRRKQRRMASSSSEGDEEYKWDEETPEEEEEEEDDDDGDDSFSASEDSGEHRRLKRLRVGRTRRESKLRSAGEFQSGLRRSRRATRNSIDYKQYELSESETEPMKPEKSNSSDDYDYNNEFSTGSTESVENSDNQDGKANQSVNVATGTTSEQANAPDYRQYELSESEMEPVKPDKSNSSDEYYNGLDYNNAEFSTRSTESEENNDNQDGKANQSVNMATGTTLEQANAPEISNSTDQEEVEGGGRKRRFLDLNELAPGSGFDDGPSSEIKDNSADGF >itb02g12400.t2 pep chromosome:ASM357664v1:2:8450694:8459105:1 gene:itb02g12400 transcript:itb02g12400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHDLSAKPSVDNPLSDLAMSGSSPIQQNPGESKNTANSNHSNSNLSKRNNDCSNNNFVVRRERPARECTKRAAARLQAQAAAEAEAQAAARQRKKKTSARKERLAARLLREEEEDEEEEYEDEKMNGNEEEEGEEEEDGLSKSSKQQCSKIVTPLVAEPEASQLPRWKLRSMWQLASILNFLNIFRPLLNIKVEFSVEEFETALIMPNSTLADIHMPLLKAIPPVTRVALGYNTWVTVLCRKLRDWWHWVAEGELPIVASHGAEIDAYSALDPAVRVVILKALCDIRVEQEDIRNYIDNSLKQGVQLSAFRKERIGGDSHGISYWYEDDPIIGHRLYREIRKVEVKKGKGKNVQPIPNSCYQWETAATNLDEFQDVSEKLFTSNNRTEVSVGKKLKNNMLHEIEKVHKRKEKLLKKQHRQALLLDSMMNIDGFTAGRSLRGRKPVTYSFDDYDRSINEAIKVTTKKQPSPEHAGRRDASVKREAPANGISRGPQFPHVSFKLRSPKSPEYDEYDDDYDKAEPLDRGNRQRQRPQRYSEKEFVEAVSDNEAEFNSDDDIVGEVVYDDEYLRRRKQRRMASSSSEGDEEYKWDEETPEEEEEEEDDDDGDDSFSASEDSGEHRRLKRLRVGRTRRESKLRSAGEFQSGLRRSRRATRNSIDYKQYELSESETEPMKPEKSNSSDDYDYNNEFSTGSTESVENSDNQDGKANQSVNVATGTTSEQANAPDYRQYELSESEMEPVKPDKSNSSDEYYNGLDYNNAEFSTRSTESEENNDNQDGKANQSVNMATGTTLEQANAPEISNSTDQEEVEGGGRKRRFLDLNELAPGSGFDDGPSSEIKDNSADGF >itb02g12400.t3 pep chromosome:ASM357664v1:2:8450694:8458420:1 gene:itb02g12400 transcript:itb02g12400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHDLSAKPSVDNPLSDLAMSGSSPIQQNPGESKNTANSNHSNSNLSKRNNDCSNNNFVVRRERPARECTKRAAARLQAQAAAEAEAQAAARQRKKKTSARKERLAARLLREEEEDEEEEYEDEKMNGNEEEEGEEEEDGLSKSSKQQCSKIVTPLVAEPEASQLPRWKLRSMWQLASILNFLNIFRPLLNIKVEFSVEEFETALIMPNSTLADIHMPLLKAIPPVTRVALGYNTWVTVLCRKLRDWWHWVAEGELPIVASHGAEIDAYSALDPAVRVVILKALCDIRVEQEDIRNYIDNSLKQGVQLSAFRKERIGGDSHGISYWYEDDPIIGHRLYREIRKVEVKKGKGKNVQPIPNSCYQWETAATNLDEFQDVSEKLFTSNNRTEVSVGKKLKNNMLHEIEKVHKRKEKLLKKQHRQALLLDSMMNIDGFTAGRSLRGRKPVTYSFDDYDRSINEAIKVTTKKQPSPEHAGRRDASVKREAPANGISRGPQFPHVSFKLRSPKSPEYDEYDDDYDKAEPLDRGNRQRQRPQRYSEKEFVEAVSDNEAEFNSDDDIVGEVVYDDEYLRRRKQRRMASSSSEGDEEYKWDEETPEEEEEEEDDDDGDDSFSASEDSGEHRRLKRLRVGRTRRESKLRSAGEFQSGLRRSRRATRNSIDYKQYELSESETEPMKPEKSNSSDDYDYNNEFSTGSTESVENSDNQDGKANQSVNVATGTTSEQANAPDYRQYELSESEMEPVKPDKSNSSDEYYNGLDYNNAEFSTRSTESEENNDNQDGKANQSVNMATGTTLEQANAPEISNSTDQEEVEGGGRKRRFLDLNELAPGSGFDDGPSSEIKDNSADGF >itb10g24360.t1 pep chromosome:ASM357664v1:10:28230641:28234617:1 gene:itb10g24360 transcript:itb10g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISPPVSLSTPKFLLPIFTSPSQSPPFIVAAAAQPRKAPASRRSSRSIKTPTPTPTLEPVTTPPIEASTPNKKVLVPIGFGTEEMEAVIMVDVLRRAGAEVTLASVEPELEVEASGGTRLVADTLISACCHQVFDLIALPGGMPGSVRLRDCEILKNIMSKQAEEKRLYGAICAAPAVTLLPWGLLKRKQSTCHPAFMGKLPAFRAVKTNIQVSGELTTSRGPGTSFQFAITLVEQLFGEAVAKEIGEVLLLNGHDEDPRKQEFNEVEWSLDHTPQVLIPIANGSEEIEVVTIIDILRRAKVNVVVASVEKSAVILGSKGVKIVADKLIDSAAESMYDLIILPGGEVGVQRLHKSRTLKKLLKEQESAGRTFGAMCSSLPIILQKQGLLKQAKKSAAHPSIATSKLDDVPNGARVVVDGKLITSKGLSTAIEFGLAIVSKFFGHARARSVAEGLVFEYPRN >itb10g24360.t2 pep chromosome:ASM357664v1:10:28230647:28234635:1 gene:itb10g24360 transcript:itb10g24360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISPPVSLSTPKFLLPIFTSPSQSPPFIVAAAAQPRKAPASRRSSRSIKTPTPTPTLEPVTTPPIEASTPNKKVLVPIGFGTEEMEAVIMVDVLRRAGAEVTLASVEPELEVEASGGTRLVADTLISACCHQVFDLIALPGGMPGSVRLRDCEILKNIMSKQAEEKRLYGAICAAPAVTLLPWGLLKRKQSTCHPAFMGKLPAFRAVKTNIQVSGELTTSRGPGTSFQFAITLVEQLFGEAVAKEIGEVLLLNGHDEDPRKQEFNEVEWSLDHTPQVLIPIANGSEEIEVVTIIDILRRAKVNVVVASVEKSAVILGSKGVKIVADKLIDSAAESMYDLIILPGGEVGVQRLHKSRTLKKLLKEQESAGRTFGAMCSSLPIILQKQGLLKAKKSAAHPSIATSKLDDVPNGARVVVDGKLITSKGLSTAIEFGLAIVSKFFGHARARSVAEGLVFEYPRN >itb12g07970.t1 pep chromosome:ASM357664v1:12:6101906:6103887:-1 gene:itb12g07970 transcript:itb12g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLCDELLQEIFRRLPPSSSAAVSLVSKRWLYLLRSSTTSLSLLLHHPHLAPPLSSFLSQHPYLSVLSVNAAAVSGASDHLIRLVASSCPNLSHLRFFPGPVSPFSLFSLSSSCPRLSSLDIPLCRPLSFLWLARFQNLKHLSVQSVANNSSGFEYLKVGDNLLDEFPDSELNLESLCLSGIGPGDYGLNWVWRNCRKIKSLKLKRCESVGDNVSFSGFLQGLEELELRSCRPIANGVLLKLAENCVSLNSLLVHDGGSKDGLLQFITETNCNLQKLDFRLPLDLDNHHLMAIAENFRGLTSLRLQSCCLVSGEGLRGVGRAVGGGVEELALVNCDVVERESGLLATLGQNMKRLRRLDLSYNEMLVDKELMSMLVSCDGLVELRLRGCNRLTNVAMASMGKSCKGLQRVDIMNCCGIEAEGVEQFVVNSAKLTQLQVEQIKLSSVATMWASNHFIQLT >itb13g23490.t1 pep chromosome:ASM357664v1:13:29462336:29463912:-1 gene:itb13g23490 transcript:itb13g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGMVGNGFALVVADTSAVHSILVHKSNEDKIMILDSHKLMGASGEAGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILLAGYDKQTGPSLYYIDYIASLHKVDKGAFGYGSYFSLAMMDRHYRKDMSLEEAIDLADKCIMEIRSRLVIAPPNFVIKIVDQNGAREYAWRESISDAPAPPPATA >itb14g08170.t1 pep chromosome:ASM357664v1:14:7550585:7558795:-1 gene:itb14g08170 transcript:itb14g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASVNRFPGDPDALEVAGLPWGVTLTPFATKDENGNPPVYGSDGHLLPRCENCWAYYNTYCEQEQWAWTCALCGTLNGLPSQAIARYSLPDSCPENISSFIDLELPLEGSEEMQARPVYVAAVDLASSEEFLELIKSALLAALEGLGPGSLFGLATFSHKIGLYDVQGPIPVVKNVFIPPESEGTLPMELADVMPLSSFLAPVDTFKDRIASALETLRPTTSWERTSAAGQALDGVLLGGRGFGSAMEALFNYLGSEYGNTFALARVFAFISGPPDFGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQSGVSVDIFAVTNEYTDLASLKFLSIESGGSLALYTSTDDSTLPQDIYRMLSRPYAFNCVLRLRTSSEYKPGHSYGHFFPDPQYENVQHIICCDSFATYAYDFEFANNVGFSRHTAELPMLQIAFQYTVVVPPGELANSESSPTSRKKHSLKRRLRIRTMQFGVAHSINEMYDTVDPEVVLSLLVHKVILSSLEQGIREGRMLLHDWLVILTAQYNECCKLSESARGSSNTIHFDVAFSQCPQLQYMPRLVFALLRNPLLRLHEEGVHPDFRIYLQCLFSALEPSSLHLAIYPMLTSYASPDKQAYPRHSLSRAALITSGSPIFFLDAFTILIVFYSSTTDPSLPFPPPQDCLLRTTINKLKQERCISPKLIFIRAGQDDATPFENFLIEEQDVEGNGFTSIMGFVSFLEEIHQSVLEYMK >itb09g15380.t1 pep chromosome:ASM357664v1:9:10645223:10645471:-1 gene:itb09g15380 transcript:itb09g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWKEELQAYTYPCPCGYLFQITMEELKQGEEIARCPSCSLYITVIYNMEDFVGDKSKKPLDPPKQQAVAVN >itb11g00360.t1 pep chromosome:ASM357664v1:11:161159:163020:1 gene:itb11g00360 transcript:itb11g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHB1A [Source:Projected from Arabidopsis thaliana (AT4G00335) UniProtKB/Swiss-Prot;Acc:Q2HIJ8] MGGCCCSARKPLLHGTPVFYYCPPSSEERDSLTSNDSAASGFLVDLNLDTSSPDTFRPPPPPIPFDIVLGCPQPANAEPTEDSTISNSYDKATCLNVKVSECKAESDLPLPSPRKNELEHLKPNPLAISFVEEEDVCPTCLEEYNADNPRINTKCNHHFHLSCILEWMERSDTCPVCDQEMIYEPL >itb14g05430.t1 pep chromosome:ASM357664v1:14:4741481:4744119:1 gene:itb14g05430 transcript:itb14g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLVQPIGQKRLTNVAVVRLKKHGNRFEIACYKNKVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLAKSKDLLAAFGTDDQTKICLEILEKGELQVAVKERESQFSSQFRDIATIVMQKTVNPETQRPYTISMIERLMHEIHFAVDRHSSSKKQSLEVIRELQKHYPIKRAPMRLRLTIPGEQNFSILEKLKEWNAGVISRDEVGSQLSIICEMEPNLFRDCDALIRNLQGRMEMLAVNVHVEGDTFVDQYDGHEDESSSLTGKMQNHTISSENEYLRGEIKQNRAAKSGSSEGEAKLNKCSTCNAVVGDSKEFREHFKSDWHKHNLRRKTRQLPPLTAEECMADMELGDSKADLKEYSF >itb05g21000.t1 pep chromosome:ASM357664v1:5:26913650:26918264:-1 gene:itb05g21000 transcript:itb05g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFELIIGIIIFQLLRRFFSNGDDLDVGTYPANAIFSVAKRLEQLYGGKAYVGLRIPDADSGSPQNIDIVLVTQREAAVISVKNVSGFVSVDKDGNWECMGGDKHKTERLPDPVAETKELVPVLESYFERRGVALPEGYFSCKVICPNPNFRTIHADSFPPEVVTYDQWIQLKPEKNSLFSGWMKGAFHGGKKEMQESIHESLNSILSTAPMWDRLKLKSNKSVLGEFVEFKGDKDDLLELRNIKRSKVSRLTIQKTSMFGLAHSKLQVLYSPRDYHGEGASGSEWNEVCVRSSTEVVFQPQGSSKVRKYKLSSIISLSLSA >itb05g21000.t2 pep chromosome:ASM357664v1:5:26915753:26918264:-1 gene:itb05g21000 transcript:itb05g21000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFELIIGIIIFQLLRRFFSNGDDLDVGTYPANAIFSVAKRLEQLYGGKAYVGLRIPDADSGSPQNIDIVLVTQREAAVISVKNVSGFVSVDKDGNWECMGGDKHKTERLPDPVAETKELVPVLESYFERRGVALPEGYFSCKVICPNPNFRTIHADSFPPEVVTYDQWIQLKPEKNSLFSGWMKGAFHGGKKEMQESIHESLNSILSTAPMWDSLLAN >itb05g21000.t3 pep chromosome:ASM357664v1:5:26915103:26918264:-1 gene:itb05g21000 transcript:itb05g21000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWFELIIGIIIFQLLRRFFSNGDDLDVGTYPANAIFSVAKRLEQLYGGKAYVGLRIPDADSGSPQNIDIVLVTQREAAVISVKNVSGFVSVDKDGNWECMGGDKHKTERLPDPVAETKELVPVLESYFERRGVALPEGYFSCKVICPNPNFRTIHADSFPPEVVTYDQWIQLKPEKNSLFSGWMKGAFHGGKKEMQESIHESLNSILSTAPMWDRLRIFLVVSGEDKK >itb04g29070.t1 pep chromosome:ASM357664v1:4:32577678:32580539:1 gene:itb04g29070 transcript:itb04g29070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQISAALITFVLLFFLRKIFVIKKKGRSAENTKKFPPGPKKLPIIGNLHQIAGKSLHHSLHQLSQQYGEIMSLQLGSIPTLVVSSADAAREIFRDHDLVFSGRPPLYAATKISFNCSSTSFAPYGEYWREVRKILVTELLSTKRVQDFEAVRDAEVSRMIHRIAACSATSTVVDLSSLALSLSNNVVRRVAFGNKGDGDDDETMKFNEILHQAQHLLGEPNLADVFPRLGWINKVNGLDARLEKTFKDIDSFFNKVMEEHLADHSRHKHDEDEEDIVHTLLRIQKDPNQTSMPLTNQHIKGVLVDVFIAGSDTSAATIIWTMAELIKNPNAMRKAQLEVRQLMNGKEKVRESDLPQLKYLKMVIKEALRFHPPAPLLVPRETTDKCTVGGYDIPAKTRVFINAVAIGRDRRAWEKPGEFWPERFWESEVDYRGNHYELIPFGAGRRGCPGMNFAAPLVELAVANLLYRFEWKLPAGMGVEDVDMDEAFGITIHKKTPLCLVASILPN >itb11g21080.t1 pep chromosome:ASM357664v1:11:22507313:22516177:1 gene:itb11g21080 transcript:itb11g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MATGCCVAATHTDCGLGRSCIGLPSISSRTAGTRHSHGRSFKMKPSGGCRIRCQSTSTDERKTRMNLLDNASNLLTNLLSGGKIGSMPTAEGAVSDLFGRPLFFSLYDWFLEYGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLAEILEPIMGKGLIPADLDTWKQRRRVIAPGFHTSYLEAMAKVFVDCSERTILKVEKLIEGEESQGGKTIELDLESEFSNLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNIPLARWLVPRQRKFRNDLKVINDCLDGLIKLAKETRQEADVEKLQQRDYLNLKDASLLRFLVDMRGADADDSQLRDDLMTMLIAGHETTAAVLTWAVFLLAQHPSKMKKAQAEIDAVLGQGRPTFESLKKLEYLRLIVVESLRLFPQPPLLIRRSLKPDQLPGGYNGDKDGYAIPAGTDIFLSVYNLHRSPYFWDKPNEFEPERFQVRKESEGIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTIALAMLLQKFDIKLKGTPESVELVTGATIHTKNGLWCKLKKRLDVC >itb05g26180.t1 pep chromosome:ASM357664v1:5:30205204:30205879:-1 gene:itb05g26180 transcript:itb05g26180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVIGETDMLQTMQRDALDLAAKALDFFDVTDSTEIARFIKQEFDRAYGSSWQCIVGTDFASFVTHCSGCFIYFHVGSLAILLFKGSTGSDADEEPHPFPPPLQKVA >itb01g03830.t1 pep chromosome:ASM357664v1:1:2514844:2517802:1 gene:itb01g03830 transcript:itb01g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNTTAALAFNTFGTLQRDASPVRLSPNYPEPPAAAKPADDAAAAAAAAATATATVNAVEQSKLMGAELVKAAKQFDTLVAALPLSEGGEEAQLKRIAELQAENDAIGQELQKQLEAAEKELKQVQELFNQASDNCLNLKKPD >itb01g03830.t2 pep chromosome:ASM357664v1:1:2514922:2516697:1 gene:itb01g03830 transcript:itb01g03830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNTTAALAFNTFGTLQRDASPVRLSPNYPEPPAAAKPADDAAAAAAAAATATATVNAVEQSKLMGAELVKAAKQFDTLVAALPLSEGGEEAQLKRIAELQAENDAIGQELQKQLEAAGTD >itb13g19230.t1 pep chromosome:ASM357664v1:13:26235959:26239073:-1 gene:itb13g19230 transcript:itb13g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDPADFLSHNVPSASQVEEELKELWGMALPITTMNCLVYFRQMVSVLFLGRLGSLELAGGALAIGFTNITGYSVLNGLASGLEPVCSQTHGSQAECGSKKWESLSLSLRRMIFILLLATIPIAFLWVNLEPIMVFMGQDKEITSMAATYCLYSLPDLLTNTLLQPLRVYLRSQGVTRPQMWCTFVAVVFHVPLNFVLVVVLGKGVAGVALASVITNLHMTVLMVGYVCVYGRWEWKWGVGGSRGDDGFCGGGMWALLRLSVQSCIGICLEWWWYEIVTVLAGYLPNARLAVAATGILIQTTSFMYNIPLALAGCVSARVGNELGAGRPYKAKLAAMVALACAFVFGFINVLWTVVFRHRWAGLFTKEESLKAVVASVLPIIGVCELGNCPQTTGCGILRGTARPEVGARINLVSFYFVGTPVAVGLAFWLKAGFSGLWFGLLSAQAVCAVSVLYVTLCRTDWEAEASRAKNLTSLQMMRQRVEVSGGDDGEEQRFLGNEGQA >itb08g14640.t1 pep chromosome:ASM357664v1:8:16400878:16408077:-1 gene:itb08g14640 transcript:itb08g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTKSAALLCSSDHCHRINSAPCKSAIFGGVFNSKPQRTLILKQFSSSSSWMLSQNAQCSSAVLPCKASNAQCSSAVLPCKASLKAQETPAPDRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRFDLHHFCFLEQLGVDCEPKASTIRIIQDKYLQKVHFSKSAIPLPKVMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSEEDLSSALLEDVIGVPKCPMQLRRFALQSFFESSRNAGTGQVLLPNASFRNLIFINGFRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRFDLHHFCFLEQLGVDCEPKASTIRIIQDKYLQKVHFSKSAIPLPKVMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSEEDLSSAVNALGGCDRGLYVEKWAPFVKELSVIVARGRDGSILCYPVVETIHRENICHIVKSPANVSWKVMKLATDVACKAVGSLAGAGVFAVELFLTDDDQILLNEVAPRPHNSGHHTIESCFTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNILGEDEGEPGFALANQLIGRALEIPGASIHWYDKPEMRKQRKVGHITFVGPSMGIVEARLRSALRENIMDSQPSGSVAPCVGIIMGSDSDLPVMKDAAKILREFDVPTEVKIVSAHRTPETMYSYAVSAVERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVKLLGISDTSLQARMAQYQEDRRDEVLVKAERLEKGGWEDYLNS >itb01g00140.t1 pep chromosome:ASM357664v1:1:95108:95506:-1 gene:itb01g00140 transcript:itb01g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12-3 [Source:Projected from Arabidopsis thaliana (AT1G76560) UniProtKB/TrEMBL;Acc:A0A178WDK7] MGSLSLTGFSLNLKPSVPQGPGLFRSGLPPRKVMQQQVEIRRVKATSGGAKYKGTYMREKQLEEMIEKMVKEAKEVCGEDETSDECKVAWDEVEEVSQAKADLRLKLEKQQDPLESFCQDNPETDECRIYEH >itb15g02500.t1 pep chromosome:ASM357664v1:15:1507062:1507883:-1 gene:itb15g02500 transcript:itb15g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFQQKQVIPVNKLPKFKGRTRNNNNSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTYETAEEAACAYDQAACLLRGSNTRTNFVTCLPPDSALASRIRKIFNTKKNNAKRADDVGSYHPSPQHNNSSSPSNSTTSTSAIPSTTFKIPNFSDQEIQESGKLFENTYKPDLSTCSEEQELRSSSSSSSSSSSPEPKPIVSWNFQQGLEFAQELVDFNKTAEMGFSEFERMKVERSISASLYAVHGVQEYMQAVNDPAHEALWDLPPLCSLLY >itb10g25410.t1 pep chromosome:ASM357664v1:10:28752522:28756850:1 gene:itb10g25410 transcript:itb10g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGEELMKWEKMQGGGEEKILVLVRLRPLSEKEISRNEVSDWECINETTVLYRNSIQERSGFPSAYTFDSVFRGDCSTREVYEAGTKDIALSVVSGINSTIFAYGQTSSGKTYTMNGITEYTVADIYDYIQKHEERAFVLKFSAIEIYNEVVRDLLSSDNSPLRLLDDPEKGVIVEKLTEETLRDWSHLKELLSICEGQRQIGETYLNETSSRSHQILRLTIESSAREFIGKDNKTTLAASVNFVDLAGSERASQALSVGQRLKEGCHINRSLLTLGTVIRKLSKGRNGHINYRDSKLTRILQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTNAQVNVVMSDKALVKHLQKELARLESELRTPGTCCDHVALLRKKDVQIEKLEKEIKELTKQRDLAQSRVEDLLQRNENDQHSSNMLKCSSYSSKPLEETTCDNECSVSGSENGENSVSSHLQQRKELAIGSEDETDEHCKEFRCIEMDESCTDHASELFELPNSNNRMLPVSRDAPDSDHELSPPSPIRNYFIQGATEQEMKDSRSLGLTRSRSCRANVMSDSFSSDPEIVGQCETTPPNGLEKDFPGRPEGFQRKHRKQPPVGYDANNPKLSRNDSQSSNGTAFIDELNSTSSAPGEEGIPSVDTFVAGLKEMAKLHQVEEAGMQDTRSIRSVKDVGLDPLVDPLDWPLEFERLQKRIIELWQTCNVSLIHRTYFFLLFKGDPMDSIYMEVEVRRLSFLKESFANGSPAVQGGKILTLASSLKALRREREMLRRLIHKRLMGDERHSIYHKWGITLNSKRRRHNLAHRVWSNTDTNHVTESAAIVAKLIGFSEQGEALKEMFGLSFTPPRMSRRSFGWRNSMASLL >itb11g05570.t2 pep chromosome:ASM357664v1:11:3299065:3302245:1 gene:itb11g05570 transcript:itb11g05570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNFASKINVISSEILGSCNRNQDTNEIVLSMFGALSTLKWIAKGVVALAAFAINYGEFRLLVEQHSSDSTAKLLSLLENVPADFFEEDLYINIAKLAKAAMDLIKYLWRVKYLYVNHISSKTPIEDLDAKLHIAIYWIIQSIVICQSIITNLASTGYESISSTAEQWELYYLTEKVTELHQQIEKETSEKLWRLFPQKNITILTDVICCEDHMPVPLIDGDTKIPQKVDMLKNKPVLLYISNLDPLDDEIETVKRVHAARKDEFQFVWLPVFDKSEDWNEQTYETKRKLMPWLVVRKPQLLDGTIVKFIENGWEFKKRSIIVAFDRYGNIVNKNALPMILIWGSKGAYPFTDDKETELWKSQTWSLKLLLPLPEFTSETKDHEKITQLDQWIKDEKNYICMYGGKDIEWIKRFEARVGAIANDLSIPPDNMKMLNLDSLSVAWITWARIEAIFVSRSKQVNEQSDDPIKEGALTMCSFHWSRRGWAIISECSSNSMAKALGNVMLNCLNKCKPEEWKKNGLVKSLNACISKSCETLHFLPTFPEIPKMRVCSQSICGRPMRKSVTFHCLGDKKTQSTTDPTAKT >itb11g05570.t1 pep chromosome:ASM357664v1:11:3298623:3302295:1 gene:itb11g05570 transcript:itb11g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVKEQVTNSSEIFTMEETAILKKIKATYDLDNLEFNMLPILELIEDIIYTPNVSSDSAEERPKFQYEVIGKMHQNFASKINVISSEILGSCNRNQDTNEIVLSMFGALSTLKWIAKGVVALAAFAINYGEFRLLVEQHSSDSTAKLLSLLENVPADFFEEDLYINIAKLAKAAMDLIKYLWRVKYLYVNHISSKTPIEDLDAKLHIAIYWIIQSIVICQSIITNLASTGYESISSTAEQWELYYLTEKVTELHQQIEKETSEKLWRLFPQKNITILTDVICCEDHMPVPLIDGDTKIPQKVDMLKNKPVLLYISNLDPLDDEIETVKRVHAARKDEFQFVWLPVFDKSEDWNEQTYETKRKLMPWLVVRKPQLLDGTIVKFIENGWEFKKRSIIVAFDRYGNIVNKNALPMILIWGSKGAYPFTDDKETELWKSQTWSLKLLLPLPEFTSETKDHEKITQLDQWIKDEKNYICMYGGKDIEWIKRFEARVGAIANDLSIPPDNMKMLNLDSLSVAWITWARIEAIFVSRSKQVNEQSDDPIKEGALTMCSFHWSRRGWAIISECSSNSMAKALGNVMLNCLNKCKPEEWKKNGLVKSLNACISKSCETLHFLPTFPEIPKMRVCSQSICGRPMRKSVTFHCLGDKKTQSTTDPTAKT >itb13g25290.t1 pep chromosome:ASM357664v1:13:30692364:30694129:-1 gene:itb13g25290 transcript:itb13g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSLHWRNDSGELDVFEAAKYFADANEISSFAHRTHYEPWRGGRKSLDMAISFRKSSLILPPEMEKPQASNNNNIKKHKQPSSPGGRLASFLNSLFNQTKKKSKSKSGKDDEDESPGGVRRRRRSSISHFYSIRSSTTNAPSNPTMPPSNKGNSGFRTPPPCAYTPTKSYKDFAVFSDQQVINGLKNHKVIEPHGEKPNGVSSQKYKLMSTKNNSNNGDSRYPAEETEKRKFNGEDDDADSDTSSDLFDLPNSELLMDFYSSSGLPVYETTKMDSIKRSAPISTAAI >itb11g09080.t1 pep chromosome:ASM357664v1:11:6007794:6011901:1 gene:itb11g09080 transcript:itb11g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTITLYASPPGTVCSTPYPCQINSHTSHEFDLNARSSSSTSSTSFSQKAVVGGLSCLFSSPSVKHSSSAGYLSGTEDLGPRWPNRGEELSSSFRCSTLSSSAKRDQSPVSVLQGPGSCGRSAGIVASSRSPPKRIGDICSIRSGKGGLFNGFVMHALGSYVDYTPVSLNMPGLDSTKSDLLDELTFPMEDSVLESTSKLEPLSKDLLLGAQARHKIFHDDLVVKAFYEAEKAHKGQIRASGHPYLQHCVETAVLLATIGANSKVVSAGLLHDTLDDTFMTYDYIFQTFGADVADLVEGVSKLSQLSKLARDNNTANKSVEADRLHTMFLAMADARAVLIKLADRLHNMVTLDALPPIKQQRFAKETLEIFAPLANRLGIFTWKEQLENLCFKHLHPDQHRELSSKLVNSFDEAMITSSVEKLEQALKDGAISYHVLSGRHKSLYSIYSKMLKKKLNMDEIHDIHGLRLIVEEKEDCYKALRVIHQLWHEVPGRFKDYIMHPKFNGYQSLHTVVIGGSMVPLEVQIRTKEMHLQAEYGFAAHWRYKEGDCKHSSFVLQMVEWARWVVTWQCETMNKDKSIGYAESLKPPCKFLSHSNCPYCKPDGGSDGPVYVIMIENDKMSVQEFAANSTVMDLLEKAGQGSSRLTPYGIPVKEELRPQLNQKPITDPTCKLKMGDVIQLTPAIPDKSLTDYRVEIQRMFDRDLSVSSLAPACSTSMVG >itb11g09080.t2 pep chromosome:ASM357664v1:11:6007822:6011813:1 gene:itb11g09080 transcript:itb11g09080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTITLYASPPGTVCSTPYPCQINSHTSHEFDLNARSSSSTSSTSFSQKAVVGGLSCLFSSPSVKHSSSAGYLSGTEDLGPRWPNRGEELSSSFRCSTLSSSAKRDQSPVSVLQGPGSCGRSAGIVASSRSPPKRIGDICSIRSGKGGLFNGFVMHALGSYVDYTPVSLNMPGLDSTKSDLLDELTFPMEDSVLESTSKLEPLSKDLLLGAQARHKIFHDDLVVKAFYEAEKAHKGQIRASGHPYLQHCVETAVLLATIGANSKVVSAGLLHDTLDDTFMTYDYIFQTFGADVADLVEGVSKLSQLSKLARDNNTANKSVEADRLHTMFLAMADARAVLIKLADRLHNMVTLDALPPIKQQRFAKETLEIFAPLANRLGIFTWKEQLENLCFKHLHPDQHRELSSKLVNSFDEAMITSSVEKLEQALKDGAISYHVLSGRHKSLYSIYSKMLKKKLNMDEIHDIHGLRLIVEEKEDCYKALRVIHQLWHEVPGRFKDYIMHPKFNGYQSLHTVVIGGSMVPLEVQIRTKEMHLQAEYGFAAHWRYKEGDCKHSSFVLQMVEWARWVVTWQCETMNKDKSIGYAESLKPPCKFLSHSNCPYCKPDGGSDGPVYVIMIENDKVCFSHSVDFCFLLILRLEKRKGN >itb01g26480.t1 pep chromosome:ASM357664v1:1:31653043:31653648:1 gene:itb01g26480 transcript:itb01g26480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRLKLPLLTLFLLLSASSSAQVNAAGRKVGGWTEVKDVKNNEEVQELGRYCVREYNRVLQEKQGGGELLSFSEVVKAETQVVSGIKYYLKISATTSSGGARRIYDAVVVVTPWAHSRELIDFEPNHPSKHFLDILV >itb01g32070.t2 pep chromosome:ASM357664v1:1:35659932:35665707:-1 gene:itb01g32070 transcript:itb01g32070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSELLRSIGAALGVSIGNDVAVVALTTSIAVVVGLLVLVWMRTSDRSKEVRPLKVPKARAVEQEEDVDTGKVKVTVFFGTQTGTAEGFAKALAEEIKTRYEKAVVKLVDLDDYAADDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFAEGGERSPWLQQLTYGVFGLGNRQYEHFNKIGKVLDEQLSKQGAKCLVPLCLGDDDQCIEDDFTAWREQLWPELDIILKDEGDANSASTQYTAVIPEYRVVVHDHGTKTYDDVHASMANGNAVYDIHHPCRVNIAVQRELHTPESDRSCIHLEFNISGTGITYETGDHVGVYAENCDEAVEEAAKLLGQPLDLLFSMHTDKDDGTPLGGSLQPPFPGPCTLRTALARYADLLNPPRKATLVVLGAHATDAGEAERLKFLSSPQGKDEYSQWVVASQRSLLEVMSEFPSARPPLGVFFAAVAPRLQPRFYSISSSPKYAPDRVHVTCALVCGPTPTGRIHRGVCSTWMKNAIPLEKSHDRSSAPIFIRPSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERAALKESGVQLGPALLFFGCRNRRMDFIYEEELKNFVDQGIISELVIAFSREGPEKDYVQYKMMERVSLLILISICLLLL >itb01g32070.t1 pep chromosome:ASM357664v1:1:35659932:35665855:-1 gene:itb01g32070 transcript:itb01g32070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSELLRSIGAALGVSIGNDVAVVALTTSIAVVVGLLVLVWMRTSDRSKEVRPLKVPKARAVEQEEDVDTGKVKVTVFFGTQTGTAEGFAKALAEEIKTRYEKAVVKLVDLDDYAADDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFAEGGERSPWLQQLTYGVFGLGNRQYEHFNKIGKVLDEQLSKQGAKCLVPLCLGDDDQCIEDDFTAWREQLWPELDIILKDEGDANSASTQYTAVIPEYRVVVHDHGTKTYDDVHASMANGNAVYDIHHPCRVNIAVQRELHTPESDRSCIHLEFNISGTGITYETGDHVGVYAENCDEAVEEAAKLLGQPLDLLFSMHTDKDDGTPLGGSLQPPFPGPCTLRTALARYADLLNPPRKATLVVLGAHATDAGEAERLKFLSSPQGKDEYSQWVVASQRSLLEVMSEFPSARPPLGVFFAAVAPRLQPRFYSISSSPKYAPDRVHVTCALVCGPTPTGRIHRGVCSTWMKNAIPLEKSHDRSSAPIFIRPSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERAALKESGVQLGPALLFFGCRNRRMDFIYEEELKNFVDQGIISELVIAFSREGPEKDYVQYKMMERAAHVWSLISQKGYLYVCGDAKGMARDVHHTLHTIVQEQEKVDASKAEATVKKLQTDGRYLRDVW >itb01g32070.t4 pep chromosome:ASM357664v1:1:35659932:35665852:-1 gene:itb01g32070 transcript:itb01g32070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSELLRSIGAALGVSIGNDVAVVALTTSIAVVVGLLVLVWMRTSDRSKEVRPLKVPKARAVEQEEDVDTGKVKVTVFFGTQTGTAEGFAKALAEEIKTRYEKAVVKLVDLDDYAADDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFAEGGERSPWLQQLTYGVFGLGNRQYEHFNKIGKVLDEQLSKQGAKCLVPLCLGDDDQCIEDDFTAWREQLWPELDIILKDEGDANSASTQYTAVIPEYRVVVHDHGTKTYDDVHASMANGNAVYDIHHPCRVNIAVQRELHTPESDRSCIHLEFNISGTGITYETGDHVGVYAENCDEAVEEAAKLLGQPLDLLFSMHTDKDDGTPLGGSLQPPFPGPCTLRTALARYADLLNPPRKATLVVLGAHATDAGEAERLKFLSSPQGKDEYSQWVVASQRSLLEVMSEFPSARPPLGVFFAAVAPRLQPRFYSISSSPKYAPDRVHVTCALVCGPTPTGRIHRGVCSTWMKNAIPLEKSHDRSSAPIFIRPSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERAALKESGVQLGPALLFFGCRNRRMVKFQFMHILMHVILLHCILT >itb01g32070.t3 pep chromosome:ASM357664v1:1:35659932:35665852:-1 gene:itb01g32070 transcript:itb01g32070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSELLRSIGAALGVSIGNDVAVVALTTSIAVVVGLLVLVWMRTSDRSKEVRPLKVPKARAVEQEEDVDTGKVKVTVFFGTQTGTAEGFAKALAEEIKTRYEKAVVKLVDLDDYAADDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFAEGGERSPWLQQLTYGVFGLGNRQYEHFNKIGKVLDEQLSKQGAKCLVPLCLGDDDQCIEDDFTAWREQLWPELDIILKDEGDANSASTQYTAVIPEYRVVVHDHGTKTYDDVHASMANGNAVYDIHHPCRVNIAVQRELHTPESDRSCIHLEFNISGTGITYETGDHVGVYAENCDEAVEEAAKLLGQPLDLLFSMHTDKDDGTPLGGSLQPPFPGPCTLRTALARYADLLNPPRKATLVVLGAHATDAGEAERLKFLSSPQGKDEYSQWVVASQRSLLEVMSEFPSARPPLGVFFAAVAPRLQPRFYSISSSPKYAPDRVHVTCALVCGPTPTGRIHRGVCSTWMKNAIPLEKSHDRSSAPIFIRPSNFKLPADPSIPIIMVGPGTGLAPFRGFLQERAALKESGVQLGPALLFFGCRNRRMVKFQFMHILMHVILLHCILT >itb04g32010.t1 pep chromosome:ASM357664v1:4:34683931:34686807:1 gene:itb04g32010 transcript:itb04g32010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSLLVPAVTLIVTVPLLFLLAPRILPPRRISITVPDELDDLSLFQKAIAAETTFPKHPSHANKAPSNKFRLGSTNAAAIRRPKIAFLFLTNTDLHFAPLWQLFFNGTNPVDPHLYNVYIHADPAVKIGAPNGVFKDRFIPSKPTQRSSPTLISAARRLLATAMLDDPANEFFALISQHCIPLHSFGYFYNFLLDTQKLSHEMQYPSYIEIVSDSSLLWDRYNARGMDVMEPEVRFDEFRVGSQFFVLTRRDSLLVIKDRKLWRKFRKPCIKVESCYPEEHYFPTLISMQDPKGSAGYTLTRVNWTDMVDGHPHTYHPPEVSSELIYTLRKSNSTYSYMFARKFSPDCLNPLLEMADSVIFKD >itb02g02990.t1 pep chromosome:ASM357664v1:2:1719423:1722837:1 gene:itb02g02990 transcript:itb02g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLALIFCFHFLHYPLSCTAKRELQVGVILDSDSLIGGIGSRFLSIALSDFYSLHSNYSTRLALHFRDSKEQILNAASSGLELLKDVKVDAIIGPQKSAQANFVMDLGDIAKVPVISFSATSPSLRPRSPYFVQTGLSDDAQAGPIAAIVESFKWSQVVLIFEDSEFGHGIVPSLSNAFQEMNVRVSYKSQIPVSASSDFLLKELYKMMTLQTRVFVVQTSSNLGARLFRKAKEIGMMNQGYAWIITQTLMDVMNLMDSNVVETMQGVLGVKPLIPRTKRLHSFEKRLRSKFLKDKAKTLDHPGFRQTDLSVFGLWAYDTLWALAEAAEKVGRGREGKEVLDKRIGDSSSDPFAVGVSESGPKLLQAILGTRFEGISGKFELADGKREASSFQILNVVGNGEREVGIWTPLQGINLTMNGTDFYSSSREIFKSTVIWPGGSSAVPRGWEVPVAGKKLRIGVPVKSGFTDFVRVERDKELNKTQVSGYYIDVFNSVMSSLQYAVRYEFVPFEKPDGSSAGTYNDLVYQVFLQKFDAAVGDVSITANRSKYADFTMPFAEGGVLGIVPIAYEDVDNIWTFLKPLTKKLWLTSIVFFIVTGMAVWILEHRVSSAFRGPPSQHVGMILYFPFSTLVFAHRERIMSNLARLVVVVWMFVVLILNSTYTASLSSRLTVQRLKPAIKDVNQLIKNGDFVGCPEGSFITDLLKEKGFEESKIKTYKSPEDINNALSNGSSNGGISAYFDGAPYTKLFLSKYCGKYTIGPTYRTDGFAFVFPRGSPLVADVSRAVIQLTENGRILEIGNQGLRNEATCTGPDNTQLGSTSVKLQCFKGLFAITGGITGSCLLVFIVSYVYQNRTCLQTILDSKTTVWSKFVAICRHFDQRDTSADPKEKIPDAEAGEVGFSSHISEVPDLSRISSHSSAVVPLNIEEQNHANVSKGLNLGLAARHPSV >itb04g22470.t1 pep chromosome:ASM357664v1:4:27661616:27664196:-1 gene:itb04g22470 transcript:itb04g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGRNETKNEKNGQEVKQALSGVRKPRFKWTVQVHERFVDAVNQLGGIYKATPKNIMRLMDIEQITTDHIKSHLQKYRLNGSKQANTNTRKSKCFYEFFWFVYHWLHTLCLTVNFASRSIQVSDVPEISTERQRTSQAKLEFERAQQQVQYIERDCHGTLEQTSNNEERQYPQVEEMELTTEQLDEQREMAQKDHISIMNSYPLSSPMESTNYIKPDYVGTTYSTLEIERKNFLKPPYNRWLEAHKENGKFPYTSARTDDRELIIPEKKRFDAAPLKISLQMEKGSTSSGFSADETHKRGKCYQVYASPTSAAQIEAQNMVAEDNKTNICNFPGYKDFKAMNASNEKSFDLNMPAKEREE >itb13g14700.t1 pep chromosome:ASM357664v1:13:21295299:21297445:1 gene:itb13g14700 transcript:itb13g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIIQTTSLMYTVPMALAGCISDRVGNELGAGKPYKSKLAAMVALACAYVIGFMNVIWTVIFREKWAGLFTKDEMLKALVASVMPIIGLCELGNCPQTTGCGILRGTAGPAVGARINLGSFYFVGTPVAAACAISIIYAVLCCTVWEAEALKAKKLTVVEMGQCAVEIKHEESKGLLVSDCSNTLDDVL >itb10g02500.t1 pep chromosome:ASM357664v1:10:2097275:2116683:-1 gene:itb10g02500 transcript:itb10g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVGLRACVYFIMDSCLIVIAEHFGLPLLPPYSGGERANLRGGVNFAVVGAPALTDDGANGSYSRENISMITQINRFKTLLPSICKNSSCEDIFGRALIVFGPFGGDDYASAILSKKVEEAHLLQPLVINAVASAIEELIELGAVNIMVPGMMPDGCIGVSLTVYYGSNEEDYDPNTGCLIWLNKFAQNHNELLQIELTRIQERHPNTFITYADYYNAGLELFLSPEKYGFNNGSLNACCGSGGPYNVDDNAKCGHPSSHACDDPSSYIQWDGAHLTQAAYQWIAKAEHYGLPFLQPYSGGGNAANGVNFAVVGATVLTDDVLQTNTDSADNISLMSQINMFKTYLPSICKNTSSCHEIFEKSLIVFGSIGSNDYASAILVKRVEDAYRLQPLVINALASAIEELIKLGVVNLMVPGMMPVGCLDGCLAVYDGSNKEDYDLITGCLTWLNEFGQKHNELLQKELSRIQEHYPNVFITYADYYNAGLELFLSPSKYGFNNETRLIACCGSGGPYNADNDTKCGFPSSQVCDDPSSSIQWDGAHLTEAANEWIAKGLLSGLYTFPNITASCVSSANGNGVYVN >itb03g29420.t1 pep chromosome:ASM357664v1:3:30307431:30311313:1 gene:itb03g29420 transcript:itb03g29420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPOLAR SPINDLE 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) UniProtKB/Swiss-Prot;Acc:F4KDF5] METGEKSSRNWSEDESLKMAIAVALLRSKLQNNNLPPPPSPPPPSSAPLSDVLKWKRKAKERKLEITRLKEDLKIAEDSMQQDIFPQGALCKCYFFDNLGQFRPISGDDCDQRFSDVLYRRFLRQVRINDRKRRIPDASKGRECLSEDQSDTDVRFYIQHLMRKLGSNSYTGQRIILSVSQRISLVAEGLLFMDPFDGAFPNANHSIYLMIQLIEFLVSDYLLSWSISEEFDTRVLEEWVVSVVHARKALEVLECRSGLYVVYMDRVVGLVAKQVGQFSFLQKLNPQILANLFS >itb07g08910.t1 pep chromosome:ASM357664v1:7:7529072:7529368:-1 gene:itb07g08910 transcript:itb07g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPCSRSVIQISSRGIWRRWRRSCRRGPLVTERGVIRRKADIMDAILRALCLLTSVTTLSLMVTAKEASTITVYGFNIPLRSKWSFSYAFELESLLH >itb02g04690.t2 pep chromosome:ASM357664v1:2:2777751:2780733:1 gene:itb02g04690 transcript:itb02g04690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFQNNQNEADKEQLEQLPVAQPRELDTDDGAPKDEARAAAPPGEAAAAAADNKAEATEGGGDGGNSNVKTFNFRELATATKHFKQESLIGESGFGKVFKGTLPDGQVVAVKKLDRTGVHDSKQFSVEVMALTVLHHPNLLNLVGYCADGEQRLLVYEYTPNHSLQDNLFDLPEDKEPLAWLTRMKIALGVAQGLEYLHEKPNPPIIYRDLKSSSILLDENFSPKLSDYGLAKLEGGGNNSLLAPKTGYYAPEYEQTGELTMKSDVYSFGVVMLELLSGRRALEPDRPVQEQSLVEWAQPIFRDPKRFPEIVDPLLKKAYPMRSLNQAVGVTAMCLQEEATVRPLFDDVIAALSFLSVETNEPLASSIPDPEPKPSEKTRGESSSDDSDGERDDAEDGNSSDYSEHSDDEGEEEEEDYDDKEEEDYEEEEEEEEEEEEEAEASRNNDDADDSSDGDSDKLSFCYSVNMRSTSISESHDGGSPRSNLRQESTLKLENDPSSDEEKP >itb02g04690.t1 pep chromosome:ASM357664v1:2:2777751:2780733:1 gene:itb02g04690 transcript:itb02g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFQNNQNEADKEQLEQLPVAQPRELDTDDGAPKDEARAAAPPGEAAAAAADNKAEATEGGGDGGNSNVKTFNFRELATATKHFKQESLIGESGFGKVFKGTLPDGQVVAVKKLDRTGVHDSKQFSVEVMALTVLHHPNLLNLVGYCADGEQRLLVYEYTPNHSLQDNLFDLPEDKEPLAWLTRMKIALGVAQGLEYLHEKPNPPIIYRDLKSSSILLDENFSPKLSDYGLAKLEGGGNNSLLAPKTGYYAPEYEQTGELTMKSDVYSFGVVMLELLSGRRALEPDRPVQEQSLVEWAQPIFRDPKRFPEIVDPLLKKAYPMRSLNQAVGVTAMCLQEEATVRPLFDDVIAALSFLSVETNEPLASSIPDPEPKPSEKTRGESSSDDSDGERDDAEDGNSSDYSEHSDDEGEEEEEDYDDKEEEDYEEEEEEEEEEEEEAEASRNNDDADDSSDGDSDKLSFCYSVNMRSTSISESHDGGSPRSNLRQESTLKLENDPSSDEEKP >itb03g28540.t1 pep chromosome:ASM357664v1:3:29278523:29284306:-1 gene:itb03g28540 transcript:itb03g28540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSEKGPVELCKGINGLDKVVLREVRGSSAEVYFYGGHVTSWKNEHGEEMLFVSSKAIFKPPKAIRGGIPICFPQFSNLGPLEQHGFARNRFWTVDKDPPPVPPNSRAFIDLILKPSEDDLKIWPHSYEFRLRVTLGPAGDLMLTSRIRNTNTDGKPFTFTFAYHTYLSVSDISEVRVEGLETLDYLDNLQNRERFTEQGDAITFEGEVDKMYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAVEKAITLKPGEEWRGRQELSVVPSSYCSGQLDPQKVLHGS >itb05g22540.t1 pep chromosome:ASM357664v1:5:27933509:27935078:-1 gene:itb05g22540 transcript:itb05g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIENRSGGDGRWSLKGMNALVTGGTRGIGYAIVEELASFGASVYTCSRNQEDLDKCFQEWKSKGYKVSSSVCDLSSRPQRQELMEKVGDYFNGKLHILVNNAAISIPNNTIDVSDEDYSVTMSTNFEAPYHLSQLSHPLLKATGHGSIVFISSVAGLLGVPYFSLYSGSKGAINQVTRSLACEWAKDDIRVNAVAPWIVETRLKDEAVKVYEAGEVEGVIKRTPISRQGKPNEISSVVAFLCLPAASWLTGQVICVDGGITVTSF >itb15g18090.t1 pep chromosome:ASM357664v1:15:19451134:19453554:-1 gene:itb15g18090 transcript:itb15g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLIHFKDDYDEDGEDFRPTRPFILDTSTHFSLSPQNDYLFFNCSNEKVIVEPKPVLCERSRSSATQRATTPASCAATYRSAPPSCAAVAPAARITARRQSPLSILDLGK >itb08g05600.t1 pep chromosome:ASM357664v1:8:4620584:4624529:1 gene:itb08g05600 transcript:itb08g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSIPAGSINRYVGIWYNQISVPTVVWIANRETALTNTTSSVLKIIKPGRLVLIDAKNNTIWSTNASRSAQNPMAQLLDSGNLVVRDSEDENPENFLWQSFDYPTDNLLPGMKLGWNFVTGHEVYVRAWKNENDPSSGQFTFHFDPAGYPHCVTKNGPEKIYTTGPWNGLRWSGTPGINPDSPHYKYQMYMNLREAYARYDVINNSVLPRLALTSFGDFISLSWMVDTQSWVSFVKAPMDICDNYAKCGANGICNIAGSPICGCLDNFVSNSRGGWDYTSDGCHRRIPLKCKNGTDGFKKYSGIKLPDTTNSWFNTTMSLKECEHKCLSNCSCTAYSSLDISNGGSGCLLWFKDLIDIRLLSQNGQDIYIRLDSSEIPDTFSFGVLLLEIVGGKRNRGFSHPDHNLNLIGHAWKLYKENRALELIDVHLAPSCDLSQVQRCIHVGLLCVQQRPEDRPIMSYVVTILSNDVTLPEAKEPGFFTEQRVNMSDCSPSTEGTGSRNECTMTILDPR >itb08g05670.t1 pep chromosome:ASM357664v1:8:4659024:4661817:1 gene:itb08g05670 transcript:itb08g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKLRSSSRGQLVLIDGKNDTIWSTNSSRTAQNPIAELLDSGNLVVRDAEDENPENFLWQSFDYPTDTFLPGMKLGKNLDTGKEVYISARKSENDPSSGNSHSLLTQQGTHKA >itb04g29640.t1 pep chromosome:ASM357664v1:4:32950162:32951058:1 gene:itb04g29640 transcript:itb04g29640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKFLFTLLLLQSLSANSSNLFREYIGAEYKNVTFSDVPIHPKLDFHFLLSFAIDYTDDVSSPQPTNGLFSAFWDTGNLTPTRISSIKSQYRNVKVGMSLGGDSVGGKFVFFQPKSITSWVRNAIYSITNLVKEYNLDGVDIDYEHFNSDPETFAECIGRLLFYLKQNNVVSYTSIAPYDDDSVQPYYLALWKRYGHLIDYVNFQFYAYAKGTTVSQFIQYFETQTANYAGGTILVSFGTDDSGGLKPEKGFFQACSKLRSQGKLHGIFIWSADDSKKDNFLYEKQSQQFLVNNTI >itb12g16900.t1 pep chromosome:ASM357664v1:12:18439440:18440356:1 gene:itb12g16900 transcript:itb12g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHEVLSRMHGTTFFSLSLFCFLLIIAMAERSSVQAHLNNTNISSSPARSRDSDQENPKREGVDDSISSSSSSSISMGRKGRVHNNNNIDGSSPSSSFSWREGRSLNASAHAVPSGPNPISNR >itb05g15130.t2 pep chromosome:ASM357664v1:5:22524887:22526022:1 gene:itb05g15130 transcript:itb05g15130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYILLRLSFVFFICRNKASIISAGALEPIIAFLQSENAIVQEDATAALLTLSASSVTKPIVSASAVIIPLLVKVLRHGSPQAKVDAAMTLYNLSTYRTNLIPILQTKPLPDILNLLKSCKKTSKTTEKCAALIESLVGYEEGRAALTAEEDGVLSVVEVLECGSLKSREHAVGALLAMCQGDRFKYREPILREGAIPGVMQLTIQGTPKGKAKANTLLRLLRDSACPRPELQADTLQNIVSNLILEMDAEEQPGKAKEKKLAEMVQVSMEEESLNFLSSFPFPPPNVRSTYGHRKIR >itb05g15130.t1 pep chromosome:ASM357664v1:5:22522889:22526127:1 gene:itb05g15130 transcript:itb05g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMRTSSLSSPSSSDYVNSDGDSPSETTTSSPLPSSSGSSSVVTQTLRLVQSDDPDDKVRAAREIRRLTKTSQRYRRLFCNAVGPLVDMLRSSAVESNQAALLALLNLAVKDDANKASIISAGALEPIIAFLQSENAIVQEDATAALLTLSASSVTKPIVSASAVIIPLLVKVLRHGSPQAKVDAAMTLYNLSTYRTNLIPILQTKPLPDILNLLKSCKKTSKTTEKCAALIESLVGYEEGRAALTAEEDGVLSVVEVLECGSLKSREHAVGALLAMCQGDRFKYREPILREGAIPGVMQLTIQGTPKGKAKANTLLRLLRDSACPRPELQADTLQNIVSNLILEMDAEEQPGKAKEKKLAEMVQVSMEEESLNFLSSFPFPPPNVRSTYGHRKIR >itb15g09970.t1 pep chromosome:ASM357664v1:15:7216081:7220586:-1 gene:itb15g09970 transcript:itb15g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYAQKERTKVIRELSGMILTRGPKLCNFVEWRGFKVVYKRYASLYFCMCIDQEDNELEILEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVEAAKEQASSLSNMIAQATK >itb02g24240.t1 pep chromosome:ASM357664v1:2:24574620:24579364:-1 gene:itb02g24240 transcript:itb02g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDWVKAAMEDDSMVVEMLLGLSQTVMNKAAATLAWRGRQRRSKPVTVSGKKPAARASPNTPLSWSGGTSGSGGGAAVDGGPEESSRSPSGSKPARNARSKVNETDEKTATKRSRKKKTLAELKQDEAMLLKERRQLKKELAKIRVNLETQKATNENLKRMKLDVVPEQQPQSGESIVTAASNELQETGEGKEDRVELPDLNLPLEDDDEMLLS >itb01g34660.t1 pep chromosome:ASM357664v1:1:37230997:37232923:1 gene:itb01g34660 transcript:itb01g34660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKFVVEASAKSGHPTFALVRESTVSDPVKGKIIENFKNSGVTLLYGDLYDHASLVKAIKQVDVVISTLGHMQLGDQTKIIAAIKEAGNVKRFFPSEFGNDVDRVEAVEPAKSAFATKAEIRRAIEGEGIPYTYVSANYFAGYFLPTLVQLGATSPPRDKVIILGDGTVKAVFNEEHDIGTYTIKAVDDPRTLNKVLYLKPPKNIYSFNELVALWEKKIGKTLEKTYVSEEQLLKQIQESPIPINVVLSINHSVFVKGGHTNFVIEPSFGVEASELYPDVKYTSVEEYLENFV >itb03g04300.t1 pep chromosome:ASM357664v1:3:2690342:2692414:-1 gene:itb03g04300 transcript:itb03g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSYETEHPVKAFGWAARDTSGALSPFKFSRRETGEKDVQFKVLYCGVCHSDLHQIKNEWGNATYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCLVGSCSKCDNCTGDLENYCPGAVPTYNANGIVTFGGYSDIMVADEHFVLRWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGAKVTVISTSASKKVEAIEHLGADSFLISRDQEQMQAAMNTLDGIIDTVSAVHPIMPLLFLLKTNGKLVIVGGPEKPLELPVFPLLMGRKLVAGSGIGGIKETQEMLDFSAKHNIAPDVEIIPVDYINTAMERLVKADVKYRFVLDIGNTLNSA >itb03g04300.t2 pep chromosome:ASM357664v1:3:2690342:2692414:-1 gene:itb03g04300 transcript:itb03g04300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSYETEHPVKAFGWAARDTSGALSPFKFSRRETGEKDVQFKVLYCGVCHSDLHQIKNEWGNATYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCLVGSCSKCDNCTGDLENYCPGAVPTYNANGIVTFGGYSDIMVADEHFVLRWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGAKVTVISTSASKKVEAIEHLGADSFLISRDQEQMQAAMNTLDGIIDTVSAVHPIMPLLFLLKTNGKLVIVGGPEKPLELPVFPLLMGNH >itb14g12860.t1 pep chromosome:ASM357664v1:14:14636014:14640356:1 gene:itb14g12860 transcript:itb14g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEFSSGKNGANVGNSNINSNNNNNSGSNNVVVANKLRKGLWSPEEDEKLMHYMLSNGQGCWSEVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTLKKRMKNTSSSPSTTTSPNTSDSSSLEPCRDNIMGGLISSSSMQDHHHHHALMSSMYMHDPSSSSSSSSSFSSSMPLSAAAAADPLPMLGHSISAAASFMETGHALGGGGAYYGDHRIFGGNIGAEEGPNHLFMVPPLESVNNAVKSEGFVSRMSIHNTTNPNHNTNNINTNSIDINNHFMNNNNVKVENVGGGFGSYWDNGGEWDLEELMKDVPNSFPFLDFQVE >itb02g22110.t1 pep chromosome:ASM357664v1:2:21271671:21275908:1 gene:itb02g22110 transcript:itb02g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPFKKRPRLESEPENAETDSGDTEEQTMSDGEEDLVALIEHRSKEVEHLRKRFSYYKSQLEEAEKRLDESQRKLARLRGQNSVKTSLTQSEFRSQFNLNTSITVQSQPQSKLQPEILDIKQEDCEPVTKSSSSPSSAGDSGFCFSNSMIKLKGDKTQREPCEKEVVDAQDKGAKRISDQKRQKDLIPMISCHSSPCMIDCQTCAIVTSQHKRKLRSLVLSPTNEQHFATSALDGVVNLWQLQGRGSSATLLSSTNCLSAKQRRWPEDIAWHPEGNSLFSVYSADGEESQISILNLNKQKVSFLEEKPHVKGIINNIMFMPWEDNCFVTGGSDHAVIFWSEKERENSWKPKVLHKSVHSSAVMGVAGMQQKKIVMSAGADKRIIGFDLVEEKVEYKHQIESKCMSVLPNPRDLNLFMVQTGTLEKQLRLFDIRDRQKEVHSLGWKQESSESQSALIHQAWSPNGLYISSGSVDPVIHIFDIRYNSHKPSQSIKAHQKRVFKAVWHPTLPLLISISSDLNIGLHETA >itb02g22110.t2 pep chromosome:ASM357664v1:2:21271694:21275908:1 gene:itb02g22110 transcript:itb02g22110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPFKKRPRLESEPENAETDSGDTEEQTMSDGEEDLVALIEHRSKEVEHLRKRFSYYKSQLEEAEKRLDESQRKLARLRGQNSVKTSLTQSEFRSQFNLNTSITVQSQPQSKLQPEILDIKQEDCEPVTKSSSSPSSAGDSGFCFSNSMIKLKGDKTQREPCEKEVVDAQDKGAKRISDQKRQKDLIPMISCHSSPCMIDCQTCAIVTSQHKRKLRSLVLSPTNEQHFATSALDGVVNLWQLQGRGSSATLLSSTNCLSAKQRRWPEDIAWHPEGNSLFSVYSADGEESQISILNLNKQKVSFLEEKPHVKGIINNIMFMPWEDNCFVTGGSDHAVIFWSEKERENSWKPKVLHKSVHSSAVMGVAGMQQKKIVMSAGADKRIIGFDLVEEKVEYKHQIESKCMSVLPNPRDLNLFMVQTG >itb15g04570.t2 pep chromosome:ASM357664v1:15:2892467:2899334:-1 gene:itb15g04570 transcript:itb15g04570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFIFPPNLQSLEEEDADGGRLTVQNPTSVPSLRPSELEEFVKEQDVFDRVYSLVKAFASLPPPCKLNLVESLRSNLSVLLPNVELFSRASQNRDDNRSDDDSEESDGCDSSVSDRLASHRNAFKIYTFFLIHIVLIEEFSTSSNNNTKVMASGRKKQLASSWNWEPQRARILILIANSLEINLSLLFGSSDPDENYLSFILKNTFSMYEKATLLKDSDTKGALSRIIATCATKFHFAAQACASILHLIHKYDYTVSHLADAVAGAEKKYGDGSLAISLIREIGRTNPKDYVKDTVGAENIGRFLVELADQLPKLVSTNVGLLIPHFGGESYKIRNALVGVLGKLVAKAFNDAEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGMWNEVAALAAGRLEDKSAIVRKSALNLLIIMLQHNPFGPQLRTSSFEATLEQYNKKLNELGPMAQSDTVLNGLPSDNEECNGDGEIHEEGAERMSKELNDSLTDSCLSQMQDPIPQEDISVPDVGNLEQTRTLVASLEAGLKFSKCISATMQTLVQLMASSSATDVENTILLLMRCRQFKIDGSEACLRKMLPLVFSQDKAIYEAVENAFITIYIQKNPIETAKNLLSLAVDSNIGDLAALELIIGALVSKGDITSIMLSALWDFFCFNFSGTTAEQSRGALSVLCMAAKRSTMVFSSHLPDIIDIGFGRWAKVEPLLARTACTALQRLSEEDRKKLLSANGSRVFSILESLVTGNWLSESIWYATADKAIATIYTIHPSPENVAVNLVRRSLSSVFDCCGGDEIQNDIENGSTNMLASVNVTKLSRYLFIVSHVAMNQLVYIESRVRKIQKEKAKRERIVSEQNADANNTTPDAQKDKGINAELGVAASEDALLDSLSDKAEKEIVSGGSAGKNLIGHCAPFLSKLCRNYALMQKYPELQASGMLSLCRFMIIDADFCDANLQLLFTVVENAPSEIVRSNCTVALGDLAVRFPNLLEPWTEHMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMTICLEDEDERISSLAKLFFNELSKKGSNPIYNLLPDILGKLSTQNLKQESFYNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDVRQWEYISYCLSQLTFNEKSIRKLIEAFKTYEHALSQDTVMDHFRNIISKGKKFSKPELKSCIEEFEEKINKFHIEQKEQEVTAKNAQNHQQKMASLMVSKKEVEESSESEVTEGGTEGELTDMSTEGTTEITHSKTRFPESKTHSHASSEVTDPELDEDEVQSSLVHPTRAATRSRTKKTNKVDQGDDTRTSTRRTTRSSRR >itb15g04570.t3 pep chromosome:ASM357664v1:15:2892467:2899334:-1 gene:itb15g04570 transcript:itb15g04570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRKKQLASSWNWEPQRARILILIANSLEINLSLLFGSSDPDENYLSFILKNTFSMYEKATLLKDSDTKGALSRIIATCATKFHFAAQACASILHLIHKYDYTVSHLADAVAGAEKKYGDGSLAISLIREIGRTNPKDYVKDTVGAENIGRFLVELADQLPKLVSTNVGLLIPHFGGESYKIRNALVGVLGKLVAKAFNDAEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGMWNEVAALAAGRLEDKSAIVRKSALNLLIIMLQHNPFGPQLRTSSFEATLEQYNKKLNELGPMAQSDTVLNGLPSDNEECNGDGEIHEEGAERMSKELNDSLTDSCLSQMQDPIPQEDISVPDVGNLEQTRTLVASLEAGLKFSKCISATMQTLVQLMASSSATDVENTILLLMRCRQFKIDGSEACLRKMLPLVFSQDKAIYEAVENAFITIYIQKNPIETAKNLLSLAVDSNIGDLAALELIIGALVSKGDITSIMLSALWDFFCFNFSGTTAEQSRGALSVLCMAAKRSTMVFSSHLPDIIDIGFGRWAKVEPLLARTACTALQRLSEEDRKKLLSANGSRVFSILESLVTGNWLSESIWYATADKAIATIYTIHPSPENVAVNLVRRSLSSVFDCCGGDEIQNDIENGSTNMLASVNVTKLSRYLFIVSHVAMNQLVYIESRVRKIQKEKAKRERIVSEQNADANNTTPDAQKDKGINAELGVAASEDALLDSLSDKAEKEIVSGGSAGKNLIGHCAPFLSKLCRNYALMQKYPELQASGMLSLCRFMIIDADFCDANLQLLFTVVENAPSEIVRSNCTVALGDLAVRFPNLLEPWTEHMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMTICLEDEDERISSLAKLFFNELSKKGSNPIYNLLPDILGKLSTQNLKQESFYNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDVRQWEYISYCLSQLTFNEKSIRKLIEAFKTYEHALSQDTVMDHFRNIISKGKKFSKPELKSCIEEFEEKINKFHIEQKEQEVTAKNAQNHQQKMASLMVSKKEVEESSESEVTEGGTEGELTDMSTEGTTEITHSKTRFPESKTHSHASSEVTDPELDEDEVQSSLVHPTRAATRSRTKKTNKVDQGDDTRTSTRRTTRSSRR >itb15g04570.t4 pep chromosome:ASM357664v1:15:2892769:2899372:-1 gene:itb15g04570 transcript:itb15g04570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFIFPPNLQSLEEEDADGGRLTVQNPTSVPSLRPSELEEFVKGVSFDLTDKELFCIEEQDVFDRVYSLVKAFASLPPPCKLNLVESLRSNLSVLLPNVELFSRASQNRDDNRSDDDSEESDGCDSSVSDRLASHRNAFKIYTFFLIHIVLIEEFSTSSNNNTKVMASGRKKQLASSWNWEPQRARILILIANSLEINLSLLFGSSDPDENYLSFILKNTFSMYEKATLLKDSDTKGALSRIIATCATKFHFAAQACASILHLIHKYDYTVSHLADAVAGAEKKYGDGSLAISLIREIGRTNPKDYVKDTVGAENIGRFLVELADQLPKLVSTNVGLLIPHFGGESYKIRNALVGVLGKLVAKAFNDAEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGMWNEVAALAAGRLEDKSAIVRKSALNLLIIMLQHNPFGPQLRTSSFEATLEQYNKKLNELGPMAQSDTVLNGLPSDNEECNGDGEIHEEGAERMSKELNDSLTDSCLSQMQDPIPQEDISVPDVGNLEQTRTLVASLEAGLKFSKCISATMQTLVQLMASSSATDVENTILLLMRCRQFKIDGSEACLRKMLPLVFSQDKAIYEAVENAFITIYIQKNPIETAKNLLSLAVDSNIGDLAALELIIGALVSKGDITSIMLSALWDFFCFNFSGTTAEQSRGALSVLCMAAKRSTMVFSSHLPDIIDIGFGRWAKVEPLLARTACTALQRLSEEDRKKLLSANGSRVFSILESLVTGNWLSESIWYATADKAIATIYTIHPSPENVAVNLVRRSLSSVFDCCGGDEIQNDIENGSTNMLASVNVTKLSRYLFIVSHVAMNQLVYIESRVRKIQKEKAKRERIVSEQNADANNTTPDAQKDKGINAELGVAASEDALLDSLSDKAEKEIVSGGSAGKNLIGHCAPFLSKLCRNYALMQKYPELQASGMLSLCRFMIIDADFCDANLQLLFTVVENAPSEIVRSNCTVALGDLAVRFPNLLEPWTEHMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMTICLEDEDERISSLAKLFFNELSKKGSNPIYNLLPDILGKLSTQNLKQESFYNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDVRQWEYISYCLSQLTFNEKSIRKLIEAFKTYEHALSQDTVMDHFRNIISKGKKFSKPELKSCIEEFEEKINKFHIEQKEQEVTAKNAQNHQQKMASLMVSKKEVEESSESEVTEGGTEGELTDMSTEGTTEITHSKTRFPESKTHSHASSEVTDPELDEDEVQSSLVHPTRAATRSRTKKTNKVDQGDDTRTSTRRTTRSSRRY >itb15g04570.t1 pep chromosome:ASM357664v1:15:2892467:2899372:-1 gene:itb15g04570 transcript:itb15g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFIFPPNLQSLEEEDADGGRLTVQNPTSVPSLRPSELEEFVKGVSFDLTDKELFCIEEQDVFDRVYSLVKAFASLPPPCKLNLVESLRSNLSVLLPNVELFSRASQNRDDNRSDDDSEESDGCDSSVSDRLASHRNAFKIYTFFLIHIVLIEEFSTSSNNNTKVMASGRKKQLASSWNWEPQRARILILIANSLEINLSLLFGSSDPDENYLSFILKNTFSMYEKATLLKDSDTKGALSRIIATCATKFHFAAQACASILHLIHKYDYTVSHLADAVAGAEKKYGDGSLAISLIREIGRTNPKDYVKDTVGAENIGRFLVELADQLPKLVSTNVGLLIPHFGGESYKIRNALVGVLGKLVAKAFNDAEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGMWNEVAALAAGRLEDKSAIVRKSALNLLIIMLQHNPFGPQLRTSSFEATLEQYNKKLNELGPMAQSDTVLNGLPSDNEECNGDGEIHEEGAERMSKELNDSLTDSCLSQMQDPIPQEDISVPDVGNLEQTRTLVASLEAGLKFSKCISATMQTLVQLMASSSATDVENTILLLMRCRQFKIDGSEACLRKMLPLVFSQDKAIYEAVENAFITIYIQKNPIETAKNLLSLAVDSNIGDLAALELIIGALVSKGDITSIMLSALWDFFCFNFSGTTAEQSRGALSVLCMAAKRSTMVFSSHLPDIIDIGFGRWAKVEPLLARTACTALQRLSEEDRKKLLSANGSRVFSILESLVTGNWLSESIWYATADKAIATIYTIHPSPENVAVNLVRRSLSSVFDCCGGDEIQNDIENGSTNMLASVNVTKLSRYLFIVSHVAMNQLVYIESRVRKIQKEKAKRERIVSEQNADANNTTPDAQKDKGINAELGVAASEDALLDSLSDKAEKEIVSGGSAGKNLIGHCAPFLSKLCRNYALMQKYPELQASGMLSLCRFMIIDADFCDANLQLLFTVVENAPSEIVRSNCTVALGDLAVRFPNLLEPWTEHMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMTICLEDEDERISSLAKLFFNELSKKGSNPIYNLLPDILGKLSTQNLKQESFYNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDVRQWEYISYCLSQLTFNEKSIRKLIEAFKTYEHALSQDTVMDHFRNIISKGKKFSKPELKSCIEEFEEKINKFHIEQKEQEVTAKNAQNHQQKMASLMVSKKEVEESSESEVTEGGTEGELTDMSTEGTTEITHSKTRFPESKTHSHASSEVTDPELDEDEVQSSLVHPTRAATRSRTKKTNKVDQGDDTRTSTRRTTRSSRR >itb06g22550.t1 pep chromosome:ASM357664v1:6:24780928:24783871:-1 gene:itb06g22550 transcript:itb06g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYHNLNRLISQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMFRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYCKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEMDEGDDGDDEDYC >itb01g03450.t1 pep chromosome:ASM357664v1:1:2270935:2274274:1 gene:itb01g03450 transcript:itb01g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYCFNPFRLRLWKSKGLAIPPPSSARSHQQMNSSADMETMDKRRFDSLESWSMILESDNVETWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHREETRARLEQQFKSEVALLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSSNLLLNDEMRVKVADFGTSCLETQCHEAKGNMGTYRWMAPEMIKEKSYTRKVDVYSFGIVLWELTTSLLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAANPSKRPDFTDIVSALEKYDECVKEGLPLTLHSGLVSRNAIVERLKGCISTSSSIPVHV >itb02g03780.t1 pep chromosome:ASM357664v1:2:2243857:2247717:1 gene:itb02g03780 transcript:itb02g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTCASPGNLNRFGGSDLQKPRPFSSHWLHGSDLHLQFQNKPFQMNYKKRSSGVHASLAEKDEYYSQRPPTPLLDTINYPIHMKNLSIKELNQLAIELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRREKMPTIRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRKNNVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILQEVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVTKFDPATGKQFKASAKTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGLNLFQRRFPTRCFDVGIAEQHGVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGSDGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCLRYPRGNGVGVVLPPGNKGTPLEVGRGRILMEGERVALVGYGTAVQSCLSAAALLETRGLRVTVADARFCKPLDHALIRSLAKSHEVMITVEEGSIGGFGSHVAQFMALDGLLDGNLKWRPVVLPDRYIDHGAPADQLAEAGLTPSHIAATVFNILGKAREALEIMTT >itb03g06780.t1 pep chromosome:ASM357664v1:3:4903053:4906662:-1 gene:itb03g06780 transcript:itb03g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSRVEREELVSRCKARKSYMKQFVKARHAFSAAHTMYIRSLRNTGSALFQFATAETNLRRIPPPQNPLRPPLPSTPPPPPPPPFSPTPTTVTWTTSTTTSSAVRPPPPPLPPHGSSWDFWDPFVPASSRSVTEEEWEETTVASEVAVTTTTTAAGEASVAAPPSVVSQYSKDTTATTSDLAVVVSTKSKDLVEIIKELDEYFLKAANAGAQLSLLLEVPTGTISDQRSSGKSLGPLLWSFRSSPKWNGFGRCCDESIGNGICVGGGGDGASSHCSTVERLYAWEKKLYLEVRNAETLKLEHEKKVAHLRKLEMKRADYVKTEKAKKEVEKLESQMMVASQAIETISAEIVKLRESELYPQLVNLVKGLMYMWRSMYESHQVQTHIVQQLKYLSAILPTDPTSEIHRQSTLQLELEVQQWHLSFCNLVKAQRDYIQSLTGWLRLSMFQLSNKLVQKTSQDSSVYSFCEEWHLAVNNAPDKVASEGIKSFLSVINAIVVQQTDELKQKKRLDLSVKELEKKANELRSMESKYGPYSMSDTSRGTSSKNPVGLRRVKVDTLRARAEDEKAKYDKLVGVTRAMTLSNLQMGLPHVFQAVTGFANVCTHAFESVYNQAKSSGGLNDVKRISL >itb13g18260.t1 pep chromosome:ASM357664v1:13:25252931:25256342:1 gene:itb13g18260 transcript:itb13g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSRRCAVVSGANRGIGHEICRQLASEGVIVVATARDEKRGLEAVEKLKHSFDGNEHIIVFHQLDVEDGLSISRLVDLVTPKFGKLDILVNNAGVLGFVIEGDGAILTEMIEADCSRIISANEELETELKSDGALIETGELVEQCINTNYAGTKRMVEAFLPLLQLSHSPTIVNVSSTLSNLKVRPTLTFVHLTSSS >itb12g25000.t1 pep chromosome:ASM357664v1:12:26331544:26332640:-1 gene:itb12g25000 transcript:itb12g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSSGQILVLFLVPLVLTSGVLPTTAARAVAGTTTNTEFIKTSCKATTYPDLCFSSLSIHASAIGADPELLAHTALDVALESAQSTSAEMAKIAGRRGLTPREIGAMQDCVEELGDSVGEIKRSLGEMKQMKGPDFGMKISDIQTWVSAALTDENTCTDGFAGKAMNGEVKTVVGEKIVKVAHMTSNALALVNSFAALHN >itb03g21770.t3 pep chromosome:ASM357664v1:3:19715914:19727125:-1 gene:itb03g21770 transcript:itb03g21770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTFLQAAEESYVSLKELVKKSRSSDLPDFDKKRGILQYLVKTQQRRMLRLNVIAKWFQHVSVTLVSIEARFLLVGLEDYGSFSCLDEERVALLKIKDAFNYPNGSALSFWDDEGVDCCKWERVECDNLTGRVTKLHLHDIRELKLGEFDFFLDASLFLPFQELQGLGLKGELKLKKLQLLTLAQNQLTEIPSLGALLSLNYLNLASNYLKNLSDFNKLTTLRSLQILVFRNNQIRGNLPPSIGTFTSLKSLSLANNELNGPLPEEGFCGLRNIEELDLYSNSFDGSIPLCFSNLTSLKFLDLSSNRLTGTIPTTLFYRLSSLEHVSLSDNHFEGSFSFSSVANNSKLQFFELHCNNRMLNIDTENPPWTPLFQLNFLRISNCELNEPSRVMPSFILTQGNLRLLELSNNSITGRIPTWLLKNNSGLQFLSLGGNFFTGSFVMNSDHWFGNLYWFDVSMNEIYGELPPLMGFIFSNLVYLRLSENAFQGTIPPSMGDMRNLYYLDLSNNNFSGELPVNLIMGCISLRFLKLSNNAFEGNLFKSEWNLTNLHHLFMDNNLFSGKLSDGIMNSSQLEILSLKSNLISGKIPDWIGNLSSLEYLVLAENSLHGSIPLSFSGLQQLKLLYLSGNYLHQIPPGVNLSSLAYLHLADNELDGPLPSFISRLSSLISLDVRYNKLSGRIPSWLSSLTNLRTLLLKGNSLHSPIPAELCQLKNTSILDLSFNNLSGKIPLCLCSMPFGEKKPLPIDGTFSSNIFGWSMPRIVAYEYVGLFGVLDYPMATHVSISVSENVQFLTKSRYEDFSYSILYFISGIDLSHNKFIGPIPPCIGYLSDIHSLNLSHNYFDGSIPKTLSMLKEIESMDLSYNRLTGEIPSELIALNFLSVFSVAYNNLSGRTPAMVGQFATFEKQSYEGKEEEEEDGFVVVEEEVVTVLQRLRCTSILRIPYFCSFFISSRFILFLDINSSCGRYNFEKRGMPGGTKKSLRKTLGALKDTTDATVAKINSHYKELDIAIVKATNHVEQPANEKQIKAVFAAILATRHRDDVAYCIHALERRLSKTHNWAVALKTLILIHRALREEDLTFHEELINYGQSRSYMLNMTHFKDDSSPNAWDYSGWVRSYALYLEERLECFRILKSDVETYHTRSKELDTLELLEQLPALQQLLHCVIGCQPQGAAMQNHVIQLALSMVALESIKIYNAISDGTATLVDKFFEMKRQDALRALDIYRKAGQQAEKLSEFYEICKNLDVGRGEKFITIERPPTLFLQTMEECVKEAPRLSTLRKDSQAVDNKSKVILATDYKKKPEVTEVRSPPLPPPKLVPEPNAKDIKTKSLVAEPPNLLSQKDPAPAVTKLDEKNAAALAIVPPDSADQTPNGRNLANGTTGWELALAEAPSSIESVAAASKLARGLDKLTLDSLYDDAITRRNQSLSYYNPWEPSQKAALVMPQPEHPFCASSMVASTPPSVQTAAVANQQHAFAFQQQQQMMMMMTTTSPQRQHATNPFCNLCGSAINSCGPGMPVQTCNNHYRGLI >itb03g21770.t2 pep chromosome:ASM357664v1:3:19715914:19727125:-1 gene:itb03g21770 transcript:itb03g21770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTFLQAAEESYVSLKELVKKSRSSDLPDFDKKRGILQYLVKTQQRRMLRLNVIAKWFQHVSVTLVSIEARFLLVGLEDYGSFSCLDEERVALLKIKDAFNYPNGSALSFWDDEGVDCCKWERVECDNLTGRVTKLHLHDIRELKLGEFDFFLDASLFLPFQELQGLGLKGELKLKKLQLLTLAQNQLTEIPSLGALLSLNYLNLASNYLKNLSDFNKLTTLRSLQILVFRNNQIRGNLPPSIGTFTSLKSLSLANNELNGPLPEEGFCGLRNIEELDLYSNSFDGSIPLCFSNLTSLKFLDLSSNRLTGTIPTTLFYRLSSLEHVSLSDNHFEGSFSFSSVANNSKLQFFELHCNNRMLNIDTENPPWTPLFQLNFLRISNCELNEPSRVMPSFILTQGNLRLLELSNNSITGRIPTWLLKNNSGLQFLSLGGNFFTGSFVMNSDHWFGNLYWFDVSMNEIYGELPPLMGFIFSNLVYLRLSENAFQGTIPPSMGDMRNLYYLDLSNNNFSGELPVNLIMGCISLRFLKLSNNAFEGNLFKSEWNLTNLHHLFMDNNLFSGKLSDGIMNSSQLEILSLKSNLISGKIPDWIGNLSSLEYLVLAENSLHGSIPLSFSGLQQLKLLYLSGNYLHQIPPGVNLSSLAYLHLADNELDGPLPSFISRLSSLISLDVRYNKLSGRIPSWLSSLTNLRTLLLKGNSLHSPIPAELCQLKNTSILDLSFNNLSGKIPLCLCSMPFGEKKPLPIDGTFSSNIFGWSMPRIVAYEYVGLFGVLDYPMATHVSISVSENVQFLTKSRYEDFSYSILYFISGIDLSHNKFIGPIPPCIGYLSDIHSLNLSHNYFDGSIPKTLSMLKEIESMDLSYNRLTGEIPSELIALNFLSVFSVAYNNLSGRTPAMVGQFATFEKQSYEGKEEEEEDGFVVVEEEVVTVLQRLRCTSILRIPYFCSFFISSRFILFLDINSSCGRYNFEKRGMPGGTKKSLRKTLGALKDTTDATVAKINSHYKELDIAIVKATNHVEQPANEKQIKAVFAAILATRHRDDVAYCIHALERRLSKTHNWAVALKTLILIHRALREEDLTFHEELINYGQSRSYMLNMTHFKDDSSPNAWDYSGWVRSYALYLEERLECFRILKSDVETYHTRSKELDTLELLEQLPALQQLLHCVIGCQPQGAAMQNHVIQLALSMVALESIKIYNAISDGTATLVDKFFEMKRQDALRALDIYRKAGQQAEKLSEFYEICKNLDVGRGEKFITIERPPTLFLQTMEECVKEAPRLSTLRKDSAVDNKSKVILATDYKKKPEVTEVRSPPLPPPKLVPEPNAKDIKTKSLVAEPPNLLSQKDPAPAVTKLDEKNAAALAIVPPDSADQTPNGRNLANGTTGWELALAEAPSSIESVAAASKLARGLDKLTLDSLYDDAITRRNQSLSYYNPWEPSQKAALVMPQPEHPFCASSMVASTPPSVQTAAVANQQHAFAFQQQQQMMMMMTTTSPQRQHATNPFCNLCGSAINSCGPGMPVQTCNNHYRGLI >itb03g21770.t1 pep chromosome:ASM357664v1:3:19715914:19727125:-1 gene:itb03g21770 transcript:itb03g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTFLQAAEESYVSLKELVKKSRSSDLPDFDKKRGILQYLVKTQQRRMLRLNVIAKWFQHVSVTLVSIEARFLLVGLEDYGSFSCLDEERVALLKIKDAFNYPNGSALSFWDDEGVDCCKWERVECDNLTGRVTKLHLHDIRELKLGEFDFFLDASLFLPFQELQGLGLKGELKLKKLQLLTLAQNQLTEIPSLGALLSLNYLNLASNYLKNLSDFNKLTTLRSLQILVFRNNQIRGNLPPSIGTFTSLKSLSLANNELNGPLPEEGFCGLRNIEELDLYSNSFDGSIPLCFSNLTSLKFLDLSSNRLTGTIPTTLFYRLSSLEHVSLSDNHFEGSFSFSSVANNSKLQFFELHCNNRMLNIDTENPPWTPLFQLNFLRISNCELNEPSRVMPSFILTQGNLRLLELSNNSITGRIPTWLLKNNSGLQFLSLGGNFFTGSFVMNSDHWFGNLYWFDVSMNEIYGELPPLMGFIFSNLVYLRLSENAFQGTIPPSMGDMRNLYYLDLSNNNFSGELPVNLIMGCISLRFLKLSNNAFEGNLFKSEWNLTNLHHLFMDNNLFSGKLSDGIMNSSQLEILSLKSNLISGKIPDWIGNLSSLEYLVLAENSLHGSIPLSFSGLQQLKLLYLSGNYLHQIPPGVNLSSLAYLHLADNELDGPLPSFISRLSSLISLDVRYNKLSGRIPSWLSSLTNLRTLLLKGNSLHSPIPAELCQLKNTSILDLSFNNLSGKIPLCLCSMPFGEKKPLPIDGTFSSNIFGWSMPRIVAYEYVGLFGVLDYPMATHVSISVSENVQFLTKSRYEDFSYSILYFISGIDLSHNKFIGPIPPCIGYLSDIHSLNLSHNYFDGSIPKTLSMLKEIESMDLSYNRLTGEIPSELIALNFLSVFSVAYNNLSGRTPAMVGQFATFEKQSYEGKEEEEEDGFVVVEEEVVTVLQRLRCTSILRIPYFCSFFISSRFILFLDINSSCGRYNFEKRGMPGGTKKSLRKTLGALKDTTDATVAKINSHYKELDIAIVKATNHVEQPANEKQIKAVFAAILATRHRDDVAYCIHALERRLSKTHNWAVALKTLILIHRALREEDLTFHEELINYGQSRSYMLNMTHFKDDSSPNAWDYSGWVRSYALYLEERLECFRILKSDVETYHTIQFTSSKHTFSLHLMKRSKELDTLELLEQLPALQQLLHCVIGCQPQGAAMQNHVIQLALSMVALESIKIYNAISDGTATLVDKFFEMKRQDALRALDIYRKAGQQAEKLSEFYEICKNLDVGRGEKFITIERPPTLFLQTMEECVKEAPRLSTLRKDSAVDNKSKVILATDYKKKPEVTEVRSPPLPPPKLVPEPNAKDIKTKSLVAEPPNLLSQKDPAPAVTKLDEKNAAALAIVPPDSADQTPNGRNLANGTTGWELALAEAPSSIESVAAASKLARGLDKLTLDSLYDDAITRRNQSLSYYNPWEPSQKAALVMPQPEHPFCASSMVASTPPSVQTAAVANQQHAFAFQQQQQMMMMMTTTSPQRQHATNPFCNLCGSAINSCGPGMPVQTCNNHYRGLI >itb11g16250.t1 pep chromosome:ASM357664v1:11:14215708:14225070:1 gene:itb11g16250 transcript:itb11g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Novel plant SNARE 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) UniProtKB/Swiss-Prot;Acc:Q944A9] MASLSGISEELAEIEGQISDIFRALANGFQKLEKIKDANRQSRQLEDLTDKMRDCKRLIKEFDTEIKEIKFRNDADTNKMLNEKKQSMVKELNSYVAMKKQYATNLENKRVDLFEDPSETSAEDNVLLASNMTNQQLIDHGNRMMDDTDQAIDRAKKVVQETIDVGTETSAALKEQTEQMSRIVNELDSIHFSMKKANKVVRELGRQIASDNCFKTMLFIIVIGIITIIIVKIAKPHNKEISDIPGLAPPVPNRRLLWSRN >itb03g24450.t1 pep chromosome:ASM357664v1:3:23149255:23152243:1 gene:itb03g24450 transcript:itb03g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTKKIVDMVKGEKLFASQGGNIILAQINTCNGFYCDQFWPKNNNPKFWTENWSGWFKNWGDRDPHRPAEDLAFAVARFFQYGGSLQNYYMYHGGTNFGRTSGGPYIATTYDYNAPLDEYGNVNQPKWGHLKELHNLLYSLEDVLLYGNATNTDYGRMMSVNAQTTVMVKKLSEGPLKWSYRPETVMHLKFGDKDQSSVLIDALDAKQLFDQKTVTNDTTDYLWYMTSFKVDENSPILGQELTLQVNTKSHVLHAFFNNKHIGSRWAQDDGKFEFSFQRNVKIREDINTISLLSETVGPPNYGEFFEKVGQGVVGPVKIVEPNGEGLDLSKNTWTYMVGLHGISKGLFELDDRNKLTWHTSDFQTDRMFIWYKTFFKTPAGEDSVVLDLKGMGKGVAWVNGCNIGRYWPSFLAKADGCPQCDYRGNYGRSKCGAGCGKPSQRWYHVPRSFLRKGNNQLVLFEEMGGRPQEVRVQTVTVGTICANVEEGNTLELSCQGGGRKISKINFASFGEPKGSCGSFETSHCDATNALPVIQSACVGKEKCTLHVSDALFATTTCSKSKRRLAVEATC >itb03g30450.t1 pep chromosome:ASM357664v1:3:32804763:32805734:-1 gene:itb03g30450 transcript:itb03g30450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNTPLYDFENEFHELNPPLYDFENEFHELNQPTVRAEAVAEIEPSREVAVQRKENEKERTFSKKLRCRELGISEWPQKKFLRLEDNLEIYTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNTVITIVDKKSTSEKSWSIEMISKFFHLPVVQAVRELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDYDQGEKVIELEHESEQMLTNPNNELGLETQTLGESFSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb05g03110.t1 pep chromosome:ASM357664v1:5:2500466:2503766:1 gene:itb05g03110 transcript:itb05g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEGNKKRNGSCPCESLSESSSRVSISDASSESCSSPAPLVWPVPVTTGIKKLCEAEKERKSQEVDEGEGDLRKLDSKISEFDMMQERFAKLLLGEDMSGGGKGVSTALAISNAITNLCATVFGQLWRLEPLHPEKKSLWQREMDWLVAVSDHIVELIPSWQTFPDGSRLEVMTSRPRPDLFINLPALRKLDHMLTEILDSFTDTEFWYVDQGVVETESDGSGSFRKAIQRQEDKWWLPVPRVPPSGLVEGTRKKLNQKRECASQILKAAMSINSIAIAEMDVPISYLEALPKSGRACLGDVVYRYITSDHFSSGCLLDCIDLSSEHLALEMANRVEAAIYIWRRRHNPRRPSIHSSRSTAKASWNMVKDLVVDGDKRDLQLAERAENLLLCLKQRFPGLAQSSLDSCKIQCNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDITRQSQSDDDALSSSVPAEICPRKVPIPYPSVPLSPAFATPTFCPAPPLVSPARTSFISNKLHGRGFGVKRALSNYLGGEAKAKNSAKAPGASSPPVSNQSSQPLSSKTFLAGADQQHKEQRSILQPLNR >itb11g11800.t1 pep chromosome:ASM357664v1:11:8678138:8680495:-1 gene:itb11g11800 transcript:itb11g11800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSALFSPLNFNQLTQCSRTKLTKPHRRTIVSAIPPLSTATDVSAVTGLDGTTLAVIGGSSVAALAAVLSLSDPERRRQLQAEEVGGGDKEVVKEYFNKDGFQRWKRIYGVGDDVNKVQMDIRLGHSKTVENVMKMLSDEGSLKGVTVCDAGCGTGCLSIPLAKEGALVTASDISAAMVAEAEKQAEEELLKGRDDKLPTAVMPKFEVKDLESLDGKYDTVVCLDVLIHYPQNKADAMIAHLASLAENRLILSFAPKTFYYNLLKRIGELFPGPSKATRAYLHAEADVERALAKVGWKIRKRGLVTTQFYFARLVEAVPA >itb05g20800.t1 pep chromosome:ASM357664v1:5:26795767:26797566:1 gene:itb05g20800 transcript:itb05g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSWKTCLISLLFSTFFSYSSECTFTITNNCPYTIWPGTLAGSGTPQLSTTGFQLNSGQTARISCVPGWSGRIWGRTGCTFDAYGVGSCETGDCGGKLECAGIGATPPASLFEITLGKGNLKDFYDVSIVDGYNLPIVAAPLGVYGQCNATGCISNLNYGCPKELQVVGGEGGDVIACKSACEAFGLDQYCCSGEFANPSTCQPSFYSSIFKRACPRAYSYAFDDGTSTFTCKAYEYQIIFCPKKGNSATRPPPPPTYGGAPPPPPPSYGGALPPPPPSYGGAPPPPPPLYGGGLPPPPPSYGGVVIMPPPTEEESRNGKYEGGMVSSSSKTLPFPALIVIFIFSLVLQS >itb15g03200.t1 pep chromosome:ASM357664v1:15:2038044:2041701:1 gene:itb15g03200 transcript:itb15g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRSFCLNFSVLAVLAFLACARTDYLEVQTLRDMYIALNSPPQLNSWELDDGDPCEDMWVGVTCFGSSVIRIGLRGFNLTGTLGFQIANLQKLSDLDLSSNNIHGEIPYGLPLNLMHLTLACNHFSQSIPDSLSSLKYLRNLNLSHNVLSGPMGDFSSGLENLREMDLSHNKLTGDLPSSFGALTRLTSLFLQNNSLTGSVTLLAELPLRDLNIEDNHFSGVIPENFQGITNLWIGGNRFDREQDYQQWSFPPYVLPNWQNISPSPSSDSSLNDTKHPSSQKEGGHMKKRSKRMSTGGIAAIVVDVVICLVILIVVWIVISPKEMLESIDGNPISEHSVPISSIIREFSTTTDYDSLQSSRMGSPAESQPVSPLQLPPVPSRALNMSTRTSFSKKSKVPICAKHYTIAELESATGGFSQENLIGNGSLGSIYRAQFPDGQMCIVKQVYGVVLSLHGELFLDVIRTASRLRHPNIITLLGYSMELGNCCLLVYEYVRNMPLNDALHNVAHMTLPWNIRLRVAVGVARGLNYMHSSCVPPISHCNLTAANIFLDEDLRPRISDCGLANLKSLITPADDMQGSTENTKDDVYDFGVLLLELLTGRQPLNSSRPNAKESLVQWSVPRLHDNVSLSEMVDPHIRNTIPGKSLSHLADIILLCIQTEEFRAPMSEVVEALVALVQKCGSSKGSEVAEPFGQSFRSANTHFVASPTQSYYSI >itb13g12910.t1 pep chromosome:ASM357664v1:13:19332530:19336090:1 gene:itb13g12910 transcript:itb13g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRRNVPAFTSKEKLILFFSVSLLSFPSSLKYSIFHFILFPPQFSLARDFKSLIYHRLGFNFLSQPPVIFSIPMETHSSETLETQNCPDPPKTRALSPVTGTSPDFDDLSDWDDDTEKEHLQDDKHLFVGGGLSNLGNTCFLNAVLQCFMHTVPVLKGLQASNHPSPCDGYIEGFCALCALKELIDIFLATNSGVVSPWKLVNNLNYFSSSFQRYQQEDAHEFLQCFLDRLESCCNGNFVKQTFGGRLISKLCCCNCGHCSDTYEPLIDLSLEIEDVDSIPAALESFTRVENIEDPETMFTCENCKEQVLIEKQLLLDEAPTVATFHLKRFKNDGSVVEKIDKHVAFPLELDLLPYAEMNHISNGDSKYCLYAIVVHNGFSSCSGHYYCFIRSASDAWYKFDDSKVSRVREEFVMSQEAYILFYAKQGTPWFSDFIQTQKLIMPSTSPKSVLDNEDVVSCNPLGMSSSVADTVASPMRFNGVTHERIEEESVGNENENKNETPFMMPTKSLDVCSPEAMMKMASPSSSLQASKRPKIGVPKQHVPDLIPTTPPRSPSPEIYREDSPENVFCSIPRPPQHLKVADRASCKRQLEKEVVTEERKQAMLAIKKNMRGSRAQQYMAALRGTRSEGSVNKKSRRMETSSPPIKHTANLPSRSPRPIFASTLR >itb14g04660.t1 pep chromosome:ASM357664v1:14:4111452:4115794:1 gene:itb14g04660 transcript:itb14g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGIDMDLESGSCQNRAKRESWRQVLILAYQSLGVVYGDLSTSPLYVYKSTFAEDIEHSRSNDEIYGVLSFVFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHAKVNSLPSCQLADEELSSFKKDIINPAPATLGGRLKSSLERYRVLQRFLLVLALIGACMVIGDGILSPALSVFSAVSGIELAMAKEHHKYIEVPIACVILIVLFSLQHYGTHRVGFLFAPVVITWLLCISAIGLYNIFRWNPFVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFTQMSIQIAFTFMVYPSLVLAYMGQAAYLTKHHDFESGYHISFYISVPEKLRWPVLVTAVLAAVVGSQAIITGTFSIIKQCSSLGCFPRVKILHTSSKMHGQIYIPEINWTLMLLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHRSVVLAIGFVLFFGTMEAFYFSASLIKFCEGAWVPIALSFLFMIIMCVWHYGTIKKYEFDVQNKVSIDWLLSVGPSLGIVRVRGIGLIHTDLVSGIPAIFSHFVTNLPAFHQVLVFLCVKYVQVPHVRPEERFLVGRVGPREYRMYRCIVRYGYRDAHKDDLEFENDLICSIAEYIRAGDPTKDDEEMAVVGSPSTHLNRVQVFQEDGHHEGEPSSSSGILELREIKSPRKRVRFVVPESPNINANARGEMRDLMEARESGIAYILGHSYMKAKNGSGLTKKMAINLGYEFLRRNSRAPTYAFSVPHASTLEVGMVYNV >itb02g10120.t2 pep chromosome:ASM357664v1:2:6519073:6523589:-1 gene:itb02g10120 transcript:itb02g10120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRRGSVSEIRIDALSDRLRSSFGSEVNKPDFRELDLGSPVSPLPGRRNGGSAATTATTTTTTTTSSSSSSSGSVSGRAGPGLVSKKSDSNNSGELSGSAESSPATRGFRPRHARSHSAGAPSLIYSGACSVNSPPLSTLPTGNICPSGKILKTGMASKATKTDVLGSGTGNYGHGSIMRGGGGAPKTAGAVGGGTDGSVAIAMNSRGLMIGGETMKRGMRSSDPEEMKRLGNEHYKKGNFHEALNLYEKAIAILPGNAAYHCNRAAALIGLKRFTEAVRECEEAIRLDPKYIRAHHRLGSLFLSVGQVENARRHICLPGHQPDLIELQKLEAVEKHIGKCNDARKVGDWRITLREADAAIAAGADASPQLFACQAEALLKLHQLDDAHSVLSNIPKMEALAAYSQLKIFGMRTEAYLFFVQAQIELALGRFENAIGAIERAWQLESKNIEVSDLFNNVRLVSRARNRGNDLFKSERFTEACAAYGEGLRYDPSNSVLYCNRAACWYKLGQWENSVGDCNQALRIHPSYTKALLRRAASYMKLERWAEAARDYEILKRELPYDNEVAESLFHAQVALKKSRGEDIYNMKFGGEVEIVSGLDQFRAAISSPGASVVHFKTASNVQCKQIAPFLDTLCTRYPSINFLMVRWMWKRALQLLMPRMLELYQHSKFTKRAAE >itb02g10120.t1 pep chromosome:ASM357664v1:2:6519073:6523589:-1 gene:itb02g10120 transcript:itb02g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRRGSVSEIRIDALSDRLRSSFGSEVNKPDFRELDLGSPVSPLPGRRNGGSAATTATTTTTTTTSSSSSSSGSVSGRAGPGLVSKKSDSNNSGELSGSAESSPATRGFRPRHARSHSAGAPSLIYSGACSVNSPPLSTLPTGNICPSGKILKTGMASKATKTDVLGSGTGNYGHGSIMRGGGGAPKTAGAVGGGTDGSVAIAMNSRGLMIGGETMKRGMRSSDPEEMKRLGNEHYKKGNFHEALNLYEKAIAILPGNAAYHCNRAAALIGLKRFTEAVRECEEAIRLDPKYIRAHHRLGSLFLSVGQVENARRHICLPGHQPDLIELQKLEAVEKHIGKCNDARKVGDWRITLREADAAIAAGADASPQLFACQAEALLKLHQLDDAHSVLSNIPKMEALAAYSQLKIFGMRTEAYLFFVQAQIELALGRFENAIGAIERAWQLESKNIEVSDLFNNVRLVSRARNRGNDLFKSERFTEACAAYGEGLRYDPSNSVLYCNRAACWYKLGQWENSVGDCNQALRIHPSYTKALLRRAASYMKLERWAEAARDYEILKRELPYDNEVAESLFHAQVALKKSRGEDIYNMKFGGEVEIVSGLDQFRAAISSPGASVVHFKTASNVQCKQIAPFLDTLCTRYPSINFLMVDVEESPAIANAENVRIVPTFKIYKKSSRVKEMVCPSPEVLESSVRHYST >itb15g08400.t1 pep chromosome:ASM357664v1:15:5887025:5890385:-1 gene:itb15g08400 transcript:itb15g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQCSFNQRWSLKGMTALVSGGTRGIGAAIVEELAGFGAEVYTCSRNQEELDQKVQEWQAKGFKVSGSVCDLASREQRKHLIHTVSSLFNGKLNILVNNAAISKMGKSSDYEAEEFSRIIEANVESPYHLTQLAYPLLKTTGNASVVFISSLAGHVALPAFSVYGSSKAAINQLTKNLACEWGNDGIRVNAVSPFSVRTAPIKLSENGEGR >itb15g08400.t2 pep chromosome:ASM357664v1:15:5888128:5890399:-1 gene:itb15g08400 transcript:itb15g08400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQCSFNQRWSLKGMTALVSGGTRGIGAAIVEELAGFGAEVYTCSRNQEELDQKVQEWQAKGFKVSGSVCDLASREQRKHLIHTVSSLFNGKLNILVNNAAISKMGKSSDYEAEEFSRIIEANVESPYHLTQLAYPLLKTTGNASVVFISSLAGHVALPAFSVYGSSKAAINQLTKNLACEWGNDGIRVNAVSPFSVRTAPIKLEEIDPSIVKIYPEIMMRTALKPMAEANEISPLVAFLCLPAAASHVTGQVILIDGGYTAGGFHF >itb14g20620.t1 pep chromosome:ASM357664v1:14:22934627:22939592:1 gene:itb14g20620 transcript:itb14g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDIVLSATINLLSAFAFLVAFAILRLQPFNDRVYFPKWYLKGIRASPRSSGPIVKKFVNLDCNTYIRFLNWMPAALKMPQPELIEHAGLDSAVYIRIYLLGLKIFLPITVLALTILVPVNCTGKSLDRHKDLTYSAIDKLSISNVDAGSPRLWAHIGMAYVVTFWTLYALYKEYQIISTLRLQFLASSERRPDQFTVLVRSVPADPDESISDHVEHFFCVNHPERYLLHQVVYNANNLAKLMEKKKNLTNWLAYYQTKYERNPSNKPRTKTGPWGLVGTTVDAIDYYTAEIQKFSEEEEAERDKVLNDPKAIVPAAFVSFSSRWGAAVCAQTEQSSNPTIWLTQWAPEPRDVYWDNLSIPYVELNIRRLLMGVALFFLTFFFMIPIAFIQSLASIDGIEKVFPFLKKLIEVDVIEAFIQGFLPGIALKIFLILLPSVLMTMSKIEGHIALSALERTSAAKYHLFLFVNVFLGSIITGAAFEQLQKFMHQAPTEIPRTIGVAIPIKATFFITYIMVDGWSAIAAEILRLSPLIMFHLKNSFLVKTDLDREQAMDPGSITFAVSEPRIQLYFLLGLVYSVVTPVLLPFIIVFFAFAYLVFRHQIINVYDQKYESAAAFWPDVHRRIIIGLITSQVLLMGLINTKEIPRSTPVILVLPIMTIWFNHFCKGRFESAFVKFPLQEAMVRDTLERATEPNLNLKVYLQDAYVHPVFRGGEPLSLDVEMNSPLVTTKRSSRKSSNIGSHYSAPGRI >itb10g17070.t1 pep chromosome:ASM357664v1:10:23324200:23326186:1 gene:itb10g17070 transcript:itb10g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRSKREKRLRAIRREIVSADPITQKKEDAKLAAQEAALAAPKVPVRSPSTTNRTTAMAIESAPTTSNDKDNNMDVEMADDNQLTKSLKPIGRKMKKKMKLAKKNGKGKGKGKIRKRHV >itb01g20540.t1 pep chromosome:ASM357664v1:1:26821123:26823871:1 gene:itb01g20540 transcript:itb01g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGKTLSFVLSFFSNISSSRSYTTTPGRVSGTTGTSASSPSLRSFQSLPETVPSCSANQNYLRYSDLPQFKNNFDDVTDVDGALNLFRQMASARPLPSIIEFSKLLNRIMKMRHYSVVVSLYQEMRHTGIPLSAWTLSILMNAYTSLNRVDCGFCVLGIYFKCGIEFDVVVFTTLIKGLCLENKVVDAVELFRKCAREKFCEINEVTCGTLINGLCKMGHTQEALDLLVVMHKEGPKPSTIAYSTAIDVSPDVNMFNILVDAFSKEGKLKDAEAVIQIMLKNNIYPNVVTFTMLIKGFCLHNRAVEAVELFRKLVRENMCKFDEVTYGTLIDGLCKTGHTQIALDLLRAMQKEGPKPHTIAYSTIIDALCKDRMVDEALDLLSEMIGRGIPPNVFTYASLTQGLCNFCRWKEVTKLMNEMVLHNVYPDVHIFTILVDAFSKEGKLKDAEAIIQIMIQRNTYPIVVTYNSLIAGYCSQRQMDEAKKAVGRMVDTGLRPDVRTYNTLINGYCKIKELDEAMHLFREIRQNGLCPNVVTYTTILQGLFLVGRCATALKFLQEMQVAGHKLNYYTSCVLLKGLCDNGLVERAMSVYSKIDRNGSGSQVFGRIMIDAFCKTGLLNIARGIFVNLSKGPRPDVSTYTVMINGLCREGLTDDALYLLRKMKENDILPETATYNVILSKFVRNKMCNEANMVLDEMVGMGISPNGYTLSLVNDLLALQTGNNTVLRMMQKFAANDVR >itb12g26740.t1 pep chromosome:ASM357664v1:12:27492147:27495567:-1 gene:itb12g26740 transcript:itb12g26740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWQQFVQSVVFGLIFSYLLAKLFSVIFAFRDENLRISRSDSVDDDSKAVDAPLKTANDAELLGDEVLEEEEPLIGEEDESSTVDSDDSEDDDWEGVESTELDEAFSAATAFVAATAADRSALKVSNELQLQLYGLYKIATEGPCSAPQPSALKMTARAKWQAWQKLGAMPPEEAMEKYIDIVTELYPTWASGAASSKKNREEIGSADSKATMGPVFSTYIYEEESETELKMDAIHAFAREGDDKNLLKCIESGVPVDLKDSEGRTPLHWAVDRGHLNITKVLLSKTANVNAKDNEGQAPLHYAAVCEREDIAELLVKHNADIDVKDDDGNCPRDLCDLKWPWLQCTATE >itb12g01030.t1 pep chromosome:ASM357664v1:12:722989:724372:1 gene:itb12g01030 transcript:itb12g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVWVFLSLPRVFGFRQREVFCVSSFFSPTPPSSFSDCFAPFSSRQSQRDRYQRVAGQMRCRRRGLAADAQQRRGEAESEESGELEAVHRQREESEETR >itb12g10480.t1 pep chromosome:ASM357664v1:12:8551698:8555556:-1 gene:itb12g10480 transcript:itb12g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVEIEEMNKGELAEMDEQKWVYDSSLDHKGRVPLRASTGVWKSSLFIIMIEFAERLSYFGIATSLIIYLTKILQEDLKTAAKNVNYWAGVTTLMPLFGGFLADAYLGRFSTVLASSVLYFLGLILLTMSRVVPSLRPCGSEVCDEPRKAHEAIFFLAIYMISIGTGGHKPSLESFGADQFDDDHPDERKQKMSFFNWWNFGLCSGILVAVTLIVYIQDYVSWALADMILTAVMAFSIVVFCIGRPFYRYRKAGGSPLTPMLQVLVAAIRKRNLPCPSTSAELYEVPKSEYARGRLLCHTGKLKFLDKAAIREEKGRSAEEAENPWRLAPVTKVEEMKLVINMIPIWLTTLPFGICVSQTSTFFIKQGMTLNRKIANGFEIPPASIFVLAAVGMIISVTFYDRILVPVLRRATGNERGINILQRIGIGMVFSVTTMVVAALVERKRLSVVQESPLKTSNSMSVFWLAPQFLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVMGAANFLSSLLITLVDHVTAKAGKSWFAKDLNGSRLDYFYALLATITAVNIGVYAFVAKRYSYKSVQRKITVAVADCYDADGVVAKV >itb12g04140.t1 pep chromosome:ASM357664v1:12:2701233:2702411:-1 gene:itb12g04140 transcript:itb12g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRRLYQLSWLPSIFRIATSQGFSNAGNPFRNGSAFSHLLQAQASRSYARSRHYYDLFKGRVPGAKEFRKTWAKEMEDEDNCLWTGSEDESEPENGPSSLKKEIRKAKQRAKEHSDRIDADDSDELRSVWSGSDEEKTLWTGSEGDDDDDIPTEAYPNEKSDEYIDKLFEFDEKPKYRTLSEALKDEEEPEELSPGKQARKLAVENALKKLKKGPDGRYTNVWEVMSDLDILIGAFENIISGPEYAELRQGGPRKLNMQFFKDIQARMRDPNYKFSPELKLKPKSKVVPRKKWQKVESRRRKARKR >itb04g28840.t1 pep chromosome:ASM357664v1:4:32433414:32436407:1 gene:itb04g28840 transcript:itb04g28840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEVHYQQDAEPKYECLLFDVDDTLYPFSSGISEQCYLKINEYMIEKLGIEATKSPEMCVQLYKDYGTTLAGLRAVGYDFDYDEFHSFVHGGLPYELLKPDPVLKNLIHSLPIRKVVFSNANEAHVAKVLSRLGLEDCFDDIICFETLNPIHNSSLQGMDGDSGLPKTPIVCKPFEGAFERAFEIAGINPHKTLFFDDSIRNLQTAKRTGLSTVRVGASQQTAGVDYALESIHNMKEAIPEIWEVVQKSDVCYSEKTPIGVRA >itb04g28840.t2 pep chromosome:ASM357664v1:4:32433619:32436407:1 gene:itb04g28840 transcript:itb04g28840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEVHYQQDAEPKYECLLFDVDDTLYPFSSGISEQCYLKINEYMIEKLGIEATKSPEMCVQLYKDYGTTLAGLRAVGYDFDYDEFHSFVHGGLPYELLKPDPVLKNLIHSLPIRKVVFSNANEAHVAKVLSRLGLEDCFDDIICFETLNPIHNSSLQGMDGDSGLPKTPIVCKPFEGAFERAFEIAGINPHKTLFFDDSIRNLQTAKRTGLSTVRVGASQQTAGVDYALESIHNMKEAIPEIWEVVQKSDVCYSEKTPIGVRA >itb14g15960.t1 pep chromosome:ASM357664v1:14:19266226:19270584:-1 gene:itb14g15960 transcript:itb14g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILTQERLLGAALGSILTGVVVFEQRRSIYKTVSDTQSQFFPHSQIKEPIFEKERRFEFAHLWNKTVDQIMGPVIKSVSSRGW >itb12g09040.t1 pep chromosome:ASM357664v1:12:7074780:7088770:1 gene:itb12g09040 transcript:itb12g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLCRRLTRIQQIVKPCKRNRLSALKPYNYPSPPAAFSAEVMNPMDESDVGISCYISHLPGFRGILKQRYSDFIVNEVDLDGNVVHLTSLDAPLEILEEKEVKVTDQPDKSYATEVESFRSLAGDSDADKLKDFIDKISSGVDVSDEYIILSPSSNKSHRTEIHNFFKERLKLLVTDTVDGPEDSSKCVRVRLNVGGNSGRGRNSRKRKERGDKPYDSRGSDHWPQDLGKFLRFHLYKENKDTQEALGLIAKMLGVQPRSFGFAGTKDKRSISTQRVTVFKQRANKLAALNERLIGIKVGDFCHVNEGLQLGQLFGNQFTITLRGIVAESDDIIKASVISLGKNGFINYFGLQRFGSGSVPTYLIGATLLRGEWKAAKNPISRVREYYKESGDIDGTLMQLPRHLVAERAILQCLKKNQQNYLQALKGIPRTLRMMYVHSYQSYLWNNAASFRVQKHGFDQVVVGDLVYCKEPCTEKETYLECEDGSGNDTNDSINPDEISETDVPEERNISVKAVNEEDIRSGTYTIDDVVLPLPGSRVIYPSNDIAKIYHDLAEKDGVKLTESMHNVKEFSITNMTGSYRRVFQKPKDFEWELIAYSDGTQSLAETDWDIISKTVTSSQVRDSGSAKDKDDELPIGIRTESKNIEAENNNDQELGPEPERMDEIKIKTVSQPEECLDGSSAQENQMALKMTFTLPASCYATMAVRELLKTSTSVAYHKSLNE >itb04g19570.t1 pep chromosome:ASM357664v1:4:23966801:23967506:-1 gene:itb04g19570 transcript:itb04g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHNRGKGISSSALPYKRTPPSWLKISSGDVEDNICKFAKRGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLALELPEDLYHLIKKVVAIRKHLERNRKDKDSKFR >itb11g11270.t1 pep chromosome:ASM357664v1:11:8220761:8221730:1 gene:itb11g11270 transcript:itb11g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIVFFLASFSFLVWNSRAFNVTTMLDEYPNFSNFNNYLTQTHLAGEINSRKTVTVLVVDNGGMGALSGKSNDVIKGVMAVHIVLDYYDVKKFMNLKQKSTKLTTLFQSTGMASSENGFLNATDMGGSTVAIGSAAKNSQLGSNLVSAVAHEGYDISILQISSLVIPPGLDSIAPSSAPPSPPPRHDNSPAAAPAPRKLLPPTNSPPSQSPPTPSPPGGAPLPEEPDSPALSPEGDSESSAANTAREACLGIIMAIISANLFFFFML >itb01g01310.t1 pep chromosome:ASM357664v1:1:744546:745809:1 gene:itb01g01310 transcript:itb01g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVGVFGRRNTRRWRTPGSTLLHISRPLSPCSNRTLNSRPWISLPPGLDHSTERRLPTSVLSQSTSFTQDFRKAKAVAKSIFGLLDRQSKMDLNEKSGLALESVQGEIEFQNVCYAYQTRPDVKVLCGFSFTVQNGMTVALVGKSGSGKSTVIALLQRFYDCDSGRIMLDGVDIRNLNLKWLRKQMGLVSQEPVLLNDTIRANITYGKEDDVTEGEVIAAAELANAHKFISGLQQGYDTVVGERGVQLSGGQKQRVAIARAVMKIPRILLLDEATSALDSESERMVQDALDKISVNRTTIMIAHRLSTVRGADVIAVVKDGAVVEKGKHDMLIAKRDGHYASLVALHTSPSSEPSASAAAADVYSL >itb04g07430.t1 pep chromosome:ASM357664v1:4:5025256:5026710:-1 gene:itb04g07430 transcript:itb04g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MELSLGASSSTFHFPKTVQKPHSISRLSAPCSNNKRFTIRASASSNQNPLIATLKSAGIAAVFAAVTFGKLSSMPLARAEAQAPAVVEEEQQEVVEELEEKEDSPLNQFLESSPEAVESLKALLQEKVEAGEDEESLKLLNKLAAAQPENTEWKFMIARLYHKMGKVQESRAVFEEVLSGNPLSFEALFENALLMDRSGEGEKVLERLEEALKMAEEETRAKEARDVKLIMAQMKFLQKNVEGALRSYDELLSEDPSDFRPYFCKGVIYSLLDRNEEAREQFAKYRELSPKKFEVEGYLRSPLSRMKLFGMDEEGK >itb05g18850.t1 pep chromosome:ASM357664v1:5:25542689:25544597:1 gene:itb05g18850 transcript:itb05g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCDLSLPLRYINPSQSPLLITLSVLLCSNPPYLPEYIYIYIYIYIYILGRSKFGEEMVAAAAAVVVRDYDPKNDCRAVEEVERICEVGPGGKLSIFTDLLGDPICRVRNSPAYQMLVAEVVVQKDGGEWREIVGMIRGCIKTVTCGKKVSRNGKGNLPLPVYAKVAYILGLRVSPFHRRMGIGLKLVTKMEEWFRENGAEYSYMATENDNQASINLFTHKCGYSKFRKPSILVQPVFAHRVGVSSRVTIIKLSPGDAEVLYRRRFSTTEFFPRDIDSILRNSLSLGTFLAVPKGRYTAETWRGAEEFLAEPPESWAVLSVWNSMELFKLEVRGASRKLRGFARTTRVLDRAFPWLHLPSVPEVFRPFGLHFLYGLGGEGPLSVKFITALCGFAHNLAKERGCGVVATEVSPADPLSLGIPHWKRLSCADDLWCIKRLGEDYSDGAVGDWTKSQPGLSIFVDPREV >itb05g20510.t1 pep chromosome:ASM357664v1:5:26565839:26566132:-1 gene:itb05g20510 transcript:itb05g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVPKGSIAVMVGGKGEEQQRFVIPVIHINHPLFLQLLTEAEEVYGFHHRGPINIPCHVEEFRRVWGLIHQETTSHHQNHSTWCFKIKAPVPAST >itb09g28590.t3 pep chromosome:ASM357664v1:9:29156571:29160993:-1 gene:itb09g28590 transcript:itb09g28590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSSSGGKGAAAGDGAQPSLPPQPAPMPSTNSPPPFLVKTYDMVDDPSTDKIVSWSPTNNSFVVWDPPEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLRSISRRKPAHGQNHPQQQPQGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQQNDSNRRISEGNKKRRLKQEVGLDDHSVSPADGQIVKYQPMNDAAAAMLRQIMKMDSSPRLENFGSSSPDNFMINDVSSQSNNTLDHGSSPDHVSGVTLQEVPPVSGQPFVPATSSVTAQGSLPTISEIQSSPLVNSVEMTSDQFSDISPLVGAQELPPVSLSPSDMMMPEISQFRDIVPESNMNILGSEASSGNLIDPTSLAGNGNMPLEIDGFSPDPQIEWQNGLMDDDIPLDSSFWEKFLQSPPPVEVDQMDSTILEDSMVDETKKVENGWSKADHMEQLTEQMGQLTSKNNKDQPLL >itb09g28590.t4 pep chromosome:ASM357664v1:9:29156571:29160993:-1 gene:itb09g28590 transcript:itb09g28590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSSSGGKGAAAGDGAQPSLPPQPAPMPSTNSPPPFLVKTYDMVDDPSTDKIVSWSPTNNSFVVWDPPEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLRSISRRKPAHGQNHPQQQPQGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQQNDSNRRISEGNKKRRLKQEVGLDDHSVSPADGQIVKYQPMNDAAAAMLRQIMKMDSSPRLENFGSSSPDNFMINDVSSQSNNTLDHGSSPDHVSGVTLQEVPPVSGQPFVPATSSVTAQGSLPTISEIQSSPLVNSVEMTSDQFSDISPLVGAQELPPVSLSPSDMMMPEISQFRDIVPESNMNILGSEASSGNLIDPTSLAGNGNMPLEIDGFSPDPQIEWQNGLMDDDIPLDSSFWEKFLQSPPPVEVDQMDSTILEDSMVDETKKVENGWSKADHMEQLTEQMGQLTSKNNKDQPLL >itb09g28590.t1 pep chromosome:ASM357664v1:9:29156519:29160970:-1 gene:itb09g28590 transcript:itb09g28590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSSSGGKGAAAGDGAQPSLPPQPAPMPSTNSPPPFLVKTYDMVDDPSTDKIVSWSPTNNSFVVWDPPEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLRSISRRKPAHGQNHPQQQPQGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQQNDSNRRISEGNKKRRLKQEVGLDDHSVSPADGQIVKYQPMNDAAAAMLRQIMKMDSSPRLENFGSSSPDNFMINDVSSQSNNTLDHGSSPDHVSGVTLQEVPPVSGQPFVPATSSVTAQDISPLVGAQELPPVSLSPSDMMMPEISQFRDIVPESNMNILGSEASSGNLIDPTSLAGNGNMPLEIDGFSPDPQIEWQNGLMDDDIPLDSSFWEKFLQSPPPVEVDQMDSTILEDSMVDETKKVENGWSKADHMEQLTEQMGQLTSKNNKDQPLL >itb09g28590.t2 pep chromosome:ASM357664v1:9:29156519:29160970:-1 gene:itb09g28590 transcript:itb09g28590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSSSGGKGAAAGDGAQPSLPPQPAPMPSTNSPPPFLVKTYDMVDDPSTDKIVSWSPTNNSFVVWDPPEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKQLLRSISRRKPAHGQNHPQQQPQGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVNSPGFLAHFVQQQNDSNRRISEGNKKRRLKQEVGLDDHSVSPADGQIVKYQPMNDAAAAMLRQIMKMDSSPRLENFGSSSPDNFMINDVSSQSNNTLDHGSSPDHVSGVTLQEVPPVSGQPFVPATSSVTAQDISPLVGAQELPPVSLSPSDMMMPEISQFRDIVPESNMNILGSEASSGNLIDPTSLAGNGNMPLEIDGFSPDPQIEWQNGLMDDDIPLDSSFWEKFLQSPPPVEVDQMDSTILEDSMVDETKKVENGWSKADHMEQLTEQMGQLTSKNNKDQPLL >itb02g01000.t1 pep chromosome:ASM357664v1:2:576676:581702:1 gene:itb02g01000 transcript:itb02g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALCGTTFTNNSFSEVSRCLAQSKPQRIVCAPVVVAAARSNAKPSDRNASFIAGTALKETVEIAPAVKEPKSTVIGGVGDLYCEETVNEEHTITPSAISVASGYSLLRDPRYNKGLAFNEKERDAHYLCGLLPPVVVDQELQVKNVMQNLRQYEVPLQKYMAITDLQERNENLFYKVVIDNVEELLPVIYTPTVGEACQKYGSIFRRPQGLFISLKDKGRVLEVLKNWPEKKVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVHPSACLPVTIDVGTNNEKLLKDEFYIGLRQRRATGQEYAELLDEFMTAVKQIYGEKVLIQFEDFANHNAFDLLDKYSPSHLVFNDDIQGTASVVLAGLLAALKKVGETLAEQTFLFFGAGEAGTGIAELIALEMSKQTGAPLDETRKKIWLVDSKGLIVRSRMETLQHFKRPWAHEHEPVKDLVTAVNVIKPTVLIGSSGVGRTFTKEVVGAMASINEQPVIFALSNPTSQSECTAEEAYTWSEGQAIFASGSPFPPFEYKGKVFVSGQSNNAYIFPGFGLGLIISGAIRVHDDMLLAASEALAAEVTWEDFEKGLMYPPFSNIRKISARIAAKVAAKAYELGLATLRPQPENLVAFAESCMYSPSYHSYR >itb02g01000.t2 pep chromosome:ASM357664v1:2:576696:581654:1 gene:itb02g01000 transcript:itb02g01000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALCGTTFTNNSFSEVSRCLAQSKPQRIVCAPVVVAAARSNAKPSDRNASFIAGTALKETVEIAPAVKEPKSTVIGGVGDLYCEETVNEEHTITPSAISVASGYSLLRDPRYNKGLAFNEKERDAHYLCGLLPPVVVDQELQVKNVMQNLRQYEVPLQKYMAITDLQERNENLFYKVVIDNVEELLPVIYTPTVGEACQKYGSIFRRPQGLFISLKDKGRVLEVLKNWPEKKVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVHPSACLPVTIDVGTNNEKLLKDEFYIGLRQRRATGQEYAELLDEFMTAVKQIYGEKVLIQFEDFANHNAFDLLDKYSPSHLVFNDDIQGTASVVLAGLLAALKKVGETLAEQTFLFFGAGEAGTGIAELIALEMSKQTGAPLDETRKKIWLVDSKGLIVRSRMETLQHFKRPWAHEHEPVKDLVTAVNVIKPTVLIGSSGVGRTFTKEVVGAMASINEQPVIFALSNPTSQSECTAEEAYTWSEGQAIFASGSPFPPFEYKGKVFVSGQSNNAYIFPGFGLGLIISGAIRVHDDMLLAACKFLMFTRNERVLIY >itb12g09160.t1 pep chromosome:ASM357664v1:12:7190406:7193341:-1 gene:itb12g09160 transcript:itb12g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRISIFTLLFHILCLLLALNTAPLHANKEEAEALLQWKINGLSSSSSTSLLDSWSVNNLTNVCSWEGIICNSASSVSELNLPHGNIRGTLDSLAFSSLPNLTRINLNNNILSGVISSAISSLLKLTFLDLSNNNFTGVIPVEVGQLTQLRYFSCFRNFLEDVIPFEISNLTNLRYLDLGVNNLKTPDWSKFHAMPLLSHLNLRANDFTLDFPEDLITSCPNLSYLDLSKNHFTGQIPVSVFSKLQKLEHLDLGNNFFRGLFPISLTNLSSLKFLRLSYNNISGTIPHEIGSITRLQVLKLYNNSFLGNIPPSIGSLKHLQYLFLGYNFLTGELPPSLSNISKLVKLDLSSNMLSGELVPHLIANWTQLTFLYLDSNNFSGRIPSEISLLTQLQYLVLSWNQLSGCIPSNIGNLKTLSKLHLEKNNLSGRIPSTIGNLSELVLLQLSDNFLSGSIPLEVGHLTSLSGVYINSNLLHEPADTLSIFCNVTSLLYLSLGVNKMNGLIPKCFGNTSLNFLDLAENQFHGERGNRILLFISVWSLCGIFCTAMWKTQLVAKETHMEERKGFQNYCSKKTCEKKKKLN >itb04g23290.t1 pep chromosome:ASM357664v1:4:28409440:28411374:-1 gene:itb04g23290 transcript:itb04g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVIYVGDDDCEIVCGAMCFCRICHEAEFESSKILEAPCGCSGTLKLAHRDCIQRWCNEKGNTICEICLQKFEDGYTAPPPKMLQTPPVTIWESSENPRIEENSRVAGEEDERCSLNDVHKFASRCRIVVLILTFLVLMGHLFELLAGEARGYPFSLITVVVIKAVGILLPAYLLIRIITLIQNGVIRPHLDFGDTIGYHIARN >itb04g23290.t2 pep chromosome:ASM357664v1:4:28409440:28411374:-1 gene:itb04g23290 transcript:itb04g23290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVIYVGDDDCEIVCGAMCFCRICHEAEFESSKILEAPCGCSGTLKLAHRDCIQRWCNEKGNTICEICLQKFEDGYTAPPPKMLQTPPVTIWESSENPRIEENSRVAGEEDERCSLNDVHKFASRCRIVVLILTFLVLMGHLFELLAGEARGYPFSLITVVVIKAVGILLPAYLLIRIITLIQNGVIRPHLVSELRTVKYIFPAS >itb01g18150.t1 pep chromosome:ASM357664v1:1:23095619:23108946:1 gene:itb01g18150 transcript:itb01g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGRVEVIGSKGCSKLFVDISSSFRGLQPHSLDPPLPMSPASSNTVVTESRVAARSVGPLSGLVICVTGLSKEARMQVMEATESFCGRKFEHALKHGSKIGLLIVTLGWFVDSVRNNVRLSESLYSVKVAGEGVSLDVFNRIGQKDDTQTSCLPKGALEHHKKSNMIEEPQQNVSEREYMKRTTGSPLSGHSFYVDVGVSDELRRKVVEAASAQGATLVDQWFVGCGASHIVCEGNSIRKYLGHSTNIVTPLWVLKTVKEKQLQRLVHISADLAKQTGIMIDSIQSGIYREDDAPNSMSRISHEERQKIVNLAKDGVRKRRGKRMQTCQTPMRPITPSSLLDSICWSISEPTSTASIYMDSSSVEDANLQNTSVFYDAKEDGKESEFSFVNLSRPLKESEKSELVLKSHFLTILFPVDRFSEMGPCSRTYFSDNNGFKCLQVLDYIYAFYQENMSIQEIEVAIHTDSRYADRLRAVYCSRETTERGYAEFKRIEFMGSRKSFEMLKRVSGDNSSNVYELLIRA >itb06g22300.t1 pep chromosome:ASM357664v1:6:24649650:24652370:-1 gene:itb06g22300 transcript:itb06g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSSNRYIGIWYGQYPVQTVVWVANRDAPLADTSLAVLKITMGGQLALLGDEGQAVWSANTSRSVPNPVAELLDTGNLVVRDADDEKLQNFVWQSFDHPTDHWLSGMKVGWNLQTGQEIFITSWKSENDPASGQYTLHLDPTGYPQLILRNRTTEIFSTGPWNGLRFSIAAPIEQSSNTIYGPYELGTLDGGDEIAVKRLLKNSTQGLEEFMNEVICIAKLQHRNLVKLLGCCISGEEKMLIYEYMPNKSLDFFIFEYAGHGIFSVKSDVFSFGISVLEIVSGRRNSEFINEDQYVALPERAWKLYREGKSIMLVDEHIGRSYDVVQVLRSIHVGLLCVQHSPDDRPDMSSVVQMLVNDFVLPQPKEPGFFFSNEYSSGTHAKASQNEVTITSLNPR >itb07g06370.t1 pep chromosome:ASM357664v1:7:4519828:4522530:-1 gene:itb07g06370 transcript:itb07g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSLSLPHHQFLSAPTPRSSAARVRMSLREDAPSVAIVGVTGAVGQEFLSVLSDRDFPYRSLKLLASKRSAGRGVAFEGKHYTVEELTEESFDGVDIALFSAGGSISKKFGPIAARKGAVVVDNSSAFRMDDGVPLVIPEVNADAMAHIKVGSGKGAIIANPNCSTIICLMAATPLHLRAKVKRMVVSTYQAASGAGAAAMEELVLQTREVLDGKEPTCNIFKQQYAFNLFSHNASIQSNGYNEEEMKLVKETRKIWNDKDVKVTATCIRIPVMRAHAESVNLQFEKPLDEETAKDILKNAPGIVVVDDRAANRFPTPLEVSNKDDVAVGRIRRDISQDGDYGLDIFVCGDQIRKGAALNAVQIAEKLL >itb04g13880.t1 pep chromosome:ASM357664v1:4:14038071:14040863:1 gene:itb04g13880 transcript:itb04g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPSTTTTVEDERVDLSATDSVRCFNDDVHPYPYPYPLLLALPLPNHHHQEEITKLTLLTGSEEDHHTAAPRNEDHLPIKKRKRFIIIAPNQPENNSIQVEEEEEEEGVIAKKHKNGWPLKRYKLGNGLSKSNSIKCVLLLMDMESCRACQNKDGLRVKIWDLDTESEHELISKYYSNPTKLYLYLLICQLYLIMSYHFHLEDLMKKGLSWLFISLIRPHKWLLEIPKLKLQANNLESCWKLNQVLKKQ >itb07g01570.t1 pep chromosome:ASM357664v1:7:948634:952357:1 gene:itb07g01570 transcript:itb07g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLVTSFTFITLLCKSLSLQPLPSWAAEFILLPLWFWKDFSFLSSVRGQKKALSALVPLRMFQRRKTTCSSSEGAEMEPEMSVLDLPDLVLECILERLSPEGLCNMASVCSSLRERCLSDSLWERHLRQKWGGVIGPAAHREWQWHIAASNDSSFFDQTKQQSFIVSYLTRLLWPLSGMARSNSSKGDRKRNSPPVGSVMSWYLALESGRFWFPAQVFNRENGHVGFMLSCYDADVSYDPRNDTFQARYPPHGRRAGAIEKGVTWDRLRAPPVDTSPHYLHISLCLNELLPGDHIEIQWRRNKEFPYGWWYGVVGHLETCDRNEIYCRCHESDTVVLEFNQYSPGSRWRTKTISRAEHREEGNEGDGFYGGIRKLDSNREISAWRQHWPADMLE >itb07g01570.t2 pep chromosome:ASM357664v1:7:948634:952357:1 gene:itb07g01570 transcript:itb07g01570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLVTSFTFITLLCKSLSLQPLPSWAAEFILLPLWFWKDFSFLSSVRGQKKALSALVPLRMFQRRKTTCSSSEGAEMEPEMSVLDLPDLVLECILERLSPEGLCNMASVCSSLRERCLSDSLWERHLRQKWGGVIGPAAHREWQWHIAASNDSSFFDQTKQQSFIVSYLTRLLWPLSGMARSNSSKGDRKRNSPPVGSVMSWYLALESGRFWFPAQVFNRENGHVGFMLSCYDADVSYDPRNDTFQARYPPHGRRAGAIEKGVTWDRLRAPPVDTSPHYLHISLCLNELLPGDHIEIQWRRNKEFPYGWWYGVVGHLETCDRNEIYCRCHESEPNFFFGGTDTVVLEFNQYSPGSRWRTKTISRAEHREEGNEGDGFYGGIRKLDSNREISAWRQHWPADMLE >itb07g15300.t1 pep chromosome:ASM357664v1:7:18286973:18288889:1 gene:itb07g15300 transcript:itb07g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVFPTAINLQVMKLYELNCSVRKHLFVAMQLLQKCPNLCELHISANATEYIFGYHCWKDEEEAASRLLEDPNDGFVIQDLKMLNTIKIESFKIESFSESAFETLFMKILLSKSPTLERVVIVKS >itb07g15300.t2 pep chromosome:ASM357664v1:7:18286973:18288889:1 gene:itb07g15300 transcript:itb07g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQKCPNLCELHISANATEYIFGYHCWKDEEEAASRLLEDPNDGFVIQDLKMLNTIKIESFKIESFSESAFETLFMKILLSKSPTLERVVIVKS >itb05g11560.t2 pep chromosome:ASM357664v1:5:17666985:17675087:1 gene:itb05g11560 transcript:itb05g11560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSTWHQLLHSRGSSREGARKSSLDCPMSWPCSIACFVLVDGTGTVIELAFVVIFLVFAPKNEKRKMGALLILIVGIFVAVALLSVLVFHGRIRQLFCGFASLISTAIMYFSPLSVIRVVMKTKSVEFMPFLLTFSIFLCGTAWLIFGLLENDPFVYVPNAIGCGVGVLQLILYAIYSQNTIFMTIKRSFSQWMLQINAMPKEEKKQPSIPSSKHDEPAV >itb05g11560.t1 pep chromosome:ASM357664v1:5:17666984:17675087:1 gene:itb05g11560 transcript:itb05g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLARTLHLAFGIFANGTAFFIYLAPIVTFKRVIKRRSTEEFSGLPYVMALLNCLLCAWYGLPFVSPNNILMTTVDGTGTVIELAFVVIFLVFAPKNEKRKMGALLILIVGIFVAVALLSVLVFHGRIRQLFCGFASLISTAIMYFSPLSVIRVVMKTKSVEFMPFLLTFSIFLCGTAWLIFGLLENDPFVYVPNAIGCGVGVLQLILYAIYSQNTIFMTIKRSFSQWMLQINAMPKEEKKQPSIPSSKHDEPAV >itb07g15870.t2 pep chromosome:ASM357664v1:7:18914916:18917136:-1 gene:itb07g15870 transcript:itb07g15870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQCARSRHALLEKRTNTSPSRDRQNPNNNNNSFNFLKKIYPIGLHKTCSPLSLSSLSLSLSQTSNDSSITDSSVTPLDQKIAFALRLIAPPERREALANRNAARQQPSPSPTPSPASSVPSDDNEEVKRCNWITKNSDKVYVQFHDECWGVPVYDDHQLFELLALSGMLMDFNWTEILKRRDLFREAFVGFNVNSVAKMGEKEIEEIASNESLMLAEGRVRHIVDNAKCTVKASNPTPRFS >itb07g15870.t1 pep chromosome:ASM357664v1:7:18914916:18917136:-1 gene:itb07g15870 transcript:itb07g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQCARSRHALLEKRTNTSPSRDRQNPNNNNNSFNFLKKIYPIGLHKTCSPLSLSSLSLSLSQTSNDSSITDSSVTPLDQKIAFALRLIAPPERREALANRNAARQQPSPSPTPSPASSVPSDDNEEVKRCNWITKNSDKVYVQFHDECWGVPVYDDHQLFELLALSGMLMDFNWTEILKRRDLFREAFVGFNVNSVAKMGEKEIEEIASNESLMLAEGRVRHIVDNAKCTVKVVREFGSFSSYMWNYVSYKPVINRFRYPRNVPLRSPKAEIISKDLVKRGFRFVGPVIVYSFMQAAGMTIDHLVDCFRYKECVNLAERPWRHV >itb11g05240.t1 pep chromosome:ASM357664v1:11:3092514:3093873:-1 gene:itb11g05240 transcript:itb11g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSPPTYTTVLEQSSVAPPPGKIAGFSLPLAFFDLQWLHSSPGHRLIFYQHPVSRTHFKDTIIPHLKTSFSIALQYYPLLAAKLAVPSDYSTPPEFVYRDGDGVPLVFAVSDDNGRFDRLSSNHARNSNEFYSLLPTLPSVRRGMFAVQVTLFPDAGICVGIALHHVVGDGSSIFGFMKAWAALSLLGHKSSSLSLSDEYLPFLDRSLNEDPKGLKTLVWNQMKQIPIDEDGHGVPFPDVTGKARATFILTRDDIQKLKTHVISRRSKHAQRRDSLPRRTALLRRLRRSERPFSGSWRARRESLVNRERILSVAASPRFDYYKMDFGWGKPKKTETTSIDYSGAIYVGCAKDSLDIEVGVSVPVPKMHLLKTLFDQGLQALSL >itb11g16410.t1 pep chromosome:ASM357664v1:11:14564638:14566916:-1 gene:itb11g16410 transcript:itb11g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTGRALEFPFESGASAGLHFQFQQILNFPSFAFPFLTLAHQHLVISAYWIAKLTAYLRLLLLLLLGTSVVGMAGGRASGKSSNAPRARKRVEVDSDESSRINASLKRAKDGSAFARCDECNKDVPVALISFHNCSLDAKIKMNLEAQVIEKPAEVKKKPAEKRKARSTEPKAKKEKKAKNPNAPKRPPTAFFVFMDEFRKTFKEANPDIKSVATVAKEGGEKWKSLTDEEKKPYQDRAAELKAEYEKALESNNATENEDDGEESEKEVKDEVQEENELDDE >itb11g16410.t2 pep chromosome:ASM357664v1:11:14564638:14566916:-1 gene:itb11g16410 transcript:itb11g16410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTGRALEFPFESGASAGLHFQFQQILNFPSFAFPFLTLAHQHLVISAYWIAKLTAYLRLLLLLLLGTSVVGMAGGRASGKSSNAPRARKRVEVDSDESSRINASLKRAKDGSAFARCDECNKDVPVALISFHNCSLDAKIKMNLEAQVIEKPAEVKKKPAEKRKARSTEPKAKKEKKAKNPNAPKRPPTAFFVFMDEFRKTFKEANPDIKSVATVAKEGGEKWKSLTDEEKKPYQDRAAELKAEYEKALESNNATENEDVCSLPSFPSSSIILILNCHLIYLSIGWRGVREGSEG >itb06g16820.t1 pep chromosome:ASM357664v1:6:20838891:20839193:1 gene:itb06g16820 transcript:itb06g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKVCGVMRNVVAALRRRMRGPRRQGYFPVAVGAESEEAKRFMVRTGALCDADFLEMLSETAAEYGFRNEGIIRIRCEIKVFEDRMFLKEGAKLLKVC >itb13g03880.t1 pep chromosome:ASM357664v1:13:3890539:3894600:-1 gene:itb13g03880 transcript:itb13g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPERSAETMVRARPHGYVKEFRDFRHKTQFGKPYTNRPFHFLHRHQQSVTPAVGQQPLQQALHTVAGTRSSPDSSRRCSPLSLVTHPFAPLTLSALRSRPSILHVSAAEALTASQIHSTIAA >itb04g08060.t1 pep chromosome:ASM357664v1:4:5646025:5646435:-1 gene:itb04g08060 transcript:itb04g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSKGKAIQVGKGKEEVKYRGVRRRPWGKYAAEIRDPTRQGARQWLGTYDSPEDAARAYDRAAFKLRGHLAILNFPNEYYSQLPNPPCYYPSLTRPGGGSEKGGGGSPTGKSKEVFEIEYEDGLLERLLEGDD >itb02g08600.t1 pep chromosome:ASM357664v1:2:5449127:5450075:-1 gene:itb02g08600 transcript:itb02g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRISFVLLLFFLLLGIATPSFIDYDRLETSVVKGRSLLPDNGCPFDVKNINYTIVTSQCERSHPSAKPCCTSLKKLTCKYRDQLNQNAATCGTQLFQNLRLKGNYPVGLFNYLCKEGEHGLDCEGY >itb05g06520.t1 pep chromosome:ASM357664v1:5:6975056:6977495:1 gene:itb05g06520 transcript:itb05g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNGRSRLPFTANQWQELEHQALIYKYMVSGMPVPPDLLYSIRRSLDSSLSSKLLLHQTPQHMGWSCFQMGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVIPTSSAAAPSTPPPPTAIPFSSINKNTPTPPSLLYPHSTPSRSHQGIALSSQDHTANFLLDSVAYSRNNGYGHGMKDEVDEHVFFSSEGSGSIRSSISGSNNSVDDSAWQLAPLTMASPPLGQLKQRDYCGPQNGYSSYLHLHEASRQQRQGEGDEGEEGEDYYGLGSGGSKTGVGMMINPEDQQQPKKVMHHFFDEWPKENKDSWLDSGDKYSARGPHLSISVPNSSHDFFITHNEK >itb05g06520.t2 pep chromosome:ASM357664v1:5:6975535:6977495:1 gene:itb05g06520 transcript:itb05g06520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVIPTSSAAAPSTPPPPTAIPFSSINKNTPTPPSLLYPHSTPSRSHQGIALSSQDHTANFLLDSVAYSRNNGYGHGMKDEVDEHVFFSSEGSGSIRSSISGSNNSVDDSAWQLAPLTMASPPLGQLKQRDYCGPQNGYSSYLHLHEASRQQRQGEGDEGEEGEDYYGLGSGGSKTGVGMMINPEDQQQPKKVMHHFFDEWPKENKDSWLDSGDKYSARGPHLSISVPNSSHDFFITHNEK >itb13g14180.t1 pep chromosome:ASM357664v1:13:20757728:20766502:-1 gene:itb13g14180 transcript:itb13g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRKQKRQANSTPEKTPNSAEKRRAISTKEKKLKSVEKRQKNTPNKRVIKNRSMEACLTPSPYSLMQDETSSNVIPDLRKEARKTAEESSRMYAGKEIHPFFSSRKIGKRKNEVINLEKKWSTPEEEEKTHTLVPIHVLETVEEDAFTPDWQQWTFSERCLLSDSYILEHGCSPLYEGSASSLQFDTFRSLSNPLKPLPYQNEISVDQHSVPQKEVCLVGSPGKQHLFHPTSPIILAEERIQQECFKNSEDNEIETLSSFLKISGCVSSDTDKHDRFLGGGLIPRNQSFHNQPENCLWTNKYQPEKAAQVCGNDVSVKILSEWLHSWHERGSQRSKSFRSNDTFIEQEVGDDDYLSDYDSDNGDIENHLKNVLLVTGPVGSGKSAAIYACAKEQGFQVIEVNASDWRNGALVKQMVGEALESQWRQRTQKSTANAEDNTLLRSFSAAVTGTEGPMSEVIELIPLLDDEDSQNASATPRNLICKDRTNSHGDLKTLVLFEDVDAALCEDRGFISTIQQLSETAKRPMILTSNSNNPVLPNNLDRLELCFTMPSLKDLLELANTVCNAEQVIIDSSLVEGFVNHCEGDIRKTIMLLQFWCQGQTFRKGNELPTYRPLPFDIDAGHLMLLKLIPWSFPCPLSVLVEDEISKSMRMAEKSFTLGDIVEEEQLNGSNIWNSCKEFDEDPSSINAKKEAMLTLHGSLLDENELAAKFDSNAECSNYSGSPVAFHRRNTRRKLDTVFSDSDDECLSSRNMIDLNKVPCDNCDEENEMMFNSPSDVSSTKMDCSLSSEPHHFKPKRLKRNGWEADDYSLPNGMSIPCDLSCVPESSFVPESRLSSDSKPSSRTESYVDVNCKVEADFMNDSLPSILPLEDDKLEKPVLVSCKNRELLGCSSDINTMPVCGDEASHYNVHHGEDSSRGRQLLDECSRIDFNWRSITLQNDKHHLMTNSVQETWRKLSKGHMDLKKYVTPDQKDASKVLSIGYKLSDLISEADLLSNECQQLICDSLEPSMTPCDKLQSYSWPEDQLHMSSIIAQHGICHFAKEIANLEFDNVSVNQLDLASEMLASSDSTMALGKRVGLDRREIRATPKNLYSYKRKLGPDPVDLLQLAVPVRSHLSLKGDALHEYLSSLSQISRLEANRLSGCVGRSKQRRARVDRHYLTSGALSLSAEDVSLLGQYNCYHNDDSSKFNLQTS >itb11g19790.t1 pep chromosome:ASM357664v1:11:20610956:20611947:1 gene:itb11g19790 transcript:itb11g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPFLFLSLFLFSALLCEAAQTPLTVLDTDGKAVKGGVKYYVVPVKPKQGGGLDLASTGNETCPKSVVQVAPKVAGNSVSFFPAVNPKGAVQNGTDLNVVFSGSNTGCPESTVWQIAHDAENVDVVQYVLSGGDKGNPSSSTARSWFMILKTKNGYKFKFCPVSLCDCNPVCQDIAITVENGHRRLVVDLRLTPLEVNFKKA >itb12g04640.t1 pep chromosome:ASM357664v1:12:3077828:3079294:-1 gene:itb12g04640 transcript:itb12g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIKMEPAEIKLFVGGVSHNTNEQTLRDYFSKYGELRSCDIIRDRITGLGRGFGFIVFTDSSVVEHVLSDTHVILGKKVEVKVAKPKGSREAMLETRSVKKVFVGGIPPDSTDEELVKHFEKFGIVEDAEIRRPRGFGFVTFESEEGAKSALRIPFQYMKNKWVEVKVAEVKAKVGLNNGMRNSLTNITFPIFPTTNWVNGNPQLVNYVPVPYCTAPTINWYSYGASEFCYNSYYPGGYNGGSWQDLNNPNPSHVVIRPPLASSKLVIGPPPASSKPVVIRPPPTKVEEQNGNVAVEIDEIQGDEIDGKSLTNGEVQIEDEIDGESLTNGEVQIEDEIDVAGDSF >itb03g28630.t1 pep chromosome:ASM357664v1:3:29319720:29324326:-1 gene:itb03g28630 transcript:itb03g28630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENARHMRTLLRIILICNAVYLLVRFGIFYSSISWMHWFGLVFTSVAYILPYQQLAAMAKPSYGDDGELIDGGYDMSTAGVCGYLHDVIYITCFVQLASILSDKFWYTYLVIPGFALYKSFGFLKGFLSMGSEDAEEDEKTRKKREKMERKASRTKFVKAKGR >itb06g24850.t1 pep chromosome:ASM357664v1:6:26246548:26247521:-1 gene:itb06g24850 transcript:itb06g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKSIVVSGGDGGNATDLPDCSRVRVLLCDTNADSCRHVFQLLTQCSYQVALVTSRAQLFDTLRSEGPCMDIILAEIAILIANESSIMRYIKRDVRLKHVPVIMMVTIEEVSLIRKGLGFGAADYLVKPLSIHEIKDLGFHIKKN >itb13g01200.t1 pep chromosome:ASM357664v1:13:1155007:1159390:-1 gene:itb13g01200 transcript:itb13g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPLLNGAIKDGIETMDLECVEKMLRKHFMLPVSGMPAHIKKRVLSFCTQYGYIFFNKWSEDEEESGNEKESGNEKESEDEQESGDEQESEDECEGQDEQQSDDEQERRNEWETKETNDEWENTEEQKSDDEREIEEEQNSDDEHEESDDEWETEEEQNSDDEHEESDDEWETEEEQNSDDEHEESDDEQETVAEVQQHILCEVDSIIRQELRAPSYLNKYMKQRIQARHKIHQIFMQGIKLTSYINKEVLKVKNAHYNQSNTPQGNNSFASLTVGDSLQHTTIEMVGCDDEFNIIMDKLNQPSKQREIVSIVGMGGIGKTTLAKRIYGDASFISRFHSRAWVTISQDYNPIKVLNALLRCLDPAWVVKGEEKNELAMQVDKCVKGKRYLIVIDDIWSTNVLDDLLRCFKDGKNGSRMLLTTRLKNVAEYADSRGNLCHNMRFLDSYESWNLFHNQVLSQRITLSLEFERIGREVVKKCKGLPLTINVVAGLLSNSKQDLNEWELIAKNVHKVSIDHSNQQRENIIDLSYTFLPHHLKHCFLSIGCFPEDEELAEDFIVDFWISEGFLKVSRSKSLKDVARESLKDLVDRNLLLISTKIGFNGSMNVYQMHDVLRELALREARKENLLCLKNDRFIFCVGFKKTQLINSSHVSHPWSYNSLSHTCITFLRLSLLGQQFHYHFFMFVRGLVLQVPYLDDAYASIEFMGLVHLRYLKTSSALKLNSLPLFMLWNLQKLDVEVCPSTNEPLNIWGLPQLKNLRLLGTIRLVPPSSVHHNLESILYLDHRSCTEELFMRIPNLRRLGVRTGCKINLKRKAFNWFESLACLYKLEDLLLCGKLLHPKFSTIHSTGTLNIENFLPNLKRLKLLDTRLNWKNMYVVGMLPKLEVLILGDYAAVGGKWKLTDSGFPGLKFLIIESCDLQIWKVTGDHFPVLECLVLMKLEDLKKIPSDFADITTLKSIKLYECSKSAISSAKCIQDEKLDYGNDAFTVDILRFADFDGSFWD >itb13g01200.t3 pep chromosome:ASM357664v1:13:1157961:1159390:-1 gene:itb13g01200 transcript:itb13g01200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPLLNGAIKDGIETMDLECVEKMLRKHFMLPVSGMPAHIKKRVLSFCTQYGYIFFNKWSEDEEESGNEKESGNEKESEDEQESGDEQESEDECEGQDEQQSDDEQERRNEWETKETNDEWENTEEQKSDDEREIEEEQNSDDEHEESDDEWETEEEQNSDDEHEESDDEWETEEEQNSDDEHEESDDEQETVAEVQQHILCEVDSIIRQELRAPSYLNKYMKQRIQARHKIHQIFMQGIKLTSYINKEVLKVKNAHYNQSNTPQGNNSFASLTVGDSLQHTTIEMVGCDDEFNIIMDKLNQPSKQREIVSIVGMGGIGKTTLAKRIYGDASFISRFHSRAWVTISQDYNPIKVLNALLRCLDPAWVVKGEEKNELAMQVDKCVKGKRYLIVIDDIWSTNVLDDLLRCFKDGKNGSRMLLTTRLKNVAEYADSRGVVPENNFVP >itb13g01200.t2 pep chromosome:ASM357664v1:13:1155007:1159390:-1 gene:itb13g01200 transcript:itb13g01200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPLLNGAIKDGIETMDLECVEKMLRKHFMLPVSGMPAHIKKRVLSFCTQYGYIFFNKWSEDEEESGNEKESGNEKESEDEQESGDEQESEDECEGQDEQQSDDEQERRNEWETKETNDEWENTEEQKSDDEREIEEEQNSDDEHEESDDEWETEEEQNSDDEHEESDDEWETEEEQNSDDEHEESDDEQETVAEVQQHILCEVDSIIRQELRAPSYLNKYMKQRIQARHKIHQIFMQGIKLTSYINKEVLKVKNAHYNQSNTPQGNNSFASLTVGDSLQHTTIEMVGCDDEFNIIMDKLNQPSKQREIVSIVGMGGIGKTTLAKRIYGDASFISRFHSRAWVTISQDYNPIKVLNALLRCLDPAWVVKGEEKNELAMQVDKCVKGKRYLIVIDDIWSTNVLDDLLRCFKDGKNGSRMLLTTRLKNVAEYADSRGNLCHNMRFLDSYESWNLFHNQVLSQRITLSLEFERIGREVVKKCKGLPLTINVVAGLLSNSKQDLNEWELIAKNVHKVSIDHSNQQRENIIDLSYTFLPHHLKHCFLSIGCFPEDEELAEDFIVDFWISEGFLKVSRSKSLKDVARESLKDLVDRNLLLISTKIGFNGSMNVYQMHDVLRELALREARKENLLCLKNDRFIFCVGFKKTQLINSSHVSHPWSYNSLSHTCITFLRLSLLGQQFHYHFFMFVRGLVLQVPYLDDAYASIEFMGLVHLRYLKTSSALKLNSLPLFMLWNLQKLDVEVCPSTNEPLNIWGLPQLKNLRLLGTIRLVPPSSVHHNLESILYLDHRSCTEELFMRIPNLRRLGVRTGCKINLKRKAFNWFESLACLYKLEDLLLCGKLLHPKFSTIHSTGTLNIENFLPNLKRLKLLDTRLNWKNMYVVGMLPKLEVLILGDYAAVGGKWKLTDSGFPGLKFLIIESCDLQIWKVTGDHFPVLECLVLMKLEDLKKIPSDFADITTLKSIKLYECSKSAISSAKCIQDEKLDYGNDAFTVDILRFADFDGSFWD >itb15g12010.t1 pep chromosome:ASM357664v1:15:9875684:9876187:1 gene:itb15g12010 transcript:itb15g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRLRLAYIVNESKRKASYKKRKNGLLKKLNELTILCGVDAAIIMYNSFESVPVIWPSAREVLQRIARFLSLPNVEQTRRMMSHESFVEERIQKLNTQLLKVKKDNKEREMKELMHKIFTGERTIDSLSFIDLKDLGGVLKTNLAKINGKAEEIMMDSSTLASSA >itb14g11600.t1 pep chromosome:ASM357664v1:14:13225617:13226231:-1 gene:itb14g11600 transcript:itb14g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAHKLLPILNRAIKDLKTMNFDSMLCILSKHFMLPVSGMPAHIKKRVKSFCTQHHTIFYRHNIYYKNLYKIEESDDEQESEDEQESKDEEESKDEQEIKDEQEKKGAQKSKDEQRRYMLYEAESIIRQELRASYLNNYMKQRIEARQRIRQIFTQGIKLTSYIKKEVLKVKNAAFHQSKTRQHYSWSYFKACNQNGGL >itb08g00900.t1 pep chromosome:ASM357664v1:8:641023:641382:-1 gene:itb08g00900 transcript:itb08g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPVSVFRRFFGRKGRRVMGFVKRNVGFIRVGPAEEEASVPPAGHLAVYVGEAEGATCRVVVPVFYFNHPLFANLLREAETVYGFDHPGGIQIPCRISEFENVKSRIAAAAAGGARRS >itb10g13680.t1 pep chromosome:ASM357664v1:10:19890193:19893284:-1 gene:itb10g13680 transcript:itb10g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIYIFSRFLLSNLFAYIKTKGFNDTILCCGLNELQFFGHQLTWEHGKGTPNWIAERLDRILASETWMDLFSNAKASSCETPVSDHLPLILWSVPTKHAKITRRFRFENLWLRETKCHMIVSDCWLSTRVAIIGIKSSKTFPVPQTRPSSPVHPLVARSQVSKPATNLVSKPDLMSNHASAESVQALQTAVEAMQAEQSEIHQLLAGKGPAGDDSGSHNHGSAPAATTPTVFATVPKWVTPDTVALGRTSCFASWPDAGTQLPATSVASSTTSHFVAAPGQSRFPGSKLGVWQTSQFKSFVLKGLSEGSGRPLTQAP >itb15g21660.t1 pep chromosome:ASM357664v1:15:24357099:24358496:1 gene:itb15g21660 transcript:itb15g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALQSSTPTMKISYREVSPAGNPAEISLPLTLFDMPWLFYFRIMRRLLFYRLPISTNTFTETIIPNILNSLSLTLKHFLPLAGNLVSPSDYSAKPELRYAPGDSVSVTFMESGADFDSLTGYHPRDVNDFYPFVAKLSEPKKGTAGGAHGEIVFPVLAVQVTIFPGFGICIGTTHHHVVGDGNAMASFANAWAMISKLGGDGEFIAEKKFVPFCDRTIVKDPYRTGDLMFGEIKKEIKVVPSEVEISTPRINKVRATYIMRRRDIENLKNLFIARRGIDYYVSGFTVTCAYIWTCWLRAEAAEEERTEYFSCIADCRSRLNPPLPASFFGNCVVGCRFAKSRNGVLVGGEGFVIAAELIGEAIRESVNDEEWILREEFWLSEFKEADPRRVVAVAGYPRMDLYGADFGWGKAEKVEFVSIDGGNSMSLCRCRDSEGDIEVGLAMPRAQMEAFAAIFADGLRSL >itb12g09420.t4 pep chromosome:ASM357664v1:12:7398936:7403376:1 gene:itb12g09420 transcript:itb12g09420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNKDEPNTAPKPDQWYNLTLGSSFKEQNPSSKFCTLRYEFKPASIDKNQSGKLHKNKENRVTVEFQNSQPGKPKVSFEGSSEDYKENDAVLFFDGETFRLERLHRAVKRLKHVRLPGESAAVSASTFASATAETSSPPVVKAPNFQSQNNVVRALPIEVERIEVGDIKSSDTRPKNEKAADYPSSHANQSNASPEAKSDDLDEQLDILNDDVEGDTAANGGGDIIVKEIDTGIDINIPHHNDTDDEIADVDASDDDDKGRNAAEELRAQVNAEERGEHTSSSSSSSGSESSGSSSSSSSSSSRSRSASDSGSSDSESSDAETVNSI >itb12g09420.t1 pep chromosome:ASM357664v1:12:7398886:7403376:1 gene:itb12g09420 transcript:itb12g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNKDEPNTAPKPDQWYNLTLGSSFKEQNPSSKFCTLRYEFKPASIDKNQSGKLHKNKENRVTVEFQNSQPGKPKVSFEGSSEDYKENDAVLFFDGETFRLERLHRAVKRLKHVRLPGESAAVSASTFASATAETSSPPVVKAPNFQSQNNVVRALPIEVERIEVGDIKSSDTRPKNEKAADYPSSHANQSNASPEAKSDDLDEQLDILNDDVEGDTAANGGGDIIVKEIDTGIDINIPHHNDTDDEIADVDASDDDDKGRNAAEELRAQVNAEERGEHTSSSSSSSGSESSGSSSSSSSSSSRSRSASDSGSSDSESSDAETVNSI >itb12g09420.t2 pep chromosome:ASM357664v1:12:7398886:7403376:1 gene:itb12g09420 transcript:itb12g09420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNKDEPNTAPKPDQWYNLTLGSSFKEQNPSSKFCTLRYEFKPASIDKNQSGKLHKNKENRVTVEFQNSQPGKPKVSFEGSSEDYKENDAVLFFDGETFRLERLHRAVKRLKHVRLPGESAAVSASTFASATAETSSPPVVKAPNFQSQNNVVRALPIEVERIEVGDIKSSDTRPKNEKAADYPSSHANQSNASPEAKSDDLDEQLDILNDDVEGDTAANGGGDIIVKEIDTGIDINIPHHNDTDDEIADVDASDDDDKGRNAAEELRAQVNAEERGEHTSSSSSSSGSESSGSSSSSSSSSSRSRSASDSGSSDSESSDAETVNSI >itb12g09420.t3 pep chromosome:ASM357664v1:12:7398886:7403376:1 gene:itb12g09420 transcript:itb12g09420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNKDEPNTAPKPDQWYNLTLGSSFKEQNPSSKFCTLRYEFKPASIDKNQSGKLHKNKENRVTVEFQNSQPGKPKVSFEGSSEDYKENDAVLFFDGETFRLERLHRAVKRLKHVRLPGESAAVSASTFASATAETSSPPVVKAPNFQSQNNVVRALPIEVERIEVGDIKSSDTRPKNEKAADYPSSHANQSNASPEAKSDDLDEQLDILNDDVEGDTAANGGGDIIVKEIDTGIDINIPHHNDTDDEIADVDASDDDDKGRNAAEELRAQVNAEERGEHTSSSSSSSGSESSGSSSSSSSSSSRSRSASDSGSSDSESSDAETVNSI >itb06g13690.t2 pep chromosome:ASM357664v1:6:18376867:18398931:1 gene:itb06g13690 transcript:itb06g13690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEQAVKEKEAFAGVVKSAKEAYKPDDPDSVYATLKWISVLDLFIKARSELYMEDVKGLIEFGLELFRVSENKLYAQVRWGNLLVRILNKYRKKLNLKVQWRPFYNTLVHTHFTSRNTGPEGWRLRQRHFETVTSLVRSSRRFFPPGSAFEIWSEFRSLLENPWHNSAFEGSGFVRLFLPTNMDNEDFFSDDWIRSCLDHWDSIPNSQFWNSQWASVTARVIKNYNNIDWETFLSNFFNRYLNMFEVPVANGGGSNPFSVDVPRNTRFLFSNRTITPSKAIAKSIVYLLRPGGSAQQYFEKLVNLLEQYYHPSNGGRWTYSLERFLFYLVTTFQKRLQREQQRKDKGEQSELFLGQVDRASFVSSILRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKSAVMSVAFSARSLFLTALSTSPMDLDGVDHGGSYVDLLMISLSNALLGMDANDPPKTLATMQLIGSLFSSMATLEDAVDESSLMPAFHFSEWLDEFFCRLFSLLQHLEPSSVVNEGLHSPATSGTFLVEDGPYYFCMLEILMGRLSGSLYKQALKKISKFVTTNILPGAIAEVGLLCCASVHPNPEEAVAHLVKPMLDSALLSLKGTPVTGFGGRGTFDASKLSKAKPTLSPALETAIEYQLKVLSIAISYAGPALLRYKDEFKEAIACAFDSPSWKVNGAGDHVLRSLLGSLVLYYPIDQYKCLLHHSAAPTLEEWISTKDFANDKQWMAPRWHLPCKEEIQFANELLSLHFDSALDDLSRICKSKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFKPSCKNGVVEEQGHIPFLIAGATGSCVGSAELREKATDVIHSACLYLLEEKSDDSILLLLLIRIMDSLGNYGSSEYDEWSNHRQAWKLESAAIVEPPVNFIVSSHSKGKRRSRWALIDKAYMHSTWRASQSSYHLFRMKANLSPSDCVILLMDDLLTLSLHSYETVRAIAGKSLLKMMKRWPFTIPKCVLTLSENLRNPSSPEYAVLGSCAVLATQTVLKCLTMDTKALSSFLLGILSSSHHESLKAQKAINELFVKYNIHFAGVSRNMFRTSGNHSDETDFAVLVSEIGSMSFESTNLHWRYNLMANRVLLLLAMASRNDPNSSSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSEDRTVLSTDLHRNTESSLEGALSSIFQEEGFFDETLNSLSHIHIIDTDGASSKGGHGNSSFQSFADKSITRFYFEFSSSWPRTPNWISLLGNDTFYSSFARIFKRLMQECGMPVLLALKNALQEYLNATERTKQCVAAEALAGVLHSDVHGVSEAWDSWLMVHLQNIIHSPSVESIPEWAACIRYAVTGKGKYGTKVPLLRQKVMDCLMNPFPEAVSTTVITKRYTFLSAALIELSPPRMPVAEIELHNKLLKELIGNMSHSSPQVRESIGLTLSVLCSNIRLNQLCNQVQSHETQIGDLHENLETGHWDQYLIDRASELVLNIQKSSQSDVADNSTDKVSENGTSNDQSKDDAKWMETLFHFIISSLKSGRSSVLLDVLVGLLYPVISLQETSNKDLSTLAKAAFELLKWRLILESHLQKAVSAILTSASDPNWRTRSATLTFLRSFMYRHTFVLSKVEKQKIWGTVERLLTDNQVEVREHAAAVLAGLMKGGDEDLATDFRCRAFEQASIIQKKRKHRSLKSSGQSVASIHGQILALAACVLSVPYDMPSWLPGHVTLLAQFVSEPSPVKSTVTKAVAEFRRTHADTWNIQKDSFSEEQLEVLADTSSSSSYFA >itb06g13690.t1 pep chromosome:ASM357664v1:6:18376791:18398931:1 gene:itb06g13690 transcript:itb06g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEQAVKEKEAFAGVVKSAKEAYKPDDPDSVYATLKWISVLDLFIKARSELYMEDVKGLIEFGLELFRVSENKLYAQVRWGNLLVRILNKYRKKLNLKVQWRPFYNTLVHTHFTRNTGPEGWRLRQRHFETVTSLVRSSRRFFPPGSAFEIWSEFRSLLENPWHNSAFEGSGFVRLFLPTNMDNEDFFSDDWIRSCLDHWDSIPNSQFWNSQWASVTARVIKNYNNIDWETFLSNFFNRYLNMFEVPVANGGGSNPFSVDVPRNTRFLFSNRTITPSKAIAKSIVYLLRPGGSAQQYFEKLVNLLEQYYHPSNGGRWTYSLERFLFYLVTTFQKRLQREQQRKDKGEQSELFLGQVDRASFVSSILRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKSAVMSVAFSARSLFLTALSTSPMDLDGVDHGGSYVDLLMISLSNALLGMDANDPPKTLATMQLIGSLFSSMATLEDAVDESSLMPAFHFSEWLDEFFCRLFSLLQHLEPSSVVNEGLHSPATSGTFLVEDGPYYFCMLEILMGRLSGSLYKQALKKISKFVTTNILPGAIAEVGLLCCASVHPNPEEAVAHLVKPMLDSALLSLKGTPVTGFGGRGTFDASKLSKAKPTLSPALETAIEYQLKVLSIAISYAGPALLRYKDEFKEAIACAFDSPSWKVNGAGDHVLRSLLGSLVLYYPIDQYKCLLHHSAAPTLEEWISTKDFANDKQWMAPRWHLPCKEEIQFANELLSLHFDSALDDLSRICKSKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFKPSCKNGVVEEQGHIPFLIAGATGSCVGSAELREKATDVIHSACLYLLEEKSDDSILLLLLIRIMDSLGNYGSSEYDEWSNHRQAWKLESAAIVEPPVNFIVSSHSKGKRRSRWALIDKAYMHSTWRASQSSYHLFRMKANLSPSDCVILLMDDLLTLSLHSYETVRAIAGKSLLKMMKRWPFTIPKCVLTLSENLRNPSSPEYAVLGSCAVLATQTVLKCLTMDTKALSSFLLGILSSSHHESLKAQKAINELFVKYNIHFAGVSRNMFRTSGNHSDETDFAVLVSEIGSMSFESTNLHWRYNLMANRVLLLLAMASRNDPNSSSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSEDRTVLSTDLHRNTESSLEGALSSIFQEEGFFDETLNSLSHIHIIDTDGASSKGGHGNSSFQSFADKSITRFYFEFSSSWPRTPNWISLLGNDTFYSSFARIFKRLMQECGMPVLLALKNALQEYLNATERTKQCVAAEALAGVLHSDVHGVSEAWDSWLMVHLQNIIHSPSVESIPEWAACIRYAVTGKGKYGTKVPLLRQKVMDCLMNPFPEAVSTTVITKRYTFLSAALIELSPPRMPVAEIELHNKLLKELIGNMSHSSPQVRESIGLTLSVLCSNIRLNQLCNQVQSHETQIGDLHENLETGHWDQYLIDRASELVLNIQKSSQSDVADNSTDKVSENGTSNDQSKDDAKWMETLFHFIISSLKSGRSSVLLDVLVGLLYPVISLQETSNKDLSTLAKAAFELLKWRLILESHLQKAVSAILTSASDPNWRTRSATLTFLRSFMYRHTFVLSKVEKQKIWGTVERLLTDNQVEVREHAAAVLAGLMKGGDEDLATDFRCRAFEQASIIQKKRKHRSLKSSGQSVASIHGQILALAACVLSVPYDMPSWLPGHVTLLAQFVSEPSPVKSTVTKAVAEFRRTHADTWNIQKDSFSEEQLEVLADTSSSSSYFA >itb10g12860.t1 pep chromosome:ASM357664v1:10:18979719:18985380:-1 gene:itb10g12860 transcript:itb10g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAINSVAARNITKISASARHQLSLLRLSYTPFQLPPLSLQCRWSSSSSPPISGVRAQVAATEQAGTSATAKVETPVVIVTGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAFAFGCDVSKEDDVESMIKTVIDRWGTVDILINNAGITRDGLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMIKKKKGRIINIASVVGLVGNAGQANYSAAKAGVIGLTKSVAKEYASRNITVNAVAPGFIASDMTAMLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLAINPAASYITGQVFTIDGGMVM >itb06g21460.t1 pep chromosome:ASM357664v1:6:24021635:24022209:-1 gene:itb06g21460 transcript:itb06g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNYARSVHSDGKLDMTLEEFKKWFRRFDADRDGRISREELREAIRAAGVRFSWLKGRKGMKAVDGNGNGFIDDCEIDKLVEFAQMNLNIRIVTY >itb07g24460.t1 pep chromosome:ASM357664v1:7:28746224:28751636:1 gene:itb07g24460 transcript:itb07g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVYYSDQAQFPPGGGSGDAGQGAPSAKSRHVILQKFKEFIRNFPHKSQPNLFPYREALLENPKFLIINLSDLLSYERDQTLPELLRQNPADYLPLFETAAAEVLASLRSRVAGETGEMEEPETGEVQILLRSEQDSISMRSLGAQYISKLVKISGIVIAASRTKAKATYVTLLCKNCKNVKVVPCRPGLGGAIVPRSCDHVPQTGEDPCPIDPWIVVPDRSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTIMGIYSIFQAANSSTSHKGAVAVRQPYVRVVGMEDTSEANSRGPANFTTDEIEEFKKFASDSNAYGKICSKIAPSIFGHDDVKKAVACLLFGGSRKILPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDNSSREFYLEGGAMVLADGGVVCIDEFDKMRAEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDMIFIVKDIRMYSQDKNIASHIVRVHASAHASSGDPRTTKEDNWLKRYIHYCRTMCHPRLSDSAASMLQESYVKIRQDMRRQANEAGEAAAIPITVRQLEAIVRLSEALARMRLFQVANENHVSEAIRLFNNSTMDAAKSGINQHINLTPEMANEIKQAETQIKRRMGIGSHISERRLIDELSRMGMNESIIRRALLIMHQRDEVEYKRERRIIVRKA >itb07g09760.t1 pep chromosome:ASM357664v1:7:9408805:9414210:1 gene:itb07g09760 transcript:itb07g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGILGRPLKTLNRFILRTLTASGSRNISGLATAGQPESDGQSSSFTFTSDNGDRNVQNTSSRDDSIYIKGPKKDSSSQLDASSVTIPMSFMTGSIVGKRFYKKVITREADDGIGWTVMLDYRTLKTPAKRPLKCPTHALAKAIAAEWEYQEVDGIRPFTMPLMQLACTAFERVPLTRPKIIENLMKKFNQDLVFCRAPGDNDLTAVVLERQVEKVDPLLHSLESEFGFKPAIYSSFFGGKQEDAIDAIAAAAHSLVIAIGVFRGRLGIEEAIELIRLEEDLQIDKWGLVEGGHDLDIADLQVQVASAAVFLRLSRTS >itb02g20250.t1 pep chromosome:ASM357664v1:2:18023712:18024229:1 gene:itb02g20250 transcript:itb02g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLMLRLALSYIRRPLCIFSDNVNIREGKQEVVIHATVAMCWSIWNRRNELIWNHNSWLPDEVVRRTFLLIEEWSD >itb11g23380.t1 pep chromosome:ASM357664v1:11:25395129:25398798:-1 gene:itb11g23380 transcript:itb11g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGSSWLTAVKRAFRSPTKDPEKRNQLEDDEEKKREKRRWVFRKPASCHENATPTQQALLPKHTNNSIPLPTTTASEAEQQRHAIAVAAAEAAVATAQAAMEVARLAKPPPISNVYYYARGREQHLAAIIIQTAFRGYLARRALSALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQSRILDQRIRQSHEGSRNSTFSDSNTLSMWDPPRYVQDFSDRRSMSRDGGSSIPDDWDERSHTIEEVKAMLQSRKEAAFRRQRNLSQQMWRGGKNPSIGSEDEFGERQLQERWMMGAAAKPWDVRESRASTDHHQIDNVKTVEIDTSQPYSYLAPSNLRRSSNHHQQQHQKRPSSPLHRPHQNQSFRSPATPSPSRTAPPMQLRSASPRCSREVERNSYHTAQTPSLRPNNYYFNNNGGSYQQARGANVATIMPNYMAATESAKARIRSQSAPRQRPSTPERERIGIGSAKKRLSFPVNNNAAGDEGYGMGGYLHNLRSPSFKSVVSAFGYEQQSNYSSCCGTESIGGGGEVSPCTSDLRRWLR >itb14g17110.t1 pep chromosome:ASM357664v1:14:20438047:20438955:1 gene:itb14g17110 transcript:itb14g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYMDEKWKLSRDDPYSHSYSSSSNPTLMRSFSQASPSTSSHPLPRSFSQKNPSSSSSSKSRFSKSSSQFTRKCSNLAKEQKAKFYIVKRCIGMLVRWNKHRDDS >itb01g04800.t1 pep chromosome:ASM357664v1:1:3262268:3263499:-1 gene:itb01g04800 transcript:itb01g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANANPRSVDPLVVGKVIGDVLDMFVPVVEFTVDYGSKKIANGVEITPSLAAQKPSVHINGSPASPNLYTLIMVDPDAPSPSEPTFREWLHWIVTDIPEGSEASKGREVVEYIGPSPPTGIHRYVLALFRQREAMQVPQKPPEGRGNFRTRQFAADNGLGLPVVALYFNSQKQSATNKKR >itb12g11920.t1 pep chromosome:ASM357664v1:12:10420785:10421600:-1 gene:itb12g11920 transcript:itb12g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNADEEMGSVMDESEIAKVLKRFGDEQSTLLDQYERLSFEVQLNQAILGRSLSEPYRTGVLPRPKAAGKKQRRRGLGFRKVMKKLLGPILGGGKGSGNNNNNYAGGGDGDGPTTDPKDPRFWKAFSRSLRF >itb02g12230.t1 pep chromosome:ASM357664v1:2:8332580:8332897:1 gene:itb02g12230 transcript:itb02g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHSQSLIPTSLPQCLPISLDPHSPFFSVSRRPTSFSLRPHCPQGVTTLTGSQLTGHRITPSLLTVSLSAQSSSHPPAVDHHNAHPPRGPAPGHRPASSIFSV >itb14g03530.t1 pep chromosome:ASM357664v1:14:3113913:3119638:-1 gene:itb14g03530 transcript:itb14g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIQIPKAKLNSGHQMPLLAMGTSSTSPQDPDQLTSTLIRAIEMGYRHFDTAVVYGSEEALGRAVAAAVERGLVASRDELFITTKLWCTETDHHLVVPALKRSLGRLGLDYVDLYLIHLPFRVKDSIEGLDFKTENIVTFDMKGTWEGMENCCKLGLAKSIGVSNFTPKKISQLLQNATISPAVNQVEMSVAWQQSKLLEFCREKGIQVSAWSPLGNNDAPWGNPGLLDIPQLKDIAMAKHKTTAQVALRWVYQQGACVIVKSFNNERMKQNLQIFNWKLEEDEIAKIQQIPQRRGFKGAEFVHSNGPYKSEEELWDGDI >itb01g00670.t1 pep chromosome:ASM357664v1:1:302796:304559:-1 gene:itb01g00670 transcript:itb01g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGSLALKTFCKPIGKRLKKEAGIHPEFRQLIINLAQTNHRFTTKLQRRIYGRAIDVAIRPLNEEKAVQLAADLLGELFIFTVAGVAVILEVQRSARSEARKEGLRRQEIEAMKQRDEELAREVEILRNRVLELEQGLESFLNFNHVNSIDNKAKAGWH >itb13g22500.t1 pep chromosome:ASM357664v1:13:28818996:28821286:-1 gene:itb13g22500 transcript:itb13g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVYTPTIDENKWVSQGNRALHSSELAIEIDFTPSIFQLPKSLTETSPESYAPQHLGLGAYHHLRPELHVADMQKVAKVKTFLSSGNFSDDFLGKARGLEPAVRASYDKYLDLEGSTLDYIIAIDSLFLLDFLGSYDHKTETENLKPGHREFAKDIAMLENQVPAFAVAEMGKELDLFSPEKGTPETMLYSQLFYYFCKAHSPLKLAELWKDRRTESKHLLAHMYHLIVNNHGRKEQESMQRTKAKITEIGTEVLTALTELGLGGPIVKSLQFTLKSLQVWENSKLAAELSKDREQRANTNKIQIPSVSELSEKYKVEFNVLDFGGIRHVKFEDENEGKPAIYLPEITLKHDSEVVLRNLVAYEAAIATPESSLELGEYVDLMCGLVHTDKDVSLLRDKGIVKSDLTCLNDKQVAEIFNGFSRSVGELKVEGSESREVVRQVKAMVEKWENKKPWKRLWRFLEKNVKNVVEFLRKPSAIAMKCALYIFMVLLLVLQIMQAYCQFYGCSKGESETKAFSLMMSG >itb08g01820.t1 pep chromosome:ASM357664v1:8:1450393:1451231:-1 gene:itb08g01820 transcript:itb08g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 23 [Source:Projected from Arabidopsis thaliana (AT4G17800) UniProtKB/Swiss-Prot;Acc:O23620] MAGLDLSAASYVHHQLHHPDFNLPESKDDGDCGGGGGGGGGDIVARRPRGRPAGSKNKPKPPVIITRESANTLRAHILEVASGCDVFECVAAYARRRQRGICVLSGSGTVTNVTIRQPTAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIGASFTNVAYERLPLEEDETPSADDGAAAAGGGGGGAVYNNPFPDPSTGLPFFNLPMPNMPPFGV >itb04g21940.t1 pep chromosome:ASM357664v1:4:27062348:27068379:-1 gene:itb04g21940 transcript:itb04g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAICLSRSISLCLTLSSPLQRTPNFQSSFLYSFRPRRFRCFKPCSSLKETKKQHTFSKIPSSAPQRLRKLSNLGTKWEHDSIDRGDGDTALKGTLLAALLLVGMVGGFGTVSYLYRDQINDFLNQLSVFIEGYGPAGYALFVVVYTGLEILALPAIPLTLSAGLLFGPVTGTIIVSISGTMAASVAFLIARYFARDRILKLVEGNKKFRAIDKAIGENGFKVVTLLRLSPLLPFSLANYLYGLTSVEFVPYVLGSWLGMLPGTWAYVSAGALGRAIIQEESEISLGGGNGVLTLGIGLLFTAVAAAYITRLAKDAVKDIE >itb04g21940.t2 pep chromosome:ASM357664v1:4:27063758:27068379:-1 gene:itb04g21940 transcript:itb04g21940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAICLSRSISLCLTLSSPLQRTPNFQSSFLYSFRPRRFRCFKPCSSLKETKKQHTFSKIPSSAPQRLRKLSNLGTKWEHDSIDRGDGDTALKGTLLAALLLVGMVGGFGTVSYLYRDQINDFLNQLSVFIEGYGPAGYALFVVVYTGLEILALPAIPLTLSAGLLFGPVTGTIIVSISGTMAASVAFLIARYFARDRILKLVEGNKKFRAIDKAIGENGFKVVTLLRLSPLLPFSLANYLYGLTSVEFVPYVLGSWLGMLPGTWAYVSAGALGRAIIQEESEISLGGGNGVLTLGIGLLFTAVAAAYITRLAKDAVKDIE >itb04g21940.t4 pep chromosome:ASM357664v1:4:27065371:27068379:-1 gene:itb04g21940 transcript:itb04g21940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAICLSRSISLCLTLSSPLQRTPNFQSSFLYSFRPRRFRCFKPCSSLKETKKQHTFSKIPSSAPQRLRKLSNLGTKWEHDSIDRGDGDTALKGTLLAALLLVGMVGGFGTVSYLYRDQINDFLNQLSVFIEGYGPAGYALFVVVYTGLEILALPAIPLTLSAGLLFGPVTGTIIVSISGTMAASVAFLIARYFARDRILKLVEGNKKFRAIDKAIGENGFKVVTLLRLSPLLPFSLANYLYGLTSVEFVPYVLGRSVSYFILMS >itb04g21940.t3 pep chromosome:ASM357664v1:4:27063758:27068379:-1 gene:itb04g21940 transcript:itb04g21940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAICLSRSISLCLTLSSPLQRTPNFQSSFLYSFRPRRFRCFKPCSSLKETKKQHTFSKIPSSAPQRLRKLSNLGTKWEHDSIDRGDGDTALKGTLLAALLLVGMVGGFGTVSYLYRDQINDFLNQLSVFIEGYGPAGYALFVVVYTGLEILALPAIPLTLSAGLLFGPVTGTIIVSISGTMAASVAFLIARYFARDRILKLVEGNKKFRAIDKAIGENGFKVVTLLRLSPLLPFSLANYLYGLTSVEFVPYVLGSWLGMLPGTWAYVSAGALGRAIIQEESEISLGGGNGVLTLGIGLLFTAVAAAYITRLAKDAVKDIE >itb05g00340.t1 pep chromosome:ASM357664v1:5:266867:269193:1 gene:itb05g00340 transcript:itb05g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDLHHPWVFTFGVLGNIVSLLVFLAPVPTFIRIYKAKSTLGYQSVPYVVSLFSAMLWMYYAFLKKTAPLLITINSIGCVIETLYISIFLGYASKTARRQTMKMLLLLVGGLYSVIVLATMFPFKGALRVAIVGWICVAFSVCVFAAPLSIVFKVVKTKSVEFMPFNLSFFLTLTAVMWLGYGLLLKDMCIALPNVLGFLLGIVQMVLYGIYRNKKPVEVAEEKKSAVPELVINVAGLGELLHSSVEDSKTTAITVSDAVTEEKGENPDQKNKEEEEEENHAGKTMMITAPQPLPPANPNLDDNVLQFTHPVNVVVCAA >itb03g05100.t1 pep chromosome:ASM357664v1:3:3419915:3424462:-1 gene:itb03g05100 transcript:itb03g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEALRQSRYHMKRCFAKYTEKGSRMMKLHHLMDEMEKVIDDQAERNQVLEGLLGYILCTTMEAAVVPPHIAFATRQNPGFWEYVKVNANDLSVEGITATEYLKFKEMIVDEDWATDENALEIDFGAVELSMPHLTLSSSIGNGFNSVAKFLSTKLTGRSETAQALVKYLLSMNHHGEKLMINETLSTISKLQAALIVAEAALSSQPKDAPYLSFELMLKELGFEKGWGDTAERVQDSMRILSEVLQAPDPLNVEKFFGRLPLIFNIVLFSVHGYFGQADVLGLPDTGGQVVYVLDQVVAFEEELLSRIKQQGLNIKPQILVVTRLIPDAKGTKCNQEIEPVINTKHSHILRVPFRTENGVLDQWISRFDIYPYLERFTQDAADKIMEIMEVKPDLIIGNYSDGNLVASLMANKLGITLGTIAHALEKTKYEDSDIKWKELDPKYHFSCQFTADMIAMNSADFIITSTYQEIAGSKDRPGQYESHCAFTMPGLYRVVSGINVFDPKFNIASPGADQTVYFPYTEKQKRFTSFRPAIEELLFSKADNDEHIGYLEDKKKPIIFTMARLDTVKNTSGLTEWFGKNRRLRSLVNLVVVGGSFDPSKSKDREEAAEIKKMHALIEKYQLKGQIRWIAAQTDRLRNSELYRTVADSKGAFIQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGDESSNKIADFFQKCRDNTDYWNRISDQGLKRINECYTWKIYANKVLNMGSMYGFWKTLFPDQKQASQRYIQAFYQLQYRNLVKSIPLRSNEAPEQPKQKAKPQLSQRRTQSALKRLLGV >itb03g05100.t2 pep chromosome:ASM357664v1:3:3419915:3424052:-1 gene:itb03g05100 transcript:itb03g05100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPALKRSDSIADSMPEALRQSRYHMKRCFAKYTEKGSRMMKLHHLMDEMEKVIDDQAERNQVLEGLLGYILCTTMEAAVVPPHIAFATRQNPGFWEYVKVNANDLSVEGITATEYLKFKEMIVDEDWATDENALEIDFGAVELSMPHLTLSSSIGNGFNSVAKFLSTKLTGRSETAQALVKYLLSMNHHGEKLMINETLSTISKLQAALIVAEAALSSQPKDAPYLSFELMLKELGFEKGWGDTAERVQDSMRILSEVLQAPDPLNVEKFFGRLPLIFNIVLFSVHGYFGQADVLGLPDTGGQVVYVLDQVVAFEEELLSRIKQQGLNIKPQILVVTRLIPDAKGTKCNQEIEPVINTKHSHILRVPFRTENGVLDQWISRFDIYPYLERFTQDAADKIMEIMEVKPDLIIGNYSDGNLVASLMANKLGITLGTIAHALEKTKYEDSDIKWKELDPKYHFSCQFTADMIAMNSADFIITSTYQEIAGSKDRPGQYESHCAFTMPGLYRVVSGINVFDPKFNIASPGADQTVYFPYTEKQKRFTSFRPAIEELLFSKADNDEHIGYLEDKKKPIIFTMARLDTVKNTSGLTEWFGKNRRLRSLVNLVVVGGSFDPSKSKDREEAAEIKKMHALIEKYQLKGQIRWIAAQTDRLRNSELYRTVADSKGAFIQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGDESSNKIADFFQKCRDNTDYWNRISDQGLKRINECYTWKIYANKVLNMGSMYGFWKTLFPDQKQASQRYIQAFYQLQYRNLVKSIPLRSNEAPEQPKQKAKPQLSQRRTQSALKRLLGV >itb14g04530.t1 pep chromosome:ASM357664v1:14:4044005:4049750:-1 gene:itb14g04530 transcript:itb14g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVLMLLFLAPTCSHWVVDTLPGFPGKLPFQLQTGYIGVGEFEQVQLFYYFIESERSPENDPLLLWLTGGPGCSALSGILYEIGPFTINSANSTTGDIPALELNPYAWTKVANIIFLDQPAGTGFSYSKTWEAYRCSDTLSAQYAYSFLIKWLLDHPKFLSNPLYITGDSYTGIIVPQIVQRIYDGLKSGIEPRLNIKGYVEGNPLTDKYADDNNRVAYAHRMGLLSDNLYKSTKVNCNGHYVDEHPQNAACQYDLERVSKCTEKINMAQILEPKCSNENLLILDVSSVVENNVTSHLLLQHRCRDDNYLYSSIWANNKIVQKALHVREETVTEWVRCNESLTYDSSMERTEAYAYNVQSTLEYHRSFTNKSCRVLIYSGDHDMVVPHVSTKEWIESLKVGVEDEWRPWFVEDQVAGYTMKYSQEEYELTYATIKGAGHTAPEYKPQQCLSMLQRWLSYYPL >itb14g04530.t2 pep chromosome:ASM357664v1:14:4044005:4049750:-1 gene:itb14g04530 transcript:itb14g04530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVLMLLFLAPTCSHWVVDTLPGFPGKLPFQLQTGYIGVGEFEQVQLFYYFIESERSPENDPLLLWLTGGPGCSALSGILYEIGPFTINSANSTTGDIPALELNPYAWTKVANIIFLDQPAGTGFSYSKTWEAYRCSDTLSAQYAYSFLIKGYVEGNPLTDKYADDNNRVAYAHRMGLLSDNLYKSTKVNCNGHYVDEHPQNAACQYDLERVSKCTEKINMAQILEPKCSNENLLILDVSSVVENNVTSHLLLQHRCRDDNYLYSSIWANNKIVQKALHVREETVTEWVRCNESLTYDSSMERTEAYAYNVQSTLEYHRSFTNKSCRVLIYSGDHDMVVPHVSTKEWIESLKVGVEDEWRPWFVEDQVAGYTMKYSQEEYELTYATIKGAGHTAPEYKPQQCLSMLQRWLSYYPL >itb08g12680.t1 pep chromosome:ASM357664v1:8:13021197:13022966:1 gene:itb08g12680 transcript:itb08g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGFCYTNPEKRSKENCAAGERRKRSKLNNVGKSDLFDSLPDDIVLSILSKLSSDAECPADFISVLLTCKRLNGLGVHSMVLSKASKKMVAVKAQSWSHSAHRFLMLCADAGNVEACYILGMIRFYCMENRGSGASLMAKAAIGSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVKRNVTEGRRFLVQANARELADSLAAKPALFSGSWLTWDPLSHQRSAGCPLLSDFGCNIPAPDPHPANNFLTDWFAAKDDGAPGSGLRLCSHPGCGRPETRVHEFRRCSVCGAVNYCSRACQAMDWRSRHKSECAPAERWPGEIAPAPAPANVIHGEAVVVVDNHQTAVS >itb13g01940.t1 pep chromosome:ASM357664v1:13:1775050:1778386:-1 gene:itb13g01940 transcript:itb13g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVLSFLLLVQLPIIFASGLLEDSKACGTYHVSYSDDLHYEMFYINGELVDKNVFCKSLIIDDTNRCFNSGNVGYQQCGLAVLLDTSAFASGRKFLLENAKEGSREHDSVENKADKNQRSQNTILTPKKLAIAMPLSLIFCCAIFCPCFRAKRRGSSHYVRDNDPVSVDSSAPLELHSVHEKVPPSPLRVPPSPLRVPPSPSRFSMSPGLSRVGSVHLTMTQALRATQNFSSSLKIGEGGFGTVYKAHLPDGGFVAIKRAKKEHVDTLTSEFKSEVELLAKIEHRNLVRLLGYVDKGHERLIITEFVPNGTLREHLDGARGKILDFNQRLEISIDVAHALTYLHLYAEKPIIHRDVKSSNILLTESMRAKVADFGFARLGDTDADKTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGVLLLEILTGRRPVEMKKPPEERVTLRWAFGKFTEGKTMDMLDPAMRERIDAEILGRMFELAFQCAAPTRADRPDMKMVGERLWAIRMEYSRRGRRE >itb05g03950.t1 pep chromosome:ASM357664v1:5:3392258:3399989:-1 gene:itb05g03950 transcript:itb05g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANLVKFLKLVKPYLAVIFLQFGYAGMAIVAKSALNEGMSPFTYAVYRNVFAAVLFAPFAVAFERKIWPKMTVSIFFKIMALALLEPVIDMNLHFIGMKYTTATFTTALCNLLPAITFILAWILRLEKVKMRKLHSQAKILGTAVTIGGAMIMTLVKGPNIGLPWTKHTHHMQTQTTLHSQQDFIKGAVMIAAGCFCWASFYILQANTLKSYPAGLSLTCLICTMATLQASVIALVAERGNPAAWALHWDTTLLANVYSGVICSGVAYYLSGVIMKEKGLVFVTAFNPLSMIIVAIMGSFMLSEQLDFGKALGAGVIVIGLYMVLWGKKQDRDSPESISDQVAPIDKSPSLTLVKFPTKQEPIIDTPKAIAGDEAV >itb08g04790.t1 pep chromosome:ASM357664v1:8:3950714:3953538:1 gene:itb08g04790 transcript:itb08g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSSAQKSLASGRRDSERREEIKMGGWAIAVHGGAGVDPNLPLERQEAAKQLLTRCLNLGISALRASLPAIDVVELVVRELETDPFFNSGRGSALTEKGTVEMEASIMDGEGRRCGAVSGVTTVKNPISLARLVMDKSPHSYLAFSGAEEFAKKQGVELVDNSYFITEDNVGMLKLAKEANTILFDYRIPTVGLETTCGGATESPILMNGLPISVYAPETVGCVVVDAQGRCAAATSTGGLMNKMSGRIGDSPLIGAGTYACDLCGVSCTGEGEAIIRGTLARDVAAVMEYKGLGLQEAVDFVVKKRLDKGFAGMIAVSNKGEVAYGFNCNGMFRGCATEDGFMEVGIWD >itb04g21420.t1 pep chromosome:ASM357664v1:4:26541079:26541903:-1 gene:itb04g21420 transcript:itb04g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHQQSKSHKLIIFIFFLFIFLCVMRQRLSASNLQSQWHDDPQPPLEKIPPSLADALVHYATANRTPQQTLQEISLTKTVLQNRSPCNFLVFGLGFDSLLWKSLNHNGRTVFLEEDGDWIERVKGMGLSLEIYHVEYDTQVEEAVELLEDGKRDEECKKVTDPRFSNCILAHKKLPKVVYDTEWDLIMVDAPKSWSKELPGRMTVIYTVGLMAKNRSPGAKTDIFVHDADRWVDNKFSRAFLCEGYMKGQVGMLRHFTILSHRTSSNLPFCP >itb03g22580.t1 pep chromosome:ASM357664v1:3:20639613:20644017:1 gene:itb03g22580 transcript:itb03g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASKRKANPTKSAPAKSPKVDSVRSASSKGKAASKVAESIDNLFNTYANKSLGSIDPDGVEALCSDLGVDHTDVRVLMLAWKMKAKRQGYFSQDEWQLGLKALQADTITKLKKALPKLEAEVMMPANFEDFYAFAFRYCLTEDKQKCLDIDSICILVDLVLGSQFRAQVDSFVEYLKSQSDYKVLNMDQWTNFLRFCQEISFPDLQDYDTNQAWPVILDNFVDWMKEKRS >itb02g06780.t1 pep chromosome:ASM357664v1:2:4245168:4251530:-1 gene:itb02g06780 transcript:itb02g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEMMMIPVSFSSMVTNNGYDAGFASSSGFSVAQPNGEGGPHPLPEILSNTSEGLMQLSTKANSGNNDDDEDNSVNDEGANQQSSKRSKPYHRHTQHQIQELEAFFKECPHPTDKHRKELGRRLGLEPLQIKFWFQNKRTQVKTHNEREENETLRAEAQMLRAENARLRQELGDFTCPHCNGPLIIGEAPFDVNEARMEYARLKAELDRVSLIAAKYVGEPPFTTFNVCSPPPTPGAGSQHFGAPTSAGQSADNVGEAYGSGGETPRSMNYCPAEANKSVVADLAAAAMEELVEMATMKEPLWVPSIDATTTLLDQDEYFRLFSTGFSPKPQGYKTEASRETEIVIINHLHLVETLMNVSHWAAMFSSIVSRAVTVDVLSTGHQAGSYDGALLLIYAEFQVPTPHVPTRYCYFIRYCKNLEGTWAVVDVSLDHINPVGLCRKRPSGCIIKEVPNGYTKVTWVEHVEVEDNEVHSNIYKPLVDSCIAFGAKRWVATLDRQCERMACTMATNISPTDNLAIASPQSRKSILKLAERMVISFCSGVSSSTAHEWTVLSGTGSDDVRIMIRKSVDDPGRPSGLVLSASTSLWLPTEPKRVFDFLRTEHSRSEWDILSNSGIVTEMAHIANGRETGNCVSLLRVNSGDWNQSNMLILQESSTDTTASFVIYAPVDIVAMNSIIGGGDPEYVGLLPSGFAILPDGPLAGTSCGSLLTISFQILVDSVPTAKLSAGSVATVNELIHCTAERIKASLSDVLGTYGFQ >itb02g06780.t2 pep chromosome:ASM357664v1:2:4245168:4249401:-1 gene:itb02g06780 transcript:itb02g06780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTKANSGNNDDDEDNSVNDEGANQQSSKRSKPYHRHTQHQIQELEAFFKECPHPTDKHRKELGRRLGLEPLQIKFWFQNKRTQVKTHNEREENETLRAEAQMLRAENARLRQELGDFTCPHCNGPLIIGEAPFDVNEARMEYARLKAELDRVSLIAAKYVGEPPFTTFNVCSPPPTPGAGSQHFGAPTSAGQSADNVGEAYGSGGETPRSMNYCPAEANKSVVADLAAAAMEELVEMATMKEPLWVPSIDATTTLLDQDEYFRLFSTGFSPKPQGYKTEASRETEIVIINHLHLVETLMNVSHWAAMFSSIVSRAVTVDVLSTGHQAGSYDGALLLIYAEFQVPTPHVPTRYCYFIRYCKNLEGTWAVVDVSLDHINPVGLCRKRPSGCIIKEVPNGYTKVTWVEHVEVEDNEVHSNIYKPLVDSCIAFGAKRWVATLDRQCERMACTMATNISPTDNLAIASPQSRKSILKLAERMVISFCSGVSSSTAHEWTVLSGTGSDDVRIMIRKSVDDPGRPSGLVLSASTSLWLPTEPKRVFDFLRTEHSRSEWDILSNSGIVTEMAHIANGRETGNCVSLLRVNSGDWNQSNMLILQESSTDTTASFVIYAPVDIVAMNSIIGGGDPEYVGLLPSGFAILPDGPLAGTSCGSLLTISFQILVDSVPTAKLSAGSVATVNELIHCTAERIKASLSDVLGTYGFQ >itb09g09730.t1 pep chromosome:ASM357664v1:9:5977635:5979080:-1 gene:itb09g09730 transcript:itb09g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRKVGVAMDFSKSSEAALKWAIDNLAYKGDTFYIIHIKSHASDESRNMLWSQSGAPLIPLSEFREPEVMKKYDVQTNIEVLDLLDTASRQKEITVVAKLYWGDAREKLCDSIEDLKLDSLVMGSRGLSTLQRIIMGSVTNYVLTNAACPVTVVKHPDFHKH >itb03g09820.t1 pep chromosome:ASM357664v1:3:7626792:7629286:1 gene:itb03g09820 transcript:itb03g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFHFFKIILSLFLLLPFFSFPLHSHAYDYRLPDRYFVNCGSKSPVWVSEYQRTFSGDDPFTGDTSVVEDPNFPESRLAIYKTARIFKRNSGYEFETTQDGPYTVRLHFYPFSSSSSPNLSDARFNVTALGVSLLSNFAVSNSSNLPVIKEFLVSVKTRWKLRIDFIPSQESSFAFVNAIEAFPAPKSSIPNDAPAVNNTKNIYNLSSHVLHVIYRINVGGSVITPKNDSWWRNWETDDDYLLSPISESNKYPGTGAPKYPNGGATKFDAPDFVYSTARGLNLNDNKQTINLSNITWRLTVSNNAQYFIRLHFSDIVSSSLNTEQFKLYIYGEAIVVSPSEKVGALNTPFYFEYVVDSDASGFLNVSVSPLLGTGSYCFLNGLEIMQISKDQVEVPDESGQKGKSLVRVIGSVVGGLGFVFLSGVAVWFYLKPKKKKAKPVEEQSVISLGPNMKNLGLKVPLSEILSATKNFDPKGVIGEGGFGKVYKGTMRGGVKVAVKRSEPGHGQGLLEFQTEIMILSKIRHRHLVSLIGYCVERYEMILVYEFMEKGTLRDHLYNSNSDQQSSHSELSWVQRLEICIGAAKGLNYLHTGLDVPIIHRDIKSTNILLDEQNVAKVADFGLSRSGPLDQSHVSTDVKGSFGYLDPEYLRCMQLTQKSDVYSFGVVLLEVLCARSAVSNLLPREQVNLADWGMSWQKKGQLAEIIDPWLAGKINPGSLKIFGETAGKCLQENGIDRPSMGDVLWELEYALQLQHTGLPLDPHTDVSLQLPLPVFRRLPSHIMPNSEGEDEDSQTRNGGLVVSDSEVFSQLKVEDAR >itb01g31820.t1 pep chromosome:ASM357664v1:1:35435293:35435598:1 gene:itb01g31820 transcript:itb01g31820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKQRLLAHFTGKRKRKGKMRAIDQLKGGGGLNQSCLMRGTHTTLEKGYSVRGSCILYLRYTSLMAGPRYQADRSTFRERGKRDNRRLTLYSISGFMHI >itb09g18240.t1 pep chromosome:ASM357664v1:9:13896121:13904038:-1 gene:itb09g18240 transcript:itb09g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGDFPPGRCSSWMDNNGCPTPAGNSATEPYIVAHHLLLAHAAAAQLYTQNYKVTQKGDIGIVLVSNWFEPYSKTTSDAKAAQRALDFMFGWFMHPLARGKYPKSMRRIVGNRLPKFTSEEAKMVKGSFDFLGLNYYTSNYAANIPSPTRVNLRYSTDSSVNLTFERNGKRIGARTGLSAFNIVPKGLTKFILYDVKKKYNNPVIYITENGMSDANITKVQQGVNDSLRVHFYRSHLLAVKAALKFMHPLARGKYPKSMRRIVGNRLPKFTSEEAKMVKGSFDFLGLNYYTSNYAANIPSPTRVNLRYSTDSSVNLTFERNGKRIGARTGLSAFNIVPKGLTKFILYDVKKKYNNPVIYITENGMSDANITKVQQGVNDSLRVHFYRSHLLAVKAALKDGANVKGFFAWSFLDNFEWTSGYTQRFGINYINYKDNLKRYPKHSALWFKKFLLK >itb10g18870.t1 pep chromosome:ASM357664v1:10:24660710:24665670:1 gene:itb10g18870 transcript:itb10g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPLLKSIMVSIHIKDLDGCCVAVDTYSWLHKGALSCSKELCKGLPTSKHISYCMHRVNLLRHYGVKPIMVFDGGFLPMKGEQENKRARIRKESLSRAIEHESNGNQSAAYECYQKAVDISPSVAYDLIQVLKRENVQYVVAPYEADAEMTFLAVSKQVDAIITEDSDLIAFGCPRIIYKMDKYGQGVEFQFSMLQQNKDLNFTGFTKQMVLEMCILSGCDYLQSLPGMGLKKAHALMKKFRSYDKVIKHLKYNTVAVPPLYEESFKKAIMTFQHQLVYDPVTEDLVHLSELPAGADEDIEFLGPMLPPEVAKGIARGDLDPLTKMPFQGSIRLKECPGTELDYSQTNQLKNFKLEGQSKKLDLPAQKNLLTNYFCIASLEAKKSFRPPRITPIDPNPEDGSPSSLLDCNAGANSCELGSSPVSPPVPQNSSVLLEENRVNKLMDDSTTAKSSCFLETSIGIHEKEDFTNGQGTQSAPFQHSIYKPCVVLHKERASGLAKSKIITENGKVVVRSSYFLPKNGKENNQESEVDLDESVSGKYPRIVCDEESLSASEASKGKMQTIFRKPTVRSSNFQHNSAEENDDSKTKTENRRVVVRSSYFQQQSSVNRNGQDEEHGKLLAEEATADEICDPENSLSHDFYKGKSRKRKVTFNNDIETDTVRAGNILADTSPTEDQINPATDEYGSATEPKSEDGKFGSNISHIGQYCEIAEKSMERFVSVISSFKFTSNGSRASGLRPPLKDIRNASHSSSSRDMDLNKYAYQPTKPSPYSVRRRTKN >itb08g05690.t1 pep chromosome:ASM357664v1:8:4667267:4668143:1 gene:itb08g05690 transcript:itb08g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSIPAAGSINRYVGIWYKQIPGQTVIWIANRETALTNTTSAVLKIVEPGGPLVLIDGKNEVIWSANASRSAQNPIAQLLDSGNLVVRDNREDENFLWQSFDYPTDTYLPGMKLGWNFLTQHEVYITSWKNENDPASGPFTHHFDPTGYPQLVTKNGSKELFIAGPWNGLRWSGTPEMDAYSPHYKYHVSISPREVYTRYDLINNPVLTRVVMTSSGELQVYIWVNETQSWVSALKSVDASKNLQAGRLEWDWITGPMAAIGGSL >itb03g28990.t1 pep chromosome:ASM357664v1:3:29703666:29708296:-1 gene:itb03g28990 transcript:itb03g28990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLSSSTSLSQQKGIYTLSTTALLILFLFSLFYFSRLSLEPKLTFYRDLFSESRVAITRLAPPTPVDDAQFQGPHNESNPTPKPVVSIPDFEVIDEQPTPNFPIVVEGEAADSNVTEEASVETAVPSISDSEETRNAATEESRWADRAQGCDFYKGSWVNDEDHPIYSPGSCPYVDEAFDCQRNGRPDSQYLKWKWKPDGCDLPRFNATDFLVRLKGKRLMLVGDSMNRNQFESLLCLLREGLVNKSKMYEIHGYKITKGRGYYVFKFEDFNCTVEFVRSHFLVREGVRINGRGSSNPTLSIDRIDKTAGRWKRADILVFNTGHWWTHGKTARGKNYYKEGDYIYPKFDAVEAYRRALKTWASWIDEHLNQKKMVFYRGYSSAHFRGGEWDSGGTCKGETKPVLSGAILDNYPLKMKIVEEVIKEMQTPVVLLNVTKLTNFRKDGHPSVYGKMVPEGTKVSTKRQDCSHWCLPGVPDAWNELIYATLVLKQTSSINQH >itb03g28990.t2 pep chromosome:ASM357664v1:3:29703695:29708296:-1 gene:itb03g28990 transcript:itb03g28990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLSSSTSLSQQKGIYTLSTTALLILFLFSLFYFSRLSLEPKLTFYRDLFSESRVAITRLAPPTPVDDAQFQGPHNESNPTPKPVVSIPDFEVIDEQPTPNFPIVVEGEAADSNVTEEASVETAVPSISDSEETRNAATEESRWADRAQGCDFYKGSWVNDEDHPIYSPGSCPYVDEAFDCQRNGRPDSQYLKWKWKPDGCDLPRFNATDFLVRLKGKRLMLVGDSMNRNQFESLLCLLREGLVNKSKMYEIHGYKITKGRGYYVFKFEDFNCTVEFVRSHFLVREGVRINGRGSSNPTLSIDRIDKTAGRWKRADILVFNTGHWWTHGKTARGKNYYKEGDYIYPKFDAVEAYRRALKTWASWIDEHLNQKKMVFYRGYSSAHFRGGEWDSGGTCKGETKPVLSGAILDNYPLKMKIVEEVIKEMQTPVVLLNVTKLTNFRKDGHPSVYGKMVPEGTKVSTKRQDCSHWCLPGVPDAWNELIYATLVLKQTSSINQH >itb01g07660.t1 pep chromosome:ASM357664v1:1:6052332:6056814:-1 gene:itb01g07660 transcript:itb01g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGYLRACLQTGKLAALAILVSGGIVLQILACALYNNWWPMLTVIMYVLLPMPLLFFAGSDTSSLFSESSNGWIDAAKFLTGVSTVGSIAIPVILKHSGIIGWGAMAMELSSFLVFVLAIMCFIQTSDDDDGYRMF >itb10g17570.t1 pep chromosome:ASM357664v1:10:23760124:23764343:1 gene:itb10g17570 transcript:itb10g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEPTAKPEGSSGNDVCGGETSETAGPTGSDQLSLYRVLKKAKKERVCTAKERISKMPPCTAGKRSSVYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNLSREDYLASLRRKSSGFSRGISKYRPLSSRWDSQFGRLQGADYFSSKHHGDDVTADNEYVAGYCMDQKIDLSSYIKWWGANKARQADSYVKGTSEETKHGCSEDTGNEASELAVQPTEPYEMPRLGLSQKGKSHKSSTTSAMSILSQCAAYKNLQEKVAKKKEKVENDENENKNTINKIDYGKTIEKSIHDAGTERLGALFGTSVGLTINRNIHPLTPILSAPLLTNYNSIDALTDPVLWTSLVPVFPSGSSRATEVTKHESGSDYTFFQQED >itb10g17570.t2 pep chromosome:ASM357664v1:10:23760124:23764343:1 gene:itb10g17570 transcript:itb10g17570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEPTAKPEGSSGNDVCGGETSETAGPTGSDQLSLYRVLKKAKKERVCTAKERISKMPPCTAGKRSSVYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNLSREDYLASLRRKSSGFSRGISKYRPLSSSRWDSQFGRLQGADYFSSKHHGDDVTADNEYVAGYCMDQKIDLSSYIKWWGANKARQADSYVKGTSEETKHGCSEDTGNEASELAVQPTEPYEMPRLGLSQKGKSHKSSTTSAMSILSQCAAYKNLQEKVAKKKEKVENDENENKNTINKIDYGKTIEKSIHDAGTERLGALFGTSVGLTINRNIHPLTPILSAPLLTNYNSIDALTDPVLWTSLVPVFPSGSSRATEVTKHESGSDYTFFQQED >itb12g14330.t1 pep chromosome:ASM357664v1:12:13832678:13835412:-1 gene:itb12g14330 transcript:itb12g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGTEKKKHRRSSEPSSPQRDEVKRKRHRSEEDEREGKREKKDGSRKEKKHKSHKSSKRRSDKEKSGEKHKSKSHKHKDSSKLKFEELTKDDYFSKNNEFAAWLKEKKNLFFMDLSSEAAHDLFLDFVKEWNKGKLASEYYEGISTGPRSSHKWNIKQ >itb15g18410.t1 pep chromosome:ASM357664v1:15:19990070:20000398:1 gene:itb15g18410 transcript:itb15g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSELWCGFVVGLYRRQPENFNKETRSLMALYDGLEQPTHYCCYVPHAQPAEPIRGSQWCHATTNNADCHSTWPEEGDQANCRLQHLLPNAYAGGEGQMSAGRTATPGRSFAAVPRCRLLLMTPSDLLRSLVCSFTRDEKERRSRWSAPPPLLRRRRRHCHRCLDCLAAATTNNADCHSTWPEEGDQANCRLQHLLPNAYAGGEGQMSAGRTATPGRSFAAVPRCRLLLMTPSDLLRSLVCSFTRDEKERRSRWSAPPPLLRRRRRHCHRCLDCLAAGAAPCRRR >itb01g09400.t1 pep chromosome:ASM357664v1:1:7676598:7678892:-1 gene:itb01g09400 transcript:itb01g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIELGNAGDPLRSDCIKALIVEFICMFLFVFTGVGASMAAEQLQGNALVGLFFVAMAHALVVGVMVGVGFRISGAHLNPAVTLGLLMGGHITIIRSFLYCIDQLLASVAACAMLTYVTGGLITPAHTLASGVGCGQGVLMEFILTFSLLFTVYATIVDPNKGNLDGLGPLLTGLVVGANIMAGGSFSGASMNPARSFGPSFVSGNWANHWVYWVGPLIGGGLAGYIYDTFFIVRTHIPIASQETF >itb13g00350.t1 pep chromosome:ASM357664v1:13:289131:290824:1 gene:itb13g00350 transcript:itb13g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFPLPSLVAALAATILLATFLYNLFRNKEVGPKIPQPGLAWPIIGHFHLLAGNDRMPHKVLGDLADKYGPLFRMKMGAHQVLVVSDSQTAKECLNANDRALAGRPKAIASEHIGYNYANFGLGSNTPFWREIRKVVVLELLSNRRVEALRRFRESGAKTFVQDIYRTWARDKNNESNVVELDMKEWFGKLIIDSMLHLLFGHRYEEVGDWVKAVFRRNFELLGMSLMADYLPWLRWLDIGGYEKAIKENTKEMDRVMEDWLQEHKKNTNMKPQGEEDFMGALLSQIGSNKDILNGFDADTVVKATCSALLAASTDTTSTTLTWALSLILNSENVLEKIRSELDNNVGRERHVNESDLSNLTYLQAVVKETLRLYPPGPLLMPHESVDDCVINGYHVVKGTRILINASRIHRDPKFWSNPDAFKPERFLMEYKEIDMRGNNFDLIPFGSGRRICPGVSLALQSVQLGLAAIIHGFDIKKASDEPIDMTEANGLAVGKATPLHVFLAPRLPSHLYL >itb09g02160.t2 pep chromosome:ASM357664v1:9:1298766:1301997:1 gene:itb09g02160 transcript:itb09g02160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNHLLPCALTHHSRPLPTPRRAVKCSVSSRRLYRRSESRSQAAVPSSAATNSRPPGDVQYTVGDFMTRKKDLHVVKPTTTVDEALEALVEKRVTGFPVIDDDWNLVGVVSDYDLLALDSISGGSQCDTNLFPDVNSSWKTFNEIQKLLSKTNGKVVGDLMTPNPLAVRQNTNLEDAAR >itb09g02160.t1 pep chromosome:ASM357664v1:9:1298766:1301997:1 gene:itb09g02160 transcript:itb09g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNHLLPCALTHHSRPLPTPRRAVKCSVSSRRLYRRSESRSQAAVPSSAATNSRPPGDVQYTVGDFMTRKKDLHVVKPTTTVDEALEALVEKRVTGFPVIDDDWNLVGVVSDYDLLALDSISGGSQCDTNLFPDVNSSWKTFNEIQKLLSKTNGKVVGDLMTPNPLAVRQNTNLEDAARLLLKTKYRRLPVVDSDGKLVGIITRGNVVNAALQIKHSS >itb03g02960.t1 pep chromosome:ASM357664v1:3:1667246:1669012:1 gene:itb03g02960 transcript:itb03g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNPHGYPSFMKTYVGETRYWERIQIPSKFVDTHKNNLGKSTWVLKTEDGTSWSMEIIREGTEYFFGRADWEKFAKHHDLRFGDQIMAFLVGNSVFEVMLYNQTTCCQILNPNPNHTANEPSEINEASKNGRKHKRKYEFRKKAKSSDIKDEIFDTKNVKQEDGVQINRFSTFNLNAKCPYFETIIKMSHQTFMCVPMDFARETGIIHQKRIELRGEKGRRETMQVERLHNRVKLSKGWKSFKKANKIRSGDKCSFTLVNPDCLFVKKLRH >itb03g02960.t2 pep chromosome:ASM357664v1:3:1667577:1668921:1 gene:itb03g02960 transcript:itb03g02960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNPHGYPSFMKTYVGETRYWERIQIPSKFVDTHKNNLGKSTWVLKTEDGTSWSMEIIREGTEYFFGRADWEKFAKHHDLRFGDQIMAFLVGNSVFEVMLYNQTTCCQILNPNPNHTANEPSEINEASKNGRKHKRKYEFRKKAKSSDIKDEIFDTKNVKQEDGVQINRFSTFNLNAKCPYFETIIKMSHQTFMVLSFVHIIFH >itb14g20530.t1 pep chromosome:ASM357664v1:14:22883531:22887242:1 gene:itb14g20530 transcript:itb14g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVVVVVPINQEGDERSRGVAGNMEKKTTEKRDLRCCFVCKNGFSVIKEKMAAAVKSEYDKRKLVHSIKVGIALVVVSLLYMLDPLFRQVGQNAMWAIMTVVVVFEFFAGATLSKGINRGIGTIVGGLLGCFAAVLADQLPGYRSAFVVGISVFIISAAATYSRLVPGIKRKYDYGVMIFILTFNLVVVSGVRAYKVMKLARERLCTIGMGFAVCIFISLLIFPEWAGDELHSSTASKFDKIASSIQGCLEEYFMVSGEKESQASADVSGCKAVLHSKSNEESLANFAKWEPWHGKFGFSYPWGKYMEIGEALRELAATVVSLKGCIQSPAQPLPIQRGIIKEPCEALGVTLVWSLREIGESIKDMKLCRAKGTISPKLQSMKQYNLKHVNSSASDLGMATFVFLLNEMVEKVEVLATKVEQLGDIAGFHSKKMEA >itb10g24690.t1 pep chromosome:ASM357664v1:10:28366590:28368411:-1 gene:itb10g24690 transcript:itb10g24690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTIAENLAEVLRIQEFVDDSMFQENKPLVNSPTDSDDEEKEKEEETFPEKPAVLTESNKCFRCGTRNMFMKKEACIVCCAKYCKICVLGAMGSMPEGRKCIDCIGCRINETMRDSLGKCSSSGALKSLISDQEVKLIMASEISCKANQLPSSVVCVNGKRLSGGELAVLQSCPHPPKKMKPGRYWYDKVSGFWGKEGRKPCQIISPQLTVGDTIKRDASNGNTDVFINNREITKSELFMLKVIGIHCEWSIHFWLSADGAYQQEGMNNVMGKLWEKAGVKLVCTALSLPTPPPPN >itb09g18270.t2 pep chromosome:ASM357664v1:9:14005378:14011130:1 gene:itb09g18270 transcript:itb09g18270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLSRVNSDDVIRRIREWRQSGGHQPGILSTGPQPGMHGTGQAIHDPVPSPSVSASRKKQKIAPSLPSQSFGGPSPSFHPAAVTTANQPSSSVAKRGPMVGPKSKKPKSGPMLPVATKVQYPSSGPSGRGQFSNRISEPTEGAPFDPLIGRKVRTRWPDDNNFYEAVITNYNPADGHHALVYDIGTANETWEWVNLSEISPDDIQWDDGPGGHVVNRTIGRDSAPCVGRGRGLTKAQSRKEFPPSQNGIGKKGSDDIQLLHTDTLIKEVERIFGASHPDPAEIEKAKKLLKEHEQSLVDAISRLGEISDGESDEPRRHFMHGQPMEAE >itb09g18270.t3 pep chromosome:ASM357664v1:9:14005378:14011130:1 gene:itb09g18270 transcript:itb09g18270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEPYDSSDDDLPPSHQNRIPRGGRIANNGRSAAIGSVPYPRVHGETDMEAQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLSRVNSDDVIRRIREWRQSGGHQPGILSTGPQPGMHGTGQAIHDPVPSPSVSASRKKQKIAPSLPSQSFGGPSPSFHPAAVTTANQPSSSVAKRGPMVGPKSKKPKSGPMLPVATKVQYPSSGPSGRGQFSNRISEPTEGAPFDPLIGRKVRTRWPDDNNFYEAVITNYNPADGHHALVYDIGTANETWEWVNLSEISPDDIQWDDGPGGHVVNRTIGRDSAPCVGRGRGLTKAQSRKEFPPSQNGIGKKGSDDIQLLHTDTLIKEVERIFGASHPDPAEIEKAKKLLKEHEQSLVDAISRLGEISDGESDEPRRHFMHGQPMEAE >itb09g18270.t1 pep chromosome:ASM357664v1:9:14005282:14011262:1 gene:itb09g18270 transcript:itb09g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEPYDSSGTDDDLPPSHQNRIPRGGRIANNGRSAAIGSVPYPRVHGETDMEAQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLSRVNSDDVIRRIREWRQSGGHQPGILSTGPQPGMHGTGQAIHDPVPSPSVSASRKKQKIAPSLPSQSFGGPSPSFHPAAVTTANQPSSSVAKRGPMVGPKSKKPKSGPMLPVATKVQYPSSGPSGRGQFSNRISEPTEGAPFDPLIGRKVRTRWPDDNNFYEAVITNYNPADGHHALVYDIGTANETWEWVNLSEISPDDIQWDDGPGGHVVNRTIGRDSAPCVGRGRGLTKAQSRKEFPPSQNGIGKKGSDDIQLLHTDTLIKEVERIFGASHPDPAEIEKAKKLLKEHEQSLVDAISRLGEISDGESDEPRRHFMHGQPMEAE >itb13g17230.t1 pep chromosome:ASM357664v1:13:24230392:24236744:1 gene:itb13g17230 transcript:itb13g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSGTQVMEGGAPVDPVAEWTTPVGETGLEEPMWRLSLAGGTESYPERPNEADCMYYLKTGFCGYGARCRFNHPRDRNLAVRAMRPTGGEYPERVGQPVCQYYMRTGMCKFGASCKYHHPRQGGGSQAPVALNAYGYPLRPGEKECSYYVKTGHCKFGVSCKFHHPLPVGEQMPLPTAGPGPLLPPTAVAAPGIFPTVQSPSVQSSQQYGVMPGNWPISRPGMLPGSYIPGAYSPMLLPPGMVAVPGGWTPYPAPVNPVASPSTQPAGPGPVYGLTQLAPSAPPYSAGAYMSVGSSAGPSGGNQKEHAYPQRPGQPECQHYMKTGECKFGSSCRYHHPLEFSETKTNFLLSLMGLPLRPGAPICSHYAQNGICKFGPSCKFDHPMGALSYSPSASSLADMPVAPYPVGSSIGTLAPSSSSSDLRPELISGSGSEAFSTQTSSMSTSSGAVGSIFSNTGPASHSGVQPSGQSSSGSSGSTGHGGEVHSSS >itb07g22340.t1 pep chromosome:ASM357664v1:7:26823167:26826126:1 gene:itb07g22340 transcript:itb07g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSVSATSYASDAQDDFEQCENTVKNWASSSSQESENFEDQQVLKDFLFFLHVPRTGGKNYFHCFLEQLYTESAKCPASYDRIRFNTRNPHCNLSVTHDDYSLMSKLPKDQTSVVTIIRNPVDRVLSSYEFSVEVAARFLFNNNLAYATKTMKQIPSAEKFGGSTLDIWPWKYLVPWMTKDLFSRKDVRMLKGESGAVIGANNPYNVEEMVMPLHEFINDPIVLDLVHNGATFQVAGLTNNSYTDESHPVRRCVLKYQILGEYVLEVAKRRLDGMLYVGLTDKHQESASLFANVVVDQVLSKLAISNAAANISEQNYSSRQSVSLRERDRRIRNSWEVTWAERIEAGNGNSTTAKLVESYEMCIKRLLTAQEQKREGSMKFVRPVNFTQEARREIPKRIVEVISSLNSLDMQLYEYAQQMFEQQKQPWPQRVMVRERVDGMLNNVLYGASWKPLPFALPFLILLLFIFMFVNGRMMRRSKSKI >itb06g14250.t1 pep chromosome:ASM357664v1:6:18843345:18848200:1 gene:itb06g14250 transcript:itb06g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase QRT1 [Source:Projected from Arabidopsis thaliana (AT5G55590) UniProtKB/Swiss-Prot;Acc:Q9FM79] MSFLGYGVVLVGFLVVLGEGRRLNTVAPPPEGERFITWDDLKLGSDGFGLDLEVVMREDGNGSRIIVVDQNGKGDVSTVQGAVDMVPEHNSQRIKICILPGIYREKVHVPASKPYISFIGDLDDPSKTVISWHDKASDSYGNGTELGTSRTATVQVFASYFCATGITVENTVVAVPGGEGMQAVAMNINGDRAVFYKCRFLGSQDTLLDDIGVHYFYQCYIEGMIDFICGNARSLYQDCVINSVGSGAIAAQHRNSPSENTGYSFVNCKITGNGRTLLGRAWGEFSRVVYAKCDIDGVILPCGWGDWNIPSRQSYTLPLLNMQFFESHVLILNKNSTVSPLGTSRSPHAPAFIAFTCFLLVIVFLVFFEMMSFLGYGVVLVGFLVVLGEGRRLNTVAPPPEGERFITWDDLKLGSDGFGLDLEVVMREDGNGSRIIVVDQNGKGDVSTVQGAVDMVPEHNSQRIKICILPGIYREKVHVPASKPYISFIGDLDDPSKTVISWHDKASDSYGNGTELGTSRTATVQVFASYFCATGITVENTVVAVPGGEGMQAVAMNINGDRAVFYKCRFLGSQDTLLDDIGVHYFYQCYIEGMIDFICGNARSLYQDCVINSVGSGAIAAQHRNSPSENTGYSFVNCKITGNGRTLLGRAWGEFSRVVYAKCDIDGVILPCGWGDWNIPSRQRNSVFAEYQNRGPGANRDGRVPWMKSLSDTEARQYMDTAFIEGEQWLRL >itb07g06910.t1 pep chromosome:ASM357664v1:7:5230066:5231104:1 gene:itb07g06910 transcript:itb07g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISFHGDLFHEILGARPHHLPSMSSVIHEVDGQWGTQGCTTKYKYTQGGKTEAAETVMDIIDNEKKIVKYRIVKGDILNSYKSFIVTCEVETNGDDKFVTWTVVYEKLKEEIPEPLSYMEYFFTVTKELDDHHAKLNP >itb13g04820.t1 pep chromosome:ASM357664v1:13:5693703:5694595:-1 gene:itb13g04820 transcript:itb13g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRLITTLPLLLLLLTLFTSTSAVNFEVHNNCPYTVWAAATPVGGGQRLDNGQSWNINVPPGTKMARIWGRKNCNFDGSGRGGCETGDCGGVLQCTGWGKPPNTLAEFALNQFNNLDFFDISNVDGFNIPMSFGPTRPGPDKCHQISCTADIVGQCLGPLRVAGGCNNPCTTFGGQQYCCTNGPCGPTDYSRFFKTRCPDAYSYPQDDATSTFTCPGGSTDYKVVFCP >itb05g14000.t1 pep chromosome:ASM357664v1:5:21057009:21060025:1 gene:itb05g14000 transcript:itb05g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRCEREKIYGASQLNTVIDEWVGEPCWSIIGHGVDDVDKFFKATVENRCSTEMEGGLLWHHVQWILSYKS >itb09g00570.t1 pep chromosome:ASM357664v1:9:433117:435148:1 gene:itb09g00570 transcript:itb09g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKRKRGERPTIHPRNKYSENPPDFGLLASHYSSFAPYVLYTRSGHPTIDWWIPDGQLCPTVPNRSNYIHWIEDLFSSEIIPKVQSYDNTVKGFDIGTGANCIYPLLGASLLGLRFVGSGRFLGCLCFTFHNALCPTKLQFECIFASLLTDFTDAALEWAERNVKSNPHISELIEIRNVATQDSSADEVLNGPMINCKQDVDDSNAKPVGIKPLSCPHEVQMNMSKNYHGPPILVGVVKEGENFDFCMCNPPFFETIEEAGQNPKTSCAGTTEEMVCPGGEQAFITRMIDDSVKLRQSFRWYTSMIGRKANMKVLESKLWEVGATVVKTTEFVQGQTCRRGLAWSFVLPTKKSIPHQISEKSNLSFMLEFVQHSAFNLLQSVESFFSTNGASCKLNAASFDVDIMFPKEHSDVILKSLETQNVNDADYPNELDCSSSQINNLHFRVSVFSRCQGHF >itb10g18240.t1 pep chromosome:ASM357664v1:10:24275071:24278478:-1 gene:itb10g18240 transcript:itb10g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCVNFYALSCEVCTLIIWYGSWIKKSSDKDRDSLNASLLSMLSGGVSSMAIILEHGFYEAFAGEARDGTPAARFYTGDTVSMNALSLSTREVDLNRFSYACLAIFKDRFLRMDGAKGGACLKSRGLSRVCAVFVWRSLQSCYSYILNDDYRSSVLAYLDGMSMDIKYDIFRVVYVSGENAMDFHFFPPHRLLETKGVHDSNKVAD >itb07g11130.t1 pep chromosome:ASM357664v1:7:12547251:12549599:1 gene:itb07g11130 transcript:itb07g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLVVRSPLAPLHSAAAPHGVRLSKRTITHTRKWTLIISASIASTAHSRKGGDRWSLHGMSALVTGGTRGIGNAIVEELAGLGATVHTCARSEEELGKCLRGWKEEGFEVSGSVCDVSSRPEREKLMEAVSSTFSGKLDILINNVGTNIRKPVLDFTAKDFSTLLATNFESVFHLCQLAYPLLKASGAGCVVFTSSVSAFVSLKSMSVQGATKGAINQLTKSLACEWAKDNIRSNGVAPWYIRTSMVEQVLSNEEYVEEVYDRTPLRRLGEPSEVSSLVAFLCLPASSYITGQIICVDGGMTVNGFYPRHG >itb10g13240.t1 pep chromosome:ASM357664v1:10:19407490:19408259:1 gene:itb10g13240 transcript:itb10g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNFDDRTSVLEKASKSVWELILDHNGIGKEISETVEGVFCRLSGREPPLFPPAVETKSEKGKEKDSEEGRETEKDNSSDLGLKKRKFHEVNTEDGAEEVAATKAHDKSTETDDSSKMPTPSTKT >itb12g12180.t1 pep chromosome:ASM357664v1:12:10695273:10696131:1 gene:itb12g12180 transcript:itb12g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSQGSEGYAPVQTEEPQISPPRVTLAALEIGSPETTETPADDSVEMWIYALIMVIMMGYYLPYRMQEEHDQSIRHQFRVQDVTATLNTSTMRFLGDWNVTFRITNRSNMTQLLYQKFAVSVSYEDHLLSISTFRAGFYQGMHKTTLVNVFPFVTRKFGNYYGSVSSSIRKGWEDKRPLNVTVKLVGRVRESDGDDSYGERRYYNICISCLVSLNYDESRSNNSTMLSGRGEKECDVEICHFPLYT >itb13g14010.t1 pep chromosome:ASM357664v1:13:20568593:20573505:-1 gene:itb13g14010 transcript:itb13g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRHNAKVSAKWIPLFSIAFFFLGMLYTNRLWGPPESTNQLIAQHRRDQELQVISEDCTTKKKPGQEKDVMQEVYKTHEAIQSLDKSISMLQMELAATRSTQEMKKSDGSDESPQSQDGPPRKKVFVVIGINTAFSSRKRRDSVRETWMPQGEKLLKLEQEKGIVIRFMIGHSATSNSILDRAIDSEEAQHKDFLRLDHVEGYHELSAKTKIFFSSAVAKWDADFYVKVDDDVHVNLGMLAATLGRHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLEVDHIDERTMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKIKFVHEMCGEGEETLWNALF >itb08g06090.t2 pep chromosome:ASM357664v1:8:5224533:5229201:1 gene:itb08g06090 transcript:itb08g06090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKDKFHYMAVADNRQRFMPGADDRLPGRGQKLAYPEAVLLVDPVEPEFKGEVDDKYQYSCENKDNKVHGWICFDPPVGFWQITPSNEFRTGGPFKQDLTSHVNPTTLAIFVTSHYAGEDLLVKFEPGEVWKKVLGPVFIYVNSVHDKADALSLWDDAKERMEKEVQAWPYSFPASEDFPNCDQRGSVCGRLLVQDRYIDKENISANAAYVGLAPPGDAGSWQREHKGYQFWTTTDENGYFIINNIREGIYNLYASVPGFIGDYKYEVAITITAGFEIVLNELVYKPPRDGPTLWEIGVSDRSAAEFYIPDPNPEYINRLYVNHPDKFRQYGLWERYTDLYPDEDLVYTVGASDYSKDWFFAQVNRKTGDKMYASTTWQIRFKIDNVDQAGTYKLRLALASVNHSDLQVRLNDSTADPPLFSTGEIGADNAIARHGIHGIYWLFSVDIPGTQLVEEENTIFLTQAKSTSPFQGIMYDYIRLEGPESCMP >itb08g06090.t1 pep chromosome:ASM357664v1:8:5223379:5229517:1 gene:itb08g06090 transcript:itb08g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTMLSYVEDSYIVVNNGVLQITFTNPGGIITGIQYKGIDNLLELNNPDLNGGFWDLNWSEAGSRKTRGKFDTIKGTSSKVIVETDEQIELSFTRSWDPSVQGEQAPLIIDRRFIVLRDSPGFYSYAIFEHSKDLPAFNLNTTRIAFMLNKDKFHYMAVADNRQRFMPGADDRLPGRGQKLAYPEAVLLVDPVEPEFKGEVDDKYQYSCENKDNKVHGWICFDPPVGFWQITPSNEFRTGGPFKQDLTSHVNPTTLAIFVTSHYAGEDLLVKFEPGEVWKKVLGPVFIYVNSVHDKADALSLWDDAKERMEKEVQAWPYSFPASEDFPNCDQRGSVCGRLLVQDRYIDKENISANAAYVGLAPPGDAGSWQREHKGYQFWTTTDENGYFIINNIREGIYNLYASVPGFIGDYKYEVAITITAGFEIVLNELVYKPPRDGPTLWEIGVSDRSAAEFYIPDPNPEYINRLYVNHPDKFRQYGLWERYTDLYPDEDLVYTVGASDYSKDWFFAQVNRKTGDKMYASTTWQIRFKIDNVDQAGTYKLRLALASVNHSDLQVRLNDSTADPPLFSTGEIGADNAIARHGIHGIYWLFSVDIPGTQLVEEENTIFLTQAKSTSPFQGIMYDYIRLEGPESCMP >itb05g02910.t2 pep chromosome:ASM357664v1:5:2338662:2344312:-1 gene:itb05g02910 transcript:itb05g02910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRDRTEDFKDTVHRTAVSLGYDESKTAAILASFILHKPRQRSSFTKAALKTLESIGVLEEFLLKHKKDYVDLYRTTEQERDSIEHEVTIFIKSCKEQIDVLKNSINDDDEAKLKGWIGFKGDNSNADTVAHKHGVVLILSEKLHSVSSQFDHLRALRFQDAINRVAPRRKPRRTSKSSTSEISTSSNLDQRQQSDSEARDPNGLQSEPIRVQEQFLDDETRALQVELTGLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVELGNKELTKAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >itb05g02910.t1 pep chromosome:ASM357664v1:5:2338662:2344312:-1 gene:itb05g02910 transcript:itb05g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRDRTEDFKDTVHRTAVSLGYDESKTAAILASFILHKPRQRSSFTKAALKTLESIGVLEEFLLKHKKDYVDLYRTTEQERDSIEHEVTIFIKSCKEQIDVLKNSINDDDEAKLKGWIGFKGDNSNADTVAHKHGVVLILSEKLHSVSSQFDHLRALRFQDAINRVAPRRKPRRTSKSSTSEISTSSNLDQRQQSDSEARDPNGLQSEPIRVQEQFLDDETRALQVELTGLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVELGNKELTKAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >itb05g02910.t3 pep chromosome:ASM357664v1:5:2338662:2344312:-1 gene:itb05g02910 transcript:itb05g02910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFLFARTFFRFERFDGIWCYFSKGMAKIRDRTEDFKDTVHRTAVSLGYDESKTAAILASFILHKPRQRSSFTKAALKTLESIGVLEEFLLKHKKDYVDLYRTTEQERDSIEHEVTIFIKSCKEQIDVLKNSINDDDEAKLKGWIGFKGDNSNADTVAHKHGVVLILSEKLHSVSSQFDHLRALRFQDAINRVAPRRKPRRTSKSSTSEISTSSNLDQRQQSDSEARDPNGLQSEPIRVQEQFLDDETRALQVELTGLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVELGNKELTKAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >itb05g02910.t4 pep chromosome:ASM357664v1:5:2338662:2343916:-1 gene:itb05g02910 transcript:itb05g02910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFLFARTFFRFERFDGIWCYFSKGMAKIRDRTEDFKDTVHRTAVSLGYDESKTAAILASFILHKPRQRSSFTKAALKTLESIGVLEEFLLKHKKDYVDLYRTTEQERDSIEHEVTIFIKSCKEQIDVLKNSINDDDEAKLKGWIGFKGDNSNADTVAHKHGVVLILSEKLHSVSSQFDHLRALRFQDAINRVAPRRKPRRTSKSSTSEISTSSNLDQRQQSDSEARDPNGLQSEPIRVQEQFLDDETRALQVELTGLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEYLYDQAVEATKNVELGNKELTKAIQRNSSSRTFLLLFLFVLTFSILFLDWYN >itb13g17540.t1 pep chromosome:ASM357664v1:13:24543431:24545047:1 gene:itb13g17540 transcript:itb13g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVEVFHMKGGNGDTSYANNSLSQKSIILCTKPTREEAITDVYKSLCPETISIADLGCSSGPNTFLVVFDTIRAVEKLRKMTGNPSPEYVVHFSDLPSNDFNSIFRSLPRCVEDFKKEMGDGFGHCFFAGVGGSFYGRLFPSKSLHFVHSSNSLHWLSQIPKGSEENKDNICITASTPPNVIKAYCEQFESDFSIFLKSRSEELVTGGRMVLYFMGRKSESPKPTHGALKFMVLLAKVLKDLVTKGLVEEEKLNSFNFPIYAPSLKEVKMIVEKEGLFSINVLEGFTHDYIEHLKDAKAITNKMRAGVESLVVSHFGGGIIDQVFNKYEEMAGECMAEFEEEDFFIIVSLTKI >itb03g16700.t1 pep chromosome:ASM357664v1:3:15570806:15573508:1 gene:itb03g16700 transcript:itb03g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METWFIILAFLCIAFSLKSLLNLLRSSPSRRKIKNLPPGPTNVPIIGSFQWLRRSLADIEKILRELRSEYGPLITLRIGPNPAIFVASHSLAHQALVQNGAVFSDRPKATATSRVLSSNQCNISSAPYGPTWRLLRRNLTAEILHPSRIKSYSRARNWALGILLEKLGKHGESGEGVKLIDHFQYTVFCLLVLMCFGDKLEEGKIKEIEKVQRKLLLGFRRFNVLNFFPRIGRIIFPGRWKELMETRQEQERIFVPLIHARARSKNGESRSDEEVVAYVDSLLALELPEEKRKLNDGEIVGLISEFLSGGTDTTATALQWIMANLVKNPEIQEKLYREISTVLEPLLQNSPDRKIVREEDLTEMRYLKAVVLEGLRRHPPGHFVLPHTVTEEAELNGYTIPKNAAINFMVAEMGLDPKVWDDPLEFKPERFLTGSQNEGAGQEMFDITGSREIKMMPFGAGRRICPGWGLAMLHLEYFLANLVWHFEWRSVEGEKVDLEEELEFTVVMKNPLRAKLRLRSSQS >itb10g02930.t2 pep chromosome:ASM357664v1:10:2578111:2588385:-1 gene:itb10g02930 transcript:itb10g02930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANLLRRRCCASLPLLLGTVVLVLGFCSSHVSLALAAAGSKVEYLPGFRHEGPLPFELETGYIGVGESEDIQLFYYFVKSESNHSVDPIVLWISGGPGCSSLYALTQELGPLLFDLPKNNSALPTLSLNPYSWTKGYFVGNAFTYPISYIVQMIRGFGLIPDEMYKSYQECGGNLICLLNFLKIGQLTLVNFPQHILETNCIARNEIGASYGQSSVAKRFRGFNVHALDPYFLCKGAQLISQWANDGSVQEALHVRKGSIEEWVQCRDDLPFNRTVLDSRPYYAILSMQGYRSLIYSGDHDLFVCSLSTEAWTKSLGYSIIDDWRPWFLNNQIVGYTRTFSNNMTYAKIKGSGHIAPVYTPLECFIMFKRWISYEKL >itb10g02930.t1 pep chromosome:ASM357664v1:10:2578111:2588385:-1 gene:itb10g02930 transcript:itb10g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANLLRRRCCASLPLLLGTVVLVLGFCSSHVSLALAAAGSKVEYLPGFRHEGPLPFELETGYIGVGESEDIQLFYYFVKSESNHSVDPIVLWISGGPGCSSLYALTQELGPLLFDLPKNNSALPTLSLNPYSWTKVASFIFLDLPVGTGFSYVKSSKNYTSNSEETSDHGAEFLRKWLADHPEYPSNSFYVGGDSFSGVTVPMVVNAISYGINLGLNPQIDLKGYFVGNAFTYPISYIVQMIRGFGLIPDEMYKSYQECGGNLICLLNFLKIGQLTLVNFPQHILETNCIARNEIGASYGQSSVAKRFRGFNVHALDPYFLCKGAQLISQWANDGSVQEALHVRKGSIEEWVQCRDDLPFNRTVLDSRPYYAILSMQGYRSLIYSGDHDLFVCSLSTEAWTKSLGYSIIDDWRPWFLNNQIVGYTRTFSNNMTYAKIKGSGHIAPVYTPLECFIMFKRWISYEKL >itb11g04650.t1 pep chromosome:ASM357664v1:11:2465398:2465949:-1 gene:itb11g04650 transcript:itb11g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHPPVHVLITIKALGMLEEVDTVQNKEARLHFPFCRGFTIEANRYSAAINQLPVRFCLWNEEGGGRFI >itb14g18680.t1 pep chromosome:ASM357664v1:14:21621678:21622963:-1 gene:itb14g18680 transcript:itb14g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPCSNTDLLFSFYLPILSAIFLCLKRSEGMEEVSLELLKKKMEDFVKERDWEKHHTPRNLLLNMIGEVGELTEIFQWKGEVAKGLPDWDEAEKIHLGEELSDVLLNLVRLSDVCGIDLGKAALRKVQLNALKYPPPTHHSPTKTQP >itb15g04390.t1 pep chromosome:ASM357664v1:15:2801794:2803596:1 gene:itb15g04390 transcript:itb15g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRNENPAGGGRGEENGVIMLGPQENTNKIQRIPSFLRGSNSNEDYNPKVISLGPYHHGKPELQPLEGFKHKVFDNFIFGSPKDANFYRKKVLEVVEEARGCYAAGTTDKFGDSKFAEMMLLDSCFLLFYMLSTMQGFDDFWYLTTVQLFEKIGYSGSFFLQLDTYLFENQIPLWIVEFLFNARFGSTNIAGGVSWKSLLVKHCQTSLFAEEYRVGEDLRDEKPLHLLEAFHIHMVGNCEMLSSNTKSAGRWQKLKPIKTNNTIIMLQKEAFEKYSYVFRSVMDLKSKGIHFGHSDNIYSVMSIKFESSFVYAKLKLPIWYASSSSRVFLTNMIAYELSPNSWSRLEITSYINFMKSLIVYPNDVKELREKRIISNTLGDDMEVVQLFKGLNTHGIDNPNIFSEVKQKIQKHYDSKAKTWMAQFVYTYLISPWSIIALLSAFLLLLLTFAQTYVAFDKPDK >itb14g15150.t1 pep chromosome:ASM357664v1:14:18327971:18340397:-1 gene:itb14g15150 transcript:itb14g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKTVDKYRVTHMWVVPPIILALAKNSVVKKYNLTSLRQIRSGAAPLGKELMEECAKNFPQATVIQGYGMAETCGLVCIENTRIGPRHSGSAGLLVSSVEAQIVSVDKLQHLPPGYYKNPLATKLTIDKQGWVHTGDLGYFDEDGMLNVVDRIKELIKYKGFQVAPTELEGLLVSHPEIQDTVVIPYPDAEAGEVPVAYVVCSPNSSLTEEDVKKFIADQSFCKFFVQDAPYKRLRKVTFINSVPKSASGKILRRELIEKVRAKL >itb03g19010.t2 pep chromosome:ASM357664v1:3:17142694:17145083:-1 gene:itb03g19010 transcript:itb03g19010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLDEKREKMLQIFYESQNFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRTVYKKLEADLQTSKKRHVELVEQCKNLKKGREESDEREEALEELKATEQKHKELKEELAQYADNDPATVETMSY >itb03g19010.t1 pep chromosome:ASM357664v1:3:17141991:17145083:-1 gene:itb03g19010 transcript:itb03g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLDEKREKMLQIFYESQNFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRTVYKKLEADLQTSKKRHVELVEQCKNLKKGREESDEREEALEELKATEQKHKELKEELAQYADNDPATVETMRNAVQVAHAAANRWTDNIFTLRQWCSNNFPQAKEQLHHLYSEVGITDDFDYLELPAAVPLPPVDSV >itb01g26130.t1 pep chromosome:ASM357664v1:1:31362352:31366545:-1 gene:itb01g26130 transcript:itb01g26130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRKKPGMASVKDMPLLQDGPPPGGFAPVRFARRIPNTGPSAMAIFLTAFGAFSWGMYQVGQGNKKRRAIKEEKYAARRAILPMLQAEEDERFVKEWRKYLEEEARIMKDVPGWKVGESVYNSGRWMPPATGELRPDVCELNWIDPGLATFKHNNKRQSPPPVAAGCLCLCLAALTSPIAASALRLRLRIHIAAPLPSPPHRRLASRRLPSPPLPLLHC >itb10g21080.t1 pep chromosome:ASM357664v1:10:26417401:26420568:1 gene:itb10g21080 transcript:itb10g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKIDFKKSILVDKNLWMGGAILVYFLSVGGMMHNIIRQVPMFLGDMQDPDGLVFFYKGTQTQLGTEGYAVGFLYTIVGLLLAFLSHAVVLEKSRSVQRSAMAFVLFASVWAVKKAEQTLEFAISSTKMKGSFKAGFKYISNIFVKERDIEIEIEIGDPTDVKHVAHIGWDGQTGSAPTWMNGFKSGPDSTVPSITSSGAEHSPWSSQESVQSGPEPECCNEGPQPTTEAPSEVPKKPKRKKLKSSASTASRSAHTTKSKTKLGNNNNDTTKSIKVEVATY >itb13g20010.t2 pep chromosome:ASM357664v1:13:26878550:26882418:-1 gene:itb13g20010 transcript:itb13g20010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAASISLVGALPKSRSRTPSSSSSSNPARRQRHISLPRFSVGFSGGEPCIPVSSSVPDKSERVDYGSLPIDRRELILSSIGLLATAVCTSGSNGAALASEFVDMPALRGKDYGKSKMRFPDYTETNSGLQYKDLRVGSGPSPKMGDTVVVDWDGYTIGYYGRIFEARNKSKGGSFEGDDKDFYKFRLGSQEVIPAFEEALTGMAVGGIRRFYISTNVVNIAFCFLCFNDYCMHKQICRNTEIFFLVQKFCECPLWVLIVKPWIIPPIPND >itb13g20010.t1 pep chromosome:ASM357664v1:13:26878040:26882460:-1 gene:itb13g20010 transcript:itb13g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAASISLVGALPKSRSRTPSSSSSSNPARRQRHISLPRFSVGFSGGEPCIPVSSSVPDKSERVDYGSLPIDRRELILSSIGLLATAVCTSGSNGAALASEFVDMPALRGKDYGKSKMRFPDYTETNSGLQYKDLRVGSGPSPKMGDTVVVDWDGYTIGYYGRIFEARNKSKGGSFEGDDKDFYKFRLGSQEVIPAFEEALTGMAVGGIRRIIVPPELGYPDNDFNKKGPRPLTFSGQRALAFVLRNQGLIDKTLLFDIELLKIIPS >itb15g13390.t1 pep chromosome:ASM357664v1:15:11390494:11393562:1 gene:itb15g13390 transcript:itb15g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRVAMIAPSTIHHFVSIKLTLDATSRRRRRRSRDRPLLSPPPPTQQPHDASSFRRNRVCFPILLLTPDNAARPGVHAAGTRILSETAVTDRRGGSDRKLREPLNPRWDLCLEEAINEISIFSFFATQCKGALGSISASINFLA >itb07g02810.t1 pep chromosome:ASM357664v1:7:1813022:1814963:-1 gene:itb07g02810 transcript:itb07g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSASADSSSPSQSLLEDEEQQLQSQPSNPSKKLALIPLIFLIYFEVAGGPYGEELAVGAAGPLLAILGFLVFPFIWSMPEALVTAELATTFPGNGGFVIWAHKAFGPFWGSLMGSWKLLSGVINLASYPALCIDYLKLVFPVFSSGIPRMLANFSITLLLSFLNYSGLSIVGYTAFCLGIVSLCPFLVLSVISIPKIDPKRWVSLGQEGVPKDWNLFFNTLFWNLNFWDNASTLAGEVEQPQKTFPVALFSAGILTCLAYLIPLLATTGATPLDQGSWTDGHFADLAAMIAGKWLKYWVEIGAVLSAVGLYEAQLSSCAYQIIGMADIGAVPRVLGTRSKWFDTPWIGILVSTLVALAVSYMDFTNIISSVNFLYSLGMLLEFASFLWLRKKMPNVKRPYKVPLPFPWLVVMCLVPSVFLVYVMTAATKTVFWISALLTLFGVVWYFLMKLCKVKRWVLFDSSEENELNRAGNCQ >itb03g07110.t1 pep chromosome:ASM357664v1:3:5210443:5216897:1 gene:itb03g07110 transcript:itb03g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTPCFFLVFFLSFLATSNGVVVSRLLQLSSAESSKYLTQDELWLNQTLDHFSPYDHRKFSQRYYEFLDHFRIPDGPIFLKICGESSCNGIPNDYIGVLAKKFGGAVVSLEHRYYGKSSPFKSLTTENLKYLSSKQALFDLAAFRQYYQESLNAKLNKSNADNPWFVFGTSYAGALSAWFRLKFPHLTCGSLASSGVVLAIFNFTEFDKQVGESAGPECKSVLQEITQLVDKKLASNNKETKAIFGAAELKNDGDFLYFLADAAVTAIQYGNPDALCDPLVEAKKNGADLVNAYAKYVKEYYVEYFGVSVDSYNQEHLKNGDTADRLWWFQVCTEVAYFQVAPANDSIRSSRVDTSYHLNLCKNVFGDGIYPDVDGTNLYYGGTKIAGSKIVFTNGSQDPWRHASKQTSSPDMPSYIITCHNCGHGTDMRGCPQSPLIPEGDAKNCTSPDEVNKVRKEIIEHIDLWLSQCQSSGRSSW >itb14g10370.t1 pep chromosome:ASM357664v1:14:11657179:11660351:1 gene:itb14g10370 transcript:itb14g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALYTKASISVPKSFKQPKYCHHSLSPLRPPFLSSLPTPRFPSLQISRPPPQTQRRSLTRASMASSQSSGTGQGAEEPTKPFSVLFVCLGNICRSPAAEGVFTDLVNKKGLDSNFNIDSAGTINYHEGNQADPRMRAAAKNRGIAITSISRPIKPSDFKDFDLILAMDEQNKDDILRAFEKWSRREALPADAHEKVRLMCSYCKKHDETEVPDPYYGGPQGFEKVLDLLEDACDSLLENILAQKGQK >itb01g06300.t6 pep chromosome:ASM357664v1:1:4493981:4498313:1 gene:itb01g06300 transcript:itb01g06300.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDRILFPLKIYSALVITIWLIC >itb01g06300.t5 pep chromosome:ASM357664v1:1:4493981:4498313:1 gene:itb01g06300 transcript:itb01g06300.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEPSTRSLDGYKHVVEVEHCPPISSEGPRFPPEATKAKEAAQNEPSTQNTLEYHEILEEEMIRGLQQLGWKKVDVSFESAPLPFFAHNNINVKNERLHNAGAGVIAHVVDSIKQQESDTLVAAATL >itb01g06300.t8 pep chromosome:ASM357664v1:1:4493981:4498339:1 gene:itb01g06300 transcript:itb01g06300.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEPSTRSLDGYKHVVEVEHCPPISSEGPRFPPEATKAKEAAQNEPSTQNTLEYHEILEEEMIRGLQQLGWKKVDVSFESAPLPFFAHNNINVKNERLHNAGAGVIAHVVDSIKQQESDTLVAAATL >itb01g06300.t1 pep chromosome:ASM357664v1:1:4493981:4498339:1 gene:itb01g06300 transcript:itb01g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEVIGNSHITSVCMRAFS >itb01g06300.t4 pep chromosome:ASM357664v1:1:4493985:4498339:1 gene:itb01g06300 transcript:itb01g06300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEPSTRSLDGYKHVVEVEHCPPISSEGPRFPPEATKAKEAAQNEPSTQNTLEYHEILEEEMIRGLQQLGWKKVDVSFESAPLPFFAHNNINVKNERLHNAGAGVIAHVVDSIKQQESDTLVAAATL >itb01g06300.t9 pep chromosome:ASM357664v1:1:4493981:4498339:1 gene:itb01g06300 transcript:itb01g06300.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEPSTRSLDGYKHVVEVEHCPPISSEGPRFPPEATKAKEAAQNEPSTQNTLEYHEILEEEMIRGLQQLGWKKVDVSFESAPLPFFAHNNINVKNERLHNAGAGVIAHVVDSIKQQESDTLVAAATL >itb01g06300.t7 pep chromosome:ASM357664v1:1:4493981:4498339:1 gene:itb01g06300 transcript:itb01g06300.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPLFHGRVSLSPNSCSPSPYCCSTSSSSLRPGFNRKFISLSDMNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDRILFPLKIYSALVITIWLIC >itb01g06300.t2 pep chromosome:ASM357664v1:1:4493981:4498339:1 gene:itb01g06300 transcript:itb01g06300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPLFHGRVSLSPNSCSPSPYCCSTSSSSLRPGFNRKFISLSDMNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEPSTRSLDGYKHVVEVEHCPPISSEGPRFPPEATKAKEAAQNEPSTQNTLEYHEILEEEMIRGLQQLGWKKVDVSFESAPLPFFAHNNINVKNERLHNAGAGVIAHVVDSIKQQESDTLVAAATL >itb01g06300.t3 pep chromosome:ASM357664v1:1:4493981:4498339:1 gene:itb01g06300 transcript:itb01g06300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPLFHGRVSLSPNSCSPSPYCCSTSSSSLRPGFNRKFISLSDMNNLWKSLSLRALSMATTQGDIASPSVIIDEEDNPEHLLVLVHGIMGSPGDWTYVQAELKRQLGRSFMIYASSSNAYTKTFTGIDEAGKRLADEIMLVVKERKSLKKISFLAHSLGGLIARYGVAALYTPIVSSDLSTGNRNPLCSSNNGLIAELEPINFITLATPHLGVRGKKQLPFLFGVSILEKLAAPMAPIFAGHTGSQLFLTDEEPNKPPLLLRMASDCEDGKFISALGAFRSRTIYANICYDHMVGWRTSSIRRETELIEVIGNSHITSVCMRAFS >itb04g20420.t1 pep chromosome:ASM357664v1:4:25120351:25123158:-1 gene:itb04g20420 transcript:itb04g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKAFDGAVVLSVLCFGIFCLVWVCKKRSKTFAPTNWPVLGMFPGIAQNAHRFHEYATELLRHNGGTIEIKGPWFSNTNFMLLTCDPANINHILSKNFNNYPKGPQFNKIFQILGDGIINTDSHLWELHRKTTMPLMNHANFRASLERNVLHKMESGLFPALDHYVQAGTCFDLQELFQRMGFDISCQQFLDKDPGSLSVESLGGVDHPVRNAIRDGVNAILYRHLLPERCWKLQKWFTGVDREKNLSQAWEAIDQFIYPILSERKDELNKNQKLHEEDSEAGSFSMLTSHMEAHREKSTQFLRDTFLTLIVAGGDTTASALTWFFFLLAKNPLVQSKILGEILELKPNNLVKQDRVFKVEECQELVYLHAAFCESLRLFPSVPFNHKIPVEKDVLPSGHVVTPNTKIIIPFYAMGRIEAIWGEDCLEFKPERWISPNGGIKHQPSYKFPAFNAGPRTCIGKEMAFIVVKMVAANIICHYQWHLVEPHRPIVITDSILLEKKHGLKIKVTKRK >itb02g25300.t1 pep chromosome:ASM357664v1:2:26070911:26076242:-1 gene:itb02g25300 transcript:itb02g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQNDIELLDERLIVSNALVSRANQFFNVPDEMYRFVWSINRKTLIQAVDNDDQLDLQPSSDMDTKPFGQLYDYKSKRQAINIIGVVISKLPREFIVTNRTGQQKANDFVIVDEELKPIIFTMWGNFTVCQGVEIDKELRSGDYPVVLGRRITVTPYQGISLSTRTDSGVEVNPFGKRADALKEWAKTNSLVIERLIVEKAHNNAGSEMASPLDQQISPISYLKKSFEQVSH >itb02g25300.t3 pep chromosome:ASM357664v1:2:26070911:26076242:-1 gene:itb02g25300 transcript:itb02g25300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIFHLSSSPDSSHHSSAASNEDLHAQQLEEELRSTAFNEYEHELRSSQDEMYRFVWSINRKTLIQAVDNDDQLDLQPSSDMDTKPFGQLYDYKSKRQAINIIGVVISKLPREFIVTNRTGQQKANDFVIVDEELKPIIFTMWGNFTVCQGVEIDKELRSGDYPVVLGRRITVTPYQGISLSTRTDSGVEVNPFGKRADALKEWAKTNSLVIERLIVEKAHNNAGSEMASPLDQQISPISYLKKSFEQSKGVWVKSKITLIDNGNLTYYIGCNNCDKKINCNDEGIKFQCMFCGHGNAVSIKRTRAD >itb02g25300.t2 pep chromosome:ASM357664v1:2:26070911:26076242:-1 gene:itb02g25300 transcript:itb02g25300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIFHLSSSPDSSHHSSAASNEDLHAQQLEEELRSTAFNEYEHELRSSQDEMYRFVWSINRKTLIQAVDNDDQLDLQPSSDMDTKPFGQLYDYKSKRQAINIIGVVISKLPREFIVTNRTGQQKANDFVIVDEELKPIIFTMWGNFTVCQGVEIDKELRSGDYPVVLGRRITVTPYQGISLSTRTDSGVEVNPFGKRADALKEWAKTNSLVIERLIVEKAHNNAGSEMASPLDQQISPISYLKKSFEQVSH >itb13g09920.t1 pep chromosome:ASM357664v1:13:14420249:14420942:1 gene:itb13g09920 transcript:itb13g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVDGGEMRNSKSGRREKRKKSKLKVKKKNACGVPRSGACNGFHSRQMVRREIRSAQFRAPVLKCWIQCVHEGDEVRAVPQLSRLPESSPELRADDRLKLFFEIEKGCFL >itb08g06400.t1 pep chromosome:ASM357664v1:8:5446065:5447402:-1 gene:itb08g06400 transcript:itb08g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNKAIIGAHQYLVIVAFLHLFLSSIVLEARVARPLLKNFGSSYEGKYSFVKVAQSGPSPCGSGHTCNSFVGVAQSKPLSPDAKHDEGYNFIKDVQFQPSLLGDRYNPGNTLTKVAPSETSQLNAIYGQGYTLTNVAQSGPSPRGAGHDQGYTFTNVAQSGPSPRGAGHDDQSITLTKVASSGPSYSHNTRHVQGYTFIEVAQSGPSPRGAGHDGSYISSEVAQSRPSPHHAGHYTKFFQKPT >itb04g33630.t1 pep chromosome:ASM357664v1:4:35812293:35814569:-1 gene:itb04g33630 transcript:itb04g33630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYSQEHTYKHPWERVTTASWRKFADPENKRVLSHIRAVDTLNHKLDSSSGKLYTTRAVTIHAPGPWFISKITGQDSCHCVESTVVDAQSRSMQISTRNISLEKFIEVEEKIRYDPHPENPNGWTICRQETSIRIKPLSALASMAEKIEQKCAERFQQNSAKGREVMERMCKYLETESSGISM >itb03g09940.t1 pep chromosome:ASM357664v1:3:7730452:7732154:-1 gene:itb03g09940 transcript:itb03g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVTPLIFIFFFASFCYAVDMSILEYNKHVWRSDEEVKGVHQQWLAEHGKAYNGVGEMDKRFQIFKDNLKFIDEHNSMENRTYKVGLNKFADLTNDEYRSRFLGTRSDAKRRYVKSRNTSQRYGFRVSEMLPESVDWRKNGAVAPVKDQGSCGSCWAFSTVAAVESINQIVTGELVTLSEQELVDCDRSYNEGCSGGLMDYAFQFIISNGGIDTEAHYPYKGRDGVCDSKNAKTVTIDGYEDVPQNENALKKAVAHQPVSIAIEASGRAFQFYSSGIFTGKCGTELDHAVVVVGYGSENGSDYWIVKNSWGSSWGEGGYVRMERNVGGTHSGKCGITMEASYPIKNRGSNKDYSSA >itb10g01110.t3 pep chromosome:ASM357664v1:10:822489:829800:1 gene:itb10g01110 transcript:itb10g01110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQQLFTNATSSCLTIIMNKAFQNYSAAHLQCPAFGSIRKMETLHRQARICLVSRAIPRRAFAIKSDQKLFFTALPNLRMVLPSNEASINSVSLGRLGSRSFSHSPKVAVSYRINGHSPHEVNNKDVNLEISSLPASDKESSITKGKVKRKLRSKKNNGESADGNEEVPQQKARTTKKRQSPATSESSSTVNSSTEVSDGNVLAKQQSTQSKKNNRRKGKSNKDANVLEGSDKAMDFSSTSNLQQNQSLNMASKTKPQVQKTLPKLYPPTNKSVLVVESVTKAKIIQGYLGDMFEVLPSYGHIRDLAARSGSVRPDDDFSMVWEVPPAAWTHLIAIKVALSGSKNIVLASDPDREGEAIAWHIIEMLQQQDALHDDITVARVVFNEITESSIKNALQAPREIDGNLVNAYLARRALDYLLGFNISPLLWRKLPGCQSAGRVQSAALALICDREMEIDKFVPQEYWTVHVEFSKKENSSSANNVYFSSHLTHFDSQKLNQLSISSNTEAKGIEQKISSSMFEVLSSKRSKRQKNPPPPYITSTLQQDAANRLGFTSTYTMRLAQKLYEGVQLSDGKATGLITYIRTDGLHISDEAAKGIQSFVRERYGQKFASMSPRTYFRKVKNAQEAHEAIRPTDIRRLPSLLLGVIDDDSLKLYTLIWSRALACQMEPAVMDQIQLDIGKSDQSIIFRSASSKVEFQGYEAVYEDQETNSIQKDENGEDYRTEIFEVLSKLNSKDPVFLGKTELKQHHTQPPPRYSEGSLVKKMEELGIGRPSTYASTIKVLMDRNYVTVKSRVLYPEFRGRMVSAFLSHYFSEVADYSFTADMETELDNVSAGLTEWKGLLKDYWSRFNKYCEHAKNVHIHQVEKMLEKTYGDFLFASLPQGSRTCPRCQEGTLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGEQDEDTASEDNTNNMDEPTLLGVHPSSNEKVLLKNGPYGFYVQLGEDRAGHIPKRASLSQVKDVSSVTLDDALELLRYPVTLGKHPVDGQDVLIKIAKYGFTIRHRRTIAPVPKNLNPKDVTLEKALKLLLSKNVRKCGRPKTKGKVVEADEGKDVSSVTVEDSSELLQYPLTLGNHPADGLEVILKLEKDGFTVRHGNTTAPTPKDLKPKDVTLEIALKLLSSKETKNARSRQRKRQIKKGEEQQEKVAAVR >itb10g01110.t2 pep chromosome:ASM357664v1:10:822489:829800:1 gene:itb10g01110 transcript:itb10g01110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLMVMRRFHNRRHGLQKRDNLLLLQSSTVNSSTEVSDGNVLAKQQSTQSKKNNRRKGKSNKDANVLEGSDKAMDFSSTSNLQQNQSLNMASKTKPQVQKTLPKLYPPTNKSVLVVESVTKAKIIQGYLGDMFEVLPSYGHIRDLAARSGSVRPDDDFSMVWEVPPAAWTHLIAIKVALSGSKNIVLASDPDREGEAIAWHIIEMLQQQDALHDDITVARVVFNEITESSIKNALQAPREIDGNLVNAYLARRALDYLLGFNISPLLWRKLPGCQSAGRVQSAALALICDREMEIDKFVPQEYWTVHVEFSKKENSSSANNVYFSSHLTHFDSQKLNQLSISSNTEAKGIEQKISSSMFEVLSSKRSKRQKNPPPPYITSTLQQDAANRLGFTSTYTMRLAQKLYEGVQLSDGKATGLITYIRTDGLHISDEAAKGIQSFVRERYGQKFASMSPRTYFRKVKNAQEAHEAIRPTDIRRLPSLLLGVIDDDSLKLYTLIWSRALACQMEPAVMDQIQLDIGKSDQSIIFRSASSKVEFQGYEAVYEDQETNSIQKDENGEDYRTEIFEVLSKLNSKDPVFLGKTELKQHHTQPPPRYSEGSLVKKMEELGIGRPSTYASTIKVLMDRNYVTVKSRVLYPEFRGRMVSAFLSHYFSEVADYSFTADMETELDNVSAGLTEWKGLLKDYWSRFNKYCEHAKNVHIHQVEKMLEKTYGDFLFASLPQGSRTCPRCQEGTLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGEQDEDTASEDNTNNMDEPTLLGVHPSSNEKVLLKNGPYGFYVQLGEDRAGHIPKRASLSQVKDVSSVTLDDALELLRYPVTLGKHPVDGQDVLIKIAKYGFTIRHRRTIAPVPKNLNPKDVTLEKALKLLLSKNVRKCGRPKTKGKVVEADEGKDVSSVTVEDSSELLQYPLTLGNHPADGLEVILKLEKDGFTVRHGNTTAPTPKDLKPKDVTLEIALKLLSSKETKNARSRQRKRQIKKGEEQQEKVAAVR >itb10g01110.t1 pep chromosome:ASM357664v1:10:822454:829800:1 gene:itb10g01110 transcript:itb10g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLMVMRRFHNRRHGLQKRDNLLLLQSSTVNSSTEVSDGNVLAKQQSTQSKKNNRRKGKSNKDANVLEGSDKAMDFSSTSNLQQNQSLNMASKTKPQVQKTLPKLYPPTNKSVLVVESVTKAKIIQGYLGDMFEVLPSYGHIRDLAARSGSVRPDDDFSMVWEVPPAAWTHLIAIKVALSGSKNIVLASDPDREGEAIAWHIIEMLQQQDALHDDITVARVVFNEITESSIKNALQAPREIDGNLVNAYLARRALDYLLGFNISPLLWRKLPGCQSAGRVQSAALALICDREMEIDKFVPQEYWTVHVEFSKKENSSSANNVYFSSHLTHFDSQKLNQLSISSNTEAKGIEQKISSSMFEVLSSKRSKRQKNPPPPYITSTLQQDAANRLGFTSTYTMRLAQKLYEGVQLSDGKATGLITYIRTDGLHISDEAAKGIQSFVRERYGQKFASMSPRTYFRKVKNAQEAHEAIRPTDIRRLPSLLLGVIDDDSLKLYTLIWSRALACQMEPAVMDQIQLDIGKSDQSIIFRSASSKVEFQGYEAVYEDQETNSIQKDENGEDYRTEIFEVLSKLNSKDPVFLGKTELKQHHTQPPPRYSEGSLVKKMEELGIGRPSTYASTIKVLMDRNYVTVKSRVLYPEFRGRMVSAFLSHYFSEVADYSFTADMETELDNVSAGLTEWKGLLKDYWSRFNKYCEHAKNVHIHQVEKMLEKTYGDFLFASLPQGSRTCPRCQEGTLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGEQDEDTASEDNTNNMDEPTLLGVHPSSNEKVLLKNGPYGFYVQLGEDRAGHIPKRASLSQVKDVSSVTLDDALELLRYPVTLGKHPVDGQDVLIKIAKYGFTIRHRRTIAPVPKNLNPKDVTLEKALKLLLSKNVRKCGRPKTKGKVVEADEGKDVSSVTVEDSSELLQYPLTLGNHPADGLEVILKLEKDGFTVRHGNTTAPTPKDLKPKDVTLEIALKLLSSKETKNARSRQRKRQIKKGEEQQEKVAAVR >itb12g26180.t1 pep chromosome:ASM357664v1:12:27192072:27197242:-1 gene:itb12g26180 transcript:itb12g26180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRCVQSSFPSPSSGSLQSQVEKLKPSGFASKILARNDRSKSYKAVRLNAAPIRAQRSARTETEILPVSPEDFPKSEEQVQYLQAIQQLGDTSVGMWSKPTVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPITLKSGDEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLTVKAKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNEVDFYAVSFVKDAAVVHELKNYLKSCDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVSLRTEATIVGGETPQNLGQAFKNHMSEMFAFHATMMSNTLGTSIVVFTRTGFMGILLSHYRPSGTIFAFTNEKKIQQRLALYQGICPIYMEFSSDAEETFTNALSVLQKQGMVKEGEQVALVQSGRQPIWRLQSTHNIQVRKV >itb09g09030.t1 pep chromosome:ASM357664v1:9:5410375:5411265:1 gene:itb09g09030 transcript:itb09g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRQSLLASLSLLAMLLLVFLILFSTNSNSPLRTPNDEIAAINNLVPADSHARFTLLIGIFTRPEKYERRHFLRLIYGVQSTSLARVDVKFILCNLSGEEQRAFVALEILRFRDVVILNCTENMNSGKTHTYFSSLPGILPFRYDYVMKADDDVFLRLAPLAASLEGLPRRDLYYGFVIPCPSMNPFVSYMSGMGFVLSWDLVEWIGRSRIAANNTYGPEDKLVGQWLDMGKKAKNRFNNKPAMYDYPGTNGRCSHELIPETIAVHRLKKWEQWVHVLKFFNVTAGLEHSNMYHF >itb07g19870.t1 pep chromosome:ASM357664v1:7:24304765:24305455:-1 gene:itb07g19870 transcript:itb07g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETIYEDEDESDDKEVYVPDEMELKDIEHWYQIKQLSAEIPLKSSENANNPHSTVMHFLKMLSAYPWEAKLLMMLAAFSINFGELSLLQSHKGLPCKLAIIKGIRKTPLLAMAPSHIQQSISVFIKSALHLTDTIVELAQSSSYNSSPIIPFASYWILISIQILASYFRHLQSGDSE >itb11g08120.t1 pep chromosome:ASM357664v1:11:5208939:5210918:-1 gene:itb11g08120 transcript:itb11g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSLSANHTPPSLLNAQSPKANLPQTLNFIPKFSQSQFYGLKLLHASSLSKPSVSSSSTKSSIFAKVSKGSVPPSFTLKDQAGRNVTLSKFKGKPIVVYFYPADDTPGCTKQACSFRDSYEKFKKAGAEVVGISGDNPSSHKVFAEKYKLPFTLLSDEGNTVRKEWGVPADLFGALPGRQTYVLDKKGVVQLIYNNQFQPEKHIDETLKLLQSL >itb15g05810.t1 pep chromosome:ASM357664v1:15:3752772:3755409:1 gene:itb15g05810 transcript:itb15g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQSPGSENTAQMGSENSPTSATSYHLVSKNSFTDRPQIATSSSSLYASSAENISRLLEGWMRASSSSSSSKGFTTNSHTNNTSHGGDLEEEDEDLTRPEVAATDNNGVIPKEEYLDSILTFGSLMNGMESESCSGNNNKQKVNEGNDSDNPPPLSFLEKWLLDESAAQVEEEEGEDNQGAVMELPTIFS >itb01g09020.t1 pep chromosome:ASM357664v1:1:7276479:7279591:1 gene:itb01g09020 transcript:itb01g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MAMAASTSLRISITLQCTRNNAFFVDRHFSRTNLPFTRNRSSSSLLTFARRRSKPHRPATTSSNKKKQKRDDEEDEDLDEDAFEALFRQLEEDLKNDNISLDDDDDLEITEEDLANLERELEEALKDDELSGDLESIVDGEIKNVAEEEEEEEEEEEEEEEEEGEVAAAIKGDEDEDEDEDEKPVRLRNWQLKRLAYALKDGRRKTSIKNLAADVCLDRAVVLELLRDPPPHLLLLSAALPDKPISTISEPPSIPLETVPLEKATENAETKTTVEMPVHVRQRNWAAKKRIKKKQLETLELVYRRSQRPTNAMISSIVHVTNLPHKRVVKWFEDRRSEDGVPDNHIPKLPYRRSAAPETSPS >itb06g07540.t1 pep chromosome:ASM357664v1:6:11087094:11094671:-1 gene:itb06g07540 transcript:itb06g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE [Source:Projected from Arabidopsis thaliana (AT5G52820) UniProtKB/TrEMBL;Acc:A0A178UNZ3] MSEAMDVETPIPLLRDSTSSVMCRLTDPEGTPLGTSMYLPEIAGPKELNQMVNKLLNNDEKLPYAFYISDQELVVHLGSYLEKNKVSVEKVLTIVYQPQAVFRIRPVTRCSATIAGHTEAVLSVAFSPDGQQLASGSGDTTVRLWDLNTQTPLFTCKGHKNWVLCIAWSPDGKYLVSGSKTGELICWDPQTGNPLGNPLTGHKKWITGISWEPVHLSAPCRRFVSASKDGDARIWDATLRKCVICLSGHTLAITCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTSKNFSSPEEMKKVALERYNKMRGNAPERLVSGSDDFTMFLWEPAVSKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKLWNGISGKFIAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHADEVFAVDWSPDGEKVASGGKDRVLKLWMG >itb07g20330.t1 pep chromosome:ASM357664v1:7:24709842:24713745:1 gene:itb07g20330 transcript:itb07g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVSANITSFIAILALLLHYSTCTFAIPILHPQDFLALQAIRKSLHDLPGSQFFASWDFTSHPCNFPGVICDADRVISLNLGDSTAGSPGLTGQIDPAIGKLSALAEFTVVPGRIIGTLPENLSQLKSLRFLGISRNFLSGRIPAAIGQLKRLQTLDLSFNRFTGSIAWEIGALPALSNVILCNNRLSGSVPPFVSQTLTRLELRHNNLSGSLPLNAFPSSLQYLSLPWNRLAGPVDRILTRLNRLNYLDLSSNQFTGSIPGIIFSFPITNLQLQRNKFSGPILPVRQVTIQTVDLSFNRFSGEISPFFSTVQSLYLNNNRFWGQVPGGLVKRLLSANIQILYLQHNYLTGMEINPTAEIPISSSLCVQYNCMVPPVQTPCPLKAGKQKARPTEQCVEWKVADNTNNNEIPASSTSSHHHPSPSPSLFCLSLSPSFTFDLQSARDRRDQQPPFLSQVYGNSRSTPASSVSGVAGQRRWSLALTTGDLFSSTSGSLHLRPPSFPSTSSGEAEQRRRASSGPHLFRRPPSR >itb15g09290.t1 pep chromosome:ASM357664v1:15:6580694:6581315:1 gene:itb15g09290 transcript:itb15g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFVAIRRKRISFPEGHNNDAESCSASSAISKGHFAIYTADQKRFVVPLVFLDNEIIRQLLVMSEEEFGLPSDGPITLPCDAVFMEYIISLLSRGVGKELQKALLASVTSNRCSSTSLHQGWRDQKFLVC >itb10g17580.t1 pep chromosome:ASM357664v1:10:23766354:23766776:-1 gene:itb10g17580 transcript:itb10g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYEVQKQRDWNAFGRYLKSHKPPLLLSRCSGANILEFFKYLDQFGKTKVHTVSCPFFGQPQPPAPCPCPLNQAWGSLDALVGRLRAAFEENGGLPEANPFGCRAVRLYLRELRDAQAKARGVTYDKRKRTNTMHTKNI >itb15g07810.t1 pep chromosome:ASM357664v1:15:5485588:5486775:1 gene:itb15g07810 transcript:itb15g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEYDVIVIGGGIMGSCAAYQSAKRGLRTLLLEQFDFLHHRGSSHGESRTIRAAYTEDHYAKMVLAAELLWREAEAEIGYKVFFKSQQLDMGPADNKVLQAVVSTCRKTSVPVKVLDAKQVMDEFAGRFQLPEDWVGVVTGLGGVIKPTKAVSMFQALAVKHGATMKDNVEVNGVERENLTGGISVTAKSGERFWGKKCVITAGPWIRKLVADITGSRVVLPVQPLETNACYWRIKEGREGDFTIANGFPTFSSHGEPHVYGTPSLEFPGLIKINWQGGRECDAEGRTFAPLPSSLCSMKQWIEERLGGLVDSSAPVMSQSCMYSMTPDEDYVIDFLGGELGKDVVVAGGFSGHGFKMGPLVGQILADLVWSGSAPHDLSHFRIHRFQEAGER >itb14g11570.t1 pep chromosome:ASM357664v1:14:13198307:13199940:-1 gene:itb14g11570 transcript:itb14g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIKVQSTIGHQIIHHHPIIYTSLSVKLKHYTISHFLSMDSKSVSFCIIFLIATLLCGVVRSDTAKDRAECADQLVGLAPCLPYVSGDAKLPTPDCCTGLKEVVEKSKKCLCILVKDRNDPSLGFKINATLALGLPDKCHAPANISQCPDLLHLAHNSPDAKIFEDFNKTAPATEVKGSPSGEARKADDKSDGGKRKRWLAMELFVATLIHVLSHL >itb01g09950.t1 pep chromosome:ASM357664v1:1:8257197:8257711:-1 gene:itb01g09950 transcript:itb01g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYKGESSNSLRSSTRMRQCYLYGEWISIQQCECGQEMGLKTSWTNENSGRRYWECSRCKAHSRGFVRWYDPSMCPRSKRIIPGLLKRLNKNEEEYAMLKAKLRSGCYCNVLEEVKDKHWSRNVHL >itb03g19820.t1 pep chromosome:ASM357664v1:3:17811003:17811341:1 gene:itb03g19820 transcript:itb03g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKWFRSFSTGGRNIQLGRHYGEDEASKKESIWKVIWRKFSKEKRNKNKNKTMMKGRFEFSRSVHVQIPSYDEYTYSQNFDKGSNWDEPDQLLRSFSVRYADRSRMMIFK >itb11g00790.t1 pep chromosome:ASM357664v1:11:351252:354461:1 gene:itb11g00790 transcript:itb11g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MPLLLRSLPPLPSISPFKPSPSPPAPAHNTGIPKAKTLRIRAISKPKPKQNPKASKQEDDYHATLKALNSKGRFPRKSLGQHYMLDSSVNELLVAAAEVKEGDVVLEIGPGTGSLTNALVNSGATVLAVEKDPYMAALVRERFSSLACVKVVEEDFTRCNIRSHISSVLEPATDSLYGQSQFAKVVANIPFNISTDVVKQLLPMGDIFTEIVLLLQEETALRMVDASLRSSEYRPINVFINFYSDPEYKFKVPRTSFFPQPKVDAAVVSFRLKQAANYPPVSSSKSFFSMVNSAFNGKRKMLRNSLKHICPSHEIEEALGSLGLPPTSRPEELALADFVRLHNLIVKE >itb03g03920.t1 pep chromosome:ASM357664v1:3:2371361:2372272:-1 gene:itb03g03920 transcript:itb03g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEEKKIWGELERDVERDLEEEIKDGICKLALRLHRLYRDQKERNNDNNGANSDDSKTLSEVSINIRMEGGTKIEIKEIKKEARRSSSSTRRGGAPPPPPPRGQKFDWTNTLRSGPSHNPTGYNATASIQRKKKKNLGLINALELGWKP >itb15g04180.t1 pep chromosome:ASM357664v1:15:2642037:2647423:-1 gene:itb15g04180 transcript:itb15g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDPKPVPTPDDASSEPKIAPSKAPLMLKCTAIFSIPYFYLIYYHYRIESELRRSIIINAIISLLGYFVTLAMIPVASKYVLRRNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLVLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTDPEYKQAHAFSLYLAQPLLGTSLALLSYNWYPSSVFVGDTFTYFAGMTMAVAGILGHFSETLLIFFTPQVLNFLLSVPQLAGIIPCPRHRLPKFDPQTGLLTGTNDGTLVNFFLRQLGRMSEQSLCVVLLLFQAFCCCFCFLLRWLLTGWYK >itb13g01910.t1 pep chromosome:ASM357664v1:13:1747635:1748930:-1 gene:itb13g01910 transcript:itb13g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSFAAALLFVMLFMATDSAELGTNTIMAEEPCERPSRKYKGACLTTADCAVVCQMEGFADGKCKGKRIKSKCTCIMRPC >itb09g03890.t2 pep chromosome:ASM357664v1:9:2139861:2141147:-1 gene:itb09g03890 transcript:itb09g03890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRISGGSPSSSSTSSTDPHNSSTSHHHQNGGADSGRNNSSDPMHSWWESISKARSRIHLLSNILPFDDCAAVAPLTVLADSDRPARSLLLSQPAYSAVSTSLSVPSAGSGEDPLCHWLYDTFLSGDTDLRLVVLSFIPLLCSLYLSRIHSSSSTSTPSLSGFEAVLLALYSAETKARGGKPILISIPDLSQPSLYHAPRNPIPSKPSAGSSVNQSRPSVGVLSPPLEPQVAVKSTKRASIVGVALECYYKQISQMPSWSKVDFCRFAADWAGQDCPCVSELDESYERSENFSNGFSDNSRAIEIEDVSQELSKLEIEESSEDSKPKGVRIPLPWELLQPVLRIIGHCLLGPLNAEDVKNAASVAVKRLYARAQHDLVPQAILATRSLVQLDRRAREAAKVAAATNATSNANTPSKAKKPEILLVSK >itb09g03890.t1 pep chromosome:ASM357664v1:9:2139638:2141232:-1 gene:itb09g03890 transcript:itb09g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRISGGSPSSSSTSSTDPHNSSTSHHHQNGGADSGRNNSSDPMHSWWESISKARSRIHLLSNILPFDDCAAVAPLTVLADSDRPARSLLLSQPAYSAVSTSLSVPSAGSGEDPLCHWLYDTFLSGDTDLRLVVLSFIPLLCSLYLSRIHSSSSTSTPSLSGFEAVLLALYSAETKARGGKPILISIPDLSQPSLYHAPRNPIPSKPSAGSSVNQSRPSVGVLSPPLEPQVAVKSTKRASIVGVALECYYKQISQMPSWSKVDFCRFAADWAGQDCPCVSELDESYERSENFSNGFSDNSRAIEIEDVSQELSKLEIEESSEDSKPKGVRIPLPWELLQPVLRIIGHCLLGPLNAEDVKNAASVAVKRLYARAQHDLVPQAILATRSLVQLDRRAREAAKVAAATNATSNANTPSKAKKPEILLVSK >itb07g08950.t1 pep chromosome:ASM357664v1:7:7600900:7603529:1 gene:itb07g08950 transcript:itb07g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKSAKANLQNQQHHHNGHLSPFKFAKLFDPDASWDKDQLGDVLHWTRQATALLCGLIWGAIPLVGGFWFMLFLAISSGIIYGYYALILKVDEEEFGGHGVLLQEGLFASITLFVLVWTLVYSLLHF >itb03g14200.t1 pep chromosome:ASM357664v1:3:14033284:14036970:-1 gene:itb03g14200 transcript:itb03g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTEAFKQSGPCAFSPNARFLAVAVDYRLVIRDVLSLKVVQLFSCLDKITYIEWALDSQYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGISYARWSPDSRHILTTSEFQLRLTVWSLLNTACIHVQWPKHGSKGVSFTKDGKFAAICTRRDCKDYVNLLSCHTWEIMGVFAVDTLDLADIQWSPDDSAIVIWDSPLEYKVLVYSPDGRCLAKYQAYESGLGVKSLSWSPCSQYLAVGSYDQMLRVLNHLTWKVFAEFVHLSAVRGPCGAAVFKEVDEPLQLDMSELSLSDDFSHHNNDNAPERQIQVRYDVMEVPITLPSQKPAADKPNPKQGIGLMSWSPDSQYICTRNDSMPTVLWIWDIHHLELAAILIQKDPVRAVAWDPCCTRLVLCTGSPHFYMWTPSGAYCINVPLPQFSVLDLKWSSDGSCLLLKDKELFCCAAVPLLQESSDYSSED >itb12g13280.t1 pep chromosome:ASM357664v1:12:12103643:12105315:-1 gene:itb12g13280 transcript:itb12g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAIFTLLMLSSMARLRNASGSDGEEWSDAHATFYGGADASGTMGGACGYGDLYGQGYGESNAALSTALFNDGLSCGACFEIKCAGDNEQCFPGSIVVTATNFCPPSTLPSDAGGWCNSPLKHFDLSQPIFQHIAQYRAGIVPVQYRRVPCKKSGGIKFTITGHSFYNLVLITNVGGAGDVVSVSIKGSNTNWLPMSHNWGQNWQSNVYLNGQALSFKLTTSDGLSIVSNNVAPSTWSFGQSYTGGQFSGAQNTSAQSPGTQPFVGKPSSAHSPVAQPSPSTVGAHFPGDQSPSAQFPGGQPQRHSPSSRSLTTKKLSYLNFLALLLLGII >itb13g21370.t1 pep chromosome:ASM357664v1:13:27982710:27983843:1 gene:itb13g21370 transcript:itb13g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDDSNGAKEKSGNGIDELPTFSAENMQNNMKVIYYSRTFMSIIGGVIAGILGLTGLMGFIFYFLIMGITSAGLIAKAKFSVFSYFDNWNRIILDGFLGGLLSFVLFWTLAYDIVHIF >itb11g09580.t1 pep chromosome:ASM357664v1:11:6475973:6477742:1 gene:itb11g09580 transcript:itb11g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYVDLRVLGMKLQIISAFALDHVKGFVYIEAGGGVVAKKASVPSPRLIPALLSFMIFVFSSHTARIVRQTRWLKFLMDRRGIVKQIYKVVIFLYDEMAEEHNPYVRVKAPMCERISRSHGLLSGKESEAGRAIFSQNTCWSFEVIYLPCLGLVLTQRLQNHLIYLEHRMAQELLSLVQNVL >itb01g29140.t2 pep chromosome:ASM357664v1:1:33442491:33449947:1 gene:itb01g29140 transcript:itb01g29140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPPPANSNPPEAAEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSMLICYLHNVTLHADPETDEVYAQMTLQPVPSIDKEALLRSDLSTKVNKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDYSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHASANNSPFTVFYNPRASPSEFVIPLAKYYKAVYGNQVSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKGSQWRKLQVGWDESTAGERRSRVSIWEIEPVTAPFFLCPTPPFFRAKRPRQPGMPDDDGSDLDGFFKRTMPWIGDDFGMKDPQALPGLSLAQWMNMQSNPSLTNTMQPNYLHSLSGSVLQNLAGGDLTRQLGLPGQQIPQQSNLQFNSQRPGQQVQQVDQLQKMPPAAMNPLGSIMQPQQQLTEVGQQPRQNLVNQTMPTSQVQAQLLQAQGLVQPQNVLQQQQSIQRNLPQSLPPQPPQQQQQQILNQTQQPSFIPSHPSNPITQQMHLPDNQIQLQLLQKLQQQQQQSLLAQQSTLQQPSQLSQLPDPQKHVFDASQSLSRSMSTSQVQDVSQSVSVSLPQSHMVMNNSQTKLRFSQQPQLPKLQQQQQPMLAELPGTVGQTLPPTTNQLCATGSSLLTVGAGGGQSIITDDVPSCSTSPSTNNCPNGVQAVMNGRVQRSTAVGDDITQSSATLLSSSGLEAMSASSSLVKDIHQKADVKNHLNNMSKSHQNQGFLTPPVYHNGSAAQLDYLDSSSSATSICLSQNDVQLQPGTNPMSFSSQPMLFRDITHDGEVQGDQRNNMPFATNIDSQLGMPMMPDPLIPKNLVGSEKDFSNNLSSGGGMLSNYENPKEAQPELSSSMVSQSFGVPDMGFNSIDSTINDGSFMNRSTWAPQPPIPRMRTYTKVYKRGAVGRSIDITRYSGYEELKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLHNQACSSSDGGNV >itb01g29140.t1 pep chromosome:ASM357664v1:1:33442491:33449956:1 gene:itb01g29140 transcript:itb01g29140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPPPPANSNPPEAEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSMLICYLHNVTLHADPETDEVYAQMTLQPVPSIDKEALLRSDLSTKVNKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDYSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHASANNSPFTVFYNPRASPSEFVIPLAKYYKAVYGNQVSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKGSQWRKLQVGWDESTAGERRSRVSIWEIEPVTAPFFLCPTPPFFRAKRPRQPGMPDDDGSDLDGFFKRTMPWIGDDFGMKDPQALPGLSLAQWMNMQSNPSLTNTMQPNYLHSLSGSVLQNLAGGDLTRQLGLPGQQIPQQSNLQFNSQRPGQQVQQVDQLQKMPPAAMNPLGSIMQPQQQLTEVGQQPRQNLVNQTMPTSQVQAQLLQAQGLVQPQNVLQQQQSIQRNLPQSLPPQPPQQQQQQILNQTQQPSFIPSHPSNPITQQMHLPDNQIQLQLLQKLQQQQQQSLLAQQSTLQQPSQLSQLPDPQKHVFDASQSLSRSMSTSQVQDVSQSVSVSLPQSHMVMNNSQTKLRFSQQPQLPKLQQQQQPMLAELPGTVGQTLPPTTNQLCATGSSLLTVGAGGGQSIITDDVPSCSTSPSTNNCPNGVQAVMNGRVQRSTAVGDDITQSSATLLSSSGLEAMSASSSLVKDIHQKADVKNHLNNMSKSHQNQGFLTPPVYHNGSAAQLDYLDSSSSATSICLSQNDVQLQPGTNPMSFSSQPMLFRDITHDGEVQGDQRNNMPFATNIDSQLGMPMMPDPLIPKNLVGSEKDFSNNLSSGGGMLSNYENPKEAQPELSSSMVSQSFGVPDMGFNSIDSTINDGSFMNRSTWAPQPPIPRMRTYTKVYKRGAVGRSIDITRYSGYEELKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLHNQACSSSDGGNV >itb01g29140.t3 pep chromosome:ASM357664v1:1:33444870:33449956:1 gene:itb01g29140 transcript:itb01g29140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDVDAQIPNYPNLPSMLICYLHNVTLHADPETDEVYAQMTLQPVPSIDKEALLRSDLSTKVNKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDYSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHASANNSPFTVFYNPRASPSEFVIPLAKYYKAVYGNQVSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKGSQWRKLQVGWDESTAGERRSRVSIWEIEPVTAPFFLCPTPPFFRAKRPRQPGMPDDDGSDLDGFFKRTMPWIGDDFGMKDPQALPGLSLAQWMNMQSNPSLTNTMQPNYLHSLSGSVLQNLAGGDLTRQLGLPGQQIPQQSNLQFNSQRPGQQVQQVDQLQKMPPAAMNPLGSIMQPQQQLTEVGQQPRQNLVNQTMPTSQVQAQLLQAQGLVQPQNVLQQQQSIQRNLPQSLPPQPPQQQQQQILNQTQQPSFIPSHPSNPITQQMHLPDNQIQLQLLQKLQQQQQQSLLAQQSTLQQPSQLSQLPDPQKHVFDASQSLSRSMSTSQVQDVSQSVSVSLPQSHMVMNNSQTKLRFSQQPQLPKLQQQQQPMLAELPGTVGQTLPPTTNQLCATGSSLLTVGAGGGQSIITDDVPSCSTSPSTNNCPNGVQAVMNGRVQRSTAVGDDITQSSATLLSSSGLEAMSASSSLVKDIHQKADVKNHLNNMSKSHQNQGFLTPPVYHNGSAAQLDYLDSSSSATSICLSQNDVQLQPGTNPMSFSSQPMLFRDITHDGEVQGDQRNNMPFATNIDSQLGMPMMPDPLIPKNLVGSEKDFSNNLSSGGGMLSNYENPKEAQPELSSSMVSQSFGVPDMGFNSIDSTINDGSFMNRSTWAPQPPIPRMRTYTKVYKRGAVGRSIDITRYSGYEELKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLHNQACSSSDGGNV >itb07g04630.t1 pep chromosome:ASM357664v1:7:3101957:3107619:-1 gene:itb07g04630 transcript:itb07g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKVPFLSAGQENDFPVSQLKPSSPKWSFTSSFNADSEDIQPINGVRDFFREFCSESKKLWFLSGPAIFTSLCQYTIGAITQVFAGHLGTIELAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAKQLQMLGVYMQRSWIILTTTAVLMTFVYIFAAPLLRLIGQTPEISKSAGKFSLWMIPQLFAYAMNFPIQKFLQAQSKIMVMAVIAAVAVAGHTLLSWLFMLKIGWGMGGGAVVLNASWWFIVVAQLAYIFSGTCGEAWSGFSWKAFNNLWGFVRLSLASAVMLCLEMWYYMSLILFAGYLKDAEVAVDAVSICMNILGWTVMVGFGFNAAISVRVSNELGAGHPRSAKFSVVVASISSLLVGIVLGFILILVRKQYPPLFSGTPRVQQLVYELTPLLACSVAINSLQPTLSGVAIGAGWQAYVAYVNITCYYIIGIPIGLVLGFVFKLSVLGIWYGMLIGTTVQTLVLIWMILRTDWNMEASAAGDRIKRWGGESDTREDNNGHLIG >itb07g04630.t3 pep chromosome:ASM357664v1:7:3101957:3106250:-1 gene:itb07g04630 transcript:itb07g04630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAFGAKQLQMLGVYMQRSWIILTTTAVLMTFVYIFAAPLLRLIGQTPEISKSAGKFSLWMIPQLFAYAMNFPIQKFLQAQSKIMVMAVIAAVAVAGHTLLSWLFMLKIGWGMGGGAVVLNASWWFIVVAQLAYIFSGTCGEAWSGFSWKAFNNLWGFVRLSLASAVMLCLEMWYYMSLILFAGYLKDAEVAVDAVSICMNILGWTVMVGFGFNAAISVRVSNELGAGHPRSAKFSVVVASISSLLVGIVLGFILILVRKQYPPLFSGTPRVQQLVYELTPLLACSVAINSLQPTLSGVAIGAGWQAYVAYVNITCYYIIGIPIGLVLGFVFKLSVLGIWYGMLIGTTVQTLVLIWMILRTDWNMEASAAGDRIKRWGGESDTREDNNGHLIG >itb07g04630.t2 pep chromosome:ASM357664v1:7:3102140:3107586:-1 gene:itb07g04630 transcript:itb07g04630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAFGAKQLQMLGVYMQRSWIILTTTAVLMTFVYIFAAPLLRLIGQTPEISKSAGKFSLWMIPQLFAYAMNFPIQKFLQAQSKIMVMAVIAAVAVAGHTLLSWLFMLKIGWGMGGGAVVLNASWWFIVVAQLAYIFSGTCGEAWSGFSWKAFNNLWGFVRLSLASAVMLCLEMWYYMSLILFAGYLKDAEVAVDAVSICMNILGWTVMVGFGFNAAISVRVSNELGAGHPRSAKFSVVVASISSLLVGIVLGFILILVRKQYPPLFSGTPRVQQLVYELTPLLACSVAINSLQPTLSGVAIGAGWQAYVAYVNITCYYIIGIPIGLVLGFVFKLSVLGIWYGMLIGTTVQTLVLIWMILRTDWNMEASAAGDRIKRWGGESDTREDNNGHLIG >itb08g06330.t1 pep chromosome:ASM357664v1:8:5401515:5403518:1 gene:itb08g06330 transcript:itb08g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLILPFLLPLLSNTASATQFCASNKANSDDLSVMHIYGKCSPFNNPNPTSSWLTTVMNMAIKDPARLSYLSSLTAQKPKAKTNVPIASGQNVLNTGNYVIRARIGTPGQVMYMVLDTSSDNAWVPCSGCVGCSETVFAPNTSSTFGPVECSVPECSMVNGMSCPTGGSGACNFNQSYGGDSSFSAALSRDALGLGNDVVPDYAFGCINAVSGSKIPPQGLLGLGRGSMSLLSQAGALYSGVFSYCLPSFKSYYFSGSLRLGPMGQPKTIRTTPLLKNPHRPSLYYVNLTGVSVGKIWVYIPQEHLTFDPATGAGTVIDSGTVISRFVEPAYVAIREEFRKQVTGPFSSLGAFDTCFAVTKEFMAPPITFHFQGMDLVLPMENTLIHTSYGNLACLAMAAAPNNVNSVLNVIANLQQQNLRVLFDTINSRLGITHENCN >itb07g00890.t1 pep chromosome:ASM357664v1:7:572537:573827:1 gene:itb07g00890 transcript:itb07g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKKSPSSSSTKKSAFSRFCSKLSVKKGKKEEEEERIIKNASSSDEEAEASSSSRKGKNSSECLERVFTYFDSDGDGRVSPAELQRGVRAVGGELSAEEAEMAVRLSDSDGDGLLGLEDFAKLMEGKGVEEERKEGELMEAFKMYEMEGTGEITPKSLKRMLGRLGESATTERCKAMIMRFDINGDGVLSFEEFKVMMMQQQA >itb05g27170.t1 pep chromosome:ASM357664v1:5:30681428:30683942:-1 gene:itb05g27170 transcript:itb05g27170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVTADESDREMEAFVELDPTGRYGRYSELLGCGAVKKVYRAFDQEEGIEVAWNQVKLRNFADDQQGLDRLYSEVRLLKTLKHKSIIALYSVWTDQERSTLNFITEVCTSGNLRDYRKKHRHVSIKALKKWSRQILKGLEYLHTHEPCIIHRDLNCSNVFINGNVGQVKIGDLGFATIVGKNHSAHSVLGTPEFMAPELYDENYTESVDIYSFGMCVLEMVTVELPYSECENVVKIYKKVTSGIRPKAMDKVKDPEVKAFIEKCLAHTSTRPSASDLLRDPFFYGIPDNDDDDDENITRNTYQS >itb05g27170.t3 pep chromosome:ASM357664v1:5:30681784:30683889:-1 gene:itb05g27170 transcript:itb05g27170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVELDPTGRYGRYSELLGCGAVKKVYRAFDQEEGIEVAWNQVKLRNFADDQQGLDRLYSEVRLLKTLKHKSIIALYSVWTDQERSTLNFITEVCTSGNLRDYRKKHRHVSIKALKKWSRQILKGLEYLHTHEPCIIHRDLNCSNVFINGNVGQVKIGDLGFATIVGKNHSAHSVLGTPEFMAPELYDENYTESVDIYSFGMCVLEMVTVELPYSECENVVKIYKKVTSGIRPKAMDKVKDPEVKAFIEKCLAHTSTRPSASDLLRDPFFYGIPDNDDDDDENITRNTYQS >itb05g27170.t4 pep chromosome:ASM357664v1:5:30681428:30683808:-1 gene:itb05g27170 transcript:itb05g27170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVELDPTGRYGRYSELLGCGAVKKVYRAFDQEEGIEVAWNQVKLRNFADDQQGLDRLYSEVRLLKTLKHKSIIALYSVWTDQERSTLNFITEVCTSGNLRDYRKKHRHVSIKALKKWSRQILKGLEYLHTHEPCIIHRDLNCSNVFINGNVGQVKIGDLGFATIVGKNHSAHSVLGTPEFMAPELYDENYTESVDIYSFGMCVLEMVTVELPYSECENVVKIYKKVTSGIRPKAMDKVKDPEVKAFIEKCLAHTSTRPSASDLLRDPFFYGIPDNDDDDDENITRNTYQS >itb05g27170.t2 pep chromosome:ASM357664v1:5:30681428:30683942:-1 gene:itb05g27170 transcript:itb05g27170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVELDPTGRYGRYSELLGCGAVKKVYRAFDQEEGIEVAWNQVKLRNFADDQQGLDRLYSEVRLLKTLKHKSIIALYSVWTDQERSTLNFITEVCTSGNLRDYRKKHRHVSIKALKKWSRQILKGLEYLHTHEPCIIHRDLNCSNVFINGNVGQVKIGDLGFATIVGKNHSAHSVLGTPEFMAPELYDENYTESVDIYSFGMCVLEMVTVELPYSECENVVKIYKKVTSGIRPKAMDKVKDPEVKAFIEKCLAHTSTRPSASDLLRDPFFYGIPDNDDDDDENITRNTYQS >itb05g18170.t1 pep chromosome:ASM357664v1:5:24987435:24989248:1 gene:itb05g18170 transcript:itb05g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLHFHDCIVNGCDASVLLDGGEKNAKPNKGSARGFEVIDTIKANVEKFCPNTVSCADILTLAAREAILLIGGPFWSVSFGRRDGLTTSEDAANNQVPSPFEPLENITAKFVSKGLDIKDVVVLSGAHTIGFAQCFTFKQRLFDFDGAGNPDPTMDASMVSNLRSLCPNQDDSSDSNLAPLDAVTTNKFDNVYYKNLVNKTGLLQSDQALVGDNNTTAQMVLGYSRYPYLFLKDFAASMVKLGSVGVLTGKDGEIRKNCRVVN >itb05g18170.t2 pep chromosome:ASM357664v1:5:24987557:24989248:1 gene:itb05g18170 transcript:itb05g18170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFRVSIISWFCFLFLCCFVCGQLDYNYYDASCPNLTRIVKYSVWSAISNDTRMAASLLRLHFHDCIVNGCDASVLLDGGEKNAKPNKGSARGFEVIDTIKANVEKFCPNTVSCADILTLAAREAILLIGGPFWSVSFGRRDGLTTSEDAANNQVPSPFEPLENITAKFVSKGLDIKDVVVLSGAHTIGFAQCFTFKQRLFDFDGAGNPDPTMDASMVSNLRSLCPNQDDSSDSNLAPLDAVTTNKFDNVYYKNLVNKTGLLQSDQALVGDNNTTAQMVLGYSRYPYLFLKDFAASMVKLGSVGVLTGKDGEIRKNCRVVN >itb01g13110.t1 pep chromosome:ASM357664v1:1:13048091:13061054:1 gene:itb01g13110 transcript:itb01g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILLRPVLVWNPSLLHCRNRTPSSSGCTNKVNDLGVSFFNCVHPKSVHTQSSLLSDSLSQSEDGEEPPQERLPFIAGGIVALGKFEALHIGHRELAIQAAKIGVPFLLSFVGMAEVLGWELRVPIVAKCDRKRVLSSWAPYCGGMIPREFQIEFSKVRYLTPRDFVEKLSKDLGVLGVVAGENYRFGYKASGDASDLLKLCNEYGIQAYIINSVMDKNQDPSSLYSYDAKERGQVSSTRVRYALAKGDMKVPIVAKCDRKRVLSSWAPYCGGMIPREFQIEFSKVRYLTPRDFVEKLSKDLGVLGVVAGENYRFGYKASGDASDLLKLCNEYGIQAYIINSVMDKNQDPSSLYSYDAKERGQVSSTRVRYALAKGDMKYVSELLGRHHRLFLMAGDQEKFTSDRCRVSAPKSCLLNLPPREGVYENCSIMIDEKVIACRVVIDNTHIHLDWDKPTTCITCQQVPIVAKCDRKRVLSSWAPYCGGMIPREFQIEFSKVRYLTPRDFVEKLSKDLGVLGVVAGENYRFGYKASGDASDLLKLCNEYGIQAYIINSVMDKNQDPSSLYSYDAKERGQVSSTRVRYALAKGDMKYVSELLGRHHRLFLMAGDQEKFTSDRCRVSAPKSCLLNLPPREGVYENCSIMIDEKVIACRVVIDNTHIHLDWDKPTTCITCQQLNLVDTGYFQPIFYFLLRVSLAFPAASAAILDPDSRGRYTGYFQPIFYFLLRVSLAFPAASAAILDPDSRGRCGWGAKKALKARLVT >itb13g00770.t2 pep chromosome:ASM357664v1:13:694028:702830:-1 gene:itb13g00770 transcript:itb13g00770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MGKHRFTRKTGGKRRKNQEPFRKNKRRAKDEKIEESPLSSASDDSSDENVEEESGISDEKEEIVSYREPTMYENLLRSLRQKEAVSTNSEDDLDDDQESGDGSGEECESESSGPSDVGDDHRESDLGVDADSEASDTDEEYDVRVNDQPVEEPSTNTSTFHNHLRYKLSEEEANKLLEKKWNYKWESEVSNCKWRGTGECFLKEVDASSCFGLKPIMYKHWLDIYKESGSQDFHSSRQRSFFSICNSYRDILHHNKKPFYLKGREEDASIMDAYIAHSLNHVFMTRDLVKKNEAKLAKLEGKGDAVNNEAFLDHGFTRPKVLILLPLASIALRVVQRLIELTPPKYKTNIEERERFFREFGSAATEDDNDDGKTSKPSDYKALFGGNNNDHFMFGVKFTRRSIRLYGDFYSSDMIIASPLGLVTKIGEAEANKEKDVDYLSSIEILIIDHADIILMQNWSHVNTVVKQLNQIPSQQRGTDIMRIRQWYLDGQAPFYRQTMIFSSHVNPDINALFNHHCLNYEGKVKVVCDNKGVLPKVVLQIRQVCLIGFIYYIWPAKPICSLILCGYFS >itb13g00770.t1 pep chromosome:ASM357664v1:13:691726:702857:-1 gene:itb13g00770 transcript:itb13g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MGKHRFTRKTGGKRRKNQEPFRKNKRRAKDEKIEESPLSSASDDSSDENVEEESGISDEKEEIVSYREPTMYENLLRSLRQKEAVSTNSEDDLDDDQESGDGSGEECESESSGPSDVGDDHRESDLGVDADSEASDTDEEYDVRVNDQPVEEPSTNTSTFHNHLRYKLSEEEANKLLEKKWNYKWESEVSNCKWRGTGECFLKEVDASSCFGLKPIMYKHWLDIYKESGSQDFHSSRQRSFFSICNSYRDILHHNKKPFYLKGREEDASIMDAYIAHSLNHVFMTRDLVKKNEAKLAKLEGKGDAVNNEAFLDHGFTRPKVLILLPLASIALRVVQRLIELTPPKYKTNIEERERFFREFGSAATEDDNDDGKTSKPSDYKALFGGNNNDHFMFGVKFTRRSIRLYGDFYSSDMIIASPLGLVTKIGEAEANKEKDVDYLSSIEILIIDHADIILMQNWSHVNTVVKQLNQIPSQQRGTDIMRIRQWYLDGQAPFYRQTMIFSSHVNPDINALFNHHCLNYEGKVKVVCDNKGVLPKVVLQIRQVYERFDTKTIEDADESRFEYFTKQVYPKIKDSIQGGTMIFINSYFDFVRLRNFLKSQEASFCMLGEYTKQSDISRARVWFFNGNRKIMLYTERAHFYHRYKIRGIQNLIIYSLPERKEFYPEIVNMLQGTSCTVLFSRFDLLRLERIVGSAAAKRMVSSDQGMFIFA >itb06g20700.t4 pep chromosome:ASM357664v1:6:23563332:23565124:1 gene:itb06g20700 transcript:itb06g20700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLQAVRSCREKLRLAVLGQSQARNAGQFKGSKDIVVMESPRTQLKGLENTTVSDVLMTKGGEKAGSWLCCKMDDTVYDAVKQMAQNNIGSLVVLKPGDHHQIAGIITERDYLRKVIVQDRASKYTRVGDIMTDQSKLITVASDTNILQAMQIMTENQIRHVPVIDRKIVGVVSVVDIVRAVVEQQRGEVKQLNEFIRGNYY >itb06g20700.t1 pep chromosome:ASM357664v1:6:23563322:23565313:1 gene:itb06g20700 transcript:itb06g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLQAVRSCREKLRLAVLGQSQARNAGQFKGSKDIVVMESPRTQLKGLENTTVSDVLMTKGGEKAGSWLCCKMDDTVYDAVKQMAQNNIGSLVVLKPGDHHQIAGIITERDYLRKVIVQDRASKYTRVGDIMTDQSKLITVASDTNILQAMQIMTENQIRHVPVIDRKIVGVVSVVDIVRAVVEQQRGEVKQLNEFIRGNYY >itb06g20700.t3 pep chromosome:ASM357664v1:6:23563322:23564849:1 gene:itb06g20700 transcript:itb06g20700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLQAVRSCREKLRLAVLGQSQARNAGQFKGSKDIVVMESPRTQLKGLENTTVSDVLMTKGGEKAGSWLCCKMDDTVYDAVKQMAQNNIGSLVVLKPGDHHQIAGIITERDYLRKVIVQDRASKYTRVGDIMTDQSKLITVASDTNILQAMQIMTGNCSRLMDKLVCKCRKRENEK >itb06g20700.t5 pep chromosome:ASM357664v1:6:23563332:23564997:1 gene:itb06g20700 transcript:itb06g20700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLQAVRSCREKLRLAVLGQSQARNAGQFKGSKDIVVMESPRTQLKGLENTTVSDVLMTKGGEKAGSWLCCKMDDTVYDAVKQMAQNNIGSLVVLKPGDHHQIAGIITERDYLRKVIVQDRASKYTRVGDIMTDQSKLITVASDTNILQAMQIMTENQIRHVPVIDRKIVGVVSVVDIVRAVVEQQRGEVKQLNEFIRGNYY >itb06g20700.t2 pep chromosome:ASM357664v1:6:23563322:23565313:1 gene:itb06g20700 transcript:itb06g20700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLQAVRSCREKLRLAVLGQSQARNAGQFKGSKDIVVMESPRTQLKGLENTTVSDVLMTKGGEKAGSWLCCKMDDTVYDAVKQMAQNNIGSLVVLKPGDHHQIAGIITERDYLRKVIVQDRASKYTRVGDIMTDQSKLITVASDTNILQAMQIMTENQIRHVPVIDRKIVGVVSVVDIVRAVVEQQRGEVKQLNEFIRGNYY >itb14g13080.t1 pep chromosome:ASM357664v1:14:14997097:14997824:-1 gene:itb14g13080 transcript:itb14g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAIFTASRVKRTSSCSAQLSFRVSSQAGKFTADICGLIDTKDLLGNVFCGSELSRNHNRHRPPRGSEDCCRYRGLTSIGFSISGFQSGSN >itb12g00860.t1 pep chromosome:ASM357664v1:12:609040:610521:1 gene:itb12g00860 transcript:itb12g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKSGSLQSSSGGDDEYDSRGGDSISALLAQPRHHVVVDCISHHPQPPHSAAMYNPLFSSKLFEPPLPPLPAEHNSTPPVVWPKTLRPEINPMLVSGGALAAQSAPVSFSGATAASGGACDQPHVVRNPKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFTSSLPFSRTLASPSAMRSGGAAHFADNIRPSPPYLRRPFPQKIQPLHPAFPPPSPLVDVLPSSTTNNNISSGSSITPSTLLGISSNTSQTLLGISSNTSQIKIGGFMDTFASSNTALPSLISPSDASMSRRSETTPTSFDGGGFRPENSSTSRQLQSSSSNNIFSFTSPAAIGKLNIPATSSNFHGYKSPENVVGASRGEGMVESWICSSE >itb11g01460.t1 pep chromosome:ASM357664v1:11:715015:718376:1 gene:itb11g01460 transcript:itb11g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPFDLLGDDDTDDPSQLVAAQQQKAAPVKEALAQAAKQQGKLPSKPLPPAQAVREARTEPARGGGRFGGGRGRGRGRGGFDREASNNGGSFGNREYSGGFARPGEGGDFGRPSERRGGYGGGRGPFRGGGRRGGYSNGDVADGEQPRRAYYDHRNETGHGNAGGAGLGNWGTQADELAPLTEGVDEGEKNKNVEKPSNEDELTDANKESPATDTVEKKPEDKEMTLEEYEKVLEEKRKALQVLKTEERKVDAKEFESMQQISKKTSDDIFIKLGSEDKKRRESAEKTKKSVSINEFLKPAEGERYSGSGGRGRGRGRDRVGYSGGKTMSFMEAQAPSIEDPGQFPTLGGK >itb01g16490.t1 pep chromosome:ASM357664v1:1:20839900:20850814:-1 gene:itb01g16490 transcript:itb01g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYKQFPFLATLLTWKSSLDLISQSLLSSWVVGSNPCNWTGIACDDSRSVTSLNLTSYGLKDSNNLTGQIPTSIGNLRSLSTLHLYENQLSGHIPQEIGLLTSLIDLELSSNNLSGQIPTSIGNLKNLTTLYLWRNQLSSHIPREIGFLTSLVDLELNSNNLNGQIPTSIGNLRSLSTLHLYENQLSGHIPQGIGYENQLSGHIPQGIGLLTSLSGHIPQGIGLLTSLIDLELDSNNLTGQIPTSIGNLRSLSTLHLYENQLSGRIPQEIGLLTSLIDLKLSNNKLSGQIPTSIGKLRNLSTLHLYENQLSGRIPQEIGLLTNHLSGHIPQEVGLLTSLVNLGLGSNNLTGKIPTSIGNLQNLTTLYLWKNQLLGHIPQEIGFLSSLVELELSLNNLNGQIPTSIGNLKNLTTLQLDKNQLTGHIPQEIGLLTFLVDLELDSNNLSGQIPTSIGNLLSLSTLHLYENQLSGHIPQEIGLLTSLIDLKLSNNKLSGQIPTSIGKLRNLSTLHLYENQLSGRIPQEIGLLTSLIDLKLSNNKLSGQIPTSIGKLRNLSTLHLYENQLSDRIPQEIGLLTSLVDLELFQNNLSSQIPTSIGNLRNLTTLYLTRNHLSGHIPQEVGLLTSLINLGLGSNNLTGKIPTSIGNLQNLTTLYLWKNQLLGHIPQEIGFLSSLVELELSSNNLNGQIPTSIGNLQNLTTLQLDKNQLTGHIPQEIGLLTFLVDLELDSNNLSGRIPQEIGLLTSLVDLELFQNNLSSPIPTSIGNLRNLTTLYLTRNHLSGHIPQEVGLLTSLVNLGLGSNNLTGKIPTSIGNLQNLTTLYLWKNQLLGHIPQKIGFLSSLVELELSSNNLNGQIPTSIGNLQNLTGQIPTSIGNLQNLTTLIPTSIGNLQNLTTLQLDKNQLTGHIPQEIGLLTFLVDLELFQNNLSSPIPTSIGNLRNLTTLYLTRNHLSGHIPQEIGLLTSLVDLELDSNNLTGQIPTSIGKLRNLSTLHLYENQLSGRFPQEIGLLTSLVDLELDSNNLTGQIPTSIGKLRNLSTLHLYENQLSGRFPQEIGLLTSLVDLELDSNNLTGQIPTSIGKLRNLSTLHLYENQLSGRIPQEIGLLTSLVDLELFQNNLSSPIPTSIGNLRNLTTIYLTRNHLLGHIPQEIGLLTSLVDLELFQNNLSSPIPTSIGNLRNLTTIYLTRNHLLGHIPQEVGLLTSLINLGLGSNNLTGKIPTSIGNLQNLTTLYLWKNQLLGHIPQEIGFLSSLVELELSSNNLNGQIPTSIGNLQNLTTLKLDKNQLTGHIPQEIGLLTFLFDLELDSNNLSGQIPTSIGNLLSLSTLHLYENQLLGHIPQRIGLLTSLIDLELDSNNLTGQIPTSIGNLRSLSTLHLYENQLSGRIPQEIGLLTSLIDLKLSNNKLSGQIPTSIGKLRNLSTLHLYENQLSGRIPQEIGLLTSLVDLELFQNNLSSQIPTSIGNLRNLTTLYLTRNHLSGHIPQEVGLLTSLVNLGLGSNNLTGKIPTSIGGSNNLTGKIPTSIGNSNNLTGKIPTSIGNLQNLTTLYLWKNQLLGHIPQEIGFLSSLVELELSLNNLNGQIPTSIGNLKNLTTLQLDKNQLTGHIPQEIGLLTFLVDLKLDSNNLSGQIPTSIGNLLSLSTLHLYENQLLSHIPQEIGLLTSLIDLELDSNNLTGQIPTSIGNLRSLSTLLPTSIGNLRSLSTLHPTSIGNLRSLSTLHLYENQLSGHIPQVHLYENQLSGHIPQVIGLLTSLIDLELDSNNLTGQIPTSIGNLRSLSTLHLYENQLSGRIPQEIGLLTSLIDLKLSNNKLSGQIPTSIGNLRSLSTLHLYENQLSGRIPQEIGLLTSLVDLELFQNNLSSQIPTSIGNLRNLTTLYLTRNHLSGQIPTSIGNLRSLSTLHLHENQLSGHIPQEIGLLTSLVDLELDSNNLTGSIPSSIGNLWKLTSLYLRYNELNGNIPSELNNLTLLIDFDLSDNHLTGQLPENLCIGHSLEHLAVQNNNFIGKIPKTLKNCTSLLRVHLQNNQFSGDIFENFGVYPDLNYINLNNNSFYGHLSSSWGNCPKLGALKISMNRISGKLPPNLSNASQISFIDLSSNKLVGMIPKALENLTLLTILKLDNNKFSGNISLEIGKLPQLLNFSIASNNFSGLLPEEYFERGQETPYDALRNNKGLCGNHSGFEPCSSNNQRDHHQRRNLLLIILLTFGSLFMIISIVVLLIIRSKSHVIVKPRAITHKNVLAILNFDGKIAYEDIIEATGNFDSIYCIGEGGHARVYRAELSSGQVVAIKRFNNVIAQGDENCELKSFSNEVCTLTEIRHRNIVKLYGFCASERNSFLIYEYLEGGSLAHILNDNEKAMELGWMKRVNVVKAVAKALSYIHHDCLPSIVHRDISAKNILFDCEYEAHVSDFGTARILSLHSSNWTSFAGTFGYAAPEFAYTMEVTEKCDVYSFGVLALEVIMGKHPGDLITSIFSSPISTAHGILLLKDVFDSRLSTPTKQEEEELILVAKIAVACLNMNPQCRPSMQQVCVLLSKERRYSSSNSLPHITISQLFGLEFPTP >itb02g12360.t1 pep chromosome:ASM357664v1:2:8427052:8427675:1 gene:itb02g12360 transcript:itb02g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDPDYNPRFKTKISTKFVATVASIWIQSTSGSLYTFAIYSSVLKSSQAYDQSTLDTVSVFKDFGANVGVLSGILYSAACGGGPWVVLLVGALLCFAGYFLMWLTVVGLLPRPHVLLMCLYMLLAAQATTFFNTANVVTAVHNFPTHRGTVVGIMKV >itb13g21330.t5 pep chromosome:ASM357664v1:13:27947268:27951535:-1 gene:itb13g21330 transcript:itb13g21330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICSQVSSATDFHRIKSPKLDEAAETKDSSKSAIRSETGTGFDDDSSDYEFGALSPQEVEELDGLCDFALSLCETQHVSSVTESDHRKPKLDYGDKDEAAEKESLKSAKRWETGAGFDDDSSDDFGYNDEPAETNDTSKSAIQGETGTIFDGNSSDEDMGLMPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENVCMISVCHPLALI >itb13g21330.t3 pep chromosome:ASM357664v1:13:27947222:27951535:-1 gene:itb13g21330 transcript:itb13g21330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWSQVSSVTESDHRKPKLDYGDKDEAAEKESLKSAKRWETGAGFDDDSSDDFGYNDEPAETNDTSKSAIQGETGTIFDGNSSDEDMGLMPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENIKEKVSMETGRIFQLSHEELQKDYEKIVEFCKSALTYYEELHSGEAYEFVEIQTARRSIIRGIFIFHAKKKADATLATFKAYTHHRVLRTDIDVLGSFTQCRCRRL >itb13g21330.t2 pep chromosome:ASM357664v1:13:27947259:27949808:-1 gene:itb13g21330 transcript:itb13g21330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENIKEKVSMETGRIFQLSHEELQKDYEKIVEFCKSALTYYEELHSGEAYEFVEIQTARRSIIRGIFIFHAKKKADATLATFKAYTHHRVLRTDIDVLGSFTQCRCRRL >itb13g21330.t1 pep chromosome:ASM357664v1:13:27947222:27951535:-1 gene:itb13g21330 transcript:itb13g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICSQVSSATDFHRIKSPKLDEAAETKDSSKSAIRSETGTGFDDDSSDYEFGALSPQEVEELDGLCDFALSLCETQHVSSVTESDHRKPKLDYGDKDEAAEKESLKSAKRWETGAGFDDDSSDDFGYNDEPAETNDTSKSAIQGETGTIFDGNSSDEDMGLMPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENIKEKVSMETGRIFQLSHEELQKDYEKIVEFCKSALTYYEELHSGEAYEFVEIQTARRSIIRGIFIFHAKKKADATLATFKAYTHHRVLRTDIDVLGSFTQCRCRRL >itb13g21330.t7 pep chromosome:ASM357664v1:13:27947222:27948509:-1 gene:itb13g21330 transcript:itb13g21330.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENIKEKVSMETGRIFQLSHEELQKDYEKIVEFCKSALTYYEELHSGEAYEFVEIQTARRSIIRGIFIFHAKKKADATLATFKAYTHHRVLRTDIDVLGSFTQCRCRRL >itb13g21330.t4 pep chromosome:ASM357664v1:13:27947268:27951535:-1 gene:itb13g21330 transcript:itb13g21330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICSQVSSATDFHRIKSPKLDEAAETKDSSKSAIRSETGTGFDDDSSDYEFGALSPQEVEELDGLCDFALSLCETQHVSSVTESDHRKPKLDYGDKDEAAEKESLKSAKRWETGAGFDDDSSDDFGYNDEPAETNDTSKSAIQGETGTIFDGNSSDEDMGLMPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENVCMISVCHPLALI >itb13g21330.t6 pep chromosome:ASM357664v1:13:27947222:27949296:-1 gene:itb13g21330 transcript:itb13g21330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMPCPLEIGEAVELSDFALSLYETQHPGDCWKFESLHGGYRWDEWLLSTDHYHLEFFGKKADCKSIRNFVVDVICCRFGAHEKLTVHQCVLLKDDEVEPMDENIKEKVSMETGRIFQLSHEELQKDYEKIVEFCKSALTYYEELHSGEAYEFVEIQTARRSIIRGIFIFHAKKKADATLATFKAYTHHRVLRTDIDVLGSFTQCRCRRL >itb01g20140.t1 pep chromosome:ASM357664v1:1:26429568:26437754:1 gene:itb01g20140 transcript:itb01g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRNLGPHPIKGAPHTVMAPPIHEPPPFARGCGPVPYPVMLEEMRESQYGMPPPRQIAPHPAILEEHLAAQHEDIQGLLVDNQRLAATHVALKEELEVTQFDLQRTDQYARALYAENDMQIRELYEKSAKMEMDLQSVEVMRVELMRVRADIKDLNASKQELTTELQGMTQDLTRMNADLQRTPAIKAEIENLRQELQRSRAAIENEKKGYAANYEHGQVMQKNLHSMSRELETLRAEMANAEKKARAAASVGNPVAGYNGNYANPESGYAGNYYPVGYGMNPINPVHPPQTGAEGYSQYGPGPGPAAWGAYNMQQAQGLR >itb01g20140.t3 pep chromosome:ASM357664v1:1:26429568:26433540:1 gene:itb01g20140 transcript:itb01g20140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRNLGPHPIKGAPHTVMAPPIHEPPPFARGCGPVPYPVMLEEMRESQYGMPPPRQIAPHPAILEEHLAAQHEDIQGLLVDNQRLAATHVALKEELEVTQFDLQRTDQYARALYAENDMQIRELYEKSAKMEMDLQSVEVMRVELMRVRADIKDLNASKQELTTELQGMTQDLTRMNADLQRTPAIKAEIENLRQELQRSRAAIENEKKGYAANYEHGQVMQKNLHSMSRELETLRAEMANAEKKARAAASVGNPVAGYNGNYANPESGYAGNYYPVGYGMNPINPVHPPQTGAEGYSQYGPGPGPAAWGAYNMQQAQGLR >itb01g20140.t2 pep chromosome:ASM357664v1:1:26429592:26433540:1 gene:itb01g20140 transcript:itb01g20140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRNLGPHPIKGAPHTVMAPPIHEPPPFARGCGPVPYPVMLEEMRESQYGMPPPRQIAPHPAILEEHLAAQHEDIQGLLVDNQRLAATHVALKEELEVTQFDLQRTDQYARALYAENDMQIRELYEKSAKMEMDLQSVEVMRVELMRVRADIKDLNASKQELTTELQGMTQDLTRMNADLQRTPAIKAEIENLRQELQRSRAAIENEKKGYAANYEHGQVMQKNLHSMSRELETLRAEMANAEKKARAAASVGNPVAGYNGNYANPESGYAGNYYPVGYGMNPINPVHPTGAEGYSQYGPGPGPAAWGAYNMQQAQGLR >itb07g20090.t1 pep chromosome:ASM357664v1:7:24553803:24555557:1 gene:itb07g20090 transcript:itb07g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKDKLSRMYEVKDSNSIFVFKFRTHFGGGKSTGFGLIYDSVESAKKFEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKGGKKK >itb11g22900.t1 pep chromosome:ASM357664v1:11:24770492:24772965:-1 gene:itb11g22900 transcript:itb11g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHSQTSSSSTDDYSMAAKPLLSQPSLPSIPSLASFLHHDQTHNCHCIATLKPHSSYTSSLVLAGKHLFTASSDREIRMWKRRRAEEEDDGHVWSYVNYSPAGAAVTAGKGAVKSLVVLSDKIFSAHQDHKVRVWRIDEEEREFTRLATLPKLSDRVVKFLLPSSRVRIRRHKTATWVHHVDAVSALALSADTSLLYSVSWDRTLKIWRTSDFKCMESVANAHDDAINALAVSQDGHVYTGSADKKIKVWRKSSPEKKHSLVATLEKHNSGINALAVGNGGAVLYSGACDRSILVWERARKDGGGGGGAAVAVVGALRGHTKSILCLAVAADIVCSGSADKTVRIWRGVERCYSCLAVLAGHGGPVKCLTVARDFVDGRKDETISKYVLYSGSLDGDIKVWQISLSF >itb12g06420.t1 pep chromosome:ASM357664v1:12:4789183:4791116:1 gene:itb12g06420 transcript:itb12g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQHKLKKQIKLEEQKQLTMRKPHIIAISYPAQGHVIPLMELCLCLVKHGCKVTFVNSEFNHNRIIKSMSEADHADNAINLVSVPDGLAVEEDRNDIKKLKEAFFEVVPEKLEALIHNINESDENRVSCLIADQHLGWAIELAKKLGLQRVAFWPAAAASLSMMFNIPKLLDDGIVGKNGEILRKESIKLLPLMPDMNTTDLAWNGFSDPELKKLFFDLYFKNVESVKAAKWLLCNSSQVMEYEVFAAYPKLIPIGPLLASNRLGKTSGHFWREDTDCLKWLDQQPLNSVIYVAFGSFTIFNMAEFQELALGLELTNRPFLWVVRQGFIEEAENPYPEGFIDRTRNRGRLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVSNGLPFLCWPYFADQLFNKSYICDVWKIGLGFDRNESGVIGRQEIKNKMEQLFGDENFKARAVNLQAEVLASVKRGGSSYQNFSSFVNWIKATN >itb08g16820.t1 pep chromosome:ASM357664v1:8:18842937:18844517:-1 gene:itb08g16820 transcript:itb08g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSKASSEATVSRTLGSTDSYSDACDTMGESNIPMTKEISKTPWVDLFKPSCEKGKEVLRLNFYEPVNGGAVIQDNELLVIKEQWAFALLGCFAGRFPGVTAIQALVDSWKVQCKWNTQPNDHVLFRFNTEEDRCSILSKGDYSLFGKPLFLKSLPEHFHLENKDFSTLPIWVQFPYLPSEFWGEIALSKIASCIGKPLWSDDTTKAMKKGGYARVLVEIDTSFHPLEAIPVSTPSGYSFSQEVYYELPPCFCTKCRSNDHYKEECNGKWKNPRRGRKSNRPKGQRGNSRRPQPFDNSSGRVNDAPVASSKATPNEPTQPHVPEVMNSVEHPQSDSLRDKGGPGAILEDPNSAMPPQVAAMCEEENSGTILENTPENALSESDSGSDTEVEPSHDLPSAEPLSPVQNDMGPNSMVSPIGTNTSRGKNVLNQAIAKVFNEPTVVMGIKKTTKPDGNGKSADVRGVAPMNSKGTLGYKAALLSPTAEQNTSTVSSLHGIKKMTPLPLKDKAVARGGGRRRPTSVAK >itb09g13410.t1 pep chromosome:ASM357664v1:9:8726380:8728805:1 gene:itb09g13410 transcript:itb09g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATGGGACREVVETQPMKSANFDMAAATKRRPEKSSALVKYAGGRGSEKMDRRLNNDVHQLLECPVCRNSMLPPIFQCPNGHTLCASCNGAQENCPTCESELGDIRCLVLEKVAESLDLPCRYQSAGCHDIFPYYRKLKHEKHCRFRPYKCPYAGSECCVTGDIPALVNHLREDHKVDMHHGCTFNHRYVKSDPQQVENATWMLTVFNCHGNQFCLHFEAFPLGTTPVYMAFLRFMGEDDEAKLFSYCLEVGGHGRKLTWQGVPRSIRESHRKVRDSQDGLIIPRSIALYFSGGDGQELKLKVTGRIWKEQ >itb04g32250.t2 pep chromosome:ASM357664v1:4:34899537:34902986:1 gene:itb04g32250 transcript:itb04g32250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFEEMGFCGDLDFFPAALKEPADVVAPPPVMEAEAVVVEDDYSDEEIEVDELERRMWRDKMKLKRLKEMNRSNDGGVDTAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQAENAIPGRNEGSEPVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEDWWPQLGLQKEQGPPPYKKPHDLKKAWKVGVLTAVIKHMHPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPDHCPPLSSAGGSGTFTMSDSTEYDVEGIDDKPNFDIQEQKPSNLSLLNLGVERFQDGLMVQQPPHSIKDDIITNLDFTRKRKPGNDLPILLDHKIYTCDSLRCPHSEIRLGFPDRSARDNHQLACPFRNSAQFGVSQFHINEAKPPVFSQQFVQPKTASLPVNSAPLSFDLSGLGVPEDGQRTINDLMSFYETNIQANKNSCPGNVSATKEHSLQQPSIQCQQDKYLQNQGVMMEGMIFEDSNISNNRPMVPPGDRFDQCKVLNSPFGSLFNGNSNEAFPFMFGSPFNLQAVDYNEGARDAMPKKDAPIWY >itb04g32250.t1 pep chromosome:ASM357664v1:4:34899537:34902986:1 gene:itb04g32250 transcript:itb04g32250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFEEMGFCGDLDFFPAALKEPADVVAPPPVMEAEAVVVEDDYSDEEIEVDELERRMWRDKMKLKRLKEMNRSNDGGVDTAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQAENAIPGRNEGSEPVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEDWWPQLGLQKEQGPPPYKKPHDLKKAWKVGVLTAVIKHMHPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPDHCPPLSSAGGSGTFTMSDSTEYDVEGIDDKPNFDIQEQKPSNLSLLNLGVERFQDGLMVQQPPHSIKDDIITNLDFTRKRKPGNDLPILLDHKIYTCDSLRCPHSEIRLGFPDRSARDNHQLACPFRNSAQFGVSQFHINEAKPPVFSQQFVQPKTASLPVNSAPLSFDLSGLGVPEDGQRTINDLMSFYETNIQANKNSCPGNVSATKEHSLQQPSIQCQQDKYLQNQGVMMEGMIFEDSNISNNRPMVPPGDRFDQCKVLNSPFGSLFNGNSNEAFPFMFGSPFNLQAVDYNEGARDAMPKKDAPIWY >itb09g19680.t1 pep chromosome:ASM357664v1:9:15935012:15937058:-1 gene:itb09g19680 transcript:itb09g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPQRKKSAARKPADTIPPVQGPAELRFPNTDHQERYEWFKKQKIYTNYVLNMGVAEHYGVKDEILKMTELLEWKALLVDFREMTHTALLLEVMATLQIHSFDFPSTRNISFIAGGLAFHLSADEISQYMEMDAIQNLDEDMPQGKGARVEQGNRGRAEPAEGGNEDEPVEGAPADEPTRLNFEQQVLAQLGAMQLQMWQIYQQVGLVQTEQH >itb01g21270.t1 pep chromosome:ASM357664v1:1:27436164:27438227:1 gene:itb01g21270 transcript:itb01g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLYNSIAVSFAVILSTLICKFLNWVWFRPKRVEKVLRQQGFSGNSYKLLIGDLIQVAHSFMEANRSEPLDNLSNHISPRILPFLYKTITAHGKKSFVWLGPKPAVLILEPEMIKEILANYKDFERPSNPAMMEMVRGLVVCEASRWSMYRRIINPAFHLEKLKAMVPAFYASSREMVEKLDNIVKADGSEVDVWPYVGTLTSDAIARVAFGSNFEEGRNIFDKLSKLTKLVTGLGPFLFVPQYCSGVKIRRWFGYRRSTLTREGDQRGKATCNGHPCQRSREGNLLVAFYSRWRPTRSSRCRSPDFSA >itb01g21270.t2 pep chromosome:ASM357664v1:1:27436164:27439020:1 gene:itb01g21270 transcript:itb01g21270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLYNSIAVSFAVILSTLICKFLNWVWFRPKRVEKVLRQQGFSGNSYKLLIGDLIQVAHSFMEANRSEPLDNLSNHISPRILPFLYKTITAHGKKSFVWLGPKPAVLILEPEMIKEILANYKDFERPSNPAMMEMVRGLVVCEASRWSMYRRIINPAFHLEKLKAMVPAFYASSREMVEKLDNIVKADGSEVDVWPYVGTLTSDAIARVAFGSNFEEGRNIFDKLSKLTKLVTGLGPFLFVPQYWNLPTKMKWKIQQTSREVRALVRGVVEKRMKEMTRGGEVVVTLLGTSKYPEYSGVIDPQGSGVLSTSY >itb08g00160.t1 pep chromosome:ASM357664v1:8:160009:162553:-1 gene:itb08g00160 transcript:itb08g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFDKYCVVDGSPTTVLPAPRHCPKLEKRRSKRKQKCGNEKLCLNEDFTQNNFHHYRSVSCKDSLSRTSRSELGNEVLRRGSAYCSSKELRRFQKTDAGEERRKIEFSSGCITASSFGIVDALCSSEEDSSQVEENTSSVMSVNSDLSTGACKNLDALSFKSPPIHPIPEKGASPGNRENRYEGNKPRETDHSSAVFPKSLSAKFRVPHSPARSESDCSRASSSKSRFTPMRKMFEPFRKSKSQRSPLSNKCIESGWGDLECRLASLNGNKGSTKPLPPNFSNTTQQFNCGSLPSRKGSHNSLASLLPTHLHGFLQLDRKHGVPFFEFSVNFLEDVFLAKTWKVGNTLNWVYKFYSVRNRRKSHGSGCGSNDGTKESSLLVGQMQVSSYYLCNKVKNATGVSNNYSMVMEFVLYDTAKANPRKSVSFWDSSSPSYDVPNGDGRGHTGTHPVFAAELHEQQLEIAAIVIQVPVVQKRESLEFKSGFKNDQSTLLGFPFFEGAHGNVNPSRVAAVIPSGNHSLPTTESRGPSPLLDRWRSGGGCDCGGWDMACPLNVFYNLNIQSAADCPLIDNEKPLQLFIQGKKDKTPALSVRMMEGGQYGVDFHSQLSALQAFSISVAILHAMEAAASSCMEKGEEGRSQMQEESGGLLVEDEVRNSTKAVREENKKVQQQQPSFVLNPPFSPIARV >itb09g11540.t4 pep chromosome:ASM357664v1:9:7191950:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGRVFL >itb09g11540.t5 pep chromosome:ASM357664v1:9:7191950:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGRVFL >itb09g11540.t8 pep chromosome:ASM357664v1:9:7191950:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGRVFL >itb09g11540.t7 pep chromosome:ASM357664v1:9:7190174:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAMARGCKFFAR >itb09g11540.t9 pep chromosome:ASM357664v1:9:7191950:7196407:-1 gene:itb09g11540 transcript:itb09g11540.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGRVFL >itb09g11540.t11 pep chromosome:ASM357664v1:9:7191950:7194814:-1 gene:itb09g11540 transcript:itb09g11540.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGRVFL >itb09g11540.t3 pep chromosome:ASM357664v1:9:7190174:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGDQMVFFILNVVEVVGLILTFRPFLQLPEDTITC >itb09g11540.t2 pep chromosome:ASM357664v1:9:7190174:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGDQMVFFILNVVEVVGLILTFRPFLQLPEDTITC >itb09g11540.t1 pep chromosome:ASM357664v1:9:7190174:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGDQMVFFILNVVEVVGLILTFRPFLQLPEDTITC >itb09g11540.t13 pep chromosome:ASM357664v1:9:7190174:7196723:-1 gene:itb09g11540 transcript:itb09g11540.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGEVRSCLLKSEEEEWEFCAGCNIERMKRSNAGIPFKHLFFVGVITLCAALPISSLFPFLYFMIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAM >itb09g11540.t12 pep chromosome:ASM357664v1:9:7190391:7194647:-1 gene:itb09g11540 transcript:itb09g11540.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGDQMVFFILNVVEVVGLILTFRPFLQLPEDTITC >itb09g11540.t10 pep chromosome:ASM357664v1:9:7190174:7194814:-1 gene:itb09g11540 transcript:itb09g11540.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGDQMVFFILNVVEVVGLILTFRPFLQLPEDTITC >itb09g11540.t6 pep chromosome:ASM357664v1:9:7190174:7196407:-1 gene:itb09g11540 transcript:itb09g11540.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDFNVAEKEEDIGFYAGFVGSSYMVGRALTSLLWGVVADRWGRRPVVIFGTAAVAIFNTLFGLSINFWMAITTRFLLGSLCGILGPMRAYAAEICRKEYQALGMSVISTAWGIGLVIGPALGGFLAQPADKYPNIFSKEGLFGRFPYFLPCLLISLLALVVLVFTCWLPETLHNHSSKDVEQIDESNVPRNNKASQSQKSLFKNWPLMSSIIVYCIFQLHDIAYTEIFSLWAVSPKKNGGLEYTTSDVGEVLSVTGMGLLLFQLFLYPLAEKSMGTIMVSRIGAVLSIPLLFSYTYIALLSGLCLFIVLNCASLLKNVLSVSITTGLFLIQNKAVSQEQRGAANGISMSAMSLFKAIGPAAGGAIFSWAQGREDASFLPGDQMVFFILNVVEVVGLILTFRPFLQLPEDTITC >itb10g22440.t1 pep chromosome:ASM357664v1:10:27276393:27281143:1 gene:itb10g22440 transcript:itb10g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPKLAPEWLRSLGNKPASSSASSLQLGVSEGSKFTRGKSSSVNGTGYDVGRPVSADHAAISHLQRSSNRSSSDHQRSYSNFGRNRHYSRDRYRDKYENSVQPPDTSRKNSGSRTQKDGFRPSQLMISERHGEKLMRNSCNGENNSTSYWNGLPDKYGLSNTLHRSESERGFLLSGAEGMQTPTGIGRVRSPGVSAATPSTTRSLPDVKNGDKWTSPLAEVPPPTTRSDGSGCSSVQLATPFATPTLSTAAGAGFNMAEAVAKSPRSVQSTSQLSNGNQRLEDLAIKQSRILIPVTPSAPKISALSPSDKIKSKVTEQRQQHHPLPSSHVASNSVRSGALGTTDALKKSSFGKLHVLKPSRERNTVSSVVSNCVDPNPDTKGLKPMLSPVATMQRPTYNPVSPTAEPKPSRIVTEKRLSSQAQAQRSRNEFFNNLMRKKSMGDAGAVSDTAAPEVSATPTTQAQDAPSYDNPSGIMPVDNTNEDTGKGDAIDGQKQLKNGKTHPSPDAISEEEAAFLRSLGWEENADEGGLTEEEISTFYRDLTKYINSNLPLKILGVQPRLMLTLNS >itb11g14690.t1 pep chromosome:ASM357664v1:11:11933627:11935868:-1 gene:itb11g14690 transcript:itb11g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSIRSHTSLREKINTLSLPRLTLAVTQLQDHHRSLSPPSSPSSSTSLGSLNPPSNEEIVISLVKSSTQRCHLLQIHAYLLRTCLLRNHLVCSAFLSRLSLPPFQDLGYARRVFDTLPRRNLSSYNTMIRAYAMGNLAKQGLELYREMLSLGICPVSSSLSFVIKCIVKIGCLFRGMQVHAQALRDGHQSDSLLLTGLMDFYSSCKKYGDACKVFDEIPVKDTVAWNTLITCYMKNHHRRDALGVFDMMQSSNGCQPDDVTCLLVLQACAQLNTLEFGERVHRYIVEHAYGDAMNVSNMLITMYSRCGCVEKAYEVFRNMPRKDVVSWSAMVSGLASNGYGRDAIEAFKQMQRAGVAPDDQTFTGVLSACSHSGLLDEGRMLFDRMSREFRILPNVHHYGCMVDLMGRAGLLEEAYEFVESMRVKPDATIWRTLLGACRIHRNPALGERVVEHLIELKAQEAGDYVLLLNMYSSVADWEKVVNLRKLMKENGIHTTPACSTIEMKGEIHEFIADDVSHPRMTEIYEMLDEINRQLKIAGYVAEITSELHNTEVEEKRFTLSYHSEKLAIAFGVLSTPPGTTIRVAKDLRICVDCHNFAKILSGVYNRAVVIRDRTRFHHFRGGRCSCNDYW >itb05g13780.t1 pep chromosome:ASM357664v1:5:20837459:20843878:-1 gene:itb05g13780 transcript:itb05g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSVNTKTDNKKASSMEVVQKAAAPASASASASRLWKIVMVAAIAAGVQFGWALQLSLLTPYIQLLGIQHKFASFIWLCGPISGMVVQPLVGYYSDNCTSRFGRRRPFIAAGASLVTIAVILIGFAADIGHASGDSLGKGSKPRAIAVFVAGFWILDVANNMLQGPCRALLADLSGGRAKRMRTANALFSFFMAVGNVLGYAAGSYSRLYKIFPFTKTKACDVYCANLKSCFFISVTLLLSITTLALTTVRESELGKEVDDDEDDEKAEETEKPAKVPFFGEIAGAFKDLPRPMWMLLLVTFFNWLAWFPFLLYDTDWMAKEVYGGEVGDGGLYDRGVHAGALGLLLNSVVLGFMSLGVELLARRLGGVNKLWAGANFILAICLGMTVLVTKLARKSERHDLHGNSLGPTGGAKAGALLIFSVLGIPQAVTFSIPFALASIFSSNAGAGQGLSLGVLNLAIVVPQMLVSVVVGPWDALFGGGNLPGFVAAAVAAAISGVFAITLLPSPPPDAKATVPAGGFH >itb08g16090.t1 pep chromosome:ASM357664v1:8:18242507:18245936:1 gene:itb08g16090 transcript:itb08g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWFFIFSCLLSSSLFFCSILRAELITSLPGQPSNITFKQYSGYIVTDAHHGRALFYYFVEAEAQNPLSRPLTFWLNGGPGCSSLGYGAFMEHGPFQPGRDGNLVKNKYSWNLESNMLYVESPVGVGFSYSNTSSDHFNSNDTKTAQDNLRFFLEWFKKFPQYRNSDLYLAGESYAGHYIPQLADLMLKYNKKKNIRAFKLKGIALGNPLLDIDISIDAAEFLWSHGAISDDLLEMKRSICNDTRAILEYINGNVSKECALVNDATGEEMGDDIDSSDLLLPICLSENAKQQMVFFRNKLVTTHKKIDKRAAIGDPCLTERIYMYLRRPEVQKAMHANTTHLPRTWDFCSGSTLKYQMQNLASNIMPTLSEILKHHIPILLFSGDQDTKIPVTQTRTIANMLARELKLIAIERHGPWYDGDQIAGWSQSFGGSISGKNVTLLTFASVRGGAHEVPFTSPSQALTLFGTFLKGYPPPRANAIA >itb04g12550.t1 pep chromosome:ASM357664v1:4:12280073:12281961:-1 gene:itb04g12550 transcript:itb04g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHPLPCKSSMAVILLFIALLQITGVQSIGVCYGKNGNNLPSDTDAINLYKSNGIRGLRLYDADPNVLNALRGTNIEVILDVFNDKLQGLNDPARARDWVQTNIVSFPDVNFKYVAVGNEVYAGKPDTAQYVNFVLPALRNVHDALSAVGLLGKIKPSTATYSAVLDNTFPPNNAVFKGDAQGLMNPIVQFLAQNNLPLLANIYPYFSHRDNPNQVPLPFALFTEPNPNSAGYRNLFDALLDSMYAAVQKAGGPNIPIVVSESGWPSDGGFAATPQNAATYYSNLIAHVNGNSGTPMKPGTSIETFLFAMFDENLKGGDDVEKHFGLFRPDKTPKYQLNFN >itb09g12450.t1 pep chromosome:ASM357664v1:9:7879928:7880577:-1 gene:itb09g12450 transcript:itb09g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISYFLLFFFLVVSSSSVFSDGDPGHNTGNLAIRVEESSESPTYLAIRFLNLGAQTEIVAVDVAQVGSPNWNFMNRKTEAIWETNSVPSGPLQFRVVVTAGLEGKWYLASKVMPEDWRNGEIYDTGLHITDTSIMDTIGEL >itb09g03780.t2 pep chromosome:ASM357664v1:9:2076503:2078413:1 gene:itb09g03780 transcript:itb09g03780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTCNFCGQELAVPPQSRVIRCRKCNTVISLGDGQREMAVQEQGSSASFGRRRFLQVFNRFSWGNSQSPSPSSSLNQSVAGLQPPRSGKRALLCGVTYKNNRFKVRGSLQDVKSMQELLLQHFSFQQSSILVLAEEKPYIPPTRKNIIEALKWLMKNLQPGDSLIFYFSGHGFRQPNFAGDELDGFDETICPLDFRTEGMILDNAINDIIVKPLAPGIKLHAIVDACHSGTVLDLPRLYKRKEKKWTDNKPPSGACKSTNGGMAICLSACQDYELAADTNTPQGQ >itb09g03780.t3 pep chromosome:ASM357664v1:9:2076486:2078413:1 gene:itb09g03780 transcript:itb09g03780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTCNFCGQELAVPPQSRVIRCRKCNTVISLGDGQREMAVQEQGSSASFGRRRFLQVFNRFSWGNSQSPSPSSSLNQSVAGLQPPRSGKRALLCGVTYKNNRFKVRGSLQDVKSMQELLLQHFSFQQSSILVLAEEKPYIPPTRKNIIEALKWLMKNLQPGDSLIFYFSGHGFRQPNFAGDELDGFDETICPLDFRTEGMILDNAINDIIVKPLAPGIKLHAIVDACHSGTVLDLPRLYKRKEKKWTDNKPPSGACKSTNGGMAICLSACQDYELAADTNVHNTSPSCSYIFGQMFNANRLSSVLFLFFF >itb09g03780.t1 pep chromosome:ASM357664v1:9:2076486:2078413:1 gene:itb09g03780 transcript:itb09g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTCNFCGQELAVPPQSRVIRCRKCNTVISLGDGQREMAVQEQGSSASFGRRRFLQVFNRFSWGNSQSPSPSSSLNQSVAGLQPPRSGKRALLCGVTYKNNRFKVRGSLQDVKSMQELLLQHFSFQQSSILVLAEEKPYIPPTRKNIIEALKWLMKNLQPGDSLIFYFSGHGFRQPNFAGDELDGFDETICPLDFRTEGMILDNAINDIIVKPLAPGIKLHAIVDACHSGTVLDLPRLYKRKEKKWTDNKPPSGACKSTNGGMAICLSACQDYELAADTNAFTSGKDTTGAMTYTLIKAIKEKPSITYAGLLDYMHEAIEAVNRTRNRVLKVFQPKMEQEPALSSSEDFNINQKLSL >itb07g15800.t1 pep chromosome:ASM357664v1:7:18839968:18843262:-1 gene:itb07g15800 transcript:itb07g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVSYSQNMDDEYEKLIRRMNPPRVVIDNEACKNATVIQVDSANKHGILLEVVQILTDLNLIVTKAYICSDGGWFMDVFNVTDQEGNKITDEAILDYIRKSLGPDSCFASSMRRSVGVTSATDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEDTGGPITDPERLAMIKKLLCNVLKGSNRSRDAKTQVSLGVTHTERRLHQLMFADRDYEHTSGEALNNQERPNVKVFNWQDKDYSVVSVQCKDRPKLLFDIICTLTDMQYVVFHGNVDTVGPDAYQEYCIRHIDGSPVKSDAERQRVIQCLEAAILRRVSEGLKLELCTTDRTGLLSDVTRIFRENSLTVTRAEVTTRGGKAVNTFYVRDASGYPVDAKIVESVRQTIGLTILRVKGSSEALNPAPPQESPTRFLFGGLFKSRSFCNFGLVRSYS >itb07g15800.t3 pep chromosome:ASM357664v1:7:18839968:18841722:-1 gene:itb07g15800 transcript:itb07g15800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVGVTSATDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEDTGGPITDPERLAMIKKLLCNVLKGSNRSRDAKTQVSLGVTHTERRLHQLMFADRDYEHTSGEALNNQERPNVKVFNWQDKDYSVVSVQCKDRPKLLFDIICTLTDMQYVVFHGNVDTVGPDAYQEYCIRHIDGSPVKSDAERQRVIQCLEAAILRRVSEGLKLELCTTDRTGLLSDVTRIFRENSLTVTRAEVTTRGGKAVNTFYVRDASGYPVDAKIVESVRQTIGLTILRVKGSSEALNPAPPQESPTRFLFGGLFKSRSFCNFGLVRSYS >itb07g15800.t2 pep chromosome:ASM357664v1:7:18839968:18842284:-1 gene:itb07g15800 transcript:itb07g15800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYEKLIRRMNPPRVVIDNEACKNATVIQVDSANKHGILLEVVQILTDLNLIVTKAYICSDGGWFMDVFNVTDQEGNKITDEAILDYIRKSLGPDSCFASSMRRSVGVTSATDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEDTGGPITDPERLAMIKKLLCNVLKGSNRSRDAKTQVSLGVTHTERRLHQLMFADRDYEHTSGEALNNQERPNVKVFNWQDKDYSVVSVQCKDRPKLLFDIICTLTDMQYVVFHGNVDTVGPDAYQEYCIRHIDGSPVKSDAERQRVIQCLEAAILRRVSEGLKLELCTTDRTGLLSDVTRIFRENSLTVTRAEVTTRGGKAVNTFYVRDASGYPVDAKIVESVRQTIGLTILRVKGSSEALNPAPPQESPTRFLFGGLFKSRSFCNFGLVRSYS >itb04g08980.t1 pep chromosome:ASM357664v1:4:8284002:8285006:-1 gene:itb04g08980 transcript:itb04g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPTTLAIVALVFSFAHAFDPSPLQDFCVAANDPKSTVFVNGRVCKDPKRVTADDFSASGLNVSGVPAGVGLTVNTVDVDRIAGLNTLGIFMIRVDYESGALATPHSHPRSSEMVFVLEGTLEAGFVTADPSNPTKNRLYAKTLNAGDVFVIPQGLLHYQANVGKVKAVSFNSLNSQNPGIVPVAPELFGSVPAISDDILSKAFRVDKKTIELIRSKFA >itb10g19210.t2 pep chromosome:ASM357664v1:10:25053677:25060710:-1 gene:itb10g19210 transcript:itb10g19210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNLSSLFSFFILAMAFIPSALPTNITTDQSSLLSLKSYTTLTPNHTLASNWSISASICNWIGVVCGSKHHRVVALDISNMGIVGTLPPQLGNLSFLVSLNISHNSFYGNLPRELASLRRLQYIDFGYNNFSGKIPEEIGNLENAEWLILEVNQLDGPIPFTIFNISTLQNLFLSGNSLSSSLPMKLCQHGTRLKVLGLSFNKLNGYIPKNLSGCSELEQLRLAYNNFVGTIPREIGSLNKLQILSLVGNNFEGEIPRELGNLDRLEILRLHHNGLSGSIPWGIFNISTLKELELSFNELSGTLPTSLGYWLPNLKGLYLCENYIGGVIPPKISNASNLAVIELGTNQFTGFIPNSLGNLAQLNYLSLARNNLTTDPQFSLMTSLANCRYIHVLQLPNNPLNVVLPNAIGNLSTTLQVFNLENCNIRRRIPHEIGNLSSLYELSLRSNDIRGFLPTTFQALQSLQAFDISENRLIGSFPDVICELQNLFAIDLGKNKFSGPISDCLRNVSSLRVIYLNENEFTIFPPTLWSLPNLLMLDLDSNNLSGSLPEEIGNAKTAILIDLSYNKLSGEIPSSIGGLTELMNFSVAHNTIQGSIPDTLSKLLDLHSLDLSNNKISGMIPKSLEGLISMNYFNVSYNKLTGEIPSGGQFANFTYESFLGNEGLCGTPRMHVPPCPVNTLHQSKKDRVVLIVLVSLAVLIVLIASVSVLCIFKRHSREEVQDEPSFLLATTPARYSYYELQRATNGFDESNSLGSGSFGSVYKGILTNGMHVAVKVFRLEHEGSNRSFDSECEVLRCIRHRNLTKVLGSCSNLNFKALLLEYMPNGCLHKWLHSHSYFLDMIQRVSIMIDVASALEYLHYGYSNPIIHCDLKPSNVLIDADMVGHLSDFGVAKLLGDGNSIAITNTLATIGYIAPEYGQEGLISTRSDMYSYGIMLLEVFTKTQPGDEMFSEDLCLRSWVHNALPTNTSQIIDPNLIEPDEEKYDEKLQCVSAIFEIGIKCSTKSPRERMNVKDALSALIKIKAQLLSLYASI >itb10g19210.t1 pep chromosome:ASM357664v1:10:25053677:25060710:-1 gene:itb10g19210 transcript:itb10g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNLSSLFSFFILAMAFIPSALPTNITTDQSSLLSLKSYTTLTPNHTLASNWSISASICNWIGVVCGSKHHRVVALDISNMGIVGTLPPQLGNLSFLVSLNISHNSFYGNLPRELASLRRLQYIDFGYNNFSGKIPEEIGNLENAEWLILEVNQLDGPIPFTIFNISTLQNLFLSGNSLSSSLPMKLCQHGTRLKVLGLSFNKLNGYIPKNLSGCSELEQLRLAYNNFVGTIPREIGSLNKLQILSLVGNNFEGEIPRELGNLDRLEILRLHHNGLSGSIPWGIFNISTLKELELSFNELSGTLPTSLGYWLPNLKGLYLCENYIGGVIPPKISNASNLAVIELGTNQFTGFIPNSLGNLAQLNYLSLARNNLTTDPQFSLMTSLANCRYIHVLQLPNNPLNVVLPNAIGNLSTTLQVFNLENCNIRRRIPHEIGNLSSLYELSLRSNDIRGFLPTTFQALQSLQAFDISENRLIGSFPDVICELQNLFAIDLGKNKFSGPISDCLRNVSSLRVIYLNENEFTIFPPTLWSLPNLLMLDLDSNNLSGSLPEEIGNAKTAILIDLSYNKLSGEIPSSIGGLTELMNFSVAHNTIQGSIPDTLSKLLDLHSLDLSNNKISGMIPKSLEGLISMNYFNVSYNKLTGEIPSGGQFANFTYESFLGNEGLCGTPRMHVPPCPVNTLHQSKKDRVVLIVLVSLAVLIVLIASVSVLCIFKRHSREEVQDEPSFLLATTPARYSYYELQRATNGFDESNSLGSGSFGSVYKGILTNGMHVAVKVFRLEHEGSNRSFDSECEVLRCIRHRNLTKVLGSCSNLNFKALLLEYMPNGCLHKWLHSHSYFLDMIQRVSIMIDVASALEYLHYGYSNPIIHCDLKPSNVLIDADMVGHLSDFGVAKLLGDGNSIAITNTLATIGYIAPGKCFSFLNF >itb07g05930.t1 pep chromosome:ASM357664v1:7:4089455:4094980:-1 gene:itb07g05930 transcript:itb07g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGSHCSSTSTTYNTLLRELQQIWTDIGESEAEKHRMLLELDRECLKVYQRKVDETANTKARLHQSIAAKEAELATLMAALGERKINSPLEKTVMPLKEQLASISPLVEDLKVEKEERLKQFTDVKTQIEKITSEISGYGKVINSMSSLNLDENDLSLRKLSEYQTHLRSLQNEKSQRVQKILEFVNEVHSLCAVLGVDFGKTVSDVHPSLQETGVGQSMNISDSTLQGLNQVILRLKTERKVRFQKLKDVAASLFELWNLMDTKREEKFSFLRVTSILGSPESEIFEHGALSLEVIQQVSSEVERLTKLKASRMKELVMKRRSELEDICSKTHIEPDPSTAADKSSALIDSGLVDPCELLTNIEAQINKAKDEASSRKDITNRIERWLSACEEENWLEGFNLNQSHYSGGRGAHINLKRAERARILVNKIPGMVENLISKTLAWENEKKKLFLYDGARLVSILEDYKVARQQKEEEKRRARDQKKLQNMQLAEKESIYGSRPSPRRSTSFKSMSEYHSNGNGSVTPSPRRKSVGGPNSDLLTPRSYSGHQNGYFKGTRRLSTGPLNFVAIAKEDIMSFSSVCGSDPESPPKA >itb07g05930.t2 pep chromosome:ASM357664v1:7:4090043:4094980:-1 gene:itb07g05930 transcript:itb07g05930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGSHCSSTSTTYNTLLRELQQIWTDIGESEAEKHRMLLELDRECLKVYQRKVDETANTKARLHQSIAAKEAELATLMAALGERKINSPLEKTVMPLKEQLASISPLVEDLKVEKEERLKQFTDVKTQIEKITSEISGYGKVINSMSSLNLDENDLSLRKLSEYQTHLRSLQNEKSQRVQKILEFVNEVHSLCAVLGVDFGKTVSDVHPSLQETGVGQSMNISDSTLQGLNQVILRLKTERKVRFQKLKDVAASLFELWNLMDTKREEKFSFLRVTSILGSPESEIFEHGALSLEVIQQVSSEVERLTKLKASRMKELVMKRRSELEDICSKTHIEPDPSTAADKSSALIDSGLVDPCELLTNIEAQINKAKDEASSRKDITNRIERWLSACEEENWLEGFNLNQSHYSGGRGAHINLKRAERARILVNKIPGMVENLISKTLAWENEKKKLFLYDGARLVSILEDYKVARQQKEEEKRRARDQKKLQNMQLAEKESIYGSRPSPRRSTSFKSMSEYHSNGNGSVTPSPRRKSVGGPNSDLLTPRSYSGHQNGYFKGTRRLSTGPLNFVAIAKEDIMSFSSVCGSDPESPPKA >itb05g17480.t3 pep chromosome:ASM357664v1:5:24411278:24416163:1 gene:itb05g17480 transcript:itb05g17480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb05g17480.t5 pep chromosome:ASM357664v1:5:24411480:24416111:1 gene:itb05g17480 transcript:itb05g17480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb05g17480.t2 pep chromosome:ASM357664v1:5:24411278:24416201:1 gene:itb05g17480 transcript:itb05g17480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb05g17480.t4 pep chromosome:ASM357664v1:5:24411292:24416163:1 gene:itb05g17480 transcript:itb05g17480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb05g17480.t7 pep chromosome:ASM357664v1:5:24411278:24416201:1 gene:itb05g17480 transcript:itb05g17480.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb05g17480.t6 pep chromosome:ASM357664v1:5:24411280:24416201:1 gene:itb05g17480 transcript:itb05g17480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb05g17480.t1 pep chromosome:ASM357664v1:5:24411278:24416201:1 gene:itb05g17480 transcript:itb05g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAIGDSKKMRKNKNRNRSKEQHEVKNSNQNEEIFPVEKEEKKKKNKRMNVELKRSKDEADISDLSYSTDQMETNGRGKKKKRSLKNKKKTENDDSSEKETVILDQPNEIEGGFCQEDSDANEIEENKDKSKRKKRKSEKKAEADSDANEVEEKQKGKSRKKRKSEKAEADSDANEVEENQKGKSRKERKSEKKTKTGGVARPYIDAENTPEQENEENFRGKSGEAEDVYELSSGDEDASKGMRKWITEYYQSRPGLQILQEKIDEYIVAYEAERERERKEKEARIAEDGWTVVVHNKGRKKTTDSETGIAVGSVSQAAVIDNMAKKKTKDIGLDFYRFQKREAKRNEIMELQSKFEQDKKRAQQLRAARKFRPL >itb15g19010.t1 pep chromosome:ASM357664v1:15:20982430:20982791:1 gene:itb15g19010 transcript:itb15g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGFDLWIERSLVTLVAFRVCWRSFRRYTSPFYIRLSAYTFTVVAFAFRVNGVMKLDVFVELDIYDVKRISLD >itb03g20870.t1 pep chromosome:ASM357664v1:3:18708645:18711061:1 gene:itb03g20870 transcript:itb03g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPTVGGGYMRQRYSQGYASSGDDLEDDACSRSFAQSPSCLRTRTWVEVVENCMWIASALFIVYYGDQDYNFIYLLWHDGRVRRIPLYMGMFAVGLNAIFFFYTIMLAWGIRKSSEKWETSSTANLPVVTVLGLISFCLFSFALWPIWSFLTLPLVFTLFMACMVILPYLVLERLKSQEDDFRID >itb03g20870.t2 pep chromosome:ASM357664v1:3:18708423:18711061:1 gene:itb03g20870 transcript:itb03g20870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPTVGGGYMRQRYSQGYASSGDDLEDDACSRSFAQSPSCLRTRTWVEVVENCMWIASALFIVYYGDQDYNFIYLLWHDGRVRRIPLYMGMFAVGLNAIFFFYTIMLAWGIRKSSEKWETSSTANLPVVTVLGLISFCLFSFALWPIWSFLTLPLVFTLFMACMVILPYLVLERLKSQEDDFRID >itb12g26580.t1 pep chromosome:ASM357664v1:12:27411297:27415827:-1 gene:itb12g26580 transcript:itb12g26580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDEQFQMANNAKALVVHDTSMLNHVGQNNSSPFATQGMQNGILDSPAYKAKKCQDALQETGLRVKQHEENMKFLKTKKNRLDDLIIDLQVALGKHHSAAAPEIENDRDARSEEETVKQIMRSGRSAAAVLCHLKMNHGAQASNIPLSKDVLGIVATLGSVEDDNLSRILSDYLGLETMLAVVCKTYDGVLALETYDKEGLINKSSGLHGLGASIGQPLDGRFLVICLENLSPFSGDFIADDPQRRLDLLKPKLPNGESPPGFIGFAVNMINVDSMNVFCVTGSGHGLRETLFYNLFSSLQVYNTRLEMLRALPCISRGAVSLDGGMIKRDGIFSLGNRDVGVKFPNSFGRPIPPLNYFQVENKLKETKWEKERIMEDMQREQALLDHARFNFEVKKQEFIKHLAQSSSYQTQMQL >itb12g26580.t2 pep chromosome:ASM357664v1:12:27411297:27415827:-1 gene:itb12g26580 transcript:itb12g26580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDEQFQMANNAKALVVHDTSMLNHVGQNNSSPFATQGMQNGILDSPAYKAKKCQDALQETGLRVKQHEENMKFLKTKKNRLDDLIIDLQVALGKHHSAAAPEIENDRDARSEEETVKQIMRSGRSAAAVLCHLKMNHGAQASNIPLSKDVLGIVATLGSVEDDNLSRILSDYLGLETMLAVVCKTYDGVLALETYDKEGLINKSSGLHGLGASIGQPLDGRFLVICLENLSPFSGDFIADDPQRRLDLLKPKLPNGESPPGFIGFAVNMINVDSMNVFCVTGSGHGLRETLFYNLFSSLQVYNTRLEMLRALPCISRGAVSLDGGMIKRDGIFSLGNRDVGVKFPNSFGRPIPPLNYFQVENKLKETKWEKERIMEDMQREQALLDHARFNFEVKKQEFIKHLAQSSSYQTQL >itb06g12770.t1 pep chromosome:ASM357664v1:6:17344627:17347081:-1 gene:itb06g12770 transcript:itb06g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYYSDVDAACGLTTHLRSLLLPRVLPTAPTTAPPLASTRPHLYVCIYIYPLQFLHCHYLFFYYMFSRCFTYNSSRALVATLRHLPPPPPTTAHSPFSTFPVKTKSVRPSLVFVRSMASDGNQFPPQKQDSQPGKEHAMDPTPQYSSQHYKPSNKLQGKVALVTGGDSGIGRAVCHCFALEGATVAFTYVKSHEDKDARDTLEILKKAKVEGAKEPMAIPADLGFEENCRKVVESVVNSYGRIDILVNNAAEQHKTWSIDDIDEARLERVFRTNIFSQFFLVKYALKHMKEGSSIINTTSVTAYKGSPKLLDYSATKDECAKFGKEVPMQRAGQTIEVAPSYVFLASCPESSYITGQILHPNGGTIVNG >itb12g00760.t1 pep chromosome:ASM357664v1:12:538455:541790:1 gene:itb12g00760 transcript:itb12g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSSKGERSEALRLCKERKRFIKNAIDSRYALAAAHVSYVQSLRNLGFGLRRYAEAEMLIESSVSASAPDMDKTPSHSSYPSPSPSHIGGVSDSPVPNESPVSPLTTRMSYMRLGGTGAVTVKMAPPVVNGYVEEGGFAMPPPPPPPEAGYSWDFFYPPDDSESFRFVAHNDAHMSEEKDDDDDVGNGGQEEFMTPKSEPRHNGRTKSDVDNSHFTPERTDNNCREAIENEANNDRSQEMGKETGKDVESKDGLQPSGSRGDNNKCLVEKDARAQREDPSEFITHRAKDFLSSIKDIEHRFTRASESGKEVSRMLEANKIHVGYSMAKGNSPAALSLTSIGTVCCRRGTNKNVGEGEQHATKIITWKRTTSSRSSSSRNPLNTKDDNDDSGSDFVDDFCMISGSHSSTLDRLYAWERKLYDEVKAIESIRRDYDRKCDQLRHQSAKDLSAQVIDKTRAGVKDLHSRIRVALYSVDSISKRIEKMRDEELLPQLLELIQGLNRMWRAMLECHHTQYITISLAYHAKTSTGSPRGNMQKQIMDQLLNEVECFGLSFADWSNSHTMYVEALNAWLQNCILQPREQVKRRRTFSRPLSPRRGVPPPIFVLCQAWLSNIQSLPSRELNDAIRAFLYDLSQSGEHHPEEQHKKETTVDATNTGEGGKSSETSSDLNCIHASLTRVLNRLTKFSEASLKMCEDVRQRCEMATNAYVNYKPPRSFSI >itb12g00760.t2 pep chromosome:ASM357664v1:12:538455:541781:1 gene:itb12g00760 transcript:itb12g00760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSSKGERSEALRLCKERKRFIKNAIDSRYALAAAHVSYVQSLRNLGFGLRRYAEAEMLIESSVSASAPDMDKTPSHSSYPSPSPSHIGGVSDSPVPNESPVSPLTTRMSYMRLGGTGAVTVKMAPPVVNGYVEEGGFAMPPPPPPPEAGYSWDFFYPPDDSESFRFVAHNDAHMSEEKDDDDDVGNGGQEEFMTPKSEPRHNGRTKSDVDNSHFTPERTDNNCREAIENEANNDRSQEMGKETGKDVESKDGLQPSGSRGDNNKCLVEKDARAQREDPSEFITHRAKDFLSSIKDIEHRFTRASESGKEVSRMLEANKIHVGYSMAKGNSPAALSLTSIGTVCCRRGTNKNVGEGEQHATKIITWKRTTSSRSSSSRNPLNTKDDNDDSGSDFVDDFCMISGSHSSTLDRLYAWERKLYDEVKAIESIRRDYDRKCDQLRHQSAKDLSAQVIDKTRAGVKDLHSRIRVALYSVDSISKRIEKMRDEELLPQLLELIQGLNRMWRAMLECHHTQYITISLAYHAKTSTGSPRGNMQKQIMDQLLNEVECFGLSFADWSNSHTMYVEALNAWLQNCILQPREQVKRRRTFSRPLSPRRGVPPPIFVLCQAWLSNIQSLPSRELNDAIRAFLYDLSQSGEHHPEEQHKKETTVDATNTGEGGKSSETSSDLNCIHASLTRVLNRLTKFSEASLKMCEDVRQRCEMATNAYVNYKPPRSFSI >itb04g24250.t3 pep chromosome:ASM357664v1:4:28978209:28980004:1 gene:itb04g24250 transcript:itb04g24250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQEERRRLCDFCGYCTAVLYCTADSAKLCLSCDREVHSTNQLFTKHTRSLLCDACDSSPASIFCSTDAAVLCHTCNWESHSPALSPAHDCHPVEGFSGRPSLSELLSVLGFENVSKKSLLSGDDADNYEYLDYLVWDTPSVVASVDDYSVPLPKNQNAACGQHKADILCQLRDMLKLEPNFTTEDEDFEPLRSFQSMEPKSTELKQKASGFQGGTDTSKFVEQGIASSLFCGFVETNCLVPDKNSDTGEGSVLANDHSKGKCQHSSITDTQQALPRVAPRELNSQERETAITRYKEKRKTRR >itb04g24250.t2 pep chromosome:ASM357664v1:4:28977972:28980004:1 gene:itb04g24250 transcript:itb04g24250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQEERRRLCDFCGYCTAVLYCTADSAKLCLSCDREVHSTNQLFTKHTRSLLCDACDSSPASIFCSTDAAVLCHTCNWESHSPALSPAHDCHPVEGFSGRPSLSELLSVLGFENVSKKSLLSGDDADNYEYLDYLVWDTPSVVASVDDYSVPLPKNQNAACGQHKADILCQLRDMLKLEPNFTTEDEDFEPLRSFQSMEPKSTELKQKASGFQGGTDTSKFVEQGIASSLFCGFVETNCLVPDKNSDTGEGSVLANDHSKGKCQHSSITDTQQALPRVAPRELNSQERETAITRYKEKRKTRRFEKQIRYESRKARAESRARIKGRFAKKDERDSAMHR >itb04g24250.t1 pep chromosome:ASM357664v1:4:28977972:28980004:1 gene:itb04g24250 transcript:itb04g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQEERRRLCDFCGYCTAVLYCTADSAKLCLSCDREVHSTNQLFTKHTRSLLCDACDSSPASIFCSTDAAVLCHTCNWESHSPALSPAHDCHPVEGFSGRPSLSELLSVLGFENVSKKSLLSGDDADNYEYLDYLVWDTPSVVASVDDYSVPLPKNQNAACGQHKADILCQLRDMLKLEPNFTTEDEDFEPLRSFQSMEPKSTELKQKASGFQGGTDTSKFVEQGIASSLFCGFVETNCLVPDKNSDTGEGSVLANDHSKGKCQHSSITDTQQALPRVAPRELNSQERETAITRYKEKRKTRR >itb04g24250.t4 pep chromosome:ASM357664v1:4:28978209:28980004:1 gene:itb04g24250 transcript:itb04g24250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEQEERRRLCDFCGYCTAVLYCTADSAKLCLSCDREVHSTNQLFTKHTRSLLCDACDSSPASIFCSTDAAVLCHTCNWESHSPALSPAHDCHPVEGFSGRPSLSELLSVLGFENVSKKSLLSGDDADNYEYLDYLVWDTPSVVASVDDYSVPLPKNQNAACGQHKADILCQLRDMLKLEPNFTTEDEDFEPLRSFQSMEPKSTELKQKASGFQGGTDTSKFVEQGIASSLFCGFVETNCLVPDKNSDTGEGSVLANDHSKGKCQHSSITDTQQALPRVAPRELNSQERETAITRYKEKRKTRRFEKQIRYESRKARAESRARIKGRFAKKDERDSAMHR >itb06g24270.t1 pep chromosome:ASM357664v1:6:25899349:25901392:-1 gene:itb06g24270 transcript:itb06g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRELKGPKMASLATALVVLVALLATEATANEYKYSSPPPPPMRHYNPPPTPVYSPPHHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVYSPPPHHPYKYKSPPPPPVYSPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVYSPPHHPYKYKSPPPPVHSPPPPVHPYKYKSPPPPVYSPPPPVHPYKYKSPPPPIYSPPPPVYSPPPPVHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVYSPPPHHPYKYKSPPPPVYSPPHHPYVYSSPPPPPYHH >itb02g19250.t1 pep chromosome:ASM357664v1:2:16285624:16285935:1 gene:itb02g19250 transcript:itb02g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIGCFAVWVEESTPEPKRRWNSKSEHVRILDSLFNSGVVNQSWEEIKRIRIRLEEYGEVGDANVFYLFQNKKSISKNKQHLTLKFGKQAEQENGKEREGEK >itb15g22640.t1 pep chromosome:ASM357664v1:15:25317204:25317863:1 gene:itb15g22640 transcript:itb15g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENHKVSRFFPSSFTSCRLRTLTDVVQSSISAARNRHENQFPKINLAGDGDADHRVGTRDLGYHDKKTTLVLGYFSPSIDGRRCPPATPISAAAPAAAQPPAASTSTTSSLFSEEDRRFDYYSDSSSCRRKTSKPRRKTTAAARRRGWTGGWSAIEKSTSDPYGEFRKSMMEMIVEKQIFRAEDLNSLLHCFLSLNSPFFHQVIVEVYLEIIQTLFTN >itb14g07150.t1 pep chromosome:ASM357664v1:14:6514800:6515264:1 gene:itb14g07150 transcript:itb14g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYELKGKKINLKLKSLQKKLVVVPPDCCSCSTTTPVVAALTAEAARGLPRRRRWFATPTARRRLKTRRWCKICSSYLEFRPSPQTPALRRRLGLYPPNSGHRHRPFHGLNERPPLLPTPHRSSISRCQPPRLLKMPLFLLTPTPDTFKTIPDT >itb08g05500.t1 pep chromosome:ASM357664v1:8:4545230:4550241:-1 gene:itb08g05500 transcript:itb08g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSDHRKHRRSPKVLLLLVQKAEESEHAEGGFDGASYSGAVFNLSTTIVGAGIMALPATLKQLGTIPGLVVILLASLLTEKSISILLRFSKASKSASYSGLAGDTFGDFGRTLLQACIVVNNVGTMVVYIIIIGDVLSGISFNGVHHLGVMEEWFGQHWWTTRPSLVLIISLFIFAPLISFKRVDSLRYTSALSVALAVAFVAITAGVVIVKMINGSIQMPRMLPNIVNQASFWKLFTVVPVLVTSYICHHNVHPIENELKDPSQMKSIVHTSITLCTCVYIATSFFGFLLFGDQTLDDVLANFDGDLKIPYSSMIDDVVRVSYVIHLILVFPIVFFSLRLNLDGLLFPHSIPIAYDNRRFYTLTAVLMCFIFLGANCVPSIWDAFQFTGATATVSVGFIFPAAIALKDPHGIATKNDKVMSWVMIVTAVFSSTVAICSDIYNIFYIGGGS >itb08g05500.t2 pep chromosome:ASM357664v1:8:4546338:4550241:-1 gene:itb08g05500 transcript:itb08g05500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSDHRKHRRSPKVLLLLVQKAEESEHAEGGFDGASYSGAVFNLSTTIVGAGIMALPATLKQLGTIPGLVVILLASLLTEKSISILLRFSKASKSASYSGLAGDTFGDFGRTLLQACIVVNNVGTMVVYIIIIGDVLSGISFNGVHHLGVMEEWFGQHWWTTRPSLVLIISLFIFAPLISFKRVDSLRYTSALSVALAVAFVAITAGVVIVKMINGSIQMPRMLPNIVNQASFWKLFTVVPVLVTSYICHHNVHPIENELKDPSQMKSIVHTSITLCTCVYIATSFFGFLLFGDQTLDDVLANFDGDLKIPYSSMIDDVVRVSYVIHLILVFPIVFFSLRLNLDGLLFPHSIPIAYDNRRFYTLTAVLMCFIFLGANCVPSIWDAFQFTGATATVSVGFIFPAAIALK >itb06g02050.t1 pep chromosome:ASM357664v1:6:3460247:3461720:-1 gene:itb06g02050 transcript:itb06g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAEVPVSWRTAEILSGDGHTYIVRFDSLVESESMVERVWRRLIRPSPPIVQHTESWLAGDILEVFDDFSWKVAIVLYALEGDYYFVRLLGSSHEFSIHISSMRRRQAWQDGKWVLMSKVSSECGKVNTLKLSTPIGCQKSDFQLLQSDVLANFQGESRLQESHAVSSRSLKRASPYCSSAAESHTIHFQKLRAAETNGQRQRLIPSPVLEKVDAVAYPRETLGENYMHASFNIGSTRYNEIDKAKLDGVFCFSSLRNSELSDSDSDACSVGSCSVTSESPVKFRCHFLPNPLKSSDAFCSDAESVCHSVDEEESIPPPEEDLAESIHRLELHAYHCTLEALYASGPLTWEQETMLTNLRITLHISNDEHLMELKNLISAKSCSYLR >itb05g25920.t1 pep chromosome:ASM357664v1:5:30057684:30061052:-1 gene:itb05g25920 transcript:itb05g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKLYSVFFKFMLKHRLQNRIQNHVVDGPNGSTTATATATFGVTSRPEEESIAAANPVFTDGVATKDIHIDPLTSLSIRIFLPQTCLDSPDADSRFQAPSKVRATIRSSDSAPGSDPNQVLLRRTSYGASASINGAISPLESNQSFNYNNHRRSSYGCSADDLSLKSDGIYRGYSPASKKSRKLPVMLQFHGGGFVSGSNDSVANDIFCRRIAKLCDVIVLAVGYRLAPENQYPAAFEDGLKVLHWLAKQANLAECSKSSLGSKQIADAFGASMVEPWVAAHGDPSRCVLLGVSCGGNIADYVARKAIEAGKRLNPVKVVAQVLMYPFFIGTVPTQSEIKLANSYFYDKATCILAWKLLLPEDEFNLDHPVANPLVPGRGPPLKRMPPTLTIVAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKHISLRGHEFSY >itb05g20690.t1 pep chromosome:ASM357664v1:5:26734454:26739038:-1 gene:itb05g20690 transcript:itb05g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRGLAKGRASRIGSYSIASSISDPSSVSCTTFNILAPIYKRLCSEDPSVRESHFRGFWLDRNERILEWLLYERSSIICLQEFWVGNEELVDLYDKKLGDAGYVTFKLARTNNRGDGLLTAVHKDYFRVISHQELLFNDFGDRVAQLLHVELVLPCSPCRNGNVRKEILIVNTHLLFPHDSSICLERLRQVYKILQYVESYQKENKLNPLPIILCGDWNGSKRGHVYKFLRSQGFISSYDTAHQYADADAQKWVSHRNHRGNICGVDFIWLLNPNKYRKLLKTSWSQAVFGMFKYQLRRASLTEEDAFAFLKADSSDDYISYSDFCEALRQLSLIGNRNGLSIQETNDLWVQADIDGNGVVDYKEFKQWIWNPTWSEQRDDQWDDSENAIEEAIGFSIQDAVLFPKEVEKGMWPEDYSLSDHAKLTVVFSPA >itb05g20690.t2 pep chromosome:ASM357664v1:5:26734454:26739038:-1 gene:itb05g20690 transcript:itb05g20690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRGLAKGRASRIGSYSIASSISDPSSVSCTTFNILAPIYKRLCSEDPSVRESHFRGFWLDRNERILEWLLYERSSIICLQEFWVGNEELVDLYDKKLGDAGYVTFKLARTNNRGDGLLTAVHKDYFRVISHQELLFNDFGDRVAQLLHVELVLPCSPCRNGNVRKEILIVNTHLLFPHDSSICLERLRQVYKILQYVESYQKENKLNPLPIILCGDWNGSKRGHVYKFLRSQGFISSYDTAHQYADADAQKWVSHRNHRGNICGVDFIWLLNPNKYRKLLKTSWSQAVFGMFKYQLRRASLTEEDAFAFLKADSSDDYISYSDFCEALRQLSLIGNRNGLSIQETNDLWVQADIDGNGVVDYKEFKQWIWNPTWSEQRDDQWDDSENAIEEAIGFSIQDAVLFPKEVEKGMWPEDYSLSDHAKLTVVFSPVRMPCSQLT >itb03g18470.t1 pep chromosome:ASM357664v1:3:16742728:16748036:-1 gene:itb03g18470 transcript:itb03g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQISATPSRPLGAGAGTSSGIPPAIVNVERQSGEEEGRQAARRRGSGPLLQAPGLSKQKSPIGNESTSKDAMLSTPTAMGRTSGSLRRGAVSGNRESLTVGNDSDPMHSRTPEASVGTGPKISSGQRSYPLGGSSDPSKQASSGRNISSTKNYESTLKGIETLRIDDEEKAPY >itb09g10820.t1 pep chromosome:ASM357664v1:9:6684508:6689052:1 gene:itb09g10820 transcript:itb09g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGRAIALILVFVFLIVSSTSSNDSNPTTPNNSSTTPKQGSPENTTASDSKGSNSSSVIAKAKPKETQGTENNTKVDLNGSKEESNKTGGIPPPNSKSNEDQGKVENVKNAEEGSTESCEGALLKCEIEKTLLACIKGPKDGSKESFIVLHNEGESRLEVKVYTPTSVKIVPATVEKKQTVTVNISTLSEGPKIIVDAGNGNCSLQLHRFEAVSKGPKIVAVDSLLQQFSLYSKQVTPIYGAYFLFLVALLFGGTWACCKLRKKRQHGGIPYQELEMGLPESSSAVNVDTAEGWDQGWDDDWDEDNAVKSPGGHRFGSISANGLTARSAKKDGWENDWDD >itb01g15340.t1 pep chromosome:ASM357664v1:1:17743783:17745616:1 gene:itb01g15340 transcript:itb01g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRPKSINLTNPSLYYSGEGHLLLLSLTLSQLRFRYLEGKEKSFAMSKLQSDAVREAISQLMGDSKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKFGMEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLETKVNETKATVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKTTMGRPIRIF >itb02g15220.t1 pep chromosome:ASM357664v1:2:11072194:11077114:-1 gene:itb02g15220 transcript:itb02g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFFCSVGFSGKKEGVGDLLKEPLLNGGEVKLPSGNETVTPYFSASLFSTITYSWMNPLISLGTKRILNLEDIPQLAGLDSIRGIFPIFRDKLGSSSEGGRKLTTFMLAKALIFTVWKDIMLSAIYILFYKLPSYVEAYLINNLVQFLNGSREFRYEGYLLVSAFFIAKVVESVAETQYFFKVRQAGFRVRAALVAKVYNKGLTLSCQSRQSHTSGEIINFMTVDAERIADFVWYIHDPWIILVQIGLALVILYKNLGGASIVALLSTVIVMLANFPLAKLQERYQKKLMKSKDSRMKATSEVLRNMRILKLQAWEMKFLSKILGIRNTEVGWLRKFGYISALTTFVNWVAPSFVSVATFGAAVLMGVPLESGKVLSSLATFTTLKQPIYRIPDAISMIAQIKVSLDRIASFLSLDDLQPSAIEKLPRGSSDVAIDIVEGNFCWNETSSSPLLKDINVRVHHGMKVAICGTVASGKSSLLSCILGEMPKISGSVKLCGSKAYVPQSPWIQSGTIEENILFGMKMDSEKYDRVLEACSLKKDLEVLPFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGTHLFNECILRLLDSKTVIYVTHQVEFLPAADLILVMKDGRISQAGKYNNILKLESEFMELVGAHNEAISATDSFEERIVTVRNESGGMLTTNDAINEEATDGKIDSSVRPKGQLVQEEEREKGRVGFLVYWQYITMAFGGFLVPFILLAQIIFQTLQIGSNYWMTWATPVSEGETPPVGSSTLLLVYVAFAIGSSFCVLVRALLLVTAGFKTATLLFHKMHLCIFRAPMSFFDATPSGRILNRASTDQSTVDLNIPSQIGSFAFTIIKLLGAIAMMSLVSWQVFAFIIPVIAICVYYQRQYLPSARELARLAGVAKAPVIQHFAETLSGSTTIRGFDQESRFGDTNMKLIDNYSRPRFHVYGAMQWICFRLGVLSVMTFAFTLIFLVSVPVGTIDAKFAVLAVTYGLNLNSQLIWIALSFCIVENSIISVERIIQYTSMSSEPPLVTESNRPDTCWPPNGRVEISNLKVRYAPQLPLVLRGITCTFLGGKKTGVVGRTGSGKSTLIQTLFRVVEPADGEILIDSINILSIGLHDLRARLSIIPQDPTMFEGTIRGNLDPLEEYTDEQIWEALDKCQLGGEVRKKEAGLDAAVTENGENWSVGQRQLVCLGRVLLKKSKILVLDEATASVDTMTDNLIQQTLRQHFSDSTVITIAHRITSVLDSDMVLLLDNGIVAENGTPANLLDNKSSLFAKLVAEYTMRSSSSGLENLS >itb11g18040.t1 pep chromosome:ASM357664v1:11:18163862:18164380:-1 gene:itb11g18040 transcript:itb11g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRYYCRACKRHWTYGGIQRDIPIGGKSNKGRKSTKRYENKRVQPSLPQLQPSCPQANVAPLAFGPLALPPMVTPYRVENGYLNMVNPLRTIEPPYNSSQNAFQPTSHYGSRSQNLFLNNNDGASSSNTIPFNASVNSSTTSGYTNVGWSSLIANPNDWLDFPRSFDPST >itb12g23410.t1 pep chromosome:ASM357664v1:12:25140562:25142245:-1 gene:itb12g23410 transcript:itb12g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGENAVPNVLIFPLPLQSPVNSMLKLSELLCLAGVHVTFLNTEHNHRRLLGSTDAGSRFERYPGKLSFEVISDGLREDHPRSAEDFEGIVKSLQGVAEAHLREMLRSERGRKVTCVIAEGMFDYAFEIGKEVGIPVFAFETVSPCYLGVCLCIPKLFEAGQLPFKGEDLETLVEGVSGMEGLLKVRDLPHFCRAKDLWADESGKLIMADIYTVPKAHGLILNSFEELDGPKIMAHIRTHCPNTYMIGPVQQHLKVRLAKRETTTSPLCSNSFWREDKTCIQWLDEQPDESVIYVSFGSQNTLTMAQLMEFWHGLVASGVRFLWVLRPNILRAAKEVLDEKFVRELKKGCLECGHIVSWAPQEEVLAHPAIGGFWTHHFETF >itb03g19720.t1 pep chromosome:ASM357664v1:3:17704193:17705021:1 gene:itb03g19720 transcript:itb03g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMENHIKHKITRIFRSSFGSCKTKNITDVIDDDKPVFHPQNPQFFSDIFPPKPRPFPSICRPGPEVDHRILFPRPKISDQSSPLFPPPAPSKHKKKKRIGYKGLFSSDDEETEDEDDDDRATLFSSVSFSFSSDSSESFRRRRAERIRRRRRRAARKRRGAEEKEKEAAMKDSMAVVKRSRDPCADFRASMLEMIMEKQIFGAKDLEKLLDCFLSLNSHHHHGVIIQVFTEICEALFSNYCP >itb15g16470.t1 pep chromosome:ASM357664v1:15:16055953:16056465:-1 gene:itb15g16470 transcript:itb15g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTAGASATIANPPPPSPQRHHSRALIETKKNAARHRYRLQDAAMLPPLSAVNSPPPPMASLRTPSSFFEQCHRYLGRSKRM >itb04g02760.t2 pep chromosome:ASM357664v1:4:1685316:1688031:-1 gene:itb04g02760 transcript:itb04g02760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNQSAAVMFSDETGHESDQNRQYFDPYAAAQTAFNDNPAALVVGSNANFGTGHHQFHNAQAAAAQGNAHHHHHHMAAYYDPRAYGGASFGHAAAAAHAPLLSLEPSAPGGYMVVPKSEPMVGGIEFGSSSRIGLNLGGRTYFSSAEDDFVNRLYRRSRAVDPGAVNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFVKEK >itb04g02760.t1 pep chromosome:ASM357664v1:4:1685316:1688031:-1 gene:itb04g02760 transcript:itb04g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWGNQSAAVMFSDETGHESDQNRQYFDPYAAAQTAFNDNPAALVVGSNANFGTGHHQFHNAQAAAAQGNAHHHHHHMAAYYDPRAYGGASFGHAAAAAHAPLLSLEPSAPGGYMVVPKSEPMVGGIEFGSSSRIGLNLGGRTYFSSAEDDFVNRLYRRSRAVDPGAVNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKAATVIAAGLTQRFCQQCSRFHLLTEFDNGKRSCRKRLADHNRRRRKNQQPNQEPSTNKPHHLENPPTSSSANSFARSPADSGAHSMSSVTVAVSPPRISLDYFR >itb09g27840.t1 pep chromosome:ASM357664v1:9:28380321:28382928:-1 gene:itb09g27840 transcript:itb09g27840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMNEFFRRGKPYLAVIFLQFGLAGMDIISKVALNEGMSNYVFVVYRHAVATLVIAPFAIILDKKQRPKMTLAIFAKLVLLSILEPVIDQNLYFIGMKYTTATFAAAMCNVLPAITFIMACIFRLEKVKMKSIRSQAKIFGTLATVAGAMMMTLLRGPIVQLFWTKSTTTHDHQSGVIDLAHSIKGALMITTGCFSWAFFVILQAITLRSYPTELSLTAWICLLGTANGGIVALVMERGNTAAWSINWDTKFLAALYSGVFCSGLAYYIQGVIMKDRGPVFVTAFNPLSMVIVAVMSSIILREQMFLGRVLGAAIIVIGLYMVLWGKSNDQNSTSSEQSLPDQQMKSANSEKETLSHEIITIYPSIEQSQQSVDKDKTVG >itb09g27840.t4 pep chromosome:ASM357664v1:9:28380351:28382701:-1 gene:itb09g27840 transcript:itb09g27840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIFAKLVLLSILEPVIDQNLYFIGMKYTTATFAAAMCNVLPAITFIMACIFRLEKVKMKSIRSQAKIFGTLATVAGAMMMTLLRGPIVQLFWTKSTTTHDHQSGVIDLAHSIKGALMITTGCFSWAFFVILQAITLRSYPTELSLTAWICLLGTANGGIVALVMERGNTAAWSINWDTKFLAALYSGVFCSGLAYYIQGVIMKDRGPVFVTAFNPLSMVIVAVMSSIILREQMFLGR >itb09g27840.t2 pep chromosome:ASM357664v1:9:28380351:28382701:-1 gene:itb09g27840 transcript:itb09g27840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIFAKLVLLSILEPVIDQNLYFIGMKYTTATFAAAMCNVLPAITFIMACIFRLEKVKMKSIRSQAKIFGTLATVAGAMMMTLLRGPIVQLFWTKSTTTHDHQSGVIDLAHSIKGALMITTGCFSWAFFVILQAITLRSYPTELSLTAWICLLGTANGGIVALVMERGNTAAWSINWDTKFLAALYSGVFCSGLAYYIQGVIMKDRGPVFVTAFNPLSMVIVAVMSSIILREQMFLGRVLGAAIIVIGLYMVLWGKSNDQNSTSSEQSLPDQQMKSANSEKETLSHEIITIYPSIEQSQQSVDKDKTVG >itb09g27840.t3 pep chromosome:ASM357664v1:9:28380351:28382922:-1 gene:itb09g27840 transcript:itb09g27840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMNEFFRRGKPYLAVIFLQFGLAGMDIISKVALNEGMSNYVFVVYRHAVATLVIAPFAIILDKKQRPKMTLAIFAKLVLLSILEPVIDQNLYFIGMKYTTATFAAAMCNVLPAITFIMACIFRLEKVKMKSIRSQAKIFGTLATVAGAMMMTLLRGPIVQLFWTKSTTTHDHQSGVIDLAHSIKGALMITTGCFSWAFFVILQAITLRSYPTELSLTAWICLLGTANGGIVALVMERGNTAAWSINWDTKFLAALYSGVFCSGLAYYIQGVIMKDRGPVFVTAFNPLSMVIVAVMSSIILREQMFLGR >itb11g12200.t1 pep chromosome:ASM357664v1:11:9079182:9080071:-1 gene:itb11g12200 transcript:itb11g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFLPKVASCARPQHLAVRFCLNASTPLLLPPPPDGRSLTRCLASATGLHGSASLSLLQMQLRLGMRPWIVVAYSAPVAAATAVFLIYPIGQGSFSAAPIQCYW >itb06g06650.t4 pep chromosome:ASM357664v1:6:9248174:9252973:-1 gene:itb06g06650 transcript:itb06g06650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHESPYICLMVIILTFGNAEKIGSFRKSTMESACEFVEFPQLPTPIETNYRACTIPYRFPSDNPRKATPTEIAWIDLFLNSIPSFRKRAESDDSVTDAPSRADKFAQRYAEILEDLKRDPESHGGPPDCILLCRLREQVLREVGFRDIFKKVKDEENAKAISLFEHVIGLNDAIVHEAKRVENLARGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFILKWGRNCWRKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYTELVDIISKLKDEHGELAGVDTSNLLIANSGNDLPVIDLSRISQELAYLASDADIVILEGMGRGIETNLYAQFKCDSLKIGMVKHQEVAQFLGGRLYDCVFKYNEVLG >itb06g06650.t3 pep chromosome:ASM357664v1:6:9248233:9256917:-1 gene:itb06g06650 transcript:itb06g06650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESACEFVEFPQLPTPIETNYRACTIPYRFPSDNPRKATPTEIAWIDLFLNSIPSFRKRAESDDSVTDAPSRADKFAQRYAEILEDLKRDPESHGGPPDCILLCRLREQVLREVGFRDIFKKVKDEENAKAISLFEHVIGLNDAIVHEAKRVENLARGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFILKWGRNCWRKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYTELVDIISKLKDEHGELAGVDTSNLLIANSGNDLPVIDLSRISQELAYLASDADIVILEGMGRGIETNLYAQFKCDSLKIGMVKHQEVAQFLGGRLYDCVFKYNEVLG >itb06g06650.t1 pep chromosome:ASM357664v1:6:9248073:9257110:-1 gene:itb06g06650 transcript:itb06g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESACEFVEFPQLPTPIETNYRACTIPYRFPSDNPRKATPTEIAWIDLFLNSIPSFRKRAESDDSVTDAPSRADKFAQRYAEILEDLKRDPESHGGPPDCILLCRLREQVLREVGFRDIFKKVKDEENAKAISLFEHVIGLNDAIVHEAKRVENLARGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFILKWGRNCWRKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYTELVDIISKLKDEHGELAGVDTSNLLIANSGNDLPVIDLSRISQELAYLASDADIVILEGMGRGIETNLYAQFKCDSLKIGMVKHQEVAQFLGGRLYDCVFKYNEVLG >itb06g06650.t2 pep chromosome:ASM357664v1:6:9248174:9252973:-1 gene:itb06g06650 transcript:itb06g06650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESACEFVEFPQLPTPIETNYRACTIPYRFPSDNPRKATPTEIAWIDLFLNSIPSFRKRAESDDSVTDAPSRADKFAQRYAEILEDLKRDPESHGGPPDCILLCRLREQVLREVGFRDIFKKVKDEENAKAISLFEHVIGLNDAIVHEAKRVENLARGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFILKWGRNCWRKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYTELVDIISKLKDEHGELAGVDTSNLLIANSGNDLPVIDLSRISQELAYLASDADIVILEGMGRGIETNLYAQFKCDSLKIGMVKHQEVAQFLGGRLYDCVFKYNEVLG >itb06g06650.t5 pep chromosome:ASM357664v1:6:9249380:9257110:-1 gene:itb06g06650 transcript:itb06g06650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MESACEFVEFPQLPTPIETNYRACTIPYRFPSDNPRKATPTEIAWIDLFLNSIPSFRKRAESDDSVTDAPSRADKFAQRYAEILEDLKRDPESHGGPPDCILLCRLREQVLREVGFRDIFKKVKDEENAKAISLFEHVIGLNDAIVHEAKRVENLARGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFILKWGRNCWRKAVIFVDNSGADIILGILPFARELLRRGTQASNSSPQCLGIKLGIN >itb06g24200.t1 pep chromosome:ASM357664v1:6:25861466:25864536:-1 gene:itb06g24200 transcript:itb06g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEVQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHQGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPDEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYTFTTTAEREIVRDVKEKLAYVALDFEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >itb05g04490.t1 pep chromosome:ASM357664v1:5:4061641:4062942:1 gene:itb05g04490 transcript:itb05g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPPPPPTPFSLDLLPMDANTSHRLCRQLLRRRRRHSLQIYYLWTLTPLTAYAVNSSAAAAAILFRSVANCRTSTLLTAIAVVSIADAAPPPLPSSDKAHFGVASIIGVIDSVQRYGLNMKALMMMDFIVFHPIGRECAKKEKNLIVPTVADLQLPDDIEWSFIGNL >itb05g05360.t1 pep chromosome:ASM357664v1:5:5068883:5070161:-1 gene:itb05g05360 transcript:itb05g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFRQGGNTGTQQRPPQSAPPFQPPKSSSPSIPFMSFDVGSAAASSSFSTPQFIGGGGGGIGGSTVFDDEPPLLEELGINTRQIYLKTLSILNPFRVKADLHEDADLSGPFLFLLAFGLFQLLAGKIHFGIILGWVVMASLFLYVVFNMLAGRNGNLDLYKCLSLIGYCMLPIVFSSAVALFLPQGMAATKVAAGVFVIWSTRVCTRLVVELALCGDEHRGLIAYACFLIFMLFSLLVIF >itb11g08250.t1 pep chromosome:ASM357664v1:11:5371239:5374347:-1 gene:itb11g08250 transcript:itb11g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLSSIPKEHHNSVARFLESRGMVEEALEVATDPDYRFELAIQLGKLDIAKEIALVAHSESKWKQLGELAMSSGMLELAEQCLKQANDLSGLLLLYSSLGDAEGITELVSLSKEHGKNNVSFLCLFLLGKVEECIQLLIDSNRLPEAAFMARSYLPSKVSEIVEIWRKDLNKINQKAAEALADPKDYPNLFEDWQVALAVESTVAETRGNLPPASEYFNQADRSTTNLVEAFRNMRMDEEEPLQNGDLDHEVADQNGDEVQDDAQEETVLVDADSVDGAVLINANGTEEQWGTKNEGKESA >itb06g23960.t1 pep chromosome:ASM357664v1:6:25718070:25718414:-1 gene:itb06g23960 transcript:itb06g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNVKKAMAKEELKEIKKRRKDDEKKKAKEKEKRKREEAEKRKREKKRAREDMRREEEKKAKEKEKRKREETEKKKRAEEEMRKNETKKKKHEEQKQKRGKERHKVKKIRLLI >itb13g17030.t1 pep chromosome:ASM357664v1:13:23989990:23992106:1 gene:itb13g17030 transcript:itb13g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFCVSKAMEFLSLLYDNIITPTLACTLVLLFFMCKLFLAPKKDAQHKRLAPEVPGAWPIIGHLHLLAGRKTPTHLILASMADKYGPIFRMRLGSQLVVVVSDSIVAKECFKAKDKELATRPKFMASEIMGYNYSFFAIAPYGEYWREIRKIVLLELLSNRRIEMLRKVRESHVRKAIKRTFDHWSHNKDPNSGAVVVEMKQWFSRLIINLSIAMLFGEEEVPDESQLLKSIRNLFELFGETLVSDFIPWLRWMDLGGYEKAMRKTAEEMDCAADRWLKEHRTKRNLKSKEEEDFMDAMLSLFDAPSNQTHPLGFDTDVIIKSTCLNLLLGATDTTTITLTWALSLVLNNYNVLRNIQDELDTKIGKFRGIEESDINKLIYIQAVVKETLRLHPAVPLSVPHEAIEDCTINGYHIQKGTRVISNLAKIHRDPKVWVDLNKFRPKRFLTTHKDIDVKGNNFEIIPFGSGRRMCPGMILGLQIVHLTLASLIQSFDMKRPSIEPIDMTQSPGLTTAKATPLHVLLMLGLGSDLYG >itb01g29740.t1 pep chromosome:ASM357664v1:1:33921333:33923270:1 gene:itb01g29740 transcript:itb01g29740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIETARIRKELNIMKRKREMEMGASEMKSAIEELSSMVLIKAKDGSDYDTTLHIPTKPFLSICNCLLQVLDKIGPTMFVLRQDVHQNIQRLEKLHDSDPSLYSNMVEILKKERNEGSAKKGPSCSKALVWLTRSLDFTSALLQLLVEDLERNMEEAVEESYNITLKPWHGWISSAAYGIAVKLVPDSTSFMRILMSKQESNSNDNLNKEIRTLVSLLVPVLQEIHNVLETYGLNRLKAT >itb15g05850.t2 pep chromosome:ASM357664v1:15:3787580:3791097:1 gene:itb15g05850 transcript:itb15g05850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSSAAAKMMVMSKIEAAHQLIHHENHARARIAENLQSRKLDRESLLRQLMYLVPMEFCWCRRTRTKMRIQSDQWNLHQLSIIPTQEQQLLKQPESIKSLIYKTRHGTKSMVEEKRIFKAIKHATDLPPSDKQTKNNHTIKPTFDEIQALRKQKRAYNANVEQLKGQLEASNRDILSLQNRLDDINRKKHEIYEYILQLRNLA >itb15g05850.t3 pep chromosome:ASM357664v1:15:3788907:3791096:1 gene:itb15g05850 transcript:itb15g05850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSKKKQLDRESLLRQLMYLVPMEFCWCRRTRTKMRIQSDQWNLHQLSIIPTQEQQLLKQPESIKSLIYKTRHGTKSMVEEKRIFKAIKHATDLPPSDKQTKNNHTIKPTFDEIQALRKQKRAYNANVEQLKGQLEASNRDILSLQNRLDDINRKKHEIYEYILQLRNLA >itb15g05850.t1 pep chromosome:ASM357664v1:15:3786326:3791097:1 gene:itb15g05850 transcript:itb15g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSSAAAKMMVMSKIEAAHQLIHHENHARARIAENLQSRKLDRESLLRQLMYLVPMEFCWCRRTRTKMRIQSDQWNLHQLSIIPTQEQQLLKQPESIKSLIYKTRHGTKSMVEEKRIFKAIKHATDLPPSDKQTKNNHTIKPTFDEIQALRKQKRAYNANVEQLKGQLEASNRDILSLQNRLDDINRKKHEIYEYILQLRNLA >itb10g23010.t1 pep chromosome:ASM357664v1:10:27605005:27609143:-1 gene:itb10g23010 transcript:itb10g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNRTGVCLTWKELCVKVSNGGSKDGCKPILQSLTGYASPGEVLAVMGPSGSGKSTLLDALAGRLDSSKRESGEILVNGQKQKLAYGTSAYVTQDDVLIWTLTVREALYYSAQLQLPNTVPKTERKETAEKMIKEMGLQDSMDKRIGSLSGGQKRRVSICTEILMRPKILFLDEPTSGLDSAASYHVMSRIMRFTRQHGMTVVASLHQPTSEVFKLLNNLCLLSLGRTVYFGPSFAARQFFRVNGLECPTFQNPADHYLRIINTDFDDYEDIEQGGGGGKMTGEEVIDELVKSYNSSATYKQVQKQVAEMMSKEENGLMEKQRSGLSFLTECIVLSRRSFVNMYRDLGYYWLRFAIYIALGMGLGTVFFDIGSTYNSIHARGSMLMFVTSLLTIMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVIGNAISSLPFLLLISVIPGAIAYYLAGLQPGLTKFLYFTSILLCCMMLVESLMMIVASMVPNFLMGLVVGAGIQGLMMLSGGFFQLPDDLPKLFWKYPLYYIAFHKYAFQGLYKNEFEGMVFQAGDRLKGSPGAIDGGTILKNVWEVETGYSKWVDLGVLLGMVIVYRVLFFGVIKVGENAKPRIRRGLICCCCSCVN >itb01g02440.t1 pep chromosome:ASM357664v1:1:1588682:1589219:-1 gene:itb01g02440 transcript:itb01g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVCALLVPRVPPLLHRLFRVFFHKFALSSPQLLHRFFFTEYCIKTALSPPHSTQGSISMGKGKGKKKAKEKGSSSAAIGPEESMLFVFRNSKDQLEVHRKALQMLNSINGPIIVVSVCGSAKQGKSYLLNKVIYL >itb13g17490.t3 pep chromosome:ASM357664v1:13:24488395:24492832:1 gene:itb13g17490 transcript:itb13g17490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCGSITSMCISIHQRMKDILQDVWMQRFDMATTGSTGLQSDPPPYQELGCQPRYFPPELVGTRDNAPQTLYSCYLIELNRFYYKRTQLQNIILALRTRLESDDEKLSFELNVEGGSWVGETVYIGDVGLSNEQILRCRRFQVTLLRVLFEHNLNTLDEALGGLNLVDGSAVIDYLLLPSMGPNDVPKIDWNIISSVLFSYEKPEHRHLYSCSRHGCRPMHTKNDLLFSCLLENSLVCTPHTGILYCITGISDKLDGMSIMKMGGRESSTYKEYYKKKNVELCFETEPLLNGKHIPKVQNYLSRGEARKAKDASVELPPELCEVIMSPVPIATFYSFSCVPSIMHRFESLLVASCLKRMCMHQCTRNVVVPTSTILEAITTNLCQEKDCMESLETLGDSFLKYATSQHLFKTYPNDQEGPLTAKREKMISNSQLYKLAYNRKIPGFIRDEQFDPKTWVGPGDCSLDSKLDEECVLTSGKLYSRGRRKMDNETIADVTEALIGAFLSTAGEMATLSFLKWLGLDIDFINAPIVGNLPVNAEQMVNIKRLESDSLLNYTFRDPSLLVEALTHGSYIPHGTQSYQRLEFLGDAVLDYAVTRHFYDQFPGLSPGCLTDLRSGSVNNTCYALSSVKAGLHNYILLSSTPLQSSIADFVNKIEQSSASSTFGWVLKTSFPRVVADIIESIAGAIYLDSGFKTDIVFEKIRPLLEPMVTPESLRPNPVKELTELCQKRKYRMKEPVVVRKDGEACTTIEVVANGGVHSCSSSARNQKTAKRLACKRMLELLESV >itb13g17490.t4 pep chromosome:ASM357664v1:13:24488337:24492832:1 gene:itb13g17490 transcript:itb13g17490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFDMATTGSTGLQSDPPPYQELGCQPRYFPPELVGTRDNAPQTLYSCYLIELNRFYYKRTQLQNIILALRTRLESDDEKLSFELNVEGGSWVGETVYIGDVGLSNEQILRCRRFQVTLLRVLFEHNLNTLDEALGGLNLVDGSAVIDYLLLPSMGPNDVPKIDWNIISSVLFSYEKPEHRHLYSCSRHGCRPMHTKNDLLFSCLLENSLVCTPHTGILYCITGISDKLDGMSIMKMGGRESSTYKEYYKKKNVELCFETEPLLNGKHIPKVQNYLSRGEARKAKDASVELPPELCEVIMSPVPIATFYSFSCVPSIMHRFESLLVASCLKRMCMHQCTRNVVVPTSTILEAITTNLCQEKDCMESLETLGDSFLKYATSQHLFKTYPNDQEGPLTAKREKMISNSQLYKLAYNRKIPVRITTLCHHYSLDILIKYFVRVKRHYVINCRGLFVMNNSILKHGSARVIVP >itb13g17490.t2 pep chromosome:ASM357664v1:13:24488337:24492832:1 gene:itb13g17490 transcript:itb13g17490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFDMATTGSTGLQSDPPPYQELGCQPRYFPPELVGTRDNAPQTLYSCYLIELNRFYYKRTQLQNIILALRTRLESDDEKLSFELNVEGGSWVGETVYIGDVGLSNEQILRCRRFQVTLLRVLFEHNLNTLDEALGGLNLVDGSAVIDYLLLPSMGPNDVPKIDWNIISSVLFSYEKPEHRHLYSCSRHGCRPMHTKNDLLFSCLLENSLVCTPHTGILYCITGISDKLDGMSIMKMGGRESSTYKEYYKKKNVELCFETEPLLNGKHIPKVQNYLSRGEARKAKDASVELPPELCEVIMSPVPIATFYSFSCVPSIMHRFESLLVASCLKRMCMHQCTRNVVVPTSTILEAITTNLCQEKDCMESLETLGDSFLKYATSQHLFKTYPNDQEGPLTAKREKMISNSQLYKLAYNRKIPGFIRDEQFDPKTWVGPGDCSLDSKLDEECVLTSGKLYSRGRRKMDNETIADVTEALIGAFLSTAGEMATLSFLKWLGLDIDFINAPIVGNLPVNAEQMVNIKRLESDSLLNYTFRDPSLLVEALTHGSYIPHGTQSYQRLEFLGDAVLDYAVTRHFYDQFPGLSPGCLTDLRSGSVNNTCYALSSVKAGLHNYILLSSTPLQSSIADFVNKIEQSSASSTFGWVLKTSFPRVVADIIESIAGAIYLDSGFKTDIVFEKIRPLLEPMVTPESLRPNPVKELTELCQKRKYRMKEPVVVRKDGEACTTIEVVANGGVHSCSSSARNQKTAKRLACKRMLELLESV >itb13g17490.t1 pep chromosome:ASM357664v1:13:24488337:24492832:1 gene:itb13g17490 transcript:itb13g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDILQDVWMQRFDMATTGSTGLQSDPPPYQELGCQPRYFPPELVGTRDNAPQTLYSCYLIELNRFYYKRTQLQNIILALRTRLESDDEKLSFELNVEGGSWVGETVYIGDVGLSNEQILRCRRFQVTLLRVLFEHNLNTLDEALGGLNLVDGSAVIDYLLLPSMGPNDVPKIDWNIISSVLFSYEKPEHRHLYSCSRHGCRPMHTKNDLLFSCLLENSLVCTPHTGILYCITGISDKLDGMSIMKMGGRESSTYKEYYKKKNVELCFETEPLLNGKHIPKVQNYLSRGEARKAKDASVELPPELCEVIMSPVPIATFYSFSCVPSIMHRFESLLVASCLKRMCMHQCTRNVVVPTSTILEAITTNLCQEKDCMESLETLGDSFLKYATSQHLFKTYPNDQEGPLTAKREKMISNSQLYKLAYNRKIPGFIRDEQFDPKTWVGPGDCSLDSKLDEECVLTSGKLYSRGRRKMDNETIADVTEALIGAFLSTAGEMATLSFLKWLGLDIDFINAPIVGNLPVNAEQMVNIKRLESDSLLNYTFRDPSLLVEALTHGSYIPHGTQSYQRLEFLGDAVLDYAVTRHFYDQFPGLSPGCLTDLRSGSVNNTCYALSSVKAGLHNYILLSSTPLQSSIADFVNKIEQSSASSTFGWVLKTSFPRVVADIIESIAGAIYLDSGFKTDIVFEKIRPLLEPMVTPESLRPNPVKELTELCQKRKYRMKEPVVVRKDGEACTTIEVVANGGVHSCSSSARNQKTAKRLACKRMLELLESV >itb14g15070.t1 pep chromosome:ASM357664v1:14:18233761:18235674:1 gene:itb14g15070 transcript:itb14g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQVALGSHEELRHPGNLKAALAEFICTLIFVFAGQGSGVAYSKLTGDGASTPAGLISASIAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITFFRGLLYVIAQLLGSTVACFLLELSTGLPTGSFGLSGVSVWSALVFEIVMTFGLVYTVYATAIDPKKGDLGTIAPLAIGFIVGANILAGGAFTGASMNPAVAFGPSLVSWDWSCHWVYWLGPIVGGGLAGVIYELLFIGHPTHEPL >itb09g10280.t1 pep chromosome:ASM357664v1:9:6401710:6402341:1 gene:itb09g10280 transcript:itb09g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPSIAPVSESDKGGGLRYTSDRDFTLHGEIMLLLLVLLLAAFLVSVILFLWVKQFRFAAAASSRNYDSENIIDASGDSAAALFHTQSHCEYGRAALKQSSKI >itb12g09890.t1 pep chromosome:ASM357664v1:12:7880105:7882990:-1 gene:itb12g09890 transcript:itb12g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGNLKHCFAGDGGEISRRRHEIAVYISDPMDEGLGHSFCYVRPDPSSKTNPFSDDYSCSSAATSTTGSSITTAFLTISGASISANTSTPLSTALVDLGPYMEKAAAFESSPFFSSIPLQPIPRSSISSVRSGPVPGISGFVSGPVERGFLSGPIERSFTSGPLDIHCDKLHRFKPKSKKSKLVRNFKKLMSNPLYKFGPRDSNPNGSHSNGLSSEGSLVYDEDEEGIEALMAQNVQWAQGKAGEDRVHVVISEEHGLIFVGIYDGFNGPDATDFLLQNLYTNVFKELKGVLWNETKNTTVPLVINHSDVLKGLSEGLRKTERSYLEISDLMLKENPELALMGSCVLVMLMKGTDVYLMNVGDSRAVLGQKRECDHSTGKAIAEEALYKALSDRKHNLHPLQLTVDHSTSVTEEVVRIKKEHPDDASAIKNDRVKGSLKVTRAFGTGFLKNPKWNDAILEAFQIDYVGTSPYINCIPSLQHHRLGPRDKLLILSSDGLFQYFTNEEAISEVETFMSIFSDGDPAQHLIEQVIFKAAKKAGMNFHELLDIPQGQRRMYHDDVCIIVISFDGRIWQSSI >itb09g25160.t1 pep chromosome:ASM357664v1:9:25097941:25098351:1 gene:itb09g25160 transcript:itb09g25160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFALITSLLNTLHFHFQQPVPCLILDDTELVESLCKKIRFLQAFLEDSHINSEARSGLETEIRGVAHDAEAKIESELHLFYLQCNQVDHPVKPPQSLYHTLQQVTREIESIEERIQIESNNNQSLEPQRRNRTE >itb07g06680.t1 pep chromosome:ASM357664v1:7:4807707:4808850:1 gene:itb07g06680 transcript:itb07g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLSLSIYILLLGFAAAAPLDSAAPTPAPGGGENDSEFIRTSCQSTLYPEICYNTMAPYASRVSQDRGRLARAAISLSLSGAKHMAAHFDNLSRLGADPDAAAALQDCSSAFSDSVDQMHDSLAQLKQLGGSGEALRFQMSNVQTWMSAAETNEDTCADGFEGVVDGPLKTDVCDRVTKVKQVTSNALALVNYFASNITAP >itb15g07550.t1 pep chromosome:ASM357664v1:15:5162509:5165786:1 gene:itb15g07550 transcript:itb15g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPLIPWLFLISLLGIICGFNISLVAAQCMSDQKTLLLQLRSSLKFDPTFSTKLVYWNRNTENCCYWPGVECDDSGHVISLILDNESITVDGIQNSSTLFGLQYLERLNLAFNNFNSTPFPVQIYNLTNLTYLNLSNSGFGGQIPNGISRLTRLVTLDLSDLYPIGPRLRLENPNLEQFFENSIQLRELYLDGVDLSAQRSEWCQALSSSLPNLRVLSLRNCRVSSPINPSLSKLRFLSEIYLDVNDFSSTVPKFLPQIHILKMSSCGLQKFPDLRNQSILIHLDLSNNEIRGEIPKWIWNVGIGSLAHLNLSCNFLDGLEKPYTIPSSISVLDLHSNQLQGQLPIGEAPALDANKYLDYSNNFFNGSIPYDLGSYAPFASFLSLTNNSFTGAIPESICNASDLLVLDLSNNRLNGILPSCLFHIPLGVLNLGKNQITGNIPDSFPSNCALKTLDLGRNVLEGRIPSSLINCSSLEVLNLGSNKIVDTFPCPLKNFLSLRVLVLRSNGFHGDLHCANANHMWPNLQIIDIASNNFTGELSPNFLNWKGMTVDEDNTAQSGDNIRAFTSIDFSSNDFHGIIPNTIGALTSLYVLNLSHNALTGNIPETIGNLRMVESLDLSSNQLDGEIPVINLIHLDQIPT >itb02g16090.t1 pep chromosome:ASM357664v1:2:11855281:11856677:-1 gene:itb02g16090 transcript:itb02g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVNFVKGYGVVVNPVEEDELSVSKRKASRTRRSRIAIAVSLAVLLTVIVAAVVGTLVHRSHSERGGDSNPNHSPSTSSPPVSSNSTADLLAAVCAVTLHPDSCLASMSPFPAPPKPDPEHFLNVSLRVAIDELTNVSSLPKSLMANLNDRAARSALEDCDGLFDDALSQLNESAGFMNSGPGNLTIALTPFQINSMQTWISAAMTDEDTCLNGLEELNSTVIGEVKTRVHKSNEYMSNTLAILNKLQSLHEKFGVPKH >itb02g00030.t1 pep chromosome:ASM357664v1:2:24167:35267:-1 gene:itb02g00030 transcript:itb02g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MKMAVTSFSSSVSLCPYKLWYQPHLRRRFVSCSVRSPSVKDIRGAKLPRKRNVKGEGVGKSMEDAVQRKMEQFYEGSDGPPLRILPIGGLGEIGMNCMLVGYYDRYILIDAGVMFPGYDELGVVKIIPDTTFIEKWSHKIEAVVITHGHEDHIGALPWVIPALDSGTPIFASSFTLELIKKRLKEFSIFVPSRLKVFKTRRRFTAGPFEVEPIRVTHSIPDCCGLVLRCDAGTILHTGDWKIDESPLDGNIFDREALEELSKEGVTLMMSDSTNVLSPGRTLSETVVADSLLRHISAATGRIIATQFASNIHRLGSMKAAADLTGRKLVFVGMSLRTYLDAAWKAGKAPIDPSTLVKAEDIDSYAPKDLLIVTTGSQAEPRAALNLASYGSSHSLKLSKEDLILYSAKVIPGNETRVMKMLNRISEIGSTVIMGKNELLHTSGHGHREELEEVLRIVKPQHFLPIHGELLFLKEHELLGRSTGIRHSTVIKNGEMLGVSHLRNRRVLSNGFISLGKENLQLMYSDGDKAFGTAAELCVDERMRIASDGIIVVSMEILRPQPTDTLSEKVIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLHHIERTVSEVLRKLVRKYSSKRPEVIAIAIENPVSVLADEINGKLSGKSHVGSGISVLRKAINGDQQKRWSNTTQAEEDNVPEHLMNIAYEEEKGTDIDIERDASDEETTSSSLLQDCSNNDLPSDDFWKPFVTSSTIHPSEENGDGSPLQEQLHDSSDLSKSKLKSSKSMTRNKWKPEEVKKLIKMRGELNSKFQVLKGRMALWQEISSNLLSDGISRSPGQCKSLWASLVSKYEESKKDRKSQEKWPYFKDMNNILSDLEAPASK >itb13g20070.t1 pep chromosome:ASM357664v1:13:26908278:26910729:1 gene:itb13g20070 transcript:itb13g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLRKTFSSTFGSSAEKGKKESKASPPPEADEIPYSDHDSEASDVESSRGNCAEKEEVERSKIGIMRAVVQREDPSAKDVDDLMIRRFLRARDLDVEKASAMFLKYLSWRREFVPKGSISPSEIPNDLAQNKMFMQGKDKLGRPIVVVYGGRHKQTTLDEFKRFVTFSLDTISSRMPDGQEKFVSIADLEGWGYANSDVRGYLAALSILQDCYPERLGKLFIVHVPYIFMTAWKVIYPFIDSRTKKKIIFVENKKMKSTLLRDIDESQLPEAYGGKLPLVPIHDC >itb07g04000.t1 pep chromosome:ASM357664v1:7:2694370:2697664:1 gene:itb07g04000 transcript:itb07g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVSLEDVPTESIMTELLRRLKCSSKPDKRLILIGPPGSGKGTQSPIIKDDYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDEMLQKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVSGVDDVTGEPLIQRKDDTAAVLKSRLDAFHRQTEPVIDYYSKKGIVANLHAEKPPKEVTTEVHKVLSS >itb12g13390.t2 pep chromosome:ASM357664v1:12:12235968:12238647:1 gene:itb12g13390 transcript:itb12g13390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVFVDDYLEYSNTLPAELQRLLNTIRELDERSQAMINHSRQQTNYCVGLASQTHGSRKSNYEDDEAFEKLRKEIEANQNNALSLCTEKVLLARQAHDLIDSHIKRLDEDLNNFVEDLKQEGKLPADEPAVLPPLPLVLKTEKRKPIYGTPQSKRLEYRDRDWDRERDRDFELMPPPGGLKKDFSSPVDIDQPIDPNEPTYCVCHQVSFGDMIACDNENVSLLLQRLFVLLFIYLVRN >itb12g13390.t1 pep chromosome:ASM357664v1:12:12235968:12239470:1 gene:itb12g13390 transcript:itb12g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVFVDDYLEYSNTLPAELQRLLNTIRELDERSQAMINHSRQQTNYCVGLASQTHGSRKSNYEDDEAFEKLRKEIEANQNNALSLCTEKVLLARQAHDLIDSHIKRLDEDLNNFVEDLKQEGKLPADEPAVLPPLPLVLKTEKRKPIYGTPQSKRLEYRDRDWDRERDRDFELMPPPGGLKKDFSSPVDIDQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYACVGLTPETRFKGKWYCPTCRQLPH >itb03g21080.t1 pep chromosome:ASM357664v1:3:18869758:18871045:-1 gene:itb03g21080 transcript:itb03g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGGKLSILLLLVIFFFFTTSTASRDISELPHFNRSFTVKANPQIGAAKCSYTVDIRTSCSSVKYTRDQISLSFGDAYGNEVYAARIDDPDSDTFERCSKDTFKITGPCMDDVCYLYLYRVGSDGWKPQSVTVYISARNYVTFTYNRFVPKGVWYGFNHCDNAASISTVV >itb06g13100.t1 pep chromosome:ASM357664v1:6:17686721:17688886:-1 gene:itb06g13100 transcript:itb06g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVLIGINYPGTKAELKGCVNDVRRMYRCLVDRYGFSEDDITVLIDTDDSYTQPTGRNIRSALSDLVKSAGSGDYLFVHYSGHGTRLPAETGEEDDTGYDECIVPSDFNLITDDDFRELVDSLPQGCRLTIVSDSCHSGGLIDKAKEQIGESTQENAADEDDGGGSSRFGFRKFLRGTVEDAFESRGIHLPSGFHHHHDSEAEREVVIESEYGDQTHVKSRSLPLNTLIDILKQQTGKDDIDVGNVRPTLFDVFGEDASPKVKKFMNVIINKLQSGQGTEGGGGFLGMVGGLAMEFLKTKLENDENYVKPALETPVGSKQDAYAGPSQKDFPDNGILISGCQTDQTSADATPNNNPQESYGALSNAIQTILKNSDGPVSNQDLVLKAREMLKTQGFSQRPGLYCSDSHVDSSFVC >itb12g06840.t1 pep chromosome:ASM357664v1:12:5048708:5054476:-1 gene:itb12g06840 transcript:itb12g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVIRSALPLFTPRPRKSPISGASSPDSLVVFPRILNEAVARVSLGHGRSRHQMGSIMRVRAADKGDADSEESENALETTIEKSKKVLTMQKDLLQQIAERKKLVASIKSSLLDPDSSVKDGEGSFASADIAPTHEDTDDDYNGVLSTSSAQATLDLEEYPQLAVSEHFVETSQTGKKDMPLNKDSDKKTTKRNPKTVGSTERPAALSKVSLSPSQVTSSTVNSQEPAKATSDTVKSSQVMPLDVDSQKNVVSFSREIILSDIPSSSSMASASTLEDEKRDLRESSSKEIDVGTEDPVNEDLKPPPLAGTNAMNVILVCAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPLYGNYAEPQHTGVRKMYKIDGQDMEVNYLHAYIDGVDFVFIDSPIFQHRGNNIYGGNRVDILKRMVLFCKAAIEVPWHVPCGGICYGDGNLVFIANDWHTALLPVYLKAYFRDNGVMKFTRSVLVIHNIAHQGRGPMDDFSIVDLPAQYADLFKLYDPVGGDHFNIFAAGLKTADRVVTVSHGYSWELKTSEGGWGLHGIINENEWKLQGIVNGIDIEEWNPQLDVYLKSDGYANYSLDTLQTGKPQCKAALQKEMNLPVRDDVPLIGFIGRLDHQKGVDLIAEAIPWMMGQDVQLVMLGTGRPDLEQMLKQIEGQYGDKVRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMSYGTIPVVHAVGGLRDTVQPFDPFNESGYGWTFSRAEANQLIDALGNCLLTYRQYKQSWEGLQRRGMMQDLSWDHAAEKYEEVLVAAKYQW >itb11g13730.t1 pep chromosome:ASM357664v1:11:10765511:10766867:-1 gene:itb11g13730 transcript:itb11g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKQSSSDEAKTNLETLILELPRERGWIVDQDIHLLNGFWYPTWVIHGLLALQQHFKPHPNDVLLASFPKSGTTWLKALLFSIVNRATFNHDDMMHPLLKSNPHELVPWLEVYASSNPTNPRPPESLLFHTHLAYSSLPEHIRSSSCRIVYVFRDPKDVFVSFWHFFNKLRPKESSSISLQEAFNQFSRGASPYGPYWDHVTGYYKASIQFPNKVFFVRYEDLKTEAVFHVKRLAEFVGWPFSEEEENEGVVQKITDLCSFDKLSNLEVNKNGSLIRNLPSTRWTVSVINKAFFRKGEIGDSNNHLSEDMREILNQITEDKFKEIGLTTFVPLVKTE >itb06g25070.t1 pep chromosome:ASM357664v1:6:26323746:26324511:-1 gene:itb06g25070 transcript:itb06g25070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVSVLLLALIAFSMLSAIVMASNAQYHLDASRYGPGSLRPSQCLPQCTRRCSKTQYHKPCMFFCQKCCNKCLCVPPGFYGNKGVCPCYNNWKTKEGGPKCP >itb10g24790.t1 pep chromosome:ASM357664v1:10:28418068:28420002:-1 gene:itb10g24790 transcript:itb10g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSVLAKRILLSTPYPNRHSYFAFSSSPHSDQSIVSAAVSILKHRRSKSRWNHLRSLPTPAAGFTPSQISQIVLQIRNKPHLAHTFFLFTIRYSLCSHSLASYATIIHVLSRSRLKSEALTLIKSAIRKFPDTNSSNSPLVFETLVKTYRACDSAPFVFDLLISAYLESKRVDESIEILRILKSRNLSPNVATCNSLVELVSKAQGCFAGYDMYKEIFRCGDGNVKGAKPLVPNADTFNVVMVGFYREGMVDKVEEVWREIAEMNCEPNAYSYSILMAALSAEGRVDDAMRISEEMGEKGVKRDVVSYNTAIAALCGAGEVARAEEVFREMVMSGVESTCATLEHLIIGYCKIEDLDSALLLYKDLCRKGFRLEAATMDALVRVFCSKGRVFEAVEFVRGAVKKHDVVPREKTYETLINSLCEEGKMEEALKLQVEMVGKGYQANLEIYSAFINGFMKQGNEEMAERLRKEMVTTQMTHDS >itb03g26200.t1 pep chromosome:ASM357664v1:3:25663869:25664459:1 gene:itb03g26200 transcript:itb03g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNLQCEQLSFQREQMALRERRALEEKRALEEASATAVARYRGSEAFPVDVRAYIAEHVEESYGVLKATRAGKWCVTRECAHMAEIGEYDMQQAIYTGLRRRDATFDPEAWGLPLELMDPEPRAEPAASHGASGTGAAVDDDPFPGLALGLGGAADTPLDQIHLSPGMANFDQAGTSGARDPLCFPASSHCYFL >itb04g19430.t2 pep chromosome:ASM357664v1:4:23792699:23794771:1 gene:itb04g19430 transcript:itb04g19430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSSLFNGFAKTISKKSGRKSREDVGREAANALAKEARKNELILTTSGCVNAISSHNFASLHSRQGKKGVNQDRAVVWEEFGGQEDMIFCGVFDGHGPWGHLVAKRVRELVPSSLLCNWQKTVAHNMDNINIGLDDSQLDVWKQSHIKACSAVDQQLKQQADSFYSGTTALTLVKQGDVMVVANVGDSRAVLATADDDGKLVAVQLTVDLKPNLPG >itb04g19430.t1 pep chromosome:ASM357664v1:4:23792699:23795895:1 gene:itb04g19430 transcript:itb04g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSSLFNGFAKTISKKSGRKSREDVGREAANALAKEARKNELILTTSGCVNAISSHNFASLHSRQGKKGVNQDRAVVWEEFGGQEDMIFCGVFDGHGPWGHLVAKRVRELVPSSLLCNWQKTVAHNMDNINIGLDDSQLDVWKQSHIKACSAVDQQLKQQADSFYSGTTALTLVKQGDVMVVANVGDSRAVLATADDDGKLVAVQLTVDLKPNLPGERERIMRSKGKVWSSDDEPGVYRVWMPDPNGGVAVEGPGLAVSRAFGDHYIKDFGLISEPQLTFRNITFRDQFAILATDGVWDVVSNQEAVEIVWSAPEKEESAKRLVEHAVCAWKRTRRGIAMDDMSAICLFFHTSSQQVDCVKKKKKIA >itb04g22940.t1 pep chromosome:ASM357664v1:4:28170585:28172530:-1 gene:itb04g22940 transcript:itb04g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNKIIIPLVSLILVVGVVCGAVLVLNMGGNDNASSGKDNNGISNGSMKHVTTFCQYAEYKDECARSISHVAHNRSATTKDFIFAAIEATLEAVHKSHQVAVNTEVDQGADPYNHMAIEDCKELLQDAIADLQASISVVGDSELHTLNDRVDELLNWMSAVYAYQTTCTEQIENPEYKSAIEDGMINATQLTNNAINIIAEMSKVLEAFNITKTTTDLLHKGENSNTASRRLLEIDDEHYFPEWFPVADRKLLAAQRRGNLRPNVVVSKNGGGQFKTITGALKSYPKKHKGKYVIYVKAGVYNEIVEVSKKMKNVFMYGDGAGKTIITGNKNYALMKVTTSKTATFAALGQGFVARGITFRNTAGPQGHQAVAYRSQSDMSALFDCSIEGYQDTLYYHTYRQFYRNCVISGTIDFIFGKGTALIQNSVIIARKPLANQFNTITADGKELAKAKGGVVLQNCKIVPESALYPLRFKVKTYFGRPWKAYSTTVVMESQIGDLLSPLGWKIWDGEKFENTCLYYEYRNSGPGANTSRRNRAFKKFDVLGPIRARKFTAGLWLDANRWLPATGVPFAIGYTK >itb08g02220.t1 pep chromosome:ASM357664v1:8:1773975:1777010:-1 gene:itb08g02220 transcript:itb08g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVSKTGHRRTQSALDESEALPPKPEATVATTLAGASSATTHGIEIALGFKPVEHPTEPLETDSPIQCPLPEPSILNDGRIWKERVSAVRKTGDLPVMQQGAAAEPEGAGTARRPPSGRLILPSISAPEHSILKLLEESGI >itb08g02220.t2 pep chromosome:ASM357664v1:8:1773975:1777010:-1 gene:itb08g02220 transcript:itb08g02220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDESEALPPKPEATVATTLAGASSATTHGIEIALGFKPVEHPTEPLETDSPIQCPLPEPSILNDGRIWKERVSAVRKTGDLPVMQQGAAAEPEGAGTARRPPSGRLILPSISAPEHSILKLLEESGI >itb09g14490.t1 pep chromosome:ASM357664v1:9:9764096:9765665:-1 gene:itb09g14490 transcript:itb09g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKHARKDLEREFAFENGGGVTNHVVSLTSSTYGVLELDAEPQCVKKCVIDEVKKSSPPREEPAEVINAWELMEDLDETEILPCLVQSKKSPKPRAVLDPRRSPLRFLNQKGSPKRLKRFGGKENNGGRAEPSPKTGLNNNKVYNLNESPWKQRIPKKGSPETAKCDGLGPLFDPELIAYFEQELSAEAELKKKALSSPWPIISRKSRNPSNTAEAILEQFDKIRPPGGENAVVLYITTLRGIRKTFEDCNTVRSILESHQIRTLERDISMHSGFREELRGLMGTTDVRVPVVFVKGRLIGGADQVVKLEEEGKLGIVLAGIPRAAAGCGGCGGVRFVLCLECHGSCKVWGEDGNGKCGECNENGLIQCPICC >itb06g24440.t1 pep chromosome:ASM357664v1:6:26006719:26008005:1 gene:itb06g24440 transcript:itb06g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHSIGGGGGGRLFGGGGDRRLRPNQHHNLPALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKSKRSKPKSTASASASTPADASQECKSNSHSSSESSSLTGAGTASAAAEVASTSTATNLASNLVNYSDSAAFFIPPSSSPSFDQPLLDHSSNDHIFQDIGTFSNMITPSNEPSLLGFANMADISPTFQIQQSQPGQDAHWPQPEKIADQNFNPPEITAAGFLDQTSQIDFPSLNQQQSRETNAALPPLDWQPGGGGDQELFDLPGAVDQSYWNQTQWG >itb14g13050.t1 pep chromosome:ASM357664v1:14:14949611:14954259:-1 gene:itb14g13050 transcript:itb14g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAGSEKKRVRRSSGLASNGSNPAADIPSKKQATKKDVFQSFAEKVRDHKDLESRWAVLQETRVEYFRGKDFVSFMRNHPELKDVLDSDKNLETEDIADALLRRNLLLRCDRVVKTVRPGKRKLSTWPAHLEIYHDQVFSENDAFFAWTFVRRRPLWQTLLSFSWPVLTLAICLFPVYPHRCKLLILYSCAGLLLLILSLLLLRALVFGTIWILLGKRVWIFPNILAEEATLEDLFQFWPKKDEEEPPKWTARLFYAIVAVLVILLLRHHAPNEAARARYHKRVSNIIDDVLEWSPRLALSGMMEKQGVDPNVTEGSKGSPEKVDPLDDIDVDRDTNLNQDEDVENAGDGDHDESL >itb09g11360.t2 pep chromosome:ASM357664v1:9:7070458:7080431:1 gene:itb09g11360 transcript:itb09g11360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKVIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELENQIDSFEAELEGLTVKKGRTRPPRLTHLETSIVRHKAHIMKLELILRLLDNDELSTDQVNDVKDFLDDYVERNQEDFDEFADVDEFYSSLPLDKVEALEDLVSIGPPALVKGVGAATISLKTSIATSPVQVSATITSSVEQGGSAQEQAEETASRETASDTVARTPPSKATVASSSVPTTPSSSHMTSSAAIALSPTNVSNATKDEEIMGFPDRKSSPALSESGLRGVGRGGLPSQPAVSILLGSSTNGAMDAIPSSTEVAKKNILGSDERLGSVGMGQPLVSPLANRNILTQATKSSDGMGSTDSGNVGESNVMAGRVFSPSVVPGMQWRAGSSFQNQNELGQFRGRTEIAPDQREKFLQRYQQVQQGGQINILSMPMLSSGNQKQFSAQQNPLLTQFNSQSSSVSPQHGLGVAQAAGVNSVPSSASLQQHTGVIHQPSNQQNLTSTASRDSDMSHSKVEELQQQQALPEDSLASAPSPGPGKTEDDLKTYALETPGGVGSPLAEQPQLPRDTDLTPGQPLQLNQPSGSLGVIGRRSVTDLGAIGDNLSSSPSNSGGMYDQMYNLQMLEAAFHKLPQPKDSERAKSYTPKHPVVSPPSYPQVQAPIVNNPAFWERLGADGYGTDTLFYSFYYQQNTYQQYLAAKALKKQAWRYHRMYNTWFQRHEEPKLATDDYEQGTYVYFDFHIANDEHAGGWCQRIKTDFKFEYNYLEDELAVV >itb09g11360.t1 pep chromosome:ASM357664v1:9:7070452:7080444:1 gene:itb09g11360 transcript:itb09g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKVIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELENQIDSFEAELEGLTVKKGRTRPPRLTHLETSIVRHKAHIMKLELILRLLDNDELSTDQVNDVKDFLDDYVERNQEDFDEFADVDEFYSSLPLDKVEALEDLVSIGPPALVKGVGAATISLKTSIATSPVQVSATITSSVEQGGSAQEQAEETASRETASDTVARTPPSKATVASSSVPTTPSSAAIALSPTNVSNATKDEEIMGFPDRKSSPALSESGLRGVGRGGLPSQPAVSILLGSSTNGAMDAIPSSTEVAKKNILGSDERLGSVGMGQPLVSPLANRNILTQATKSSDGMGSTDSGNVGESNVMAGRVFSPSVVPGMQWRAGSSFQNQNELGQFRGRTEIAPDQREKFLQRYQQVQQGGQINILSMPMLSSGNQKQFSAQQNPLLTQFNSQSSSVSPQHGLGVAQAAGVNSVPSSASLQQHTGVIHQPSNQQNLTSTASRDSDMSHSKVEELQQQQALPEDSLASAPSPGPGKTEDDLKTYALETPGGVGSPLAEQPQLPRDTDLTPGQPLQLNQPSGSLGVIGRRSVTDLGAIGDNLSSSPSNSGGMYDQMYNLQMLEAAFHKLPQPKDSERAKSYTPKHPVVSPPSYPQVQAPIVNNPAFWERLGADGYGTDTLFYSFYYQQNTYQQYLAAKALKKQAWRYHRMYNTWFQRHEEPKLATDDYEQGTYVYFDFHIANDEHAGGWCQRIKTDFKFEYNYLEDELAVV >itb09g14850.t3 pep chromosome:ASM357664v1:9:10123105:10133626:-1 gene:itb09g14850 transcript:itb09g14850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNISIPEVKDFPKDTTWIPSTPAKPGVPICKSGQGNRPAEEASLELQSVSQECSVSEMGELKSRGLSQSNEDHSLSGDSVKNVLTDGGFDEGEAAAPANANSQTHAEAMNVRDILCTDDADKWSNMKFADILALADAAGGNATAKEAFNALCFSKMSGGSPICSSIPFNQNPPPGIADVTSSSNSSFLFTPRTPDQTKRKDGGQVSDVLNIENNDRQILITRDAQENSEENKLEKKEVSELVKEPENHKPDYGDSPGSKMNKTPQQKPRRKKHRPRVVIEGKPKRTYKPRTPKNSGIEETQTVKRKYVRRKEVNNPAVAPSDDGSNVINSETKPPSSNETPTSKPPSSNETPTSKRKNVRRRGKEPAVTLSDMDSNALDPETKSPDSNETPKCKRKYVRRKGVNNSAGTPSDEGSNAIDSETKSPGSNETPKCKRKYVRRKGVSNSSGTPSDEGSNAVDPETKSPGSNETPKSRRKNILRKVVNKFAGTPSDEGSNVTDPETKSRSSNETPTGKRKCVQRNQVNKSPIDQTEKGSCVTIEPITVSHPRRSCRRHLNFQYEELVTDDNSTQPSSNIDDPDVQKFCKQDQSMPTIQLGQHREANEELPELRTAYSQPERHTSKTDSTYDKKSMDWNTSGECKILLSDGTHDKEKNLPDMTEYTEAQHAKQNANSFNCSSSALLTEEMQVRVSKTQQSCLAKEVLLFSKIDGEIRYNSLQAYPAILPLERNDIDRTSEIHFPTVSKKRTEKEHKIISSQCDARGVITSNVTSKPAQNLQQLKYLQTFVDSGRLKRKRSAGLPQVHDLALMHVREVTSGFGQTFSLPHHSDHTSNKALATGTHQIITKKKARRNKVNGAEAPNIYAQHQFTSTANESNQLAYHNQRTNAIVLYQQDGTIVPFEGAFGQIRKRRPRAKVELDDETTRVWMLLMQDINSEGIQGTDETKAKWWEGERQVFHGRVESFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPLKPSRSAKLDEGRTSIIIEEPESYQIEDSGINVEPQIDIEENNFNLKVEQDGPVSLEIQDITEQPSISVDSLKSDHGSMESNLDGQNNQFGNIVDRTSTSPSNGKRRRPGKEKQNEVHWESLRQQAQANGKKRERTVNTMDTVDWEAVRLADVGEVAESIKERGMNNVLAERIKMFLNRLVREHGSIDLEWLRDIPPDKAKEYLLSFKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPILESIQKYLWPRLCKLDQETLYELHYHMITFGKVFCTKSKPNCNACPLRGECRHFASAFASARLALPAPEEKGIVSIMESKAAEHNPICASNPLQLPLPQTVEESVAQSGGSYSQPIIEEPATPEPIVEVPTTPEVEKLPPEIDIEDTYGDDPDEIPKIDLNMTQFVQNLKMFVENNMELTQAEMSKALITLTPQAASIPTPKLKHISRLRTEHYVYELPDYHPLLNELDKREPDDPCSYLLAIWTPGETANSVQPPERHCSSQESGELCNKETCFACNCIQEGHSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLEPIDVPRDWLWNLPRKTVFFGTSIPTIFKGLSTKEIQYCFREGYVCVRGFDQKSRAPRPLMARLHFPASKLAKTKGKADETRHEQPLKQQY >itb09g14850.t2 pep chromosome:ASM357664v1:9:10123105:10133626:-1 gene:itb09g14850 transcript:itb09g14850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNISIPEVKDFPKDTTWIPSTPAKPGVPICKSGQGNRPAEEASLELQSVSQECSVSEMGELKSRGLSQSNEDHSLSGDSVKNVLTDGGFDEGEAAAPANANSQTHAEAMNVRDILCTDDADKWSNMKFADILALADAAGGNATAKEAFNALCFSKMSGGSPICSSIPFNQNPPPGIADVTSSSNSSFLFTPRTPDQTKRKDGGQVSDVLNIENNDRQILITRDAQENSEENKLEKKEVSELVKEPENHKPDYGDSPGSKMNKTPQQKPRRKKHRPRVVIEGKPKRTYKPRTPKNSGIEETQTVKRKYVRRKEVNNPAVAPSDDGSNVINSETKPPSSNETPTSKPPSSNETPTSKRKNVRRRGKEPAVTLSDMDSNALDPETKSPDSNETPKCKRKYVRRKGVNNSAGTPSDEGSNAIDSETKSPGSNETPKCKRKYVRRKGVSNSSGTPSDEGSNAVDPETKSPGSNETPKSRRKNILRKVVNKFAGTPSDEGSNVTDPETKSRSSNETPTGKRKCVQRNQVNKSPIDQTEKGSCVTIEPITVSHPRRSCRRHLNFQYEELVTDDNSTQPSSNIDDPDVQKFCKQDQSMPTIQLGQHREANEELPELRTAYSQPERHTSKTDSTYDKKSMDWNTSGECKILLSDGTHDKEKNLPDMTEYTEAQHAKQNANSFNCSSSALLTEEMQVRVSKTQQSCLAKEVLLFSKIDGEIRYNSLQAYPAILPLERNDIDRTSEIHFPTVSKKRTEKEHKIISSQCDARGVITSNVTSKPAQNLQQLKYLQTFVDSGRLKRKRSAGLPQVHDLALMHVREVTSGFGQTFSLPHHSDHTSNKALATGTHQIITKKKARRNKVNGAEAPNIYAQHQFTSTANGLLPDHAWSGLSPVDQIVEQFNHLNINAESNQLAYHNQRTNAIVLYQQDGTIVPFEGAFGQIRKRRPRAKVELDDETTRVWMLLMQDINSEGIQGTDETKAKWWEGERQVFHGRVESFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPLKPSRSAKLDEGRTSIIIEEPESYQIEDSGINVEPQIDIEENNFNLKVEQDGPVSLEIQDITEQPSISVDSLKSDHGSMESNLDGQNNQFGNIVDRTSTSPSNGKRRRPGKEKQNEVHWESLRQQAQANGKKRERTVNTMDTVDWEAVRLADVGEVAESIKERGMNNVLAERIKMFLNRLVREHGSIDLEWLRDIPPDKANILENFVHVYLYREYLLSFKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPILESIQKYLWPRLCKLDQETLYELHYHMITFGKVFCTKSKPNCNACPLRGECRHFASAFASARLALPAPEEKGIVSIMESKAAEHNPICASNPLQLPLPQTVEESVAQSGGSYSQPIIEEPATPEPIVEVPTTPEVEKLPPEIDIEDTYGDDPDEIPKIDLNMTQFVQNLKMFVENNMELTQAEMSKALITLTPQAASIPTPKLKHISRLRTEHYVYELPDYHPLLNELDKREPDDPCSYLLAIWTPGETANSVQPPERHCSSQESGELCNKETCFACNCIQEGHSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLEPIDVPRDWLWNLPRKTVFFGTSIPTIFKGLSTKEIQYCFREGYVCVRGFDQKSRAPRPLMARLHFPASKLAKTKGKADETRHEQPLKQQY >itb09g14850.t1 pep chromosome:ASM357664v1:9:10123105:10133626:-1 gene:itb09g14850 transcript:itb09g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNISIPEVKDFPKDTTWIPSTPAKPGVPICKSGQGNRPAEEASLELQSVSQECSVSEMGELKSRGLSQSNEDHSLSGDSVKNVLTDGGFDEGEAAAPANANSQTHAEAMNVRDILCTDDADKWSNMKFADILALADAAGGNATAKEAFNALCFSKMSGGSPICSSIPFNQNPPPGIADVTSSSNSSFLFTPRTPDQTKRKDGGQVSDVLNIENNDRQILITRDAQENSEENKLEKKEVSELVKEPENHKPDYGDSPGSKMNKTPQQKPRRKKHRPRVVIEGKPKRTYKPRTPKNSGIEETQTVKRKYVRRKEVNNPAVAPSDDGSNVINSETKPPSSNETPTSKPPSSNETPTSKRKNVRRRGKEPAVTLSDMDSNALDPETKSPDSNETPKCKRKYVRRKGVNNSAGTPSDEGSNAIDSETKSPGSNETPKCKRKYVRRKGVSNSSGTPSDEGSNAVDPETKSPGSNETPKSRRKNILRKVVNKFAGTPSDEGSNVTDPETKSRSSNETPTGKRKCVQRNQVNKSPIDQTEKGSCVTIEPITVSHPRRSCRRHLNFQYEELVTDDNSTQPSSNIDDPDVQKFCKQDQSMPTIQLGQHREANEELPELRTAYSQPERHTSKTDSTYDKKSMDWNTSGECKILLSDGTHDKEKNLPDMTEYTEAQHAKQNANSFNCSSSALLTEEMQVRVSKTQQSCLAKEVLLFSKIDGEIRYNSLQAYPAILPLERNDIDRTSEIHFPTVSKKRTEKEHKIISSQCDARGVITSNVTSKPAQNLQQLKYLQTFVDSGRLKRKRSAGLPQVHDLALMHVREVTSGFGQTFSLPHHSDHTSNKALATGTHQIITKKKARRNKVNGAEAPNIYAQHQFTSTANGLLPDHAWSGLSPVDQIVEQFNHLNINAESNQLAYHNQRTNAIVLYQQDGTIVPFEGAFGQIRKRRPRAKVELDDETTRVWMLLMQDINSEGIQGTDETKAKWWEGERQVFHGRVESFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAKFPLKPSRSAKLDEGRTSIIIEEPESYQIEDSGINVEPQIDIEENNFNLKVEQDGPVSLEIQDITEQPSISVDSLKSDHGSMESNLDGQNNQFGNIVDRTSTSPSNGKRRRPGKEKQNEVHWESLRQQAQANGKKRERTVNTMDTVDWEAVRLADVGEVAESIKERGMNNVLAERIKMFLNRLVREHGSIDLEWLRDIPPDKAKEYLLSFKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPILESIQKYLWPRLCKLDQETLYELHYHMITFGKVFCTKSKPNCNACPLRGECRHFASAFASARLALPAPEEKGIVSIMESKAAEHNPICASNPLQLPLPQTVEESVAQSGGSYSQPIIEEPATPEPIVEVPTTPEVEKLPPEIDIEDTYGDDPDEIPKIDLNMTQFVQNLKMFVENNMELTQAEMSKALITLTPQAASIPTPKLKHISRLRTEHYVYELPDYHPLLNELDKREPDDPCSYLLAIWTPGETANSVQPPERHCSSQESGELCNKETCFACNCIQEGHSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLEPIDVPRDWLWNLPRKTVFFGTSIPTIFKGLSTKEIQYCFREGYVCVRGFDQKSRAPRPLMARLHFPASKLAKTKGKADETRHEQPLKQQY >itb01g14030.t1 pep chromosome:ASM357664v1:1:15284339:15287884:1 gene:itb01g14030 transcript:itb01g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGQVKELAVAKKQNMRWTEFGLRLLAFANTLAAALVLGLDKQTKVVVMQIIPTLPAMNIPVTAEWKYMSAFVYFVVVNAIACAYAFVSLVLTLVCKGQKSSRLASLVLIVLDLVMVALLFSSGGAAFAVGLLGYKGNSHVRWNKVCNVFGKFCAQVGGAVTVSLAAAAFFLLLVLLAIFRLHNKHH >itb03g15000.t1 pep chromosome:ASM357664v1:3:14488106:14492080:-1 gene:itb03g15000 transcript:itb03g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHVLRGFTFRSLHVNRRLNFPPENPRRRKFPSHLSFSVRCGQTPAENGEAVRGTKRRDVLVTSLIALGASVLRTAVARADDDPPEIPATETETVTEVLDPAPAQAEKAEEKKEEVINSRVYDATAIGEPLAVGKDKSRVWEKLMGARIVYLGEAEQVPTRDDKELELEIVKSLRKRCAEAERPISLALEAFPCDLQEQLNQFMAKSIDGETLKSFVTHWPPQRWQEYEPLLSYCNDNGVRLIACGLPLEVQRTVQALGIRGLSKSDRDKYSPPAGSGFISGFTPRSHRSSIDVNSPHTSALFGPRSYLSAQARVVEEYNMSQIILQAMEDDGSAGLLLVITGATHVTYGYRGTGLPARISRKVQKKNQVVVLLDPERQEIRREGEVPVADFLWYSAARPCSRNCYDRAEIARVMNAAGRRRDALPKDLQQGLDLGLVSPEVLQNFFDLEQYPVISDLTKGFQGFRERLLADPKFLNRLAIEEAISITTTLLAQYERRKGKFLEELDYVITDTARGIVVDFFTVWLPAPTISFLPAANDANMPESIEALKGLLGSIPDNAFQKSIAGKDWGLSHRVASVIVGGLKLASVGFISSIGAVTSSNVLFGIRKIINPTLAKTQQNKRSPVLKTALVYGGFLGTSANLRYQIIAGIIEHRISDQFSDQTFLVNMLSFVARTINSYWGTQQWIDLARFTGLQSRKTEPDSQLTPDSADRAAVGCNPNPTDDTHTDESHETNNQ >itb10g00160.t2 pep chromosome:ASM357664v1:10:110766:111860:-1 gene:itb10g00160 transcript:itb10g00160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATGTCLGCLIAGLGFAFQGGNEELEQLTAALVLTGILVFSVSFSAGMGGTPWVIMSEIFPVNIKGTGGSLVTLSNWFSSWIVTYAFNFLFQWSSAGVFFVFACVSAIIVIFVAKLVPETKGRTLEQIQASMTLLQ >itb10g00160.t1 pep chromosome:ASM357664v1:10:110766:111860:-1 gene:itb10g00160 transcript:itb10g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATGTCLGCLIAGLGFAFQQGGNEELEQLTAALVLTGILVFSVSFSAGMGGTPWVIMSEIFPVNIKGTGGSLVTLSNWFSSWIVTYAFNFLFQWSSAGVFFVFACVSAIIVIFVAKLVPETKGRTLEQIQASMTLLQ >itb10g00160.t3 pep chromosome:ASM357664v1:10:110766:111860:-1 gene:itb10g00160 transcript:itb10g00160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATGTCLGCLIAGLGFAFQQQGGNEELEQLTAALVLTGILVFSVSFSAGMGGTPWVIMSEIFPVNIKGTGGSLVTLSNWFSSWIVTYAFNFLFQWSSAGVFFVFACVSAIIVIFVAKLVPETKGRTLEQIQASMTLLQ >itb10g25460.t1 pep chromosome:ASM357664v1:10:28783640:28785234:1 gene:itb10g25460 transcript:itb10g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDVFFQLWNSDHGHVLEACKDSLNKLRLDYLDLYLVHFPVATKHTGVGMTSSAKGDDGVLDVDTTISLETTWHAMEDLVSKGLVRSIGISNYGNALTRDCLAYAKVKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSVANTEMFGSVSCLEDPVLKGIAEKYKKTVAQIVLRWGIQRNTVVIPKSSKVERLEENFNVYGFKLSKEDMELIKSVDRNHRTNQPAKFWGIDLYA >itb12g19720.t1 pep chromosome:ASM357664v1:12:22111619:22115270:-1 gene:itb12g19720 transcript:itb12g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILAKIPRKSLKSDSLDSSGAQPNSCNDPTNSGNGMQFTNSCNVIANRLNVVKRVSSAIFPASIVAGGESISPHLCFKDVSNGEKQGLLVSKLNLCCSVYDFSDPDKSAAEKDLKRQVLLELNDFVASGSAKCTESAIAAVCRMCAVNLFRDFPPKYASHSARGESEDEELFFDPAWYHLQLVYDLLLRFVSLCSLDPKVAKKFIDHSFILKVLNLFDSEDPRERECLKSILHRLYGKFMMHRPFIRKAVCNLFYRFVFETQRHNGIAELLEVFGSVITGFALPLKEEHKVFFSRALIPLHKPKSLGVYHQQLAYCVVQFVEKEQNLASTAITRLLRYWPVTNSQKELMFISEIEEVLEMISVSEFEKIMVPLFRRIGCCLNSSHFQVAERAHLLWSNDSILNLVMRNKQVIMPIVVSALERNSQSHWSKPILNLTLNVRKVFSEMDEELVLACQTKYEEENSVSNGAAERRRLTWERLEMAATYQPVPSDLSGLAKPHTCLVSC >itb12g19720.t2 pep chromosome:ASM357664v1:12:22111619:22114708:-1 gene:itb12g19720 transcript:itb12g19720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFDLGIHWSFVTMLKQILAKIPRKSLKSDSLDSSGAQPNSCNDPTNSGNGMQFTNSCNVIANRLNVVKRVSSAIFPASIVAGGESISPHLCFKDVSNGEKQGLLVSKLNLCCSVYDFSDPDKSAAEKDLKRQVLLELNDFVASGSAKCTESAIAAVCRMCAVNLFRDFPPKYASHSARGESEDEELFFDPAWYHLQLVYDLLLRFVSLCSLDPKVAKKFIDHSFILKVLNLFDSEDPRERECLKSILHRLYGKFMMHRPFIRKAVCNLFYRFVFETQRHNGIAELLEVFGSVITGFALPLKEEHKVFFSRALIPLHKPKSLGVYHQQLAYCVVQFVEKEQNLASTAITRLLRYWPVTNSQKELMFISEIEEVLEMISVSEFEKIMVPLFRRIGCCLNSSHFQVAERAHLLWSNDSILNLVMRNKQVIMPIVVSALERNSQSHWSKPILNLTLNVRKVFSEMDEELVLACQTKYEEENSVSNGAAERRRLTWERLEMAATYQPVPSDLSGLAKPHTCLVSC >itb10g02710.t2 pep chromosome:ASM357664v1:10:2303591:2311527:-1 gene:itb10g02710 transcript:itb10g02710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEECHGPPRLHLLDKFDAGGPGSCLKRYSDPTFFKRASAGSDEAYLEKVLKDKKGRKIKKKRSWQKNREVSRGASSLSNYSSRMESRKFDGQTSPSQRISTNGEAMLKSDLKELSHSFDSIYGSACAEGVSCPSSLMHPDEDKAKEMSSLLKSHHDDSLDYCSFDEKSGDNSVSISLSQEQSDHLSSHATWNKQGQPEEDDSRQSFSNSLQMYSNGSLDSAHPDEKCDVYGDISNGYSEEHNSATWNGKTQPEEDESRLSFSAPLHSNGSLDYDIPDKNNGDACVDIGNGLSEDHSAYILYSVTRDDNARPFELASKESLFSPSQMNQDNGIDFASPDEKIWVVSDESGSDFSQNQTCPSPSFSTLHRKMDTLETTPQKYDDVENVETHSANVCPDAKITAAVTLDSVEQIDMQLERKNQKDTTEFASQDDNILVASNETGSNFSQKQTCPYPSSSTLDRMIDTLETTPQKYNGDENLETHSENAGEDAQITAAVTLETVDQIDLLLEKMNQKGSVDFASPDEKSWVVSDEIGSDFSQKQTCPIPSCSTSDREMDILEIIHQKCNDNENLETHSANVGTDAQITAAVTRGTVGQIVWLLENKRMQMSNYDDAPFDDIDSETDNFVDALNTIESESESDLDCQTKKQLDLDSVLKTKVVEDAIPEVIAKYANSPLPNLTSEAGEIPAGKDIFGDYSSAVPSDHDSAACFTLDKVTCEDTFHLNTSECNAHLESPQVSKGTVEPVLAHGNLNENGDVLDSTDVESVSKLSSSVSRENGAGVINKVTCPEPPKPPPEPSGVPSVKFWTNGGLLGLQPSKPPDSVLKAVSQVSTTTQDDTIGTPRQNTDPVDEKHARTCVTENGRKNREESQHSMRYHDNQDGGVFVTKTSSRYSSVDLDARVEKSNHSCHQNSINSPPDSHFNESDTVPSGTVLPVAPDSLRTSTGQENVNNSSRILSLGNRLLVNGFQRKLSLGWENNSNSASSMTSGLSEQKNHCENVTARTFSQKTTDLYGTGSPIMSPSWSPPLGHMKISFQPVDGFETHKLKLRFPDRGTRQESGSDMFPSFQLVPDADIPLHDMSSDSDNDTFCRSSPYASDDCLSHQSESNSEQWESGESSSTKDHEVYDALRRISLTESTSTSFDNRLTTQEELYDSVGGQIPFSEHGFERSPSAYFYDLPILDTLRPPVKQESGNCSTSTSLLERHSPKEPTPPPPSVPPQRWQPMRSLSDTTDGREGSLPKGLNYTVDTKLQAATFLHQPKPAPLNQDQVIEPAFGLKSKRLDLQQIDVQRVASLPANGKEMEEKEDFLHQIRTKSFNLRPTVTAKPTQATGLPTSVNAILEKANAIRQVVGSDGEDDNWSDT >itb10g02710.t3 pep chromosome:ASM357664v1:10:2303587:2311566:-1 gene:itb10g02710 transcript:itb10g02710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRVGVRNEFSLGATELYREADKEDPKAVLDGVAVSGLVGILRQLGDLAEFAAEVFHGLQEQVTITSSRSHKLMARVQRIETALPSLEKSILAQQSHLHFAYTTGSNWHTRIHCEENHFIYSDLPRFIMDSYEECHGPPRLHLLDKFDAGGPGSCLKRYSDPTFFKRASAGSDEAYLEKVLKDKKGRKIKKKRSWQKNREVSRGASSLSNYSSRMESRKFDGQTSPSQRISTNGEAMLKSDLKELSHSFDSIYGSACAEGVSCPSSLMHPDEDKAKEMSSLLKSHHDDSLDYCSFDEKSGDNSVSISLSQEQSDHLSSHATWNKQGQPEEDDSRQSFSNSLQMYSNGSLDSAHPDEKCDVYGDISNGYSEEHNSATWNGKTQPEEDESRLSFSAPLHSNGSLDYDIPDKNNGDACVDIGNGLSEDHSAYILYSVTRDDNARPFELASKESLFSPSQMNQDNGIDFASPDEKIWVVSDESGSDFSQNQTCPSPSFSTLHRKMDTLETTPQKYDDVENVETHSANVCPDAKITAAVTLDSVEQIDMQLERKNQKDTTEFASQDDNILVASNETGSNFSQKQTCPYPSSSTLDRMIDTLETTPQKYNGDENLETHSENAGEDAQITAAVTLETVDQIDLLLEKMNQKGSVDFASPDEKSWVVSDEIGSDFSQKQTCPIPSCSTSDREMDILEIIHQKCNDNENLETHSANVGTDAQITAAVTRGTVGQIVWLLENKRMQMSNYDDAPFDDIDSETDNFVDALNTIESESESDLDCQTKKQLDLDSVLKTKVVEDAIPEVIAKYANSPLPNLTSEAGEIPAGKDIFGDYSSAVPSDHDSAACFTLDKVTCEDTFHLNTSECNAHLESPQVSKGTVEPVLAHGNLNENGDVLDSTDVESVSKLSSSVSRENGAGVINKVTCPEPPKPPPEPSGVPSVKFWTNGGLLGLQPSKPPDSVLKAVSQVSTTTQDDTIGTPRQNTDPVDEKHARTCVTENGRKNREESQHSMRYHDNQDGGVFVTKTSSRYSSVDLDARVEKSNHSCHQNSINSPPDSHFNESDTVPSGTVLPVAPDSLRTSTGQENVNNSSRILSLGNRLLVNGFQRKLSLGWENNSNSASSMTSGLSEQKNHCENVTARTFSQKTTDLYGTGSPIMSPSWSPPLGHMKISFQPVDGFETHKLKLRFPDRGTRQESGSDMFPSFQLVPDADIPLHDMSSDSDNDTFCRSSPYASDDCLSHQSESNSEQWESGESSSTKDHEVYDALRRISLTESTSTSFDNRLTTQEELYDSVGGQIPFSEHGFERSPSAYFYDLPILDTLRPPVKQESGNCSTSTSLLERHSPKEPTPPPPSVPPQRWQPMRSLSDTTDGREGSLPKGLNYTVDTKLQAATFLHQPKPAPLNQDQVIEPAFGLKSKVVLQRLIALHYYN >itb10g02710.t1 pep chromosome:ASM357664v1:10:2303586:2311566:-1 gene:itb10g02710 transcript:itb10g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRVGVRNEFSLGATELYREADKEDPKAVLDGVAVSGLVGILRQLGDLAEFAAEVFHGLQEQVTITSSRSHKLMARVQRIETALPSLEKSILAQQSHLHFAYTTGSNWHTRIHCEENHFIYSDLPRFIMDSYEECHGPPRLHLLDKFDAGGPGSCLKRYSDPTFFKRASAGSDEAYLEKVLKDKKGRKIKKKRSWQKNREVSRGASSLSNYSSRMESRKFDGQTSPSQRISTNGEAMLKSDLKELSHSFDSIYGSACAEGVSCPSSLMHPDEDKAKEMSSLLKSHHDDSLDYCSFDEKSGDNSVSISLSQEQSDHLSSHATWNKQGQPEEDDSRQSFSNSLQMYSNGSLDSAHPDEKCDVYGDISNGYSEEHNSATWNGKTQPEEDESRLSFSAPLHSNGSLDYDIPDKNNGDACVDIGNGLSEDHSAYILYSVTRDDNARPFELASKESLFSPSQMNQDNGIDFASPDEKIWVVSDESGSDFSQNQTCPSPSFSTLHRKMDTLETTPQKYDDVENVETHSANVCPDAKITAAVTLDSVEQIDMQLERKNQKDTTEFASQDDNILVASNETGSNFSQKQTCPYPSSSTLDRMIDTLETTPQKYNGDENLETHSENAGEDAQITAAVTLETVDQIDLLLEKMNQKGSVDFASPDEKSWVVSDEIGSDFSQKQTCPIPSCSTSDREMDILEIIHQKCNDNENLETHSANVGTDAQITAAVTRGTVGQIVWLLENKRMQMSNYDDAPFDDIDSETDNFVDALNTIESESESDLDCQTKKQLDLDSVLKTKVVEDAIPEVIAKYANSPLPNLTSEAGEIPAGKDIFGDYSSAVPSDHDSAACFTLDKVTCEDTFHLNTSECNAHLESPQVSKGTVEPVLAHGNLNENGDVLDSTDVESVSKLSSSVSRENGAGVINKVTCPEPPKPPPEPSGVPSVKFWTNGGLLGLQPSKPPDSVLKAVSQVSTTTQDDTIGTPRQNTDPVDEKHARTCVTENGRKNREESQHSMRYHDNQDGGVFVTKTSSRYSSVDLDARVEKSNHSCHQNSINSPPDSHFNESDTVPSGTVLPVAPDSLRTSTGQENVNNSSRILSLGNRLLVNGFQRKLSLGWENNSNSASSMTSGLSEQKNHCENVTARTFSQKTTDLYGTGSPIMSPSWSPPLGHMKISFQPVDGFETHKLKLRFPDRGTRQESGSDMFPSFQLVPDADIPLHDMSSDSDNDTFCRSSPYASDDCLSHQSESNSEQWESGESSSTKDHEVYDALRRISLTESTSTSFDNRLTTQEELYDSVGGQIPFSEHGFERSPSAYFYDLPILDTLRPPVKQESGNCSTSTSLLERHSPKEPTPPPPSVPPQRWQPMRSLSDTTDGREGSLPKGLNYTVDTKLQAATFLHQPKPAPLNQDQVIEPAFGLKSKRLDLQQIDVQRVASLPANGKEMEEKEDFLHQIRTKSFNLRPTVTAKPTQATGLPTSVNAILEKANAIRQVVGSDGEDDNWSDT >itb12g27900.t1 pep chromosome:ASM357664v1:12:28074413:28079185:1 gene:itb12g27900 transcript:itb12g27900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKSTFDDSRPEYPRNEAGIGYPYQSTTYTGHSVDPNHQAGIGYPYQSTTYTGHSVDPNHQAQGPSYAGSSIDTNYQKKQQATYIADNFNSLDQVINALREAGLESSNLILGIDFTKSNEWTGKYSFNHKSLHAIGNTPNPYEQAISIVGRTLSPFDEDSLIPCFGFGDATTHDQHVFNFFPDHRPCNGFEEALARYREIVPYIKLAGPTSFAPIINAAIDIVEAHNGQYHVLIIIADGQVTRSSDTPPGRLSPQEQSTVDSIVAASEYPLSIILVGVGDGPWDEMRQFDDYIPQRRFDNFQFVNFTSIMSEHTDMGKKEAAFALAALMEIPFQYRLTQSIQCTENKRGPRTRPLPPPREVIDHDNAVKSLPHATSFGPADPVAAAEQVCPICLTNPKDMAFGCGHMTCRECGASISLCPLCREPVKTRIRLYG >itb04g31050.t2 pep chromosome:ASM357664v1:4:34012341:34030652:1 gene:itb04g31050 transcript:itb04g31050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSLPFPLIPLTLFNGGELSEGGFELSRYLFLGSLLFSSPGGTAGGMDLSKVGEKIFSSVRSARSLGLLPASPSDRPEVPARAAAAAAVARVLAGLPPHQRHNLSSSSEELSSEELSSIYGSKPSKVLDDLEEEFYEEDFDPVRHVLEHIPTDENELAYFEEKAASRLAQLDRIAERLSRHVMQHHEVMVKGMNLVRELERDLKVANVICMNGRRHLTSSRNEISRDLIVHRNSKKKQALLDMLPILTELRHALDMQVALETLVEEGNFSKAFQVLSEYLQIMDSLSQLSAVQEMSHGVEVWLGKTLQKLDALLLGVCQDFKEESYTTVVDAYALIGDVSGLAEKIQSFFMQEVLSDTHSVLKTLVQKDFENTNVQGARLTYSDLCIQMPESKFRQCLLATLAVLFKLMCSYHAILSFQPVDKKQGEVFALAGDIQHVGTIAQTPVVSSTGEMPATCVSLTDNIAAFHPSNIDNGEEVRDGGETASSSGSPWFQLRKDAATFVSQTLHRGRRNLWQLTTSRVAVLLSSPVVCSTSIHQFLTMYEDLNTFILAGEAFCGSEAVEFRQKVKFVCESYFASFHRQNIYALKLVLEKENWLILPPEVTQVVSFAGLIGDGAALIASTSNSLDTRLGHAHKSNDLAQTNSKRSGFSNWLKNENPFLVKLNCSSNEYTDSYFPGSPSSREVGSSNGSYFKKDSTQENHAENHMNGSPSLSEDENEDLHADFIDEDSQLPSRISKPSRSRHRSTLSNDEEMTAQTGSSLTLLRLMDKYARLMQKLEFVNVELFKGISQLFGIFFHFVFESFVNQSTLPGGKVLTDMLPHKLKTALSRITQDCDQWMKPQSSPFNSSSPTSSNTPFTHMDVTPTSPPSLLAGASFSLKERCAGADTISLVARLLHRSKAHLQSMLLKKNSATVEDFYVHLVDVVPDLVEHIHRTTARLFLHINGYVDRIANAKWELKDLGLEHNGYVDLLLGEFKHYKTRLVTGGIQKEVQDLLLEYGLDNVAETLVEGLSRVKRCTDEGRALMSLDLQVLINGLKHFVSVDVRPKLQIVETFIKAYYLPETEFVHWSRAHPEYSKSQVVGLVNLVATMKGWKRKTRLEVLEKIE >itb04g31050.t3 pep chromosome:ASM357664v1:4:34012232:34030696:1 gene:itb04g31050 transcript:itb04g31050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSLPFPLIPLTLFNGGELSEGGFELSRYLFLGSLLFSSPGGTAGGMDLSKVGEKIFSSVRSARSLGLLPASPSDRPEVPARAAAAAAVARVLAGLPPHQRHNLSSSSEELSSEELSSIYGSKPSKVLDDLEEEFYEEDFDPVRHVLEHIPTDENELAYFEEKAASRLAQLDRIAERLSRHVMQHHEVMVKGMNLVRELERDLKVANVICMNGRRHLTSSRNEISRDLIVHRNSKKKQALLDMLPILTELRHALDMQVALETLVEEGNFSKAFQVLSEYLQIMDSLSQLSAVQEMSHGVEVWLGKTLQKLDALLLGVCQDFKEESYTTVVDAYALIGDVSGLAEKIQSFFMQEVLSDTHSVLKTLVQKDFENTNVQGARLTYSDLCIQMPESKFRQCLLATLAVLFKLMCSYHAILSFQPVDKQGEVFALAGDIQHVGTIAQTPVVSSTGEMPATCVSLTDNIAAFHPSNIDNGEEVRDGGETASSSGSPWFQLRKDAATFVSQTLHRGRRNLWQLTTSRVAVLLSSPVVCSTSIHQFLTMYEDLNTFILAGEAFCGSEAVEFRQKVKFVCESYFASFHRQNIYALKLVLEKENWLILPPEVTQVVSFAGLIGDGAALIASTSNSLDTRLGHAHKSNDLAQTNSKRSGFSNWLKNENPFLVKLNCSSNEYTDSYFPGSPSSREVGSSNGSYFKKDSTQENHAENHMNGSPSLSEDENEDLHADFIDEDSQLPSRISKPSRSRHRSTLSNDEEMTAQTGSSLTLLRLMDKYARLMQKLEFVNVELFKGISQLFGIFFHFVFESFVNQSTLPGGKVLTDMLPHKLKTALSRITQDCDQWMKPQSSPFNSSSPTSSNTPFTHMDVTPTSPPSLLAGASFSLKERCAGADTISLVARLLHRSKAHLQSMLLKKNSATVEDFYVHLVDVVPDLVEHIHRTTARLFLHINGYVDRIANAKWELKDLGLEHNGYVDLLLGEFKHYKTRLVTGGIQKEVQDLLLEYGLDNVAETLVEGLSRVKRCTDEGRALMSLDLQVLINGLKHFVSVDVRPKLQIVETFIKAYYLPETEFVHWSRAHPEYSKSQVVGLVNLVATMKGWKRKTRLEVLEKIE >itb04g31050.t1 pep chromosome:ASM357664v1:4:34012231:34030696:1 gene:itb04g31050 transcript:itb04g31050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSLPFPLIPLTLFNGGELSEGGFELSRYLFLGSLLFSSPGGTAGGMDLSKVGEKIFSSVRSARSLGLLPASPSDRPEVPARAAAAAAVARVLAGLPPHQRHNLSSSSEELSSEELSSIYGSKPSKVLDDLEEEFYEEDFDPVRHVLEHIPTDENELAYFEEKAASRLAQLDRIAERLSRHVMQHHEVMVKGMNLVRELERDLKVANVICMNGRRHLTSSRNEISRDLIVHRNSKKKQALLDMLPILTELRHALDMQVALETLVEEGNFSKAFQVLSEYLQIMDSLSQLSAVQEMSHGVEVWLGKTLQKLDALLLGVCQDFKEESYTTVVDAYALIGDVSGLAEKIQSFFMQEVLSDTHSVLKTLVQKDFENTNVQGARLTYSDLCIQMPESKFRQCLLATLAVLFKLMCSYHAILSFQPVDKGEVFALAGDIQHVGTIAQTPVVSSTGEMPATCVSLTDNIAAFHPSNIDNGEEVRDGGETASSSGSPWFQLRKDAATFVSQTLHRGRRNLWQLTTSRVAVLLSSPVVCSTSIHQFLTMYEDLNTFILAGEAFCGSEAVEFRQKVKFVCESYFASFHRQNIYALKLVLEKENWLILPPEVTQVVSFAGLIGDGAALIASTSNSLDTRLGHAHKSNDLAQTNSKRSGFSNWLKNENPFLVKLNCSSNEYTDSYFPGSPSSREVGSSNGSYFKKDSTQENHAENHMNGSPSLSEDENEDLHADFIDEDSQLPSRISKPSRSRHRSTLSNDEEMTAQTGSSLTLLRLMDKYARLMQKLEFVNVELFKGISQLFGIFFHFVFESFVNQSTLPGGKVLTDMLPHKLKTALSRITQDCDQWMKPQSSPFNSSSPTSSNTPFTHMDVTPTSPPSLLAGASFSLKERCAGADTISLVARLLHRSKAHLQSMLLKKNSATVEDFYVHLVDVVPDLVEHIHRTTARLFLHINGYVDRIANAKWELKDLGLEHNGYVDLLLGEFKHYKTRLVTGGIQKEVQDLLLEYGLDNVAETLVEGLSRVKRCTDEGRALMSLDLQVLINGLKHFVSVDVRPKLQIVETFIKAYYLPETEFVHWSRAHPEYSKSQVVGLVNLVATMKGWKRKTRLEVLEKIE >itb01g08350.t1 pep chromosome:ASM357664v1:1:6740058:6745029:-1 gene:itb01g08350 transcript:itb01g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLTQVEKQQSQVGQLGYLLTRTYRTSPKISRDGVVTGLVRAQQRFKSNYVGSLARRVRETDEVSEAAYLKELYNRHDPEAVIRLFESQPSLHSNPQALSEYVKALVKVDRLDESELLRTLQRGISVAATSHAEEDSLGSLGALSAFRNAGKNTKDGVLGTPNAPIHMVAVEGGHFKEQLWRTFRALGLAFLTISGLGALIEDRGISKGLGLNEEVQPSMESNTKFCDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVTMADLEHAKDKIMMGSERKSAVISDESRRLTAFHEGGHALVAIHTDGALPVHKATIVPRGMALGMVSQLPEKDETSISRKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATNLARAMVTKYGMSKEVGVVTHNYDDNGKSMSTETRLLIEKEVREFLDKAYNNAKTILTTHNKELHALANALLEHETLSGSQIKVLLAQVNSQQTQQQQQQLFPGVQNTSKSNQAPPPPPTPSPAASAAAAAAAAAAAATAAAKSKGIAPVGS >itb03g18100.t1 pep chromosome:ASM357664v1:3:16485037:16488549:1 gene:itb03g18100 transcript:itb03g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVSLVLYFFISAAIACAAVGQAGSSTFAGDNLIRQVVSDGGLHDEVENAILQVIGSAPRALSFARFALKYGKRYESAEEMKRRFSVFKESLKTIRSHNKRGLSYKLGVNEFADMTWDEFRTQRLGAAQNCSATSKGNITRSDVTLPPTKDWRQDGIISPVKSQGGCGACWAFSTTGALEAAYAQASGKPISLSEQQLVDCAGAFNNYGCQGGLPSYAFEYIKYNGGLDTEQSYPYAGKDGVCKFTSGTIGVQVVDSINITQGAEDELLYAVGMIRPVSVAFQAVDGFSLYKSGVYISTICGNTSMDLNHAVLAVGYGVENGVQYWLLKNSWGDQWGENGYFKMQMGKNMCGIATCASYPIVA >itb07g01040.t1 pep chromosome:ASM357664v1:7:656148:658085:1 gene:itb07g01040 transcript:itb07g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRMGAAPAPNQVFEDFVPKSELVTEADADILQIHLPDFKKEQLRVQVTRSGNLKISGQRPKWGDKWLRFHKEFPLSPNCIQNKISAKFERGILFVRQPKMIIAEKQLAAEPQKPGADEQRKAGEEPAKKTEEQAKGGATEPSQPPEQKTREEPSKKAEEQRKGETTASETKGKESEVTDAKTATEAKKSDEFVEDETINNKGLSDTRNAAMIENSGTKLATILRQPRRILNVALVVMVVISIFMYVNNMIRSSCEAEE >itb10g19680.t2 pep chromosome:ASM357664v1:10:25445249:25447249:-1 gene:itb10g19680 transcript:itb10g19680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDWFKNIISLKKSKDGRSKKLKGYKETINSQGNNLEPPIIGNGVSDGNHAVLSMPIEQIAAIQIQKAFRAYMARKTLRRLKGNAKLQILTEGNSVKRQTSTTLSYLHSWTRIQAEIRARRAQMVAEGHLRQRKLDNQSKLEAKLQNLEVEWSGGPETMEEALARIHQREEAAEKRERTMAYAFSHQWRANSSPIYGSSSNLELGKANWGWSWTERWIAARPWESRTPTKPSPKKAQNKQASKTSKITTITATTKTPVPAKEKVPTKPRRLSYESGVKVVPNKGNEKTKEASTKKQQAVSEEQT >itb10g19680.t1 pep chromosome:ASM357664v1:10:25445239:25449020:-1 gene:itb10g19680 transcript:itb10g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDWFKNIISLKKSKDGRSKKLKGYKETINSQGNNLEPPIIGNGVSDGNHAVLSMPIEQIAAIQIQKAFRAYMARKTLRRLKGNAKLQILTEGNSVKRQTSTTLSYLHSWTRIQAEIRARRAQMVAEGHLRQRKLDNQSKLEAKLQNLEVEWSGGPETMEEALARIHQREEAAEKRERTMAYAFSHQWRANSSPIYGSSSNLELGKANWGWSWTERWIAARPWESRTPTKPSPKKAQNKQASKTSKITTITATTKTPVPAKEKVPTKPRRLSYESGVKVVPNKGNEKTKEASTKKQQAVSEEQT >itb01g26810.t1 pep chromosome:ASM357664v1:1:31865039:31866790:-1 gene:itb01g26810 transcript:itb01g26810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGERREEFRWAFSSQLNVCSSNALYFRNFERWERGESPGRHVVDITAIHGVNRRPLYGLRALRRKGCISESNIPSPHGGRGALPSEGGSPSDLLFLAGGGSSLFLIH >itb12g03800.t1 pep chromosome:ASM357664v1:12:2497765:2500691:-1 gene:itb12g03800 transcript:itb12g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPGGGSSGRLPTWKERENNKRRERRRRAIAAKIFAGLRAQGNYKLPKHCDNNEVLKALCAEAGWVVDEDGTTYRKGRRPPPTEIMGVSANISACSSVQPSPMSSCFPSPAPSYHASPVSSSFPSPSRGDANPPSYILPFIHNLASVPSSLPPLRISNSAPVTPPLSSPTKNSKRKPFLESLSNSSFYPSHHTLFISSAPSSPTRRRHCKPATIPECDESDASTIDSVRWVSSQTGVPLVAPTSPTFNLVQPFSQQSTPLIGVDVHRDFDWAGAAKRSRCSEFDFENAKVMAWEGERIHDIGMDDLELKLGSGKAHA >itb06g11320.t1 pep chromosome:ASM357664v1:6:15903573:15906924:-1 gene:itb06g11320 transcript:itb06g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAEDLERKHSNSGSQVKDDSEYVRLVVNDEPRITEPYILQSPVETRKRAIKWWSKALMWCFICIVALLIFIKWGVPFLFEKVLIPILRWESTAFGRPVLALILVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMLLPYLIGLLFRDRIHQWLKKWPQQAAVIRLAGEGSSWHQFQVVALFRISPFPYTIFNYAVVVTSMRFWPYLWGSIAGMIPEAFLYIYSGRLIRTFADVKYGNHHLTPVEIIANVISFIVAIITTVVFTVYAKRKLNEQATLGAQGSTSSHDKFEMQKLPPEKPKHGYISSL >itb06g11320.t2 pep chromosome:ASM357664v1:6:15904158:15906850:-1 gene:itb06g11320 transcript:itb06g11320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSAEDLERKHSNSGSQVKDDSEYVRLVVNDEPRITEPYILQSPVETRKRAIKWWSKALMWCFICIVALLIFIKWGVPFLFEKVLIPILRWESTAFGRPVLALILVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMLLPYLIGLLFRDRIHQWLKKWPQQAAVIRLAGEGSSWHQFQVVALFRISPFPYTIFNYAVVVTSMRFWPYLWGSIAGMIPEAFLYIYR >itb08g04760.t1 pep chromosome:ASM357664v1:8:3911035:3913066:-1 gene:itb08g04760 transcript:itb08g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFASTAAGAQNHNHRKKSGSTAMVTPMQSTEKSDDVIGDGLSDYSFNIPDECLAVIFQSLNAGDRKRCSLVCRRWLQIEGQSRHRLSLRAQTELATAIPSIFSRFDSVTKLALKCDRRSVSIGDDALVLISLRCRNLTRLKLRACRDLTDAGIEAFAKNCNVLKKLSCGSCSFGAKGMNAVFDNCSSLEELSVKRLRGIANGAMAEPIGPGVAAGSLRVICLKELYNGQCFGPLITGSKNLKTLKLLRCSGDWDKILEAISDQITGLVEVHVERLQVTDAGLAAISNCSNLEILHLVKTPECTNIGLKNVAEHCKFLRKLHIDGWKTNRISDEGLLAVANHCPNLQELVLIGVNPTLVSLEKLATNCLNLERLALCGSETVGDSELSCIAVKCIALKKLCIKSCPISNSGMEALASGCPSLIKVKVKKCRLVTSEAADWLRASRGSLAVNLDTVEVENNPDGAASDDGVSEAGQENRQVGGQVGGGGGGVNIASNSTRRSNSLRARLGLLTGRNLVACTFRRWSSFGGSSRNN >itb01g27420.t1 pep chromosome:ASM357664v1:1:32238483:32248492:1 gene:itb01g27420 transcript:itb01g27420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSNSSKDTPPSPDSRLNQTLRNVQGLLKGRSFPGKVLITQRDDPLDASNLYSPSSGRRISDTEAGSSEQMDGSFEEVQNRSNSESSSSVNKMKSSAPNPELSYIEIPKSPMGSRATDSARLTKFTKELSSPTVILEKLRELAWSGVPPYLRPAVWRLILGYAPPNSDRREGVLARKRLEYLDCVAQYYDIPDTERTNEEINMLRQIAVDCPRTVPDVSFFQQSQVQKSLERILYTWAIRHPASGYVQGINDLATPFMVVFLSEYLEGSIDTWQMADLAPEKISNVEADCYWCLTKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPILRHMEEQGLEFLQFAFRWFNCLLIREMPFHLVSRLWDTYLAEGDALPDFLVYIAASFLLSWTEKLQQLEFQDMVMFLQHLPTHNWSDLELEMVLSRAYMWHAMFSSSPSHLVS >itb01g27420.t3 pep chromosome:ASM357664v1:1:32238751:32248208:1 gene:itb01g27420 transcript:itb01g27420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSNSSKDTPPSPDSRLNQTLRNVQGLLKGRSFPGKVLITQRDDPLDASNLYSPSSGRRISDTEAGSSEQMDGSFEEVQNRSNSESSSSVNKMKSSAPNPELSYIEIPKSPMGSRATDSARLTKFTKELSSPTVILGTTFFLSSLVISFHQELLKGRSFPGKVLITQRDDPLDASNLYSPSSGRRISDTEAGSSEQMDGSFEEVQNRSNSESSSSVNKMKSSAPNPELSYIEIPKSPMGSRATDSARLTKFTKELSSPTVILEKLRELAWSGVPPYLRPAVWRLILGYAPPNSDRREGVLARKRLEYLDCVAQYYDIPDTERTNEEINMLRQIAVDCPRTVPDVSFFQQSQVQKSLERILYTWAIRHPASGYVQGINDLATPFMVVFLSEYLEGSIDTWQMADLAPEKISNVEADCYWCLTKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPILRHMEEQGLEFLQFAFRWFNCLLIREMPFHLVSRLWDTYLAEGDALPDFLVYIAASFLLSWTEKLQQLEFQDMVMFLQHLPTHNWSDLELEMVLSRAYMWHAMFSSSPSHLVS >itb01g27420.t2 pep chromosome:ASM357664v1:1:32238539:32248208:1 gene:itb01g27420 transcript:itb01g27420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSNSSKDTPPSPDSRLNQTLRNVQGLLKGRSFPGKVLITQRDDPLDASNLYSPSSGRRISDTEAGSSEQMDGSFEEVQNRSNSESSSSVNKMKSSAPNPELSYIEIPKSPMGSRATDSARLTKFTKELSSPTVILEKLRELAWSGVPPYLRPAVWRLILGYAPPNSDRREGVLARKRLEYLDCVAQYYDIPDTERTNEEINMLRQIAVDCPRTVPDVSFFQQSQVQKSLERILYTWAIRHPASGYVQGINDLATPFMVVFLSEYLEGSIDTWQMADLAPEKISNVEADCYWCLTKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPILRHMEEQGLEFLQFAFRWFNCLLIREMPFHLVSRLWDTYLAEGDALPDFLVYIAASFLLSWTEKLQQLEFQDMVMFLQHLPTHNWSDLELEMVLSRAYMWHAMFSSSPSHLVS >itb03g08210.t1 pep chromosome:ASM357664v1:3:6161016:6165867:-1 gene:itb03g08210 transcript:itb03g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPISEDRGGSSPHATLRQTPLQIIHIIGNFMRIWSVYSMYRYLTQTRASVVLFIFSCLVPSCILFLIMQKPWKGRPLSNTQVVPSVINGAITALYLTMWGKGLKSCGPVRAILAEYSGAVLGVLSGVLYGRKGHVWKKVGGLIAMLASFYFLSQGWAMATYSPISFGDSPDGNEARTEQVIGMKEMLIPIFAGILSALKRVIARRVSLKNQLKRRLNAITIASATCFLFPIAMWDMIIGSTSIELPFSAWAFTSTILFAIILIFYIDSIAEERLQMVFSSPRHLMVAGGCIIVMEFVYKMDFSLPGFLICAAILGFGIHEATSLDLTRKDTSRSSSLSNGFLDDPVEMSPLPT >itb13g17110.t1 pep chromosome:ASM357664v1:13:24037526:24039314:1 gene:itb13g17110 transcript:itb13g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLLLAHRKDGDHKKLAPLVPGALPIIGHLHLLAGRKKTPTHLILASMADKYGPIFRLRLGSVPVLVVSDSKIAKECFTAKDKELATRPKLLASEIMGYNYSMFGIAPYGEYWRGIRKIVLLELLSNRRIEMLRRVRESHVRKAIKRTFDHWSHNKDPNSGAVVVEMRQWFSRLIVNLSIAMLFGEEEVGEESQLLKSIRNLLELFGEMPVSDFIPWLRWMDLGGYEKAMRKTAEEMDSAADRWLKEHRAKRNLKSKEEEDFMDVMLSLFDDQSNQTHPLGFDTDIVIKSTCLTMVVAATDTTSITLTWALSFILNHNNVLRKIQDELDIHVGKERCVEESDINSLIYLQAVVKEGFRLRPTVPLSIPHEAMEDCTIDGYYIQKGTRIISNLAKIHRDPKVWTDPDEFKPERFLTSHKDIDVKGNNFELIPFGSGRRMCPGMSLALQIVHFTLASLIQSFDMKRPSMEPIDMTGSKGLTTPKATPLHALLIPRLTSDLYG >itb07g14280.t1 pep chromosome:ASM357664v1:7:16778348:16781569:1 gene:itb07g14280 transcript:itb07g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEGRGGGGDRRLQRRRRRLARAESEAATARAEADGWPEKQQQQTAAMDGRRAYQQGRNHGRRRRLREEKTATSPEKPSSATAAAVRATPTPPPVAFRHPLRREPVTGGPPSVAASLCSVRRPPHRKTAVPTFLCVTVSPPPSPKKEALSTVTKKKRERLCLKKLQPSSTTNNHLRRAHGDETKKHRQAVVIGLFS >itb09g28930.t1 pep chromosome:ASM357664v1:9:29568356:29569567:1 gene:itb09g28930 transcript:itb09g28930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFIVFAIAMVALASSFAHASDPSPLQDFCVAVNDSNAAVFVNGKICKNPMQVVANDFLFRGLNMPGNTSNPLGSMVTPVNVNNLPGLNTLAISLARIDFAPNGLNPPHTHPRATEILFLLEGTLYVGFVLSNPPPGMKNPLFTKTLVAGDVFVFPQGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPPINPQVLTKAFQVEQNVINYLQAQFWYNNN >itb05g11090.t1 pep chromosome:ASM357664v1:5:17055466:17055924:-1 gene:itb05g11090 transcript:itb05g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIIGIVEGEFDCSAFRQTVDVYTVIKSGSHLDFGHLLGNSRSGSTSSGIIWETTRLPMGKYYHALFLIAHLLGFNRPYLPPRHKT >itb02g11020.t1 pep chromosome:ASM357664v1:2:7204612:7206491:1 gene:itb02g11020 transcript:itb02g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILFESASTSALSLRILLISTTVISAAVMLKISAPVITDFAVSDVPSIWNAVVSWLRPPYLYLVINCIIITIVASSKLQSKLDDHVSAPPPDTHVQALPQVREIQPPATVFSDLVPSFSDVVLNNQTASTRPEVFSYEFKVESAPYGRADSVTPQTESIFTVTSSAFPEEEEAKKEKEEEEFVISRSSWTPLTRQESSDYSVSTEKPPASSRFSHRKNAKSTPDGGKGALAVSKPKRQDTLESTWKTITEGRAMPLTRHLRKSDTWETHGGRSHLRQEKMAKSETFNDRSTAAGKSTSPSPLSARSAKLRKEPSLGQDELNRRVEAFIKKFNEEMRMQRQESLNQYKEMINRRVY >itb10g07790.t1 pep chromosome:ASM357664v1:10:9329737:9333513:1 gene:itb10g07790 transcript:itb10g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MGSPDFNWEDIPLLQVWRESSNSNGDSSIMLESYPPVQAVSILKEALENNKVIYDGVDIALPFNVEILKWANETRNILNCAKKPPPVKFYNIYGTNYDTPHTVSYGSADKPVSDLKQLPFVTADYIYVDGDGTVPTESAKADGLNAEARVGVPADHRGIICDRYVFRVIKHWLKADHDPFYNPVTDYVILPTLFDMERHQEKGLEITSLKEEWEIIPEGVVTDERPIVGSICVSRVGEDNISREDAQATVVVHPALDGKKCHVELSAMSVSTNV >itb07g15750.t1 pep chromosome:ASM357664v1:7:18800289:18801503:-1 gene:itb07g15750 transcript:itb07g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKAFDDDYLYGFHVTVRNKTTGHWIPLRDHNFLEYRLLHCDGTIRLECGWPKWELEKDESKGIRFEVPIMGGEELEVYAELDPSQILCLCELHRDRDGEVRFFPSTRGYLEVDETTVSFNGQEVQLIIY >itb02g07850.t1 pep chromosome:ASM357664v1:2:4921773:4924559:-1 gene:itb02g07850 transcript:itb02g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSQLPASYVDTTLKQIKQIQESLAKAIGTSSSGDASGGDDIGDDDGDGDDDDGFDYYDYLLDDEDEDEDGDEEDDSVQKQFDNVDLPPGVEAAVPWWNESDSTPASTDTVSSGVASQLCSSGSSSVGQSSTGNGNGKEEISDIIRKYQEFKHFDTVDDCSDHHYNITHFQGQQPPKAWSKKIQSEWKILEKDLPDTIYVRVYESRMDLLRAVIVGPQGTPYHDGLFVFDVLLPSTYPDTPPLVYYYSGGLRLNPNLYECGKVCLSLLNTWAGKGTEKWQPNTSTMLQVLVSIQGLILNENPFFNEPGYESTYVGSEGERRSKAYTENVFVMSLKTMMYTLKRPPRHFEDLVTGHFHIHAHDILSACKAYREGAPVGFAERGKPEGDNVPENGRTRDFKAALTKITNVLVSTFTKFGVKDCEQFRITG >itb11g15780.t1 pep chromosome:ASM357664v1:11:13587076:13588091:1 gene:itb11g15780 transcript:itb11g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQMKSRIGEDLVAIYYWAILLNQRSRKRALPHSATQCHLSPSSKLRNGKTNSSVSKINKTGEKVDSFAKGIREHVRLRPKITDTVKGKLILGAKLLQAGGVQKVFNKNFISTVRDGEKLLKASQCYLSITSGPIPGLLFVSTHKLAFLSERSIKIPSSTGKSMRMHYKVSIPIAKIKRANESENLKNPSEKYIQVVTEDHFEIWFMGFLYHQRTLIYLQDAISQAQ >itb02g23620.t1 pep chromosome:ASM357664v1:2:23719017:23719979:1 gene:itb02g23620 transcript:itb02g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLLDAICERLKPSLFTENTYIVREGDPVDEMLFIIRGRMESVTTDGGRSGFFNRGILKECDFCGEELLTWALDQKAGANLPPSTRTVKALTEVEAFALIADEVKFITTQFRRIHSRQVQHTFRFYSQQWRTWASMYIQVAWRRYTRRKNIELRHSEADSDDDDDQGVVYGDGAGKDADNEETTLIRGFSSSSSSLSNRGIRATMYASRFAANALSKVRKFRSSSLIMKPPKPPEPDFDNEDLTKVQRFI >itb01g29470.t3 pep chromosome:ASM357664v1:1:33717289:33728440:1 gene:itb01g29470 transcript:itb01g29470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSAGGVKLSLSPKSTDEPFSERDERLSKCNASVGSQNDILVSALDSAIIVKVHEQRHEEGRDTINIDDAYERKCSDTVCMSPNKSNGPNVSKSLNQAKISGRGVTVAAVKKTPGHLKSLNITRRRRNICSKPAQPSVWRLLGDSLNGSEQSDRVEIIQQEPRESRRAIRGQKSEKQESNQTGQHSQKPMGKNHISLKVKFGNRCLMDVLPLIENGNEIYSSTEKESSKSADDISEEFTRTMGLDGLNGRLGNSLMLSDGSASNTCLAGSSLVENLAKEFLECHPELPTQMDLDKLGTPVDSRCLDPGTSPDSEVINITSDASISLKDVEYLPDIIANQDCFSSRDVSSLDAQEKGLKKGKKKDRPKKKDRLDKMSDISVKGVKGMFPGLKIMNTEFGPNSSDFGDSSALTTIGNTYEFELGEKVDVCCHHYTESPESKMTEKPLSSPDKLKLPKSRRYQGVGKSQPGICNSSTRRERASKRKGNKEMIVGKHEEKEKTLASGLSEVKNDQNTENQTPPHLEENGSRNGNMGVCVSNQSDTGTNYVLPRNAWVQCDDCHKWRRISVSLADKIEETNCRWSCKDNMDKDFADCSIPQEKSNAEINVELEISDVSEEEDAFDARHNCDNSGKKSAVAQSSTWTLIKSNLFLHRSRKSQTIDEIMICHCKPSDGRIGCGNECLNRMLNIECVQGTCPCGEQCSNQQFQRRNYAKLKWFKCGKKGYGLQLLEDVSKGCFIIEYVGEVLDMRVYEARQKEYALKDHKHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKWMANGEVCIGLFAIRDIKKGEELTFDYNYVRVFGAAAKKCVCGSPQCRGYIGGDPQNAEVIVQDDSDDEYPEPVVTCEEDDMRDELNNIKSAASPFRGSEMRSAKEAAENREVCSAECLKSTEVSGDLLNMSALRVDGAEKDLERSLCSPGELEVTSPTGTLSKPLRKSKSGRVGCKVPLVKTPRSLQKKPTSGVVNIKAPAEMDKSNMLQVKSKKLAEGSLNDRFEAVEEKLNELLDQNGGISKSKDASRSYLKLLLLTAASGDSGSSEAIQSNRELSMILGALLKTKSRTVLVDIINKNGLQMLHNIMKRYRREFNKTPILRKLLKGIFGWQTNTCTGISGIERDPYN >itb01g29470.t1 pep chromosome:ASM357664v1:1:33717289:33728440:1 gene:itb01g29470 transcript:itb01g29470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSAGGVKLSLSPKSTDEPFSERDERLSKCNASVGSQNDILVSALDSAIIVKVHEQRHEEGRDTINIDDAYERKCSDTVCMSPNKSNGPNVSKSLNQAKISGRGVTVAAVKKTPGHLKSLNITRRRRNICSKPAQPSVWRLLGDSLNGSEQSDRVEIIQQEPRESRRAIRGQKSEKQESNQTGQHSQKPMGKNHISLKVKFGNRCLMDVLPLIENGNEIYSSTEKESSKSADDISEEFTRTMGLDGLNGRLGNSLMLSDGSASNTCLAGSSLVENLAKEFLECHPELPTQMDLDKLGTPVDSRCLDPGTSPDSEVINITSDASISLKDVEYLPDIIANQDCFSSRDVSSLDAQEKGLKKGKKKDRPKKKDRLDKMSDISVKGVKGLDDFEISNAISEFELGEKVDVCCHHYTESPESKMTEKPLSSPDKLKLPKSRRYQGVGKSQPGICNSSTRRERASKRKGNKEMIVGKHEEKEKTLASGLSEVKNDQNTENQTPPHLEENGSRNGNMGVCVSNQSDTGTNYVLPRNAWVQCDDCHKWRRISVSLADKIEETNCRWSCKDNMDKDFADCSIPQEKSNAEINVELEISDVSEEEDAFDARHNCDNSGKKSAVAQSSTWTLIKSNLFLHRSRKSQTIDEIMICHCKPSDGRIGCGNECLNRMLNIECVQGTCPCGEQCSNQQFQRRNYAKLKWFKCGKKGYGLQLLEDVSKGCFIIEYVGEVLDMRVYEARQKEYALKDHKHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKWMANGEVCIGLFAIRDIKKGEELTFDYNYVRVFGAAAKKCVCGSPQCRGYIGGDPQNAEVIVQDDSDDEYPEPVVTCEEDDMRDELNNIKSAASPFRGSEMRSAKEAAENREVCSAECLKSTEVSGDLLNMSALRVDGAEKDLERSLCSPGELEVTSPTGTLSKPLRKSKSGRVGCKVPLVKTPRSLQKKPTSGVVNIKAPAEMDKSNMLQVKSKKLAEGSLNDRFEAVEEKLNELLDQNGGISKSKDASRSYLKLLLLTAASGDSGSSEAIQSNRELSMILGALLKTKSRTVLVDIINKNGLQMLHNIMKRYRREFNKTPILRKLLKVLEYLALREILTIDHINGVPSRPGVESFRDSILALTEHIDKQVHQIARNFRDRWVPKPPRKNSCMERDDERVEFHTGSRCNRSLSLQNHSVDCSKRLSETGCITQDGRMSDGSSGLGITNGTKKTRKRKSRWDQVPEIHDNGLQDIDDGAPPGYEVPPGFSPLINNTQEHCTGNQPVIGQSQQRFISKLPVSYGIPFNIVQQFGVPLNGSSESWAIAPGIPFHPFPPLPTNSRDRRGDTQTPLPSYPCDKINPTAVNTEALAQPTKTSIQNSHSSIPYHSAQNHPPSTSGANLNQDGENAELDHQHSGDPYGLGRKYFREQKWNGPKLGPPWLRMRNGRAPTGICNIAEQGATMVNEFKSWCNSEEASIGRERLGHPSHQS >itb01g29470.t2 pep chromosome:ASM357664v1:1:33717289:33728440:1 gene:itb01g29470 transcript:itb01g29470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSAGGVKLSLSPKSTDEPFSERDERLSKCNASVGSQNDILVSALDSAIIVKVHEQRHEEGRDTINIDDAYERKCSDTVCMSPNKSNGPNVSKSLNQAKISGRGVTVAAVKKTPGHLKSLNITRRRRNICSKPAQPSVWRLLGDSLNGSEQSDRVEIIQQEPRESRRAIRGQKSEKQESNQTGQHSQKPMGKNHISLKVKFGNRCLMDVLPLIENGNEIYSSTEKESSKSADDISEEFTRTMGLDGLNGRLGNSLMLSDGSASNTCLAGSSLVENLAKEFLECHPELPTQMDLDKLGTPVDSRCLDPGTSPDSEVINITSDASISLKDVEYLPDIIANQDCFSSRDVSSLDAQEKGLKKGKKKDRPKKKDRLDKMSDISVKGVKGMFPGLKIMNTEFGPNSSDFGDSSALTTIGNTYGNVFSTELDSEGLLPSSGLDDFEISNAISEFELGEKVDVCCHHYTESPESKMTEKPLSSPDKLKLPKSRRYQGVGKSQPGICNSSTRRERASKRKGNKEMIVGKHEEKEKTLASGLSEVKNDQNTENQTPPHLEENGSRNGNMGVCVSNQSDTGTNYVLPRNAWVQCDDCHKWRRISVSLADKIEETNCRWSCKDNMDKDFADCSIPQEKSNAEINVELEISDVSEEEDAFDARHNCDNSGKKSAVAQSSTWTLIKSNLFLHRSRKSQTIDEIMICHCKPSDGRIGCGNECLNRMLNIECVQGTCPCGEQCSNQQFQRRNYAKLKWFKCGKKGYGLQLLEDVSKGCFIIEYVGEVLDMRVYEARQKEYALKDHKHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKWMANGEVCIGLFAIRDIKKGEELTFDYNYVRVFGAAAKKCVCGSPQCRGYIGGDPQNAEVIVQDDSDDEYPEPVVTCEEDDMRDELNNIKSAASPFRGSEMRSAKEAAENREVCSAECLKSTEVSGDLLNMSALRVDGAEKDLERSLCSPGELEVTSPTGTLSKPLRKSKSGRVGCKVPLVKTPRSLQKKPTSGVVNIKAPAEMDKSNMLQVKSKKLAEGSLNDRFEAVEEKLNELLDQNGGISKSKDASRSYLKLLLLTAASGDSGSSEAIQSNRELSMILGALLKTKSRTVLVDIINKNGLQMLHNIMKRYRREFNKTPILRKLLKVLEYLALREILTIDHINGVPSRPGVESFRDSILALTEHIDKQVHQIARNFRDRWVPKPPRKNSCMERDDERVEFHTGSRCNRSLSLQNHSVDCSKRLSETGCITQDGRMSDGSSGLGITNGTKKTRKRKSRWDQVPEIHDNGLQDIDDGAPPGYEVPPGFSPLINNTQEHCTGNQPVIGQSQQRFISKLPVSYGIPFNIVQQFGVPLNGSSESWAIAPGIPFHPFPPLPTNSRDRRGDTQTPLPSYPCDKINPTAVNTEALAQPTKTSIQNSHSSIPYHSAQNHPPSTSGANLNQDGENAELDHQHSGDPYGLGRKYFREQKWNGPKLGPPWLRMRNGRAPTGICNIAEQGATMVNEFKSWCNSEEASIGRERLGHPSHQS >itb01g29470.t4 pep chromosome:ASM357664v1:1:33717289:33728440:1 gene:itb01g29470 transcript:itb01g29470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSAGGVKLSLSPKSTDEPFSERDERLSKCNASVGSQNDILVSALDSAIIVKVHEQRHEEGRDTINIDDAYERKCSDTVCMSPNKSNGPNVSKSLNQAKISGRGVTVAAVKKTPGHLKSLNITRRRRNICSKPAQPSVWRLLGDSLNGSEQSDRVEIIQQEPRESRRAIRGQKSEKQESNQTGQHSQKPMGKNHISLKVKFGNRCLMDVLPLIENGNEIYSSTEKESSKSADDISEEFTRTMGLDGLNGRLGNSLMLSDGSASNTCLAGSSLVENLAKEFLECHPELPTQMDLDKLGTPVDSRCLDPGTSPDSEVINITSDASISLKDVEYLPDIIANQDCFSSRDVSSLDAQEKGLKKGKKKDRPKKKDRLDKMSDISVKGVKGMFPGLKIMNTEFGPNSSDFGDSSALTTIGNTYGNVFSTELDSEGLLPSSGLDDFEISNAISEFELGEKVDVCCHHYTESPESKMTEKPLSSPDKLKLPKSRRYQGVGKSQPGICNSSTRRERASKRKGNKEMIVGKHEEKEKTLASGLSEVKNDQNTENQTPPHLEENGSRNGNMGVCVSNQSDTGTNYVLPRNAWVQCDDCHKWRRISVSLADKIEETNCRWSCKDNMDKDFADCSIPQEKSNAEINVELEISDVSEEEDAFDARHNCDNSGKKSAVAQSSTWTLIKSNLFLHRSRKSQTIDEIMICHCKPSDGRIGCGNECLNRMLNIECVQGTCPCGEQCSNQQFQRRNYAKLKWFKCGKKGYGLQLLEDVSKGCFIIEYVGEVLDMRVYEARQKEYALKDHKHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKWMANGEVCIGLFAIRDIKKGEELTFDYNYVRVFGAAAKKCVCGSPQCRGYIGGDPQNAEVIVQDDSDDEYPEPVVTCEEDDMRDELNNIKSAASPFRGSEMRSAKEAAENREVCSAECLKSTEVSGDLLNMSALRVDGAEKDLERSLCSPGELEVTSPTGTLSKPLRKSKSGRVGCKVPLVKTPRSLQKKPTSGVVNIKAPAEMDKSNMLQVKSKKLAEGSLNDRFEAVEEKLNELLDQNGGISKSKDASRSYLKLLLLTAASGDSGSSEAIQSNRELSMILGALLKTKSRTVLVDIINKNGLQMLHNIMKRYRREFNKTPILRKLLKGIFGWQTNTCTGISGIERDPYN >itb11g12190.t1 pep chromosome:ASM357664v1:11:9075684:9078160:1 gene:itb11g12190 transcript:itb11g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEIKEKICRQSGHNSRRCPNDPSLTKKLNNPRRRVRSKRPKPVENTTVEHNSEQMEKGEIQRPKPVENTTVEHNSEQMNNPRRRVRSKPPMDQTVEPSVYIPVPTETHDDFEMTLSSFNFDDLTLPIQNPAVISKQQAGMATEPSDVQFEAKQVEQDEVEIEGQVEPSPSQKKSLTSSEKKLQNPVFHHNEIL >itb06g23870.t1 pep chromosome:ASM357664v1:6:25623449:25625241:-1 gene:itb06g23870 transcript:itb06g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIDMWSSLGVDFHSSEPFNGSGDLMDALEPFISASSSPSPSPSFSSSSSDVFYGSYGGFNGYSGCGVGQSGFIGLNPLTPLQVRQIQTQINLQAQQMMGSAPFQSQWPQQPRRNLSFLAPRPVPMKVAASAPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDTARLNFPNLRHNGSLIGGDFGEYKPLHSNVDAKLQAICQSLAENKSLDSKKSKPAAPPQKPKEEAVEDDKSSVPAEAGPESSGSDSGSSGSDSGSGGSSPVSDLTFPDFTDEESTWDMFSELQKYPSHEIDWASL >itb09g15780.t3 pep chromosome:ASM357664v1:9:11049503:11052766:1 gene:itb09g15780 transcript:itb09g15780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPNVDFGLKFLGGDLMAIPGLHHYVQETVSKEVSKLYLWPQTLEIPVLDSSIGAVKRPVGILHVKVLRARNLLDKDVFSKSDPYVTVGLGKELLPPKKTTVKMDTLDPVWHEDFKLTVKDPDTQILELHLYDWEKFGTHDKLGMQTVPLKLLKPYEKKKFTLDLVNSMDPNDPLNNQQMGQIEFEMTFVPFQEDSMKFASSLEKQKSENFKTTGGKMALQGAGLLLVTVVGADDVEGKTHTNPYAVIHFRGEERKTKKIKKSRHPRWEDEFQFVLEEPPLKEHVHIEVMSVRRRARCFRSRESLGYVDIHLRDVVYNGRINEEHHLINSKNGLIHVDIRWKVI >itb09g15780.t1 pep chromosome:ASM357664v1:9:11048281:11052766:1 gene:itb09g15780 transcript:itb09g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGSLLVIFGFWVGIPIGLLIGYFLFIFYYPTDVDKDLPESRSLQEFDSSSLIDLFPELPSWVMNPDFERVEWLNMFIEHMWPYLDKAVCGIIRSTTQPIFTEYIGKYLIKSIEFEHLTLGTLTPKIHGIKSVKLKENQLIFELAFRWAGNPNIAIALKLLAPKIRVQLIELQICAKLRVTLTPLLATFPCFSSILVSLMEKPNVDFGLKFLGGDLMAIPGLHHYVQETVSKEVSKLYLWPQTLEIPVLDSSIGAVKRPVGILHVKVLRARNLLDKDVFSKSDPYVTVGLGKELLPPKKTTVKMDTLDPVWHEDFKLTVKDPDTQILELHLYDWEKFGTHDKLGMQTVPLKLLKPYEKKKFTLDLVNSMDPNDPLNNQQMGQIEFEMTFVPFQEDSMKFASSLEKQKSENFKTTGGKMALQGAGLLLVTVVGADDVEGKTHTNPYAVIHFRGEERKTKKIKKSRHPRWEDEFQFVLEEPPLKEHVHIEVMSVRRRARCFRSRESLGYVDIHLRDVVYNGRINEEHHLINSKNGLIHVDIRWKVI >itb09g15780.t2 pep chromosome:ASM357664v1:9:11048281:11052766:1 gene:itb09g15780 transcript:itb09g15780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGSLLVIFGFWVGIPIGLLIGYFLFIFYYPTDVDKDLPESRSLQEFDSSSLIDLFPELPSWVMNPDFERVEWLNMFIEHMWPYLDKAVCGIIRSTTQPIFTEYIGKYLIKSIEFEHLTLGTLTPKIHGIKSVKLKENQLIFELAFRWAGNPNIAIALKLLAPKIRVQLIELQICAKLRVTLTPLLATFPCFSSILVSLMEKPNVDFGLKFLGGDLMAIPGLHHYVQETVSKEVSKLYLWPQTLEIPVLDSSIGAVKRPVGILHVKVLRARNLLDKDVFSKSDPYVTVGLGKELLPPKKTTVKMDTLDPVWHEDFKLTVKDPDTQILELHLYDWEKFGTHDKLGMQTVPLKLLKPYEKKKFTLDLVNSMDPNDPLNNQQMGQIEFEMTFVPFQEDSMKFASSLEKQKSENFKTTGGKMALQGAGLLLVTVVGADDVEGKTHTNPYAVIHFRGEERKTKVIHTHKIRSLAFSNSDHMFKVLTHDSVLSLLCAENQEIPASKMGR >itb09g15780.t4 pep chromosome:ASM357664v1:9:11048281:11052766:1 gene:itb09g15780 transcript:itb09g15780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEHMWPYLDKAVCGIIRSTTQPIFTEYIGKYLIKSIEFEHLTLGTLTPKIHGIKSVKLKENQLIFELAFRWAGNPNIAIALKLLAPKIRVQLIELQICAKLRVTLTPLLATFPCFSSILVSLMEKPNVDFGLKFLGGDLMAIPGLHHYVQETVSKEVSKLYLWPQTLEIPVLDSSIGAVKRPVGILHVKVLRARNLLDKDVFSKSDPYVTVGLGKELLPPKKTTVKMDTLDPVWHEDFKLTVKDPDTQILELHLYDWEKFGTHDKLGMQTVPLKLLKPYEKKKFTLDLVNSMDPNDPLNNQQMGQIEFEMTFVPFQEDSMKFASSLEKQKSENFKTTGGKMALQGAGLLLVTVVGADDVEGKTHTNPYAVIHFRGEERKTKKIKKSRHPRWEDEFQFVLEEPPLKEHVHIEVMSVRRRARCFRSRESLGYVDIHLRDVVYNGRINEEHHLINSKNGLIHVDIRWKVI >itb14g00520.t1 pep chromosome:ASM357664v1:14:355665:362109:-1 gene:itb14g00520 transcript:itb14g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSGDEIVAAGLPVFVSGDNGGNEGPAAWEAGASAALTPLTVSASFKDGKASRRRASVRPSLDADEFINLLHGSDPVKVELNRLENELRDKERELSEAQAEIKALRLSERQREKAVEELTDELAKVDEKLKLTECLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHADQKDDDMPPIEAILAPLEAELKIARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDSSDKVMPVKQWLEERRFLQGEMQQLRDKLAISERTAKSEAQLKEKYQLRLRVLEDTLRSSSTSVRSTPDARSSSNGATRRQSLGGAENISRLASNGFLPKRSPSFQMRSSGISSVLKHAKGTSKSFDGGSRSLDRSKNLLSGTGPNFKLTQSCDGAKDSGAENKWKENQDEKPNDLQVTETEDTVPGVLHDLLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAIRVEREQENRANRLGNSRGSVNSSQMIPGRSVVARSRVTRTTQ >itb09g07610.t1 pep chromosome:ASM357664v1:9:4475761:4477835:1 gene:itb09g07610 transcript:itb09g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQWFFNIIDCQGIKLMLRGAEEAMGRGKFKGKPTGRRQFSTPEEMMAGTPASRPRTFRQEEAEVEKDERFGNESSEESEGSEKEQTEKTTELSRREREEIAKQKAHERFMRLQEQGKTEQSRKDLERLAQIRQQRAEAAKKREQEKAARERKKLEAQK >itb13g22010.t1 pep chromosome:ASM357664v1:13:28437185:28439363:1 gene:itb13g22010 transcript:itb13g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNKATLGLSLLALFLVVAAVSVAVLVTQKEESPADHHGHHAAAGNKNIGSSAKAVEAVCKPTFHRETCTKKLSAATNSTDTKELVEVAFNVTMKEISEVMKKSKTLQAAAKDPRTSEAFKVCQGLLEDSIDDIKRALDRMVDYTAAASNIDLYMNDIKVWLSGALTFESTCLEGFLGTEGDSADKMKQLLVTAQQLTGNTLEMVDEIHDILVSLDMAGLNRKLLTDSASFPAWATAAQRGLLESKAALTADVVVAKDGSGKYKTINEAIPDIPLKSNKTFVIHIKAGVYEENVVITKKMRNVVFLGDGPTKTVISGSRSYVGGYQTSETGTVIVKGDGFMGKNLGIENTAGPGNHQAVALRVQSDKAIFYNCQIDAYQDTLYVHAHRQFFRDCTVTGTIDFIFGNAAAVFQSCKLIIRKPLVTNGKGQSCMVTAQGRSQSDEPTGIAILNSVISAAPEYLSAPTPIVSFLGRPWRQFARTVIINTKIDAPIAPEGWSPFQGTWGLEDCWYGEFGNTGKGADVSKRAKWGGIKGVITKAQADEFAPGKFILGDTWIPASGVPYSAK >itb05g27790.t1 pep chromosome:ASM357664v1:5:30991817:30996005:-1 gene:itb05g27790 transcript:itb05g27790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQLTSHYFYSKLDYYHHSPSCAPSVQRLRTEVCSLPRRYSAKAKPKIRVRSEFDAKINGLLPSDSDPRFIDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGILTLDFALGGGIPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEEVGLRVRVRVQKSKVSRPYKQAEFEITFGEGVNKLGCILDCADVMDIVSKKGSWYSYGEHRLGQGRDKTLQYLRENPLLSEEIEKIVRSSVVEGSGQVGSSFLKHSLPLHQDEDIFEEMQ >itb04g00980.t1 pep chromosome:ASM357664v1:4:525773:529063:1 gene:itb04g00980 transcript:itb04g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEVEETAPRGNEWEVVSLTASAYAAAPGKQSDLNDEHKSSAEYEAETSGAMFMSGHFVFPPSQHENLPLEPENNQIQTEQVVKNEKFVTEGDSTAGMKFEENMSVKGFGSLAFPGIQIFDENGNILPISDAEFKEDTAAKESVLHSTAMLSSLHSEEHTAGSTIVEESSASNCPLEPFQQGSDSGVSDKNKRDDNLPCQAWWKRQAASLVAHAKEANTFWSIFVAAAVMGLVILGQRWQQERWQILQLKWQHGLHDERIGRMLGPLSRLKDIIVGGDRRGSFLKGSSTSSQH >itb04g00980.t2 pep chromosome:ASM357664v1:4:525773:529063:1 gene:itb04g00980 transcript:itb04g00980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEVEETAPRGNEWEVVSLTASAYAAAPGKQSDLNDEHKSSAEYEAETSGAMFMSGHFVFPPSQHENLPLEPENNQIQTEQVVKNEKFVTEGDSTAGMKFEENMSVKGFGSLAFPGIQIFDENGNILPISDAEFKEDTAAKESVLHSTAMLSSLHSEEHTAGSTIVEESSASNCPLEPFQQGSDSGVSDKNKRDDNLPCQAWWKRQAASLVAHAKEANTFWSIFVAAAVMGLVILGQRWQQERWQILQLKWQHGLHDEVYQFSLQIKDAERLKIFPMVLTVKLTSYYLI >itb05g12730.t1 pep chromosome:ASM357664v1:5:19407236:19410725:1 gene:itb05g12730 transcript:itb05g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMNSPWEKPLEVFKEPYLCFPLRNLFLYFPGCISVDEKGNRVFVSDSNHHRIIVFDANGEILDCIGSSPGFEDGDFENAKLMRPAASFYHAADNCLYIADSENHAIRRADMERRILDTLYPATNSNMDSNSLWSWIFGKLWSRKDIEAKSDEFPPKTLLFPWHISKCQNDLFVLNRNLQTLWILDLASGALQEIVEGFSNISEICGHLILEKSNILKQIPNDLLKQLMHTDCSLEGIPYADLISSIATFQDDLIICNTVGQEVLKFNSKSGTLSPFQFSNFSILGLPYWFSFPMERVYATKDALSGLHVDHAELFNLLPGKVDIKLSIDIPESFELVEPLNESCIWRQARGAATVVSEAERISTSEKVCAAQQWYDELDHRTFWESEVESNKEVHNSTESSVEVLSSSPSEVVPEGKVLVDCCINTSPGTSEVIISAALYLKLRKTADTGMDSREQKAAKIADSLDPTRRVSKDLLVSYLLASKRDLEGLVVTRPLQVRLKFECPNHPTSEDNSKEIIMTDSSINVSVSLFNP >itb14g06050.t1 pep chromosome:ASM357664v1:14:5253664:5260476:1 gene:itb14g06050 transcript:itb14g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHCSIIFLFLVILNVLAADDHQRKVYVVYLGEHSGGKSFQEIEDFHCSFLLTVKGGSREEAKASLVHSYKNVINGFSALLTPDQANKISEMEGVISVFHSHPTRIKPHTTRSWDFVNLLEAVNGNPSGREELLRKANGGKDVIVGMMDSGVWPESPSFSDRGMKPVPRSWKGICQKGSAFNSSHCNRKLIGARYHLKSYEATYGRLNRTADFRSARDADGHGTHTASTVGGRRVRNAAALGGFGNGTASGGAPLVRLAIYKVCWPIPNRSTRTCLDDDILAAFDHAISDGVDVISVSLGAAAGAQYYTRSGVAIGALHAVKRNIVVACSAGNAGPTPSTVGNVAPWIITVGASSSDRVFSSPLKLGNGMIIEGQTVTPIRRGNLLPLVYAGNVEIPGTTDNTTKGRCNSGTLSRRLVRGKIVVCLTNDGLSASQEVQRAGGAATIVGDPYNEITVDTFVHPTTVVFGYELTSILKYIRADTNPTATLLPGETLIGTKPAPVMAPFTSLGPSIIEPNILKPDITAPGLNILAAWSEASSPTKLSFDRRVVKYNIISGTSMSCPHVSAVAALIKAIHPDWSSAAIRSALMTTATTNNVVGTPIVNATGYFATPFEYGAGHILPSKAADPGLVYNATYNDYLLFLCSRGIKLDSSFKCPKKKLLPSNLNYPSLSIANLRGSMTVKRTVTNVGNSNSTYVITVTPPPGYVVAISPTTLKFSRVGEKQSFNVTVRTKGVRNGSGFAFGSYSWSDGTHVVSSPIAVSST >itb15g07470.t1 pep chromosome:ASM357664v1:15:5116526:5121144:1 gene:itb15g07470 transcript:itb15g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLLFLEGSFYSCKYCQAHLAVTDDIISKSFHSRHGKAYLFENVVNVFEGEREERMMMTGMHTVVDIYCVGCCSLLGWKYESAEDKSQKYKEGKFILERFQILGPDGSGYSGSHEAQLEGGSDGDEA >itb01g29480.t3 pep chromosome:ASM357664v1:1:33728322:33731138:-1 gene:itb01g29480 transcript:itb01g29480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSLKVRLALEEKGIDYTSHHVNPITGKNLDTFFFRMNPTAKLPVFQNGAHIIYDTIEIIQYIERIAEKVSSGGIDLNVSNQEVVEWMHKIQEWDPKYFTLYHVPVKYRLYVSKFLRRVIIARMAECPDLASSYHRKLREAYETEEKLGNAEVVRRSEEHLVKLLDEVEGKLSEASYLTGEEFSLADVMLIPILARLEVLNLELEFINTRPNLSDYWAVVKQRPSYKKVIGRYFDGWKRQKTLLKTWCFVQVRSMMRRY >itb01g29480.t1 pep chromosome:ASM357664v1:1:33728322:33731238:-1 gene:itb01g29480 transcript:itb01g29480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSLKVRLALEEKGIDYTSHHVNPITGKNLDTFFFRMNPTAKLPVFQNGAHIIYDTIEIIQYIERIAEKVSSGGIDLNVSNQEVVEWMHKIQEWDPKYFTLYHVPVKYRLYVSKFLRRVIIARMAECPDLASSYHRKLREAYETEEKLGNAEVVRRSEEHLVKLLDEVEGKLSEASYLTGEEFSLADVMLIPILARLEVLNLELEFINTRPNLSDYWAVVKQRPSYKKVIGRYFDGWKRQKTLLKTWCFVQVRSMMRRY >itb01g29480.t2 pep chromosome:ASM357664v1:1:33728322:33731238:-1 gene:itb01g29480 transcript:itb01g29480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSLKVRLALEEKGIDYTSHHVNPITGKNLDTFFFRMNPTAKLPVFQNGAHIIYDTIEIIQYIERIAEKVSSGGIDLNVSNQEVVEWMHKIQEWDPKYFTLYHVPVKYRLYVSKFLRRVIIARMAECPDLASSYHRKLREAYETEEKLGNAEVVRRSEEHLVKLLDEVEGKLSEASYLTGEEFSLADVMLIPILARLEVLNLELEFINTRPNLSDYWAVVKQRPSYKKVIGRYFDGWKRQKTLLKTWCFVQVRSMMRRY >itb09g04320.t1 pep chromosome:ASM357664v1:9:2410694:2412917:1 gene:itb09g04320 transcript:itb09g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLAPQMADQTVYEGEGGGYYTWSTSVAPFLAQANLAAGKLVLQPFGFALPHYADSKKIGYVLQGCCVVGMISPDSTAEKVVKVTKGDAIPVELGAVSWWYNDGDSDVVIVFLGETSDSNTAGPFNYYFLAGALGMLGGFSADFLAGGFGISVSESKTLFKSQNATVITKLKEKPNITNPANNNRKDLVFNLENALPPVTSKNGGALVSATAENFPLLSRVNLSGNLVKLEPGSMLTPGYTADSSYEIGYVVSGSARIQIVGLNGQLALDDKLEAGHVFVLPKFFVGSLIADTEGMEFVSTVTSSEPKLLRLAGVESVWKALSPSVLQASLNLSSEDTDIFKDKIVETAAIILSKKH >itb09g04320.t2 pep chromosome:ASM357664v1:9:2410694:2412917:1 gene:itb09g04320 transcript:itb09g04320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLAPQMADQTVYEGEGGGYYTWSTSVAPFLAQANLAAGKLVLQPFGFALPHYADSKKIGYVLQGCCVVGMISPDSTAEKVVKVTKGDAIPVELGAVSWWYNDGDSDVVIVFLGETSDSNTAGPFNYYFLAGALGMLGGFSADFLAGGFGISVSESKTLFKSQNATVITKLKEKPNITNPANNNRKDLVFNLENALPPVTSKNGGALVSATAENFPLLSRVNLSGNLVKLEPGSMLTPGYTADSSYEIGYVVSGSARIQIVGLNGQLALDDKLEAGHVFVLPKFFVGSLIADTEGMEFVSTVTSSE >itb08g05330.t1 pep chromosome:ASM357664v1:8:4390829:4393237:1 gene:itb08g05330 transcript:itb08g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPDIALIAMISTAMMLYTCQATTNLHPLILIPGNGGNQLEARLTSKYKPTSLWCKWYPIKKDGDGWFRLWFDSSVLLSPLTKCFSERMMLYYDSDLDDYYNAPGVETRVPNFGSTQSLLYLDPNLKHISAYMAPLVESLEQLGYEDGKNMFGAPYDFRYGLAAKGHPSQVGSKFLDDLKNLIETASDSNGGQPVILVSHSLGGLFALQLLDRNPISWRRKYIKHLVALSAPWGGAVDEMLTLASGNTLGVPLVDPLQVREEQRSSESNMWLLPSPAVFGDTKPLVVTPRAEYTAGDISQFLADIGFSDGVSRYESRVLPLVERVAAPPGVPVTCIFGSGVETAETLVYGEGGFDKQPEIVYGDGDGTVNMVSLTALQWQWGNDTQQEVEVIKIPGVSHMSMVKDNGAIDRILEEISCINSNITSSTTLISTS >itb02g07220.t1 pep chromosome:ASM357664v1:2:4474240:4477973:1 gene:itb02g07220 transcript:itb02g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMGMGKTTRVFRARVLRSGRQMWIPCEGKRVRAIEGEQWIELLDRGDAAQCKENGWHRNSASLKQESSGIIVNTTTVAPQLDRPESENKNVDKMWGIVYTRKRKRAEFENPGIADNGVNWCLEHGRFGRQYVRKRCKKKIKGSSAESSQGHPASSLKHIVIVNSSHASTNLISCLLNSILCYMRITSVSLHHLYGFLNTKPIHDLYSLHGIRFLEECPSEMRLRACIISGVWFSLPIFSINFSTVPCCFMYIHSSLFLRPASSSYILWTFSMASNERDVKMAVDTEHLLCECDASESDHLGQKGVPSDIDSGMWVLAQPVVSVPKVTVGNFPCDTSESDHLVQKGVPSNIDSGMWVLAQPAPRVPKVTVCNLPSRNGCTIQKRRSTFRSRRGRCPSVLGMQKDSRVLISNNFMFRHNGILSSSLSSRYGPRSSAKKIPIAYTRELISASVVAGQNIDARSCSANLLVIESDKCYREEAAIITMERSVSKHWILVVKQGGMERFQLTAERVMRPCGSNRITHAIIWNTNDNWKMEFLDRKDWLIFKELYKECYDRNTQPQAVSSIPIPGISEVSGYTDSNYVPFIQPDSYISVKDDEPTRALASRTANYDLDSDDEEWLNKFNNQSCSENKLHEHISGERLELIIDAFERGAFSNPDGFADEKAACSVCLDLERKEVVEAVYNYWSKKRKQKRSTLMRIFQLYQPRTEVIPKSVIRKKKLFKRQKSQAGRGKQLTFLKAVEDAQQQQSAMVKIKEAEAAAHKQECVAVVKRQKAQQLMESADLLTFKAMMALRIAEAAKTAESADGAASFFHG >itb12g04400.t1 pep chromosome:ASM357664v1:12:2891764:2893547:-1 gene:itb12g04400 transcript:itb12g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIFMESSYYAPPHTVVASRPSMGFPLGTALLLILIFSLSGIFSCCYHWDKLRSFRRRSHFSNAADLEASAAGHGPHKPKHLPQKSKENHMQTLPVIMPGDEVPKFLALPCPCQPPRPGKAVVVEVQKPPSPPQMPPKPIRGVEAVPFD >itb12g04400.t2 pep chromosome:ASM357664v1:12:2891764:2893221:-1 gene:itb12g04400 transcript:itb12g04400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIFMESSYYAPPHTVVASRPSMGFPLGTALLLILIFSLSGIFSCCYHWDKLRSFRRRSHFSNAADLEASAAGHGPHKPKHLPQKSKENHMQTLPVIMPGDEVPKFLALPCPCQPPRPGKAVVVEVQKPPSPPQMPPKPIRGVEAVPFD >itb04g32770.t1 pep chromosome:ASM357664v1:4:35199020:35201015:-1 gene:itb04g32770 transcript:itb04g32770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDANGNNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVNFKKENRIVPDGVNAKFLGCHGPLANRKPGTAVLPTTA >itb11g14820.t1 pep chromosome:ASM357664v1:11:12112953:12115405:1 gene:itb11g14820 transcript:itb11g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGAFDMAHCSRCLIPWGAKGGVYMMEIDRVVRPGGYWILSGPPINWRNNYEAWERLKEDLEEEQKIIEDIAKCLCWEKKHELDEIAIWRKGTKKEYCEQDCRVPKCASTTADDVWYKKMELCVNPNSYLESTNLDEGGELKPFPERLNAVPPRIASGSIPELSVESFMDDNKMWQKHVKWYKRINKLIGTGRYRNIMDMNSGLGSFAAALESPKLWVMNVMPTIAKIETLGVVFERGLIGIYHDWCEAFSTYPRSYDLIHANGLFSLYKDKCSYEDILVEMDRILRPEGAVILRDHGDVLSKVRRIVRGMRWNTKIVDHEDGPLIPEKVLFAVKQYWVMGDKINSTIS >itb05g25360.t1 pep chromosome:ASM357664v1:5:29797696:29799315:1 gene:itb05g25360 transcript:itb05g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLLLIRTILGIIGNVTSGALFISPAPTVYRIIKNKSVEGFHPWPYHAALMNCFMWVFYAMPFVHPHSVLVMTINSLGICLELSYLVVFFYYNNGKTRGKMAGLLVVELIALAGIVAGTLIGAHTIEKRTKIVGSLCVVFGIILYGSPLSIMRTVIKTKSAEYLPGWLIASGFSNGIIWAAYACIRFDIFIFVSNGVGALLSLVQIILKVIYRNGPKPSDTKPSSELEIQSVV >itb01g13210.t1 pep chromosome:ASM357664v1:1:13189294:13190181:-1 gene:itb01g13210 transcript:itb01g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEVVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPKVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb04g19710.t1 pep chromosome:ASM357664v1:4:24173988:24176156:-1 gene:itb04g19710 transcript:itb04g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFGLSQESPFASRILSLAAMSDPSNDYAHRLFLQRPNPTVRDYNALIRGHSHSKNPNKSVFLFVEMLRNGVLPDHLTYPFLIKALAHLSKPRVGGSVHGRAVRDGFVEDRFVLNSLIHLYGSCGDILSARKVFDEIPLKNSVSWNSMLDGYAKSGDVVSMREVFERMPERDVVSWSSLIDGYVKDGEYAEALAVFERMKQAGPRANEVTMVSVLCACAHLGALEQGRMMHRYVVENKLPMTLVLRTSIVDMYAKSGAIEDALLVFRSASMRNTDVLIWNSIIGGLAAHGLVWESLKMYKEMQSLKIQPDEITYLCLLSACAHGGLVKKAWCFFDSLGKNGTKLKNEHYACMIDVLARAGQLTEAYQLMSRMPMEPSASMLGALLSGCLNHRKLDLAEIVGKKLIELDPCHDGRYVGLSNVYALKKCWYEAKAVREAMETRGVKKFPGFSFVETLGALHRFIAHDKTHPKSEEIYIMLHLALWNMKSVTDFEVQEYEY >itb05g19150.t2 pep chromosome:ASM357664v1:5:25731639:25736722:-1 gene:itb05g19150 transcript:itb05g19150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQPPRSHRLALTPGTPVTPAPGASSGARVLTTPLTVESIWKRLRDAGLDEDSIKRRDKAALIAYIAKLESELYDHQHHMGLLILERKQWESKYEQLNATADSAQLLYKREQAARISEMTEAKKREDNLKKALGIEKECVANIEKALHEMRTECAEAKVAAETKLAEARRMMEDAQEKYTEAESKLHTAESLETEAKRFHRTAERKLQEVEAREDDLRRQILSFKSECDAKESEIDLERKSLSERQKTLQQSQERLLDGQALLNQREEHILNRSQELSKYEKELDDTKSNLENERKALNEQRRNLELEAVSLSKREEEAIRRECDLKQKEEELLLLQGKLESKEFDGVKKAMANREAALVTKKSTIEAELELKMKLVEEELEAKKRAWELKEMDMKQREDLISDKEHDMDMQLGRLAEKEKEMDERLHFLEEKEKNLIAAERELESKMTDLLHDKEEVDRIKNDLQRSSDDLEQKKTYICHEEEKVEIMKSETKELLSLEMRLKEEIDMIRAQKSELEGVAEQLKAEKAKFETEWECIDEKRAELQKEADQIAEERLAISKLLKDERDALKAEKNAIQEQYKQDLEMLSRDREVFRSEVDREREEWFGKIQKERADFLLDFEMRKKELENCVEKRREEIESDFKEREKAFEEEKKKELELISSLRETAAKEMEYAQLEMKRLDAERKEIISDREKRNKEWAELDNAIEELKVQRLKLEKQRELLHADREEILSQIEYLKKLEEIKVIPDRIRIPAKQQSRVRSDEPKLSSKQLLKQQSELGSGPSRQLNNGVGHDSPIRGELENSSSPLSTPFSWLKRCADTLLDRGQSNKRRRQDFASQSQDNITPCELATELDEQASENPELEFDQIPVSAEETTVFIDKVVTVREVTTLDVKRVPGDTQGALPEKSSYKGGSNDDSAHLDRIGKLEEDRTRSRK >itb05g19150.t1 pep chromosome:ASM357664v1:5:25731534:25736783:-1 gene:itb05g19150 transcript:itb05g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQPPRSHRLALTPGTPVTPAPGASSGARVLTTPLTVESIWKRLRDAGLDEDSIKRRDKAALIAYIAKLESELYDHQHHMGLLILERKQWESKYEQLNATADSAQLLYKREQAARISEMTEAKKREDNLKKALGIEKECVANIEKALHEMRTECAEAKVAAETKLAEARRMMEDAQEKYTEAESKLHTAESLETEAKRFHRTAERKLQEVEAREDDLRRQILSFKSECDAKESEIDLERKSLSERQKTLQQSQERLLDGQALLNQREEHILNRSQELSKYEKELDDTKSNLENERKALNEQRRNLELEAVSLSKREEEAIRRECDLKQKEEELLLLQGKLESKEFDGVKKAMANREAALVTKKSTIEAELELKMKLVEEELEAKKRAWELKEMDMKQREDLISDKEHDMDMQLGRLAEKEKEMDERLHFLEEKEKNLIAAERELESKMTDLLHDKEEVDRIKNDLQRSSDDLEQKKTYICHEEEKVEIMKSETKELLSLEMRLKEEIDMIRAQKSELEGVAEQLKAEKAKFETEWECIDEKRAELQKEADQIAEERLAISKLLKDERDALKAEKNAIQEQYKQDLEMLSRDREVFRSEVDREREEWFGKIQKERADFLLDFEMRKKELENCVEKRREEIESDFKEREKAFEEEKKKELELISSLRETAAKEMEYAQLEMKRLDAERKEIISDREKRNKEWAELDNAIEELKVQRLKLEKQRELLHADREEILSQIEYLKKLEEIKVIPDRIRIPAKQQSRVRSDEPKLSSKQLLKQQSELGSGPSRQLNNGVGHDSPIRGELENSSSPLSTPFSWLKRCADTLLDRGQSNKRRRQDFASQSQDNITPCELATELDEQASENPELEFDQIPVSAEETTVFIDKVVTVREVTTLDVKRVPGDTQGALPEKSSYKGGSNDDSAHLDRIGKLEEDRTRSRK >itb01g21550.t1 pep chromosome:ASM357664v1:1:27652779:27654356:1 gene:itb01g21550 transcript:itb01g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLTWFSRRPLQIRGLAAYCGSGRCYTKTKHFEWSNVVEVEGPAAKRGCWVPDPRTGIYFPEGHERVLDDIPNAAATSTQTYWLRNVDDGVDTSSPSPHPYPHHPNQQQPND >itb13g05010.t1 pep chromosome:ASM357664v1:13:5918554:5919481:1 gene:itb13g05010 transcript:itb13g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIISAQGLKSASSSNPLSRRLRPFATVTALTHGLATGLAQRTAVDARGGDSPAWGDKFWLALDPSMFYHGHSRVYLELHTKHFLVGQSLLGWCQIPAADVIDGLVQVGSLRHLSYRLREKDGSRGHGVVNVAVRVEGSFFQGLHFPLPQRACLYSDMAITPLEEENEPVIGIPVVRW >itb06g08540.t1 pep chromosome:ASM357664v1:6:12588915:12591359:1 gene:itb06g08540 transcript:itb06g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGTIVEELNISTIYTILGILFAVLVYFYRPYWVVRKVPGPPALPLVGHLPLLAKYGPDVFTVMAKRYGPVFRFHMGRQPLVIIADAELCREVGIKKFKDFPNRSIPSPISASPLHQKGLFFTRPYWVVRKVPGPPALPLVGHLPLLAKYGPDVFTVMAKRYGPVFRFHMGRQPLVIIADAELCREVGIKKFKDFPNRSIPSPISASPLHQKGLFFTRDARWSTMRNTILSLYQPSHLAKLVPTMQSFIESATQKLDSEGDFTFSDLSLKLATDVIGQAAFGVDFGLSKPKVGKDSLHNGVQEFINQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQVLKRIPGTMDWKVERTNKNLSGRLDYIVXKKKKKH >itb13g25350.t1 pep chromosome:ASM357664v1:13:30752647:30754747:-1 gene:itb13g25350 transcript:itb13g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANKHGTLLEVVQVLTDLNLIITKAYICSDGGWFMDVFNVTNQEGNKIMDEAVLDYIMKSLGPDSCFASSMRRSVGVTSGTDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEETGGAVSDPKTLSMIKKLLCNVLKGSNKSRHAKTQITHGATHTDRRLHQLMFADRDYERASDDALNHPPDRPNVSVTNWHDRDYSVVTIRCKDRPKLLFDVICTLTDMEYVVFHGNVDAEGPEAYQEYCIRHIDGSPVKSDAERQRVIHCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVATRGGKAVNTFYVRDASGYPVDARIIESVRQTIGQTILQVKGSPEDQNSAPQESPTRSLFGGIFKSRSFCNFGLVRSYS >itb02g18570.t1 pep chromosome:ASM357664v1:2:14939485:14940119:-1 gene:itb02g18570 transcript:itb02g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGTPPRTPNGATSYVISGTTCVIGSTTTAIKTPNGVAYRTTTGCAITTLRGRELVTKTSRAITSATSQAITSAGGWSKRRAVDVKESVKIVWRRAARKVMRKSKISLPKPPPMPIPKAKQLLVTLSNKAIDFRRKKKEVEGKDDGEDFGDGGLWQRSILMGDKCQPLDFSGVIYYDSDGKRMSEPPMKSPRRFPSSLHDSSSNSGEI >itb03g07220.t1 pep chromosome:ASM357664v1:3:5294973:5296374:-1 gene:itb03g07220 transcript:itb03g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFTSRFEIVLISVQLLFLHVHSTTHWQDVEVLKQLKNSVNPSSITPGSCLATWDFSLDPCDNLFTGNFTCGFRCDVVVSSLSRVTELALDHWGYSGSLGSISWNLPYLQTLDLTANSFHGSIPDSLSHLLNLRRISLSRNLFSGPIPASLGALSGLEELYLDYNNLEGTVPLSINRLNNLKRLDLQGNKLTGELPQLPGLNTLYFLDVSNNRISGELPAKLPASLVQLSMRNNTVAGNLPASITAMLSLEVLDLSHNKLSGSIPAPLFTHPSLQQVTVSHNQFGSIDEPAAGKLFHDSQLIAVDLSNNEIRGFLPGFLGLMPRLSSLSLENNKLSGIIPTQYAMKVMEAGEGESRLERLLLGSNYLSGAIPGPLLGLKPGSAKVRLGDNCLYRCPLRLFLCEGGKQKSLTDCKALGHAIP >itb04g30560.t1 pep chromosome:ASM357664v1:4:33666791:33669367:1 gene:itb04g30560 transcript:itb04g30560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MIVAKHRRTIERNILVILLIHLLYLLSQSTPSFGARPKPPPIPLLPIPSARQLSWQLSEMAMFLHFGPNTFTGSEWGSGHADPSIFTPDSLNATQWVSVAKENGFTRLVLTAKHHDGFCLWPSLYTNYSVKSSPWRNGLGDVVGDLAQAAKAAGLQLGVYLSPWDKHEPVYGNTVGYNEFYLGQMTELLTGYGEIKEVFLDGAKGEGEKDMEYYFDDWFDLIHQLQPAAAIFTDAGPDTRWIGDEDGVAGTTCWSLINCSDVTIGGTDYEYIEMGDPSGQDWVPAECDVSIRPGWFWHASEAPKTAMDLLDLYYKSVGRNCQLLLNVPPNTSGLISDEDIQVLREFTKLRTSIFSRNLAKSARFSASSTRGTSDFRFAPYNVIKEGIYTYWAPGREQSEFVLYLDFQEQVTFNVLEVQEPIHMGQRIIAFHLDVLNEFGEWQELIDGTTVGYRRLLLFPVVKTYSIRLVIDECRDEPLISYLGLYMDPYSNLEHKSSSWFGSMRFNGSRVLRDWLSAFM >itb08g08500.t2 pep chromosome:ASM357664v1:8:7448051:7449936:-1 gene:itb08g08500 transcript:itb08g08500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MSMAAGQSDESRSLNLENIVNKARELWDSSPQPVKIFPWNSVLDNFVQLIFDLALAVFKCLYVPVLVVSSASELSYCAHERKSYLIPFPFIVGASIAGILNSAALESSSTLKYAEVPWHLIAIAILFTLLKLPGPYYPYWGRILIPHFANGALYRTMWFLFLWYRRPRKSSEEVIPESVPTPSE >itb08g08500.t1 pep chromosome:ASM357664v1:8:7448051:7451404:-1 gene:itb08g08500 transcript:itb08g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MATAHFLSCCTHSRSGNLMALKLNSPYAGVSKGLPSVVKNRGYILNLRPYRAFIAAKPRIFRVMSMAAGQSDESRSLNLENIVNKARELWDSSPQPVKIFPWNSVLDNFVQLIFDLALAVFKCLYVPVLVVSSASELSYCAHERKSYLIPFPFIVGASIAGILNSAALESSSTLKYAEVPWHLIAIAILFTLLKLPGPYYPYWGRILIPHFANGALYRTMWFLFLWYRRPRKSSEEVIPESVPTPSE >itb03g01210.t1 pep chromosome:ASM357664v1:3:653071:661539:-1 gene:itb03g01210 transcript:itb03g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGDVYHVVEATVPLYFAMILAYISVKWLKLFTPEQCLGINKFVAKFSIPLLSFKVISDNNLYKMNLKILYADFLQKLLACFILATAAKLSSRGSLVWVVTGLSVSTLPNTLILGIPLLKAMFGEEAVELLSQIIVLQSLIWYNLLLFLFELNATKESYMIPSSEIEVEQEAPEEAQPKEEGEEEGETRSPRKMKLMHILISVGKKFIANPNTHATLAGLIWASIRFRWGLSMPTIVQKSISILSDGGLGMATFSLGLFMASQASIIPCGTKKAILAMAMKFLGGPILMSASSLAIGARGKLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGPRNKDSFQLLRVITDGPDGIKPHNFTSTVPVALGETQETPLHRSKRSSELGLCCMLIYTSSVPLTSVPKLQSSSPVFCVLRTPVSDSSMGKGPGLYTDIGKKARDLLYKDYQTDHKFTITTYSPTGVAITSSGIKKGDLFQGDVNIQLKNKNITTDIKVDTNSNLFTTITADEPAPGLKTIFSFRVPDQRSEKFELQYLHDYAGISASVALTASPVINFSSVVGSNALALGTDVSFDTKSGAFTKYNAAVSFTNSDLIAALTLNNKGDSLSASYYHNVKPLTNTSIGAEVTHSFSTNENTLTIGTQHQLDPLTIVKARVNNFGKASALVQHEWRPKSLFTVSGEVDTKSVDKRAKFGLALALKP >itb03g01210.t2 pep chromosome:ASM357664v1:3:653071:661539:-1 gene:itb03g01210 transcript:itb03g01210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGDVYHVVEATVPLYFAMILAYISVKWLKLFTPEQCLGINKFVAKFSIPLLSFKVISDNNLYKMNLKILYADFLQKLLACFILATAAKLSSRGSLVWVVTGLSVSTLPNTLILGIPLLKAMFGEEAVELLSQIIVLQSLIWYNLLLFLFELNATKESYMIPSSEIEVEQEAPEEAQPKEEGEEEGETRSPRKMKLMHILISVGKKFIANPNTHATLAGLIWASIRFRWGLSMPTIVQKSISILSDGGLGMATFSLGLFMASQASIIPCGTKKAILAMAMKFLGGPILMSASSLAIGARGKLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGPRNKDSFQLLRVITDGPDGIKPHNFTSTVPVALGETQETPLHRSKRSSELGLCCMLIYTSSVPLTSVPKLQSSSPVFCVLRTPVSDSSMGKGPGLYTDIGKKARDLLYKDYQTDHKFTITTYSPTGVAITSSGIKKGDLFQGDVNIQLKNKNITTDIKVDTNSNLFTTITADEPAPGLKTIFSFRVPDQRSEKVSSYSIFGHYVCKRNI >itb08g13030.t1 pep chromosome:ASM357664v1:8:13579943:13585606:1 gene:itb08g13030 transcript:itb08g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLEFVIVTGSQFATLFQTTGYTGIITYVNSADFVVSSIVQVSGVILCLYAAAKISHRAESIGSLASTWHALATCSTDEASQIRYSTGMGYMEAANISSSFYMNSSESDLESGLFIVEPTNAQLASDMSSYHKREALVMYLQSNPGGITIFGYTVNRETPLQGFTTPVYFLLPKPPVPIALSPPLTLSSSLLSRTLSVSIPPPSALPLSALSIFRSPPSRCPLSTLGILRPCASAPPFSIFRSPPARSPRQAGVXXXXXXXXYL >itb02g06200.t1 pep chromosome:ASM357664v1:2:3863392:3864677:1 gene:itb02g06200 transcript:itb02g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENDDDDDEKVPKWVELFLQRTFFSSCLVHEEVQKNEMNWYCITCDVPACKHCLSSDDTHETHTLLKIYRHVYRDVVTLAEMGVHIDCSNIQPYKCNKKWVVSLNPLPHCGSGSQVDEGGACDLCRRRLNDPRLYRFCCLACKVESYMKKANDNKVRVDEEATDDEVGCSTQTRRKRRRKGVPHRSPFF >itb11g12160.t1 pep chromosome:ASM357664v1:11:9051880:9055619:-1 gene:itb11g12160 transcript:itb11g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPHLEPIHIKKYAGQRVGIDAYSWLHKGAYSCSMELSLDMEGGKKLQYLSYFMHRINLLRHYKITPVVVFDGGNLPCKAATEDERHRKRKANRDQAMAKFKEGDVNAASELFQRAISITPLMAHQLIQILRSDNIEFVVAPYEADAQLAYMSSLEAENGGIAAVISEDSDLLAYGCPAVVFKMDRYGNGEEVLLDKVLKSGDGKPSFINFSKDLFAGMCVLAGCDFLPSIPGIGIVKAYNFVCKYRNLDRVLSVLKFEKGSQVPEDYSRSFTKAVAVFQHARIYDTVSKQIRHMKPIPEALLQSLEEELDFLGPEMPSSIATAIAEGKLDPCTMEAFDHFPSAESNLYLKNPTRARVKLPSKEAIASPSGKGGFVAISSRKLRKDAKLEEQEQVSEWNQIPFLDEKKHLAEAIALQKLLNPSNAGVVEEDKKANPNEALKVPDNNPFRKRCFAEFEPDETESVTEQVSVVTEVESLEVFTTPDSQRSVNSMAVKKPDENRRGKTDKRVKRTNSQSSVTKKSSILNFFSRV >itb02g03660.t1 pep chromosome:ASM357664v1:2:2151188:2153918:1 gene:itb02g03660 transcript:itb02g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSIAMERDTGMSLSNEKRPLEGFNTVRETKTHSENGPNALVPINHAAIAWQECRSRWTGGTSQRSKMALDDPIISWSMTYEELLSTNEPFAKRIPLREMVDFLVDIWHDDGLFD >itb02g03660.t2 pep chromosome:ASM357664v1:2:2151188:2153916:1 gene:itb02g03660 transcript:itb02g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSIAMERDTGMSLSNEKRPLEGFNTVRETKTHSENGPNALVPINHAAIAWQECRSRWTGGTSQRSKMALDDPIISWSMTYEELLSTNEPFAKRIPLREMVDFLVDIWHDDGLFD >itb05g22130.t1 pep chromosome:ASM357664v1:5:27639242:27643382:1 gene:itb05g22130 transcript:itb05g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVELPKREANVLRGHEGAVLAVRFNSNGEYCLSCGRDRTIRLWNPHRGIQIKTYKSHGREVRDVHVTSDNSKLCSCGGDRQIFYWDVASGRVIRKFRGHDSEVNAVKFNDYATVVVSAGYDKSLRVWDCKSHSTEPIQIIDTFLDSVMSVCLTKTEIIAGSVDGTVRTFDIRTGREISDSLGQPVNCISLSNDGNCILASCLDSTLRLLDRSTGELLQEYKGHTCKTSKTDCCLTNTDAHVIGGSEDGFLYFWDLVDASVTSSFKAHASVVTSVSYHPKDSCMVTSSVDGTIRVWKT >itb05g22130.t2 pep chromosome:ASM357664v1:5:27639242:27643382:1 gene:itb05g22130 transcript:itb05g22130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVELPKREANVLRGHEGAVLAVRFNSNGEYCLSCGRDRTIRLWNPHRGIQIKTYKSHGREVRDVHVTSDNSKLCSCGGDRQIFYWDVASGRVIRKFRGHDSEVNAVKFNDYATVVVSAGYDKSLRVWDCKSHSTEPIQIIDTFLDSVMSVCLTKTEIIAGSVDGTVRTFDIRTGREISDSLGQPVNCISLSNDGNCILASCLDSTLRLLDRSTGELLQEYKGHTCKVCGQAWPGPNF >itb01g30040.t1 pep chromosome:ASM357664v1:1:34156703:34158792:1 gene:itb01g30040 transcript:itb01g30040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGASASFTNLGSLLAIRRDQVHSMETAQEGTSQEQELEAFQTHVAERFNSLLAADSNELLTIPWIGKLLDGFLCCQEEFKAILFNHQGLLNRAPLDKYVSEYFERSVKALDVCNAIRDGLEQIRQWQKQLEIVLCALQNHRCLGEGQFRRAKKALIDLAIGMLDEKESNSAVAHRNRSFGRNNVQRDNKSLGHFRSLSWSVSRNWSAARQLQAIGNNLAAPKSNEIIASNGLALAVFTMNYVLYFVMWALVAAIPCQDRGLQAHFNVFKFAWAGPLLSLHERILDESKRRDRKNACGLLKEIHEIEKCTRHLYELTDTVQFPLSGEKEGELKQRVQELGLVFDSLKVGLDPLERQVREVFHRIVRCRTHSLDSIERANHQ >itb01g27760.t1 pep chromosome:ASM357664v1:1:32422538:32426533:1 gene:itb01g27760 transcript:itb01g27760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVVMSVVFMSILMVVYSLTTVAGATTHRRFLHQPLYPLSTPLTPTPPPDPTPTSISQPPTAAPLPQPHPQLQPKYPFSAQSPPSSTPSSQNPFFPYYSSPPPPPSLPASTGLPTFPANISSLILPQSSSGNSSRPISRKLIAVIVAVSLVFAALLTLLAAFLLHHRDHEKTGRQQRTDSLRLVPPNATPSDADISAAKKKSPPPPPPLLPPRYQATASSTSSEFLYLGTLVSSREVNEDSSQTAPTNAAPVNYQRLGSPELRPLPPLPRPHFRRNRRSAVAESADVGSTEEDDEEEFFSPKGSTGGRNSPNLTSSNSRRAADAPVQVQSNFPFSNSNSPTASLSASPSIELNLSPRSLRSKSPDSLVNFPAPPRFIQPPPPLHREIRTVSVASPSSGDTHNSPYRPSDFSAQISESPVSQLPDSGRYGSLKAPPPPPPPPPARFWEPPVTEDVGPPVLVPPSRPVVSQNVAEVTHSSEAVEKRNEETMKPKLKPLHWDKVRASSDRVMVWDQLKSSSFQLNEEMIETLFMVNSNSDTKDGVKRPLMPLLNQENRVLDPKKSQNIAILLRALNVTVEEVCEALVEGTADSLGTELLESLLKMAPTKEEERQLKEFKDESPFKLCPAEKFLRAVLDIPFAFRRVDAMLYIANFDSEVEYLKRSFETLEAACEELRKSRMFLKLLEAVLKTGNRMNVGTIRGDARAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSGFEVKLDGGMIQKPALQEEVEFRKVGLQVVSGLSGELTNVKKAASLDSEVLNNEVAKLADGVAKIAEVARLNEEVALKENNRNFSESMNAFLKKAKVEIMSIQSQEDTALSMVKELTEYFHGDLAKEEAHPFRIFLVVRDFLSTLDQVCKDVGKINERTIVSSGRQFPMPVNAALPLVFPGYLEKQHDTSSSDDESSSSTV >itb09g14650.t1 pep chromosome:ASM357664v1:9:9874300:9877815:1 gene:itb09g14650 transcript:itb09g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGWWGTHGNDIRRTLFLLFLGQVISFVMSLMSFTSSLLASLGVDTPLTLSFFVYLALSLVYGSIFIYRRQKLQVRWYWYLLIGFADVQGNFLVNQAFQYSSITSVTILDCWTIAWVILLTWYFLGTRYSLWQFFGAAVCVAGLGLVLLSDAGVGGGGGSRPLLGDTFVIMGTLFFALSNVGEEICVKKKDRVEVITMMAISGLLVSICEIAILETKGIASVKWSPEIILAFAGFAFAGFLFYTFVPFLLKMSGATLFNLSLLTSDMWAVVIRIFFYKQEVDWLYYVAFGLVGIGLVVYSKTEKALDSAPAIGDGSQYQILDEECRDARNDDVGDRS >itb09g14650.t3 pep chromosome:ASM357664v1:9:9874300:9877815:1 gene:itb09g14650 transcript:itb09g14650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGWWGTHGNDIRRTLFLLFLGQVISFVMSLMSFTSSLLASLGVDTPLTLSFFVYLALSLVYGSIFIYRRQKLQVRWYWYLLIGFADVQGNFLVNQAFQYSSITSVTILDCWTIAWVILLTWYFLGTRYSLWQFFGAAVCVAGLGLVLLSDAGVGGGGGSRPLLGDTFVIMGTLFFALSNVGEEICVKKKDRVEVITMMAISGLLVSICEIAILETKGIASVKWSPEIVREPESAVLTNVTAVFSHLINSPFFSQILAFAGFAFAGFLFYTFVPFLLKMSGATLFNLSLLTSDMWAVVIRIFFYKQEVDWLYYVAFGLVGIGLVVYSKTEKALDSAPAIGDGSQYQILDEECRDARNDDVGDRS >itb09g14650.t2 pep chromosome:ASM357664v1:9:9874594:9877554:1 gene:itb09g14650 transcript:itb09g14650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQWLLSLLAKAFGVAVRWYWYLLIGFADVQGNFLVNQAFQYSSITSVTILDCWTIAWVILLTWYFLGTRYSLWQFFGAAVCVAGLGLVLLSDAGVGGGGGSRPLLGDTFVIMGTLFFALSNVGEEICVKKKDRVEVITMMAISGLLVSICEIAILETKGIASVKWSPEIILAFAGFAFAGFLFYTFVPFLLKMSGATLFNLSLLTSDMWAVVIRIFFYKQEVDWLYYVAFGLVGIGLVVYSKTEKALDSAPAIGDGSQYQILDEECRDARNDDVGDRS >itb11g05380.t1 pep chromosome:ASM357664v1:11:3188463:3192878:-1 gene:itb11g05380 transcript:itb11g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGMRRTTRVFGARVLRSGRRLWTQVEGKHLRAGNGEKLIGILENGGVRGGAEVPQCKENGWHENDAFSKQQVTKMEVDSNLESRAVELELERHAGEAVNENANVGRRWGAVYRRKRKYSGEGYDSENKALYDRRFGKHFVRKQWKKKARYSPKDSPDLPGSVALSVVIHSSYSSGRSIYCLLNSLLGCLRRSMVNLEQFFAFICSKPICDVFSSHGIHFLKECTPEMRAGVCIISGVRYSVPLFTVNFSAIPCCFMYLHSSLSLRSVRPSYALWMHPMGIDVSGEMPDYTDYGLCDRSESDKSGHSGIASDKDHSRKWVLAQPTIRVPKLAARNSQLKSGRTIQKRRRGLRPRRGKRSSVIGLQKANGAMVSDSLRFRHSGMQLSSMSSHSGLRGLNKTTARNIKELKSALLDSTQDLDATSCSANLLVIESDKGYREEGAVIALEQSVSKQWTLAVKKGGVRRYNLTAEKVMRPCSSNRVTHDIIWTVDNSWKLEFPNRRDWLIFKELYKECVDRNVQPSSVSTIPVPGICEVSGYAESGTFPFIRPDSYITVKTDELARALDKRTANYDLDTDDEEWLNEFNSQCCIENNLHQCITVERFELMIDSLEKWVFCNPDGSSDDKAALITCLSSENKETAEAVYGYWCKKRKQKRSPLIRVFQLYQPRRTLMTPKYVLRKKRSFKRQGSQAGRGKQRTFLQGLAAEQDAQQQQQSAILKVKEAKAAANRQEGLAVIKRQKAQQLMENADLATYKAMMALRIAEAARIAESTENAAASSLLS >itb08g05410.t1 pep chromosome:ASM357664v1:8:4443646:4446927:-1 gene:itb08g05410 transcript:itb08g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDWAAAAFHFVLFFQFLHVRTKHFTDHKGDVPFSWEKKPGVRKETPPQFPFLQNPKLPPPPPAAALRNPSQKGGMREQDDPFLIAYRECTKSVVRPSKGNRFAWFSRDDAGGGGAGVKKAAAKKKKKRNNGGGNLFFSCKNSCSVMEEKIVRVSQLPIARSHGEEDVCMKWTP >itb03g30030.t1 pep chromosome:ASM357664v1:3:31281391:31284979:1 gene:itb03g30030 transcript:itb03g30030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRIARPLMMAAKVKETTGIVGLDVVPNAREVLINLYRKTLDEIKVVPEDEGYRKAVEGFTRHRLNVCVEEQDWEMIEKKLGCGQVEELIEEARDELKLIGYMNEWKPWGIPDDYECEVIENDAPVPKHVPLHRPGPLPEEFYATLEAVTTGGLEDAKKPAIASDGSQSSK >itb09g21610.t1 pep chromosome:ASM357664v1:9:19683314:19688832:1 gene:itb09g21610 transcript:itb09g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVALTSLIQTIEIEFLQPTPRQRLSLHDHDRAGINSFLANLSSLLALLQKDSNGNGGALSLRDLEIQIRDFALKAEDDIEIQLSNIFMDQDGGIPPTKKMKILFPGQTNDFMCCRASDELHQTLREAAENATQLLKILTREKESCASKREMGIVALTILITKSKYYFLQPFPTVYLGDKEAMKSFFRNISSLQACLLQRKSTGGAAIKLLQTKIRNFALKAENDIEIHLNNFLLAKHTEHEEEVSQQLCQTLQEAAQIAAELLNIIYKEELLCTALTSFRETIDEILTKSLHDKEGIKSTLVEKLSFLQHFLREESSATTMDKDLKTKIRNFTIEAKYDILIQLRNISQAKETKSHEKASRGLHQALQEAIANATELLNILTNEKESAREMGSDALNTFMTKFYYDFLHSTPIVPFLDHRAAMMSFFEKLSSLQDYLLQKESSGSAGATINDLETEIRYFVLKVGDDVETQVKKLVQAKDDIEYQQKASQLNQTLQEAAEIAAELLMSINSKSNEVDEANETQPSNTWLKHASAANVESDGSTPRGFLKPERRMVGRHNDCRMIKDQLFCPRFGIPVIISIVGMVGIGKTTVARNVYEDPSVASNFDVRGWVSIPQHYNKSRILSQLLQSITPVKEPNVIKKGSTPHELEMQVRNCFGGKKYLIVLDNITSKQTWEQAWTDIIGCVSNGLVGSYLLLTTRHYFNLDAHMIRYMHIHNMTLLDPKESWELFCYILSIDEEHLAPKFEKIRNHVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGLLDCNALSVSYNILPHHLKVCFLYFGVFPKRKKILVKMLIRLWIVEGFVKPLKHKELEDEAYEYLEELTDRSLLLVEDRSCNGKIKTCRMHSALHSFCVGEAQKGGILCAVNTQQHSGLSLNAFTNSCRWLSSYSHSFDYYVLFGTNIPRSIFFFLENPEMFIPPKLLRVLAFDTSISLQRVPVQLGGLVFLRYLSITQWFEDLDDVVSNNPNLETLVVSGNGARTIHLPSSIWKSPNLRHLELGNSYMVDPPCAYKKNLQTLSWVVRPIHCRQKVYSKFPNIKNLKIFLKDDIEPSHIGRCCSNPIILDHFDYSEGLEKLSISVSIGCNATLPEQCMYPSGLKKLKLSGTNISVRDLNVIARLPQLEVLKLENAFHGTVWKVAYGGFHQLIFLLLEAKELKQWELSQNHFRMLRHLVLRSCNCLEQIPIDFAEIYTLRSIELEGCKSSLVASAKQLQQEGRSKDVEIKILGPEYDDSQKTHTELSM >itb12g23820.t1 pep chromosome:ASM357664v1:12:25460343:25461937:1 gene:itb12g23820 transcript:itb12g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPNASWISGTDQPPGGEDNEDPLIIILLQSLSLVRREHMLQIRHVLHLKAEGWTVKQAVPSGWERCDGHDVIKTRSVLGTGDRKEGRWVGYSSRSQGECSTWGSVTGKGCWDIQY >itb09g01520.t1 pep chromosome:ASM357664v1:9:931624:935238:1 gene:itb09g01520 transcript:itb09g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHMAATQTVNSLRERLKEKRLLLLDTDVATYARSQGKTPISFGPTDLVCCRNLQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNPPPDSDGNSTVSRTLSGHKGYVSSCQYVPNEDAHLITSSGDKTCGLWDITTGLRTSVFGGEYQSGHTADVSSVSISASNTNMFVSGSCDTTACLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYSQPHSDNEVHRVTSIAFSISGRLLFAGYTNGDCYVWDTLLAKMVLNLGSLQNSHDGRISCLGLSGDGSALCTGSWDTTLKIWAFGGYRQVI >itb03g05430.t1 pep chromosome:ASM357664v1:3:3749024:3759779:-1 gene:itb03g05430 transcript:itb03g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDLFMVALMPVLKTLIITAIGLVLAFDRVDILGASSRHHLNNLVFYVFTPALVASSLGETITASSIVKLWFMPVNILLTFAIGSALGWILVKITRAPRELHGLVISCCAAGNLGNLLLIIIPEVCEEKNSPFGDSTTCSTNGKAYASLSMAIGAVYIWTYIYNIIRAYGTPRRDSSIDILGSGFLDSCREVLLSSHSLKYDEDEEAQVVLEEEEKPSAMKRLRQNVKTWADRINLKMMFAPSTIATIVGIVIGVVSFLRKLIIVDNAPLHVIDSSADMLGDAAIPAMTLIIGANLLRGLKKSAVGVWVVIGVQVVRFVVLPLCGIGVIAVARRFGDAAIPAMTLIIGANLLRGLKKSAVGVWVVIGVQVVRFVVLPLCGIGVIAVARRFGWEGRERFGMGFLSLLKVASMPVLKVLMISALGSLLALDHADILGENARRHLNNMVFFVFNPALVFSNLARTVTLDSILLLWFMPFNILLTFILGSILGWILFKLTRAPQHLKGLILGSSAAGNMGNLPVIIIPAICYEKGSPFGAADVCHTYGMVYASISMAVGSICLWTCVYNIVRVSSNNNKNKDISAQTSATSIDCKGERSYMDDAYHALLPCPQATDKEEKVPITCRIKKQLTMLSRKMNLKAVLAPSTTAAIAGFIVGLVPILRMLLIGTTAPLHVVHDSASLLGDAAIPAVTLIIGANLVRGLKGTGIHLSVVIGIAAIHYIFLPLVGIVVVKGAIYFGLVHSDPLYQFVLLLQFALPPAMNIGTITQLFGAGESECSVILLWTYALASISLTLWSTFFMWLVA >itb05g10120.t1 pep chromosome:ASM357664v1:5:15007308:15008333:-1 gene:itb05g10120 transcript:itb05g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRVIFAMIFALVAGSALAQAPGASPAASPKKSPSPVASPPKSVATPSSPPTATPAAAPTQTPTASPPTESPLPSPPAPPTDASSPAATPASTPPSISAAPGSAPGSSPTSPPNAAALNRVALAGSAAVAFFAASLLF >itb09g03700.t1 pep chromosome:ASM357664v1:9:2039384:2043223:1 gene:itb09g03700 transcript:itb09g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHESTIKPQASKKLNLIPLEMETPAVSMATTQTENGGGGVFLTWEDLWVTVSVGKEGKKPILKGLTGYATPGDLLAIMGPSGSGKSTLLDALAGRLELSTRQSGDILINGRSQPLAYGASAYVTQEDTLIASLTVREAVHYSAQLQLPSSMPRSEKEEIADMTIKEMGLEGAMDTRIGGGWGSKGISGGQKRRVSICLEILTRPKLLFLDEPTSGLDSAASYYVTGKIAGLAREGRTVVASIHQPSAEVFSLFTNLCLLSSGSVVYFGPASAAIQFFETCGFPCPTLQNPSDHFLKTINKDFDNNDEDIEQGCARGIPTEEVINILVNSYKSSDRFREVQTQVAQISKQEGEVLKKTSHADFFTQSIVLTRRSFLNMSRDPGYYWLRLAIYVALGIGLGYIYLKIGRTYSSIQARCSVIMFVATFFTFMAIGGFPSFVEDMKVFHRENLNGHYGCTAFVIANTFSSLPFLLLVSLIPSAIAYFPIGLQRGLFDHFGYFFLSLFASMLVVESLMMIVASVVPNYLMGIIAGAGIQALMILGGGYFRLPEDLPKLFWKYPLYYVSFHRFAYQGLFKNEFEGLRFSGMRGGDVIAGDEVLRDELQVEMGHSKWFDLLVLVGMVGLYRLLFLLVVKASEKAKPAMKALMRVSMGPDNLNKISFGG >itb03g21880.t1 pep chromosome:ASM357664v1:3:19827095:19828636:1 gene:itb03g21880 transcript:itb03g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEREEEEESTMKEESQQILRVLEALKQASQELQANPIGNSVDSDSPSPAIEALLQLGETESDSILASDPRLSALSRHLSDLKSLVNSLHESKRGRFTSFLTRRVRSNAISRVAGSIEAEIKAWIDREAVRGLTCHLRRLRALETPLSSREAAAVEEKLTNFHDKLAQGFDLNFQDLLLRSRIFPELEFVVCSENLPKGVRENAAYALKQLVLFNKDVFVGQVLTGQSIKALVSMRTMCSLEVISRLIRAIKSPLVDILESVGGIPSIITSLNSDDLGIKINAMECVLEIGYFGRKEAVEAMLNAGLIKMLVELQRSELGGDWKKSESGFLEKHPFASCVTRFSVQMEVGEGLRPSEKRALKQEILKRVREASDSDAEAATIIAEVLWGSSP >itb05g08220.t1 pep chromosome:ASM357664v1:5:11181115:11189677:1 gene:itb05g08220 transcript:itb05g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGGDFTRGNGTSKESIYGLKFQDENFKLKHTEPGLLSMANARRNTNGSQFFITTVTTSWLDGNHVMFGKVVDGYNVVKAMECKIWNAPFTGYGMLPVKGYGMLPVKGYGMFPLRDMVCSLYGICYVPFMGYGMLPLRDMVCSCYGIWYAPVTGYGMLPSWDMFPLWDMIVP >itb03g07800.t2 pep chromosome:ASM357664v1:3:5801477:5804672:-1 gene:itb03g07800 transcript:itb03g07800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADEMETSDDSFNLLDIRPVHDFNALFMESESQGKGTWPCMEPIRMPMDLENCEFSIANQKFDDKVFKVPAHNKRKDLIKDVVKTHVLPFLPAKSLLKFKSVSKEWDQWISSPLLAHQQSFSFQKISGYFCQHEDSYSKFFSLDGSAYGVPCPFLQFLPGDKRVLGSCNGLLLCQCSESYYVCNPTTEDWKMLPSSVYYHGSDPAVVLAFEPSLQNIEAYYQVICAFSMFGSPVIYFDIYSSATRSWRCSDAICIELGDSSLKGAGFYMNGSAYWETSSGQVLAFNVQNEEHGIISLPSEAAKGGTFTQVGDELCYVTVSNHSMNVSIIDIYGGLDMSLKRSISLNLELSYFPECKVLPGVDGDNLLILTRNGQLCLLSYHLSDQNVELLCKPRGVGFNFRFLPYINSLACVT >itb03g07800.t1 pep chromosome:ASM357664v1:3:5801453:5804672:-1 gene:itb03g07800 transcript:itb03g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADEMETSDDSFNLLDIRPVHDFNALFMESESQGKGTWPCMEPIRMPMDLENCEFSIANQKFDDKVFKVPAHNKRKDLIKDVVKTHVLPFLPAKSLLKFKSVSKEWDQWISSPLLAHQQSFSFQKISGYFCQHEDSYSKFFSLDGSAYGVPCPFLQFLPGDKRVLGSCNGLLLCQCSESYYVCNPTTEDWKMLPSSVYYHGSDPAVVLAFEPSLQNIEAYYQVICAFSMFGSPVIYFDIYSSATRSWRCSDAICIELGDSSLKGAGFYMNGSAYWETSSGQVLAFNVQNEEHGIISLPSEAAKGGTFTQVGDELCYVTVSNHSMNVSIIDIYGGLDMSLKRSISLNLELSYFPECKVLPGVDGDNLLILTRNGQLCLLSYHLSDQNVELLCKPRGVGFNFRFLPYINSLACVT >itb03g07800.t3 pep chromosome:ASM357664v1:3:5801453:5803510:-1 gene:itb03g07800 transcript:itb03g07800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADEMETSDDSFNLLDIRPVHDFNALFMESESQGKGTWPCMEPIRMPMDLENCEFSIANQKFDDKVFKVPAHNKRKDLIKDVVKTHVLPFLPAKSLLKFKSVSKEWDQWISSPLLAHQQSFSFQKISGYFCQHEDSYSKFFSLDGSAYGVPCPFLQFLPGDKRVLGSCNGLLLCQCSESYYVCNPTTEDWKMLPSSVYYHGSDPAVVLAFEPSLQNIEAYYQVICAFSMFGSPVIYFDIYSSATRSWRCSDAICIELGDSSLKGAGFYMNGSAYWETSSGQVLAFNVQNEEHGIISLPSEAAKGGTFTQVGDELCYVTVSNHSMNVSIIDIYGGLDMSLKRSISLNLELSYFPECKVLPGVDGDNLLILTRNGQLCLLSYHLSDQNVELLCKPRGVGFNFRFLPYINSLACVT >itb14g01950.t1 pep chromosome:ASM357664v1:14:1601887:1603521:-1 gene:itb14g01950 transcript:itb14g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPARHSRGKRVVKCNAPPHVQEVKCVMPNKRGEAMERPVLNYSQPGKPIKGKNTSQKQYSLLLTILVFTAVATYAGLYNYSIIFPLNDNSTKHLGRRSINAKDVLFSPRLFPSGFWFLTATTFTFVSSVILIFVAICLQDGCKCGFGVRVLSSFVLAALFVSYVVMVKQVVPHFVVITWNGHILTGFEMLCLCTLFLALLSLAVALMVRWLWSFVRRSGRAIVGKVVGELHV >itb03g14590.t2 pep chromosome:ASM357664v1:3:14225516:14230046:1 gene:itb03g14590 transcript:itb03g14590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFLSSAAVAFVVFVLAILPGIFADLESDRQALLEFAASVPHVRKLNWNSTRPICSSWAGIKCGKNGRRVIGIHLPGVGFFGPIPAKSIGKMDALRVLSLRSNHFNGTLPSDIFTIPSLQSIYLQDNNFTGEIPGSLSPLLGVIDLSSNAFTGEIPAVIKNLTRLSVLKLQYNLLSGTVPDLDNSRLKVFNLSYNMLNGSIPNSLHKFPVSSFVGNSHLCGEPLSECSAISPSPSSPGEQKSAFSKKKLSAGTVIAIAIGASLLLAFLALLICFCCFKKKVSDGGEVKEKVANGGRSEKPEDFGSGVQDAEKNKLVFFEGCLYTFDLEDLLRASAEVLGKGSYGTAYKAALDEMAMVVVKRLREVGAGKREFEQHMELMGRISRHPNIVPPRAYYYSKDEKLIVYEYMPIGSLFEALHGNRGVDRSPLDWDSRLKISLGAAKGIAHIHSEGGVRFTHGNIKSSNVLLTTSLEGCVSDFGLSPLMNYIAIKYRGAGYRAPEVIETRKVTQKSDVYSFGVLLLEMLTGKSPLPTGHDDVVDLPRWVRSVVREEWTAEVFDVELIKYQNIEEEMVQMLQIALSCVAKVQDARPTMEEVVRMIEDIRQPDEDTRPSSEDRSRDSNERTPE >itb03g14590.t4 pep chromosome:ASM357664v1:3:14227176:14230289:1 gene:itb03g14590 transcript:itb03g14590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFLSSAAVAFVVFVLAILPGIFADLESDRQALLEFAASVPHVRKLNWNSTRPICSSWAGIKCGKNGRRVIGIHLPGVGFFGPIPAKSIGKMDALRVLSLRSNHFNGTLPSDIFTIPSLQSIYLQDNNFTGEIPGSLSPLLGVIDLSSNAFTGEIPAVIKNLTRLSVLKLQYNLLSGTVPDLDNSRLKVFNLSYNMLNGSIPNSLHKFPVSSFVGNSHLCGEPLSECSAISPSPSSPGEQKSAFSKKKLSAGTVIAIAIGASLLLAFLALLICFCCFKKKVSDGGEVKEKVANGGRSEKPEDFGSGVQDAEKNKLVFFEGCLYTFDLEDLLRASAEVLGKGSYGTAYKAALDEMAMVVVKRLREVGAGKREFEQHMELMGRISRHPNIVPPRAYYYSKDEKLIVYEYMPIGSLFEALHGNRGVDRSPLDWDSRLKISLGAAKGIAHIHSEGGVRFTHGNIKSSNVLLTTSLEGCVSDFGLSPLMNYIAIKYRGAGYRAPEVIETRKVTQKSDVYSFGVLLLEMLTGKSPLPTGHDDVVDLPRWVRSVVREEWTAEVFDVELIKYQNIEEEMVQMLQIALSCVAKVQDARPTMEEVVRMIEDIRQPDEDTRPSSEDRSRDSNERTPE >itb03g14590.t1 pep chromosome:ASM357664v1:3:14225312:14230289:1 gene:itb03g14590 transcript:itb03g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFLSSAAVAFVVFVLAILPGIFADLESDRQALLEFAASVPHVRKLNWNSTRPICSSWAGIKCGKNGRRVIGIHLPGVGFFGPIPAKSIGKMDALRVLSLRSNHFNGTLPSDIFTIPSLQSIYLQDNNFTGEIPGSLSPLLGVIDLSSNAFTGEIPAVIKNLTRLSVLKLQYNLLSGTVPDLDNSRLKVFNLSYNMLNGSIPNSLHKFPVSSFVGNSHLCGEPLSECSAISPSPSSPGEQKSAFSKKKLSAGTVIAIAIGASLLLAFLALLICFCCFKKKVSDGGEVKEKVANGGRSEKPEDFGSGVQDAEKNKLVFFEGCLYTFDLEDLLRASAEVLGKGSYGTAYKAALDEMAMVVVKRLREVGAGKREFEQHMELMGRISRHPNIVPPRAYYYSKDEKLIVYEYMPIGSLFEALHGNRGVDRSPLDWDSRLKISLGAAKGIAHIHSEGGVRFTHGNIKSSNVLLTTSLEGCVSDFGLSPLMNYIAIKYRGAGYRAPEVIETRKVTQKSDVYSFGVLLLEMLTGKSPLPTGHDDVVDLPRWVRSVVREEWTAEVFDVELIKYQNIEEEMVQMLQIALSCVAKVQDARPTMEEVVRMIEDIRQPDEDTRPSSEDRSRDSNERTPE >itb03g14590.t3 pep chromosome:ASM357664v1:3:14226841:14230289:1 gene:itb03g14590 transcript:itb03g14590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFLSSAAVAFVVFVLAILPGIFADLESDRQALLEFAASVPHVRKLNWNSTRPICSSWAGIKCGKNGRRVIGIHLPGVGFFGPIPAKSIGKMDALRVLSLRSNHFNGTLPSDIFTIPSLQSIYLQDNNFTGEIPGSLSPLLGVIDLSSNAFTGEIPAVIKNLTRLSVLKLQYNLLSGTVPDLDNSRLKVFNLSYNMLNGSIPNSLHKFPVSSFVGNSHLCGEPLSECSAISPSPSSPGEQKSAFSKKKLSAGTVIAIAIGASLLLAFLALLICFCCFKKKVSDGGEVKEKVANGGRSEKPEDFGSGVQDAEKNKLVFFEGCLYTFDLEDLLRASAEVLGKGSYGTAYKAALDEMAMVVVKRLREVGAGKREFEQHMELMGRISRHPNIVPPRAYYYSKDEKLIVYEYMPIGSLFEALHGNRGVDRSPLDWDSRLKISLGAAKGIAHIHSEGGVRFTHGNIKSSNVLLTTSLEGCVSDFGLSPLMNYIAIKYRGAGYRAPEVIETRKVTQKSDVYSFGVLLLEMLTGKSPLPTGHDDVVDLPRWVRSVVREEWTAEVFDVELIKYQNIEEEMVQMLQIALSCVAKVQDARPTMEEVVRMIEDIRQPDEDTRPSSEDRSRDSNERTPE >itb11g19190.t1 pep chromosome:ASM357664v1:11:19837780:19838118:-1 gene:itb11g19190 transcript:itb11g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPKSSIVFPCILFACMFAFSLAADPVLDTSGQPLRSGVNYYIQPASAVDGGGVTLAKGENGACPFEVVQALNAAGAEGSPLRLSPVNSTDGVVHVDDDITIKFVPSDDMS >itb11g23570.t1 pep chromosome:ASM357664v1:11:25576871:25623250:-1 gene:itb11g23570 transcript:itb11g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVRQLLLGYLGQYIRDIQTEQLKITLWNEEVLLENVELILEAFDYLQFPFALKQGHVGKLSIRIPWKKLGWDPVIIILEDVLICASQRDEKEWSMDAVEKREFAGKKAKLAAAELAKLSRRVCDSQSGNSFISHIAAKILDSIQVSIRNMHVLYRDMLTTSAVTVFGLKFSSLTIMKQHFPGSSTGKVRGGQVNKIVEVQGLELYCNTFQGSDKLMSVDSAVGSKIWGGALLQANEKVNLLAPLDVTLSLSVNRSGGLDSSAPQYSVNVELNNVHIYVDEVQIQQILSFCDYLLTSQLREKYGRYRPWWSPMDKKLKGWQIAWWHYAQQSVLLDVRKRLRKISWKYLGERLNRRRKYVNLYKTKLKFLHQDQVIAEDVMQMLEEIEKESDIDEILSYRFVAERELQDVLLASSSNSVNTGKPIEDEKPFSRPRGWLNWLSHGMLGAGGTDDSSQFSGIISDDVIKDIYEATKFQPVQSLNVDAAESAKGCISSIMFSINQLSVALQSMKLGCAIAHLMLNEISIGFETWEEEAVISARISSGEMLNPVNKGLILLMRMNHGERIFDIPQPFVNIHVDVSSPQRDANLSVKAIIQPLDVTYDAEFVKNILALLYVVNEFKSLNYRILLSMNGIDNIKPRLLSKVDYILSSRKKIIWDASFAAVVINFPWKNANSEVHKLVFEVGELSFVSKYDKGSFTVTSDFSDDFLDGYLLQDFYEHFKININNFQVNLLTSYSSAPLPLLEKFTGSIALALCIIPDEPILKRLEVDMEISSILVHISLPLYASLTELIIDFVPPDLTSVSSISNMVNNKSGGLAPSNCFWLSANANVESVAFLIDLETDAGNPCSLMLSFQELDIRFDKNNFAECWTSIKALDVKCYSSQIEEKDNVLCSSRSFSGESFGQYDIGTRTCHQIGNHSNKKIVGEQFFLLHYKACKCLEMIRHEYALWLTGLDIHCYPFIIGHLTGFFDKIIEYKAFTGVENTAGAYGEVSLSYSNFPCQGFGISGFCGSSSSKWSPLQQLPSVSVQNSCSLSNPESPLISATPEQWKYSYPRDCKIRSCAFNSNEKSNAQPTFLSNPSCPQINVATMYFVNLDIGGLRIHFHDSCSILGSVSLPNLRSEFSIGENNLDVLCFSKGLILSSPWWPQPIHDFLWGPLTPTCPPILNLCVRKETAKSILEMSFDIQHVSCVLPPEFLAVIIGYFLLPDWGASLNKPHIVENSDHTDILGNASVTYKFEILDSNLFVPVGLDGNQYLKLDIPQLCGSFIENVDLESALNNVPSECLVKADEVAHGNHCLNLSGRDLSLSLLSIKDMPECSGSTHIPRKMHITLISPLTVELWVRMPSQCEPPKVLASCPIFIMVMVSDCQLTAEGDSLIVGYEALVDGINQFSMIEKQSKAFKSNIYQFFEFKKLLVEDNASPPESSAANLMILRACIKSMSLRLCRTKGDSVAADVIAEANSQFTCTASFRNDELQCLKISFSTLSLFSLLNSVMLAECPSTSTISVLDLTYLISKENGSILLLSIPSVDFWLHTSDWGELVNLVQSFVQQQPVTHTLSTPPENLTFVLVDEIENEAIDTLRHSHRLPNFSSCSGSEYVKPSTVFPRVELEIVGTTVHIPVWVRKDAFDIFEGNQNDHLDDLRSMIYGNQHGFITVKLQKGTVKLAIDGKGMRLELCLDHTKGSVELRGEDKLHTLPLFQLFQVNLDAEISSYLVNGICGKLDIQCDSLDVWLSNHIFYFWCFVHFQNQAADTSQFIINCMDSKVHLRKLSLFLTNGKWSSTGPLMEFLIQNLLLHSSLNDKEIQVSVVGDIQAYYNNIDKVLWEPFIEPWGFQLCLSRIHDKTSLLSTEASTEIRLESTSQLKLNITESLVEVASRTTEVIRDAWNTAEIRTSSESLNLHSDRLNVNLDGRRHAPYVLQNLTSLPLMFYVYKGQQASSNFRVSLTKSGKLLQPGFSIPIDVEETPEKQHIHYNYAQTSDKLSDGQSAEAAHHYISIQLEGTSMPSGPISMDLVGLRYFEVDFSKSSSKSDAVTNEDASKIFKKIEGQVSTGSETGFVVPVVIDVSIQPYTKMVQLYSTVLLSNATSEPLEVRLDIPFSVSPKILDPIYPGQQCPLPLHLAEAGRMRWRPLGNAYLWSEAYNIQNILSHESRISILRSFVCYPSHPSSDPFRCCISVHDWCLPSVGLPRMGALRSNNDTLRPSSEIRNELSHDMIRSKKRYVHQLTLSSPLVLKNYLPEAVSITIENGGVTSSAILSEVENSFFHIDSSHDLTITFQLRGFNPSSMKFPRAETFCETAKFSGTRFSLWETIVFDSKSSSGPLCITFEKVMDAFSGAREICISVPFLLYNCTGLSLVVSNAVNGTKGHCCIVPSCYDLDKQDLVPSRKDGLSLLSPIIDSDIAPYDNSFPISSTNSYPILNSNDNRLSDNHSKSLHSSTVVHRYSHNHGLYTQKSSSSTFKNQSGSSSQSSLRSSDFLENESDVINCCMYSPDSSFSSDKIVVKVSRFLSACVTHNTPESWSNAFSLVPPTGSTSVVVPQPSKSSGYVMSVSAVAAPFSGRTKIITFQPRYVISNACNKDLCYRQKGTDVVFLLEAGQHSHIRWTDTTRELLVSIRFVEPGWQWSGCFLPEHLGDTQVKMRNFVSGAVNMIRVEVQSADFSIRDEKIVGSPRGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCEAFETMIYSYSSCPYAWDEPCYPHRLTVEVPGERVVGSYTLDDVKDYTPVCLSATSEKPERTLLVSVCSEGATKVLRIIDSSCHDLNDSKSPCLPQLKDKGKNARKLESVAHFKERIVVNIPFVGISLINSIPEELLFACARNITMNFGQSLDQQKFSLQIMSLQIDNQLPGTPYPVILSFDHNIGITSRDTRETAIGTQEPAFSVVVAKWRNKYLSLVSFEYIGLRVAELHLELDQEVILSLFDFIKSVSSRLQSRVLQHMNPTLHPIFSDLESDLSIHSCSLDFEPLNSNSKQHLASNTTLFHESSKNSLLPPIIPIGAPWQQIHLLARKQKKIYVEFLDMSLIKLTLSFSSSPWMLRNGVLTSGESLVHSGLMALADVEGAQIHLKHLILSHQLASWESIQEILIGHYSRQFLHEMYKVLGSAGVIGNPMGFARSVTLGIKDFLSAPLQSVFQSRAGLIKGMAQGTSSLLSNTVYAISDTATQFSKAAQKGIVAFTFDDHSIANMDRPQKGISSQSKGVINEFLEGLTGLLQSPIKGAEKHGLPGVVSGIALGVTGLVAKPTASVLDITGKTAQSIRNWSKRHHSGCHRFRVRLPRHLSREYPLRPYCWEEAIGTSVLQEVDDSLNLKDEVLVICNALKEDGKFVIITERLVLVVSSKSLENLGKPEFRGVPANLEWVIDMEIGMDSIIHADNDGEVVHIIGSRSDIVMRQNQQKRGWGTEGKRSWNNNPRAPLPLFQTDLLFTSKERATDFLHTLLSAIDQAKEQGRCHVHLLHQSSLR >itb12g19710.t1 pep chromosome:ASM357664v1:12:22106986:22107569:-1 gene:itb12g19710 transcript:itb12g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVCGNDPMRENQLYKEAVVNETAGRIVRDEGEVSDEGVHVDELPAKEIEQMQYSQIQNEGGQNEGLQGERDSSSEEEESSQSVSFNTWVERLKTKVGKDSDLLSLVEDAKQEVESFFDMALKEMGRAEYDVKTDELVQKANGIFLRKVAGQEGGVLSWV >itb03g11370.t1 pep chromosome:ASM357664v1:3:9463042:9466800:-1 gene:itb03g11370 transcript:itb03g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKEKNAPWLSVPQFGDWDQKGALPDYSLDFSKIREMRKQNKRDVSRASLGNEEELMSSKAGGANTGHSAHSDDHHYNQNQSPTRRRSIFRCFNCCVKA >itb03g11370.t2 pep chromosome:ASM357664v1:3:9463615:9466800:-1 gene:itb03g11370 transcript:itb03g11370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKEKNAPWLSVPQFGDWDQKGALPDYSLDFSKIREMRKQNKRDVSRASLGNEEELMSSKAGGANTGHSAHSDDHHYNQNQSPTGGRDTCNYRQCTCNKLIVPQFNIGMLI >itb03g11370.t3 pep chromosome:ASM357664v1:3:9463042:9466800:-1 gene:itb03g11370 transcript:itb03g11370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKEKNAPWLSVPQFGDWDQKGALPDYSLDFSKIREMRKQNKRDVSRASLGNEEELMSSKAGGANTGHSAHSDDHHYNQNQSPTEE >itb01g34620.t1 pep chromosome:ASM357664v1:1:37215717:37221099:-1 gene:itb01g34620 transcript:itb01g34620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTAKQNKKFEEALAIYDKETPDRWHKIARYVGKSIEEVRRQYEILEKDIMQIENDEVPLPNYRATESSSRGFASNEQRLLRNLKLRPTFYKIYKRKSSDGYQSIPYVVALFSAELLLYYAVIKTNAYLIVCINGFGCAIELVFISMYMFYANKKDKIFTIKLLVLDILLFGAVIIVSLFLVKGPERVALVGWTCAVINVAVFAAPLSIMRQVIRTRNTQFMPLTLSICLVFCATAWFFYGFFVKDLYIAFPNILGFLFGIAQIVLYCMYKDSKKDEENKKGGEYKEGVEIQVKNDGRR >itb11g10440.t2 pep chromosome:ASM357664v1:11:7347538:7349779:-1 gene:itb11g10440 transcript:itb11g10440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFFFSSRMAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRGMGLFSHNHIVYMVGGYFYKREVCVDRPKVVDVDGLQYFDLVYMFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGQNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIGSAPPPLASPDNEDQDKNDRKSKRKRGSRLFVYLFPALLLLLLRRR >itb11g10440.t1 pep chromosome:ASM357664v1:11:7347538:7349846:-1 gene:itb11g10440 transcript:itb11g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRGMGLFSHNHIVYMVGGYFYKREVCVDRPKVVDVDGLQYFDLVYMFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGQNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIGSAPPPLASPDNEDQDKNDRKSKRKRGSRLFVYLFPALLLLLLRRR >itb10g12520.t1 pep chromosome:ASM357664v1:10:18585947:18587290:1 gene:itb10g12520 transcript:itb10g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHSTIGMPFPYGFPTTTVMNMCGTTNPMSTPWMDSRIWSRLPQRLIDRIIAFLPPPAFFRARSVCKRWYSLLFSTTFLELYLQVSPHCHWFIFFKHKSLKSYIYRSNNSTSSSGYDNRAVYEGYLFDPHTLTWYRLSFPLIPPGFSPATSSGGLIGWVSEEAGSKNILLSNPLVGSLIPIPPTLRPRLYPSIGLNITDSSIDLAVAGDDLISPYAVKNLTTESFHIDANGFYSIWGTTSSLPRLCSFESGEMVYSEGRFYCMNYSPFSVLSYDISSNTWCKIQAPMRRFLRSPNLVESGGRLIMVAAVEKSNLNVPRSLRLWGLQDCGTTWAEIERMPQQLYAQFAEIENAQGFNCVGHGEFVVIMIKNSDKALLFDFGRKRWVWVPPCPFVHQDSTFGGGGYGDQGGAGCGNELHGFAYEPRLAAPVTALLDQFTLPFQSFTG >itb14g00440.t1 pep chromosome:ASM357664v1:14:299081:305460:1 gene:itb14g00440 transcript:itb14g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALESS >itb14g00440.t9 pep chromosome:ASM357664v1:14:299959:302883:1 gene:itb14g00440 transcript:itb14g00440.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALEVTITPLYYFIL >itb14g00440.t2 pep chromosome:ASM357664v1:14:299081:305460:1 gene:itb14g00440 transcript:itb14g00440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALESS >itb14g00440.t3 pep chromosome:ASM357664v1:14:299081:305317:1 gene:itb14g00440 transcript:itb14g00440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALESS >itb14g00440.t7 pep chromosome:ASM357664v1:14:299081:302960:1 gene:itb14g00440 transcript:itb14g00440.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALEVTITPLYYFIL >itb14g00440.t4 pep chromosome:ASM357664v1:14:299018:305427:1 gene:itb14g00440 transcript:itb14g00440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALESS >itb14g00440.t6 pep chromosome:ASM357664v1:14:299959:305427:1 gene:itb14g00440 transcript:itb14g00440.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALEVISRVGDMVGIKYFPADSYKQQLEENRVMQD >itb14g00440.t5 pep chromosome:ASM357664v1:14:299959:305160:1 gene:itb14g00440 transcript:itb14g00440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALESS >itb14g00440.t8 pep chromosome:ASM357664v1:14:299018:302960:1 gene:itb14g00440 transcript:itb14g00440.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYFTALTTFFSYVLLFAFGQLRDFFRKAIHWYDSRNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSPPDAWFDVVERVSNDNNKTLKRTLKITKCLNLGSYNYLGFAASDEYCTPRVIESMRKFSPSTCSSRVEGGTLSIHTELEECVANFVRKPAAIVFGMGYVTNSAILPVLIRKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLENVLREQIGEGQPRTHRPWKKIIVVVEGIYSMEGELCKLLEIVAICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKHTCPAHLYATSISPPAAQQIISAIKVILGQDGSSRGAQKLARIRENNNFFRTELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISAAHTREDLLKALEVTITPLYYFIL >itb11g02630.t1 pep chromosome:ASM357664v1:11:1337586:1340728:1 gene:itb11g02630 transcript:itb11g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPCWWGSVVGDGGRRSGDCRRRDGDLNGKVDGLMWYKDLGTHVNGEFSMAVIQANGLLEDQSQLESGPLSNLDSGPHGTFVGVYDGHGGPETSRFINDSLFSNLKKFASEQQEISAGVIKKAFLATEEEFLSVVKQQWHYKPQIAAVGSCCLVGVVCNGLVYVANAGDSRAVLGRADKGARGVTAIQLSMEHNANIESVRDELHSLHPHDSQIVVRKHNVWRVKGLIQVSRSIGDAYLKKAEFNRAPLLAKFRLSEPFSNPILSPEPSISIHKLSSNDQFLIFASDGLWEHLSNQEAADIVHSSPRNGIARRLIKAALHVAAKKREMRYSDLKKIERGVRRHFHDDITVVVLFLDPGSTSRSSSHISTLSIRAGGGVPPYPTQ >itb15g22060.t1 pep chromosome:ASM357664v1:15:24747271:24752181:-1 gene:itb15g22060 transcript:itb15g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTKSSVVRVKPSGGDGSISSSSKPRFDSSSSVTKKRTVEISSHKQSADAKGKTISKTEVNAKATSSSTKTVKKTVTRTREKKVYSLPGQKFDVPEEREPLRLFYESLSKQIPSSEMAEFWLMEHGLLSPDRAKKAFEKKQRKQKQLRTGTPIKSPTRPVSIGSSKQPQPVSKNGEIKAKKRLNKDSDDDDDFILSPKRRKGDSFSSLKAHVLGVAIVTTGSEGFAIKIHLETDIIRDVRMTGVWCLCRVNEFRQRIYSGEEKSRSQTPRGERWGKFIYITAVLAEEKLRLSLEPYDDELMLSYPKEFIIAAEEVIMSD >itb09g23370.t1 pep chromosome:ASM357664v1:9:22654720:22655864:1 gene:itb09g23370 transcript:itb09g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLDRRHQDIRMSVFLEARSLYIPHENNPRHWIWTWDSGHEVVELQNVCWLEIKGMVDTTCLLKMTCYSAYLVFKLKQSTQWIDTALTSVRYLKDKWSYCESRRCQVFLTKSKSSKDPGQFPNIRQDRWMEIKLGEFYVTSGNEGEVEMRLWNTENIHWKSGLIVWGIDVRPC >itb05g25090.t1 pep chromosome:ASM357664v1:5:29676563:29677270:1 gene:itb05g25090 transcript:itb05g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEELFKERLSDFFSCWRTTHKQLWEFSDIIVVRTPAKAYWNSRSSPLSRDFFLWLLGEDVASTTAVFTPSWISFLCTPESFSVLHSLSGYTMNIRVSVELKPSDEGVSKLDGVSKLDRIISRSREDLGFLHGRLAVGCIGGKILVNDFEYYFEYNILSVDCGARMLIGQDSDSRFHKYDDEEKGGSESKVLAEDNAEESDSIENVVDMWNKDSIENVVDMWNKYQWRARALTV >itb11g08840.t1 pep chromosome:ASM357664v1:11:5789924:5793475:-1 gene:itb11g08840 transcript:itb11g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLSSPLHTWLVAARQSDNLCNSRTRKRIVTHCGNRGKTRVVAEQSAKEAPKPKRRVVVTGVGVVTPIGHDTDLFYNNLLEGVSGISEIEGFDCSKFPTRIAGEIKKFSADGWVSPKLVNRADKSMLYMLTAGKKALEDGGVTDDVIAELDKTKCGIVIGSALGGLKVCTDAVEASLVSYRKVNPFSIPFGSHSMGAAILAMDLDWMGPNYAINANGATSNFCILNAANHILRGNTDMMLCGGTESALTPIGLGGYVSCAALSKRNSDPTRASRPWDRERDGSVLGEGSGVLLLEELNHAKKRKAKIYAEILGGSLTCDAYNVTEPHPDGAGTVLCIEKALAQSGVGREDVNYINAHASSTPDGDLREYEALIHCFRQNPELKMNSTKSMIGNLLGAAGAVEAIATVKAIQTGWIHPNPNLENPDKGFDTNVVVGRKKEKLTIKVALSNSFGFGGLNSCILFAPYKDDD >itb08g13560.t1 pep chromosome:ASM357664v1:8:14643766:14650639:-1 gene:itb08g13560 transcript:itb08g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAMRRSPGRELKTENHKRGRSLESAIAFREKDDDLALFNEVQSRERENFLLDTKDDFDDICSTKFRYFSDYKLGISIPARGESSELLNAEGDKNDYDWLLTPPDTPLFPSLDDETPPVNVAQRGRPRSQPISISRSSTMERSYRSSRGSASPNRLSPSPRSCNNTNQTRGRPSSPSHSSPPPSLRHATPTRRPSPSPNKLSTPPPRSSTPTPRRLSTGSSGTASATRVRGTSPVKTNRGNSASPKIRAWQSNIPGFSLEAPPNLRTSLADRPTSYVRGSSPASRNGSRSGRKSMSPARSVSSAYSHERDRVSFYSKGSVASSGDDDTESLQSIPISSSDPSGPRSSGTFQHNKAQGLTKKTTRVISSSSAPKRSFDLALRQTDQRKSPHNMFRPLLSSVPSSTFYSGKASAAHRTLGSRHSSVTTSSNASSDQCMSIAHDTEGSELNQEDMNSERVQSLYHDAEEVFTLDSVDVLDDLGKQNDVPPSCRLGKVDGSIIADSELIVAEDFSQLEKEMTVAATSEVLIHETVVAQADALEVLVICSKCYKSYPSSKSIEEDPKLCPDCKSLEAQPSLSSPLTKMMVSTDSPGVYTDIIEYGPVDTYETSELSPKLSEVTCKGELKAGHHEEVYSYCENLCNETSWDLISNKSIDQMHAEGGEHSLVTHQVNSRSTICASDGDAGYQQLQPLTDHPNVIVDVPEGAGISLLLKRSGSGKGHIVQNRTLSATSIAYDDLSYVRDSVSSMRSSIGHGSASASSSVDFGSTRQIEARIQRQSSGRKPDIESYRNETYTKLQRSISSLSATSSQAHQPLSLTTSSQEEVEVSASQMEKNNEVKNVDPEESSLSLENAEVNLCTENKSESICRISSNPTSHTDEASAANFECTLSESGDNITNSDNSSDINPSCMLPVSSDVKEDVPNSCVVNLHVTEICEQDCMDAKCGTEIEKDNISSHHLQSDGSSRDSADEVKNFALHTATDNDITDPVEVEDSSNHEYEESTVVVEGQAGVKTRSLTLEEATDTILFCSSIVHNLAYKAADIAIGKENSILMDASRPMLTVVGKASPDRRDLWSRTTARRNSRSSQKVKQKLMDSESKPLPSNTDSDEKTQKPMARIVGSPSKGDSMKPPPKLESKCNCTIM >itb13g05530.t1 pep chromosome:ASM357664v1:13:6633906:6636339:1 gene:itb13g05530 transcript:itb13g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLRRLSFAFFCLLAVIGKATAAGYYSHPVFHTGAWKLAHATFYGDESASETMGGACGYGNLFNNGYGTATAALSTVLFNNGFACGQCFQIRCAQSPYCYKGFPTTTVTATNLCPPNWAEDSNNGGWCNPPRTHFDMSKPAFMKIAQWKAGIVPVMYRRVPCIRSGGVRFNLQGNGYWLLVYVMNVGGGGDIAAVWVKGSRTGWIRMSHNWGASYQAFGTLGGQSLSFKLTSYSSHETIVAYNVVPSNWNVGLTYQAKVNFH >itb14g14690.t1 pep chromosome:ASM357664v1:14:17615140:17616027:-1 gene:itb14g14690 transcript:itb14g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECALALVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb04g09650.t1 pep chromosome:ASM357664v1:4:8920179:8922768:1 gene:itb04g09650 transcript:itb04g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQQQHWWKLRWSYRNATILVSLFNLIAALFLLQSFFFSASPSTKSNSVERYIRESEEIRRAMMPVNLIKRVKEIENEAYIEVEPLEHNKDVKQGAAVDLITRMNNIRSYSEASGMKALEEWRKRKMERARKRELGKDGTTI >itb09g30700.t2 pep chromosome:ASM357664v1:9:31312972:31314661:1 gene:itb09g30700 transcript:itb09g30700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVPAIVDGRFRLFESHAILKYLACSFPGVADHWYPADLFKRAKIDSVLDWHHSNLRRGSARYVFNSTLATAFGLPLNPEAAAEAEKVLLASLDKIESFWLQRNGRFLLGSSQPSIADVSLVCEIMQLEIVEEKDRDRILSPYSKVQKWIDDTKKATMPHFDEIHAALFKLKQRLQKQRSECSNLHSKM >itb09g30700.t1 pep chromosome:ASM357664v1:9:31312559:31314661:1 gene:itb09g30700 transcript:itb09g30700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTVYVDRMSQPSRAVLIFCRVNGIDFEEVQLQLAKGQHKSPEFKKINPMTQVPAIVDGRFRLFESHAILKYLACSFPGVADHWYPADLFKRAKIDSVLDWHHSNLRRGSARYVFNSTLATAFGLPLNPEAAAEAEKVLLASLDKIESFWLQRNGRFLLGSSQPSIADVSLVCEIMQLEIVEEKDRDRILSPYSKVQKWIDDTKKATMPHFDEIHAALFKLKQRLQKQRSECSNLHSKM >itb01g15670.t3 pep chromosome:ASM357664v1:1:18684193:18687367:-1 gene:itb01g15670 transcript:itb01g15670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQHHFEDFYEDLFEELSKYGEIENLNICDNLADHMVGNVYVQFREEEHAATALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGKSRRRRSHSRSRSPRGHHGHDERPYGGRGYPRRGGDHRHHERGRRPRSRSPGRRSGHSRSPGGRRNRSPVREGSAERRAKIEQWNREREQPEKNDNSGMVREGSAERRAKIEQWNREREQTDSAKNNDVGTFENGSRNGAEHHPEQFYDDYQQQQ >itb01g15670.t1 pep chromosome:ASM357664v1:1:18684191:18687367:-1 gene:itb01g15670 transcript:itb01g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQHHFEDFYEDLFEELSKYGEIENLNICDNLADHMVGNVYVQFREEEHAATALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGKSRRRRSHSRSRSPRGHHGHDERPYGGRGYPRRGGDHRHHERGRRPRSRSPGRRSGHSRSPGGRRNRSPVREGSAERRAKIEQWNREREQPEKNDNSGMVREGSAERRAKIEQWNREREQTDSAKNNDVGTFENGSRNGAEHHPEQFYDDYQQQQ >itb01g15670.t2 pep chromosome:ASM357664v1:1:18684191:18687367:-1 gene:itb01g15670 transcript:itb01g15670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQHHFEDFYEDLFEELSKYGEIENLNICDNLADHMVGNVYVQFREEEHAATALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGKSRRRRSHSRSRSPRGHHGHDERPYGGRGYPRRGGDHRHHERGRRPRSRSPGRRSGHSRSPGGRRNRSPVREGSAERRAKIEQWNREREQPEKNDNSGMVREGSAERRAKIEQWNREREQTDSAKNNDVGTFENGSRNGAEHHPEQFYDDYQQQQ >itb01g11640.t1 pep chromosome:ASM357664v1:1:10738657:10739399:1 gene:itb01g11640 transcript:itb01g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNLKFSTLIICLLVLLAPCSEAAVSCNTVFNSLVPCLSYVMNGGKKVQPACCRGIKSLYAAAKTATDRRSVCSCLKSAASSISGINFKYAAQLPGKCGVKNIPFQIGPKVDCSKVK >itb06g19900.t1 pep chromosome:ASM357664v1:6:23064595:23066068:1 gene:itb06g19900 transcript:itb06g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPSSVNSASVAGGAALPPAHSGLPEPQSPVTQVNFPVVSREQWVLPQNRPPHEGGAFMALDSPFCRANPVVESGATPAFDKMPGHTVCSQLFIKVKVADEYAPDLQLKGIYTFQKNKSFLKKLKKHSYEYSFICNT >itb08g02970.t1 pep chromosome:ASM357664v1:8:2512271:2515855:1 gene:itb08g02970 transcript:itb08g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSFFCLIPRFSLASSSPRYRKVCLSKMSTAPEPLCPKFIPVEKSEIASISKPEGFKFSLVSYNILAQAYVKSTLFPHSPSPCLKWKARSQAILTVLKGLGSDFLCLQELDEYDSFYKKNIESFGYSSIYVQRGGQKKDGCGIFYKHKSAELIIEEKIDYNDLLNSIQDEAASLKKDVDLSASGNKSDESTNDSGQKSTQTDRGDPNDPRVRLKRDCVGIMAAFKLNDPSCHHIIVANTHIYWDPEWIDVKLAQAKYLLRRLAEFKSRVSDQFGCSPSVIVAGDFNSVPGDQVYQYIVSGASPLGPQPEISDEMPIPLCSVYAYTKGEPPFTNCTPGFTGTLDYVLFSPSGDIKPVSYLELPGPESSDVQGGLPNYYHPSDHLPIGAEFEVDT >itb03g05080.t1 pep chromosome:ASM357664v1:3:3365647:3369623:1 gene:itb03g05080 transcript:itb03g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAEEMVGESEDSNFCNPTQGGLHAQEITLSAVLTCLISAVLFPGPDTPATFQHRVKVELSEYAPLLCEASQNTARNIHAWTRWGSPFRALLLLSVGTIGLFCLTGLLMFMIFFVAATVNAIVISLVISLVVAGGFLVLLFACLIAIYLGALFAAILVISTATISAIITASIAAGWIGFFFTVGLLTKKSIYLAKSSLSTTASAFSSRSSTQHTT >itb08g02730.t1 pep chromosome:ASM357664v1:8:2330061:2332056:1 gene:itb08g02730 transcript:itb08g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARIFGKGCRILMAAAKSSAASSSAATTAPKGRGRPNGILKPIKVSPALEKFLGAPEASRTDAVKKVWEYIKAHNLQNPANKKEINCDEKLKTIFSGKDKVGFLEIAKLLTQHFPKAT >itb14g00740.t1 pep chromosome:ASM357664v1:14:508429:510249:1 gene:itb14g00740 transcript:itb14g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFLKDEVQMPYWGLLLAAAVAFIFTLPISIITATTNLTPGLNIITEYIMGVIYPGRPIANVCFKTYGYMSTAQAVSFLSDFKLGHYMKIPPRSMFLVQFLGTIIAGTTNILVAWWLLHSIDNICHQDKFSNSPWTCPGSHVFYDASVIWGLVGPKRIFGPLGDYSALNWFFLGGILGPILIWLMHKSFPSQTWIALINLPVLLGATSQMPPATSLNYTSWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVFLYFSVGLENKNVSWWGTNDPEHCDLATCPTAKGISVEGCPTF >itb15g23820.t1 pep chromosome:ASM357664v1:15:26693971:26696379:1 gene:itb15g23820 transcript:itb15g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIIMNFIYLALFVCWFILVRAEWKKKAKSRGKVLPPGPWKLPIIGNLLHLSSSSLPPHRALVDLAKRYGSSSGLMHLKLGEISTVVVSSREMAKEFLRTQDVVFASRAELMATKILLYNRTDFTFSPYGDHWRQMRKICMMELFNTKRVRSFSSIREDEIHNLLTHIRSSLGKPVNVTKRISLITSSLICRAALGRVFSGRDELIELFEEMFNLLGAFEFADVFPSLKFLHGLCGNKNRLLEVHRKVDPILDNIIKEHEKNLESGELLGGEGETEDIIYALIKLKRNGGYQLPITHDTIKGIILDIFIGATETSSSTVVWAMSEMMKNPGVLAKAQAEVRGKEKLEENDSIVDQLPYLKLVVKETLRCHIPGPFLIPRECREETVVGGYTIPVKARVLINAWAMARDPKYWENPDSFIPERFDNNSIDFMGNHFEFLPFGSGRRMCPGLALGLVNTVAPLAHMLYHFDWNFIPGITADTLDMTEMVGIAASKSKDLFLIPTPVVN >itb10g20430.t5 pep chromosome:ASM357664v1:10:25998842:26003555:1 gene:itb10g20430 transcript:itb10g20430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTLGDLCIHDGKLLGGAYYQNSVGVWVADISLIGPFAACVNPERNSDLELKDHPKECNLEGLGSNSSNSSPCCTSTDNDCTEIKNIYVDSEIPVTTKKLGSPLAPKAIHPSDSKDSDLSTLNQNSDVGLHSKTNEAVKNKSFILPNVVPRDSPQGKNSPSSRREAISFTRATAGMLPKPPRLRQSSSKKFDIEKVSTTLESEHMDNLAAAIDNKKDFNFQSRLIADDNARDSSEENDSTVKNGAERSEKNLLKSEIPYQNNVPAVQGRTRNLVERRVRLQAFDITPDTVPYSKQVKAKKSPLLLEKFDTRRRLKNDANCIPDTVSYAKPEEAKATPLPVQYYTYFYSSIYAVFSSKNINSLFKTFSILFFILFWLTHSYSNILVLIECMQITNQQTMGSAETAECDNSIIENLMQSHDILLSTFRLRLTKLQVVRHFWEKNDIRGAIGALRKLPDQSAQADILSVLTDRMEIITLDLFTALLPVLLDLLDSKVERHAHISLEMLLKLVAVFGPVVQSAVSAPPPVGVDLHAEERIECCRQCIAHFQIIQNTIPALIQRGGSLAKCAHQLNLVLQQP >itb10g20430.t2 pep chromosome:ASM357664v1:10:25995401:26003555:1 gene:itb10g20430 transcript:itb10g20430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRGYKLQEFVAHSGNVNCLRFGKKTCRSFVTGGDDQTVNLWSIGKATSTASLSGHTSPIESVAFDTAEVSVVAGASSGLIKLWDLEETKMVRALSGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHIRGISTIGFTPDGRWVVSGGSDNVVKVWDLTAGKHLHDFKLHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEVIGSSRQETAGVRSICFHPDGKTLFCGLDDSMKVYSWEPLVCHDSVDMGWSTLGDLCIHDGKLLGGAYYQNSVGVWVADISLIGPFAACVNPERNSDLELKDHPKECNLEGLGSNSSNSSPCCTSTDNDCTEIKNIYVDSEIPVTTKKLGSPLAPKAIHPSDSKDSDLSTLNQNSDVGLHSKTNEAVKNKSFILPNVVPRDSPQGKNSPSSRREAISFTRATAGMLPKPPRLRQSSSKKFDIEKVSTTLESEHMDNLAAAIDNKKDFNFQSRLIADDNARDSSEENDSTVKNGAERSEKNLLKSEIPYQNNVPAVQGRTRNLVERRVRLQAFDITPDTVPYSKQVKAKKSPLLLEKFDTRRRLKNDANCIPDTVSYAKPEEAKATPLPITNQQTMGSAETAECDNSIIENLMQSHDILLSTFRLRLTKLQVVRHFWEKNDIRGAIGALRKLPDQSAQADILSVLTDRMEIITLDLFTALLPVLLDLLDSKVERHAHISLEMLLKLVAVFGPVVQSAVSAPPPVGVDLHAEERIECCRQCIAHFQIIQNTIPALIQRGGSLAKCAHQLNLVLQQP >itb10g20430.t1 pep chromosome:ASM357664v1:10:25995390:26003601:1 gene:itb10g20430 transcript:itb10g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRNENVVVRALSGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHIRGISTIGFTPDGRWVVSGGSDNVVKVWDLTAGKHLHDFKLHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEVIGSSRQETAGVRSICFHPDGKTLFCGLDDSMKVYSWEPLVCHDSVDMGWSTLGDLCIHDGKLLGGAYYQNSVGVWVADISLIGPFAACVNPERNSDLELKDHPKECNLEGLGSNSSNSSPCCTSTDNDCTEIKNIYVDSEIPVTTKKLGSPLAPKAIHPSDSKDSDLSTLNQNSDVGLHSKTNEAVKNKSFILPNVVPRDSPQGKNSPSSRREAISFTRATAGMLPKPPRLRQSSSKKFDIEKVSTTLESEHMDNLAAAIDNKKDFNFQSRLIADDNARDSSEENDSTVKNGAERSEKNLLKSEIPYQNNVPAVQGRTRNLVERRVRLQAFDITPDTVPYSKQVKAKKSPLLLEKFDTRRRLKNDANCIPDTVSYAKPEEAKATPLPITNQQTMGSAETAECDNSIIENLMQSHDILLSTFRLRLTKLQVVRHFWEKNDIRGAIGALRKLPDQSAQADILSVLTDRMEIITLDLFTALLPVLLDLLDSKVERHAHISLEMLLKLVAVFGPVVQSAVSAPPPVGVDLHAEERIECCRQCIAHFQIIQNTIPALIQRGGSLAKCAHQLNLVLQQP >itb10g20430.t3 pep chromosome:ASM357664v1:10:25995401:26003555:1 gene:itb10g20430 transcript:itb10g20430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRGYKLQEFVAHSGNVNCLRFGKKTCRSFVTGGDDQTVNLWSIGKATSTASLSGHTSPIESVAFDTAEVSVVAGASSGLIKLWDLEETKMVRALSGHRSYCTAVEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHIRGISTIGFTPDGRWVVSGGSDNVVKVWDLTAGKHLHDFKLHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEVIGSSRQETAGVRSICFHPDGKTLFCGLDDSMKVYSWEPLVCHDSVDMGWSTLGDLCIHDGKLLGGAYYQNSVGVWVADISLIGPFAACVNPERNSDLELKDHPKECNLEGLGSNSSNSSPCCTSTDNDCTEIKNIYVDSEIPVTTKKLGSPLAPKAIHPSDSKDSDLSTLNQNSDVGLHSKTNEAVKNKSFILPNVVPRDSPQGKNSPSSRREAISFTRATAGMLPKPPRLRQSSSKKFDIEKVSTTLESEHMDNLAAAIDNKKDFNFQSRLIADDNARDSSEENDSTVKNGAERSEKNLLKSEIPYQNNVPAVQGRTRNLVERRVRLQAFDITPDTVPYSKQVKAKKSPLLLEKFDTRRRLKNDANCIPDTVSYAKPEEAKATPLPVQYYTYFYSSIYAVFSSKNINSLFKTFSILFFILFWLTHSYSNILVLIECMQITNQQTMGSAETAECDNSIIENLMQSHDILLSTFRLRLTKLQVVRHFWEKNDIRGAIGALRKLPDQSAQADILSVLTDRMEIITLDLFTALLPVLLDLLDSKVERHAHISLEMLLKLVAVFGPVVQSAVSAPPPVGVDLHAEERIECCRQCIAHFQIIQNTIPALIQRGGSLAKCAHQLNLVLQQP >itb10g20430.t4 pep chromosome:ASM357664v1:10:25998842:26003555:1 gene:itb10g20430 transcript:itb10g20430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTLGDLCIHDGKLLGGAYYQNSVGVWVADISLIGPFAACVNPERNSDLELKDHPKECNLEGLGSNSSNSSPCCTSTDNDCTEIKNIYVDSEIPVTTKKLGSPLAPKAIHPSDSKDSDLSTLNQNSDVGLHSKTNEAVKNKSFILPNVVPRDSPQGKNSPSSRREAISFTRATAGMLPKPPRLRQSSSKKFDIEKVSTTLESEHMDNLAAAIDNKKDFNFQSRLIADDNARDSSEENDSTVKNGAERSEKNLLKSEIPYQNNVPAVQGRTRNLVERRVRLQAFDITPDTVPYSKQVKAKKSPLLLEKFDTRRRLKNDANCIPDTVSYAKPEEAKATPLPITNQQTMGSAETAECDNSIIENLMQSHDILLSTFRLRLTKLQVVRHFWEKNDIRGAIGALRKLPDQSAQADILSVLTDRMEIITLDLFTALLPVLLDLLDSKVERHAHISLEMLLKLVAVFGPVVQSAVSAPPPVGVDLHAEERIECCRQCIAHFQIIQNTIPALIQRGGSLAKCAHQLNLVLQQP >itb07g20670.t1 pep chromosome:ASM357664v1:7:25042929:25045734:1 gene:itb07g20670 transcript:itb07g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQARYVGTGHADLTRFEWAVNIQRDSFASYVGHYPMLAYFAIAENESIGRERYNFMQKMLAPCGLPPEREDD >itb07g20670.t2 pep chromosome:ASM357664v1:7:25042929:25045734:1 gene:itb07g20670 transcript:itb07g20670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQARYVGTGHADLTRFEWAVNIQRDSFASYVGHYPMLAYFAIAENESIGRERYNFMQKMLAPCGLPPEREDD >itb13g15310.t1 pep chromosome:ASM357664v1:13:22094024:22096464:-1 gene:itb13g15310 transcript:itb13g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRIFGEACRVLMAAAKSSTSSTSPATAAAPKKGILKSVPVSPALGKFLGASEASRTDAVKKVWEHIKAHNLQNPTNKKEINCDEKLKAIFNGRDKVGFLEIAKLLSQHFQKAA >itb04g20050.t1 pep chromosome:ASM357664v1:4:24486664:24487416:-1 gene:itb04g20050 transcript:itb04g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRSAPLPKSFFERGTTADKSPPPRCSATTKLSAHQRRCGSMLPIFDVVQAAVLRRTVKTGEENTGAASRTGLEGPPGRRRRSIVTPARRCDLREPSPSSSAVASMREGK >itb01g19170.t1 pep chromosome:ASM357664v1:1:25160422:25161648:-1 gene:itb01g19170 transcript:itb01g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATALYSPSSSMCCFSSCSSDHLSNSNLLSSKPKTLLHKHPLYQPTQKNISHQFKEKILSLEIMGVDSGRALSQNPNLHSAPLNSIHSIILFLQSKGIHQKDLGRIIGMCPNILTSDIKSDLIPVFTFLSSDLKVPDHDFRKVINKCPRLLISSVPDQLKPALFYLQRLGFRDLYSLAYQDPILLVSSVEKTLIPKLNYLVSLGFSRTDAVGMVLRCPGLFTFSIDNNFKPKFHYFATEMEGKLEELKEFPQYFTFSLENRIKPRHMEAVRRGVYVPLPFLLKTSDEEFWELIKLQGDGRRTP >itb04g10150.t1 pep chromosome:ASM357664v1:4:9408136:9427085:-1 gene:itb04g10150 transcript:itb04g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVGEIQFTKQPYIEDVGPRKIKSIQFSTLSGSEIMNSAEVEVFRGVYYDASKNPIPNGLLDPRLGPPNKTKTCQTCNGDFKQCPGHFGYLNLALPVYNVGYLTMIVDILKCICKGCSRILLDEKERQDFLKKMRNPKLEHLKKNELLKRIVKRCTAMASGHKAVAWLQGIYYCTPELDPKTVHSLFKNMIDEDCELLYLSDRPENLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANASLRQETSETSILTRSMASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVGIPILVARILTYPERVSQHNIEKLRQLVRNGPNKYPGAKFIRQPDGTEISLMYSSRKRHADELKFGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYDRASFSHMCSYMGDAMDSIDLPTPALIKPVELWTGKQLFNVLLRPHNKMRVYVNLTVREDTYHRKKDLETMCPNDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNAHGAEMCMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKRMEIEKGYGMCETYIASYNKGELELKPGCDAAQTLEAHITNELNDIREKTGQVCTGALPWRNSPLIMSQCGSKGSVINISQMIACVGQQSVGGRRAPNGFIDRSLPHFPRESKLPAAKGFVASSFYEGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSVLYDKTVRNASSCIVQFVYGDDGMDPSQMEGKESHPLNFNRLFMKVKATCPPGEDKGLSPSEILQVVNKRLSEHDMTPSGGCSDAFSKSLKEFLQNESITSLERTRRNLKLDAEHNAADNCKALENIALNISGVTHKQLQVFLETCISRYHAKKVESGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITLGVPRIKEIINAAKKISTPIISAPLTFDDNPAVARIVKGRIEKTLLGQVAKSIKIVMGSRSASIEIVLDMETIQASFLCIDAYTVKESILQTPRMKLKEHNIMVLNPRKLEVIPQVDRSKILFELQHLKDKLPTIVVKGISTVERAVINNENGKYNLLVEGTGLQAVMGTAGVDGFNTTSNHIMEVNQVLGIEAARSSIVKEIQYTMSSHKMRIDLRHMMLLADLMTFKGEVLGITRFGVQKMKDSVLTLASFEKTADHLFNAAVSGRDDKIEGVSECIIMGIPMQMGTGMFKVSQSTIHDVELNYRPDAMMS >itb15g06970.t2 pep chromosome:ASM357664v1:15:4708853:4710930:1 gene:itb15g06970 transcript:itb15g06970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSVYKPSGALLPAGLGLGSPVSPVSNLAFPAGRKVGFSISAVSETADAPLTGVVFQPFQEVKNDAFMVPITPQVSLARQKYSDECEAAINEQINVEYSASYAYHSMYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQNIRGGKVKLHSILSPLSEFEHIEKGDALYAMELALSLEKLVNEKLLNLHAVAQRNNDPQMTDFVESEFLEEQVEAIKKISEYVTQLRRVGKGHGKRHTFLLLISLMIDPIFIKLFNLFVNL >itb15g06970.t3 pep chromosome:ASM357664v1:15:4708816:4710930:1 gene:itb15g06970 transcript:itb15g06970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSVYKPSGALLPAGLGLGSPVSPVSNLAFPAGRKVGFSISAVSETADAPLTGVVFQPFQEVKNDAFMVPITPQVSLARQKYSDECEAAINEQINVEYSASYAYHSMYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQNIRGGKVKLHSILSPLSEFEHIEKGDALYAMELALSLEKLVNEKLLNLHAVSAIYSNFIWPITVMEKF >itb15g06970.t1 pep chromosome:ASM357664v1:15:4708297:4710930:1 gene:itb15g06970 transcript:itb15g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSVYKPSGALLPAGLGLGSPVSPVSNLAFPAGRKVGFSISAVSETADAPLTGVVFQPFQEVKNDAFMVPITPQVSLARQKYSDECEAAINEQINVEYSASYAYHSMYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQNIRGGKVKLHSILSPLSEFEHIEKGDALYAMELALSLEKLVNEKLLNLHAVAQRNNDPQMTDFVESEFLEEQVEAIKKISEYVTQLRRVGKGHGVWHFDQMLLHEDGNGVA >itb15g06970.t4 pep chromosome:ASM357664v1:15:4708816:4709898:1 gene:itb15g06970 transcript:itb15g06970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSVYKPSGALLPAGLGLGSPVSPVSNLAFPAGRKVGFSISAVSETADAPLTGVVFQPFQEVKNDAFMVPITPQVSLARQKYSDECEAAINEQINVEYSASYAYHSMYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMKYQVRLLSNLLLYAAIHVRMNFWFLKDIN >itb12g14680.t1 pep chromosome:ASM357664v1:12:14752713:14758360:-1 gene:itb12g14680 transcript:itb12g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGGNGRPSLLVATPAPPLPSQPPSPIEGSVITGQRTRHRWRPPLQPPSPAIEASFVLVLTNRSQLGSPASPPPSRLHRPSSVLPENQLRRRNRDRIPSPELHCRILILERR >itb14g00340.t1 pep chromosome:ASM357664v1:14:224090:224815:-1 gene:itb14g00340 transcript:itb14g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPSPWLIIGAFLLISHLLLNSTMARPITMEKLKPNHPLNHKYSATVILEPAMPNHPLKYDSAAMLLQPTMPNRRLKYDYAAMSVQPTMPNHPLKYDYAAMSVQPTMPNHPLKYDSAAMLLPNHPLKYDSAAMLSEPTMPNHPLKYDYAAMSVQPTMPNHPLKYDSAAMLSEPTMPNHPLKYDYAAMSVQPTMPNHPLKYDSAAMLSEPTMPNHPLKHESSTISSEPVQTPAGSPKE >itb03g29870.t1 pep chromosome:ASM357664v1:3:31156619:31161350:-1 gene:itb03g29870 transcript:itb03g29870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDVPCCETMFWVYLVICVALVAFAGLMSGLTLGLMSLSLVDLEVLIKAGEPADRKNAEKILPIVKNQHLLLCTLLICNALAMEIIPQAICSRYGLSIGARLSPLVRLLLIVVFPISYPISKLLDFLLGKGHSALLRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALDLTQKTAKDAMTPLSRVFSLDLYSKLNEIRENLPLYDILNQFQKGDSHMAVVVKSNGAENAAPKSDMLKINVHSMQERGFERARNEKIVMSEILGNSLNSSDDVLGIITMEDVLEELLQFTKKVSRSFSPPSNSHIALADFAICAVAVSYAAPFFLPSSMLHRNFPTTPFYHLYSLPSGV >itb13g11890.t3 pep chromosome:ASM357664v1:13:17243784:17246965:-1 gene:itb13g11890 transcript:itb13g11890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLCKKLRFLQAFLEDSQKKNINCPAWRGLETEIRDVAAEAESKIELELYQLYNEEDAPVEPYQSLHQTLQQVTRDIESLEGRIIQIQIESNRNHSVEPPRRNAAIENIKADCSSKRSSEPNNVMVGCDDEFETIKHKLISDSKNLEVISITGMGGIGKTTLAQRVYKDEAAIASYFDIRAWTTVSQEHNLREILCDLLGSNDTNLDVSHLESKLRQKLLGQRYLIVIDDIWSIQAWDAIHRCFPEEDFRSRILLTTQLKQVANYVSSGNNLYSMRLLNLDESWDLFYKRVFVEKRFPLEFEKVGRCIVEKCQGLPLTIIVVAGLLSSSNKPSLNQWENVVANLDPLLNTDPEMKCSKILSLSYNHLPLHLKTCFLYFGIFSEDEAIKVKELIKLWIAEGFLKIELNKSMEDVAYDYLQDLVDRSLVQIDKWSCFDNKIKYCKLHDVLHSFSLREAQRAKLLFVIKGNNNVHELGLATSSSDRKACCRVVSDQLSNEPINPSRYTSHTSHELRSFQYHQGIGIYYRKFIILPNSKLLRVLDIRQYRLNDLPREIEDLVHLRYLALRIRLKTQYTIAFIDHQWCKLRCLQTIIIDGCWPCFSPNNILGMPQIRHVHFSKRTLHHFHLLKLVQENLQTLSWLSLPHRLRTEPDFKGIPNVKELGIQLMDYKDCYDMHSLSKETWDLLPPISMEGLLKLNQLENLKFKTNQWSPICDIKLQKVFPPNLKKLTFKRTYFSWEDMTIIHTLPNLEVLKLRYNAFCGPEWKATGNGFCKLKYLEVTGHSTLEHWSVDADHFPILECIFLNDCDHLVEFPTGFGEINTLQLIHLKHCSSSLVTSAKNIQEDRRDFGDDKLVLRVFYTLPEPKEFIERNSFS >itb13g11890.t1 pep chromosome:ASM357664v1:13:17243227:17246965:-1 gene:itb13g11890 transcript:itb13g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLCKKLRFLQAFLEDSQKKNINCPAWRGLETEIRDVAAEAESKIELELYQLYNEEDAPVEPYQSLHQTLQQVTRDIESLEGRIIQIQIESNRNHSVEPPRRNAAIENIKADCSSKRSSEPNNVMVGCDDEFETIKHKLISDSKNLEVISITGMGGIGKTTLAQRVYKDEAAIASYFDIRAWTTVSQEHNLREILCDLLGSNDTNLDVSHLESKLRQKLLGQRYLIVIDDIWSIQAWDAIHRCFPEEDFRSRILLTTQLKQVANYVSSGNNLYSMRLLNLDESWDLFYKRVFVEKRFPLEFEKVGRCIVEKCQGLPLTIIVVAGLLSSSNKPSLNQWENVVANLDPLLNTDPEMKCSKILSLSYNHLPLHLKTCFLYFGIFSEDEAIKVKELIKLWIAEGFLKIELNKSMEDVAYDYLQDLVDRSLVQIDKWSCFDNKIKYCKLHDVLHSFSLREAQRAKLLFVIKGNNNVHELGLATSSSDRKACCRVVSDQLSNEPINPSRYTSHTSHELRSFQYHQGIGIYYRKFIILPNSKLLRVLDIRQYRLNDLPREIEDLVHLRYLALRIRLKTQYTIAFIDHQWCKLRCLQTIIIDGCWPCFSPNNILGMPQIRHVHFSKRTLHHFHLLKLVQENLQTLSWLSLPHRLRTEPDFKGIPNVKELGIQLMDYKDCYDMHSLSKETWDLLPPISMEGLLKLNQLENLKFKTNQWSPICDIKLQKVFPPNLKKLTFKRTYFSWEDMTIIHTLPNLEVLKLRYNAFCGPEWKATGNGFCKLKYLEVTGHSTLEHWSVDADHFPILECIFLNDCDHLVEFPTGFGEINTLQLIHLKHCSSSLVTSAKNIQEDRRDFGDDKLVLRVFYTLPEPKVIFSNLICKLAMFLSLPCDSVCWLFSFNFILIYSLHVSSTSKNVEYSPTLSPAPTKHVGGDKSQ >itb13g11890.t2 pep chromosome:ASM357664v1:13:17243227:17246965:-1 gene:itb13g11890 transcript:itb13g11890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLCKKLRFLQAFLEDSQKKNINCPAWRGLETEIRDVAAEAESKIELELYQLYNEEDAPVEPYQSLHQTLQQVTRDIESLEGRIIQIQIESNRNHSVEPPRRNAAIENIKADCSSKRSSEPNNVMVGCDDEFETIKHKLISDSKNLEVISITGMGGIGKTTLAQRVYKDEAAIASYFDIRAWTTVSQEHNLREILCDLLGSNDTNLDVSHLESKLRQKLLGQRYLIVIDDIWSIQAWDAIHRCFPEEDFRSRILLTTQLKQVANYVSSGNNLYSMRLLNLDESWDLFYKRVFVEKRFPLEFEKVGRCIVEKCQGLPLTIIVVAGLLSSSNKPSLNQWENVVANLDPLLNTDPEMKCSKILSLSYNHLPLHLKTCFLYFGIFSEDEAIKVKELIKLWIAEGFLKIELNKSMEDVAYDYLQDLVDRSLVQIDKWSCFDNKIKYCKLHDVLHSFSLREAQRAKLLFVIKGNNNVHELGLATSSSDRKACCRVVSDQLSNEPINPSRYTSHTSHELRSFQYHQGIGIYYRKFIILPNSKLLRVLDIRQYRLNDLPREIEDLVHLRYLALRIRLKTQYTIAFIDHQWCKLRCLQTIIIDGCWPCFSPNNILGMPQIRHVHFSKRTLHHFHLLKLVQENLQTLSWLSLPHRLRTEPDFKGIPNVKELGIQLMDYKDCYDMHSLSKETWDLLPPISMEGLLKLNQLENLKFKTNQWSPICDIKLQKVFPPNLKKLTFKRTYFSWEDMTIIHTLPNLEVLKLRYNAFCGPEWKATGNGFCKLKYLEVTGHSTLEHWSVDADHFPILECIFLNDCDHLVEFPTGFGEINTLQLIHLKHCSSSLVTSAKNIQEDRRDFGDDKLVLRVFYTLPEPKEFIERNSFS >itb15g23400.t1 pep chromosome:ASM357664v1:15:26211755:26219188:-1 gene:itb15g23400 transcript:itb15g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLGIKTYMFLIIIILVEGVTRGVYLASTNQDFCADEACCGLHLTLQQVVRDVEALEVRIQIEKESRSAQDQSQSYGSSSPDVLKLENKLPAGGSTSTHHHDLEPENQMVGCDDEFRKIKNMIIGKQSSEQLEVIPVTGMGGIGKTTLAKKVYDDPDIMSKFYIRAWAVVSQEPDMKRILISLLACIVPTTNELNNKEQGQLADQLRKHLLGQRYLIVIDDIWSSSAWDAIKQCFPDNLSRSRILMTTRQKEVAEYASSSKSIHSMRFLNQDESRDLFEAKVFEGKHVHIPTKLQTIGEYIVMKCQGLPLAIVVMAGLLRALDKSVEAWGDVVKNMNSLDEIDPTNKCLGLLSLSYNYLPSHLKACFLYFGVFPEDRDILATKLINLWVAEGFLMKEKEMTKSLEEVGESYLRNLINRNLVQVSQQSFDGKIKSCKLHDMLHDISVNEARRGRLLSVRKQFQSADSCRWISCKSTNWPTSDGTHSILYFGEDVYLSKRNLIFSCMKLLRVLDLSLIKCWHGAPSEIVELVHMRYLALTTVGSLDKFELFKLRNLQTLIICSWREECCLQLPRDILDLPWLRHAYLDKRATVYLPNEIQKSIQTLFWLKVNGLAPRTTDFAMVPNLKELGVYIDNDLPPGAFDSLVHLRLLERLKLERRNVEGFYLPTALPQNLKKLTLCNTYLSWEDMDIIGRLPTLEVLKLKEFAFSGPRWEPADDDGFKGLKLFLIARSDLKCWITTDDHFPVLERLILRFCLDLEELPSEFANLNALQLIELENCYPILVESARKIQEEKQSYGIESLVIRDLGAKELLLSIIHGAMASDQQSITADRQHSNESSRESDYRQHGTLFFYAGDRFRSL >itb06g18390.t1 pep chromosome:ASM357664v1:6:22059349:22060392:-1 gene:itb06g18390 transcript:itb06g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSIRDDEIDIVVGALQSDLTSFMWEWRPIFSRFHLIIVKDPDLQEELNIPGGFNIDIYTKSDIQRVLGASSADLFSGYSCRYFGYLVSTKKYIVSIDDDCIPAKDHEGNIVDAIAQHISNLTTPATPFFFNTLYDPFRKGADFVRGYPFSLRSGVSCALSCGLWLNMADYDAPTQALKPKQRNSRYVDAVLTVPARALMPVSGINIAFNRELVGPALSPAFRLAKEGHVRWETVEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKERGNAIESLKKEWEGVKLMEEVVPFFQSLRLSPQATTVEDCVTEIAAAVKEQLGKSAPVFSRAADAMVEWVNLWNAAKTQ >itb13g24070.t1 pep chromosome:ASM357664v1:13:29813526:29816202:-1 gene:itb13g24070 transcript:itb13g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKNHFFHFLITHALYLFFIIPLLGALSAHYFFTLTALQDAILHTWHLLKLNLAPVLACTSFLVFLGKFYSKNRPRNAYLVDFACYKPKPELMCSTERYVERSRQENVFTEENLCFQKKVLERSGLGQKTYFPESIVGVPAKPCLEEARREAGMVIFACIDEVLEKTGVRAEEIGIVVVNSSLFGPTPSLSAMVVNHYKLVGSVKSYNLSGMGCSAGLVSIDLGKHLLKASPNCYALVVSTEIQTLNWYLGNDRSMLMSNCIFRLGGAAVLLSNRPSDRRRSKYQLMRTVRTHTGAHDNSYSCVWQEEDHDGRVGVALSKDLMAMAGDALKTNITTLGPLVLPMSEQLLFFLTLFARKVFKMKVKPYIPNFKLAFEHFCIHAGGKAVLNTIEKNLELSEWRMEPSRMTLYRFGNTSSSSLWYELAYIEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALKNINPAMEKNPWMDEIHEFPVTI >itb11g17380.t1 pep chromosome:ASM357664v1:11:17145670:17146479:-1 gene:itb11g17380 transcript:itb11g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLMKEAPPHSPRPSQEKKPQVSASPSHGVAPTAKGYFSNINCGICCVAGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTNSQPCTYDETKLCKLALATTVFSTISFLLVALTSVVSGFLGMKIATYATARTTLEARKGIIINYNG >itb05g17810.t1 pep chromosome:ASM357664v1:5:24716989:24719252:-1 gene:itb05g17810 transcript:itb05g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQTAATLMQPSKVGVSPRIGRSYQAVGKAFGVDPSPARITCSLQSEIKDMAHKFSDAAKLAGFALATSALLVSGANAEGVPKRLTFDEIQSKTYMEVKGSGTANQCPTIEGGVDKFAFKPGKYSAKKFCLEPTSFTVKAESVSKNAPPEFQNTKLMTRLTYTLDEIEGPFEVSSDGSVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPESFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENIKNAASSTGKITFSVTGSKPETGEVIGVFESIQPSDTDLGAKAPKDVKIQGIWYAQLE >itb06g02500.t3 pep chromosome:ASM357664v1:6:4348828:4353410:-1 gene:itb06g02500 transcript:itb06g02500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSGSRTAQRAFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLFESLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDAGDLSEDAPDDLEGLDASAAHIANLLSTEPSDVKLGVGGFSMGAAMALYSATCHALRQYGNGSPYRVNLSVIVGLSGWLPCSRTLRNRMGGLNGAGRRAASLPILICHGTGDDVVEYKHGEKSARTLVSAGFQNLTFRTYNGLGHYTAPEETDELCRWLAVNLGLDGA >itb06g02500.t2 pep chromosome:ASM357664v1:6:4348828:4353410:-1 gene:itb06g02500 transcript:itb06g02500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSGSRTAQRAFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLFESLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDAGDLSEDAPDDLEGLDASAAHIANLLSTEPSDVKLGVGGFSMGAAMALYSATCHALRQYGNGSPYRVNLSVIVGLSGWLPCSRTLRNRMGGLNGAGRRAASLPILICHGTGDDVVEYKHGEKSARTLVSAGFQNLTFRTYNGLGHYTAPEETDELCRWLAVNLGLDGA >itb06g02500.t4 pep chromosome:ASM357664v1:6:4348828:4352947:-1 gene:itb06g02500 transcript:itb06g02500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSGSRTAQRAFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLFESLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDAGDLSEDAPDDLEGLDASAAHIANLLSTEPSDVKLGVGGFSMGAAMALYSATCHALRQYGNGSPYRVNLSVIVGLSGWLPCSRTLRNRMGGLNGAGRRAASLPILICHGTGDDVVEYKHGEKSARTLVSAGFQNLTFRTYNGLGHYTAPEETDELCRWLAVNLGLDGA >itb06g02500.t1 pep chromosome:ASM357664v1:6:4348828:4353410:-1 gene:itb06g02500 transcript:itb06g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGSSGSRTAQRAFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLFESLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDAGDLSEDAPDDLEGLDASAAHIANLLSTEPSDVKLGVGGFSMGAAMALYSATCHALRQYGNGSPYRVNLSVIVGLSGWLPCSRTLRNRMGGLNGAGRRAASLPILICHGTGDDVVEYKHGEKSARTLVSAGFQNLTFRTYNGLGHYTAPEETDELCRWLAVNLGLDGA >itb04g06180.t1 pep chromosome:ASM357664v1:4:4011049:4013243:-1 gene:itb04g06180 transcript:itb04g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFRGAKAKSALELSQSNPQMSFLLAAVAPPCFAVIESAASQPRRGRNSKNTQKSKQNVKTTKPSTAVTSTTNNPPGFGGKGKNPVWQCVQNCGACCKLDKGPDFPSPEEVFDDPSDIQLYKSLVGSDGWCIHYEKSTRKCSIYAERPYFCRVEPEIFETLYGIDKKKFNKEACSCCIDTIKAVYGSSSTELDTFNQAIWNTTT >itb04g06180.t3 pep chromosome:ASM357664v1:4:4011049:4013243:-1 gene:itb04g06180 transcript:itb04g06180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFRGAKAKSALELSQSNPQMSFLLAAVAPPCFAVIESAASQPRRGRNSKNTQKSKQNVKTTKPSTAVTSTTNNPPGFGGKGKNPVWQCVQNCGACCKLDKGPDFPSPEEVFDDPSDIQLYKSLVGSDGWCIHYEKSTRKCSIYAERPYFCRVEPEIFETLYGIDKKKFNKEACSCCIDTIKAVYGSSSTELDTFNQAIWNTTT >itb04g06180.t2 pep chromosome:ASM357664v1:4:4011049:4013243:-1 gene:itb04g06180 transcript:itb04g06180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFRGAKAKSALELSQSNPQMSFLLAAVAPPCFAVIESAASQPRRGRNSKNTQKSKQNVKTTKPSTAVTSTTNNPPGFGGKGKNPVWQCVQNCGACCKLDKGPDFPSPEEVFDDPSDIQLYKSLVGSDGWCIHYEKSTRKCSIYAERPYFCRVEPEIFETLYGIDKKKFNKEACSCCIDTIKAVYGSSSTELDTFNQAIWNTTT >itb03g06600.t3 pep chromosome:ASM357664v1:3:4799157:4802589:-1 gene:itb03g06600 transcript:itb03g06600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKACWRPSSDAHVHSGSEAAGRQDGLLWYKDCGQHLIGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPFGTFVGIYDGHGGPETSRYINDHLFQNLKTGFSAEQNAMSVDVIRKAFQATEEGFLSLVAKQWPMNPQMAAVGSCCLVGIVCDGTLYIANLGDSRAVMGRLVKATGEVLAIQLSTEHNASIESIRQELHSMHPDDSQIVVLKHNVWRVKGLIQVSRSIGDLYLKKAEYNKEPLYAKFRLREPFDRPILSSEPSISVHELEPHDQFLIFASDGLWEHLSNQEAVDIVQNGTRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSSLVSRASSLRGPTLSVRGGGFTLPAKSLAPCGAAVATGLGAS >itb03g06600.t2 pep chromosome:ASM357664v1:3:4799126:4802707:-1 gene:itb03g06600 transcript:itb03g06600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKACWRPSSDAHVHSGSEAAGRQDGLLWYKDCGQHLIGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPFGTFVGIYDGHGGPETSRYINDHLFQNLKRFSAEQNAMSVDVIRKAFQATEEGFLSLVAKQWPMNPQMAAVGSCCLVGIVCDGTLYIANLGDSRAVMGRLVKATGEVLAIQLSTEHNASIESIRQELHSMHPDDSQIVVLKHNVWRVKGLIQVSRSIGDLYLKKAEYNKEPLYAKFRLREPFDRPILSSEPSISVHELEPHDQFLIFASDGLWEHLSNQEAVDIVQNGTRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSSLVSRASSLRGPTLSVRGGGFTLPAKSLAPCGAAVATGLGAS >itb03g06600.t1 pep chromosome:ASM357664v1:3:4799126:4802707:-1 gene:itb03g06600 transcript:itb03g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKACWRPSSDAHVHSGSEAAGRQDGLLWYKDCGQHLIGDFSMAVVQANNLLEDQSQIESGSLSLLDSGPFGTFVGIYDGHGGPETSRYINDHLFQNLKRFSAEQNAMSVDVIRKAFQATEEGFLSLVAKQWPMNPQMAAVGSCCLVGIVCDGTLYIANLGDSRAVMGRLVKATGEVLAIQLSTEHNASIESIRQELHSMHPDDSQIVVLKHNVWRVKGLIQVSRSIGDLYLKKAEYNKEPLYAKFRLREPFDRPILSSEPSISVHELEPHDQFLIFASDGLWEHLSNQEAVDIVQNGTRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSSLVSRASSLRGPTLSVRGGGFTLPAKSLAPCGAAVATGLGAS >itb15g21090.t1 pep chromosome:ASM357664v1:15:23800646:23803198:1 gene:itb15g21090 transcript:itb15g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATPSLGLLSVFLKTPAKPPYHSSSRRRSLISSVVSSSTSSAVPKAPPKEKFVSRFAPDVPRKGCDVLVEAEALQLFAYPIREEPPWRFINHSLALRSSEMSSPVTSNTGALPAFPNLKELVLVCCEEFGSSGLATVACECSRKLRVVECDVKDDEMDWISCFPETKTCVESLMFDCEVNFQALEQLVMRSPCLKKLRLNGHVSIVQLMVGAPQLTNLGTGASEEEAELELDYTCAFAACKSLVVCLSEFREILAHYLPAIYPVCTNLTSLNFISAEQLKLQI >itb03g02780.t1 pep chromosome:ASM357664v1:3:1600624:1601683:-1 gene:itb03g02780 transcript:itb03g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILSLSVIIALALCHGCAQGAPPTAAAPPPSAQEFLEAHNQARAEVGVAALNWSPMLATAASLEVRYQRDKKNCGFADTSSGKYGGNQMLAGGMAVPPRMAVENWVEEKSFYDYANNSCASDHTCGSYTQVVWKKSLELGCAQATCTKDQVTLTICFYNPPGNIIGERPY >itb05g24060.t1 pep chromosome:ASM357664v1:5:29004093:29005979:1 gene:itb05g24060 transcript:itb05g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVCKSCGARGKFIVTDHDTGNSVCTSCGVVQDFDNFQAHIGGINGPVGTYVRVGTVGSGSFYSYKETKIYEAQKVIEDLMYRLGFSATKSSEVKHMVEKITEGEYGQGRWFSVLVGACSYTVMRQDLKVLPMEEVANLVGCDVYEMGRMIKRVVDFLDLRLPEFDIVNSFEQAIRSCPSFREVPEDMISRMLKQGVFLLQCLVNWFVTTGRRPMPVVAAVLVFVAELNQIAIKMGDVASELHLALKTCKLRYKELLERLVKVAQALPWGKDVNMKNIMRNAPSVIQYMELKSMSNCNDKGNGSECAVVHDLNYLLGDCLSKESLYGYAVYGSENDSQYFNGENSRALCIDAPERLQLCQESLSMIYSELKNEVSIAKESSENGYTSTRKRREYDILTFTDWWKGESELSRKLLLKQILEKDTGLNATPPSFDRGCLAYERRREKIYAAKLRIQRTMDPSAAAVVADDDKNDVSVLDMGKKRKRKMQFDIDWEDFIIETLLLHQVREEEIEKGHYNALLGLHVFNGGS >itb13g22550.t1 pep chromosome:ASM357664v1:13:28835349:28839509:-1 gene:itb13g22550 transcript:itb13g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGKENDGGEIEIEEIAEDFQCCVCLELLYKPIVLGCGHIACFWCVHYSMDSWKGSHCPICRRQYHHFPSICRLMHFLLKELYPIAYKRREMQMTEEEKELEIISPQLEDSASEYCSKELSAKDCSSSHSTMASQRSDSALVGESSSLVHASSHISTTVSSCKPTADATKNSNDGDEVKAEFAKREFVTNLNCRICEQLLCRPVVLNCGHVYCEGCIVNQCDKLCRCPACQMEHPNGYPNVFLVLDHFLEGKFPEYASRKNTYLNKSDSQCKSSSTGPVRTKAGQSSEVHEYGHGAFVPNIHGQGSKIHYGAGCDYCGMLPIIGDRYRCKDCKEKIGFDLCGACYNSSSKLPGKFNQQHRPEHNFELIQAPQTSWIRMYGQQFVQFVYEDSSEHGSAPDDPEDSAPSPISLNDNGEERSSQ >itb09g00280.t1 pep chromosome:ASM357664v1:9:276198:277998:-1 gene:itb09g00280 transcript:itb09g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSIRRRQEVDDEDGIWKYVFGFTEMAVVKCAIELGIPDFLESQQQAVTLDQLSSALGCSPSALYRIMRFLINRGIFKEVEITPSTEAALASTTPSAPTSGYVQTPLSALLTRDGEKSMAAFVRLESSPVMLAPWLKLSGRVLLSSSSGDNNLHNCSSSDAFEAANGKDVWRYAESDPAHSKLIEDAMACHARMAVPAIIQACPDLLLLHGEVVVVDVGGGDGTTVEMLVKAFPGVKGINFDLPHVVSVAPKRPGVHHVGGNMFQRIPKADAVFLMWVLHDWGDEECIQILSKCREAIPEETGKVIIAEAILTEIINGYELGTIPRMKNNTNTKNSKLQEKDHNNNNSYEVGLMLDMVMMAHTGNGLERTEKQWSHVLTAAGFKSYTVTPTSDVVSIIQAWP >itb07g06650.t1 pep chromosome:ASM357664v1:7:4778123:4781050:1 gene:itb07g06650 transcript:itb07g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVICRPKPLAALLSHVATAAPPLLRLLHTLHSRPYSTSSSALPQLDPDYYYHHHYDDQDRYSPPSLHRDRPPPPAAVNPDTQEWVPLRGVQLVFIGFKTKHLYAERLSKLLEVPHISISSILRQDLSPRSSLYKQIADAMSRRTIVPEEIIFGLLSKRLEDGYHRGETGFILDGIPRTLPQAEILDKLVDIDLVMNFKYAEDDVMDICTNGILSTRHRNSVDLGTLATKLGSATSKEEFAWREKLHMYANQIKPVEEHYKKQKKLLDIRVSSVPGETWQGLLASLHLQHMNVHPRFPPGPNEP >itb07g12770.t1 pep chromosome:ASM357664v1:7:14776252:14779370:1 gene:itb07g12770 transcript:itb07g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKKIADVAFKAGRTIDWEGMAKLLVSDEARKEFATLRRAFDEVNSQLQTKFSQEPEPIDWEYYRKGLGSRLVDMYKEAYESIEIPKYVDKVTPEYKPKFDALLVELKDAEKQSLKESERLEKEIADVQELKKKLSTMTAEEYFAKHPELKKKFDDEIRNDYWGY >itb03g13910.t1 pep chromosome:ASM357664v1:3:13857015:13859737:1 gene:itb03g13910 transcript:itb03g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFIFISLLIISFLLPQSAYSNEEAAVEGGGDIDWWCAATPHPETCKFYMAHAGYNNVRPKCRSDFRTMTVGVALERAIHAQNTAKSHGSNCRGKRKKRVWMDCDKLIANTVLQLNRTLDGTFCADVDAQTWLSTALTNIETCRAGAVELNVSGVIEPIVSSNVSELISNCLAVNGVLIDEHNSTATDDDEFPIWISAGERRLLQSSSVASQAKYVVSKKGRGDFRSIQAAINQATSRRRGNERIVIYIKRGVYRENVIISSKMSGIMLVGDGLRYTIITGARSVGGGYTTYSSATFGVDGPGFIARGITFRNTAGPGKGQAVALRSASDLSVFYSCGFEGYQDTLFVLAQRQFYKSCYIYGTIDFIFGNAAAVFQNCLIYVRKPLWGQINVVTAQGRGDPFQNTGISIHNSQIRAAPDLEPVARFYQTYLGRPWQQYSQTVVLKSYIDRLVNPQGWLRWQNSDFALSTLYYGEYRNFGPGASTRLRVKWAGYHVITSRNVASRFTVSSLIAGRTWLPSTGVPFTAGL >itb13g02470.t2 pep chromosome:ASM357664v1:13:2366175:2369602:-1 gene:itb13g02470 transcript:itb13g02470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYMMLYSSFSAWITQFLACMGGCFGCCGKSQPIIAVDEPSKGLRIQGRLVKKPSISEDFWSTSTYEMENSTIQSQRSLSSISVSNQVLSQNIGTGSTSNHSEFVNHGYLLWNQTRLQWLGSKKPENQRQVREPVLNWNATYENLLGTNKRFPHPIPLSVSSLCNQSHLHMLTYLSIYLFGFHDKHQHERTNKNATTTTGNG >itb13g02470.t3 pep chromosome:ASM357664v1:13:2365662:2369527:-1 gene:itb13g02470 transcript:itb13g02470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYMMLYSSFSAWITQFLACMGGCFGCCGKSQPIIAVDEPSKGLRIQGRLVKKPSISEDFWSTSTYEMENSTIQSQRSLSSISVSNQVLSQNIGTGSTSNHSEFVNHGYLLWNQTRLQWLGSKKPENQRQVREPVLNWNATYENLLGTNKRFPHPIPLSEMVDFLVDIWEQEGLYD >itb13g02470.t4 pep chromosome:ASM357664v1:13:2366175:2369527:-1 gene:itb13g02470 transcript:itb13g02470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYMMLYSSFSAWITQFLACMGGCFGCCGKSQPIIAVDEPSKGLRIQGRLVKKPSISEDFWSTSTYEMENSTIQSQRSLSSISVSNQVLSQNIGTGSTSNHSEFVNHGYLLWNQTRLQWLGSKKPENQRQVREPVLNWNATYENLLGTNKRFPHPIPLSVSSLCNQSHLHMLTYLSIYLFGFHDKHQHERTNKNATTTTGNG >itb13g02470.t1 pep chromosome:ASM357664v1:13:2365662:2369602:-1 gene:itb13g02470 transcript:itb13g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYMMLYSSFSAWITQFLACMGGCFGCCGKSQPIIAVDEPSKGLRIQGRLVKKPSISEDFWSTSTYEMENSTIQSQRSLSSISVSNQVLSQNIGTGSTSNHSEFVNHGYLLWNQTRLQWLGSKKPENQRQVREPVLNWNATYENLLGTNKRFPHPIPLSEMVDFLVDIWEQEGLYD >itb04g13050.t1 pep chromosome:ASM357664v1:4:13060119:13062174:1 gene:itb04g13050 transcript:itb04g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTKHGHSNLFKLFFSALPFSSKPLSSKTPTLIRKATGPALAPSPSNALVISPTDVRAVSSDADSICLLLSNTSTEKCSIGELLTDFKHRINSDVVLRVLMNYRQLGRVKTLEFFSWAGMQLGFGFDDQVVDYMADFLGRRKLFDDLKCLLISLSSNNGRVSSRAVSICIRFLGRQGRVEEALCLFREMESKLNCKPDNLVFNNILYVLCKKESSGCFLDVAMMIFRSIESPDMYSYSNVLVALCKFGQLETALGLFHEMCRTQLAPTRTAVNALIGEFCSLSSKEGAIQKVKVKDNRRPVTILVPNVCRHNGAIDPAIKVFWSVHDLGVLPSVFVINKLVSEACRHGRMKEALDMLKVIEERRLGCLEGCYTIVVKGLCEHRLVDEISQLFGKMLSSGLKPKLEVYNSIISMLCKLGRLSDAERVFKIMDKNRCLPDSITYTMLIHSYGEAQNWEASYSLLMEMLRMGICPHFHTYSLVDKLLKENERVDLSKKLEERMEMQILQKLCKDGELEAAYTKLNSMIEKGIHLPVYVKDAFESAFQKSGKLAIARKLLERMEMN >itb12g08180.t1 pep chromosome:ASM357664v1:12:6332256:6335358:-1 gene:itb12g08180 transcript:itb12g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLDQWHKTRYSCPSGNWLNHGGDIYNRRYGCGENKISPSTVSKLRLKWEFYAGKDISATPAILNGVVYFPSWNGYLYAVNGSDGSLVWERNLQKLTGLNATLRTANVTAIVSRSTPTIAGHGETLIIGIYGPAVVIGVNRATGDLLWMTLLDSHPAGVVTMSGTYYNGAFYVGTSSLEEGSSIAKCCIFRGRFAKLDVRTGHVLWQTFMLPDNKGKTGEYAGAAIWGSSPSIDAYRGHVYIATGNLYSAPKHVRKCQEKQNNNKTTPTSSDKCVEADNHSESILALDLESGEIKWFRQLGGYDVFFIACLNSSSSPNCPPGPNPDADFGEAPMMLSVVVNGSRRDIVVAVQKSGFAWALDRDNGQIVWYTEAGPGGLAGGGTWGAATDNERVYTSITNSNRLKFRLLPSKNVTIGGGWVAMDAQTGKILWSTAVPDTALSNPVTVANGVLFAGSVHPRGPIFAIDAKTGEILWSQYTGASVYGGMSVSNGCVYVGNGYRVSIGAGIPIFTGGTSLFAFCLE >itb07g22190.t3 pep chromosome:ASM357664v1:7:26664269:26670496:-1 gene:itb07g22190 transcript:itb07g22190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHEKGVLPGYYSMRDLNEDSTSSNWPLIYGDRAVANGQYCNGFTSRSVVDACSGYNKDAVKQKMLEHEAIFKNQVSELHRLYRIQRDMMEEVKKKELNKNRMSLEPSSSSSILGSQVPYEDAHKWHVTGFPLTNSGYARPCTSSTEMGNSLLSCTQGSDAQSGRVQLQNGCSSKNCEAFEARPSKVRKKLFDLQFSADQYIDTEEGKQLQDSKESIFPSYPASGNFTIARESSMKSFLGGGEKTDSQKDASMSHLRLRSVNGLADLNEPPQLEEATIPPPVDFLGHCASYKEPETRNVTAKPNPGFLAPPEMMWNTNHGTSNGPPSSPFMESKGKERDWLSYKYEAGHTKSSVSPLPQCLEQNKLLTPFHPVEARLGKAHQNPGIHHAHSTRDDLWRARAGCGLETLDKSRENSNCSRLEPGATSLLPHPHHLGNSSEISNSWSCSVSNCGGRPTSSLTQKLTSLHTSASLNSSTDVSRNSHRYSHSHETIGDKWHANGSSIVNPGGLGSELPVQNGFYHGSSSGLREPTVRFPSVFFNTPNDNKGYKVLSGCSTNHQHGKFLVGSNLTGPKSAKDFDLNVADDVTTKQDEEFVDDKRKLEDSVAKFPWLKSKPVQKNEGKVPNSDSEFIRSSSTPFCKGDMSKNLNEILIQNAASTLSDIGAKEVSGTQNVRKILGVPIENRCASKNESPVSNSATIPSLPEGENIRNDKKNIVIDINVAFDASIAESEDQAARELHAADSMDSKATGIRNHFDLNSCITEDEDLLGPCVVSNNVKARTVLEIDLEAPVVLDNEENNVPEEEHKRNEASLQLPEQKVEQAQDEVARIAAESIVAISSVVDGTRLNPSEDPLTESLRWFVNAVSSGINGVEGKSSKEIVSKNGAPNDNSCSEEIDYFEAMTLQLTETKEEDYMPKPFVPEFQNVEDSAVTSVSNRTRKGPGRRGRQRRDFQRDILPGLTSLSRHEVTEDLQTFGGLMRATGHPWTSGLTRRNGTRNGGGRGRRRRAAAIIDSEPALVSTPPTTSLMHQHNTIESSLEDRSLTGWGKTTRRPRRQRCPATGNLSAIPLS >itb07g22190.t4 pep chromosome:ASM357664v1:7:26664302:26668570:-1 gene:itb07g22190 transcript:itb07g22190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHEKGVLPGYYSMRDLNEDSTSSNWPLIYGDRAVANGQYCNGFTSRSVVDACSGYNKDAVKQKMLEHEAIFKNQVSELHRLYRIQRDMMEEVKKKELNKNRMSLEPSSSSSILGSQVPYEDAHKWHVTGFPLTNSGYARPCTSSTEMGNSLLSCTQGSDAQSGRVQLQNGCSSKNCEAFEARPSKVRKKLFDLQFSADQYIDTEEGKQLQDSKESIFPSYPASGNFTIARESSMKSFLGGGEKTDSQKDASMSHLRLRSVNGLADLNEPPQLEEATIPPPVDFLGHCASYKEPETRNVTAKPNPGFLAPPEMMWNTNHGTSNGPPSSPFMESKGKERDWLSYKYEAGHTKSSVSPLPQCLEQNKLLTPFHPVEARLGKAHQNPGIHHAHSTRDDLWRARAGCGLETLDKSRENSNCSRLEPGATSLLPHPHHLGNSSEISNSWSCSVSNCGGRPTSSLTQKLTSLHTSASLNSSTDVSRNSHRYSHSHETIGDKWHANGSSIVNPGGLGSELPVQNGFYHGSSSGLREPTVRFPSVFFNTPNDNKGYKVLSGCSTNHQHGKFLVGSNLTGPKSAKDFDLNVADDVTTKQDEEFVDDKRKLEDSVAKFPWLKSKPVQKNEGKVPNSDSEFIRSSSTPFCKGDMSKNLNEILIQNAASTLSDIGAKEVSGTQNVRKILGVPIENRCASKNESPVSNSATIPSLPEGENIRNDKKNIVIDINVAFDASIAESEDQAARELHAADSMDSKATGIRNHFDLNSCITEDEDLLGPCVVSNNVKARTVLEIDLEAPVVLDNEENNVPEEEHKRNEASLQLPEQKVEQAQDEVARIAAESIVAISSVVDGTRLNPSEDPLTESLRWFVNAVSSGINGVEGKSSKEIVSKNGAPNDNSCSEEIDYFEAMTLQLTETKEEDYMPKPFVPEFQNVEDSAVTSVSNRTRKGPGRRGRQRRDFQRDILPGLTSLSRHEVTEDLQTFGGLMRATGHPWTSGLTRRNGTRNGGGRGRRRRAAAIIDSEPALVSTPPTTSLMHQHNTIESSLEDRSLTGWGKTTRRPRRQRCPATGNLSAIPLS >itb07g22190.t1 pep chromosome:ASM357664v1:7:26664269:26670598:-1 gene:itb07g22190 transcript:itb07g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHEKGVLPGYYSMRDLNEDSTSSNWPLIYGDRAVANGQYCNGFTSRSVVDACSGYNKDAVKQKMLEHEAIFKNQVSELHRLYRIQRDMMEEVKKKELNKNRMSLEPSSSSSILGSQVPYEDAHKWHVTGFPLTNSGYARPCTSSTEMGNSLLSCTQGSDAQSGRVQLQNGCSSKNCEAFEARPSKVRKKLFDLQFSADQYIDTEEGKQLQDSKESIFPSYPASGNFTIARESSMKSFLGGGEKTDSQKDASMSHLRLRSVNGLADLNEPPQLEEATIPPPVDFLGHCASYKEPETRNVTAKPNPGFLAPPEMMWNTNHGTSNGPPSSPFMESKGKERDWLSYKYEAGHTKSSVSPLPQCLEQNKLLTPFHPVEARLGKAHQNPGIHHAHSTRDDLWRARAGCGLETLDKSRENSNCSRLEPGATSLLPHPHHLGNSSEISNSWSCSVSNCGGRPTSSLTQKLTSLHTSASLNSSTDVSRNSHRYSHSHETIGDKWHANGSSIVNPGGLGSELPVQNGFYHGSSSGLREPTVRFPSVFFNTPNDNKGYKVLSGCSTNHQHGKFLVGSNLTGPKSAKDFDLNVADDVTTKQDEEFVDDKRKLEDSVAKFPWLKSKPVQKNEGKVPNSDSEFIRSSSTPFCKGDMSKNLNEILIQNAASTLSDIGAKEVSGTQNVRKILGVPIENRCASKNESPVSNSATIPSLPEGENIRNDKKNIVIDINVAFDASIAESEDQAARELHAADSMDSKATGIRNHFDLNSCITEDEDLLGPCVVSNNVKARTVLEIDLEAPVVLDNEENNVPEEEHKRNEASLQLPEQKVEQAQDEVARIAAESIVAISSVVDGTRLNPSEDPLTESLRWFVNAVSSGINGVEGKSSKEIVSKNGAPNDNSCSEEIDYFEAMTLQLTETKEEDYMPKPFVPEFQNVEDSAVTSVSNRTRKGPGRRGRQRRDFQRDILPGLTSLSRHEVTEDLQTFGGLMRATGHPWTSGLTRRNGTRNGGGRGRRRRAAAIIDSEPALVSTPPTTSLMHQHNTIESSLEDRSLTGWGKTTRRPRRQRCPATGNLSAIPLS >itb07g22190.t2 pep chromosome:ASM357664v1:7:26664269:26670598:-1 gene:itb07g22190 transcript:itb07g22190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHEKGVLPGYYSMRDLNEDSTSSNWPLIYGDRAVANGQYCNGFTSRSVVDACSGYNKDAVKQKMLEHEAIFKNQVSELHRLYRIQRDMMEEVKKKELNKNRMSLEPSSSSSILGSQVPYEDAHKWHVTGFPLTNSGYARPCTSSTEMGNSLLSCTQGSDAQSGRVQLQNGCSSKNCEAFEARPSKVRKKLFDLQFSADQYIDTEEGKQLQDSKESIFPSYPASGNFTIARESSMKSFLGGGEKTDSQKDASMSHLRLRSVNGLADLNEPPQLEEATIPPPVDFLGHCASYKEPETRNVTAKPNPGFLAPPEMMWNTNHGTSNGPPSSPFMESKGKERDWLSYKYEAGHTKSSVSPLPQCLEQNKLLTPFHPVEARLGKAHQNPGIHHAHSTRDDLWRARAGCGLETLDKSRENSNCSRLEPGATSLLPHPHHLGNSSEISNSWSCSVSNCGGRPTSSLTQKLTSLHTSASLNSSTDVSRNSHRYSHSHETIGDKWHANGSSIVNPGGLGSELPVQNGFYHGSSSGLREPTVRFPSVFFNTPNDNKGYKVLSGCSTNHQHGKFLVGSNLTGPKSAKDFDLNVADDVTTKQDEEFVDDKRKLEDSVAKFPWLKSKPVQKNEGKVPNSDSEFIRSSSTPFCKGDMSKNLNEILIQNAASTLSDIGAKEVSGTQNVRKILGVPIENRCASKNESPVSNSATIPSLPEGENIRNDKKNIVIDINVAFDASIAESEDQAARELHAADSMDSKATGIRNHFDLNSCITEDEDLLGPCVVSNNVKARTVLEIDLEAPVVLDNEENNVPEEEHKRNEASLQLPEQKVEQAQDEVARIAAESIVAISSVVDGTRLNPSEDPLTESLRWFVNAVSSGINGVEGKSSKEIVSKNGAPNDNSCSEEIDYFEAMTLQLTETKEEDYMPKPFVPEFQNVEDSAVTSVSNRTRKGPGRRGRQRRDFQRDILPGLTSLSRHEVTEDLQTFGGLMRATGHPWTSGLTRRNGTRNGGGRGRRRRAAAIIDSEPALVSTPPTTSLMHQHNTIESSLEDRSLTGWGKTTRRPRRQRCPATGNLSAIPLS >itb03g28290.t1 pep chromosome:ASM357664v1:3:29019080:29027089:-1 gene:itb03g28290 transcript:itb03g28290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEENVGDRAVLTAPIALGDEYDSEYRAPNILQIILSILKNVRPGTDLTRIPVPPLLNLPKSHLQCYGETVYSTNVDLLSKVANGETSVDRFIAAVAWSISTQRHLSFGISPYNPILGETHHVSKASLNVLVEQVSHHPPVNALYATDEKDNIQLLWCHTHVPKFTGTKVEVDVRGERELKILNKNETYLMNTPNLVIRILPFPGVDWQGNVSIRCQETGLKADICYKGTSFLSRKGKNRSLVGKIFRSSSSSSSSEPIYEISGNWDRTVTIKDTTNGEVRVIYNAKQAFSGLKTPVVKLPKEVVPSESAVVWAEVNKGILKKQWDKAKEAKTVIEERQRELERERESKGETWIPKHFKVSYSKENGWQAVPCHKLVPPAPIVVPTS >itb04g00010.t1 pep chromosome:ASM357664v1:4:3520:11400:1 gene:itb04g00010 transcript:itb04g00010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHVSLNRVYTSPIRPALTPVTPQLQAVICGSVAPEPIQILPILSFHSSATHGTPMQTQARRMSSTALTFPSSSSIAAVGTPVTRTRVGKYELGNTLGEGSFAKVKYATNLTSGESVAIKIIDRDRILRHKMVEGIKREISTMKLIKHPNVIRIFEVLASKTKIYIVLEYANGGELFDKIARHGRLKEDEARGYFQQLINAVDYCHSRGVYHRDLKPENLLLDSFGILKVSDFGLSALPKQVREDGLLHTACGTPNYVAPEVLADKGYNGTSADVWSCGVILFVLMAGYLPFDEPNLTVLYRRIQKASFAFPSWFSSSSKKLIKRILDPNPVTRITIPEILKNDWFKKGYKPPHFQPDEDVTLDDVDAVFNDSEENLVTEMKEKPESMNAFELISRSHGFNLENLFEKQMNLVKRETCFTSKCPANEIMSKIEETAKPLGFNVQKRNYKIKLQGDKTGRKGHLSVATEVFEVAPSLHMVQLRKTGGDTLEFHKFYKNLNSGLKDIVWTSEQSNEEPKVA >itb08g09850.t1 pep chromosome:ASM357664v1:8:9204588:9209508:-1 gene:itb08g09850 transcript:itb08g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFAIMLFLALLIVLLLSPPAAAAYESRHARRILHQPLFPLGTSLPPTFPPYVAATTVSHPPSSSPQLQPKFPLSSSQSPPNPPLVRNPFFPVYTSPPPPPPPAAAAADSSAIATLPANISSIVVPESSSNAGKPVSPKVITGIVVVFVVFACLVIGLAVFFLLHRRRYGEKSRRQKADSLRLVPPNATPSDAGFGGDAVKKPPLPSTRLPCYKTMAASTSSEFLYLGTLVSSREVNEDQLSAKPPLNLQRLGSPELRPLPHIRQKRRNGDVMFPAEEDEEEFFSPTGTSGGRNSPNLNDWISQRGFEEAFRAQSSFPISNLNSPIDSSCASPSIELNLSPRSLRSRSPDSLVNFPAQPRFIPAPPLWEQRTISMSPGVSESPVRKPADSGSYMCVKLPPPPPPPPQRFPEAPMVENEGPPVLVAPSRPVVVSLDGSGAGDMRNVETMKPKLKPLHWDKVRTSASDRAMVWDQLKSGSFQLNEEMIETLFVVNFSKDRNKQPLMPVLNQENKALDPKKSQNIAILLRALNVTVDEVCEALLEGNADILGTELLESLLKMAPTKEEECMLKEFNDASPLKLGPAENFLKTVLDIPFAFRRVDAMLYIANFDSEVEYLKRSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDALAFKLDTLLKLVNVKGTDGKTTLLHFVVQEIIRAEGSRLSGAPKEDNQQSTLRDEVEFRKVGLQVLSGLSGELTNVKKAASMDSNVLRNEASKLAEGVAKIEEVVKISKELASKFSESMKRFLKKAEVEIVNIQAQESMSFAIVKELTEYFHGDSTKEEAHPLRIFTVVRDFLCVLDQVCKDVGKINDRTTISSRGQPFPMPVSSGLHHMFPGYDIGRQQDSSSSDEESSSSL >itb07g14760.t1 pep chromosome:ASM357664v1:7:17425592:17426160:-1 gene:itb07g14760 transcript:itb07g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKVPQVAVAVFILKGEKVLVGKRLAGAGTSCFSVPSGHLEFGEVFEECAAREVMEEAGLELKNIQTLKVINHVFHNEAKPSHYVVLLIRAELSDPDQIPENVEPDRCEGWNWYEWNDMPQPLTPPLEIILKSGFNPFSANVQN >itb04g26250.t1 pep chromosome:ASM357664v1:4:30603536:30612244:-1 gene:itb04g26250 transcript:itb04g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPKSDQPSSSSSSSEVSVALEKGSTSENGGHGRSNGGGDEEVVRSESVGGPTSNGAAIDSSGTHGMEILKENTKPSEISSPDKSKPPSGNPKLGRSKTERQKHNICAEEAAKIFDNKIPVQKKLQLLTRIATVKDDGTVEFEIPGDIRVIGDESENVNTDVEDESLDATELQYIPPMQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPAQRNQMKDIIYSLLPACKEPDMDTGVAFDAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQPSGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSESDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASSYEPPESLVSWLNAGPKPIYIGFGSLPVQEPEKMTQTIVDALQRTGQRGIINKGWGGLGNLAEPKDSIYLLDNCPHDWLFPQCSAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHDRGVGPSPIPVDEFSLPKLIDAINFMLDPKVKERAVELAKAMENEDGVTGAVKAFLKQLPRKKSEPDQVPPPSNFFSIRRCFGCS >itb04g26250.t2 pep chromosome:ASM357664v1:4:30603536:30612238:-1 gene:itb04g26250 transcript:itb04g26250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPKSDQPSSSSSSSEVSVALEKGSTSENGGHGRSNGGGDEEVVRSESVGGPTSNGAAIDSSGTHGMEILKENTKPSEISSPDKSKPPSGNPKLGRSKTERQKHNICAEEAAKIFDNKIPVQKKLQLLTRIATVKDDGTVEFEIPGDIRVIGDESENVNTDVEDESLDATELQYIPPMQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPAQRNQMKDIIYSLLPACKEPDMDTGVAFDAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQPSGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSESDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASSYEPPESLVSWLNAGPKPIYIGFGSLPVQEPEKMTQTIVDALQRTGQRGIINKGWGGLGNLAEPKDSIYLLDNCPHDWLFPQCSAVVHHGGAGTTAAGLKAAVFFRVDVLFPIFI >itb04g26250.t3 pep chromosome:ASM357664v1:4:30606375:30612238:-1 gene:itb04g26250 transcript:itb04g26250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPKSDQPSSSSSSSEVSVALEKGSTSENGGHGRSNGGGDEEVVRSESVGGPTSNGAAIDSSGTHGMEILKENTKPSEISSPDKSKPPSGNPKLGRSKTERQKHNICAEEAAKIFDNKIPVQKKLQLLTRIATVKDDGTVEFEIPGDIRVIGDESENVNTDVEDESLDATELQYIPPMQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPAQRNQMKDIIYSLLPACKEPDMDTGVAFDAEAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRVKQPSGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSESDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASSYEPPESLVSWLNAGPKPIYIGFGSLPVQEPEKMTQTIVDALQRTGQRGIINKGWGGLGNLAEPKDSIYLLDNCPHDWLFPQCSAVVSLYS >itb10g03650.t1 pep chromosome:ASM357664v1:10:3411194:3411795:-1 gene:itb10g03650 transcript:itb10g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSQLAMKESSVSIQELAKVPMLTIPNRYICVNQEPIISSSNSSPSIPTIDLQALLMEETKDLGLQKLHSACKDWGIFQLVNHGVNPSVLAKLRNGIEEFYSLPLEEKMLYKFSTGIAEGYGQTILFSDDQKVDWADRFYMTTNPIHKRNPHLLPKLPSSLR >itb05g22650.t1 pep chromosome:ASM357664v1:5:27988229:27992621:-1 gene:itb05g22650 transcript:itb05g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISGEERSRETHNFAVLSNGGPNNQQYESLPKPYEVEIPITTDAPSSCQSHFNQKMKNADNYVPYILDINVEKGKLEAPKCAYGTARNLKTEDCLAREIASQLGGKLMQLLMNNSVELPKFASRDKCVTEKTCDTLTNRLRKYKRSASFNSRRVVLLFSILSIMGTIILIYLTLRVKQIGDGDDESVSIPGNAAAIFRNRGCNITLQFETYQCRSPNNQQYESLPKPYEVEIPITTDAPSSCQSHFNQKMKNADNYVPYILDINVEKGKLEAPKCAYGTARNLKTEDCLAREIASQLGGKLMQLLMNNSVELPKFASRDKCVTEKTCDTLTNRLRKYKRSASFNSRRVVLLFSILSIMGTIILIYLTLRVKQIGDGDGADSV >itb05g25610.t1 pep chromosome:ASM357664v1:5:29928470:29930566:-1 gene:itb05g25610 transcript:itb05g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVRFLRLINTRASFSSVNVSPVYSQSRALYVGRFEPSINTWVKNLKPISKFQNRFDVRKFHQQFSKLKNPGCNFTMCNAVGLAALLGSVSIWPRTAYAADGLDALLDDDHLDLLENTKSENDYQTLSVFLKKLLVPVFLALTIFLNWGHPLITAAKVTLILYTTKPSPLSIYLFVEELRNQEMQKHPFVYKFKSLYPNKVDVEDYAIFCSAKVQLKDQKFTAVGILGSWWVLPVSSWQEGVSVLKYRFNNILS >itb10g09710.t1 pep chromosome:ASM357664v1:10:13138062:13139006:-1 gene:itb10g09710 transcript:itb10g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTKLYNCLVVGIVGCFFINHALLVCHVSAKNTLAPGDELAREEDYLESPNKNFRLNFEVRPGSTSTTYTCFLCIQWTKYPVPANSEQTTVWVAWVGQTQTSFIPKLTMEVEGRLVIHAENETVLNHDQQYPYVMNTTATLLDTGNLVLRGGGRTLWQSFDHPTANTWIPGMKIGRFGELKTEQIQQRCLSSWTSEENPLPGDFSLCVDPNNAKQLVGMRGGSVYWHSGVWNSAESYFPFLVVRSHLRYFFDDNESYFAWDGTGMGMDFNVIKILANGEMNVHLEGNIRSYVNCDHNYTYYFNQGCVKTGTLR >itb06g03770.t1 pep chromosome:ASM357664v1:6:6205509:6207216:-1 gene:itb06g03770 transcript:itb06g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSVLFVCLGSVSRLPTPQMIELGLALESSNRPFIWVIRYMSDEFQNWLRQEKYEERVKEQQGLVIYGWAPQVLILSHPSIGGFLSHCGWNSSLEAITSGLPLITWPLFGEQFLNERLIVNVLKIGVRGGMEFPVVFGSEEQTGVQVNRDDIVVAIEEVMGGGEEAEMRRERMKKLGEMARMAMEEGGSSFLNIDKLIQDVAEESNARTSV >itb11g15220.t1 pep chromosome:ASM357664v1:11:12669875:12680567:-1 gene:itb11g15220 transcript:itb11g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHEEWAEPGRLLPNGLLANAAPMIRVLDSERWLKAEERTAELISCIQPTRPSEQRRNAVADYVQRLIMKCFPCQVFTFGSMPLKTYLPDGDIDLTAFSNNQNLKDTWAYQVRDMLEKEEKNDNAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINHNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNTFTGPLEVLFRFLEFFSKFDWDNFCVSLWGPVPMRSLPDVIVEPPRKDNGELLLKKLFLDACNSVYAVNPGVQENQGPPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFSFGAKRLERLLDCPKEKLTYEVNQFFMNTWDRHGTGCRPDAPRVDLSCLKLSDLHHQPENEILSVSTNSRMVNASGHDVKTEGTHSHVSSHPGNHISGSMSKPNDYSNYTQNKKTHRNSNASRVSDHSTREAASRQNVLSDVSHRTSTSDNLVKDIQSRFLFARTRSSPELTDTYVDNSSPLRRTRVQESAKMNPTPTRQENSNRRKNPGSENTINHNIRSLHDDTSSVRQNRSHQNNDTVTDSNNGLNTYFRDFGLDTMNEEFSSTSRTQDMLQEEQDLVNLMASLHGFNGQVHLPFNLVSAQLPFPISPSFLTSMGYSERSIPGLVPTNIPMVDPAFSDMQFQHSIISPHLTHYFPGIGLSPTSQDGIDHNNENFSSMDINSGEAENGFWREQDAGSTCGFGPNNGNFEVLQAEDKLRSTHSGLTVDPSWVSSASNSLRAQQNHTKEKRGLMREERSTNYQFQDNRTNDVYVEERLASSRLSSTAHSSPLRSKSSSESSWDGSSTKSSKSARERRGKKTVIGESTNGYGKGKVMSESLPCQNEDDYQEWNSMSTELAERGQGSQSVVSLHVPRHHVPEYEVAHTSGSESIIPIAPMFIGTGSRQRMTDNSGVIAFYPTGPPVPFVTMLPLCNIPPETGTPDTSECHFGGEESIDNSDSSHNFASFEGLDYTEDLNPSHSLRGAASVLESEPKSDILHSDFASHWQNLQYGRFCQNTRYGPLVYPSPVMVPPTYMQGHFPWDGPGRPISANMNLFTQLMSYSPRLVPVAPIQSVTNRPANMFQRYVDDMPRYRSGTGTYLPNHPKVTARDRHSSGSRRGNYNYERNDNHGDRDGNWKGSSKTRASGRSHNRSQTEKSNSRLDWLSPNESRADRTWSSHRNDTFASYQSQRANSDISGVPDVAYGMYPFTAMNPTGVSSNGPTVPPLVMLYPFDNPSYCSHGEQLEFGSLGPVGVSGLSEQPQLGEGSRPRGTFDDCRFHVQRSSPDQPSSPLHQRRI >itb01g21250.t1 pep chromosome:ASM357664v1:1:27414921:27419154:-1 gene:itb01g21250 transcript:itb01g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIHHTPRLLRFPLKVKCLHTVPLSSVATPTLPPTSQILTIRKSLLAGETSAVELAQTFLSRLRRTEPHLKSFLHVSDTVLREAEEIDRKISNNEEIGPLAGVFVAVKDNICTADMPSTAGSKILENYRPAFDATAVRKVRQCGGIVIGKTNLDEFGMGSTTEGSAYQVTANPWDLTRVPGGSSGGSAAAVSARQCTVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCFGSSVADAGLLLHAISGHDKFDATSSKREIPDFTSQFISQDNLESKPLKGLRVGVIRETIEGVDPEVISSIRGAASHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDSIRYGNQVVADELNSLYGGSRAKGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRALVRESFREALENNDILISPAAPSAAYKIGEKKDDPLAMYAGDIMTVNVNLAGLPALVLPCGFVDGGSVGLPVGVQMIGAAFDEGKLLRTGHIFEQTLQGCSFIPPLVADEFSS >itb09g07430.t1 pep chromosome:ASM357664v1:9:4325945:4327703:-1 gene:itb09g07430 transcript:itb09g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKGTAVAVTAAKLHEIRKEQVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDKAAREFRGSKAKTNFPVPEDLLPLNFAAQLHVKNSVCEKNAGGSPSPSSTVESSSGGGRVSPAVMADSSPLDLSLGGRAPVMFPIQNHPQRVVHPMVPGAYPAGVYPVSQIVYLNALARAVAVNPPLNRQTETLNFFAPNANCGDHSDSDSSSVIDLNAGYVEPRKGGPINNFDLNHPPPESLGTGAL >itb10g06700.t1 pep chromosome:ASM357664v1:10:7532766:7540144:-1 gene:itb10g06700 transcript:itb10g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGRVLGIIKFDLVIIIVAVCMGIFMGGGVWAQGNVADEDSDGAVYIVSLKQAPTSLFSGELSLKKNKKHHAHYNISHGSASRKARFDKPSNVSGHPGSYVSRMHDSLLRKVFRGQKYMKLYSYHYLINGFAVLVTPQQADKLSRRKEVSNVVLDFSVRTATTHTPQFLGLPQGAWAQEGGYVTAGEGIVVGFIDTGIDPTHPSFSDNTSEKPYPVPEHFSGVCEVTRDFPSGSCNRKLVGARHFAASAITRGIFNATQDYASPFDGDGHGTHTASIAAGNHGIPVVVAEQQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSISSFSPWIFTVGASTHDRVYSNSIVLGNNVTISGVGLAPGTDQNATYTLVSAIDALNDTTASDDMYVGECQDAINFNRTVVQGNLLICSYSIRFVLGLSTIKQALETASNLSAAGIVFYMDPFVIGFQLNPVPMRLPGIIIPSPDDSKIFLKYYNSSLERDQTTNKIVKSGAVACISGGIKANFSLSAPKVMFYSARGPDPEDNSLDDADILKPNIVAPGNSIWAAWSSGGTDSMEFLGESFAMMSGTSMAAPHVAGLAALIKQKFPTFTPAAIGSALSTTASVYDKGGGPILAQRAYANPDINQSPATPFDMGSGFVNATAALDPGLILDSSYNDYMSFLCGINGSASVVLNYTSESCGASTLSGVDLNLPSITIARLNQSRVVQRTLTNVGGSESYVVGWSAPYGASVKVTPTRFCVGSGESLNLTISFNATMNNSSPSFGRIGMFGNHGHVVNIPLSVIVKISYNTTSA >itb10g06700.t2 pep chromosome:ASM357664v1:10:7532829:7538484:-1 gene:itb10g06700 transcript:itb10g06700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSLLRKVFRGQKYMKLYSYHYLINGFAVLVTPQQADKLSRRKEVSNVVLDFSVRTATTHTPQFLGLPQGAWAQEGGYVTAGEGIVVGFIDTGIDPTHPSFSDNTSEKPYPVPEHFSGVCEVTRDFPSGSCNRKLVGARHFAASAITRGIFNATQDYASPFDGDGHGTHTASIAAGNHGIPVVVAEQQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSISSFSPWIFTVGASTHDRVYSNSIVLGNNVTISGVGLAPGTDQNATYTLVSAIDALNDTTASDDMYVGECQDAINFNRTVVQGNLLICSYSIRFVLGLSTIKQALETASNLSAAGIVFYMDPFVIGFQLNPVPMRLPGIIIPSPDDSKIFLKYYNSSLERDQTTNKIVKSGAVACISGGIKANFSLSAPKVMFYSARGPDPEDNSLDDADILKPNIVAPGNSIWAAWSSGGTDSMEFLGESFAMMSGTSMAAPHVAGLAALIKQKFPTFTPAAIGSALSTTASVYDKGGGPILAQRAYANPDINQSPATPFDMGSGFVNATAALDPGLILDSSYNDYMSFLCGINGSASVVLNYTSESCGASTLSGVDLNLPSITIARLNQSRVVQRTLTNVGGSESYVVGWSAPYGASVKVTPTRFCVGSGESLNLTISFNATMNNSSPSFGRIGMFGNHGHVVNIPLSVIVKISYNTTSA >itb09g27660.t1 pep chromosome:ASM357664v1:9:27920633:27924576:-1 gene:itb09g27660 transcript:itb09g27660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRWWNIAPHRMRSVSSDGLGQLMSHVHPQSQPRCLAGQIYTIQTTVKKSTPEEERTESPERATSRLPAMVAGEEVTPTARLACHGRRRRRSDANNAPRLPWSPEKKKRRQQRVLPAMVAREEDMMPMVDTKWCSLCFGKGFSRLGKWELGSGKVDADELLSIFGSP >itb09g15100.t1 pep chromosome:ASM357664v1:9:10356819:10360573:-1 gene:itb09g15100 transcript:itb09g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWWSASAASFSSSSRFLWFMMPLFLVAGLAVFSGPRTSFWLFASPFLGGGRGGSGGGVFNGSSTPQSQEIFRPNNSSEINKMHENLTNIAGPLLPTEHEETKNGTPSSSLNSTEDGGATSSSSSRRYSKLEMLEDGLARSRASILRGNSTKDDDFIPKGSMYWNASVFHRSYLEMEKTLKVYVYEEGEPPVFHFGPCKHTYAIEGYFIQAMEITPFRTSDPNQAHLFFLPISVTMLTHVVFIRESHSWTEMKKTAFDYVDVIAHKYPFWNRSLGADHFMLACHDWGPEISFAVPNLYNNSIRALCNANTSERFDPKRDVSIPEIHLPRGTTQGLLGGPSPSERSVLVFYAGGLHGPIRPILMQHWENKSDEDVQIHSYLPKGLSYYGMMRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKEGYVIPFSDVLNWKKFAVIIPARDIPDLKKILTGISQRQYLRLQSRGLKMRRHFEINNPPKRYDVFHMILHSIWLRRLNIRLRES >itb11g14170.t1 pep chromosome:ASM357664v1:11:11206999:11211444:-1 gene:itb11g14170 transcript:itb11g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWIAAAAAGAGYVAQRWKSRNVLQSRGKDCRGCPSRGMMSKKIVDEDGYRIREQAGEDTMVDEDTMVDVSSISGLSGNIGMLDMCGSCNIRSTLNYTTGSPNDKYLHVDRGEDQVGGEINVAEDDFSLQPYITDVRFSYSLTRNRSSLRSKGTIEHLIKPLCSLDSPSSTQMFRPFVVTDGSRITSRESGDFFSTPTGAGSKELQKDVVLKENGAVFGVPRLPNIESPRKANAKANRENGHSGSFSRKMDVKNCHSQGSSHGAFLVCLGISAGIFSSFLAHKKEVDKLNGLLKQTENLVQDLQEELEMRDSFTVEELANGDYESQDTHNSSYNNELLHVFSPQKKLNNSSKHRAEEYHCRHSEGESISKIEAELEAELERLEFNINSFKLEDEYSDVAELDPEFIPDLAEGELRADVFNWRTDQQPYADQDGSGNSTPHSANYAVSPKELSLRLHQVIKSQLEERVRELEMALEQSQRKVRYMEVQHSYHRRMFSNSEAESSTQGSPVAKDRPVVINIAGEALNSYHEVFDEFSTANESEDGVIALGIGKINHQDNMNQHVDWIESSMLSDDNDEMDRMLIKRIVEKARKGSPAVLNAQKALFSGGENEH >itb07g17980.t1 pep chromosome:ASM357664v1:7:22342894:22346416:-1 gene:itb07g17980 transcript:itb07g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MFGMASLPARLILLPLLLLFGACNANLIRGGHTLKDQKEFDFFTLALAWSGTSCSSVNDCCPTNGCCRSDVATGFTINGFWPDYNDGTWPSCCDGATYDQNSISVISGFLNTYWPAYNCSSPPACGVFIASNLAFEVHTILYATHGTCASPVLSNQYEYFSTALMLYFKYDITEILLKAGYVPSDTVKYPLEGITSAIKNALGVTPIVKCNGHSPIREIQICFDKTLQLEECPTYAPSKCPSKVKLPIKNVTQVPTTSNYLSFPGVLNW >itb01g18780.t3 pep chromosome:ASM357664v1:1:24721214:24725459:-1 gene:itb01g18780 transcript:itb01g18780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRVSLLKIILLLLLIAAIAAACLTLPVEKILKDFLVWVKDDLGPWGPLVLAVAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGAAAAFLLGRTIGRSFVISKLKDYPQFRAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIMEYVLASWLGMMPITFALVYVGTTLKDLSDVTHGWGEFSKTRWVFIVLGLLVSGKSKDFAELYNVETEFKNYRNCLQGFIL >itb01g18780.t2 pep chromosome:ASM357664v1:1:24721348:24725459:-1 gene:itb01g18780 transcript:itb01g18780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRVSLLKIILLLLLIAAIAAACLTLPVEKILKDFLVWVKDDLGPWGPLVLAVAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGAAAAFLLGRTIGRSFVISKLKDYPQFRAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIMEYVLASWLGMMPITFALVYVGTTLKDLSDVTHGWGEFSKTRWVFIVLGLLVSVVLIICVTRVAKAALEKALAENDDVDDILESPELPIVADPPGNLNQPLIIKIDDARDNHEN >itb01g18780.t1 pep chromosome:ASM357664v1:1:24721214:24725463:-1 gene:itb01g18780 transcript:itb01g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRVSLLKIILLLLLIAAIAAACLTLPVEKILKDFLVWVKDDLGPWGPLVLAVAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGAAAAFLLGRTIGRSFVISKLKDYPQFRAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIMEYVLASWLGMMPITFALVYVGTTLKDLSDVTHGWGEFSKTRWVFIVLGLLVSVVLIICVTRVAKAALEKALAENDDVDDILESPELPIVADPPGNLNQPLIIKIDDARDNHEN >itb13g24250.t1 pep chromosome:ASM357664v1:13:29969147:29970197:1 gene:itb13g24250 transcript:itb13g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATAGKAAAAAKPKKAAAPKKARAHPPYVEMISEAIAALKERTGSSQVAIAKFIEEKQEELPPNFRKLLLVQLKKLVASGKLTKVKNSFKLAGTAKTAVVAAKPKAAAEPKKKTAAAAAKPKAAAAKKVLVEKPKKKVSTPSKAKKTAAKAKPAKRTPVKKVALSKVKSPVKKPKSIKSPAKKARK >itb10g16960.t3 pep chromosome:ASM357664v1:10:23194199:23201053:-1 gene:itb10g16960 transcript:itb10g16960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILVTGGVVSGLGKGVTASSIGLILKACGLRVTHIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIQLTRDNNITTGKIYQAVIDKERRGDYLGTTVQVVPHITDAIQEWIERVAVIPVDGKDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSATELDDNVKDKLSRFCHVPAENIINLYDVSNIWHIPLLLRDQKAHKAMLRALNLNGVAQEPDLKVWTSRAKLCDMLHEPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCIDWVPAGDLEEATEKESPDNYRNAWKLLKGADAVLVPGGFGDRGVEGKILAAKYARENKIPYLGICLGMQIAVIEFARSVLGFKDANSTEFDPNTNNPFVIFMPEGSKTHMGGTMRLGSRRTYFQVTDSKSAKLYGSKKFVDERHRHRYEVNPELVEQLESAGLCFTGKDDTGHRMEVYFFKYNQKLATSFVQAVLSWDSISLFCRLLNCLNILTLLVFNFIPSSNQDLENLLLSS >itb10g16960.t2 pep chromosome:ASM357664v1:10:23194570:23200781:-1 gene:itb10g16960 transcript:itb10g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILVTGGVVSGLGKGVTASSIGLILKACGLRVTHIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIQLTRDNNITTGKIYQAVIDKERRGDYLGTTVQVVPHITDAIQEWIERVAVIPVDGKDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSATELDDNVKDKLSRFCHVPAENIINLYDVSNIWHIPLLLRDQKAHKAMLRALNLNGVAQEPDLKVWTSRAKLCDMLHEPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCIDWVPAGDLEEATEKESPDNYRNAWKLLKGADAVLVPGGFGDRGVEGKILAAKYARENKIPYLGICLGMQIAVIEFARSVLGFKDANSTEFDPNTNNPFVIFMPEGSKTHMGGTMRLGSRRTYFQVTDSKSAKLYGSKKFVDERHRHRYEVNPELVEQLESAGLCFTGKDDTGHRMEIVELLEHPYFVGVQFHPEFKSRPGKPSALFLGLIAAASGQLEAHLKKGVPNGVVKKPFRPHVNGTKLTNGSFEPGIYCNGKGIRA >itb10g16960.t1 pep chromosome:ASM357664v1:10:23194199:23201053:-1 gene:itb10g16960 transcript:itb10g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILVTGGVVSGLGKGVTASSIGLILKACGLRVTHIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIQLTRDNNITTGKIYQAVIDKERRGDYLGTTVQVVPHITDAIQEWIERVAVIPVDGKDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSATELDDNVKDKLSRFCHVPAENIINLYDVSNIWHIPLLLRDQKAHKAMLRALNLNGVAQEPDLKVWTSRAKLCDMLHEPVRVAMVGKYTGLSDSYLSVLKALLHASVACHRKLCIDWVPAGDLEEATEKESPDNYRNAWKLLKGADAVLVPGGFGDRGVEGKILAAKYARENKIPYLGICLGMQIAVIEFARSVLGFKDANSTEFDPNTNNPFVIFMPEGSKTHMGGTMRLGSRRTYFQVTDSKSAKLYGSKKFVDERHRHRYEVNPELVEQLESAGLCFTGKDDTGHRMEIVELLEHPYFVGVQFHPEFKSRPGKPSALFLGLIAAASGQLEAHLKKGVPNGVVKKPFRPHVNGTKLTNGSFEPGIYCNGKGIRA >itb12g20630.t1 pep chromosome:ASM357664v1:12:23080980:23084556:-1 gene:itb12g20630 transcript:itb12g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALGFSFKTSFCSAARIAKSRLAFLSNRSFRKRVGFAADSPRASVDFVSRISFRPTKKVPSFKVHATIDQTEAPKWWEKNAGPNMIDIHSTKELLDALSQAGDTLVIVEFYGTWCASCRALFPKLCRTATEHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIELHNTARCSIGPPRGLGDIKLETLLTAKDVAAGSSSPS >itb03g00810.t1 pep chromosome:ASM357664v1:3:442644:444267:1 gene:itb03g00810 transcript:itb03g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFIYVSILIKKRQTLDLPIKKAARKLELPEDSGYLKVPVEDIYSATNNLNESNCIGEGTAGRVYKGILFDNQNVAVKHIIKDGCMETFLREVRSLTHVRHPNLVALLGYGTNDEERFLIYELCPNGNMAQWLTGMDTALSWIKRLEIAIDSARGLQFLHTYPEGSIIHRDIKPTNILLGKNLEAKLSDFGLSKVIDQGKSYASSEVRGTFGYVDPEYQCNRRVNSAGDIYSFGIVLLQILSGRKVINMNMETPVPLNKIAKSISTKEGSTFGFVDPRLHGEYSVEAFEATFALALACTAPTHQRPCIKEVVTKLEEALAISMKAKASSPQSTPQQSTLF >itb09g10880.t1 pep chromosome:ASM357664v1:9:6752817:6753956:-1 gene:itb09g10880 transcript:itb09g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEEVAVEEKKNTPVKKTLCDSRTITKEMISSYFHLPISEAAVQLKVGVTTLKNRCRILGISRWPRIKLLKLEDEDDKEIPISSPEQDYRPFFNHAENHPLDYHFNNPTSSPTGKTLHQIQQSCCSAEQLDFDWGLVNDMLCGQNDVPLEAPPVVAELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb05g14370.t3 pep chromosome:ASM357664v1:5:21479442:21483803:1 gene:itb05g14370 transcript:itb05g14370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVLEVRPVLDWDKGKAVEFLLESLGFSGSEDVLPIYVGDDRTDEDAFKVLREQNRGFGILVSPAPRESNAFYSLRDPSEVH >itb05g14370.t4 pep chromosome:ASM357664v1:5:21480818:21483803:1 gene:itb05g14370 transcript:itb05g14370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVLEVRPVLDWDKGKAVEFLLESLGFSGSEDVLPIYVGDDRTDEDAFKVLREQNRGFGILVSPAPRESNAFYSLRDPSEVH >itb05g14370.t2 pep chromosome:ASM357664v1:5:21480732:21483803:1 gene:itb05g14370 transcript:itb05g14370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVLEVRPVLDWDKGKAVEFLLESLGFSGSEDVLPIYVGDDRTDEDAFKVLREQNRGFGILVSPAPRESNAFYSLRDPSEVMEFLRWLVTWKRSSSTPV >itb05g14370.t1 pep chromosome:ASM357664v1:5:21479396:21483803:1 gene:itb05g14370 transcript:itb05g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVLEVRPVLDWDKGKAVEFLLESLGFSGSEDVLPIYVGDDRTDEDAFKVLREQNRGFGILVSPAPRESNAFYSLRDPSEVMEFLRWLVTWKRSSSTPV >itb05g14370.t6 pep chromosome:ASM357664v1:5:21479396:21483803:1 gene:itb05g14370 transcript:itb05g14370.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVLEVRPVLDWDKGKAVEFLLESLGKTKIKPYVHREVQISSFGLINDIAGFSGSEDVLPIYVGDDRTDEDAFKVLREQNRGFGILVSPAPRESNAFYSLRDPSEVMEFLRWLVTWKRSSSTPV >itb05g14370.t7 pep chromosome:ASM357664v1:5:21479396:21483803:1 gene:itb05g14370 transcript:itb05g14370.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVLEVRPVLDWDKGKAVEFLLESLGKTKIKPYVHREVQISSFGLINDIAGFSGSEDVLPIYVGDDRTDEDAFKVLREQNRGFGILVSPAPRESNAFYSLRDPSEVH >itb05g14370.t5 pep chromosome:ASM357664v1:5:21480818:21482803:1 gene:itb05g14370 transcript:itb05g14370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKLTSPVLADTAPVSASRLGIKSLVPYSPAGTTFSPALFLTLPRKKSGKLDDLRTSNTWLDAMKSSSPTHNKRNKDSGADQTSTENDVAYQNWMLKYPSALTSFEQIKKSAKGKRVVLFLDYDGTLSPIVDDPDRAFMSNAMCAAVKNAAKCFPTAIISGRSRDKVYDFVGLTELYYAGSHGMDIMTPILSDPNDSKYTRATDKQGKEVNLFQPAREFLPMIDEVFRSLVETTKDIAGAKVENNRFCVSVHYRNVDEKSWDTVGELVTELLKQYPRLQLKHGRKVRLHIPNINFCV >itb06g23090.t1 pep chromosome:ASM357664v1:6:25207410:25208077:-1 gene:itb06g23090 transcript:itb06g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSTPLPPPVGSEKWVVMAHTDVILVCLICGFLVMGCVVIYFHQCADRLLARDFAGDRIVRQRRGLDSDVIDAFPRFRYSDVKALMIGNGALECAVCLNEFDDGEENLRLLPRCCHVFHTHCIDAWLAAHVTCPVCRANLIAGSAREFHGDSVPSHNPV >itb09g01470.t1 pep chromosome:ASM357664v1:9:904306:904575:-1 gene:itb09g01470 transcript:itb09g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDYYLGAGSWTAEQNKAFEMALAVYDKDTPDRWSNVAKAVGGHKTAEEMRRHYQILVRDVKSIESGCVHFPAYWTTSNRYQKQP >itb12g03820.t1 pep chromosome:ASM357664v1:12:2508244:2512698:-1 gene:itb12g03820 transcript:itb12g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTESTREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDLVKTIKEYRGRIEAELSKICDGILNLLESHLIPSASTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALGELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDTADDAGDEIKEASKRESGEGPQ >itb12g03670.t1 pep chromosome:ASM357664v1:12:2408299:2412330:-1 gene:itb12g03670 transcript:itb12g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSGTPSGPNEPPPNNSSSSSSPPESSPPSPPASSSSSSPPVSSQPPPSPPSSPPPTPSAPPPTPSSSAAPPRSQSAPPPSPPLPSPAPPQSPAPTRTPDALPIPSTPSPPLQSEPTNTSPDATGNSNPPTVFLPPPAVPAGGPPAPAAKRSPPSSAPSRPSSESPNSGNAPSSSVNNNAIIIAGIFVAAILLLSVVVICLMCNRKKKKQPYYIDVKRPPPAKGGDQQYFNGSTEWLNNPQFLQIPPQQPGGWAAVPPHSPGNISTEYSAGFSPSPLPASPPGMGGFNQSQFSYEELAAATAGFSQGNLLGQGGFGYVHKGVLTSGKDVAVKSLKTGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIGQGQRMLVYEFVPNKTLEFHLHGKGQPVMDWATRLKIAVGSAKGLAYLHEDCHPRIIHRDIKTANILLDENFEAMVADFGLAKLSNDNNTHISTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELVTGRRPVDITNYEEDCLVDWARPLLAKALEDGNYAPLADPRLEGNFDQDEMSRMAACAAASIRHSAKRRPKMSQIMHTLEGNSSLESLNGNPKAVQSAVVGSGANDSRLYDTSAYNADMMKFREMVMASQEYSSSEYGGNAGENGLDPASSSSSNSDSTEHYGYQVNNLNRV >itb03g02400.t1 pep chromosome:ASM357664v1:3:1347826:1366043:1 gene:itb03g02400 transcript:itb03g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSAAKPIVDALLQRFLPLARRRIETSQAQVTHDGHIRPSDPAYDQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLGVECIFCSACIRFVECCPQEGVTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAHLLGALSRIRFSSVTERFFMELNTRRIDTSAARSETLSIINGMRYLKLGIKTDGALNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKGQWPPSGVEPTLLLWYEAVARIRIQLMHWIDKQSKHISVGYPLVTLLLCLGDPNLFLNNFGPHMEQLYKHLRDKNQRFMAIDCLHRVLRFYLSVHGDSQPPNRVWDYQDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVSIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSQQVGLEILDVRGIGHYVPKVKAAIESILKTCHRTYNQALLTFPRTAIDSITKEKSHVYLFRSVLKCIPYLIEEVGRNNKITEIIPQHCISIDPGVREEAAQVLNRIVRYLPHRRFEVMRGMASFILRLPDDFPLLIQTSLGRLLELLRLWRACIYDDKMEADNSEVKRVQRTEGFKKSSFRHPQEVVEFQASEMEAVGLIFLSSVDGQIRHTSWELLRCVRALRNDTLQFSNHDHADHSLRYEAEPIFIIDVLEEHGDDIVQSSYWDSGCPFDMRRESDTMPPDVTLQSILFESPCKNRVARCLSELVKYAAELCPNPVQEAKSEIIQRLAHITPAELGGKAHQSQDTDNKLDQWLMYAIFACSCPPDSRDGGDSAATKELFHLIFPSLKSGSEAQIHAATTALGHSHLEVCEVMFSELSSFIDEVSTETEGKPKWKSQKLRRDELRMHIANIYRGVADNIWPGTIIRKPVFHLHYFKFIEEITRQILTAPAENFQEMQPLRYALASVLRSLAREFIDSKIEKFDVRVRKRLFDLLLSWNDETGSIWNQDGVNDYRREVERYKSSQHSRSADRMSFERELGEQVEVIQWAIMNALASLLYGPCFDDNARKMSGRVLSWINSLFTEPAARVPFGHSPADPRTPSYSKYTGEGGRGATGRDRHRGGQRRVSLAKLALKNLLITNLDLFPACIDQCYYSDAAVADGYFSVLAEVYMSQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSDHEWAVDGIEGPGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPGLSQLLCEEIMQRQLDSVDIIAQHQVLTCMAPWIENLNLWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLIMKGIEDSDSNASAEICGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNVEPLRRSLNKDGSGNFILEFSQGPSVSQAASIVDSQTHMSPLLVRGSLDGQSRSTSGTLSWRSGTVGGRSISGSLSMPSELNIVPVSASRSSQHLPPMVNMSGTHLGVRNSTGTLRGRHGSRDSADYLIDTPASSEDGFHFGAGIHSVNTKELLSALQVHQQHSLTRADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSQDIVLKHCQHLLVNLLYSLAGRHLELYDVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQCMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALKPPVTNDGCVSLLRCLHRCLGNPVPGVLGFIMEILLTLQVMVENMGPQKVILYPQLFWGCVAMMQTDYVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDSNIGDTSDFRHLGKPSPLNSKAQLFEGVQPLVLKGLMSTVSHAVSVEVLLRITVPMCDSIFGDAETRLLMNITGLLPWLCLQLSQDTMVGPASPLQQQHQKACSVATNIAVWCRAKSLNELAAVFMAYSRGEIRNTITLLACISPLICNEWFPEHSALAFGHLLRLLEKGPLEYQRVILLMLKAMLQHTPMDAAQTPHMYTIVSQLVESTMCSEALSVLEALLQSCSSHPHDSGAFDNGFSTTEDRFKSRSSSFQFAMGVGSGSSVTESGFAPRDVALQNTRLMLGRVLDGCALGKRRDYRRLVPFVTSTGKP >itb08g00970.t1 pep chromosome:ASM357664v1:8:698329:699621:-1 gene:itb08g00970 transcript:itb08g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWIKSLHCKSRALDDVVSQHQLLPNSASCRRGVQSLKDVVETTKERKNRKPKPSPKNPRSVARMPEPGSTPPVQRPRSSSAARHLRSSSESAFYPTLTELPEGHPSRNVVEIIFHTSWSPKSFSGRVEMLFKVQNLPRTVTRFEEYREAVKNRAGGAAPVEGREDHARCIADGNEVMRFYCLGPTAAVYETGGTAWGLHPGKGTAICTFSGSGAAHERSGGGRGRRAMLVCRVIAGRVGKEFGINSLLEGRVGFDSVSGDSGELLVFDSRAVLPCFLIIYKL >itb01g17790.t1 pep chromosome:ASM357664v1:1:22523040:22526692:1 gene:itb01g17790 transcript:itb01g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISPNWRSIRPITSWQKGVLLGRGSFGSVYEGIRDDGFFFAVKEMSLQDQGDDTRQHINLLEQEITLLSQFEHENIVPYYGTDKDDSKLYIFLELVSHGSLRSLYQRYHLQDSQVSAYTRQILHGLKYLHDRNVAHRDIKCANILVDVSGSVKLADFGIAKVIKSNNALSITAFTGTAMWMAPEVVRRNCSYWLAADIWSLGCTVLEMLTRQTPYCHLETIQALFKIGKGEPPNVPSTLSSNAQDFIHKCLQVDPNARPTASQLLEHPFVNHQFPLPH >itb01g17790.t2 pep chromosome:ASM357664v1:1:22523040:22526692:1 gene:itb01g17790 transcript:itb01g17790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISPNWRSIRPITSWQKGVLLGRGSFGSVYEGIRDDGFFFAVKEMSLQDQGDDTRQHINLLEQEITLLSQFEHENIVPYYGTDKDDSKLYIFLELVSHGSLRSLYQRYHLQDSQVSAYTRQILHGLKYLHDRNVAHRDIKCANILVDVSGSVKLADFGIAKVIKSNNALSITAFTGTAMWMAPEVVRRNCSYWLAADIWSLGCTVLEMLTRQTPYCHLETVCDHIYFHFMLPKLSLIQLDELQIQALFKIGKGEPPNVPSTLSSNAQDFIHKCLQVDPNARPTASQLLEHPFVNHQFPLPH >itb01g25700.t1 pep chromosome:ASM357664v1:1:31062202:31069336:1 gene:itb01g25700 transcript:itb01g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVQNKDHFPVYHHMRDLNGNASSNNWPFYYSDRTLTNGQYCNGFMPMTITDANSDYEKDAVKQKMIEHEAVFKNQVSELHRLYRIQRDMMEDAKRRELNKHWMPMEPSSSSSILGSQMPSEYAWKWQNTCFPFVNSRYARPAASSTDIVNSPLSCTKGNDIQSGRIPFQNGCSSKHYEVLDSRPSKVCKKLFDLELPAYKYIDTEEGEHLHDSKESFHPGNSKNTQESSVKLFLDGHGATDCRKDAFTSSLCLRSGNKLADLNEPAQLDEATTQSPVSFFSHDTNHTEAKNSSVIGKSNPLLASSREGIQNCHYGNGFLSNLSAESQGKQRGLLPYTYEAGHVKKNQSQALEGLEKNKLHMHCHPAEGIQGRAQQHPGIHPTHGIRGDMWRGKTGCGLPTFEKNHDNSNNSCVEPLATSVFSTSYTLANSSQFANSCSHSVSAWGKSTSSVTQKVVSFHKSPCLNSSTSLEMRLSENTHTSIGDNINGSSRDMNPGLSSDVLLRNGFAHGSSRLRDQSTCLPSNFLNNMKSSRGDYMSSARSTNHWHDEKLLVSSNFMDSKSTKGLDLNEAIGKEDEELVDNKDKLGDSAPILCWLKDKPVCKNTGRIPNSDFGFIQSSPNPPFCQSNNSKHANEAFSQSITFSERTMEAKEVGETPFAKKILGVPILEIPFHSKHESSSRISTSATICSSAEGELLRNEKKCMIDINVACDLSIDESDEMAVPEPLFAGKGLDSEPAGMRNHFDLNSCITEEDDALGPSVASNNVKTRAILDIDLEAPVVLDSEEDNPPIQENNQHETSLQLPEHKTKKADDEVTWIAAETIVAMSSVQCIPTENTRENPSEDPFAETLSWFVDVVSSFANELKLNSGKESRGKGGVLNEQHFSYEGIDYFEAMTLQLTETKEEEYMPKPFIPEAQNMEDAGEHLAPTRTRRGQARRGRQRRDFQRDILPSLTSLSRHEVSEDFQTFGRLMSPQACGFTRRNGTRSLGARGRRRIMVVETEPAVVSNPVTTSLLQQLNKGGLEDKSLTGWGKRTRRPRRQRCPPAANPPIATVT >itb03g22830.t1 pep chromosome:ASM357664v1:3:20947056:20950887:-1 gene:itb03g22830 transcript:itb03g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRGSWVCTLFTQVALCFALYVVLNTGQFQRSLYGDNDSVDTYFISVSGGFRPLQEQIHLLKQMEMVAKKNRAKFVINISELGEGDPLVQNATQYYQSLEVPWYTVQALKGQSGNYYFKHIEVTHGRTLDLIAIDTTLYQDVSSSKKEEQLKWLINILEDSQSYWHIVVGFHPLVACGEDVKDRKIEKSFEPLIHIFLKYGVNAYLSRKGCNNYVHKGDLDDSSNVSPVYGPDMISANQEFLYSMEITNGFLLHKVTDLEIVTYFVTSEGDFVRKVALQPRGKANM >itb03g22830.t2 pep chromosome:ASM357664v1:3:20947056:20950848:-1 gene:itb03g22830 transcript:itb03g22830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRGSWVCTLFTQVALCFALYVVLNTGQFQRSLYGDNDSVDTYFISVSGGFRPLQEQIHLLKQMEMVAKKNRAKFVINISELGEGDPLVQNATQYYQSLEVPWYTVQALKGQSGNYYFKHIEVTHGRTLDLIAIDTTLYQDVSSSKKEEQLKWLINILEDSQSYWHIVVGFHPLVACGEDVKDRKIEKSFEPLIHIFLKYGVNAYLSRKGCNNYVHKGDLDDSSNVSPVYGPDMISANQEFLYSMEITNGFLLHKVTDLEIVSTRKLVFYE >itb07g15620.t1 pep chromosome:ASM357664v1:7:18658968:18661025:-1 gene:itb07g15620 transcript:itb07g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVEEFIGDGCLKTLLPMLLEEGWDDVPTLKIMTSEDMAEINMTQQQKDALEIRSYLHSRALMQYADSLETSGKTFTQLLSLSSSDLSSQFGMKRGHIARFIDRTNAYGANPLPESYMLPARRRTTTRNDSIYTRELSSINSRKMQNRTRSTLASEVSVEQSMADYKLKEGHVFKGIVAAMPAEPRACGCVQAPPVVENVAPYTDIENISVQKIMPEYKMGIERLVKTKTPPMKASELWRDLPALLLCIRRPGCIMCRAEAHQLYSKKPIFDSLGIKLIAVIHEYIESEVKDFWPRYWGGAVLHDRGMEFFKALGGGQLLKDKFISGFLLNPRAIANYKRAKAMGLDQNFRGEGEIKGGLFIVGKGKTGIAYQFIERNFGDWAPIAEIIEICRQMQVTLFGFATLPKSCFQHKINTNRSFSINAESKE >itb05g13520.t1 pep chromosome:ASM357664v1:5:20523205:20527661:-1 gene:itb05g13520 transcript:itb05g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSMREGTHFQIQRAPAEAEAAAPMWKIMMVAAIAAGVQFGWALQLSLLTPYVQLLGIPHKFASFIWLCGPISGMIVQPLVGYYSDNSTCRFGRRRPFIVAGAILVIIAVFLIGFAADIGHAAGDQIGKASKPRAIIIFVVGFWVLDVANNTLQGPCRAFLADLSGGSASKMRSANAFFSFFMAVGNVLGYSAGSYADLYKHFSFSKTPVCDIYCANLKSCFIISAIILLSVTTLALSLVGETPAPAEKNPAGAEAPAAACGQLLSALREFKRPMWILLAVTFVNWLAWFPFNLYNTDWMAKEVYGGKVGDGDLYDHGVQAGARGLLFQSVALGAVSLLMEYFGRRLVGAKVIWGGVNFILAAGLAFTVLVTKIAAKTRQYDVHGNALPPNAGVRAGALMLFCVLGIPLA >itb05g13520.t2 pep chromosome:ASM357664v1:5:20521817:20527661:-1 gene:itb05g13520 transcript:itb05g13520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENVSMREGTHFQIQRAPAEAEAAAPMWKIMMVAAIAAGVQFGWALQLSLLTPYVQLLGIPHKFASFIWLCGPISGMIVQPLVGYYSDNSTCRFGRRRPFIVAGAILVIIAVFLIGFAADIGHAAGDQIGKASKPRAIIIFVVGFWVLDVANNTLQGPCRAFLADLSGGSASKMRSANAFFSFFMAVGNVLGYSAGSYADLYKHFSFSKTPVCDIYCANLKSCFIISAIILLSVTTLALSLVGETPAPAEKNPAGAEAPAAACGQLLSALREFKRPMWILLAVTFVNWLAWFPFNLYNTDWMAKEVYGGKVGDGDLYDHGVQAGARGLLFQSVALGAVSLLMEYFGRRLVGAKVIWGGVNFILAAGLAFTVLVTKIAAKTRQYDVHGNALPPNAGVRAGALMLFCVLGIPLAATFSIPFALASIFSSTYGAGQGLSLGVLNISICIPQMILSFGGGPLDALFGGGNLPAFVFGAIAAAISGVMAITVLPSPPPDAKPGATLAFSH >itb01g34220.t2 pep chromosome:ASM357664v1:1:37014276:37016143:-1 gene:itb01g34220 transcript:itb01g34220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKELVPYVEAWSLQKSIVEERKALVQRNEDFVENLIVLQHHPVYTLGAAGSEEYLRFDQKNAPFDVYRTERGGEVTYHGPGQIVMYPIINLRYQKMDLHWYLRALEEVIIRALSSSFSIKASRVEGLTGVWVGDQKLAAIGIKVSQWITFHGLALNVTTDLAAFQQIVPCGIQDRRVGSIKELQSGVSSNSCNKIDDSQLMDIAYKSLLKEFCELFQIDLYYK >itb01g34220.t1 pep chromosome:ASM357664v1:1:37014276:37016143:-1 gene:itb01g34220 transcript:itb01g34220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLPNSGFCATLTRAPIDSCKKNRSSDSVTLSRSTSFKIEAKRRCECYDMHKELVPYVEAWSLQKSIVEERKALVQRNEDFVENLIVLQHHPVYTLGAAGSEEYLRFDQKNAPFDVYRTERGGEVTYHGPGQIVMYPIINLRYQKMDLHWYLRALEEVIIRALSSSFSIKASRVEGLTGVWVGDQKLAAIGIKVSQWITFHGLALNVTTDLAAFQQIVPCGIQDRRVGSIKELQSGVSSNSCNKIDDSQLMDIAYKSLLKEFCELFQIDLYYK >itb03g17500.t1 pep chromosome:ASM357664v1:3:16109878:16111776:1 gene:itb03g17500 transcript:itb03g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKVFFDILIGKNKAGRVVMELFSDVTPKTAENFRALCTGEKGIGNSGKPLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESIYGMKFEDENFKLKHTEPGLLSMANAGRNTNGSQFFITTATTSWLDGKHVVFGKVVDGYSVVKAMECVGSESGKPASHVVIEDCGRVTEN >itb05g06890.t1 pep chromosome:ASM357664v1:5:7796786:7797165:-1 gene:itb05g06890 transcript:itb05g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAAANLIRFICPPPLRVRTSVDEDSNIMAGVKSVNEHCFVYMYSDLDSRANVRVNVHTLLLLCHRPRPGSSIAHPGDDPSVNIEIPCRRDQHCFYCCGNED >itb10g17610.t1 pep chromosome:ASM357664v1:10:23789223:23790566:1 gene:itb10g17610 transcript:itb10g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLHIAMASSSSPIILSILFTSLIFSPLVVSLSFLDQFYKCVSLHSHTSIPFSTAFFSANTNASSFNSVLQSTAQNLVFLVPSAPKPLLIFTPLIESHVQAAVVCTKEAGVQLRVRSGGHDYEGISYTSDMESPAPFVVIDLAKLRGIKVDVEDKSVWVQAGATNGEVYYRVAEKSKILGFPAGTCASLGIGGHVTGGAYGAMLRKYGLAADNALDARIVDATGRVLDRAAMGEDLFWAIRGGGGGSFGILLAWKIKLVPVPATVTVFTVPRTLEQNATKLLYKWQKIADKLDEDLFLRVLVTAVNSTAVKGKRTVQTAFDAVFLGKSDRLLKIMNHSFPELGLTRKDCLEMSWIQSVIYNALYPRTTPPEFLLQGKPFFPKSYFKAKSEFLRTPVPESGLRGLWKIFLKEDTPVMVWNPYGGMMAKIPESATPFPHRKGVILEN >itb07g08570.t3 pep chromosome:ASM357664v1:7:6790546:6798312:-1 gene:itb07g08570 transcript:itb07g08570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKGGVQGLGESIFDSERSIELQEAEDLYQMDNNSPTSGSVLNSNDETPRVKFLCSFGGSILPRPQDGKLRYVGGETRIVSVARDISYEELMSKMRELFEGATVLKYQQPDEDLDALVSVVNDDDVINMMEEYDKLGSGDGFTRLRIFLFSQLDFDGSLHFGDGDDRDNERRYVDALNSLNDSPEYRTPQHGEFPLEDITEQYFNQMSLDGSLHNQRNAEMHIPQIGLHHLNIPHPGLGQPQRYNEMDAPWSPGYYSPRQPGHEPRPVAEIPTSPSSSRYYSPYQEVQEKSYDRTPEEYSRLQVNHQSPHELLFPNGPHHVHHALEGNSIREHCQLTFQRNQPYPDSSWKPGDRPYAESNLGSGLHQVVNSCAECPPNREMIMMSTDANMHHPYYPREQTDPRALYNDTHNHERGWVMQHQSNPRVEEPRTHVSGVGRLTDHYIIDNGINIPMGHSNVADVHHVPPHYVHHDDPRNVRTGQDFGNQVFHDHAVAKGSHIHVPPVDEYGVRYGNSPYAYGADPLYQVPHGPVVSNSTWRNVHGAMHGGPSYEACGSTQVANGSSGPAFIRGVVENSSRLQTGLEYPNSWVDLSQKKVAFDESSVPEYFQGQTRRSTQNAFNQEIQFPNTLEPSESSEKLNLLTPVDPLLRPDSAPFIDDKVVSLSMPGAKPGRPDLEVVDSNVSTGIPIELTRDVDGLESRCTIKAGDNNGSASREKEKLPDDSLSFTPELIASVKQRAMQNVNEVKAKAQEDAHSVIGDEKTTKVGIENEPDAVDVHGGSGIDSDNDNDNLENSKIELTEAEAEAIERGLQTIRNEDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRLIIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQKTLVSGGVRGTLPWMAPELLSGKCNMVTEKIDVYSFGIVMWELLTGDEPYADMHCASIIGGIVNNSLRPQIPTWCDPEWKSLMESCWASDSTERPSFSEISQKLRSMAAAMNVK >itb07g08570.t2 pep chromosome:ASM357664v1:7:6790546:6798312:-1 gene:itb07g08570 transcript:itb07g08570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSPTSGSVLNSNDETPRVKFLCSFGGSILPRPQDGKLRYVGGETRIVSVARDISYEELMSKMRELFEGATVLKYQQPDEDLDALVSVVNDDDVINMMEEYDKLGSGDGFTRLRIFLFSQLDFDGSLHFGDGDDRDNERRYVDALNSLNDSPEYRTPQHGEFPLEDITEQYFNQMSLDGSLHNQRNAEMHIPQIGLHHLNIPHPGLGQPQRYNEMDAPWSPGYYSPRQPGHEPRPVAEIPTSPSSSRYYSPYQEVQEKSYDRTPEEYSRLQVNHQSPHELLFPNGPHHVHHALEGNSIREHCQLTFQRNQPYPDSSWKPGDRPYAESNLGSGLHQVVNSCAECPPNREMIMMSTDANMHHPYYPREQTDPRALYNDTHNHERGWVMQHQSNPRVEEPRTHVSGVGRLTDHYIIDNGINIPMGHSNVADVHHVPPHYVHHDDPRNVRTGQDFGNQVFHDHAVAKGSHIHVPPVDEYGVRYGNSPYAYGADPLYQVPHGPVVSNSTWRNVHGAMHGGPSYEACGSTQVANGSSGPAFIRGVVENSSRLQTGLEYPNSWVDLSQKKVAFDESSVPEYFQGQTRRSTQNAFNQEIQFPNTLEPSESSEKLNLLTPVDPLLRPDSAPFIDDKVVSLSMPGAKPGRPDLEVVDSNVSTGIPIELTRDVDGLESRCTIKAGDNNGSASREKEKLPDDSLSFTPELIASVKQRAMQNVNEVKAKAQEDAHSVIGDEKTTKVGIENEPDAVDVHGGSGIDSDNDNDNLENSKIELTEAEAEAIERGLQTIRNEDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRLIIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQKTLVSGGVRGTLPWMAPELLSGKCNMVTEKIDVYSFGIVMWELLTGDEPYADMHCASIIGGIVNNSLRPQIPTWCDPEWKSLMESCWASDSTERPSFSEISQKLRSMAAAMNVK >itb07g08570.t1 pep chromosome:ASM357664v1:7:6790147:6798312:-1 gene:itb07g08570 transcript:itb07g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKGGVQGLGESIFDSERSIELQEAEDLYQMDNNSPTSGSVLNSNDETPRVKFLCSFGGSILPRPQDGKLRYVGGETRIVSVARDISYEELMSKMRELFEGATVLKYQQPDEDLDALVSVVNDDDVINMMEEYDKLGSGDGFTRLRIFLFSQLDFDGSLHFGDGDDRDNERRYVDALNSLNDSPEYRTPQHGEFPLEDITEQYFNQMSLDGSLHNQRNAEMHIPQIGLHHLNIPHPGLGQPQRYNEMDAPWSPGYYSPRQPGHEPRPVAEIPTSPSSSRYYSPYQEVQEKSYDRTPEEYSRLQVNHQSPHELLFPNGPHHVHHALEGNSIREHCQLTFQRNQPYPDSSWKPGDRPYAESNLGSGLHQVVNSCAECPPNREMIMMSTDANMHHPYYPREQTDPRALYNDTHNHERGWVMQHQSNPRVEEPRTHVSGVGRLTDHYIIDNGINIPMGHSNVADVHHVPPHYVHHDDPRNVRTGQDFGNQVFHDHAVAKGSHIHVPPVDEYGVRYGNSPYAYGADPLYQVPHGPVVSNSTWRNVHGAMHGGPSYEACGSTQVANGSSGPAFIRGVVENSSRLQTGLEYPNSWVDLSQKKVAFDESSVPEYFQGQTRRSTQNAFNQEIQFPNTLEPSESSEKLNLLTPVDPLLRPDSAPFIDDKVVSLSMPGAKPGRPDLEVVDSNVSTGIPIELTRDVDGLESRCTIKAGDNNGSASREKEKLPDDSLSFTPELIASVKQRAMQNVNEVKAKAQEDAHSVIGDEKTTKVGIENEPDAVDVHGGSGIDSDNDNDNLENSKIELTEAEAEAIERGLQTIRNEDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRLIIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQKTLVSGGVRGTLPWMAPELLSGKCNMVTEKIDVYSFGIVMWELLTGDEPYADMHCASIIGGIVNNSLRPQIPTWCDPEWKSLMESCWASDSTERPSFSEISQKLRSMAAAMNVK >itb06g09330.t1 pep chromosome:ASM357664v1:6:13544687:13551329:1 gene:itb06g09330 transcript:itb06g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSFESLVFLGFLVLLSFGGSVEANDGTTLMEIKKAFKDVENVLYDWTDSPSSDYCGWRGVSCDNVTFNVVALNLSGLNLDGELSPAIGQLKGLLSLDLKQNRLLGQIPDEIGDCSSLKSLDLSYNELYGDIPFSISKLKQLEGLILKNNQLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGSLSPDMCQLTGLWYFDVRNNSLTGSIPRSIGNCTAFQVLDLSYNNLTGEIPYNIGFLQVATLSLQGNKLSGKIPPVIGLMQALAVLDLSCNVLSGPIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMTNLHYLELNNNLLTGRIPPELGKLTELFDLNVAENLLDGPIPDNLSSCKNLNSLNVHGNKLNGTIPHAFQKLETMTYLNLSSNGISGPIPIELSHIGNLDTLDLSNNKIRGSIPDSLGDLEHLLKLDISSNHISGPIPQELSQLQYLFSLRVENNNLSGDVVTLANCPALSVLNVSYNNLVGNIPTGNNFSRFSPDSFIGNPYLCGYQLNSCQSSHPRGRVMISKRSILGIALGGLMILLMILVAACRPQNPTRMEASIDKPIYYYSSPKLVILHMNMALHVYEDIMRMTENLSEKYMIGYGASSTVYKCVLKNCRPVAIKKLYSHHPQCLKEFETELETVGSIKHRNLVCLQGYSLSAAGHLLFYDYMENGSLWDLLHGPTKKKKLDWDTRLRIALGAAEGLAYLHHDCNPRIIHRDVKSSNILLDNDFEPHLTDFGIAKSLCTSKSYTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILTKAANNAVMEAVDPEISATCKDLGDAKKVFQLALLCTKRQPFERPTMHEVVRVLESLKQSTEPKQLNPTALAPLPSAHTPCYKDEYANLKTPHLVNYSSISTSDAQLFLKFGEVISQNSSG >itb11g01960.t4 pep chromosome:ASM357664v1:11:969536:973426:-1 gene:itb11g01960 transcript:itb11g01960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGVFDLASGVGGKIEKNEVLSSVDKYEKYHGYYGGEEEERKSNYTDMVNKYYDLVTSFYEYGWGECFHFAPRWKGESLQESIKRHEHFLALHLNLKPGQTVLDVGCGIGGPLREIARFSLTKVTGLNNNEYQITRGKVLNRAAGLEQTCGFVKADFMKMPFPDNNFDAVYAIEATCHAPDAVGCYKEVYRVLKPGQCFAAYEWCMTDSYNPDSSEHKKIKSEIELGNGLPEIRMTTQCLEAAKKAGFEVVWEKDLAEDSPIPWYLPLDTSHFSLSSFRLTAVGRLVTRNLVKALEYVGIAPKGSQRVQDFLEKAAEGLVAGGKKGIFTPMYFFVVRKPISASE >itb11g01960.t3 pep chromosome:ASM357664v1:11:969558:973426:-1 gene:itb11g01960 transcript:itb11g01960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGVFDLASGVGGKIEKNEVLSSVDKYEKYHGYYGGEEEERKSNYTDMVNKYYDLVTSFYEYGWGECFHFAPRWKGESLQESIKRHEHFLALHLNLKPGQTVLDVGCGIGGPLREIARFSLTKVTGLNNNEYQITRGKVLNRAAGLEQTCGFVKADFMKMPFPDNNFDAVYAIEATCHAPDAVGCYKEVYRVLKPGQCFAAYEWCMTDSYNPDSSEHKKIKSEIELGNGLPEIRMTTQCLEAAKKAGFEVVWEKDLAEDSPIPWYLPLDTSHFSLSSFRLTAVGRLVTRNLVKALEYVGIAPKGSQRVQDFLEKAAEGLVAGGKKGIFTPMYFFVVRKPISASE >itb11g01960.t1 pep chromosome:ASM357664v1:11:969536:973426:-1 gene:itb11g01960 transcript:itb11g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGVFDLASGVGGKIEKNEVLSSVDKYEKYHGYYGGEEEERKSNYTDMVNKYYDLVTSFYEYGWGECFHFAPRWKGESLQESIKRHEHFLALHLNLKPGQTVLDVGCGIGGPLREIARFSLTKVTGLNNNEYQITRGKVLNRAAGLEQTCGFVKADFMKMPFPDNNFDAVYAIEATCHAPDAVGCYKEVYRVLKPGQCFAAYEWCMTDSYNPDSSEHKKIKSEIELGNGLPEIRMTTQCLEAAKKAGFEVVWEKDLAEDSPIPWYLPLDTSHFSLSSFRLTAVGRLVTRNLVKALEYVGIAPKGSQRVQDFLEKAAEGLVAGGKKGIFTPMYFFVVRKPISASE >itb11g01960.t2 pep chromosome:ASM357664v1:11:969536:973426:-1 gene:itb11g01960 transcript:itb11g01960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGVFDLASGVGGKIEKNEVLSSVDKYEKYHGYYGGEEEERKSNYTDMVNKYYDLVTSFYEYGWGECFHFAPRWKGESLQESIKRHEHFLALHLNLKPGQTVLDVGCGIGGPLREIARFSLTKVTGLNNNEYQITRGKVLNRAAGLEQTCGFVKADFMKMPFPDNNFDAVYAIEATCHAPDAVGCYKEVYRVLKPGQCFAAYEWCMTDSYNPDSSEHKKIKSEIELGNGLPEIRMTTQCLEAAKKAGFEVVWEKDLAEDSPIPWYLPLDTSHFSLSSFRLTAVGRLVTRNLVKALEYVGIAPKGSQRVQDFLEKAAEGLVAGGKKGIFTPMYFFVVRKPISASE >itb02g01790.t1 pep chromosome:ASM357664v1:2:979415:986156:-1 gene:itb02g01790 transcript:itb02g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQQAGQTKSSVNGFGRHKLQNAKANSIRLTSVGNGGGFESSPRDRLFYVTACLIGHQVEVQVLDGSVFSGILHATNADKDFDIVLKMARLVKDGSRGQNYTSESLSKPASRTFIIPAKELVQVTSKGVHLTPDDVTNEYQLEKQHELMTDSCISQSRPIEGERELERWVPDDDTPECPELENIFDGHWNRGWNQFEVNETLFGVKSTFNEELYTTKLERGPQMRDLEREALRIAKEIEGEETHDLHLAEERGAQLHGNIEIDEETRYSSVFRGVDDSGCDDCEDILLDSRNDETFQDVSSSVMVKSLTSMSSKRSNDGAQEPSRTSSMDEAQLSLLSTCGDISLTGCDNQAKQLSAEHVYVMDDSRVHEIQISEQTGTSCSNTDVGKQMPAEEGSEVLKSEDMPEGQGKVRRTAQSANSCTHSSVVSTSDRGGTALVSAGSGLSNSSSVSSLSSEKSNLNPHAKEFKLNPNAKSFVPSQSPLRPASPVSDGSFYYPTNVTPVQHMHGMPVGVGIGPSYAGHQPVMFNHQVAPTPQPYFLPNGPQYGQQMIIGHPRQVVYMPTYPPEMPFRGGDF >itb02g01790.t2 pep chromosome:ASM357664v1:2:979415:984330:-1 gene:itb02g01790 transcript:itb02g01790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVKDGSRGQNYTSESLSKPASRTFIIPAKELVQVTSKGVHLTPDDVTNEYQLEKQHELMTDSCISQSRPIEGERELERWVPDDDTPECPELENIFDGHWNRGWNQFEVNETLFGVKSTFNEELYTTKLERGPQMRDLEREALRIAKEIEGEETHDLHLAEERGAQLHGNIEIDEETRYSSVFRGVDDSGCDDCEDILLDSRNDETFQDVSSSVMVKSLTSMSSKRSNDGAQEPSRTSSMDEAQLSLLSTCGDISLTGCDNQAKQLSAEHVYVMDDSRVHEIQISEQTGTSCSNTDVGKQMPAEEGSEVLKSEDMPEGQGKVRRTAQSANSCTHSSVVSTSDRGGTALVSAGSGLSNSSSVSSLSSEKSNLNPHAKEFKLNPNAKSFVPSQSPLRPASPVSDGSFYYPTNVTPVQHMHGMPVGVGIGPSYAGHQPVMFNHQVAPTPQPYFLPNGPQYGQQMIIGHPRQVVYMPTYPPEMPFRGGDF >itb13g12120.t1 pep chromosome:ASM357664v1:13:18232826:18236052:1 gene:itb13g12120 transcript:itb13g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGRRAKRSEAFWPSIVMKKWLNIQPKVYDFSEDEVDTESEDDACSVKDERVHEDHGHGGGEEKPSDCFSDQTCGKPSTEYSLRHRRGKSETLRVQYINTKDVRVTVGTWNVAGRVPSDDLEIDDWICMQEAADVYILGFQEVVPLSAGNVLGAENRRPIQKWEAIIRRTLNKTSEPEDPKVKSYSAPPSPVLRTSSASDIIADAIDAPVLDAMSDESIDPTCISASAPAPMNLSLKRVYGIGSEVRLDWPERPLDAAAVTQALSSSFKLRRVLSSSARFGFESVDNSQCFGARGLVGGGLKRGHRSSGNLGLMWMPKPQLQLQQDHPVEEVDFLSDSSSDEFSDEEGDSFMEFPEVHKETFPVKVVKKSHPKYVRIVSKQMVGIYVSVWVRRRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMSVFQSQLCFVCSHLTSGQKDGAEHRRNADVNEILRRTSFSSVFDAEAADNPLTIPSHDQIFWFGDLNYRISLADSEVRKLVSRKQWDELLDHDQLSKELRSGHVFEGWKEGAINFAPTYKYEFNSDRYIGEIPREGEKKRSPAWCDRILWLGKGIKQLFYKRADIRLSDHRPVSSMFTVEVEIFNQRKLQRALNVKAAAIHPDILLLDQI >itb13g12120.t3 pep chromosome:ASM357664v1:13:18232895:18236024:1 gene:itb13g12120 transcript:itb13g12120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAADVYILGFQEVVPLSAGNVLGAENRRPIQKWEAIIRRTLNKTSEPEDPKVKSYSAPPSPVLRTSSASDIIADAIDAPVLDAMSDESIDPTCISASAPAPMNLSLKRVYGIGSEVRLDWPERPLDAAAVTQALSSSFKLRRVLSSSARFGFESVDNSQCFGARGLVGGGLKRGHRSSGNLGLMWMPKPQLQLQQDHPVEEVDFLSDSSSDEFSDEEGDSFMEFPEVHKETFPVKVVKKSHPKYVRIVSKQMVGIYVSVWVRRRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMSVFQSQLCFVCSHLTSGQKDGAEHRRNADVNEILRRTSFSSVFDAEAADNPLTIPSHDQIFWFGDLNYRISLADSEVRKLVSRKQWDELLDHDQLSKELRSGHVFEGWKEGAINFAPTYKYEFNSDRYIGEIPREGEKKRSPAWCDRILWLGKGIKQLFYKRADIRLSDHRPVSSMFTVEVEIFNQRKLQRALNVKAAAIHPDILLLDQI >itb13g12120.t4 pep chromosome:ASM357664v1:13:18233189:18236024:1 gene:itb13g12120 transcript:itb13g12120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAADVYILGFQEVVPLSAGNVLGAENRRPIQKWEAIIRRTLNKTSEPEDPKVKSYSAPPSPVLRTSSASDIIADAIDAPVLDAMSDESIDPTCISASAPAPMNLSLKRVYGIGSEVRLDWPERPLDAAAVTQALSSSFKLRRVLSSSARFGFESVDNSQCFGARGLVGGGLKRGHRSSGNLGLMWMPKPQLQLQQDHPVEEVDFLSDSSSDEFSDEEGDSFMEFPEVHKETFPVKVVKKSHPKYVRIVSKQMVGIYVSVWVRRRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMSVFQSQLCFVCSHLTSGQKDGAEHRRNADVNEILRRTSFSSVFDAEAADNPLTIPSHDQIFWFGDLNYRISLADSEVRKLVSRKQWDELLDHDQLSKELRSGHVFEGWKEGAINFAPTYKYEFNSDRYIGEIPREGEKKRSPAWCDRILWLGKGIKQLFYKRADIRLSDHRPVSSMFTVEVEIFNQRKLQRALNVKAAAIHPDILLLDQI >itb13g12120.t2 pep chromosome:ASM357664v1:13:18232826:18236052:1 gene:itb13g12120 transcript:itb13g12120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGRRAKRSEAFWPSIVMKKWLNIQPKVYDFSEDEVDTESEDDACSVKDERVHEDHGHGGGEEKPSDCFSDQTCGKPSTEYSLRHRRGKSETLRVQYINTKDVRVTVGTWNVAGRVPSDDLEIDDWICMQEAADVYILGFQEVVPLSAGNVLGAENRRPIQKWEAIIRRTLNKTSEPEDPKVKSYSAPPSPVLRTSSASDIIADAIDAPVLDAMSDESIDPTCISASAPAPMNLSLKRVYGIGSEVRLDWPERPLDAAAVTQALSSSFKLRRVLSSSARFGFESVDNSQCFGARGLVGGGLKRGHRSSGNLGLMWMPKPQLQLQQDHPVEEVDFLSDSSSDEFSDEEGDSFMEFPEVHKETFPVKVVKKSHPKYVRIVSKQMVGIYVSVWVRRRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMSVFQSQLCFVCSHLTSGQKDGAEHRRNADVNEILRRTSFSSVFDAEAADNPLTIPSHDQIFWFGDLNYRISLADSEVRKLVSRKQWDELLDHDQLSKELRSGHVFEGWKEGAINFAPTYKYEFNSDRYIGEIPREGEKKRSPAWCDRILWLGKGIKQLFYKRADIRLSDHRPVSSMFTVEVEIFNQRKLQRALNVKAAAIHPDILLLDQI >itb04g10060.t1 pep chromosome:ASM357664v1:4:9312730:9313137:1 gene:itb04g10060 transcript:itb04g10060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSQRNDGGALVAKPSAADDRKYASPPASSITVSKKVIINSADMKDDMQKEAVDIAIAAFEKNSLEKDVAEHIKEFDKKHDPIYPTAMAAGLGSSTQT >itb05g02300.t1 pep chromosome:ASM357664v1:5:1819195:1822596:-1 gene:itb05g02300 transcript:itb05g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKKAGRHVNKAAPNFSAPGRNLSKSDLGAVIFGCKHYTIQECFSKMLFGLPHLHSPYVKKVSPGLPLFLFNYSDRKLHGIFKAVGHGQLNIDPHAWTGGSVELTEYPAQVQFKIYKRCHALTEDQFSPIIADNYYETKLFWFELDQFQTAKLIALFSPSSVPNDGLHSRNIPRTTSEASTSLGKSNAQVPFEQNRWSALLSDDSTSDMVNKDGNLKLVSSHPNSPLSKQSSEEWKSPTSHLINKSGELDKVCAEEWAEAITSLPQSNAHVPFEQKAWSSLFKDNSTSDMVNKFENLKIVSSHPSTPMSKQSNEEWKSQTSHLINKSGELHKARAEERAELMQVVETLMDRQRKQEEKIWTLEKELVQSRDEYKKLQNWCNILQAGLGPSSQQFEGVDCEPLNCNLKTDDYALVVGGFDGYALLHEMSSYSPLHDRMESLSPMTFQRTCHSVANLNSEVYVLGGVYGDETYDTVESYNPKTNQWCQRPSLNHKKQSLAGASLYNKIFAVGGNGVEYFSEVEMLDLNIGKWIHSGSMLEKRVATAAVEINGALYVVGGMDGQDYLK >itb09g00830.t1 pep chromosome:ASM357664v1:9:521700:524547:1 gene:itb09g00830 transcript:itb09g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSLPALSPVREKSFKPFSFLQKTLAKPCLFPCSATRKSIVTAASKNPISDVVSNNGNSDYFASHFDDDGDNDHLREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVAVHDNVLQHFTGVGLVSDVFSESKLDKLPGELAIGHVRYSTAGSSMLKNVQPFVASYRFGSVGVAHNGNLVNYQALRAELEESGSIFNTSSDTEVILHLIAISKARPFLLRIVEACEKIKGAYSLVFVTEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVNPGEVVVVDKEGVQSLCLMTHPEPKACIFEHIYFALPNSVVFGRSVYESRSKFGEILATEAPVECDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSAEELISNRMTVEEIRDFIGSDSLAFLPIDSLKNLLGSESPNFCYACFSGKYPVQPRGKVKRVGDFMDDGLSGNMGSIDGGWLQGTRVLNEKEDEKVTYCYAEAEADHEPEIETGTIVDAVAVAATDACLLEQTDVGASATSED >itb09g00830.t2 pep chromosome:ASM357664v1:9:521682:523899:1 gene:itb09g00830 transcript:itb09g00830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSLPALSPVREKSFKPFSFLQKTLAKPCLFPCSATRKSIVTAASKNPISDVVSNNGNSDYFASHFDDDGDNDHLREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVAVHDNVLQHFTGVGLVSDVFSESKLDKLPGELAIGHVRYSTAGSSMLKNVQPFVASYRFGSVGVAHNGNLVNYQALRAELEESGSIFNTSSDTEVILHLIAISKARPFLLRIVEACEKIKGAYSLVFVTEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVNPGEVVVVDKEGVQSLCLMTHPEPKACIFEHIYFALPNSVVFGRSVYESRSKFGEILATEAPVECDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSAEELISNRMTVEEIRDFIGSDSLAFLPIDSLKNLLGSESPNFCYACFSGKYPVQPRGKVKRVGDFMDDGLSGNMGSIDGGWLQGTRVLNEKEDEKVTVEF >itb04g03840.t2 pep chromosome:ASM357664v1:4:2422955:2424145:-1 gene:itb04g03840 transcript:itb04g03840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGMIWATAEDMARNRGRVLSLYRQILRSLNSPILPLNLAARLQKKAEARAMFLLGAEERSLHNIEDLIDAAEYTLSLLKKGELPKHILV >itb04g03840.t1 pep chromosome:ASM357664v1:4:2421028:2424145:-1 gene:itb04g03840 transcript:itb04g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGMIWATAEDMARNRGRVLSLYRQILRSLNSPILPLNLAARLQKKAEARAMFLLGAEERSLHNIEDLIDAAEYTLSLLKKGELPKHILV >itb12g00610.t1 pep chromosome:ASM357664v1:12:451815:452515:1 gene:itb12g00610 transcript:itb12g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAILVAEEYERRIKNARKLGSSEGEVIELLSVFKGQKLEGSSSSLVKVKLSGGEEKRLEVMMMKKKNKVLQPHFEVGVAARNGFFSA >itb02g04090.t5 pep chromosome:ASM357664v1:2:2447947:2452795:1 gene:itb02g04090 transcript:itb02g04090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPNVHRLLKSVSRGENTVNKLGEKKHICSFNTITSSNPSYNIKFLKMKWKKLDCLASQIGGSKNIFCEIFGDQKAENTFWLDSSSTEKGRARFSFMGEKGGSLWKQLTFRLSNQRDEAYKGGGYLTVEDASGYVQTSYLEDGFFDYLNKELGSFCYDEKDYEGLPFDFYGGYIGYIGYDLKVECGMTTNRHKSRTPDACFFFSDNLIVIDHDHDNVYVLSLHDRITSPSPWLDNIEQRLLNLKSSHSTTFVPPASRASENFLVKTCFSAEKSREQYIKDIENCQDFIKDGESYELCLTTQMRMTIGEIDSLGLYVSLREKNPAPYAAWLNFSRENLRICCSSPERFMRLDRDAVLEAKPIKGTIARGSTPKEDELLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEIESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTVVIQDGEASIGAGGAITALSNPKDEYEEMILKTKAPSNAVIEYVRDA >itb02g04090.t1 pep chromosome:ASM357664v1:2:2444980:2452795:1 gene:itb02g04090 transcript:itb02g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTLSSSISDVGLSFCGNIRSRNQNYFVPETFARIGDSNTKDKFQRSHHDVRKMIISSHLVPRPLEGTTLKKQLEAPVKKLELIRTLLIDNYDSYTYNIFQELSVINGLPPMVVRNDEWTWEDFYHYLYEEKAFDNIVISPGPGSPTCPSDIGLCLRLLLECSDIPILGVCLGHQALGYVHGARVVHAPEPVHGRLSEVVHNGSQLFHEIPSGRNSGFKVVRYHSLVIEPESLPNFLIPIAWTPTTKTTPFLGRSQSNSFTDACEGVNGKKIYVGHLSKRDGKSSFSYAEEIQAGQIIMGIKHSSRPHYGVQFHPESVATYYGKQIFKNFAKITKDYWLGLRSSISERKADYAACMQVPNVHRLLKSVSRGENTVNKLGEKKHICSFNTITSSNPSYNIKFLKMKWKKLDCLASQIGGSKNIFCEIFGDQKAENTFWLDSSSTEKGRARFSFMGEKGGSLWKQLTFRLSNQRDEAYKGGGYLTVEDASGYVQTSYLEDGFFDYLNKELGSFCYDEKDYEGLPFDFYGGYIGYIGYDLKVECGMTTNRHKSRTPDACFFFSDNLIVIDHDHDNVYVLSLHDRITSPSPWLDNIEQRLLNLKSSHSTTFVPPASRASENFLVKTCFSAEKSREQYIKDIENCQDFIKDGESYELCLTTQMRMTIGEIDSLGLYVSLREKNPAPYAAWLNFSRENLRICCSSPERFMRLDRDAVLEAKPIKGTIARGSTPKEDELLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEIESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTVVIQDGEASIGAGGAITALSNPKDEYEEMILKTKAPSNAVIEYVRDA >itb02g04090.t6 pep chromosome:ASM357664v1:2:2447947:2452795:1 gene:itb02g04090 transcript:itb02g04090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKHSSRPHYGVQFHPESVATYYGKQIFKNFAKITKDYWLGLRSSISERKADYAACMQVPNVHRLLKSVSRGENTVNKLGEKKHICSFNTITSSNPSYNIKFLKMKWKKLDCLASQIGGSKNIFCEIFGDQKAENTFWLDSSSTEKGRARFSFMGEKGGSLWKQLTFRLSNQRDEAYKGGGYLTVEDASGYVQTSYLEDGFFDYLNKELGSFCYDEKDYEGLPFDFYGGYIGYIGYDLKVECGMTTNRHKSRTPDACFFFSDNLIVIDHDHDNVYVLSLHDRITSPSPWLDNIEQRLLNLKSSHSTTFVPPASRASENFLVKTCFSAEKSREQYIKDIENCQDFIKDGESYELCLTTQMRMTIGEIDSLGLYVSLREKNPAPYAAWLNFSRENLRICCSSPERFMRLDRDAVLEAKPIKGTIARGSTPKEDELLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEIESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTVVIQDGEASIGAGGAITALSNPKDEYEEMILKTKAPSNAVIEYVRDA >itb02g04090.t3 pep chromosome:ASM357664v1:2:2446308:2452795:1 gene:itb02g04090 transcript:itb02g04090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNDEWTWEDFYHYLYEEKAFDNIVISPGPGSPTCPSDIGLCLRLLLECSDIPILGVCLGHQALGYVHGARVVHAPEPVHGRLSEVVHNGSQLFHEIPSGRNSGFKVVRYHSLVIEPESLPNFLIPIAWTPTTKTTPFLGRSQSNSFTDACEGVNGKKIYVGHLSKRDGKSSFSYAEEIQAGQIIMGIKHSSRPHYGVQFHPESVATYYGKQIFKNFAKITKDYWLGLRSSISERKADYAACMQVPNVHRLLKSVSRGENTVNKLGEKKHICSFNTITSSNPSYNIKFLKMKWKKLDCLASQIGGSKNIFCEIFGDQKAENTFWLDSSSTEKGRARFSFMGEKGGSLWKQLTFRLSNQRDEAYKGGGYLTVEDASGYVQTSYLEDGFFDYLNKELGSFCYDEKDYEGLPFDFYGGYIGYIGYDLKVECGMTTNRHKSRTPDACFFFSDNLIVIDHDHDNVYVLSLHDRITSPSPWLDNIEQRLLNLKSSHSTTFVPPASRASENFLVKTCFSAEKSREQYIKDIENCQDFIKDGESYELCLTTQMRMTIGEIDSLGLYVSLREKNPAPYAAWLNFSRENLRICCSSPERFMRLDRDAVLEAKPIKGTIARGSTPKEDELLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEIESYATVHTMVSTIRGKKRSDVSAVDCVKAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGCIGFFSYNQTFDLNIVIRTVVIQDGEASIGAGGAITALSNPKDEYEEMILKTKAPSNAVIEYVRDA >itb02g04090.t4 pep chromosome:ASM357664v1:2:2446308:2452795:1 gene:itb02g04090 transcript:itb02g04090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNDEWTWEDFYHYLYEEKAFDNIVISPGPGSPTCPSDIGLCLRLLLECSDIPILGVCLGHQALGYVHGARVVHAPEPVHGRLSEVVHNGSQLFHEIPSGRNSGFKVVRYHSLVIEPESLPNFLIPIAWTPTTKTTPFLGRSQSNSFTDACEGVNGKKIYVGHLSKRDGKSSFSYAEEIQAGQIIMGIKHSSRPHYGVQFHPESVATYYGKQIFKNFAKITKDYWLGLRSSISERKADYAACMQVPNVHRLLKSVSRGENTVNKLGEKKHICSFNTITSSNPSYNIKFLKMKWKKLDCLASQIGGSKNIFCEIFGDQKAENTFWLDSSSTEKGRARFSFMGEKGGSLWKQLTFRLSNQRDEAYKGGGYLTVEDASGYVQTSYLEDGFFDYLNKELGSFCYDEKDYEGLPFDFYGGYIGYIGYDLKVECGMTTNRHKSRTPDACFFFSDNLIVIDHDHDNVYVLSLHDRITSPSPWLDNIEQRLLNLKSSHSTTFVPPASRASENFLVKTCFSAEKSREQYIKDIENCQDFIKDGESYELCLTTQMRMTIGEIDSLGLYVSLREKNPAPYAAWLNFSRENLRICCSSPERFMRLDRDAVLEAKPIKGTIARGSTPKEDELLKLQLQYRNLLLS >itb02g04090.t2 pep chromosome:ASM357664v1:2:2444992:2452795:1 gene:itb02g04090 transcript:itb02g04090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTLSSSISDVGLSFCGNIRSRNQNYFVPETFARIGDSNTKDKFQRSHHDVRKMIISSHLVPRPLEGTTLKKQLEAPVKKLELIRTLLIDNYDSYTYNIFQELSVINGLPPMVVRNDEWTWEDFYHYLYEEKAFDNIVISPGPGSPTCPSDIGLCLRLLLECSDIPILGVCLGHQALGYVHGARVVHAPEPVHGRLSEVVHNGSQLFHEIPSGRNSGFKVVRYHSLVIEPESLPNFLIPIAWTPTTKTTPFLGRSQSNSFTDACEGVNGKKIYVGHLSKRDGKSSFSYAEEIQAGQIIMGIKHSSRPHYGVQFHPESVATYYGKQIFKNFAKITKDYWLGLRSSISERKADYAACMQVPNVHRLLKSVSRGENTVNKLGEKKHICSFNTITSSNPSYNIKFLKMKWKKLDCLASQIGGSKNIFCEIFGDQKAENTFWLDSSSTEKGRARFSFMGEKGGSLWKQLTFRLSNQRDEAYKGGGYLTVEDASGYVQTSYLEDGFFDYLNKELGSFCYDEKDYEGLPFDFYGGYIGYIGYDLKVECGMTTNRHKSRTPDACFFFSDNLIVIDHDHDNVYVLSLHDRITSPSPWLDNIEQRLLNLKSSHSTTFVPPASRASENFLVKTCFSAEKSREQYIKDIENCQDFIKDGESYELCLTTQMRMTIGEIDSLGLYVSLREKNPAPYAAWLNFSRENLRICCSSPERFMRLDRDAVLEAKPIKGTIARGSTPKEDELLKLQLQYRNLLLS >itb09g05940.t2 pep chromosome:ASM357664v1:9:3379123:3381415:1 gene:itb09g05940 transcript:itb09g05940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQKWIIVVFLIFSLHVCAMAADDFDINFEWHECGRSGTYSAGSTYGNNLNTLVSSLSDKLNNYGFYNGSIGQDSDRASAIALCRGDADINLCRSCVSETARRIIGWCPTQKEAFGWYNICSIYYSDKSIIGGSWQTTPVKEQNNDWIIGEINAVEFNEDLTRLVNRLRGRAANGDQFLKYAADSTPIPESDTIYAYMQCTPDLSVQDCTDCLNNATNEWNNSEGNSRKGARVLRPNCIFRYKNSSFFSNTLINQSVSTPPPTPPPPPPGPDGNNKTVITIVVCTAAGLIGVAICIFIIYRELQKRKANSFVKTLEETSSPDEISTVESSLKYDLITLQNATNNFSEENKLGEGGFGPVYKGKLENGLEIAVKRLSENSRQGL >itb09g05940.t4 pep chromosome:ASM357664v1:9:3379123:3381415:1 gene:itb09g05940 transcript:itb09g05940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQKWIIVVFLIFSLHVCAMAADDFDINFEWHECGRSGTYSAGSTYGNNLNTLVSSLSDKLNNYGFYNGSIGQDSDRASAIALCRGDADINLCRSCVSETARRIIGWCPTQKEAFGWYNICSIYYSDKSIIGGSWQTTPVKEQNNDWIIGEINAVEFNEDLTRLVNRLRGRAANGDQFLKYAADSTPIPESDTIYAYMQCTPDLSVQDCTDCLNNATNEWNNSEGNSRKGARVLRPNCIFRYKNSSFFSNTLINQSVSTPPPTPPPPPPGPDGNNKTVITIVVCTAAGLIGVAICIFIIYRELQKRKANSFVKTLEETSSPDEISTVESSLKYDLITLQNATNNFSEENKLGEGGFGPVYKGKLENGLEIAVKRLSENSRQGNLEFKNEVTLMTKLQHRNLVRLLGYCHEEREMILIYEFVPNSDLDNILFGNSSQTHLLH >itb09g05940.t1 pep chromosome:ASM357664v1:9:3378609:3382296:1 gene:itb09g05940 transcript:itb09g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQKWIIVVFLIFSLHVCAMAADDFDINFEWHECGRSGTYSAGSTYGNNLNTLVSSLSDKLNNYGFYNGSIGQDSDRASAIALCRGDADINLCRSCVSETARRIIGWCPTQKEAFGWYNICSIYYSDKSIIGGSWQTTPVKEQNNDWIIGEINAVEFNEDLTRLVNRLRGRAANGDQFLKYAADSTPIPESDTIYAYMQCTPDLSVQDCTDCLNNATNEWNNSEGNSRKGARVLRPNCIFRYKNSSFFSNTLINQSVSTPPPTPPPPPPGPDGNNKTVITIVVCTAAGLIGVAICIFIIYRELQKRKANSFVKTLEETSSPDEISTVESSLKYDLITLQNATNNFSEENKLGEGGFGPVYKGKLENGLEIAVKRLSENSRQGNLEFKNEVTLMTKLQHRNLVRLLGYCHEEREMILIYEFVPNSDLDNILFDPIKRGYLDWGKRYKIITRIARGLVYLHEDSRLCIVHCDLKASNILLDKDLNPKIADFGTARLFTLDETQDSTSRIVGTYGYMAPEYVRQGLFSVKSDVYSFGVLVLEIISGEKNTRFQNEESMTDLLSYAWTHWKGGSASNVIDPMLRGTSSPVHEITKCIHIALLCVQENVTDRPTMGEVLQMLSNLTMSLPIPIAPGFFIHSNINSEASNQSTRNEMSISEQFPR >itb09g05940.t3 pep chromosome:ASM357664v1:9:3379123:3381415:1 gene:itb09g05940 transcript:itb09g05940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQKWIIVVFLIFSLHVCAMAADDFDINFEWHECGRSGTYSAGSTYGNNLNTLVSSLSDKLNNYGFYNGSIGQDSDRASAIALCRGDADINLCRSCVSETARRIIGWCPTQKEAFGWYNICSIYYSDKSIIGGSWQTTPVKEQNNDWIIGEINAVEFNEDLTRLVNRLRGRAANGDQFLKYAADSTPIPESDTIYAYMQCTPDLSVQDCTDCLNNATNEWNNSEGNSRKGARVLRPNCIFRYKNSSFFSNTLINQSVSTPPPTPPPPPPGPDGNNKTVITIVVCTAAGLIGVAICIFIIYRELQKRKANSFVKTLEETSSPDEISTVESSLKYDLITLQNATNNFSEENKLGEGGFGPVYKGKLENGLEIAVKRLSENSRQGNLEFKNEVTLMTKLQHRNLVRLLGYCHEEREMILIYEFVPNSDLDNILFDPIKRGYLDWGKRYKIITRIARGLVYLHEDSRLCIVHCDLKASNILLDKDLNPKIADFGTARLFTLDETQDSTSRIVGT >itb06g25360.t1 pep chromosome:ASM357664v1:6:26462041:26466478:1 gene:itb06g25360 transcript:itb06g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAYRKELRKRELKRNKKERKKVREVGILKKDPEQLREQIQKLEVMKAEGALDKARKHKKRQLEDTLNLVIKKRKEYEDKLKEKGEAPVMFSHLGPPPRRRATAEEEDRVKHPKPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLSEASSSGAGSSSMLELEDGGLPASLPPPSPPYPSNVDSVDGSMMPSSLPLPPPPPMPPKPATADLDASLPTPPLPPPPPGPPPKEHGGIHSSLPPPPPPPFHHSAQPPPPGIGGNELGKNQSGKVEDPSSMEQAKASVILPPPPPPPGSQSRSEGASSENDSKAPAGSEDLLRIAPPPPPPPRQQALVPGPAIIPTLQTDMLPPGISRFPPPPPPTDMRPPPGIVGQPPPPGVMVPLIPRPPFGPPPMMRPPLPPGPPPMPQDSTRTLISQKPSYVKSAASTVVKRPLAQHTPELTAMIPASVRVKRESALPKSKPKPSTTVVANQPMFTAAVLKQESTSSSHQPKPQSIDDSYMAFLEDMKALGALDGGN >itb06g25360.t2 pep chromosome:ASM357664v1:6:26463776:26466478:1 gene:itb06g25360 transcript:itb06g25360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MFSHLGPPPRRRATAEEEDRVKHPKPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLSEASSSGAGSSSMLELEDGGLPASLPPPSPPYPSNVDSVDGSMMPSSLPLPPPPPMPPKPATADLDASLPTPPLPPPPPGPPPKEHGGIHSSLPPPPPPPFHHSAQPPPPGIGGNELGKNQSGKVEDPSSMEQAKASVILPPPPPPPGSQSRSEGASSENDSKAPAGSEDLLRIAPPPPPPPRQQALVPGPAIIPTLQTDMLPPGISRFPPPPPPTDMRPPPGIVGQPPPPGVMVPLIPRPPFGPPPMMRPPLPPGPPPMPQDSTRTLISQKPSYVKSAASTVVKRPLAQHTPELTAMIPASVRVKRESALPKSKPKPSTTVVANQPMFTAAVLKQESTSSSHQPKPQSIDDSYMAFLEDMKALGALDGGN >itb10g14800.t1 pep chromosome:ASM357664v1:10:21096899:21099968:1 gene:itb10g14800 transcript:itb10g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLDLARRLQKTNSILLLPRIFTSRVLPPASPTLPQPNLRRHFSGVFRRTPFNRVPQSRNFSSFQSAQTYEIINFEEYVERLGENPRVGVSEFIGLLEKAKDFASGDEAIAILDQCSVKPSKDFVFLVIWGVRDQWQLAYLAFKWGEKWECIVEKTWCLVIWVLGNHKKFSIAWALISDLVRNSVDVQEAVLIMIDRYAAANYPDKAMRAFQIMENFSLSPDQKVFLSFLDILCKHGFIEEAEEFMLVNKKLFPLGIDGFNVILNGWCNIAVDISEAKRVWRELSKCCIVPNGTSYIHMISCFSKVGNLFDSLRLYDEMKKRGWVPGASVYNSLVYVLTRGNCLKEALKIVDKMKKEGVQPDSTTYNSIIFPLCEASKLEEARAVLAMMIGDNVSPTSVTYHALLAAASLEGTTELLNNMRKAGLGPSRDTFLLALDRFFKLKDPENAMKLWVEMKSYEVMPDSAHYAVMVEGLLKCGMLVWAKELYAEMKRNGIVDDPKLQKLMKLKESNRNGGNQSERDPVKRGKRTQWTHHREGNVVRSQKHDNPTQRKR >itb10g14800.t2 pep chromosome:ASM357664v1:10:21096899:21099968:1 gene:itb10g14800 transcript:itb10g14800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLDLARRLQKTNSILLLPRIFTSRVLPPASPTLPQPNLRRHFSGVFRRTPFNRVPQSRNFSSFQSAQTYEIINFEEYVERLGENPRVGVSEFIGLLEKAKDFASGDEAIAILDQCSVKPSKDFVFLVIWGVRDQWQLAYLAFKWGEKWECIVEKTWCLVIWVLGNHKKFSIAWALISDLVRNSVDVQEAVLIMIDRYAAANYPDKAMRAFQIMENFSLSPDQKVFLSFLDILCKHGFIEEAEEFMLVNKKLFPLGIDGFNVILNGWCNIAVDISEAKRVWRELSKCCIVPNGTSYIHMISCFSKVGNLFDSLRLYDEMKKRGWVPGASVYNSLVYVLTRGNCLKEALKIVDKMKKEGVQPDSTTYNSIIFPLCEASKLEEARAVLAMMIGDNVSPTSVTYHALLAAASLEGTTELLNNMRKAGLGPSRDTFLLALDRFFKLKDPENAMKLWVEMKSYEVMPDSAHYAVMVEGLLKCGMLVWAKELYAEMKRNGIVDDPKLQKLMKLKESNRNGGNQSERDPVKRGKRTQWTHHREGNVVRSQKHDNPTQRKR >itb03g13760.t1 pep chromosome:ASM357664v1:3:13761957:13764787:1 gene:itb03g13760 transcript:itb03g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINANAGADVSSSGEDGAAKAVNKRYEGLLTVRSKAINGKGAWYWNHLEPILVRDPETKLPKAVKLRCNLCEASFSASNPSRTATEHLKRGTCSNFGSVARPVSQLPPLASPSSQNHRKRGFKQAGIPSPSPSSSFAAGVLDSTEMGYSMVTNTGLTQHQHQLVLSGGKEDLGALAKLEDSVKKLKSPKSSPGPALSKDQVDSAFNLLAEWFYESCGSVSFSSLEHPKFKDFLSHVGLPAVSRKYFAGAKLDSKFEQARMESEARIRDAVFFQIASDGWKNDNCRYVDDCMIKFTVNLPNGTCVFHKAVYKGGSLPSQYAEDVLWETIRGISGNVVHRCVGIVGDKYKAKALKNLENRNHWMVNLSCLFQGFMSLIKDFSRELPLFKTVTDNCFKIATLFNSTSQIRNHFLKFRSHGVELPGLIRVPLPHCDLSKNSAPVFRMLEDVLSYARILQLIVLDDSYKTVCAENLIAREVAELIQDVGFWNDVEAVHSLVKMIKDMAEDVEAERPLIGQCLPLWEALKVKVKDWCVKFRIAEGTVEKIVERRFKKNYHPAWSAAFVLDPLYLIRDTSGKYLPPFKCLTHEQETDVDKLITRLVSREEAPIALMELMKWRSEGLDPLYAQAVQVKQRDPVTGRMKIANPQSSRLVWETCLKEFKSLGKVAVRLLFLHATSCGLKCNWPSMRWVCSQGHSRVGLDRVQRMIFLAAHAKLERHAFLNEEDKDTEVFPMASGEDNMLNEVFVDARSV >itb13g08990.t1 pep chromosome:ASM357664v1:13:11918924:11919364:-1 gene:itb13g08990 transcript:itb13g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQVQVEMDELKEREVPQNLRVIWMWMKCDKKVNCMAQWLLEKCAGQNVVEALPKGFMMVLSLEGFPHFSPLPGKDYVPIVDDEDRDMIAPYVCIRRRRTEQAATGTRSLIATADSS >itb06g04240.t1 pep chromosome:ASM357664v1:6:6803265:6807629:1 gene:itb06g04240 transcript:itb06g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEEAPMQSWGWMIGDESGIVEQIEITHFPDGREINVHSILSIITHILKDCAIHHDSSSEEVSEDEAETVDEVVQHEIHKLSPKVAYLSSEVGDVHEKTVDVFNMLSNYQWEAKLTLALAAIVTNYGEYWVVAQSNNPHKELTKMMKFLRQMGEINLADHKSHFDVLHALFQSMLDVIKGIMKIKDFMLQSSSDYDYEPTISIATTITVIASYWTVRSILISAPYIHSLFANDYEPSASASKERELRIMTRKLGALLTCLQNHEKKSLEKQQCIAEEKRYREMMCAFEEDHADNMRILKLLFKSKDNNNNNNDFAPIVDCSTNQRVELVSLKKKNVVLMLSSGIDNIISKRILDNLAKIWNDICELEGGNDECKLIWFPIVKQWDDSMQHKFEEMRSKMPFYSTSDPRCIHPTTIKFMKDKFGLKRESIQLVVMDGVGKILHHNAFHVLWMWTAMARSFMTENPTPSSKLAPFPLSMELLQEYYWKTHHWSFTDLFLGIDDKTIDLISKTKHAWIIGGDDNMELVKRLETKINALDQTYKTSSGIVYIGKSRVTWSNKQQEYRHSWEDNDENKTWLFWGRVESMLLSRLHFLKRSSGHNDENEDEAAKTLKKLLSFNKQHNNPQPWLIFCCVKKEESQGGWRNECYVIEDGLTTLDAVVSKGTWEECINILGDSSSELHNLGPPPRVQFYTTTGETLCGNMMRCLHCQSVMEKNTVLSCCHHL >itb14g04890.t1 pep chromosome:ASM357664v1:14:4240552:4242986:-1 gene:itb14g04890 transcript:itb14g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSESVAADKGSEARRAIGYSRGLWILIGGLVGIIIVILVALWIFYQKRAERKPQKTGTVTISMHSFSEEPSSLNISLKEIYSATNNLSASHFIGQGIAGKVYKGVLSNGQHVAIKHIIKDGQMETFVREVTSLSHIRHPNLVALLGHYDGQNECFLVYELCHNGNLSEWLFDKNKVLSWTQRLEIAIDCARGLLYLHTFPEGCIVHRDIKPTNILISANFQGKLSDFGLSKVIDLGNSYASSEVRGTFGYVDPEYQKNRRVNSFGDVYSFGIVLLQLLSGQRVINIDANRPMPLNKMAKNLTKGKNIKEFADPKFGEEYPEGAFEVMFKLALSCTGLKQQRPSMDKVVTTLENALHFSTRDKSLDPYFLPL >itb12g20440.t1 pep chromosome:ASM357664v1:12:22911902:22913515:1 gene:itb12g20440 transcript:itb12g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSESDVTQLAATQLSGENDVAGFDDGENGSGKRRHRDRDESHRHRSKSYDKDREERHSHRSHSRGRSGQRSPSPTQSRSPSHSKRSDSRDCTNHSWNISEHVDFGTWIGY >itb03g20920.t2 pep chromosome:ASM357664v1:3:18752624:18758818:1 gene:itb03g20920 transcript:itb03g20920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVADAVDYRGLPADKSKTGGWVPAALALGIEIVERLSTMGIGVNLVTYLGGTMHQPSSTSANVVTDFMGTSFLLCLLGGFLADSFLGRFKTIAIFSTIQAVGTGILAIATKLPQLRPPPCEAHQNCEPANGFQMGILYLALYLIALGTGGLKSSVSGFGTDQFDEKDDKEKVQMNYFFHRFFFFVSMGTLAAVTVLVYIQDEVGRSLGYGICSISMVVAMVLLLSGIKRYRYKKSLGSPIVHILQVIQCAIRKRKMALPYDVTQLFDNTPPAFSRIHHTDQFLALDKAAIVAEGDFEEDNNGGTVPNPWKLSTVTRVEEVKMMVRLLPIWATTILFWTTYAQMMTYSVIQASTMERSVGNFQIPAGSLTVFFVAAILITLAVYDRVVMPIWKKWKGTPGFTNLQRMAIGLALSTMGMGIAAITEHKRLSVAKSEGRNVSTLHMSVFNLIPQFFLIGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSIVKKVTGHGNQGWLADNINYGRLDCFYGLLAILGVVNFALYVVSAVWFKRKTNKKGGIQMESMGNLKGEEKC >itb03g20920.t1 pep chromosome:ASM357664v1:3:18752624:18758818:1 gene:itb03g20920 transcript:itb03g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVADAVDYRGLPADKSKTGGWVPAALALGIEIVERLSTMGIGVNLVTYLGGTMHQPSSTSANVVTDFMGTSFLLCLLGGFLADSFLGRFKTIAIFSTIQAVGTGILAIATKLPQLRPPPCEAHQNCEPANGFQMGILYLALYLIALGTGGLKSSVSGFGTDQFDEKDDKEKVQMNYFFHRFFFFVSMGTLAAVTVLVYIQDEVGRSLGYGICSISMVVAMVLLLSGIKRYRYKKSLGSPIVHILQVIQCAIRKRKMALPYDVTQLFDNTPPAFSRIHHTDQFLALDKAAIVAEGDFEEDNNGGTVPNPWKLSTVTRVEEVKMMVRLLPIWATTILFWTTYAQMMTYSVIQASTMERSVGNFQIPAGSLTVFFVAAILITLAVYDRVVMPIWKKWKGTPGFTNLQRMAIGLALSTMGMGIAAITEHKRLSVAKSEGRNVSTLHMSVFNLIPQFFLIGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSIVKKVTGHGNQGWLADNINYGRLDCFYGLLAILGVVNFALYVVSAVWFKRKTNKKGGIQMESMGNLKGEEKC >itb04g16480.t1 pep chromosome:ASM357664v1:4:18158471:18163082:-1 gene:itb04g16480 transcript:itb04g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRVRLDPQQPEASSSAQSTTTTSTCRTETINGSHDFKIKGYSLSKGMGIGKYVASETFTVGGYDWAIYFYPDGKSAEDNATYVSLFIALASDATDVRALFELTLLDQSGNGRHKVHSHFGRVLETGPYTLKYRGSMWGYKRFYRRTALETSDFLKDDCLLIQCTVGVVRTYIEAPKIYSIPVPASNIGLQFGKLLESGEGSDINFEVEGEVFPAHKLVLAARSPVFRAQLFGPLKEQDTQYIKIEEMQAPVFKALLHFIYWDMLPDLQDLVGLDTTWAVTLMAQHLLAAADRYGLERLRVLCESKLIADVAINTVATTLALADQHQCVQLKSVCLKFIASPENLKAVMETDGFDHLKESCPSVLTELLDYVAKMGLHPATCYDHGGIALDGSDANGRRVKQRLY >itb04g16480.t2 pep chromosome:ASM357664v1:4:18158471:18163060:-1 gene:itb04g16480 transcript:itb04g16480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRVRLDPQQPEASSSAQSTTTTSTCRTETINGSHDFKIKGYSLSKGMGIGKYVASETFTVGGYDWAIYFYPDGKSAEDNATYVSLFIALASDATDVRALFELTLLDQSGNGRHKVHSHFGRVLETGPYTLKYRGSMWGYKRFYRRTALETSDFLKDDCLLIQCTVGVVRTYIEAPKIYSIPVPASNIGLQFGKLLESGEGSDINFEVEGEVFPAHKLVLAARSPVFRAQLFGPLKEQDTQYIKIEEMQAPVFKALLHFIYWDMLPDLQDLVGLDTTWAVTLMAQHLLAAADRYGLERLRVLCESKLIADVAINTVATTLALADQHQCVQLKSVCLKFIASPENLKGRTVIFLAFFMKSLGVFQDNNKNK >itb04g25100.t2 pep chromosome:ASM357664v1:4:29755242:29761113:-1 gene:itb04g25100 transcript:itb04g25100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESIRIQTFNEVPSAEEFASHIEPKNVPTVFEGCVKNWKAFSKWNPSNGGLAYLQELVGSTIVEVMLSRSAPVFYGDIRSHERVPLPFSTFIGYCMDLLQDREDGEDNPRHSHKNRLEVCGIEQTDCCIREAQQIYLAQVPILNSENEEAIQLKCLSEDIHKPVLLGTKSMASVNLWMNSAKTRSSTHYDPHNNLLCIVSGCKQVSLWPPSASPFLYPLPLYGEASNHSAVTLENPNLSLYPRAKYLNEYSQKVILHAGDALFIPEGWFHQVDSENLTIAVNFWWRSKIMSDMLEHMDAYYMRRILKRLTDKEMNQRLHQPSITVGKIAATTSGQPCIAHGDHTDPTVALSHGHDSLNRNRLKQNIMLQDLEPLELQSLHELVSLVHDHVNEGQPVGCSSIETAGVETNDQMDNRKEVFHNCQEDPVANLIWTLNPLMLRIVFVAMAHNFPRTLEALVLHALSPLGAEILTRKFEEMDQIVAEEDRNHFYQIFYGVFDDQFAAMDALLNRKEAFACQAFKNVLDQYLGVNLVGPKPQV >itb04g25100.t3 pep chromosome:ASM357664v1:4:29755242:29761113:-1 gene:itb04g25100 transcript:itb04g25100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESIRIQTFNEVPSAEEFASHIEPKNVPTVFEGCVKNWKAFSKWNPSNGGLAYLQELVGSTIVEVMLSRSAPVFYGDIRSHERVPLPFSTFIGYCMDLLQDREDGEDNPRHSHKNRLEVCGIEQTDCCIREAQQIYLAQVPILNSENEEAIQLKCLSEDIHKPVLLGTKSMASVNLWMNSAKTRSSTHYDPHNNLLCIVSGCKQVSLWPPSASPFLYPLPLYGEASNHSAVTLENPNLSLYPRAKYLNEYSQKVILHAGDALFIPEGWFHQVDSENLTIAVNFWWRSKIMSDMLEHMDAYYMRRILKRLTDKEMNQRLHQPSITVGKIAATTSGQPCIAHGDHTDPTVALSHGHDSLNRNRLKQNIMLQDLEPLELQSLHELVSLVHDHVNEGQPVGCSSIETAGVETNDQMDNRKEVFHNCQEDPVANLIWTLNPLMLRIVFVAMAVSLLFLFTSITASSFLINSQLCRFNILVVPIFEFSQTKNATYFFVFLSGGEWGRGLNGCNCASVL >itb04g25100.t1 pep chromosome:ASM357664v1:4:29753695:29761113:-1 gene:itb04g25100 transcript:itb04g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESIRIQTFNEVPSAEEFASHIEPKNVPTVFEGCVKNWKAFSKWNPSNGGLAYLQELVGSTIVEVMLSRSAPVFYGDIRSHERVPLPFSTFIGYCMDLLQDREDGEDNPRHSHKNRLEVCGIEQTDCCIREAQQIYLAQVPILNSENEEAIQLKCLSEDIHKPVLLGTKSMASVNLWMNSAKTRSSTHYDPHNNLLCIVSGCKQVSLWPPSASPFLYPLPLYGEASNHSAVTLENPNLSLYPRAKYLNEYSQKVILHAGDALFIPEGWFHQVDSENLTIAVNFWWRSKIMSDMLEHMDAYYMRRILKRLTDKEMNQRLHQPSITVGKIAATTSGQPCIAHGDHTDPTVALSHGHDSLNRNRLKQNIMLQDLEPLELQSLHELVSLVHDHVNEGQPVGCSSIETAGVETNDQMDNRKEVFHNCQEDPVANLIWTLNPLMLRIVFVAMAHNFPRTLEALVLHALSPLGAEILTRKFEEMDQIVAEEDRNHFYQIFYGVFDDQFAAMDALLNRKEAFACQAFKNVLDQYLGVNLVGPKPQV >itb01g25730.t1 pep chromosome:ASM357664v1:1:31088168:31089572:1 gene:itb01g25730 transcript:itb01g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKKALDGGHDSDQKPSDHSGHSNSDLFSSAKVVAEAAQAQFQNKNSDINKGEVAGAAANLLDAAQKYGKLDETKGVGQYVEKAEGYLRGYGQSAGAAVGGAVEKAEGVGHSAGEAVKGHVEKAEGIGHSAGEAVGEYAKQGEEFLKKQSSGEGNGGAGDFMKAAGGFLKRD >itb01g25730.t2 pep chromosome:ASM357664v1:1:31088168:31089572:1 gene:itb01g25730 transcript:itb01g25730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKKALDGGHDSDQKPSDHSGHSNSDLFSSAKVVAEAAQAQFQNKNSDINKGEVAGAAANLLDAAQKYGKLDETKGVGQYVEKAEGYLRGYGQSAGAAVGGAVEKAEGVGHSAGEAVKGHVEKAEGMGHSAGEAVKGHVEKAEGIGHSAGEAVGEYAKQGEEFLKKQSSGEGNGGAGDFMKAAGGFLKRD >itb12g09060.t2 pep chromosome:ASM357664v1:12:7102829:7104386:1 gene:itb12g09060 transcript:itb12g09060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASAASAVSLSFAPKPASSAVAFSSSSSLSFSSNPGGLKRSLGLRTGNVRSNGRKRLYCKCLFGLGVPEMVVIAGVAALIFGPKQLPEIGRSIGKTVKSFQQN >itb12g09060.t1 pep chromosome:ASM357664v1:12:7102829:7104386:1 gene:itb12g09060 transcript:itb12g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASAASAVSLSFAPKPASSAVAFSSSSSLSFSSNPGGLKRSLGLRTGNVRSNGRKRLYCKCLFGLGVPEMVVIAGVAALIFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKEPDELPVQNVKEGGQEEKPDAVVSSTKES >itb09g13010.t1 pep chromosome:ASM357664v1:9:8307002:8314434:-1 gene:itb09g13010 transcript:itb09g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPNISITDGNLVVHGRTLLKGVPDNIVLTPGSGVGLVAGAFIGATASHSKSLHVFPIGVLEGLRFMCLFRFKLWWMTQRMGTCGNDIPLETQFMLVESKDTSEGDLEDAPTIYTVFLPLLEGPFRAVLQGNEKNEMEICFESGDSSVETNQGLYLVYMHAGPNPFEVINQAVKAVEKHLQTFHHRERKKLPSILDWFGWCTWDAFYTDVTAEGVEQGLKSLSDGGVTPRFLIIDDGWQQIGSEPKDPECIVQEGAQFANRLIGIKENSKFQKNETKGEQESGLKQVVEKAKQQFKVKYVYVWHAMAGYWGGVQPSGPGMEHYETALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKVLNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVTLTRSYLQALEASIARNFPDNGCIASMSHNTDSLYSAKQTAIVRASDDYYPHDPASHTIHIAAVAYNTLFLGEFVQPDWDMFHSLHPTAEYHAAARSIGGSAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTIDCLFSDPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVAKKTRIHDTSPGTLTGSVQATDVDSIAQIASPDWSGDTVIYTYRSGEIVRLPKGASLPVTLGVLQYELFHISPVKDIAPNISFAPIGLLDMFNSGGAVEKYEVHLVSDKPAEHFDGEVSCEVPTCLSENRPPTATISLTVRGCGGFGIYISQRPLKCSLDGAITDFNYNTDNGLLIITIPVPAEEMYRWNVEVQV >itb04g28560.t3 pep chromosome:ASM357664v1:4:32270359:32274538:-1 gene:itb04g28560 transcript:itb04g28560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MECRSEKGSSGQQTMNGIDGGRTSKQSSKFGDRLTFEKPEVSNFNRGALENVDMVPPKPAKLSQKKRGEKKASDDDEVVRYMSSLPSYLQRGKNLQEKAFNVGVLDWRHLERWQSKHKQIPSSTSGFSPSSSNTSSFSSTEESSSNSSSGHSCSPSNHRMHHPTLQSHLFSTQHSSETGEKLRDLKPCQAKPLKVQQSVPSTLHDSVEKVEELSKHSECHHATKTRKSQDIKVDISISKSKGKMMILDGEPIMKVDDKLCSSQCNADPDCNTAAGLHVSKDAQRYSCSLTSSTTDSARKIEATRRSFSNGIKLSSKPNEPSISPGKRSSTPPKSWNLQGRSAGVLQNPAKVISSRGSNPKEETLEPTARSPSPTRWFGIAMGRIDRTSNAKGTSTMLPGAKHQVRAEACASSVPSTSDKADAKNRAASTPLRRLLEPLLKPKTGSSDNFAGSLQGDSTSRDKRSKSAPRRGESLTPYMMKVKLDLKGCKTIEIGDPSPAGRHGPSTMRALLQVAIKNSVPLYKFAAENEGNVIAATMKQSTSSTKEKHNLIYTFFTIHEMKKKDRSHGYIPSVIAQMKVSDVPFPDVIGQKSGYQSIIREFVLFTTGVKQAGQQTADPQLTDDELAAIVVKFSHKGTAEVGVCSETQDVNMNVILPGGNHGLPRKGEPSSLIERWKSGGSCDCGGWDLGCKLRILANHNGMSRESSSTKVSSNAEQFELYPQGEDQENKSALRLSPFKDGIFSVEYTSSLKILQAFSICLAVLNTRRDEFCESANAFGEDSSRPTMVSNVSDRAQAEFPAKPVSIPPLSPVGRV >itb04g28560.t4 pep chromosome:ASM357664v1:4:32271508:32275203:-1 gene:itb04g28560 transcript:itb04g28560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MECRSEKGSSGQQTMNGIDGGRTSKQSSKFGDRLTFEKPEVSNFNRGALENVDMVPPKPAKLSQKKRGEKKASDDDEVVRYMSSLPSYLQRGKNLQEKAFNVGVLDWRHLERWQSKHKQIPSSTSGFSPSSSNTSSFSSTEESSSNSSSGHSCSPSNHRMHHPTLQSHLFSTQHSSETGEKLRDLKPCQAKPLKVQQSVPSTLHDSVEKVEELSKHSECHHATKTRKSQDIKVDISISKSKGKMMILDGEPIMKVDDKLCSSQCNADPDCNTAAGLHVSKDAQRYSCSLTSSTTDSARKIEATRRSFSNGIKLSSKPNEPSISPGKRSSTPPKSWNLQGRSAGVLQNPAKVISSRGSNPKEETLEPTARSPSPTRWFGIAMGRIDRTSNAKGTSTMLPGAKHQVRAEACASSVPSTSDKADAKNRAASTPLRRLLEPLLKPKTGSSDNFAGSLQGDSTSRDKRSKSAPRRGESLTPYMMKVKLDLKGCKTIEIGDPSPAGRHGPSTMRALLQVAIKNSVPLYKFAAENEGNVIAATMKQSTSSTKEKHNLIYTFFTIHEMKKKDRSHGYIPSVIAQMKVSDVPFPDVIGQKSGYQSIIREFVLFTTGVKQAGQQTADPQLTDDELAAIVVKFSHKGTAEVGVCSETQDVNMNVILPGGNHGLPRKGEPSSLIERWKSGGSCDCGGWDLGCKLRILANHNGMSRESSSTKVSSNAEQFELYPQVLCSGI >itb04g28560.t1 pep chromosome:ASM357664v1:4:32270359:32275257:-1 gene:itb04g28560 transcript:itb04g28560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRSEKGSSGQQTMNGIDGGRTSKQSSKFGDRLTFEKPEVSNFNRGALENVDMVPPKPAKLSQKKRGEKKASDDDEVVRYMSSLPSYLQRGKNLQEKAFNVGVLDWRHLERWQSKHKQIPSSTSGFSPSSSNTSSFSSTEESSSNSSSGHSCSPSNHRMHHPTLQSHLFSTQHSSETGEKLRDLKPCQAKPLKVQQSVPSTLHDSVEKVEELSKHSECHHATKTRKSQDIKVDISISKSKGKMMILDGEPIMKVDDKLCSSQCNADPDCNTAAGLHVSKDAQRYSCSLTSSTTDSARKIEATRRSFSNGIKLSSKPNEPSISPGKRSSTPPKSWNLQGRSAGVLQNPAKVISSRGSNPKEETLEPTARSPSPTRWFGIAMGRIDRTSNAKGTSTMLPGAKHQVRAEACASSVPSTSDKADAKNRAASTPLRRLLEPLLKPKTGSSDNFAGSLQGDSTSRDKRSKSAPRRGESLTPYMMKVKLDLKGCKTIEIGDPSPAGRHGPSTMRALLQVAIKNSVPLYKFAAENEGNVIAATMKQSTSSTKEKHNLIYTFFTIHEMKKKDRSHGYIPSVIAQMKVSDVPFPDVIGQKSGYQSIIREFVLFTTGVKQAGQQTADPQLTDDELAAIVVKFSHKGTAEVGVCSETQDVNMNVILPGGNHGLPRKGEPSSLIERWKSGGSCDCGGWDLGCKLRILANHNGMSRESSSTKVSSNAEQFELYPQGEDQENKSALRLSPFKDGIFSVEYTSSLKILQAFSICLAVLNTRRDEFCESANAFGEDSSRPTMVSNVSDRAQAEFPAKPVSIPPLSPVGRV >itb04g28560.t2 pep chromosome:ASM357664v1:4:32270550:32275203:-1 gene:itb04g28560 transcript:itb04g28560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECRSEKGSSGQQTMNGIDGGRTSKQSSKFGDRLTFEKPEVSNFNRGALENVDMVPPKPAKLSQKKRGEKKASDDDEVVRYMSSLPSYLQRGKNLQEKAFNVGVLDWRHLERWQSKHKQIPSSTSGFSPSSSNTSSFSSTEESSSNSSSGHSCSPSNHRMHHPTLQSHLFSTQHSSETGEKLRDLKPCQAKPLKVQQSVPSTLHDSVEKVEELSKHSECHHATKTRKSQDIKVDISISKSKGKMMILDGEPIMKVDDKLCSSQCNADPDCNTAAGLHVSKDAQRYSCSLTSSTTDSARKIEATRRSFSNGIKLSSKPNEPSISPGKRSSTPPKSWNLQGRSAGVLQNPAKVISSRGSNPKEETLEPTARSPSPTRWFGIAMGRIDRTSNAKGTSTMLPGAKHQVRAEACASSVPSTSDKADAKNRAASTPLRRLLEPLLKPKTGSSDNFAGSLQGDSTSRDKRSKSAPRRGESLTPYMMKVKLDLKGCKTIEIGDPSPAGRHGPSTMRALLQVAIKNSVPLYKFAAENEGNVIAATMKQSTSSTKEKHNLIYTFFTIHEMKKKDRSHGYIPSVIAQMKVSDVPFPDVIGQKSGYQSIIREFVLFTTGVKQAGQQTADPQLTDDELAAIVVKFSHKGTAEVGVCSETQDVNMNVILPGGNHGLPRKGEPSSLIERWKSGGSCDCGGWDLGCKLRILANHNGMSRESSSTKVSSNAEQFELYPQGEDQENKSALRLSPFKDGIFSVEYTSSLKILQAFSICLAVLNTRRDEFCESANAFGEDSSRPTMVSNVSDRAQAEFPAKPVSIPPLSPVGRV >itb03g23890.t2 pep chromosome:ASM357664v1:3:22263410:22266314:-1 gene:itb03g23890 transcript:itb03g23890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENQVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYHNL >itb03g23890.t1 pep chromosome:ASM357664v1:3:22263410:22266314:-1 gene:itb03g23890 transcript:itb03g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVQEARENQVKKKVEEALRSKMKQKALKECDEYAAKYAECATGKTISVVWQCRKQAKELNNCLHQYTNESVLEEMKKEYALQQDGKEPMRL >itb10g21160.t1 pep chromosome:ASM357664v1:10:26471895:26474116:1 gene:itb10g21160 transcript:itb10g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQPSSAGVPPSMAPPQQYQQYQQPQHQWMQQQPPPQQQPPPYQVPPPQQSAYYYQQTPSQGVAPPPSQQPPQYNAAAQPTSADEIRSLWIGDLQYWMDEDYLMKCFYLSGEVVSAKVIRNKQTSQSEGYGFIEFVNHAAAEKNLQTYNGTPMPNAQQNFRLNWASLGSGEKRSDNSPEYTIFVGDLAADVTDYMLQETFRVNYPSVKGAKVVTDRVTGRTKGYGFVKFGDESEQLRAMTEMNGMFCSTRQMRIGPAANKKSLGTQPQGFWEAL >itb12g15170.t1 pep chromosome:ASM357664v1:12:15572634:15576775:1 gene:itb12g15170 transcript:itb12g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKFGGVGRARYVFDQMPDRNEASWNNMISGYVLTGRYYDAVRLFIEMWGLGVEANGYTIASILTALSRSESMVLEGYQIHGLLLKYGLLYNVFVGTSVLHFYGVYGLISNARIFFEEMPEKNVVTWTSMMVACSNNGKLEQVISLYKQMRHEWVSCNQNTFTAVITSCGSLEDNSLGHQVLGQVVKSGFDDNVSVGNALISMFGNFNFVKEAYWVFEGMTDRDTISWNSMISVLAHNQLCEEAFRCFYFMRRDHDDINSTTLSSLLSACGSVDNINHGRGIHGLVMKLGWYSNICVCNTLLSMYADALRYSEAENLFQNMAEKDLISWNSMMAGYVLKGDYFNSLKVFTELLHLGNSVNHVTFASALAACSDAEYLTEGKIVHGLVMTSGLQENLIVGNALVTLYGKCGMMFEAEKVFFKMPEKELVTWNALIGGYADNDETINVVRTFKLMRESGEPANYITIINALGSCSSLFDLLNTGMPLHGYIIVNGFEKDEYVRNSLIAMYGECGDLKSSNFIFDEVVNKSFVTWNVMVSANAHHGHWEEALKLLLNMQRAKVELDQFSISSGLSAAANLGSLEEGQQLHCLATKLGFDAYHYIINATMDMYGKCGELTYVLKMPPKPNMRSRLSWNILMSTFARHGLFNEARDTFHEMLKHGAKPDHVTFVSLLSACSHGGLVDEGLTHFASMTTDFGVPVAIEHCVCIVDLLGRSGRLAEAEAFIKEMSVPPNDFIWRSLLAACRMHGHAALAKKATDYLLKSNPTDDSAYVLYSNVCATSGRWQDVQNVRAKMELGNIKKQPSCSWVKMKDKICTFGIGDQSHPLYEQIYDKVGELRKKIKEAGYVPDTSFALHDTDEEQKEHNLWSHSERLALAYALIATPEGSKLRIFKNLRVCGDCHSVYKLVSNIVRREIILKDPYRFHHFSGGKCSCGDYW >itb02g16840.t1 pep chromosome:ASM357664v1:2:12849046:12849651:1 gene:itb02g16840 transcript:itb02g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPHAPFNHSTFTKLLDTSLPSRGFGHSALLFTQFGHLIDVEHCNCIIRRYTDSGKHLYSVFVFTQMHRLDIRPNHSTFPSVLKSAAQICYGRFGESVHCSVTKMGFGSDMYANTSLVRMYCVCGQPSLARMLFDEMPQRNVVSWNSLISGYLHCGKFKEAIHVFREMQGSEIQPGEMTMASVLSACAHLGGFGSREVSS >itb04g05210.t1 pep chromosome:ASM357664v1:4:3240809:3242894:1 gene:itb04g05210 transcript:itb04g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQAKLPSMATFTLAPALHRSPSISTLQFHKNYRRAAVSFHASMSMSASPTPSQESSPPTKTATVLSSTNSPSKAPDEGLNFALANPNGNPVVRFVKSTESTIERVIFDFRFLALLAIGGSLAGSLLCFLNGCVYIFEAYKVYWSSCVKGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVSPDVHPTVDRALKQSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVNIATGLDLLSYSVCIFLSSASLYILHNLHKSE >itb13g02660.t1 pep chromosome:ASM357664v1:13:2606572:2607974:1 gene:itb13g02660 transcript:itb13g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRLRDSGEKEDELFLNSGIGFLWCPQDEGYHPGGYFASMWQMGMGFGISHSLQSSSENLLRLNVFGQYKAPDVSLKESGFPELAGTEVVEVKEKWGQKKQKKKGVGLRWRVKVGNPSLRRLISGAIAGAVSRTSVAPLETIRTHMMVGNYGHSTNEVFQNIMKNEGWKGLFSGNLVNVIRVAPSKAIELFVYETFKKRLTSKPDEQPRLPGPASLISGAVAGISSTLCTYPLELLKTRLTVQRGVYKNVLDALVKIVKEEGPAELYRGLTPSLIGVIPYAATNYYAYDTLRKAYRQILKQEEIGNMATLLIGSAAGAISGGVTFPLEVARKHMQAGALNGRQYHNMLHALISILEHEGVLGLYRGLGPSCLKLVPAAGISFMCYEACKKILVEHENDD >itb02g15560.t1 pep chromosome:ASM357664v1:2:11312018:11314226:-1 gene:itb02g15560 transcript:itb02g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYTFLSSHNLIYFLSSVSILLWLWAMARGRAAAAAAGDAIGSGELKEVKFRGVRKRSWGKFSAEIRDPWKKTRLWLGTFLSAEEAARAYDAAARKLNGPRVKTNFPPPPISQLPPYNQTAIPKNPLIGSLMISPRPASSSMSSTVESFSGPRPPPPHTAATPNRRHPRSPPIAPDDCHSDCDSSSSVVDDGDCENIASSSFRKPLSFDLNLPPAMDDVCDLHSTALCL >itb14g06890.t1 pep chromosome:ASM357664v1:14:6260397:6263197:-1 gene:itb14g06890 transcript:itb14g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHASSFTLTKALEFERVGARQLLKFGLNLKFTVKNSIRQVHMESSSSSRISRGALIVFEGLDRCGKTSQSSRLVKYLDNLGHSIQSWRFPDRNTGIGQMISSYLANKSHLDDRAIHLLFSANRWEKRSLMEETLKSGTTIIVDRYSYSGVAFSSAKGLDIQWCKAPDIGLLAPDLVLYLDISPERAAERGGYGGERYEQLEFQKKVALSYQALQDSSWKIIDATLPMEDIEEKLRETVVECMIKCQDGKPLSLLWSS >itb14g06890.t3 pep chromosome:ASM357664v1:14:6260397:6263197:-1 gene:itb14g06890 transcript:itb14g06890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHASSFTLTKAFFIFREFERVGARQLLKFGLNLKFTVKNSIRQVHMESSSSSRISRGALIVFEGLDRCGKTSQSSRLVKYLDNLGHSIQSWRFPDRNTGIGQMISSYLANKSHLDDRAIHLLFSANRWEKRSLMEETLKSGTTIIVDRYSYSGVAFSSAKGLDIQWCKAPDIGLLAPDLVLYLDISPERAAERGGYGGERYEQLEFQKKVALSYQALQDSSWKIIDATLPMEDIEEKLRETVVECMIKCQDGKPLSLLWSS >itb14g06890.t4 pep chromosome:ASM357664v1:14:6260492:6263197:-1 gene:itb14g06890 transcript:itb14g06890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSRISRGALIVFEGLDRCGKTSQSSRLVKYLDNLGHSIQSWRFPDRNTGIGQMISSYLANKSHLDDRAIHLLFSANRWEKRSLMEETLKSGTTIIVDRYSYSGVAFSSAKGLDIQWCKAPDIGLLAPDLVLYLDISPERAAERGGYGGERYEQLEFQKKVALSYQALQDSSWKIIDATLPMEDIEEKLRETVVECMIKCQDGKPLSLLWSS >itb14g06890.t2 pep chromosome:ASM357664v1:14:6260397:6263197:-1 gene:itb14g06890 transcript:itb14g06890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSRISRGALIVFEGLDRCGKTSQSSRLVKYLDNLGHSIQSWRFPDRNTGIGQMISSYLANKSHLDDRAIHLLFSANRWEKRSLMEETLKSGTTIIVDRYSYSGVAFSSAKGLDIQWCKAPDIGLLAPDLVLYLDISPERAAERGGYGGERYEQLEFQKKVALSYQALQDSSWKIIDATLPMEDIEEKLRETVVECMIKCQDGKPLSLLWSS >itb08g16330.t1 pep chromosome:ASM357664v1:8:18505424:18506720:1 gene:itb08g16330 transcript:itb08g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSGISLNSKDSQGRTALHMASANGHCDIVDYLIRNEVDVNASNEEKNTPLHWACLNGHVEVVKSLILAGANVSALNSHERTPMDEAVSGGKVDVIDAINHAVAQLELSGATVS >itb05g07260.t1 pep chromosome:ASM357664v1:5:8848880:8849473:1 gene:itb05g07260 transcript:itb05g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKRSCLFFEKAQGDLGLIEFEKKKEALVEEANLIFVERISVLKGKYEGIEIDFVSPMKVNDGPTPPTVGVEEVNKNREIGSPFTPGEGMVGGVEGRGNSSSLKLVQELQKKKFDVEEWVIELNKIWEEDEEMWSVMDDMFEELKKFLETHGGIKKYDIIGAREAAKILRRKIESSPVREKYGPRSSLGRGLKNHP >itb06g10390.t1 pep chromosome:ASM357664v1:6:14804966:14808291:-1 gene:itb06g10390 transcript:itb06g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHALLNLEVLYLNENRLFGVIPDSISNCSNLINLAFEDNHFSGTLPTSLGNLRLLEKLFIDGNMLTNDVESPELSIINSLVNCNYLETVHLDDNPLDAILPSSIGNLSSSLELLSAWGCGLKGIIPNQLGNLSSLIALDLHSNNLVGFIPPILDRASKLQVLDLSNNRLSGPIPDSLCDLPDLYALFLSNNQLFGSLLKCFGNSTSLRKIYLDSNHLISRMPSSLCYLKDLLELDLSSNFLDGFIPNDIEGLKALSLLNMSRNQISGNIPVTIGQLQNLISLSLEQNKLEGSIPKQISQIVSLESLDLSLNKLSGSIPVSLERLEYLKYFNVSFNELSGKIPSAGCFKNFSSASFMFNKELCGNPRFHVPPCHSTHHSRIKIRLLIALASLGASLIITFVIIAFVLTKRQRKLKVPKYGSTGMISTQCDIYSFGIVLMETFSARRPTDETFGEDMSLKNWINDSLPHHVLQVVDPNLLRADDNDEDFNEKLQCISSILELALHCAVESPQKRLNATDNRGTPELEVTFEVDANGILNVKAEDKACGRSEKITITNDKGCLSQEEIEQ >itb15g08990.t1 pep chromosome:ASM357664v1:15:6383056:6390097:-1 gene:itb15g08990 transcript:itb15g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIIVYKMPSLWIMRVLYDPYSPSSILLPQAATSLMLNWFWNFFTRLRRTLTRFTRRQKIFSALFLIDGHNIAADFDVNDLGNHLSKFVIIQEDQELSDTTAHNLSKDDGYENTSDSHSSSLASKQCLVKFATFPYSSKIVSPNDVIQRENEQMNDATSAQPPSRTKSLPSARKVVSAMKGSREKQGIPPKKLGVKWAPDVYDPVPTSVSHAPVNKPQQRHRSDGKKNGKYKQKNSGKSSRANKGKDKKQGRKYGGSSKRGFYPLDDNSNSIVVSSCELPTGIVDMDINNPDPFCGNRHSSANPSIFSEQPTSEAFRSALIISDLDQSSEKVAGEGVGKVPTKISFSAPSRFVYFAKLLFG >itb10g03020.t1 pep chromosome:ASM357664v1:10:2697024:2698738:1 gene:itb10g03020 transcript:itb10g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYSIAGNRFLCTSWSTQSCMGVAKPVNDTISTKKVSNHHRRIISAIIGVSCTFVVSVMLLVLWVDWHRSRRFLFTSYVKQDYEFAIGHLKRFSFRELQNATRNFSSKNILGQGGFGVVYKGYLPNGTVVAVKRLRDPNFTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPEERLLIYPFMPNGSVADRLRDIGRDKRSLDWNKRMRVALGAARGLLYLHEQCSPRIIHRDVKAANILLDESFEAVVGDFGLAKLLDCRESHVTTAVRGTIGHIAPEYLSTGQSTEKTDVFGFGILLLELITGLKALNAGNAQLQKGTILDWVRSLYDEKRLEVIVDKDLKGCFNTEELEKTVDVALECTQPNPNQRPKMSQVSRILEGIAGQMAPPVVDDSQGGGSNAAASETRAFSFSRNFSSVEESSFIIEAIELSGPR >itb05g12190.t1 pep chromosome:ASM357664v1:5:18679120:18681137:1 gene:itb05g12190 transcript:itb05g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEFQGSLGRSFLVLSARGNQAQLMETAQEAFQEHVAERLEDLSAADDDELLTIPWILKVLNVFLCCQDEFKSILLNNKAFLKKAPMERYVSEYFEKSVKGLDVFNVIRDGIERIRQWQKLLEIVVCALDNNQRSLGEAQFRRAKKALVDLAICMLDNNNNTSSSSANKASIVAASHRNRSFGRNNAQKDQRSMAQFRSLSWSVSQNWSAARQLQAMANSQNLVAPRSNEIVASNGFALAVFTMSYVLYFVMWALVAAIPCQDRGLHTHFYVANQFLWAAPLLSLHDRILEESKRKERKNSCGLLKEIHGIEKCARYINELTDMVQFPLSEEQEKEVKERVDELKLMCDTLKEQLDPLERHVREAFHRIVRCRVEGFDSMGQTSQQ >itb13g24940.t1 pep chromosome:ASM357664v1:13:30457827:30460331:1 gene:itb13g24940 transcript:itb13g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFERSSPALKEILLKIYRAETPIEIDHHLHEFGSVEYHIQHSASAPDYVYISLSTPILSLAFLLTSRLSRYTMEMVKGISPNVVDVVDPPNAGYQLTLRLDFTRIPKGKDSMKTITAISSVQAVILSSQLKEMLVNVNSQDVSQGMYKPIKLVYHPREPFYVIKSPEKITAVFPMRFRDKIDVVIATSFFQELMDAANTEACCAKAPHCTWSAIPPPELRGEAIEDLSTNGGFVSFDITSRNVEGKRLDKTVWNLLNFYAFVKYHVKSTRGFIQRKMRTRMQNLVEVLQKTGIQEDDRIKKGVQGRNNHMKRLRIIFSSKLKVCSRQRYDVVSKMKRMRSRIKIHWFSRFRRRWLTIPTFSSLTKYEKLN >itb07g24400.t1 pep chromosome:ASM357664v1:7:28696630:28699903:-1 gene:itb07g24400 transcript:itb07g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCIPEGADPVILANASDVSHFGYFQRPSVREFIIFVGRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCALGFMDDPYPVRSAFSLLNQVLDEYQKIFGETWRSAQSDSTQQQQWPYLFEALNKFQDPAEADKLLKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >itb13g25800.t1 pep chromosome:ASM357664v1:13:31102333:31103085:-1 gene:itb13g25800 transcript:itb13g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPDSSPPNSSLPDSSTPDSFPPNSSLSDFSMDDIIILKLAEDHAASLPADRWLSEPKVTGNPLQVFQVTADQLRGGALIIHECTLLE >itb00g00630.t1 pep chromosome:ASM357664v1:16:4542878:4543195:1 gene:itb00g00630 transcript:itb00g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREDNSKLEMLEGAKSMGAGAATIASAGAAVGIGNVLSSSIHSVARNPSLAKQLFGYAILGFALTEAIASFAPMMAFLISFVFRSKKEGRRLVPENAFGSWGS >itb02g21000.t4 pep chromosome:ASM357664v1:2:19384129:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPVLLLCSMKTTPNLVNMLCWTKDFYITQTYTLFPPQFPQVRFKLAAICHGHLAAKVKHLM >itb02g21000.t9 pep chromosome:ASM357664v1:2:19385484:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPVLLLCSMKTTPNLVNMLCWTKDFYITQTYTLFPPQFPQVRFKLAAICHGHLAAKVKHLM >itb02g21000.t7 pep chromosome:ASM357664v1:2:19390038:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPDKVDKACLCANVSYY >itb02g21000.t5 pep chromosome:ASM357664v1:2:19385484:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPV >itb02g21000.t1 pep chromosome:ASM357664v1:2:19384211:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPA >itb02g21000.t8 pep chromosome:ASM357664v1:2:19390045:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPVLEVVVYEGMIREKPSNKEEA >itb02g21000.t10 pep chromosome:ASM357664v1:2:19384129:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPV >itb02g21000.t6 pep chromosome:ASM357664v1:2:19385484:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPAGKERK >itb02g21000.t3 pep chromosome:ASM357664v1:2:19384129:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPAGKERK >itb02g21000.t11 pep chromosome:ASM357664v1:2:19390038:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPGFCTDFV >itb02g21000.t2 pep chromosome:ASM357664v1:2:19384129:19392062:-1 gene:itb02g21000 transcript:itb02g21000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGVTPTSQKTLPPPLANQICAATPNRQKKKLPLQSRTFLSVQRPTTTHHPPFRPLRSTAATGHCLLSRSAAGARHFRVSRSAVAASPRLLTPNPTSTAADLPV >itb06g04030.t1 pep chromosome:ASM357664v1:6:6539059:6539436:-1 gene:itb06g04030 transcript:itb06g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDKVVQVKVARSRKSRSKEEKEVEEEILLIEGIEVAMDKFGVYLNDEDEPEAGKLKAKYARSFASLPHKRKGSKKIKASLSLCLNEPLEDLGAEDDDAVLVTLAPKVSEGVVTVENIKIVYGS >itb02g15430.t2 pep chromosome:ASM357664v1:2:11232118:11234001:-1 gene:itb02g15430 transcript:itb02g15430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGGAAASAAAAAMVSDEDIAQALVSVLRDANPSEPLSLDGVVQKLQFNLGSDLSHKMDFIRAQIHHFLRPPPPPPALHSHPQLHFHQKDRFAPYPHSNFPPIHPSQLPPEYAAHFPQNYGFRPLHPPPPPMLVSNSEPFPSSAASTAVPHAHEVAPAPEPPKDSTQTGRKRRGGPGGLNKLCGVSPELQTIVGEAKMPRTEIVKQLWAYIRKHNLQDPNNKRKIICNDELRLVFETDCTDMFKMNKLLAKHITRLEPSGM >itb02g15430.t1 pep chromosome:ASM357664v1:2:11230069:11234002:-1 gene:itb02g15430 transcript:itb02g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGGAAASAAAAAMVSDEDIAQALVSVLRDANPSEPLSLDGVVQKLQFNLGSDLSHKMDFIRAQIHHFLRPPPPPPALHSHPQLHFHQKDRFAPYPHSNFPPIHPSQLPPEYAAHFPQNYGFRPLHPPPPPMLVSNSEPFPSSAASTAVPHAHEVAPAPEPPKDSTQTGRKRRGGPGGLNKLCGVSPELQTIVGEAKMPRTEIVKQLWAYIRKHNLQDPNNKRKIICNDELRLVFETDCTDMFKMNKLLAKHITRLEPSEPTVQILQNTKRIKTDEETGSRTDESVQIVIISEALANFFGTSEREMSQAEVLRQVWEYIKVNQLEDPINSMAIQCDAKLQQLLGCESISALGVPEMLARHHLSKRS >itb02g04360.t1 pep chromosome:ASM357664v1:2:2579549:2582290:1 gene:itb02g04360 transcript:itb02g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISPKPPLNPTQLTQTIIALLGSSSSKPLFRHDSLTPYLPHLTPPVIHSVFSSGALSSSPHTLLSFFRWAHSNVPSFSANPLPSLLHLLLSLLSRKKFSDAKSLLVNFIPSDQPKNELHRHLLRPSPPLPKPSKALLDTAIGAYCHCRRPHLALQVFKKMRRHSLRPNLLTLNTLLIALVKYPSAHSVHFCKELLDDAIKLGLAPNTMSFNILINGHCLKNKFKDAIKLLYKMEEFGCRPDNVSYNTVLDGLSKKGRLKEIRELLLNMKNKGLLPNKNTYNILVSGYCRIGLLKDAAQVIELMTRSNTLPDVWTYNMLINGLCNAGKIDEAFRLRDEMKGLKLLPDVVTYNTLMNGCFDSGMSSEAFNLLDEMSENGLRPNEVTYNILIKWYCKEGRMNDATDTVRKMDENGFCPDCVSYNTLISGFSKRGNLAEAFKVINTIGEKGLKMETVTLNTVLNTLCQEKNLSKAYELLTSATKRGYIVDEVSYGSLIVGYFKDGNVDRALKLWGEMKVKEIIPSIVTYNSLIGGLCKSGKTEQAITKFNELLENGLIPDEITYNTIIHGYCWEGNVRKAFQFHNKMVENSFKPDIYTCNILLRGLCREGMIEKAINLFNTWIDKGKQLDAVTYNTLITALCKNGRLEDALGLAAEMELKKLGPDSYTYNAIVEALTNVGRVREAEEFTSKMIEMRISSSESIGMNKGEEDVRGESSVEQDMSSIAQSEEINELCAQGRYKDAMHIFGELTQKGVFIPKSTYITLMYGLIKRRKSISKAR >itb12g00220.t1 pep chromosome:ASM357664v1:12:216623:221949:1 gene:itb12g00220 transcript:itb12g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLEGLLDPSKCSKLQMEEKRKLVYDLSKMSNFSPEMLQAWSRQEILEILCAEMGKERKYTGLTKLRIIENLLKIVAEKKSLENGSSSNLELPSSEKGQRSSKRQRKADHPNHFPTATSNVSTTNPTSDLGNVIYCKNLACRARLNSEDAFCKRCSCCICRNYDDNKDPSLWLVCNSEPPFQRDSCGMSCHLECAIRHERSGIVKDRQDEGINGSFYCVSCGKANDLLSSLRKQLVTARDTRRVDILCYRISLGQKILGEAKSCNKLYTVMDEAVKKLEEEVGPLTGSPVKTARGIVNRLSSGPEVQRLCGLAVEYLDSMLSERVLEVPSDPKLQDCNVIASKLIRFEDVCASSVTIILSPEESTVGNFVGYTLWHRKVDDLEYPVNHTCTLFAPNTRFLLSGLVPATEYLLRVVSLDSQSKWGVCELRFETSNEVSHLNSENLEVERSQSVRTNCSSPSNHPSVEEDGINDDMPSYSNEDEDRGDNSLSCYTDNITSTNLCCEGKSPKATTTVKNIIPSLGGEEQMMRNFSSSTRLTDVIDVENKDSPDAQIAEETSTGNGSNTPPETILEHAPYSAGNLEAGGLPITPYKTESMDFLGRKGRFQPGGKDGENGCGKEDTRGGSSSKKRSIERFDKQCGVMGGDKDDFEYYVKVIRWLECSGHIEMEFRQKFLTWYSLRANPQEVRVVKAFVDTLIEDPESLAGQLVHSFSDVISNKKSSMVPAGFCLKLWH >itb08g08610.t1 pep chromosome:ASM357664v1:8:7598220:7600456:1 gene:itb08g08610 transcript:itb08g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADMMSADRRTDVEDEYIRRHHKHEVRENQCSSCLVKHIRAPVHLVWSLVRRFDQPQRYKPFVSRCIVQGDLEIGSVREVNVKSGLPATTSKERLELLDDDEHIFSMRIVGGDHRLRNYSSIVTVHPEIIDGRPGTLVIESFVVDIPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQGRTEPIDRV >itb05g01350.t1 pep chromosome:ASM357664v1:5:1153548:1156622:1 gene:itb05g01350 transcript:itb05g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSFLIAILLLQVFTEALATDHSDKFPAQIGGAGKEDEAALTKKVYHHAKINCRYACGRRCRKASRKNVCSRACTTCCRRCNCVPPGTYGNTHLCPCYAKLKTHGGHPKCP >itb03g07610.t1 pep chromosome:ASM357664v1:3:5640380:5641321:1 gene:itb03g07610 transcript:itb03g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSSTWTAKQNKQFEKALAHYDKDTPDRWENVGREVGKSAEEVKRHYDILVEDLKHIESGDIPFPKYYTTSTGNHR >itb03g07610.t2 pep chromosome:ASM357664v1:3:5640380:5641321:1 gene:itb03g07610 transcript:itb03g07610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSSTWTAKQNKQFEKALAHYDKDTPDRWENVGREVGKSAEEVKRHYDILVEDLKHIESGDIPFPKYYTTSTGNHR >itb13g06170.t2 pep chromosome:ASM357664v1:13:7360217:7362194:1 gene:itb13g06170 transcript:itb13g06170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTYLDEYEKLVIRMNTPRVMIDNAGCTTATRVMIDSARKHGILLEAVQVLTDLNLSIKKAYVSSDGRWFMDVFHVTDLDGNKLTDESVIKYIEQSLETIHHSSSKCFDGLTALELTGTDRIGLLSEVFAVLSDLQCNVVESKVWTHNGRIASLIYLKDCDSGSPIEDSQKIDRIEARLRNVLKGDNDIRSARTCVSMADTHTERRLHQMMFADRDYERNKTVVPVVSVLNCLEKGYSVVNIQCKDRTKLLFDVVCTLTDMQYVVFHATLNTAGDRASLVYICSFLNHFVDSLIIVLTCLLKDVSLSVSGVLH >itb13g06170.t1 pep chromosome:ASM357664v1:13:7360217:7363237:1 gene:itb13g06170 transcript:itb13g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTYLDEYEKLVIRMNTPRVMIDNAGCTTATRVMIDSARKHGILLEAVQVLTDLNLSIKKAYVSSDGRWFMDVFHVTDLDGNKLTDESVIKYIEQSLETIHHSSSKCFDGLTALELTGTDRIGLLSEVFAVLSDLQCNVVESKVWTHNGRIASLIYLKDCDSGSPIEDSQKIDRIEARLRNVLKGDNDIRSARTCVSMADTHTERRLHQMMFADRDYERNKTVVPVVSVLNCLEKGYSVVNIQCKDRTKLLFDVVCTLTDMQYVVFHATLNTAGDRASLEFFIRHTDGTPISSDAEKQRVILCLQAAIERRASEGMRLELCTSDRQGLLADVTRTFRENGVNVTRAEISTMGETATNVFYVTDASGNPADPKMIEAVRQKVGLGALKVKELPTINHQKAEEEEEQPAVGVGGAMLLSLGSIVRRNLYNLGLIKSCS >itb12g07830.t1 pep chromosome:ASM357664v1:12:5988413:5989876:-1 gene:itb12g07830 transcript:itb12g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRIAINSSIVLKMMKLRSKKFSRTNSSKSASSASGSAAAANGSVGGGEIKWELRPGGMLVQKRECLDKAEEIITLRVSTVSQCHNISIQSTSTFGELKMMLSLVTGLEPKEQRVLYKGKEREDYEHLHMVGLNNKDKVLLLQDPAIKEQKLLGLAAGRRPAPVVGSPFRTITV >itb05g16980.t1 pep chromosome:ASM357664v1:5:24076090:24076943:1 gene:itb05g16980 transcript:itb05g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGGGEAPSNPPAVQKPEKVPNNAPAPKPAAAPPVDNTKQAPAGILSSNANNYVRADGQNCGNFLTERRSTKVQAAPGGGSSLGYLFGGGSN >itb02g13400.t1 pep chromosome:ASM357664v1:2:9451298:9475952:-1 gene:itb02g13400 transcript:itb02g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRLPPPAIVRNHFVQIFSVCMALHCSKNPEREKGSTVLESSILKIAEMSENERDKLIKKQMVSIVSNILSLASCASDPVLPFFSRDTIARAIVTVVDGFLEMNDSSRSFGVIDKINIFRPDRVFMLIVELHYKIATAAHCRHKCNWLAGIEVLIDVLENRAAVSSTLSYLLNLVGLCIGIDTLLDQCCSIISSLLKIFKINQSEGTTGALGEQLQFLVSKLVKCCIPSDCNHKHTVEASSKVLPLLHQLTLDAHPSLYEYIKELEPFPCLEIFEGMRRFHEKLCQDYSPRDHLLKLANRSHWLPPRLLLMSLKALHWKLFAGATNQGQNNDENIFEDVHLQHSDNEIVSAVWDLFHTCSSDHTNNFGAFVSDFVSRVGIGDPHSVVFHLPGESRCIHIYGNINADGAAEHKFHLDSGISEELVIALLKLLKKYLMDDSVKIIDIASQALRGILSTEKGWRCLLSFGSYQRLLMEVHSKGINLDVVQKLVADLERKYNAEATSLESSTLWSTDEKTFETWICPLVHALIGYCNDTIIRLCQEIVLVKSEVAELLFPEVITNLSRRTDVAVDLGQLICTKVQENIFTGSNKLTKSIQVVLEALNVLRLCHVTQRAISSSTSHKQENLKQHSRPSSYGSRSRSAIVKVKDQPTTSSGSAFSTLLWDKVYWLPIDYLVVAKFAITSGAYFSALLYVEYWCKERFSSLTLGNPDFSHLEILPEHIEILVSAVTQINEPDSLYGIIQCNKLSSQILTCEHEGNWSKALEYYDLQIRSEPAEQMEHLSAKNSQSAFHTYSSRSDKSMQKQPLKGLIRSLQQIGCTHLLDVCCQWLTSQKGRFEHDPEFTELQYEAAWRSGNWDFSLLYGGSNTFPSVHDTKGDHFNENLHSCLRALQEGDFGEFHIKLRNSKQELLLSIYRASEESTKYIYLAIVKIQIIYHLGMAWDLRWPSSSKNLDSFSEMKTLISEPLVPSSSQLSWLVKDSTHTLKQAQLHMDLLEPFIAFRRVLLQILKCTNCTVQHLLESASTLRKGSRFSQATSLLHEFKSFCAEMGEENSNLYWLGRLEEAKLLRAQGQHQAAINLAKYIAQNYQFNVDASDVFRLIGKWLAETRSSNSRTILEKYLKHAVNLAEDCMTKDKESMAKRSQMRFHLAHYADALFRSYEDRLNSSEWQAAMRLRKHKKKELEALARRLKTSSKGEKVDYSMKIQELQKQLAMDKEEAAKLQEDRDNFLNTALDEYKRCLVIGDKYDVRVVFRLVSLWFNLSTRSIVVDSMLSTTNEVQSYKFVPLVYQIASRMGNSKDGHGPQSFQFALVSLVKKLAIDHPYHTIFQLLALANGDRIKDKQRSKNSFVVDIDKKVAAENLLKELSSYHGAILRQMKQMVEIYIKLAELETKREDTNKKVTLPRDIRSVRELELVPVITANVPIDPSCLYSEGSFPHFKGLTDSVTIMNGINAPKVVECLGSDGNKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLRIRTYKVVPFTPSAGVLEWVNGTVPLGEYLIGRSVHLPE >itb02g13400.t2 pep chromosome:ASM357664v1:2:9440993:9475952:-1 gene:itb02g13400 transcript:itb02g13400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRLPPPAIVRNHFVQIFSVCMALHCSKNPEREKGSTVLESSILKIAEMSENERDKLIKKQMVSIVSNILSLASCASDPVLPFFSRDTIARAIVTVVDGFLEMNDSSRSFGVIDKINIFRPDRVFMLIVELHYKIATAAHCRHKCNWLAGIEVLIDVLENRAAVSSTLSYLLNLVGLCIGIDTLLDQCCSIISSLLKIFKINQSEGTTGALGEQLQFLVSKLVKCCIPSDCNHKHTVEASSKVLPLLHQLTLDAHPSLYEYIKELEPFPCLEIFEGMRRFHEKLCQDYSPRDHLLKLANRSHWLPPRLLLMSLKALHWKLFAGATNQGQNNDENIFEDVHLQHSDNEIVSAVWDLFHTCSSDHTNNFGAFVSDFVSRVGIGDPHSVVFHLPGESRCIHIYGNINADGAAEHKFHLDSGISEELVIALLKLLKKYLMDDSVKIIDIASQALRGILSTEKGWRCLLSFGSYQRLLMEVHSKGINLDVVQKLVADLERKYNAEATSLESSTLWSTDEKTFETWICPLVHALIGYCNDTIIRLCQEIVLVKSEVAELLFPEVITNLSRRTDVAVDLGQLICTKVQENIFTGSNKLTKSIQVVLEALNVLRLCHVTQRAISSSTSHKQENLKQHSRPSSYGSRSRSAIVKVKDQPTTSSGSAFSTLLWDKVYWLPIDYLVVAKFAITSGAYFSALLYVEYWCKERFSSLTLGNPDFSHLEILPEHIEILVSAVTQINEPDSLYGIIQCNKLSSQILTCEHEGNWSKALEYYDLQIRSEPAEQMEHLSAKNSQSAFHTYSSRSDKSMQKQPLKGLIRSLQQIGCTHLLDVCCQWLTSQKGRFEHDPEFTELQYEAAWRSGNWDFSLLYGGSNTFPSVHDTKGDHFNENLHSCLRALQEGDFGEFHIKLRNSKQELLLSIYRASEESTKYIYLAIVKIQIIYHLGMAWDLRWPSSSKNLDSFSEMKTLISEPLVPSSSQLSWLVKDSTHTLKQAQLHMDLLEPFIAFRRVLLQILKCTNCTVQHLLESASTLRKGSRFSQATSLLHEFKSFCAEMGEENSNLYWLGRLEEAKLLRAQGQHQAAINLAKYIAQNYQFNVDASDVFRLIGKWLAETRSSNSRTILEKYLKHAVNLAEDCMTKDKESMAKRSQMRFHLAHYADALFRSYEDRLNSSEWQAAMRLRKHKKKELEALARRLKTSSKGEKVDYSMKIQELQKQLAMDKEEAAKLQEDRDNFLNTALDEYKRCLVIGDKYDVRVVFRLVSLWFNLSTRSIVVDSMLSTTNEVQSYKFVPLVYQIASRMGNSKDGHGPQSFQFALVSLVKKLAIDHPYHTIFQLLALANGDRIKDKQRSKNSFVVDIDKKVAAENLLKELSSYHGAILRQMKQMVEIYIKLAELETKREDTNKKVTLPRDIRSVRELELVPVITANVPIDPSCLYSEGSFPHFKGLTDSVTIMNGINAPKVVECLGSDGNKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLRIRTYKVVPFTPSAGVLEWVNGTVPLGEYLIGSTRDGGAHGRYGVGDWTFLKCRQYMLTEHDKRKAFQEVCDNYRPVMHHFFLERFLHPADWFEKRLAYTRSVAASSMVGYIVGLGDRHLMNILVDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKEIDEDLETSLEGSQEDEYEGNKDAARALMRVKQKLDGYEEGELRSVHGQVQQLIQDATDPDRLCHMFPGWGAWL >itb02g13400.t3 pep chromosome:ASM357664v1:2:9440684:9475952:-1 gene:itb02g13400 transcript:itb02g13400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRLPPPAIVRNHFVQIFSVCMALHCSKNPEREKGSTVLESSILKIAEMSENERDKLIKKQMVSIVSNILSLASCASDPVLPFFSRDTIARAIVTVVDGFLEMNDSSRSFGVIDKINIFRPDRVFMLIVELHYKIATAAHCRHKCNWLAGIEVLIDVLENRAAVSSTLSYLLNLVGLCIGIDTLLDQCCSIISSLLKIFKINQSEGTTGALGEQLQFLVSKLVKCCIPSDCNHKHTVEASSKVLPLLHQLTLDAHPSLYEYIKELEPFPCLEIFEGMRRFHEKLCQDYSPRDHLLKLANRSHWLPPRLLLMSLKALHWKLFAGATNQGQNNDENIFEDVHLQHSDNEIVSAVWDLFHTCSSDHTNNFGAFVSDFVSRVGIGDPHSVVFHLPGESRCIHIYGNINADGAAEHKFHLDSGISEELVIALLKLLKKYLMDDSVKIIDIASQALRGILSTEKGWRCLLSFGSYQRLLMEVHSKGINLDVVQKLVADLERKYNAEATSLESSTLWSTDEKTFETWICPLVHALIGYCNDTIIRLCQEIVLVKSEVAELLFPEVITNLSRRTDVAVDLGQLICTKVQENIFTGSNKLTKSIQVVLEALNVLRLCHVTQRAISSSTSHKQENLKQHSRPSSYGSRSRSAIVKVKDQPTTSSGSAFSTLLWDKVYWLPIDYLVVAKFAITSGAYFSALLYVEYWCKERFSSLTLGNPDFSHLEILPEHIEILVSAVTQINEPDSLYGIIQCNKLSSQILTCEHEGNWSKALEYYDLQIRSEPAEQMEHLSAKNSQSAFHTYSSRSDKSMQKQPLKGLIRSLQQIGCTHLLDVCCQWLTSQKGRFEHDPEFTELQYEAAWRSGNWDFSLLYGGSNTFPSVHDTKGDHFNENLHSCLRALQEGDFGEFHIKLRNSKQELLLSIYRASEESTKYIYLAIVKIQIIYHLGMAWDLRWPSSSKNLDSFSEMKTLISEPLVPSSSQLSWLVKDSTHTLKQAQLHMDLLEPFIAFRRVLLQILKCTNCTVQHLLESASTLRKGSRFSQATSLLHEFKSFCAEMGEENSNLYWLGRLEEAKLLRAQGQHQAAINLAKYIAQNYQFNVDASDVFRLIGKWLAETRSSNSRTILEKYLKHAVNLAEDCMTKDKESMAKRSQMRFHLAHYADALFRSYEDRLNSSEWQAAMRLRKHKKKELEALARRLKTSSKGEKVDYSMKIQELQKQLAMDKEEAAKLQEDRDNFLNTALDEYKRCLVIGDKYDVRVVFRLVSLWFNLSTRSIVVDSMLSTTNEVQSYKFVPLVYQIASRMGNSKDGHGPQSFQFALVSLVKKLAIDHPYHTIFQLLALANGDRIKDKQRSKNSFVVDIDKKVAAENLLKELSSYHGAILRQMKQMVEIYIKLAELETKREDTNKKVTLPRDIRSVRELELVPVITANVPIDPSCLYSEGSFPHFKGLTDSVTIMNGINAPKVVECLGSDGNKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLRIRTYKVVPFTPSAGVLEWVNGTVPLGEYLIGSTRDGGAHGRYGVGDWTFLKCRQYMLTEHDKRKAFQEVCDNYRPVMHHFFLERFLHPADWFEKRLAYTRSVAASSMVGYIVGLGDRHLMNILVDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKEIDEDLETSLEGSQEDEYEGNKDAARALMRVKQKLDGYEEGELRSVHGQVQQLIQDATDPDRLCHMFPGWGAWL >itb12g08680.t1 pep chromosome:ASM357664v1:12:6816975:6818465:1 gene:itb12g08680 transcript:itb12g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQMASIVLLALLASFARAYDNNPLQDFCVAVADPQAAVFVNGKPCKDPNLVEANDFYMPAGFNTPAGGVSQVGFVSSNPLNGQKNKLFPKTLKPGDVFIFPKGLVHFLYNVGRTNATVFSSFSSQKPGFVILANSVFGSEPSISDDVLTKAFRLDKGIIDYLQSQSWDDRS >itb09g14320.t1 pep chromosome:ASM357664v1:9:9629356:9630682:1 gene:itb09g14320 transcript:itb09g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAAARQLFLLTSASHVQSRVSLQFLLPLSPVSIPGELHNGGSLRLTQFSSHRDAAITTRASAGNDAILPKKDDDDGVSLGTMKLPLDIDLERFDILLFQWANSLCQGANLPLPVPLKVDKIRGGARLGFITVEDNGETQVLVYIDCVVSPATDASPTPMFRATRNGAKKDEAAPGEPRIMRSLLAALQKSVEIARV >itb14g07410.t1 pep chromosome:ASM357664v1:14:6809219:6814380:-1 gene:itb14g07410 transcript:itb14g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPMRYVLVVVVVLVYEFSTFSYVSSYSNPYASESTCRRRQSPSFLYDLKFQCSLPISKPSFPIEMDGESLDKVLDSSQKDGLTAVLFYAAWCPFSSRARQVFTTLSSMFPQIKHVMVEQSATRPSVFSRYGIHSLPSILIVNQTEMLRYNGHKDLHSLVKFYKKTTGLYPIMDMSESENSYVEKTQEIFIPWNGTSLKETFSTEPYLVLSAAFVLLRALLYFFPGIVSRAVALWAAYVPHLNMSVFGESKQLLGHVLHVIDLKRVLSKLKLCKARNFHRGARNARVWASSLTSVSLGEASSSRVLSPSGDL >itb02g05540.t1 pep chromosome:ASM357664v1:2:3357577:3360349:-1 gene:itb02g05540 transcript:itb02g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKMVVAVEKDEDEEVILPGFRFHPTDEELVGFYLRRRVENKRISIELIKQVDIYKHDPWDLPKIGTHVGDKEWYFFCIRGRKYRNSVRPNRVTGSGFWKATGIDKPVYSTGGETHHCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPKLADKNNNICQEAEVWTLCRIFKRTTNYKRCMPDWRQQTSRQSTIVENGSSKNEAIMGAAQQEQRNQFYASNDQISMALSSYSSSSNTTTFWNSPKLLDNSHFFIGENPHWDELKSVVDLATDPQSFCYSHT >itb08g04990.t1 pep chromosome:ASM357664v1:8:4078554:4080905:-1 gene:itb08g04990 transcript:itb08g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQYYVAVLLSILLLVLSSKLFSNRRKNLPPAPLSLPIIGHLYLIKNSLHQALAALSAKHGPVLYLRFGCRRFLVVSSPPAVEDCFTKNNDIILANRPRSMSADNFSFDYKSLPMAPHGDLWRVQRRLTVVELFSTLSLQRSAAIREDEIGTVIRSLFKLGSQNGNGNLTVDFNNLAGVFTFNSMMRIMSGEQFVKEEEIGGEKGKEILNGLREIFFASISATNVCDFFPVLRWFGYRGLEKQMVSLHKKRIEFLNGILDEFRRRNAGFSENSEGDEMMKSCREKKEKSPVIETLLRLQNPEFYTNDLIMSIILMVFVAGTETASVTIEWAMSLLLSHPEVLCKLRYEIDNNVGHKRLLNESDLSKLPYLRCVINETLRLYPPVPLLFPHYSSEDCVVAGYDVPKHTTVMVNAWAIHQDPELWEEPEKFKPERFEAMEGEGEGSFNYKFVPFGIGRRSCPGNNMALRLVSLALGACIQCFEWENTKEDKMCEAHLSRSILQKAERLEVICTPRNNCLQFLSQL >itb04g01640.t2 pep chromosome:ASM357664v1:4:930357:935038:-1 gene:itb04g01640 transcript:itb04g01640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKGLSGVNSNHRNRGGGSRFPVVVLIFCSCIAPLMFFIGRGLYTSGSIDKNHVSTTSGQMDLDWRERLALQHIKPILTREVFAIIKASTDDLGPLSLDSFRKSNLSASWRFVGQVSLARRNRSISLESNVKIGITRKETSKGKTDEYLHEDDSQFVDEPVKVARRQLRQKKREKRAADLAKQDDEVTVKLENAAMERSKSVDSAVLGKYSIWRRENENENPDSTVRLMRDQVIMARVYLSIAGMKKKSDLAQELQQRLKESQRALGEASSDSDLPRSSHDKIKTMGHVLTKAREQLYDCKLVTGKLRAMLQTADEEVRSLKRQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKREFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCVVLRQLESAAMKEYYFKAAHPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTRLWSVDLHGKVNGAVETCGQSFHRFDKYLNFSNPHIARHFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSIDRSEIDNAAVIHYNGNMKPWLELAMAKYRPYWTKYIKFDHPYIRGCKVSE >itb04g01640.t1 pep chromosome:ASM357664v1:4:930357:935038:-1 gene:itb04g01640 transcript:itb04g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKGLSGVNSNHRNRGGGSRFPVVVLIFCSCIAPLMFFIGRGLYTSGSIDKNHVSTTSGQMDLDWRERLALQHIKPILTREVFAIIKASTDDLGPLSLDSFRKSNLSASWRFVGQVSLARRNRSISLESNVKIGITRKETSKGKTDEYLHEDDSQFVDEPVKVARRQLRQKKREKRAADLAKQDDEVTVKLENAAMERSKSVDSAVLGKYSIWRRENENENPDSTVRLMRDQVIMARVYLSIAGMKKKSDLAQELQQRLKESQRALGEASSDSDLPRSSHDKIKTMGHVLTKAREQLYDCKLVTGKLRAMLQTADEEVRSLKRQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKREFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCVVLRQLESAAMKEYYFKAAHPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTRLWSVDLHGKVNGAVETCGQSFHRFDKYLNFSNPHIARHFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQNMNEDRALWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSIDRSEIDNAAVIHYNGNMKPWLELAMAKYRPYWTKYIKFDHPYIRGCKVSE >itb03g09480.t1 pep chromosome:ASM357664v1:3:7326940:7328896:1 gene:itb03g09480 transcript:itb03g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTETLPAPSHQISPFSSLVTNKNQVHRTRPWPGFPTSSKNLGTSIGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIATPDGDSNQRLTSAFLRALIARAAMSGTCKLLAAMADLHPGLNLNMMDTHRFSTIELASFVDLTPWYRFGFTAANAAIIDAVEGYSVVHIVDLSSTHCMQIPTLIDAIAARPEGPPLIKLTLAAAADEFPPMLDLSYEDLGAKLVNFARSRNVELNFSVVPSTPSDGFSSLIQQLRLQNLVRAENGEALVMNCHMMLHYIPDETLYENSHSQSQSAASSFRTMFLKSIRSLVPTILLLIDEDADFTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEANICWKVENVIAQEGVQRVERLEPKTRWVQRLRNANFRGVPFSDDGVSEVKNMLDEHAAGWGLKREDDDVVLTWKGHNVVFATAWVPN >itb03g04210.t2 pep chromosome:ASM357664v1:3:2624246:2631025:1 gene:itb03g04210 transcript:itb03g04210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METLLPDPFEKNPADIHFPDPLVSDWSKPSVQEPIGEGENLQFLPEPVGCDWGARVVVPSGTLGQEAVCVSAIEQDENQMFLAESMICDSGSRLVPRGFCNPCCTEDIVYFINAGAEASIESDSSISFMPDKFFQGGNTFQTEEFIAEGGDYPFIYQSARLGNFTYHVPNLPHGEYFVDLHFVEIININGPKGMRVFNVFLQDEKVLSDFDIFSVVGANKPLQLIDSRVSIKDDGVLAIKFEGIIGSPVVSGICIRKAPEVSASQAKGEHLICTNCTAEVEFPLAQKKAMRMQSTAKYEKKIQELSELLQRKTDECYQSWMSVSAANQHLEKVRMELDNKTFQTYSLDQNLEKQALKLTEISSRYEHDKQVWRGAISNLEQKVKIMKQEHSQLSRDAHECVDSIPDLSKMVFAVQSLVEQCEDLKFKYNEEQAKRRKLFNEVQEAKGNIRVFCRCRPLNKAEVSAGSSMVVDFDAAKDGELGVINGGSTKKTFKFDRVYTPKDDQVDVYADASPMVISVLDGYNVCIFAYGQTGTGKTFTMEGNEGNRGVNYRTLEELFKISKERGDTFTYDISVSVLEVYNEQIRDLLSTSSSSSSKKLEIKQASEGFHHIPGIVEARVENINEVWSVLQAGSSARAVGSNNVNEHSSRSHCMLCIMVRAKNLITGECTKSKLWLVDLAGSERLAKTDVQGDRLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDKDVSETLSSLNFATRVRGIELGPARKQVDTSELQKLKMMLDKAKHESRSKDESLKKLEESLRSIESRGREKEQVSKSQQDEIKELKAQLELKASLHSQSEKQIFHISDRLKGSEETCATLQQKVADLEKKLREQGQFEYENYKNKVKDVENKLKEQLQESESRSIILQLKVSL >itb03g04210.t1 pep chromosome:ASM357664v1:3:2624246:2631046:1 gene:itb03g04210 transcript:itb03g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLPDPFEKNPADIHFPDPLVSDWSKPSVQEPIGEGENLQFLPEPVGCDWGARVVVPSGTLGQEAVCVSAIEQDENQMFLAESMICDSGSRLVPRGFCNPCCTEDIVYFINAGAEASIESDSSISFMPDKFFQGGNTFQTEEFIAEGGDYPFIYQSARLGNFTYHVPNLPHGEYFVDLHFVEIININGPKGMRVFNVFLQDEKVLSDFDIFSVVGANKPLQLIDSRVSIKDDGVLAIKFEGIIGSPVVSGICIRKAPEVSASQAKGEHLICTNCTAEVEFPLAQKKAMRMQSTAKYEKKIQELSELLQRKTDECYQSWMSVSAANQHLEKVRMELDNKTFQTYSLDQNLEKQALKLTEISSRYEHDKQVWRGAISNLEQKVKIMKQEHSQLSRDAHECVDSIPDLSKMVFAVQSLVEQCEDLKFKYNEEQAKRRKLFNEVQEAKGNIRVFCRCRPLNKAEVSAGSSMVVDFDAAKDGELGVINGGSTKKTFKFDRVYTPKDDQVDVYADASPMVISVLDGYNVCIFAYGQTGTGKTFTMEGNEGNRGVNYRTLEELFKISKERGDTFTYDISVSVLEVYNEQIRDLLSTSSSSSSKKLEIKQASEGFHHIPGIVEARVENINEVWSVLQAGSSARAVGSNNVNEHSSRSHCMLCIMVRAKNLITGECTKSKLWLVDLAGSERLAKTDVQGDRLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDKDVSETLSSLNFATRVRGIELGPARKQVDTSELQKLKMMLDKAKHESRSKDESLKKLEESLRSIESRGREKEQVSKSQQDEIKELKAQLELKASLHSQSEKQIFHISDRLKGSEETCATLQQKVADLEKKLREQGQFEYENYKNKVKDVENKLKEQLQESESRSIILQLKVEELEKKLKEHEKNSESALLHERIKELEEKVREKEQQLAVKSITITPHEGKCPPPSCRDEQPMNVTEDHHILRNSNSLNFQSSHGLPKQQKELAVQEVRRKRLSTNSEPENNNTVVILPTSSLSKRKEHETLVHEIRRKRLSRNEVENSNGGFVPMARGRKSDPPPKQFVGTVKPTTKPSLTAAAAQRPVARSNMSRGDPVQGVKDRDSKKRIWSR >itb03g04210.t3 pep chromosome:ASM357664v1:3:2624246:2631025:1 gene:itb03g04210 transcript:itb03g04210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METLLPDPFEKNPADIHFPDPLVSDWSKPSVQEPIGEGENLQFLPEPVGCDWGARVVVPSGTLGQEAVCVSAIEQDENQMFLAESMICDSGSRLVPRGFCNPCCTEDIVYFINAGAEASIESDSSISFMPDKFFQGGNTFQTEEFIAEGGDYPFIYQSARLGNFTYHVPNLPHGEYFVDLHFVEIININGPKGMRVFNVFLQDEKVLSDFDIFSVVGANKPLQLIDSRVSIKDDGVLAIKFEGIIGSPVVSGICIRKAPEVSASQAKGEHLICTNCTAEVEFPLAQKKAMRMQSTAKYEKKIQELSELLQRKTDECYQSWMSVSAANQHLEKVRMELDNKTFQTYSLDQNLEKQALKLTEISSRYEHDKQVWRGAISNLEQKVKIMKQEHSQLSRDAHECVDSIPDLSKMVFAVQSLVEQCEDLKFKYNEEQAKRRKLFNEVQEAKGNIRVFCRCRPLNKAEVSAGSSMVVDFDAAKDGELGVINGGSTKKTFKFDRVYTPKDDQVDVYADASPMVISVLDGYNVCIFAYGQTGTGKTFTMEGNEGNRGVNYRTLEELFKISKERGDTFTYDISVSVLEVYNEQIRDLLSTSSSSSSKKLEIKQASEGFHHIPGIVEARVENINEVWSVLQAGSSARAVGSNNVNEHSSRSHCMLCIMVRAKNLITGECTKSKLWLVDLAGSERLAKTDVQGDRLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDKDVSETLSSLNFATRVRGIELGPARKQVDTSELQKLKMMLDKAKHESRSKDESLKKLEESLRSIESRGREKEQVSKSQQDEIKELKAQLELKASLHSQSEKQIFHISDRLKGSEETCATLQQKVADLEKKLREQGQFEYENYKNKVKDVENKLKEQLQESESRSIILQLKVSL >itb13g06480.t1 pep chromosome:ASM357664v1:13:7751375:7753617:1 gene:itb13g06480 transcript:itb13g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTDFSTNDFFVNPYGTPPALHPKGIAAIALISIRTSNLKPQRILSLALPGCYCVKAEFGTDMVMTAPAEFRFPTTNQTRHCFTSYVEFHRCVAAKGGEAGNFEKFARYYWALCPVLEKSFVTIADSCPSLFIAGCLHWFLRPILVLELRVVVDLSDLEFLELLSEKLACVPTPILEVPFAFEYSPELLPY >itb06g13940.t4 pep chromosome:ASM357664v1:6:18585077:18590180:-1 gene:itb06g13940 transcript:itb06g13940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYKHNNELFPERRGIAVVVSKSLDSRRSRRLSRVSKRSLLLWVLLLAVLFSIYLIVFGLKMHSHDGLQDSHSSWLEIQDEISAFNTSEPRKKPRRQKFFPCEVALRDSVHYLINPEDAINFTYFSLDYIQREDKTSWNGAFEHRFGGHQTLDEREKSFYATNKTIHCGFVKGGEGFPSTGFDLDEKDKRYMSTCRVVVSSCIFGSSDFLRRPTSKLISEYSKKNVCFVMFVDKGTLSKLSAEGHVTDEEGNIGLWKIVVVKNLPYRDMRKTGKVPKFLSHRLFPSSRYSIWLDSKLRLTTDPMRIIDHFLWKTGSEYAISNHYTRHCVWEEVVQNKRLNKYNHSAIDEQFNLYKADGLTKYDHSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTFLKLKRMNPDKPFRLHMFKDCERRSLVKLFHHRVPSPPP >itb06g13940.t1 pep chromosome:ASM357664v1:6:18585045:18590189:-1 gene:itb06g13940 transcript:itb06g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYKHNNELFPERRGIAVVVSKSLDSRRSRRLSRVSKRSLLLWVLLLAVLFSIYLIVFGLKMHSHDGLQDSHSSWLEIQDEISAFNTSEPRKKPRRQKFFPCEVALRDSVHYLINPEDAINFTYFSLDYIQREDKTSWNGAFEHRFGGHQTLDEREKSFYATNKTIHCGFVKGGEGFPSTGFDLDEKDKRYMSTCRVVVSSCIFGSSDFLRRPTSKLISEYSKKNVCFVMFVDKGTLSKLSAEGHVTDEEGNIGLWKIVVVKNLPYRDMRKTGKVPKFLSHRLFPSSRYSIWLDSKLRLTTDPMRIIDHFLWKTGSEYAISNHYTRHCVWEEVVQNKRLNKYNHSAIDEQFNLYKADGLTKYDHSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTFLKLKRMNPDKPFRLHMFKDCERRSLVKLFHHRVPSPPP >itb06g13940.t2 pep chromosome:ASM357664v1:6:18585045:18590179:-1 gene:itb06g13940 transcript:itb06g13940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYKHNNELFPERRGIAVVVSKSLDSRRSRRLSRVSKRSLLLWVLLLAVLFSIYLIVFGLKMHSHDGLQDSHSSWLEIQDEISAFNTSEPRKKPRRQKFFPCEVALRDSVHYLINPEDAINFTYFSLDYIQREDKTSWNGAFEHRFGGHQTLDEREKSFYATNKTIHCGFVKGGEGFPSTGFDLDEKDKRYMSTCRVVVSSCIFGSSDFLRRPTSKLISEYSKKNVCFVMFVDKGTLSKLSAEGHVTDEEGNIGLWKIVVVKNLPYRDMRKTGKVPKFLSHRLFPSSRYSIWLDSKLRLTTDPMRIIDHFLWKTGSEYAISNHYTRHCVWEEVVQNKRLNKYNHSAIDEQFNLYKADGLTKYDHSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTFLKLKRMNPDKPFRLHMFKDCERRSLVKLFHHRVPSPPP >itb06g13940.t3 pep chromosome:ASM357664v1:6:18585627:18590189:-1 gene:itb06g13940 transcript:itb06g13940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYKHNNELFPERRGIAVVVSKSLDSRRSRRLSRVSKRSLLLWVLLLAVLFSIYLIVFGLKMHSHDGLQDSHSSWLEIQDEISAFNTSEPRKKPRRQKFFPCEVALRDSVHYLINPEDAINFTYFSLDYIQREDKTSWNGAFEHRFGGHQTLDEREKSFYATNKTIHCGFVKGGEGFPSTGFDLDEKDKRYMSTCRVVVSSCIFGSSDFLRRPTSKLISEYSKKNVCFVMFVDKGTLSKLSAEGHVTDEEGNIGLWKIVVVKNLPYRDMRKTGKVPKFLSHRLFPSSRYSIWLDSKLRLTTDPMRIIDHFLWKTGSEYAISNHYTRHCVWEEVVQNKRLNKYNHSAIDEQFNLYKADGLTKYDHSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTFLKLKRMNPDKPFRLHMFKVRHLSMSLHCAPCASLIHSICTPISFRS >itb10g26410.t1 pep chromosome:ASM357664v1:10:29802633:29804860:-1 gene:itb10g26410 transcript:itb10g26410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAARDRTISNGAASEILQRNVRYSPSWSFRWDNRGRVAGEETSVNWSSDGVGGNDRLEFKSGTTVETVCPSEDGSPLDSFRSFPWQKSPTSERNMGSSTHPSTDPLVDSQDRNSTEVKESTGSPAVSFPSPVKLSPSAPSVSSFPTSPLSSQSQVPPANLTSSRLPHHSPGRRLSRHVSDTGVPGIKSPTFSVSEEASSFILPGWSNESTRGSYGGSSDGWSVPSFPEFLTTSRRGRWSFDSESFGFHRDKVARSSGRNSGSPSLDLRTCGICAKLLTDKSLWGSQKIIASNELAVVAILTCGHVFHAECLENMTSEINKYDPACPVCTYGEKQALKMSEKALKAEMELKARKRYKNRIVDSNFSGNLSVLDRQKSSGHEGRYPKMSSSSSMRSSSGKTFMRRHFSFGSKGSTRTLSESLSTRKRIFFWAKSSRE >itb06g17870.t1 pep chromosome:ASM357664v1:6:21623394:21632641:1 gene:itb06g17870 transcript:itb06g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRRKMPPSFSAFIGIIVAVILAMDLALCQNVDDSGGVDTGKIDNPAVRELMTQEVNRRLANVTSLIFSSELAEKSSFCILDKEKDWNNAFNFSQDLRFLSDCVARTRGDVQQRLCTAAEIRFYFSNMFMNSMSYLNPNRNCNLTSWISGCEPGWACSTGSNQNPDLRNLKDIPARTTDCQPCCEGFFCPHGITCMIPCPLGSYCPLARLNNNTGVCEPYNYQLPHRQSNHTCGGANIWADVTSSSEIFCSAGSYCPSNTERIRCSSGNYCPTGSTAEKRCFKLTSCDPNTSSQNMHAYGVMLIVALSTVLLIIYNCSDQIITIRERRRARSRESAAKSVKERVQAQARWKSAKDAVKKHAIELHSQVSLKLSRNKAVTVLNETENDQDGDMHASNSMMSMQSAASSDGTESEPSPYMKMMKDIGFDNSESFDSEIKDKNLKTRVPKGKQAHTHSQMFKYAYAQLEKEKAQQQQNKSLTFSGVISMATNKEIRKRPTIEIAFRDLTVTLKGKNKHLLRSINGKVMPGRVTAVMGPSGAGKTTFLSALAGKTVGCTLTGSIFINGKTESIHSYRKILGFVPQDDIVHGNLTVEENLWFSAKCRLSADLPEPDKVLIVERVIDSLGLQAVRNSLVGTVEKRGISGGQRKRVNVGLELVIEPSLLFLDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGPAKKVEEYFAGLGINVPERVNPPDYFIDVLEGLIRPNASSNMSYNELPVSWMVHNGYAVPPDMQQNCHKDAVLPTRVNINDHILSGDINLEHSFAGEIWQDMKCNVERHRDILHHNFLRSKDLSNRRTPSILLQYKYFLGRVGKQRLREAKTQAMDYLILLVAGACLGSLTKVKDETFGSPGYVHTIIAVSLLCKVAALRTFSLDKLQYWRESASGISSLAHFVSKDTIDHFNTLIKPAVYLSMFYFFCNPRSSFTDNYIILLCLVYCVTGMGYALAIFLDSGPAQLCAVLVPVVLTLVATRPLGSKFFNTIADLCYPKWALQGFVIANAERYYGVWLITRCGALQTFGYDIHNWGLCIAILILTGLGSRIVAFVGMIVFQKK >itb01g03320.t1 pep chromosome:ASM357664v1:1:2202982:2204343:-1 gene:itb01g03320 transcript:itb01g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLHQLILHCITQLSSTMEEAKLEAPPQTLSPSSNGRTRRRRRRSSGSSSFEFCVLRKPNLLSADQLFSDGVLLPLHLLHCPSSADDHSPEPKRPDSSPVVELKQPNQPDSPRQIKRVEQPQLGAESGPEISAAPVASSSAAAAAETAAFSSSKRWRDIFKRSDKKGEKVNKDKKGGGGIFGGSNGGSAAERVLNINIWPFRRTKSAGNGGARPVGSGLSSPKASSAPCSGTNSPGDFKPKKSPSRSSLVWPARRSVGSRSYGIPTKPADKSPRKDRSFVERSFRKEGNKGWRKVSPAAADGGGGDWHNARILTMNASMSTDASTADATAGGISGEGPVRVNLRHLFTKKVY >itb01g03320.t2 pep chromosome:ASM357664v1:1:2202872:2204464:-1 gene:itb01g03320 transcript:itb01g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLHQLILHCITQLSSTMEEAKLEAPPQTLSPSSNGRTRRRRRRSSGSSSFEFCVLRKPNLLSADQLFSDGVLLPLHLLHCPSSADDHSPEPKRPDSSPVVELKQPNQPDSPRIKRVEQPQLGAESGPEISAAPVASSSAAAAAETAAFSSSKRWRDIFKRSDKKGEKVNKDKKGGGGIFGGSNGGSAAERVLNINIWPFRRTKSAGNGGARPVGSGLSSPKASSAPCSGTNSPGDFKPKKSPSRSSLVWPARRSVGSRSYGIPTKPADKSPRKDRSFVERSFRKEGNKGWRKVSPAAADGGGGDWHNARILTMNASMSTDASTADATAGGISGEGPVRVNLRHLFTKKVY >itb12g11710.t1 pep chromosome:ASM357664v1:12:10093282:10094347:-1 gene:itb12g11710 transcript:itb12g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTYTHEVATSVAAPRMFKALFVDSHNLLPKVIPQTIKSIEIVQGDGGDGCIKKTNYLEDGTLKYGKHKFEVVDIDNLVCKYSLIQGDVMIDKVEIVYYEAKFEVSGNGGCVCKTTCKFHTKGDYALKEEEIKEGVDKAMELFKVVEQYLLANPSVYA >itb09g16100.t1 pep chromosome:ASM357664v1:9:11373751:11374196:-1 gene:itb09g16100 transcript:itb09g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTSMLKIADLGLARSFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFDCWVHQMNKSGQE >itb10g25770.t11 pep chromosome:ASM357664v1:10:28964490:28967491:1 gene:itb10g25770 transcript:itb10g25770.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQILKMLRGEKDSKGEEENENENEDESQKVEMDDEVYPDSSVESHISVALLDSSASFSSVDQSSPYSVEQYLKKRWSRSSSLD >itb10g25770.t6 pep chromosome:ASM357664v1:10:28963394:28967491:1 gene:itb10g25770 transcript:itb10g25770.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQVKE >itb10g25770.t12 pep chromosome:ASM357664v1:10:28963579:28967491:1 gene:itb10g25770 transcript:itb10g25770.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQVKE >itb10g25770.t7 pep chromosome:ASM357664v1:10:28963579:28967491:1 gene:itb10g25770 transcript:itb10g25770.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKGEELLDLRVQGGAKKAWLCGQNRN >itb10g25770.t5 pep chromosome:ASM357664v1:10:28963394:28967491:1 gene:itb10g25770 transcript:itb10g25770.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQVKE >itb10g25770.t2 pep chromosome:ASM357664v1:10:28963394:28967491:1 gene:itb10g25770 transcript:itb10g25770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKGEELLDLRVQGGAKKAWLCGQNRN >itb10g25770.t10 pep chromosome:ASM357664v1:10:28964699:28967491:1 gene:itb10g25770 transcript:itb10g25770.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQILKMLRGEKDSKGEEENENENEDESQKVEMDDEVYPDSSVESHISVALLDSSASFSSVDQSSPYSVEQYLKKRWSRSSSLD >itb10g25770.t9 pep chromosome:ASM357664v1:10:28964699:28967491:1 gene:itb10g25770 transcript:itb10g25770.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKGEELLDLRVQGGAKKAWLCGQNRN >itb10g25770.t4 pep chromosome:ASM357664v1:10:28963394:28967491:1 gene:itb10g25770 transcript:itb10g25770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQILKMLRGEKDSKGEEENENENEDESQKVEMDDEVYPDSSVESHISVALLDSSASFSSVDQSSPYSVEQYLKKRWSRSSSLD >itb10g25770.t8 pep chromosome:ASM357664v1:10:28963579:28967491:1 gene:itb10g25770 transcript:itb10g25770.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQILKMLRGEKDSKGEEENENENEDESQKVEMDDEVYPDSSVESHISVALLDSSASFSSVDQSSPYSVEQYLKKRWSRSSSLD >itb10g25770.t3 pep chromosome:ASM357664v1:10:28963394:28967491:1 gene:itb10g25770 transcript:itb10g25770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKLSDFGLAIWGPTRTSFATHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRRAIGFESARGGQESLVMWAKPKLESGDLKGIIDENLNVNGDEEAEVQRVGLAARLCLTQAARFRPNIAQILKMLRGEKDSKGEEENENENEDESQKVEMDDEVYPDSSVESHISVALLDSSASFSSVDQSSPYSVEQYLKKRWSRSSSLD >itb10g25770.t1 pep chromosome:ASM357664v1:10:28963394:28967491:1 gene:itb10g25770 transcript:itb10g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKDDNSGAEEKRRNVLVGIRFDGHTKELLDWALVKVADPGDRVLAIHVSTKSSSPSDDPNAKDDSSSSSSSSSSLESYLDDYDGLCTKNQVHLKAEVLKGSSTRKVLVREAKKHDAVAVIVGTSNHKRPLGFGGRGWTSIAKYCAKQLPTSVEVMAIHNGRIVFRRGSNSQYTGGAGDPRPSFYTERSSTVGDGQSEFGESVISEMGRLSHEERWTECCENYSQDQDERLSTTSPKGTHKKGCLSLGSISLPVVELDTLPGWPLLRYEPPVPVPVHARKMSVVQWVMTLPNRSLPDLVSSPKSLEEENCSFVDKNESCSELINDFKLLIETNSPGCKWFSYSVLTTSTSQFSSENIIGKGGCNRVYKGVLPDGKRVAVKILKSSKKAWKDFMQEVDIMTSVKHKNIAPLLGICIEDSSDLVSVYNFLSKGNLEENLHGKGKGQSPLPWKVRLRIATGIAEALNYLHNEHPRPIIHRDVKSSNILLGDEFEAKGEELLDLRVQGGAKKAWLCGQNRN >itb04g03650.t1 pep chromosome:ASM357664v1:4:2260404:2266877:-1 gene:itb04g03650 transcript:itb04g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGILTEWPWTWLGNFKYVVLAPFVAHSTYKYLTEPTNVRDPNILLIFPFLLLRMLHNQLWISLSRYRTAKGDNRIVDKGIEFEQVDRERNWDDQIILNGLLFYWGYYKLPMTHHMPIWRTDGIILTALIHIGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFVLFAIPLLTTVFTGTASVASFSFYILYIDVMNNMGHCNFELIPSWLFSIFPPLKYMMYTPSYHSLHHTQFRTNYALFMPFYDYIYNTMDMSSDTLYQKSLQREADSPDVVHLTHLISPESIYHLRIGFASLASNPQSSKWYLWLMWPVTVWSMMITWIYGHTFVVERNVFKNLKLQTWAIPKYRVQYFMQWQKEAIDKLIEEAILEAEEKGVKVLSLGLLNQEELNMNSELFIRKNPQLKVKVVDGSSLAVAVVLNSIPKGTTQVVLRGNLSKVACSIALALCQQGTQVAVLGEDEYKRLKARFNPEAATNLVLAKSYSAKTWLVGDGLSADDQMKAPKGTFFIPFSQFPPKKVRKDCLYFNTPAMIVPKHLENLDSCENWLPRRVMSAWRVAGILHAVEGWNVHECGNSMFDIEKIWQASLHHGFRPFTLPAATEPKT >itb09g00620.t1 pep chromosome:ASM357664v1:9:443178:443741:-1 gene:itb09g00620 transcript:itb09g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 23 [Source:Projected from Arabidopsis thaliana (AT2G21100) UniProtKB/Swiss-Prot;Acc:Q84TH6] MERLVLVGMLFLVVIGMPIGGNGQNQDSWVKRVDTGKQVVTTMQFYFHDILSGSNPSAIQVAQASGNRNSLTNFGTIMMADDALTVGPDPSSKVVGRARGLYGSAGQTDLGLIMAMSYTFTDGDYAGSSFSLLSINPAMNPVREMAIVGGTGLFRLARGYAIANTVSLNPTNGDAVVGYNVTLSTYI >itb11g17710.t1 pep chromosome:ASM357664v1:11:17714471:17717759:1 gene:itb11g17710 transcript:itb11g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAWPTAAASSAGRCSSLPSSPSAAAPSCPSPALPNACRRRLPTAVVFFFLALTPARLVDPFSAERSSCLAWRFCLNFADVLELWSFTLDEFVQGFHDYVRGSIPLLWEQIVDLSYKPQLNIIYHEETQGDEGKLSAAYAAEMQKLPNVRYASFDFHHICGSSSFENIELLYDQISEDFEKQGFFMVDKEGKILAEQKGIIRSDKCNTVLFGPEIFEFSAAETWSYYFQ >itb04g12620.t1 pep chromosome:ASM357664v1:4:12357046:12359070:-1 gene:itb04g12620 transcript:itb04g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCGVKCVLLLYVLLLALHCGGIHALQNDMDCLRSLKDSLEDPLGNLESWDFTNNTEGFICSFVGVVCWNDNENKVLNMELSDLGLRGPFPLGIQRCSSLTVTTLDLSSNRFSGEIPASLANCTYLNVLKLDHNQLTGQIPPEFGLLDRIKVFNVSNNRLTGPVPTFSRNIIAKSYENNEGLCGDRPLGPCPVQAAKGGHSYRDFFISGFATGWAIFVLLALYISLFGFPYAAINKILIRNKVMKVNGITSVCPGQENTGNQDQIAKLEKFVSRMSFMEMANATSDFSQDNIIGCGTLGKVYKATPPNGWLLAIKRLHETENLDEEFASEIMTLGRLRHQNLVPLIGFCSQGKEKLLVYKYMPNGSLHDWLHSTEDRAKALSWPLRMKIAVGVAKALSWLHYTCHLNVVHNGLCSKCILLDHSFEPRISKFWEATVTNPNDTASSWINPVEYGDNFSPYTKDVYCFGIVLLQLITRKEAYELGCSTDIIFGSNTTTSPLQIDEVLTHAGYDDSISQFLEIGKSCVKFMPNQRPTMLQVNESLSSIAHPWLIHNASAISMEECCT >itb15g23870.t1 pep chromosome:ASM357664v1:15:26780934:26783454:1 gene:itb15g23870 transcript:itb15g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIERPFVKFSPSLWGDYGFHSSSIDDQVAEAYAKEIEVLKEQTRATLLQTIASGSSSDVAQKIRIINLLQRLGISYHFEKEIDDQLRHIYTHPVHLNDLETVAIQFRVMRKHGYNISTDIFSNFVDGNGKFKDTSDVKGLLSLYEASYVRTRSDEVLEGVTAFAETRLRSALPNLKPNSTLEKLVTHALDQPFHTGLPRVETRFFISVYQEEDESSRNDELLRFAKLDFNLLQMLHKQELSEVSRWWKDLNLIATLPYARDRAVECYFWALGVYFQPQYSKARVILAKNISIVSIVDDTFDAYGTVEELEVYTDVIQRWDINEMNRLPNYMKISYKAMLDLFENDEKDLSKEGRSYAIQHGRERMKELVRCYFTESKWFSNEGHQPAFAEYLKNAFATSAYYLLSTISCYTLKSADEQAFNWLMKNPKILEAGVTICRLIDDIATFDGEKERGQVTTGIECYMKEYGVSLEKAMEKFQELADLALKDLNEGLLQPTPVSSEILLRIFNLTCIIFVTYQHNQDGYTCPEKVLKPHIIGLLVDPLPL >itb10g23590.t1 pep chromosome:ASM357664v1:10:27863342:27867196:-1 gene:itb10g23590 transcript:itb10g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHQILSPSISSSASAFVGQNKLNLRPQQVSVVQKASRVVKCVAAPEQTAYTTNVSRNENLAKLQAGYLFPEIARRRAAHMLKHPDAKIISLGIGDTTEPIPEVITSAMSKRAQALSTLEGYSGYGAEQGEKQLRAAIASTFYSKLGIEEDDIFVSDGAKSDISRLQVLFGSNVTMAVQDPSYPAYVDSSVILGQTGQYQKDVEKYGNIAYMKCTPENGFFPDLSLVPRTDIIFFCSPNNPTGSTASREQLTKLVQFAKSNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIEVSSFSKYAGFTGVRLGWTVVPKTLLFSDGFPVAKDFNRIVCTCFNGASNIAQSGGLACLSPEGFEAMLETVSYYKENTKIITETFNSLGFKVYGGKNAPYVWVHFPGRSSWEVFSEILEKTHVVTTPGSGFGPAGEGFVRVSAFGHRENVLEACRRFKQLYK >itb08g05450.t1 pep chromosome:ASM357664v1:8:4484092:4489033:1 gene:itb08g05450 transcript:itb08g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLPGGAGGPSLSSSHLCSLKFIHPLPPLFSSISNPSHTPKLRCKPLTLARADTGASRPTATTAAPTSTPLNDEDAISLVTQDEVPLEGVIQFEKPDYSSKIAKWGKVALLAGGDVAALLLFSAVGRFSHGFPVFESETLRTADPFIAGWFLSAYFLGGYGEDGRGTNGLFKAFIAATKSWALGIPLGLAIRAATVGHVLPVNFVTVTMGSTAVLLIGWRTLLFSILPDDRKKKNDVYKRGSPFELFELLTSLVRRW >itb11g01100.t1 pep chromosome:ASM357664v1:11:498285:498981:-1 gene:itb11g01100 transcript:itb11g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSFLGLKTMKIRGFLVKTPVFRCVVRRRRSSTDNRREKSGCSVFSRLKTKAKAICGSLGWSRSFRKAVEEKAAPPPKGHMAVYVGQKDGDFRRILVPVMYFNHPLFGELLREAENEFGFSHPGGITIPCRISEFERVQTRIKQGRACRKTAIAVK >itb01g35120.t1 pep chromosome:ASM357664v1:1:37500635:37500928:1 gene:itb01g35120 transcript:itb01g35120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVPQDAKTLGEVMFKGNTVMNGYLKDLKATEDQAFKGGWFRTGDLGVKHPDGYIEVKDRSKDIIVSGGFLAVRLFLRQLWWADQTITGVKRRAHL >itb14g14870.t1 pep chromosome:ASM357664v1:14:17949701:17956314:-1 gene:itb14g14870 transcript:itb14g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFAQSTFFVSTRPSLFLRRNAGNLKRCNVLACFTNYSSSYPYTTGAMLADNKAPVHRHKWRAPVASVLELEIVRNDPTNNVPDTIFSKLGMQLHRRDKHPLGIPKNGIYDYFDTNYPNKFDKFDYLCPIVSVKQNFDDVLVPPDHMEGVRVFSPNDWEGSGTDATSCAAADLKICLEGLAQHLFGGVEMRWVDTYFPFTNPSFELEIYFQEKWMEVLGCGVTEQEILKRGGRTNNSADERFTSQFSSGQLGVKFKPFSKYPPCYKDMSFWISDSFTENNLCEVVRGIAGDLVEEVQLIDNFTNKRGMTNHCYRIAYRSMERSLTDEEINDLQWKVRDQVESKLKVVLR >itb06g09610.t1 pep chromosome:ASM357664v1:6:13872049:13873630:1 gene:itb06g09610 transcript:itb06g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVDAIPSNKAMLLQIGVAMKAMPWYSALPSVSEHMIESGWTRCFSSISDVGWLLYVVYLIVYLVIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLVPIHFRTHIALLFMEAVWTANIHDCIDGKIWPVMGAAFHTIHHTTYRHNYGHYTIWMDWMFGTLRDPKEDELKKM >itb02g22660.t1 pep chromosome:ASM357664v1:2:22206641:22212896:1 gene:itb02g22660 transcript:itb02g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLLWVVILLIAVNAWGSSGCLEEERIALLQVKAHMKYYALGKSLTSWANDTKSDCCKWYMVTCNNTTKRVTNLELSWIVDRNGGGWYINASVFLPFKQLITLDLIGNQLAGVVENGGFDKLSKLHNLEMIDLSYNQLNRSILSSLSHLSSLKNLTLDSNPLNSPPNSSGGWCELRNLKELRLSEIQLEGMLPLCLRNLTSLRLIDLSQNMLIGNIASSPLSTLTSIEYLSISHNSIEVPSSFRSFANHSKLRFILADENYAISETDEFQSVVPKFQLEVFSMSNCINLLMLPKFLHYQHDLKVLKISKIILEAEFPNWLLHNNTKLQEISMNSNNFTGALKFALHLHNPDLQILDFSNNKLSGEIPYNISLAFPNIVALNLSYNLFTGQIPSSLGNMKFLRFLDLSNNSFTREIPKELLIGCTSLMVLQLSNNNLEGEIVQEFAYLTLLWLLHLDGNNFTGTISESLSNIPLVSLDMSGNKFSGKIPRWLGYIESLQQLTLSKNHLQGYIPAELCYLPELSFLDLSENNLTGSIPSCLNPYSIIYVGLSKNHLGGQLTSAFMNSTTLAMLDLSHNDFVGRIPEWIGSISKLSILLLKGNKFEGKIPIQVCQLMRLRVLDLSNNQLNGSIPSCLGKISLEVTDERSMVFNNLEFPLYTGPTTTYIISSGSTSEFEIDLPENVAHPVVEFTTKGNSYEYEGSILKYMSGIDLSANRLSGEIPYELENLTEIRALNFSRNNLSGTVPKAFSKLHNIESLDLSHNRLGGKIPDTLLNLNTLEVFNVAYNNLSGAIPEPKAQFATFSEDSYVGNPYLCGPPLHTSCNSAKSPSSPLPYDLEAPLEKEEVAPLVDELRRRRRG >itb06g16920.t1 pep chromosome:ASM357664v1:6:20919868:20920585:-1 gene:itb06g16920 transcript:itb06g16920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHGIEEEPSSNILSTHNLVLLVVLAFPIISLLNNQLLRYSKPFLWWLRTPTKMEERVNDGDDFDCVICLSEVLSGEKFRVLPKCKHRFHSGCIDAWLETGKWSCPVCRSPVPAAEADRRNGGEGAGDWISLAVDKICSRFLGSAIMVALSYQECYFYFDE >itb05g16440.t2 pep chromosome:ASM357664v1:5:23651336:23657677:-1 gene:itb05g16440 transcript:itb05g16440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB1 [Source:Projected from Arabidopsis thaliana (AT3G01150) UniProtKB/TrEMBL;Acc:A0A384LJ51] MRTLIRLHCKILRLTTLFCELPDPLSRDATYLLHPTYCLTPLLAYRLMTPLLCTAWSPSSNFSPNSFPGLSHDPHNQSGARGNALSVTPVGEKKSDLFLEDRHSPQQLSRYQNLVSPEFHRIRRRISVILSPDSDLKTLEPNRNSNRSMSTSGQPQFRYTQTPSKVLHLRNLPWECIEEELVELCKPFGRIVNTKCNVGSNRNQAFVEFADLNQAINMATYYASSSEPAQIRGKTVYIQYSNRHEIVNNKSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALVQFTDIETAATAREALDGRSIPRDYTNPYLPVNPTAMEGFVQPVVGADGKKKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQAFIQYPDVTTATVAKDALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYRVPESGLPPLQQASALPNTAPPWQNHPQYVSVHSAPPATMPMQNPWDPTMQGGRPAFISTPTTFPGQEYASPPVPAYSTAIPPGSSPTSQPNAPFVASQPAHQGIPPPGQPR >itb05g16440.t1 pep chromosome:ASM357664v1:5:23651336:23657677:-1 gene:itb05g16440 transcript:itb05g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB1 [Source:Projected from Arabidopsis thaliana (AT3G01150) UniProtKB/TrEMBL;Acc:A0A384LJ51] MRTLIRLHCKILRLTTLFCELPDPLSRDATYLLHPTYCLTPLLAYRLMTPLLCTAWSPSSNFSPNSFPGLSHDPHNQSGARGNALSVTPVGEKKSDLFLEDRHSPQQLSRYQNLVSPEFHRIRRRISVILSPDSDLKTLEPNRNSNRSMSTSGQPQFRYTQTPSKVLHLRNLPWECIEEELVELCKPFGRIVNTKCNVGSNRNQAFVEFADLNQAINMATYYASSSEPAQIRGKTVYIQYSNRHEIVNNKSPGDIPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALVQFTDIETAATAREALDGRSIPRYLLPQHVSNCHLRISFSAHTDLNIKFQSNRSRDYTNPYLPVNPTAMEGFVQPVVGADGKKKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQAFIQYPDVTTATVAKDALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYRVPESGLPPLQQASALPNTAPPWQNHPQYVSVHSAPPATMPMQNPWDPTMQGGRPAFISTPTTFPGQEYASPPVPAYSTAIPPGSSPTSQPNAPFVASQPAHQGIPPPGQPR >itb12g26300.t1 pep chromosome:ASM357664v1:12:27269774:27273918:1 gene:itb12g26300 transcript:itb12g26300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MRALSILCGFARSIQSNRSSLISSVAGVLNPLRCRALSTMSREDPELKELLDFMDNLKNYEKSGVPKGAGTDSDDGFDLGRMRRLMALLGNPHSTFKAVHIAGTKGKGSTAAFLSSILRAEGYSVGCYTSPHIETIRERITLGRFGEPVSAMVLNHHFQRVRTVIERAVELEEGCLSHFEIFTAIAFSLFSQENVEIAVVEAGLGGARDATNVICGSHLAASIITTIGEEHLDALGGSLESIAVAKSGIVKDGRPLVLGGPFLPHIECILRDKAASVSSPVVSASDPGNKSSLKGFSPTCGITHQLCDIVLRIERDLSLSIELFDVRLHMLGYHQLKNAVTATCAALCLRNKGWRMSDASIRAGLESAILPGRSHLLTSKESERLGLPQITVLLDGAHTKESARALAETVKMAFPKERLVLVVAMAKDKDHLGFARELLSVNSLEAVFLTEVSIAGDKSRTTSASFLKDVWTKASREMGINVLDLESADCKQFTDQSILSAKAVEPRAILFSQGSLMASMRVGSQILNERKGNQFGVLLVTGSLHIVSAVLQSLRL >itb12g26300.t2 pep chromosome:ASM357664v1:12:27269774:27273918:1 gene:itb12g26300 transcript:itb12g26300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MVLNHHFQRVRTVIERAVELEEGCLSHFEIFTAIAFSLFSQENVEIAVVEAGLGGARDATNVICGSHLAASIITTIGEEHLDALGGSLESIAVAKSGIVKDGRPLVLGGPFLPHIECILRDKAASVSSPVVSASDPGNKSSLKGFSPTCGITHQLCDIVLRIERDLSLSIELFDVRLHMLGYHQLKNAVTATCAALCLRNKGWRMSDASIRAGLESAILPGRSHLLTSKESERLGLPQITVLLDGAHTKESARALAETVKMAFPKERLVLVVAMAKDKDHLGFARELLSVNSLEAVFLTEVSIAGDKSRTTSASFLKDVWTKASREMGINVLDLESADCKQFTDQSILSAKAVEPRAILFSQGSLMASMRVGSQILNERKGNQFGVLLVTGSLHIVSAVLQSLRL >itb13g00610.t1 pep chromosome:ASM357664v1:13:544566:545357:1 gene:itb13g00610 transcript:itb13g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPIDHFEITTIITTDERNYQYHQHRHYHYYHHDQDHQPKPTYQVDHKYALTIRDNKVILAPSNPSNPRQHWYKEHKLGTQVKDAIGLPSFALVNKATMEWRV >itb06g14560.t1 pep chromosome:ASM357664v1:6:19089438:19094189:1 gene:itb06g14560 transcript:itb06g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:Projected from Arabidopsis thaliana (AT5G55130) UniProtKB/Swiss-Prot;Acc:Q9ZNW0] MESNGIEASQIHQEIESLKADKLRIEQRISALEAQLRRLDTSDETNQSGVVRDAPICFPPISNGKLSSGHGLSPDNIYRYSRHLLLPSFGVQGQANLLKSSILVIGAGGLGSPALLYLAACGVGRIGIVDHDVVELNNLQRQIIHTEANVGRSKVESAAAACRAINSSIQIVEHKEAFRTSNALKITSQYDIVVDATDNVPSRYMINDCCVVLGKPLISGAAVGLEGQLTVYNYKGGPCYRCLFPTPPPSTACQRCADSGVLGVVPGVIGCLQALEAIKVASDIGEPLSERMLLFDALSGRIRMVKIRGRSLQCKVCGESEALTKQQFEDFDYENFTQSPLSTGPLKLNLLPPDARISSKEYNERVLKGEAHVLVDVRPAHHYKIVALPNSINIPLPSLEDRLADLSETLRNDDKGESSRSLGASLYVICRRGNDSQRAVDYLRKAGFSSAKDIIGGLQSWASEVDPEFPTY >itb02g12260.t1 pep chromosome:ASM357664v1:2:8338729:8340274:-1 gene:itb02g12260 transcript:itb02g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVKPGSMEKIDVDRNTRLESFKKKAINASNKFKSTLSKKSRRNSKVFSLVMDDEHDAEEVKSVDAFRQALILEELLPAKHDDYHMMLRFLRARKFDIEKTKQMWSDMLQWRKEFGADTITEDFDFKEKEEVLKYYPQGHHGIDKDGRPVYIERLGLVDATKLMQVTTMDRYLKYHVQEFERTNNDKMPACTISAKKHIDQSTTILDVQGVGLKNFTKSARELIQALQKVDGDNYPETLCRMFIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKYQSKLLEIIDAR >itb11g11640.t1 pep chromosome:ASM357664v1:11:8559343:8560568:-1 gene:itb11g11640 transcript:itb11g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIECRCELYNVTEDESGMPIGDYVGGLRCCYDGVRCRVKEGFRGEKRGLYFKYTVTYVDWNPSIVPVKVYVFDVTDTLELSDSIPLRRHHCLIEYGVDACSAAAATDECVHSKSLTVSLPNGGELIYAVAHQHTGGVGSTLFGEDGRVLCNSFPIYGNGTEAGNEDGYLVGMSSCYPQPGSVKIAPMEKLTIISNYSSAQMHTGVMGYYYILVAEPLPKSNPFFHSLDVADLV >itb10g09080.t1 pep chromosome:ASM357664v1:10:11944071:11951784:-1 gene:itb10g09080 transcript:itb10g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVAQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLAGCLSALIVMTILSAVVGWAAPNLISRKWTHHITSILFLGFGLWSLWDAFNDGESEELAEVEAELDADLKANAGAAKDRDKDNKDTDNLKKQRRPLLTKFFSPILLKAFSITFFGEWGDKSQIATIGLAADENPLGVVLGGILGQALCTIAAVLGGKSLASQISERAIALAGGVLFIVFGIQSLLSPVD >itb04g17510.t1 pep chromosome:ASM357664v1:4:20443930:20446305:-1 gene:itb04g17510 transcript:itb04g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIIRYTNDIYTIRFQHSFEPLACLAASPTPHLKLSSRQVTTIGSLPLCLSASPPLRLSGNPKSAFERHSTPSLAVLPLERSTTTVLPPLSSLPVGYRFHPTDEDLINHYLKLKINGSKAEVGVIPKIDICKLEPWDLPGLFSLLSCGSDYDHNWPESIVCCILMKNATCYTYSA >itb04g01850.t1 pep chromosome:ASM357664v1:4:1079688:1080545:-1 gene:itb04g01850 transcript:itb04g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRDLEITVISGEGLRVGKKNVFVTVKSEFSCNVKATGVDKEGGSFPAWNEKLVVDLPMHARYVTLQVQCKAFSGVKVVGEAKVPTKDFVGGGFVPESYLRFLSYRLRDRKGEKNGIINISVRVKNSPENGAVASCSSQYSRRPWTGLPPAAGNPTNAGVVTGIPVWCSYQV >itb09g29960.t1 pep chromosome:ASM357664v1:9:30659754:30662429:-1 gene:itb09g29960 transcript:itb09g29960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSLTPLFFFFFFLQFFSLFHATPLAPHSSAGGGGGSSNNCSRTHGGHHWVGPTGNRTIYVDLDGFGDFRSVQAAVDSVAEHNSMNVLIQIGPGCYVEKVVVPASKPYITFQGAGRETTVIEWHDRASDRGPDGQQLRTYQTASVTVFASFFSARNISFKNTAPAPLPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCQIHSIARRFGSIAAQDRNSPDEKTGFSFVNCTVTGTGPLYVGRAMGQYSRIVFSYTYFDDVVAHGGWDDWDHASNKSKTAFFGVYKCSGPGAAAVRGVSWARELDFDTAHPFLAKSFVNGRHWITLGDA >itb03g17530.t1 pep chromosome:ASM357664v1:3:16124381:16126501:-1 gene:itb03g17530 transcript:itb03g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVKGKFLKKLKTMKTIGYLKPENVLLPPKPPLIPIQVQERKILETRAQEKGPEMIEDAEMENLDDKENIGPNRPKVTSLKPKIEAFCDQRSEIDVSSFRRPDLDSFTLFDPNLLAAFEQAVMAVKAQEAERMAMIEETIEQIIKEEEEESQEPPSKLRKTEENPNPLLKFPEKCPPGGSESVILYTTGLRGVRKTFEDCQRVRFLLENFGVLFFERDVSMHTEYRDELWRVLGGRAVPPRLFIRGRYIGAAEEVVALHEQGRFRALLEGIPVDDSRGQCDGCGGVRFVMCFRCNGSTKIVDDDDGGGGESVKCGVIHINSKHAVVLPLIPAVEGRYSPLPPPPPKSAKLKHFVSPVAPPMPS >itb13g26260.t2 pep chromosome:ASM357664v1:13:31597352:31601079:-1 gene:itb13g26260 transcript:itb13g26260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSVLTSNCPQLHEVTRRSANYHPSVWGDHFLAYASHEKIAEAQEWQEHQQLKEKVKSMLVEAPCISSQKLELINKIQLLGVSYHFEKEIEATLQLIFRSYYESNIQEDENDLYIVSLRFRLLRQHGYRVPCSVFEKFTDFDGKFKESLTDNVQAIINLYEASHLGVHGEKILDEALTFSTSYLQSMQPNLTEYLKSQVSEALKGPICKRLTRIEARRYISIYEFDQSHDVVLLKFAKLDFNMLQKKHQWEIGCLTRWWKELDFANKLPFGKNRLVECYLWALGVYFEQQYYLPRKFLTKVLAVATVIDDIFDVHGTPEELLLFNNAIQRWDASAINELPEYMRVCYIALLDIYAQMEKELGPKDQAYQINYAISEMKKLVGAYYEEAKWFHDSSPPNFEEYMKNAIVSAGYMMVTTSSLVGMPEDFVTKEIFDWVTNEPLMVRASSIITRLMNDIAGHKVTKICYIYVHILLGSSAYHLHQNL >itb13g26260.t1 pep chromosome:ASM357664v1:13:31596265:31601079:-1 gene:itb13g26260 transcript:itb13g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINQPLSVLTSNCPQLHEVTRRSANYHPSVWGDHFLAYASHEKIAEAQEWQEHQQLKEKVKSMLVEAPCISSQKLELINKIQLLGVSYHFEKEIEATLQLIFRSYYESNIQEDENDLYIVSLRFRLLRQHGYRVPCSVFEKFTDFDGKFKESLTDNVQAIINLYEASHLGVHGEKILDEALTFSTSYLQSMQPNLTEYLKSQVSEALKGPICKRLTRIEARRYISIYEFDQSHDVVLLKFAKLDFNMLQKKHQWEIGCLTRWWKELDFANKLPFGKNRLVECYLWALGVYFEQQYYLPRKFLTKVLAVATVIDDIFDVHGTPEELLLFNNAIQRWDASAINELPEYMRVCYIALLDIYAQMEKELGPKDQAYQINYAISEMKKLVGAYYEEAKWFHDSSPPNFEEYMKNAIVSAGYMMVTTSSLVGMPEDFVTKEIFDWVTNEPLMVRASSIITRLMNDIAGHKIEQQRGDVDSAVECYMK >itb05g00470.t2 pep chromosome:ASM357664v1:5:364996:369819:1 gene:itb05g00470 transcript:itb05g00470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSKRAKAPGNAVQVANCLVDGCNADLSQCREYHRRHKVCELHSKTAKVTVGGRELRFCQQCSRFHSLTEFDEGKRSCRKRLDGHNKRRRKPQPDNLNKSSGMLYSPSQQGAKLLQFGSSHQMFPSASAWSSGVIQAENDMMLYNNQQHFNYIDRQKTFQDHHDYKEVNQFQFMQGGSDRIFPEASISQPHINMNNSAASGNNISNSSRQRVFHGGYDDSDHRALSLLSSAPAVTREIGFSHALQPDPTTPHQAQPLPHTLHYEGLSTHYSFSQEPETKPPGGVSDEMLQSAPDSSSHQTLTFRWE >itb05g00470.t3 pep chromosome:ASM357664v1:5:364880:369819:1 gene:itb05g00470 transcript:itb05g00470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSKRAKAPGNAVQVANCLVDGCNADLSQCREYHRRHKVCELHSKTAKVTVGGRELRFCQQCSRFHSLTEFDEGKRSCRKRLDGHNKRRRKPQPDNLNKSSGMLYSPSQQAGAKLLQFGSSHQMFPSASAWSSGVIQAENDMMLYNNQQHFNYIDRQKTFQDHHDYKEVNQFQFMQGGSDRIFPEASISQPHINMNNSAASGNNISNSSRQRVFHGGYDDSDHRALSLLSSAPAVTREIGFSHALQPDPTTPHQAQPLPHTLHYEGLSTHYSFSQEPETKPPGGVSDEMLQSAPDSSSHQTLTFRWE >itb05g00470.t1 pep chromosome:ASM357664v1:5:364880:369819:1 gene:itb05g00470 transcript:itb05g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSKRAKAPGNAVQVANCLVDGCNADLSQCREYHRRHKVCELHSKTAKVTVGGRELRFCQQCSRFHSLTEFDEGKRSCRKRLDGHNKRRRKPQPDNLNKSSGMLYSPSQQGAKLLQFGSSHQMFPSASAWSSGVIQAENDMMLYNNQQHFNYIDRQKTFQDHHDYKEVNQFQFMQGGSDRIFPEASISQPHINMNNSAASGNNISNSSRQRVFHGGYDDSDHRALSLLSSAPAVTREIGFSHALQPDPTTPHQAQPLPHTLHYEGLSTHYSFSQEPETKPPGGVSDEMLQSAPDSSSHQTLTFRWE >itb12g18630.t3 pep chromosome:ASM357664v1:12:20907746:20910508:-1 gene:itb12g18630 transcript:itb12g18630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MDSGSGDGGSGFPTTDDFESLISTTDAELMKRAWRNEKGAPEILQFEAALVQRSREQIQLMEETVEEYTKSGVDPLTVSLYQMDLDRTMFLLRSYLRTRLQKIEKYVFHIQRSDEFWNRLSKQEQEFAQRCAEDLQTHFEQSVLSKLPPGFKSHLKQSSSQSHGWSIM >itb12g18630.t1 pep chromosome:ASM357664v1:12:20907311:20910534:-1 gene:itb12g18630 transcript:itb12g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MDSGSGDGGSGFPTTDDFESLISTTDAELMKRAWRNEKGAPEILQFEAALVQRSREQIQLMEETVEEYTKSGVDPLTVSLYQMDLDRTMFLLRSYLRTRLQKIEKYVFHIQRSDEFWNRLSKQEQEFAQRCAEDLQTHFEQSVLSKLPPGFKSHLKQSSVSEQDDMVPEPRLEHYVICRSKRFLGAFQLDDSGEDPVSIEADDLYALPYKSVKPLVENGQIDIV >itb12g18630.t2 pep chromosome:ASM357664v1:12:20907312:20910534:-1 gene:itb12g18630 transcript:itb12g18630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MDSGSGDGGSGFPTTDDFESLISTTDAELMKRAWRNEKGAPEILQFEAALVQRSREQIQLMEETVEEYTKSGVDPLTVSLYQMDLDRTMFLLRSYLRTRLQKIEKYVFHIQRSDEFWNRLSKQEQEFAQRCAEDLQTHFEQSVLSKLPPGFKSHLKQSSVSEQDDMVPEPRLEHYVICRSKRFLGAFQLDDSGEDPVSIEADDLYALPYKSVKPLVENGQIDIV >itb12g16950.t1 pep chromosome:ASM357664v1:12:18632905:18640941:-1 gene:itb12g16950 transcript:itb12g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKELPGFYYDSEKNRYFPLKGPIPGSSRKRKSPPLFSAAKGKSIYKCMKIKSSKLLQARELCGQLITTRKGKFSFQMEYQKRQASQPMIWKYNTKGMVDNALEQMSARLDRLEGTVETDILLTGNVNGQLCIFEVGKVGEEFNHGPKLLPDRVWPSNAGDSAGCIELPGLEWRYPGAIQNMPSTISCIKMCQKHHQSVETASSNRALITTLGSERSGGTVYILNLSEQLDLNSHLPIFWRLSEITSFDHTVWTADCNLDGNRAVIGTNTGAALVNIDTGMTSWICRCKSDVFSVQLDQSGNTMLCGLRNGAILSIDARQRPENFSSRLPRHQIPYRTHETSSGRAKKFHQERFELKGNMCRSDAIFMPSSISCIASLTLYDQYFLASSMDGSINLYDNRLTQRGAVQSYEGNVNSHTRIQLGVDPSESIVMSGGEDCYLRLWSIKSGEMLFRNKFMNAIPSVVCWPRTGGIHGVHSVQNRTRGAWLGSQDGLFHIGWPV >itb12g16950.t2 pep chromosome:ASM357664v1:12:18632905:18638899:-1 gene:itb12g16950 transcript:itb12g16950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANCDRVWPSNAGDSAGCIELPGLEWRYPGAIQNMPSTISCIKMCQKHHQSVETASSNRALITTLGSERSGGTVYILNLSEQLDLNSHLPIFWRLSEITSFDHTVWTADCNLDGNRAVIGTNTGAALVNIDTGMTSWICRCKSDVFSVQLDQSGNTMLCGLRNGAILSIDARQRPENFSSRLPRHQIPYRTHETSSGRAKKFHQERFELKGNMCRSDAIFMPSSISCIASLTLYDQYFLASSMDGSINLYDNRLTQRGAVQSYEGNVNSHTRIQLGVDPSESIVMSGGEDCYLRLWSIKSGEMLFRNKFMNAIPSVVCWPRTGGIHGVHSVQNRTRGAWLGSQDGLFHIGWPV >itb13g15110.t1 pep chromosome:ASM357664v1:13:21812489:21812884:-1 gene:itb13g15110 transcript:itb13g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVCFSIFLCLSACLIITIHFPEVAGTRRQPQDKFILNGPEKLFVFGDSYADTGNWPPTDASMPWREPYGVTFPGNPSGRWSDSRVLTDYIGAV >itb01g05310.t1 pep chromosome:ASM357664v1:1:3648577:3654662:-1 gene:itb01g05310 transcript:itb01g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRIVAKNFMDMVASLPAMKLDSLYDNPFICEAILRSLPPLAKKYVLQLLYIDSPIAAKSIEEWVLADGSTKHKVAIDRLIQLRLLSETFDRKKDSSYQLNPKFQYNLRKHIVHGGILPREPMPSNITVRLPKLEELDAYASEQWECFLLHLISSSEAGKTINIRPSMMKVFQRGLMSQRDDKEPPKLTESGFQFLLMDTNAQLWYIIREYITNAEESGVDSADLIAFLLELSFHVAGEAYNTNTLTEVQRSIIRDLGDLGLVKLQQGRKESWFIPTKLATNLSISLADTSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVGAISKESLYKAFQNGISSEQIVSFLQQNAHPRVAERIPAVPENVTDQIRLWESDLNRVEMMPAHLYEEFPSRDAFEAACDFAREYGGLLWEDSKRMRIVVKAEILANMKEFLRRKQ >itb06g12250.t1 pep chromosome:ASM357664v1:6:16862754:16863635:1 gene:itb06g12250 transcript:itb06g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPSSNNSLNNYYNSPILSPSSSSLYHIPSSPIHYEDELLLLQALILQQQQQSQPLIIEEEEEINKAQISIPDPPYKNHENGGPKKSKKDRHSKITTAHGPRDRRMRLSLDVAREFFDLQDTLGFDKPSKTLDWLLTKSNSAIKDLASSLGLTNSPSSTASECCDENKEKKKKKRARPPRIRAAFLALTKESRKKARERARERTKKKKKLDAIIMGENKGGMFSQLQELEEELSSQSQMGVNKEVSGTYQQNNGGIFSQQLQELEVELLSSHFHIHVNNQLSPFRDFPPGNN >itb15g21430.t1 pep chromosome:ASM357664v1:15:24146788:24150831:1 gene:itb15g21430 transcript:itb15g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESEGRLWQHFSHTHLLVLGNPPPEENPVCHGCKTPIFPAKPCYMCRKFPFFLHQVCFGMPKTVHHPVDPHALDLSSLKISAPSMPVSVKVPSHPHALTLEFSPPSPTEARGFSTDESPGGDDGCRAARGSKRHEFMELLCRGINRNHQETPGELEDVATPSYQFNDDFTNSAKKMELPESVAMVETLVDPQKDFKEYNQ >itb12g22140.t1 pep chromosome:ASM357664v1:12:24309229:24309516:-1 gene:itb12g22140 transcript:itb12g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKFAGLVLALLLIHEVMLLQLHFAMAARELPSSVMAVDDRLVKIQPDRKKKLAPPAPKANSPQHYKPPVQPPRPPPPAPLPPPPPPLSPPPPY >itb09g10960.t1 pep chromosome:ASM357664v1:9:6850985:6851821:1 gene:itb09g10960 transcript:itb09g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDESDSECQIERREGRALQQPRNRIRILSVGAADESPPRKLRRPSGHATRRKSSPLSETRFRSGNPMKDGKSRRREDKLVMNWWRLVR >itb04g27420.t1 pep chromosome:ASM357664v1:4:31442750:31443259:-1 gene:itb04g27420 transcript:itb04g27420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPASLLNTHVKLLALDLLAVVSNPVDQTSFSRNGVRLCRVETMGVIVSREFKPGRSPADVRAIADMAAKFASEVQLGVLARVRGKIAGYRGSLQITVADVIVERDPNFEILHWLDCVKLSRNCYNVSTTKKSGDQNC >itb02g04950.t1 pep chromosome:ASM357664v1:2:2951727:2956667:-1 gene:itb02g04950 transcript:itb02g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFEAAAGISASLASVTEGPSSLSPTLAQDTLWQLSLRSMETMESGPYPVRDGEPDCSYYIRTGLCRFGASCRFNHPSNRKLAIAAARMKGEYPERVGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVALNVMGYPLRPNEIECPYYMRTGQCKFGGTCKFHHPQPSNMMVSLRGSPAYPPVQSPTTPGQLSYPLSRASFITNPRWQGPSSYTPLIVPQGVVSVPGFAYSGQLGSVSSPESQQLTVGNSQAYGLSRSSEVANMGSQGMNPSYRAGTLPMGYYALQRENVFPERPGQPECQFYMKTGDCKFGAVCKFHHPRERLIPPPDSLLSPIGLPLRPGEPLCTFYSRYGICKFGPSCKFDHPMGVFTYNMSPSSTTNAPTVRHFLGSSSGTGTLTLPSEAAC >itb15g11880.t2 pep chromosome:ASM357664v1:15:9758564:9762817:1 gene:itb15g11880 transcript:itb15g11880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBK2 [Source:Projected from Arabidopsis thaliana (AT3G05140) UniProtKB/TrEMBL;Acc:A0A384KHI2] MAAKVSDEPPSLPPKISQGNGAKSSKSFFRSKTKRSQSFPPLCSSASAQELRCLEAGNEKKDNESSPKGVIEACMSNGENEPKSSESNGSQAVVCSPNPRGQSGWNKFFKMWKRSTFKRLPSFPPFSVPRLSRRKCKSMREDVDMNLCPMKPSWKFFTLAELKTATNNFSKENLIGKGGCAEVYKGCLADGRFIAVKRMNKGSLEDREENFLSEVGTIAHVDHPNTAKMIGYGVEGGAYLVLKLSSKGSLGSLLRGPREKLDWKTRYKIILGISDGLLYLHENCRRRIIHRDIKADNILLTEDFVPEICDFGLAKWLPKEWTHHNVSNFEGTFGYVRTGTLIVLRDGNANGLFFFP >itb15g11880.t1 pep chromosome:ASM357664v1:15:9758564:9764010:1 gene:itb15g11880 transcript:itb15g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBK2 [Source:Projected from Arabidopsis thaliana (AT3G05140) UniProtKB/TrEMBL;Acc:A0A384KHI2] MAAKVSDEPPSLPPKISQGNGAKSSKSFFRSKTKRSQSFPPLCSSASAQELRCLEAGNEKKDNESSPKGVIEACMSNGENEPKSSESNGSQAVVCSPNPRGQSGWNKFFKMWKRSTFKRLPSFPPFSVPRLSRRKCKSMREDVDMNLCPMKPSWKFFTLAELKTATNNFSKENLIGKGGCAEVYKGCLADGRFIAVKRMNKGSLEDREENFLSEVGTIAHVDHPNTAKMIGYGVEGGAYLVLKLSSKGSLGSLLRGPREKLDWKTRYKIILGISDGLLYLHENCRRRIIHRDIKADNILLTEDFVPEICDFGLAKWLPKEWTHHNVSNFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLEIITGRPAVDESQKSIVLWAKPLLDTDDTKDLVDPLLGGCYDKKQMDHVFLTASLCVEQNPILRPRMKQVVTLLGGDNDNNDGSKENQKRALQRTYSEELFDAEEYNLTKYLNDLERHQQLALDS >itb01g25080.t1 pep chromosome:ASM357664v1:1:30586597:30588391:1 gene:itb01g25080 transcript:itb01g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKMIVLKSSDGETFEVEEAVALESQTIKHMIEDDCADTVIPLPNVTSKILAKVIEYCKRHVEAAAKTEDKPGDDDLKTFDADFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >itb08g05250.t1 pep chromosome:ASM357664v1:8:4290675:4294692:1 gene:itb08g05250 transcript:itb08g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEYKKFEELKPQPHAVTEQLSGVEYCVNSPPPWSEAMVLGFQHYLLTLGNIVLIPKLIVPQMGGGKGEEARVMQTLLFISGLNTLFQSIFGVRLPSVLGGSHAYLIPITTIIQSRRNQMILDPHTRFLQTMRSIQGALIVASCFQVITGFIGVWRNATRFLNPLSVTPLITLTGLGLFHLGFPLYLPAWLKLRRPILDRFAVLFSVMIVWMYAAILTWSGPYKSYSDENCRIDSSHVISASSWVRVPLPFQWGAPSFNAGDVFAILSACFVASIESTGVFYATARYGSATPVPASIVSRGVGWLVRENAGLLALTKIGSRRVAQISAAFMIFFSVFGKFGAIFASVPMPAMAALYCVFFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFLGLSLPQYFREHQMVFNSGPIRTHASWFNDIMSVIFTSHATVATSTALLLDRTLPGDDSKDNGTYWWQKFVVYGRDVRSDEFYKLPFKLNRFFPSY >itb01g26580.t1 pep chromosome:ASM357664v1:1:31696372:31697364:-1 gene:itb01g26580 transcript:itb01g26580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSECVEHIVMLPFMAHGHMIPFLGLAKKIKQTTPFKLTLVTTPLNVQYLASCIDDPEIRLVALPFNGADHGLPPGAENTEALPLHHMFNMVQSTLALKDPLRNFISEIIAKDGKPPLCLISDVFMGWASEVAKSCGTVNVGFSTSGAYGSACYMSILRDLPHLAAGEEGEFSMPAFPDSCGFNASQLHPFLRAANGEDSWSRVFRSTISGCFESIGWLCNTVKEIEPLGADALGKCINLPVWCIGPFLPPAMLNKGSIMTGKRSGKDHGLSPEKCIEWLDMHSEGSVLYISFGSQNTISASQMMALAGFGFGRQWKAIHLGHQATYRI >itb10g19220.t1 pep chromosome:ASM357664v1:10:25068084:25072746:-1 gene:itb10g19220 transcript:itb10g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGTLSPQLGNLSFLVSLNISHNSFYGNLPRELASLRRLQYIDFGYNNFSGKIPEEIGNLENTKWVILEVNQLDGPIPFTIFNISTLQNLVLNNNSLSDSLPMKLCQHATRLKALLLHYNKLSGYIPKNLSSCSELEQLRLSYNNFVGTIPKEIGSLNMLQILRLGGNKLEGIIPETIFNMSTLTFISMGNNNLSGILPPNMCLHLQKLEKLYLYKNKLYGNIPRSIGECSSLKYLIMWENSLSGEISRELGNLDRLEILELQYNGLSGFIPWGIFNISTLEVLDLSFNEFSGTLPTSLGYWLTNLKKLYLSNTNIGGVISPQISNASNLAHIELGENQFKGFIPNSLGNLAKLQYLRLSKNNLTTDPQFSLIASLTNCKYIQTLALSFNPLNAILPNAIGNLSYTLQYLHLKNCNIKGRIPHEIGNLSSLWELSLSFNDIIGFLPTTIQALQSLQGFFISNNRLIGSFSDVICELRNLFWINLARNKFSGLISDCLGNISSLEKIYLYENEFTVFPPTLWSIKNLLILDLSSNNLSGSLPQEIGNAKTAIAIDLSNNKLSGDIPSSIGGLTRLINFSVANNKIQGSIPDNFGKLLDLHSLDLSDNKISGMIPKSLEGLISMNYFNASYNKLTGEIPSGGPFVNFTYESFLGNDGLCGTPRMHVPPCLAHNLHQSKKDRVIFIVLVSLAVLIVLIASVSVLYIFKRHNIEEVPDEPNFLSATTPARYSYYELQRATNGFDESNSLGSGSFGSVYKGILTNGMQVAVKVFRLEHEGSNRSFDSECEVLHSIRHRNLTKVLGSCSNLNFKALLLEYMPNGCLHKWLHSHSYFLDMIQRVSIMIDVASALEYLHYGYSNPIIHCDLKPSNVLIDTDMVGHLSDFGVAKLLEDGNSIAITNTLATIGYIAPGKCFSFLNF >itb10g19220.t2 pep chromosome:ASM357664v1:10:25068084:25072746:-1 gene:itb10g19220 transcript:itb10g19220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGTLSPQLGNLSFLVSLNISHNSFYGNLPRELASLRRLQYIDFGYNNFSGKIPEEIGNLENTKWVILEVNQLDGPIPFTIFNISTLQNLVLNNNSLSDSLPMKLCQHATRLKALLLHYNKLSGYIPKNLSSCSELEQLRLSYNNFVGTIPKEIGSLNMLQILRLGGNKLEGIIPETIFNMSTLTFISMGNNNLSGILPPNMCLHLQKLEKLYLYKNKLYGNIPRSIGECSSLKYLIMWENSLSGEISRELGNLDRLEILELQYNGLSGFIPWGIFNISTLEVLDLSFNEFSGTLPTSLGYWLTNLKKLYLSNTNIGGVISPQISNASNLAHIELGENQFKGFIPNSLGNLAKLQYLRLSKNNLTTDPQFSLIASLTNCKYIQTLALSFNPLNAILPNAIGNLSYTLQYLHLKNCNIKGRIPHEIGNLSSLWELSLSFNDIIGFLPTTIQALQSLQGFFISNNRLIGSFSDVICELRNLFWINLARNKFSGLISDCLGNISSLEKIYLYENEFTVFPPTLWSIKNLLILDLSSNNLSGSLPQEIGNAKTAIAIDLSNNKLSGDIPSSIGGLTRLINFSVANNKIQGSIPDNFGKLLDLHSLDLSDNKISGMIPKSLEGLISMNYFNASYNKLTGEIPSGGPFVNFTYESFLGNDGLCGTPRMHVPPCLAHNLHQSKKDRVIFIVLVSLAVLIVLIASVSVLYIFKRHNIEEVPDEPNFLSATTPARYSYYELQRATNGFDESNSLGSGSFGSVYKGILTNGMQVAVKVFRLEHEGSNRSFDSECEVLHSIRHRNLTKVLGSCSNLNFKALLLEYMPNGCLHKWLHSHSYFLDMIQRVSIMIDVASALEYLHYGYSNPIIHCDLKPSNVLIDTDMVGHLSDFGVAKLLEDGNSIAITNTLATIGYIAPEYGQEGLISTRSDMYSYGIMLLEVFTKTQPGDEMFSEDLCLRSWVHTALPVNTSQIIDPNLLEPNEEKYDEKLQCVSAIFDIGIKCSTKSPRERMNIKDALSALIKIKTQLLSLYASI >itb03g08030.t1 pep chromosome:ASM357664v1:3:6015781:6021829:-1 gene:itb03g08030 transcript:itb03g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRIDRNLKFRSKLGAVPCSCSKRESCSCSIAFGRSHTAASLLTPPFVSLPRRRSATVTLILQTPTTVTPSKNKKAHKRFLSVFRCLLGMLQNSDSCYEKAQEVSDNKSARALVTEIPWLFLSTLSFGLCPPSISDLQLPSIDLRSPINSCPPSISDQQLPSIDRSPISSCPPSIFDLRSTVALRRSISDDLRSTVALRRSISDLQLPTVALRRSISDLQLPSIDLRSSINSCPPSISDLRSTVALRRSISDDLRSTVALRRSISDLQLPTVALRRSISDLQLPSIDLRSSINSCPPSISDLRSPINSCPPSISNH >itb13g09680.t1 pep chromosome:ASM357664v1:13:13981807:13988984:-1 gene:itb13g09680 transcript:itb13g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGPGAHNLPADVTQLIDQLERHCLAPDGSLISKSTYYDLQLAREEMTKERQRYLEALAVYIEAVGMVEEYQQALSVANLGGIRDVQGHYPQIGLKNPPQVYEALEHRMVVAEAAQRLRLPLISKDGEVQDDEIEKCILSRSSLDSSVTSVTVSSNSISTNFTNLSASGTAAAGISAPSSSASDASKPSIGGVPNRYLGITPTYLWQTQLLQSPLSMQSPLSMDMAEYQILLSREVGSRLDAKCDKLADVVAIDDIDPSASNQIPTARLPERVKLIIEEIEREEATLREDLYSSDRKFAEYYNVLEQILGVLIKLVKDIKLKHQHKYDELQKTWLSKRCDTMSAKLRVLEHILLLETYTPDTIPALHKIRKYLVESTEEASLAYNKAATRLREYQGVDPHFDMIARQYHDIVKKLENMRWTIQQVEMDLKRLPAHPGA >itb13g09680.t2 pep chromosome:ASM357664v1:13:13981807:13988984:-1 gene:itb13g09680 transcript:itb13g09680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGPGAHNLPADVTQLIDQLERHCLAPDGSLISKSTYYDLQLAREEMTKERQRYLEALAVYIEAVGMVEEYQQALSVANLGGIRDVQGHYPQIGLKNPPQVYEALEHRMVVAEAAQRLRLPLISKDGEVQDDEIEKCILSRSSLDSSVTSVTVSSNSISTNFTNLSASGTAAAGISAPSSSASDASKPSIGGVPNRYLGITPTYLWQTQLLQSPLSMQSPLSMDMAEYQILLSREVGSRLDAKCDKLADVVAIDDIDPSASNQIPTARLPERVKLIIEEIEREEATLREDLYSSDRKFAEYYNVLEQILGVLIKLVKDIKLKHQHKYDELQKTWLSKRCDTMSAKLRVLEHILLLETYTPDTIPALHKIRKYLVESTEEASLAYNKAATRLREYQGVDPHFDMIARQYHDIVKKLENMRWTIQQVEMDLKRLPAHPGA >itb13g09680.t4 pep chromosome:ASM357664v1:13:13981807:13988807:-1 gene:itb13g09680 transcript:itb13g09680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGPGAHNLPADVTQLIDQLERHCLAPDGSLISKSTYYDLQLAREEMTKERQRYLEALAVYIEAVGMVEEYQQALSVANLGGIRDVQGHYPQIGLKNPPQVYEALEHRMVVAEAAQRLRLPLISKDGEVQDDEIEKCILSRSSLDSSVTSVTVSSNSISTNFTNLSASGTAAAGISAPSSSASDASKPSIGGVPNRYLGITPTYLWQTQLLQSPLSMQSPLSMDMAEYQILLSREVGSRLDAKCDKLADVVAIDDIDPSASNQIPTARLPERVKLIIEEIEREEATLREDLYSSDRKFAEYYNVLEQILGVLIKLVKDIKLKHQHKYDELQKTWLSKRCDTMSAKLRVLEHILLLETYTPDTIPALHKIRKYLVESTEEASLAYNKAATRLREYQGVDPHFDMIARQYHDIVKKLENMRWTIQQVEMDLKRLPAHPGA >itb13g09680.t3 pep chromosome:ASM357664v1:13:13981807:13988701:-1 gene:itb13g09680 transcript:itb13g09680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELGPGAHNLPADVTQLIDQLERHCLAPDGSLISKSTYYDLQLAREEMTKERQRYLEALAVYIEAVGMVEEYQQALSVANLGGIRDVQGHYPQIGLKNPPQVYEALEHRMVVAEAAQRLRLPLISKDGEVQDDEIEKCILSRSSLDSSVTSVTVSSNSISTNFTNLSASGTAAAGISAPSSSASDASKPSIGGVPNRYLGITPTYLWQTQLLQSPLSMQSPLSMDMAEYQILLSREVGSRLDAKCDKLADVVAIDDIDPSASNQIPTARLPERVKLIIEEIEREEATLREDLYSSDRKFAEYYNVLEQILGVLIKLVKDIKLKHQHKYDELQKTWLSKRCDTMSAKLRVLEHILLLETYTPDTIPALHKIRKYLVESTEEASLAYNKAATRLREYQGVDPHFDMIARQYHDIVKKLENMRWTIQQVEMDLKRLPAHPGA >itb12g07330.t1 pep chromosome:ASM357664v1:12:5494734:5495639:-1 gene:itb12g07330 transcript:itb12g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMIKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCISVYSARSDGSWDTKRIDQAHPVGVTAVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPAIQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb12g28050.t1 pep chromosome:ASM357664v1:12:28139722:28142066:1 gene:itb12g28050 transcript:itb12g28050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTNGISRAQRSKVNHGEGANWILIAGATLLSTFSVFLGYKLKQVLNAKQHNIHGNALKGNESHEERKKSRNLDMQSSSYSFAKDDENCHTFPSGTGGVVEIKRQGSGQMLAEPEMRLPPMSVPGLEFSKENGSCSQYHLEPLQKPFHYSNSSESPRVSDSSSDIFSKREVIQKLRQQLKRRDDMILEMHEQIVEMKSSLNFQLSHSTHLQSLLDGANRDLLDSEREIQQLRKVITDCYIGELDSCENAPTAPSWAGYGNGDFEVESHPVSSEKGRGNGERIEVLKREVDELKEIIEGKEYLLQSCKEQKVELSTKIKEMQHRLDSQLPYILQA >itb12g28050.t2 pep chromosome:ASM357664v1:12:28139722:28142066:1 gene:itb12g28050 transcript:itb12g28050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSYSFAKDDENCHTFPSGTGGVVEIKRQGSGQMLAEPEMRLPPMSVPGLEFSKENGSCSQYHLEPLQKPFHYSNSSESPRVSDSSSDIFSKREVIQKLRQQLKRRDDMILEMHEQIVEMKSSLNFQLSHSTHLQSLLDGANRDLLDSEREIQQLRKVITDCYIGELDSCENAPTAPSWAGYGNGDFEVESHPVSSEKGRGNGERIEVLKREVDELKEIIEGKEYLLQSCKEQKVELSTKIKEMQHRLDSQLPYILQA >itb06g19770.t1 pep chromosome:ASM357664v1:6:22989200:22992330:1 gene:itb06g19770 transcript:itb06g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAPSSNGAMRKDQAQLITSLLKRNGNALVYTYTKGFCGFSARLTAEEAGSIAQNPGVVSVFLDPILHFQTTRSWDFLDSISSKKISPTSLRKSNAAAQPLSSSEVDTIIGILDSGIWPESPSFNDNGMGPIPARWKGKCEEGDDFNSSNCNRKLIGARYYPDSLDVPSARDNMGHGTHVASTAAGSLVDDASYYGQAGGTARGGSPTSRIAMYHVCEYFGCPGSAILKGFDDAIEDGVDVLSLSLGGGGGEKPDFSDDVIAIGAFHAVERGIVVVCAAGNDGPYNSSLTNDAPWIFTVAASTVDREFQSQILLGDNTIIKGEGIYIGKLKKSPVYPLATGALVKSESATETDARDCLPLSLDPKKVKGKIIMCETRNPTSYIGDKINEVKNGGGVGVILTNADPEERIMAVKFGGFPGSLIEEKEANQVFSYISSTKNPVATILPTMTVTGGKPAPAVAYFSSRGPSLTSVNLLKPDICAPGVDILAAWPDIDGEEIIPVKTHSGYNLISGTSMACPHTSGIVATVKAQNPSFSVSAIRSAVMTTATQTNNFNAPITTTDGEIATPYEIGAGELNPTAALNPGLVYETEIADYLQFLCATGYNTSQIRLISSTVPKGFKCPKKLTEDMISDMNYPSIAVSDLKEGVPKAITRTVCNVGPEESVYTATIEALADIEVTVTPNKLVFTKQEKKLSYTVTFTASSSLKRDTFGSITWTSGKYKVFSPIVVSKCIV >itb09g07980.t1 pep chromosome:ASM357664v1:9:4785209:4792746:-1 gene:itb09g07980 transcript:itb09g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINLPETLDVDPTTWELRQTTPILTTAVDPPEPSPEELVHLLHSSSHREYALSKLAKEVISVYRQLPPSDRRVSARESTRTCNAIALFQCMAAHPLTRMQLIRARITSYLHPFLGITTTSTTATEDKPLEALRLTSLGVIAALLKIDNPEDGQKIVHYLLESEVFPLFLSCMDRGDEPTQTVSALIIAKILWRAEGLKYCSAYGERFFALVRALGRVVDRQPAERPSLGLLRSVIACYLRLSEVPRAKDAFRNCIPARMTQHNFIAFIREDPHSVRMLQQLFTNITSRHWTY >itb04g20970.t1 pep chromosome:ASM357664v1:4:25990985:25991495:1 gene:itb04g20970 transcript:itb04g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKLVILLPFLLVCGIVLIKVDGQNDGKVCAQFCEQRVATMKCPPGNKPTRPSCVNCCTAKIGCKLFRSDGSLLCTGT >itb12g24940.t1 pep chromosome:ASM357664v1:12:26278924:26282122:1 gene:itb12g24940 transcript:itb12g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRFQLVEQSPSLFLRESSLLSLSPRFPSLLVEDELDAALDLLCPSRALFDLTSPFDDLDAVTDLIQIERTPFYSAARRRRVGFGSERYKLQSLCDRVSELELGFDRLLKQEEEEKKKTRVGERKYTWTAEIKSPEEDGLDRAYKWVAEIKDGKKGAVEKSYKVSAEIKGKGEDSPISRTYTFKTTSGKNAGEKKKEEDGDKKKKKKAVSCARVVEIEEPSDHGAIILRQAYAKSVEKKKGKRKELSPQDAAMVIQMTFRAYLIRRSQALRALRELAIAKTKLKELRALFNNFSYRRQVARDAEERQRFSEKIIVLLLTVDAIEGADVMVRATKKSMVDELEAMLDVVDPQPAGKSASMRRRTFDMPDSVIQKELAAGVAQVVRMIDESNGGETFEACL >itb09g08380.t2 pep chromosome:ASM357664v1:9:5012638:5016832:-1 gene:itb09g08380 transcript:itb09g08380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTTEEHLKEYFSAYGEVLEAVIMKDRTTGRARGFGFIVFADPTVAERVIKEKHNIDGRMVEAKKAVPRDDQSTMSRSSNSIQGSPGPRRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLSRINNFLNSYTQAYSPNAVGGYGVRMDNRFSPMVGGRSNFAPFASGYGMGLNFEPGLSPGYGGSANFNTTLNYGRGPYYVNNSSRFGGLVGLDGGNGGNSSFFSSTRDLWGNGGLNYGTNSTNSSNFGGSGNGNLGGGAMNNRGVWGSSTYSAQGGGGNVSGQSGNLGYGSADNSYGLTGGTYGRNVAMSGGPTSSYAASNGSYERSFADFYDNDFKYTDPTWGSANSERDGSGSFGYGPGNTPSEMPAQSAAGSVCSYGVTNRQNSRGKLLVNPLQ >itb09g08380.t1 pep chromosome:ASM357664v1:9:5012638:5016832:-1 gene:itb09g08380 transcript:itb09g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTTEEHLKEYFSAYGEVLEAVIMKDRTTGRARGFGFIVFADPTVAERVIKEKHNIDGRMVEAKKAVPRDDQSTMSRSSNSIQGSPGPRRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLSRINNFLNSYTQAYSPNAVGGYGVRMDNRFSPMVGGRSNFAPFASGYGMGLNFEPGLSPGYGGSANFNTTLNYGRGPYYVNNSSRFGGLVGLDGGNGGNSSFFSSTRDLWGNGGLNYGTNSTNSSNFGGSGNGNLGGGAMNNRGVWGSSTYSAQGGGGNVSGQSGNLGYGSADNSYGLTGGTYGRNVAMSGGPTSSYAASNGSYERSFADFYDNDFKYTDPTWGSANSERDGSGSFGYGPGNTPSEMPAQSAAGSVCSYGVTNRQNSRGI >itb01g02880.t1 pep chromosome:ASM357664v1:1:1860533:1865484:-1 gene:itb01g02880 transcript:itb01g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVSVLADLAGSLTRKSKQGLVLWAEGFREACCLHRVFIYCFRSRQLAIRTGQCFLLNGFIFLGSIFLLKSVIVPALQWILPDQCPQITSEDSCSCGGILEFYNFLRLGLVQLFYVFWFFPLYVLSLILSNIWYNDIAKHGFFAIEEYGASGTKLSDQKESQTSQKTVSKAKSTDFEGVMIGIAEQIYSILLLTFFFLEVYVIGFIPYIGKALNFLLLSWMYAYYCFEYKWNLSGLSLDKRLDFFETNWAFFAGFGSPCALAIFLFSPLVSYGVMAILFPLFVLTATGSEADKIVSLPRTKWRGAGLGRVPIFYGADYVSMKILALLPTQQGRAMPENKAL >itb01g02880.t2 pep chromosome:ASM357664v1:1:1860533:1865484:-1 gene:itb01g02880 transcript:itb01g02880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVSVLADLAGSLTRKSKQGLVLWAEGFREACCLHRVFIYCFRSRQLAIRTGQCFLLNGFIFLGSIFLLKSVIVPALQWILPDQCPQITSEDSCSCGGILEFYNFLRLGLVQLFYVFWFFPLYVLSLILSNIWYNDIAKHGFFAIEEYGASGTKLSDQKESQTSQKTVSKAKSTDFEGVMIGIAEQIYSILLLTFFFLEVYVIGFIPYIGKALNFLLLSWMYAYYCFEYKWNLSGLSLDKRLDFFETNWAFFAGFGHFLLTIL >itb03g04000.t1 pep chromosome:ASM357664v1:3:2446799:2449518:-1 gene:itb03g04000 transcript:itb03g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVFSGLRRFYLALYNWVVFVGWVQVFYLAVKTLMESGHENVYQAVENPLLLAQSAAVLEILHGLVGLVRSPISATLPQIGSRLYVTWGILYSFPEIRTHVFVSSLVISWSITEIIRYSFFGTKEAFGRGPSGLLWLRYSSFILLYPTGITSEVGLIYKALPYLKESGKYSFWMPNKWNFSFDYYYMAFVVLAIYVPGSPHMYGYMVGQRKKALRKAKQA >itb15g11400.t1 pep chromosome:ASM357664v1:15:9226855:9230526:1 gene:itb15g11400 transcript:itb15g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKVVEAKDGTISVATAFAGHQEAVQDRDHKFLTRAVEEAYKAVECGHGGPFGAVVVCNDEVVVSCHNMVLNNTDPTAHAEVTAIREACKKLNRIELSNCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKRADGNEAIIAEQVFENTKAKFSIY >itb15g23880.t1 pep chromosome:ASM357664v1:15:26790857:26793219:1 gene:itb15g23880 transcript:itb15g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNLENLIERPFVKFSPSLWGDYGFHSSSIDDQVAEAYAKEIEVLKEQTRATLLQTIASGSSSDVAQKIRFINLLQRLGISYHFEKEIDDQLRHIYTHPVHMNDLETVAIQFRVMRKHGYNISTDIFSNFVDENGKFRDTSDVKGLLSLYEASYVRTRSDDEVLEGVTAFAETRLRSALPNLKPNSTLEKLVTHALDQPFHTGLPRVETRFFISVYQEEDESSRNDELLRFAKLDFNLLQMLHKQELSEVSRWWKDLNLIATLPYARDRAVECYFWALGVYFQPQYSKARIMLAKNISIVSIVDDTFDAYGTVEELEVYTDVIQRWDINEMNRLPNYMKISFKAMLDLFENDEKDLSKEGRSYAVQHGRERMKELVRCYFTESKWFSNEGHQPAFAEYLKNAFATSAYYLLSTISCYTLKSADEQAFNWLMKNPKILEAGVTICRLIDDIATFDGEKERGQVTTGIECYMKEYGVSLEKAMEKFQELADLALKDLNEGLLQPTPVSSEILLRIFNLTCIIFVTYQHNQDGYTCPEKVLKPHIIGLLVDPLPL >itb11g08880.t1 pep chromosome:ASM357664v1:11:5819782:5822710:1 gene:itb11g08880 transcript:itb11g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAHTHHALTFLFFPCVLLIIIVPTTGGTVSDGEALLKLKKSFNNAAALDSWRAGTDPCDKRHLWIGVICVNDVVTGLRLGGMGLSGKIDVDALSQIHGLRTLTLMHNSFAGSIPEFNHIGALKALYISKNKFSGEIPPDYFAKMRSMKKIWFSDNNFTGAIPSSLARLPRIMELHLENNHFSGKIPEFKQKTLHAIELANNNLQGEIPASLARFNDSSFHGNPGLCGAKIGKPCNGQHSHSSSPSSSHGHGNSPGTSITSDSNSRKISLAIMGFCVFLLGLMVVGIVILKRRQERNGVQERDSYDDPSSIGFRLRSRMSAGELSGRRLNSFRRASGSMKRAGSNNGMGDLIMVNEEKGEFGLQDLMKAAAEVIGNGTLSSSYKATMTNGTAVVVKRIKEMNKVGKDGFDVELRRLGGLRHRNILPPLGYHFRQDEKLLVYEYMPKGSLLYALHGDRGIWHSELSWAVRLKIIRGVARGLGYLHNELTSYQAPHGDLQSNNILLTEDYEAVISDYGYVSLINTAQAPQALLAFRSPEGLQYNKVTPKSDVYCLGIVILEVVTGKFPSQYLNNGNGGTDVVQWVKTSIGEGREPEVFDPEIAGSESTSDQMRQLLHIGVACADSNPEHRIALREVIRRIEEIPTSSGEGAPETRTTESMPSLRDGYADQIEQGRGVAVVRDGAEVR >itb02g04710.t1 pep chromosome:ASM357664v1:2:2788404:2791851:-1 gene:itb02g04710 transcript:itb02g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGMLQVSIRDDEVDIVIGALQHDLTQFMEEWRPVFSRFHIIIVKDPDLKEELKIPSGFNYEVYTQSEMQRVMGYSAVNFSGYSCRYFGYLVSTKKYIVSVDDDCLPARDDKGNLVDAVAQHITNLANPATPFFFNTLYDPFREGADFVRGYPFSLRTGVSCALSCGLWLNLGDYDAPTQAIKQRQRNTRYVDAVLTVPAKAMMPMSGINIAFNRELVGPALLPSFRLANEGKLRWETVEDIWSGMCAKVVCDHLRLGMKTGLPYVWRKERGSAIDSLKKEWEGVKLMEEVIPFFQTVRVSPQAVTVEDCVVEIAAAVKQQLGQKDAVFTRAADAMIEWIKLWKTIKAQRT >itb02g04710.t2 pep chromosome:ASM357664v1:2:2788404:2791851:-1 gene:itb02g04710 transcript:itb02g04710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGMLQVSIRDDEVDIVIGALQHDLTQFMEEWRPVFSRFHIIIVKDPDLKEELKIPSGFNYEVYTQSEMQRVMGYSAVNFSGYSCRYFGYLVSTKKYIVSVDDDCLPARDDKGNLVDAVAQHITNLANPATPFFFNTLYDPFREGADFVRGYPFSLRTGVSCALSCGLWLNLGDYDAPTQAIKQRQRNTRYVDAVLTVPAKAMMPMSGINIAFNRELVGPALLPSFRLANEGKLRWETVEDIWSGMCAKVVCDHLRLGMKTGLPYVWRKERGSAIDSLKKEWEGVKLMEEVIPFFQTVRVSPQAVTVEDCVVEIAAAVKQQLGQKDAVFTRAADAMIEWIKLWKTIKAQRT >itb01g23010.t1 pep chromosome:ASM357664v1:1:29105275:29112225:-1 gene:itb01g23010 transcript:itb01g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEMDYSPFMGIEKGAVLQEARVFNDPQLDARRCAHVITKLLYLLNQGEAFTKVEATEVFFAVTKLFQSKDLGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSRTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESGLSSHTGDRPFYDYLEGCLRHKAEMVIFEAARAITELSGVSSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNTLREEGGFEFKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRIILENATVRASAVCTLAKFGAMVDSLKPRIFVLLKRCLFDNDDEVRDRATLYLNTLGGDGAVVETAEEAKEFLFGSLGVPLANLEICLKNYGPSEQPFDINSVPKDVKSQPMAEKKAPGKKPTALSAPAAGPTSMLDAYEKLLSSIPEFASYGKLFKSSPPVELTEAETEYAVNVVKHIFDSHVVFQYNCTNTIPEQQLENVTVIVDASEAEEFSEAASKPLKSLPYDTPGQTFVAFEKPEGVPAVGKFSNTLRFIVKEVDTSTGEAEEDGVEDEYQLEDLEVVAADYMLKVGVSNFRNAWESMGPDCERVDEYGLGPRDSLAEAVNVVINLLGMQPCEGTEVVPNNSRSHTCLLSGVYIGSAKVLVRLSFGIDGPKEVAMKLAVRSEDISISDAIHEIVACG >itb10g18000.t1 pep chromosome:ASM357664v1:10:24054295:24062907:1 gene:itb10g18000 transcript:itb10g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKTTNLGDFAFLPQQHQNPQFLRKPKTANTKYVMDDGEGGLSFDFEGGLDSGPTHPTASVPVIQSSDQNAAVAGPNSINPSNVASAPAGAAPDGGMGGNRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRIYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQHLSSYNYGYSNKFSQNRNANFAPQAEKSQNAQGPTGASQAIKTSTTESAFPQLQPNQQVQQVQQQGSQGQTPSTGQQNQTNRSAIPLPQGTSRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSMENVILIFSVNRTRHFQGCAKMTSRIGGATKGGNWKHEHGTAHYGRNFSVKWLKLCELSFQKTRHLRNPYNENLPVKISRDCQELEASVGEQLASLLYLEPDSELMAISLAAESKREEEKAKGVNPERVNENPDIVPFEDNEEEEEEESEEEDESFGQAFGPVALGRGRGRGTAWPPMMPLGRGAWPLPGNPGMRGFPPGMMGEGFPYAGVTPDGFPMDVFGMGPRPFAPYGGPRFSGDMMFHNRPPGGGFGMMMGPGRGPYMGGMGPGSAGPPRAGQPVGMAPSFAPPPSQALQNSYRGKRDPKAPGSDRNDRFSSGSDQGKDKEMASSAGGPDDKAPYLQNSLRNDESESEDEAPRRSRHGEGKKRRGSLEADATTPPEK >itb13g09720.t1 pep chromosome:ASM357664v1:13:14021310:14030089:1 gene:itb13g09720 transcript:itb13g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPALSNPTADSPAAVSTPPTTNASKNLRGLNKPKCIKCGNVARSRCPYQSCKSCCAKAQNPCHIHVLKGQSNLPDKALSSGSPIVDQQSTEASHPGASHRPASFRQLSTNFAQFNNLQTPLRRKPVTRKDAQVINEWRFLKLKEFRDNNIEIENEAFDRYMQNVFLLEEVFGVNSEQDGQTEDGSSSENPKPATEEAVSMEAMVAGFKLQLRSDPVRIENTRKRMQYIVDRGLKKLRKLESDEVTGDLSQPENPETFKSPQAEWTSALGELIDKMNKARNEEDLKACWEMKTELFNRHNKEKQENSEVVEASKDPCVPIPQTGISLKGESNYSPPKWFTTTTIDDEELCQISAQFDSLEDIEDL >itb05g05140.t1 pep chromosome:ASM357664v1:5:4906127:4910129:-1 gene:itb05g05140 transcript:itb05g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMAVYCFDTLVAHYNNDQAPPPAFDEGQHPLFVTWKKVVNGGEPRLRGCIGTLEARCIVNGFRDYALTSALRDRRFPPIQAKELPTLECTVSILTNYETADNYLDWEVGKHGIIIEFTDPDYNTKRSATYLPDVAAHEGWTRTEAIDSLMRKAGYNGTITESLRKKIRLTRYQSTLFTLRYNDYVAYVKTTRGATPSINGVKPGN >itb02g01980.t1 pep chromosome:ASM357664v1:2:1090808:1092924:-1 gene:itb02g01980 transcript:itb02g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVASFISSSVPRLLFLLLLVGIVAEGEDIFLDWHVALNTTIKPVSADQPVITINDEFPGPLLNATTNDMVHVNVFNHMDSEPLLITWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYVFQIKDQIGSFFYFPSINFQKAGGGFGPIRINNRAVITVPFPKPDAEYDLLIGDWYNQSFQTIRRELDLPETKPPNMILMNGKGSYLDSKAKTHESFTVTKGKTYRFRISNVGTTWSVNFRIQDHRMDVVETEGSYVNKLTVDSLDVHVGQSYSVIVTADQNVGDYYIVGSAKLVNVTSNRSLVSVGVLHYHNSTTPPNGPLPVGPDPFDINSSVTQTRLITWNLTAGAARPNPQGSFNVSNVTLSQSFILLGSTSKIDSRVKYTVNNVSYLTPNTPLKLADYALNGGSGVFELDKYPANTSLSKAVNGTFVVSGTHKGWLEIVFKNDLDNAMDSWHLDGYGFYVVG >itb01g00780.t1 pep chromosome:ASM357664v1:1:376810:379861:-1 gene:itb01g00780 transcript:itb01g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVPVHILTNPCFILGPRTRLNCRHFTNCFINSLATKSHAFSHNQIRAWKPDVDTVVSDNAKYGGKQIISVTPRLYDYILGNVREPEILRELREETATMRGSQMQVSPDQAQLLAMLVQVQGAKRCIEVGIYTGYSSLAIALVLPEQGRLVACERDEKSIEVAKRYYDRAGVSGKVDVRHGIAADTLRSMIQNGEGCSYDFAFIDADKKMYQEYFELLLKLVRVGGVVVVDNVLWHGRVADPLVKDSKTTSIRSFNRTLFEDNRIDISMVPIGDGMTICRKR >itb04g15830.t1 pep chromosome:ASM357664v1:4:17058546:17059184:1 gene:itb04g15830 transcript:itb04g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKQGTSNSVEAGAVSHPRISFSADFPDEDEGFISLIPNSGIELNHRPRCTAEFEFRSSIKPSSEAMAAADELFSDGRMLPIWQIRNFKKLNEISRLRTGQEKKTESGNNAAAEEIISSNAVSLRFVQEDDPSPRPPKCTTVLLREFLRFRKQRDSSSTSTDTSESRKERKGSKEKEVKRMIRKGLERSTKARVMKMGRKGD >itb14g15550.t1 pep chromosome:ASM357664v1:14:18858440:18859249:-1 gene:itb14g15550 transcript:itb14g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKELLNANDKVEFCYVNSKSNRKKLVRALFNVPRTSLELYPYYSRMVVTLSTCMKDVSSMLLQLLEEEFNNLINKKDQMNIESKIRNIRFIGELCKFKIAPAGLVFSCLKAAQEIRD >itb07g22420.t1 pep chromosome:ASM357664v1:7:26857147:26857686:-1 gene:itb07g22420 transcript:itb07g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSSTANPNPSQPIAMSPSKSVQSEANPEPETPEPHAPIRESSDPLPEKSDQESQEEIPKEGEEEEEEEEGECGFCLFMKGGGCRDPFSEWEKCVEEGEKNNEDIVEKCFEVTSALKKCMEAHSGYYDPILQAEKAAEAEALKELEMEKEKVNESNSESGSTKSFEFGWLNFIYYLY >itb05g26670.t1 pep chromosome:ASM357664v1:5:30421948:30423669:1 gene:itb05g26670 transcript:itb05g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLFPNQTLFLVEVQSPCPPGLSKPDNFLEDSAGRFNSESTHRSSAVSFLLISGASVKILVWAVAKSI >itb07g07560.t1 pep chromosome:ASM357664v1:7:5745810:5748726:1 gene:itb07g07560 transcript:itb07g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFNPACFFFFLIPILAAFHFVHGEAEFLSNSTKEFSCGALGFVDFPFAKHTEPHCGLVAVNCDKTPPTIQLETGGDWYPLQVVLKHSWGAYTIYLRDSKLQRHFVSHNYSNLNYTLHFQNSPSVIFLNLDTSILNNYFKCNDSEADDIGNYERYNCSDGVSLYYKRHLPENPKCDAVNCTLYPSPFVIQQTNDGLNAHLVLHLEVSKSCYECYQGGGQCSEDSKNEFQCAQGNNTTGNKSKRKPILIIASVSGALALILLSVAISVVWRCKKGSKGYSRNTSSDPTSDFETICSWLLGILVFSYSELEEATSNFHPSKQLGDGAFGTVYYGILGDGREVAVKRLHERSCKRMEQFANEISILTRLKHQNLVTLYGCSSRHNREFLLVYEYILNGTLEDHLHGKRAADRLLTWPIRMKIAVETAAALVYLHASDIIHRDVKTSNILLDNNFCVKVADFGLSRLFPANVTHASTTPQGTPGYVDPDYKECYQLTYKSDVYSFGVVLIELLSSMPALDMRRHTDEIHLAKLAMSKILTGAFDELIDSSLGYENDTEINRMATSVAALAFQCLQPDKDMRPTMEHVLESLKEIQGNELSNDDENVNCEETNVSKEEEVRGKAMCRTWGGPSLADGVILKHVAATKSSSCSDWN >itb01g06540.t1 pep chromosome:ASM357664v1:1:4753599:4755669:-1 gene:itb01g06540 transcript:itb01g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIPERWKVLSGSDNWKDQLEPLDSDLRRYLIHYGTMVAPATDSFINEPASKNVGLSRYARRNLFQNTGLVKGNPFKYEVTKYFYASSGFTIGDTGYNVRPARADAVLRESNWIGYVAVATDEGKVGLGRRDVVVVWRGTIRLSEAITDITFTFVNAPLIFGQNSNPLVHRGFYDMYTISIQDSQLNGLSARDQIREEVARLVELYKDEEVSITVTGHSLGSSMATLNAIDLAANPINNNKDILVTAFLYASPKVGDENFKKAFSNQQNLRALRISDVNDPVPTLPPPAPIDGTIEPSIPYVDVGMGLVIESKKSHYLKLEVLGYHDLMLYMHGIDGYQGSQGGFERQGDFDLAKVNRYQDNLKDEYLIPIGWFNIKDKGMVQQEDGNYILDDHEVDETF >itb13g26380.t1 pep chromosome:ASM357664v1:13:31669610:31675460:-1 gene:itb13g26380 transcript:itb13g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTDDIAEEISFQSFEDDCRLLQSLLNDVLHRELGPKFMEKVERTRCLAQSACNMRTAGIEDTAELLEKQLASELSNMTLEEALSLARTFSHYLNLMGIAETHHRVRKARGEAQLSKSCDDTFNWLLQSGISSDELYDTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGIEDRDMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQTLWKAVPHYLRRVSNALKKHTGRSLPLTCTPIKFGSWMGGDRDGNPNVTSKVTKDVSLLSRWMAVDLYVREVDSLRFELSMNQCSGELSRLAHEILEKENAPEDHNDNWNQHSSWSQVKHQGHHGPHFPSQLPTGADLPSCTECNDVESHYPRLDVPRKEFKPLNLQDGQATSKFTPSAPDSPRSISRANANGIASAVSPRASAQRKFFSESQIGKSNFQKLLEPSSSQSPGIAPYRIVLGDVKDKLMKTRRRLELLLEDLPCDYDPWDYYETTDQLLEPLLLCYESLQSCGAGVLAEGRLADLIRRVATFGMGLMKLDLRQESGRHAETLDAITTYLDMGTYSEWDEERKLEFLTNELKGKRPLVPLTIEVESDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVAGELGKPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIITNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQVAVRQLEIYTTAVLLATLRPPQPPREEKWRNLMEEMSNISCDSYRSTVYENPEFLTYFHEATPQAELGFLNIGSRPTRRKSSGGIGHLRAIPWIFAWTQTRFVLPSWLGVGAGLRGVCEKGHTEDLRAMYKDWPFFQSTVDLIEMVLGKADSPIAKYYDEVLVSPSRQELGAELRKELLTTEKYVLLVTGHEKLSENNRSLRRLIESRLPYLNPMNILQVEILKRLRRDIDNNKLRDALLITINGIAAGMRNTG >itb13g26380.t2 pep chromosome:ASM357664v1:13:31669618:31675337:-1 gene:itb13g26380 transcript:itb13g26380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGIEDTAELLEKQLASELSNMTLEEALSLARTFSHYLNLMGIAETHHRVRKARGEAQLSKSCDDTFNWLLQSGISSDELYDTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGIEDRDMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQTLWKAVPHYLRRVSNALKKHTGRSLPLTCTPIKFGSWMGGDRDGNPNVTSKVTKDVSLLSRWMAVDLYVREVDSLRFELSMNQCSGELSRLAHEILEKENAPEDHNDNWNQHSSWSQVKHQGHHGPHFPSQLPTGADLPSCTECNDVESHYPRLDVPRKEFKPLNLQDGQATSKFTPSAPDSPRSISRANANGIASAVSPRASAQRKFFSESQIGKSNFQKLLEPSSSQSPGIAPYRIVLGDVKDKLMKTRRRLELLLEDLPCDYDPWDYYETTDQLLEPLLLCYESLQSCGAGVLAEGRLADLIRRVATFGMGLMKLDLRQESGRHAETLDAITTYLDMGTYSEWDEERKLEFLTNELKGKRPLVPLTIEVESDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVAGELGKPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIITNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQVAVRQLEIYTTAVLLATLRPPQPPREEKWRNLMEEMSNISCDSYRSTVYENPEFLTYFHEATPQAELGFLNIGSRPTRRKSSGGIGHLRAIPWIFAWTQTRFVLPSWLGVGAGLRGVCEKGHTEDLRAMYKDWPFFQSTVDLIEMVLGKADSPIAKYYDEVLVSPSRQELGAELRKELLTTEKYVLLVTGHEKLSENNRSLRRLIESRLPYLNPMNILQVEILKRLRRDIDNNKLRDALLITINGIAAGMRNTG >itb10g04130.t1 pep chromosome:ASM357664v1:10:3893445:3899141:-1 gene:itb10g04130 transcript:itb10g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSQENKPPTSSKKMENYAKAATFSSSATSNLNTGKAIAQYNADAKLMAEFEQSRESGKSFDYSRSVIGAPQNVTEEEMTAYLSRIQRGGLIQPFGCMLAIEEPSFKILGFSENCFDLLGLKSGVEPPERMSLIGIDARTLFTLSSRASLAKAVASREISLLNPIWVHSKTNQKPFYAVLHRIDVGIVIDLEPANSADPALLLAGAVQSQKLAVRAISRLQSLPGGDIGTLCDTVVEDVQKLTGYDRVMVYKFHDDSHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCNAQPVKVFQSEELKQPLCLVNSTLRSPHGCHTKYMANMGSIASLVMAVVINSSESMKLWGLVVCHHTSARYVPFPLRYACEFLMQAFSLQLYMELQLASQLAEKKILRTQTLLCDMLLRDAPFGIVTQTPSIMDLVRCDGAALYYDGKCWLLGVTPTETQVKDIAEWLLHNHGDSTGLSTDSLSDAGYPGAPLLGDAVSGMATARITSKDFLFWFRSHTAKEVKWGGAKHHPEDKDDGGRMHPRSSFIAFLEVVKSKSLPWEDSEINAIHSLQLIMRDSLQGIGENYMKSVSSPQQTDSEGTRFYELSSMALELVRLVETATVPIFGVDSSGLINGWNAKIAELTGLQANVAIGKYLIDDVTHEDSHETFKGLMCRALQGEEDRNVEVKLLKFGEHPTKEVVYLVVNACTSRDYKNDIIGVCFVGQDITPQKAVMDKFVRLQGDYEAIIQSLNPLIPPIFASDENACCSEWNAAMERLTGLVKCEVIGKRLPGEIFGGLCRLKGQDALTKFMILLYQGISGHDTEKLSFGFFDRKGNFIDVFITANKRTDERGNIIGCFCFLQTMAVDPQTSARDIEDDRECLSTLKEFAYIQQQMKNPLNGIRFTHKLLEGTVTSDHQKQFLETSEACEKQILSIIENMDSGGIVDGNRVELKTEEFVIGNVIDAVVSQVMIPLKEKNLQLLHDIPDQIKSLPIYGDQIKLQLVLSDFLLSIVRHAPSPDGWVEIRVSPGLKLIQDGNEFIHIQFRMTHPGQGLPSALIEDMVRGGTRWTTQEGIVLHLSQKLVRMMNGHVHYVREQQKCYFLIDLDFKTQKPRSRESSMDTSRIT >itb04g03140.t1 pep chromosome:ASM357664v1:4:1948135:1953863:1 gene:itb04g03140 transcript:itb04g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGRSGLPNYGLQVSFSTTPHHNHHHHHAAMHHEMGFVHFEDHNQAVMSFLTPLSSSSQPLDGGAGSSCSNAASTTAAAAKSSSHAATASLGFSHSEPQLSNRPSWNNNDQVGTMDPKGANDENCSGNAAEGNNSWWRSSSSSSLVDKGKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHDCFTSF >itb15g23180.t1 pep chromosome:ASM357664v1:15:25925835:25931342:-1 gene:itb15g23180 transcript:itb15g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEARSPVPLSEARSPMPLLYRRRSSGEIRNLASVSSSLLPAFGTVMGEGSVQLRGFVIAPYDRRYRMWQVFLVILVVYSAWSSPFELAFRKVATGSLQPVDLVVDAFFGIDIILTFFVAYLDKSTYLLVDDHKKIALRYVTHLGFPMDIASTLPFQTIYHVITGKAHRGVVFGFLNLLRLWRLRRVSAFFSRLEKDTRFSYFWTRTFKLICVTLFAVHSAGCFYFWLATHYHDSDRTWIGSKVPDFKDRSLWLGYTYSTYWSIVTLATVGYGDLYAVNTGEKIFTIFYMLFNIGLTAYLIGNMTNLIVHSAARTFSMRDAINNTLRYASKNRLPEGLKEQMVAHVTLKFKTAELQQQVLEDLPKAIRSAIAQHLFCSTIENTYLFKGVSEDFILQLVCELKAEYFPPKVDIIIQNEIPTDFYVIVSGEVVSTIAAINLLLWLPFVLHRSKLNNLLQLQDVLAYINGTEQFLSKLGATQMAGEVGVIFNIPQPFTVRSKRLSQVIRISHHHFKQLLQPLTEDGKKILSNFSQHLKGLKKEELDEIPIVADLLGDPNENEVSAEAEGAESNNGGQNQQENRNHTTFASSGTVLTRVIIHGHHPDDDELKDGSRGKLIHLPNSIEELLSIAENRFGKRGSKVFMGNGSEVEDIGALRENDHLYIC >itb08g12860.t1 pep chromosome:ASM357664v1:8:13344720:13350546:1 gene:itb08g12860 transcript:itb08g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEFEEILSSIGEPKLHGGMCKDLTVVYAKVLGIFPELEAARPRSTTGIQALCALHIALEKIKNILQHCAECSKLYLAITGDSIVLKFERARCGLEDSLRRVEDIVPQAIGCQISEILNELHGIVLTLDPNEKQVGDEIITLLQQGRNFDSNGNDNNELESFHQAASRLGITSSRAALRERRALKKLIERARAEEDKRKESIVAFLTHLMKKYSKLFRSELSDDNDSQGSTPCSPTVGDGIGHGSHAHVFDRQFSKLSSFNFKPNYRKLDQMQVLPEELKCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTQQELPHLGLTPNYCVKGLVGSWCEQNGVHVPDGPPESLDFNYWRLALSESESTNSKSIESIGSYKFKGVKVVPLEDSGVIEEPEIDNSEQDDEQLQSNDIGEKYENYLTVLNGDNDIMRKCKVVEEMRHLLKDDAEIRIYMGANGFIEALQRFLDSAVEARNEMAQEIGAMALFNVGVNNDRNKEMMLAAGVLPLLEKMITNSRCIGAVTALYLNLSCLEEAKPAVGSSKAVPFLIGVLQHESDVQCKLDALHAIYNLSTHPTNITHLISAGILNTLLALMTCSYDDEEHTWTEKCITVLINLTSSKEAQDQIVSAPGLISSLGSVLDTGEAVEQEQAAACLLVLCNANEKCSQMVLQEGVIPSLVSMSVNGTVRGKQKAQKLLMLFREQRQKETSPVKTRQQQPAEHVDNPIPNPNPNRNPNPTPNPNEDPKPLSKSSSRRKLGKAWTSFWKTKSLSVIGSYKFKGVKVVPLEDSGVIEEPEIDNSEQDDEQLQSNDIGEKYENYLTVLNGDNDIMRKCKVVEEMRHLLKDDAEIRIYMGANGFIEALQRFLDSAVEARNEMAQEIGAMALFNVGVNNDRNKEMMLAAGVLPLLEKMITNSRCIGAVTALYLNLSCLEEAKPAVGSSKAVPFLIGVLQHESDVQCKLDALHAIYNLSTHPTNITHLISAGILNTLLALMTCSYDDEEHTWTEKCITVLINLTSSKEAQDQIVSAPGLISSLGSVLDTGEAVEQEQAAACLLVLCNANEKCSQMVLQEGVIPSLVSMSVNGTVRGKQKAQKLLMLFREQRQKETSPVKTRQQQPAEHVDNPIPNPNPNRNPNPTPNPNEDPKPLSKSSSRRKLGKAWTSFWKTKSLSVYQ >itb01g06100.t1 pep chromosome:ASM357664v1:1:4313446:4316433:-1 gene:itb01g06100 transcript:itb01g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKALCIFWNCCFCIEIRNAIEEPLSANISGGKTCRFFMTYHGMQYFLQTAVHQGINQKKRGHGYMKFRLRPSTKPINKICSNKHGHKITSLSWKRHLRDLIELTRLAANVAIGCGLLWWGRSRLAAAGGEKGPRGGGRRRGRLRRLWRSGE >itb03g17420.t1 pep chromosome:ASM357664v1:3:16045596:16047664:-1 gene:itb03g17420 transcript:itb03g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIPFRTNRDRNNEASSFLEYRALVLIGIVGNSFDSSLAPAFADPLLCPLSIFKSLVVPIHLILTVKNIEHQRYIFSTLLHTYDSPQLYFLSVQCKYLTSNLLALYINNVKIVPLSARFEGDRMGSREDNNNNESRTGKKSGKEAVKVKYISDPVTVNAKDASEFRAIVQELTGKTNHARHANAKLPAGAVSGGSSRAKTS >itb06g06950.t1 pep chromosome:ASM357664v1:6:9944442:9945135:1 gene:itb06g06950 transcript:itb06g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLWLVPHLMLVGFAEAMNAVAQNEFYVSEFPQSMASIASNLLGLGSAAGSVAASFLMSAINDMSERGESGSWISSNINMGHYDYYNWILAGLSMANMLLFFFCSRAYGPCREENDLNTVVEVEAAS >itb06g22730.t1 pep chromosome:ASM357664v1:6:24961095:24964584:1 gene:itb06g22730 transcript:itb06g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVEMEAPKIHDGEINDDEVSPIEQVRLTVPNTDDPTLPVWTFRMWVLGVLSCVLLSFLNQFFSYRKEPLTITQITVQVATLPIGRFMAATLPATKFRVPGFGSREFSLNPGPFNMKEHVLISIFGNAGYAFGDGTAYGVGIVTIIIAFYQRKISLFTGWLLVLTTQILGYGWAGLLRKYVVEPAEMWWPSTLVQISLFRTLHENESEDDKRYMSRAKFFVIVLACSFCWYLFPGYLFQTLQSISWVCWAFPKSVTAQQIGSGMNGLGIGAFTLDWATIASFLSSPLITPFFAIANIFVGYVSVMYVVIPICYWGFNVFDAKNFPMYSSRLFTAQGQEYNISAIVNDQFELDKAQYAKQGRVHLSIMFSVLSYGLGFAKIASTISHVALFHGRGIYDQYRSTSKEKMDIHTRLMRKYKDIPSWWFYTLLLVTSIVSLALCIFMKNEIQMPYWGLLLACAIAFTFTLPISIITATTNSTPGLNIITEYIMGVIYPGRPIANVCFKTYGYISMSQAISFLSDFKLGHYMKIPPRSMFLVQFFGTLIAATTNTIVAWWLLHSVDHICHQDKFSNSPWTCPGHRVFFDASVIWGLVGPKRIFGSLGNYSSLNWFFLGGILGPFFVWLLQKAFPSQSWITLINLPVLLGATSGIPPASALNYNSWIMVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVFLYFTVGLENKNVSWWGTIDYEHCDLASCPTAKGISVDGCPIF >itb15g03900.t1 pep chromosome:ASM357664v1:15:2470868:2471476:-1 gene:itb15g03900 transcript:itb15g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNLDDYLDYDIFYTVRPYPTASFDCAGISSAPSHIHVRFSHYTAENEEVESRTICLEDDLLAEGSEFDCWNFIYSELPLNWPIHGDTLQEIFEEVIEKARQFKCNLGVDIGSVLIPNLEDDFGVNGSDGGGSLVKSLKRKRIEEGGNCCVICLEELTTGRDVAVMPCGHHSFYDDCLSSWLERSPSCPLCRRKISDSSS >itb04g16950.t1 pep chromosome:ASM357664v1:4:19158961:19163910:-1 gene:itb04g16950 transcript:itb04g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISAGPTTVFIIGVSTNLAMFLMSNPHLKQNVEHIYVMGGSVRAAGQGGDIIGNIFTDLASNPYAEYNIFMDPFAAYQVIHSGIPVTLVPLDATNTIPITAEFFDNFERNQHTYESQYIFKSLKMARDTAFANDDQFYANYFMWDVFMCGVATSIMSKQAQNGEGQNEFAEMKYMNITVITSNKPYGVSDGSNPLFDGRKTPKLRLSRNEGEEGVPVLVAVKAKPNPDVNSPLNRAFYINFLDVINRNEQSSRFSFATEYHPYSYEQVVYKPDVWGKDVVFDMDMSAGDFLALFYLLKLPVEDMNLKAILVSPTGWANAATIDVIYDVLHMMGRDDIPVGLGDVFPMNIADPIIPKVGDCKYQKVIPHGSGGFIDSDTLYGLARDLPRSPRGYTAENCVKYGAPRDTDHPELRQPLALQVWESVVKSLHPKSKITILTNGPLTNIAKIVGAGENMSSVIQARSVLVAGDVSALKPKFAIKKIKVSATGQVVIDEKQGKAVKVLENVDALAYYHLFANTLESPRQQRSTALGPLPFLCSRNPHSLSQSHSSNCRIFNSLIHANGKPNLPISHLPFSRYRLLQSQLAIQVTTSVSSVR >itb11g10530.t1 pep chromosome:ASM357664v1:11:7515965:7516591:-1 gene:itb11g10530 transcript:itb11g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKDRLFFGCAASEEDGTVVVAGGHNGDNMSLKSTLAYDVARDTWTTLPDMSIEQNECRCVFHLGKFHILGGYNMDTQEDSKQPVETLDLVTRQWRVINDTVTDYAIISAQATYVEIDGVIYTIKGKRNVVALEDATWVFVSRVPNNTSRVAYVTGWQGKMMVIIENAENGRAKRAYVLELKTKKWTKVKIPSEFRGYVESGCCIHI >itb08g16430.t1 pep chromosome:ASM357664v1:8:18555042:18558733:-1 gene:itb08g16430 transcript:itb08g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLHATHALKLTNSTHCHKHSGSSVSFLSWRRALATSDDATLFPTHSITSVRGRNYRVPRIVCNAQAVNLAPGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFIFYSIHNCDKHPEVPLMEIKYCTEKFLRDSGLNHIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIALRNENINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRFTRQLTRLFEWTNDVADRLAFSEVLTSDIVFSVPMAETYSTLGVEAKDIVTLEKYLQDYFTNILKKLKDIKAQSKQTDIYF >itb08g02880.t1 pep chromosome:ASM357664v1:8:2449912:2452880:1 gene:itb08g02880 transcript:itb08g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQAFVVSHKEPQLLVPAKPTPHEIKELSDIDDQKGLRLHISMIMFYRANPLMKARDPVEAIRDALAEALVWYYPLAGRIIHGINEDKFMVDCNGEGILFVEADSNFSLEDLGDAIKPPCLYSKELLYQVSGSDGILGCPLMLVQVTRLICGGSVVAIRVNHVLTDGLGLAQFVKAVGELAQDASSPSTKPVWRRELLTAKHLPPPLQTTYDYPEYGIVSHKSIIDQDKLVSRSFFFGPKEMKAIRRKLPPQTKPRSKFDLITASIWICRTRALEFDADETVAVICAINVRDKGPPELRDGYYGNAVVTSGAVAKARRLLGLLGSPLEYAVELIEKAKSRVTEDYVRSVVNFLVSNGRPPLLRSRSSIAVTDLSRLGFDEMDFGWGKPVYGGTMDGGASATAITHARYRNSDGEDGVLVPVFLPPAAMKKFEEEMKKFTALDPREVIEIPSKSLLKSTL >itb09g13700.t1 pep chromosome:ASM357664v1:9:8971352:8975191:1 gene:itb09g13700 transcript:itb09g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRCLIWMLWIKPGTGELWDVVHIPEKGSSRDLIDSSLPLSHSPSVPLALSLRRLIRRPVALILCLSGLGHLASSSEVRVSHRARLPSSQSSTRRSLGLGLYM >itb12g07350.t1 pep chromosome:ASM357664v1:12:5504830:5510525:1 gene:itb12g07350 transcript:itb12g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTRRGGGWWSRSLLPTSKPSSLKQPRKSRKRASLKDFLLSNFIAIGLSFCVLLFLLTIYSYGVPKPLLSSHFRSTRTRFPRPRKPVYRKSPAGDAVLGAAVDITTKGLYDKIQFLDEDGGAWKQGWKVTYKGNEWDSEKLKIFVVPHSHNDPGWIYTVEEYYERQSRRILDTIVETLSKDVRRKFIWEEMSYLERWWRDTSAANKESFINLVQNGQLEIVGGGWVMNDEANSHYYAIIEQMTEGNMWLNETCGVIPKNSWVIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELALHKNLEYVWRQSWDAEETTDMFVHMMPFYSYDIPHTCGPEPAVCCQFDFARTYGFSYERCPWGQHPQETTPENVKERSLKLLDQYRKKSTLYRTNTLLVPLGDDFRYVSNDEAEAQFRNYQLLFDYINSNPSLNAEAKFGTLEDYYKTLREEADRVNYSRPNEIGSGEIGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRSAEMMMAFLLGNCQRAQCEKLPTGFSYKLTAARRNLALFQHHDGVTGTARDHVVKDYGMRMHMALQDLQIFMSKAIEVLLGIRHEKNDQNPSQFEPAQVRSKYDAQPVHKAIAAHEGTVQTLVIFNPLEQTRNEVVTVVVDRPDVTILDSNWTCVKSQISPEVHYSGEKMFSGKHRVYWKASVPALGLQTYYVANGFAGCEKAIPAQVKWFVPSASFSCPAPYTCSRMEGDEATIRNQHQTLTFSMKEGLLQRVSYTDGQHNVIGEEIAMYSSTGSGAYLFKPDGDAEPISLAGGSMVISEGHLVQEAYSFPKTAWDKSPISHSTRIYDCDNSVQGYIIEKEYHVELLDHNFNNKELIARYKTDTDNKRIFYSDLNGFQMSRRETYDKIPIQGNYYPMPSLAFMQASNGRRFSVHTRQSLGAASLKDGWLEIMLDRRLVRDDGRGLGQGVMDNRPMNVVFHILLESNISTTADPVAITHPLNPSLLSHLIGAHLNYPLLTFIAKKAQEISVQPPPRSFSPLAATLPCDLHILSFKVPRPLKYSQQSFEEPRFVLMFQRRHWDSSYCRKGRSECSSVADVPVNLFDMFKGLAVLNAKPTSLNLLHDDTEMLGYKGHFADGAREGHILISPMEVQAYKLDLRPN >itb14g03100.t1 pep chromosome:ASM357664v1:14:2782981:2783502:1 gene:itb14g03100 transcript:itb14g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASNAFIFVFLVIICTYIFKKLLQMFPQSRILICAVSVAAQLKWGWDCLLLQSLCQFPNKFNVVAGMSPENGGSEVGVRVFKGESDGAVECAVCLCKIEEGEEVRDLKCKHIFHRACLDRWLGTGRITCPLCRTHVKTPSRRFQDDLHHNQEVIVFDFCSHRRDRCTWWLR >itb10g14400.t1 pep chromosome:ASM357664v1:10:20735311:20739033:1 gene:itb10g14400 transcript:itb10g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSEWWLLSVAILILTTVSQTTQTGLKTQDGVKTEVFLSPKFELEPGSVSNKFYYDIDFPRGHIAIKEFVAEVVDEAGNSIPLHETYLHHWLVIRYFIRQGVKAPKYHANLGFQEPDYITVRNSGVCSALPQYFGLGSETRKTDTHVPDPYGIEVGNPADIPQGYEEKWMLNVHAIDTRGAEDRLGCTECRCSLYNVTEDESAKAIEDDYIGGLRCCYDGTRCRVKEGVQSIKRSLYLKYTVKYVDWEPSIVPVKIYIFDVTDTWKKLNDSTGYNSMHHCKIEYSVEPCSTAAAKSGCVHTKRLSVSFPSGGDVIYGVAHQHTGGTGSTLYGEDGRAICSSLPIYGEGMEPGNETGYIVGMTTCYPQPGTVKIGNGESLTIVSNYSSHQSHTGVMGLFYILVADPVPESHSFLHSQIKGGEMMILPNAILILAFVGIAVLGVAVIMSQRRGGRENDYESIVM >itb10g14400.t2 pep chromosome:ASM357664v1:10:20735307:20738993:1 gene:itb10g14400 transcript:itb10g14400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSEWWLLSVAILILTTVSQTTQTGLKTQDGVKTEVFLSPKFELEPGSVSNKFYYDIDFPRGHIAIKEFVAEVVDEAGNSIPLHETYLHHWLVIRYFIRQGVKAPKYHANLGFQEPDYITVRNSGVCSALPQYFGLGSETRKTDTHVPDPYGIEVGNPADIPQGYEEKWMLNVHAIDTRGAEDRLGCTECRCSLYNVTEDESAKAIEDDYIGGLRCCYDGTRCRVKEGVQSIKRSLYLKYTVKYVDWEPSIVPVKIYIFDVTDTWKKLNDSTGYNSMHHCKIEYSVEPCSTAAAKSGCVHTKRLSVSFPSGGDVIYGVAHQHTGGTGSTLYGEDGRAICSSLPIYGEGMEPGNETGYIVGMTTCYPQPGTVKIGNGESLTIVSNYSSHQSHTGVMGLFYILVADPVPESHSFLHSQIKGGEMMILPNAILILAFVGIAVLGVAVIMSQRRGGRENDYESIVM >itb03g14360.t1 pep chromosome:ASM357664v1:3:14114290:14116046:1 gene:itb03g14360 transcript:itb03g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVEMPELISALGSVLQMTAAANDLNGPGRLQKMSSVFHSLTRPGISVESYLERIFKYANCSHSCFVVAYIYLHRFSRRQPLLPINSFNVHRLLIASVLVSVKFMDDVFYNNAYYAKVGGIGTMEMNILEMDFLFGIGFDLNVTPSTFHTYSSHFIAQIQAQRPHPFLGVVSNHNLQCRIDEDECIDHHHQHHYHNKLAVAYSAN >itb06g18090.t1 pep chromosome:ASM357664v1:6:21839074:21844005:-1 gene:itb06g18090 transcript:itb06g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSIAVLSILLLFLISFQTALLADTKSKPAAPADEEEDLSFLEEDDAVSSHSDPYGDLPDFENYDDLEDDHADTDHGYDDSYESPAVDEKDVVVLKEGNFSDFIAKNKYVMVEFYAPWCGHCLALAPEYAAAATELKGEEVTLAKVDATEEAELAQKYDVQGFPTVYFFVDGVHKPYNGQRSKDAIVTWIKKKTGPALSNITTTEDAERILESESKVVLGFFDSLVGSHSEELGAAAKLEDDVNFYQTANPDVAKLFHLDPQAKRPALVLIKKETEKINHFGGQFTKSAIAEFVYENKLPLVNYFTREGAPEIFENPIKKQLILFATSNDSDKFLPSFQEAAKSFKGKLICVFVEMDNEDYGKAVSDYFGVTGDAPQVIAYTGNDDGRKFLLEDELTSINIKSFGEKFLEDNLKPFYKSDPIPEKNDGDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQSLEPIYNKLGKHLKGIDSLVIAKMDGTTNEHPRAKSDGFPTLLFFPAGNKSFEPLTVDTDRTVVAFYKFLKKHASIPFKIQKPASSQKSETEMPTSQETTTTATGDVKDEL >itb09g17590.t1 pep chromosome:ASM357664v1:9:12919099:12922493:1 gene:itb09g17590 transcript:itb09g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKQTKRGINAFEAPKGKSPIIRSLFSPPQLHVSIAFYERHETAGGGFSIFLSASDFNLKIICIGAFLGLHLLSPKIEAMPKGVPCKFFAQGGCLKGEHCEFLHELRTPPNNICTYYQKGICAFGSRCRYEHVKTSQLQSSASSFSGHRKPNWNQKLGPQDSLQSDGIAEAKIHNPADQSICSFAAAGNCLRGEKCSQIHGDLCPTCGKQCLHPFKPQDREEHLKACKKRQWHLEILKHSQEIECSVCLERVLSKPTEAERKFGILSECDHPFCIVCIRNWRSSSPSSGLDVNSALRACPICRKRSYFVIPSVIWYNTKEEKQEIIDSYKAKLRTIDCKHFDFGNGTCPFGTSCFYKHTVKPGSMMWNRALMPDTFGSRYPDMDEVGPSSDTDVDGLANLFEHLYMPHRPGGLQEQEDSSDEELYEFAEAMSFLLLLHGSDTSDFSSDEE >itb05g19120.t1 pep chromosome:ASM357664v1:5:25718385:25720950:-1 gene:itb05g19120 transcript:itb05g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEKKHLQCCEPEFFIYIAVSLLLVVFAGMMSGLTLGLMSMSIVDLEVTAKSGKPRDRLHASKILPVVKKQHLLLCTLLISNAAAMEALPIFLDDLVPDLVAILLSVTLILLFGEIIPQSVCSRYGLAIGAAVAPAVRVLMWFWFPVAYPISKLLDCILGHEHKSLFRRAELKTLVDLHGNEAGKGGELSIDETTIIAGALELAEKTAKDAMTPISETFTIDINAILDRNTINLILEKGHSRVPVYYEQPTNLVGLILAKNLVTINPADEVPVKNVTIRRLPRVSETMPLYDILNEFQKGLSHMAVVVKCQNDTMEKRSSSLSSRNVMIDIPGGSFPQKRSLRARRSLRRLRGLSANANVSVSRREYSKSRRWSEEFHPAVLPVHEKPVLTLTAEEVVGIITMEDVIEQLLKEEIYDETDDHDEAF >itb13g24860.t1 pep chromosome:ASM357664v1:13:30427119:30428071:-1 gene:itb13g24860 transcript:itb13g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTDAATGPLGNNGGNFWSFRPVNMINQIVISSGGPGNNNPIGITFSSTNNDGSKDTLTIGGGGTDTTVIRNDMINIDCADEYLTEISGTFGPFIDMSFNVLRSIKFTTNVRTFGPYGPNVGTPFNFQAQNGSKIVGFFGRAGFYVDAIGTYNAP >itb08g07590.t3 pep chromosome:ASM357664v1:8:6503695:6507968:1 gene:itb08g07590 transcript:itb08g07590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVHGRVEGINPSGKALLIGSHLDTVIDAGYFDGALGIITAISALKVLKTTGRLEKLSKPVEVIAFSDEEGVRFQSTFLGSAAIAGTLPVTTLHIHDKGGVTVQSALRKNSLDITEENLLKLKYDQESVSDYIEVHIEQGPVLENLGLPLGLVKGIAGQTRLKVTVRGTQGHAGTVPMAMRQDPMVASAELIVLLENLCKQPEYYLSYDDECPTSTVKSLAGSLVCTVGEISTWPSASNVIPGQVKFTVDIRAMDDTGREAIIYEFSNRMHQLCDKRNVFCVIERKHDANAVVCDPELSSQLKSAAHTAFTRITGETTDDDVPVLMSGAGHDAMAMSRLTKVGMLFVRCRGGISHSPEEHVLDDDVWAAGMAIVAFLETLL >itb08g07590.t4 pep chromosome:ASM357664v1:8:6503987:6507968:1 gene:itb08g07590 transcript:itb08g07590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGLRTWIDQMGNVHGRVEGINPSGKALLIGSHLDTVIDAGYFDGALGIITAISALKVLKTTGRLEKLSKPVEVIAFSDEEGVRFQSTFLGSAAIAGTLPVTTLHIHDKGGVTVQSALRKNSLDITEENLLKLKYDQESVSDYIEVHIEQGPVLENLGLPLGLVKGIAGQTRLKVTVRGTQGHAGTVPMAMRQDPMVASAELIVLLENLCKQPEYYLSYDDECPTSTVKSLAGSLVCTVGEISTWPSASNVIPGQVKFTVDIRAMDDTGREAIIYEFSNRMHQLCDKRNVFCVIERKHDANAVVCDPELSSQLKSAAHTAFTRITGETTDDDVPVLMSGAGHDAMAMSRLTKVGMLFVRCRGGISHSPEEHVLDDDVWAAGMAIVAFLETLL >itb08g07590.t2 pep chromosome:ASM357664v1:8:6503987:6507968:1 gene:itb08g07590 transcript:itb08g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGLRTWIDQMGNVHGRVEGINPSGKALLIGSHLDTVIDAGYFDGALGIITAISALKVLKTTGRLEKLSKPVEVIAFSDEEGVRFQSTFLGSAAIAGTLPVTTLHIHDKGGVTVQSALRKNSLDITEENLLKLKYDQESVSDYIEVHIEQGPVLENLGLPLGLVKGIAGQTRLKVTVRGTQGHAGTVPMAMRQDPMVASAELIVLLENLCKQPEYYLSYDDECPTSTVKSLAGSLVCTVGEISTWPSASNVIPGQVKFTVDIRAMDDTGREAIIYEFSNRMHQLCDKRNVFCVIERKHDANAVVCDPELSSQLKSAAHTAFTRITGETTDDDVPVLMSGAGHDAMAMSRLTKVGMLFVRCRGGISHSPEEHVLDDDVWAAGMAIVAFLETLL >itb08g07590.t1 pep chromosome:ASM357664v1:8:6503589:6507968:1 gene:itb08g07590 transcript:itb08g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAMPSSSFSRQSHTFLKPHAIFPLFLLFLCPVVAFSGSENEVGIKYDLFPQILKEEAVSRLYELGKVSDADNYLERTFQSPASVRAGNRIRSWMEDAGLRTWIDQMGNVHGRVEGINPSGKALLIGSHLDTVIDAGYFDGALGIITAISALKVLKTTGRLEKLSKPVEVIAFSDEEGVRFQSTFLGSAAIAGTLPVTTLHIHDKGGVTVQSALRKNSLDITEENLLKLKYDQESVSDYIEVHIEQGPVLENLGLPLGLVKGIAGQTRLKVTVRGTQGHAGTVPMAMRQDPMVASAELIVLLENLCKQPEYYLSYDDECPTSTVKSLAGSLVCTVGEISTWPSASNVIPGQVKFTVDIRAMDDTGREAIIYEFSNRMHQLCDKRNVFCVIERKHDANAVVCDPELSSQLKSAAHTAFTRITGETTDDDVPVLMSGAGHDAMAMSRLTKVGMLFVRCRGGISHSPEEHVLDDDVWAAGMAIVAFLETLL >itb04g07470.t5 pep chromosome:ASM357664v1:4:5076759:5079227:1 gene:itb04g07470 transcript:itb04g07470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECTETSVVNPNPNSSAQNWWHEIMHANSLCSWTTPGNGGGGGGGYGDGVSLVASSNNNFPWQHSSNNHSSESSGEDDVSISNQSVLTAESSRQLVDGGAGALAGELIGETTSDQNHLWSHFLLSGGSTRGGMEYKSHHPQQVVGEGMLNLSSSSSTNLDIMSNCDDYLKKMGTAAYEFNLSTAALKDPGGFINHPFGGGGGHETQRPPAGMLDHHHHQPPPPPMFAAGHPCGQLHDVSCICSNTNHNNNNNNNPSRELNDFSASFQSYFSKPLLDINHEYKPTLKTLQYLSSSSPAGYITKKNAAAGLRPSPVQSRASTCSTLTRSHGRGQGIVGNEGRKKKTEDNAEKMNLKRPKNNDNPASKVI >itb04g07470.t1 pep chromosome:ASM357664v1:4:5076770:5080771:1 gene:itb04g07470 transcript:itb04g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECTETSVVNPNPNSSAQNWWHEIMHANSLCSWTTPGNGGGGGGGYGDGVSLVASSNNNFPWQHSSNNHSSESSGEDDVSISNQSVLTAESSRQLVDGGAGALAGELIGETTSDQNHLWSHFLFGGSTRGGMEYKSHHPQQVVGEGMLNLSSSSSTNLDIMSNCDDYLKKMGTAAYEFNLSTAALKDPGGFINHPFGGGGGHETQRPPAGMLDHHHHQPPPPPMFAAGHPCGQLHDVSCICSNTNHNNNNNNNPSRELNDFSASFQSYFSKPLLDINHEYKPTLKTLQYLSSSSPAGYITKKNAAAGLRPSPVQSRASTCSTLTRSHGRGQGIVGNEGRKKKTEDNAEKMNLKRPKNNDNPASKMQVPKVKLADKITALQQIVSPFGKTDTASVLWEAIGHIRFLQEQIQLLTNAYAKSNARKDVPWGGLLVDGRKDGGGDAKVVDLRSRGLCLVPISYTPQIYRESNASDYLIPTYRGCLYG >itb04g07470.t2 pep chromosome:ASM357664v1:4:5076759:5080806:1 gene:itb04g07470 transcript:itb04g07470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECTETSVVNPNPNSSAQNWWHEIMHANSLCSWTTPGNGGGGGGGYGDGVSLVASSNNNFPWQHSSNNHSSESSGEDDVSISNQSVLTAESSRQLVDGGAGALAGELIGETTSDQNHLWSHFLLSGGSTRGGMEYKSHHPQQVVGEGMLNLSSSSSTNLDIMSNCDDYLKKMGTAAYEFNLSTAALKDPGGFINHPFGGGGGHETQRPPAGMLDHHHHQPPPPPMFAAGHPCGQLHDVSCICSNTNHNNNNNNNPSRELNDFSASFQSYFSKPLLDINHEYKPTLKTLQYLSSSSPAGYITKKNAAAGLRPSPVQSRASTCSTLTRSHGRGQGIVGNEGRKKKTEDNAEKMNLKRPKNNDNPASKMQVPKVKLADKITALQQIVSPFGKTDTASVLWEAIGHIRFLQEQIQLLTNAYAKSNARKDVPWGGLLVDGRKDGGGDAKVVDLRSRGLCLVPISYTPQIYRESNASDYLIPTYRGCLYG >itb04g07470.t3 pep chromosome:ASM357664v1:4:5076648:5080797:1 gene:itb04g07470 transcript:itb04g07470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECTETSVVNPNPNSSAQNWWHEIMHANSLCSWTTPGNGGGGGGGYGDGVSLVASSNNNFPWQHSSNNHSSESSGEDDVSISNQSVLTAESSRQLVDGGAGALAGELIGETTSDQNHLWSHFLLSGGSTRGGMEYKSHHPQQVVGEGMLNLSSSSSTNLDIMSNCDDYLKKMGTAAYEFNLSTAALKDPGGFINHPFGGGGGHETQRPPAGMLDHHHHQPPPPPMFAAGHPCGQLHDVSCICSNTNHNNNNNNNPSRELNDFSASFQSYFSKPLLDINHEYKPTLKTLQYLSSSSPAGYITKKNAAAGLRPSPSRASTCSTLTRSHGRGQGIVGNEGRKKKTEDNAEKMNLKRPKNNDNPASKVPKVKLADKITALQQIVSPFGKTDTASVLWEAIGHIRFLQEQIQLLTNAYAKSNARKDVPWGGLLVDGRKDGGGDAKVVDLRSRGLCLVPISYTPQIYRESNASDYLIPTYRGCLYG >itb04g07470.t4 pep chromosome:ASM357664v1:4:5076648:5080797:1 gene:itb04g07470 transcript:itb04g07470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECTETSVVNPNPNSSAQNWWHEIMHANSLCSWTTPGNGGGGGGGYGDGVSLVASSNNNFPWQHSSNNHSSESSGEDDVSISNQSVLTAESSRQLVDGGAGALAGELIGETTSDQNHLWSHFLLSGGSTRGGMEYKSHHPQQVVGEGMLNLSSSSSTNLDIMSNCDDYLKKMGTAAYEFNLSTAALKDPGGFINHPFGGGGGHETQRPPAGMLDHHHHQPPPPPMFAAGHPCGQLHDVSCICSNTNHNNNNNNNPSRELNDFSASFQSYFSKPLLDINHEYKPTLKTLQYLSSSSPAGYITKKNAAAGLRPSPVQSRASTCSTLTRSHGRGQGIVGNEGRKKKTEDNAEKMNLKRPKNNDNPASKVPKVKLADKITALQQIVSPFGKTDTASVLWEAIGHIRFLQEQIQLLTNAYAKSNARKDVPWGGLLVDGRKDGGGDAKVVDLRSRGLCLVPISYTPQIYRESNASDYLIPTYRGCLYG >itb12g05620.t1 pep chromosome:ASM357664v1:12:4157606:4159625:1 gene:itb12g05620 transcript:itb12g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPCAACKLQRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKLLNELHTSQREDAVNSLAYEAECRLRDPVYGCVGLISILQQKLKQVQHDLLSSKRELANYIGPAAMQPLVQHPGFLQHPNNPSNYLVGLPTVVPHAAAQLIAHDSLQQQQQQPFIEPYHQLAGVVRTYDQQQQQQQQQHELMTFNSGFESGGPVNGAGMFHQMSSAASMPPYDTPYAMQQPGQEHFDPNHDQIQLQSQPPMQQQQQQQQQPPQPQERPKNEEGRSVDPSC >itb05g03260.t1 pep chromosome:ASM357664v1:5:2696778:2700226:-1 gene:itb05g03260 transcript:itb05g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVKIRRLEKQREAERRKIQELKNKTASSIGQPGLLQFGSSTSEILETTFKKETVGLVTREEYVEKRVNIRTKIEEEEKEKLQKLQQEEEELLLQKLKKRKIKADPRLSFSDDFDNGNEEEDEDNKIKESEALARRKFGKDPTVETSFLPDSEREAEEQAERERLKKQWLREQQLIKNEPLEITYSYWDGTGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHTFYELIVNKARGKSGPLFHFDVHEDVRTTADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPNKKFDRYTIHGN >itb12g01700.t1 pep chromosome:ASM357664v1:12:1133015:1136283:-1 gene:itb12g01700 transcript:itb12g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDKICKEEVNSFILFDPEVIKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQPYEDPIEELLYAELEFQRRPNPRDHMCWWGPVNASREFKEMVKALHDAGIEVILDVVYNHTNEADDKNPYTTSFRGIDNKVFGTLSELLQTIEIINTVQKVIAGKAQDMVETVDDYDEYCHYVAGLVGLGLSKLFHASGTEDLALDFLSNYAATGQRHS >itb15g11940.t1 pep chromosome:ASM357664v1:15:9810726:9814646:-1 gene:itb15g11940 transcript:itb15g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMSTLLSLTSHPPKPFEIPNSEPLISLNPNSLSRRLLLFTTSFSLNSFLFLSLQYPLPKSSAETPQPPSSSNSFLSGIANTKSWLQFYGDGFAIRVPPQFEDITEPEDFNAGLSLYGDKAKPKTFAARFASPDGSEVVSVVIRPTNQLKITFLEAQDISDLGSLKQAARIFVPGGATLYSARTIKIKEDEGFRTYYFYEFGRDEQHVALVASVNSGKAFIAGATAPQDKWNDDGIKLRSAAVSLTVL >itb15g11940.t2 pep chromosome:ASM357664v1:15:9810726:9814646:-1 gene:itb15g11940 transcript:itb15g11940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMSTLLSLTSHPPKPFEIPNSEPLISLNPNSLSRRLLLFTTSFSLNSFLFLSLQYPLPKSSAETPQPPSSSNSFLSGIANTKSWLQFYGDGFAIRVPPQFEDITEPEDFNAGLSLYGDKAKPKTFAARFASPDGSEVVSVVIRPTNQLKITFLEAQDISDLGSLKQAARIFVPGGATLYSARTIKIKEDEGFSVSLLL >itb01g33900.t1 pep chromosome:ASM357664v1:1:36825994:36828522:-1 gene:itb01g33900 transcript:itb01g33900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPGEMDKANRVFTHSQLPYLFKLIDPLLCSPIVGDVAYDALVKLSNCVASPLSNWALEIATALRVIRTEDANVLWALFPSASEEANENLALSGVYAKYVHVRVACLNAVKCVPALAGHSIPEIIQVATSIWLAFHADPNVDVRGRMINAGLDRHSSQSSISWIDSTSAGRECYKEFLRYLLLWLHF >itb07g01680.t1 pep chromosome:ASM357664v1:7:1012505:1016421:-1 gene:itb07g01680 transcript:itb07g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVNAPGRNHLFVPGPVNIPDQVIRAMTRNNEDYRSPAVPALTKTLLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLDVLASKLAEDTAHTIKAICIVHNETATGVTNNLATVRKLLDHYQHPALLLVDGVSSICAIDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAGLDLLFEEGLDNVIARHTRLGTATRLAVQAWGLKNCTQKEEWFSDTVTAVVVPSYIDSSEVVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMVLKDIGYPVKLGSGVAAASAYLQNTTPMIPSRI >itb05g15000.t1 pep chromosome:ASM357664v1:5:22325106:22329724:1 gene:itb05g15000 transcript:itb05g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPNNPQFYYTPTSKPNPTNSNFSSLKRTKLSYLALVAILCGLSYLIGSWNSGGGGGGGSAAASSTSAVIAAATAAVPCIFQKNATTLFTAAESRALDFGTHHATDVAGGVGSPENDAVKVYPPCDVRYSEYTPCEDPKRSLRFNRDRLIYRERHCPEKSEVLKCRIPAPFGYKNPFKWPASRDLAWYANVPHKELTVEKAVQNWIRFEGNRFKFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLMSRNIVAMSFAPRDTHEAQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGQYDGSYLIEVDRVLRPGGFWILSGPPIRWRKYWQGWDRTREDLNAEQTQIEKVAKRLCWKKFVEKDDIAIWQKPFNHLECKEHRKTWKNPPMCPAGDPDKAWYTDLQTCLTPLPKVSGGKEVAGGKLEKWPKRLHAIPPRIATGTIEGVNSEIFKQDSQLWKRRVSYYRSVNVQLGKAGRYRNVLDMNGFLGGFAASLIDQPLWVMNVVPVEAKVNTLGVIYERGLIGTYQSWCEAMSTYPRTYDLIHADSIFTLYKDRCEMEDIMLEMDRILRPEGSVIIREDVDLLNKVKRIADGLKWESQIVDHEDGPLVREKLLFAVKSYWTAPAASTQ >itb01g09880.t1 pep chromosome:ASM357664v1:1:8173309:8179926:-1 gene:itb01g09880 transcript:itb01g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 6 [Source:Projected from Arabidopsis thaliana (AT4G16350) UniProtKB/Swiss-Prot;Acc:Q9C5P6] MAWSVLKIETMLPCLDGVKHLFASVANCCDTDLAKQPRGLQNPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIEDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >itb12g23980.t1 pep chromosome:ASM357664v1:12:25618409:25620602:1 gene:itb12g23980 transcript:itb12g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTLFALFFFFAGIITTPTSAHEKHFIKHLPAPPGEAPKQYFEVTRPLAVTNQPPSCTLPLLTHSFCDTKGQPPVTAEYSPPAECCWTDVVLEFQAACKSDQEDRIAAVWLDGVELLRTTTPQPGSSGVYWKVSKDVTKYSSLLNSKEISLAVMLENSVNDVFTGAFDVNVTFVYYDSKGAAALGNCVRSSETVEENPIAMTVDKPMNPPVVPDDGPADSIIPISAKGEHGFWFSIQTDSQVVSKEVTIPQNAYKATVEIYATSHGNDEFWYSHPPDSYAKTNNLNAENGNGAYREVLVTIDGSLVGALVPFPVIYAGGINPLFWDPVVSIGAFDVPSYEIDLTPFLALLLDGKPHKIGFSVANSVPYWLVGGNLHLWLEHGTEKIEAGVIDTEAPKFKLELSTEFKGLDGSFKVEMERNSEYAGWVKSKKGNLTYSIKQELKLENEIKYENDARHKKMEQKIKDEVETRVTGERGKQISQIKIKRKFPLKLVTKKEIGNEANSSSLRTELENEWSVKVSGDFNSKVSNSQECSGMLGVEGNFVLSGTATMEQSYTYKDDNNCYSRKVSVADMNLVDDKANLLCTSSQPSSQLGRRLL >itb12g25650.t1 pep chromosome:ASM357664v1:12:26830977:26833209:1 gene:itb12g25650 transcript:itb12g25650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDHGGDFREKVWTMTGGPNCRPRYWKRNTAIAMAGIVLICIPIAMKSAELEQRPHNPVRPIPSQLWCKNFGTKEY >itb13g26730.t1 pep chromosome:ASM357664v1:13:31874703:31875927:1 gene:itb13g26730 transcript:itb13g26730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMSVQANIKGTFACPSSLFSKKPYLQTSTTPFSVKPRAPPATIILEAKTTPIRRDFKISATAALETAVSAPTQKSGAGVKKVGKTKLVLKFVWMEKNIGLALDQEIAGHGTVPLSPYFFWPRKDAWEELKTTLESKPWISQKKMIILLNQATDIINLWQQSGVNISP >itb12g02800.t1 pep chromosome:ASM357664v1:12:1829063:1832889:-1 gene:itb12g02800 transcript:itb12g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIETAEEISAPLIVQKREESPSSSPADGENSPVEQVALTVPVTDDPTLPAVTFRTWLLGALACFLLSFLNQFFWYRREPLTISSISAQIAVVPLGHFMAKVVTRRVFFKGRKWEFTLNPGPFNVKEHVLITIFANCGAANPYSVHIVTAVKVFYRRALTFWVALTVVVTTQVLGFGWAGIFRRFLVDPASMWWPQNLVQVSLFRAFHDKEERAKGGLTRNQFFLMAFICSFGYYVFPGYIFPMLSSISWLCWLYPGSVLAQQLGSGLKGLGIGAVGLDWSSISSYIGSPLVSPWFATVNVAIGYVLILYVVTPVMYWNNVYNAKNFPIFSDGLFKSNGEEYNISGIIDQDFHIDMEAYEQEGHLYLSTIFTMTYAFSFACFVATIVHVALFHGPDLWKLSKSAFQEKTMDVHTKLMKQYEEVPEWWFTGILVVNISATVLICEWFKNQLQLPWWGVLLACGFAFVFTLPIGIITATTNQTPGLNVIAEYIIGYLYPGYPVANMCFKVYGYISMKQALTFLQDLKLGHYMKIPPRAMFMAQVVGTLVSALVHLGTAWWLMETVPYICDRGQLPPGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGYYSAINWSFLFGAVAPVVVWVASKAFPNQHWIRLIIVPVLLSGTINMPPATAVNYNRLAFMGVLLYLCLEMEDVSLDWWGSRVDGCPLASCPTAQGVLIDGCPVF >itb12g02800.t2 pep chromosome:ASM357664v1:12:1829063:1832889:-1 gene:itb12g02800 transcript:itb12g02800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIETAEEISAPLIVQKREESPSSSPADGENSPVEQVALTVPVTDDPTLPAVTFRTWLLGALACFLLSFLNQFFWYRREPLTISSISAQIAVVPLGHFMAKVVTRRVFFKGRKWEFTLNPGPFNVKEHVLITIFANCGAANPYSVHIVTAVKVFYRRALTFWVALTVVVTTQVLGFGWAGIFRRFLVDPASMWWPQNLVQVSLFRAFHDKEERAKGGLTRNQFFLMAFICSFGYYVFPGYIFPMLSSISWLCWLYPGSVLAQQLGSGLKGLGIGAVGLDWSSISSYIGSPLVSPWFATVNVAIGYVLILYVVTPVMYWNNVYNAKNFPIFSDGLFKSNGEEYNISGIIDQDFHIDMEAYEQEGHLYLSTIFTMTYAFSFACFVATIVHVALFHGPDLWKLSKSAFQEKTMDVHTKLMKQYEEVPEWWFTGILVVNISATVLICEWFKNQLQLPWWGVLLACGFAFVFTLPIGIITATTNQTPGLNVIAEYIIGYLYPGYPVANMCFKVYGYISMKQALTFLQDLKLGHYMKIPPRAMFMAQVVGTLVSALVHLGTAWWLMETVPYICDRGQLPPGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGYYSAINWSFLFGAVAPVVVWVASKAFPNQHWIRLIIVPVLLSGTINMPPATAVNYNSWVIIAFASGFIAYRYYRNWWSRHNYVLSGALDAGLAFMGVLLYLCLEMEDVSLDWWGSRVDGCPLASCPTAQGVLIDGCPVF >itb02g00380.t1 pep chromosome:ASM357664v1:2:286957:287704:-1 gene:itb02g00380 transcript:itb02g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICKTMLVFFLVVSSTLLSALAASRNLKEISSENIKAAEEDEALMDLMSGGVLEEKRYDEVLGRGRMNMETIINDYSGTGANTHHDPKPPGSND >itb13g22040.t1 pep chromosome:ASM357664v1:13:28452357:28455258:1 gene:itb13g22040 transcript:itb13g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITHKTVGVNGIKMHIAELGEGPLVLFLHGFPELWFSWRHQILFLADHGYRAVAPDLRGYGETTGAPNNDSSKFTVFHVVGDLIELLQSIAPGEDKVFVVGHDWGAIIAWHLCLFRPDKVKALVNLSVHFLRRDPQQDLVEIMRDMYGDDHYVVRFQVPGEIEAEFAPLGVKTCLRDFFTYRKPRPLYFPKGEGFSANTDGSTALPAWLPEKDLDYFVSRYEKTGFTGGVNYYRALNLDWELTASWSEAKVMVPTKFIVGDLDLVYHIKGAKEYIHDGGFKNDVPLLEDVVVLEGVAHFINQEAHQEVNNHIYNFIKKF >itb03g25940.t1 pep chromosome:ASM357664v1:3:25333787:25337938:-1 gene:itb03g25940 transcript:itb03g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTAAAIGLGAGERLLSSSFSYSDVAEKLSCSSDFTFPCNQVVYVKSVIIAKKSSNYSPGSLSSRRIRSIKALKEHVDIALDTPNMEPWDQRFSELLNESSDGVDHVEALLLLQKSMLEKQWNLSAEKMITYVPKEDNSKKVQVTCSGTSARQRRIGSRQKVVDRKSPVIRISTSKRGRSIIGTEVLHNRLIKGYVKGVVSEELLTHTEVVQLSKKIKLGLCLEDHKSRLKDRLGCEPSDEQIAISLRTSRADLQATIMECSLAREKLAMSNIRLVMSIAQRYDNMGAEMADLIQGGLIGLLRGIEKFDPSKGFRISTYVYWWIRQGVSKALADNSRMLRLPNHVHERLSLIRNAKKRLEEKGITPSLERIAESLNMSQKKVRNATEAISRVYSLDREAFPSLNGLPGETLHSYIADNHLENNPWHGVDEWALKDEVKNLICTTLREREGEIIRLYYGIVDNECLTWEDISRRIGLSRERVRQVGLVALEKLKHAARRRKLEAMLIKQ >itb03g25940.t3 pep chromosome:ASM357664v1:3:25333787:25337856:-1 gene:itb03g25940 transcript:itb03g25940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTAAAIGLGAGERLLSSSFSYSDVAEKLSCSSDFTFPCNQVVYVKSVIIAKKSSNYSPGSLSSRRIRSIKALKEHVDIALDTPNMEPWDQRFSELLNESSDGVDHVEALLLLQKSMLEKQWNLSAEKMITYVPKEDNSKKVQVTCSGTSARQRRIGSRQKVVDRKSPVIRISTSKRGRSIIGTEVLHNRLIKGYVKGVVSEELLTHTEVVQLSKKIKLGLCLEDHKSRLKDRLGCEPSDEQIAISLRTSRADLQATIMECSLAREKLAMSNIRLVMSIAQRYDNMGAEMADLIQGGLIGLLRGIEKFDPSKGFRISTYVYWWIRQKNTIVVICPVSCLKRKFHYLFALYQGVSKALADNSRMLRLPNHVHERLSLIRNAKKRLEEKGITPSLERIAESLNMSQKKVRNATEAISRVYSLDREAFPSLNGLPGETLHSYIADNHLENNPWHGVDEWALKDEVKNLICTTLREREGEIIRLYYGIVDNECLTWEDISRRIGLSRERVRQVGLVALEKLKHAARRRKLEAMLIKQ >itb03g25940.t2 pep chromosome:ASM357664v1:3:25333793:25337868:-1 gene:itb03g25940 transcript:itb03g25940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTAAAIGLGAGERLLSSSFSYSDVAEKLSCSSDFTFPCNQVVYVKSVIIAKKSSNYSPGSLSSRRIRSIKALKEHVDIALDTPNMEPWDQRFSELLNESSDGVDHVEALLLLQKSMLEKQWNLSAEKMITYVPKEDNSKKVQVTCSGTSARQRRIGSRQKVVDRKSPVIRISTSKRGRSIIGTEVLHNRLIKGYVKGVVSEELLTHTEVVQLSKKIKLGLCLEDHKSRLKDRLGCEPSDEQIAISLRTSRADLQATIMECSLAREKLAMSNIRLVMSIAQRYDNMGAEMADLIQGGLIGLLRGIEKFDPSKGFRISTYVYWWIRQGVSKALADNSRMLRLPNHVHERLSLIRNAKKRLEEKGITPSLERIAESLNMSQKKVRNATEAISRVYSLDREAFPSLNGLPGETLHSYIADNHLENNPWHGVDEWALKDEVKNLICTTLREREGEIIRLYYGIVDNECLTWEDISRRIGLSRERVRQVGLVALEKLKHAARRRKLEAMLIKQ >itb04g19380.t1 pep chromosome:ASM357664v1:4:23749920:23751594:1 gene:itb04g19380 transcript:itb04g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEQLVGAGGVGPMYVQMQSEPSSSPSMTSSLLTSHHQLQQCSEAARIFDELPKATIVQVSRPDVADISPMLLTYTIEFKYKQFKWQLVKKASQVFYLHFALKRRLFIEEIHEKQEQVKEWLQNLGIGDIIPVMQDDDEPDDETLPMRTDESARNRWFFVQRCSI >itb10g03520.t1 pep chromosome:ASM357664v1:10:3260091:3264865:1 gene:itb10g03520 transcript:itb10g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSVIVLFLVILNVLAAASSAVERKVYVVYLGEHSGGKSFKEIEDHHCSFLLSVKGSKEEATASLVHSYKNVINGFSALLTQEEADRISEMEGVISVFHSNPTEIKPHTTRSWDFVNLLESINNGNSIRGGEDLLSKANGGKDVIVGIMDSGVWPESPSFSDEGMEPIPSSWKGICQEGVAFNSSHCNRKLIGARYYLKSYEVNFGRLNESRDFRSPRDVDGHGTHTASTVGGRRVPNAAALGGFGNGTASGGAPNVRLAIYKVCWPIPDQTLAERNTCADDDIIAAFDDAISDGVHVISLSLGSIPKGQYYTQNGIAIGALHAVKRNIVVACSAGNNGPELSTVGNVAPWIITVGASSTDRVFSSPLKLGNGMIIEGQTVTPMRRRAMLPLVYAGNVEIPGTTNSTTTGLCRPGTLSRNLVKGKIVVCRSFPDILASQEVQRAGGAATILGNQYNEIQVDSFLHPTTVVFSYGLIAIVKYIRNDENPMATLLPGETVLGTKPAPVMAPFTSVGPNIIEPNILKPDITAPGLNILAAWSEASSPTKFPFDRRVVKYNIVSGTSMSCPHVSAIAALLKAIHPDWSSAAIRSAIMTTATTNNLLGAPIVNSTGYLATPFEYGAGHILPSKAADPGLVYDTTYNDYLLYLCNTGVALDSSFKCPKNTPSASDLNYPSLSISNLQGSMTVKRTVTNVGNGNSTYVVKVAPPPGYVVAISPTTLRFSQHGEKQSFNVTIRTNGVGKRNGFAFGGYSWSDGAHVVSSPIAVSSS >itb01g22170.t1 pep chromosome:ASM357664v1:1:28109567:28112515:-1 gene:itb01g22170 transcript:itb01g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHGSLSTKFHVLSLLIIMLTFLGFGDGLSKIDQARGLMSLRRAKMHTKPISVNNEPWEEANTVGEEEMVTSFSDVGSMEDDLIAGGLPGQPSNVKFKQYAGYVDVDKMNGRSLFYYFAESAENSATKPLILWLNGGPGCSSLGMGAFVEVGPFGVNPDGKTLYSRRFAWNRVANTLFLESPAGVGFSYSNTSSDYGKSGDRRTAEDAYKFLVNWFKRFPHYKTRDFYIMGESYAGFYIPELADIVVKRNMMPGATSKIQLKGVMIGNGIMNDATDMRGHYDYFWSHALISDETHRGLVEQCLGNVSKTCEDFEIAAGSEVGGIDYYNIYGPLCLDSKNSRKVQRHLEFDPCQGNYVHTYLNLHMVQEALHANRTKLPYTWELCSDVISNWKDSPSTMYPIYKRLITSGLEILLFSGDVDSVVSVTSSRYSLNAMNLKVIKSWHPWKDGIKEVGGYKVVYEGLTFATVRGAGHEVPQFKPRRAFALLEMFLANNHH >itb04g04590.t2 pep chromosome:ASM357664v1:4:2853542:2858609:1 gene:itb04g04590 transcript:itb04g04590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRLSGYAQLYNYSLENTEHMAECAVVFVLDKITVLLEAKVVMLHGLKHEIEYIKDELERVIAFLGVADTVEDGDAEIKVWVKQVRDVAYDIEDAIDEFMLLPAGHQSSIFCGFLWRFFFSIRNLKPRRKIAYEIQGIKSRVRSIAEGHHRYRYRYNVPEQVSSTSHAYEIANDRRSDALLLEEDEIVGIESPRKELISLLLKEDPTLKVISVVGMGGSGKTTLVKKVYDDAAVKKHFQSHAWITVSQTFKVEEVLKDMIQQLFAELKQPVPEGMSSMNANKLKVIVKEFLLRRRYVIVFDDVWSIRVWEAIKHVLLKEKHGCRVVISTRLMDVASSFSIDTNGYIYEMKPLSEDVSWVLLCRKAFYASSCPSHLREILKQILKRCGGLPLAIVAIGGVLATKNRTNINEWGALYHSLGPDFEGNDQFESLRIILLLSFNDLPQYLKLCFLYLSIYPEDHLIEHNTLIYQWTMENFVKQKEGRTVEEVAEGYLIELINRSLILPVKLNDDGSMKQGRVHDLYREIILSKSRDHNFIATTDEETAAWPEKARRLSVHGTLGNVPMKRQGTKLRSLLTFNVTDSQFSSHVVQILSSCRVLKVLELRGTSLEIVPEEIFQLLHLRYLSLRSTKVKVLPRSIKKLRMLEILDLKHTYITELPGAILELQHLRHLLVYGTLPYSYLPYDCSPGFKAPPGIGKLRYLQKLAYIDFNPGSGVIEEIGKLNELKRLCIQKLRTEDGKAMCLSLGKLHKLRSLNLKSIEEDVILDVNYLSSPPPLLQNLYLTGSLRKMPPWIKSLHNLVKVYFRWSKLEDDPLELLQDLPNLVHLEFLVGYTGETLHFNAGKFRSLRLLNLDKLEELRSVVIDEGAMPHLERLVIQRCSFMERVPVGIECLINLKYLEFFDMPDEFISSFVPDKQGEDYCKVSHIPEVYCTYWKDGFWEVNSLEEKHGSITSRGPGNAAAKITGRRNSL >itb04g04590.t3 pep chromosome:ASM357664v1:4:2854743:2858609:1 gene:itb04g04590 transcript:itb04g04590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRLSGYAQLYNYSLENTEHMAECAVVFVLDKITVLLEAKVVMLHGLKHEIEYIKDELERVIAFLGVADTVEDGDAEIKVWVKQVRDVAYDIEDAIDEFMLLPAGHQSSIFCGFLWRFFFSIRNLKPRRKIAYEIQGIKSRVRSIAEGHHRYRYRYNVPEQVSSTSHAYEIANDRRSDALLLEEDEIVGIESPRKELISLLLKEDPTLKVISVVGMGGSGKTTLVKKVYDDAAVKKHFQSHAWITVSQTFKVEEVLKDMIQQLFAELKQPVPEGMSSMNANKLKVIVKEFLLRRRYVIVFDDVWSIRVWEAIKHVLLKEKHGCRVVISTRLMDVASSFSIDTNGYIYEMKPLSEDVSWVLLCRKAFYASSCPSHLREILKQILKRCGGLPLAIVAIGGVLATKNRTNINEWGALYHSLGPDFEGNDQFESLRIILLLSFNDLPQYLKLCFLYLSIYPEDHLIEHNTLIYQWTMENFVKQKEGRTVEEVAEGYLIELINRSLILPVKLNDDGSMKQGRVHDLYREIILSKSRDHNFIATTDEETAAWPEKARRLSVHGTLGNVPMKRQGTKLRSLLTFNVTDSQFSSHVVQILSSCRVLKVLELRGTSLEIVPEEIFQLLHLRYLSLRSTKVKVLPRSIKKLRMLEILDLKHTYITELPGAILELQHLRHLLVYGTLPYSYLPYDCSPGFKAPPGIGKLRYLQKLAYIDFNPGSGVIEEIGKLNELKRLCIQKLRTEDGKAMCLSLGKLHKLRSLNLKSIEEDVILDVNYLSSPPPLLQNLYLTGSLRKMPPWIKSLHNLVKVYFRWSKLEDDPLELLQDLPNLVHLEFLVGYTGETLHFNAGKFRSLRLLNLDKLEELRSVVIDEGAMPHLERLVIQRCSFMERVPVGIECLINLKYLEFFDMPDEFISSFVPDKQGEDYCKVSHIPEVYCTYWKDGFWEVNSLEEKHGSITSRGPGNAAAKITGRRNSL >itb04g04590.t1 pep chromosome:ASM357664v1:4:2853587:2858609:1 gene:itb04g04590 transcript:itb04g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRLSGYAQLYNYSLENTEHMAECAVVFVLDKITVLLEAKVVMLHGLKHEIEYIKDELERVIAFLGVADTVEDGDAEIKVWVKQVRDVAYDIEDAIDEFMLLPAGHQSSIFCGFLWRFFFSIRNLKPRRKIAYEIQGIKSRVRSIAEGHHRYRYRYNVPEQVSSTSHAYEIANDRRSDALLLEEDEIVGIESPRKELISLLLKEDPTLKVISVVGMGGSGKTTLVKKVYDDAAVKKHFQSHAWITVSQTFKVEEVLKDMIQQLFAELKQPVPEGMSSMNANKLKVIVKEFLLRRRYVIVFDDVWSIRVWEAIKHVLLKEKHGCRVVISTRLMDVASSFSIDTNGYIYEMKPLSEDVSWVLLCRKAFYASSCPSHLREILKQILKRCGGLPLAIVAIGGVLATKNRTNINEWGALYHSLGPDFEGNDQFESLRIILLLSFNDLPQYLKLCFLYLSIYPEDHLIEHNTLIYQWTMENFVKQKEGRTVEEVAEGYLIELINRSLILPVKLNDDGSMKQGRVHDLYREIILSKSRDHNFIATTDEETAAWPEKARRLSVHGTLGNVPMKRQGTKLRSLLTFNVTDSQFSSHVVQILSSCRVLKVLELRGTSLEIVPEEIFQLLHLRYLSLRSTKVKVLPRSIKKLRMLEILDLKHTYITELPGAILELQHLRHLLVYGTLPYSYLPYDCSPGFKAPPGIGKLRYLQKLAYIDFNPGSGVIEEIGKLNELKRLCIQKLRTEDGKAMCLSLGKLHKLRSLNLKSIEEDVILDVNYLSSPPPLLQNLYLTGSLRKMPPWIKSLHNLVKVYFRWSKLEDDPLELLQDLPNLVHLEFLVGYTGETLHFNAGKFRSLRLLNLDKLEELRSVVIDEGAMPHLERLVIQRCSFMERVPVGIECLINLKYLEFFDMPDEFISSFVPDKQGEDYCKVSHIPEVYCTYWKDGFWEVNSLEEKHGSITSRGPGNAAAKITGRRNSL >itb15g12780.t1 pep chromosome:ASM357664v1:15:10662013:10668782:1 gene:itb15g12780 transcript:itb15g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKLVEALSPTKKIKGSVVLMRKNVLDFNDFNASIVDNLTDFLGQKVSLELVSSAQADLGNGLKGKRSKAAYLDNWVLNNTLLASGESAFQIEFEWSEDFGVPEAFIIKNNHPNEFYLKTLTLEDVPNHGQVHFACFSWVYPASKYNYERIFFSNQAYLPSQTPAPLKKYREEELVNLRGTGTGELKEWDRVYDYAYYNDLGDPDKGAEYARQILGGNSEYPYPRRGRTGRAPTKTDPNSESRIPLITSLDIYVPRDEKFGHLKLADFLTYALKSVAQFLLPEFESLFDSTPTEFDSFQDILNLYEGGIKLPQGPLLTAIADNIPIEMLKELIRSDGEGLFKFPTPQVIQQDKTAWRTDEEFGREMLAGVNPVIISRLQEFPPKSKLDPTVYGNQTSTITKEHIQQNLDGLTVEEAIANNRLFILNHHDTLMPYLRRINTTNNKIYASRTLLLLNTDGTLKPVAIELSLPNPLGDKFGADSKVYTPAEQGVEKGLWELAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSALHPIYKLLHPHFRDTMTINALARQILINAGGVLEKTVFPDRYAMEMSAVVYKDWVFPDQALPTDLVKRGVAVEDSSSPNGVRLLIQDYPYAVDGLEIWSSIKTWVQDYCKIYYKSDDVVQKDTELQAWWKELREQGHGDLKDKPWWPKMQTVQELIDSCTIIIWIASALHAAVNFGQYPYAGYLPNRPTLSRRFMPVPGTDDYKELEADPDKVFLKTITAQLQTLLGVSLIEILSRHASDEVYLGKRECPEWTKDQEALDAFARFGTKLGEIEDSIMKMNTEGKLKNRTGPVKVPYTLLFPTSEAGLTGKGIPNSVSI >itb10g12240.t1 pep chromosome:ASM357664v1:10:18184474:18187056:-1 gene:itb10g12240 transcript:itb10g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLNENGVYDGKYTTFALCGFVRAQGDADSALDRLWQKKKVEARQQ >itb01g09740.t1 pep chromosome:ASM357664v1:1:7954854:7955324:-1 gene:itb01g09740 transcript:itb01g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHYAVLGLPSGEEGARLSDKEIAKAYRVKALELHPDKRPNDPNANIFFQKLKASYEILRDRKARKEYDDRLRAKRRAAAATPPPITRVHGPWKKSLERFQTYKDLESQKMTQSSSFRIIYRVHAFSLLCVLLLLILLCQLYFQSAVLVTVSIY >itb05g23630.t1 pep chromosome:ASM357664v1:5:28682865:28689881:1 gene:itb05g23630 transcript:itb05g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWPRMFKFQGKYLIAVAGLGGAAVTFQTLNPNFLPSSTNSPLINGVVRSSRALCTITSNVVDYVYSLHGLTQNSEEYLRVASEVHLRSAKRILRLCEANKGVYVKAGQFVAAVRVRKVPKEYSSILSSLQDQAVPCPFESIKEVLIHNLGPNLSDIFFSFDEEPFAAASIAQVHHALLRDHQEVAVKVQYPGLEYQMKFDLATMSFLSKSVAWFFPECRFEWLVLEFKKSITSELDFTEEAKNLERMYKNFKNNSMVRIPHVFWGFTTRNVLTMQFCEGHKVDDLEFLKQMGISPSKVGKALAEALSEMIFVHGFLHGDLHPGNVLVSPEGRNGFSLVLLDFGICKELDEAFRLNYCQLWEALIFKDSNKIQQLGEYFGAGRYSRYFPVIFTGRTIDSKSSLWRGMSAEEKKNLNQELKSLRMEDISSFMESLPNDFLTVLRADGLLRSLISKLGASQRDRLLAYAKYALHGLSLKPDSTSDSAIGAVYFRFKAGIRYIQLRLLFALLDIISWIDNTQHMTAKTFKHLLSSASSAVRYAFP >itb14g03350.t2 pep chromosome:ASM357664v1:14:2996999:3001426:-1 gene:itb14g03350 transcript:itb14g03350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MAEPEYHSLTSDAVETRSSFSYQRLNANVNGSGLPGLKKRGHGIGNRSWIKIDQHGNSKVLELDKATVMRHCALPARDMRLLDPMFIYPSTILGREQAIVVSLEQIRCIITADEVILMNSLDGSVLHYQSELCKRLQTNKDQSDDLPFEFKALELALELTCMSLDAQVKELEVELHPVLDELASSISTLNLERVRRLKGQLLALTQRVQKVCDEIEHLMDDDGDMAEMYLTEKKQRKEGFLTSDPYDRISTSGNIRLPPKSAPVSPVGSNSGGGHRLKRAFSTISSSKRSFMSSSSNGENIDQLEMLLEAYFVVIDNTLNSLLSLKEYIDDTEDLINIKLGNVQNQLIQYELLLTAATFVATIFAMVTAVFGMNFEDTIFDDPATFNWVLVITGAFCGALYSLFLIYFRQKKVFPL >itb14g03350.t1 pep chromosome:ASM357664v1:14:2996999:3001435:-1 gene:itb14g03350 transcript:itb14g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MAEPEYHSLTSDAVETRSSFSYQRLNANVNGSGLPGLKKRGHGIGNRSWIKIDQHGNSKVLELDKATVMRHCALPARDMRLLDPMFIYPSTILGREQAIVVSLEQIRCIITADEVILMNSLDGSVLHYQSELCKRLQTNKDQSDDLPFEFKALELALELTCMSLDAQVKELEVELHPVLDELASSISTLNLERVRRLKGQLLALTQRVQKVCDEIEHLMDDDGDMAEMYLTEKKQRKEGFLTSDPYDRISTSGNIRLPPKSAPVSPVGSNSGGGHRLKRAFSTISSSKRSFMSSSSNGENIDQLEMLLEAYFVVIDNTLNSLLSLKEYIDDTEDLINIKLGNVQNQLIQYELLLTAATFVATIFAMVTAVFGMNFEDTIFDDPATFNWVLVITGAFCGALYSLFLIYFRQKKVFPL >itb14g03350.t3 pep chromosome:ASM357664v1:14:2996999:3001426:-1 gene:itb14g03350 transcript:itb14g03350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MAEPEYHSLTSDAVETRSSFSYQRLNANVNGSGLPGLKKRGHGIGNRSWIKIDQHGNSKVLELDKATVMRHCALPARDMRLLDPMFIYPSTILGREQAIVVSLEQIRCIITADEVILMNSLDGSVLHYQSELCKRLQTNKDQSDDLPFEFKALELALELTCMSLDAQVKELEVELHPVLDELASSISTLNLERVRRLKGQLLALTQRVQKVCDEIEHLMDDDGDMAEMYLTEKKQRKEGFLTSDPYDRISTSGNIRLPPKSAPVSPVGSNSGGGHRLKRAFSTISSSKRSFMSSSSNGENIDQLEMLLEAYFVVIDNTLNSLLSLKEYIDDTEDLINIKLGNVQNQLIQYELLLTAATFVATIFAMVTAVFGMNFEDTIFDDPATFNWVLVITGAFCGALYSLFLIYFRQKKVFPL >itb04g11340.t1 pep chromosome:ASM357664v1:4:10935287:10938405:-1 gene:itb04g11340 transcript:itb04g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSDWGNTPLAAVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEYIDEIENLTRSRALQAYRLDPTKWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGYIDYDRLEEKALDFRPKLIICGGSAYPRDWDYKRFREIADKCGALLLCDMAHISGLVAAQEAADPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYAKQVRANAVALGNYLMNKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIAEFLHRAVTLTLSIQKEHGKLLKDFNKGLVNNKEIEQLKADVEKFSASFDMPGFKTSEMKYKD >itb09g25190.t1 pep chromosome:ASM357664v1:9:25121391:25121882:1 gene:itb09g25190 transcript:itb09g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRCDLPYNQVSAIQGFISPDEKNVTQRTAGVILIWNVNLQMAPGEALGAVCTGDPQSTFVMETPVVSGTIHSTSVQASVQDLNNLT >itb12g03590.t1 pep chromosome:ASM357664v1:12:2356658:2359770:-1 gene:itb12g03590 transcript:itb12g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRKASQCNHQETESAESPAEKDAKMRELRAAIGGLTGRSLKFCSDSCIRLYLEARNCDVEQAKKMLEETLKWRSTFKPEEIRWDEVAHIGETGKVSIANFHDRQGRTVFIMRPGKQKTSSEEDYIRHLVYLMENAIINLPEGQEQLSWLVDFCGWSLGKSVSIKTARDLAYILQNHYPERIALILFYNPPRLFEAFWKVVKCFADPRSFQKVKFAYPNNKDSMEVMEAFFDSENLPKEFGGKAPLKYDHEEFSKLMIQEDIKTAKFWGFDDALHTAPMVVKT >itb12g03590.t2 pep chromosome:ASM357664v1:12:2356658:2359681:-1 gene:itb12g03590 transcript:itb12g03590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRKASQCNHQETESAESPAEKDAKMRELRAAIGGLTGRSLKFCSDSCIRLYLEARNCDVEQAKKMLEETLKWRSTFKPEEIRWDEVAHIGETGKVSIANFHDRQGRTVFIMRPGKQKTSSEEDYIRHLVYLMENAIINLPEGQEQLSWLVDFCGWSLGKSVSIKTARDLAYILQNHYPERIALILFYNPPRLFEAFWKVVKCFADPRSFQKVKFAYPNNKDSMEVMEAFFDSENLPKEFGGKAPLKYDHEEFSKLMIQEDIKTAKFWGFDDALHTAPMVVKT >itb05g02380.t2 pep chromosome:ASM357664v1:5:1899193:1902986:1 gene:itb05g02380 transcript:itb05g02380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVIGADVPLLQRRDTPTERRLLICRAVFNVSTTIIGAGIMSIPATLKVLGVIPAFVLMVLVAVLVDVSVDFMLRSTYAGASTTYAGLMKENFGKIGSVALQICIMITNLGCLIMYLIIIGDVLCGNGSEHLGILQEWFGIHWWNSRAFSIFLVVVFVMLPLVLYRRVESLWWSSAIAVLLAVVFVGICIGMAIYALVKGETQTPRMLPELDGSASFIQLFTAVPVIVSAFTFHFNVHPIGIELGKPSTMATAVKISLVLSAGLYFMIGIFGYLLFGESINADILVNFDRTSSSSGVSALLNDVIRLSYAVHLVLVFPLLNYSLRATIDELLFPKKQQLATDKIRFVSLSVFLLALSYVAAMVIPSIWYIFQFMGSTSAVCLAFIFPGAIAIRYSSNSL >itb05g02380.t1 pep chromosome:ASM357664v1:5:1899193:1902986:1 gene:itb05g02380 transcript:itb05g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVIGADVPLLQRRDTPTERRLLICRAVFNVSTTIIGAGIMSIPATLKVLGVIPAFVLMVLVAVLVDVSVDFMLRSTYAGASTTYAGLMKENFGKIGSVALQICIMITNLGCLIMYLIIIGDVLCGNGSEHLGILQEWFGIHWWNSRAFSIFLVVVFVMLPLVLYRRVESLWWSSAIAVLLAVVFVGICIGMAIYALVKGETQTPRMLPELDGSASFIQLFTAVPVIVSAFTFHFNVHPIGIELGKPSTMATAVKISLVLSAGLYFMIGIFGYLLFGESINADILVNFDRTSSSSGVSALLNDVIRLSYAVHLVLVFPLLNYSLRATIDELLFPKKQQLATDKIRFVSLSVFLLALSYVAAMVIPSIWYIFQFMGSTSAVCLAFIFPGAIAIRDIHGISTKKDKIIATIMIVQAIITSCLAIYTNVYNLFSGTTS >itb02g25930.t1 pep chromosome:ASM357664v1:2:26723282:26726596:-1 gene:itb02g25930 transcript:itb02g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAENALPPSKKRAAGREISRDNPGLDDDEETSELETGTFKKASDEVMASRRIVRVRRQQTSSTPSAPSSNPFAGIRLVSPATAPAPVEATDKAEGAKSDKVEEKQDANEKTEEAKADVNKESDETKAVVNEETEETKADVNNEAQGKSTENDKESENKIDDSEAVSTVDKNNTENEPEKLESADKEAAEPEKTKDEAKEETATAKVENEIGKDAEGEKTENEDEKPNGNEVGEKSAETPSFSSFQQLSSSQNAFTGLAGTGFSGSTFSFGAISKEDSGSPFGVKSDQPSFSFGSSNNGSVSLFGNSGTSAVNKSEGGAAGFPSMQEVSVETGEENEKPIFTADSVLFEYLDGGWKERGKGEIKVNVSTTEVKKARLVMRSRGNYRLILNANLFPEMKLANMDKKGITFACVNSASETSEKLSTFALKFKDASIVEEFRAAVVEHKGGSGTPPAADSSLKTPENSPKAAEV >itb08g03570.t1 pep chromosome:ASM357664v1:8:2907060:2912674:-1 gene:itb08g03570 transcript:itb08g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCGDEGFPPQLNDQGSSAHEEKIYVSIRVRPLNDREISRNDVADWECIDSTTILFKNAQGERSSLPTTYQFDRVFGRESSTREVYDEAAKRVVLSVLSGMNASIFAYGQTSSGKTYTMSGVTEYAMQDIFDYIEKRSDRRFVLKFSAIEIYNEVVRDLLSTDNTPLRLLDDPERGTVVEKLSEVMPRDQSHLSELLSICEAQRQIGETSLNGMSSRSHQILRLTIESSATQSHSRNNNTLSAAVNFIDLAGSERASQTLSAGTRLKEGCHINRSLLSLGTVIRKLSKGRNGHVPYRDSKLTRILQHSLGGNARTAIICTMSPAHTHVEQSRNTLLFATCAKQVATKARVNVVMSDKALVKQLQKELARLESELRALRLRSMDENSALVLKEKEVVIEKMEKDMRNLMMQRDIAQSRIQNLLRSRPWGEMSYNSETSEYPASEASATPSQMDAAARMSSFSDRFEAVTEACDDSFLSDDTSPRHFIDKYFGPDPSKDWHIATHKSSQSFADSCSREVQFVEMESTAGSIDFTVASSEYGDYQDDIETMGYSPFSETGVSCSSNMQKTRSCKSLLAVVHGKQENGVASRKLGKQFSGKLIGSDKKVTRSRAASDSGMVLATGFNRGANDESSDVKGHNVKVSMDAGFNSAANDESFNVKGHNVMVSMNIGFNSAANDESSDVKGHNVKVSMDTGFISPTNDESSDVKGHKFKVSMDAGFNSLVNDESSDVKGHNVKVSMDAGFNSDANDESSDVKGHNIKVSTDDATAREATFVAASNEDAKLCHTNEDVSSGKMFTADSNRVAANDGSLDVKEHEFKTSMNNATMCEVLFTTKPNEDAKGMPEKQNCNENEDLKPVAEKQFCDENEDLKPVPKNQLLIDMLHEDEPKRKPLAEVANAGAVPATDLQQTPSEWPQEFERQRSEIIQLWDACHVPLVHRTYFFLLFKGDPSDAVYMEVEFRRLCFLKNSWSRGERVVKDGQILTEAASIKALKRERDMLCKQMVRTFSASERNDMFQQWGIGLNSKQRRLQLCNKLWKNTKDVEHLKKSAALVAKLVGIIEPNAASKEMFGLSFAPQPMSLRSFSWAPRMPFIN >itb08g07310.t1 pep chromosome:ASM357664v1:8:6245953:6248663:1 gene:itb08g07310 transcript:itb08g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVWASRAASYLRISAFPRGFATVVKDLKYADTHEWVKVDGSSATVGITHHAQDHLGDVVFVELPEVGVDVKQGCSFGAVESVKATSDVNSPVSGKVVAVNEKLNDSPGLVNGSPYEDGWIMKVEVSDAKELSSLMDADKYLKFCEEEDAKH >itb02g24740.t1 pep chromosome:ASM357664v1:2:25412468:25419524:-1 gene:itb02g24740 transcript:itb02g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYIKTFFIKVNNDTTGYTLPAWLDDGNDTNCCTWERVSCNPTTGRVTELTLDNLVYLGGQKQPSLDVSLFGPFEELVNLQLSRNHFNSCLPNQDFEELNHLERLEALNLAENGFGRNNILRSFGALTTLRSLNLSFNNISSNVFLRELSNLTNLETLDLKSNQLNGFLKAQDFTSLKNLKVLDLSANYFEGSIPPAIGNLSALVALSLAQNHLNGTLPNQEFCKLKNLDELDLSLNHLEGVFPPCFRNLTSLRLLDLSTNLFEGEISFIFPSLTSLENVRLSNNNFEGKFSLSFLANHSKAKVVEILNAGNLEVETEDSNWTPEFQLEVLVLSDSNLNQRSHKIPTFLAYQSMLKLLDLSHNNLQGDYPNWVIKNNSDLQILSLRNNSLEGNFDLQLHHNTSILRFDASHNLLFGKLQENEGRMLWQINYLNLSYNLFEGYVPSSFCNMSDLIFLDLSSNHFNGEIAKEMVSGCLRNLDTLILSSNSFHGQIFSSNFNMTKLSALRLEDNKFSGPISNAMSRSYGLEFLDASNNQFSGDLKSWISNMTDLHVLIIRNNSFNGQFPCEIPIHALLDVSHNFLSGPLPSCPIQPSHVLMQSNKFSGSIHEVLLNSSSNLLTLDIRENELSGNLPSVVGAKNLRVLLLGSNQLSGPFPNQLCRLQKLNLIDLSSNHLSGQIPRCISNITFGKSSDYEYFYGGFSFTKHCSLFSTTYGNFLIKDYYLDTVDGTVIEEVTIDFVTKKISYSYKGGILNLMSGLDLSCNNFTGKIPYELGNLSWIHVLNLSHNQIKGSIPKTFSRLRQIESLDLSYNNLTGKVPPELKDLNFLAVFSVAHNNLSGRIPKMKGQFETFDQSSYEGNPYLCGAPLPNNCSQISELPKTSQLTTETKWYEMDMLVFWVAFIVAYIIFFLGVVISLYVNSSWLRRLLEFLDYCYYAR >itb13g12270.t1 pep chromosome:ASM357664v1:13:18431011:18439235:1 gene:itb13g12270 transcript:itb13g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MSTINLPTGLYTLKSDSTRSYDRLSSTKSFADSHSFFFSDSVVRKRAPIRNQIQAVQIDGVNSNANVNISILDGSASSSSDQVLRSGDVHLNHQRKTKIVCTIGPSTSSREMIWKLADAGMNVARLNMSHGDHASHQRTIDLVKEYNAKFDDKAIAIMLDTKGPEVRSGDVAKPILLKEGQEFNFTIKRGVSTDNTVSVNYDDFINDVDVGDILLVDGGMMSLAVKSKTEDLVGCEVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENQVDFYAVSFVKDADVVHELKDYLKSCNADIHVIVKIESADSIPNLHSILSASDGAMVARGDLGAELPIEDVPLLQEDIIKRCRCMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVNVMHTVALRTESTLPTRASPEQSAFRKSHMGEMFAFHSSTMANTLDTPIMVFTRTGSMAVILSHYRPYSTIFAFTNNERLKQRLALYQGVMPIYMEFSNDAEETFSRALKLLLSKGLVNEGQFVTLVQSGAQPIWRRESTHHIQVRKVQS >itb09g29570.t1 pep chromosome:ASM357664v1:9:30307295:30308857:1 gene:itb09g29570 transcript:itb09g29570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLKQGCTLLCIFMVLPAAFCYTQTYPSKATYYTTPDGKGTPTGACGYGQYGRSINDGLVSAASSRLYRYGAGCGACYLVRCKDEALCNKEGVKVVITDNGEGPATDFILSHNAYAGLAKPYAAKDLFARGVMDVDYERVSCGHGKLKIKILEQSNYHAYLAILPFNHGGANDILSIEVYEKASYKWIPMRRSYGTVFDLQNPPKGELKLRFLVSGQKWIESKKAIIPDYWKAGTTIDTHIQLP >itb04g32200.t1 pep chromosome:ASM357664v1:4:34864608:34866281:-1 gene:itb04g32200 transcript:itb04g32200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSSLSFLLLFFFFFFLRPVSAQRLSSIDLAALRDIKNCLTEIPAAEFFTTWDFASPAVDPCVSFAGVTCSGSPPRVVTLMLGSGMSESPRLAGTLSPSIGNLSGLTQLVLFSGIVTGPIPSQLGLLTNLRILSLSNNRLTGSIPAEIFGLPNLHTLDLSRNELTGPVPGVNKLTELRVMILAGNQLSGEFPAELPGNLLHLDLSENELSGELPYHLPVSLRYVSVSVNQMWGPLNGLESLSDLVYLDLSMNRFGGPIPPNLFRPSLASVFLQRNNFSGGVHDPHQSPLIPYGPGSVLDLSHNSLTGEIPAALADAESLFLNNNRFVGTVPPEYFRSVYAGVTKTLYLQHNFITEFPIEQRGGPVPLPDSVTLCLSYNCAVPQLPAGSMTCPASAGEQLSRPPSQCSLVNNGSHAR >itb12g07240.t1 pep chromosome:ASM357664v1:12:5401817:5402274:-1 gene:itb12g07240 transcript:itb12g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSNQFGVQTEMAGSDSQKQLLTLIRDFASEKSQGERRISNLKNRIGELRSEIESATANIEEAKQIKESIEQELKGYEVELAMTEASIQTLEVFSMLRFSFDI >itb07g01610.t1 pep chromosome:ASM357664v1:7:973278:984236:1 gene:itb07g01610 transcript:itb07g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSASLAAFERPRVGTSNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFLKNDPSTLPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPNAALVMGQNGIFRNDTGDSVEGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGIKVEGILRQSADVEEVEQRVKAYEQQGKTEFGPDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARVNAMRSAIVETFPEPNRRLLQRIVKMMHTISSHASENRMSPSAVAACMAPLLLRPLLAGECELEDEFDTNGDNSAQLLAAANAANNAQAIITTLLEEYDNIFDDDNLHRCSISADSRIGNSGSEDSSDDENLEVKDNGYHDAENEVGQESDDDHDRVLSGKLSENSSSAASDLYDYKGFGGDDSDFDSPRNNKVVGMEFKPTEDSQPVAESNVLFSEQLDRHKKTSENEIATASELPNNGSQRSMGEILSSMDQGLPHSSSGKDSSAEKSSSKLTSSNLSAKRSAFWGRNNARKTPSMESVDSSGEEELAIQRLEITKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQLSGSRSMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHFGSLSDACDRYQHGPNTNPQLKYFQQDFDTTLAFCNHERKQRTEELFGSELRNIKGQVLTSGSTSRQPGRKHSLETTSLSDSKSTEASASLSMDELGGVDSVSVPSTSRVTEGLDYPRHPSVASSTLVELTTRLDFFKERRSQLMEQLHSLDLNYGSASHDFMYKPSSPPWN >itb11g13700.t1 pep chromosome:ASM357664v1:11:10721586:10722922:-1 gene:itb11g13700 transcript:itb11g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQSSSDEAKAISETLITELPVDEDIHLLNGFWYPTWVIHGLLALQQHFKPHPNDVLLASYPKSGTTWLKALLFSIVNRATYNVDDMMHPLLNSNPHELVPWLETYASTNRTNPRPPQSFLFHTHLAYSSLPEQIRSSSCRIVYVFRDPKDVFVSFWHFLNKLRPKDSSPISLQEAFNQFSRGASTYGPYWDHVTSYYKASIQFPNKVFFVRYEDLKTKAVFHVKKLAEFVGCPFSEEEENEGVVQKITDLCSFEKLSNLEVNKNGSLLIGKLPTGSTVSVINNAFFRKGEIGDSKNHLSEEMREILDQITDDKFNEVGLTTFVPLVKTD >itb03g14480.t1 pep chromosome:ASM357664v1:3:14181594:14185092:-1 gene:itb03g14480 transcript:itb03g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLARKSMSALRSRQLVLAGQALQGSNHYGTMYGTRSFATKHSFSTDKDDEEREQLAKELSKDWNSVFERSINTLFLTEMVRGLMLTLKYFFDTKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >itb13g10790.t1 pep chromosome:ASM357664v1:13:15772104:15773357:1 gene:itb13g10790 transcript:itb13g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPRAAELTSLLESRISNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQLNSRASSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVIPITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSLKLELAQQGGGRVFCIPYVQIDLSC >itb08g10680.t1 pep chromosome:ASM357664v1:8:10324218:10325618:1 gene:itb08g10680 transcript:itb08g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGSFLLCLALIALSLGLSSAQNCGCAGGLCCSQWGYCGTGDDYCGEGCREGPCYKPPSPPSGGGSVADIVSEAFFNGIADQADSGCEGKGFYTRNSFLDAVNSYPQFGTVGSADDSKREVAAFFAHVTHETGHMCYINEIDGESRDYCDETNTQYPCAPGKMYYGRGPIQLSWNFNYGPAGQSIGFDGLKNPDVVATDPAISFKTALWFWMNNCHSPITSGQGFGATIRAINGQLECDGANPDTVRTRVAYYTQYCQQLGVDPGNNLEC >itb02g22240.t1 pep chromosome:ASM357664v1:2:21435176:21436569:1 gene:itb02g22240 transcript:itb02g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAPTTTLHTTKSTLLAPTAGSNAGLRPPPHRVALKSSFFSPSVHLLLPPNHTSAAPKFSMRVASKQAYICRDCGYIYNDRTPFEKVSDNYFCPVCGAPKRRFRAYEPKVAKNANDTAVRKARKEQIKKDEAIGRALPIAIGVGAAALAGLYFYLNSTF >itb15g02170.t1 pep chromosome:ASM357664v1:15:1334156:1337733:1 gene:itb15g02170 transcript:itb15g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATACRFLIFLSLISAVCGVTDPNDLGILNDLRKGLKNPELLKWPAKGDDPCGPPTWPHLVCSEGRVEQISVMGLGLEGPLPQNLNGLSKLTHLSLQNNKFSGKLPSLSGLSELQYAYLDFNRFDTIPSDFFDGVVNLQVLALDGNPLNATNGWLLPNKLQDSAQLTNLTLMNCNLGGPLPEFLGSMASLEVLLLSMNRLSGPLPESFRGSMLKMLWLNDQSGEGMNGPIDVVATMGSLTSLWLHGNQFSGKIPEGIGKLAYLQDLNLNTNNLVGLIPSSLVDMPLGHLDLNNNHFMGPMPKFKAQNVTMKSNPFCQTLPGAPCAPEVMALLQFLDAMNYPLNLVDSWSGNDPCGADWRGVSCDANHKVSIINLPKFNLSGSLSPSIANLSSLARVFLESNNISGPIPKTWTGLKSLVVLDLRDNNLLPPVPHFSDSVKLVLSGNSLLNSNHSGASSSRKNDTSSRGSQSLSPLPSSPLLPHKGSNSGDAAPYVVESPQNRRSDKSKIVVIVAPLACFVILVFLALPLSIYAYKKSKDSPPSLVIHPRNPSDSDNMIKIAVANNTSGSRSTLTGSDSTSTHGSESHMIESGNLVISVQVLRNITKNFSPENELGRGGFGVVYKGELEDGSKIAVKRMESGVITTKALEEFRSEIDVLSKVRHRHLVSLLGYSVQGNERLLVYEYMPQGALSKHLFQWKSLKLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGATSVVTRLAGTFGYLAPEYAVTGKVTTKADVFSFGVVLMELLTGLMALDQDRPEESQYLVSWFWSVNSSKDGLFAAIDPALDAKQETLESISTIAELACHCTAREPNQRPDMGHAVSVLSPLVEKWKPLDDDTEEYCGIDYSLPLNQMVKGWQEAEGKDSSSIVGLDDSKGSIPARPTGFAESFTSADGR >itb09g12250.t1 pep chromosome:ASM357664v1:9:7731061:7732781:1 gene:itb09g12250 transcript:itb09g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEVVVTEEVQARRTVIRRAGNNDHSRRHCDELSILINQDPCSRSCHTRTSSDNDWLRCLETEKHHGFPTAGNQPKIQKVPERRRKITQDNHKSYYDPLVVSIGPIHHGNEELREMEKFKRHLTRKFAEACYKEKGIDLDNVYDKVAEIAESARSCYAYGLTEQYDHTTFARMMFVDGCFVLQYIHSTVGGNTREMKMKSDDIAFVRGDLLLLENQLPFQVLQALISCRFNDNEEGMKMINKFIKRLRPDPPHKRQSGWITNTKNLIRDLCFPNTDPEEGPVHQDSTPPAHLLELLRRRLIKCTSATGSGGSEQAKGGDEWFSYRSATELKKVGIHFSPAKNRCFSEIRLNSSFHYARLILPPVTIDDSSKSLFLNLVAYEACPDSPDDFGISSFLSFMDSLIDDAEDVKELRKKGVVFNLLGSDHDVAELFNEISRDLVPNPHYYAQVKRDIQSHYKNKGRVWITEWKHTYFSSPWTLIAFLAATFVIAMDVFQTILTYIQTFKKKSS >itb08g09040.t2 pep chromosome:ASM357664v1:8:8286105:8288791:1 gene:itb08g09040 transcript:itb08g09040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRGERVTFDPDRIVMSGGATGAVEILAFCLADPGDAFLVPTPYYPAFDRDWGWRTGAKLYPVICDSSNDFKITRAALEAAYNKAQTENIRVKGVLLNNPSNPLGTVLDRDTLRDILRFINDKNIHIVCDEIYASTVFSQPEFVSIAEIIQEAGDNNNRNLVHIVYSLSKDMGFPGFRVGIVYSYNDAVVRCARKMSSFGLVSTQTQHLMAAMLSDERFVDRFIQESAERLGRRHGAFTRGLAQVGIGTLKSNAGLFLWMDLRRLLREPTFEAELELWRIIVHDVKLNVSPGCSFHCAEPGWFRVCFANMDDDTVRVALKRIRAFVLQRKGGHKQCRGRALQISTSFSRIMLDDFLMSPHSPASAKSPMVQATN >itb08g09040.t1 pep chromosome:ASM357664v1:8:8285731:8288837:1 gene:itb08g09040 transcript:itb08g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSSNETQMLSRMATGDGHGENSAYFEGWKAYEDNPFDLSKNRRGVIQMGLAENRLCFDLIEEWVVKNPKASICTPQGSEDFKEIAIFQDYHGLPEFRNAVAKFMGKVRGERVTFDPDRIVMSGGATGAVEILAFCLADPGDAFLVPTPYYPAFDRDWGWRTGAKLYPVICDSSNDFKITRAALEAAYNKAQTENIRVKGVLLNNPSNPLGTVLDRDTLRDILRFINDKNIHIVCDEIYASTVFSQPEFVSIAEIIQEAGDNNNRNLVHIVYSLSKDMGFPGFRVGIVYSYNDAVVRCARKMSSFGLVSTQTQHLMAAMLSDERFVDRFIQESAERLGRRHGAFTRGLAQVGIGTLKSNAGLFLWMDLRRLLREPTFEAELELWRIIVHDVKLNVSPGCSFHCAEPGWFRVCFANMDDDTVRVALKRIRAFVLQRKGGHKQCRGRALQISTSFSRIMLDDFLMSPHSPASAKSPMVQATN >itb01g23670.t2 pep chromosome:ASM357664v1:1:29581910:29606458:-1 gene:itb01g23670 transcript:itb01g23670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKEKEEREIRKNSRKRQLRTMLRKNWLLKIRHPYITCAEILLPTIVMLLLIGVRTQSDTQIHPAQAYIQKGMFMEIGKGDKSATFNQILELLIANNEYLAFAPDTPETRMTINILSLKFPLLQLVTRVYNDEEELETYIRSYDYGTCDQKKNCTNPKIKGAIVFHEQGPQVFDYSIRLNHTWAFSGFPDVSTIMDTNGPFLNDLDLGVNPVPILQYSLSGFFTLQQVMDSFIIYAAQQIMTNSSFLKWGSSGTDFPVKIPWTEFSPSNIRIAPFPTREYTDDEFQSIVKEVMGILYLLGFLYPISRLISYSVLEKELKIKEGLYMMGLKDEIFHLSWFITYALQFAVSSVIITLCTMTTLFQYSDKSLVFVYFFAFGLSAITMSFLISTFFTRAKTAIAVGTLSFIGAFFPYYTVNDETVSMVLKVMASFLSPTAFALGSINFADYERGHVGLRWSNIWRESSGVCFLVCLLMMLFDTVLYGAIGLYLDKVLSRENGLHFPWNSTFWKSFWRTKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIQIRNLQKVYSTNRGNCSAVKSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVLGKNILTDMDEIRKSLGVCPQYDILFSELTVKEHLEIFGNIKGVSEDKIDSVVTEMADEVGLADKLNTVVRALSGGMKRKLSLGIALIGNSKIIVLDEPTSGMDPYSMRLTWQLIKRKKKGRIILLTTHSMDEADVLGDRIAIMANGSLKCCGSSLFLKHHFGVGYTLTLVKAAPGPTGVADIVYKHIPSATCVSEVGTEISFKLPLASSSCFGSMFREIECFMKRSMPNSGIENRGEENLGIESYGISVTTLEEVFLRVAGGDFDQDESHEEIEGPVSCDTATLQPCQSYAQKRTFHSKLCGTYFRIICFIATIIGRASFLIITTVLSALRFLSMQCCCCCILSRSMFWKHSKALLIKRAKSAQRDMKTIVFQLLIPAVFLLFGLLFLKLKPHPDQQSVTFTTSYFNPLLSGGGGGCPIPFDLNWPIEKEVANYVQGGWIQKFQQTTYRFPDSEKALSDAIEAAGSTLGPILLSMSEYLMSSFNESYQSRYGAIVMDNQNDDGSLGYTVLHNSSCQHSAPTFINVMNSAILRLATHNDNMTIVTRNHPLPMTESQHQQRHDLDAFSAAIVVAIAFSFIPASFAVAIVKVSILSYWTSTYIWDFISFLFPSSLGLVFFCIFGLDQFVGKNALFPTALMFVEYGLAIASSTYCLTFFFSEHSMAQNVVLLVHFFTGLILMVISFIMGLIESTAHLNSLLKNFFRLSPGFCFADGLASLALLRQGMKTDSDDKVLDWDVTGASICYLAAEAIVYFLLTIGLEYLPHQRMNLSRAYEWWKGLKNSVYATSSSSSEPLLQSSEDGTLELDEDIDVKTERNRVLSGSTDNAIICLCNLRKVYAGGKHHGPKIAVHSLTFSVQEGECFGFLGTNGAGKTTTLSMLSGEEQPSDGTAFIFGRDICSNPKIARRHIGYCPQFDALLEFLTVQEHLELYARIKGVPEYELEDIVKGKLVEFDLLKHANKPSFALSGGNKRKLSVAIAMIGNPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRIGIMVGGKLRCIGSPQHLKTRFGNHLELEVKPIEVSSNDLENLCRIVKEKLFDLPPLPRSILDDIEVCIGGIESSPENASVAEISLSKEMIMTVGRWLGNEERVKALACAPDDSSGYIEQLTEQLVRDGGIPLPIFCEWWLAKEKFSAIDAFIQSSFPGATFQGCNGLSVKYQLPYGEDLSLADVFGHIEMNREQLGISEYSVSQSTLETIFNHFAANSA >itb01g23670.t1 pep chromosome:ASM357664v1:1:29581910:29606458:-1 gene:itb01g23670 transcript:itb01g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKEKEEREIRKNSRKRQLRTMLRKNWLLKIRHPYITCAEILLPTIVMLLLIGVRTQSDTQIHPAQAYIQKGMFMEIGKGDKSATFNQILELLIANNEYLAFAPDTPETRMTINILSLKFPLLQLVTRVYNDEEELETYIRSYDYGTCDQKKNCTNPKIKGAIVFHEQGPQVFDYSIRLNHTWAFSGFPDVSTIMDTNGPFLNDLDLGVNPVPILQYSLSGFFTLQQVMDSFIIYAAQQIMTNSSFLKWGSSGTDFPVKIPWTEFSPSNIRIAPFPTREYTDDEFQSIVKEVMGILYLLGFLYPISRLISYSVLEKELKIKEGLYMMGLKDEIFHLSWFITYALQFAVSSVIITLCTMTTLFQYSDKSLVFVYFFAFGLSAITMSFLISTFFTRAKTAIAVGTLSFIGAFFPYYTVNDETVSMVLKVMASFLSPTAFALGSINFADYERGHVGLRWSNIWRESSGVCFLVCLLMMLFDTVLYGAIGLYLDKVLSRENGLHFPWNSTFWKSFWRTKNTREHYASTSEVNLIDNSDKESANLFGEEIYKPVMETISLEMKQQEIDGRCIQIRNLQKVYSTNRGNCSAVKSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVLGKNILTDMDEIRKSLGVCPQYDILFSELTVKEHLEIFGNIKGVSEDKIDSVVTEMADEVGLADKLNTVVRALSGGMKRKLSLGIALIGNSKIIVLDEPTSGMDPYSMRLTWQLIKRKKKGRIILLTTHSMDEADVLGDRIAIMANGSLKCCGSSLFLKHHFGVGYTLTLVKAAPGPTGVADIVYKHIPSATCVSEVGTEISFKLPLASSSCFGSMFREIECFMKRSMPNSGIENRGEENLGIESYGISVTTLEEVFLRVAGGDFDQDESHEEIEGPVSCDTATLQPCQSYAQKRTFHSKLCGTYFRIICFIATIIGRASFLIITTVLSALRFLSMQCCCCCILSRSMFWKHSKALLIKRAKSAQRDMKTIVFQLLIPAVFLLFGLLFLKLKPHPDQQSVTFTTSYFNPLLSGGGGGCPIPFDLNWPIEKEVANYVQGGWIQKFQQTTYRFPDSEKALSDAIEAAGSTLGPILLSMSEYLMSSFNESYQSRYGAIVMDNQNDDGSLGYTVLHNSSCQHSAPTFINVMNSAILRLATHNDNMTIVTRNHPLPMTESQHQQRHDLDAFSAAIVVAIAFSFIPASFAVAIVKEREVKAKHQQLISGVSILSYWTSTYIWDFISFLFPSSLGLVFFCIFGLDQFVGKNALFPTALMFVEYGLAIASSTYCLTFFFSEHSMAQNVVLLVHFFTGLILMVISFIMGLIESTAHLNSLLKNFFRLSPGFCFADGLASLALLRQGMKTDSDDKVLDWDVTGASICYLAAEAIVYFLLTIGLEYLPHQRMNLSRAYEWWKGLKNSVYATSSSSSEPLLQSSEDGTLELDEDIDVKTERNRVLSGSTDNAIICLCNLRKVYAGGKHHGPKIAVHSLTFSVQEGECFGFLGTNGAGKTTTLSMLSGEEQPSDGTAFIFGRDICSNPKIARRHIGYCPQFDALLEFLTVQEHLELYARIKGVPEYELEDIVKGKLVEFDLLKHANKPSFALSGGNKRKLSVAIAMIGNPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRIGIMVGGKLRCIGSPQHLKTRFGNHLELEVKPIEVSSNDLENLCRIVKEKLFDLPPLPRSILDDIEVCIGGIESSPENASVAEISLSKEMIMTVGRWLGNEERVKALACAPDDSSGYIEQLTEQLVRDGGIPLPIFCEWWLAKEKFSAIDAFIQSSFPGATFQGCNGLSVKYQLPYGEDLSLADVFGHIEMNREQLGISEYSVSQSTLETIFNHFAANSA >itb08g04580.t1 pep chromosome:ASM357664v1:8:3761254:3763819:-1 gene:itb08g04580 transcript:itb08g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKGIPLLFLFVLISFQECLVSSNKATYIVHMDKAFMPKSFVSHDHWYNSVVNSAKLKKPKSDDQQNPTRLLYTYDHTLHGFSVVLSEEEFESLKESPGFVSAYRDKTVTLDTTHTFEFLSLNSATGLWPASEYGRDVIVGVIDTGVWPESASFRDDGMGEIPGRWKGECEEGEHFDPSLCNKKLIGVRYFNKGVMAANPNVTIIMNSGRDVEGHGTHTSSTAAGSYVEEASFFGYAAGTARGIAPRARVAMYKVIWEEGRYASDVLAGIDQAVADGVDVISISMGFDLVPLYEDPIAIAAFGAMEKGVLLSSSAGNAGSGLGNLHNGIPWVLTTAAGSIDRWLAGTLVIGNGEEILGWTSFPASAIVMNQPLIYNKTISACNSSLLLSNYNGIVICENIGSFQDQIRAVANSKLPAAIFISDDPEIFEFSDFPYPGVVISPENSYNLINYAKNSENPYATIKFQQTFLGTKSHPVVATYTSRGPAPSYPGILKPDIMSPGTLVLAAWIPTSLASYISPDIELSSPFNMISGTSMACPHSSGIAALLKGAHPEWSPAAIRSAMVTTANPLDNSNLPIKDPAFNYTSASPLSMGAGQVNPNAALNPGLIYDANPQDYVNLLCSMNFTRKQILTITRSTNYACENPSSDLNYPSFILLYPVSQSTTKSWVSRTFVRTVTYVGEGPATFRVEVSSILGNSQIEINKASLSFKNTYEEAEYTLVLTYKGNQTGEVEFGSVTWVDESGKYKVRSPIAVAPMIQTW >itb10g02320.t1 pep chromosome:ASM357664v1:10:1969578:1972059:-1 gene:itb10g02320 transcript:itb10g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFHFKLVVLAALFLLGLSALASQATARTLEETSLLLRHERWMVRHGRSYKDDAEKAKRFQIFKENLEFIESFNKAGKRSYKLGTNKFTDLTKEEFRATMLNEEKSSPLPKTSKPASFVNESLAQVPGSLDWRKQGAVTGIKDQGNCGCCWAFSAVAAVEGITKIKTGQLISLSEQQLLDCDRVYGNGCNGGVRTQAFQFIKENGGLATESDYPYEGAQESCSAQNLGTPAATISGYQEVAPTESALLAAVANQPVSVGITIGGSVFQHYGSGVFTGDGGDCGSGYHHAVTIIGYGTSDGGEDYWLVKNSWGTSWGENGYMKMARGINGDGVCGVNTRASYPTA >itb12g22770.t1 pep chromosome:ASM357664v1:12:24737797:24740275:-1 gene:itb12g22770 transcript:itb12g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKERVVTTLSMESNHFSTLLSMDSSSLSHDKSGREFNRVGFAPPDINLPLMVSPSPLPCSDEFVLDVGLAAQHHDVVEMSCGGTKAGKKCAKRLDSVWGAWFFFNFYFKPAVNERLKGKVGRGSGSECEKSELKLDVFLVQHDMENIYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEIVRSPNLAGINEEERKKWMELTGRDLNFSIPLEASDFCSWRSVPTTEFVLERLPPLKCNAVPHPKRSLNGSSLNLSTSQPNNVNGEGIDANKRKKMFFPRAVDDDCFLSDSSHAHQIEPPWLTKCSGVMRHTYGPVTAAKTIYEDDEGFLIIVSMPFVDLKRVKVTWRNTVSHGTVKISCTSTGCAPTIKRQNRTFQLADPSPEHCPPGEFIREIPLRTRIPEDAKLEAFGDETGTMLEILVPKHRVGPEEHEVRVCLRPSPWREQYVGLAEAIV >itb12g22770.t2 pep chromosome:ASM357664v1:12:24737797:24740023:-1 gene:itb12g22770 transcript:itb12g22770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKERVVTTLSMESNHFSTLLSMDSSSLSHDKSGREFNRVGFAPPDINLPLMVSPSPLPCSDEFVLDVGLAAQHHDVVEMSCGGTKAGKKCAKRLDSVWGAWFFFNFYFKPAVNERLKGKVGRGSGSECEKSELKLDVFLVQHDMENIYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEIVRSPNLAGINEEERKKWMELTGRDLNFSIPLEASDFCSWRSVPTTEFVLERLPPLKCNAVPHPKRSLNGSSLNLSTSQPNNVNGEGIDANKRKKMFFPRAVDDDCFLSDSSHAHQIEPPWLTKCSGVMRHTYGPVTAAKTIYEDDEGFLIIVSMPFVDLKRVKVTWRNTVSHGTVKISCTSTGCAPTIKRQNRTFQLADPSPEHCPPGEFIREIPLRTRIPEDAKLEAFGDETGTMLEILVPKHRVGPEEHEVRVCLRPSPWREQYVGLAEAIV >itb02g16240.t1 pep chromosome:ASM357664v1:2:12085136:12086597:-1 gene:itb02g16240 transcript:itb02g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDLSLRVASFSCYLNPEDSFVQKIWAGSSNLKISKTNNAVPDGLRVNHNNFTFNSSRPVQDPTVAFILPQQPHNPKPSKKDAEVSSRIFKADKYFNNTTTVPGGIKYANPQGQGVVGDYFKSATASMCSESSSQMALLPNIIKHNKKGIGRRLFLGFIGCRGPCSDKKAVFISHSKEMEHFATNSGHLREEENGEPRKSLEVFGSDKKGGVAGNLERKLSMLTWDAIPNTPQNINPPMTTPIATINNTICDDRASDASSDLFEIEDISSTAGHGYGACRTAGYTSGCSSPTHYAPSEASIQWSVVTASAADYASDYCDEKCNSIVVPRTVAKANNTATTKSSLKSRSSGGLLGCNSYKAVSVAESVAKTADHQLPKLGNHTLPLSYARNNV >itb04g01210.t1 pep chromosome:ASM357664v1:4:667887:668975:1 gene:itb04g01210 transcript:itb04g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLSLRRKQHEFDLQREKQEREKKEKKLHAKKNKMKVDTKGKKKSCSRFQVGKKKLKTKLIPLAKAKAAQAMEVDK >itb11g07190.t1 pep chromosome:ASM357664v1:11:4393537:4395036:1 gene:itb11g07190 transcript:itb11g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEKMQVHSSNSGVARREGWSPDILLLTRIQSFGRGGNKPQVWRSVLSFNNAVTCPQPDQKRSARNYREPVFRFSSTWHPVHRLRGGLSSSHIVHVISGSGEYNIFARTSSDDSDLHQWSLDFIKELVNRKTGNLFNNGFLAD >itb03g23750.t1 pep chromosome:ASM357664v1:3:22005219:22007147:-1 gene:itb03g23750 transcript:itb03g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLDYPSMNGLELRRGRPTSDNVAGRVSKRKRKGMGAAEEEEELVVSLSLTMGEKGVTCRSHGLVSLIGRRKEMEDAAAAELGVVVRGGKEYDFFGVYDGHGGSGVAHACRDRLHRLLVEQLEEEEEEIKWEKVMKESFRKMDEEVNSKGAAVATMGSTAVVAMVGPEEVVVANCGDSRAVLSRGGVAVPLSNDHKPDRADELDRIESCGGKVLNWNGHRVLGVLATSRSIGDQYLKPYVIAEPEVIVSKRVETDEFLILGSDGLWDVMSNEAACQVVRRCLSGRMRGLLAPNGSIVIVSENNVFQKKKVSENNDVIAKQSRAAEAAAVLAELAIARGSRDNVSVVIVELRKSNR >itb09g26440.t2 pep chromosome:ASM357664v1:9:27049414:27054127:-1 gene:itb09g26440 transcript:itb09g26440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPMVRCWDKVVPSIVTALSSAFFEWVLIFMLFIDGGFGYLITKFALYCRLQIPCLFCSRMDRVLGKERAGFYWDLVCYNHKLNISSLVHCHLHDNLVDARGMCERCLFSFETLDKLNTEMYRSIAGKLGADAHLVDEDPLLEDCSSKRNCFCCKEQCGSKGFARKLLQITSFGSEAGKIDSPLPVENADDRDDEEMRNRFHQFPLSEYKNVRITSESESDAAISDDENADVVRERENSKPDSIDRCIHPEPQNITVAEDLTSEKLIHPSSVSVPPSNQVLAQDVGHELREINLSQAECVPEISAPSKLTSFVEVPPSPKLESAVATMTIELEKETPKSGSDFIPSSETPLDSKPDTTDTSAQMATSFLDLGDAYKLATSGRVRQLSGKFLKQMSFKDSTQNSEDLKLLLSQFSANRGIESSLNDIGTRLSVNSDELKGSDGSTSLSIQMIQRKISLERNESNISLDGSTVSEIEGESMVDRLKRQVEHDKRFIGALYKELEEERSASAVAANQAMAMITRLQEEKAALQMEALQCLRMMEEQADFDNEALQKANENVVEMEKIIQDLEGDLGEYKKKYGDITLLKDVEATIEEIRAENLEETRTRISDDILGNADGDTKREMAKPMAGSSILDFENEKLYITRCVKKLEEELRLFSNNAAADMINGEFSAEEWVNVSNPVELDHREDCQGNSEIEAGHLQQDAIKEKGIPCSQEPSTLPHKLRNVAGGIELDALRNELSTLTDRLKLLEAEHNIIQHSINSLGNGEEGVKFIREIAGYLQKLHSRKDQLSC >itb09g26440.t1 pep chromosome:ASM357664v1:9:27049414:27054127:-1 gene:itb09g26440 transcript:itb09g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPMVRCWDKVVPSIVTALSSAFFEWVLIFMLFIDGGFGYLITKFALYCRLQIPCLFCSRMDRVLGKERAGFYWDLVCYNHKLNISSLVHCHLHDNLVDARGMCERCLFSFETLDKLNTEMYRSIAGKLGADAHLVDEDPLLEDCSSKRNCFCCKEQCGSKGFARKLLQITSFGSEAGKIDSPLPVENADDRDDEEMRNRFHQFPLSEYKNVRITSESESDAAISDDENADVVRERENSKPDSIDRCIHPEPQNITVAEDLTSEKLIHPSSVSVPPSNQVLAQDVGHELREINLSQAECVPEISAPSKLTSFVEVPPSPKLESAVATMTIELEKETPKSGSDFIPSSETPLDSKPDTTDTSAQMATSFLDLGDAYKLATSGRVRQLSGKFLKQMSFKDSTQNSEDLKLLLSQFSANRGIESSLNDIGTRLSVNSDELKGSDGSTSLSIQMIQRKISLERNESNISLDGSTVSEIEGESMVDRLKRQVEHDKRFIGALYKELEEERSASAVAANQAMAMITRLQEEKAALQMEALQCLRMMEEQADFDNEALQKANENVVEMEKIIQDLEGDLGEYKKKYGDITLLKDVEATIEEIRAENLEETRTRISDDILGNADGDTKREMAKPMAGSSILDFENEKLYITRCVKKLEEELRLFSNNAAADMINGEFSAEEWVNVSNPVELDHREDCQGNSEIEAGHLQQDAIKEKGIPCSQEPSTLPHKLRNVAGGIELDALRNELSTLTDRLKLLEAEHNIIQHSINSLGNGEEGVKFIREIAGYLQKLHSRKDQLSC >itb04g19580.t2 pep chromosome:ASM357664v1:4:23967920:23970711:-1 gene:itb04g19580 transcript:itb04g19580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIQFRVTNNRTTFSLLLPLPALPPPFFSHAESSLHCPYPFPTSSLLSSSLPHIPPPSPILHPLRFSSCRRFCSSTMPSGDFIISPFELASEFDFDRVVSSDGLVSICGFGSLLSERSARSTFPDLINFRVAKLSGFRRVFAHVAPIFFERAIARPDTKEISSLSVEHCEGETLIISKFEIPKSEIPAFIEREHEFRFLAVTPETLNGLFYTNPAVSKMGVSCHCTFGGQILQLTICLTYLCRYCVHVILMRSI >itb04g19580.t1 pep chromosome:ASM357664v1:4:23967920:23970711:-1 gene:itb04g19580 transcript:itb04g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIQFRVTNNRTTFSLLLPLPALPPPFFSHAESSLHCPYPFPTSSLLSSSLPHIPPPSPILHPLRFSSCRRFCSSTMPSGDFIISPFELASEFDFDRVVSSDGLVSICGFGSLLSERSARSTFPDLINFRVAKLSGFRRVFAHVAPIFFERAIARPDTKEISSLSVEHCEGETLIISKFEIPKSEIPAFIEREHEFRFLAVTPETLNGLFYTNPAVLCARYTDEEYLKNRCKGDREIYFQRYGKYNIDKIWRDDILPCRVYLRHCVLAAANLGEVANNNFLDHTYLGDRKTTIREYLATTGSGIMEEEPPEVLKERYGG >itb04g19580.t3 pep chromosome:ASM357664v1:4:23967920:23970711:-1 gene:itb04g19580 transcript:itb04g19580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIQFRVTNNRTTFSLLLPLPALPPPFFSHAESSLHCPYPFPTSSLLSSSLPHIPPPSPILHPLRFSSCRRFCSSTMPSGDFIISPFELASEFDFDRVVSSDGLVSICGFGSLLSERSARSTFPDLINFRVAKLSGFRRVFAHVAPIFFERAIARPDTKEISSLSVEHCEGETLIISKFEIPKSEIPAFIEREHEFRFLAVTPETLNGLFYTNPAVLCARYTDEEYLKNRCKGRFPFISKVVGYIHWNIRCFCLTFLYNNSG >itb07g17860.t2 pep chromosome:ASM357664v1:7:22160620:22163174:1 gene:itb07g17860 transcript:itb07g17860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDALNDLRPIYGLIFLFKWRPDEKDDRLVIKDPSPNLFFASQVINNACATQAILSILLNSPDIDIGPELAQLKEFTKNFPPELKGLAINNSEPIRGAHNSFARPEPFVPEEQRAAGKDDDVYHFISYIPVEGILYELDGLKEGPISLGPCPGGNNDIDWLRLVQPVIQERIEKYSRNEIRFNLMAVIKNRKDMYTAELKELQRKRERILQQLATLQSERLVDSSNVEALNKSLTEVNSGIEAATEKILMEEEKFKKWKTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKASKS >itb07g17860.t1 pep chromosome:ASM357664v1:7:22160620:22163174:1 gene:itb07g17860 transcript:itb07g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDALNDLRPIYGLIFLFKWRPDEKDDRLVIKDPSPNLFFASQVINNACATQAILSILLNSPDIDIGPELAQLKEFTKNFPPELKGLAINNSEPIRGAHNSFARPEPFVPEEQRAAGKDDDVYHFISYIPVEGILYELDGLKEGPISLGPCPGGNNDIDWLRLVQPVIQERIEKYSRNEIRFNLMAVIKNRKDMYTAELKELQRKRERILQQLATLQSERLVDSSNVEALNKSLTEVNSGIEAATEKILMEEEKFKKWKTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKASKS >itb06g16410.t1 pep chromosome:ASM357664v1:6:20575582:20581060:-1 gene:itb06g16410 transcript:itb06g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQSFMLLRSFLILTALSLQVSAGFNLPSWRAASVLVHFKEGNGNGNAHLIDPASHNTSVPTIFGWKRHSPSEAPNPHEIFNPSHYSDAPSKPTFRQQFMKWMHRFAFPPSYSSSKHNRGRNRLNKFAPGPGPSSQGHWHSISPSQSSFPSSASDSLAPQASATAPSSHFNMPALQPASWSSWKDKKMTPPPLPLLTLPPPPPNQDCASVRCTDPLTYTPPGSLCGCVRPIEVGLRLSITLYAFFPLVSELSKEISASILLKRSQVRIMGANAVNQQLEKTIVLVNLVPTEENFNATTAFAIYDKFWKREVSLKKSLFGSCDVVYVRYPGLPPSPPSLPSSGASIDDQPDPGNNRDGSRIKPIGVDVSRPKKSGMGRNMITVIALSSVTAFVVCVGVIWLLSLKLRGRTYQAVQPPHNSAPSHGKASGAVGSLTIGTKPSSTSMSINSSILAYAGTAKIFSAYNIEKATDNFNATRILGEGGFGLVYRGTLDDGREVAVKILKRYDRHGSREFLAEVEMLSRLHHRNLVKLIGICTEDHCRCLVYELVPNGSVESHLHGLDMKASRLDWYARMKIALGAAQGLAYLHEDSNPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKQISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTTKEGLEMLIDSGMKSDIPFDSVIKFAAIAAMCVQPEASHRPFMGEVVQALKLICNEFDETREPMSRSCSQEELCIDMDSRETGEFVEGLHAHEAISIYDSSFDAKIPLSAGDLKSSSARLEAPESEPIKREFYSAPLGTSTKRNFWQRLKILSKGGTSEDGFSSNL >itb06g16410.t2 pep chromosome:ASM357664v1:6:20576182:20580562:-1 gene:itb06g16410 transcript:itb06g16410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQSFMLLRSFLILTALSLQVSAGFNLPSWRAASVLVHFKEGNGNGNAHLIADPASHNTSVPTIFGWKRHSPSEAPNPHEIFNPSHYSDAPSKPTFRQQFMKWMHRFAFPPSYSSSKHNRGRNRLNKFAPGPGPSSQGHWHSISPSQSSFPSSASDSLAPQASATAPSSHFNMPALQPASWSSWKDKKMTPPPLPLLTLPPPPPNQDCASVRCTDPLTYTPPGSLCGCVRPIEVGLRLSITLYAFFPLVSELSKEISASILLKRSQVRIMGANAVNQQLEKTIVLVNLVPTEENFNATTAFAIYDKFWKREVSLKKSLFGSCDVVYVRYPGLPPSPPSLPSSGASIDDQPDPGNNRDGSRIKPIGVDVSRPKKSGMGRNMITVIALSSVTAFVVCVGVIWLLSLKLRGRTYQAVQPPHNSAPSHGKASGAVGSLTIGTKPSSTSMSINSSILAYAGTAKIFSAYNIEKATDNFNATRILGEGGFGLVYRGTLDDGREVAVKILKRYDRHGSREFLAEVEMLSRLHHRNLVKLIGICTEDHCRCLVYELVPNGSVESHLHGLDMKASRLDWYARMKIALGAAQGLAYLHEDSNPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKQISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTTKEGLEMLIDSGMKSDIPFDSVIKFAAIAAMCVQPEASHRPFMGEVVQALKLICNEFDETREPMSRSCSQEELCIDMDSRETGEFVEGLHAHEAISIYDSSFDAKIPLSAGDLKSSSARLEAPESEPIKREFYSAPLGTSTKRNFWQRLKILSKGGTSEDGFSSNL >itb06g01100.t1 pep chromosome:ASM357664v1:6:2309058:2311798:-1 gene:itb06g01100 transcript:itb06g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKENEIDRMKKENDSMQIELSLLTVPAAGRSLEQSQHVNSVVNETVIQIQQTQPELEDSVAWYEAAGGLKKGGSVFGFGSDSQHYFPEAAKQKNSKSGEPSTDSARDEQLMQLKEENKYILEKVQMLEAQLAALRGINLPSFQSSPNLHGAGSHESSGVGLDDIGLNDDTQFPLQEE >itb06g01100.t2 pep chromosome:ASM357664v1:6:2309062:2311071:-1 gene:itb06g01100 transcript:itb06g01100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKENEIDRMKKENDSMQIELSLLTVPAAGRSLEQSQHVNSVVNETVIQIQQTQPELEDSVAWYEAAGGLKKGGSVFGFGSDSQHYFPEAAKQKNSKSGEPSTDSARDEQLMQLKEENKYILEKVQMLEAQLAALRGINLPSFQSSPNLHGAGSHESSGVGLDDIGLNDDTQFPLQEE >itb15g13650.t2 pep chromosome:ASM357664v1:15:11655983:11656571:-1 gene:itb15g13650 transcript:itb15g13650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASIGCLVLHKRHCCCQRRDGVGTGGGRSPAWLCVMAGVSWPGRSYRLHQSRSTNLLSGLSQSHCYCRGHDAVSSGGGRPPAWLGVVADVAGVAASGLVDGGDWTAAAAGRDFTGVAAGGELTGIEADGADSGVLARDCGCRWSRGWSLGRSSRSRSGSFRL >itb15g13650.t1 pep chromosome:ASM357664v1:15:11656287:11656571:-1 gene:itb15g13650 transcript:itb15g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASIGCLVLHKRHCCCQRRDGVGTGGGRSPAWLCVMAGVSWPGRSYRLHQSRSTNLLSGLSQSHCYCRGHDAVSSGGGRPPAWLGVVADVGE >itb04g06400.t1 pep chromosome:ASM357664v1:4:4162496:4170232:1 gene:itb04g06400 transcript:itb04g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHHPIHHAFFFFFILLLSFPNYSQSLTTHEDDERAILLILKQHWGYPFSLEQWNSTSSPCDWPGISCNSNRSVTRISHSRMYTEGSFPDSTILCQLNNLVSINFSSNSLWGTIPANLSTCSKLETLDLSTNYLKGKIPGELFSMKTLRSLNLRNNMLFGEIPTPMVAYSLESLDLSYNLLNESIPKDIGNLYNLSYLDLSMNSFSGSIPYDIGNLYNLGYLDLSINSLSGPIPNALLDLQQLSHLSLSFNKLTGEIPSQLFSMKKLRSLNLGQNMLSGEIPTPRVVYSLLEYLDLSSNHLNGSMPEDIGNLYHLSSLDLSNNSLNGSIPDNIGSLYNLGHLDLSNNSFSGPIPARLLRLHNLHYLSLASNNLSGEIPVKLDLFSLTHIDLSDNQFSGDICKTVSNIWDTPTLDTLWICLNHFSGRIPYELVKGKFPYDICFDKVNLCSCLIDKKELPICPSQWLSDIMPLHINCSSNKPSKSKKIIITCVAIAALLIIGLGILILVFRPKGERREENDGEEEQTMIPFQRLEFSEGEILGGLTDENLIGNGGSGKVYRVTTTQGQSVAVKSIRHEPRQGHRLQKQFLAEVQILGGIRHCNIVKLLCCITGNNTKLLVYEYMDKQCLYRLLHGKKKGLTVVETSAQVLHWERRLNIAIGAAQGLKYMHHDCSPPILHRDIKSSNILLDSEFNAKIADFGLAKIPASEANPETASAIVGTFGYIAPEYCSTLKVNVKSDIYSFGVVLLELATGREAVTPNEDINLAQWAYKHQREGNSVADVLDEEIKDPHYLEAATILFKLGLACTLSSPSSRPSMKYVLQILQRCNNAFERAIPNGATETQINSLACVFKLVRSCPHLKPLLHIAAVKTPGVGGGHVPPPAPIPATVPPPPSPPISVTQSTPAAIGQKSLKSVLDAIVLNCLKQHYWGNPSSLQQWDSTSSPCDWPGISCDFDGSVTQIYLSEMNLQGSFPSKIICQLNNLSSIDFSYNSLWGSIPVGLSSCSKLEDLDLSANNFTGKIPGELFSMKRLRNLYLKYNILSGEIPTISMVAHSLEYLDFSNNHLNGSIPEDIGNLYNLVHLDLSLNSFRGPIPAELFSMKKLRNLYLKYNMLSGQIPTIPMVAHSLEYLDLSSNHLNGSIPDDIRNLYNLRNLDLSNNSLNGSIPSELFSMKRLRILYLQCNMLSGEIPMIPMVAHSLVDLDLSSNHLNGSIPKDIGNLYNLSYLDLSMNSFHGPIPGELFSMKMLLSLCLRENMLSSEIPTPMVVHSLVGLDLSRNQLNGSIPGELFSMKRLRSLYLQYNMLSSEIPTTMMVHSLEDLDLSSNQLNGSIPDDIGNLYILGYLKLSNNSLSGPIPAGLLQLQHLSQLSLSYNKLSGEIPTSWAAYSLEDLDLSSNHLNGLIPEDIWNSYNLIRLDLSNNSLRGPIPGVLLQLPQLSHLSLSYNKLSGEIPATLFPLLNLSNIDLSHNHLSGTIPKTFGELLKQRDKLTIDICFNNISGRIPYKLVKERFVHSCFDEANLCSDVKEKGLPACSTEWCSDYTIRGYMDCSSKSKRKSNYIIITCGAIAGILIGLGILILVLRPRVGRRKERDGEEWSMISFQRLKFNKWDILGGLIDENLIGNGGSGKVYRVITKKGQKVAVKSIRLEQKERQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLLVYEYVDKQCVHKWLYGKKRGLTTQVLQWERRLKIAIGAAQGLCYLHHNCSPPIVHRDIKSSNILVDSDFNAKIADFGLAKMMASEGNPETASAIVETFGYIAPEYGSTRKVDAKSDIYSFGVVLLELTTGREAVTGNEDINLAQWAHKHQREGKSAADALDEEIKEPRYLEAMIIIFKLGLACLACVFKVVPSCPHLKPLLYAAAVTTPGNDSGDCTTASVTTNSRNP >itb10g09560.t1 pep chromosome:ASM357664v1:10:12852220:12853917:-1 gene:itb10g09560 transcript:itb10g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATSPSVLPVWKRELFSARNPPCVTYKHPEYDVLPQSKVTTMPSDNIMLHRAFFFGPAHLSSLRRSLPPHLRNRSTFEVLTAFLWRCRTMALGYHPDDEVRALCIVNLRSRTTGLGSSIPPGYYGNALAHVAAITTAGELTRNPLGYAVELIMKVKGVVSEEYMKSLVDFLVIKGRPGYNMTRTYLVSDVTRAGLSEFDFGWGKPRYGGPAKGTVAGFHIPYKNKRGEEGILVPIRLPAIAMDRFAKEIEKLEGDESLVKSSL >itb12g08670.t1 pep chromosome:ASM357664v1:12:6809362:6809769:1 gene:itb12g08670 transcript:itb12g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIARTDFKRNGIIPPHTHPRATEVVFLLQGKLYVGFVSSNPLNGQKNKLYSKTLNPGDVFIFPKGLVHFFYNVGRTNAVMFSAFSSQNPGFVSVANSAFGSEPPISDDVLTKAFRLKKNDIDSLQSQDWDLV >itb04g23900.t1 pep chromosome:ASM357664v1:4:28820453:28827694:-1 gene:itb04g23900 transcript:itb04g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MEGGAASSSHSPTIKPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEVALKDYGGESFQVIDNGCGISPHNFKVLALKHHTSKLSDFPDLQSLTTFGFRGEALSSLCALGDLTVETRTRNEPVATHLIFNHSGLLIEETKTARQVGTTVTIKKLFSNLPVRSKEFHRNIRKEYGKLITLLNAYALIAKGVRLLCTNITGRNTKSVVLKTQGNGSLKDNIIAVFGMSTFSCLEPVKVCVQDGCTIDGFISKSGNGSGRNSGDRQFFFVNGRPVDMPKISKLVNELYRAANSKQFPIAIMDFIISPRAYDVNVTPDKRKIFFSDECSILRSLREALEKIYSPDLASYSVNTLEDITQEKHNLKSYAHHGRLELRLKQKFSDCTVPDRQSDSREKSADAEILRTVEEDISSSPDAVIIQNNEVSPTTKDFRLRFHCTEKNSQHCGSPEKQLMDLTSDVTDNYALLCPRSMEKGSNKGASFMGRSNIMQSSLTKFVTVNKRKHDSISSPLSEVPLLRNGTIQSTENNSAKHNTFSRPAEDYNMVDDSDESNKNRAESPALSKLDKILNHMKNVIPNTDRKPQGENIEERKGEKKELPSTGSELDASSSKYFPDVSEDKTDTTPQQPCGVSSDSQKVSSDPKIGPTMQFSFKDLMKRRKQRLARLQLNATASRHMEMQRGYAAASLELSQPLNEEGKTKALAAATSELERLFRKESFAQMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNYERLSESTILNQQPLLRPLKMDLSPEEEIVVSMNMDTIRKNGFSLEEDLHAPPGLRFNLKAVPFSKNITFGVADVKELISILAGSQEDCHIMLSYRKDTSDSICPPRVRAMLASRACRSSVMIGDPLGRNEMQKILEHLAHLKSPWNCPHGRPTMRHLIDLTTVHKRLDPDPTL >itb01g21490.t2 pep chromosome:ASM357664v1:1:27620270:27624644:1 gene:itb01g21490 transcript:itb01g21490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGNNLRYVASELASDIIIHIGDVKFYLHKFPLLSKSAHLQKLVTNSNEGNGDEVHIHDIPGGHTAFETCAKFCYGMTVTLNAYNLIAARCAAEYLEMNESVEKGNLIYKIDVFLNSSILKSWKDSIIVLQTTKSHLPMCEELKLVGHCVEAIASRACIDVSKVDWSYTYNRKKIHEENDIDPNLHGARSRMVPKDWWVEDLSELEVVDTYKRVIMSIKNKGIVSDEVIGEALKAYASRKLQGFGKGLIQNNDASKYSSILDTVVWLLPAEKGSVSCGFLLKLLKLSISLDLGEHTKGELVKRIGHQLEEASVNDLLIRASNGDTTSLYDVHVVQQMLKEFMSRDQDSDETSGEINHAQKPGILSEASKLMVAKLVDGYLAGIAEDPNLPSSTFVGLAEMVSSFPRPSHDGLYRAIDMYLKRHPGISKSERKRICRLMDCKKLSVEACMHAVQNERLPMRVIVQVLFFEQVKANAASSGCSTPDLPRAIKDLNSTSYGSSRSVATNPEEDWDAVASADELKALRGELAALRLGNRSAAERVKSNNNNNNADKAAISKVKGLLMSKRMFSRMWSSSSKGGQGGENSGSDSSDSLGSTMEEAKSSPLRKGRHSVS >itb01g21490.t1 pep chromosome:ASM357664v1:1:27619485:27624736:1 gene:itb01g21490 transcript:itb01g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGNNLRYVASELASDIIIHIGDVKFYLHKFPLLSKSAHLQKLVTNSNEGNGDEVHIHDIPGGHTAFETCAKFCYGMTVTLNAYNLIAARCAAEYLEMNESVEKGNLIYKIDVFLNSSILKSWKDSIIVLQTTKSHLPMCEELKLVGHCVEAIASRACIDVSKVDWSYTYNRKKIHEENDIDPNLHGARSRMVPKDWWVEDLSELEVVDTYKRVIMSIKNKGIVSDEVIGEALKAYASRKLQGFGKGLIQNNDASKYSSILDTVVWLLPAEKGSVSCGFLLKLLKLSISLDLGEHTKGELVKRIGHQLEEASVNDLLIRASNGDTTSLYDVHVVQQMLKEFMSRDQDSDETSGEINHAQKPGILSEASKLMVAKLVDGYLAGIAEDPNLPSSTFVGLAEMVSSFPRPSHDGLYRAIDMYLKRHPGISKSERKRICRLMDCKKLSVEACMHAVQNERLPMRVIVQVLFFEQVKANAASSGCSTPDLPRAIKDLNSTSYGSSRSVATNPEEDWDAVASADELKALRGELAALRLGNRSAAERVKSNNNNNNADKAAISKVKGLLMSKRMFSRMWSSSSKGGQGGENSGSDSSDSLGSTMEEAKSSPLRKGRHSVS >itb07g19810.t1 pep chromosome:ASM357664v1:7:24270560:24274978:-1 gene:itb07g19810 transcript:itb07g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLAPEKQHSFIHNGQKVFEWDQTLEELNIYINLPENVPKKLFYCKIESKHLEVGIKGNPPYLDHDLSNHVKTDCSFWTLEDDIMHVTLQKRDKGQTWSSPIIGQGQLDPYTTDLEQKRLMLQRFQEENPGFDFSQAQFNGNCPDPRSFMGGIRST >itb07g19810.t2 pep chromosome:ASM357664v1:7:24270560:24274978:-1 gene:itb07g19810 transcript:itb07g19810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLAPEKQHSFIHNGQKVFEWDQTLEELNIYINLPENVPKKLFYCKIESKHLEVGIKGNPPYLDHDLSNHVKTDCSFWTLEDDIMHVTLQKRDKGQTWSSPIIGQGQLDPYTTDLEQKRLMLQRFQEENPGFDFSQAQFNGNCPDPRSFMGGIRST >itb01g30610.t1 pep chromosome:ASM357664v1:1:34572464:34574208:1 gene:itb01g30610 transcript:itb01g30610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYPWADGPEFVTQCPIQPGTKFSQKIVLSDEEGTLWWHAHSDWSRATVHGALLIYPKKGSQFPFPKPSAEVPIILGEWWKSDIKDVLTEFTTSGGDPIISDAYMINGQPGDLFPCSKNDTFKLTVEYGKTYLLRMVNAVMNNIMFFSIANHNITVVGSDGSYMKHFTSDYIAISPGQTIDFLLEANQPRNHYYMAARAYSSAPGATFNRNITTAIVEYRGNYTPSFSPIMPNLPVFNDTNSSVTFTAKLKSLADKKHPINVPLNVTTNLLYTVSLNTLPCPNNSCAGPRGSRFSASINNLTFALPRIDILQAYYKNINKVFTREFPDFPPLNFNFTASNLSVELRLPDRRTEVKVLEYGTVVELVFQGTNLLSGIDHPMHLHGYSFYVVGWGFGNFNKTTDPQKYNLVDPPLVNTISVPRNGWTAIRFKADNPGVWFMHCHFERHATWGMEMAFIVKNGKRSNQKVLPPPPDMPKC >itb05g26110.t4 pep chromosome:ASM357664v1:5:30167631:30171269:-1 gene:itb05g26110 transcript:itb05g26110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRKTCLQCQASSSSSSSSSDGFRNGWRIRSGEFAQLCRRCASAYDEGKFCETFHSNDDGWRDCEGCGKLVHCGCIVSFNTYLLLDFGGIMCMECSKISFILARNCCMYPASPLPFDDPQQDPSRKNQKESQYCPHANGPELQQIYTIYPPLLTYLSPGSIGTPLFEKVLSATDTDLKLSRLFIPKTYAETFFPDLCGRHGMPISILDTEGKQWEFFIRCWPNPSSKTYVLEGLRDYIIAKKWQAGDIVTFCQTKPGGKLMMGLRKTSTGSASS >itb05g26110.t2 pep chromosome:ASM357664v1:5:30166647:30170384:-1 gene:itb05g26110 transcript:itb05g26110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIEAVLMTTGHSCSLDCCAGVSSAYDEGKFCETFHSNDDGWRDCEGCGKLVHCGCIVSFNTYLLLDFGGIMCMECSKISFILEHFLFLQAYPPNSVLSATDTDLKLSRLFIPKTYAETFFPDLCGRHGMPISILDTEGKQWEFFIRCWPNPSSKTYVLEGLRDYIIAKKWQ >itb05g26110.t1 pep chromosome:ASM357664v1:5:30166471:30170384:-1 gene:itb05g26110 transcript:itb05g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIEAVLMTTGHSCSLDCCAGVSSAYDEGKFCETFHSNDDGWRDCEGCGKLVHCGCIVSFNTYLLLDFGGIMCMECSKISFILEHFLFLQAYPPNSVLSATDTDLKLSRLFIPKTYAETFFPDLCGRHGMPISILDTEGKQWEFFIRCWPNPSSKTYVLEGLRDYIIAKKWQ >itb05g26110.t3 pep chromosome:ASM357664v1:5:30167631:30171269:-1 gene:itb05g26110 transcript:itb05g26110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRKTCLQCQASSSSSSSSSDGFRNGWRIRSGEFAQLCRRCASAYDEGKFCETFHSNDDGWRDCEGCGKLVHCGCIVSFNTYLLLDFGGIMCMECSKISFILEHFLFLQAYPPNSVLSATDTDLKLSRLFIPKTYAETFFPDLCGRHGMPISILDTEGKQWEFFIRCWPNPSSKTYVLEGLRDYIIAKKWQAGDIVTFCQTKPGGKLMMGLRKTSTGSASS >itb12g16910.t1 pep chromosome:ASM357664v1:12:18549962:18550402:-1 gene:itb12g16910 transcript:itb12g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCDGGDGARQRRSPPSRVEAAAPLTCSSSEKQSSSSPAILLSRSSSGSSGGSGNFDAFDPPSPAMSPVSEQSSGDMASPFGVSSVRQWSSSVAHGVWRWCVWVYGRCKHELLGEME >itb05g22210.t1 pep chromosome:ASM357664v1:5:27687639:27690603:-1 gene:itb05g22210 transcript:itb05g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSEATRLDEVDRTLYSTFCSAANSLSQLYTQAMQHQRLSFHAGERHALEKLYNWMLRQQEDGNRVNPSDIVAYLQHELDYGAEEPPTSQRLPLQQQQSQTPMHIPNSGFPVSSTSFGPATASNGLRSGHSDQQPKNSVFSNALSSPIRRSLQHYHPVQGGFPQNAPSSAGGTRLSDNNYLQSRDVNLQSSNDTSMDMHSDPPDHEFPY >itb01g04040.t1 pep chromosome:ASM357664v1:1:2690423:2692288:-1 gene:itb01g04040 transcript:itb01g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFPKESNDVVISHCLALPSPPGNENFSAVKSFCAAIQMLLRRKSHHLHINTFPFSASPPTLKPDWREKIKHAQLVNQISTILTQRNPAHWPSLLKPFHLNSNLTAPLFLQILRKTQPHPPISLTFFNYAKKNLGFKPDLKTQCRLAVVLSGSGLPNQSKPVLDSLIQAYPATQIVSSLVKGTDFDKIDTFSSLFSSLLECYCNKGLYVQGLEVYRRAKECGYLVPVHICNALLSILLEKNEVKMFWCFLGLIIRDGVSGNLLTWSLIAQALGKDGKFEMIIKILGMGMHSPVMYNLVIEGYSKRGDFKAAFYNLNEMCNEGFNPSFSTFSFILDGACEYHDVKVIDEVVNSMVGKGYLPELVIAEYDSVIQKFAHLGRTFAAELFYRRAMEEKIELQGTTYGSILRAFSKAGRVMDAIKLYDFIVAKKFEINDNKYFYSFLNVLCEENPSEDISRLLKDLIERGLSPSVDQMSKYISSQCEKRRWKEAEELLNVILNNGILPNSICFSSLVKHYCLSKRISSAISLHTKMEVLDGAFDVTTYTVLLNGLFREKRIEDAIRIFDYMRTHNALSSDSFSVIIRGLCLEKNFRKAMSLHDEMLKLGLKPDRKKYKHLISGFK >itb02g25030.t1 pep chromosome:ASM357664v1:2:25752118:25754704:-1 gene:itb02g25030 transcript:itb02g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKGISDAIRVVPHFPKRGIMFQDITTLLLDHKAFKDTVDIFVDRYRHMNISVVAGVEARGFLFGPSIALAIGAKFVPLRKPKKLPGEVISEAYVLEYGNDCLEMHTGAVERGERALIIDDLVATGGTLSAAIRLLERVGAEVIECACVVGLPEVKKARLLNGKPLYILVEPSKWQML >itb02g25030.t3 pep chromosome:ASM357664v1:2:25752883:25754704:-1 gene:itb02g25030 transcript:itb02g25030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKGISDAIRVVPHFPKRGIMFQDITTLLLDHKAFKDTVDIFVDRYRHMNISVVAGVEARGFLFGPSIALAIGAKFVPLRKPKKLPGEVISEAYVLEYGNDCLEMHTGAVERGERALIIDDLVATGGTLSAAIRLLGVYLH >itb02g25030.t2 pep chromosome:ASM357664v1:2:25752151:25754699:-1 gene:itb02g25030 transcript:itb02g25030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKGISDAIRVVPHFPKRGIMFQDITTLLLDHKAFKDTVDIFVDRYRHMNISVVAGVEARGFLFGPSIALAIGAKFVPLRKPKKLPGEVISEAYVLEYGNDCLEMHTGAVERGERALIIDDLVATGGTLSAAIRLLESAIAEWETSVYPRRA >itb11g07410.t5 pep chromosome:ASM357664v1:11:4540087:4542134:1 gene:itb11g07410 transcript:itb11g07410.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPIVYEKKERRAPRSTLIDVDEYAVEPIDQQEIFDHLRDIKDPEHPYSLEELKVITEDAIEIDDKRNHVTVTFTPTVEHCSMATVIGLCLRVKLMRCLPSRYKVDIRVAPGSHATEAAGLSLLPSMRAVCCGLSVCFCASSVTSTPSLTLNLNS >itb11g07410.t3 pep chromosome:ASM357664v1:11:4538932:4542134:1 gene:itb11g07410 transcript:itb11g07410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPIVYEKKERRAPRSTLIDVDEYAVEPIDQQEIFDHLRDIKDPEHPYSLEELKVITEDAIEIDDKRNHVTVTFTPTVEHCSMATVIGLCLRVKLMRCLPSRYKVDIRVAPGSHATEAAGLSLLPSMRAVCCGLSVCFCASSVTINKQLNDKERVAAALENPNLVDMVNECLAPSYEP >itb11g07410.t2 pep chromosome:ASM357664v1:11:4538932:4542134:1 gene:itb11g07410 transcript:itb11g07410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPIVYEKKERRAPRSTLIDVDEYAVEPIDQQEIFDHLRDIKDPEHPYSLEELKVITEDAIEIDDKRNHVTVTFTPTVEHCSMATVIGLCLRVKLMRCLPSRYKVDIRVAPGSHATEAAGLSLLPSMRAVCCGLSVCFCASSVTSTPSLTLNLNS >itb11g07410.t1 pep chromosome:ASM357664v1:11:4538932:4542134:1 gene:itb11g07410 transcript:itb11g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPIVYEKKERRAPRSTLIDVDEYAVEPIDQQEIFDHLRDIKDPEHPYSLEELKVITEDAIEIDDKRNHVTVTFTPTVEHCSMATVIGLCLRVKLMRCLPSRYKVDIRVAPGSHATEAAGLSLLPSMRAVCCGLSVCFCASSVTSTPSLTLNLNS >itb11g07410.t4 pep chromosome:ASM357664v1:11:4538932:4542134:1 gene:itb11g07410 transcript:itb11g07410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPIVYEKKERRAPRSTLIDVDEYAVEPIDQQEIFDHLRDIKDPEHPYSLEELKVITEDAIEIDDKRNHVTVTFTPTVEHCSMATVIGLCLRVKLMRCLPSRYKVDIRVAPGSHATEAAGLSLLPSMRAVCCGLSVCFCASSVTINKQLNDKERVAAALENPNLVDMVNECLAPSYEP >itb13g08140.t1 pep chromosome:ASM357664v1:13:10434370:10434840:1 gene:itb13g08140 transcript:itb13g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKREKSGWSFNVFPGKKQIRAKIMEYVSIMMIIIFIIMINDHHAIIRSSSTINNSNISLCSSTNMPPVTRIAQAADFSIGHYSNHRRRKKEKLNHRHRQQPPAGSPRCRRSSPPAPIGKPAGNNYHSSIIHPLPSTTDNTTITSIVRRHDEQS >itb08g11820.t1 pep chromosome:ASM357664v1:8:11784292:11785121:1 gene:itb08g11820 transcript:itb08g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGRYNRSWAAGSAQGRRSPSETWSSLSSVWQRQRRIAQVAARSSPVVALRVRSSQRAREADDRERVRNTETD >itb04g26700.t1 pep chromosome:ASM357664v1:4:30946893:30947602:1 gene:itb04g26700 transcript:itb04g26700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGAVSDEMEVKAPAAEAWKVYSTLQLAKLVVEMRPDLVEKFEVVEGDGGVGTILKLSFPASTPVFTYSKEKFTVVDEEKRVKEAEVIEGGYLNLGFTLFRVRFEIIEKDEITCITKTTIEYEVKEESAANASFVSTQTFVEIMNAVATYLTTKQL >itb01g00500.t1 pep chromosome:ASM357664v1:1:232054:233428:1 gene:itb01g00500 transcript:itb01g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKGQSSRLTITTKTTPPKLERKYIEKNRRNHLKSLYTDLFSLLPTPKMQERMSLPDQLDETAKYIKCLEQQLEKSRQKKEELVKKESRKRPNNYSSCRNCMAGGEQSEAPHIQVLDTSPGMSVVLINGLESISQFHSIIRVLHKQQGLEVTNATFQIHGNSTLQIVHEQVGKLEMGMICERLKQVIKGCPGGEAIESSEPLNSWDYDIEHDNLGFPILEQFLVQCQNPPPSFFWTAGNNEYYCAQN >itb01g00500.t3 pep chromosome:ASM357664v1:1:231996:233440:1 gene:itb01g00500 transcript:itb01g00500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQERMSLPDQLDETAKYIKCLEQQLEKSRQKKEELVKKESRKRPNNYSSCRNCMAGGEQSEAPHIQVLDTSPGMSVVLINGLESISQFHSIIRVLHKQQGLEVTNATFQIHGNSTLQIVHEQVGKLEMGMICERLKQVIKGCPGGEAIESSEPLNSWDYDIEHDNLGFPILEQFLVQCQNPPPSFFWTAGNNEYYCAQN >itb01g00500.t2 pep chromosome:ASM357664v1:1:231996:233440:1 gene:itb01g00500 transcript:itb01g00500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKGQSSRLTITTKTTPPKLERKYIEKNRRNHLKSLYTDLFSLLPTPKERMSLPDQLDETAKYIKCLEQQLEKSRQKKEELVKKESRKRPNNYSSCRNCMAGGEQSEAPHIQVLDTSPGMSVVLINGLESISQFHSIIRVLHKQQGLEVTNATFQIHGNSTLQIVHEQVGKLEMGMICERLKQVIKGCPGGEAIESSEPLNSWDYDIEHDNLGFPILEQFLVQCQNPPPSFFWTAGNNEYYCAQN >itb11g12570.t1 pep chromosome:ASM357664v1:11:9475455:9479003:1 gene:itb11g12570 transcript:itb11g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYRTRGVDDLSIKSETNKHKIKRKKPRKMGSLPPPPLPEKQEGSADIDPTHLLSLFKAQQKYLNHFFQNLDLSQTLTFAHTLLNAQGTIFFTGVGKSGFVAQKISQTLVSLGIKSAFLSPLDALHGDIGILAPRDLLVMFSKSGNTDELMRLVPCAKAKGVFLICVTSVSPNSLMAVCDLNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMAAKNLSRDEYASNHPSGRIGKSLIFKVKDVMKKKEELPICNEGDLIMDQLVELTSKGCGCLLVIDHDYHLLGTFTDGDLRRTLKSSGERIFTLTVGEMCNRNPRTICPDAMAVEAMQKMESPPSPVQFLPVINDDNVLIGIVTLHGLVSAGL >itb14g18480.t2 pep chromosome:ASM357664v1:14:21484976:21488467:-1 gene:itb14g18480 transcript:itb14g18480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNLILFGFMDICSAKWTGTKAQMHQGFASATSAKGPKKKRRLDEICLERYQQYSRTFIQSWILQGKVLVDGKIVTKAGTPIPEKATVEIIAEVPKYVCRGGHKLEAAIEQLGVDVVGKVALDSGLSTGGFTDCLLQHGAAFVYGVDVGYGQVADKIRRDERVSVIERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNLMKEEATLVTLIKPQFEARRSQVGGGGIVRDPLVHLEVIEKIINGVQTYGFQCKGWIESPLKGAEGNTEFLACFHRAAVKNGGQSGEE >itb14g18480.t1 pep chromosome:ASM357664v1:14:21484832:21488712:-1 gene:itb14g18480 transcript:itb14g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQMLRNPLCSYCAFSSRNSFNFLSKSQSLPQFSAKWTGTKAQMHQGFASATSAKGPKKKRRLDEICLERYQQYSRTFIQSWILQGKVLVDGKIVTKAGTPIPEKATVEIIAEVPKYVCRGGHKLEAAIEQLGVDVVGKVALDSGLSTGGFTDCLLQHGAAFVYGVDVGYGQVADKIRRDERVSVIERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNLMKEEATLVTLIKPQFEARRSQVGGGGIVRDPLVHLEVIEKIINGVQTYGFQCKGWIESPLKGAEGNTEFLACFHRAAVKNGGQSGEE >itb14g18480.t3 pep chromosome:ASM357664v1:14:21485605:21488705:-1 gene:itb14g18480 transcript:itb14g18480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQMLRNPLCSYCAFSSRNSFNFLSKSQSLPQFSAKWTGTKAQMHQGFASATSAKGPKKKRRLDEICLERYQQYSRTFIQSWILQGKVLVDGKIVTKAGTPIPEKATVEIIAEVPKYVCRGGHKLEAAIEQLGVDVVGKVALDSGLSTGGFTDCLLQHGAAFVYGVDVGYGQVADKIRRDERVSVIERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNLMKEEATLVTLIKPQFEARRSQVGGGGIVRDPLVHLEVSICLL >itb07g22610.t1 pep chromosome:ASM357664v1:7:27139405:27139683:-1 gene:itb07g22610 transcript:itb07g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPIGISSMTQTGNGSVIFIRPHVREAGSYTCVFSALRYAPPLLPPQTRISTSGNESPVKRSGSITDEPVAGYDFFSPGIGGNSRKTRSFW >itb15g02570.t1 pep chromosome:ASM357664v1:15:1560810:1562633:1 gene:itb15g02570 transcript:itb15g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCKLPMDTLIDILARLPVKSLMRFKCVCKFFYSFIKNNRQFMDKHYEFRKGKSHCAVIEFEPESYDPDPEPLPNQVFYLIYKESESDDAECIYLDLPNSKTRFVKCCDGMLCLILCRGDLIRSDIVTITSPEIIFDVLVLNPFTREVKVLPFIKVPDKSSPNRPRLKIQFGFGLSNNKTWKVIMLLSFEHPNWEIDSHEIVMVCSQVGDLWSWRQIDVALDSLYLGSLIFDSRDFYFKGKYYWQSSKGHLVWFDIDDEIFGKIERPSNVKGLEFFTVMNESIVAVTMQLPCTENEACTEIWVMNENNNNISWNKQKSIFSSRDMLEYFYPIGFWDPSGHLLVFSGHMEIRYSNYYHDYNIDEELYFKDGFGPCLISIDLETHERKTIFTSQERMSTLTIGLNPAGHVQVCSERSGVTQIQEWNDRNIRWEMGSYLRTFHESLKLL >itb09g13050.t2 pep chromosome:ASM357664v1:9:8377732:8382021:1 gene:itb09g13050 transcript:itb09g13050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITLDNSLATIMNINHLTVETEDTFSSLLELAANNDIEGVKRWIDSDPSSMNEVGQWYGRQKGSKQMVLERRTPLMVAATYGSIDVLKLILSLPEIDVNRSCGRDKATALHCAASGGSRNALDAIKLLLEAGADPKLADANGQHPRDVLAVSPKFLATKLCLENLLRCDSFVEQKLSVLTTNSNSNSPPLSPSSGNQSPSSASDSTSSPKSEKFNQLNASSTSEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTKCNRRVCFFAHTQEELRPLYVSTGSAVPSPRSNTSAANAMDFAAAMGLIPGSPSSVSVMSPSPFTPPMSPSNNGLSNMGWPQQNVPTLHLPGSNLQSSRLRSSLHARDIPTEELSNLPDFDVQQKQLLNELANSLNRSARSKTLAPSNLDDLFSAESSSSGYSDQALAQACFSPTHKSAVLNQFQQQQSMLSPINTNFSPKSTDNSLLQASFGVQSSGRMSPRIMDPISPLSSRVSLLAQREKQQQFRSLSSRDLGSNASGPIDFSSDTWSKWGPSEGKPDWAVKSEEFGKLRRSSSFELANNGEEPDLSWVQSLVKESPQEMKDKSTPHMSTIAGFGATSAEGSSSNSQMDQIDQSTLNAWLEQMHLDQLMAHLGPS >itb09g13050.t1 pep chromosome:ASM357664v1:9:8377732:8382074:1 gene:itb09g13050 transcript:itb09g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLDNSLATIMNINHLTVETEDTFSSLLELAANNDIEGVKRWIDSDPSSMNEVGQWYGRQKGSKQMVLERRTPLMVAATYGSIDVLKLILSLPEIDVNRSCGRDKATALHCAASGGSRNALDAIKLLLEAGADPKLADANGQHPRDVLAVSPKFLATKLCLENLLRCDSFVEQKLSVLTTNSNSNSPPLSPSSGNQSPSSASDSTSSPKSEKFNQLNASSTSEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTKCNRRVCFFAHTQEELRPLYVSTGSAVPSPRSNTSAANAMDFAAAMGLIPGSPSSVSVMSPSPFTPPMSPSNNGLSNMGWPQQNVPTLHLPGSNLQSSRLRSSLHARDIPTEELSNLPDFDVQQKQLLNELANSLNRSARSKTLAPSNLDDLFSAESSSSGYSDQALAQACFSPTHKSAVLNQFQQQQSMLSPINTNFSPKSTDNSLLQASFGVQSSGRMSPRIMDPISPLSSRVSLLAQREKQQQFRSLSSRDLGSNASGPIDFSSDTWSKWGPSEGKPDWAVKSEEFGKLRRSSSFELANNGEEPDLSWVQSLVKESPQEMKDKSTPHMSTIAGFGATSAEGSSSNSQMDQIDQSTLNAWLEQMHLDQLMAQ >itb04g25690.t1 pep chromosome:ASM357664v1:4:30232084:30233502:1 gene:itb04g25690 transcript:itb04g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGGGFEVIQHEQLWDHHHHHHRYGDSVSEMGISIVGGGGASSNGAAGELSQWVEHVTRQLIEDLPESEEVAAPPPPRGEAVQPSTTAGCQESKRSSGDDDDDGGMRLISLLLECAVAISVDNLGEAHRMLLELTQMASPYGASCAERVVAYFANAMASRVINSWLGICSPLINLKTLHSSFQIFNNVSPFIKFAHFTSNQAILEAVHAHARVHIVDLDIMQGLQWPALFHILATRVDGPPPHLKMTGLGTSMDLLVETGKHLSSFAKRLGLSFEFHPVGKKFGEIDDVSALQIRRGDAVAVHWLHHSLYDATGPDWKTMRLLRQVSPTVITLVEQEIVHGGSFLDRFVGSLHYYSTVFDSLGALLPSDDASRHTVEHCLLRREINNILAIGGPARSGEDKYRQWRSELLGNGFLQVGMSRNSIAQAQLILNMFPPGHGYSLVQGDGTLRLGWKETSLYTASAWTSPAPNS >itb09g03010.t1 pep chromosome:ASM357664v1:9:1707143:1708958:1 gene:itb09g03010 transcript:itb09g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRINIGNLALKFPAKYRTPVDSCYCKIKLSGGFATQFSTVPVIQDAEGVVETRVHACYTLKKSELEKMVERLKGKCCSLKIEIFSGRSGIGCGFFNGGKRVGSVAVALDLKGLENSASSSRGCVLQNGWVEIKGSSGAGLHVNVKSEPDPRFVFQFDGEPECSPQVFQVNGNVKQPVFSCKFSFRNSCDRNLRSRSSLSEPSTSANCFSGTDENEKPGKERKGWSITIHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPGQGTWKPWGRLEAWRESGAGDPLGFRFELIPDGITDTVTLVNSTISMKNGGNLNIDIMNGPTPLTSPSSSFDFGSGSWSGPGSDFGSNQGSGSWAHMLYRGFVMSSTVAGDGKRSMPEVEVGVQHVSCTEDAAAFVALAAAMDLSMDACRSFSQKLRKELRQADRE >itb03g15560.t1 pep chromosome:ASM357664v1:3:14875968:14878622:1 gene:itb03g15560 transcript:itb03g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRIPLPSLQSPFHVIPQGSGSFAASLWHGRHCSGGGMNQKVACEASRKLLRVQNFDKFSNRGHLRVFCRANLDGGLGEEPFEFRDGLSQESEGCCTISEKSVSGSGYGGKGSNFPSQFDFLEPGMLGIVPEPPNWPEREALLWASVEHRAKNFELPLSLRMIKKKQQWEVGVGGLEELSCSVRKAFSSMVFIIVGLQSYALQMREALCDEELELIVSKVQREMHLSFAWMFQQVFSRTPVLMIHVMTLLADFSVYSASHNVGTSLQGSTKTLPGLSSPPVFIQKPNVAPEELSLDQELSESETLLWNSIVNEATNMGTREDFGLNHEFVSPLSVEYEPDDLTAYYRTDFLYQIMLSQDPHNPLLLCNYAQFLQLITRDYDRAEECFKRAIQVEPLDAEVLRQYASFLWRVRNDLTGAEDVYLQAIAAAEKGNSYYTSEYASFLWSTGGEETCFPLD >itb15g01250.t1 pep chromosome:ASM357664v1:15:769818:773883:-1 gene:itb15g01250 transcript:itb15g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSFKYVILGGGVAAGYAAREFSKQGVKPGELALISKEAVAPYERPALSKGYLFPEGAARLPGFHVCVGSGGERLLPEWYTEKGISLILSTEIVEADVASKTLISAAGESFKYEVLIIATGSTVLRLSDFGVQGADSKNIFYLREIDEADKLVEAIKAKKNGKAVVVGGGYIGLELSAVLRMNNIDVTMVFPEPWCMPRLFTASIAAFYEGYYENKGIKIIKGTVAVGFDTHPNGEVKEVKLKDGRSLEADIVVVGVGAKPLTTLFKGQVEEEKGGIKTDAFFKTSVPGVYAVGDVVTFPLKLYNEQRRVEHVDHARKSAEQAVKAIFASEKGTSIDEYDYLPYFYSRAFDLSWQFYGDNVGETVLFGDNDPKSPTHKFGQYWIQNGKVVGAFLESGTPEENKAIAKVARVQPPALSLDEMANQGLTFASKI >itb05g23570.t2 pep chromosome:ASM357664v1:5:28636488:28639203:-1 gene:itb05g23570 transcript:itb05g23570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMAEPDSSNGVYVEPEAIGDGEDSSDFTNPKQTPPAPEITLRLLLNRIAAAVFFSDPDTPGPLLHRLKVSLSENIPLLREASQNTARNVYTWTRQGSPFRALLVASVGTIALLALTGLLTFMVFFVLATINAIVVSLLMSLAAAGGFLAIFFACLTGIYIGALSLAVFVISTTTISAIVVAFIATG >itb05g23570.t1 pep chromosome:ASM357664v1:5:28636488:28639203:-1 gene:itb05g23570 transcript:itb05g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMAEPDSSNGVYVEPEAIGDGEDSSDFTNPKQTPPAPEITLRLLLNRIAAAVFFSDPDTPGPLLHRLKVSLSENIPLLREASQNTARNVYTWTRQGSPFRALLVASVGTIALLALTGLLTFMVFFVLATINAIVVSLLMSLAAAGGFLAIFFACLTGIYIGALSLAVFVISTTTISAIVVAFIATGWIGFFFTVWLVMTKSFSLAKHTMNVTGSALSAYSSARHAKNE >itb06g15200.t1 pep chromosome:ASM357664v1:6:19566870:19568323:-1 gene:itb06g15200 transcript:itb06g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLGYREALVISSATMAKEALKTNDLAFSSRPSFIGQQSLRRVKQLQPIREDEVSQMINPISKRAFSYQQVNLSEIAMSLTSSVTCRSAFGLSYDEEGQEKRRFHKLSNEAQAMFAGGSLVADFFPLFGWIIDKLTGKVARLERVFKGLDSFYQELIDKHLQPNRPKSMDGDIIDILLGLMEENSSLVNLTWDHIKAVLMNVFVGGTATSAVVIIWAMTALMKDPRVMNKVQQEIREFIGREIISKCTIDKYAIKPKTIVIINAWAIARDHEYWKDPEEFYPERFLECNIDYKGQNFEFIPFGAGRRMCPGMALGLVVAELALANLLYAFDWELPSWMKKEDIDTESLPGLAMHKKNHLCLFAKSAQV >itb05g08840.t1 pep chromosome:ASM357664v1:5:12593888:12594751:1 gene:itb05g08840 transcript:itb05g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGTTLDPGGMLKVIVQELVISLNPKEIGKVFVKTEELLESGNRLEKAPNGLVWQRVNNLVTMSDISTSVMKTYAKLLFTLMKEAIKRRGIYGSNEFEKHEGLSIFRLIMNKFNDTLVRILLVVAVISFVFAWYDGDKGGERGITAFVEPLVILLILIVNFIVRVWQENNAGKALEALKEIQSRLTTIICKGNKASSLPDKDVVLGNIVELKVRDKVPADMRVLNLFGLILWLEQGPLTKESFLGYLHNRNGEFGIDSNKEGDTIEENKREIQHLKLPHCEDCRME >itb02g12730.t1 pep chromosome:ASM357664v1:2:8786024:8788980:-1 gene:itb02g12730 transcript:itb02g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTYVQLTKEQEAVAENITPGELNQPIDIDRFTCRRCPECGQPLPKSHQPPADEDWTTGIFGCTEDIESCLTGLFCPCVLFGRNVESLNEEISQTGACVGHVICVEGGITLAVVTAAMNGIDPDTMCLITEGLLFTWWVCGIYTGMARQALQRKYHLKDAPCDPCLVHCCFHWCAVCQEYREMRNHLEENTSPVTIVEPPPIQEMNVHARKEAESSSSGKEIVPVIQAVEMQPSSS >itb03g20670.t3 pep chromosome:ASM357664v1:3:18538209:18539354:-1 gene:itb03g20670 transcript:itb03g20670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPRSEVSFRRQGSSGLVWDDKLLSGELKKISTKDEGETKEAKPSSVQRSRSSGGRGFRSAEVKPSYDPPSPKVSGCGICGMFGKPVDSKQQPPRKGAAHRPHRR >itb03g20670.t2 pep chromosome:ASM357664v1:3:18532956:18539354:-1 gene:itb03g20670 transcript:itb03g20670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPRSEVSFRRQGSSGLVWDDKLLSGELKKISTKDEGETKEAKPSSVQRSRSSGGRGFRSAEVKPSYDPPSPKVSGCGICGMFGKPVDSKQQPPRKGAAHRPHRR >itb03g20670.t1 pep chromosome:ASM357664v1:3:18532956:18539354:-1 gene:itb03g20670 transcript:itb03g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPRSEVSFRRQGSSGLVWDDKLLSGELKKISTKDEGETKEAKPSSVQRSRSSGGRGFRSAEVKPSYDPPSPKVSGCGICGMFGKPVDSKQQPPRKGAAHRPHRR >itb04g10510.t1 pep chromosome:ASM357664v1:4:10055114:10061944:-1 gene:itb04g10510 transcript:itb04g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFSFSNTSSSSSPFSFTSTPSAAATTSSSSPFGFSMSNPSFSTSSTSFGFGTASSAPSFSFGSSAATTSSVTTGTTLPFGPSVGTTPTTFGANSSSGASTNIFGSGVVTPSFGSSATTASSATTGSTLPFGSGAFTTSNAFGASSLQTTTSVSSPMFGATTSFGASTPFGSSSGSPSLFGTGLTSGSSSNSGTVPSTVSPFGASAPAFGASSASPTPVFSLSSASSSASSASGFLFPTTAAGSLASSSFSSSAPTISFSSATTPSSGSSAPSFSFPTASTTSSASSAPAFSLANTSSVTSTSSMPAFSLSSASILSSTASAVPTFSFSITPGSSSSASSASSSPFLPTSGSTFSFSNAAGTASTTSVSSVTIPSLNSSSSGISAFSFGTSSSLQTSTLVSATSSSVASKPAALNLSTVSSPQFSTVTTTTSASIPAGSVASSAASSTGLSSTFPAVAGSSSAATSATAISGSSTTNAPSFTVPASSFSMSLKTPSTAPSSQPQSTSLPVLGGLTSSSAGTTSVSTSAAQTSSAPIATTSSGTTLSTGAATGTSPKLPSEITGKTIEEVIKEWNAELQERTTNFRKQANAIAEWDRRILQNRDILLKLESEVAKVVETQASLERQLELIETHQDEVDKALQSMEEEAERIYKDEREMLLDDEAAYTRDAMYEQAEFVEREMEKMMEQIKSIINSLNANQGGELTDGMNPLDVVVRILNNQLSSLVWIDEKAEDLSSRIQNLARQGSSADRGLTGPRFLFTN >itb04g10510.t2 pep chromosome:ASM357664v1:4:10055114:10061918:-1 gene:itb04g10510 transcript:itb04g10510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFSFSNTSSSSSPFSFTSTPSAAATTSSSSPFGFSMSNPSFSTSSTSFGFGTASSAPSFSFGSSAATTSSVTTGTTLPFGPSVGTTPTTFGANSSSGASTNIFGSGVVTPSFGSSATTASSATTGSTLPFGSGAFTTSNAFGASSLQTTTSVSSPMFGATTSFGASTPFGSSSGSPSLFGTGLTSGSSSNSASSSASSASGFLFPTTAAGSLASSSFSSSAPTISFSSATTPSSGSSAPSFSFPTASTTSSASSAPAFSLANTSSVTSTSSMPAFSLSSASILSSTASAVPTFSFSITPGSSSSASSASSSPFLPTSGSTFSFSNAAGTASTTSVSSVTIPSLNSSSSGISAFSFGTSSSLQTSTLVSATSSSVASKPAALNLSTVSSPQFSTVTTTTSASIPAGSVASSAASSTGLSSTFPAVAGSSSAATSATAISGSSTTNAPSFTVPASSFSMSLKTPSTAPSSQPQSTSLPVLGGLTSSSAGTTSVSTSAAQTSSAPIATTSSGTTLSTGAATGTSPKLPSEITGKTIEEVIKEWNAELQERTTNFRKQANAIAEWDRRILQNRDILLKLESEVAKVVETQASLERQLELIETHQDEVDKALQSMEEEAERIYKDEREMLLDDEAAYTRDAMYEQAEFVEREMEKMMEQIKSIINSLNANQGGELTDGMNPLDVVVRILNNQLSSLVWIDEKAEDLSSRIQNLARQGSSADRGLTGPRFLFTN >itb07g23300.t1 pep chromosome:ASM357664v1:7:27714284:27714846:-1 gene:itb07g23300 transcript:itb07g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVIYLHFFFFFLFLERALRDYKPQLNIVKHSIPNPALHSEFRLRSGKASFNILLRVDSPLSLFLRSASPISDQRVKFVQK >itb12g21580.t1 pep chromosome:ASM357664v1:12:23890338:23891527:-1 gene:itb12g21580 transcript:itb12g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHESHLHDNPVSAADGVGEEKDENCQPAGDEDIAVAEASHQGYFDFPPESFWVPKDSELDWFDQNATMQRKTSLKLGFSGAGGNRNHNNNKKNFSHRSFSTLNHKHKSSSLIALPKSQKSSGGGGGAEGNLRQNKAATATARLFRSRSAPGGKRALQQGVEPGSPKVSCTGRVRAKREGGKRTGLWKKLRTVLKNRLGAKRVVNKASSESAGSMGFESGRWSES >itb08g06700.t1 pep chromosome:ASM357664v1:8:5742738:5745342:1 gene:itb08g06700 transcript:itb08g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLEEHDYIGLSEVPSMENSEKSCVSSVDSERKAKGLNLKATELRLGLPGSESPERENGSLVEEKNGYSSVGVLGAKRGFSDTINGGSEKWVFSASGGSEADLPKQGGALFSPRGVNGGAKILAPETNTQQSSMASTLMKEAPPHSPRPAQEKKPQVSASPSHGVAPAAKAQVVGWPPIRSFRKNSMVTNPPKTEEGPDGKSGSGCLYVKVSMDGAPYLRKVDLKIYDCYKDLTLALQKMFSCFTIGQSGSPGVPIRDGLSESRLMDLHGSEYVLTFEDKDGDWMLVGDLPWEMFVDSCKRLRIMKSSDAVGLAPRAALEKCKNG >itb08g05300.t1 pep chromosome:ASM357664v1:8:4351710:4366047:-1 gene:itb08g05300 transcript:itb08g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTLCNCHTFLPLLLLLLLHLSPELYAGGSIVKSLPGFEGPLPFHLETGYIGVGESEEVQLFYYFIKTESNPEEDPLFLWISGGPGCSSWFGVVYDIGPLSVDAVDYNGSLPTLSLNPFSWTKVANFIFLDLPVGTGFSYATTPQANSSDSLQAANHGYQFIQKWLDLHPEFVSNPFYVSGDSYSGITVPMITQVISDGIEAGITRINLKGYLLGNPYTTPNNNDYQVPFAHNMGLIPDELYESLVRNCKGKYQDVNDQNLQCLKDTETFNQLISGIYTSHILEPICLDDSDSAKLTKSYGLRRSVTETFKRLRNPTLLPGVKCRDDWYHLCEYWANDQSVREALYVREGTVGRWERCAWNVSFTKTVNNTVPYHASLSTKGYRSLIYSGDHDMMVPTIATEAWIKTLNYSIVDKWRQWMVEGQVAGYTRTYSNGMTFATVKGTGHIPPEWKPLECLAMFKRWIAYEAL >itb09g23720.t1 pep chromosome:ASM357664v1:9:23244006:23247327:-1 gene:itb09g23720 transcript:itb09g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSLFSSHRNARKWQLLDLVFAAFFAAVFVFFLLVFTPLGDSLAASGRQALLSGDSQQRSLLVALVESGREIAPIQFCPADYVDHMPCEDPRINSQLSREMNYYRERHCPLPHETQICLIPPPEGYKVPVRWPESLHKIFHDNMPYNKIADRKGHQGWMKREGPYFIFPGGGTMFPDGAEQYIEKLKQYIPLGDGVLRTALDMGCGVASFGGYLLSKEMLTVSFAPRDSHKSQIQFALERGVPALVAMLGTRRLPFPAFSFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYFVVSGPPVQWSKQDKEWADLQAVASSLCYELIVVDGNTAVWKKPSGDSCLPNQNEFGLELCDEFDEPSVAWYFKLKKCVSRTSSVKGDYAIGSIPKWPQRLIEASSRIVLLKSGINVFEADNRRWTRRVAYYKNSLNLKLGTPTVRNVMDMNAFLGGFAAAIISDPVWVMNVVPAHKPLTLDVIYDRGLIGVYHDWCEPFSTYPRTYDLIHVAAIESLTKDPSSGKSRYV >itb09g23720.t2 pep chromosome:ASM357664v1:9:23242517:23247025:-1 gene:itb09g23720 transcript:itb09g23720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSLFSSHRNARKWQLLDLVFAAFFAAVFVFFLLVFTPLGDSLAASGRQALLSGDSQQRSLLVALVESGREIAPIQFCPADYVDHMPCEDPRINSQLSREMNYYRERHCPLPHETQICLIPPPEGYKVPVRWPESLHKIFHDNMPYNKIADRKGHQGWMKREGPYFIFPGGGTMFPDGAEQYIEKLKQYIPLGDGVLRTALDMGCGVASFGGYLLSKEMLTVSFAPRDSHKSQIQFALERGVPALVAMLGTRRLPFPAFSFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYFVVSGPPVQWSKQDKEWADLQAVASSLCYELIVVDGNTAVWKKPSGDSCLPNQNEFGLELCDEFDEPSVAWYFKLKKCVSRTSSVKGDYAIGSIPKWPQRLIEASSRIVLLKSGINVFEADNRRWTRRVAYYKNSLNLKLGTPTVRNVMDMNAFLGGFAAAIISDPVWVMNVVPAHKPLTLDVIYDRGLIGVYHDWCEPFSTYPRTYDLIHVAAIESLTKDPSSGKSRYV >itb02g10070.t1 pep chromosome:ASM357664v1:2:6483687:6488708:1 gene:itb02g10070 transcript:itb02g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MAMATRQRRPPMPSDQSESKPYTKLDKPEKPDGKDRGEDRGIGWFVPLVCLGMLRYMSATTNIIHDCDETFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYIIFHNIVGLPASWWFGEEKVRVFYSIRIFLGLLSVITESALVVALSLKYGKRLASYTLAMLCLTSGCFFASTSFLPSSFSMYAMSLSSALFLFEKPAMAVAVAATGVILGWPFSILAFLPITIYSLIRRFRPVFFTGAVTSIVVMALSILVDHFYYKKWTSSVVNLLWYNVLGGGESHLYGTEGPLFYLRNGFNNFNFCFVLALLFVGILPFAKKKYAPDLLVVISPVYIWLAFMSLQPHKEERFLYPVYPLICVAASAVIESFPDIFHDKYNPNDPNVLVMIAKFLRPVALGFILCASHARTFSLINGYSAPLEIYKHLSYHDDVGAGATLCVGSEWHRFPSSFLVPSYVDNVQWLDDGFRGLLPFPFNSSLGGTSAAPSYFNNKNKASDKQYLANPEECTFLVELQLKRPFMTRGSDHSKWEVVAALPYLDRELSPPLYRSFFIPYRWQQLNVFGLYKLLRRTEK >itb14g16660.t1 pep chromosome:ASM357664v1:14:19994618:19997110:-1 gene:itb14g16660 transcript:itb14g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGNRRARRCSNLGIGDLGDAATWESEMRSGALALTRMITDLGLEVAVDDGVGGLHVLRQGSDGLGWKLWLESSEARGDGFTYLKAVYLRTSYANCLIDTFPILFWGSFKLTVACIKMNRPDIIAARPDNWPEYYDTESARFIGKQARQFQTWSLAGYLVSKQLLSNPSATKILITKEDSELANAFSCAISSNPGGKNELYALGDIVSIF >itb08g05130.t1 pep chromosome:ASM357664v1:8:4169269:4171064:1 gene:itb08g05130 transcript:itb08g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRCFSVLFLVAGAASIYVVCSSKDSAALTWPGNDMTSTAINNRKLMKDASNARSAYNGDGGKAYTDDYGPIDPVPNSKASVRPGPIQHGTPLMPYIPIPSPPPSSSAFFGIP >itb03g02180.t1 pep chromosome:ASM357664v1:3:1192756:1193615:1 gene:itb03g02180 transcript:itb03g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAYSGRLKYPQGDTCISCRHLFLFVFLTFCVMLSTTTKLTAASLATTTAGSECTGTVGGCMEAVGEEFLMDSETTRRLLDGQPKGHIGYNTLDKRPICGARRYGSCLGNQKNKKMNCNFHNKSCVKP >itb15g13820.t1 pep chromosome:ASM357664v1:15:11904053:11904876:-1 gene:itb15g13820 transcript:itb15g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSYSSLRASSSRFPRPLQTPPFSLPGDWGVHDVPRSDGSKSDKYYLEPGTGKKLRSLREVERYLNGETVSRRRKSRVVIASNRPSSGISTSREERLASPPPSKVNWALASPQGDAWNPSIGETLISDAEKQEWRKGFVSALNMNNAVEERSLATEQQPPQAAAEENIIHQSVEEEGGLRRRSSRTKKLAYHRDFFYN >itb03g14100.t1 pep chromosome:ASM357664v1:3:13964099:13968469:-1 gene:itb03g14100 transcript:itb03g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRYSPFPILHPHSSTMNPSSSSSSCFLLPLGFLILLVLRPVFSAPSSANVSVQLVSLLSLKSSLKDPYDTFRDWDPTSALSKPGFENVWCSWSGIKCDKKTGFVTGINLSGRGLSGEIPADIRYLLHLHHLNLSSNVLGGPLPPVLFQFPFLRSLDVSRNNFSSIFPPGISRLKSLTHFDAFSNNFTGPLPGDVVHLPNLEYLNFGGSYFGGEIPASYGSFVKLRFLYLAGNLLSGPIPPELGFLNQLEHLQIGCNLYSGGVPPQFGSLSSLAYLDIQSANLSGPLPPQLGNLTKLEIFIIYNNSFAGAIPYEFGKLTSLKELDLSDNFLSGPIPTSLSSLQKLRLLSLMNNNLTGQIPEPIGDLPELEILNLWTNNLSGILPQKLGSNEKLQKLDVSTNSLSGPIPPNLCRGNKLEKLILFGNHFIGALPESLANCTALCRVRIQNNNLNGSIPVGFGSFPNLTLMDISRNSFGGQIPRDLGNAGSLVNLNVSQNSFQGELPDNIWKAPSLQVFSGSYSNLSGKIPDFKGCQALANVELQGNNLTGSIPWDIADCQKLIMLNLGLNSLSGIIPWEISTLPSIADVDLSHNFLTGTIPSNFGNCSTLESFNVSFNRLSGPLPVSSSIFSSLHPSSFIGNDGLCGSVIQKPCRSDAPPPENKQQPKKTAGAVVWIVAAAFGICLFVLVVGSRCFHARYSLRFSNNRGIGPWKLTAFQRLNFTADDVMECLSMSDQVIGMGSAGTVYKAEMPGGEIIAVKKLWGKQKETVRKRPGVLAEVDVLGNVRHRNIVRLLGCCSNNECTMLLYEYMPNGSLDDLLHSKNKATNLAADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDNEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILTGKRSVDAEFGDGNSVVDWVRTKLKSKDGIKDVLDKNAGASCLSVREEMMLLLRVALLCTSRNPADRPSMRDVVSMLQEAKPKRKVAGNGGGNGAVGGGDVIPVAQKATFEG >itb07g14850.t1 pep chromosome:ASM357664v1:7:17512591:17514422:-1 gene:itb07g14850 transcript:itb07g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPFSLFVILFLIGTVLVSPITCARELSTKDQDVGHKPISYGALSPPAICNKLRYRSCVEPPKKKCPNPYSRNCSP >itb06g04250.t1 pep chromosome:ASM357664v1:6:6811244:6813992:-1 gene:itb06g04250 transcript:itb06g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHHLLLPPLCISLSAPHHFHSSSPRKAFFSHSKHKQAIQTQKKPIKVNASLQSKTVNFLLSGSLALALSLSGVGFAEGKVGVNKPEMLPKEFTTVIDVAGFLSDGQEKRLAQEIADIEKDTGFKLRVLAQNYPETPGLAIRDFWKVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNIFYWKEKGEDASIESAVMAISACLREPVGANNCSEVK >itb12g21920.t2 pep chromosome:ASM357664v1:12:24133817:24135622:1 gene:itb12g21920 transcript:itb12g21920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLNNVACMRNAQQILRHVNVSLHDGGALVLTGTNGSGKSTFLRMLAGFAKPSAGEILWNGHDITNSGVFEQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGKSLPALELVGLGRLANDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLETIIGEHRKKGGIVIVATHLPITIDDAMHLRLPPRFPRRMTLVDMLDRGGLE >itb12g21920.t1 pep chromosome:ASM357664v1:12:24132889:24135622:1 gene:itb12g21920 transcript:itb12g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLNNVACMRNAQQILRHVNVSLHDGGALVLTGTNGSGKSTFLRMLAGFAKPSAGEILWNGHDITNSGVFEQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGKSLPALELVGLGRLANDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLETIIGEHRKKGGIVIVATHLPITIDDAMHLRLPPRFPRRMTLVDMLDRGGLE >itb09g00390.t4 pep chromosome:ASM357664v1:9:331737:335525:1 gene:itb09g00390 transcript:itb09g00390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMTFFCVFYVAMVLVLISQILSHNMTQDVEMKEQQPAPSNSVSSTDPSVFEHLKDIASLVEAGAYAREVRRIVRAVRLTIAVRRKLKTSALTAFLNYSLTPGSDVHARLSAYLPKEDELDMEVDIASQAPAKHLVPELEIYCYLLVLIYLIDQGKYSEAKACSSASIARLKTLNRRTVDVLASRLYYYYSLSYERTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKTVAEKFSSTFSKDGTNNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSKNPVADAESIVAKAIRDGAIDAILDHGNGCMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNNQKEKESAEKRRERQQQEQELAKHIVEDDDDEF >itb09g00390.t1 pep chromosome:ASM357664v1:9:331281:335525:1 gene:itb09g00390 transcript:itb09g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEQQPAPSNSVSSTDPSVFEHLKDIASLVEAGAYAREVRRIVRAVRLTIAVRRKLKTSALTAFLNYSLTPGSDVHARLSAYLPKEDELDMEVDIASQAPAKHLVPELEIYCYLLVLIYLIDQGKYSEAKACSSASIARLKTLNRRTVDVLASRLYYYYSLSYERTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKTVAEKFSSTFSKDGTNNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSKNPVADAESIVAKAIRDGAIDAILDHGNGCMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNNQKEKESAEKRRERQQQEQELAKHIVEDDDDEF >itb09g00390.t2 pep chromosome:ASM357664v1:9:331281:335525:1 gene:itb09g00390 transcript:itb09g00390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEQQPAPSNSVSSTDPSVFEHLKDIASLVEAGAYAREVRRIVRAVRLTIAVRRKLKTSALTAFLNYSLTPGSDVHARLSAYLPKEDELDMEVDIASQAPAKHLVPELEIYCYLLVLIYLIDQGKYSEAKACSSASIARLKTLNRRTVDVLASRLYYYYSLSYERTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKTVAEKFSSTFSKDGTNNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSKNPVADAESIVAKAIRDGAIDAILDHGNGCMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNNQKEKESAEKRRERQQQEQELAKHIVEDDDDEF >itb09g00390.t3 pep chromosome:ASM357664v1:9:331396:335525:1 gene:itb09g00390 transcript:itb09g00390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEQQPAPSNSVSSTDPSVFEHLKDIASLVEAGAYAREVRRIVRAVRLTIAVRRKLKTSALTAFLNYSLTPGSDVHARLSAYLPKEDELDMEVDIASQAPAKHLVPELEIYCYLLVLIYLIDQGKYSEAKACSSASIARLKTLNRRTVDVLASRLYYYYSLSYERTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKTVAEKFSSTFSKDGTNNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSKNPVADAESIVAKAIRDGAIDAILDHGNGCMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNNQKEKESAEKRRERQQQEQELAKHIVEDDDDEF >itb03g06320.t1 pep chromosome:ASM357664v1:3:4650255:4651046:1 gene:itb03g06320 transcript:itb03g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNHIRSISFPSRSHPSIHKVEEELIKLKTLQVSAAPEAATISSGLLGLEQLYKCMDDLLNLPQTLQALTQYQNKKWVDGLLEKSVKLLDICGLARDCISQLKEHLRDIQSSLRRKKGDSSTEASITKYTSFIKKMNKDAKKSLAAIKKIDGEIEGSTPLDVHRDISAVIKTLTEASAVSTSIFRSVLLFLSVPVLKAKPSRWSLVSKLVQNGRVACECQHDNTCNLETLETQLEEIENKAESIFRCLIKLRSSLLNIVSC >itb07g19040.t1 pep chromosome:ASM357664v1:7:23475706:23485977:-1 gene:itb07g19040 transcript:itb07g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVALFTAKISNQEPLLSIVLTGNHSLPVLELASICIHLTLFLVFISVVSARQICLCFGRIRVSKENSNAQANLGAEPVPVLGRVDVEIRRVEVNKSYKFSILCCFYVLFLCIVLLGYDGVGLIRQAAHKENVNWTFILLPAAQSLAWFVLSFSAIYCKFRAAEKFNLLMRVWWILSFLICLCSLYVDIRGLVSDGSADLSSHVLANFAETPALAFLCFVAFKGDSGVQVYRNSDAEEPLLAQGEPECLKVTPYSNAGFFSLATLSWLNPLLSAGAKRPLELKDIPLLAQKDRSKTNYKLLNSNWEKLKAENPSRQPCLAWAILKSFWREAACNAIFAALNTLVSYVGPYMISYFVDYLAGVETFPHEGYILTGIFFVAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSSARQSHTTGEMVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLIATVISIVATIPLARIQEDYQDKLMAAKDDRMRKTSECLRNMRILKLQAWEDRYRIMLEEMRSVEYKYLRKALYTQALVTFIFWSSPIFVSAITFGTCILLGGTLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLLEEELQGDATVVLPRGTSNVAIEIKDSDFCWDASSMRPTLSGIQLRVERGMRVAVCGMVGSGKSSFLSCILGEIPKVTGEVRVCGTSAYVSQSAWIQSGTIEENILFGSPMDKSKYKSVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYIITALATKTVVFVTHQVEFLPAADLILVLKEGRIIQCGKYDDLLEAGTDFRTLVSAHHEAIEAMDLSSSEEPDQHHPLDGSVLMSKKCESIGANIDSLGNEVQECGSAAEQKAIKEKKKAKRSKKKQLVQEEERERGRVSMKVYLSYMGAAYKGLLIPLIIIAQTLFQVLQIASNWWMAWANPQTPGDEARTSSLVLILVYMALAFGSSWFIFIRAVLVATFGLAAAQKLFLKMLRTVFRSPMSFFDSTPAGRILNRVSVDQSVIDLDIPFRLGGFASTTIQLIGIVGVMTEVTWQILLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFTRPFFCSIAAIEWLCLRMELLSTFVFAFCMVLLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCQIPSEAPVVIEDSRPPSSWPEEGTIELFDLKVRYKENLPIVLHGVTCRFPGGNKIGIVGRTGSGKSTLIQALFRLVEPAEGRIVIDNIDISSIGLHDLRGRLSIIPQDPTLFEGTIRGNLDPLEEHTDEEIWQALDKSQLGDIVREKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKIIRTEFEDCTVCTIAHRIPTVIDSDLVLVLSDGRIAEFDTPARLLEDKSSMFLKLVSEYSSRSSPIPPDL >itb10g01360.t1 pep chromosome:ASM357664v1:10:995397:996350:1 gene:itb10g01360 transcript:itb10g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSINPTDEGLVESLAEEKWRGDEILCQINGFWLMPQFIPAIKRVLTHFKPLPTDIILSSFPKTGTTWLKSLLYSIINRSSIHSLVQNNPHELVPFLEMHIYGECEPTGIPSSTDPNLDSPRIFSTHIPYQLLGNTLESSGCRVVYVTRNPKDTLISMWHFVNRWKGGAEKPWLLDEAVEKFCDGVFPCGPYYDHVLGYRKASLGNPKNVFFITYEELKSDDAKTHVRRLAEFLGCPFGEDEEVEEVVETCSFEVLSRHEVNKSEDLPSWFPVPYNSFFRKASVGDHKNYLQPETIQLIDELTREKFHKSDFIYGI >itb07g02160.t2 pep chromosome:ASM357664v1:7:1326068:1328554:-1 gene:itb07g02160 transcript:itb07g02160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNETGCHPPPEGPILCINNCGFFGSAANMNMCSKCYKDMVLKQEQAKLAASSIENLVNGSSGSEKGPAVVDSADMQNSSVEATAISVPSSLTSSSSDSPELKAGAGAKEGPSRCATCQKRVGLTGFKCRCGNLYCGSHRYSDKHDCLFDYRTAARDAIAKANPVIRAEKLDKI >itb07g02160.t1 pep chromosome:ASM357664v1:7:1326068:1328554:-1 gene:itb07g02160 transcript:itb07g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNETGCHPPPEGPILCINNCGFFGSAANMNMCSKCYKDMVLKQEQAKLAASSIENLVNGSSGSEKGPAVVDSADMQNSSVEATAISVPSSLTSSSSDSPELKAGAGAKEGPSRCATCQKRVGLTGFKCRCGNLYCGSHRYSDKHDCLFDYRTAARDAIAKANPVIRAEKLDKI >itb07g01130.t1 pep chromosome:ASM357664v1:7:704977:708074:1 gene:itb07g01130 transcript:itb07g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITLPSIYSPSQIKSAAKNPFHLPIAPPPSNRACISVFRSDTLNQKLVHHQRIVSMEVNGKQASERYAVVTGANKGIGLETARQLAASGVTVVLTARNEKRGTEAVSLLHNEGLSNVVFHRLDVVDKHSIECLAEHLKTQYGRLDILVNNAGASGVVVDEDALRALNLDPADWLAGKVTNLIQSSIKTTYEKAKECLDTNYYGVKNTTEALLPLLQLSTSGARIVNVSSLRSELRRIPNEQTRELLGDIENLTEERIDETLQKFLRDLKENALEANGWQPMLPAYSISKATLNAYTRLLAKKHPSMCINCVHPGYVNTDLNWHTGPLTIEEGAQGPVMLALLPQGGPSGHYFDQTVMAEF >itb12g24730.t1 pep chromosome:ASM357664v1:12:26164675:26168656:-1 gene:itb12g24730 transcript:itb12g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRTVCSSESVNGGQDVYSCKESGASSPDHLVVMVHGILGSTTDWKFAAEQFVMALPDKVFVHCSASNMAILTLDGVDVMGERLAEEVLELIKEKPGLRKISFIAHSVGGLVARYTIGRLYRSTRRQNTEELSTDTPEEVSKGTIAGLEPMNFITVATPHLGSRGNKQVPFLFGVTAFEKVAGHVIHLIFRRTGRHLFLRDDDEGKPPLLRRMVEDDGELLFMSALRSFKRRVTYSNVAYDHIVGWRTSCIRRNNELPKWEESLNKKYPHIVYEERCKACDGEQGESIVMEDDCQDKLEEELVTFLSRVPWEKVDVSFHTSRMKFAAHSIIQVKVQSVHSEGADVIQHMIDHFLL >itb15g00470.t1 pep chromosome:ASM357664v1:15:284010:285755:1 gene:itb15g00470 transcript:itb15g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGRGASLQEDVPWRAAAVPGTRPIPKINYSPLLQIPQSPYSDYALSVMKHPDPIGAGLGMGAILEAAGPECIVPGQTTPLKLLGLKVWPIEVDLKFLEPVGRELKAIGKFMDSAVDLMNKSFIDR >itb01g03230.t1 pep chromosome:ASM357664v1:1:2118294:2122857:1 gene:itb01g03230 transcript:itb01g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MGSIAKRGLQNYLLQLQQHPLRTKAITAGVLSAISDIVAQKITGIQKLQIKRLILKVVFGFAYLGPFGHFLHILLDKIFKGKKDTKTVAKKVVLEQVTSSPWNNLLFMLYYGLVIEGRPWIQVKSNIAREYPKVQYTAWTFWPVVGWVNHQYVPLQFRVIFHSVIACCWGIFLNLRARTMAIKKA >itb09g28190.t1 pep chromosome:ASM357664v1:9:28842165:28843011:-1 gene:itb09g28190 transcript:itb09g28190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb10g18710.t1 pep chromosome:ASM357664v1:10:24570236:24577297:-1 gene:itb10g18710 transcript:itb10g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPGPVTPGQVSFVFGIIPVFIAWAYAEWLEYKKSASISKVHSDSNLAELENEKIKEEDRAVLLEGGLSKSASTKLPSSSIKTNIIRFLTMEDSFLLENRTTLRAMSEFGGILIYFYLCDRTNLFADSAKNYNRDLFLFLYVLLIIVSSMTSLKKHNDKSAFSGKSLLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVILCCILLNNDYMLYYICPMHTLFTLMVYGALGIGHNYNEIRSVMAIKFLASLLVVILIWEIPGVFDILWSPFSFLLGYTDPAKPDLPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESETKRRRTIKAFVVAVSSMVGYLWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQELRNVSLTLFAWLGKVTLETYISQFHIWLRSNMPNGQPKWLLSLLPGYPLLNFMLTSAIYILVSYRLFELTNTLKTVFIPTKDDKKLLHNFIAGISISVCLYMVSLILLQILT >itb10g18710.t2 pep chromosome:ASM357664v1:10:24570874:24577289:-1 gene:itb10g18710 transcript:itb10g18710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPGPVTPGQVSFVFGIIPVFIAWAYAEWLEYKKSASISKVHSDSNLAELENEKIKEEDRAVLLEGGLSKSASTKLPSSSIKTNIIRFLTMEDSFLLENRTTLRAMSEFGGILIYFYLCDRTNLFADSAKNYNRDLFLFLYVLLIIVSSMTSLKKHNDKSAFSGKSLLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVILCCILLNNDYMLYYICPMHTLFTLMVYGALGIGHNYNEIRSVMAIKFLASLLVVILIWEIPGVFDILWSPFSFLLGYTDPAKPDLPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESETKRRRTIKAFVVAVSSMVGYLWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQELRNVSLTLFAWLGKVTLETYISQFHIWLRSNMPNGQPKWLLSLLPGYPLLNFMLTSAIYILVSYRLFELTNTLKTVFIPTKDDKKLLHNFIAGISISVCLYMVSLILLQILT >itb02g22400.t1 pep chromosome:ASM357664v1:2:21832284:21834006:1 gene:itb02g22400 transcript:itb02g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVTKHRLEILKLARKGKGRSSKGSSSKQKILWLVFAVKQVRNNLAKHVRGWIRREDSSDRLTIVSARNYNLRWKATMLKRKKTNKATMVGMARM >itb14g00650.t1 pep chromosome:ASM357664v1:14:446702:447834:-1 gene:itb14g00650 transcript:itb14g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHFLPLLILFITITLTTASPPSSSSSAPPPMKNLSNDTIYRISKNLCWNCLGEALQFLFRHNLVRASKWEPPLAWDPQLETYATWWASQRKADCRPMHSFPEGDFKLGENIYWGSGSTWTPGDAVKAWADEEKYYDYGANRCAEGEVCGHYTQIVWRKSVRVGCARVVCDDGDVFMTCNYYPPGNYIGEKPY >itb07g17550.t1 pep chromosome:ASM357664v1:7:21778265:21786384:-1 gene:itb07g17550 transcript:itb07g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWNKKARVVVLDPMASYRRSKTTSVARKDMISQLPDDVKEKILECLSTLDAARTALLSTDWKDVWLRHGRLVFDTHFLKCLRKCEGDKRVALVSIINDILLYRAGPVKKFTLYISCPEDTEPHQSDLDRWCRYLSRNGIEELNISISGKKYSLPSCIVSCRTITQLKLNYFDFDCPASDDLLEFSDGKMPINDCVLCVLAALELPMKAVIRCQCVCKQWRSLIDDSDFKLSYRGERRVILLSLGSESQDYNWNSKFLVRSTSHDSRLQRHELPFGEVAHPLIRVSDELPCVSDEYPVRFLCSCNGFVLLMAVRDIFLWNPSTRCLRKVIEFPWRAMLRLVH >itb03g28640.t1 pep chromosome:ASM357664v1:3:29326471:29333761:-1 gene:itb03g28640 transcript:itb03g28640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVLIEPVPSSDETSKPKPPPVKAAEASTDAGSSSAPAAASGYGSDGYETASDTELNETDEAEGKKEEQNLSHSSVKDEKAKEDYASPATSTPSSSSGGNEEAPIQKMESDMDQLHEKAMALANDLKLEGNTLFRDGLHEEALSKYELALQAVKDAPSSSEIQAICHANRAACFSKLGKYTETINECTKALELNPTYVKALLRRAETNEKLEHYDEAIKDMTKILELEPSHDQARRAIVRLKPLADEKREKMKEEMIGKLKDMGNSILGKFGMSVDNFKAVKDPNTGSYSISFQK >itb03g28640.t2 pep chromosome:ASM357664v1:3:29327354:29333728:-1 gene:itb03g28640 transcript:itb03g28640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVLIEPVPSSDETSKPKPPPVKAAEASTDAGSSSAPAAASGYGSDGYETASDTELNETDEAEGKKEEQNLSHSSVKDEKAKEDYASPATSTPSSSSGGNEEAPIQKMESDMDQLHEKAMALANDLKLEGNTLFRDGLHEEALSKYELALQAVKDAPSSSEIQAICHANRAACFSKLGKYTETINECTKALELNPTYVKALLRRAETNEKLEHYDEAIKGE >itb10g02090.t2 pep chromosome:ASM357664v1:10:1697980:1701512:1 gene:itb10g02090 transcript:itb10g02090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFPVISNDGFLSFQEKIKFHSGKSKSLATHNSRIISYSLSNCSTSLSAPLLGLNYSFCKSRQRLLLCSTEVRSLTNERRNVETHFRQRDSNEVRRRRRRVSLRLRPRLRLLSRRLKRFSVRSALNNIGTFLRKNMRVTLSMSISIVLGICYLFLRLTAVASPKVVPYSDLVTSLQSGSVTNVQFEEGTRRIYYNTDPGNLESTETAEDRVSVPSESIAGDGASNEIVRSDRVGSNILNKMSRGRSSAPVWQFSTRKIDHDEGFLLSLMREKGTAYSSAPQSALMSMRSLLITVLSLWIPLTPLMWLLYRQISAANSPAKKRRPANHSVNFSDVEGVDAAKVELMEIVLCLQGSINYSKLGAKLPRGLLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFKVARKNAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDINVIVIAATNRPEALDAALCRPGRFSRKIFVGEPDEDGRRKILSVHLRGLPLEEDMELICNLVASLTQGFVGADLANIVNEAALLAARRGAEYVSREDIMEAIERAKFGIDNKQSSPLGKELGKLFPWVPSLMKMNDTRVTRRDGLQGPLGYQTLS >itb10g02090.t3 pep chromosome:ASM357664v1:10:1697980:1700625:1 gene:itb10g02090 transcript:itb10g02090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFPVISNDGFLSFQEKIKFHSGKSKSLATHNSRIISYSLSNCSTSLSAPLLGLNYSFCKSRQRLLLCSTEVRSLTNERRNVETHFRQRDSNEVRRRRRRVSLRLRPRLRLLSRRLKRFSVRSALNNIGTFLRKNMRVTLSMSISIVLGICYLFLRLTAVASPKVVPYSDLVTSLQSGSVTNVQFEEGTRRIYYNTDPGNLESTETAEDRVSVPSESIAGDGASNEIVRSDRVGSNILNKMSRGRSSAPVWQFSTRKIDHDEGFLLSLMREKGTAYSSAPQSALMSMRSLLITVLSLWIPLTPLMWLLYRQISAANSPAKKRRPANHSVNFSDVEGVDAAKVELMEIVLCLQGSINYSKLGAKLPRGLLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFKVARKNAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDINVIVIAATNRPEALDAALCRPGRFSRKIFVGEPDEDGRRKILSVHLRGLPLEEDMELICNLVASLTQGFVGADLANIVNEAALLAARRG >itb10g02090.t1 pep chromosome:ASM357664v1:10:1697980:1701512:1 gene:itb10g02090 transcript:itb10g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFPVISNDGFLSFQEKIKFHSGKSKSLATHNSRIISYSLSNCSTSLSAPLLGLNYSFCKSRQRLLLCSTEVRSLTNERRNVETHFRQRDSNEVRRRRRRVSLRLRPRLRLLSRRLKRFSVRSALNNIGTFLRKNMRVTLSMSISIVLGICYLFLRLTAVASPKVVPYSDLVTSLQSGSVTNVQFEEGTRRIYYNTDPGNLESTETAEDRVSVPSESIAGDGASNEIVRSDRVGSNILNKMSRGRSSAPVWQFSTRKIDHDEGFLLSLMREKGTAYSSAPQSALMSMRSLLITVLSLWIPLTPLMWLLYRQISAANSPAKKRRPANHSVNFSDVEGVDAAKVELMEIVLCLQGSINYSKLGAKLPRGLLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFKVARKNAPSIIFIDELDAVGGKRGRSFNDERDQTLNQTGSFRCCPLSTWEILQENICRRTR >itb13g05880.t1 pep chromosome:ASM357664v1:13:6977875:6983250:1 gene:itb13g05880 transcript:itb13g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRCCSTIASDKTSEGGTFQLFVIWCSSGRKKASPKDIMKIKIRSFNSLPRPTSTLSQIPSEHCEQHLLSSCKDLSNLLQLHAHFITSGFKINCMVKTHLVNLYASFGKCHFSQLVFDSEQNPPLILWNSMIRAYNRTSQYRAAIQMYDLMTQKGFQPDKYTFTFALKACTEMFDLKKGRDIHDDVSRRNLECDVFIGTGLVSLYCKTGNLKSAREMFDKMPKRDVVAWNAMISGFSQSSEPADALEFFKYMQFSCGVQPNSVSLLNLFPAVCKLMDGKICRSIHGFVYRREFPISVYNGLIDMYSKCGHSNVAHQVFYQMRVRDDVSWGTVMAGYAYTGKFREVLELFDCMKREDLKMNKVSAVSALLGAAEMRDLEKGVEIHNYTIQEGIDSDIMIATSLMTMYAKCGQLEKSKELFRVTQERDLVAWSAIIAAFAQSGYPEEALSVFRDMQHENLLPNNVTLVSVLPACAELMSVKLGKGVHCYAVKAAIDSDLSTGTALVSMYAKCCLFPYALGAFNKMPFKEVITWNALINGYAQTGDSYHALEMFSELRLSGLQPDPGTMVGVLPACALLGDIYQGTYIHGQVIKYGFMSDCHVTNALVDLYAKCGKLSSAEFLFHNSFAKDEVSWNTMIAGYVQNECAKEAISAFRCMVLASFQPNVVTVVSTLPAMSYLTALKEGMAMHAYIVKKGFLFNTLIGNSLVDMYGKCGHLDMAEHVFLEMKNRDTVSWNAMLAAYSVHGNVDHALSIFSHMQENDVEIDSVSFISVLSACRHAGMIQEGRSIFQNMQNKYHLKPELPHYACMVDLLGRAGLFDETMDLIKNMPMKPDAAVWGALLGASRMHSNVELAELALKHLINLEVGNPTHYVELSNIYSQSGRWVDARNTRVRMQATGLKKIPGCSWVK >itb11g21270.t1 pep chromosome:ASM357664v1:11:22772198:22772862:1 gene:itb11g21270 transcript:itb11g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDRGPGVKILWLWTIGTAAVLVTSVVRTRLRDMDQQFMNATQQPPNPEATADSEILIDDNPPAGKSMKGDQL >itb13g00880.t1 pep chromosome:ASM357664v1:13:792184:792483:1 gene:itb13g00880 transcript:itb13g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLADFAAMVAWSGSVVQIWAVLVEDDENLDGIHPLRLLLVQQGCDDILKLESEPFNCSSSADDSVNRTGTLWFIKPVPLSVLAGFSAEKNGNFNGK >itb09g04400.t1 pep chromosome:ASM357664v1:9:2462499:2463345:1 gene:itb09g04400 transcript:itb09g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLADDPVKSESRQNVANLPDSSSSRFNSALHLVSASLRFASLPPVAVAPPIVAAAPPPIASPRLHLTATLPLPSSFWLC >itb01g20370.t1 pep chromosome:ASM357664v1:1:26636285:26638893:-1 gene:itb01g20370 transcript:itb01g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSPCTPTGTTPKSLSSSANLSSTPLSKPSQLLISSKRNRHFKISCNAAAGDGADSKFDRRDVLLGLGGLYGAASLASNPLASAAPIQAPEISKCVVPPADLPPGAVVDNCCPPVASNIVDYKLPAVTTMKVRPAAHTMDKDAIAKFAKAVELMKALPADDPRNFYQQALVHCAYCNGGYDQVNFPDQEIQVHNSWLFFPFHRWYLYFYERILGKLIGDPSFGLPFWNWDNPGGMVLPDFLNDSTSSLYDSNRNQSHLPPVVVDLGYNGADTDVPDSQKISDNLALMYKQMVTNAGTAELFLGKAYRAGDAPSPGAGSIETSPHIPIHRWVGDPRNTNNEDMGNFYSAGRDIAFYCHHSNVDRMWTIWQQLAGKPRKRDYTDSDWLNSTFLFYDENGQAVKVRIGDSLDNQKMGYKYAKTPLPWLDSKPVPTKKKGGYASKSKAPFVASVFPVTLDKVVQVKVARPKKSRSAEEKEAEEEILLIEGIEVEIDKYAKFDVYLNDSDDPSGGKDKAEYAGSFAHLPHKHKGMKKIRTTLSLGLNEPLEDLGAEDDDTILVTLAPKVGGGVVSVDNIKVVYGS >itb05g10660.t2 pep chromosome:ASM357664v1:5:16486947:16492600:1 gene:itb05g10660 transcript:itb05g10660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQHRGLSGGGSCRFSGNGQDLWDDSQMKEKIEKEDLEQSGSASDQSYLSVISPLRILFPDSSPSKGGGIGENGFLSDPFNPGTGRSRFKFTLLVLRFSLVAIVVLSLAGSFFWTMSITKSSKVRIYHGYRRLQEQVVLDLKDIGQISLGSAKPKDLDYCPPESENYVPCFNVTQSLGLGFSEGEEYDRHCTPRFQESCLVPPPVNYRIPLRWPTGRDVIWLANVNITAQEVLSSGSLTKRMMMLEEEKISFRSESSMFDSIEDYSHQIAEMIGLRNESNFVQAGVRLILDIGCGFGSFGAHLFSSQLLTMCIANYEASGSQVQLTLERGLPAMIGSFNSMQLPYPSLSFDMIHCAECHIDWDQKDGILLIEVDRILRPGGYFVWTSSVMNARRSLRNKENEKKWNSVRTFAENLHWELLSQQDETVVWKKTSEKKSYSTRKTSSGPSLCSKGNDIESPYYRPLQKCIGGTQSQRWIPIEERTKWPSRARLSPMELKVHGLQSEDLAEDSLNWNSAVNNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNAALLEAGKSVWVMNVIPTSAPNYLPLILDRGFVGVLHDWCEPFPTYPRTYDLVHADGLLSLEFAHHSRCGMQDLFTEIDRVLRPEGWFILRDTVPLVELARAHAARLKWDARVAEIESTSDEKLLVCQKPFIRRQAS >itb05g10660.t1 pep chromosome:ASM357664v1:5:16486720:16492615:1 gene:itb05g10660 transcript:itb05g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQHRGLSGGGSCRFSGNGQDLWDDSQMKEKIEKEDLEQSGSASDQSYLSVISPLRILFPDSSPSKGGGIGENGFLSDPFNPGTGRSRFKFTLLVLRFSLVAIVVLSLAGSFFWTMSITKSSKVRIYHGYRRLQEQVVLDLKDIGQISLGSAKPKDLDYCPPESENYVPCFNVTQSLGLGFSEGEEYDRHCTPRFQESCLVPPPVNYRIPLRWPTGRDVIWLANVNITAQEVLSSGSLTKRMMMLEEEKISFRSESSMFDSIEDYSHQIAEMIGLRNESNFVQAGVRLILDIGCGFGSFGAHLFSSQLLTMCIANYEASGSQVQLTLERGLPAMIGSFNSMQLPYPSLSFDMIHCAECHIDWDQKDGILLIEVDRILRPGGYFVWTSSVMNARRSLRNKENEKKWNSVRTFAENLHWELLSQQDETVVWKKTSEKKSYSTRKTSSGPSLCSKGNDIESPYYRPLQKCIGGTQSQRWIPIEERTKWPSRARLSPMELKVHGLQSEDLAEDSLNWNSAVNNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNAALLEAGKSVWVMNVIPTSAPNYLPLILDRGFVGVLHDWCEPFPTYPRTYDLVHADGLLSLEFAHHSRCGMQDLFTEIDRVLRPEGWFILRDTVPLVELARAHAARLKWDARVAEIESTSDEKLLVCQKPFIRRQAS >itb02g09350.t1 pep chromosome:ASM357664v1:2:5953563:5961670:1 gene:itb02g09350 transcript:itb02g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MATVSVAAEWQLLYNRYYRKPELYQMQWKHVDLTRNKVACSPFGGPIAVIRDDAKIVQLYAESALRKLRIFNSAGVQIAETVWKNPGGRLVGMSWTDDQTLICITQDGTVYRYDIHAEPLESLSLGKDCFTHSVVDCVFWHNGVVCINEAFQVFCIPDFKNPNPIQLADPGLEDFPLSMTVIEPQYTMSGNVEVLMAVGDHVLLVEEDGIQQLGVGIGPLQKMVVSRNGKLLASYTHDGRLLVMSTDFSNIIFDYTCESALPPEQLAWCGMDSVLLYWDDMLLMVGPYGDPVRYIYDEQILLIPECDGVRILSNTSMEFLHRVPDSTVSIFQIGSTLPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDISQQRTLLRAASYGQAFCSHFQRDRIQEISKTLRVLNAVRNSEIGIPLSIQQYKLLTPSVLIARLINAHHHLLALRISEYLGMNQEVVIMHWACTKITTSSATPDANLLEILLDKLKLCKGISYAAVAAHADKSGRRKLAALLVEHEPRSSKQVPLLLSIGEEDTALTKATESGDTDLVYLVLLHIWQKRPALELFGTIQSRPLPRDLFISYARIYKHEFLKDFFLSTGQLQEVAFLLWKESWQLAKNPMASKGSPLHGPRIKLVEKAHGLFAETKEHVFESKAAEEHAKLLRIQHELEVTTKQAIFVDSSISDTIRTCIVLGNHRAATKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYKPFVEACVEANEKEEALKYIPKLADPRERAEAYAGIGMAKEAADAASQAKDGELLGRLKLTFSQNAAAASIFDTLRDRLSFQGMS >itb06g09720.t3 pep chromosome:ASM357664v1:6:13963477:13969497:-1 gene:itb06g09720 transcript:itb06g09720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRSRPQTAVAPPRTINVQKFAESRASELESLHSIIKNCLNNDFRSQRSKRRRTTGHDNRVTKNRHRKKRKVGNNDVDKTDSLENDKEAPRRVRRRTELRMNPSSGFLTSGDGTKRLRTHIWHAKRFTMAKLWGFYLPLGLQGRGRGSRALLKKLKDSVLVHDASYSSAIQLEGPEDMLMSILDNMIVPSPSQCENASQDILSGAICGNAMLHHYGVSFSSAIAPVTYMWQPRNVHGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACIQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCSAEKSSLLKKCSATGCDRGSQFVKIFEEDQIPSFGVVSLTVFDPRAFTKEGIANAPDINALGMQAYKEHEIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHLHHYGVSFSSAIAPVTYMWQPRNVHGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACIQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCSAEKSSLLKKCSATGCDRGSQFVKIFEEDQIPSFGVVSLTVFDPRAFTKEGIANAPDINALGMQAYKEHEIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHVDKGDSSECMDLWHVDKELSPPVEESVLCMERHNQRIELFRIGDRISGASNTPNNMQFPISGASNTPNNMQFPRSCPLLLIKNYGQKSSIRRWSILLPLSWVKVFWISLITNGAHAIGLREKQWIACEAELPYFPSDFPDCNSYSCFMKMEAAAADEKVERNPPSMRPFGVPILPPWNSVHLALDKRSFVERNYQVQCKDFSTKERTKNSLVDYSDCRTCSPDADDHCHIPFVGFIARTSYILSQFLDKINGSHLLLFPKFPNRKEGISNFIKDEKMVNEHSDKVIYRVNYGPKLCFVRVLLRAYSKGFFEGGAVVCAPHVDDILLWTQRSENSDRELQITESSVRSYFVQQDGKWECQLPTDPSSRDSYRLPIGFVTTGFVQGSKKPVALALCEAINLACLREDQWRVPSIKRRKEIYVLVRNLRSTAYRLAMANIILEQREEDIEYM >itb06g09720.t2 pep chromosome:ASM357664v1:6:13963477:13972737:-1 gene:itb06g09720 transcript:itb06g09720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRSRPQTAVAPPRTINVQKFAESRASELESLHSIIKNCLNNDFRSQRSKRRRTTGHDNRVTKNRHRKKRKVGNNDVDKTDSLENDKEAPRRVRRRTELRMNPSSGFLTSGDGTKRLRTHIWHAKRFTMAKLWGFYLPLGLQGRGRGSRALLKKLKDSVLVHDASYSSAIQLEGPEDMLMSILDNMIVPSPSQCENASQDILSGAICGNAMLHHYGVSFSSAIAPVTYMWQPRNVHGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACIQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCAEKSSLLKKCSATGCDRGSQFVKIFEEDQIPSFGVVSLTVFDPRAFTKEGIANAPDINALGMQAYKEHEIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHLHHYGVSFSSAIAPVTYMWQPRNVHGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACIQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCSAEKSSLLKKCSATGCDRGSQFVKIFEEDQIPSFGVVSLTVFDPRAFTKEGIANAPDINALGMQAYKEHEIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHVDKGDSSECMDLWHVDKELSPPVEESVLCMERHNQRIELFRIGDRISGASNTPNNMQFPISGASNTPNNMQFPRSCPLLLIKNYGQKSSIRRWSILLPLSWVKVFWISLITNGAHAIGLREKQWIACEAELPYFPSDFPDCNSYSCFMKMEAAAADEKVERNPPSMRPFGVPILPPWNSVHLALDKRSFVERNYQVQCKDFSTKERTKNSLVDYSDCRTCSPDADDHCHIPFVGFIARTSYILSQFLDKINGSHLLLFPKFPNRKEGISNFIKDEKMVNEHSDKVIYRVNYGPKLCFVRVLLRAYSKGFFEGGAVVCAPHVDDILLWTQRSENSDRELQITESSVRSYFVQQDGKWECQLPTDPSSRDSYRLPIGFVTTGFVQGSKKPVALALCEAINLACLREDQWRVPSIKRRKEIYVLVRNLRSTAYRLAMANIILEQREEDIEYM >itb06g09720.t1 pep chromosome:ASM357664v1:6:13963234:13972737:-1 gene:itb06g09720 transcript:itb06g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRSRPQTAVAPPRTINVQKFAESRASELESLHSIIKNCLNNDFRSQRSKRRRTTGHDNRVTKNRHRKKRKVGNNDVDKTDSLENDKEAPRRVRRRTELRMNPSSGFLTSGDGTKRLRTHIWHAKRFTMAKLWGFYLPLGLQGRGRGSRALLKKLKDSVLVHDASYSSAIQLEGPEDMLMSILDNMIVPSPSQCENASQDILSGAICGNAMLHHYGVSFSSAIAPVTYMWQPRNVHGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACIQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCSAEKSSLLKKCSATGCDRGSQFVKIFEEDQIPSFGVVSLTVFDPRAFTKEGIANAPDINALGMQAYKEHEIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHLHHYGVSFSSAIAPVTYMWQPRNVHGLNVKVSNVDECERQNRVDDFASSRRLWIFIHASAHKEGFEALQSACIQQMNASTCTVSCISLDDRVAKLEVIGSRTLQVLKKTLHPATCAEKSSLLKKCSATGCDRGSQFVKIFEEDQIPSFGVVSLTVFDPRAFTKEGIANAPDINALGMQAYKEHEIKGHGGLVENPKEECNLLSRQCLEFEESCDSSECMDLWHVDKGDSSECMDLWHVDKELSPPVEESVLCMERHNQRIELFRIGDRISGASNTPNNMQFPISGASNTPNNMQFPRSCPLLLIKNYGQKSSIRRWSILLPLSWVKVFWISLITNGAHAIGLREKQWIACEAELPYFPSDFPDCNSYSCFMKMEAAAADEKVERNPPSMRPFGVPILPPWNSVHLALDKRSFVERNYQVQCKDFSTKERTKNSLVDYSDCRTCSPDADDHCHIPFVGFIARTSYILSQFLDKINGSHLLLFPKFPNRKEGISNFIKDEKMVNEHSDKVIYRVNYGPKLCFVRVLLRAYSKGFFEGGAVVCAPHVDDILLWTQRSENSDRELQITESSVRSYFVQQDGKWECQLPTDPSSRDSYRLPIGFVTTGFVQGSKKPVALALCEAINLACLREDQWRVPSIKRRKEIYVLVRNLRSTAYRLAMANIILEQREEDIEYM >itb04g24110.t1 pep chromosome:ASM357664v1:4:28899389:28903654:-1 gene:itb04g24110 transcript:itb04g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGTARLISANLNRIRNTYAKSYSYLNPAIQVVSPQLGDPSIKYGETPSFLIQRRWHIGHGHSHSHHDHQGEEGEKIFRLGLAADVGLATAKAFTGYVCGSTAIIADAAHSISDVVLSGVALLSYKAAKAPKDKEHPYGHGKFESLGALGISAMLLATGGGIAWHALDVLMGVWTAVPEGVNQSLAHQHIHGHHHGAGGHHHGIDMDHPVLALNMTILSIAVKEGLYWITKRAGERTGSGLMKANAWHHRADAVSSLVALIGVGGSFLGVKFFDPLAGLVVAGMILRAGLETGYQSVLELVDAAIPSHILEPFRSTILQVEGVKGCNHLRGRRAGSFLYLDVNIEVDPFSSVSAAHEVGEFVRHELQGSHPEVVEVFVHIDPLTLHLHQQNNKQMGCKNGNCFEHIELANNVSNILSSKFSEKIVVERITPHLLQGEIFLQVEVSMPQELLIRDAEKLASEAEELITETIHNVRVRFQLRLGRPMPPSTWS >itb15g07770.t1 pep chromosome:ASM357664v1:15:5321384:5321891:-1 gene:itb15g07770 transcript:itb15g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVISKTIFIALFFCFLLIASFGVETAEAQRGGGGGGGGGGGKNKYCPHQSRGCCGGGGGCSKPRCDSWCKSRENSHHGDCRRGKCYCYRKC >itb07g16700.t1 pep chromosome:ASM357664v1:7:20564753:20567677:-1 gene:itb07g16700 transcript:itb07g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVNLEMNNKKPLELVYVIGLPHSDKLQEHDFGPEDLRSLGDTVDGFSLMTYDFSRPQSQGPNAPLNWIHSTLQLLLGAQGVDQRLARKIFLGINFYGNDFVISGGLQRMLPEEIFSLEWLGTSSIPLAININEIHQVYMVKVAEREVVKGKAKVGDATQPEAKETTREVEEVVHQMVGDLIARVVEVSKSSHGVFEPAREVIEPSREAIDVDVIPSSFPTTREVIGRHMDNGGFFEVHGQGVEDNIQEGQLQVNQRAIKDSIEELKEDTQIIHNSLGDLNNKFDVSTPGTVNEMPKGGKKTKVVK >itb02g14690.t1 pep chromosome:ASM357664v1:2:10681171:10689721:-1 gene:itb02g14690 transcript:itb02g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGNLNQPGGGPFDVPKFFKTSAPSQPPPVAAVAAANPTPQNPNFISSSPFPPPSTASYPPTSGMEYIYSFPPQTSAPFPHHPQFHHQHIPPYPAPLPPQAQGLQRSVSFPTPPLQPPPPATPHQFQNPNSTSPNPGARLMALLSAPPSSHETPQQIMPVPPIHSAVSGSDPPEFSLPHGAPGSNLPLRMPSSKLPIGRHLSGEHIGYDINARLPGEVQPQLEVTPITKYASDPGLVLGRQIAVNKTYICYGLKLGNVRVLNINTALRSLLKGLGQRVTDMAFFAEDVHLLASASVDGRVYIWKITEGPDEEDKQQITGRMVTAIQVVGGESFHPRVCWHCHKQEILVVGVGKRILRIDTTKVGKGEVFSAEEPLRCPFDRLVEGVQLVGTHDGEITDLSMCQWMTTRLVSASVDGMIKIWEDRKPMPLAVLRPYDGQPVNSVSFLVAPERPDHIILITGGPLNRELKVWVSTSEEGWLLPSDAESWHCTQTLELKNSGEARVEESFFNQVMALSQAGLFLLANAKKNAIYAVHLEYGPNPASTRMDYIAEFTVTMPILSFTGTSDLLPHGEQVIQVYCVQTQAIQQYALDLSQCLPPPLETAMLEKSESSASREVISIEGFAQNEHSGNKPTELPISSSAPKIPVHDGGSESVPTVRNPVGSASIEIATSQEFSSSMENKLATSSIVNANADILPVASPPLPLSPRLSQKLSSFRSPSNSSEPGPLNHRGGDPIVEYSVDRQMDAIHANLSDAASLDDDENKVSHEEVSSGLNNPIKFKHPTHLVTPSEILMATNQQKNDGEKNIQDVVINNEARNMEVEVKVVGESISSQNNDTREELHVSVSNKKEKSFCSQASDLGMEMARECHDVSPETYIVEDSKQIDGANGSEELAQPSNDQEEDCNSTKDVSRKELNSTNPVPVQQPPTPTTKGKKQKGKSSQGSCPPSLSPGVVNPTDSHNEAGVISSVPSMVAASTQISSMHEMLNQLISMQKETQKQMGMLVAVPVTKEGKRLETVLGRQMEKVAKANADALWARLQEESARQEKLLRERNQQITNLILNSLNKDLPVLVEKLVKKELGAIGQTVARSIEKTVSVSISETLQKGVGDKAVSQLEKSVNSKLEVTVARQIQAQFQTSGKQALQENLKSILEASLVPAFEMSCKAMFEQVDATFQKGMAEHAAAAQQQFESMHSPLAHALRDAINSASSVTQTLSGELADGQRKLLALANSKAANPTVSPLNNGPLLQEKFEAPVDPTKELSRLLVERKYEEAFTAALQISDVNIVSWLCSQVDLPGILSMNPLPLSQGVLLSLLQQLACDISKESTRKLSWMRDVVSPINPSDPMIAVHVRPIFEQVYQILNHHRNLPTTSGADQSSIRLIMHVINSMLMTPK >itb02g14690.t2 pep chromosome:ASM357664v1:2:10681171:10688158:-1 gene:itb02g14690 transcript:itb02g14690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSASISNLSALAKRNKVLLYLPKMCIFFQRVTDMAFFAEDVHLLASASVDGRVYIWKITEGPDEEDKQQITGRMVTAIQVVGGESFHPRVCWHCHKQEILVVGVGKRILRIDTTKVGKGEVFSAEEPLRCPFDRLVEGVQLVGTHDGEITDLSMCQWMTTRLVSASVDGMIKIWEDRKPMPLAVLRPYDGQPVNSVSFLVAPERPDHIILITGGPLNRELKVWVSTSEEGWLLPSDAESWHCTQTLELKNSGEARVEESFFNQVMALSQAGLFLLANAKKNAIYAVHLEYGPNPASTRMDYIAEFTVTMPILSFTGTSDLLPHGEQVIQVYCVQTQAIQQYALDLSQCLPPPLETAMLEKSESSASREVISIEGFAQNEHSGNKPTELPISSSAPKIPVHDGGSESVPTVRNPVGSASIEIATSQEFSSSMENKLATSSIVNANADILPVASPPLPLSPRLSQKLSSFRSPSNSSEPGPLNHRGGDPIVEYSVDRQMDAIHANLSDAASLDDDENKVSHEEVSSGLNNPIKFKHPTHLVTPSEILMATNQQKNDGEKNIQDVVINNEARNMEVEVKVVGESISSQNNDTREELHVSVSNKKEKSFCSQASDLGMEMARECHDVSPETYIVEDSKQIDGANGSEELAQPSNDQEEDCNSTKDVSRKELNSTNPVPVQQPPTPTTKGKKQKGKSSQGSCPPSLSPGVVNPTDSHNEAGVISSVPSMVAASTQISSMHEMLNQLISMQKETQKQMGMLVAVPVTKEGKRLETVLGRQMEKVAKANADALWARLQEESARQEKLLRERNQQITNLILNSLNKDLPVLVEKLVKKELGAIGQTVARSIEKTVSVSISETLQKGVGDKAVSQLEKSVNSKLEVTVARQIQAQFQTSGKQALQENLKSILEASLVPAFEMSCKAMFEQVDATFQKGMAEHAAAAQQQFESMHSPLAHALRDAINSASSVTQTLSGELADGQRKLLALANSKAANPTVSPLNNGPLLQEKFEAPVDPTKELSRLLVERKYEEAFTAALQISDVNIVSWLCSQVDLPGILSMNPLPLSQGVLLSLLQQLACDISKESTRKLSWMRDVVSPINPSDPMIAVHVRPIFEQVYQILNHHRNLPTTSGADQSSIRLIMHVINSMLMTPK >itb02g11260.t1 pep chromosome:ASM357664v1:2:7515895:7518879:1 gene:itb02g11260 transcript:itb02g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAMRAAAVAKAAGITVANSGFRGIASEHPVSSAARKVVLPVSVSGISSSSSEHLKSSAVSASQSTAVDGAPVQWPCRELDGWEFAGGDEEEMVAAGEPLPRLVFGGAPSLQEAKEATFDLKDALHKVYNISTPPSDCGSTNVAAQVNEDHSPSSNSSSLMTQACVGSESTITRGAPKHAIQAFKFLCENAAAQTVVASIASDPNVWNAVLANPELQEFLVSQKTSASILDGEYSVEESVAETDFLRYSSPRCDDLTSEDGSPKPGNGFTDFLQNIRVAVLDMMNCLSDFFQNLFGGYKVFADADGSAKISAVDRALGASFMGLAVMAIMVVVLKRA >itb02g11260.t2 pep chromosome:ASM357664v1:2:7515958:7518879:1 gene:itb02g11260 transcript:itb02g11260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAMRAAAVAKAAGITVANSGFRGIASEHPVSSAARKVVLPVSVSGISSSSSEHLKSSAVSASQSTAVDGAPVQWPCRELDGWEFAGGDEEEMVAAGEPLPRLVFGGAPSLQEAKEATFDLKDALHKVYNISTPPSDCGSTNVAAQVNEDHSPSSNSSSLMTQACVGSESTITRGAPKHAIQAFKFLCENAAAQTVVASIASDPNVWNAVLANPELQEFLVSQKTSMLGIIVLNCLQLPRISFHSRDCRCFYP >itb12g21060.t1 pep chromosome:ASM357664v1:12:23460564:23461280:1 gene:itb12g21060 transcript:itb12g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAKPIVAHPISSPSPPSRRRFSPASVKMSARQIVEHVVLVRVKPDTEHSKVKDMVNNLSGLASIPQVRHLTTGPVLRTESPSLAFTHLLHARYDSASDLDEYNASPLHLSAVRTFLLPIIDDIMVVDWISDDFHGSVEVAPGSIIRARFLKLKENLGEKEKNEAVNAIKRKFSSIDQLTVGENISQARTKGFSIASIGVFGGLDAVKELEAQNDQKAGVKDFVDDVLVVDYAVPAN >itb01g07400.t1 pep chromosome:ASM357664v1:1:5840432:5841511:-1 gene:itb01g07400 transcript:itb01g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDFVVGKLKEQAFQNVIFQWGIKAEVEKITARLANMKGYVEDSSGKGKQDTKVAESWVTQLRDTTLEVEDLVEEFMLDSKLLELNTPPFNFCEVKSLFANVQSLVERVKIQFCFHQQLKTMDEKLLALETDKSKYGIKLKTNDDGRNELLKGSGSGYMMGIEAVGIDKQVEETAELIQKKCSERMLVITVWGAGGCGKTTLAKQVYERVKNDGSIDCFSWVDVNHSSDIEFVLKETINGLYTSVGTEMPSKLEKADGNCLQHHICDYLKGKRYVVFFDDVWDEKLLSKINIPLHPASAIIITSRDKGIASGSFLGATPHCVEVKPLELDIACHLFCKYAFPARVYLLQFLQWLG >itb06g16810.t1 pep chromosome:ASM357664v1:6:20827310:20832584:-1 gene:itb06g16810 transcript:itb06g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSAPSHASRLRHRPPPPPPIPQPSSTSFSTSTTYHHAWGPGHSPVPRASPFASDDDRSWQSDISWQTRSRGFHQNRNLGAALSPWTGSEASTPTTYGSRIFKRSANQYYLSHTPGGVPSFVNPSREYSYTGYDAIPSGRLELQSFETGEHSIKPHHVSRQDRIEEGPSAGHQTDYDKEVDQHYHDHAMVNDHHTGYTNHSHHLEQHRINDGVDGYDVKCVDSYHVDHRSSQSNIHQYRVESKYSESDGSSVSDEEKDEAEAKQSEPVALLSLFKYSTKLDLILVVLGCIGALINGGSLPWYSYLFGDFVNKIALDTDKDQMMKDVQKVSLFMTGLAALVMVGAYLEVTCWRIVGERSAHRIRTKYLRAVLRQDIGYFDTEMNTGDIMHGISSDVAQIQEVMGEKMAHFVNQVFTFICGYTVGFLRSWKISLAVFAVTPLHMICGMAYKTVYVSLTRKEEESYRQAGSIAEQAISSIRTVISFVAEDYVAARYSDLLEGSVPFGARLGFAKGAGLGIIYLVTYATWALAFWYGSLLVAKHEIKGGEAIACFFGVNVGSRGLALALNYYAQFSQGTVAAGRVFEVINRVPEIDPYSKEGRSLSSIRGKVEFKSVTFAYPSRPTVQILRSLNLAFPASKTSALVGSSGSGKSTIFALIERFYDPIQGFIKLDGHDIRTLQVKWLRTQIGMVGQEPVLFGTTILENVMMGKENATKKDAIAACVAANAHSFISHLPEGYETQVGDRGTQLSGGQKQRIALARAMIKDPKLLLLDEPTSALDPESESIVQQAIEKISMGRTTIVIAHRLATVRNAHAIVVLDHGCIVEAGNHHQLMEKAGAYFDLVKLATEAVSQPMPKQDEGRKDFEFSSFAKSATDTSKVKSVFEISREKYLESMQDGIQEGKEEGEQSKLKRYRLSEIWKLQSPEFIILFIGLTLGLLAGAILSVYPLILGQAVKVYFYKDPDQLKREVGNLCLVLVGLGFGCIITMIGQHGFCGWAGTMLTMRVRNFLFKAILKQEPGWFDFDENSTGTLVSRLSIDSVSFRSVLGDRFSVLLMGLSSAAVGLGVSCKLEWRLALLAAALTPFTLGASYLSLLINVGHKLNNSAYAKASNIAAGAVSNIRTVATFSTQEHIVQSFEKALSEPKRTSVRRSQILGSALGFSQGAMYGAYTLTLWFGAYLVKEGYTNIGAVYKIFLILVLSSFSVGQLAGLAPDTSMASAAIPAVLEIINRRPLIGSDPHRKGTKFETSKPFDIEFKMVTFAYPSRPDVIVLRNFSLKIKGGTMVALVGASGSGKSTLIWMIQRFYDPIQGGVLMEEVDLRELNLKWLRSQTALVGQEPALFAGTIGENIAFGFPNASYTEIEEAAKEAYIHKFISGLPEGYETKVGQSGVQLSGGQKQRIAIARAILKKSKVLLLDEASSALDLESEKHVQDALRKISKQATTIVVAHRLSTIKEANVIAVVKEGAVAEYGSHDKLMASELNGIYANLVRAEQEAYAFA >itb15g02160.t1 pep chromosome:ASM357664v1:15:1328269:1330679:1 gene:itb15g02160 transcript:itb15g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVVKSSLKPGDHIYSWRTAYIYAHHGIYVGDNKVVHFTRHGQEVGTGTVLDLLLVSSGPARTRVPCTTCTPLGDGHGVSLSCLDCFLAGGVLYRFEYSVSPALFLAKARGGTCSLAVSDEDDVVVHRANYLLRNGFGCYNVFKNNCEDFAIYCKTGLLVLDQSTMGQSGQAVSIIGGPLAAVLSTPLRLVTTNVYGMVAMAVGVYCASRYAADIGMRGDVVKVSVEDLTRRLATGLYQVLEPSLPALPAPAATGALPTPAATGNLPTPAATGALPTPVATS >itb11g10510.t1 pep chromosome:ASM357664v1:11:7502784:7506105:1 gene:itb11g10510 transcript:itb11g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSHSFADVTRADALLQESHSFAVKLQVSAPISAKLATPKPAPLALSSQPTKVLDNRLGKQMFDGGYVHHGLLKAAIWLLNTESETLRTLWEENGRSYKMIFAGHSLGSSVASLLTKGTTGRSGSRGGIWRRRRSCGRAPPVRVEVAVGFRIWHRRWSCRRAPPVRVEVAVGFGLAAELWKGTSGKSRSRGGIWDLASAAEL >itb14g11090.t2 pep chromosome:ASM357664v1:14:12632155:12636893:1 gene:itb14g11090 transcript:itb14g11090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMENQFKVVGEGLAGRAAVTGHHQWILSQGNSREAYPLEVLKELSQQFSAGIETIAVIPVLPHGVVQLGSYKVVMENMFFVNNVRMTICQLGCIPGVLLSGEDAMREPAQNIGVPIHVGNSISEDFVGRSKVLDSVPIAYRNSETNLVLSEMHVGQTSSSFVRQNTGDLCSSDATFGDTRNSQSFVEYHDDHCQSKARPLMKPNFSPKDQLVYEVTKAEVLPSNPNAWSNQQAYFYVPGPPFDQQSPFESMTVDSGSLRLTKEEMLSNASVSFHTKNNSSISNGYATSQPRRDADLVPDHIENSVLHSVGVNQLCNGVEMHLKSSPVLDSLSDACGPPRKNIGCTQSAASAFPNVESSKQEASSSSGAVNHLLATHPSDNKSVEFQLDGIRGMEENDLFQALGIIMPQHNENLSSPKCIPDFSYDVKHEYGVQTASLDDEHDNTFFQDHSGDDLFDILGADFKNKLLNGNWNDLQSSGQDTITMDMRKNPSTSMISKDASSTVNQGKLDTGIVPMSSVDHLLDSLASSPPAKKSLDDNVSCWTTLTNMSSSSGPSASCSYGQVSMPIEIHGGLVGFPRSLTDSAIMGSCSFGSESSKETAGVFLHSSSIYGSQISSWMEQGHDMKKSTSVSTAQSKKHDETSKTSRKRLKPGENPRPRPKDRQMIQDRLKELREIVPNGAKCSIDSLLERAIKHMLFLQSIAKHADKLKQIGELKIISTEGGLCLKDNFDGGATWAYEVGSQSMVCPIIIEDLNEPRQMLVKMLCEERGLFLEIADVIRGLGLTILIGVIETRNEKIWARFAVEANRDVTRMEIFVSLVRLLEQTTNGAEPSNANELESVKDHQFNHGAPIPTTTGQARMLQ >itb14g11090.t1 pep chromosome:ASM357664v1:14:12630577:12636893:1 gene:itb14g11090 transcript:itb14g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKQALKTLCGVNRWSYAVFWKIGCQNPKLLIWEECYYEPQVSSCGIQQVESSKLAFNNWGACWASDEVLNSRPVQAGEGVQPLVNKMMMENQFKVVGEGLAGRAAVTGHHQWILSQGNSREAYPLEVLKELSQQFSAGIETIAVIPVLPHGVVQLGSYKVVMENMFFVNNVRMTICQLGCIPGVLLSGEDAMREPAQNIGVPIHVGNSISEDFVGRSKVLDSVPIAYRNSETNLVLSEMHVGQTSSSFVRQNTGDLCSSDATFGDTRNSQSFVEYHDDHCQSKARPLMKPNFSPKDQLVYEVTKAEVLPSNPNAWSNQQAYFYVPGPPFDQQSPFESMTVDSGSLRLTKEEMLSNASVSFHTKNNSSISNGYATSQPRRDADLVPDHIENSVLHSVGVNQLCNGVEMHLKSSPVLDSLSDACGPPRKNIGCTQSAASAFPNVESSKQEASSSSGAVNHLLATHPSDNKSVEFQLDGIRGMEENDLFQALGIIMPQHNENLSSPKCIPDFSYDVKHEYGVQTASLDDEHDNTFFQDHSGDDLFDILGADFKNKLLNGNWNDLQSSGQDTITMDMRKNPSTSMISKDASSTVNQGKLDTGIVPMSSVDHLLDSLASSPPAKKSLDDNVSCWTTLTNMSSSSGPSASCSYGQVSMPIEIHGGLVGFPRSLTDSAIMGSCSFGSESSKETAGVFLHSSSIYGSQISSWMEQGHDMKKSTSVSTAQSKKHDETSKTSRKRLKPGENPRPRPKDRQMIQDRLKELREIVPNGAKCSIDSLLERAIKHMLFLQSIAKHADKLKQIGELKIISTEGGLCLKDNFDGGATWAYEVGSQSMVCPIIIEDLNEPRQMLVKMLCEERGLFLEIADVIRGLGLTILIGVIETRNEKIWARFAVEANRDVTRMEIFVSLVRLLEQTTNGAEPSNANELESVKDHQFNHGAPIPTTTGQARMLQ >itb03g24280.t1 pep chromosome:ASM357664v1:3:22920470:22922683:-1 gene:itb03g24280 transcript:itb03g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEFEGEGVLLLISSGKHFPCYAYAVAPTGVKMIWVPIINDLDEHIQISHSFISYRVLDPQKWIAPEFVRFLKDSCFSTFEVGCDPIVISLDKRGRLVHSNALHMILTWGSQIYDDGRTMRSSDIIPSLENELRERTSGADRVIDDIDKQIHNFAREVRIKIKDWVDNIEAKMKSSFRSYNYTYEREQSLWDKESWNLKLVVGSKYWNFQHMLNDWMDDDEKYIFLCGGNNIKRVLEFVLKVQEVRSKFQMNMRIAYIGKRRRKMIEEVRRACDYEFTNFDRRYGFKRFWARLHSVASSRIQYLSKIGLDEGSDEILQGLRKLLSYEDECTTIGSWALLSKGKRIIACDMGDKMLGVLNEYEKWKNNAHANGFEQAFKDYYEMLKSSSSHQHHFCALNYSSNLDKISKALSCPQCNQNMHKFVTFFCCHGPTNYLYYEEEDEDWQI >itb14g01450.t1 pep chromosome:ASM357664v1:14:1115931:1116290:-1 gene:itb14g01450 transcript:itb14g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWSLGSFMYLHRQGLCSLSFEQHTGLGEVLEDVIAVAQGLSCKGLHVTASVTSTFDAPRTNTSNITQIAMLLIAMFVWLALIGDCQASLARVLFEFVFALMSAIGYVLCLFIATGVN >itb06g20400.t1 pep chromosome:ASM357664v1:6:23385574:23386452:-1 gene:itb06g20400 transcript:itb06g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSSRSHSPINPATPPHSISMHCYYYKMASGSSAAADGGSGEQQAPSRRHNPRAVSRKGCMRGKGGPENASCTYKGVRQRTWGKWVCEIREPNRGSRIWLGTYDNSYDAAVAYDAAARYIFGPKAKLNLPHLWDANAPPPPMPRPSRGVGVGVGSSHSFPSQPSPETGAADGTGGSTVPAAFGSCASSTSSAHQNASIFNGSVISSGAPAQLQSIKLPELDMNEKAPENEVDDPTGEGMWGSFNLNGLPEIDDSSMWAEATATSDIQAAVTDPGIFDGKMWTAVDYPWYP >itb07g01730.t1 pep chromosome:ASM357664v1:7:1045160:1048659:1 gene:itb07g01730 transcript:itb07g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENNKSKANKRSRQRYLPHNRPVRKALRPGVQGFYITCDGGRERQASHEAVNVIDSFYEELVHGTQTKEDDTKPVKQSLNKKIVFNYSDSSSSDEEAEEESEDNANGGDQKDANHTQTSEDKIEKAASDGSVKNNDGKDDTEKAGDDGSGCPTNGTSASEKEDNVSCQQQVQGKIQGKEEQTESLENQKDEVVEPPAKKQCLETVKPAPTATTSNKKEEKSVDRLIEAELAELGDKSKRRFSYLDSGCNGVIFIQMRVRDGDPSPKDIVQHMVSSLASTKKHVSRFMLRILPIEATCYASDEEIGRTIKPLIEKYFPSETESPLKFAVLYEARANTGIDRSKIIDTVAKSVPQPHKVDLSNPELHIVVQIVKTVCLLGVVGKYKEWAKYNVRQLTSSK >itb10g19110.t2 pep chromosome:ASM357664v1:10:24866744:24870221:1 gene:itb10g19110 transcript:itb10g19110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVKPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVNQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAIIALFHLLIARTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNIFVNLLGTWKESEYSGQSVPVGGLAYYVTAPSSLCFVLKDMD >itb10g19110.t1 pep chromosome:ASM357664v1:10:24866744:24870221:1 gene:itb10g19110 transcript:itb10g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVKPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVNQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAIIALFHLLIARTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNIFVNLLGTWKESEYSGQSVPVGGLAYYVTAPSR >itb10g19110.t3 pep chromosome:ASM357664v1:10:24866744:24870221:1 gene:itb10g19110 transcript:itb10g19110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVKPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVNQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAIIALFHLLIARTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNIFVNLLGTWKESEYSGQSVPVGGLAYYVTAPSSLADMLANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADMMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFLGL >itb11g11860.t2 pep chromosome:ASM357664v1:11:8738102:8751361:-1 gene:itb11g11860 transcript:itb11g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MQASSVIFNTKPVLAPVQVKSLHSDPSLPSCCSSSRLLSPPPNWTQLRRSVALRSNRHLHHRTYLLKNKNSVRRYLSQSEELSPQANSQNRCPHVSCFRHLQRKHTGINRLTTRAFLDKSTCHLSKLKPNNVSAKQPHVPRASVGPDEPHAASTAWPDGVLEKQSLELLDPEAERAEFERFLCSELPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDSSEGDLLPVVLDALNEIAFHPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSERFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNIPKTVDHIEAVFGQTGGQSNMENGAGAAPTPSAFGAMASFLVPKLSVGLAGNTSHERSSVSIEQSKPFRKERHAVRPPVQHNWCLPGSNEYVKPPQIFQHELLQNFSINMFCKIPVNKVRTYADLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTSEPKNWRSAIKVAVQEVRRLKEFGVTKGELARYLEALIKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLLEIAGTVTLEEVNSAGAKVLEFISDFGKPSAPPPAAIVACVPKKVHIDGAGEMEFEITADEITAAIEDGMKEHIDPEPELEVPKELIASTQLQELRLNSKPSFVTISPDVNDTKLYDKETGIVQRRLSNGIPVNYKISKNEANCGVMRLIVGGGRAAETPDEKGAVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDDGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMLAMLNGDERFVEPTPHSLQNLTLESVKDAVMNQFVCDNMEVSIVGDFSEEDIESCILDYLGTVRATRGFERAQKYNPIIFRPSPDLQHQQVFLKDTDERACAYIAGPAPNRWGFTFEGKDLFEYVSNASTYNGEQLKSGEQSNKLENVENGLQGRFHTHPLFFAITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDSLKLGWYVISVTSTPSKVHKAVDACKNVLRGLHSNRITPRELDRARRTLLMRHEAEIKSNAYWLGLLAHLQAASVPRKDISCIKELTSLYEAATIEDVYVAYEQLKIDENSLFSCIGIAGVNAGEDISVPIEEEDSIDGLPNVIPMGRGSSTMTRPTT >itb11g11860.t1 pep chromosome:ASM357664v1:11:8738102:8751361:-1 gene:itb11g11860 transcript:itb11g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MQASSVIFNTKPVLAPVQVKSLHSDPSLPSCCSSSRLLSPPPNWTQLRRSVALRSNRHLHHRTYLLKNKNSVRRYLSQSEELSPQANSQNRCPHVSCFRHLQRKHTGINRLTTRAFLDKSTCHLSKLKPNNVSAKQPHVPRASVGPDEPHAASTAWPDGVLEKQSLELLDPEAERAEFERFLCSELPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDSSEGDLLPVVLDALNEIAFHPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSERFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNIPKTVDHIEAVFGQTGGQSNMENGAGAAPTPSAFGAMASFLVPKLSVGLAGNTSHERSSVSIEQSKPFRKERHAVRPPVQHNWCLPGSNEYVKPPQIFQHELLQNFSINMFCKIPVNKVRTYADLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTSEPKNWRSAIKVAVQEVRRLKEFGVTKGELARYLEALIKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLLEIAGTVTLEEVNSAGAKVLEFISDFGKPSAPPPAAIVACVPKKVHIDGAGEMEFEITADEITAAIEDGMKEHIDPEPELEVPKELIASTQLQELRLNSKPSFVTISPDVNDTKLYDKETGIVQRRLSNGIPVNYKISKNEANCGVMRLIVGGGRAAETPDEKGAVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDDGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMLAMLNGDERFVEPTPHSLQNLTLESVKDAVMNQFVCDNMEVSIVGDFSEEDIESCILDYLGTVRATRGFERAQKYNPIIFRPSPDLQHQQVFLKDTDERACAYIAGPAPNRWGFTFEGKDLFEYVSNASTYNGEQLKSGEQSNKLENVENGLQGRFHTHPLFFAITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDSLKLGWYVISVTSTPSKVHKAVDACKNVLRGLHSNRITPRELDRARRTLLMRHEAEIKSNAYWLGLLAHLQAASVPRKDISCIKELTSLYEAATIEDVYVAYEQLKIDENSLFSCIGIAGVNAGEDISVPIEEEDSIDGLPNVIPMGRGSSTMTRPTT >itb01g08310.t1 pep chromosome:ASM357664v1:1:6697781:6698465:1 gene:itb01g08310 transcript:itb01g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLLPRDLELCEGNPDPRPILEAFIRHLALGDTLRATQARLEVERKARLDLESQLQVSETKRKSLEDKYAQLQTLRARENHMHGKVLASVLSDYKSSKAFKEDARKYLVEHMEELFTDWAATPAGRDRIGMEGLLMYDVGQYTLQRDIYVILRNRDETFDPVEWGLPVEFENPDPVALAELTEAVKEEMAAGSPRE >itb11g11970.t1 pep chromosome:ASM357664v1:11:8858551:8868345:1 gene:itb11g11970 transcript:itb11g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPANLWVILGLGLAGILMVTRKLKRAVKVDFGAFVQRLQLLPPPQPPPPKAPHPLTGLTFAVSDVFHIEGFVTGFGNPDWSTTHEAATKTSVVVNALVEGGAMCVGKTVVDDLAFGMSGENRHYDSPTNPAASTRVSGGPSSGSAVAVASKLVDFSLGIDTTGGVRVPAGYCAIMGFRPSLGTVSYMGVIPVSPSLDTVGWFARDPNILRRVGHVLLQVPFVAVRNPRNIVIADDLFQSLNIPPAQTSHVVIKAIEKQFGRQVIRHEDMETYLCSKVPSLKLFQSKKPNGEAKLATMKLLANILQMLKSHEFKQNHGEWINSVKPTLDPAITAQLHGGLEMADIDIENCHVVRNEMRSALSNLLKDDGILVIPTVADPPPKLGASEIQSQDYQIRTCSFSAIASLSGCCQVSVPLGSHDKLPISVSLIARHGGDRFLLDAIQTLYATLQEQADITAKSKSSGSAINTETSAEMAKEKGNQAYKEKQWQRAIGFYTEAIKLNGNNATYFSNRAAAYLELGGFIQAEADCTKAIDLDNKNVKAYLRRGTAREMLGYYQEAIEDFRYALVLEPNNKRAAQSADRLKKLFLSSY >itb08g07980.t1 pep chromosome:ASM357664v1:8:6868635:6870109:1 gene:itb08g07980 transcript:itb08g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGSYIWTWQSRDYKGGLRNRRACQLHGRNYNGKTSSSKSRFVVKANAKEITFDQRSRTALQAGIDKLADAVGLTLGPRGRNVVLDEYGTPKVVNDGVTIARATELADAMENAGAALIREADAMENAG >itb03g25090.t1 pep chromosome:ASM357664v1:3:24039401:24044177:-1 gene:itb03g25090 transcript:itb03g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAAAANLNYAEEIPKVVEQAKELLDAAGSLISRTSREEDSIRQRASSLDSQIRRFRDSIRSGNFDSVQAEKWDEELIKASYILSEGDAAAFLPTKSHGQFLRMLLGPVNVRANRKDVQLKVKEEYNSFRDRTAYLFLIFPSLLLILRSWIWDECLPALPVQLYQAWLLYLYTGLSLRENILRVNGSDIRPWWIKHHYCAMAMAIISLTWEIEREPNCALKQKGVHLFLKWAVMQGVAMILQNRYQRQRLYTRIALGKARRMDVVWGETSGVEGQLLLLFPILFILQGFEAYVGLLLLKTAVVGVVSEWQVVTCGILLIVMAVGNFANTVQTLVTKSRVKAKMRRCKSKQDLSAESVDKSS >itb03g25090.t2 pep chromosome:ASM357664v1:3:24039401:24044168:-1 gene:itb03g25090 transcript:itb03g25090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAAAAAANLNYAEEIPKVVEQAKELLDAAGSLISRTSREEDSIRQRASSLDSQIRRFRDSIRSGNFDSVQAEKWDEELIKASYILSEGDAAAFLPTKSHGQFLRMLLGPVNVRANRKDVQLKVKEEYNSFRDRTAYLFLIFPSLLLILRSWIWDECLPALPVQLYQAWLLYLYTGLSLRENILRVNGSDIRPWWIKHHYCAMAMAIISLTWEIEREPNCALKQVLIFLTCISLHFHACADFIRKSFKSLLFLVVFWFSLSLSLSHTHTHTHTKSDSLLQKGVHLFLKWAVMQGVAMILQNRYQRQRLYTRIALGKARRMDVVWGETSGVEGQLLLLFPILFILQGFEAYVGLLLLKTAVVGVVSEWQVVTCGILLIVMAVGNFANTVQTLVTKSRVKAKMRRCKSKQDLSAESVDKSS >itb01g32170.t1 pep chromosome:ASM357664v1:1:35712137:35718902:-1 gene:itb01g32170 transcript:itb01g32170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRGGYDASSTKFLLDHEKKIGADQNGGKSSKEGSEVAFKQLTKLQDEDSEVLPYSNVSDRITGGDKIHGKQLAAFVNWLAEKKNKEKSPHQNHHVKTKVGEEDGGEEEEEGDEYSLLLLPTPPEAVPKVVDSDHSPQEQKPSIRPKNHNASLQRLSSSGSNYSGVSRKEKGSGFERQVALQRLPSTGSNHSSVLGKEKGLGVERQVSLQRLSSAGSTSYAASLFSGITLDGNWPSTGVKDSNTSTITEAEVEDAAKQSSPEERDELVPRLKESYYLQLTLAKKIVEQATLAGDEPLLLQECRSVKGLAGSSDPETVSFRLWVSGCLSYADKISDGFYNILGMNPYLWVMCNNLEEGSRLPSLMALKGVEPSDTPMEVVLIDRRGDSKLRELEDKAQEIFFAAENTLVLAEKLGKLVAVHMGGSFPVEQGDLYMRWKIVSKRLKDLQKCIVLPIGNLSTGLCRHRAILFKKLADYVGLPCRIARGCKYCVEDHRSSCLIKIEDDQKFSREFVVDLVGEPGNVHGPDSSVNGSVLSFVPSPFQIAHLKEFQLPYLDDDISSQLLNSNCGLPSPEIVTYPEEENHQVHDIVLVEKPKNDWCSPIQLSEIESPKEVNTTAGGIMRNECNKPGEDNKIVLLQTYRKENVLSRPPICSSRPPKVTSFTGKSDVLEVESSLENKEKHPDATIPKYLNLEPSLAMDWLEISWDDLHIKERVGAGSFGTVHRAEWNGSDVAVKVLTLQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGEMLDQRRRLRMALDVAKGINYLHCLSPPVVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWSGLCPAQVVGAVAFQNRKLSIPQNISPILASLMEACWDDDPAQRPSFSSIVETLKKLLKSPLKLIQMGGTQGK >itb09g28750.t1 pep chromosome:ASM357664v1:9:29349276:29350431:-1 gene:itb09g28750 transcript:itb09g28750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAESSMNSDLHRGEDGEVGSESTHHLTVPPGLNPDEFEELRPWAIEFHTYRVNSGQCSSLLAQRIHAPPDTVWSVVRQFDKPHTYKHFIKSCTVCEGFRMAVGDTRYVNVISGLPAATSTERLDILDDGRRVTGFSIIGGEHRLRNYRSVTSVHGLRRRDGGRSCTAVLESYVVDVPEGNTEEDTKLFADTVVKLNLQKLASITEGINQDRNNDANEEDSGNNTTERHT >itb09g17090.t1 pep chromosome:ASM357664v1:9:12326345:12337405:1 gene:itb09g17090 transcript:itb09g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKHLLHRGYTVHATVRSLKDPNKVSHLLALDGAKERLHLFEADLLEKNSFDPAINGCEGVFHTASPVSFSPSATKVELVDPAVKGTLNVLGSCGRTPSVKRVVVTSSTAAVMYKSNPISPTDVIDETWFSDKEFAEETKDFMTSSPLLNCYVDVRDVANAHIEAFEMPSASGRYCLVGEPMHSSQVLKIVGQVYPSLAIPEKYKEDLPIFKVSQEKAKSLGINFTSIEVSLKDTIESFKEKNFLRF >itb03g07320.t1 pep chromosome:ASM357664v1:3:5380827:5384093:1 gene:itb03g07320 transcript:itb03g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKGRSSRRAGTSTPVVTTVAFVALCVLGVWLLASTPVAPPKSITKTAASSTVINLPRATSDGATKSTTPFEDLHGDLPEEARNGNGGSGGGSSEISNDSQSGEAQATTTEDSAKEAVDQESGSVKNQESSSEGEGQLPGKEEQEKQKEPETQVSEESTITQQKEIETIKQNVELAGSKDDVSAAAEKREESSDNQKGGNEDIHKGGNEETKVQDSQIKSQQTSEENKNLISDEDQQKRLEHHQQQEDDQIQQKQPQNEIIETTTDPNKAWLTTQADQSVNHKERKEGADDQQITIKGQQWQLCNVTAGADYIPCLDNEKALAKIRGRQHYEHRERHCPTDPPVCLVPLPIGYKKSIQWPQSRDKIWYPNVPHTLLAEFKGHQNWVKVSGEFITFPGGGTQFIHGALHYIDFIQQAVPDIAWGKHTRVVLDVGCGVASFGGYIFERDVITMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPNGVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGHFIWSATPVYQKLEEDVQIWREMTALTVSMCWELIAIKKDKLNSIGAAIYRKPDTNDCYKQRKRSKPPMCKKEDDPNAAWYVPLEPCMHRVPSDEKERGSKWPEEWPARLHTPPYWLNRDKKGIYGRPAPDDFESDYKHWKNVVRKTYLSGLGIRWYDVRNIMDMRAVYGGFAAALKDLKVWVMNVVNVDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSRLKKRCDIKGVMVEVDRIVRPGGKLIVQDESSTVREIEDLLKSLHWEVRMTFTQNQDGMLSAQKTFWRPETYEAAT >itb11g12270.t2 pep chromosome:ASM357664v1:11:9131428:9135767:-1 gene:itb11g12270 transcript:itb11g12270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADISNSNSNLNSTSNSNATQGGPSISDSKNKREGDFDDSDETAKKMKSDASLINEPKIEGNRESVCSKNGCTDANGSKQSFEIEANAAEDKGSRHTMEDAWVVLPDASNDSPGKLRCAHFAIYDGHGGRLAADYVQKHLHGNVLLAGLPRELLDVKTAKRAILEGFRNTDESLLRESTAGGWQDGATAVCVWVLGQTVFVANIGDAKAVLARSSQSDGSDGSTSLKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQGRLEVSRAFGDRQFKKV >itb11g12270.t3 pep chromosome:ASM357664v1:11:9131428:9135767:-1 gene:itb11g12270 transcript:itb11g12270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADISNSNSNLNSTSNSNATQGGPSISDSKNKREGDFDDSDETAKKMKSDASLINEPKIEGNRESVCSKNGCTDANGSKQSFEIEANAAEDKGSRHTMEDAWVVLPDASNDSPGKLRCAHFAIYDGHGGRLAADYVQKHLHGNVLLAGLPRELLDVKTAKRAILEGFRNTDESLLRESTAGGWQDGATAVCVWVLGQTVFVANIGDAKAVLARSSQSDGSDGSTSLKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLSERDHFIILGCDGLWGVFGPSDAVQFVQKLLKEGLPVSQVSRRLVREAVRERHCKDNCTAVLIVFKNG >itb11g12270.t1 pep chromosome:ASM357664v1:11:9131428:9135767:-1 gene:itb11g12270 transcript:itb11g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISNSNSNLNSTSNSNATQGGPSISDSKNKREGDFDDSDETAKKMKSDASLINEPKIEGNRESVCSKNGCTDANGSKQSFEIEANAAEDKGSRHTMEDAWVVLPDASNDSPGKLRCAHFAIYDGHGGRLAADYVQKHLHGNVLLAGLPRELLDVKTAKRAILEGFRNTDESLLRESTAGGWQDGATAVCVWVLGQTVFVANIGDAKAVLARSSQSDGSDGSTSLKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQGRLEVSRAFGDRQFKKV >itb11g12270.t4 pep chromosome:ASM357664v1:11:9131529:9135763:-1 gene:itb11g12270 transcript:itb11g12270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADISNSNSNLNSTSNSNATQGGPSISDSKNKREGDFDDSDETAKKMKSDASLINEPKIEGNRESVCSKNGCTDANGSKQSFEIEANAAEDKGSRHTMEDAWVVLPDASNDSPGKLRCAHFAIYDGHGGRLAADYVQKHLHGNVLLAGLPRELLDVKTAKRAILEGFRNTDESLLRESTAGGWQDGATAVCVWVLGQTVFVANIGDAKAVLARSSQSDGSDGSTSLKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQGRLEVSRAFGDRQFKKV >itb06g17130.t1 pep chromosome:ASM357664v1:6:21067804:21072560:-1 gene:itb06g17130 transcript:itb06g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISNAPSRSGSFAGNASHSGPINPSAAARAAYSTSGPISSSMVTGSASMKKSNSGPLNKHGEPIKKSSGPQSGGATGRQNSGPQPPVLPATGLITSGPISSGPLNSSGAPRKLSGQLDSMGSMKMHGPSIASNQAVTTLTQNDEFSFRRNFPKPILWAMILLFVMGFIAGGFILGAVHNPILLIVVIVLFGIVAALFMWNSCWGRRAIIGFIGRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCIYTSTSLYEYRGWDSKAANPTHRRFTWGLRSSEKHVVDFYISDFQSGLRALVKTGYGAKVTPYVDESVVIDVNPVNKELSPEFIRWLGERKLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWMKCIFPASLEGIVLRCEDASKTDVIPV >itb06g17130.t2 pep chromosome:ASM357664v1:6:21067804:21072273:-1 gene:itb06g17130 transcript:itb06g17130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPISNAPSRSGSFAGNASHSGPINPSAAARAAYSTSGPISSSMVTGSASMKKSNSGPLNKHGEPIKKSSGPQSGGATGRQNSGPQPPVLPATGLITSGPISSGPLNSSGAPRKLSGQLDSMGSMKMHGPSIASNQAVTTLTQNDEFSFRRNFPKPILWAMILLFVMGFIAGGFILGAVHNPILLIVVIVLFGIVAALFMWNSCWGRRAIIGFIGRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFQKVPRCIYTSTSLYEYRGWDSKAANPTHRRFTWGLRSSEKHVVDFYISDFQSGLRALVKTGYGAKVTPYVDESVVIDVNPVNKELSPEFIRWLGERKLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPFTTGCQWMKCIFPASLEGIVLRCEDASKTDVIPV >itb14g04100.t1 pep chromosome:ASM357664v1:14:3647395:3650569:1 gene:itb14g04100 transcript:itb14g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLTEKVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDASSPTEEHCAGTFELKDKVRKLLLGKRYLIAIDDVWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKESCPPSIEFEKIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYKIPAQRLVTYWIAQGFVEDEALVANNKEEVAWQKLQDLIDRNLILVEERGWCGRIRTCKIHDLTHEMCLRLAKGKNILHVIDDKFQIGSSSKGISQENSNYKFQVGQSSKENGNFWVSLHLQSVSNINRLGFEHRTLQKCHSFLAMFPSKYIGSWREGSPILSNYLFTATSIQVLDLFQICFPNFPSSLWINNLSQLRYLALYIRTLRGSLSILSSLKNLQTLILRSAISVVVYSTLPKTPQLMELCILNRSIHFKNKEENLKLENLTRLFWLSDLCCNNGALMVRIPNIKKLGVRYEEPKGGYNMHPINLLNSLSHLEQLEDIEFVGYQLSKYIPKPYDFPPKLKELKFTDTWMKWGCTMTILGRLPNLEVLQLKRYAFDNSETEWEQVEEGFPKLKVLVFQDQMLCRWKDSDFTFPSLECLVLKNSPLLESLPYESLSGCPSLKLIHLEWLCSDGVLESAKKIQNDGDGQLEVREENIHMMS >itb14g04100.t3 pep chromosome:ASM357664v1:14:3647395:3650450:1 gene:itb14g04100 transcript:itb14g04100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLTEKVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDASSPTEEHCAGTFELKDKVRKLLLGKRYLIAIDDVWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKESCPPSIEFEKIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYKIPAQRLVTYWIAQGFVEDEALVANNKEEVAWQKLQDLIDRNLILVEERGWCGRIRTCKIHDLTHEMCLRLAKGKNILHVIDDKFQIGSSSKGISQENSNYKFQVGQSSKENGNFWAVGVKEVRSLAITCSQQHQFKYLTSFKFVFPIFLHLFG >itb14g04100.t2 pep chromosome:ASM357664v1:14:3647395:3650450:1 gene:itb14g04100 transcript:itb14g04100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLTEKVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDASSPTEEHCAGTFELKDKVRKLLLGKRYLIAIDDVWSTQVWDDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKESCPPSIEFEKIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVARDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYKIPAQRLVTYWIAQGFVEDEALVANNKEEVAWQKLQDLIDRNLILVEERGWCGRIRTCKIHDLTHEMCLRLAKGKNILHVIDDKFQIGSSSKGISQENSNYKFQVGQSSKENGNFW >itb03g30410.t2 pep chromosome:ASM357664v1:3:32592961:32597506:-1 gene:itb03g30410 transcript:itb03g30410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METNSQSPEFDFLFKLLLIGDSGVGKSTLLLSFTSESFEDLSPTIGVDFKVKYVNMGGKKIKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSEIWAKEIDLYSTNQDCIRMLVGNKVDKEGERAVTKKEGIDFAREYGCLFIECSAKTRVNVQQCFEELILKILDTPALLAEGSKAIKKNIFKEKPPQPIDSSSSCC >itb03g30410.t1 pep chromosome:ASM357664v1:3:32592961:32597506:-1 gene:itb03g30410 transcript:itb03g30410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSQSPEFDFLFKLLLIGDSGVGKSTLLLSFTSESFEDLSPTIGVDFKVKYVNMGGKKIKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSEIWAKEIDLYSTNQDCIRMLVGNKVDKEGERAVTKKEGIDFAREYGCLFIECSAKTRVNVQQCFEELILKILDTPALLAEGSKAIKKNIFKEKPPQPIDSSSSCC >itb01g23390.t1 pep chromosome:ASM357664v1:1:29422559:29424159:-1 gene:itb01g23390 transcript:itb01g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRTLPNQQQRGLFSSARPDRSKRRYTSITTSFAVYISAIEALLTSLKRFSSSLLWDISASPASLCISCLRLRNRRKGSKMAQPSVVLATASYDHTIRFWEAKSACCFRTIQYPESQVNRLEITPDKHFLAAAGNPHIRLFDINSDRPQPIQSFDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGFQREYESRAAVNTVVLHPNQVFYYNFMFDELFLLNL >itb14g13170.t1 pep chromosome:ASM357664v1:14:15073735:15077835:1 gene:itb14g13170 transcript:itb14g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVPTTTSTRRMKWNQAAPPPPTPKIIHLPRRPRRKSPKTAAKKPPSSSHKWRRHSLNPMELLHEEYYYKGKLERLFGQEREFSRAGVVPIVVLNSANSCADSDSDRRERVEDGVEAAADFAEEKWRFQAEMLRAECNFLRMEREFALKKLERNRVQMETTLRSAVQTLISGKKKIYEGKNGNAILEQEIEDLEEKLDELKRSSKKNNRDFEIKKCSSNFDKQACLLQRRLEKLGGLSDQKCLLLNAPEIDKQTHTTSACKTIQKSTDVELLRRMEGLSKCMLDRMEEEYGAIISSTAANVSAASSASTSTSNSNSKQMEFSDPLAFSTRQPHQEAMPVEENRCSGRCKAIVRRIVEQVKAETEQWSQMQEMLGQVREEMQELQASRDFWEDRALESAREIQSLQSTVQEWKERALSSEARVKELQMQQSAAKESLEKLRRAKQGNDQTREAKSTPNSPKAPLGKQIEKEKRVLISRGKENQAVDDRRGKTIAERTLEMTPTKEAPPISLAKQIEKEKRMLLRRLKENIRGNNDKMSKLELSPSERRKAQTHNDGVAGPKRSPFRDVANSSPLVRQNSKAVFPWHSPEHGKVVEHCI >itb09g00680.t1 pep chromosome:ASM357664v1:9:460783:461559:-1 gene:itb09g00680 transcript:itb09g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDVTIGGQPAGRIVMELFADSTPKTAENFRALCTGEKGIGKSGKPLHYKASTFHRVIPNFMCQGGDFTAGNGTGGESIYGSKFSDENFVKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGQVVDGMDVVKKVEQVGSGSGRCSKPVAIADCGQLS >itb02g13350.t1 pep chromosome:ASM357664v1:2:9382842:9383802:-1 gene:itb02g13350 transcript:itb02g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQARPVLLLTSPSTDRCSDNNLVEIAERVVEEFRAEQGEAGSEFSDGDDTFSGMESDETLVSGEASEDGVGVEEEEEEKSSDVEEDFEFEFAFAPRETAFSPISADEVFCNGQIRPIFPVHKRNLLIGEEEFLNGNCNSVAVSNYGVDQRISSTPQPVKPRLPLRKLFTEERETLSSCSSSEADELDGVPAETYCVWRPKPADDQLSPGRCKKSNSTGSGSGSSKRWKFRDLLYRSNSDGKDAFVFLTSTFKNRVDKIAAGKSKPN >itb14g15310.t1 pep chromosome:ASM357664v1:14:18632245:18633508:-1 gene:itb14g15310 transcript:itb14g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLTDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSLETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLQCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFPLKKNTIFSEQSTKYTVACLCKDAQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb13g03650.t1 pep chromosome:ASM357664v1:13:3555788:3556682:-1 gene:itb13g03650 transcript:itb13g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSKIWETPKLIRHVEVSSSLSVDPPPLSEVRESLQTLYWLRLHHCTEEVFSTIPNVKKLGIICGGGKGSSSPTEDNLRNLGYLGELKTLMIAFRKGSPTGFQNFKSLLLHLNIKKLKLKRTCLPWSEISVVGMLPNLEVLKLKEASSGSDWNSSLHKGFFKLEFLYVEAKHLVRWEINEFNEFICLKHLVLKRCTQLEAIPAGFEVVSTLESIELLNCSLHLKEHVQHVSDIRMAYFGFSPIVICHVNQKQEVEEAND >itb07g00270.t1 pep chromosome:ASM357664v1:7:215686:216642:-1 gene:itb07g00270 transcript:itb07g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKWRKETSPIGSGTHAEVYLAVPVDDVNSSMIAVKSTHIEYAYNLQREAEILRQLRGCPHVVQCFGDDVTDQYYNLLLEYAPAGSLDRLIYPRGRWDCKMAESDVAFYAYQILKGLLHLHDKGFVHSDLKPENILVFPTIRESKFLLKLADFGVSRRAGKNDFDEDDDDEPFCPHHCRGSLVFASPEYLSSGIHRTLDDIWSLGCIVVEMLMGQPPWLCESTNDLIFQIKYEKPEIPEDISSGAKDFLNKCFYKKEDGDDERWTARMLLKHRFVQDNMDAQSRYGGGISMLSCPNNPLSYDDNQWVSTKDLFLPI >itb13g24220.t3 pep chromosome:ASM357664v1:13:29954396:29955157:1 gene:itb13g24220 transcript:itb13g24220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHFSAKIAFLCTIPSGIGLNSSISPTSLHSNFWAFFYFTVSQFLKFRPGEELKMICECERIHVAAFPVEEIPAGGYISTSAVVGRVLTELRCNKAGEGCGYILSVTRVISIGKPKLSSAAAARKSVLVPVKFRFRSFFPTAGETMFATVYRVLQRGVFLSFGPMKYIFLHPSKMPKFRYIDSPERPCFEREDLARIEKDVVVCLKVVAVRWSDVGMGKRGFQVIATVDGDSLGPVSLAGDGFDFPSSDLL >itb13g24220.t1 pep chromosome:ASM357664v1:13:29954384:29955157:1 gene:itb13g24220 transcript:itb13g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHFSAKIAFLCTIPSGIGLNSSISPTSLHSNFWAFFYFTVSQFLKFRPGEELKMICECERIHVAAFPVEEIPAGGYISTSAVVGRVLTELRCNKAGEGCGYILSVTRVISIGKPKLSSAAAARKSVLVPVKFRFRSFFPTAGETMFATVYRVLQRGVFLSFGPMKYIFLHPSKMPKFRYIDSPERPCFEREDLARIEKDVVVCLKVVAVRWSDVGMGKRGFQVIATVDGDSLGPVSLAGDGFDFPSSDLL >itb13g24220.t2 pep chromosome:ASM357664v1:13:29954837:29955157:1 gene:itb13g24220 transcript:itb13g24220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATVYRVLQRGVFLSFGPMKYIFLHPSKMPKFRYIDSPERPCFEREDLARIEKDVVVCLKVVAVRWSDVGMGKRGFQVIATVDGDSLGPVSLAGDGFDFPSSDLL >itb10g18640.t1 pep chromosome:ASM357664v1:10:24528424:24529121:-1 gene:itb10g18640 transcript:itb10g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAPGYLFAFNRPNKKASGGVSDDAGGAMSGKSGGATNNSRLRRWRLKRRISPWLRWKRLFNLHVWFVDSVLFKIVSVFEAVVLISTLAFFYLFCGCHI >itb05g03400.t1 pep chromosome:ASM357664v1:5:2877850:2880555:-1 gene:itb05g03400 transcript:itb05g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPYEATSQFNFEGEYEHYDLRDQMLKWINQMLMEDNVEEKAYMSRQSAALKDAERSFYELIGEEYPPSPNLHRVPDLDRNENYGGSSYYGLGDNGNDDSLCPNWVPNPREHQTTSDHVPVNVASSTTSQSSSLLGQGTVNDGTVDFVASTITIPDISNFTESVEKGIREGSSFLPTRNSLLVDGVGAEKNTGSQDLLEGRRGKKNMCRDDMHLPEGRFYKQSAIYAEPSIKQEEFDEVLLCSEEDESNLCHSLRNVSCESATGNDDSKGSNRKKSSGKKPGSNQAVVDLRSLLMLCAQAVAVEDIRTANDYLKRIRQHSSQTGDDMQRLAHYFADALEARIAGSGTRIHKALVKYPRYAARALKAFKLYLSCCPFRKISNMFSNKTITTLAQNTSSLHIIDFGIGTLFGFQWPCLIQHLSSRPGGPPKLRITGIDFPQSGFRPAERAEGTGRLLAYYAEKFNVPFEFNAIAKQWETITVEDLKIIEGEVLAVNCLYRLRNLLDETVVVNSLNPRDTVLKLIHDVRPDVFIHGILNSACNAPFFTSRFRVALSHYSTVFDMLEATIPREVPERMLIESYIFGQQAMNAIACEDTERIERPESYKKWQARNTRAGFLQLPLNREIVKMSMHMLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb05g03400.t3 pep chromosome:ASM357664v1:5:2877679:2880463:-1 gene:itb05g03400 transcript:itb05g03400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPYEATSQFNFEGEYEHYDLRDQMLKWINQMLMEDNVEEKAYMSRQSAALKDAERSFYELIGEEYPPSPNLHRVPDLDRNENYGGSSYYGLGDNGNDDSLCPNWVPNPREHQTTSDHVPVNVASSTTSQSSSLLGQGTVNDGTVDFVASTITIPDISNFTESVEKGIREGSSFLPTRNSLLVDGVGAEKNTGSQDLLEGRRGKKNMCRDDMHLPEGRFYKQSAIYAEPSIKQEEFDEVLLCSEEDESNLCHSLRNVSCESATGNDDSKGSNRKKSSGKKPGSNQAVVDLRSLLMLCAQAVAVEDIRTANDYLKRIRQHSSQTGDDMQRLAHYFADALEARIAGSGTRIHKALVKYPRYAARALKAFKLYLSCCPFRKISNMFSNKTITTLAQNTSSLHIIDFGIGTLFGFQWPCLIQHLSSRPGGPPKLRITGIDFPQSGFRPAERAEGTGRLLAYYAEKFNVPFEFNAIAKQWETITVEDLKIIEGEVLAVNCLYRLRNLLDETVVVNSLNPRDTVLKLIHDVRPDVFIHGILNSACNAPFFTSRFRVALSHYSTVFDMLEATIPREVPERMLIESYIFGQQAMNAIACEDTERIERPESYKKWQARNTRAGFLQLPLNREIVKMSMHMLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb05g03400.t2 pep chromosome:ASM357664v1:5:2877850:2880555:-1 gene:itb05g03400 transcript:itb05g03400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPYEATSQFNFEGEYEHYDLRDQMLKWINQMLMEDNVEEKAYMSRQSAALKDAERSFYELIGEEYPPSPNLHRVPDLDRNENYGGSSYYGLGDNGNDDSLCPNWVPNPREHQTTSDHVPVNVASSTTSQSSSLLGQGTVNDGTVDFVASTITIPDISNFTESVEKGIREGSSFLPTRNSLLVDGVGAEKNTGSQDLLEGRRGKKNMCRDDMHLPEGRFYKQSAIYAEPSIKQEEFDEVLLCSEEDESNLCHSLRNVSCESATGNDDSKGSNRKKSSGKKPGSNQAVVDLRSLLMLCAQAVAVEDIRTANDYLKRIRQHSSQTGDDMQRLAHYFADALEARIAGSGTRIHKALVKYPRYAARALKAFKLYLSCCPFRKISNMFSNKTITTLAQNTSSLHIIDFGIGTLFGFQWPCLIQHLSSRPGGPPKLRITGIDFPQSGFRPAERAEGTGRLLAYYAEKFNVPFEFNAIAKQWETITVEDLKIIEGEVLAVNCLYRLRNLLDETVVVNSLNPRDTVLKLIHDVRPDVFIHGILNSACNAPFFTSRFRVALSHYSTVFDMLEATIPREVPERMLIESYIFGQQAMNAIACEDTERIERPESYKKWQARNTRAGFLQLPLNREIVKMSMHMLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb02g10910.t2 pep chromosome:ASM357664v1:2:7112829:7116486:1 gene:itb02g10910 transcript:itb02g10910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDQREATLRNTMETATEMADIEAPTTQANPQLGSHTSSIFKKANRPVTLKFENVVYKVKPARKQGFSLLKPKKQKSDESEKIILKGVTGIVFPGEVLAMLGPSGSGKTTLLTALGGRLGGHLGGTITYNAKPFSNAMKRNTGFVTQDDILYPHLTVTETLVFTALLRLPRTLTKQEKINQAEAVITQLGLTKCKNSIIGGVHLRGISGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSTLWELSKGGRTIVMTIHQPSSRLFYLFHKVLLLCEGNPLYFGKGSDAMSYFSSVGFSPSVAMNPSDFLLDLANGITGDDSQADPATVKESLVCAFKTNLLESLKRELHELNDDDVASSGVDHKQFKRWAIPWWEQFSVLLKRGLKQRKYESFSGLKVGQVLAVALLTGALWWKSTDLQDQVGLLFFSSSFWGFFPLFQAIFTFPAEKMMLHKERSSGMYKLSSFFMARTVGDLPMELVLPTVFIIITYWMAGLKSTALNFFSALSVILLCVLVCQGLGLAIGAFVMDQKSATTLGSVIMLSFLLAGGFYVRHVPNFISWIKYISIGQYTYKLLLESQYKVGETYPCSSGSGVCLVEDHPAIKKAGLGQHVILSVVALLVMLVGYRLLAYVALMRVGRTK >itb02g10910.t1 pep chromosome:ASM357664v1:2:7111936:7116486:1 gene:itb02g10910 transcript:itb02g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METATEMADIEAPTTQANPQLGSHTSSIFKKANRPVTLKFENVVYKVKPARKQGFSLLKPKKQKSDESEKIILKGVTGIVFPGEVLAMLGPSGSGKTTLLTALGGRLGGHLGGTITYNAKPFSNAMKRNTGFVTQDDILYPHLTVTETLVFTALLRLPRTLTKQEKINQAEAVITQLGLTKCKNSIIGGVHLRGISGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSTLWELSKGGRTIVMTIHQPSSRLFYLFHKVLLLCEGNPLYFGKGSDAMSYFSSVGFSPSVAMNPSDFLLDLANGITGDDSQADPATVKESLVCAFKTNLLESLKRELHELNDDDVASSGVDHKQFKRWAIPWWEQFSVLLKRGLKQRKYESFSGLKVGQVLAVALLTGALWWKSTDLQDQVGLLFFSSSFWGFFPLFQAIFTFPAEKMMLHKERSSGMYKLSSFFMARTVGDLPMELVLPTVFIIITYWMAGLKSTALNFFSALSVILLCVLVCQGLGLAIGAFVMDQKSATTLGSVIMLSFLLAGGFYVRHVPNFISWIKYISIGQYTYKLLLESQYKVGETYPCSSGSGVCLVEDHPAIKKAGLGQHVILSVVALLVMLVGYRLLAYVALMRVGRTK >itb07g04830.t1 pep chromosome:ASM357664v1:7:3265446:3269514:-1 gene:itb07g04830 transcript:itb07g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLAGYDLAIKSAQIIESTDFNQLQENEPWLSSTKLVVKPDMLFGKRGKSGLVALNLDLAQVASFVKERLGNEVQMGGCKGPITTFIVEPFIPHKEEFYLNVVSERLGSSISFSECGGIEIEENWDKVKTIFVPTGTSFTSELCAPLVATLPLEIKSVIEEFIKVIYALFLDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTATFKNFKKWGNIEFPLPFGRVMSSTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHLYVRRGGPNYQRGLAKMRSLGEEIGIPIEVYGPEATMTGICKQAIQCITAAA >itb13g14850.t2 pep chromosome:ASM357664v1:13:21448906:21459130:1 gene:itb13g14850 transcript:itb13g14850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTVPQGKCSGSTQLTPATSPKGRYLSGDHILYDIDVRLPGEVPIKANRTGISNHSPFPGLVLGRRLAVAQTHICYPFGPAMSLIRVLNVKSFLTSTIQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHKYALDLSQCLPPPLDNVVLQRSESSTEQELNTYVDALWVRALQDETAKQEKLLREDLPERVKDLVKKELSAFVEVITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14850.t1 pep chromosome:ASM357664v1:13:21448899:21459278:1 gene:itb13g14850 transcript:itb13g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTVPQGKCSGSTQLTPATSPKGRYLSGDHILYDIDVRLPGEVPIKANRTGISNHSPFPGLVLGRRLAVAQTHICYPFGPAMSLIRVLNVKSFLTSTIQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHKYALDLSQCLPPPLDNVVLQRSESSTEQELNTYVDALWVRALQDETAKQEKLLREDLPERVKDLVKKELSAFVEVITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14850.t3 pep chromosome:ASM357664v1:13:21448906:21459130:1 gene:itb13g14850 transcript:itb13g14850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTVPQGKCSGSTQLTPATSPKGRYLSGDHILYDIDVRLPGEVPIKANRTGISNHSPFPGLVLGRRLAVAQTHICYPFGPAMSLIRVLNVKSFLTSTIQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHKYALDLSQCLPPPLDNVVLQRSESSTEQELNTYVDALWVRALQDETAKQEKLLREDLPERVKDLVKKELSAFVEVITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14850.t5 pep chromosome:ASM357664v1:13:21453302:21457263:1 gene:itb13g14850 transcript:itb13g14850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTVPQGKCSGSTQLTPATSPKGRYLSGDHILYDIDVRLPGEVPIKANRTGISNHSPFPGLVLGRRLAVAQTHICYPFGPAMSLIRVLNVKSFLTSTIQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGVCRRLAVAQTHICYPFGPAMSLIRVLNVKSFLTSTIQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQLXEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHKYALDLSQCLPPPLDNVVLQRSESSTEQELNTYVDALWVRALQDETAKQEKLLREDLPERVKDLVKKELSAFVEVITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14850.t4 pep chromosome:ASM357664v1:13:21448906:21459130:1 gene:itb13g14850 transcript:itb13g14850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTVPQGKCSGSTQLTPATSPKGRYLSGDHILYDIDVRLPGEVPIKANRTGISNHSPFPGLVLGRRLAVAQTHICYPFGPAMSLIRVLNVKSFLTSTIQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHKYALDLSQCLPPPLDNVVLQRSESSTEQELNTYVDALWVRALQDETAKQEKLLREDLPERVKDLVKKELSAFVEVITPVIEKTVSKAISEAFQKQKGVGENNQV >itb04g04580.t1 pep chromosome:ASM357664v1:4:2849503:2850255:1 gene:itb04g04580 transcript:itb04g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRTIKFLTSPSIISTPTSVLPALNHEISPDEWPGVYAELVQAFKLIDRDDDGKIRKEELEALLSQVGAEPPTREELRLMLSEVDRDGDGCISLEEFSVLSSAFAPPSCDSELRDAFDFFDTDHDGKITAEELFNVFRTIGDSRCTLEDCRRMIVGVDNNGDGFVCFEDFCRARDEFLIWMKTKQNGVSFSLNSSFGACHVVTNICNRVRIFVKTDRNYQMHPSVRSGFNFLLCHYCPCLYVLDFPPIN >itb01g35540.t1 pep chromosome:ASM357664v1:1:37689389:37692458:-1 gene:itb01g35540 transcript:itb01g35540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRFKRPTGECSESSSNLYVANCGPAVGLSFDTIAFVFGTYGEVRGVYPADESGTRVIVSYHEESAAQSALKALDGHPCPELGGRSLHIRYSVQSIAKVQVNDSSVVSLEASELKIPGLYLIHDFITAKEEEELLVAVDSKPWQNLAKRRVQHYGFEFQYDIRNVNTSQFLGELPQFVSPILERLSSFQNLGYTGSVVLDQLTVNEYPPGVGLSPHIDTHSAFEEPIFSLSLAGPCVMEFRKYSTGVWPTNSESICDGEIQNSDKTSNFLRRAIYLPPRSMLLLSGEARYAWHHYIPHHKVDIVKDNIIRRASRRVSFTLRKVREGPCQCEFAAYCDSQK >itb05g26680.t2 pep chromosome:ASM357664v1:5:30423254:30427294:1 gene:itb05g26680 transcript:itb05g26680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHKHGEKHRSEAGSHQTHIEYTKSPGQGAGLPVRPQSIPKPVSKSDAILGKAYEDVRAHYSLGKELGRGQFGVTYLCTEIRTGKQYACKSISKKKLVTKGDKDDMRREVQIMQHLSGQPNIVEFKGAYEDANSVYLVMELCAGGELFDRIIAKGHYSERAAASLCRSIVNVVHTCHFMGVMHRDLKPENFLLSDKSENAALKATDFGLSVFIEEGRVYKDLVGSAYYVAPEVLGRKYGKEADVWSAGVMLYILLSGVPPFWAETERGIFNAILKGELDFQTKPWPSISSIAKDLVRGMLAPDPKRRLTAAQVLEHPWMREDGEASDRPLDSAVLSRMKQFRAMNKLKKLALKFIAENLSEEEIHGLKAMFTNIDTDNSGTITYEELKKGLAQLGANLTEAEVKQLMEAADVDGNGSIDYIEFITATMHKHRLEREENLYKAFQYFDKDSSGFITRDELETAMKEHGIADAATIKEIISEVDADHDGRINYEEFCTMMRSGTKQQAKLF >itb05g26680.t4 pep chromosome:ASM357664v1:5:30423254:30427294:1 gene:itb05g26680 transcript:itb05g26680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHKHGEKHRSEAGSHQTHIEYTKSPGQGAGLPVRPQSIPKPVSKSDAILGKAYEDVRAHYSLGKELGRGQFGVTYLCTEIRTGKQYACKSISKKKLVTKGDKDDMRREVQIMQHLSGQPNIVEFKGAYEDANSVYLVMELCAGGELFDRIIAKGHYSERAAASLCRSIVNVVHTCHFMGVMHRDLKPENFLLSDKSENAALKATDFGLSVFIEEGRVYKDLVGSAYYVAPEVLGRKYGKEADVWSAGVMLYILLSGVPPFWAETERGIFNAILKGELDFQTKPWPSISSIAKDLVRGMLAPDPKRRLTAAQVLEHPWMREDGEASDRPLDSAVLSRMKQFRAMNKLKKLALKFIAENLSEEEIHGLKAMFTNIDTDNSGTITYEELKKGLAQLGANLTEAEVKQLMEAADVDGNGSIDYIEFITATMHKHRLEREENLYKAFQYFDKDSSGYVYAADNVYICTILNNRL >itb05g26680.t1 pep chromosome:ASM357664v1:5:30423254:30427294:1 gene:itb05g26680 transcript:itb05g26680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHKHGEKHRSEAGSHQTHIEYTKSPGQGAGLPVRPQSIPKPVSKSDAILGKAYEDVRAHYSLGKELGRGQFGVTYLCTEIRTGKQYACKSISKKKLVTKGDKDDMRREVQIMQHLSGQPNIVEFKGAYEDANSVYLVMELCAGGELFDRIIAKGHYSERAAASLCRSIVNVVHTCHFMGVMHRDLKPENFLLSDKSENAALKATDFGLSVFIEEGRVYKDLVGSAYYVAPEVLGRKYGKEADVWSAGVMLYILLSGVPPFWAETERGIFNAILKGELDFQTKPWPSISSIAKDLVRGMLAPDPKRRLTAAQVLEHPWMREDGEASDRPLDSAVLSRMKQFRAMNKLKKLALKFIAENLSEEEIHGLKAMFTNIDTDNSGTITYEELKKGLAQLGANLTEAEVKQLMEAADVDGNGSIDYIEFITATMHKHRLEREENLYKAFQYFDKDSSGFITRDELETAMKEHGIADAATIKEIISEVDADHDGRINYEEFCTMMRSGTKQQAKLF >itb05g26680.t3 pep chromosome:ASM357664v1:5:30423254:30427294:1 gene:itb05g26680 transcript:itb05g26680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHKHGEKHRSEAGSHQTHIEYTKSPGQGAGLPVRPQSIPKPVSKSDAILGKAYEDVRAHYSLGKELGRGQFGVTYLCTEIRTGKQYACKSISKKKLVTKGDKDDMRREVQIMQHLSGQPNIVEFKGAYEDANSVYLVMELCAGGELFDRIIAKGHYSERAAASLCRSIVNVVHTCHFMGVMHRDLKPENFLLSDKSENAALKATDFGLSVFIEEGRVYKDLVGSAYYVAPEVLGRKYGKEADVWSAGVMLYILLSGVPPFWAETERGIFNAILKGELDFQTKPWPSISSIAKDLVRGMLAPDPKRRLTAAQVLEHPWMREDGEASDRPLDSAVLSRMKQFRAMNKLKKLALKFIAENLSEEEIHGLKAMFTNIDTDNSGTITYEELKKGLAQLGANLTEAEVKQLMEAADVDGNGSIDYIEFITATMHKHRLEREENLYKAFQYFDKDSSGYVYAADNVYICTILNNRL >itb05g26680.t6 pep chromosome:ASM357664v1:5:30423254:30426161:1 gene:itb05g26680 transcript:itb05g26680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHKHGEKHRSEAGSHQTHIEYTKSPGQGAGLPVRPQSIPKPVSKSDAILGKAYEDVRAHYSLGKELGRGQFGVTYLCTEIRTGKQYACKSISKKKLVTKGDKDDMRREVQIMQHLSGQPNIVEFKGAYEDANSVYLVMELCAGGELFDRIIAKGHYSERAAASLCRSIVNVVHTCHFMGVMHRDLKPENFLLSDKSENAALKATDFGLSVFIEEGRVYKDLVGSAYYVAPEVLGRKYGKEADVWSAGVMLYILLSGVPPFWAETERGIFNAILKGELDFQTKPWPSISSIAKDLVRGMLAPDPKRRLTAAQVLEHPWMREDGEASDRPLDSAVLSRMKQFRAMNKLKKLALKVI >itb05g26680.t5 pep chromosome:ASM357664v1:5:30423254:30426161:1 gene:itb05g26680 transcript:itb05g26680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHKHGEKHRSEAGSHQTHIEYTKSPGQGAGLPVRPQSIPKPVSKSDAILGKAYEDVRAHYSLGKELGRGQFGVTYLCTEIRTGKQYACKSISKKKLVTKGDKDDMRREVQIMQHLSGQPNIVEFKGAYEDANSVYLVMELCAGGELFDRIIAKGHYSERAAASLCRSIVNVVHTCHFMGVMHRDLKPENFLLSDKSENAALKATDFGLSVFIEEGRVYKDLVGSAYYVAPEVLGRKYGKEADVWSAGVMLYILLSGVPPFWAETERGIFNAILKGELDFQTKPWPSISSIAKDLVRGMLAPDPKRRLTAAQVLEHPWMREDGEASDRPLDSAVLSRMKQFRAMNKLKKLALKVI >itb09g07070.t1 pep chromosome:ASM357664v1:9:4097649:4100738:1 gene:itb09g07070 transcript:itb09g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLLLVVFVFDLVAFALAVAAEQRRASATIQRDATYNYCVYESDIATGLGVGSFLFLLATQLLIMLASKCLCCGRALRPGRSRSCAVVLFITCWVAFIIAEACLLAGSVRNAYHTKYRTFLSEHPPSCEMLRKGVFGAGAAFIVLTGILSQLYYASFTKANDAILHDRRDAGIRMGAFNT >itb01g08050.t1 pep chromosome:ASM357664v1:1:6386032:6390679:1 gene:itb01g08050 transcript:itb01g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDKLRSASMDDLPVHLVLEILISGRLRAVDLVSLEMSSRTFRLSHGMFPKEFRSLVEFAAFQLCALHPIYASLQLSARRELLNRCNDNWKRVLRFLQSVKQSSDMVETSAGNMQIKSGRYHTLLINDAAVYSCGSSLCGVLGHGPETTQCVEFTPISFPFPVQVIQVSASHNHAAFVTQSGEVFTCGDNSSFCCGHSDTGRPIFRPRLVEALKHIPCKQVAAGLSFTMFLTTLGHVYTCGTNAQGQLGHGDTLDRPTPKCVELLASNGSVVQIAAGPSYALAVACDGLLYSFGSGTNFCLGHGEQHNELQPRAIQSFRRKGIHLLRVSAGDEHVVALDSNGYVYTWGKGYCGALGHGDEINKTTPELVNNLKSHLAIQVCASKRKTFVLVDDGSVFGFGWMGFGSLGFLDRGVSDKVGRPRVLDSLRSHHISQISTALYHTIVITSRGQIFGFGDNERAQLGIDTLRGCLNPTEIQLQKASPM >itb01g08050.t2 pep chromosome:ASM357664v1:1:6386032:6390046:1 gene:itb01g08050 transcript:itb01g08050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGDKLRSASMDDLPVHLVLEILISGRLRAVDLVSLEMSSRTFRLSHGMFPKEFRSLVEFAAFQLCALHPIYASLQLSARRELLNRCNDNWKRVLRFLQSVKQSSDMVETSAGNMQIKSGRYHTLLINDAAVYSCGSSLCGVLGHGPETTQCVEFTPISFPFPVQVIQVSASHNHAAFVTQSGEVFTCGDNSSFCCGHSDTGRPIFRPRLVEALKHIPCKQVAAGLSFTMFLTTLGHVYTCGTNAQGQLGHGDTLDRPTPKCVELLASNGSVVQIAAGPSYALAVACDGLLYSFGSGTNFCLGHGEQHNELQPRAIQSFRRKGIHLLRVSAGDEHVVALDSNGYVYTWGKGYCGALGHGDEINKTTPELVNNLKSHLAIQVFEISFTSTSL >itb04g10810.t1 pep chromosome:ASM357664v1:4:10406167:10406759:1 gene:itb04g10810 transcript:itb04g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTKMIILRSSDGEIFKVEEAVAIEMQMIKYMIDDECANTTIPIANVTGKILNKVIEYCKLHAETAKTSQDDLKDFDANFIKVDHQTLSDLIIAANFLNVKSLLDLTCQAVANLIEKMTVEEVRKFFNIQNDFTPEEEEEIRKANAWAFE >itb01g22310.t1 pep chromosome:ASM357664v1:1:28229572:28231545:-1 gene:itb01g22310 transcript:itb01g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALGCLLVLICLVSLYQVSLALTDAEVEFIAHRQLLALEDGKAKALENWEEKVDKKLVFANDRQKKAYVALQAWKNAIYSDPNKITANWNGPDVCSYKGVFCDHALDDPNKPWVVAGIDLNEADIAGHLPLEIGLLTDLALIHLNSNRYCGIVPQTITNLTLLFEIDFSNNRFVGPFPDVILELESLKYLDLRFNDFEGELPPGLFDKDMDVIFLNNNRLGGNIPENFGNSSASLVVLANNEFSGCVPGSVAKMEGTMEQLLLMNNAFSGCLPEDMSLLRNVTLIDVRNNKLVGELPKGLEYMQSLEIVNLDGNMFTGRVPDTLCTLPNLKNLSFSDNYFDSQDPSCVPPQEGIQLENTKNCFPGLENQKSESACREVMSKPVDCSKMSCRANSGGSGPDSTPPTPKPPPVASPPPPVPSPPPPVPVSSPPPPPPVYSPPPPSPSPPPPTPSPPPPPVYSPPPPTPVPSPPPPPDYPKVVLPPDLGAVYASPPPPVFKGY >itb02g13480.t1 pep chromosome:ASM357664v1:2:9625611:9625922:1 gene:itb02g13480 transcript:itb02g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIARATLGLTHPNQIERPKISFAAKEVDLVEWKGDILAVGVTEKDMVRDESSKFQNLILQKLDSKLGGLLSEASSEEDFSGKSGQSTVLRLLVLVLKGLG >itb11g00130.t1 pep chromosome:ASM357664v1:11:59487:60359:-1 gene:itb11g00130 transcript:itb11g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYAGNVGRRIIQLVISCMFLWPSSLLVQGTFDRQTLDSFVGQHALKNASNRRTGRLYDVVLPANFSGMEASVVRFRTGSLWKRGANFSLFRIPPNILPVPFTRRMHIVFPNLGNWSSSYYSVSNHTLVAPVVGLLGYDADMANSSSLRRIEDFRLRKDPILVHFPNNISLPNMKCVRFGINGGTIEFSNVTVDGLCVARGQGHFTLVIPTPEEEKAWKWWAVGFGVGVMGLIFVGIMMYRVVKQKRVGRMEKQSEKSEALDTMWIGRSRMPYATRIRTEPVLEDSYVP >itb04g02870.t1 pep chromosome:ASM357664v1:4:1772279:1778749:1 gene:itb04g02870 transcript:itb04g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAQPIETSLNPNPPPPGAPSMEDDPYKSTTAKRRRDEDFAEATDEAGTSAEVVPPLKKRVKVSQDIVYRIIVPSKQIGKVIGKVGHRIQKIREETRATIKIADAISRHEERVIIISSKDNDGTFCDAENALHQIVTLILKDDDSNIEALKAGAGHVPANSVRFLIAGSQAGGLIGVSGQNIENLRISTGATVTVLAQHQLPLCASAHESDRVVQVSGDIPAVLRAVVEIGCQLRDNPPRQVITISPTYNLGFNRPLHQYVDPNSAEYVNATMLIPEALAGGLIGRCGSNISRIRTESGATIKVYGGKGEQKQRQIHLGGSAQQVALAKQRIDEYVYSQLMLQTGGQQLQETVQLQQSLQLQQTMQLQQPIPDASASLLQGYTQGHGLYVNSNQGGAMMASYPQVYSSTAANQAPAYYGQSYYPAPPI >itb15g20510.t1 pep chromosome:ASM357664v1:15:23186016:23187081:1 gene:itb15g20510 transcript:itb15g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSIKDPQPPKESWDEQRLPNQEDQPPGNTSLEVPGGSSNQQDEPLSNPVFLLVFPLRPGQPPSPPNFVVFPVFGQVEPSSVRLPIRPQVRSPSDSHGPAPLSPHRVAIDIPAPADVHEEEAIQAEAAPQVEEAVQVEEAPQVEEAVQVEEAADPPVPGRGASAAPLPVPLRPVIPDFFRFPGIPGQQQLGDHGSLVATIQYYKNWIRFWHQIIQSLLSLLQYLASFRGVGDGGASTSGMENGTNVTNARNARNVTLQEENEEEEQGGGTSDNEL >itb09g11150.t1 pep chromosome:ASM357664v1:9:6976130:6977937:-1 gene:itb09g11150 transcript:itb09g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIALEPSNVVIVRIEAGIKCSGEVTLRNVMYTMPVAFRLQPANKSRYTVRPHSGIISPLNSITLEIVYHPPPGTLLPETFPHSDDSFLLHSVVAPGAAVKDAKTGSESSVPSDWFTTKKKQVFIDSGVRIMFVGSPVLAAVVSNGGMDEIREVLEKSDPSWKAADAVDCDGQTLLHLAIAQRRPDLVQLLLEFGPDLEAPSRLLGSTPLEAAASSGESLIVEILLANRAGTERSEPSVWGPVHHAAGNGHIEVLRLLLLKGANVNALTKDGDTALHLAVKERRRDCTRLLLASGALTDIRNSRDGNTPLHIAAGNGDEQMVRLLLQNGAEKNTRNKHGKTSYDVAAENGHNRLFDALRLGDNLCAAARNGDVGAIQKLLDHGANVNGRDQNGWTALHRAAFKGRIEAARALIDNGVVVNCRDEEGYTALHCAVECGHADVTELLVKKGADVDARTNKGVTALQIAETLRFSGITRILVQGDMNSKGVNANLIMLSEKTFRKEKMEMRTTKKKVIGARVRQRGIPVV >itb09g11150.t2 pep chromosome:ASM357664v1:9:6976773:6977937:-1 gene:itb09g11150 transcript:itb09g11150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIALEPSNVVIVRIEAGIKCSGEVTLRNVMYTMPVAFRLQPANKSRYTVRPHSGIISPLNSITLEIVYHPPPGTLLPETFPHSDDSFLLHSVVAPGAAVKDAKTGSESSVPSDWFTTKKKQVFIDSGVRIMFVGSPVLAAVVSNGGMDEIREVLEKSDPSWKAADAVDCDGQTLLHLAIAQRRPDLVQLLLEFGPDLEAPSRLLGSTPLEAAASSGESLIVEILLANRAGTERSEPSVWGPVHHAAGNGHIEVLRLLLLKGANVNALTKDGDTALHLAVKERRRDCTRLLLASGALTDIRNSRDGNTPLHIAAGNGDEQMATTYAPQPETETSEPSKSSSTTAPT >itb15g03280.t1 pep chromosome:ASM357664v1:15:2102858:2104507:-1 gene:itb15g03280 transcript:itb15g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLYRLLFFIALPFTFIALSFITKHKKTANAHLPPSPPALPIIGHLHLLSFLWHQSFQKLALQYGPFIWLKTGGSSSYIVSNGAIAKQVFKHHDISFAARPEFGSSEHQIYKDTLFSTLDYSKYWIFLKKICMVEILSPQQINKFADVRQEEMMKLLDCFLDCAEKGESCDVGIRFMAMTNNLVCRLMMSTRCCTNENESSEIREIAKGITLLSGQVSAGEIFGPLKKYDLLGAGKKIKALLLRFDRFMDGIIVQHENEMRDGKKEKKKDMMDILLEIADDPNAEMKLTRNGIKGLFLDLFLGGTDTTSVALQWALAELLNHPRALKKLQEEIDRVVGINRLVEDSDIPNLPYLQAVVKETLRLHPSLPLVFRKCREDCIINGYKIPKNSRLVVNLYAVNRNPEAWENAAEFVPERYLANATEENLLIQPEELEGLQGQTFNYVPFGGGRRGCPGAALAAAVLHRTLGATVQCFDWKIKGAERVNMEEGVGFSAAMLHPLICYPVTRANPLKIA >itb09g19210.t1 pep chromosome:ASM357664v1:9:15419420:15424547:-1 gene:itb09g19210 transcript:itb09g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMESLIGLVNRIQRACTALGDYGGGDNAFSSLWDALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDAGQPEYAEFAHLPRKRFTDFALVRKEIQDETDRITGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVRTYVGKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNTDMIVARRKEREYFATSPDYGHLSSKMGSEYLAKLLSKHLESVIRAKIPSITSLINKGIEELESEMDHLGRPIAVDAGAQLYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSIQNVRKIVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQTAIAAASNESLEKFRDESKKTVTRLVDMEGSYLTVDYFRKLPQEVEKGGNQAAGAAAVDRYAEGHFRRIGSNVSSYVNMVSDTLRNTIPKAVVHCQVKEAKHSLLNRFYVQIGKKEGKALGEMLDEDPALMERRQQCAKRLELYKKARDEIDSVSWAR >itb14g17710.t1 pep chromosome:ASM357664v1:14:20931877:20935883:1 gene:itb14g17710 transcript:itb14g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSEERNRRGKRKRDQTRPKLLSGEKVEVRSLEEGFLGSWHSGEVVRCEKSFRHVQYDHILCDDESASLIEFVGVSPLIDGVKSAQREPNNSRGVIRPLPPSLGFTKSSLRYGQCVDSFVEDAWWEGVIFDHEDGSEERKIFFPDQGDDMMVCVGNLRITQDWDELTEEWKPRGNWLFLEVIDEVKQEWPLFVSVKQIWYEVREKNGKNNLKEWTFSVTDYWRKLASQVILDNLKFSVDQFLGLLNSSERFVQEGPLLEFSESVLNAVLKPKTYINGLLALAPFTKSSSKVEDGGVLPNNLNVSCHHIVENEVVPEGLVRSIGEVEVGFSSKNLDTQLILSHDETQSLPLHTLPCSSHSEIGAPGSLLDDYGETIPCTNSKVPSEKLKSSRRKNVEWLPLALEAEFCPDAIIQFQRKFMLGRKNLHVKVRKHLLYLGWKIECVKDCEMTRLRYFSPSGKLYMSLSKLCNEFDTTSESLSVIPMTRRQDVSPDSSLDITSGSSADGFTSCLPLVRQQECRKKSMLPSLEVIIDPKYCPQAVSDYVLCQKENTLHSKKGITRLAYEAKKHLVFSGWKVFNYLGRGKKQFCYVSPSRRVFYSLLTACKWYFEESASCPDTPGNLATGTSPGMSPSKEKETSKSRTKRKLSFHESRGLFNEGDVADTKSSSRVLRSSKRARQVSSSHRTPRTILSWLIDNNVVLPETTVQYCGRKDGPPLKEGKITRDGIKCNCCQNVYSLSNFEIHAGSTNHRPSANIFLEDGRSLLKCQLEMKHKSSVKNSKTEPRMVQGSQHLNRNDHICSICQDGGDLILCDRCPSSFHTSCLGMKVIPDGDWFCPSCCCGICGQSGFDKSNDQFTDNNILCCNQCGHQYHYRCIGDESSEPDNYPKGNWFCNTRCERIFLGLHQLLGKPIPVGTDKLTWTLLKYTNPNDSYYGAPDDESMTENYGKLSVALSVMHECFEPVKESRTRRDLMEDIIFSRW >itb02g14720.t1 pep chromosome:ASM357664v1:2:10701213:10703159:1 gene:itb02g14720 transcript:itb02g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRRREPLPPPIHQKPRRQLKIIDPNGDFCLFWKQIFFVTSFVGLFIDPIFLFLFLPQIRNRKCMGIDISTLGLPLITFRNVFDLWSLVQIYMKFHTAFVSCTSRVSGKRELVLDRRKIAIRYLKTDFAIDLVTILPLPQSFADAAILNTICSNPIVSLLQILVSPSSIMELICWRIGNVALCRCGKMSSLQALELETSLRVYTTIYIFQPRVVGVLPGFEPKNRLRCPENPEATTSVSARAAAATDRQAQPRRQRVAHVRLELQHVSGVESRWP >itb04g23620.t1 pep chromosome:ASM357664v1:4:28617764:28619975:-1 gene:itb04g23620 transcript:itb04g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQHKNLYVNGINMHVAEIGQGPAILFLHGFPDLWYSWRHQLIALSAKGYRAIAPDLRGYGDTDAPPSASSYTAFHIVGDLVALLDSLSLDKIFLVGHDWGAAMGWYFCLLRPDRIKALVNLSVAFTPRNPKWKPVESMRFLFGDDYYMCRFQEPGEAEEEFASAGTDRIIRKFLTNRKPGLIRVPKSVRFGGSSNKPINMPSWLSEQDVDYYVSKFSKKGFTGGLNYYRVMDLNWELLAPWTGVQVKVPVKFIVGDLDVTYNTPGVKNYVDNGGFQRDVPFLQEVVIMEGVAHFCHQEKPEDINVHIYDFIQKFG >itb15g06200.t3 pep chromosome:ASM357664v1:15:4075811:4077548:-1 gene:itb15g06200 transcript:itb15g06200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPAAELIAPGNPNPSLKPPSSATKLRFESVLAVGPRRGCRALVVARPIHAKGIGLGLGLRRGPVCRRSLGKRSVFAFAASREESEPSDVNVEKEKSDIEMDAEESQEAWKKTLDSFREQAIKMQSLSQEAYDVYSKKAVVILKETSEKLKIQAEKARQDLSVIAKEISEESKEYLATAAENSPESVKDIVETFASSTDELNDVSKVRDFYVGIPYGALLSVSGFLCFMLTGSIPAIRFGVILGGTLLALSISSLRYWKKGESNSLALRGQTGLYLILRELNSENSCFSKAVMD >itb15g06200.t1 pep chromosome:ASM357664v1:15:4074797:4077583:-1 gene:itb15g06200 transcript:itb15g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPAAELIAPGNPNPSLKPPSSATKLRFESVLAVGPRRGCRALVVARPIHAKGIGLGLGLRRGPVCRRSLGKRSVFAFAASREESEPSDVNVEKEKSDIEMDAEESQEAWKKTLDSFREQAIKMQSLSQEAYDVYSKKAVVILKETSEKLKIQAEKARQDLSVIAKEISEESKEYLATAAENSPESVKDIVETFASSTDELNDVSKVRDFYVGIPYGALLSVSGFLCFMLTGSIPAIRFGVILGGTLLALSISSLRYWKKGESNSLALRGQTAIATILFLRELRLLFQRGFIVNIITTLISGSMAAFFAYRVIKDGEQTKGSNLETQPEN >itb15g06200.t2 pep chromosome:ASM357664v1:15:4074797:4077548:-1 gene:itb15g06200 transcript:itb15g06200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPAAELIAPGNPNPSLKPPSSATKLRFESVLAVGPRRGCRALVVARPIHAKGIGLGLGLRRGPVCRRSLGKRSVFAFAASREESEPSDVNVEKEKSDIEMDAEESQEAWKKTLDSFREQAIKMQSLSQEAYDVYSKKAVVILKETSEKLKIQAEKARQDLSVIAKEISEESKEYLATAAENSPESVKDIVETFASSTDELNDVSKVRDFYVGIPYGALLSVSGFLCFMLTGSIPAIRFGVILGGTLLALSISSLRYWKKGESNSLALRGQTAIATILFLRELRLLFQRGFIVNIITTLISGSMAAFFAYRVIKDGEQTKGSNLETQPEN >itb12g19250.t1 pep chromosome:ASM357664v1:12:21630355:21632777:1 gene:itb12g19250 transcript:itb12g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRNPKPSKVISSPLYIFLLKDLIGITLIYFWVELLQIIGRLILIAVLVVVSCSREVVGRRGHNGWRSFDYSAISCRRFTASLTDFGGVGDGVTLNTNAFRLAVNFLSRFGPEGGSMLYVPPGKWLTGSFNLTSHFTLFLDQDAVILASQDENEYPVIEPLPSYGRGRDTEGGRFISLIFGTNLTDVIITGNNGTLDGQGSLWWDKYHKRTLNYTRPYLIEIMYSENIQISNLTLLNSPSWNVHPVYSSDIIIEGITIIAPTRSRNTDGINPDSCTNTRIQDCYIVSGDDCIAVKSGWDEYGIAFGMPTKQLVIRRVTCISPTSAVIALGSEMSGGIEDVRAEDIVAIDTESAVRIKTGVGRGGYVKDIYVRRVTMKTMKYVFWMTGNYGSHPDDKYDPNAFPVIKNINYRDMVAENVTMAGRLEGISGHPFTGICISNVTIEMGQHAKLPWNCTDISGVSSGVVPQPCGLLPDQGPDKVTSCDFPTETLPIENVEVQTCAFRQRLRMV >itb04g21200.t1 pep chromosome:ASM357664v1:4:26299623:26301338:1 gene:itb04g21200 transcript:itb04g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNTLCFLFVSFVCLSSYSNHQALAYIDEGLKQCLIRNSQNQTASIFNDIYTPDNSSFVSVLQYPIKNTRFNSSDTPKPFSVITPKEEAEVQAVILCAAELNLRVRIRSGGHDYEGLSYTNPKKGDHSPFLVLDLINFNNVAVDSVEKTAWVGSGATVGELYYRISEKSKSLGFPAGVCHSIGVGGHFSGGGYGMMLRKHGLSADHVVDARLVDAKGNILDRKSMGEDLFWAIRGGGGNTFGVVLSWKVQLIDVPETVTTFNIVRTLEQNATNIVHKWQSIAPNLPQELFIRIIAIGQKPQNTVQAIFNSLYLGPADTLLKILQQSFPELGATRQDLREMSWIESVLNIDGFPNGTHPKALLGTIVNNGKPYYFKGKSDYVKTPIPVEGLEGVWDFLKENNSGLIIMSPYGGIMEEISESAIPFPHRAGNLYKIQYVMSWSEAGKKAYESHMSWIRRLFHYYTPFVSKSPREAYVNYRDLDIGRNSMGNLTYGAQSKNWGVKYFKSNFERLVRVKTQVDPQNFFRNEQSIPPRSHIVN >itb03g28330.t1 pep chromosome:ASM357664v1:3:29095346:29098420:1 gene:itb03g28330 transcript:itb03g28330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIQAVAKKRANTIFGTGGSGGEEMEEMDDEEVGEVVEAERFLPNGDYYTGQWAGNFPHGQGKYWWTDGCMYVGEWHRGKTMGKGSFRWPSGATYEGNFKAGFMDGDGTYIGPNGDTYRGRWVMNVKQGRGVQELANGDVYDGEWCKGLHEGQGIYTWSNGNYYVGEWKGGGICGEGKMVWANGNVYQGHWEDGVPKGNGTFRWEDGSYYVGNWSRDPKEQNGNHYPSETSVTECTLIDWDPQHVFNVDLKDCKVCPADKVLILPSQKKLAVWRSAKTKEKHCYGIRPKRMSVDNVRLDRDFTRSSQFSDGSGGGVSRSSCASDDTTSSTFHEDAPGFPPRGSPIRFPKVVAKKQGEVICKGHKNYELMLNLQLGIRPGPSASLDLTPSAFDPKDKFWTRFPPEGSKSTPPHPSCEFKWKDYCPKVFRALRLLFNVDPADYMMSICGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVLLRMLNAYFNHVRAFDNTLVTKYFGLHCVRLTGTAQKKVRFMIMGNLFCTGYTIHRRFDLKGSTFGRLTDKPESEIDATTTLKDLDLNYIFRLQKEWFEEFRNSFCRQVDRDCELLEQERIMDYSLLIGIHFRELGNAGGNHTVVENGEGSEPESSQADMDHLPQDPTCSGDMKLGICMPARVERTERRCDPEPQLVGEPTGDYYDVVLFFGIIDILQDYDITKKLEHAYKSFQHDSNSISAVDPKAYSRRFRDYIFKAFGEDS >itb11g01760.t1 pep chromosome:ASM357664v1:11:880291:882123:1 gene:itb11g01760 transcript:itb11g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATQYPIRTIVVLVQENRSFDHMLGWMKSLQPEIDGVTGNESNPLSASDSSSKRVYFSDQSGYVEPDPGHSFEATHEQIFGMLWSEDSAAQNVLPTMEGFAQQAETIKEGLSEIVMNGFKPDAIPVYRELVKEFAVCDRWFSSIPTLTQPNRLFIHSATSYGATANDTKMLIEGYPQKTIFESLEENGCSFGIYYQYPPTTLFYRNLRKLKYIRNFHQFDLHFKHHCEKGKLPNYVVIEQRYFETKLLPGNDDHPSHDISEGQRFVKEVYEALRSSPQWNEILFVIIYDEHGGFYDHVPPPVIGVPSPDDNVGPEPYRFKFDRLGIRVPAILISPWIEPGTVLHGPTGPYPTSEFEHSSIPATVKKVFNLGEFLTKRDAWAGTFEVVLNRSSPRTDCPVILPEPVRLRDTGPNEEAGLSEFQAELVQLGAVLNGDHSRDDVFPHKLVENMKVFEAADYVGGAFQKFTQECHKAIEAGADESHIIICPAKPDPPDHHQEHHRRSKTFVRKILSCLVCDLS >itb03g19000.t1 pep chromosome:ASM357664v1:3:17135379:17138209:-1 gene:itb03g19000 transcript:itb03g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIFGKPKQESNALATLDKLNETLEMLEKKEKVLQKKASTEVERAKDFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKMIQEALSTPMSAVDFDEDELEAELEELEGADLEEELLQPATTAPAAPVHIPAGKQAARPVPHRNTAEDDELEALQKEMAL >itb11g11660.t1 pep chromosome:ASM357664v1:11:8563687:8566768:-1 gene:itb11g11660 transcript:itb11g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRLLWLAIILVLTMAASTSQRSLRIDEDENGVKVETLLSPRFELEPGSVSNKFYYGIDFPKGHIGVRGFDAEVVDEEGNSIPLHQTYLHHWVVGKLMIPKGVEAEKDHYNETATLVYNSGVCAELPQYFGLGSETRKTDTHVPDPYGIEVGNPPPGFVEGWLLNAHAIDTRGAEDKMGCIECRCELYNVTEDESGMPIGDYVGGLRCCYDGVRCRVKEGFRGEKRGLYLKYTVTYVDWKPSIVPVKVYIFDVTDTLELSDSIPRGRHHCQFEYGVDACSAAAAATDECVHSKSLTVSLPNGGDLIYAVAHQHVGGVGSTLFGEDGRVLCKSFPIYGNGTEAGDEDGYIVGMSTCYPQPGSVKIAPMEKLTIISNYSNAQMHTGVMGYYYILVAEPLPKSNPIFHSLDVADLV >itb11g11660.t3 pep chromosome:ASM357664v1:11:8563769:8566634:-1 gene:itb11g11660 transcript:itb11g11660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRLLWLAIILVLTMAASTSQRSLRIDEDENGVKVETLLSPRFELEPGSVSNKFYYGIDFPKGHIGVRGFDAEVVDEEGNSIPLHQTYLHHWVVGKLMIPKGVEAEKDHYNETATLVYNSGVCAELPQYFGLGSETRKTDTHVPDPYGIEVGNPPPGFVEGWLLNAHAIDTRGAEDKMGCIECRCELYNVTEDESGMPIGDYVGGLRCCYDGVRCRVKEGFRGEKRGLYLKYTVTYVDWKPSIVPVKVYIFDVTDTLELSDSIPRGRHHCQFEYGVDACSAAAAATDECVHSKSLTVSLPNGGDLIYAVAHQHVGGVGSTLFGEDGRVLCKSFPIYGNGTEAGDEDGYIVGMSTCYPQPGSVKIAPMEKLTIISNYSNAQMHTGVMGYYYILVAEPLPKSNPIFHSLDVADLV >itb11g11660.t4 pep chromosome:ASM357664v1:11:8563769:8566634:-1 gene:itb11g11660 transcript:itb11g11660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRLLWLAIILVLTMAASTSQRSLRIDEDENGVKVETLLSPRFELEPGSVSNKFYYGIDFPKGHIGVRGFDAEVVDEEGNSIPLHQTYLHHWVVGKLMIPKGVEAEKDHYNETATLVYNSGVCAELPQYFGLGSETRKTDTHVPDPYGIEVGNPPPGFVEGWLLNAHAIDTRGAEDKMGCIECRCELYNVTEDESGMPIGDYVGGLRCCYDGVRCRVKEGFRGEKRGLYLKYTVTYVDWKPSIVPVKVYIFDVTDTLELSDSIPRGRHHCQFEYGVDACSAAAAATDECVHSKSLTVSLPNGGDLIYAVAHQHVGGVGSTLFGEVGTRYVFFLGESF >itb11g11660.t2 pep chromosome:ASM357664v1:11:8563687:8566768:-1 gene:itb11g11660 transcript:itb11g11660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRLLWLAIILVLTMAASTSQRSLRIDEDENGVKVETLLSPRFELEPGSVSNKFYYGIDFPKGHIGVRGFDAEVVDEEGNSIPLHQTYLHHWVVGKLMIPKGVEAEKDHYNETATLVYNSGVCAELPQYFGLGSETRKTDTHVPDPYGIEVGNPPPGFVEGWLLNAHAIDTRGAEDKMGCIECRCELYNVTEDESGMPIGDYVGGLRCCYDGVRCRVKEGFRGEKRGLYLKYTVTYVDWKPSIVPVKVYIFDVTDTLELSDSIPRGRHHCQVINI >itb14g05320.t1 pep chromosome:ASM357664v1:14:4647761:4648528:1 gene:itb14g05320 transcript:itb14g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNLMILAVVAAALIYTAAGASKVYDASKYCQTADDKKLCEQMVSGAQNLHDASEKAIKATKDYAKKIEGESTAEIPSAKDLPPQTKKSILDTCKESYKKAVDDLDLSLKALLDHDRGTLMTRLSAALDSDCEDAVNEFGVNLPLKKDMKRYDAELENSLAVVTQQ >itb06g20570.t2 pep chromosome:ASM357664v1:6:23506666:23511070:1 gene:itb06g20570 transcript:itb06g20570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCASMRAHPVPVSKGFGYGDSGFWGEKTRGCRIKTERHEGMPKKVNLGVACSILTHDVNKQHLSFETQHFEEHSQADPRNVASIVLGGGAGTRLFPLTRSRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLARAYGIGNGVNFGDGFVEVLAATQTPGEAGKMWFQGTADAVRQFIWVFEDAKNKNIDNILILSGDHLYRMDYMDFVQRHIDTNADITVSCVPMDDSRASDYGLMKIDGSGRIVHFAEKPKGPALKTMQVDTSLLGLSENEAKKYPYIASMGVYVFRTEVLLNLLRSQYPSCNDFGSEIIPAAVKDHNVQAYLFSDYWEDIGTVKSFFDANLALTEQPPMFDFNDPKTPFYTSPRFLPPTKVDKCKIVDAIISHGCFLRECSVKHSIVGIRSRLDYGVELEDTMVMGADYYQTESEIASLLATGKVPIGIGTNTKIRYSALEPTLSLQHANLKNL >itb06g20570.t1 pep chromosome:ASM357664v1:6:23506666:23511070:1 gene:itb06g20570 transcript:itb06g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCASMRAHPVPVSKGFGYGDSGFWGEKTRGCRIKTERHEGMPKKVNLGVACSILTHDVNKQHLSFETQHFEEHSQADPRNVASIVLGGGAGTRLFPLTRSRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLARAYGIGNGVNFGDGFVEVLAATQTPGEAGKMWFQGTADAVRQFIWVFEDAKNKNIDNILILSGDHLYRMDYMDFVQRHIDTNADITVSCVPMDDSRASDYGLMKIDGSGRIVHFAEKPKGPALKTMQVDTSLLGLSENEAKKYPYIASMGVYVFRTEVLLNLLRSQYPSCNDFGSEIIPAAVKDHNVQAYLFSDYWEDIGTVKSFFDANLALTEQPPMFDFNDPKTPFYTSPRFLPPTKVDKCKIVDAIISHGCFLRECSVKHSIVGIRSRLDYGVELEDTMVMGADYYQTESEIASLLATGKVPIGIGTNTKIRNCIIDKNARIGKDVVIANKDGVDEADRADEGFYIRSGITIVLKNATIRDGTVI >itb06g20570.t3 pep chromosome:ASM357664v1:6:23506730:23510559:1 gene:itb06g20570 transcript:itb06g20570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCASMRAHPVPVSKGFGYGDSGFWGEKTRGCRIKTERHEGMPKKVNLGVACSILTHDVNKQHLSFETQHFEEHSQADPRNVASIVLGGGAGTRLFPLTRSRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLARAYGIGNGVNFGDGFVEVLAATQTPGEAGKMWFQGTADAVRQFIWVFEDAKNKNIDNILILSGDHLYRMDYMDFVQRHIDTNADITVSCVPMDDSRASDYGLMKIDGSGRIVHFAEKPKGPALKTMQVDTSLLGLSENEAKKYPYIASMGVYVFRTEVLLNLLRSQYPSCNDFGSEIIPAAVKDHNVQAYLFSDYWEDIGTVKSFFDANLALTEQPPMFDFNDPKTPFYTSPRFLPPTKVDKCKIVDAIISHGCFLRECSVKHSIVGIRSRLDYGVELEDTMVMGADYYQTESEIASLLATGKVPIGIGTNTKIRYSALEPTLSLQHANLKNL >itb12g20020.t1 pep chromosome:ASM357664v1:12:22433286:22435539:-1 gene:itb12g20020 transcript:itb12g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKARCFKYEFWSLIKVRAKRNKERLEIKAAYRRLARVLHPDVASSFRRESSDEDFIRVHVAYATLTDPQKLANYNRTLFCPGQSRSPVTGIGKLINVGNWR >itb01g17210.t1 pep chromosome:ASM357664v1:1:21968854:21974257:-1 gene:itb01g17210 transcript:itb01g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEGACLNHVSRESPDIKRLAQFYTEVFGFEQLAAPNFPFGVIWLKLGSFYLHLIERDPSTKLPEYSAMSAVAAPENLPRGHHVCFSISNFDSFVRTLKDKGIQVHETCQPDGKTKQAFFFDPDGNGLEVSSARPAP >itb05g22320.t1 pep chromosome:ASM357664v1:5:27747948:27750732:-1 gene:itb05g22320 transcript:itb05g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKLPLFTAALTSNSGRALAGDKVSGFQPLSLRLPQQRRFASLSIQSENASSSELFDQSRLTSAVGSSPALELVLTPRHIQILSFIACTAAISATWLFCSAIPTLLAFRRAASSLEKLMDVTREELPETMAAVRLSGMEISDLTMELSDLGQEITHGVRRSTQAVRVTEERLQQFTNITRSATTQAPMQTKTAGPAVARKARGIREGIVKGRAVLQMLFTLSRYSGMAFNFFSSRAKVRGGLCGREIYHTRLAVTPVRRRQRRCKIKSRALTCYVLFPISLTLPQPDTASSGSPLIPQKQ >itb02g05360.t1 pep chromosome:ASM357664v1:2:3215762:3221572:-1 gene:itb02g05360 transcript:itb02g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLGIFLSLLLLNSICCHAAVSSIDLGSESMKVAVVNLKPGQPPISIAINEMSKRKSPSLVAFHSGARLIGEEASGLLARYPDKVYSHLRELIAKPYDHVVKSLDSRYLNYDVFPEETRNVAAFRTENGNFTAEELVAMVLKYAIGLAETHVRGGSVKDAVITVPPYMGVAERNGLLTAAELAGINVLALVNEHSGAALQYGIDKDFSNGSRHVIFYDMGAGSTYATLVYFSAYNAKEYGRTVSVNQFQVKDARWDAELGGEQFELRLVEYFADEFNKQLGNGFDVRKSPKAMAKLKKQVKRTKEILSANTAAPISVESFYDDRDFRSTITREKFEELCEDLWERALVPLKDVLRHSGLKAEEIYAVELIGGGTRVPKLQAKLQEFLGRKELDKHLDADEATVLGASLHAANISDGIKLNRKLGMIDGSMYGFVINVDGPDLPKDESTNQFLIPRMKKLPSKMFRSIVHDKDFEVSLAYESKDLLPPGTTSLTFAQYAVEGLADANERYASRNISAPIKANLHFSLSRSGTFSLDRADAVIEISEWVEVPRKNLTVDDSNSTAANASVESSPKNTTEDSDDKLDVEGASSDSSNSTANNSSTADLGAEKKLKKRTFRIPLKIIEKTTGPAMSFSDKSFSEAKLKLEELDKKDAERRRTAELKNNLESYIYDTRDKIESEEFAKISTDQERKSYIEKLDEVQEWLYTDGEDASATEFEKKLDLLKAIGDPIFHRYNELTARPAASEHARRYLTELQQIVQKWETDKSWLPRGKIDEVLAEAEKLKSWLNEKEAEQAKTPGYSKPVFTSEEVYTKLFDLQDKVAKVNKIPKPKPKVEKPTTNGTENNGQKEKADSSSEEPSQKEQTGDSGKPASGDKPSAESNGDGQPEKHDEL >itb10g22750.t1 pep chromosome:ASM357664v1:10:27492760:27494890:-1 gene:itb10g22750 transcript:itb10g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLNAFGPVVLGLLTLWAVTADSAHHSAPAPAVDCNSVVLNLADCLSFVTNGSTTTKPEGTCCSGLKMVLKSNAECLCEGFKNSAQLGVVLNVTKALSLPAACHVSAPSVSNCGLSSGSISSPAHSPSASPPSTAADAPIGAAGAPTTSVGARETSPAPSPGSSGSSGLAPVGFLAFMLLVVSLLL >itb03g17640.t1 pep chromosome:ASM357664v1:3:16180400:16182193:-1 gene:itb03g17640 transcript:itb03g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRSLALRTGLRGLMEGTPSGAGRSSVSGLRYFSDSTGRILSEEERAKENVYIQKMERERLEKLKKKAEKEKAEREKSGKIAEEEAQKI >itb13g21080.t2 pep chromosome:ASM357664v1:13:27740407:27744517:1 gene:itb13g21080 transcript:itb13g21080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSQVSSLTDFHHTKPSKPDEAAETKDSLKSAIRWETGTGFDDDSSDDDGVCPQEDEELSELCDFALSFCETQHPCPQEDEELAELCDFALSLCETQHVSSVTESDYTKLKLDDGDKDEAAETKDTLKSPKAAEMKDTLKSPKQGEAGTEFDDYSSDDDISGPMLSSQEVEELVELCDFALSLYETQHPGEYWKFERGYGGKRWYTLTDQYLLEFFGKKADCNSLRNFVVLAICSNFVRCEKFKVDQCVLVKDDEVEPMDENIKERVSMENGRIFLFSHKELQEHYKKFVEFCKSALTYYQERHVGEAYEFVEIQTARHSIIRGIFIFHAKKKADATLATFKAYTHPLVLRMDIDVVGSFEEYFSGY >itb13g21080.t3 pep chromosome:ASM357664v1:13:27740407:27744517:1 gene:itb13g21080 transcript:itb13g21080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSQVSSLTDFHHTKPSKPDEAAETKDSLKSAIRWETGTGFDDDSSDDDGVCPQEDEELSELCDFALSFCETQHPCPQEDEELAELCDFALSLCETQHVSSVTESDYTKLKLDDGDKDEAAETKDTLKSPKAAEMKDTLKSPKQGEAGTEFDDYSSDDDISGPMLSSQEVEELVELCDFALSLYETQHPGEYWKFERGYGGKRWYTLTDQYLLEFFGKKADCNSLRNFVVLAICSNFVRCEKFKVDQCVLVKDDEVEPMDENV >itb13g21080.t1 pep chromosome:ASM357664v1:13:27740407:27744517:1 gene:itb13g21080 transcript:itb13g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSQVSSLTDFHHTKPSKPDEAAETKDSLKSAIRWETGTGFDDDSSDDDGVCPQEDEELSELCDFALSFCETQHPCPQEDEELAELCDFALSLCETQHVSSVTESDYTKLKLDDGDKDEAAETKDTLKSPKAAEMKDTLKSPKQGEAGTEFDDYSSDDDISGPMLSSQEVEELVELCDFALSLYETQHPGEYWKFERGYGGKRWYTLTDQYLLEFFGKKADCNSLRNFVVLAICSNFVRCEKFKVDQCVLVKDDEVEPMDENV >itb03g28710.t1 pep chromosome:ASM357664v1:3:29407150:29410458:-1 gene:itb03g28710 transcript:itb03g28710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIRAFFIIFVGLFHYAKTQSSSIPTFTSILVFGDSTVDTGNNNYIPTIFRANHPPYGLDYTNGIPTGRFSDGQLVPDVLAQLLGLKQKGVPPYLQPNISDAELRSGVSFASAGSGFDDLTTALSGAISMRKQMEYLKEYIKRVKKSVGEREGERIVKGGLVIVSGGTNDFVLNFYDVPTRRLEFSIGKYQDFLLEKLQAFVKEVYDVGCRKIIVSGVPPIGCLPIQLTAKSPVLRNCVENENSDAQSYNAKLQHMLAALQETLPGSKLLYADTYTPLLNLINNPQENGFVETKKGCCGSGLVEVGPLCNTNSHVCTNPSEYVYFDSIHLTESAYLQITEYLIEDLLRKLSDNESR >itb03g28710.t2 pep chromosome:ASM357664v1:3:29409190:29410458:-1 gene:itb03g28710 transcript:itb03g28710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIRAFFIIFVGLFHYAKTQSSSIPTFTSILVFGDSTVDTGNNNYIPTIFRANHPPYGLDYTNGIPTGRFSDGQLVPDVLAQLLGLKQKGVPPYLQPNISDAELRSGVSFASAGSGFDDLTTALSGAISMRKQMEYLKEYIKRVKKSVGEREGERIVKGGLVIVSGGTNDFVLNFYDVPTRRLEFSIGKYQDFLLEKLQAFVKEVYDVGCRKIIVSGVPPIGCLPIQLTAKSPVLRNCVENENSDAQSYNAKLQHMLAALQETLPGSKLLYADTYTPLLNLINNPQENGKATPFSIPDRLKI >itb11g23440.t1 pep chromosome:ASM357664v1:11:25450196:25450803:1 gene:itb11g23440 transcript:itb11g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEQKKHKYGGAGRALCAFLLIFLILGAITLLILWLIYRPTKPKFTLVSLAIYQLNLTPPPFLGPVPVSVSMLNGLVMDEAYGMVALRLVFKGKLRYKGGAIKSRRYSVYVQCDLLVGFNTEFGAAQLSLLPSSSPQCNTHM >itb15g07780.t1 pep chromosome:ASM357664v1:15:5324165:5324542:-1 gene:itb15g07780 transcript:itb15g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLISKTIFIALFFCFLLIASFGVESAEAQGGGGGGKNKYCPHPARGCSKPLCDSWCKSGENTHHGNCRMGKCYCYRKC >itb12g24950.t1 pep chromosome:ASM357664v1:12:26291138:26294300:-1 gene:itb12g24950 transcript:itb12g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAHVPPGFRFHPTDEELVDYYLRKKITSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDPNGTPQQEEGWVVCRVFKKRIATMRRESEHDSPIWYDDQVSFMPEMDSPTQQHPHTSSGGRSSYAQFPYRCKKELDLQYPAVHAAAAADHPQFLQLPLLESPNKLLHAPPGLTYAPIPTFSGGHNIIHPMYLDNNNNNNNILGGHEHAAADHVTDWRVLDKFVASQLSQEELASKGPTTNYANAGDIFQTSDELNKQNPAPENASTSSSTSHHHQIDLWK >itb12g24950.t2 pep chromosome:ASM357664v1:12:26291138:26294300:-1 gene:itb12g24950 transcript:itb12g24950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAHVPPGFRFHPTDEELVDYYLRKKITSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDPNGTPQEEGWVVCRVFKKRIATMRRESEHDSPIWYDDQVSFMPEMDSPTQQHPHTSSGGRSSYAQFPYRCKKELDLQYPAVHAAAAADHPQFLQLPLLESPNKLLHAPPGLTYAPIPTFSGGHNIIHPMYLDNNNNNNNILGGHEHAAADHVTDWRVLDKFVASQLSQEELASKGPTTNYANAGDIFQTSDELNKQNPAPENASTSSSTSHHHQIDLWK >itb01g02390.t5 pep chromosome:ASM357664v1:1:1542731:1545535:-1 gene:itb01g02390 transcript:itb01g02390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDNSNEKEPKRKPGVVYLEV >itb01g02390.t1 pep chromosome:ASM357664v1:1:1542731:1545535:-1 gene:itb01g02390 transcript:itb01g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDNSNEKEPKRKPGVVYLEV >itb01g02390.t2 pep chromosome:ASM357664v1:1:1542731:1545450:-1 gene:itb01g02390 transcript:itb01g02390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDNSNEKEPKRKPGVVYLEV >itb01g02390.t4 pep chromosome:ASM357664v1:1:1542833:1545450:-1 gene:itb01g02390 transcript:itb01g02390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDNSNEKEPKRKPGVVYLEV >itb01g02390.t3 pep chromosome:ASM357664v1:1:1542833:1545535:-1 gene:itb01g02390 transcript:itb01g02390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPGYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLRPKSYRHLLEHNYGVHNFSHLLDIGSERFCAICSREDKHILVYAFKMDFAVEYENQGKSTSPAMPSIEILCSKKFFPSVVSCVCLAYAPASQRCIPWDKVKPINDNSNEKEPKRKPGVVYLEV >itb10g21900.t2 pep chromosome:ASM357664v1:10:26930826:26932443:1 gene:itb10g21900 transcript:itb10g21900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIFLEGKSLRFLLLLCSISSQFISGFSGNPSGPKDEKKTDDHASSSKSTGSRTLLICIGLIAFAGLSFFLFKIWQRKRREEQYARLLKLFEEDDELEVELGLRD >itb10g21900.t1 pep chromosome:ASM357664v1:10:26930826:26933174:1 gene:itb10g21900 transcript:itb10g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIFLEGKSLRFLLLLCSISSQFISGFSGNPSGPKDEKKTDDHASSSKSTGSRTLLICIGLIAFAGLSFFLFKIWQRKRREEQYARLLKLFEEDDELEVELGLRD >itb11g01480.t1 pep chromosome:ASM357664v1:11:722810:725908:-1 gene:itb11g01480 transcript:itb11g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPCIYACKSFNELQLAGFHSHVSPSTSFSVRRPNCFYPRISCSFGRERGVRNGESMSREKVKVKGKGKGKDNVWSIDSEIAKAEKEKEKPRRKKKRGNGRRMTRNTVQKSRCGRVMVSGAMLIETETVLQTQEPVIRPAWNTFASSVSGIWKGVGAVFSPMTAEMEPIEVGNKDEYLFDCYTLSRVEAVPPQAGEQTSQIQRTINWVSLNPYGEVPELKEGDYGNRVKGTDGERSLSTRKIAEGTLTKHNLPKFETFDFAKSDVMEEDIMGMEPGLVFFEDGSYSRGPVDIPVGDIDDSKYYLSPTFKFEQCLVKGCHKRLRMVHTIEFSNGGSDIQILRVAAYEEQWVSPANILDQSDMDLEVKPFSQRRRVQPVELTGSWKVFEMSVTPVYDEENDTDIDSVPYVHLCMETLNKRSLPETPVYFGEEDMLDMQDATILWLPGGVTSYVDVKKDGILCIGVGWYSDEGINLVMERDYGTDGKLKEVRWKCEMKRRWTNPPPM >itb05g12310.t1 pep chromosome:ASM357664v1:5:18818722:18830337:-1 gene:itb05g12310 transcript:itb05g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGGGRGGADDVEEELARIPLQAIVLADSFTTKFRPITLERPKVLLPLVNVPMIDYTLAWLEFAGVEEVFVFCCAHSKQVIDYLDNSKWFEQPNFVVTTIESHNAISAGDALRLIYERNVIHGDFVLVSGDTVSNMSLTEALKEHKERRRKDSNAVMTMVIKQSKPSPITHQSRLGTEELFIAIEPETKQLLYYEDKANDTKGYLSLEKGLLADNPSISLHNDKQDCYVDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFTHEIHSSYAARIDNFKSYDTVSKDIIQRWTYPLVPDVQFFGNSATKLERRGVYRASEVKQSRSAKIGSFTVIGNNTSIGYNTEISNSVIGEGCIIGSHVKIDGCYIWNNVTIEDGCKLKHAIVCDGVIMKSAGVLDPGVVLSFKVVVGQHFLVPAYSKVSLLPQPIKQDSDEELEYADNSSAISSTSDKMNEDTRTEPLNLQQCAAFQVGNGGVGYIWSVSEYGLDDEWKHSVAPIPADKLVGITQTLTDELDVPNPDGNILPHSGELELDSVTNDSIDDAEDIRDESVFFEKEVEATFRRAVEENVKHDHVILEVNALRLSYNKASEDCAGALFYSIMKYALDTPHSSPLELVKNVATVFTKWAKLLKYYLPSIDEEIEVILKFEELCLESAKEYYPLFEKLRIGGENQRYERLDRAGRAILIRFSGFFFARSRISLRLASVRRLMSTEDHTPVVGSIRRSFPSRKPRVAAGSGKKPSGTLQIYHCYNR >itb10g20860.t1 pep chromosome:ASM357664v1:10:26287270:26288571:-1 gene:itb10g20860 transcript:itb10g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLFRWAALLVLFQASCIGARSATLSLGQSLSLGQSLISPGGKFELGFFHPGNSSNDIYVGIWYANISVQTVVWVLNRDNPILNSSSSNAKLMLFGANLQLFNDARQLIWFTNVSSTVSNTTEAMLLDTGNFVVKNDVFTYWQSFDYPTDTLLPGMKFGFDETSGKNITLSSWRDNDQPGTGLFSLQMDPNGNGEFVMKDSSETVWRSGPWDGEGFQFIPRRLGYNAFNFSFDGKYVLYNVGNDSITSRILITPSGIMKLLVWSESTKYWGVFFTIPSDQCQISGACGDYGICDISSSPVCKCLPGFEPKSNTNWDLRDFSDGCERKRPLQCNSNVGGEDGFLKISNVKWPTSSQPLEVGSTERCRILCSYDCTCNAYAYSSNGRCLLWNEQLLDLEQLGNHSALGDLFLKYPENDTSVLPPTGASVLPPTG >itb09g29540.t1 pep chromosome:ASM357664v1:9:30266145:30269873:-1 gene:itb09g29540 transcript:itb09g29540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MSPGKHTCKPNIPTISNSNNLSKMQSFHLFLLLSITLGSVKIGVAQEGFCSAPSILNADSNSRPLYWKVTNPTLSPPHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWTNTLGAFLITPEMGSHFVMYLAKMQENSISGLPPSGVERLIFVVAGEVVMTNVSGSSHKLKVDSYAYLPPNLEHAVKSDASATLVVFERRYSKIENYVSHQIVGSTDRQPLLETPGEVFELRKLLPTSLDYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVEAGDAIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPIL >itb12g12370.t1 pep chromosome:ASM357664v1:12:11070470:11072779:1 gene:itb12g12370 transcript:itb12g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSVFVVNSSGWVPLSLVLLFRQSSIYNDAMFACGLICDDLGTTCLFGVLLLRHDRQQDMDIPNELTLDYQSLVWSANRNHPVTVNASVELRRDGGLLLMDSNGTVVWSTHTNGNPTLGLNLTENGNLVIFGQSNETIWQSFDHPTDTLLPGQLMPGQTLKASISKSNFEEGSYSVSIGDGYSVRAYLRSSNAYWYEYTPVMGVYVNFSKYWQNYEFLKFEPDGHLTAYGWSSPYESDVFAIGFCGYPLVCGRYGVCEYDQYCNCPPGLSLFTQINTSQPSQGCSLITPISCEHSQLHTLLEIKDTTYIGQYLLNEFGEYRDLESCKKACLRNCSCKAAHFNGHYSKGYYLLLNEVLSLVTTDVGNNISVYLKLQNSSTILQSHPWILQRHAKTTLGITGAFIAVVLTIIAIYLSLVRNKKVQLKDEEEEFLDGLPGLPTRFSYENLSVMTENFSRKLGEGGFGYVFEGALFQGTKIAVKRLKEVDQIKSSFLAKVATLGSMDHANLVKLIGFCAAKSQRLLVYEHMANGSLDKWIFNGKQQQQEEHGLTWQTKKKIMSDVAKGLAYLHEDCNHKIIHLDIKPQNILLDQNFNAKVADFGLSKLVAKDQSKVITTMRGTPGYIAPECTSLIITEKVDVYSFGIVMLETVCRRKNVDWDQAEEEVHLLSVFKRKIEEDKLGEMFDMYNKDMEVQKEEGIEMMRIAGWCLQSEYTKRPSMSEVVKALQGLATVDNNLNLDYNFSNQEGETTTDATSNTILIPSILSRPR >itb07g14080.t1 pep chromosome:ASM357664v1:7:16480863:16486733:1 gene:itb07g14080 transcript:itb07g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGMDGDRGGGGGGGRGRSQRGGRGGAGARGGGYDGTGGRGRGGGGRGPLVHNVPSPSQIPALSVTAPPAPTAQRLIRDLDQKLTLQTESHPASSQAAAVAEAPALPPASSKAVRFPSRPGCGRFGMRCVVKANHFLVDIADRDLNHYDVTITPEVLSKKVCRVIMNKLASDYTQTHLGNRMLAYDGGKSVYTAGELPFKSMDFVVKLADDKGSSRREREYKVSIKFATKHSLYHLQQFLQSRQLDAPQETIQVLDVVLRTNPSSLYQVVGRSFFSSQFGEGQLSDGLDYWKGFYQSLRPCQMGLSLNIDVSARAFYEPILVSEYVKKFVRDLSRPLTDQDRIKIKRDLRGVKVEFNHLSYTRRYKISGLSSQPAHQLIFELDGGEKISVGQYFRQKYNIVLQYPMLPAIQSGSDARPVYVPMEVCTIVKGQRYTRKLNERQVTQLLRAACKRPPEREKIIEQIIEKNEYNKKELVKTEFGMQLRTALTQIDARVLPPPRIKYHESGRESLVDPRVGVWNMINKKMVDAARVEFWACVNFSRCKDPDRFCGELLEMCCSKGMDFNPSPLVPIHSFHPSKIEKTLIDIHKDCTARISSMKKSGNLQLLIIILPDVSGSYGKIKRICETELGIVSQCCQPRQAAKYNNKQYLENVSLKINVKVGGRNCFLELAVQRNIPYLTDRPTIIFGADVTHPSPGEDTSSSIAAVVASMDWPHVTKYRGLVSAQQHREEIIQGLYKTHQDPQRGTVHGGMIRELLIEFRKSTGRKPERIIFYRDGVSEGQFCQVLLEEMDAIRKACNSLEANYLPPVTFVVVQKRHHTRLFPANHDDRRMTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLYDENAFTADALQNLTNCLCYTYARCTKSVSIVPPAYYAHLAAFRARYYVEDEVSDGSSGMAGGRATRENTANVRPLPAIKDNVKAVMFYC >itb09g30430.t1 pep chromosome:ASM357664v1:9:31065246:31065668:1 gene:itb09g30430 transcript:itb09g30430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRLGRRLVRVFRRLKPPSSVAGALSKLGRLMKQGALCMRLKRGDPGYIRVGQDPVESQKVSVPKGQLAVYVGEREDLARRVTVPVIHFNHPLFANLLREAETVFGFDHAGGIQIPCRISEFESVQVAIKNRRRRSRW >itb01g12820.t5 pep chromosome:ASM357664v1:1:12500570:12509063:1 gene:itb01g12820 transcript:itb01g12820.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFLLILILIFQKIRRKVGRKLPPPKNSTNTEIKSKAIVLPEQSVSLEKAGLAVSKKGLTLKELLQQTSHHNARVRKDALVGIKDIFLKYPVELKLHKLAVIEKLRGRISDDDKLVRETLYQLFKSVIFPGCVEDGKGPFISLIMVYIFSAMTNLAIEVRLMAFKFLDLVIQNYPSTFSMYAEKILQNYGDILQKNKFFLQDKGKLKTALSGLVRCLSLLPFKNRSADDHSDKKDIPVQVTLHAFVPDISSDSSGLSSSGVVEKLKNLLPALVSCFQDFSPLVHTMPQIDTQSYDCMLLILQNIDLLVRFFIHESPYHHTHVNISDQRISLLALKKLWDEFPFSPIHDLSKKENDRYFMLNIVITEIFLKISHWDHPPPALMEKFLEFIEISLSEKICHQMESSKVIHEKPLLALVSFTPELIMRVSGFWKSRILQAFTKVFKSCSPESLMKLACLSAIEEMMFSEHDWLYLDTSDLELLHYQITWIRELPSLLIVLGDKHPLSSKTVLSLQLRIGQTAEFDSPFAKEYDEMQYILRGFYSTTCDEGGVSYGPFMTLSGDIQELSLCCLYYFSILDSIILQSLVSCCLSHELEPCLVLRILEVLHTAYKAGHIQIADYISFLITLVSRFHAYPENHEGKSIRASFRSLTSAVCSGLSQIGDNCLIFQMVERVITDQIFLSPPVDNMNALLRILTAIDTAPTKLSEPSIDKLSHVLPKYLLDVASDLPGEVNEQNSRSSLKRSQYYLLPCFFLFQRSKGLLSKVLKEMGSVLAENRSPPLSYHHEFSLINHKSTLVASVSVLLLLHSDIKMRRVLSSCKPEIESILEYMVALLASEGNNMTIEERHEVQRACDRLTTATTTLLV >itb01g12820.t1 pep chromosome:ASM357664v1:1:12497560:12509063:1 gene:itb01g12820 transcript:itb01g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKAQSKKQQKRGVDFKKIRRKVGRKLPPPKNSTNTEIKSKAIVLPEQSVSLEKAGLAVSKKGLTLKELLQQTSHHNARVRKDALVGIKDIFLKYPVELKLHKLAVIEKLRGRISDDDKLVRETLYQLFKSVIFPGCVEDGKGPFISLIMVYIFSAMTNLAIEVRLMAFKFLDLVIQNYPSTFSMYAEKILQNYGDILQKNKFFLQDKGKLKTALSGLVRCLSLLPFKNRSADDHSDKKDIPVQVTLHAFVPDISSDSSGLSSSGVVEKLKNLLPALVSCFQDFSPLVHTMPQIDTQSYDCMLLILQNIDLLVRFFIHESPYHHTHVNISDQRISLLALKKLWDEFPFSPIHDLSKKENDRYFMLNIVITEIFLKISHWDHPPPALMEKFLEFIEISLSEKICHQMESSKVIHEKPLLALVSFTPELIMRVSGFWKSRILQAFTKVFKSCSPESLMKLACLSAIEEMMFSEHDWLYLDTSDLELLHYQITWIRELPSLLIVLGDKHPLSSKTVLSLQLRIGQTAEFDSPFAKEYDEMQYILRGFYSTTCDEGGVSYGPFMTLSGDIQELSLCCLYYFSILDSIILQSLVSCCLSHELEPCLVLRILEVLHTAYKAGHIQIADYISFLITLVSRFHAYPENHEGKSIRASFRSLTSAVCSGLSQIGDNCLIFQMVERVITDQIFLSPPVDNMNALLRILTAIDTAPTKLSEPSIDKLSHVLPKYLLDVASDLPGEVNEQNSRSSLKRSQYYLLPCFFLFQRSKGLLSKVLKEMGSVLAENRSPPLSYHHEFSLINHKSTLVASVSVLLLLHSDIKMRRVLSSCKPEIESILEYMVALLASEGNNMTIEERHEVQRACDRLTTATTTLLV >itb01g12820.t3 pep chromosome:ASM357664v1:1:12497560:12508972:1 gene:itb01g12820 transcript:itb01g12820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKAQSKKQQKRGVDFKKIRRKVGRKLPPPKNSTNTEIKSKAIVLPEQSVSLEKAGLAVSKKGLTLKELLQQTSHHNARVRKDALVGIKDIFLKYPVELKLHKLAVIEKLRGRISDDDKLVRETLYQLFKSVIFPGCVEDGKGPFISLIMVYIFSAMTNLAIEVRLMAFKFLDLVIQNYPSTFSMYAEKILQNYGDILQKNKFFLQDKGKLKTALSGLVRCLSLLPFKNRSADDHSDKKDIPVQVTLHAFVPDISSDSSGLSSSGVVEKLKNLLPALVSCFQDFSPLVHTMPQIDTQSYDCMLLILQNIDLLVRFFIHESPYHHTHVNISDQRISLLALKKLWDEFPFSPIHDLSKKENDRYFMLNIVITEIFLKISHWDHPPPALMEKFLEFIEISLSEKICHQMESSKVIHEKPLLALVSFTPELIMRVSGFWKSRILQAFTKVFKSCSPESLMKLACLSAIEEMMFSEHDWLYLDTSDLELLHYQITWIRELPSLLIVLGDKHPLSSKTVLSLQLRIGQTAEFDSPFAKEYDEMQYILRGFYSTTCDEGGVSYGPFMTLSGDIQELSLCCLYYFSILDSIILQSLVSCCLSHELEPCLVLRILEVLHTAYKAGHIQIADYISFLITLVSRFHAYPENHEGKSIRASFRSLTSAVCSGLSQIGDNCLIFQMVERVITDQIFLSPPVDNMNALLRILTAIDTAPTKLSEPSIDKLSHVLPKYLLDVASDLPGEVNEQNSRSSLKRSQYYLLPCFFLFQRSKGLLSKVLKEMGSVLAENRSPPLSYHHEFSLINHKSTLVASVSVLLLLHSDIKMRRVLSSCKPEIESILEYMVALLVRLLL >itb01g12820.t4 pep chromosome:ASM357664v1:1:12497560:12509063:1 gene:itb01g12820 transcript:itb01g12820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKAQSKKQQKRGVDFKKIRRKVGRKLPPPKNSTNTEIKSKAIVLPEQSVSLEKAGLAVSKKGLTLKELLQQTSHHNARVRKDALVGIKDIFLKYPVELKLHKLAVIEKLRGRISDDDKLVRETLYQLFKSVIFPGCVEDGKGPFISLIMVYIFSAMTNLAIEVRLMAFKFLDLVIQNYPSTFSMYAEKILQNYGDILQKNKFFLQDKGKLKTALSGLVRCLSLLPFKNRSADDHSDKKDIPVQVTLHAFVPDISSDSSGLSSSGVVEKLKNLLPALVSCFQDFSPLVHTMPQIDTQSYDCMLLILQNIDLLVRFFIHESPYHHTHVNISDQRISLLALKKLWDEFPFSPIHDLSKKENDRYFMLNIVITEIFLKISHWDHPPPALMEKFLEFIEISLSEKICHQMESSKVIHEKPLLALVSFTPELIMRVSGFWKSRILQAFTKVFKSCSPESLMKLACLSAIEEMMFSEHDWLYLDTSDLELLHYQITWIRELPSLLIVLGDKHPLSSKTVLSLQLRIGQTAEFDSPFAKEYDEMQYILRGFYSTTCDEGGVSYGPFMTLSGDIQELSLCCLYYFSILDSIILQSLVSCCLSHELEPCLVLRILEVLHTAYKAGHIQIADYISFLITLVSRFHAYPENHEGKSIRASFRSLTSAVCSGLSQIGDNCLIFQMVERVITDQIVS >itb01g12820.t2 pep chromosome:ASM357664v1:1:12499837:12509063:1 gene:itb01g12820 transcript:itb01g12820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKAQSKKQQKRGVDFKKIRRKVGRKLPPPKNSTNTEIKSKAIVLPEQSVSLEKAGLAVSKKGLTLKELLQQTSHHNARVRKDALVGIKDIFLKYPVELKLHKLAVIEKLRGRISDDDKLVRETLYQLFKSVIFPGCVEDGKGPFISLIMVYIFSAMTNLAIEVRLMAFKFLDLVIQNYPSTFSMYAEKILQNYGDILQKNKFFLQDKGKLKTALSGLVRCLSLLPFKNRSADDHSDKKDIPVQVTLHAFVPDISSDSSGLSSSGVVEKLKNLLPALVSCFQDFSPLVHTMPQIDTQSYDCMLLILQNIDLLVRFFIHESPYHHTHVNISDQRISLLALKKLWDEFPFSPIHDLSKKENDRYFMLNIVITEIFLKISHWDHPPPALMEKFLEFIEISLSEKICHQMESSKVIHEKPLLALVSFTPELIMRVSGFWKSRILQAFTKVFKSCSPESLMKLACLSAIEEMMFSEHDWLYLDTSDLELLHYQITWIRELPSLLIVLGDKHPLSSKTVLSLQLRIGQTAEFDSPFAKEYDEMQYILRGFYSTTCDEGGVSYGPFMTLSGDIQELSLCCLYYFSILDSIILQSLVSCCLSHELEPCLVLRILEVLHTAYKAGHIQIADYISFLITLVSRFHAYPENHEGKSIRASFRSLTSAVCSGLSQIGDNCLIFQMVERVITDQIFLSPPVDNMNALLRILTAIDTAPTKLSEPSIDKLSHVLPKYLLDVASDLPGEVNEQNSRSSLKRSQYYLLPCFFLFQRSKGLLSKVLKEMGSVLAENRSPPLSYHHEFSLINHKSTLVASVSVLLLLHSDIKMRRVLSSCKPEIESILEYMVALLASEGNNMTIEERHEVQRACDRLTTATTTLLV >itb05g14770.t1 pep chromosome:ASM357664v1:5:22058392:22063030:-1 gene:itb05g14770 transcript:itb05g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGTRPTFFEMAAAQQLPSSLRAALSYSLGVLAMRRSFLHKILDFEDEFFALLMLVLETHSLRTSDASFAESLYGLRRRALKIRVKNDNKRLESSEEIHHSGLEKRQKILSVAFLVILPYLRSKLHAIYNKEREVALQASLWGEGDERFNTDYFDSGANSIVSTSSSDTEGSARERLKKRIQKVVAACYPWIHAGNEGLSFSYQLLYLLDATGFYSFGLHALGIHVCRATGQELMDTSSRISKIRKHERERLRGPPWLKKVQGGLLSCAYAVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTIYPPPLPPPAPKVAKDGIPLPPDRTLCPLCSQKRANPSVVSVSGFVFCYSCIFKYVSQYKRCPVTLMPATVDQIRRLFHDV >itb11g22880.t1 pep chromosome:ASM357664v1:11:24748468:24749557:-1 gene:itb11g22880 transcript:itb11g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDLTIGGQPAGRVVMELFADTTPKTADNFRALCTGEKGMGRSGKPLHYKGSTFHRVIPNFMCQGGDFTAGNGTGGESIYGTKFADENFVKRHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGHVVEGFDVIKRAEAVGSSSGRCSKPVVIADCGQLS >itb15g02740.t1 pep chromosome:ASM357664v1:15:1737848:1743617:1 gene:itb15g02740 transcript:itb15g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVWFDFSDEIFGIIEFPSYCKVASVTIMNDDIASYCKVASVTIMNDDIALLSYSGYAKVGDCIEISLMNENDGNIDWHKHASIDCTRSIEYHKYWSLKGNRDEVWNPIGIWKLGGRDHLLVCSGYEGNRRENKDKGFIANCDIIHRMHGGVSVLAEFQFSISPPPPSARKNGLELERLGNNKLGRGHFLSLSYPWSLNIGCWKIWRSKKFDNIELDITNSRTRYVICCDGMLCLILGRGDMIPHRSDGTNLIFDILIWNPFTRETKNLPSVKVPAIKKPVEYWVRNGFGFGLSKNMSWKIVMLWYFRYPRVESKDIYEIVMVCSQIGDGSWGWRQIDEAPHVPVNSHESFYLKGRYYWQSSGLMIRQRPGDPRIGSLVWFDFSDEIFGIIEFPSHCEVASVTIMNDNIALLSCRGYAKVGDCIEIWLMNGNASNIYWHKHASIDCTRSIDYHKYWTLKGNWNEVWKPIGIWKLGGRDHLLVCPGYEGHRSDNKNKGFIAYVISIDLVTQEWKFVYLTRDGRTINIVSNSDGFVQVCSETNSALGPICIFPNVPIYARAFSESLKLLQ >itb15g02740.t2 pep chromosome:ASM357664v1:15:1737848:1740354:1 gene:itb15g02740 transcript:itb15g02740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVWFDFSDEIFGIIEFPSYCKVASVTIMNDDIASYCKVASVTIMNDDIALLSYSGYAKVGDCIEISLMNENDGNIDWHKHASIDCTRSIEYHKYWSLKGNRDEVWNPIGIWKLGGRDHLLVCSGYEAIATSYIVCTAAYQFWPNFNFQFLRRRRPPERMGWSLNDSGIINLGEGTS >itb13g19810.t1 pep chromosome:ASM357664v1:13:26721840:26726083:-1 gene:itb13g19810 transcript:itb13g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPGVMPIGNYVSLYVMLPLGVVNAENVFPDKEKVEDELKQVKAGGCDGVMVDVWWGIIEAKGPKQYDWSAYRELFQLVKKCGLKIQAIMSFHQCGGNVGDAVFIPIPQWILQIGDKNPDIFYTNRAGNRNQEYLSLAVDNQCLFQGRTAIEMYRDFMESFRDNMADFLKGGDIVDIEVGCGAAGELRYPSYPETQGWVFPGIGEFQCYDKYMVADWKAAVKQAGNADWEMPGKGAGTYNDTPDKTEFFRPNGTYKTDMGKFFLTWYSNKLIIHGDQVLEEANKVFVGLRVNIAAKVSGIHWWYNHVSHAAELTAGFYNVAGRDGYRPIARMLARHHATLNFTCLEMRDSEQPAEAKSAPQELVQQVLSSGWKEYIDVAGENALPRYDATAYNQMLLNVRPNGVNLNGPPKLKMSGLTYLRLSDDLLQTDNFELFKKFVKKMHADLDPSPNAISPAVLERSNSAITIDELMEATKGSSPFPWYDVTDIPVDGSNPFD >itb02g01840.t1 pep chromosome:ASM357664v1:2:1027162:1032738:-1 gene:itb02g01840 transcript:itb02g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDRLSRQPHSIVISCNLKLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHLLQDEDVVQIVKKKEKEEGGRGRFKSHSNAPARISDREKKAPLKT >itb02g01840.t2 pep chromosome:ASM357664v1:2:1027162:1032738:-1 gene:itb02g01840 transcript:itb02g01840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDRLSRQPHSIVISCNLKLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHLLQDEDVVQIVKKKEKEEGGRGRFKSHSNAPARISDREKKAPLKT >itb10g22870.t1 pep chromosome:ASM357664v1:10:27535095:27538252:-1 gene:itb10g22870 transcript:itb10g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLLARAVMRRLIAGGSASRYVSSLSASSTVVVKAPALRSLDEPAVTMTAFCCRWESRRMMSSTTTAAVEKDKDKKQGGDVKEKKEGSGELEVSSYWGIMRPQITREDGSAWPWNCFMPWETYRADVSIDLTKHHVPKTFMDKFAFRTVKLLRIPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFEHSGGWIKALLDEAENERMHLMTMVELVKPKWYERLLVLVVQGVFFNCFFILYLCSSKLAHRIVGYLEEEAIHSYTEFLNDINSGKIENVPAPAIAIDYWRLPKDARLKDVITVIRADEAHHRDVNHFASDIRFQGKELKEAPAPIGYH >itb13g19350.t1 pep chromosome:ASM357664v1:13:26354336:26357199:1 gene:itb13g19350 transcript:itb13g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSVPPNRVSMCHWLFLAPPILGFSLLRAPRWDPKVVLGSFCLRCIPYSDHRPEAGLWIIPTPPPTPEAHHLGPFACFTGAIVWRLLERLGFLGSLSSAVTTAGSSIDFSFVEVELLRLLLCSDCAFYFWNRLRYLGNPRFPDSLGFYNCSAMAWRSG >itb14g02130.t1 pep chromosome:ASM357664v1:14:1731007:1739507:1 gene:itb14g02130 transcript:itb14g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLDKVVQDVASKAVNKLVQTVAANIDLVSGIGSAIEDLTSDIETFNARLLDVSKNQNSSDLHVLRVAVKKFRAIVNKAQDAVAKYIALKKRHEDNALAKCFHKIPVPVCGNINPVVEEGNATGFEDQVKTLEARLTGSSNRIVIPIVGLPGTGKTTFAYQIFHSTNKLNTFVHTMWVHVSQSFDRKQRYIDMLCEITKRPRDEYSGIPEKQLVAGIKDRLKDKKYFIVLDDIWEKNDWDSLNKALPENSQGSRVLVTTRFLNVVDDSDEKPHILEPLDDKVSWEILEKIVFGKDGCLNESLKTLGVDIAKRCNGLPLSLVMVGGILRKSRALAYWKRVAGNPFQEINKKDKRYHKVVRLSYDHLGDERLRNCFLYFACFPMGQEIAALKLIRLWIAEEFIPTIDEWGYSLDLEGEAENYLKDLVDRNLVMVMKRSADGQIKTCRIHDSLHEFCKTEAAKNDLFHIIDGEQKLNANIISSHRRLCFHSSSSSTAKIFDVEDDSSFLFLNSYNKMKKKNPYPSSEHVHSLLLSSSQKSDIDLKQEELIAFPNVFPLLRVLDIESFELSFQLPNELFSLNLLKYLAITTNVNFLPKAFKNLRELQTLVIKTTERTLEITGGIWNMEKLRHVQTNASIQLPSPPQKTWRQNNTGKTNIRSLSSISPTSCTKMIFSKTPHLKKLGVRGNLAELLEEKQEICLFNNLQMLKCLENLKLYGQDESALKVPMLDKFAHRLRKLSFCKTFFKWDEMRILGSLEELEVLKLDENAFRGECWDLKSDVVFNQLQYLRIGRTNLETWMVMENSFPVLENLVLRNCTSLEAIPIAFAKVHSLKVIELYHMSENATNSAKEVVVEQRRDKENVKGLNLKITPFPPKEKVLVVQEDIVVGFKDDVKIIKDRLTGGSFDLTFISIVGAAGFGKSTMAKMVFNDLELHYEFFTRIWVNVSRTFSRRKVFFDIIRQITREIYDRDYSHTSEEVLAENIKMFLEDGKYFIVMDDVWRVEDWDCLKIAFPNNMKGSRVLVTTRHVHLASHVDSANNPHYLLPLRNDESWELLEKKVFHKESCPPLLERHGKRIAERCNGSPLGVLTVAGILGKDNSLSEWERVAKDPLAVINQENRIYQGLVRLSYKKLPSHLKNCFLYLAVFGIGQDIAAWKLIRLWIDEGFISEVESNSSNLELTAQKYLSALADKNLVTVLKRKADGQIKTCRLHDTFHEFCTSEAAKANFFRGIMDGEGAGLDEVNINSHRLCIHSSIFDFLIMIRSERQPSSNKDMCSFLSFCSQRIELPSQALDTFPTAFPLLRVIDVESLTFGSMPTEFYHLNQLRYLAISTHMRFLPEPLDKFEELQTLVFNTTSRSSLQVEANLWSMPKLRHVHTNTPMLLPPPPRNNKKKNNSEIQTLSTISPSSCTREILGNTPNLQKLGIRGDFVEHMEMMMSNGGTHLFENLRQLKCLENLKLINETAIQHTQFSSFPSADKFPRRLRKMTLRNTRFDWEDMSILGLLDELEVLKLDDEAFLGKVCDVSNIVFKKLQYLRIQKTDLVSWIVSKDSFPVLEYLILKNCTCLHAIPSAFGELESLKVMELFCTNTKTANSARQIHSPNMGGTFQLSIYPLDH >itb03g15150.t1 pep chromosome:ASM357664v1:3:14568018:14571269:1 gene:itb03g15150 transcript:itb03g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVRDLFKERKFPFILSLFLLLFVLTFLLISNSQKSPLDLTAADIAKPQLHLPTLSPPPPPPAAEKEDVGAAESDSIRWTVCDDRDPAARDYIPCLDNSKAISALKSRRHMEHRERHCPDPPPRCLVPLPAGYRAPVTWPKSRDMVWYNNVPHPRLVEYKKDQRWVVKSGDYFVFPGGGTQFKEGVNHYVESIEKALPVIKWGKHTRVILDVGCGVATFGGFLLDKNVVTMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLAFPDNVYDLIHCARCRVHWDADGGRPLMELNRILRPGGFFIWSATPVYRDDERDRKVWEAMVLLTKSICWKVVKKTYDKATSIGMVIYQKPISPSCYKKRKENNPPLCDQAIRPNSSWYARLDSCLSQLPVSSNGNLYEWPEPWPKRLSSKPPSLSLVGNGDDEETFNRDTKHWASLLSDVYLGGLEINWSGIRNVMDMNAVYGGFAAALIDQPVWVMNVVPISEPDTLPVIFDRGLIGVYHDWCESFNTYPRTYDLLHASFLFEKLAQRCDIIDMAVEVDRILRPGGVLLVHDTMDMLNKLTSILRSLHWSVTVKQQQFLVGKKGFWRPDEKTRL >itb14g02330.t1 pep chromosome:ASM357664v1:14:1943463:1950969:1 gene:itb14g02330 transcript:itb14g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKSWSALSNTGKGKGKAVAFVDGPAAPPPPPVALLSENGGRGVRDVENMEDWRRFKEAGLLDEAAMERRDREALLEKAERLERELFDYQYNMGLLLIEKKEWTSKYDELEDALAEAREIVKREKTAHLIAITEVEKREDKLRNALSYEKQCVADLEKALRETRTEYGQLKLASEVKVADASALQAGIQDRSLEVREKLHVADAKLAEASRKNLELDMKLHELEARESVLRRERLSFNTEREAHEATFSKHKEDMREWERKLQEREERLCDSRRTLNEREDKANELERIAKLKEKKLEEEQKKLDVAKLAINEREVDINNRLEKLIVNEKEAENLRKNMEMKEKELNGLTEKLSKRERVEIQKLVDQKRHSLDAEMQKFEKELEDKRKLFDEEMKTKAEGLERKEMELNHLEDKIKKQELALEKKSERVKEREKDMDNKSKAVKEKERTVKAEEKRLELIKKEISSDKESLLVLKDELEKMKSDINQKETHIREETEKLRITEAERSEHLRLQAELKQAIERCRIEQETLLKEGEELKQDKKKFEYEWEALDEKRAAVAKELENVREEKEMLEKLQHDEDERLRNKKTTTEEYIKRELEAIKIEKESFAAMMRQEKLMLSEKAENDYNQLLHGFEARRKDLETDLHNKQEEMERILQEKNRAFEEEKEKELSKLNYLKDVVNKEREEVRSERLKLEKEKQEISSNKNKLEEHQLEMRKDINELAVVSKKLKHQREHFVKERGQFLAFVERIKNCDHCGEVIRNYTLSDVHLVEIENSEASPLSVPGDEILEKVASYVEKSPTAEEQKLSDSGGRVSWLRKCTSKIFKLSPNKKTQYLESTSYAVEENQQHSSNVGVEIRDSEGPSTRQPASVKIIEDAKEHADDMNNIDNKRQEVPEESQQSDISVRRTRRGRKANDGIRRTRSVKAVVEDAAVILGKTSESLQPHDNHSKDVVEVSRADSSTATTRRKRTRGQNSKLTGAELDADDSEGHSESVTTGGRRKRRQTTAPAANNTGEMRYNLRHRTPGTTVGKASVGSGRTGVDERSNNNRGVSGNVEVPPARAPEVAGENGHPTTSVQVAKSKVVETEIVSSSRGVVLKAPEDGNENDANTAKLVEKTNMSEEVDGTPECNEEDEYESTLHADEGNDGASDDEKDNDSDGEDDDNSDDPGQKSIGKKLWTFFTS >itb14g02330.t2 pep chromosome:ASM357664v1:14:1943463:1950969:1 gene:itb14g02330 transcript:itb14g02330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKSWSALSNTGKGKGKAVAFVDGPAAPPPPPVALLSENGGRGVRDVENMEDWRRFKEAGLLDEAAMERRDREALLEKAERLERELFDYQYNMGLLLIEKKEWTSKYDELEDALAEAREIVKREKTAHLIAITEVEKREDKLRNALSYEKQCVADLEKALRETRTEYGQLKLASEVKVADASALQAGIQDRSLEVREKLHVADAKLAEASRKNLELDMKLHELEARESVLRRERLSFNTEREAHEATFSKHKEDMREWERKLQEREERLCDSRRTLNEREDKANELERIAKLKEKKLEEEQKKLDVAKLAINEREVDINNRLEKLIVNEKEAENLRKNMEMKEKELNGLTEKLSKRERVEIQKLVDQKRHSLDAEMQKFEKELEDKRKLFDEEMKTKAEGLERKEMELNHLEDKIKKQELALEKKSERVKEREKDMDNKSKAVKEKERTVKAEEKRLELIKKEISSDKESLLVLKDELEKMKSDINQKETHIREETEKLRITEAERSEHLRLQAELKQAIERCRIEQETLLKEGEELKQDKKKFEYEWEALDEKRAAVAKELENVREEKEMLEKLQHDEDERLRNKKTTTEEYIKRELEAIKIEKESFAAMMRQEKLMLSEKAENDYNQLLHGFEARRKDLETDLHNKQEEMERILQEKNRAFEEEKEKELSKLNYLKDVVNKEREEVRSERLKLEKEKQEISSNKNKLEEHQLEMRKDINELAVVSKKLKHQREHFVKERGQFLAFVERIKNCDHCGEVIRNYTLSDVHLVEIENSEASPLSVPGDEILEKVASYVEKSPTAEEQKLSDSGGRVSWLRKCTSKIFKLSPNKKTQYLESTSYAVEENQQHSSNVGVEIRDSEGPSTRQPASVKIIEDAKEHADDMNNIDNKRQEVPEESQQSDISVRRTRRGRKANDGIRRTRSVKAVVEDAAVILGKTSESLQPHDNHSKDVVEVSRADSSTATTRRKRTRGQNSKLTGAELDADDSEGHSESVTTGGRRKRRQTTAPAANNTGEMRYNLRHRTPGTTVGKASVGSGRTGVDERSNNNRGVSGNVEVPPARAPEVAGENGHPTTSVQVAKSKVVETEIVSSSRGVVLKAPEDGNENDANTAKLVEKTNMSEEVDGTPECNEEDEYESTLHADEGNDGASDDEKDNDSDGEDDDNSDDPGQKSIGKKLWTFFTS >itb05g12560.t1 pep chromosome:ASM357664v1:5:19142417:19145173:1 gene:itb05g12560 transcript:itb05g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLSRIFVRSLSRRHWALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGEDPGSLGTLLRSALAFRWQ >itb05g12560.t2 pep chromosome:ASM357664v1:5:19142417:19143764:1 gene:itb05g12560 transcript:itb05g12560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPYFSLSRIFVRSLSRRHWALFYIKLLCRYAALKMACSSSLGGPPVPRPSQELLGFKSYSGECFFIYYGLRAFAQVYFMLT >itb01g19300.t1 pep chromosome:ASM357664v1:1:25264521:25268361:-1 gene:itb01g19300 transcript:itb01g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWKEFSTADTARKVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRAHCENDVLAVADSKLGNAIKEKLEQMLVLFETPAGFALFKVLDEGKLSKVEDLWKEFSTADTARKVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLRAHCENDVLAVADSKLGNAIKEKLQIDCVHNSTVMELMRGLRNQLTELISGLAVQDLAPMSLGLSHSLSRYKLKFSPNKVDTMIVQAISLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKTVKLMGDRTNAAKLDFSEVLPEEVEAELKEAAMISMGTEVSDLDLMNIKDLCNQVLSFS >itb04g00410.t1 pep chromosome:ASM357664v1:4:259173:261303:-1 gene:itb04g00410 transcript:itb04g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEAVGSVKALMVFQDYMQVNQRQCCLLADMMDCAYNTTAELMKQNLRYEERNLKWKMVENPLRELLKVFKEGEMYIRFCLETKDFWAKAITMYQNTDCIEFHVHNLLSCFPIVIEAIEIAGEVSGFDHDEIDKKRIIYSLKYHKECKDPRVFSWKFGSQYLVSSDICNRLSSVWNEDRWILENKVQEKKNCRSSSSSKQDQRLADLLLRNLSRLEPSSPDKLLPSSTLVGCKDYHVKRRLENGTQHKEVQWLGENFCLRHFFGEIEPLISEICDELALAHPNIMHMYCGFTDDEKKECFLIMELMNRDLSSYIKEVMGPRKRVPFSLPVAIDLMLQVARGMEYLHSKKIPHGNLNPSNILVKLRRSTDMEGYLHAKVSGFGISHTRKPHANQNGPLSYIWHAPEVLAEQEESGNCGSSFKCSEKSDVYSYGMICFEVLTGKVPFDDSHLQGDKMSRNIKAGERPLFPFPLPKYMTSFTKKCWHSDPNQRPSFSSICRILRYIKRFIVMNPELTQPEAPMPPLDYSDIDAGILRSSSETLSVSQIPFQMFAYKVAEREKATASHHRENSESGSDVSACGDEILIADDPLPSPTHDTKSVCSSPDIMTKKLSPSIRNVDLKLINQKGTPRGKSVTSFRPPQMSRYGRSKRMNSESQLMVTSPRSHRMLSGHMSDSDLP >itb13g17630.t1 pep chromosome:ASM357664v1:13:24594712:24599736:1 gene:itb13g17630 transcript:itb13g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKKWLSVALTSLLLLLSVAGAFAGMQNSKDSEMRNLQKEEPQSLQNLTMAARLAHMEETLSKHAVDDPEEVAFMVTESIKNSTERRKLGFFSCGTGNPIDDCWRCDPNWHKNRKRLADCGIGFGRNAIGGRYGRFYVVTDPGDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGTNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDGVSIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITISNNHFAHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGNGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPSNPFAKEVTKRVDTAQNQWKGWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSMVGMMTSNAGPLACRKARTC >itb11g07520.t1 pep chromosome:ASM357664v1:11:4609585:4615847:-1 gene:itb11g07520 transcript:itb11g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSGTAVPSIEKSDFLKLQNGSDIRGVAVAGVEGEPVSLTEPVAEAIGAAFAAWLLEKKKLDASRSLKISIGHDSRISAQKLQDAVSRGLARAGMDVIQYGLASTPAMFNSTLTENDEALCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAASVYKTFTDEDLKITVPRASVSVKRVDYMSVYASNLVATVRRAASNIEKPLEGFHIVVDAGNGAGGFFTGKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSRGQEFNRNRLIALMSAIILEEHPGTTIVTDSVTSDGLSTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGEGSGSKVLTDLVEGLEEPAFAVELRLKINQNHPGLEDLSESMERQFLKNWRMLSTWMQSFRNPLLTMKGLEPPVMVGGSS >itb11g07520.t2 pep chromosome:ASM357664v1:11:4609585:4615559:-1 gene:itb11g07520 transcript:itb11g07520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPLSNSNRGSVTCNAAVSGTAVPSIEKSDFLKLQNGSDIRGVAVAGVEGEPVSLTEPVAEAIGAAFAAWLLEKKKLDASRSLKISIGHDSRISAQKLQDAVSRGLARAGMDVIQYGLASTPAMFNSTLTENDEALCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAASVYKTFTDEDLKITVPRASVSVKRVDYMSVYASNLVATVRRAASNIEKPLEGFHIVVDAGNGAGGFFTGKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSRGQEFNRNRLIALMSAIILEEHPGTTIVTDSVTSDGLSTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGEGSGSKVLTDLVEGLEEPAFAVELRLKINQNHPGLEDLSESMERQFLKNWRMLSTWMQSFRNPLLTMKGLEPPVMVGGSS >itb11g07520.t5 pep chromosome:ASM357664v1:11:4609589:4615767:-1 gene:itb11g07520 transcript:itb11g07520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSGTAVPSIEKSDFLKLQNGSDIRGVAVAGVEGEPVSLTEPVAEAIGAAFAAWLLEKKKLDASRSLKISIGHDSRISAQKLQDAVSRGLARAGMDVIQYGLASTPAMFNSTLTENDEALCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAASVYKTFTDEDLKITVPRASVSVKRVDYMSVYASNLVATVRRAASNIEKPLEGFHIVVDAGNGAGGFFTGKVLEPLGAITSGSQFLEPDGKMYYEIFIVMSCRVIFDKNLSFQSGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSRGQEFNRNRLIALMSAIILEEHPGTTIVTDSVTSDGLSTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGEGSGSKVLTDLVEGLEEPAFAVELRLKINQNHPGLEGRPFREYGETVLKKLENAVDLDAKLQKPPVNYEGVRASGYGGWFLLRLSLHDPVLPLNIEAPSHDDAVKLGLAVFNAVKEFTALDISALTKFIQV >itb11g07520.t4 pep chromosome:ASM357664v1:11:4609589:4615767:-1 gene:itb11g07520 transcript:itb11g07520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSGTAVPSIEKSDFLKLQNGSDIRGVAVAGVEGEPVSLTEPVAEAIGAAFAAWLLEKKKLDASRSLKISIGHDSRISAQKLQDAVSRGLARAGMDVIQYGLASTPAMFNSTLTENDEALCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAASVYKTFTDEDLKITVPRASVSVKRVDYMSVYASNLVATVRRAASNIEKPLEGFHIVVDAGNGAGGFFTGKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSRGQEFNRNRLIALMSAIILEEHPGTTIVTDSVTSDGLSTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGEGSGSKVLTDLVEGLEEPAFAVELRLKINQNHPGLEGRPFREYGETVLKKLENAVDLDAKLQKPPVNYEGVRASGYGGWFLLRLSLHDPVLPLNIEAPSHDDAVKLGLAVFNAVKEFTALDISALTKFIQV >itb11g07520.t3 pep chromosome:ASM357664v1:11:4609585:4615710:-1 gene:itb11g07520 transcript:itb11g07520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSGTAVPSIEKSDFLKLQNGSDIRGVAVAGVEGEPVSLTEPVAEAIGAAFAAWLLEKKKLDASRSLKISIGHDSRISAQKLQDAVSRGLARAGMDVIQYGLASTPAMFNSTLTENDEALCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAASVYKTFTDEDLKITVPRASVSVKRVDYMSVYASNLVATVRRAASNIEKPLEGFHIVVDAGNGAGGFFTGKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSRGQEFNRNRLIALMSAIILEEHPGTTIVTDSVTSDGLSTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMLLNKLASARASGEGSGSKVLTDLVEGLEEPAFAVELRLKINQNHPGLEGRPFREYGETVLKKLENAVDLDAKLQKPPVNYEGVRASGYGGWFLLRLSLHDPVLPLNIEAPSHDDAVKLGLAVFNAVKEFTALDISALTKFIQV >itb13g00180.t1 pep chromosome:ASM357664v1:13:162161:164937:-1 gene:itb13g00180 transcript:itb13g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSTLPLIHGSLNLSTKLFSSFHFHSSAVIKHGFGSRQQTRFALQRTKSSAVRLLANPNESPGKARAKKEVVMVDPLEAKRLAAKQMEAIKAKQRFKRTREIEAINGAWAMIGLTAGLVIEGHTGKSIIDQLAGYLAAVVGFFIR >itb02g00110.t1 pep chromosome:ASM357664v1:2:95940:97327:1 gene:itb02g00110 transcript:itb02g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALKSAEMQQFLNEEKQRAMVNELVGKITSSCWDKCITSTPGSKFSSSETNCLTNCAQRYMDMSVLIIKRFQSIN >itb03g29650.t1 pep chromosome:ASM357664v1:3:30616623:30622321:-1 gene:itb03g29650 transcript:itb03g29650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHNSVDTVNAAATAIVSAETRTQPDTIPKKKWGSCWSHYWCFGSYKHKKRIGHATLVPEPTEPVATAPISENPNHSASSIVIPFIAPPSSPASFLLSDPPSATQSPAGLLSLKSLSFNAYSPGGTASIFTIGPYAHETQLVTPPVFSAVTTEPSTACFTPPPESTQLTTPPSPEVPFAQLLTSSLACNRRNSGTNLQFSLSQYEFQPYQYPGSPGSRLISPGSVVSNSGTSSPFTDRCAIVELCKGEAPKYLGYEHFSTRKWGSRVGSGSLTPNGWGSRLGSGTQTPNGGLSRLGSGTVTPNGAEPPSRDSYFPESQISEVASLANSDNGYEDEDIAVAHHRVSFELTGEEVPSCREKENAMSPDAIPEPSENNASLQISSCQADWLKGAENSYQSCVEESTNTIPGNALEGGGEQHCQKHRNITLGSSKDFDFDLFSQILPTEPEVLDKSAVDCEWWTSDKATEKESDVQKTWTFFPVLKHHELCRTAASICLNLWVSSCIDHRPPLITHQSTRLLFLIASASPLLTFNLFLAPPSCTVHCKLTTSADAHNLFDKMLKRAIARENYTSTFSHHQKRTLVNAKVKWVKDGVLDRAVAGGRELKAASILLSLIGSDPQHWLPIYHLRRYRGQLGLPLHLKLSAFLRRYPSIFQEFYRRDTGGTPVPCFRLTSQALELHQEEAEILRECHTDIVNRLRKLLMLTKERMLPLQTLDQLKWDIGLPSDYQRLFTNNSSDLFSLVRLCDDRVGLKLLLWDDFLAVSHLEMNSVMKNGTLAFPIGFPRGFGLKRKFMEWLEEWQKLPYTSPFAVDLASRLDPRTDVSEKRIVGVFHELLHLTLQKKTERKNVSNLRKPLGLPQKFTKVFERHPGIFYISKKGDTQTVVLREAYDHSQLIEKHPLVGLRDKLASIMNQGFLDRSRGLYSKETKGGFEQDSLTSCFVGERTRYVSDEDSDFNLLSEYESD >itb03g12540.t1 pep chromosome:ASM357664v1:3:12044409:12045594:1 gene:itb03g12540 transcript:itb03g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVSIQRCVNKQGANCGASDFDTRFETSLAPVWVLLPNLKANCFSIPCLKQLVKSVGQFLHVDAATTKFSRPNVAKVKVEIDLLKPLLRRIFIRLGSNRPGCEDVGFWQPIQYEKMSGYCLTCKKQGQSAHECRSGGVGINPNAPAPVLVPQPQVVRQPIAQGQGVSTGAKELTQPAQGASEAPFEVGTSRAQPELSTGTRGVQASKPVPMSNGFAVLGQEGGVKGPLSDRTNLWARLRLGRLLARLRVTGILGRLIRFLPLCRGVLGGLGGGRTRIVMLLGWARRLI >itb09g15690.t1 pep chromosome:ASM357664v1:9:10964612:10966962:1 gene:itb09g15690 transcript:itb09g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVYRNAISLLSRTALNPKPTFPPTLIPARNFSVPLCNVPLSVSYCTSMAAGDLDAPLSHSSEKLEKQFEEFRKNLLDSSSLRDRIRAVAMEMESTSRLIHSTLLLVHQSRPVPEVLEKANVHIGVLKELYSKLLEVLRECPGQYYRYHGDWRSETQTVVSLLAYMHWLEKGTLLLHSEVEEKLGLNPSDFGLDTEDYLIGICFMSNELPRYVVNRVTAGDYDCPRQVLKFMTDLHAAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLATNANSKETEEAA >itb11g03160.t2 pep chromosome:ASM357664v1:11:1624475:1628690:-1 gene:itb11g03160 transcript:itb11g03160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKFTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGEDECATIPELEECPICFLYYPSLNRSRCCLKGICTECFLQMKTPNSTLPTQCPFCKTLNYAVEYRGVKTKEEKGIEQIEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb11g03160.t6 pep chromosome:ASM357664v1:11:1624543:1627844:-1 gene:itb11g03160 transcript:itb11g03160.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPNSTLPTQCPFCKTLNYAVEYRGVKTKEEKGIEQIEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb11g03160.t7 pep chromosome:ASM357664v1:11:1624533:1626835:-1 gene:itb11g03160 transcript:itb11g03160.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb11g03160.t1 pep chromosome:ASM357664v1:11:1624475:1628729:-1 gene:itb11g03160 transcript:itb11g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKFTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGEDECATIPELEECPICFLYYPSLNRSRCCLKGICTECFLQMKTPNSTLPTQCPFCKTLNYAVEYRGVKTKEEKGIEQIEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb11g03160.t4 pep chromosome:ASM357664v1:11:1624475:1628690:-1 gene:itb11g03160 transcript:itb11g03160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKFTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGEDECATIPELEECPICFLYYPSLNRSRCCLKGICTECFLQMKTPNSTLPTQCPFCKTLNYAVEYRGVKTKEEKGIEQIEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb11g03160.t5 pep chromosome:ASM357664v1:11:1624562:1627276:-1 gene:itb11g03160 transcript:itb11g03160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPNSTLPTQCPFCKTLNYAVEYRGVKTKEEKGIEQIEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb11g03160.t3 pep chromosome:ASM357664v1:11:1624475:1628690:-1 gene:itb11g03160 transcript:itb11g03160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKFTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGEDECATIPELEECPICFLYYPSLNRSRCCLKGICTECFLQMKTPNSTLPTQCPFCKTLNYAVEYRGVKTKEEKGIEQIEEQLVIEAKIRMRKQELQEEEERMLRRKEMCSSSSISGPSEMEHCSTTAPLATAIEGSEVVTSQEMCPAPTNRQSLWPRQIREEEFDLDLEDIMVMEAIWLSIQENGKPQTPGYRVLAPPERYVRADNHAPTASAGGSSSSPSGGLACAIAALAERQQMGKESSSSYSGGVSSSYNQHSACSRSPSRVAQEENEDYPQSEMPMDGEDREWADNGSSRGEAGTAYAASDDAGEDVQPPAVYPPQGEETERDGFQPVSGPIVPESFEEQMMLAMAVSLADSQQARTNAPEAWH >itb09g26930.t1 pep chromosome:ASM357664v1:9:27494078:27494864:1 gene:itb09g26930 transcript:itb09g26930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLIIAIAVLALASSFAQASDPSPLQDFCVAVNDSKAAVFVNGKICKNPMEVDANDFLFQGLNKPGNTSNPLGSAVSPVNVNNLPGLNTLGISLARIDFEPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPAPGMKNKLFTKVLKAGDVFVFPEGLIHFQFNIGHSKAIAFAGLSSQNPGVITIANAVFGSDPPINPNVLTKAFQVDKNVINYLQSQFWYNNN >itb05g17770.t1 pep chromosome:ASM357664v1:5:24679589:24686927:1 gene:itb05g17770 transcript:itb05g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYHHHPGLFSFSNGFERSPAESLQQHHHVVQQIRRDKLPPPPEIEEDEQLAGGNETSGMLSEMFNFQHGTARELLESQISQGFHHHHGQQQSQQQMAAAMQLFLMNPSQARSPSPSPPAAAAATLHMSSVPNPSPTSTLQGFHTPTGGHFSQFAWGNGGPPNAVAGEGQGLSLSLSSSLQRLDAAKAGEEFRISGDPAGMLFFSQGMASAPSEYPCKNNQHLTATASGGGVVTMDHHHIGFGSSIGVINGLRHSKYAKPAQELLDEFCSVVVTGHHQFNKNKPAGLNPNSNPTSSDAVDGGDAPNNNSSSSSKPTPPPLSTADRIEHQRRKVKLLSMLDEVDRRYNRYCEQMQMVVNSFDLVMGFGAAAPYTVQAQKAMSRHFRCLKDAVAAQLKHSCEMLGEKDGGASGLTKGETPRLKMLEQSLRQQRAFHQMGMMEPEAWRPQRGLPERSVNALRAWLFEHFLHPYPSDADKHMLARQTGLSRSQVSNWFINARVRLWKPMVEEMYQQEVKVGDDDSENIMDSGDDDTVAQTPTPNAVCNSPTTTVTTTPPPSVTATSADAVVAAAAGKRSEINAAHESDSSQLAINTQYTCHRRGTADSGGGGNVSLTLGLRHAGNLPDQNHFFG >itb03g11710.t1 pep chromosome:ASM357664v1:3:10226583:10229549:-1 gene:itb03g11710 transcript:itb03g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYSDSGDFSMPDSFNSRRLRPLMPRPLTSSAASPNPSCALARIHGNDFVAFNHHLAAMAAEQSKREFNTHQVVVSSRWNPTPEQLQTLEELYRRGTRTPSADQIQHITAQLRKYGKIEGKNVFYWFQNHKARERQKRRRQLESAAAGGAATDNDHPNVNNTNCMENTERKESGASRTGFEADQQLTKNWPSPSTLIAEKSGSIQRGTKAGMMAECRAEGWVQFEDGAEILQQRRSLHEKNATWLQMMQLSSPSPPPPPTAAVATTMDYYTKQLLKLQPHNNQDHRQHLNLFLDLPNYKDHVDSDSQTLQLFPLRSGGSENENDKDTAAPAMNTTFVNPHYQFFEFLPLKN >itb03g11710.t2 pep chromosome:ASM357664v1:3:10226583:10229549:-1 gene:itb03g11710 transcript:itb03g11710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYSDSGDFSMPDSFNSRRLRPLMPRPLTSSAASPNPSCALARIHGNDFVAFNHHLAMAAEQSKREFNTHQVVVSSRWNPTPEQLQTLEELYRRGTRTPSADQIQHITAQLRKYGKIEGKNVFYWFQNHKARERQKRRRQLESAAAGGAATDNDHPNVNNTNCMENTERKESGASRTGFEADQQLTKNWPSPSTLIAEKSGSIQRGTKAGMMAECRAEGWVQFEDGAEILQQRRSLHEKNATWLQMMQLSSPSPPPPPTAAVATTMDYYTKQLLKLQPHNNQDHRQHLNLFLDLPNYKDHVDSDSQTLQLFPLRSGGSENENDKDTAAPAMNTTFVNPHYQFFEFLPLKN >itb04g22160.t1 pep chromosome:ASM357664v1:4:27330011:27332152:-1 gene:itb04g22160 transcript:itb04g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAYSASGDPKLAAEIFDRTPLNMRDTVFYNARITGHSHQNDGHVAIQVFNDMKRNNFQPDEYTYTSVFAALALIADCELHCQQLHCAVIKSRTGTITSVQNALLSVYVRCASSPFGSSLLLMESARKLFDEMSKRDELTWTTIIVGYIRNDNVDAAQQVFDGMDEKLIVAWNAMISGYVHKGLVSEAFELCRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHAYIVRTETTPMEHAHVSVDNALITLYWKCNGVDDARKIFYQMMTKDLISWNTILSAYVSAGRINEAKLFFSEMLEKNSLTWTVMISGCSQNGLGEEGLRPFNQMKLNRVEPSDYAFAGAIASCSVLAALETGRQLHAQIIRCGFDSSLSSGNALITLYGRCGDIDAAQCLFLTMPFLDSVSWNAMIAALGQHGYGAHAIELFEEMLEERISPDRISFLTILSACSHAGLIKEGQHYFDLMNRVYGINPGEDHYSRLIDLLSQAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADQLFDLVPQNDGSYILMENMFSSAGKWSDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTKHPEIQAVYNYLEELAVKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPHSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb09g20400.t1 pep chromosome:ASM357664v1:9:17222891:17223533:-1 gene:itb09g20400 transcript:itb09g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRESSSSSSGSVKQRLHFGEVIPILHCYCGNELTLQTSWSSENPGRRFWNCVGLNGMHSCGFFAWFDPPMCSRSKKIIPGLLKRVNRNEEEIERLKASRLRSGNRPKKDCNGKWLKAVLLVAIGVVIGLVVRNVMPNKCGQYSDLYRLP >itb15g09690.t1 pep chromosome:ASM357664v1:15:6938752:6947892:-1 gene:itb15g09690 transcript:itb15g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTNDKIVKIHMHPTHPWIVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESESRGKPTEAIRGGSVKQVSFYDDDVRFWQLWRNRSAAAEAPTAVSNVTSAFSSPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNKPLLCMEFLSRSNGGEGPLVAFGGSDGVIRVLSMITWKIARRYTGGHKGAISCLMTFVAASGEALLVSGGSDSLLILWSADHAHDHRELVPKLSLKAHDGGVIAVELSRVMGSAPQLITIGADKTLAIWDTISFKELRRIKPVSKLTCHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQLLASHKKLRVYSMVAHALQPHLVATGTNIGVILCEFDSRSLPPVAPLPTPPGSREHTAIYVVERELKLLQFQLSNAASPALGSNGSLSDTGRFRVDTPEQLFVKQTKKHITTPVPHDSYSVLSVSSSGKYVAIVWPDIPYFAIYKVSDWSIVDSGSARLLAWDTCRDRFALLESALPPRMPIIPKGGSSRKAKEAAAAAAQAAAAAASAASSASIQARILLDDGTSNVLMRSVGTRSEPVIGLHGGALLGVAYRTSRRISPAAATAISTIQSMPLSGFGNGAVSSFNTFDDGFASQRTSTEVAAQNFQLYSWETFQPVGDLLPQPEWTAWDQTVEYCAFAYPQNIVISSLRPQFRCLGDVAIPHATGAVWQRRQLFVATPTTIECVFVDAGVAPIDIETKRRKEEMQQKELQARAVAEHGELALITVESKQTTSQERIALRPPMLQVVRLASFQHAPSVPPFLLPKQSKVDGDESGMPTEERRVNEVAVGGGGVAVAVTRFPAEQKRPVGPLVIVGVRDGVLWLVDRYMCAHAISLSHPGIRCRCLAAYGDSVSAVKWAVRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLRRALQCLLTMSNSRDIGQEALGLNLNDIMNMTEKKENVVDAVQGVVKFANEFMDLIDAADATGQADIAREALKRLAAAGAVKGALQGKELRGVALRLANHGELTRLGNLANNLISVGSGREAAFAAALLGDNVLMEKAWQETGMLAEAVLHAHAHGRPSLRSLVQSWNKTLQKEMEHILSTKTDAAAAFLASLEEPKLTSLADAAKKPPIEILPPGMASLYGPNPGQTKTPNKQGLLQKPDKPLLLEGSKTTPPNAATVPQENGTAPTSEPGVTPNPEAAAAGASIPESDTPSVSASNAPPPEPGAAQPAPPTPDAAPQPPESIEVAPESSSPAPIELNQQASDNQAIATSPLGTSDPLLIATGQNVPSTSNSIPSSVEVGSQPQQPDNKGRGILDELQMIDFS >itb15g09690.t2 pep chromosome:ASM357664v1:15:6938752:6947869:-1 gene:itb15g09690 transcript:itb15g09690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTNDKIVKIHMHPTHPWIVTADASDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESESRGKPTEAIRGGSVKQVSFYDDDVRFWQLWRNRSAAAEAPTAVSNVTSAFSSPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNKPLLCMEFLSRSNGGEGPLVAFGGSDGVIRVLSMITWKIARRYTGGHKGAISCLMTFVAASGEALLVSGGSDSLLILWSADHAHDHRELVPKLSLKAHDGGVIAVELSRVMGSAPQLITIGADKTLAIWDTISFKELRRIKPVSKLTCHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQLLASHKKLRVYSMVAHALQPHLVATGTNIGVILCEFDSRSLPPVAPLPTPPGSREHTAIYVVERELKLLQFQLSNAASPALGSNGSLSDTGRFRVDTPEQLFVKQTKKHITTPVPHDSYSVLSVSSSGKYVAIVWPDIPYFAIYKVSDWSIVDSGSARLLAWDTCRDRFALLESALPPRMPIIPKGGSSRKAKEAAAAAAQAAAAAASAASSASIQARILLDDGTSNVLMRSVGTRSEPVIGLHGGALLGVAYRTSRRISPAAATAISTIQSMPLSGFGNGAVSSFNTFDDGFASQRTSTEVAAQNFQLYSWETFQPVGDLLPQPEWTAWDQTVEYCAFAYPQNIVISSLRPQFRCLGDVAIPHATGAVWQRRQLFVATPTTIECVFVDAGVAPIDIETKRRKEEMQQKELQARAVAEHGELALITVESKQTTSQERIALRPPMLQVVRLASFQHAPSVPPFLLPKQSKVDGDESGMPTEERRVNEVAVGGGGVAVAVTRFPAEQKRPVGPLVIVGVRDGVLWLVDRYMCAHAISLSHPGIRCRCLAAYGDSVSAVKWAVRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLRRALQCLLTMSNSRDIGQEALGLNLNDIMNMTEKKENVVDAVQGVVKFANEFMDLIDAADATGQADIAREALKRLAAAGAVKGALQGKELRGVALRLANHGELTRLGNLANNLISVGSGREAAFAAALLGDNVLMEKAWQETGMLAEAVLHAHAHGRPSLRSLVQSWNKTLQKEMEHILSTKTDAAAAFLASLEEPKLTSLADAAKKPPIEILPPGMASLYGPNPGQTKTPNKQGLLQKPDKPLLLEGSKTTPPNAATVPQENGTAPTSEPGVTPNPEAAAAGASIPESDTPSVSASNAPPPEPGAAQPAPPTPDAAPQPPESIEVAPESSSPAPIELNQQASDNQAIATSPLGTSDPLLIATGQNVPSTSNSIPSSVEVGSQPQQPDNKGRGILDELQMIDFS >itb13g23400.t2 pep chromosome:ASM357664v1:13:29412661:29415696:1 gene:itb13g23400 transcript:itb13g23400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITDAEEKGLIKPGQSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRILLRAFGAELVLTDPARGMKAAIQKAEEIMAKTPNSYILQQFENPANPKIHYETTGPEIWKGSNGKIDALVSGIGTGGTVTGSGRFLREQNPNIKLYGVEPVESPILNGGKPGPHKIQGIGAGFIPGVLEVDLLDEVIQISSDEAIETAKLLAVKEGLLVGISSGAAAAAAIRIAKRPENAGKLIVVVFPSFGERYLSSVLFESIRHEAENMTFET >itb13g23400.t1 pep chromosome:ASM357664v1:13:29412519:29415696:1 gene:itb13g23400 transcript:itb13g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERTGIAKDVTELIGKTPLVYLNNIVDGCVARVAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKPGQSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRILLRAFGAELVLTDPARGMKAAIQKAEEIMAKTPNSYILQQFENPANPKIHYETTGPEIWKGSNGKIDALVSGIGTGGTVTGSGRFLREQNPNIKLYGVEPVESPILNGGKPGPHKIQGIGAGFIPGVLEVDLLDEVIQISSDEAIETAKLLAVKEGLLVGISSGAAAAAAIRIAKRPENAGKLIVVVFPSFGERYLSSVLFESIRHEAENMTFET >itb06g08280.t1 pep chromosome:ASM357664v1:6:12287732:12288721:-1 gene:itb06g08280 transcript:itb06g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCNDLPEECWDLIFTKLDRDCEFDSLSLVCKSFYALTNSLRLRLSVTDPIITGTLPKLLHRFPNLVSVNLSNFHGNSNLKRIACCFPCLEELDISFPRVDAHLRSKDLEGNESVVTDDGIEALSLSLRKLRKINLSGNYYITDRSIAALSNCLNLQSIETLSCCFITANGIHLLLQNSAKMNSVSVYDECLSSLAKLEVLSAARSGLNNSGLAAIGIRCLGLLKLVLEGCNGVTSGEKV >itb13g00250.t1 pep chromosome:ASM357664v1:13:187929:188925:-1 gene:itb13g00250 transcript:itb13g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAISSVKEEFLKKWIKGLQTYDACKREMSVTERKNAIKLSADIAMASTTTTTTKQCPPAIWRRSVMAAMKSKHAAAEMNWKKSRRMRRRCLMKRIRRGKRKASNSKYKAAASCSAKRLVKKRSAVLKGLIPGGECMEDDNTLIKETLDYILALRLQVDVMRHLASASSSS >itb13g00250.t2 pep chromosome:ASM357664v1:13:187945:188713:-1 gene:itb13g00250 transcript:itb13g00250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAISSVKEEFLKKWIKGLQTYDACKREMSVTERKNAIKLSADIAMASTTTTTTKQCPPAIWRRSVMAAMKSKHAAAEMNWKKSRRMRRRCLMKRIRRGKRKASNSKYKAAASCSAKRLVKKRSAVLKGLIPGGECMEDDNTLIKETLDYILALRLQVDVMRHLASASSSS >itb10g17130.t1 pep chromosome:ASM357664v1:10:23370742:23373742:1 gene:itb10g17130 transcript:itb10g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLKRLRCVTLDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYKRVHEGFKLAYTDMARKHPCFGFAEKIPNIIWWKTCVRDSFVKAGYDYDEETFEKIFRRIYATFGSSAPYTIFPDAQPFLRWLRSTGVTVGLVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPKIYRIALERAGNVAAEEVLHIGDSMRKDFVPARSVGMHAILLDRFKTADAENWRKSGATVLPDLVAAKEWLTSEQLHS >itb03g05690.t1 pep chromosome:ASM357664v1:3:4037634:4045558:1 gene:itb03g05690 transcript:itb03g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRSRTQRKHFRQHRDNVWKRRKFDSQQPPAADNSTSAHDDANGNSNNSNSTWEPFDSRNLSFDEYYKEQGIVPPEEWETFIDYLRTPLPAAFRINSSSQCYMDIRSKLEGDFMNSLQAESADGSEVEAIKPLPWYPENLAWHSNFSRNQLRKNQTLERFHEFLKLQNEIGNITRQEAVSMVPPLFLDVRPDHFVLDMCAAPGSKTFQLLEMIYHSAEQGLLPSGLVIANDVDVPRCNLLIHQTKRMCTANLIVTNHEAQHFPSCHLNRHHPKSSEMRNSEDPQITQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNGGMANGLYGLQVQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEVLRRCGQSVELLDVSNELPHLIRRPGLKRWKVKDKGVWLTSYRDVPKHRRAAIVPGMFPSGKTEVDSSMNEVTSTELCDNGCNQNFKNVVLIAEEHATSTNIMEEEVSTLPLEHCMRIVPHDQNTGAFFIAVFQKLSQLPDNHKELASQPEKLNSSEYVQIEKSTAEIKEASKGLEAKSADTESVRIHEGTKDTDILDNDIDDPTLNNKSGKTSQGNETDDVQPSTNTSASPEAEMVGRKRKLQIQGKWRGVDPVIFFREDEVINKIKNFYGIKESLPFDGHLITRNSDINHVKRIYYISKSVKKVLELNFITGQQLKIASVGLKISERHTSKDSVSAPCAFRITSEGLPLLLPHVTKQILYASPIDFKHLLQYKSIKFADFVDADFGEKASNLLLGCCVAVLDKDKQVAIGCWKGRANISVMVSALDCQELLERMPMYMEEDTALSLHENKPSVVKEEDQIGDNTETKANSEVLEQTGT >itb08g08490.t2 pep chromosome:ASM357664v1:8:7440108:7447884:1 gene:itb08g08490 transcript:itb08g08490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKYNVEAAEIVANEAMRLPIAEAVPIYEQLLSTFPTAAKYWKQYVEAHMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKRGTEGQEETKKAYEFMLNYVGADIASGPVWMEYIAYLKSLPTLTAQDESQRMTAVRKAYQRAIVTPTHHVEQLWRDYENFENSISRALAKGLISEYQPKYNSAKAVYREMKKYIDEIDWNMLAVPPSGSSKEEMQWTAWKRFLAFEKGYPQRIDTASANKRIVFAYEQCLMYLYHYPDIWYAYATWHAKCGSVDSAIKVYQRSLKALPDSEMLRYAYAELEESRGAIQAAKKVYESLMGDGTNATALSLIQFIRFLRRTEGVEAACKYFLDARKSPNCTYHVYVAQAMMEFCLNKDAKVARNVFEAGLKNFMHEPEYILEYADFLSRLNDDINIRALFERALSSLPPEESLEVWKRFSQFEQIYGDLASMLKVEQRRKEALSRTGDDEASILESSLQDIVSRYSFKDLWPCSSTALDYLSRQEVLVRNMNKKVEKSTAGVETDGSMPGVSSNTNSAKFVRPDTSKLAIYNPKQTPGMHARILI >itb08g08490.t1 pep chromosome:ASM357664v1:8:7440108:7447885:1 gene:itb08g08490 transcript:itb08g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKYNVEAAEIVANEAMRLPIAEAVPIYEQLLSTFPTAAKYWKQYVEAHMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKRGTEGQEETKKAYEFMLNYVGADIASGPVWMEYIAYLKSLPTLTAQDESQRMTAVRKAYQRAIVTPTHHVEQLWRDYENFENSISRALAKGLISEYQPKYNSAKAVYREMKKYIDEIDWNMLAVPPSGSSKEEMQWTAWKRFLAFEKGYPQRIDTASANKRIVFAYEQCLMYLYHYPDIWYAYATWHAKCGSVDSAIKVYQRSLKALPDSEMLRYAYAELEESRGAIQAAKKVYESLMGDGTNATALSLIQFIRFLRRTEGVEAACKYFLDARKSPNCTYHVYVAQAMMEFCLNKDAKVARNVFEAGLKNFMHEPEYILEYADFLSRLNDDINIRALFERALSSLPPEESLEVWKRFSQFEQIYGDLASMLKVEQRRKEALSRTGDDEASILESSLQDIVSRYSFKDLWPCSSTALDYLSRQEVLVRNMNKKVEKSTAGVETDGSMPGVSSNTNSAKFVRPDTSKLAIYNPKQTPGTLPYSGIQLNSNGQPPNVLGDVLKSLPPALAAFISNLPAVEGPSPDADFVISVCLQSNIPSVTGKPAAVSHSAQSGPVPSTSNRSDSSKFKTRDRLLGKRKDMDRQDDDDTSTVQSQPLPRDLFKIRQLHKSRVSSSHTGSVSYGSAISGELSGSTS >itb08g08030.t1 pep chromosome:ASM357664v1:8:6895558:6896911:1 gene:itb08g08030 transcript:itb08g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASCTFVASVLAASTAALAAPSCDNESNLNLSSNEGQSYHRYSPSGEHGMADLRKSSSSSNLEKLSNGEKFAPRFDGLRFIETLITAHR >itb05g25620.t1 pep chromosome:ASM357664v1:5:29934510:29938840:1 gene:itb05g25620 transcript:itb05g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSAAAVRRSSFQKSQLRWRRKSLLFALLLLGFCFGTFALMETQYSRIKMLAFLSPPVVQKPKIAFLFIARNRLPLDIVWDAFFQGDTENKFSIHVHSRPGFLFSKVTTRSEYFLDRQVNDSIQVDWGEASMIQAERILLQNALVDPFNERFVFLSDSCIPLYNFSYTYNYIMSTSNSFVDSFADTKEGRYNPKMHPVIPVQNWRKGSQWVVLNRKHAEIVVKDETVLPMFQLYCKKKPLPEFWREHPVPADTSRIHNCIPDEHYVATLLAQEGQEGEITRRTLTHTSWDLSSSKKKRERQGWHPVTYKLADATPMLIRSIKDVDNIYYETEYRREWCTSRGKPAPCFLFARKFTRPAALRLLNMSSLGVSREENKQTATSIE >itb05g25620.t2 pep chromosome:ASM357664v1:5:29934521:29938840:1 gene:itb05g25620 transcript:itb05g25620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSAAAVRRSSFQKSQLRWRRKSLLFALLLLGFCFGTFALMETQYSRIKMLAFLSPPVVQKPKIAFLFIARNRLPLDIVWDAFFQGDTENKFSIHVHSRPGFLFSKVTTRSEYFLDRQVNDSIQVDWGEASMIQAERILLQNALVDPFNERFVFLSDSCIPLYNFSYTYNYIMSTSNSFVDSFADTKEGRYNPKMHPVIPVQNWRKGSQWVVLNRKHAEIVVKDETVLPMFQLYCKKKPLPEFWREHPVPADTSRIHNCIPDEHYVATLLAQEGQEGEITRRTLTHTSWDLSSSKKKRERQGWHPVTYKLADATPMLIRSIKDVDNIYYETEYRREWCTSRGKPAPCFLFARKFTRPAALRLLNMSSLGVSREENKQTATSIE >itb07g05990.t1 pep chromosome:ASM357664v1:7:4164923:4170027:-1 gene:itb07g05990 transcript:itb07g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSIDLLVRISLGLWLAAAVAMAELPRLEHSPAKSGGSLSLMVVGDWGRKGTYNQSQLAVQMGKIGEEKDIDFAISTGDNFYDNGLSGIDDTAFEESFTHIYTAPSLQKQWYSVLGNHDYRGDVLAQLSPILREKDNRWLCLRSFILNTEVAEFFFVDTTPFQDKYFSDPGKHTYDWRGVLPRQQYLSNLLQDLDSALKESIATWKIVVGHHPIKSAGHHGDTEELIAQLLPVLQANHVDLYINGHDHCLEHISSLDSPLQFVTSGGGSKAWRGDISDMDPQEMKFYYDGQGFMTLQISPGNIDFQMYDIFGHVLHRWSASKTNYLSAIM >itb04g23550.t1 pep chromosome:ASM357664v1:4:28565615:28567070:-1 gene:itb04g23550 transcript:itb04g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF071 [Source:Projected from Arabidopsis thaliana (AT2G47520) UniProtKB/Swiss-Prot;Acc:O22259] MCGGAILFDLIPRNNNGGRRVSSDDLWPSSADFWPNSPDLKGTGSNCSAQNGCPSLKRCDRCTGDERPERAKKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDFDDYNTSQPRFQNAGTGSSFAYPVDSYYNNAYYSINRGEPAMGYSAQNEEASGSVSGSENGYYSCSQTGNEGSLMNQIKEENEEEKEEEKAVHVANKAEEDNQVQTLSEELMAYESYMKFYEIPYLDGQSAAAPEPIVGGGSLELWSFDDVNPSCA >itb04g09930.t1 pep chromosome:ASM357664v1:4:9170905:9176608:-1 gene:itb04g09930 transcript:itb04g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSGRDLHGILEAIKSSEVVENRVELLNELGELNITEISEVAFLVDTLQVSKLLQNFLFAAMWCRKHLKMTVMSATQDSQEEHDNLFYQLLLDLLGYAASGFSALTRYPISVNKGLMTAVENFILEQLNLIKDCISEIKALCVFGLEVQKVVQEVLDALIRLCRVYSDNIDWNSCHLRADRDTSVVGVQEADSTDHVINVIKLSIEKLCELGIIAGNNGGSSVSLLNLSWKGVVSLLQLGKGALAEKVDIAGTILSLVSLANACLKIAAEGWSSPQKEPISFVEAKRIFLPVKFYLINAVRIISQYPAQAFSVFKDITLSVVKISTFKILLCKDELLKFASEAMLEILEPTSLHILNSLLISAQVQPEHKFQLLDWLFGDGWDSDDVVSPSDGGVDAMNAIFCTSSDAMHGGKVLLPGRVIVFLNLLKSAPDIENDVRMVMARKLGWLLGTFVDEDIYSLILALQIPTVCSSAQKQEVTYEPIFHLVLHALKTFMITASSSSAWCDVEHFLLENFFHPHFLCHEVITELWCFICRHAEKDIVNDIIDKLCSLLNYLASPEFVVIPDSAVRKVARFICTLVNCGSESMADRIFSSVLGNSRPHCSSSMYLALLMEGFSLDLLSNKMRTTAKQQIVVEYFEFLDAFEDKLPRECGSGVYGAPVFALSAVLQSCAVSISDTEMKTSKFLVAVIHKFYSSMDSPVKEDYRRLLSETLTIISKIKNLYSSDGMEVVILELQNLFISSPAMSNSQLFVCKPNLSSFMAGLGYIELADGEDNAMSAAVRELYCMLLRERHWAFVHLAMVAFAFFAARTTCNELWRYVPPDAALSFDLDPGNEADEERFMSELKVFLEKEMPSQKANLLDYQLAMLRKDGQMLKEIVRKTKETKTKTIPCEAMEVDNEKQSSRKRKLPDGISEGMEMLQNGLKVMGDSVSTWQNNQFDSIETHENFLKHISQLKDVITSLAGLAGTG >itb14g20870.t1 pep chromosome:ASM357664v1:14:23139296:23140071:-1 gene:itb14g20870 transcript:itb14g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGNVIDVSGFFVFEATGDSSEVQYEDSADHEWGDDDAQSCSGRDFGEVDDADEVKRVSRCLSFEENYEYGDDDICDAVGGGNETEEDDDSDEEEEEEVNQGWIMRVKRSKKLMRPLGVVRTTTRIKSKKSKVCNLEMMSEKDRDRLFWEACLSSS >itb07g23040.t1 pep chromosome:ASM357664v1:7:27521160:27523350:1 gene:itb07g23040 transcript:itb07g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAGTTNGSEASKPKERHIVSWSQEEDDILREQIRVHGTDNWTIIASKFKDKTTRQCRRRWFTYLNSDFKRGDGLLKKICSYSLCEAQRIFGNRWTEIAKVISGGTDNAVKNRFTTLCKKRVKNEALAKKQRSQIPVSPESFSNGEKPFVSCDATNQMLRSPFVVIGQNLYSPGSNLSSHQNDEDAKDSHANANLLYRKSLQAARSIDIESGLQSTHIGDQTALDDEFAKGISSNNASTSQVDTLPACDKVNPVNETICEYSNEECCSPLQVTPMFRSLAAAFPSLKFSKSVVRDNSY >itb03g10850.t5 pep chromosome:ASM357664v1:3:8726484:8733078:1 gene:itb03g10850 transcript:itb03g10850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWANLVTLLPSVYKMNSTYTQFVASKRMGICDPIHELGMWGEFKGNGYSTASATMILEVEKSLDSQMPVIEKTFNQTGETSHGIVRPYNKYEEAASKPPEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARLLGMNANGGLDASQLVYSGTANSGITAFEKEYRDWVEEQNRQTDKLRNALHSQVGEMELSIIVESCKSHYVNLFELKETAAKADVFYIMSGIWKTPTERLFLWIGGLRPSEILKVVSQHLELLTDDQHQNVQNLQQSCQQAEDALSQGLLRLQQILADNVATGLLGEGNYHLQQMRPAMENLDSLVRFVNQADHLRKVTLEQVSGMLTIHQAAWGLLALAEYLHRLRTLSSVWANHSNEHA >itb03g10850.t4 pep chromosome:ASM357664v1:3:8725750:8733078:1 gene:itb03g10850 transcript:itb03g10850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHELGMWGEFKGNGYSTASATMILEVEKSLDSQMPVIEKTFNQTGETSHGIVRPYNKYEEAASKPPEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARLLGMNANGGLDASQLVYSGTANSGITAFEKEYRDWVEEQNRQTDKLRNALHSQVGEMELSIIVESCKSHYVNLFELKETAAKADVFYIMSGIWKTPTERLFLWIGGLRPSEILKVVSQHLELLTDDQHQNVQNLQQSCQQAEDALSQGLLRLQQILADNVATGLLGEGNYHLQQMRPAMENLDSLVRQIIFAK >itb03g10850.t1 pep chromosome:ASM357664v1:3:8725692:8733078:1 gene:itb03g10850 transcript:itb03g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHELGMWGEFKGNGYSTASATMILEVEKSLDSQMPVIEKTFNQTGETSHGIVRPYNKYEEAASKPPEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARLLGMNANGGLDASQLVYSGTANSGITAFEKEYRDWVEEQNRQTDKLRNALHSQVGEMELSIIVESCKSHYVNLFELKETAAKADVFYIMSGIWKTPTERLFLWIGGLRPSEILKVVSQHLELLTDDQHQNVQNLQQSCQQAEDALSQGLLRLQQILADNVATGLLGEGNYHLQQMRPAMENLDSLVRFVNQADHLRKVTLEQVSGMLTIHQAAWGLLALAEYLHRLRTLSSVWANHSNEHA >itb03g10850.t2 pep chromosome:ASM357664v1:3:8726253:8733078:1 gene:itb03g10850 transcript:itb03g10850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHELGMWGEFKGNGYSTASATMILEVEKSLDSQMPVIEKTFNQTGETSHGIVRPYNKYEEAASKPPEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARLLGMNANGGLDASQLVYSGTANSGITAFEKEYRDWVEEQNRQTDKLRNALHSQVGEMELSIIVESCKSHYVNLFELKETAAKADVFYIMSGIWKTPTERLFLWIGGLRPSEILKVVSQHLELLTDDQHQNVQNLQQSCQQAEDALSQGLLRLQQILADNVATGLLGEGNYHLQQMRPAMENLDSLVRQIIFAK >itb03g10850.t3 pep chromosome:ASM357664v1:3:8726253:8733078:1 gene:itb03g10850 transcript:itb03g10850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHELGMWGEFKGNGYSTASATMILEVEKSLDSQMPVIEKTFNQTGETSHGIVRPYNKYEEAASKPPEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARLLGMNANGGLDASQLVYSGTANSGITAFEKEYRDWVEEQNRQTDKLRNALHSQVGEMELSIIVESCKSHYVNLFELKETAAKADVFYIMSGIWKTPTERLFLWIGGLRPSEILKVVSQHLELLTDDQHQNVQNLQQSCQQAEDALSQGLLRLQQILADNVATGLLGEGNYHLQQMRPAMENLDSLVRFVNQADHLRKVTLEQVSGMLTIHQAAWGLLALAEYLHRLRTLSSVWANHSNEHA >itb09g02730.t1 pep chromosome:ASM357664v1:9:1551370:1552244:1 gene:itb09g02730 transcript:itb09g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLLHAIKKQRPNHHSFRCLSDASNRSYHLLIGADSAAADGSSHHGRRLQPPAALDHFSDQRSPRVNYLANGGGSMMSPVASKTAVTSGYQASFSMAGTVTHRR >itb10g21830.t1 pep chromosome:ASM357664v1:10:26874111:26885090:-1 gene:itb10g21830 transcript:itb10g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPLRKRRAESEAKPVEENSPISNRQQLVIYEDDPSAPESSHDHPFTSEQMLCTYQCRQMVKSEFLDALSSAEKQAQDYQSKFEALNDELRKADAERKKFRDQFLYAEQELAAAKRREESLQEQLLKEVDSSEERLRKQLQLYNELEVKFQHETNLRAKAESAAAAAEEKASHCEREFSSLSDRIEREKSRLHNELEQLKSESKTSVSRISKNFERMECRAVNAEKESVLLKEQMEELRVRLKECMEQKSEMEKKLSSITFPEGSSADNNILVKHLQEELRNYEAEVREARKLKSSHENIELLKEKLLEEKGRRDRAEADLLKLADIQLTVKKLEDELSTWKSMVLEIPGVSCADDIPRKFASLQKEVLDSMIKMGEAQASLKQMEVALDNAKLDKSNAEAEVNLAKEKAEILKSELKRTELMLASVSEERDRLKHIVEEPRTQKSVDTGIEVSGGKVVQELELSLAKKENIIKELESSLSEQKEVNGRQLNELKLLNDRLSSEARRIKSLEREGDRLRSEIALLESKLGHGDYSSANTKVLRMVNTLGVDNETKQTIEALQNELQKTKEKLQAVEELKKQSDYPADAGTLVDSYISGKILQLKEQVATLEKREERYKTVFADRISVFRRACCELFGYKIVMDDHQRADGIPVTHFTLQSIYAQSDDEKLEFEYESGNTNILANQYTLQADISRQVEIFVRKMNSIPAFTANLTVESFNKRTLS >itb14g09200.t1 pep chromosome:ASM357664v1:14:9479732:9482930:1 gene:itb14g09200 transcript:itb14g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGVLAGVFLLVAVYCALDPFKHGAFSEFPDFEVYEVDTPAWSELPPEKDTQNLLQKSEIKFPNQIHGPESIAFDPQGRGPYTGVADGRVLMWNGEKWIEFAYTSANRSTVCDPKPNPTPVSYVKDEHICGRPLGLRFDRRTGDLYIADAYFGLMKVGPEGGLATTLSTEAEGVPLTFGNDLDIDHEGNVYFTDSSTKYRRRNFLQLVFSAEDSGRVLKYNQATKETTVLVRNLQFPNGLSLSKDGSFFVYCEGSKGRLSKYWLKGKKSGTTEVMAILPGYPDNVRTNGRGEFWVAVHCRRTLYSHICSMYPKLRKFLLKLPISAKLQYLMHIGGRPHAITVKYSPEGKVLKVLEDRAGKVVRAISEVEERDGKLWMGSVLMSGIAVYKLE >itb14g09200.t2 pep chromosome:ASM357664v1:14:9479732:9482885:1 gene:itb14g09200 transcript:itb14g09200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGVLAGVFLLVAVYCALDPFKHGAFSEFPDFEVYEVDTPAWSELPPEKDTQNLLQKSEIKFPNQIHGPESIAFDPQGRGPYTGVADGRVLMWNGEKWIEFAYTSANRSTVCDPKPNPTPVSYVKDEHICGRPLGLRFDRRTGDLYIADAYFGLMKVGPEGGLATTLSTEAEGVPLTFGNDLDIDHEGNVYFTDSSTKYRRRNFLQLVFSAEDSGRVLKYNQATKETTVLVRNLQFPNGLSLSKDGSFFVYCEGSKGRLSKYWLKGKKSGTTEVMAILPGYPDNVRTNGRGEFWVAVHCRRTLYSHICSMYPKLRKFLLKLPISAKLQYLMHIGGRPHAITVKYSPEGKVLKVLEDRAGKVVRAISEVEERDGKLWMGSVLMSGIAVYKLE >itb02g08810.t1 pep chromosome:ASM357664v1:2:5572309:5577479:-1 gene:itb02g08810 transcript:itb02g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFPEMGRERERKRMPMPRVMTVPGSICEFEDEQANSVASDNVSSLVCDRMIIVANQLPLKAKRRPDNEGWSFSWNEDSILFRLKDGLPEEMEVQYVGSLCVDVDPIEQDDVSSYLLEKFQCVPTFLPPNLMEKYYEGFCKKHLWPLFHYMLPFSPDHGGRFDRSMWEAYVSANKIFSQKVIEVLNPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVRDEILKALLCSDLVGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGHIESVMRLADKEKKFKELRQQFEGKIVLLGVDDMDIFKGINLKLLAMEHMLKQHPKWQGRAVLIQIANPARGKGIDLEEIHAEMQESCKRINKEFGNPGYEPVVFIDNSISSSEKMAYYSVAECVVVTAVRDGMNLTPYEYVVCRQGIPGAEADSDLAGAMKSMLVISEFIGCSPSLSGAIRVNPWNVEATAEAMNEALSMSEQEKQMRHEKHYRYVSTHDIAYWSRSFLQDMERTCADHFRKRCYGIGLGFGFRVVALDPNFRKLSIDDIEAAYIKAKSRAILLDYDGTVMPQNSIIKSPSERVLSILNRICSDQNNTVFIVSGRGRDSLSKWFSPCRKLGLAAEHGYFLRRSQDHDWELSGQGSDFGWMQLAEPVMQSYTDATDGSSIEKKESAIVWQYRDADPGFGFSQAKEMLDHLESVLANEPVAVKSGQHIVEVKPQGVTKGLVAEKIFTSMAEKGKLADFVLCIGDDRSDEDMFEVIGDAVSRSILSFNAKVFACTVGQKPSKAKYYLDDPSEVIVMLESLAESTSTPVTSDEEADDDS >itb13g17180.t1 pep chromosome:ASM357664v1:13:24083798:24085580:-1 gene:itb13g17180 transcript:itb13g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMAKMLPHLRYSVNRYSPTQSFYFLHFAPLSSSSKAVKSNSGNAKNGYSFTVSYLITKCSFTPERALSASKYMKIEEAGKPDAVLLFLKNQGFTKSQISNIVKRVPPVLLCDPQKTLLPKIKFLKSSGFTLKQLTAILNASPSTFRRSLKNQLVPTFNFLNDFIGSTEKIVYVARRCPHIFGVDVEASMRPNIQLLKDVGVPESKIIQFLIYQPRAFVIDKQRFRGIVEEVKGMGFNPLRFKFLLAVQAFRAMNKETWEKKMETYKKCGFSKAEIFKAFEKNPCCIMVSTGKILAMMDLLFNKMGFERSVFLNRPVIISLSLEKRVIPRCLVYQDLLAKGLINQGFRVVNMLEASETKFLEKYIACYEKEAPHLLKLYTEKLALLH >itb06g11540.t1 pep chromosome:ASM357664v1:6:16103334:16103723:-1 gene:itb06g11540 transcript:itb06g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKERWVLRFLSTSNEIGYWPMSLFTHLNKGPATMLEFGGSVRNKKTNGVHTGTQMGSGHFAEEGFGKAAHISNIKMADDSNFGVPYSAGLLQTSAKSSNCYNVKFTANDKSWGSYIYYGGPGKNDNCP >itb02g13600.t1 pep chromosome:ASM357664v1:2:9790389:9793316:1 gene:itb02g13600 transcript:itb02g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIHIFHPLLISLILCSVAAENSSSSDAYWLLRIKSHLVDPFRVLENWNPQTDFCTWSGVSCSKTDQFHILGLNLSAAGISGQISADFKHFSALQVLDLSMNSLSGSIPGEIGEIESLRELLLFSNYLTGEIPSELRLLRRMEVLRIGDNMLSGEILSGIGELTELRVLGLSYCQFNGSIPFEIGNLKKLEILDLQENSLSGLIPGTIGGCTELRVFVAANNGLTGEIPPSIGGLGWLEILNLANNTLSGSIPGELRRIPGLKYLNLLGNRLGGEIPSGLNHLAQLQTLDLSNNNLSGPITLLTTHLKSLEALALTGNSLTGNVPKGFCFSNSSLHQLFLADNYLSGSFPDELLKCSSLQQLDLSGNAFSGGLPPDIGNLGSLTDLLLNTNRFTGAIPPEIGNLSKLVTFHLFENMITGGIPSEFGKLPSLEILYLYDNQISGSIPWELMNCSSLQEIDFFGNQLSGSIPATIGRLKNLQLLQLRQNDLSAQIPPSLGYCKKLQRLSLADNKLSGPLPATLRFLSDLFVFSLYNNSLEGPIPESFQSLRNLSIVNFSNNRLTGKISPLSTSDFLTLLDLTNNSFSGTVPSSLSNAKSLKRLRLAYNLLSGNIPPGIGLLKNLNFLDLSFNKLTGEVGSELSGLKQLRHLLLNNNQIAGEIPKWLGNLQDLGELYLSSNNFNGTVPPELGKCSTLLKLSLHSNRLSGPIPSELGNLTSLYVLDLHDNNLSGQIPSSLQQCTKLYELRLSQNNLNGSIPLGLGSLTELQVILDLSNNNLSGKIPPSLGTLLKLERLNLSSNQLHGEIPQSLEKLSSLHNLNLSYNHLQGQLPENFARFPLASFLGGNGNLMLCGPPLESCSESRGQHKKWEPSDVAVSVIIVAIVFTASVICMALIYVMLRMWCNWRKVSVSCSEDGGAHQEQFNREEEKYWVYGEEIKSTGEYWNVNSMALKDVSTGSCIFHLKLSPESTENPLI >itb11g07860.t1 pep chromosome:ASM357664v1:11:4957587:4959388:1 gene:itb11g07860 transcript:itb11g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHHFAPFIPTLHKMEDQCSLLSWPYFFQEEEIELKQSLLYTTLELENTLLCAQDELAKKDEEILQLKNLLGKFVKERDEAVAKCRRLGVEKLLLHRQLRRPENIAGVESGPTTTNEDQENALSNSEDSEENVFPVVSPGRVSPAPENPPAPPQAAAAADVTDKIIPKLPLPEKGKFLQAVMEAGPLLQTLLLAGPLPQWQHPPPHLNSVDIPPVTISAAAVSKKRAGEPLSPSASSPKYQKVVHQSSLVCLIT >itb05g23010.t1 pep chromosome:ASM357664v1:5:28234748:28235365:-1 gene:itb05g23010 transcript:itb05g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKNSFPLSMVITLLCLAICINTTSATRRLLQIPGAPPLPTMPTIPSLPQPSLPQMPSIPNMPTATLPPLPAMPAFNLPNMPLPTLPSAPKLTLPPMPANIPLPTSIPNFPAAIPTLSPPPSN >itb05g15260.t1 pep chromosome:ASM357664v1:5:22643491:22644737:1 gene:itb05g15260 transcript:itb05g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHISCTMLGPIRGRGRCATVILPTGETRHFYEAVNAAEMMLNHCPNHFLVNTKSLHVGQRFSALGADEELEMGNVYAAFAMKRVGSSVTAADMGALFLAATAKNKRAGRASAKVLPADCADEGLVGSLPKLELDDIEDFSAPDFKRRLSMCRSKKPLLETIAE >itb11g04640.t3 pep chromosome:ASM357664v1:11:2458026:2464275:-1 gene:itb11g04640 transcript:itb11g04640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G03560 protein [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/TrEMBL;Acc:B9DFD5] MEAALLGEEGGGSTARWLYRRGGFNRRSDAIVYGSAYQKAAALVDLAEDGVGIPEEILEQPNFSSSAKLYFIFTNFDLLWSLNYFALIVLNFLEKPLWCSKQSSHSCSDREYYFLGQLPYLTGTESLIYEVVTLVILMTHVLYPISYEGFQIYWKNVFNRLKVILLLVLIADLVAYVLALAGVYYLPFRVAPYLRVAFFILNIRELRDSIYILAGMSLTYLNVMVLSLLFLLFSSWLGFVIFEDTLQGKTVFTSYGKTLYQMFILFTTSNNPDVWIAAYKESRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKCELVKQVAHKDRARLRILEKAFSLIDQDNSGFLNKKQCISLFEELNNYRTLPQISREDFELIFDELDDTRDFKIDLDEFSDLTKAIALKFQKEDSLPCFERYSNIYHSPASEKLRDFVRSSKFEYTIVFILVINFVAVVIESTLDIADSSAQTFWQKIEFVFGWLYVVEMALKIYTYGFENYWRIGQNRFDFVITWVIVIGETATFAAPNGLTFLSNGEWIRYLLIARMLRLIRLLLQVRSYRAFVATFLTLVPSLMPYLGTVFCILCIYCSLGLQIFGGLVNSGNSKLKETDLADSDYLLFNFNDYPNGMVTLFNLLVMGNWQLWMQVP >itb11g04640.t2 pep chromosome:ASM357664v1:11:2456785:2464229:-1 gene:itb11g04640 transcript:itb11g04640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G03560 protein [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/TrEMBL;Acc:B9DFD5] MEAALLGEEGGGSTARWLYRRGGFNRRSDAIVYGSAYQKAAALVDLAEDGVGIPEEILEQPNFSSSAKLYFIFTNFDLLWSLNYFALIVLNFLEKPLWCSKQSSHSCSDREYYFLGQLPYLTGTESLIYEVVTLVILMTHVLYPISYEGFQIYWKNVFNRLKVILLLVLIADLVAYVLALAGVYYLPFRVAPYLRVAFFILNIRELRDSIYILAGMSLTYLNVMVLSLLFLLFSSWLGFVIFEDTLQGKTVFTSYGKTLYQMFILFTTSNNPDVWIAAYKESRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKCELVKQVAHKDRARLRILEKAFSLIDQDNSGFLNKKQCISLFEELNNYRTLPQISREDFELIFDELDDTRDFKIDLDEFSDLTKAIALKFQKEDSLPCFERYSNIYHSPASEKLRDFVRSSKFEYTIVFILVINFVAVVIESTLDIADSSAQTFWQKIEFVFGWLYVVEMALKIYTYGFENYWRIGQNRFDFVITWVIVIGETATFAAPNGLTFLSNGEWIRYLLIARMLRLIRLLLQVRSYRAFVATFLTLVPSLMPYLGTVFCILCIYCSLGLQIFGGLVNSGNSKLKETDLADSDYLLFNFNDYPNGMVTLFNLLVMGNWQLWMQSYIDLTGTWWTCIYFISFYVISVLWLLNLIIAFVLEAFQVEMELETAAMSEDGEEREETGKRRPRTVGAKTRSQRVDALLHHMLSSELTESSTS >itb11g04640.t4 pep chromosome:ASM357664v1:11:2458416:2464275:-1 gene:itb11g04640 transcript:itb11g04640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G03560 protein [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/TrEMBL;Acc:B9DFD5] MEAALLGEEGGGSTARWLYRRGGFNRRSDAIVYGSAYQKAAALVDLAEDGVGIPEEILEQPNFSSSAKLYFIFTNFDLLWSLNYFALIVLNFLEKPLWCSKQSSHSCSDREYYFLGQLPYLTGTESLIYEVVTLVILMTHVLYPISYEGFQIYWKNVFNRLKVILLLVLIADLVAYVLALAGVYYLPFRVAPYLRVAFFILNIRELRDSIYILAGMSLTYLNVMVLSLLFLLFSSWLGFVIFEDTLQGKTVFTSYGKTLYQMFILFTTSNNPDVWIAAYKESRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKCELVKQVAHKDRARLRILEKAFSLIDQDNSGFLNKKQCISLFEELNNYRTLPQISREDFELIFDELDDTRDFKIDLDEFSDLTKAIALKFQKEDSLPCFERYSNIYHSPASEKLRDFVRSSKFEYTIVFILVINFVAVVIESTLDIADSSAQTFWQKIEFVFGWLYVVEMALKIYTYGFENYWRIGQNRFDFVITWVIVIGETATFAAPNGLTFLSNGEWIRYLLIARMLRLIRLLLQVRSYRAFVATFLTLVPSLMPYLGTVFCILCIYCSLGLQIFGGLVNSGNSKLKETDLADSEYPFCQLRSP >itb11g04640.t1 pep chromosome:ASM357664v1:11:2456785:2464459:-1 gene:itb11g04640 transcript:itb11g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G03560 protein [Source:Projected from Arabidopsis thaliana (AT4G03560) UniProtKB/TrEMBL;Acc:B9DFD5] MEAALLGEEGGGSTARWLYRRGGFNRRSDAIVYGSAYQKAAALVDLAEDGVGIPEEILEQPNFSSSAKLYFIFTNFDLLWSLNYFALIVLNFLEKPLWCSKQSSHSCSDREYYFLGQLPYLTGTESLIYEVVTLVILMTHVLYPISYEGFQIYWKNVFNRLKVILLLVLIADLVAYVLALAGVYYLPFRVAPYLRVAFFILNIRELRDSIYILAGMSLTYLNVMVLSLLFLLFSSWLGFVIFEDTLQGKTVFTSYGKTLYQMFILFTTSNNPDVWIAAYKESRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKCELVKQVAHKDRARLRILEKAFSLIDQDNSGFLNKKQCISLFEELNNYRTLPQISREDFELIFDELDDTRDFKIDLDEFSDLTKAIALKFQKEDSLPCFERYSNIYHSPASEKLRDFVRSSKFEYTIVFILVINFVAVVIESTLDIADSSAQTFWQKIEFVFGWLYVVEMALKIYTYGFENYWRIGQNRFDFVITWVIVIGETATFAAPNGLTFLSNGEWIRYLLIARMLRLIRLLLQVRSYRAFVATFLTLVPSLMPYLGTVFCILCIYCSLGLQIFGGLVNSGNSKLKETDLADSDYLLFNFNDYPNGMVTLFNLLVMGNWQLWMQSYIDLTGTWWTCIYFISFYVISVLWLLNLIIAFVLEAFQVEMELETAAMSEDGEEREETGKRRPRTVGAKTRSQRVDALLHHMLSSELTESSTS >itb05g01410.t1 pep chromosome:ASM357664v1:5:1199062:1199961:-1 gene:itb05g01410 transcript:itb05g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSMGSLLGRKVFGGNVKKVAGGVGIGVQGRCRVGGALLMEKYDSVVASALAGRLAASASALASRRLTLRLLLRLTAPALASPWLPRPLCSADSSTQPQPPHALALLILQFDAASIYENED >itb11g04190.t1 pep chromosome:ASM357664v1:11:2230224:2230868:-1 gene:itb11g04190 transcript:itb11g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSELPRMEQLQNWGYLNCPEWNSSKIGFCRFRFIKDRTGRAETNSQNGTAPRLAFADSESSKIEQEDQKGTAPRLAFAGSESSKVEQEEQRLIPGEGESDSMAFAGECNEQQATYEPNPHRQHSKEFLNLCRRRREQAIHNILIKQSCNRENKERVECMEEIQEPEPVLGSLIRGQANRCHIKNAEPPERGKPIPDLPPLIPEAIREADNDT >itb03g21000.t1 pep chromosome:ASM357664v1:3:18817809:18820714:-1 gene:itb03g21000 transcript:itb03g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFAFLFILGLISSLSSRVSCKSFNVVDYGAKGDGMTDDSQAFLNAWKDACSSNTHRSPQVIIPAGSTFLVKPVQFNGPCMSKHTVFLVSGTVVAPGSPPDWNGLDTSQWLSFNGVNGLIVDGGGIIDGQGKKWWDQSCRDHPNMEGCTCLAPSAMKFMYCNNTTLNNIHFVNSPQTHMQLFVCSGFNINNLTVQSPGDSPNTDGIHIHASEHVVITYSKISCGDDCISIGDFTHDVEINNVQCGPGHGISVGSLGKSGNYVEVKDIHVSKSTFYGTTNGARIKTWQTGKGYARNITFEDLQIFSVYNPIIIDQNYCSVPGTCMEQDTGVQISDVVFQRINGTATSEAAISLNCSKAVPCLGIKLDSIDITPQTPSVPVAACCSNAHGEETSVEPGPCLKQD >itb03g21000.t2 pep chromosome:ASM357664v1:3:18817892:18820407:-1 gene:itb03g21000 transcript:itb03g21000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHTVFLVSGTVVAPGSPPDWNGLDTSQWLSFNGVNGLIVDGGGIIDGQGKKWWDQSCRDHPNMEGCTCLAPSAMKFMYCNNTTLNNIHFVNSPQTHMQLFVCSGFNINNLTVQSPGDSPNTDGIHIHASEHVVITYSKISCGDDCISIGDFTHDVEINNVQCGPGHGISVGSLGKSGNYVEVKDIHVSKSTFYGTTNGARIKTWQTGKGYARNITFEDLQIFSVYNPIIIDQNYCSVPGTCMEQDTGVQISDVVFQRINGTATSEAAISLNCSKAVPCLGIKLDSIDITPQTPSVPVAACCSNAHGEETSVEPGPCLKQD >itb12g15600.t1 pep chromosome:ASM357664v1:12:16004605:16005965:1 gene:itb12g15600 transcript:itb12g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNVYQIGLKLVVTVLLFYSLAQLLSPISGIGIGRGKEVRMVLGSSPPKCVGRCMGCRPCVAALVIPPHDDKNGFRRPSSSSSSGAGDESYYLLSWKCRCGNKYFQP >itb06g23680.t1 pep chromosome:ASM357664v1:6:25517136:25518404:-1 gene:itb06g23680 transcript:itb06g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQKLELLGGGAHGIVFLGQYYTSPPFSAPLKIAVKFSKVEFSDSIWKEWQILRKFQGCPYIIQCFGDDTSVEQGNIVYNLLLNCGCGLNQLKIGDFRLSKVAGEEGHHGAFLYTSPESLFCGIHEAPKDIWAIGCMVVEMMTGKSPWRLTSSSCNTNDVNKLAVDMAFNKSQIPTGISDCAKDFLMRCFERNPNVRWIADKLLNHPFVAHNNNPLLCRTWENNHPVLGNRLFKTEKWISKFGLFSTPISSCTCGCRQSPSARDETNGHDRFNF >itb09g03680.t2 pep chromosome:ASM357664v1:9:2026353:2030255:-1 gene:itb09g03680 transcript:itb09g03680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLCSSVNGGPGFGFSKVNYCHFHNQGHSFSRLTKFGCGINSHSVYRSFCCIGCTNRCNSRSALRWRESRRQHQHLRHFFSCRAQHSDALNEEKEENISRATLIWRAVKLPIYSVALVPLTVGSAAAYLQAGLFSVTRYLALLASSVLIITWLNLSNDVYDFDTGADKNKKESVVNIVGSRTGTLIAAYVSLDLGFCGLVWASLQSANLRAILLLASAITCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLQSSTSILPISGTILSASVLVGLTTSLILFCSHFHQIDDDKAVGKYSPLVRLGTKTGAEVVKASVMALYSLTIVLGFTKTLPSASIILSVLTIPMGRMVISFVEKNHEVSANYPPKSFFDFWSIDFPSQFHL >itb09g03680.t1 pep chromosome:ASM357664v1:9:2026353:2030255:-1 gene:itb09g03680 transcript:itb09g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLCSSVNGGPGFGFSKVNYCHFHNQGHSFSRLTKFGCGINSHSVYRSFCCIGCTNRCNSRSALRWRESRRQHQHLRHFFSCRAQHSDALNEEKEENISRATLIWRAVKLPIYSVALVPLTVGSAAAYLQAGLFSVTRYLALLASSVLIITWLNLSNDVYDFDTGADKNKKESVVNIVGSRTGTLIAAYVSLDLGFCGLVWASLQSANLRAILLLASAITCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLQSSTSILPISGTILSASVLVGLTTSLILFCSHFHQIDDDKAVGKYSPLVRLGTKTGAEVVKASVMALYSLTIVLGFTKTLPSASIILSVLTIPMGRMVISFVEKNHEDKTKIFMAKYYCVRLHALFGAALAAGLVVARFFARNYNMSPVLLT >itb06g01040.t1 pep chromosome:ASM357664v1:6:2263235:2266002:-1 gene:itb06g01040 transcript:itb06g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLIIELLAFLSLAIVASHAVTLGAADAISYWKSELPYTAMPQAIKDSLSQTAGWVEEKGTSVGVGKGGVNVNTGKGKPGGGTRVNVGHGGVGVHAGAPGHRTNVGVGKGGVYVGTPGKHGKPVVVNVKPGSPFLYQYAATEDQLHDDPNVALFFKPTALHKGNTMTLHFTKTANDATFLPRSEAEKIPFSSQKMSQILNQFSIAPDSEEAHVMKQTITECEEPVIKGEEKQCATSLESMVDFSKSKLGKKVRAISTAAGGQTSMQKYTISGVQKIQNNDKAVVCHKQNYAYAVFYCHKTQTTEAYTVSLVGANGAKVKAAVVCHKDTSAWNPKHLAFQVLKIKPGTVPVCHFLPEDHIVWVAN >itb14g04140.t1 pep chromosome:ASM357664v1:14:3677644:3682674:-1 gene:itb14g04140 transcript:itb14g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSRPESCVGGRFGGGKKKSRRRKKGAVKKRASSHLSDRSSDRVDKGFPQGRSHNNPTLHGSVEEAWYDSATIFECDCSDEEFQSVTDDVHSINGSEAENAHRPGNSSTSHSARSSVSGNTKSFSHQHSSMHSKDADGSQCEIKPNEISSCTTESCSRGNGLLDDCGILPHNCLPCLASAVAPIEKRRSVDSSPPSARKKAALKLSFKWKEGHPPSTLLSSKSLLRRPIAGSQVPFCPLEKKMPDSWSHIEAGTFRVRGENYFRDKKKDFAPNCAAYYPFGVDVFLSQRKIDHIARLVELPITESSGRLPHILVVNCQVPLYPASIFQSETDGEGISFVFYFKLSESYTKELPSHFQESIRKLIDDEVEKVKGFPVDSIVPFRERLKILGRVANVDDLPLSAAERKLMHAYNEKPVLSRPQHEFYTGENYLEIDLDMHRFSYISRKGFEAFFDRLKHFTLDFGLTIQGNKSEEMPEQILCCLRLNEIDYANYQQLGLNQDPLE >itb04g01670.t1 pep chromosome:ASM357664v1:4:953343:955200:-1 gene:itb04g01670 transcript:itb04g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAQRKFHASLSLERRPRLLKDFLNDDYHHSSAASQLLIRSRSKAASATISGALHKVINIVKFFPFASAVKSPSVFISRKLSKRTAPAPASGKNNLGHDNLRERPAAMVKVKDILRWRSSRDLAAEKSTPLLDDEFCYSPNRCTTATTTTAGSKRSSWCDSDFTAEDLPLWCGEIDEQAVGTMKSGNKKNRFLPDETVGGYFMDDKQMDPQFDENDQHSPVSVLQSPFREDEDFSFHRSLATIESRKCMLMQRIQQLETLAGGVGGATTRPENGADEEEEEGGIRGANDEAKQMMMIHLTTEEIISCSEESYEWEVEEKREAYIRDMEKAAGMRWYNFEEEKEEVCREVEVEVFRDLVNEVLAEMFMHKLHSTN >itb06g05330.t2 pep chromosome:ASM357664v1:6:8077902:8080423:-1 gene:itb06g05330 transcript:itb06g05330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNTPHNSHMIDFEADQQGQHHILPDPCVFYGNLPTFPQPNVHTVVPVSGNSGNTYLHPLPEQHENPLFYGMTHYNGTIHQRPATNLDIAMATSSNHYNPYVAAPATREFPTPVIHGAHDHLPFTTAQGMVGIHTDGYGRNIPYADGVRGLFKRKNAEGIPMNFQYHHSMVGSSSAVAPVIARVHEADTPLVDVASRIPPDYGDSSLLTEDVSQRSLRNRSGVSGQETIAAHSSNRFIQGSYVGQAFPLPANPWLDMQLNGNMGGCVETGNMGMQGYQGTANNGSLTSYMRPPIAQGFPSVHHHPPSIQGMGGHNINFPQMASSSRRLVTNGPSSSSISPFPGVVEAGPRYMGPFPPTGFRLFRPHRRDFVLDTNIARNRNIPSMRVLPEDGVAILEIPDYNEELLALGEQIGCVTTGLSEEVIISLLKTRSFTSSNPYSAECLDQETDFCVICQNDYKDQEKIGIAECGHEYHVDCIKKWLVVKNSCPICKSTAVSPGKTAVEQPL >itb06g05330.t1 pep chromosome:ASM357664v1:6:8077902:8080423:-1 gene:itb06g05330 transcript:itb06g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNTPHNSHMIDFEADQQGQHHILPDPCVFYGNLPTFPQPNVHTVVPVSGNSGNTYLHPLPEQHENPLFYGMTHYNGTIHQRPATNLDIAMATSSNHYNPYVAAPATREFPTPVIHGAHDHLPFTTAQGMVGIHTDGYGRNIPYADGVRGLFKRKNAEGIPMNFQYHHSMVGSSSAVAPVIARVHEADTPLVDVASRIPPDYGDSSLLTEDVSQRSLRNRSGVSGQETIAAHSSNRFIQGSYVGQAFPLPANPWLDMQLNGNQAALLPYVHGNMGGCVETGNMGMQGYQGTANNGSLTSYMRPPIAQGFPSVHHHPPSIQGMGGHNINFPQMASSSRRLVTNGPSSSSISPFPGVVEAGPRYMGPFPPTGFRLFRPHRRDFVLDTNIARNRNIPSMRVLPEDGVAILEIPDYNEELLALGEQIGCVTTGLSEEVIISLLKTRSFTSSNPYSAECLDQETDFCVICQNDYKDQEKIGIAECGHEYHVDCIKKWLVVKNSCPICKSTAVSPGKTAVEQPL >itb04g05550.t1 pep chromosome:ASM357664v1:4:3614384:3614957:1 gene:itb04g05550 transcript:itb04g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLVRTIELEFLQPLPRPIVRQNQLIPANENLIQNLHQKLGDLIELLDENRMDGVQAIKDLETKLRDVAFRVENEIEFLIVHLYEEEAEELPAAIPDLLMPKEIGILSNLVVF >itb15g16650.t1 pep chromosome:ASM357664v1:15:16518936:16523165:-1 gene:itb15g16650 transcript:itb15g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVSSLLSIFAMAFILSTIATNITTDQSSLLSLKSYTSLSPNHTLANNWSISTSICDWIGVVCGSKHHRVVALDISNMGIVGTLPPQLGNLSFLVSLNISHNNFYGNLPRELASLRRLQYIDFGYNNFSGKIPEEIGNLENAKWLILEVNQLVGPIPFTIFNISTLQNLVLNNNSLSISLPIKLCQHATRLKVLRLSFNQLNGDIPKNLTGCSELEELQLGYNNFVGTIPGEIGRLNMLQVLSLFSNNLEGAIPETIFNISALVIISMGNNNFSGTLPPNMCSHLQKLEELYLHKNKLYGNIPRSIGECSSLKYLYMSDNELNGSLPRQIGNLTMLKKLYLGGNSLSGKIPRELNNLDILEILGLGRNGLSGSIPWEIFNISTLTALSFAFNNLSGILPTSLGHWLPNLEYLYLEANYIGGVIPAQISNASNLVRLDLDDNQFAGFIPNSLGNLAQLETLMIADNNLTIDLQFSLITSLANCRYLQTLVLSSNPLNTVIPNAIGNLSTNLQIFDLDNCNIRGQIPQEIGNLSSLYKLILSSNEIIGFLPITLQALQNLQLFHISENRLMGSFPDVVCELQNLFRIDLVKNKFSGPISDCLINVSSLRKIDLSENEFTFFPPSLWSLPNLFMLGLSSNNLSGSLPQEIGNAKTAILIYLSYNKLSGELPTSIGGLTELMDFSVAHNTMQGFIPDTFGKLLDLHSLDLSDNNLSGMIPKSLEGLVNMNYFNVSYNRLTGKIPSGGPFANFSYESFMSNDGLCGNPRMHVPPCPANTLRPSKKNRVVMFILVSLAILVVLIASVACVLAILELGMNCSAESPRERMTIKDALLALEKIKVQLLSLYAKT >itb12g12870.t1 pep chromosome:ASM357664v1:12:11521896:11522515:-1 gene:itb12g12870 transcript:itb12g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLLSAIVNAKQSRTLHSLWTRYHSDVPKGHLAIYVGEIEKKRYVVPIAYLNHPSFQSLLKRAEEEFGFDHPMGGLTIPCKEDTFIHLTSQLNNSSF >itb09g08220.t1 pep chromosome:ASM357664v1:9:4922331:4924401:-1 gene:itb09g08220 transcript:itb09g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAEEDKKPVAGDQGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGASV >itb04g09210.t1 pep chromosome:ASM357664v1:4:8522347:8523194:-1 gene:itb04g09210 transcript:itb04g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQEEEDEEINVCLKIIKTVSLKISKFETVWGLKELLCHKEGIPEHLQELFVNGNHVKDYNMTLIDYGICSNTTVSAYVRNSEVLTLNIKIPCRSTGFYIEVKPQDTVQNVKALIEDSEDLSSDDYSLVCGGKLLEEEKTVAFLGITDGSTLYVVLNPRDVLQVSVKMLSGETVKIQARVLYTILDVRSLVESIVGYSVGVLRYGGKKLKDSKTLLYYDIKDESILEVLPPTTQL >itb01g05490.t1 pep chromosome:ASM357664v1:1:3767414:3768074:1 gene:itb01g05490 transcript:itb01g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAFWAKSIRNFSSITEAETDRSRLLLLLSLHSLQSCYLQRSYVEITGAGEETHQSAEIRLSVSLTLHTGLSVSLTKTENVFHQHGMSFICAFFALLHLLK >itb07g19890.t1 pep chromosome:ASM357664v1:7:24332660:24334792:-1 gene:itb07g19890 transcript:itb07g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIMELDELRYSDLILLSSDKAVESTEEIQRLESIARMVMEALGPNGPGLLAITGVPKTSSLRRSLLPLSRKLALLNNEDRKKLLKEHDLGSDVPLKNLDRIVSSFAKKLKYDERFESNSCRTIHHRVVEGEDCLEVDHMGFKDLGYIFNELGYCMMEVGLCLAQICDKFIGGHDLEQSLLESGTAKGRLIHYHSTIDNRIIKESANRSVKMGAKNKIEIKRSEETGSKQMGSEGCDEDLSDSMLWQQWHYDYGIFTVLTVPMFILSSDNEALTEENNNLCMPCELESPSPSGHTYLQIFDPQKDQVFMVKAPPESLIVQVGEAADILSKGKLRATLHSVCRPPKPKNLSRETFVVFLQPAWSKKLYLSDYPLKQLHSDDQSCGFCSEDDPREERELEKLSQKIRNIVPSLSSRLKDGMTFADFSRETTNQYYGSSGLQSNR >itb12g11980.t1 pep chromosome:ASM357664v1:12:10497605:10499442:-1 gene:itb12g11980 transcript:itb12g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSPENMAEDWKRAIGELIRGQKLTNQLRDSLKDPKVADDLLRQILGTFNKTLWILNKSSIDTDEVSQGAGDPSSPCYDGRRSEDSSGSCKAFVKDRRGCYKRRKACETQIKESPNLVDDGHAWRKYGQKVILNSKYPRNYFRCTHKFDQNCQATKQVQQIEEDPPLYRTTYLGKHTCRNFQNCPQILLQPEDASVLLCFGQNSQSDMYTCLPTFSSSIKHESKEYNPHSSRIGSSTSDCFVPSDAAGHVAPLSSASDYGDVISSGGTMDMTQFIDSDDMDDFLIY >itb03g05270.t2 pep chromosome:ASM357664v1:3:3605123:3608722:-1 gene:itb03g05270 transcript:itb03g05270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMSESVAKQMTIANNAENIKNPGVAWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRTLGKFFSFSFLWGFFQWFFKAGDDCGFLNFPTFGLEAYKNRFYFDFSPTYVGVGMICPHLVNVSVLLGAILSWGIMWPLLREKKGDWFPANLSDSSLNGLQGYRVFVAIALILGDGLYNFCKVFSRTLYGIYSQLRNKSVLPVAGRPSPGETPVSFDDQRRTELFLKDQIPMWFAVVGYVVIAIISIITLPHIFHQLKWYHVLVMYIFAPLLAFCNAYGTGLTDWSLASTYGKLAIFIIGAWAGASHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPLVFWIFYNAFPNLGKTGSEYPAPNAAVFRNMAILGVEGFSALPKNCLTLCYVFFVGAIVINGMRDAVGKKRAQFIPLPMAMAIPFYIGSYFAIDMFVGTVILFVWQKINRAKADAFGPAVASGLICGDGIWTLPDSILALAGVKPPICMKFLSRKDNTRVDTFLHP >itb03g05270.t1 pep chromosome:ASM357664v1:3:3605019:3609328:-1 gene:itb03g05270 transcript:itb03g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSRESGRDEQPGSGEDLGIIDPKKSDESSSECVERIFESKEVPSWRNQLTFRAFCVSFVLGVLFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLEKSGFLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYMFGMSESVAKQMTIANNAENIKNPGVAWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRTLGKFFSFSFLWGFFQWFFKAGDDCGFLNFPTFGLEAYKNRFYFDFSPTYVGVGMICPHLVNVSVLLGAILSWGIMWPLLREKKGDWFPANLSDSSLNGLQGYRVFVAIALILGDGLYNFCKVFSRTLYGIYSQLRNKSVLPVAGRPSPGETPVSFDDQRRTELFLKDQIPMWFAVVGYVVIAIISIITLPHIFHQLKWYHVLVMYIFAPLLAFCNAYGTGLTDWSLASTYGKLAIFIIGAWAGASHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPLVFWIFYNAFPNLGKTGSEYPAPNAAVFRNMAILGVEGFSALPKNCLTLCYVFFVGAIVINGMRDAVGKKRAQFIPLPMAMAIPFYIGSYFAIDMFVGTVILFVWQKINRAKADAFGPAVASGLICGDGIWTLPDSILALAGVKPPICMKFLSRKDNTRVDTFLHP >itb03g05270.t3 pep chromosome:ASM357664v1:3:3605021:3609255:-1 gene:itb03g05270 transcript:itb03g05270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMSESVAKQMTIANNAENIKNPGVAWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRTLGKFFSFSFLWGFFQWFFKAGDDCGFLNFPTFGLEAYKNRFYFDFSPTYVGVGMICPHLVNVSVLLGAILSWGIMWPLLREKKGDWFPANLSDSSLNGLQGYRVFVAIALILGDGLYNFCKVFSRTLYGIYSQLRNKSVLPVAGRPSPGETPVSFDDQRRTELFLKDQIPMWFAVVGYVVIAIISIITLPHIFHQLKWYHVLVMYIFAPLLAFCNAYGTGLTDWSLASTYGKLAIFIIGAWAGASHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPLVFWIFYNAFPNLGKTGSEYPAPNAAVFRNMAILGVEGFSALPKNCLTLCYVFFVGAIVINGMRDAVGKKRAQFIPLPMAMAIPFYIGSYFAIDMFVGTVILFVWQKINRAKADAFGPAVASGLICGDGIWTLPDSILALAGVKPPICMKFLSRKDNTRVDTFLHP >itb11g20260.t6 pep chromosome:ASM357664v1:11:21240999:21247610:1 gene:itb11g20260 transcript:itb11g20260.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFTTSTHRAKWIFSPQDLKEKYKAVNQRAKQALEKYGTTRMEVDVDGSFSYVEPPAGAKETGEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKNIMLTCIYIACKAEENHVSAEELGKGIEQDHQVILNNELLVLQSLDFDLIVFAPYRAVEGFVNDIEDFCGAEGEQLDTLKKLLERAKIEADRAMLTDATLLFPPGQLALTALRRANKNHGILDFERYLERILARQQPTHSISDLSGLLNPIESLVNACHV >itb11g20260.t4 pep chromosome:ASM357664v1:11:21240999:21247610:1 gene:itb11g20260 transcript:itb11g20260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFTTSTHRAKWIFSPQDLKEKYKAVNQRAKQALEKYGTTRMEVDVDGSFSYVEPPAGAKETGEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKNIMLTCIYIACKAEENHVSAEELGKGIEQDHQVILNNELLVLQSLDFDLIVFAPYRAVEGFVNDIEDFCGAEGEQLDTLKKLLERAKIEADRAMLTDATLLFPPGQLALTALRRANKNHGILDFERYLERILARQQPTHSISDLSGLLNPIESLVNACHV >itb11g20260.t5 pep chromosome:ASM357664v1:11:21240999:21247610:1 gene:itb11g20260 transcript:itb11g20260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFTTSTHRAKWIFSPQDLKEKYKAVNQRAKQALEKYGTTRMEVDVDGSFSYVEPPAGAKETGEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKNIMLTCIYIACKAEENHVSAEELGKGIEQDHQVILNNELLVLQSLDFDLIVFAPYRAVEGFVNDIEDFCGAEGEQLDTLKKLLERAKIEADRAMLTDATLLFPPGQLALTALRRANKNHGILDFERYLERILARQQPTHSISDLSGLLNPIESLVNACHV >itb11g20260.t2 pep chromosome:ASM357664v1:11:21240999:21247610:1 gene:itb11g20260 transcript:itb11g20260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFTTSTHRAKWIFSPQDLKEKYKAVNQRAKQALEKYGTTRMEVDVDGSFSYVEPPAGAKETGEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKNIMLTCIYIACKAEENHVSAEELGKGIEQDHQVILNNELLVLQSLDFDLIVFAPYRAVEGFVNDIEDFCGAEGEQLDTLKKLLERAKIEADRAMLTDATLLFPPGQLALTALRRANKNHGILDFERYLERILARQQPTHSISDLSGLLNPIESLINKLESPSAKDVKHIDRKLKSCLDPGLHDKSKKRKHRSKDSSNEVHDMA >itb11g20260.t3 pep chromosome:ASM357664v1:11:21240999:21247610:1 gene:itb11g20260 transcript:itb11g20260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFTTSTHRAKWIFSPQDLKEKYKAVNQRAKQALEKYGTTRMEVDVDGSFSYVEPPAGAKETGEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKNIMLTCIYIACKAEENHVSAEELGKGIEQDHQVILNNELLVLQSLDFDLIVFAPYRAVEGFVNDIEDFCGAEGEQLDTLKKLLERAKIEADRAMLTDATLLFPPGQLALTALRRANKNHGILDFERYLERILARQQPTHSISDLSGLLNPIESLVNACHV >itb11g20260.t1 pep chromosome:ASM357664v1:11:21240999:21247722:1 gene:itb11g20260 transcript:itb11g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFTTSTHRAKWIFSPQDLKEKYKAVNQRAKQALEKYGTTRMEVDVDGSFSYVEPPAGAKETGEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKNIMLTCIYIACKAEENHVSAEELGKGIEQDHQVILNNELLVLQSLDFDLIVFAPYRAVEGFVNDIEDFCGAEGEQLDTLKKLLERAKIEADRAMLTDATLLFPPGQLALTALRRANKNHGILDFERYLERILARQQPTHSISDLSGLLNPIESLINKLESPSAKDVKHIDRKLKSCLDPGLHDKSKKRKHRSKDSSNEVHDMA >itb10g01550.t1 pep chromosome:ASM357664v1:10:1175163:1178984:1 gene:itb10g01550 transcript:itb10g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVRCVPNGVCPSSLVNSMGSYVLLTFLCFFCCKIGASKDSCLRHGHPVTPNRTLISAGGNFALGFFRPGNSSSSFLGIWYNSINNTVIWVANRESPLPPQDSQAHFTVGDDGNLRLLDGEKNVIWSTNISGGGGNSSVALLLDTGELVVKQGESIVWESFNNGDSDTLMPGMRLKVNKKTGKRNVIRCWSSSDDPRPGKFSWGMDPKGSPQLLIWKEDVPYSRSNLFQDGFTYSRYLPQLGYSAYYSFATKNDEEYFSYGYADTSFQPRAILTPGGNLQLLLRQKGIRDNQWLILWQVPSTKCDLYSHCGSFGVCEQDGSHSVCSCLKGFRPKSLKDWDKGKYDAGCERSIALGCGEGEEDGFMRLALMKWPDHSSSLGNMTFKECQLECSKNCSCTAFAYANITPDSAVNCINWFGDLVDLTHNYSAGLNDFGQDLYVRGFLSEFGMVAIKRLSKQSSQGQEEFMNELKLIAKLQHTNLAWLNWKKRQLLEFVDPAIRESCDFPKIIRCIEVGLLCVQAIPTDRPTMFDVVCMMSNGPETPIPAIKEPAYVFSNSIGIDSTSLPKSSGSNSKNEVTVSILERR >itb13g18850.t1 pep chromosome:ASM357664v1:13:25851233:25866785:1 gene:itb13g18850 transcript:itb13g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKLLQRRFIPRAPSSASYYFPYIFSQSHGSGHRRRLHHLLCRPVPPSYFAAAPQFLPPFHGISSPRSFSTRDSASAAGWPLKDDNFTAAQPFAWPDPFFGGDVDNSRHFEDLFLPVNKMISLVDCYHDLSGLPWWAVIASGTLAMRLALFPFMILLIRKFTRMGEILPTLPSLFAPPKGGKSFKDQIKLMLRKRRGRTFEDRLKIFLREKRAADCPSFVWFFAAILQVPYFLLWLTTVRRMSLNHHDGFDCGGILWFQNLTELPNGTLGSILPLLAAGLYFANVKVTYMKSSIVKMNELAKWYKLHLELMTLPTMFIAFHIPQGSLICWVTNGSFTLIQEPHPREGEYWKLEQEPHPREETAQEMEQEPHPREETAQEMNQQQIALRNPYVCKKLGIPDRDASALAAKDKDNSTVWEMYLPTGLREILAQNISSSEMVKLSAKYLAEGQKDVALPLLRLTIDKDPENIKALAVLGQALLRYGYLVEATAYLEHAISKLLRNGNPTGGDEVDLLILSSEWAGVACLRQGKFDEGMTHLKRIVKMKEPEDPNSKANYYEGMVVFSSALFSKGFKVEAANYLRMAAAYDDSYKRFLEKCEEDLRTQDDEFVDGLINSRRVNY >itb10g11150.t1 pep chromosome:ASM357664v1:10:16611886:16615966:-1 gene:itb10g11150 transcript:itb10g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 24 [Source:Projected from Arabidopsis thaliana (AT2G31500) UniProtKB/Swiss-Prot;Acc:Q9SIQ7] MGACVSLHSALTSRRPKHRRPIDPESAKGGNRTRAPRSQKISRQIRVLSDNVTGDDIWKKYEFGKELGRGEFGVTYLCTDKETGEIVACKKISKSKLRTEIDVEDVRREVEIMRHLPKHPNIVSYKDVFEDKEAVYLLMELCEGGELFDRIVAKGHYTERAAALVTKTILEVIQMCHKHGVIHRDLKPENFLYANGNENAPLKAIDFGLSIFFKPGQRFKEIVGSPYYMAPEVLRRDYGHEVDVWSAGVILYILLCGVPPFWAETEEGIAHAIVRGEIDFKRDPWPKVSEDAKDLVKGMLDSNPYSRMTIKEVLAHKWIQNAENVPNVPLGEGVTTRIKQFTLMNKFKKRVLMVVAENLSQDQVESIKEMFHMMDTDKNGNLSFEELKDGLSMMEQHVADHDVELLMDAADVDGNGMLNCEEFITLAVHLTKLSNDDNLHKAFLIFDKDNSGYIELEELRDFLFDMDDDNAPKNDQVVQEIIFDADLDKDGRISFPEFKAMMTTGTDWKMASRKYSKVMLNALSVRLFKDKSIQIKK >itb01g35800.t1 pep chromosome:ASM357664v1:1:37804864:37809975:1 gene:itb01g35800 transcript:itb01g35800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRSKNVQQNQAQSSGTSNVNYRDSVSKAVAQYTVDARLHAVFEQSGESGKSFDYSQSVKTITQSVPEKQITAYLSKIQRGGHIQPFGCMIAVDEPSFRVIGYSENAREMLGLTPQSVPSLERPEILAIGTDVRTLFTPSSSVLLERAFGAREITLLNPIWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISHLQSLPGGDIKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNATPVQVIQDESLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVVINGSDEEAVGGRNSMRLWGLVVGHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDAPTGIITQSPSIMDLVKCDGAALYYQGKYYPLGVTPNEAQIKEIVDWLLTYHGDSTGLSTDSLGDAGYPGAASLGDAVCGMAVAYITSRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLLWENAEMDAIHSLQLILRDSFKDAEASNSKAVVRAPPGELELQGMDELSSVAREMVRLIETATAPIFAVDVEGRINGWNAKVAELVGLSVEEAMGKLLIQDLVHKESQETTEKLLFNALRGEEDKNVEIKLRTFGTEEDKKAIFLVVNACSSKDYTNNIVGVCFVGQDVTGQKIVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTSCSEWNTAMEKLTGWSRGETIGKLLVGEVFGSCCRLRGPDAMTKFMIILHNAIGGQDTDRFPFSFFDRNGKYVQALLTANKRANIDGQIIGAFCFLQIASPELQQALKIQRQQENKCFSRMKELAYICQEIKNPLNGIRFTNSLLEATDLTEDQKQFLETSAACEKQMSKIIMDVDLENIEDGSLELEKEDFFLGRIIDAIVSQVMSLLRERGLQLIRDIPEEIKTLAVNGDQVRIQQVLADFLLNMARHAPVPGGWVEIQVRPSLKQVSDGTNVVHTEFRIMCPGEGLPPELVQDMFHSSRWVSQEGLGLSMCRKVVKLMNGEVQYIRESERCYFLIILELPIPRRGSKSIIIG >itb01g35800.t2 pep chromosome:ASM357664v1:1:37804879:37809975:1 gene:itb01g35800 transcript:itb01g35800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRSKNVQQNQAQSSGTSNVNYRDSVSKAVAQYTVDARLHAVFEQSGESGKSFDYSQSVKTITQSVPEKQITAYLSKIQRGGHIQPFGCMIAVDEPSFRVIGYSENAREMLGLTPQSVPSLERPEILAIGTDVRTLFTPSSSVLLERAFGAREITLLNPIWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISHLQSLPGGDIKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRPDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCNATPVQVIQDESLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVVINGSDEEAVGGRNSMRLWGLVVGHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDAPTGIITQSPSIMDLVKCDGAALYYQGKYYPLGVTPNEAQIKEIVDWLLTYHGDSTGLSTDSLGDAGYPGAASLGDAVCGMAVAYITSRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLLWENAEMDAIHSLQLILRDSFKDAEASNSKAVVRAPPGELELQGMDELSSVAREMVRLIETATAPIFAVDVEGRINGWNAKVAELVGLSVEEAMGKLLIQDLVHKESQETTEKLLFNALRGEEDKNVEIKLRTFGTEEDKKAIFLVVNACSSKDYTNNIVGVCFVGQDVTGQKIVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTSCSEWNTAMEKLTGWSRGETIGKLLVGEVFGSCCRLRGPDAMTKFMIILHNAIGGQDTDRFPFSFFDRNGKYVQALLTANKRANIDGQIIGAFCFLQIASPELQQALKIQRQQENKCFSRMKELAYICQEIKNPLNGIRFTNSLLEATDLTEDQKQFLETSAACEKQMSKIIMDVDLENIEDGSLELEKEDFFLGRIIDAIVSQVMSLLRERGLQLIRDIPEEIKTLAVNGDQVRIQQVLADFLLNMARHAPVPGGWVEIQVRPSLKQVSDGTNVVHTEFRIMCPGEGLPPELVQDMFHSSRWVSQEGLGLSMCRKVVKLMNGEVQYIRESERCYFLIILELPIPRRGSKSIIIG >itb04g02850.t2 pep chromosome:ASM357664v1:4:1766373:1769592:1 gene:itb04g02850 transcript:itb04g02850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVRRSRFSMNRFICALKSHKNSNAFFENAPAKSVSDVGICGFSSLVSENAAANPFVRRELAESAYASKSSIPANPIARVTNKGDGLPLLFRDRPNMKHVTTFQAPFQVANINGIKFKMPCFARTFASKASESTGQKRSEGRKEISTVDDPFDAPTYNIPEKPVSFTEGASYSVVILAGLGVAAAAAYAVFKELIFEPKEYKIFGKALDRIQHDSQVRVRIGSPITGYGSESRNRAARQRIPNRIWTDEDGVEHVEVNFYIRGPHGAGKVFAEMFQDKEEKQWKFTYLIVQINSPSPAQLMLESYVPA >itb04g02850.t1 pep chromosome:ASM357664v1:4:1766218:1769973:1 gene:itb04g02850 transcript:itb04g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVRRSRFSMNRFICALKSHKNSNAFFENAPAKSVSDVGICGFSSLVSENAAANPFVRRELAESAYASKSSIPANPIARVANINGIKFKMPCFARTFASKASESTGQKRSEGRKEISTVDDPFDAPTYNIPEKPVSFTEGASYSVVILAGLGVAAAAAYAVFKELIFEPKEYKIFGKALDRIQHDSQVRVRIGSPITGYGSESRNRAARQRIPNRIWTDEDGVEHVEVNFYIRGPHGAGKVFAEMFQDKEEKQWKFTYLIVQINSPSPAQLMLESYVPA >itb09g21920.t2 pep chromosome:ASM357664v1:9:20196908:20200682:1 gene:itb09g21920 transcript:itb09g21920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSPRYSLQYKKDQAGCIWGFINIFDFRNGRSTRKLLADGRQASGQVGASSSMKLKIPNPSEKSFKVKIQDDDESEAATLDSKTSVKELMEEEMFGEASPKDQRNDVEIQPEAFNSHKGSHTKRRKCKRTFRTSDRSRSMSLSDLDDVKNVGSDVSCRQVLNSDDFQIIMEELGQIHVKTNSFRKKDVRNKQSDQDRPVLEEKLSAAIEMLVNQKSKDSRHFEEDETAYNSQELMDALQTLSSNKELCWKLLQDPNSRLVNHMESLEDRVEKGRKSNSRLKSNLMQKNVVHAKTDAIGNSKRGHFFRRRSKSQESYPLMEDERSRPSSKIVILKPGPAGLQFPGSPIDVSSSVHSPHTMGNKLQNGKSSSHFSFTEIKRRLKKAMGKECQGISHEEIVHKKHSDIDKGISGENIGWSSPNRNHFYTERFARPSPIHFKRGDKKGKPKDIEEATINEASNYPKLEISNIYLEAKKHLLEMLDNGEKEEELISEKLPKSLGRILSLPEYNTSSNCSPRKCTHEDVLPPRMRVAPSDSNLERQSSAAEINSEQYIKLANGNLDIPCELNCDDLLEKIVSPTKDEVAVAGVLDTEEPAPIGYQEDGKVLDASCKPISFSITIDIGSADVTEAFNTETSSPSLKLASECLSDSYGAKEVFSCWSAVPLNSSDSGKVEYPQSVMDRMEQPSPVSVLEPLFPENDINPATTMCQPVELEIQPQKIDFEEPAATSLDQQLYTLTFLENEESAFEYVEAVLLGSGLNWDEFLLSWLSSDQILDPSLFDEVDLLSECSCRKLLFDCTNEVLTEACDRYFGCFPGTPFVKQNIRPVPKGMDLINEVWIGIEWYLLNTPPPHSLDQLVEKDMERPAGWMDLKSDVKDIGNTIEAAIFEELMEETLLSFANDTSEGNPIPLSESEIEISIN >itb09g21920.t1 pep chromosome:ASM357664v1:9:20196908:20200682:1 gene:itb09g21920 transcript:itb09g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSPRYSLQYKKDQAGCIWGFINIFDFRNGRSTRKLLADGRQASGQVAGASSSMKLKIPNPSEKSFKVKIQDDDESEAATLDSKTSVKELMEEEMFGEASPKDQRNDVEIQPEAFNSHKGSHTKRRKCKRTFRTSDRSRSMSLSDLDDVKNVGSDVSCRQVLNSDDFQIIMEELGQIHVKTNSFRKKDVRNKQSDQDRPVLEEKLSAAIEMLVNQKSKDSRHFEEDETAYNSQELMDALQTLSSNKELCWKLLQDPNSRLVNHMESLEDRVEKGRKSNSRLKSNLMQKNVVHAKTDAIGNSKRGHFFRRRSKSQESYPLMEDERSRPSSKIVILKPGPAGLQFPGSPIDVSSSVHSPHTMGNKLQNGKSSSHFSFTEIKRRLKKAMGKECQGISHEEIVHKKHSDIDKGISGENIGWSSPNRNHFYTERFARPSPIHFKRGDKKGKPKDIEEATINEASNYPKLEISNIYLEAKKHLLEMLDNGEKEEELISEKLPKSLGRILSLPEYNTSSNCSPRKCTHEDVLPPRMRVAPSDSNLERQSSAAEINSEQYIKLANGNLDIPCELNCDDLLEKIVSPTKDEVAVAGVLDTEEPAPIGYQEDGKVLDASCKPISFSITIDIGSADVTEAFNTETSSPSLKLASECLSDSYGAKEVFSCWSAVPLNSSDSGKVEYPQSVMDRMEQPSPVSVLEPLFPENDINPATTMCQPVELEIQPQKIDFEEPAATSLDQQLYTLTFLENEESAFEYVEAVLLGSGLNWDEFLLSWLSSDQILDPSLFDEVDLLSECSCRKLLFDCTNEVLTEACDRYFGCFPGTPFVKQNIRPVPKGMDLINEVWIGIEWYLLNTPPPHSLDQLVEKDMERPAGWMDLKSDVKDIGNTIEAAIFEELMEETLLSFANDTSEGNPIPLSESEIEISIN >itb14g18260.t1 pep chromosome:ASM357664v1:14:21351091:21354589:-1 gene:itb14g18260 transcript:itb14g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMVLDPNPTPKPVLELPATRSGTSTHDLSSDAEDDLYSRLKSLERQLEFIEIQEEYVKDELKNLRREHLRAQEEVKRIQSVPLVIGQFMEMIDQNNAIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTASFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >itb08g04430.t1 pep chromosome:ASM357664v1:8:3529830:3532379:1 gene:itb08g04430 transcript:itb08g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHASSSFHSQFIYCSDFDDTSIWDEMNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb01g26320.t1 pep chromosome:ASM357664v1:1:31523427:31525434:1 gene:itb01g26320 transcript:itb01g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITEVLHMNGGIGDSSYANNSLLQQNVILMTKPITEEAITKLYTSLNNPKTISIADLGCSSGPNTLLAVSNIVKAVDNHRKKLRRRHSPEFQIYLNDLPANDFNTIFQSLPKHEEDLRRELGDGFGPCFFNGVPGSFYGRLFPTDSLHFVHSSYSLHWLSQVPKGIEENKGNIRMETTSPPSVIKAYYNQFEQDFSTFLKCRSKELVKGGRMVLTMQGRSSENPTCHLWELLTLALNEIIAEGFVEEEKLNSFNVPLYTPSLAEVKFIVEKEGSFTIDCIEASQIYWTGYNGTDDYEVNNGYGVARSLRAVVEPMLVSHFGEGIIDELFHRYTKMIVDSISTRPEITQFTNVIVSLIKM >itb13g24810.t1 pep chromosome:ASM357664v1:13:30404235:30407866:1 gene:itb13g24810 transcript:itb13g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPMDIIAGPFGFAGGNFWSFRPVNKINQIVIHYTTGNNPIALTFSSTRDDGSKDTITVGGGGPEVVVNTDTVNIGADEYLVHFSGTLGTFLSNNVVRSIKFTTNLKDYGPFGPNVGEPFMPVNVVPNKIVGFVGRAGYFIDAIAPKDTISGPWGNIDGNFWSFRPVNKINQIVLHYGAGYNPIALTFSCTKDDGSKDTITVGGGGPDRIIAIDTVNIFNAAEYLTMISGTIGIYEGNNVLRSIKFTTNMKELGPFGQNDGEPFAATVNFVPNEIVGFLGHSGYYINAIGTYNIPK >itb07g22170.t1 pep chromosome:ASM357664v1:7:26651837:26654619:1 gene:itb07g22170 transcript:itb07g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSWVFSNPHFRPSPPLRPQNNLRRISTAVSCRKPEPSAMSCSQPPAHKKIISQKSLVPVAMALAVFMSSSPANAGFLSGFSGIESVPGPELPQIDFLNRWNEANQKKYAEADARFKESPLLKQLLEKSKLNKEKNRQSIQDKYCIRGAEWGVGDCSTEGMTPEERDSFISALKQKAGVEE >itb09g28170.t1 pep chromosome:ASM357664v1:9:28815910:28820083:-1 gene:itb09g28170 transcript:itb09g28170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQSQPGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMELGGNDNFNSFISQYGIPKETDIVTKYNTKAASVYRDRIQALADGKPWRDPPVVKETLKGGAGNSSSKPPLSGGGSRNGNVGGNSSWDSWDDDGGFSNGNHSNNNIRRNQTVGDFRSGGGSGSAPARSRSTEDIYRAQLEASAANKDNFFARKMAENESRPEGLPPSQGGKYVGFGSNPPPMPRNNNYNNNPQGDVLSAVSQGFGRLSMVAASAAQSAANVVQAGTKEIASKVKDGGYDTKVNETVNVVTAKTTEIGQRTWGIMKGVLALASQKVEEYTKEDNGNWKNDSWQRNESQQNGYYQDFNHNSKGWNSSEGGGGQSSSGRQFNSVNSGSWDSWDTKGNNTKETSAANNDSWAGWDDAKDDDFYQSAHDNKHVGYNGKSDTKWSDGGFL >itb14g14250.t1 pep chromosome:ASM357664v1:14:16651275:16652614:-1 gene:itb14g14250 transcript:itb14g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLTADQIAEYREAFSLFDKDGDGQVSCQELGIVLRSLGQVVTEAELKEMIRGVDDDGSGTVDFNEFVGLMSKKLKDNEAEEEFKAAFRIFDKDHNGLISPDELRQVMKNLGQRLTDKEVEEMIKEADVDNDGQIDYHEFVKVMMSK >itb09g13790.t1 pep chromosome:ASM357664v1:9:9024281:9029571:-1 gene:itb09g13790 transcript:itb09g13790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANLEHIMKEMQGAIIFAAAFHEILGYSGIAMIFLKSSTPQPTFFAVYCIETCISVQVGKTVLYNNLHDPKGVMQFWNCCFCIEIRNAIEEPLSANISGGKPAGQALHSRLFSPFIVLKPAYLFRWEKLFYTTICMTPKALCIFWNCCFCIEIRNAIEEPLSANISGGKTCRFFMTYHGMQYFLQTAVHQGIDQKKRGHGYMKFRLRPSTKPINKICNNKHGHKITSLSWKRHLRDLIELVTTRSGIK >itb11g00710.t1 pep chromosome:ASM357664v1:11:323636:328183:1 gene:itb11g00710 transcript:itb11g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYNSQSPYPYGNAYGYPPVPPANQGYPPPPGSGAYPPFPYPQFHYYPHPYPTPHYAYPPRVPSTPPASSNSGYQPAAVEYGYPPPPPPQSHHAVVPYPYYMYRPPIPPPSSSPQPTLQNQGSFKYGSSTPQPTLQNQGSFKYGSSTPQPTLQNQGSFKYGSSTPQPSLQQQGSFKYGSSTPQPTLQNQGSFKYGPSSHHFQQPVPQQQALQGQPRRGNEFPSLQRQHSTLSTCSSASNYDHGIDSTSASSSANPSLDDQMTNLRLSDNRSPVPVSGHYVSQGTIYAYPNSSFSRLESSSIKRAESTSLHRPSYSNTFVETGYNKGMQLMPFTPSKAPPKVLLIHGNLDIWVYEAKNLPNMDLFHKTIGDMFNRLPGNMSTKIEGHMNRKITSDPYVTITLAGATVGRTYVISNDENPTWMQHFKLPVAHYAAEVLFVVKDNDVVGSQLIGTVAIPVENIYGGGKCEGFFPILASNGKPCKTGAVLSLSIQYTPMEQLSIYHYGVGAGPEYTGVPGTYFPLRKGGTVTLYQDAHVPDGGLPNLKLECGMQYVHGKCWQDIFNALRNARRLIYITGWSVWHKVKLVRDDPSAEGCTLGELLKSKSQEGVRVLLLIWDDPTSRKILGYKTDGVMATHDEETRRFFKHSSVHVLLCPRVAGKRHSWMKQREVGVIYTHHQKTVIVDTEAANNRRTITAFVGGLDMCDGRYDTPGHPIFRTLQTVHAADYHNPTFAGNVSGCPREPWHDMHCKIVGPAAYDILTNFEERWLKSSKPRGIKKLKMSYDDALLRLDRMPEILGVSDVPSINAADPEGWHVQIFRSMDSNSVKGFPKDPKRATMKNLVCGKNVLIDMSIHTAYVKAIRSAQHYIYIENQYFIGSSYNWSQYKDVGANNLIPMELALKIADKIRAYERFAAYIIIPMWPEGNPTGAATQRILFWQHKTMQMMYETIYKALVEVGLEDAFSPQDYLNFYCLGNREALAESDASSNDTNWPVNSPQALSRKNRRFMIYVHSKGMIVDDENVIIGSANINQRSLEGTRDTEIAMGAYQPNYTWARKRSNPEGQIYGYRMSLWAEHLGVVEDCFKRPESLECVRRVRSLGEANWQQFAADEVTEMRGHLLKYPVDVDRKGKVKPLSGCPIFPDVGGNIIGSFLAIQENLTI >itb05g01370.t1 pep chromosome:ASM357664v1:5:1167305:1169329:-1 gene:itb05g01370 transcript:itb05g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGILTCLPPFQMRGAMDGEAVAAFASLGADIRSLQRSLEENELSLQWCNEAVALLKKMHAMLVVLFENAKPPLSWSGMSSNHLDEYMDESLDILELCNMLKSAASGIDRYCLMIGFALKDMQSGEDDSLSLHEIQKPELGSNKVSEIERWRNINMHNPGITKLKFKDSSFSVIYALRSAMNIISLILLYAFRCPIPKEHEKLHCLNPQLKPFMDSVKDLTSCFEQKRQMPAENLRAGFLEYDLMEKAVDELRAQLNKEMAAEEENLQASRRLVEQKSMALKAGLMVFQSEIIQLFEAAVRGRNKLIQMIMKK >itb05g01370.t2 pep chromosome:ASM357664v1:5:1167978:1169329:-1 gene:itb05g01370 transcript:itb05g01370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGILTCLPPFQMRGAMDGEAVAAFASLGADIRSLQRSLEENELSLQWCNEAVALLKKMHAMLVVLFENAKPPLSWSGMSSNHLDEYMDESLDILELCNMLKSAASGIDRYCLMIGFALKDMQSGEDDSLSLHEIQKPELGSNKVSEIERWRNINMHNPGITKLKFKDSSFSVIYALRSAMNIISLILLYAFRCPIPKEHEKLHCLNPQLKPFMDSVKDLTSCFEQKRQMPAENLRAGFLEYDLMEKAVDELRAQLNKEMAAEEENLQASRRLVEQKSMALKAGLMVFQSEIIQLFEAAVRGRNKLIQMIMKK >itb14g19180.t1 pep chromosome:ASM357664v1:14:21918866:21919147:1 gene:itb14g19180 transcript:itb14g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFNDVMTPGKFDNMYYVNLQNGMGLLASDEALFSDNRTRPYVDLYAKNQTAFFDAFARVMEKVSVYEVKTGKDGEVRRRCDAFNNLKATAS >itb05g19660.t3 pep chromosome:ASM357664v1:5:26057732:26061561:-1 gene:itb05g19660 transcript:itb05g19660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPNSVETVNAAATAIVTAESRVQPTSVRVHTYTYTSWTWICMHKRGWGSCWSLYWCFGSYKQNTKRIGHAVLVPEPIAPVAAAPVSGNPSHQTTIVLPFIAPPSSPASFLQSDPPSATQSPAGLLSLTALSINAFSPGVTASAFAIGPYAHETQLVTPPVFSAVTTEPSTACFTPPPEAAQLTTPPSPEVPFAQLLTSSLARNRRNSGTNIKFPLSQYDYQPYQCPGSPGSRLISPGSAVSNSGTSTPFPDKYSILELRKGEAPKYLGYEHFSTRKWGSGSLTPNGWGSRLGSGTQTPNGGLSRLGSGAMTPNGGEPLSRDSYVLENQISEVASLANSDNESQDNDTAIHHRVSFELTGEDIPSCREKAPVTSHDATAEPLEDISAETIYPTDLLKEVEHSSKSSVEESANAICGKALKEEGDQSSQKHSSLDLSKDFDFDNVKPEVLDKSSVDCEWWTSDKATGKEPALRNNWTFFPLLQPKVS >itb05g19660.t4 pep chromosome:ASM357664v1:5:26057732:26061577:-1 gene:itb05g19660 transcript:itb05g19660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPNSVETVNAAATAIVTAESRVQPTSVRKRGWGSCWSLYWCFGSYKQNTKRIGHAVLVPEPIAPVAAAPVSGNPSHQTTIVLPFIAPPSSPASFLQSDPPSATQSPAGLLSLTALSINAFSPGVTASAFAIGPYAHETQLVTPPVFSAVTTEPSTACFTPPPEAAQLTTPPSPEVPFAQLLTSSLARNRRNSGTNIKFPLSQYDYQPYQCPGSPGSRLISPGSAVSNSGTSTPFPDKYSILELRKGEAPKYLGYEHFSTRKWGSGSLTPNGWGSRLGSGTQTPNGGLSRLGSGAMTPNGGEPLSRDSYVLENQISEVASLANSDNESQDNDTAIHHRVSFELTGEDIPSCREKAPVTSHDATAEPLEDISAETIYPTDLLKEVEHSSKSSVEESANAICGKALKEEGDQSSQKHSSLDLSKDFDFDNVKPEVLDKSSVDCEWWTSDKATGKEPALRNNWTFFPLLQPKVS >itb05g19660.t1 pep chromosome:ASM357664v1:5:26057743:26061577:-1 gene:itb05g19660 transcript:itb05g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPNSVETVNAAATAIVTAESRVQPTSVRKRGWGSCWSLYWCFGSYKQNTKRIGHAVLVPEPIAPVAAAPVSGNPSHQTTIVLPFIAPPSSPASFLQSDPPSATQSPAGLLSLTALSINAFSPGVTASAFAIGPYAHETQLVTPPVFSAVTTEPSTACFTPPPEAAQLTTPPSPEVPFAQLLTSSLARNRRNSGTNIKFPLSQYDYQPYQCPGSPGSRLISPGSAVSNSGTSTPFPDKYSILELRKGEAPKYLGYEHFSTRKWGSGSLTPNGWGSRLGSGTQTPNGGLSRLGSGAMTPNGGEPLSRDSYVLENQISEVASLANSDNESQDNDTAIHHRVSFELTGEDIPSCREKAPVTSHDATAEPLEDISAETIYPTDLLKEVEHSSKSSVEESANAICGKALKEEGDQSSQKHSSLDLSKDFDFDNVKPEVLDKSSVDCEWWTSDKATGKEPALRNNWTFFPLLQPKVS >itb05g19660.t2 pep chromosome:ASM357664v1:5:26057294:26061561:-1 gene:itb05g19660 transcript:itb05g19660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPNSVETVNAAATAIVTAESRVQPTSVRKRGWGSCWSLYWCFGSYKQNTKRIGHAVLVPEPIAPVAAAPVSGNPSHQTTIVLPFIAPPSSPASFLQSDPPSATQSPAGLLSLTALSINAFSPGVTASAFAIGPYAHETQLVTPPVFSAVTTEPSTACFTPPPEAAQLTTPPSPEVPFAQLLTSSLARNRRNSGTNIKFPLSQYDYQPYQCPGSPGSRLISPGSAVSNSGTSTPFPDKYSILELRKGEAPKYLGYEHFSTRKWGSGSLTPNGWGSRLGSGTQTPNGGLSRLGSGAMTPNGGEPLSRDSYVLENQISEVASLANSDNESQDNDTAIHHRVSFELTGEDIPSCREKAPVTSHDATAEPLEDISAETIYPTDLLKEVEHSSKSSVEESANAICGKALKEEGDQSSQKHSSLDLSKDFDFDNVKPEVLDKSSVDCEWWTSDKATGKEPALRNNWTFFPLLQPKVS >itb06g08240.t1 pep chromosome:ASM357664v1:6:12245568:12246979:1 gene:itb06g08240 transcript:itb06g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDTVSIVPSSFMAAMNNWFTPTVFFVLLNVVIATIVFISSLANQKKQQQTPKLAGSPSVLQRLRSINLSNLRSQEPIFKFNPTDSDTLFNLDPTLPPQILEPQMPYTFFHLPQEIPTLETQTTHYIFRQENETHYVSHQETVERTETHDVKTEEIEAHFDFQASHEEEPEGEKEEEKPERLDEAPEPETTPFAVEIPERLPAKMKKSASMKSSAFKPAEKEDVEARRPATMKERVAAEVDEEVDAKADDFINKFRQQLKLQRVDSTLRYKEAIRRGAGS >itb04g10340.t1 pep chromosome:ASM357664v1:4:9678160:9679903:1 gene:itb04g10340 transcript:itb04g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPESRVPKPARHVKEKNRRQKMKDLYRQLATVVSPENSLQKSPTLDVLDHATNCIKRLEKNVNELKAKKDTLQLPVVITVNESGSGERLEINIVCGSEKKKLMKMDKVIWILQEEGADVVSATNSTVGPNIYHTILCKV >itb03g15430.t1 pep chromosome:ASM357664v1:3:14782843:14790061:1 gene:itb03g15430 transcript:itb03g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-2 [Source:Projected from Arabidopsis thaliana (AT3G55920) UniProtKB/Swiss-Prot;Acc:Q8L8W5] MANNRKNLLPVVLLWVLIMFVTLALILNRLNDAGVSSGQEKINNEAKTTEDPEGVTHKVYFDIEINGKPAGRIVMGLFGKIVPKTAENFRALCTGEKGTGKSGKSLHYKGSAFHRIIPSFMIQGGDFTSGDGRGGESIYGSKFADENFKLKHTAPGFLSMANAGPDTNGSQFFITTVITSWLDGRHVVFGKVLAGMDVVYKVEGEGRQSGIPKGKVIIADSGELPL >itb03g15430.t2 pep chromosome:ASM357664v1:3:14782843:14786948:1 gene:itb03g15430 transcript:itb03g15430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-2 [Source:Projected from Arabidopsis thaliana (AT3G55920) UniProtKB/Swiss-Prot;Acc:Q8L8W5] MANNRKNLLPVVLLWVLIMFVTLALILNRLNDAGVSSGQEKINNEAKTTEDPEGVTHKVYFDIEINGKPAGRIVMGLFGKIVPKTAENFRALCTGEKGTGKSGKSLHYKGSAFHRIIPSFMIQGGDFTSGDGRGGESIYGSKFADENFKLKHTAPGFLSMANAGPDTNGSQFFITTVITSWLDGRHVVFGKVLAGMDVVYKVEGEGRQSGIPKGKVIIADSGELPL >itb14g07260.t1 pep chromosome:ASM357664v1:14:6665918:6669931:1 gene:itb14g07260 transcript:itb14g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGRLGVTGLKALPLSTMISQGPGGGPHRPTASLVVPWALKARGFALCLLGPATVSTQVQMTCEASNSAHSISPSAQSPVAVSHSFTPRQRSSSRLSRKVTIAVSHTSALGCRLSPLPLLECLSSLPLLERLSPLPLLERLSPLPLLEVQSTRRRRLPLRTPRLQVVVAVSHSMTPMLLR >itb14g07260.t2 pep chromosome:ASM357664v1:14:6665918:6669922:1 gene:itb14g07260 transcript:itb14g07260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGRLGVTGLKALPLSTMISQGPGGGPHRPTASLVVPWALKARGFALCLLGPATVSTQVQMTCEASNSAHSISPSAQSPVAVSHSFTPRQRSSSRLSRKVTIAVSHTSALGCRLSPLPLLECLSSLPLLERLSPLPLLERLSPLPLLEVQSTRRRRLPLRTPRLQVVVAVSHSMTPMLLSLYKVTPYIHTRKSTRLSCHSILLV >itb14g07260.t3 pep chromosome:ASM357664v1:14:6665918:6669233:1 gene:itb14g07260 transcript:itb14g07260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGRLGVTGLKALPLSTMISQGPGGGPHRPTASLVVPWALKARGFALCLLGPATVSTQVQMTCEASNSAHSISPSAQSPVAVSHSFTPRQRSSSRLSRKVTIAVSHTSALGCRLSPLPLLECLSSLPLLERLSPLPLLERLSPLPLLEVQSTRRRRLPLRTPRLQVVVAVSHSMTPMLLR >itb14g13340.t1 pep chromosome:ASM357664v1:14:15299922:15302640:1 gene:itb14g13340 transcript:itb14g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHDKDHNIVEIEEDHGFEIPTQLSESNHDELVNNGEFNIGEMEQDASENVKSDVNNETTELCIGMEFQSLDDALMCIGMEFQSLDDALMCYTMEFQSLDDALMCYTNYAKQLDDALMCYTNYAKHEGFGIRKSRILKSHEGFGIRKSRILKSRKGFGIRKSRILKSRKNQMVIGQEFVCSKEGYRAKKYLQRDNRKKPPPDETRMGCKAMISVSRKDEDKWVISKFTRDHNHVLASPNSAQFHRVHRKRTKSQRNLIDVLDESGIRPSKIMSVLVTESGGIDRMDNNCQLRQIKRTDFDENNEDIKIYGSFYVSSS >itb03g20440.t1 pep chromosome:ASM357664v1:3:18355661:18356103:1 gene:itb03g20440 transcript:itb03g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIWDVHNSGQSIRTFTGHSASDISVDFHPNKEDLICSCDDVSAIRYWTIKNGGYAGVSKVCASQVRFQPTRGRFLAAAV >itb04g18450.t1 pep chromosome:ASM357664v1:4:21891765:21893274:1 gene:itb04g18450 transcript:itb04g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGRNMNVNFTSATELPVVEQNESNGYELGGKIMLSAIVILFAVVVLIVLLHLYARWHLLRQRRRELRRRRSRNRRAQIVFYVDDYQAGPASRGLEAAVIGSLPVFEYSSKDRTEPVECAVCLSEFEDNEKGRLLPKCNHSFHTQCIDMWFHSHTTCPLCRSPVEAFHGPDKNPDETVVNVKLNEPVAENREEPGSSSGLCSEVNGPTTSSLGSRRKGVDLTGVRIEVPARNELDPEFSLSSPASCGFRSPGSRLLSLKRILSMNKRTPSGPSTPLPLSTEFDLECGGGGCVSELTRPKIPTPR >itb05g09250.t1 pep chromosome:ASM357664v1:5:13491646:13497639:-1 gene:itb05g09250 transcript:itb05g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKTLSSSTQINLCVLAVFIFTFPLYVYVESQSQTSSIISERSILLDIKKHFSNPPNISHWTSSSDHCTWPEITCRNGVVTGIQLGGLLINDTIPPSICDLKNLTLLDLQNNNIPGSFPKILYNCSSLENLDLSFNSFSGIIPDDISKLSPQLKVLNLSSNGFMGGIPAGIGGLKGLKELQLAGVVSNGSFPSEIGNLLNLEFLVLSWNSFSPQEIPPSFTQLKKLRIFWMQQANLIGKIPKNMSAMEALEYLDLNTNDLSGNIPNDLFLLKNLTTIFLYKNRLSGPIPRPVMALNLKVIDFSNNSLTGSIPEDFGHLAKLEGLALFMNQLSGQIPVGLGRLPALSSIELFMNNLSGELPPDFGRFSKLTVFDVSSNHLIGSLPDGLCDNKVLISMIAFDNNLTGGLPKSFEDCNTLRGVRVERNNLSGTIPDGLWAAMNLTMLVISNNQFTGQLPQKVASNLSLVDISNNRFSGEIPPEISSWSKLDTFRASNNLLTGKIPQELTALSSLSVLKLDGNKLFGNFPKNIISWKSLSTLTCSRNQLSGTIPPALGLLPNLYQLDLSENQFSGEIPPEIGRKPISLNLSSNHFSGKVPDQFEGAAFQRSFLNNPGLCATMPSIGLRDCGAKSDKSNKISAKVIAILGSTVAFLFVVIILYMVYLFRSYKKRERALLARDWKLKSFHTLSFNQSNIIPNLREENVVGSGGSGKVYVVPLSNGEKVAVKRIWSKHKLDEMLEKEFQAEVGILGTIRHSNIVKLWCCISSEESNLLVYEYMENRSLDLWLHAKRRPPGEFLDWPTRLRIAIGAAQGLSYMHHDCSQPIVHRDVKSSNILLDSEFNAKIADFGLARTLINHGDPNIVSTVAGSFGYIAPEYAHTRKVNEKIDVYSFGVILLELVTGREPNDGDMDWCLVDWARYYVQEGNPIEDALDEEIKEAENIDEMCGVFRLGIFCTGANPAKRPSMREVLRFLLDCSSQSANGKEMSVSERDVSPLLKCSSSEGILEDGDDGLKPGQL >itb13g15530.t2 pep chromosome:ASM357664v1:13:22395627:22398933:1 gene:itb13g15530 transcript:itb13g15530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKDGKPLYKQDQNSRKVPMVVLLVALCGISFYLGGVFYSEKDGYATNDVSKEAESFEGTAGGSLQTEDTWLFPECSPDFQDYTPCTDPKRWKKYGLQRLTFMERHCPPPFERMECLVPPPEGYQPSIKWPTSKNECWYRNVPYDWINKEKSNQHWLQKEGEKFLFPGGGTMFPNGVTRYVDSMVELIPGMKDGTVRTAIDTGCGVASWGGDLLNRGILTVSLAPRDNHEAQVQFALERGIPAVLGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGTYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQRSDYEKLQDLLTSICFKLYDKKGDIAVWQKLSDNSCYKQLDSPDSYPPKCDDSMEPDSAWYTPLRTCVVVPNPKPGKVALESLPKWPERLHTAPERVSDVRGGSEGTFRHDDSKWKMRVQHYKKLLPELGTEEIRNVMDMNTLFGGFAASLVDDPLWVMNVVSSYSSNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYADGLFTAESHRCEMKYVLLEMDRILRPKGYAFFRESRQFVDSIAAIAKRMRWVCRKEDTEDDVETSKVLICQKDLWHSSKQSSI >itb13g15530.t1 pep chromosome:ASM357664v1:13:22394547:22398933:1 gene:itb13g15530 transcript:itb13g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKDGKPLYKQDQNSRKVPMVVLLVALCGISFYLGGVFYSEKDGYATNDVSKEAESFEGTAGGSLQTEDTWLFPECSPDFQDYTPCTDPKRWKKYGLQRLTFMERHCPPPFERMECLVPPPEGYQPSIKWPTSKNECWYRNVPYDWINKEKSNQHWLQKEGEKFLFPGGGTMFPNGVTRYVDSMVELIPGMKDGTVRTAIDTGCGVASWGGDLLNRGILTVSLAPRDNHEAQVQFALERGIPAVLGIISTQRLPFPSSSFDMAHCSRCLIPWTEFGGTYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQRSDYEKLQDLLTSICFKLYDKKGDIAVWQKLSDNSCYKQLDSPDSYPPKCDDSMEPDSAWYTPLRTCVVVPNPKPGKVALESLPKWPERLHTAPERVSDVRGGSEGTFRHDDSKWKMRVQHYKKLLPELGTEEIRNVMDMNTLFGGFAASLVDDPLWVMNVVSSYSSNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYADGLFTAESHRCEMKYVLLEMDRILRPKGYAFFRESRQFVDSIAAIAKRMRWVCRKEDTEDDVETSKVLICQKDLWHSSKQSSI >itb07g17130.t1 pep chromosome:ASM357664v1:7:21339435:21342723:1 gene:itb07g17130 transcript:itb07g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIIPKRRGQPVVVWLGTIEGIQFAKSLSTTKLCIETDAKLIVQAFNKEISLTSQIENILQACRRKLEVVNEWHLKSIVREENQAADFFARSTRSHRGIVLVYQPTNELIEILAKDKDGTPVWRQSKLDFDSCAAVCIKSSKLAKIFSLLICLA >itb02g04050.t1 pep chromosome:ASM357664v1:2:2410449:2415004:1 gene:itb02g04050 transcript:itb02g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKERTMEATPTWAIAVVCFILVAISIAIEQLFHHLGMWLLKKHKKALHEALDKIKAELMLMGFISLLLTIFQDPVSNICIPQSVGNSWHPCDKIKDPSKIDDPCIKKGKVQFASSYAIHQLHIFIFALAVTHVLYSITTWGLGRLKMRTWKAWEEGTRTLDYQFHNDPERFQFVRDTSFVRRHSNFWSKSPILLWIVCFFRQFFSSVAKVDYITLRHGFVMAHLPPQNEVNFDFRLYINMALEEDIKEVVGISPVLWLFAVLSFLTNTNGWYSYLWLPFIPLIILLVVGAKLQMIITKMGGRIKERGDIVKGAPVVEPGDNLFWFNRPSLMLFFIRFVLFQNAFQVAFFFWSWVKFGLFSCFHKNAADIAIRLSMGVIIQILCSYVTLPLYALVNQMGSSMKPVIFGDNVASALKTWHRGAKQRARHGHRSENATPFTSRPATPLHGMSPLHLLSDFQQNAADSLQASPRGPASDDLVWANSTAVTSASPRRNLSSNDDQHEIQIVSNDFSFVNKHS >itb01g06080.t1 pep chromosome:ASM357664v1:1:4272224:4274402:-1 gene:itb01g06080 transcript:itb01g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTINQDSQLNQKSARDQIRGEVARLVELYKGEEISITVIGHSLGSSMATLNATDLAANPINNNKDILVTAFLYASPKIRGEVARLVELYKGEEISITVIGHSLGSSMATLNATDLAANPINNNKDILVTAFLYASPKVGDENFKTAFSNQQNLRGMRISDVNDIVTAVPPFGWKYGDNIFPPEGGDVTPPTPYVDVGVGLVIESKKSEYLKPEVPNLLTHDLMLYMHGWN >itb04g13830.t1 pep chromosome:ASM357664v1:4:14008984:14011962:1 gene:itb04g13830 transcript:itb04g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHHIEAVEFLEDIIGDCDIPSNLTCLVLRRRSDRLRSGMDISGNSKRRAMDDKLINLPMDVLNCILDHLPIRDAARTSVLSRKWRYIWAGHPNLVLDPKNIVTVTKNDFVGIGNEILLQHIGPILTFHVDISDVHMSQYPNIDRWILYLSRNGLRKLRMKNSGVSLYALPSYIFLCEELKHLALTKCIFKPPCGTTGSFHSLKQISLNQVAFKPEVSASIFTASKLETLCLVKCSGMDHLNFDGCSPSLSSLVLYMNHGVKLRCFMNCKSITSAKLVLPMEVNYLGLGKRINLASLFEHWPLISNLFLDGYHLKLLAADSITSALPVKVDHLRDLTLYGISFTDLVQLSSILCLLHSSPRVHSLEIRMNVRN >itb04g13830.t2 pep chromosome:ASM357664v1:4:14008984:14011962:1 gene:itb04g13830 transcript:itb04g13830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHHIEAVEFLEDIIGDCDIPSNLTCLVLRRRSDRLRSGMDISGNSKRRAMDDKLINLPMDVLNCILDHLPIRDAARTSVLSRKWRYIWAGHPNLVLDPKNIVTVTKNDFVGIGNEILLQHIGPILTFHVDISDVHMSQYPNIDRWILYLSRNGLRKLRMKNSGVSLYALPSYIFLCEELKHLALTKCIFKPPCGTTGSFHSLKQISLNQVAFKPEVSASIFTASKLETLCLVKCSGMDHLNFDGCSPSLSSLVLYMNHGVKLRCFMNCKSITSAKLVLPMEVNYLGLGKRINLASLFEHWPLISNLFLDGYHLKLLAADSITSALPVKVDHLRDLTLYGISFTDLVPTVTAGNSLVLQYLQERSRMSEEINSLQALNMRYFQGSRAEMLFVKLILACCPALERVSFVDKRVEASEVCNILKELVVFPRASRKAQIIF >itb04g13830.t3 pep chromosome:ASM357664v1:4:14008984:14011962:1 gene:itb04g13830 transcript:itb04g13830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHHIEAVEFLEDIIGDCDIPSNLTCLVLRRRSDRLRSGMDISGNSKRRAMDDKLINLPMDVLNCILDHLPIRDAARTSVLSRKWRYIWAGHPNLVLDPKNIVTVTKNDFVGIGNEILLQHIGPILTFHVDISDVHMSQYPNIDRWILYLSRNGLRKLRMKNSGVSLYALPSYIFLCEELKHLALTKCIFKPPCGTTGSFHSLKQISLNQVAFKPEVSASIFTASKLETLCLVKCSGMDHLNFDGCSPSLSSLVLYMNHGVKLRCFMNCKSITSAKLVLPMEVNYLGLGKRINLASLFEHWPLISNLFLDGYHLKLLAADSITSALPVKVDHLRDLTLYGISFTDLVQLSSILCLLHSSPRVHSLEIRMNVPTVTAGNSLVLQYLQERSRMSEEINSLQALNMRYFQGSRAEMLFVKLILACCPALERVSFVDKRVEASEVCNILKELVVFPRASRKAQIIF >itb04g13830.t5 pep chromosome:ASM357664v1:4:14008984:14011962:1 gene:itb04g13830 transcript:itb04g13830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHHIEAVEFLEDIIGDCDIPSNLTCLVLRRRSDRLRSGMDISGNSKRRAMDDKLINLPMDVLNCILDHLPIRDAARTSVLSRKWRYIWAGHPNLVLDPKNIVTVTKNDFVGIGNEILLQHIGPILTFHVDISDVHMSQYPNIDRWILYLSRNGLRKLRMKNSGVSLYALPSYIFLCEELKHLALTKCIFKPPCGTTGSFHSLKQISLNQVAFKPEVSASIFTASKLETLCLVKCSGMDHLNFDGCSPSLSSLVLYMNHGVKLRCFMNCKSITSAKLVLPMEVNYLGLGKRINLASLFEHWPLISNLFLDGYHLKVPTVTAGNSLVLQYLQERSRMSEEINSLQALNMRYFQGSRAEMLFVKLILACCPALERVSFVDKRVEASEVCNILKELVVFPRASRKAQIIF >itb04g13830.t4 pep chromosome:ASM357664v1:4:14008984:14011962:1 gene:itb04g13830 transcript:itb04g13830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHHIEAVEFLEDIIGDCDIPSNLTCLVLRRRSDRLRSGMDISGNSKRRAMDDKLINLPMDVLNCILDHLPIRDAARTSVLSRKWRYIWAGHPNLVLDPKNIVTVTKNDFVGIGNEILLQHIGPILTFHVDISDVHMSQYPNIDRWILYLSRNGLRKLRMKNSGVSLYALPSYIFLCEELKHLALTKCIFKPPCGTTGSFHSLKQISLNQVAFKPEVSASIFTASKLETLCLVKCSGMDHLNFDGCSPSLSSLVLYMNHGVKLRCFMNCKSITSAKLVLPMEVNYLGLGKRINLASLFEHWPLISNLFLDGYHLKLLAADSITSALPVKVDHLRDLTLYGISFTDLVQLSSILCLLHSSPRVHSLEIRMNVRN >itb02g09050.t7 pep chromosome:ASM357664v1:2:5758556:5764453:1 gene:itb02g09050 transcript:itb02g09050.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGKDDTGSMRKNSAPIRCSDFHNFFNLNAEVASPSIKVPFTERVKALSVMELGGVNGMAPNDAGNEITVPPVEKKQLSVMSDVAGTSSFQGCKTYSKNCSFDRCVVVGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb02g09050.t2 pep chromosome:ASM357664v1:2:5758441:5766753:1 gene:itb02g09050 transcript:itb02g09050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFAIREYVSRMRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb02g09050.t3 pep chromosome:ASM357664v1:2:5758226:5766753:1 gene:itb02g09050 transcript:itb02g09050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFAIREYVSRMRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGKDDTGSMRKNSAPIRCSDFHNFFNLNAEVASPSIKVPFTERVKALSVMELGGVNGMAPNDAGNEITVPPVEKKQLSVMSDVAGTSSFQGCKTYSKNCSFDRCVVVGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb02g09050.t6 pep chromosome:ASM357664v1:2:5758137:5764453:1 gene:itb02g09050 transcript:itb02g09050.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFAIREYVSRMRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGKDDTGSMRKNSAPIRCSDFHNFFNLNAEVASPSIKVPFTERVKALSVMELGGVNGMAPNDAGNEITVPPVEKKQLSVMSDVAGTSSFQGCKTYSKNCSFDRCVVVGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb02g09050.t5 pep chromosome:ASM357664v1:2:5758441:5766753:1 gene:itb02g09050 transcript:itb02g09050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFAIREYVSRMRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGKDDTGSMRKNSAPIRCSDFHNFFNLNAEVASPSIKVPFTERVKALSVMELGGVNGMAPNDAGNEITVPPVEKKQLSVMSDVAGTSSFQGCKTYSKNCSFDRCVVVGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb02g09050.t4 pep chromosome:ASM357664v1:2:5758226:5764453:1 gene:itb02g09050 transcript:itb02g09050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFAIREYVSRMRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb02g09050.t1 pep chromosome:ASM357664v1:2:5758226:5766753:1 gene:itb02g09050 transcript:itb02g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMEGFAIREYVSRMRSVDVVKCWPLDEETREEDMRARLPPIAVKKFRWWFDELKSVRSGSGNEHSKLVEEVEEDIDVEIEEARAGKGKAKAPKKRSIVEIFAVAPQVERVESDDYMDESNSDDDDSVQQMDEVSNLKLAVLRESLLTSKSKANSAKTKTKKKKKVTLMKLNMGKNMIINKGKKETAKKKKKVNNCVDLLIGVKEKLKKHGEQPPPNPFPRSRSSMPKELQKDISEDIPTHKKKPRMKRPPAQKQTKTMTTSKLTADHPKAVIPVRGILKNNTKLSPEESPPNYFMRNPNQANFSGNAQANKHVTFSGQDAESSDSLHSFERQPNDPFYTCNPGFLSMSQEAYRNRKNNQAIPQLTNSSSATKRLIGHLMDPAPRITAVCSMDCVQAFHRPSSYLTSFNENLSRMPMGLSHTTNENFSGHAMHYLPFPPSPSQELMHTICSTPDWKQRVTVCGDKNTCEEYVRLPLNSQGELIKSSGNGSSVRSSTSLAVKNTDLRSWNERISQKRDQLNYANVKDSMKSSPNCPLPSRLGIYEYQDAGRASINLGHLKENNLMISLESDKDAFDLTMKKDNHLLKQFESAKIQQHGNSNYFPQHVTQSTMRLMGKEFKVDRRDLQGLEDAKFWTDKQIIAEHFPANGDALDNSNIKSHNQGEFDVHAVLGKLKGPASCSLEVVNQVVPEHRVHLAHFNHQIDVMHQSRLCSLRQSYYPEWCTSDPSSASSAAYNRQFVFKEPFIYGHESSRVSSQIPVIRFNNCNSSQILTPISAGPENTEKLSHATKSAFNFPFLHPDCRGHPKPTGSESYSNCMVPRLPDAPKRGVLLGFHQLYNNSDGSSLPSSMLRTTCQTESSVYLAREDFSSINNSFHPTQLNALSTTALAKCSQNQIQLGLTLNAATKNKHGHKIKFKEMIKSRISYTSLGLGRKRKKRSAAASDDSVIPKKIPNLGSQRDCSSTVTAHDNFEGGLPDSMQALELESASEKVNNVEWEKRESLKDTTDSHGLMSSKVDSAMRSGPIKLTPGTKHILKPSQNLDQPNCKPSISTLSFADTASAKRSSESEKPITIYEF >itb10g10180.t1 pep chromosome:ASM357664v1:10:14358836:14364648:-1 gene:itb10g10180 transcript:itb10g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVNNTCNNTEIDLDLEVPSSEKPTPTMEQSVRIDDRFLPTISMQEHAGNEKSWVWHATDFVNGGGERLRLRHQRRGFQQQLAFEAHDGQKCCNGEPFTIHPVAVAQILGELVYLDPVRVVAIGRKIEDLLTNLEIMNGYQYLHNSVEGYVEGNPVTDQYADHNNSHIELLQLIFDSLQQTRLDIVSHAMLSFTVCRYCTLPPMVHCW >itb12g10920.t3 pep chromosome:ASM357664v1:12:9073773:9079709:1 gene:itb12g10920 transcript:itb12g10920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVLEHEGGGGKDEVENKDDEEQSLFSMKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQVFYGLLGSWTAYLISVLYIEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALANGQVDGVQHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTLPSATAVYWAFGDQLLDHSNAFALLPKSAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICVRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQVTHHQHHPALVSLN >itb12g10920.t1 pep chromosome:ASM357664v1:12:9073773:9079794:1 gene:itb12g10920 transcript:itb12g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVLEHEGGGGKDEVENKDDEEQSLFSMKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQVFYGLLGSWTAYLISVLYIEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALANGQVDGVQHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTLPSATAVYWAFGDQLLDHSNAFALLPKSAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICVRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAVYALNIFIVCWVLVVGFGFGGWASMTNFVKQVDTFGLFAKCYQCKPAKLPALPPHAAPNTTVHH >itb12g10920.t2 pep chromosome:ASM357664v1:12:9073813:9079794:1 gene:itb12g10920 transcript:itb12g10920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVLEHEGGGGKDEVENKDDEEQSLFSMKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQVFYGLLGSWTAYLISVLYIEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALANGQVDGVQHSGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTLPSATAVYWAFGDQLLDHSNAFALLPKSAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICVRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAVYALNIFIVCWVLVVGFGFGGWASMTNFVKQVDTFGLFAKCYQCKPAKLPALPPHAAPNTTVHH >itb06g03970.t5 pep chromosome:ASM357664v1:6:6467302:6472572:1 gene:itb06g03970 transcript:itb06g03970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEVAPNEGLK >itb06g03970.t6 pep chromosome:ASM357664v1:6:6467302:6472572:1 gene:itb06g03970 transcript:itb06g03970.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEVAPNEGLK >itb06g03970.t3 pep chromosome:ASM357664v1:6:6467302:6474302:1 gene:itb06g03970 transcript:itb06g03970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEMAQLPEGITELLHHEQLEVPLIKCHNVIILNATNVTELDKQWDCLIKLTKSQGLLELAEPFVSKCLETTLFDVEVAQPLSKLCLQFPDIYIGGYRESRHGHLMIRFEGKDLSRVEAAVEALCKSFHPGAFSNVDRA >itb06g03970.t4 pep chromosome:ASM357664v1:6:6467302:6474302:1 gene:itb06g03970 transcript:itb06g03970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLCRRVQFVDRKRDMEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEMAQLPEGITELLHHEQLEVPLIKCHNVIILNATNVTELDKQWDCLIKLTKSQGLLELAEPFVSKCLETTLFDVEVAQPLSKLCLQFPDIYIGGYRESRHGHLMIRFEGKDLSRVEAAVEALCKSFHPGAFSNVDRA >itb06g03970.t8 pep chromosome:ASM357664v1:6:6467302:6470872:1 gene:itb06g03970 transcript:itb06g03970.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEIL >itb06g03970.t7 pep chromosome:ASM357664v1:6:6467302:6472572:1 gene:itb06g03970 transcript:itb06g03970.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLCRRVQFVDRKRDMEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEVAPNEGLK >itb06g03970.t2 pep chromosome:ASM357664v1:6:6467302:6474302:1 gene:itb06g03970 transcript:itb06g03970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEMAQLPEGITELLHHEQLEVPLIKCHNVIILNATNVTELDKQWDCLIKLTKSQGLLELAEPFVSKCLETTLFDVEVAQPLSKLCLQFPDIYIGGYRESRHGHLMIRFEGKDLSRVEAAVEALCKSFHPGAFSNVDRA >itb06g03970.t1 pep chromosome:ASM357664v1:6:6467302:6474302:1 gene:itb06g03970 transcript:itb06g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIKRALALYTIEEVALSFNGGKDSTVLFHLLRAGYYLHESEKRHSNGDLGYGEIPFPIRTIYFESLSAFPEINSFTYEVAAMYNLQMDIIRLDFKSGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNELLCVNDSTNTGEKFRPAYLLQDGRLERAGRAKKYSSSASAKLHTLSNGLKSENLHRKSMLTASIIAVGDEILFGTVEDNLGSSLCKKLHSIGWAVSHLAVTRNDVDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRRIIGGKCTGDKNEMAQLPEGITELLHHEQLEVPLIKCHNVIILNATNVTELDKQWDCLIKLTKSQGLLELAEPFVSKCLETTLFDVEVAQPLSKLCLQFPDIYIGGYRESRHGHLMIRFEGKDLSRVEAAVEALCKSFHPGAFSNVDRA >itb14g16840.t1 pep chromosome:ASM357664v1:14:20192321:20195439:-1 gene:itb14g16840 transcript:itb14g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDSSVQSDIKLWPFKVIAGPGDKPMIVVSYKGEEKEFAAEEISSMVLTKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTIKDEKIGSKLTPDDKKKIEDAIDQAISWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGGAAGGPMEEDAPAGGSGAGPKIEEVD >itb02g01920.t1 pep chromosome:ASM357664v1:2:1055991:1056290:-1 gene:itb02g01920 transcript:itb02g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMINIPASPHEELPILNTDEESGDRKTDDARVTLWTDEAVLMATISPTSTAGNTSPPELHLVSAFPRPVYNGESTTAETMAKTIATPLWEELLCAYES >itb04g15450.t1 pep chromosome:ASM357664v1:4:16665896:16671454:-1 gene:itb04g15450 transcript:itb04g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIMIGNHMSHSHIGVPPFCAAKLLSAGEGSFIKTQRQSEEMGEEQIGIAKDVTELIGKTPLVYLNNVVDGCVARIAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKPGESVLIEPTSGNTGIGLAFMAAAKRYRLIITMPASMSLERRIILRAFGAELVLTDPAKGMKGAIQKAEEIKAKTPNSYILQQFDNPANPKIHYETTGPEIWKGSNGKIDALVSGIGTGGTVTGTGKFLREQNPNIKLYGVEPAESPILNGGKPGPHKIQGIGAGFIPGVLEVNLLDEVIQISSDEAIETAKLLAVKEGLLVGISSGAAAAAAIKIAKRSENAGKLIVVVFPSFGERYLSSVLFESVRREAENMTFES >itb01g08100.t1 pep chromosome:ASM357664v1:1:6446654:6449076:-1 gene:itb01g08100 transcript:itb01g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMISVFVVDLIAFGLAVAAELKRNTAQIHINTEYTYSYCVYDSDIATGFGVGAFLFLMATQFLIMVASRCFCCGRALIPGPSRTCALLLFIISWVTFFIASGFLLVGSVRNSHHTTDRVSFLLEDIPFSCETLRKGVFAGGAAFILFTAIISEFYYVSYSEARLLEVQDNTVQLLT >itb13g01050.t1 pep chromosome:ASM357664v1:13:992352:998028:1 gene:itb13g01050 transcript:itb13g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAVTILMDTLHQNFLQPTPLFPLRNKTKVKLLYKNLSSLRTCLEQYFKVGECDEAVKALEAQMRDVSVELRFQIEHELRLFYLGKSMKLRLQSAQKLLPILSGAIKGGIETMDFNCVMEILRKHFTLPVSGMPPHIYKRVKSFCRQHQLFLYGKENEDDEQESKIDVLRYFIWCVPSQIKKKVKSCFRRERKIERRRYMLLYKAESVIRQELRASYLNKYMKQRIQATQRIRQLFIQGISLTSYIKKDMLKVKNAYHQSNNSQNNNPASLRGLEPDNIMVVDSKSTIQMVGCDDVFNTIMDNLSQQSSKREIVSIVGMGGIGKTTLARRIYEDASFLSHFDHRAWVTISQEYNPRQVFQCLLCSLDPARASHNNGDSNYELAREQVYRLLKHQRYLIVVDDIWSTNVWDDLMRCFQDDNIGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKLCKRLSPEFEKIGREIVDKCKGLPLAIIVAAGLLSNSNQTFIHEWEHIAKCVPALSLDQQGENIIDLSYTFLPHHLKLCFLSFGCFPEDSRMSESEIVYFWVPEGFLKVLRSESMEDVARKNLQDLLDRNLVLIFRDKFDKEFYQMHDVLRELALREAQKENLLCSKKGYDRSLRWKRNESIKSSHISQPWSIQSRICSYNSITPTINTTSLFESLILEGVHAHLKFLRSLRVDCWVINAHNFLAIVGLVHLRSLRIHYILGDLNIHCGLNINYLPLFLLRNLQSLEVSGYSSCEPLHIWGLSQLKIIISDRITLVPPRSVHHNLESIKYLDYRSCTKELFLRIPNLRTLNVITNHRIKCKAPNWFKSLVYLYKVEALEVLYVKHGEFSTIYSMEMTSLENFWPNLKELKLFGTKLKWKDMDVVGKLSKLEVLILYDVKGKKWKPKDGGFRRLRFLKIYWSPLQYWEATSNHFPVLEKLDLVHIKLKEIPSDFAEITTLKSITLSGCLESLISSAKRIQKEQEEYGNDTFVVHIS >itb13g01050.t2 pep chromosome:ASM357664v1:13:992352:998028:1 gene:itb13g01050 transcript:itb13g01050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGAVTILMDTLHQNFLQPTPLFPLRNKTKVKLLYKNLSSLRTCLEQYFKVGECDEAVKALEAQMRDVSVELRFQIEHELRLFYLGKSMKLRLQSAQKLLPILSGAIKGGIETMDFNCVMEILRKHFTLPVSGMPPHIYKRVKSFCRQHQLFLYGKENEDDEQESKIDVLRYFIWCVPSQIKKKVKSCFRRERKIERRRYMLLYKAESVIRQELRASYLNKYMKQRIQATQRIRQLFIQGISLTSYIKKDMLKVKNAYHQSNNSQNNNPASLRGLEPDNIMVVDSKSTIQMVGCDDVFNTIMDNLSQQSSKREIVSIVGMGGIGKTTLARRIYEDASFLSHFDHRAWVTISQEYNPRQVFQCLLCSLDPARASHNNGDSNYELAREQVYRLLKHQRYLIVVDDIWSTNVWDDLMRCFQDDNIGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKLCKRLSPEFEKIGREIVDKCKGLPLAIIVAAGLLSNSNQTFIHEWEHIAKCVPALSLDQQGENIIDLSYTFLPHHLKLCFLSFGCFPEDSRMSESEIVYFWVPEGFLKVLRSESMEDVARKNLQDLLDRNLVLIFRDKFDKEFYQMHDVLRELALREAQKENLLCSKKGYDRSLRWKRNESIKSSHISQPWSIQSRICSYNSITPTINTTSLFESLILEGVHAHLKFLRSLRVDCWVINAHNFLAIVGLVHLRSLRIHYILGDLNIHCGLNINYLPLFLLRNLQSLEVSGYSSCEPLHIWGLSQLKIIISDRITLVPPRSVHHNLESIKYLDYRSCTKELFLRIPNLRTLNVITNHRIKCKAPNWFKSLVYLYKVEALEVLYVKHGEFSTIYSMEMTSLENFWPNLKELKLFGTKLKWKDMDVVGKLSKLEVLILYDVKGKKWKPKDGGFRRLRFLKIYWSPLQYWEATSNHFPVLEKLDLVHIKLKEIPSDFAEITTLKSITLSGCLESLISSAKRIQKEQEEYGNDTFVVHIS >itb08g05960.t1 pep chromosome:ASM357664v1:8:5085582:5090186:-1 gene:itb08g05960 transcript:itb08g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIFRPIFTAFFFFFFFSVAFSEELKTLLSIKSAFQSSVTDAFDTWNADTPLCAFAGITCGSNGAVVEIELSRRNLTGSVPFDLICGLEALEKLSLGNNKLSGKVGGQLDKCVGLKLLDLGNNLFAGSIPEIPSLSKISHLYMNRSGFSGRIPWDSVANMTKLEVLSLGDNPFEETSVPEGIAKLTRLNWLYLSNCSLIGEIPAGIGNLTELTNLELAMNNLSGEIPSGISNLKKLWQLELYQNHLTGKFPVGFGNLSNLQFLDASINNLTGDLSEIRYLNKLVSLQLFYNQFFGEVPTELGEFKNLIGLSLYGNQLTGPLPLKLGSWAEFDLIDVSENSFTGPIPPEMCNQGKLRRLLVLQNNFTGEIPKSYGNCTSLQRFRVSNNSLSGVIPSGIWGLPNLEIIDIRNNGFEGSLNSGIGNAKALAQLFLGNNKLSGDLPPEISGASALVSIDLSNNQFSGEIPITIGDLKKLNTLHLSNNEFSGSIPYSLGSCISLSDINIAQNSLTGKIPASLGFLPTLTSLNVSGNKLFGQIPETLSSLKLNLIDFSNNQLTGPIPESLSIEAYNGSFTGNNGLCSENIKFFRRCSREFSKPHNLYPLLFCLVAIPMAILISIAYFLYLKKKSEKYGERSLKEDSWKMKSFHLLSFTEDEILDGIKKENLIGKGGSGSVYRVVLRTGKELAVKHIMNSGETKLGSTTPMLGKRGTKSKEFEAEVQTLSSIRHVNLVKLYCSITSEDSSLLVYEYLPNGSLWERLHGCRKAEFGWSTRYEIAVGGARGLEYLHHCCDRPVIHRDVKSSNILLDQHFKPRIADFGLAKIVRPELDKDSSHVIAGTHGYIAPEYGYTHKVNEKSDVYSFGVVLMELVSGKRPIEPEYGDNNDIVRWVGNKLKNKESVLSIIDPSIQAPHIEDAVKVLKIANMCTSRLPNLRPSMRNVVKMLEDAEPCRVLGIIVSKEGDDEKFESCTP >itb07g14150.t1 pep chromosome:ASM357664v1:7:16621043:16624586:1 gene:itb07g14150 transcript:itb07g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAISSFSLSKLIISAKNLDLSNNCLTQNAIFEFHGSSKSPNFVALNYSLRNNVNIFRFKCTGASDFIATPPALLEDVDDDGGEGGGKGRFPSGGGGGGGGGGGEENDDGGDEDEFGPIMKFEDVAREAEKRGVNLPDDMLEAAKTMGLRRLVLTRYLDLQGSAWPLGFLMRYCSMLRDRMLADPSFMFKVGTEVVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGIVVDIALVGMLAPYVRIGKKSVSSGLFGRVQHACGSLPSSVFEAERPGCRFSVQQRVATYFYKGVLYGSVGFVCGLIGQGIANMIMNAKRSIKKSEEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERVVETSPLAKRLPPVAMGFTVGIRFANNIYGGMQFVDWAKLSGVQ >itb04g21490.t1 pep chromosome:ASM357664v1:4:26590131:26590682:1 gene:itb04g21490 transcript:itb04g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFMWVFYAMPFVHPHSVLVMTINSLGIILELSYLAVFFYYNNAKTRGKMAGLLVVELIALAGIVAGTLMGAHTIDKRTTIVGSLSVVFGIILYGSPLSIMRTVIKSKSAEYLPGWLIASGFSNGIIWAAYACIRFDIFVFVSNGVGALLSLVQIILKIIYRNAPKPADTKPTSQVKIQNVV >itb05g22190.t1 pep chromosome:ASM357664v1:5:27681590:27682559:-1 gene:itb05g22190 transcript:itb05g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRREALKLDTEYVDFRLPLAPCGGEGRAPAHQKPAAGDNDFPCLPFIMHSKPYPFPPRTILTLVHQVNGPNNMPGLAAVK >itb12g06570.t2 pep chromosome:ASM357664v1:12:4893679:4900638:-1 gene:itb12g06570 transcript:itb12g06570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLSLSRWFGTILRRKSVQPDNSDDTYDENPNFISTECYACTQVGVPVFHSTSCDNAHQPEWEASAGSSLIPIKNRTGSVTVRSTQDFHRSGPFGRVLDPRSKRVQRWNRAFLLARGMALAIDPLFFYALSIGRSGTPCLYMDGGLAAIVTILRTCLDAVHICHLWLQFRLAYVSRESLVVGCGKLVWDARAVASHYVRSLKGFWFDAFVILPIPQAVFWLVVPKLIREEQVKLIMTILLLIFLFQFLPRIYHSIYLMRRMQKVTGYIFGTIWWGFCLNLIAYFIASHVAGGCWYVLAIQRVASCLRQRCHYQKSTCNLRLSCSEEVCYQLLLPNGAEENPCVGNSTAAALMRKPLCLDVNGPFRFGIYKWALPVVSSRSVAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSSLRQRVRYFERQRWAAMGGEDEMELIKDLPEGLRRDIKRFLCLDLIKKVPLFHSLDDLILDNICDRIKPLVFSKDEKIIREGDPVQRVVFIVRGRVKSSQNLSKGVVATSILEPGGFLGDELLSWCLRRPFIDRLPASSATFTCIDSTEAFGLDANHLRFITDHFRYKFANERLKRTARYYSANWRTWAAVNVQLAWRRYVVRTRGSRPIAVPENGDDGDRRLRQYAAMFLSIRPHDHLE >itb12g06570.t1 pep chromosome:ASM357664v1:12:4893677:4900734:-1 gene:itb12g06570 transcript:itb12g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLSLSRWFGTILRRKSVQPDNSDDTYDENPNFISTECYACTQVGVPVFHSTSCDNAHQPEWEASAGSSLIPIKNRTGSVTVRSTQDFHRSGPFGRVLDPRSKRVQRWNRAFLLARGMALAIDPLFFYALSIGRSGTPCLYMDGGLAAIVTILRTCLDAVHICHLWLQFRLAYVSRESLVVGCGKLVWDARAVASHYVRSLKGFWFDAFVILPIPQAVFWLVVPKLIREEQVKLIMTILLLIFLFQFLPRIYHSIYLMRRMQKVTGYIFGTIWWGFCLNLIAYFIASHVAGGCWYVLAIQRVASCLRQRCHYQKSTCNLRLSCSEEVCYQLLLPNGAEENPCVGNSTAAALMRKPLCLDVNGPFRFGIYKWALPVVSSRSVAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSSLRQRVRYFERQRWAAMGGEDEMELIKDLPEGLRRDIKRFLCLDLIKKVPLFHSLDDLILDNICDRIKPLVFSKDEKIIREGDPVQRVVFIVRGRVKSSQNLSKGVVATSILEPGGFLGDELLSWCLRRPFIDRLPASSATFTCIDSTEAFGLDANHLRFITDHFRYKFANERLKRTARYYSANWRTWAAVNVQLAWRRYVVRTRGSRPIAVPENGDDGDRRLRQYAAMFLSIRPHDHLE >itb05g17440.t1 pep chromosome:ASM357664v1:5:24388997:24390777:-1 gene:itb05g17440 transcript:itb05g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEESCEVLDLDVTIGSSSGSGNKQSWARVCDICRSAACSVYCRADLAYLCGGCDARVHAANTVAGRHERVLVCEACESAPATVICKADAASLCAACDSDIHSANPLARRHHRVPILPISGTLYGPPTSNPCRESSMMVGLTGDAAEDNGFLTQDAEETTMDEDEDEAASWLLLNPNPNPNPNPNPVKSNNSTNMCKGGNNNNNNEMSCAVEVADAYLDLAEFSSCHDNLFDDKYNSTNQQQNYSVPQRNMSYGGDSIVPNHGKNQFHYTQALQHNHHRNFQLQGMEYENFNTGYGYPASISHSVSFLHHIQLSHFR >itb05g17440.t2 pep chromosome:ASM357664v1:5:24389007:24390693:-1 gene:itb05g17440 transcript:itb05g17440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEESCEVLDLDVTIGSSSGSGNKQSWARVCDICRSAACSVYCRADLAYLCGGCDARVHAANTVAGRHERVLVCEACESAPATVICKADAASLCAACDSDIHSANPLARRHHRVPILPISGTLYGPPTSNPCRESSMMVGLTGDAAEDNGFLTQDAEETTMDEDEDEAASWLLLNPNPNPNPNPNPVKSNNSTNMCKGGNNNNNNEMSCAVEVADAYLDLAEFSSCHDNLFDDKYNSTNQQQNYSVPQRNMSYGGDSIVPNHGKNQFHYTQALQHNHHRNFQLQGMEYENFNTGYGYPASISHSVSISSMDVGVVPESTLSDVSISHSRPPKGTIDLFSGPPIQMPQQLQLTQMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVDTEVDQIFYAPLMAESGYGIVPSF >itb11g08160.t1 pep chromosome:ASM357664v1:11:5254198:5255436:1 gene:itb11g08160 transcript:itb11g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKCRCGSEDSRAVTSSVSVGTTSVARQRVTAAQPPPTVTARRVYGHRQACHRRPAPTFSFTACHRRPAPTYGHRQPPTLLRPPTASPRSVAAAESPTSTLSRCTPTTTLLSFSLQSLPR >itb13g06040.t1 pep chromosome:ASM357664v1:13:7133762:7134905:-1 gene:itb13g06040 transcript:itb13g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISSSEWIQSHNLYISMHKQRSKRSKVQVQGLITRRRKRREEKSDGEKDITVMILQNLKLYMENMSILQENERLRKKASLLHTENLTLMYELSSNRYFLASSSTTTQLCNNHLS >itb09g24600.t1 pep chromosome:ASM357664v1:9:24396431:24401725:-1 gene:itb09g24600 transcript:itb09g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGWSLLTQNAWDMWALSCGSSIGVIGSDPDPLVVPLNLNAAAHQGGGVVKRSKSGGGGGGEARCQVEGCKVGLENAKGYHRKHKVCEMHAKAPKVLLLGHQQRFCQQCSRFHAVSEFDETKRSCRRRLAGHNERRRKNSQHSSTTTKSPCHDYKVMMEARRYEDNKGAQSLLSTKNDFLVSAAADLPAARCNAAFYDLIGESRAAILGSRHTILQPTHSIGDFGMILEEAKPMSRRDGEERDYLSAYCKNLDF >itb08g07380.t1 pep chromosome:ASM357664v1:8:6314025:6319969:1 gene:itb08g07380 transcript:itb08g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENPPPPPPLPPPHPHPHPSNPLVLVLPPPSPSHNPGPLLPHSRSHQLYRNLLNHELQLRKEKCKALYHAAINGDWKTAEGILLDRPNYARDSITTRKDTVLHVAALGGNTLFVRKLVEMLMSSEDLEVQNDYGCTPFYNAVVSGVVENAKCMIEKNDSLPRIRSYGEITPIHQAALQRHKDMVSYLHTVTPLEYLERHERIELLKITIYNHMHVHNGIEEECLANTQAGELLDIIWEEHHKLPDYEFREVITREYEILHYAAKEGNVEFLDMILESKPDLFCERNKSGQTILHVAVLYRQQNVVSYICNKQGYKDIMTLLLDDNGNNVLHLAAKAGNTLLSQDAADDHLLIDEEMEAFAVPENKKHKEEKIMPESALRLSTAALQYEREISWFMGVEKIVPSSYHYMRNMEGKTPKQLFLKEHMELKINAEKSIRGTADSCMIVATLIATVAFSAAFTAPGGNDDKTGIPKFIKRTSFTVFTISDAVAMIFSMLSILTFLSILIWRNRDDNFHVALQRLFLGFAAMGVSICGMLFAFTSAFFLVYDWAWQPILIASFAAVPIASFLFLNTRLWIDAIGSMLLKLLRFSKQQIKKP >itb03g18740.t1 pep chromosome:ASM357664v1:3:16964678:16965875:-1 gene:itb03g18740 transcript:itb03g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHVMGQPKFVIEASEAESMAQSVGLPGVTHLLPALVKPAQALARPPISNFHVAAVGLASDGRVFIGVNVEFPGLPLSHSVHAEQFLVTNLLAHGGPRLVSLAVSAAPCGHCRQFLQELRYSSSLQILISQSGDDVIPQPGDVTFKPLSQFLPSHFGPFDLLDHESPLLLEPHDNGLSLSEIVGDSNFAYNSILLKISALEAANESHAPYSHCPSGVALMDCEGKVYKGSYTESVAHNPSLGPVQAALIAFIAGGGGGFERIVAAALVEKEDPPVRQEDTANLLLKVISPKCEFKVYHCPSDANLCKKAST >itb10g19790.t4 pep chromosome:ASM357664v1:10:25492073:25495649:-1 gene:itb10g19790 transcript:itb10g19790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MITRVAICGCEFLYDVLFCRLRLKVKASSLRMGKGSKSGVGKSASYQLFKDRAKNRVDDLQGMFTDLQSLRKESRTSEVAVLEEQLNQVLREWKAELNQPSPASSLQGGSLGFNTSDLCRLVQLGEEEDDATSALAAPKSEPDAQKVSAAAGYQEGLNVAQVLQEQNLQLVDQSKNAPLVVNNVGISNIGPAQLGDYQSYDFHQDFEQQYFPGFDCVNLCLEDVLPAIHINPPPSAFLGPKCALWDCPRPAMGSDWCQKSQDYCSDYHASLAPNEGYIGQPPIVRPMGIGLKDNLLFQAISAKAQGKEVGVPECEGAATTKSPWNAPELFDLKVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPMKNLEWHLYEYEINNYDKCALYRLELKLVDGKKSPKGKVNNPSVADLQKQMGRLNAEFPPDTKRTVKGRAKANAKDNTGSIPSAPNPAVPNSEGLCYTTALPPYDYVINDLSGYYIT >itb10g19790.t1 pep chromosome:ASM357664v1:10:25492070:25495670:-1 gene:itb10g19790 transcript:itb10g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKSGVGKSASYQLFKDRAKNRVDDLQGMFTDLQSLRKESRTSEVAVLEEQLNQVLREWKAELNQPSPASSLQGGSLGFNTSDLCRLVQLGEEEDDATSALAAPKSEPDAQKVSAAAGYQEGLNVAQVLQEQNLQLVDQSKNAPLVVNNVGISNIGPAQLGDYQSYDFHQDFEQQYFPGFDCVNLCLEDVLPAIHINPPPSAFLGPKCALWDCPRPAMGSDWCQKSQDYCSDYHASLAPNEGYIGQPPIVRPMGIGLKDNLLFQAISAKAQGKEVGVPECEGAATTKSPWNAPELFDLKVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPMKNLEWHLYEYEINNYDKCALYRLELKLVDGKKSPKGKVNNPSVADLQKQMGRLNAEFPPDTKRTVKGRAKANAKDNTGSIPSAPNPAVPNSEGLCYTTALPPYDYVINDLSGYYIT >itb10g19790.t3 pep chromosome:ASM357664v1:10:25492070:25495372:-1 gene:itb10g19790 transcript:itb10g19790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKSGVGKSASYQLFKDRAKNRVDDLQGMFTDLQSLRKESRTSEVAVLEEQLNQVLREWKAELNQPSPASSLQGGSLGFNTSDLCRLVQLGEEEDDATSALAAPKSEPDAQKVSAAAGYQEGLNVAQVLQEQNLQLVDQSKNAPLVVNNVGISNIGPAQLGDYQSYDFHQDFEQQYFPGFDCVNLCLEDVLPAIHINPPPSAFLGPKCALWDCPRPAMGSDWCQKSQDYCSDYHASLAPNEGYIGQPPIVRPMGIGLKDNLLFQAISAKAQGKEVGVPECEGAATTKSPWNAPELFDLKVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPMKNLEWHLYEYEINNYDKCALYRLELKLVDGKKSPKGKVNNPSVADLQKQMGRLNAEFPPDTKRTVKGRAKANAKDNTGSIPSAPNPAVPNSEGLCYTTALPPYDYVINDLSGYYIT >itb10g19790.t2 pep chromosome:ASM357664v1:10:25492070:25495670:-1 gene:itb10g19790 transcript:itb10g19790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRTQSHLIDTKLLSSPLLNFLSLLLSNPLSSAFLRSSAPPLLTRLRLKVKASSLRMGKGSKSGVGKSASYQLFKDRAKNRVDDLQGMFTDLQSLRKESRTSEVAVLEEQLNQVLREWKAELNQPSPASSLQGGSLGFNTSDLCRLVQLGEEEDDATSALAAPKSEPDAQKVSAAAGYQEGLNVAQVLQEQNLQLVDQSKNAPLVVNNVGISNIGPAQLGDYQSYDFHQDFEQQYFPGFDCVNLCLEDVLPAIHINPPPSAFLGPKCALWDCPRPAMGSDWCQKSQDYCSDYHASLAPNEGYIGQPPIVRPMGIGLKDNLLFQAISAKAQGKEVGVPECEGAATTKSPWNAPELFDLKVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPMKNLEWHLYEYEINNYDKCALYRLELKLVDGKKSPKGKVNNPSVADLQKQMGRLNAEFPPDTKRTVKGRAKANAKDNTGSIPSAPNPAVPNSEGLCYTTALPPYDYVINDLSGYYIT >itb11g12630.t1 pep chromosome:ASM357664v1:11:9603067:9603663:1 gene:itb11g12630 transcript:itb11g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNELYKECLRNHAASLGSYATDGCGEFTPDGAALHCAACGCHRNFHRKVVAYGRGAAAVEDHDMMGTPTPPPPEMTESPERSGKKRFRTKFTAEQKEKMLAFAEKLGWKLQRKDEEDEIERFCRGVGVSRKVFKVWMHNHKNNNSSTPSASTGNVSSLTQ >itb03g30440.t1 pep chromosome:ASM357664v1:3:32781296:32784511:1 gene:itb03g30440 transcript:itb03g30440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MGEVENPQITRRATRLSSCSSSGIPNPKNVELELKPLTINDLAFGNESINFDELMSSLPGRRAQIRELLRILGPLNSPISPIFMYGGASVGKTSTILQIFKYLKRPFVYCSCITCYSPRILFESVLNQLLLHRRTESNGYSSAKRCDKPSDFVNLLQEALVKVVEDLKMNAEKSGSKKSAQRTSGRMVYLIFDNLEHIREWNKSEILPFLFKLYDVLKMPEVGLIFVSATSPDTYYSDTGCVEPVHVYFPDYTEDDLHQIFMRRSQGNSKLYSSFLEAVLRPFCRVTRRVDELSTAFSSLFQIYCEGLDDLGAVPDEHMKNRLYCHLKPHIGPAMNEVFKVLSRPSPEVATNKIKHKVVPTKDGFGESSNEVDFHMSDCAKYLLISAFIASRNPATLDASLFDSTGGCSSRKRKRKSSQKSMEQKEIAEQELVMKGPGTFPLERLLAIFQCIASVSEYSTDEEAEAGGNNNNNGLMCDVLLQLSSLCNANFISKGGSCPLEGSLRYRSMVSEDLALKVARTLKFPLSKYLYR >itb03g30440.t2 pep chromosome:ASM357664v1:3:32781297:32784511:1 gene:itb03g30440 transcript:itb03g30440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MGEVENPQITRRATRLSSCSSSGIPNPKNVELELKPLTINDLAFGNESINFDELMSSLPGRRAQIRELLRILGPLNSPISPIFMYGGASVGKTSTILQIFKYLKRPFVYCSCITCYSPRILFESVLNQLLLHRRTESNGYSSAKRCDKPSDFVNLLQEALVKVVEDLKMNAEKSGSKKSAQRTSGRMVYLIFDNLEHIREWNKSEILPFLFKLYDVLKMPEVGLIFVSATSPDTYYSDTGCVEPVHVYFPDYTEDDLHQIFMRRSQGNSKLYSSFLEAVLRPFCRVTRRVDELSTAFSSLFQIYCEGLDDLGAVPDEHMKNRLYCHLKPHIGPAMNEVFKVLSRPSPEVATNKIKHKVVPTKDGFGESSNEVDFHMSDCAKYLLISAFIASRNPATLDASLFDSTGGCSSRKRKRKFLSKAKPYNLLESIYLHGSKIVSAPIFSCRFIES >itb06g16990.t1 pep chromosome:ASM357664v1:6:20959071:20960914:-1 gene:itb06g16990 transcript:itb06g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIQPLPPAVSASSPSSSSSSAASSSGSPANSNRRAKKLGLTLPLPQRDPILAVPLPLPPSSAPSSAAAPMSSSSSSSDLPAPLNFSELERINRIGSGSGGTVYKVLHRPSGKLYALKVIYGHHDDSVRLQMCREIEILRDVDHPNVVKCHDMSDHNGEIQVLLEYMDKGSLEGTHIPHEPALADLTRQILSGLCYLHRRKIVHRDIKPSNLLINSQRKVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNQGQYNGYAGDIWSLGVSILEFYLGRFPFNGGRQGDWATLMCAICMSEPPEAPPTASREFRNFIACCLQRDPPKRWTVAQLMSHPFIVQYCSGSRNLGGIANQLPQTHQLLPPPRPHFSSS >itb07g08930.t1 pep chromosome:ASM357664v1:7:7576647:7581915:-1 gene:itb07g08930 transcript:itb07g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSTNTSPVLHPAAEIDFAFDLPKAKAVSVSPRSGRRHLQHSCVVSSKYSPRCCSRVGLDEQYDRLCLPLSIAVQTKMIELRPRVSSGSLFLESLFAWCVRSMGSTFAMRLKMTYVAMIAKLSFLKTLSQVICGRNLPEDARRTLSEINARPHAASQQFASSHSSSHVAVFCPSPWNRRNIRT >itb06g20040.t2 pep chromosome:ASM357664v1:6:23152166:23155171:-1 gene:itb06g20040 transcript:itb06g20040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIKLPVDTVGGLCNSIFTSTSNRASDSFIRRRNSSVKMCSSHSGAPFQSLEESPPTKSQKNAIPIMVNGCTGKMGRAVLEAAISAGLQPVPVAFSGLGNSGKIVQVGGKEITLHGPSDRESTLSSIFEEHPDLVVVDYTVPASINDNAELYCKVGVPFVMGTTGGDRKALYKTVSDSNNYAVISPQMGKQVVAFLAAMEIMAEKFPGAFSGYKLQVVESHQVSKLDISGTAKAVISCFQRLGISFDLDQVEQIRDPEKQVKMVGVPKEHLSGHAFHSYHLTSPDGTVSFEFQHNVCGRSVYAEGTVDAVIFLANKVVTLPSWFEFV >itb06g20040.t1 pep chromosome:ASM357664v1:6:23151484:23155171:-1 gene:itb06g20040 transcript:itb06g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIKLPVDTVGGLCNSIFTSTSNRASDSFIRRRNSSVKMCSSHSGAPFQSLEESPPTKSQKNAIPIMVNGCTGKMGRAVLEAAISAGLQPVPVAFSGLGNSGKIVQVGGKEITLHGPSDRESTLSSIFEEHPDLVVVDYTVPASINDNAELYCKVGVPFVMGTTGGDRKALYKTVSDSNNYAVISPQMGKQVVAFLAAMEIMAEKFPGAFSGYKLQVVESHQVSKLDISGTAKAVISCFQRLGISFDLDQVEQIRDPEKQVKMVGVPKEHLSGHAFHSYHLTSPDGTVSFEFQHNVCGRSVYAEGTVDAVIFLANKVKSKANQRIYDMIDVLQEGNMR >itb01g08660.t1 pep chromosome:ASM357664v1:1:6951821:6952159:1 gene:itb01g08660 transcript:itb01g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSKRRAGRGRQRIRLSRIESDTNRNVTFSKRPNGLIKKANEISTLCGVEILWMIFAPSGKPYTFSNPSMDAILTKYFGANPTAQPNSAKQNFHPHREAVKQILSSQIT >itb04g28440.t1 pep chromosome:ASM357664v1:4:32184136:32187328:-1 gene:itb04g28440 transcript:itb04g28440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKAMEEDDVYTKDGTLDYRNRPANKKKTGTWKACPFILGNECSERLAYYGMSSNLMIYFQTRLNQSTTRASKNLSNWAGTCYIMPLVGAFLADAYLGRYWTIASFSIIYVMGMTLMTLSATVPGISPTCIAHDKCTATGTQTAVCFVALYLVALGTGGIKPCVSSYGADQFDDSDESERKHKSSFFNWFYFSINVGALVAGSLIVWIQENVSWGWGFGVPAVAMAIAVTFFFSGTRLYRYQKPGGSPFTRLFQVVVASLRKRKVKVPADKSLLHETAGRESNIQGSRKLSHTNELRFFDKAAVVVESSDDHKKSSVSPWRLCTVTQVEELKSIIRLLPIWATGIIFSTVYGQMGTQFVSQAEGMNTYVGTFKIPEASLSVFDTISVILWVPIYDKIIVPTARKFTGHKTGLTHLQRIGLGLFISISAMVSAAVLEMVRLSMAKRHNLYDIKEVPISVFWQIPQYFIIGCAEVFTFIGQLELFYDQAPDSMRSLCTALSLTTAAIGNYLSSFLVTIVTDISTRNGKPGWLASNLNRGHLDYFFWLLAALSVINLGVFVVVAKMYTYKKAINKDSDLVDAENGNSDRNL >itb06g22540.t1 pep chromosome:ASM357664v1:6:24773523:24776674:-1 gene:itb06g22540 transcript:itb06g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKNPNPMYVEEGGEILKNFDDDGREKRTGTLVTASAHIITAVIGSGVLSLSWAIAQLGWAAGPAVLAAFSLITFFTSALLADCYRSPGPVSGTRNYTYMDVVRAHLGGVKVQLCGLAQYANLVGISIGYTITASISMVAVKKSNCFHKNGHDADCSVPSYPFMIIFAAIQLFLSQIPNFHELSWLSIVAAVMSFAYSSIGIGLSIAKVAGHGPEAKTTLTGVVAGVDVTGADKVWRSFQAIGNIAFAYAYSTVLIEIQDTLRSHPPENKVMKKASAIGVSTTTLFYVMCGCIGYAAFGNDAPGNFLTGFGFYEPFWLIDIANICIAVHLVGAYQVFCQPLFSFVELHCKERYPNSKFITAETAVQLPLVGEYYINGFRLVWRTAFVIVTAVVAMILPFFNDFLGLIGAASFYPLTVYFPIEMHIAQAKIRKYSFRWTWLKILSWSCLVVSLVAAAGSIQGLVSSVKKFKPFH >itb12g19940.t1 pep chromosome:ASM357664v1:12:22393406:22396177:1 gene:itb12g19940 transcript:itb12g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLEEHDYIGLSESPSMETSEKSCVSSVESEGKGKDLNLKATELRLGLPGCESPERDNGSPPVGVLRGLVSGAKRGFSDTINGGSGKWVFPGSGGSEADMPKGAALFSPRGVNGGSKILCPENNTTQQSDVASTVLKESALPSPTPRPTQDQKPQVSSAPSHGVAPAAKAQVVGWPPIRSYRKNSMAANPPKTKEDSNGKSGSSCLYVKVSMDGAPYLRKVDLKNYNSYKDLSVALEKMFSCFTIGQYETQGISVHDGLSESRLMDILHGSEYVLTFEDKDGDWMLVGDLPWEMFVDTCKRLRITKSSDAIGLAPRAMEKCKNP >itb12g02830.t1 pep chromosome:ASM357664v1:12:1858578:1859495:-1 gene:itb12g02830 transcript:itb12g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSASSNFRLQSPPPRATAFAADNSPSPPSPSVVKFRQPRSSSVCAVATAAYAEEETEAAAFSSSPPLHPEIESPSSATSFYEILGIPVGATSEDIKAAYRRLARVCHPDVAGSRRKDASGDEFMKIHAAYSTLSDPGKRADYDRQLFLRRRSVNLYSSPTMSSRFAGNSRRNWETDQCW >itb03g07460.t1 pep chromosome:ASM357664v1:3:5513885:5517583:1 gene:itb03g07460 transcript:itb03g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWANPIIVIRPTAAVVPRLRFRNPSSSFVALRLRLRAGFPRKVPRRSVHWISRSRRSVGVEDEDYGVLPTGFELEQEHLINGSSQINIVGFSQLEPTLNNMSKWVVVAVFGAILLWRHDAESLWAAMGSAINSGLSIILKRMLNQKRPSTTLRSDPGMPSSHAQSIFFTATFSILSMVQCFGLNGRTAALSWLVFALASYFSWLRISQKLHSISQVLVGAVLGFCFSALWFWLWGAVFMKTFISSLLVRIIVLLGAAGFCVAFIIYFIRY >itb03g07460.t2 pep chromosome:ASM357664v1:3:5513885:5517583:1 gene:itb03g07460 transcript:itb03g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWANPIIVIRPTAAVVPRLRFRNPSSSFVALRLRLRAGFPRKVPRRSVHWISRSRRSVGVEDEDYGVLPTGFELEQEHLINGSSQINIVGFSQLEPTLNNMSKWVVVAVFGAILLWRHDAESLWAAMGSAINSGLSIILKRMLNQKRPSTTLRSDPGMPSSHAQSIFFTATFSILSMVQCFGLNGRTAALSWLVFALASYFSWLRISQKLHSISQVLVGAVLGFCFSALWFWLWGAVFMKTFISSLLVRIIVLLGAAGFCVAFIIYFIRHIEQARQLMSSGISTF >itb03g07460.t3 pep chromosome:ASM357664v1:3:5513885:5517583:1 gene:itb03g07460 transcript:itb03g07460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWANPIIVIRPTAAVVPRLRFRNPSSSFVALRLRLRAGFPRKVPRRSVHWISRSRRSVGVEDEDYGVLPTGFELEQEHLINGSSQINIVGFSQLEPTLNNMSKWVVVAVFGAILLWRHDAESLWAAMGSAINSGLSIILKRMLNQKRPSTTLRSDPGMPSSHAQSIFFTATFSILSMVQCFGLNGRTAALSWLVFALASYFVSPHHQLGLLQYIIPDKNQYEATLISIFAVMVKNFAETSLNQPSTCGCCSGLLLFCSLVLVMGCRFYEDIHIIPVGQDHCASWCCRILCCLYHIFY >itb03g16450.t1 pep chromosome:ASM357664v1:3:15409717:15412907:1 gene:itb03g16450 transcript:itb03g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSQAILLLQKQLKDLCKNPVDGFSAGLVDENNLFEWSVTIIGPPDTLYEGGFFNAIMSFPQNYPNSPPTVRFTSEIWHPNVYSDGKVCISILHPPGDDPNGYELASERWSPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRENRDEFRKKVSRCVRRSQEMM >itb11g09900.t1 pep chromosome:ASM357664v1:11:6748093:6754106:-1 gene:itb11g09900 transcript:itb11g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCKDGKSVLDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILSNIESRQIKVWFQNRRCREKQRKEASRFQSVNRKLTAMNKLLMEENARLQKQVSQLVYENGHFRRQTPSTGIGTKDTSCESVVTSGQLQLTPQHPPRDASPEGLLCIAKETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVVEILKDRPSWFRDCRTVDVLNVIPTANGGTIELLYMQLYAPTTLAPARDFWLLRYASVLDDGSLAVCERSLGNIQNGPSVPPVPNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMNLEAWSVPEVLRPLYESSAMLAHKTTMAALCHLRQIAQEVSQPNLTNSGRRPAALRALRQRLSRGFNEAVNGFTDEGWSLLGNDGIDDVTVLVNSSPYKLMGLDLTFGNGFTSISNSVLCAKASMLLQNVPPAMLLRFLREHRSEWAENNIDAYSAAAIKVGPCILPEARIFNFGNQVILPLAHAVEHEELLEVIKFEGNGHSPDDAIMPRDMFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDAGKEASSPSRTLDLTSALETGTAENKAPNSLSTNNSATRSVMTIAFQFAYESHMQEAVVSMARQYVRSVISSVQRVALALSPSHLGSHAGLRLPLGTPEAHTLAQWICQSYRCYLGVELLKYSGEGSESILKALWSHSDAVVCCSAKASPFLAFANQAGLDMLETTLAALQDISLEKIFDDHGRKTLCSEFPRIMQQGFSCLQGGICMSSMSRPISYEKAVAWKVLNEEENVHCICFMFMNWSFL >itb11g09900.t2 pep chromosome:ASM357664v1:11:6748093:6754106:-1 gene:itb11g09900 transcript:itb11g09900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCKDGKSVLDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILSNIESRQIKVWFQNRRCREKQRKEASRFQSVNRKLTAMNKLLMEENARLQKQVSQLVYENGHFRRQTPSTGIGTKDTSCESVVTSGQLQLTPQHPPRDASPEGLLCIAKETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVVEILKDRPSWFRDCRTVDVLNVIPTANGGTIELLYMQLYAPTTLAPARDFWLLRYASVLDDGSLAVCERSLGNIQNGPSVPPVPNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMNLEAWSVPEVLRPLYESSAMLAHKTTMAALCHLRQIAQEVSQPNLTNSGRRPAALRALRQRLSRGFNEAVNGFTDEGWSLLGNDGIDDVTVLVNSSPYKLMGLDLTFGNGFTSISNSVLCAKASMLLQNVPPAMLLRFLREHRSEWAENNIDAYSAAAIKVGPCILPEARIFNFGNQVILPLAHAVEHEELLEVIKFEGNGHSPDDAIMPRDMFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDAGKEASSPSRTLDLTSALETGTAENKAPNSLSTNNSATRSVMTIAFQFAYESHMQEAVVSMARQYVRSVISSVQRVALALSPSHLGSHAGLRLPLGTPEAHTLAQWICQSYRCTTHYTTRRCACDSTKYL >itb11g09900.t3 pep chromosome:ASM357664v1:11:6748119:6754080:-1 gene:itb11g09900 transcript:itb11g09900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCKDGKSVLDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILSNIESRQIKVWFQNRRCREKQRKEASRFQSVNRKLTAMNKLLMEENARLQKQVSQLVYENGHFRRQTPSTGIGTKDTSCESVVTSGQLQLTPQHPPRDASPEGLLCIAKETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVVEILKDRPSWFRDCRTVDVLNVIPTANGGTIELLYMQLYAPTTLAPARDFWLLRYASVLDDGSLAVCERSLGNIQNGPSVPPVPNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMNLEAWSVPEVLRPLYESSAMLAHKTTMAALCHLRQIAQEVSQPNLTNSGRRPAALRALRQRLSRGFNEAVNGFTDEGWSLLGNDGIDDVTVLVNSSPYKLMGLDLTFGNGFTSISNSVLCAKASMLLQNVPPAMLLRFLREHRSEWAENNIDAYSAAAIKVGPCILPEARIFNFGNQVILPLAHAVEHEELLEVIKFEGNGHSPDDAIMPRDMFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDAGKEASSPSRTLDLTSALETGTAENKAPNSLSTNNSATRSVMTIAFQFAYESHMQEAVVSMARQYVRSVISSVQRVALALSPSHLGSHAGLRLPLGTPEAHTLAQWICQSYRCTTHYTTRRCACDSTKYL >itb11g09900.t4 pep chromosome:ASM357664v1:11:6748119:6753898:-1 gene:itb11g09900 transcript:itb11g09900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCKDGKSVLDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILSNIESRQIKVWFQNRRCREKQRKEASRFQSVNRKLTAMNKLLMEENARLQKQVSQLVYENGHFRRQTPSTGIGTKDTSCESVVTSGQLQLTPQHPPRDASPEGLLCIAKETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVVEILKDRPSWFRDCRTVDVLNVIPTANGGTIELLYMQLYAPTTLAPARDFWLLRYASVLDDGSLAVCERSLGNIQNGPSVPPVPNFVRAEMLPSGYLIRPCDGGGSIIHIVDHMNLEAWSVPEVLRPLYESSAMLAHKTTMAALCHLRQIAQEVSQPNLTNSGRRPAALRALRQRLSRGFNEAVNGFTDEGWSLLGNDGIDDVTVLVNSSPYKLMGLDLTFGNGFTSISNSVLCAKASMLLQNVPPAMLLRFLREHRSEWAENNIDAYSAAAIKVGPCILPEARIFNFGNQVILPLAHAVEHEELLEVIKFEGNGHSPDDAIMPRDMFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDAGKEASSPSRTLDLTSALETGTAENKAPNSLSTNNSATRSVMTIAFQFAYESHMQEAVVSMARQYVRSVISSVQRVALALSPSHLGSHAGLRLPLGTPEAHTLAQWICQSYRCYLGVELLKYSGEGSESILKALWSHSDAVVCCSAKVPPFDSQIANSIGFMEFGSLTSSLYYLHTQNLFYLQKS >itb02g25710.t1 pep chromosome:ASM357664v1:2:26494587:26496125:1 gene:itb02g25710 transcript:itb02g25710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGYNPATWVLEITSEKEVALGVSFSEMYASSELCKRNKALVETLSTPCPGSRDLSFPSEYSQTLSAQFLACLQKQRWSYCHNTSYTALRALFTIFIALLFGTMFWGLGARRNKVQDLFNAMGSMFAAVFFVGTLNAFTVEQVAVVERTVFYRERAAGMYAATPFAFAMIVIEVPYILVQAVVYSVIVYSMIGFEWTGNKFLWYLFIMFLTFLYSTFLGIMSVAITPNLDIATLFATATFGIWNLFSGFLIPRPNAPVWWRWYFLLSPVGWTLYALMVSQFGDVDDVLETFNTVEGFLRIFLGYKREFLGESAAILSAFVLLFALCFALCINLFNFQKR >itb12g10610.t2 pep chromosome:ASM357664v1:12:8742837:8745445:1 gene:itb12g10610 transcript:itb12g10610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEENTYTTGIYIWNGWCRKNSSCFAQQPIREKIQKGANLYQTLLNNWKKNKVELVESMVQALEAAQTCAST >itb12g10610.t1 pep chromosome:ASM357664v1:12:8742837:8745445:1 gene:itb12g10610 transcript:itb12g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEENTYTTGIYIWNGWCRKNSSCFAQQPIREKIQKGANLYQTLLNNWKKNKVELVESMVQALEAAQTCAST >itb03g13900.t1 pep chromosome:ASM357664v1:3:13851091:13853242:-1 gene:itb03g13900 transcript:itb03g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKVAAFLGMMMSSAVMAAQYDYGDALSKSILFFEGQRSGKLPSSQRMTWRKDSALQDGADMGVDLVGGYYDAGDNVKYNFPMAYSTTMLAWSVLEYRKGMGSDLQAAMDAIRWSTDYLLKATAKPNLVFVQVGQAQADHNCWERPEDMDTPRTAFAVTDKSPGSEVSAEIAAALAAASMVFKKSDTPYSTLLLQRASQDELLWAAAWLLKATGQPLYWNYVKGNIINFRPQIERAISEFGWDSKHAGINVLISKFVLKNINNFIPYIPYADKFVCNVLPESPLRSVSYTPGGLLYKSSICNIQSTTSISFLILTYARYLKKSKRIVDCGNGVTLTPTRLMQFAKTQVNYILGSNPANMSYMVGYGERFPQRIHHRGSSLPSVDQHPQRIECKEGTPYFQTGNPNPNLLIGAVVGGPDMNDKFYDDRVDAAHSEPTTYVNAPLVGLLAHFNKHPRM >itb10g06420.t1 pep chromosome:ASM357664v1:10:7156675:7168157:-1 gene:itb10g06420 transcript:itb10g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 22 [Source:Projected from Arabidopsis thaliana (AT2G34780) UniProtKB/TrEMBL;Acc:F4IIV5] MAEEDAIVKEELSNSCCIAWKEKYAKLKERYSKLEDGRNALRKGLSIFEQQITKIQSENLTLKKAYESEKVRADNESEEKAKESALRASLESEVGSLRSNILSLQQNPGPASQDLEREMAQHQEVLSEKDAEVSRLKELLENERTRADSEKKKAEAERKKADDLRKKLKSEKNKADEERRLVDIERKKAEENRLRLETAMKDADEVKLKLASETSKLAEMKKKLETEVQNRMEDRKSADSSISKAVEQTKLANTNRKIAMDEKRRADDLSKQLDQHRQRIEILKKEIVELKSSTKLVDRLPDKEREVEEKLAQLEMKKLEDKKKVEAYKKKAAEEKCRADQLSCELKNNKQRLEELQKEIHVLISSRSFAESPLHSHDRSFKYQTAKMKFLKKQLKLEKMVVKHSKEATELEKARNCLLQEELLCLKQEFSQFSKRLNLLDKYFVCRGEGIHDLEKVNLKNQQFGVEPYKMHSLLKDGLARVAGGGETDSFRENMEGSASLLAISGGNGTRNVSGINSKLEPLLKGFNKKVLQSSAINSSWTSFSDRPLVGSQERPTSGTTSAKFAEENSNLPAAILRLSCDSKEKCNENLATITDTSAKSPISGFATERRGLHQKKRKRSIESLHSEKLPLLHTVLESQTDKSANVKRCLLPDVQGNICNLNARSYKKRKASFEEQNNCHLNVANRENANLKMLGIEGSDIHTKSTSSVQHLSETAHGWKDRSDALGDFELVIGNNYMKLLDLDNNVDEESYRLAVEMPLSPTLPEIECQSSNALVVRKSDILSDKTSLREFSHMKENLAPLEHFDVLDVEIDSNTAKLDTLGASNNSSLQEISDHAADTSGLNGNGPDHSQITHANISNSSGESLGLPDTSACANERLKISGGSRDIPSSHSIPKCYVVFSDNDKDEGISRVYSAANNFIAQCPMVSSSNLFVRNILVFISNAQDLSAKEKASVFLSLVLHYVSEMAPRDIRNGWDRDSVLFIASFAKHIYAELSDENTGIIFFKSCNLYELLGLLEDFILHKKVLVFDDVCSGSKPSNNSRIIFFVNGNSVSLFSQAASVNLLVVGGIFLASLCAAVDHIGFICEASCNILRMSRSDTSFALTILHIFAYLCGPECFSIKEYSFVMAVVRSLVMLLEKLNPLEPNSCFPSLPEGLSNIWSDGKCPFSEGTFSMDVIATMLLDNLQNCAWSSVREKDLVDTIHPSTAGEQYDTEKTMDISAHREVVILHSVTDGNVCCLVDVLALIELVACFMSWDWTVENIVCAVFKMLESCLLEHVPAAIVILLAQLGRFGVSANGYEDIGVQNLRTWFLALLGQCDSKTVGLDIQFSIGTALLGLISLNFEEVVESSTEISEFGSQYDSANCLRKWFSLLSNEQQLSFRDLVSSSKSHQTGHIPLVQ >itb10g11270.t1 pep chromosome:ASM357664v1:10:16715353:16720964:-1 gene:itb10g11270 transcript:itb10g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLLRQWTMIRSIIAILQWWFFNVAVIIMNKWIFQKLDFKFPLTVSCIHFICSAIGAYLVIKVLKLKPVIVVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPISFMQTIKSFTPATTVILQWLVWGKHFDWRIWASLIPIVGGILLTSVTELSFNIFGFCAALVGCLATSTKTILAESLLHGYKFDSINTVFYMAPYATMILAVPALVLEGSGVIEWLNSHPVLLPSLAIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTCSWLIFRNPISALNAIGCTVTLIGCTFYGYVRQLISQQTQETPRTPRSKMETIPLVNDKSENNKDKM >itb10g08400.t1 pep chromosome:ASM357664v1:10:10421028:10423554:-1 gene:itb10g08400 transcript:itb10g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGLGSEVAFALREIVVSSVLEAMVAEALSATVRSASCLLMMMECLLKNNDGLPELRWTDERFPIAELHRKNKAEVENKDGSDTEDDDEEGDDGEADDDDDDAGDEDFSGDEGGDDDDDGDPEENPEANGNEGGSDDEDDDEDDDDGDDDEDGDEDEEEEEDEEDEDQPPAKKRK >itb05g27620.t2 pep chromosome:ASM357664v1:5:30902642:30907471:1 gene:itb05g27620 transcript:itb05g27620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLEFYDETRERKSDVENSEDERRRSGIGALKKKAINASSKLTRSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVNELRQKLLDLDLLPARHDDYHTLLRFLKARDFSIDKTIHMWEEMLNWRKEYGTDAILEDFDFHELEEVLQYYPQGYHGVDREGRPIYIERLGQAHPSKLVRLTTIERYLKYHVQEFERAIQEKFPACSIAAKKRISSTTTILDVQGLGMKNFTKTAASLLAAVTKIDNNYYPETLHQMFIVNAGSGFKKMLWPAAQKFLDAKTIGKIQVLESKSMGKLLEFIDPSQLPDFLGGSCSCPIEGGCLRSNKGPWSDPDIMKLVNNAEATLVRQVTRVRSNQQNIDSYVQLCPLKGRISDKSRIESLSDIDDPYALCEQSSSTCSRLAPVLEEARASNSTPYYSCDDGLSSSDREISGEQVLHHYQAHSSERNDMDSYAYGVPNSQGAVLIHWLDDVQEKLVNRCFSFLTRRVISSTLKLFATIRTLPFESWRRQTDVYPSSLLEEKHDCRLPSNNEAASEEDRLHPCIERLQRLEQLIEELNKKPSEMPLEKERMLHQSLDRIKSVEYDLEKTKRVLHTTAMKQLEITELLENLRESSIQRRRFLC >itb05g27620.t1 pep chromosome:ASM357664v1:5:30902642:30907471:1 gene:itb05g27620 transcript:itb05g27620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEFYDETRERKSDVENSEDERRRSGIGALKKKAINASSKLTRSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVNELRQKLLDLDLLPARHDDYHTLLRFLKARDFSIDKTIHMWEEMLNWRKEYGTDAILEDFDFHELEEVLQYYPQGYHGVDREGRPIYIERLGQAHPSKLVRLTTIERYLKYHVQEFERAIQEKFPACSIAAKKRISSTTTILDVQGLGMKNFTKTAASLLAAVTKIDNNYYPETLHQMFIVNAGSGFKKMLWPAAQKFLDAKTIGKIQVLESKSMGKLLEFIDPSQLPDFLGGSCSCPIEGGCLRSNKGPWSDPDIMKLVNNAEATLVRQVTRVRSNQQNIDSYVQLCPLKGRISDKSRIESLSDIDDPYALCEQSSSTCSRLAPVLEEARASNSTPYYSCDDGLSSSDREISGEQVLHHYQAHSSERNDMDSYAYGVPNSQGAVLIHWLDDVQEKLVNRCFSFLTRRVISSTLKLFATIRTLPFESWRRQTDVYPSSLLEEKHDCRLPSNNEAASEEDRLHPCIERLQRLEQLIEELNKKPSEMPLEKERMLHQSLDRIKSVEYDLEKTKRVLHTTAMKQLEITELLENLRESSIQRRRFLC >itb05g27620.t4 pep chromosome:ASM357664v1:5:30902676:30907471:1 gene:itb05g27620 transcript:itb05g27620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEFYDETRERKSDVENSEDERRRSGIGALKKKAINASSKLTRSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVNELRQKLLDLDLLPARHDDYHTLLRFLKARDFSIDKTIHMWEEMLNWRKEYGTDAILEDFDFHELEEVLQYYPQGYHGVDREGRPIYIERLGQAHPSKLVRLTTIERYLKYHVQEFERAIQEKFPACSIAAKKRISSTTTILDVQGLGMKNFTKTAASLLAAVTKIDNNYYPETLHQMFIVNAGSGFKKMLWPAAQKFLDAKTIGKIQVLESKSMGKLLEFIDPSQLPDFLGGSCSCPIEGGCLRSNKGPWSDPDIMKLVNNAEATLVRQVTRVRSNQQNIDSYVQLCPLKGRISDKSRIESLSDIDDPYALCEQSSSTCSRLAPVLEEARASNSTPYYSCDDGLSSSDREISGEQVLHHYQAHSSERNDMDSYAYGVPNSQGAVLIHWLDDVQEKLVNRCFSFLTRRVISSTLKLFATIRTLPFESWRRQTDVYPSSLLEEKHDCRLPSNNEAASEEDRLHPCIERLQRLEQLIEELNKKPSEMPLEKERMLHQSLDRIKSVEYDLEKTKRVLHTTAMKQLEITELLENLRESSIQRRRFLC >itb05g27620.t3 pep chromosome:ASM357664v1:5:30902642:30907471:1 gene:itb05g27620 transcript:itb05g27620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLEFYDETRERKSDVENSEDERRRSGIGALKKKAINASSKLTRSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVNELRQKLLDLDLLPARHDDYHTLLRFLKARDFSIDKTIHMWEEMLNWRKEYGTDAILEDFDFHELEEVLQYYPQGYHGVDREGRPIYIERLGQAHPSKLVRLTTIERYLKYHVQEFERAIQEKFPACSIAAKKRISSTTTILDVQGLGMKNFTKTAASLLAAVTKIDNNYYPETLHQMFIVNAGSGFKKMLWPAAQKFLDAKTIGKIQVLESKSMGKLLEFIDPSQLPDFLGGSCSCPIEGGCLRSNKGPWSDPDIMKLVNNAEATLVRQVTRVRSNQQNIDSYVQLCPLKGRISDKSRIESLSDIDDPYALCEQSSSTCSRLAPVLEEARASNSTPYYSCDDGLSSSDREISGEQVLHHYQAHSSERNDMDSYAYGVPNSQGAVLIHWLDDVQEKLVNRCFSFLTRRVISSTLKLFATIRTLPFESWRRQTDVYPSSLLEEKHDCRLPSNNEAASEEDRLHPCIERLQRLEQLIEELNKKPSEMPLEKERMLHQSLDRIKSVEYDLEKTKRVLHTTAMKQLEITELLENLRESSIQRRRFLC >itb05g27620.t6 pep chromosome:ASM357664v1:5:30902642:30907471:1 gene:itb05g27620 transcript:itb05g27620.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLEFYDETRERKSDVENSEDERRRSGIGALKKKAINASSKLTRSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVNELRQKLLDLDLLPARHDDYHTLLRFLKARDFSIDKTIHMWEEMLNWRKEYGTDAILEDFDFHELEEVLQYYPQGYHGVDREGRPIYIERLGQAHPSKLVRLTTIERYLKYHVQEFERAIQEKFPACSIAAKKRISSTTTILDVQGLGMKNFTKTAASLLAAVTKIDNNYYPETLHQMFIVNAGSGFKKMLWPAAQKFLDAKTIGKIQVLESKSMGKLLEFIDPSQLPDFLGGSCSCPIEGGCLRSNKGPWSDPDIMKLVNNAEATLVRQVTRVRSNQQNIDSYVQLCPLKGRISDKSRIESLSDIDDPYALCEQSSSTCSRLAPVLEEARASNSTPYYSCDDGLSSSDREISGEQVLHHYQAHSSERNDMDSYAYGVPNSQGICLYCRATFFEDILKGLCV >itb05g27620.t5 pep chromosome:ASM357664v1:5:30902711:30907448:1 gene:itb05g27620 transcript:itb05g27620.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEMLNWRKEYGTDAILEDFDFHELEEVLQYYPQGYHGVDREGRPIYIERLGQAHPSKLVRLTTIERYLKYHVQEFERAIQEKFPACSIAAKKRISSTTTILDVQGLGMKNFTKTAASLLAAVTKIDNNYYPETLHQMFIVNAGSGFKKMLWPAAQKFLDAKTIGKIQVLESKSMGKLLEFIDPSQLPDFLGGSCSCPIEGGCLRSNKGPWSDPDIMKLVNNAEATLVRQVTRVRSNQQNIDSYVQLCPLKGRISDKSRIESLSDIDDPYALCEQSSSTCSRLAPVLEEARASNSTPYYSCDDGLSSSDREISGEQVLHHYQAHSSERNDMDSYAYGVPNSQGAVLIHWLDDVQEKLVNRCFSFLTRRVISSTLKLFATIRTLPFESWRRQTDVYPSSLLEEKHDCRLPSNNEAASEEDRLHPCIERLQRLEQLIEELNKKPSEMPLEKERMLHQSLDRIKSVEYDLEKTKRVLHTTAMKQLEITELLENLRESSIQRRRFLC >itb14g18820.t1 pep chromosome:ASM357664v1:14:21710514:21712680:1 gene:itb14g18820 transcript:itb14g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGCLKFDLAETGSKVVKISHMDTHGGMAGKLMRAVQYDSCGGGAAGLKHVEVPVPSPTKGEVLLKLEAISLNPVDWKIQEGPLRPIFPRKLPHIPATNVAGEVVEVGPGVKNFKAGDKVVAALSLRDGGGLAEYAVAKETSTVPRPPEVSADSAAALPVAGGTAYQALTEIAGLKLDGTSTSGPRKNILVTAASGGVGHYAVQLAKLGNSHVTATCGARNIDFVRSLGADEVLDYRTPEGAALTSPSGKKYDAVVHCTTGIPWPTFERNLSENGRVIDITPGPNAFGRFALQKITFSKKQLVPLLSFPKAEYIECLVKLLKEGKVKTVIDSKHPLSKAEEAWAKSIQGHATGKIIVEP >itb12g14650.t1 pep chromosome:ASM357664v1:12:14672249:14673623:-1 gene:itb12g14650 transcript:itb12g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGYVQIPVGIAGPLLLDGEEYSVPMATTEGCLVASTNRGCKAIYASGGATSVLLRDGMTRAPVVRFSTAKRAAQLKLYLEDPLSFETIAGAFNKSSRFARLQSIKCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLLTDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEIVKKVLKTEVASLVELNMLKNLTGSAMAGALGGFNAHAANIVSAVYIATGQDPAQNIESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLNLLGVKGASKVEPGANSRRLAAIVAGAVLAGELSLMSAIAAGQLVNSHMKFNRSNKA >itb04g20990.t1 pep chromosome:ASM357664v1:4:26018441:26019475:-1 gene:itb04g20990 transcript:itb04g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRQKFFKRNGGLLLQQQLLAKEGTVEKTKIFTASELDKATDHFNADRIVGRGGQGTVYKGMLIDGQIVAVKKSQVVDENQLEPFINEVVILSQINHRNVVKLMGCCLETEVPILVYEFIPNGTLFGLIQNNFDDELIPLSWDIRLRIASDVTCALAYLHSATSVPIYHRDIKSGNILLDEKFRAKVSDFGISRSISIDKTHLTTIVKGTFDYLDPEYFQTSQFTEKSDVYSFGVVLAELLTGQKPISFELDDDEDRSLVSRFLLSMEENRLMEILDVEVIEQGKKEDVVAMAWLAQRCLNMNGKKRPTMKEVASELDTIKASHSHLPSAMEALEIESDFIA >itb01g25100.t1 pep chromosome:ASM357664v1:1:30592925:30593653:1 gene:itb01g25100 transcript:itb01g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGRLKELLKKYGKVGLGVHFSVSAASITGLYVAIKNNVDVESMLEKVGMPSLSKPSEEEELPSRNPELISDDPIRDPPKIRNRTADLVASTGGALTLAVLLNKALFPVRVPITIALTPPVARFLARRNIIKNSV >itb14g12490.t1 pep chromosome:ASM357664v1:14:14141024:14148247:-1 gene:itb14g12490 transcript:itb14g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYKHSDSSASDEEGEIRGGHRSSDEEAEREKGRSGSEHRREGEDKKRSPSSDSGASPRPSDGRRKGQSDGREVARKDEPEKEGGRSRIGREDAGRREKESSRRDKEENYRTRDRDEENYRTRDRDEENYRARDRDYERRRRSGREGDNKHGNGHRRRQDDDHDDDDDVRDREHKSQRHDSEDESEMKVERQRHRDRVEDDGGKNDRRERKHRRDRDDGNGHRRETDRRGRHREDPYEDYRDSRREERRREKERDRRVNNAEDGEKDRRYKSGEGKYDKENYNNESKQDRADDAKLNEDSKVSKHEGNVITQTPNLGRSGGVYIPPFKLARMMKEIQDKSSVEYQRMTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTRFPEVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQIVHELIALELLAVLLENPSDDSVEVAVGFVTECGSMLQDLTPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGYPAVRPELDLVEQEDQLTHEISFQDTIDPEIALDIFKPDPNFIENEKKYEELKTAILGEESEEEGGSDAESGDDDDEEEDEDEEEDEEQMKIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNDRLNDPTMQESYDSIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRLIMQQQKPVSESESSGSDASESESESGSESSSSSSEEDTRRKRRRH >itb01g34830.t1 pep chromosome:ASM357664v1:1:37319878:37322294:1 gene:itb01g34830 transcript:itb01g34830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSFSSYQLPPPPNKSQQVLDHSHENEPNQSSTLVTNGCVDYKGRIADKLTTGGWKASPFIIVNEVAERLAFFAIAVNMVSYLVLEMHQKLPDAATHVTDWIGAAYVLTLLGAFLADAYLGRFLTIIIFSSIYVVGMVMLTVSASVDSLRPPICTRRPCPPASDGQAAFLYGALALVALGTGGIKPCVSSFGADQFDEADEKEVQKKYAFFNWFFFAINMGAILGITLMVYIQEEKGWSWGFAVPTVASFCSILILAAGFTKYRFQKPMGSAFTRFLQVVVASLRNFVHGVPPGRDETQLYEVDTTESDIFGATKLPHTKQYRFLDLAAVIRDPEGTGGGESRWRLCTVTQVEEFKCFIRVLPIWASTIALSISFAQLSTFFLTQATVMDRKLGRHFTIPTGSVAVFAALNALILVPIYEKLIVPSLRRITGHRRGLTSLQRMGVGLFVSILALTSAALVEKNRRTHPNPSSLSVFRLFPQFFLVGTAEVFTYVGQLEFFYDEATDGTRSLSSALFLSEIGIGSWLSTALVKIVQRATGDGEGGWLRDNLNDSKLDYFYWILAGINAVNYVVYSIVAWKYKARDGSRGSAIVADESIVVDDRVSGRRV >itb01g15160.t1 pep chromosome:ASM357664v1:1:17478319:17484735:1 gene:itb01g15160 transcript:itb01g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVETALDGQTDFASVQTLTLDGAVKCVHGRLPPPALFERFQNLQHLSIANIGVSSLEQFPRLHNLQKLILSDNRIAAGLEFLVEARLNSLRDLDLSNNRIQDIDDLRPLAELKLKIALTSSESPPRCFAISPHRIAHHRLASLPRRLTSPPRSLASVQGHRFADSFAENGMVGWRMFSSRHSANAPLSFSVSLGSRKSQRSQSPPLSPDSHRQPPATAPQSRQPPPLSLHSLSLGSRLSPLALSTAPQPLPPTATLSHSPVTTPHQSATIPPPISRQPPQPSLSLHSVGHRR >itb07g04910.t1 pep chromosome:ASM357664v1:7:3322309:3323027:1 gene:itb07g04910 transcript:itb07g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQFQPQIYPYNNVPVHPDTSSQSSSSSSSSHSNGSFGTVFLVLAAILVVSVLACIANRFCSRRSNNSDHHDHHAPKNTMKIHGHGPRGDGKLPREWEGKVQSHEGGGDLELGKRIIGSSKVVDEPSTSSFMAHRDNNGGGKGGGARFAVHHMQFKPAIRSSSFERI >itb12g05100.t1 pep chromosome:ASM357664v1:12:3381079:3385668:1 gene:itb12g05100 transcript:itb12g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYYPLTMHFSEDYPSKPPKCKFPQAFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDTVEYKRRVRQQAKQYPPLV >itb12g05100.t2 pep chromosome:ASM357664v1:12:3381079:3384894:1 gene:itb12g05100 transcript:itb12g05100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGYYPLTMHFSEDYPSKPPKCKFPQAFFHPNVYPSGTVCLSILNEDSVCRLCFLNAN >itb14g12700.t1 pep chromosome:ASM357664v1:14:14438541:14441400:-1 gene:itb14g12700 transcript:itb14g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSQHQQGHDYQSWQSQSQSYDPSAYNPPPPPPPPHHHHQSYYYDSYYYQQYPNGYAYTPNHHPRPIHPPGAPPGDLAQENVYHPLPQQGSGDISAPTYLTSGLQQGHRWYPQLDAYGPVVGGPGLPSVPAPRPYGLPPPHPHPPAAQSSHKFGSKRGGKPLRGGGRGKPLRGKGRNQGRGGSRLLSSSNIDASVGKNTHYSRQEAGPSTNNHGKEPNSNGLLQVTASIQKNPASNQQSKVARCELCKVDCTSLEVLEQHKLGKRHQKNMKKLEPLKNFNTHLSESLDGKKVADGSNSVPTLQTEIQVEESKPGLSENLPSETVQHEAAAMGCKEQKDEVENPSAPVEAKIESEAKTHRVNQFSNQRRGSKRKMRGGHGGKRMRMSDTPRPEREPPKPKVVIPLVCDLCNVKCDTQEVLDRHLSGKKHMSKRKHFEVHQAMYGPLGLQVLYPPNPVTQTLLHSQGHQQALYVPQAPDPSAAAVSLPSQTQNAVS >itb12g04470.t1 pep chromosome:ASM357664v1:12:2919596:2926138:1 gene:itb12g04470 transcript:itb12g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPATKRTTTLTVAIKCRPLTEREHGRSIVRLHNDKEVLVLDPDLSKDYLERIRNHTKERRYSFDYAYGPNSSNLDVYKKSIQSTIVGVIQGLNATVFAYGSTGSGKTYTMVGTKDDPGLMVLSLNTIFDLINKDNSSDKFEVTCSYLEVYNEVIYDLLEKSSSHLELREDPEQGIVVAGLRCIKVKSADKILELLNLGNSRRKTDSTEANEASSRSHAVLEIKVTRKQSAKYPNQVIKGKLALVDLAGSERASETNSGGLKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMIATISPADSQYHHTVNTLKYAHRAKEIKTHIQKNVGTINSHVSDYQRMIDSLQNEVSQLRKELAEKESQLSAMPTEKYSDNELSWLETLSLETSENVQERINLQKALFEIEETNTHNRTELQHLDDAIAKQQTIESRGAVVQALRSRRQVILDNIRDNDELGVKYQKEIEANENRRLELQAMVEEAIGNNGNKTYLRILSQYRLLGMSNTELQFEIAMRDQVIYNQRAAQTKLWNLLLSLGLDEKQILDLVAKQGITIEDRVMTPQLKLSGRTQPQNMQGGRYTPISSLSTSRHFTNLPGGLPHDQYFCARPFIIENQKLPQTYCREDRHSSYQYSHDYSLPAYTGPSRGGYWTSSMPSSQYGIPDKHIQDFCSSHMQTRSQFPP >itb12g04470.t2 pep chromosome:ASM357664v1:12:2919596:2926138:1 gene:itb12g04470 transcript:itb12g04470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPATKRTTTLTVAIKCRPLTEREHGRSIVRLHNDKEVLVLDPDLSKDYLERIRNHTKERRYSFDYAYGPNSSNLDVYKKSIQSTIVGVIQGLNATVFAYGSTGSGKTYTMVGTKDDPGLMVLSLNTIFDLINKDNSSDKFEVTCSYLEVYNEVIYDLLEKSSSHLELREDPEQGIVVAGLRCIKVKSADKILELLNLGNSRRKTDSTEANEASSRSHAVLEIKVTRKQSAKYPNQVIKGKLALVDLAGSERASETNSGGLKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMIATISPADSQYHHTVNTLKYAHRAKEIKTHIQKNVGTINSHVSDYQRMIDSLQNEVSQLRKELAEKESQLSAMPTEKYSDNELSWLETLSLETSENVQERINLQKALFEIEETNTHNRTELQHLDDAIAKQQTIESRGAVVQALRSRRQVILDNIRDNDELGVKYQKEIEANENRRLELQAMVEEAIGNNGNKTYLRILSQYRLLGMSNTELQFEIAMRDQVIYNQRAAQTKLWNLLLSLGLDEKQILDLVAKQGITIEDRVMTPQLKLSAYTGPSRGGYWTSSMPSSQYGIPDKHIQDFCSSHMQTRSQFPP >itb12g04470.t3 pep chromosome:ASM357664v1:12:2919596:2926138:1 gene:itb12g04470 transcript:itb12g04470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPATKRTTTLTVAIKCRPLTEREHGRSIVRLHNDKEVLVLDPDLSKDYLERIRNHTKERRYSFDYAYGPNSSNLDVYKKSIQSTIVGVIQGLNATVFAYGSTGSGKTYTMVGTKDDPGLMVLSLNTIFDLINKDNSSDKFEVTCSYLEVYNEVIYDLLEKSSSHLELREDPEQGIVVAGLRCIKVKSADKILELLNLGNSRRKTDSTEANEASSRSHAVLEIKVTRKQSAKYPNQVIKGKLALVDLAGSERASETNSGGLKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMIATISPADSQYHHTVNTLKYAHRAKEIKTHIQKNVGTINSHVSDYQRMIDSLQNEVSQLRKELAEKESQLSAMPTEKYSDNELSWLETLSLETSENVQERINLQKALFEIEETNTHNRTELQHLDDAIAKQQTIESRGAVVQALRSRRQVILDNIRDNDELGVKYQKEIEANENRRLELQAMVEEAIGNNGNKTYLRILSQYRLLGMSNTELQFEIAMRDQVIYNQRAAQTKLWNLLLSLGLDEKQILDLVAKQGITIEDRVMTPQLKLSGPSRGGYWTSSMPSSQYGIPDKHIQDFCSSHMQTRSQFPP >itb08g06540.t2 pep chromosome:ASM357664v1:8:5547790:5554116:1 gene:itb08g06540 transcript:itb08g06540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAFGAKKLHMLGIYMQRSWIVLFLCCFLLLPLYVFATPILKALGQPDDVAEQSGMVSLWFIPLHFSFAFQFPVQRFLQSQLKTAVLAWVSLAVLIIHTAMSWLLVYVLELGIVGAAVALDISWWLLFLGMFGYTVCGGCPETWSGFSMEAFSGLWEFFSLSCSSGVMLCLENWYYRILVLMTGYFDDNATLAVDALSICMNINGWEMMIPLAFFAATGVRVANELGAGRGKAAKFATFVSVTQSTIIGLLFCVLIMMFDDKFAMIFTSSADVLLMVKKIAYLLAFTILLNSVQPVLSGVAVGSGWQAKVAYINLGCYYLVGVPLGVVTGYVFKTGVEGIWAGMIFGGTAVQTIILIIMTIRCDWEKEAEKATQRVKKWSSPASESHT >itb08g06540.t1 pep chromosome:ASM357664v1:8:5547790:5554116:1 gene:itb08g06540 transcript:itb08g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKGEIRRPLLLSETASNTFSDNVNGRGDYDGDEEGYGNLNARIWIETKKLWHIVGPSIFSRVASFTMNVVTQAFAGHLGEVELAAISISNTVIVGLNFGLLLGMASALETLCGQAFGAKKLHMLGIYMQRSWIVLFLCCFLLLPLYVFATPILKALGQPDDVAEQSGMVSLWFIPLHFSFAFQFPVQRFLQSQLKTAVLAWVSLAVLIIHTAMSWLLVYVLELGIVGAAVALDISWWLLFLGMFGYTVCGGCPETWSGFSMEAFSGLWEFFSLSCSSGVMLCLENWYYRILVLMTGYFDDNATLAVDALSICMNINGWEMMIPLAFFAATGVRVANELGAGRGKAAKFATFVSVTQSTIIGLLFCVLIMMFDDKFAMIFTSSADVLLMVKKIAYLLAFTILLNSVQPVLSGVAVGSGWQAKVAYINLGCYYLVGVPLGVVTGYVFKTGVEGIWAGMIFGGTAVQTIILIIMTIRCDWEKEAEKATQRVKKWSSPASESHT >itb07g06970.t1 pep chromosome:ASM357664v1:7:5267629:5268505:-1 gene:itb07g06970 transcript:itb07g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGKVVGQVEISFHGDVYYEIYRERPHDVPSMCSAIHSIEGQGGTVGSTITLKFTHDGKTKMAEDVIEAIDDEKKLVKFRVVKGDILEAYKSFSLTCEVHSNDDDHFVTWTLEYEXNL >itb10g10350.t1 pep chromosome:ASM357664v1:10:14943061:14943423:-1 gene:itb10g10350 transcript:itb10g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSLSGTWVAIVLVALTPSTMSPPSPPTRHYSPFRFLYVKAEAKRRQRPTNARDLKSSSLSLSLSLSLRNVVTTTCYLYSSPLSTCSSPLSFIASEFASPGKPSTIILSSFFDLLKNG >itb10g14520.t1 pep chromosome:ASM357664v1:10:20890591:20893025:1 gene:itb10g14520 transcript:itb10g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAISHRFFPLCTQILSSLRISKPQIMDPHGTIVFSTVGRSHYGFDIFSVQLPSSLEQDRPASEHRLTDGVSINFNGHFLDEGDTFVFVSERSGSPRIYLQENRSAAAGKPQQLLSPSEALFHDRPIVRDERLIYISAHEPPKGVFKSWSALYSTHLGLNKITRLTPYGAVDFSPSVSQSGEFIAVASYGSRPWAGEFRDLQTDIVVFRESDPASRTLLCQHGGWPTWSGDSTIYFHRQADDGWWSIFRIDLPENFTFSGDSANPCEISPIRVTPPGVHCFTPAAMHNCSRIATATRRRGNNYRHIEIFDVESQKFYPVTELLNPNTHHYNPFLSPGSTVLSYHRFRGESLQEDIIPHLDPVRSPVNALRMLRLNGSFPSFSPSGDFIAFNPGFDSDSRLKIIKSDGSRGWTLIKDRTAFGNAWSPTENGVIFTSIGPIFESVKATVQIARLTFDPSKLTDYDDHSDEIPVEIKVLTKEATGNNAFPSCSPDGKHIVFRSGRSGHKNLYIIDSLNGELDGGIRQLTDGAWIDTMPSWSPDGKLIAFSSNRHNPGNAECFSIYVIRPDGTGLRRIHVAGAEGSEEVNRERLNHVCFSSDSEWLLFTANLGGVTAEPVSLPNQFQPYGDLYMMRLDGTGLQRLTWNGYENGTPAWHPKAELDLARLSLGKSGEKLKGKFDEPLWINPDF >itb12g22690.t2 pep chromosome:ASM357664v1:12:24692582:24694227:1 gene:itb12g22690 transcript:itb12g22690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNERFVRWLKDAKPYFGVLFLQLSYAASSLISKSALNQGMNHYIFVVYRNIIAAVVFAPFAMLFERKIRPRMTINIFLKIMLLALLEPVIDQNLYYAGMQYTTATFATAMCNVLPAITFLLAWILRLENVKIRSFHSQGKIVGTILTIGGAMIMTLVRGHVIGLPWTTKHAPQTQSTDAATEHDHLKGAVMIAAGCFCWSCFYILQAITLKSYPAGLSLTCLICMAGALQSTVLTLVVERDNYAIWALHWDTTFLAYVYNVMNNFF >itb12g22690.t1 pep chromosome:ASM357664v1:12:24692582:24695211:1 gene:itb12g22690 transcript:itb12g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNERFVRWLKDAKPYFGVLFLQLSYAASSLISKSALNQGMNHYIFVVYRNIIAAVVFAPFAMLFERKIRPRMTINIFLKIMLLALLEPVIDQNLYYAGMQYTTATFATAMCNVLPAITFLLAWILRLENVKIRSFHSQGKIVGTILTIGGAMIMTLVRGHVIGLPWTTKHAPQTQSTDAATEHDHLKGAVMIAAGCFCWSCFYILQAITLKSYPAGLSLTCLICMAGALQSTVLTLVVERDNYAIWALHWDTTFLAYVYNGIIRSGVVYYISGIIMSEKGPFFVTAFNPLSMIMVAIVGSFMLAEQLDFGKVFGAVVIIVGLYLVIWGKSKDVSSSKSSEDDNIALSNNKESILAKPSNEERTDDTIKIRTLDDAV >itb12g07710.t4 pep chromosome:ASM357664v1:12:5914726:5919111:1 gene:itb12g07710 transcript:itb12g07710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFNKLPRKPSRSVENRDGGGSTFSPSPRSSDLPSPRSGNSNAASSIGVNSNSSPGLNHGSRLPQAVNAKENGSSGVSPYEALPSFRDVPNSEKQSLFIKKLNLCSVPFDFTDPTKNLKEKDIKRQTLVELVDYVSSANEKFTENAMQEIIKMVSINLFRVLTPQPRENKILEAFDLEDDEPLMDPAWSHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVVKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFQQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPVIYPALEKNSRTHWNPAVQSLTLNVCKLFSDIDPEFFEECMTKFQEGEAQEQQKKLQREATWKRLEEIAAMKAASNEPVLVSRKLTPRASSG >itb12g07710.t1 pep chromosome:ASM357664v1:12:5914638:5919111:1 gene:itb12g07710 transcript:itb12g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFNKLPRKPSRSVENRDGGGSTFSPSPRSSDLPSPRSGNSNAASSIGVNSNSSPGLNHGSRLPQAVNAKENGSSGVSPYEALPSFRDVPNSEKQSLFIKKLNLCSVPFDFTDPTKNLKEKDIKRQTLVELVDYVSSANEKFTENAMQEIIKMVSINLFRVLTPQPRENKILEAFDLEDDEPLMDPAWSHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVVKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFQQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPVIYPALEKNSRTHWNPAVQSLTLNVCKLFSDIDPEFFEECMTKFQEGEAQEQQKKLQREATWKRLEEIAAMKAASNEPVLVSRKLTPRASSG >itb12g07710.t6 pep chromosome:ASM357664v1:12:5914638:5919089:1 gene:itb12g07710 transcript:itb12g07710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFNKLPRKPSRSVENRDGGGSTFSPSPRSSDLPSPRSGNSNAASSIGVNSNSSPGLNHGSRLPQAVNAKENGSSGVSPYEALPSFRDVPNSEKQSLFIKKLNLCSVPFDFTDPTKNLKEKDIKRQTLVELVDYVSSANEKFTENAMQEIIKMVSINLFRVLTPQPRENKILEAFDLEDDEPLMDPAWSHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVVKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFQQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPVIYPALEKNSRTHWNPAVQSLTLNVCKLFSDIDPEFFEECMTKFQEGEAQEQQKKLQREATWKRLEEIAAMKAASNEPVLVSRKLTPRASSG >itb12g07710.t3 pep chromosome:ASM357664v1:12:5914726:5919041:1 gene:itb12g07710 transcript:itb12g07710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFNKLPRKPSRSVENRDGGGSTFSPSPRSSDLPSPRSGNSNAASSIGVNSNSSPGLNHGSRLPQAVNAKENGSSGVSPYEALPSFRDVPNSEKQSLFIKKLNLCSVPFDFTDPTKNLKEKDIKRQTLVELVDYVSSANEKFTENAMQEIIKMVSINLFRVLTPQPRENKILEAFDLEDDEPLMDPAWSHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVVKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFQQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPVIYPALEKNSRTHWNPAVQSLTLNVCKLFSDIDPEFFEECMTKFQEGEAQEQQKKLQREATWKRLEEIAAMKAASNEPVLVSRKLTPRASSG >itb12g07710.t2 pep chromosome:ASM357664v1:12:5914638:5919111:1 gene:itb12g07710 transcript:itb12g07710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFNKLPRKPSRSVENRDGGGSTFSPSPRSSDLPSPRSGNSNAASSIGVNSNSSPGLNHGSRLPQAVNAKENGSSGVSPYEALPSFRDVPNSEKQSLFIKKLNLCSVPFDFTDPTKNLKEKDIKRQTLVELVDYVSSANEKFTENAMQEIIKMVSINLFRVLTPQPRENKILEAFDLEDDEPLMDPAWSHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVVKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFQQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPVIYPALEKNSRTHWNPAVQSLTLNVCKLFSDIDPEFFEECMTKFQEGEAQEQQKKLQREATWKRLEEIAAMKAASNEPVLVSRKLTPRASSG >itb12g07710.t5 pep chromosome:ASM357664v1:12:5914731:5919111:1 gene:itb12g07710 transcript:itb12g07710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFNKLPRKPSRSVENRDGGGSTFSPSPRSSDLPSPRSGNSNAASSIGVNSNSSPGLNHGSRLPQAVNAKENGSSGVSPYEALPSFRDVPNSEKQSLFIKKLNLCSVPFDFTDPTKNLKEKDIKRQTLVELVDYVSSANEKFTENAMQEIIKMVSINLFRVLTPQPRENKILEAFDLEDDEPLMDPAWSHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVVKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFQQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPVIYPALEKNSRTHWNPAVQSLTLNVCKLFSDIDPEFFEECMTKFQEGEAQEQQKKLQREATWKRLEEIAAMKAASNEPVLVSRKLTPRASSG >itb14g19660.t1 pep chromosome:ASM357664v1:14:22269702:22274659:1 gene:itb14g19660 transcript:itb14g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRCNYTALIIFLVLPPCFFASPSTTEAEALLKWKSSLFMSSSYLNSWSLSNLRNLCNWRGIVCNGDGAAVSEINLPNADLSGTLHHLNFTSFPTLTRFNIGGNYFNGSIPPAIADLSNLVFLDLSNNTFEGSIPPQIGNLTELQYLTLYNNSFSGVIPYQIGNLQKVWFLDFGSNLFLESPDWSKVKSLPMLTHLGFYGNAAEQSGFPSFILGSPNLTYLDFFLNNLYDPFLELLLTSLGKTKKIEYLDLSGNVFGGPLSPNITKLSNLKYLRLSSNFFDGEIPSFICQLKNLQVLDISLNFLNSTIPSELSRCTNLTYLDLFGNSLSGPLPSSLSSLTNLRSLNLAGNFIDGSIPSEIGLLTNLEYLRLDLNLLLSASSLHCDCPCNVSL >itb04g23670.t1 pep chromosome:ASM357664v1:4:28639899:28642503:1 gene:itb04g23670 transcript:itb04g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNFSQENQEEQTQMAAVSTLRLPMASISLSLSTILPSHLLNTDKISTLFGSHPSRVKIPTQISSLSHLSLSASLTPPKSLLKSTVSANPVQSPVPLNPLRPSDPSNAAGLRRASIVWFRNDLRVHDNEGLNAANNESMSVLPVYCFDPSDYGKSYNGFDKTGPYRATFLIESVSDLKKNLQARGSDLVVRVGKPETVLVELAKQIGAEAVYCHRDISHDEIKAEDKVEKAMKDEGIEMKFFWGSTLFHIDDLPFKLEDMPTNYSSFKEKVHGLKVRNTIEALNQLRGLPARGNVEPGEIPSLADLGLNPSSTVGQSGKPSANASLVGGEAEALQRIRTFASECQVQPNRGNKDGSDSIYGANFSCKISPWLGMGCISPRAMFEELKKSMSSTISASSKDPSGDTGVNWLMYELLWRDFFRFITKKYSDVKHNSAAPVTSCTVGVAV >itb12g08370.t1 pep chromosome:ASM357664v1:12:6574687:6577589:-1 gene:itb12g08370 transcript:itb12g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEKSQNETPSSSLDAENHNHHHDQDNQEPEAARNEEQCVEHTENPPSVEADSEAKPDEDENEGRTASDDHQETTSGGGDHQEGEENQAGDGEEEQGATTKNEDGEEGEGEGEEEENSPEPELPPDLSKVSEEVDQYISDNDTLLESRGGGGGEGDDESSPKSSPHPDGVPIFVEQFALLLEDMLQGYSSGGETAVKWRELEEEDAAALLEAVNRICRLYRSVSQFYSPEHQKYAKSINRIGGVLHRAMCFLEEEFRSSLDDYKFPDPAPPPADPDPAPAINDETQENKGEEEEGGEGNKKEEEDSGNDESQQPPEDPEETTPLPPPVFPGYPEETVSKLNRLAKAMISGGYETECCQVYFVARRNALEETLRGKLGYEGHSIDDVQKMSWEIVEREISTWIAAFNHCATVLFSCERKLSDAVFNDCPQLSTSSEAIFNNLSGGLVIQFLIFPEAVAMTKRSAEKLFKFLDLYETMRDHLPTAYDNFPPDYVNELKAEAALTRGRLGEGMVGIFSELENSIQSDSSKTPVPGGAVHPLSRYTMNYLKLAGDYKETLEQVFREHQKIERADSATGSDFDYNSQPVESYKPPTAPKQSPFELQIRKVMEILELNLESKSKIYNNLSLCSIFMMNNGRYILQKVRGSPPINQLMGDQWLRKQSQELRQYHKTYQRETWGRLLQCLSIEGLLTSHGKVNKPVLKERFKSFNLMFDEIHRTQSTWVISDEQLQSELRISISSMVIPAYRSFLARYSQIFTPGRQTEKYIKFQEDDIETYIDELFDGKK >itb13g16670.t1 pep chromosome:ASM357664v1:13:23636791:23638331:1 gene:itb13g16670 transcript:itb13g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHHHFMIISLPAQGHINPTLQLAKNLARAGARVTFATTTVGLSRMRNRPTVDRLFFESFTDGNDGGGDTSSKTTTPDDYMAKFKQAGPGNLARLLDTCSSQGHPVTFLVYTIMLPWAADVAHAVHVPSAFLVIQCTAALAIYHHFFNANNGVHKDGVIDINDPSFSLKLPGLPLLSHKEIPSFLIPSDHLNSLMTGTLRDHMEVLEKDPNPLVLINTFEALEEKQLKIFPNMNIIPIGPLVPSAFSDGNDATDTSFGCDMFGKSKDYLSWLDSKAERSVVYVSFGSLAEISREQKEEVLEALMESSRPFLWVIRSWESESEEAKAMKDKGVEERGLVVPWCTQTEVLFHKSIGCFLTHCGWNSTLESLVASMPIVACPHFSDQFTNAKLVEEVWGTGLWARANEKKVVEREEIKRCLEIVMGGGERGEEIRKNANKWASLAVEAMKEGGSSNRNLKKFLEDI >itb02g18470.t2 pep chromosome:ASM357664v1:2:14848234:14855699:1 gene:itb02g18470 transcript:itb02g18470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESEKRFHAVMDKLFQTPPKSKLPATSSSEGQLSRGKKRAYSSYALVVSDPKSTFKAAERLRNLSDLSGETQASPCRPWDRVDYFRRLASFKSMWWFAKPQVVSAVNCARRGWINVDMDTIACEACGVRLIFSCPPSWSQQQVDKAALVFSLKLDSGHKLLCPWVDNACDEKLAAFPPMPTAVLVDEYKSRCSALVQLVALPVISSSIVNCLGSTRLEEFLKTSSAVESGRSTETSREDDLGNQPKSTSSLTYYQAQKLISLCGWEPRALPYVVDSKDQLNQSAKDNKHGYLSQFSGQNHGITILSSNENSGANDDIQTSDGAIYDPNSVALECQICGARVGLWAFSTVQRPLEFLRLSGYMDINSENAADNRMGGALGNLILSNDNRDNSKEGTANTALAVSTILNERPNLNITIAGGPLPASQNYRAKISLPVVGQNIRARFSTDSEISDGLTTEDSLLVGKGQNISLDEQNNAEQEISDTSKSDPITEKQSEDTQMVAQVSSEVGKLPDHADDVEIVDPVVADHSTSQTGDDLGSIREGGDTSHQGKTTEHNGLEVGTYNSKQAIKQGDSKDDGVQSTVQNRDVVPTIAKTLQEVPLDKGTEFDPIRLHKHFCPWIASSSGSAPGWQQTLSALECHKEFSHPLTGDAPSSSLIKVDDPVASVKRLFTPTPSKRKKLIQSS >itb02g18470.t1 pep chromosome:ASM357664v1:2:14848234:14855699:1 gene:itb02g18470 transcript:itb02g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESEKRFHAVMDKLFQTPPKSKLPATSSSEGQLSRGKKRAYSSYALVVSDPKSTFKAAERLRNLSDLSGETQASPCRPWDRVDYFRRLASFKSMWWFAKPQVVSAVNCARRGWINVDMDTIACEACGVRLIFSCPPSWSQQQVDKAALVFSLKLDSGHKLLCPWVDNACDEKLAAFPPMPTAVLVDEYKSRCSALVQLVALPVISSSIVNCLGSTRLEEFLKTSSAVESGRSTETSREDDLGNQPKSTSSLTYYQAQKLISLCGWEPRALPYVVDSKDQLNQSAKDNKHGYLSQFSGQNHGITILSSNENSGANDDIQTSDGAIYDPNSVALECQICGARVGLWAFSTVQRPLEFLRLSGYMDINSENAADNRMGGALGNLILSNDNRDNSKEGTANTALAVSTILNERPNLNITIAGGPLPASQNYRAKISLPVVGQNIRARFSTDSEISDGLTTEDSLLVGKGQNISLDEQNNAEQEISDTSKSDPITEKQSEDTQMVAQVSSEVGKLPDHADDVEIVDPVVADHSTSQTGDDLGSIREGGDTSHQGKTTEHNGLEVGTYNSKQAIKQGDSKDDGVQSTVQNRDVVPTIAKTLQEVPLDKGTEFDPIRLHKHFCPWIASSSGSAPGWQQTLSALECHKEFSHPLTGDAPSSSLIKVDDPVASVKRLFTPTPSKRKKLIQSS >itb03g03400.t1 pep chromosome:ASM357664v1:3:1978000:1979409:-1 gene:itb03g03400 transcript:itb03g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRIVHFANLPIKLLMPSSFENITEIALKTIPSASKIEIKRVLESLYGFEVEKVQTLNMDGKKKKRGGLLIAKPDYKKAYVTLKNPLSISPEFYPIRIIEQIKQETSKQSKSSIVEDGEPKKAHWLDDRKDGKSRPHGRYGRGHGVNRTRNAGSGVDAATAKFPWSSMKSFAGR >itb12g26420.t1 pep chromosome:ASM357664v1:12:27344718:27345194:-1 gene:itb12g26420 transcript:itb12g26420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRGSETTPYYYNEHGTSRRQNPLPLPYSGLLSNEDEGGEDMAKHLCRLFTSMIAQPKLSSGTSEYSASRLICSSAQRLQVHKENPLEHAPPVSEEKDKVRSGDETMSDPVSQNEEGGEEEAGDFVNKQTGEIGGPKGPEPTRYGDWEQRGRCSDF >itb01g35680.t1 pep chromosome:ASM357664v1:1:37760704:37762712:-1 gene:itb01g35680 transcript:itb01g35680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYYLGLSGVTTGKGKVNLAESNVRPIEVFMCSIVRKMGYGEGFRWMSQYIK >itb01g20590.t1 pep chromosome:ASM357664v1:1:26848122:26849914:-1 gene:itb01g20590 transcript:itb01g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGDDKVVLLDTDVSMFAMRPKMALALKGIHYQSKAEDLTNKSSLLLEMNPVHNKVPVLIHNGKPFCESLIILEYIDEVWKDKFPLLPSDPYTRAQARFWADFIDKKIYECLKAWLFKTKDANGIKEELVENLKVLEGELGEEAYYGGERIGFLDLALLSYYTWLLTFEKDTEFSVEAEIPKLSEWGKRCLQNESVSTSLADPLKIYEFTLQRRERIGVA >itb03g01070.t1 pep chromosome:ASM357664v1:3:556517:557851:-1 gene:itb03g01070 transcript:itb03g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28340) UniProtKB/TrEMBL;Acc:W8QNM3] MLAYQSTKQMLRVVLLVFLLLVSGEAIRLSGEKPKNIIEFNSRYSEASDYRNGEQCVPRLMSKAGKYDLCNPAIVHVAMTLDSEYLRGSVAAINSILRHASCPENIFFHFVTSSSSGSSPVQLTRIVRSAFPSLNFKAYPFDEGQVKALISSSVRKALDNPLNYARSYLAEIIEQCVDRVVYLDSDVIVVDDIQKLWSVSLTGSRIIAAPEYCHVDIGKYFTGEFWSDSGLSGDFQGRKACYFNTGVMVIDLGKWRKGDYTKKIENWMEIQREKRIYHLGSLPPFLLVFGGEVEGIDHRWNQHGLGGDNVVNSCRKLHPGHVSLLHWSGKGKPWVRLDEGRPCPVDHLWKPYDLYTSPNFSTQ >itb09g27310.t1 pep chromosome:ASM357664v1:9:27658331:27658935:-1 gene:itb09g27310 transcript:itb09g27310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLRRSSSRTNRESEVPKGHFAVYVGETEKKRFVIPVSYLKDPSFQDLLCQAEEEFGFDHPTGGLTIPCMEDTFVDIISNLRS >itb09g18120.t1 pep chromosome:ASM357664v1:9:13555754:13559203:-1 gene:itb09g18120 transcript:itb09g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSYLYALALLGNLLATPVLCLAHQKKHVALFAFGDSLFDPGNNNYINTTTLLQANFWPYGESFFKDPTGRFSDGRIVPDFIAEFAKLPLIPGYFQAEQGGFINGVNFASGGAGSLAETYSGLVIDLKKQVQYFKNVAKQLKGKLGNKDSNKLLTSAVYMICIGSNDYIGPFITNSTILNAYTHKEYVDMVIGNMTNAIKEIYMEGGRKIVIYSVAPLGCIPFSRALKLQQTNRTGCVKELHVLTEMHNKALPRKLINLEKTLQGFKCSYFDFFTVVNNAIDHPSRYGLKEAKTACCGSGPYRGLPSCGGERRQFKEYELCKDVGDYLFFDFIHPTERFNQLTATLLWNGDSNLVWPYSVKSFFEHV >itb11g14590.t1 pep chromosome:ASM357664v1:11:11775869:11782285:1 gene:itb11g14590 transcript:itb11g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEEVQSTTKKQRIATHTHIKGLGLEPTGKAIPLAAGFVGQASAREAAGLIVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFNTEYDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPAEMIQILAIRAQVEDLGIDEESLAFLGEIGQQASLRHAVQLLSPASIVAKMNGRDKICKADLEEVNSLYLDAKSSARLLQEQQDRYIS >itb01g30770.t2 pep chromosome:ASM357664v1:1:34656204:34658792:-1 gene:itb01g30770 transcript:itb01g30770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGALRAIALYSRGGFCDIGRPIVVGNNTIGNQGCFRTMREVGLDGGSRLYDSESDRESCVLRPVSVSSDKKDTSSNVQSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVSAFLNSLPVGSLILDAGCGNGKYLGLNPNCLFIGCDISAPLINICADRGHEVLVADAVNLPYRTCYGDAAISIAVLHHLSTENRRRKAVEELVRIVKKGGFVLITVWAREQEDGSLVNKWTPLTEKYLEEWVAPSSPRIRNPSSPRTLASIPETEENGSGVKSFLNNKSAEISHSEVHDRGGHLDSGIENAEQQEYFVPWHLPYHRAEVSGASACALASGLAKKDDKKGAVVYNRYYHVFSEGELERLVSGLDNAVIVDRFYDKSNWCIILEKTS >itb01g30770.t3 pep chromosome:ASM357664v1:1:34656286:34658766:-1 gene:itb01g30770 transcript:itb01g30770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREVGLDGGSRLYDSESDRESCVLRPVSVSSDKKDTSSNVQSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVSAFLNSLPVGSLILDAGCGNGKYLGLNPNCLFIGCDISAPLINICADRGHEVLVADAVNLPYRTCYGDAAISIAVLHHLSTENRRRKAVEELVRIVKKGGFVLITVWAREQEDGSLVNKWTPLTEKYLEEWVAPSSPRIRNPSSPRTLASIPETEENGSGVKSFLNNKSAEISHSEVHDRGGHLDSGIENAEQQEYFVPWHLPYHRAEVSGASACALASGLAKKDDKKGAVVYNRYYHVFSEGELERLVSGLDNAVIVDRFYDKSNWCIILEKTS >itb01g30770.t1 pep chromosome:ASM357664v1:1:34656204:34658792:-1 gene:itb01g30770 transcript:itb01g30770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGALRAIALYSRGGFCDIGRPIVVGNNTIGNQGCFRTMREVGLDGGSRLYDSESDRESCVLRPVSVSSDKKDTSSNVQSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVSAFLNSLPVGSLILDAGCGNGKYLGLNPNCLFIGCDISAPLINICADRGHEVLVADAVNLPYRTCYGDAAISIAVLHHLSTENRRRKAVEELVRIVKKGGFVLITVWAREQEDGSLVNKWTPLTEKYLEEWVAPSSPRIRNPSSPRTLASIPETEENGSGVKSFLNNKSAEISHSEVHDRGGHLDSGIENAEQQEYFVPWHLPYHRAEVSGASACALASGLAKKDDKKGAVVYNRYYHVFSEGELERLVSGLDNAVIVDRFYDKSNWCIILEKTS >itb01g30770.t4 pep chromosome:ASM357664v1:1:34656204:34658626:-1 gene:itb01g30770 transcript:itb01g30770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGALRAIALYSRGGFCDIGRPIVVGNNTIGNQGCFRTMREVGLDGGSRLYDSESDRESCVLRPVSVSSDKKDTSSNVQSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVSAFLNSLPVGSLILDAGCGNGKYLGLNPNCLFIGCDISAPLINICADRGHEVLVADAVNLPYRTCYGDAAISIAVLHHLSTENRRRKAVEELVRIVKKGGFVLITVWAREQEDGSLVNKWTPLTEKYLEEWVAPSSPRIRNPSSPRTLASIPETEENGSGVKSFLNNKSAEISHSEVHDRGGHLDSGIENAEQQEYFVPWHLPYHRAEVSGASACALASGLAKKDDKKGAVVYNRYYHVFSEGELERLVSGLDNAVIVDRFYDKSNWCIILEKTS >itb02g06600.t1 pep chromosome:ASM357664v1:2:4124047:4125801:-1 gene:itb02g06600 transcript:itb02g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQKWFFMLFLFLSIFLELSAISLANEKLEKSRWRDDDDCRFSRRGCGRFGGRRGWGRRGDFGGGRRGGGGFGGGVGGGGGVGGGGGIGGGGGGGIGGGGGVGGGIGSGGGVGGGAGGGLGGGGGGGAGGGGGIGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGVGGGIGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGGGGGIGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGGGGGMGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGGGGGMGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGGGGGMGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGGGGGMGGGSGHGGGFGAGGGVGGGAGGGVGGGVGGGGGGGAGGGGGMGGGSGHGGGFGAGGGVGGGVGGGAGGGGGGGGGGGGGGGLGGGSGHGGGFGAGGGVGGGAGGGVGGGGGFGGGGGGGVGGGSGHGGGFGAGGGIGSGGGAGGGGGLGGGSGGGGHGGGIGIGIGIGVGVGVGGGSGKGVGVGSGSGGGGGNGGK >itb04g25110.t1 pep chromosome:ASM357664v1:4:29765017:29785210:1 gene:itb04g25110 transcript:itb04g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATLLKDFKEKVGLSHSSSAVSSSSISSSSSFRESYASPVNQDFIFSPSRGKRELELDFRRYWEEFHSSSSEKEKEKALIMTVDAFCKLVKNQADVAQLITKLVETHIFSFVVGRTFVTDIEKLKLSSKTRSLEVEKVLSFFSEITKPTDKQSLLDSGILCCLIHILNSLLGLNEGHLRQNVGSDKEPVMAERNLRGDTGSVCWLEVEESIVHIMKALCSHPFAAQSLIEDNSLQLLFQMVADGSFIAFSRYKEGLVSLHTLQLHRHAMQVLGLLLANDNGSTVKYIHKHLLIKVLLLAVKDFTPDCGDSAYTMGIVDLLLECVELSYRPGAGGIRLKEDIHNAHGYQFLVQFALALSKGQSYYSKSLTDQELAAEASPQNVCPTLSRLLDVLISLAQTGPALKTSKSSHANPTGHNRSRTSSYDQISVEFCEKDNGQIKDLEAVQMLQDIFLKADSRELQVEVLNRMFKIFSSHVENYKLCQELRTVPLFILNMDDLPPSLQEIILKILEYAVTVVNCIPEQELLALCCLLQQAITSELKHTILSFFIKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLSGVEHNNAECSSPEIKSSSSSFNKHMDNKDSIISSPKLLESSFRKLLLFEVESITTIAWDCMASLLKKAEANQASFRSANGVTTILPFLASNIHRPGVLRVMSCLIIEDVAQSHPEELGAVVDILKNGMVTSALGSQYRIQDDAKCDIFGALWRILGVSSSAQRIFGEATGFSLLFTTLQSFQSGELTNQSYLAIYVKVFTYLLRVMTSAVCNNAANRTKLQTIISSLTFYDLLSETGIICVDFERQVVHLLLELALEIVIPPFMMSEGATLPRVNQNESTCFLLVTHSGSLVPDKEWIFNAGAVKVLLRALLLFTPKLQLEVLSFIDKLACASSFNKENLTSVGCVELLLEMIYPFLSGSSPLLLHALKIIEVLGAYRLSASELRVIVRYILQTRLATSGRFLVDMMEKLILKEDMTSEDVALAPFIEIDMSKTGHASIHVPLGERSWPPAAGYSFVCWFQFRKFFKSLAMEAEASTVGYSKTQTLADGQHHEPHVLQLFSVGAADSSTAFYAALHLQEDGILTLATSSSFSLSFSGLEMEEGRWHHLAVVHSKPNALAGFFQSSVAYVYLNGKLRHTGRLGYSPSPAGKALQVVIGTPVPCSRVSDLSWKLRSCYLFEEVLSPGSICFMYILGRGYRGLFQDTNLLQFVPDQACGGGSMAILDSLDADLPLTSHAQKPENAGKQGSFNAECSGFVWDFEQLGNLSLQLSGKKLIFAFEGTCTELPRASGAVSVVNLVDPTSAAASPIGGIPRIGRLVGDVYICKQCVIGDTIRPIGGIAVVLCLVEAAETRGMLHMALTLLACALRQNPRNLSEMQQLRGYHLLALFLHRRMSLFDMQSLEIFFKIAACEASFSEQKKFETTDKTLSTVSAINEVSIEDLSLSKFHEDFSSVGSHGDMDDFSAAKDSFSPTSDIENTVVPTETSNCLVLSNADMVEHVLLDWTVWVTAPIPIQIALIGFLEHLVSVHWYRKHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGLILEDGFLPSEIDWVVGFAIMTFNPPELISRHQINRESMGKHVIVRNMLLEMFIDLQVTIKSEDLLEQWYKIVSSKLITYFLDEAVHPTSMRWVMTLFGVCLASYPAFALKFRSSGGYQGLARVLHCFYDTPDIYYILFCLIFGKSVYPRLPEVRMLDFHALMPSDENYGELRFTELLESVITMEKATFDRLSMQSMLAHQTGNLSQISVGIVAELADGSVDCSGELQGEALVHKTYAARLMGGEASAPAAATSVLRFMVDLAKMSHPFSMVCRRAEFLESCIALYFSCVRAAQAVKMAKQLSVSIEEKNLNDGDETNSSHNMFSSLAHEQEQSMNASISLVSFPQGHANNSEDIPVVPKNVSKDKSECTIAATQNDLSKEMKNDSQPNLTIDDQVADKVSTAPSSSNDFSLCDKNTPDLIYQTNSQSSPSFTTFESPIVSEKSNTRLPPPSSSSPVNALTSMLGNTIHNENKGQIASSPSTDSAASSFNGVHSSSDTRSSSHGQLAANEIPFTISSKLLLEVDYSGYGGGPCSAGATAVLDFMAEVVSGLVTEQMKAMPFIEGILESVPLDVDAESVLVFQGLWLTTLMNFLERRLLRDDEESEKKLDKSRWSLNLDALCWMIVDRVYMGAFPRPSSVLKALEFLLSMLQLANKDGRVEEAAPIGKGLLSIGRGGKQLDAYIHAILKNMNRMILFCFFPSFLTSIGEDELLSRIGLQTESNKRLPVNSTSEDVGVDICTVLQLLVANRQIIFCPSNIDTDLNCCLCVNLISLLHDHRRIVKNMAVDILKYLLVHRKAAFEDLLVYKPNRGITIDVLHGGFDKLLTGNLSAFFEWLPNFQLEVNKVLEQSAAAAWVQYISASKKFPGARIKGMDSRRKRDMGRKLKDCTKSDDRHWDQINEQRVALELVRDRVATELRVIRQDKYGWVLHAESEWQTHVQQLVHERGIFPICKSSTDEEPEWQLCPIEGPYRMRKKFERCKLKYDTIQNVLNGQFELVELELPKEKSENELNASDTESDHFFNFLNGNPQRYSFGGELYSGSIIKDSDDFKDATSSKAGWNDDRDSSVNEASISSAVEFGESSAVSTRKAESVHGRSEHGSPMHSLLEKVDEVKVPEDRSDKELNDNGEYLIRPYLEPVEKIKCKYNCERVVGLDKHDGIFLIGELSLYVIENFYIDESGCIFEKESEDDLSVIDQALGVQKDFSCSMDSHSKSSSSWAATAKAYVGGRAWAYDGAWGREKVGTSGNVPHLWRMWNLDSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKHLVAMNLPRNNILDATISGSAKQENNEGSRLFKVMAKSFSKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYDSENINLSDPKTFRRLDKPMGCQTAQGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSIENKKLQGGQFDHADRLFNSIRDTWSSAARKGNTSDVKELIPEFFYLPEFLENRFNLDLGEKQSGEKVHDTVLPLWAKGSAREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEKAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRTDRKLPRHLLKHSLYLVPHEIRKSSSSISQIVTLNDKVLVAGANTFLKPRKYTKYVAWGFPDRSLRFMSYDQDRLLSSHENLHGGNQIQCVSATHDGNILVTGGDEGLVCIWRIGTDGPRELRHLLLEKALCAHTGKITCLHVSQPYMMIVSGSDDCSVIVWDLSSMVFVRQLPEFPSPVSAIYVNDLTGEIVTAAGIMLAVWSINGDCLAVVNTSQLPSDSILSLAGCSFSDWLETNWYASGHQSGAVKVWKMVHCSTEESAQSNPTCGLSLGNRLPEYRLNLHKVLKSHKHPVTALHLTSDMKYLFSGDHGGSLHLWTLQEESMKSAASRG >itb06g17330.t1 pep chromosome:ASM357664v1:6:21197620:21200035:1 gene:itb06g17330 transcript:itb06g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSDLVTPTWVIMLVLFFGSTIAAAVSQVAAQDRIRIQCGYTRFPRLCVQTLSGLVSAGDHHDKRVDFAALLLNNTIAHADLHIAHFDQLSSHFVSSHTPQPRLQAIGYCEELMGMSRQRLNQALVAMKESPVKNRQDDIQTLLSAAVTFQQACKDSADQYAFSDEFFAGISRSMDYQSQLASNALALVNRNTEIPGTAGRQLSEEDRELRLLQTTTKANVVVAKDGTGNFRTVAEAIRAASGRQRFVIYVKSGVYKEKIRCSKDGITLIGDGKYSTIITWDDSVGGGSSLSGSATFAITGDGFIAKDIGFQNTAGPGAQQAVALMVASDHSVFYRCSISGYQDTLYALSLRQFYRECDISGTVDFIFGNAAAVFQACNLLLRRPRSYNVILANGRTDPGQNTGFSVQNCKITAGPDFSPAKNSYLGRPWKPYSRAVVMESEIDGAISARGWIEWPGTSSSSLRELFFGEYANVGARGGLGGRVGWPGFHAMGKEEAEKFTVVKFLDGNSWLPSTGINFVPGL >itb05g09930.t1 pep chromosome:ASM357664v1:5:14599516:14599995:-1 gene:itb05g09930 transcript:itb05g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAQKLIHHWKVLRGDNVMIIRGKDKGETGIVKRVVRTQNRVIVEGKNLVKKHIKQGQGHESGIFTVEAPLHVSNVQVLDPVTGQPCKVGIRYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPSVVGPKNTPLEDVTEMTYDPKTGKGMPDL >itb05g21280.t1 pep chromosome:ASM357664v1:5:27124793:27128030:1 gene:itb05g21280 transcript:itb05g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLESVKRFLEDEDGENESTIDSLPAKFIEPIVGQGLKVDLVERGRLICSLKVPPRLVDDSNRLHGGAMATLLDLLSSTVFHTVELGTFHSGVSVEINISFVDVAVLGEEIEIDSKVLSVGKMICVASVELRKKETGKIIAQGRHTKYLGIGSTAAADNYIEV >itb03g18130.t1 pep chromosome:ASM357664v1:3:16506361:16508870:1 gene:itb03g18130 transcript:itb03g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPIDIAKEITRVLYDVDKNIYSILVYEIGHDPMKALQIISFWIWLEQIGFNVMRAFLLLPRNMINGLAEDAVACLECISHTQFLLSPVAREIVLTQRVLGKGLSLQYIHENREQAMCGVGKIFSEIYAKASTDMMAMPPPNRNSEQRRQNEMVLPPQTEVELMDQFGQLGLGGQSSQRNEVPFENTNTHPPES >itb04g16710.t1 pep chromosome:ASM357664v1:4:18602702:18604032:-1 gene:itb04g16710 transcript:itb04g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G06260) UniProtKB/Swiss-Prot;Acc:Q9M8J2] MAFWKHRYHCFPILGLLPLLLLLHAVAIRVPTPSSPSFREAPAFRNGDSCTSSHHNSSTAIHIVMPLDTNYIRGTMAAILSILQHSTCPENAFFHFLTINSDPQILSIIKSTFPYLAFTMYRFDPNRVRGKISKSIRQALDQPLNYARIYISDILPQGVGRAIYLDSDIIVVDDVAKLWAVDMEDKVLAAPEYCQANFSSYFTDQAFWSDPVLARTFKGRRPCYFNTGVMVVDVDKWRKGGYTEKVEEWMRIQKHKRIYHLGSLPPILLVFAGNIKAVDHRWNQHGLGGDNLEGKCRGLHPGPISLLHWSGKGKPWLRMDARKPCSVDLLWAPYDLYRSSTVLALED >itb14g13260.t1 pep chromosome:ASM357664v1:14:15221396:15221767:-1 gene:itb14g13260 transcript:itb14g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPKIVSSPIIYSASSPIVSFGFSISPHCLPKNPFCPPLQHFLHLQTRNPHKRERDQTLTNTHLFSSPLLSSSSPPHFHVFYCLPGLLHLAPDSHPSAPLLLRWPPHWLSSSLSCSSLRI >itb08g06480.t2 pep chromosome:ASM357664v1:8:5505626:5508197:1 gene:itb08g06480 transcript:itb08g06480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSDLGDAGNVLENVLENVLCFLTDRRDRNAAALVCKAWYKVEAMTRSEVFIGNCYAVSPQRVSRRFGRVKSVSIKGKPRFADFSLLPPDWGAYFSRWVATMAEAYCALEKVYLKRMFVTDDDLVVLSRSFPNFKELVLVCCEGFGTSGLSVVASQCRQIKVLDLLECEVVDNEVDWFSCFPEDKTCLESLIFDCVDCEVNFEALEQLVARSPSLKKLGLNRFVSPEQLYRLIVRAPQLTHLGTGAYGPFEILAMVEHEPDYASAFAACKSLVSLSGFRDFFPDHIRAIYPICANLTSLNFSYASIYYEQVIEIISHCHKLQVLDSVCDEGLKAVAATCRDLRELRVFPVNPQEAADGPVSEVGLLAIAEGCRKLQSILYFCQKMTNAAVVAMSKNCPDLMVFRLCIMGRHRPDYLTGKPMDEGFGAIVKNCKKLTRLALSGLLTDQAFGYIGQYGKSLRTLSVAFAGGSDTGLKHVLDGCPKLQKLEVRDSPFGDLALRAGLHRFYNMRFLWMSSCKASRECCEKIARELPHLVVEVIDRDDEGMDRNDVDTLYMYRSLDGPRTDAPKFVHIL >itb08g06480.t1 pep chromosome:ASM357664v1:8:5505251:5508851:1 gene:itb08g06480 transcript:itb08g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSDLGDAGNVLENVLENVLCFLTDRRDRNAAALVCKAWYKVEAMTRSEVFIGNCYAVSPQRVSRRFGRVKSVSIKGKPRFADFSLLPPDWGAYFSRWVATMAEAYCALEKVYLKRMFVTDDDLVVLSRSFPNFKELVLVCCEGFGTSGLSVVASQCRQIKVLDLLECEVVDNEVDWFSCFPEDKTCLESLIFDCVDCEVNFEALEQLVARSPSLKKLGLNRFVSPEQLYRLIVRAPQLTHLGTGAYGPFEILAMVEHEPDYASAFAACKSLVSLSGFRDFFPDHIRAIYPICANLTSLNFSYASIYYEQVIEIISHCHKLQVFWVLDSVCDEGLKAVAATCRDLRELRVFPVNPQEAADGPVSEVGLLAIAEGCRKLQSILYFCQKMTNAAVVAMSKNCPDLMVFRLCIMGRHRPDYLTGKPMDEGFGAIVKNCKKLTRLALSGLLTDQAFGYIGQYGKSLRTLSVAFAGGSDTGLKHVLDGCPKLQKLEVRDSPFGDLALRAGLHRFYNMRFLWMSSCKASRECCEKIARELPHLVVEVIDRDDEGMDRNDVDTLYMYRSLDGPRTDAPKFVHIL >itb07g04210.t1 pep chromosome:ASM357664v1:7:2833188:2838857:1 gene:itb07g04210 transcript:itb07g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRREEADSDDGAAIVAAPATSYNSVFIDTDLDTHLAMMVSDLDTVSDLKKKIVLEHLQCLPEMGEIKIHSVKVKRKGRFYHLPDSMLVKTAFQGTQRNWFLYVTGSISVDQISNKTGDMHDGNNQVGLLCIKNYAEDVSDYVLPDDPSKALVLRDTTVAVPADLGMDIRQGKDECRGDLSSAQKKIVSRPIDQEKAFTTPSHELKDYKSSDSSKGIPVEGPLAKRQKLKQGEDAPHKPVLEGGNDSQNFQTVRNENTSANDNEGVPVHIKKKGKSGARRKRTHDETGSEVSSVRNNKAKNKDNMLTEVAESGSAALQNEGENTLETRIAEDSMEHKQETLVVGPNALKESLENGNFRSDSEHKESKSNAKKTLLASDDGIVMSMHSSQNIEMERRLETNSGVALNSSDNSFGAAHTTICTQSEVNEKTSSRKSHFANSKECPPPVNEEHQTKDTSIFHPTHESVVSNSPRLKTDLPNIIEEQNLLPDNYVETVYSPKPKPSDQSEKVTSSKHLLPSSDLSVDKGVVEHDNKRKKKKKKSNARIQQESEIKHYDEPNSNTSISVLNFTADHATDEIMKDESSIPENGSDKTDKERTVPEIEADATNKVGNDSKVLVTCDLEVTASEICPPSDHVQADVNTKGGTKHDKKPKKMKSKGLSASIQFEPDVVNTDQATVLKLSTDDYGNDETRKTEITVPQIEADHHNMIEKEGKLPETCEPDMKMPEMCLPSHHGNEETANLSSKLDAIQRTEDGNTERTKRKRKSAKKIAGKTQYKLEEADVDLVSGISSAAVNVEASEPVTQDTAKTEGLMPHVRTGQTTTLEKGDSSAKDVDMQLENCVSPVCGKADMISEQLETVSRAELSNANATEKHENTGGSQKRRNSKKSLTTKKDSSLDPANVTLGVSPDLPTVDLFIDGSKDQSHLNGMEIKNASGGLVDTKAAGSHVEIDKVNESEAEHLPAKKVLNENGPLQVIQTGKSQLDEENTEKKAKKKKKRNSSIGGQSHSVLAKNDHEVGVEVSNDNVSVMHHSSNVAEVSGTAKDDHVAQVAVTDAELVEGAGNSGQAPGNPEDNSRVELQTEVSTDNCGAVNFRSYFVPGSQQRQGVSSDKVKKSVKSKRENKAGKKSVKGGLVNSLAKDENVNPEYKHSSSTELGKSKYDKQSKVKLQSNQNSLNVAETEIKGLAYSNDNRSNTLQEAGNPAVHGSENPKDALASAFENNISFDESISTSSPTHSQKFPQCKTDRSQSGSNHKSLSSKQVTDKITGVITSPSAALRDDGSGNYCDDNGAGNSDASTRTPSDSSSSGFSVGGSELSHHSSRNGANGAKRNKSHGERNIKSNLSSAKNLTMDVILRSSRRFKKAKMTATQLQLETDSKAIDFVPDSEPN >itb03g03290.t1 pep chromosome:ASM357664v1:3:1887696:1888972:1 gene:itb03g03290 transcript:itb03g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQTFKCSKMVSNYEPKLPTFPTIYTFATFFFIFLTKSQSSASPSIYDLLNIYALPAGIFPKGISDFSFDPATGRFELNRSCSCAARFETDVWYDVTVAGTLSYGRISDLSGVAAQELFLWLPVDEIRVDIPSSGLIYFDAAVVSKQFSLSFFEIPPDCKAVDIEMMVFSSLSLPRIPQGNLILFGDRGREIESQSRKLMKQSFGWQTGRAVS >itb03g03290.t2 pep chromosome:ASM357664v1:3:1887696:1888972:1 gene:itb03g03290 transcript:itb03g03290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQTFKCSKMVSNYEPKLPTFPTIYTFATFFFIFLTKSQSSASPSIYDLLNIYALPAGIFPKGISDFSFDPATGRFELNRSCSCAARFETDVWYDVTVAGTLSYGRISDLSGVAAQELFLWLPVDEIRVDIPSSGLIYFDAAVVSKQFSLSFFEIPPDCKAVDIEMMVFSSLSLPRIPQGNLILFGDRGREIESQSRKLMKQSFGWQTGRAVS >itb11g03930.t2 pep chromosome:ASM357664v1:11:2118288:2119796:-1 gene:itb11g03930 transcript:itb11g03930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVISKCLVGAAAMAERIPQIDLTPWDLKSLLLDYIQKGLLFCKPAPELKQFSLTSTLTDHLKASLSSTLSFFPPLVGRLAVANNGDGTTSVSITCNNGGAEFVVARAAGVTMAQILEPVIVPRLVRSFFPFNRVTELEDGYFIGLSMNHTAADASSFWHFFNSWSEISRGMPQLSLSPVLDPWFPGNIARPIRLPFDLQGEMLSSSFAPPPLEERVFHFSKDTIAKLKEKANSEMGTDTISSLQSYVAHLWRAITRARRLNATENVSIYVPIGTRWRMNPPLPEGYWGNAIHSKHAKANAGELLQRGLGWAAWQIHEVVSNQDHVEVVEYYQNWVKSPVIAQKSKVFFANFLGIASSPRNNVYATDFGWGKPVAVRCGMDNKADGKFSLFPGLEKGSVDIEVCLLPQTLHALGNDQEFMEFLTHPAK >itb11g03930.t1 pep chromosome:ASM357664v1:11:2119454:2119796:-1 gene:itb11g03930 transcript:itb11g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVISKCLVGAAAMAERIPQIDLTPWDLKSLLLDYIQKGLLFCKPAPELKQFSLTSTLTDHLKASLSSTLSFFPPLSSSWPGPPA >itb03g04100.t1 pep chromosome:ASM357664v1:3:2545315:2549464:1 gene:itb03g04100 transcript:itb03g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENNWLSFSLSPQFHHQSTVELNTSFDGSSSTDSQHYYFSDNFYANGWANQKVEVMYSEAENHENENGGESFIFQGLMESQIPAAAAAPPLPPKLEDFLGGDTLVRYSDSQTETQDSSLTHLYDQGGSAAAYFNEHQDFRAVAGFQAFSTNSGSEVDDSASMARTQVMAGEFTTSQCIESGHELAYSHCPTGSLSLAVNTHNSEKAIVSADSESSKKISDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWGPTATTNFPVTNYSKELEDMKHMSKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGVNAVTNFEMSRYDVEAIMNSSLPIGGAAKRLKLSLESDQKTSSQHEQCGGNNNSSSSNINFGSIQPVSAIPCGIPFDATTPFYHHTHLFHPLHPANVGPDSSGATSSLANAMAPLQQPPSSAGFFIWPQSY >itb15g02090.t1 pep chromosome:ASM357664v1:15:1281038:1283405:1 gene:itb15g02090 transcript:itb15g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDSSPSLLSKMKDKVVETAKNVKKIGKDDPRRIMHCAKMAVALTLNSLLYYYNPLYDGFGQSGIWAVLTVILVFEFTVGAALSKSLNRSFATLLGGSMGVGAKYLADISGDKGEPVVLGLFVFILAVTSTFMRFIPGIKRKYDYGVMIFILTFTMVTVSGYRTEQIIRYAHQRLSMVAIGGVTSLLISVLVCPVWAGKDLQNLIATNIEKLGKSLEGFGSAYFRVLEGEGGGMAAFPQDYKSAFNSKASEDSLAIFAWWEFGHGDFKFRHPWDQYLKVGGLVRECASHLQALSSYFNTDDDEATLLSFKSKIEEPCTRMCSQSTQALKAISLAIKTMENPSPAIQDHLRNSKAAINDLEVVFRSSSLSTEQIFDIVPCAAVISILIDIVDCVDKISKSVDELSKKADFKKPTEKSPSPAPEEQRQQPPQQQLLHRGTVTPVNEEIKVEVVAITIDYTEQDSPE >itb15g09540.t1 pep chromosome:ASM357664v1:15:6807824:6811338:1 gene:itb15g09540 transcript:itb15g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVASLRIDKKANVANLKPNCTGYGTVDINGSEALGRDANIEDCREKQDVLSVKITNCEAGEPEGKVVKADTLKLSDKKSNSTVKPASESAIVDATLDTNPDMLKSPGPGLVAKHHANGVEATDTGSNCSSKSNDLHSPLSAKRSQQTPLVSRKLQQLDDRKHHDEEDIWSLASSAAASVRTLRSKITVPVAPTFKSADRAARRREFYSKLEEKHKALEAEKQECAARTKEEEEAVIKQLRKNMVYKANPVPSFYHEGPPPKAELKKLPLTRAKSPNLTRRKSCSDAVVSPEVKQVCGRGRHSIGAYRQGNTSAVTPKSKGPVNGENGNGTSSAKPVKVTRRTPAKGRKETPANDSPAKETNASPTNDVKETPAEEVKESNCAVIDFQASGHIAAQS >itb15g09540.t3 pep chromosome:ASM357664v1:15:6807824:6811289:1 gene:itb15g09540 transcript:itb15g09540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVASLRIDKKANVANLKPNCTGYGTVDINGSEALGRDANIEDCREKQDVLSVKITNCEAGEPEGKVVKADTLKLSDKKSNSTVKPASESAIVDATLDTNPDMLKSPGPGLVAKHHANGVEATDTGSNCSSKSNDLHSPLSAKRSQQTPLVSRKLQQLDDRKHHDEEDIWSLASSLYSAAASVRTLRSKITVPVAPTFKSADRAARRREFYSKLEEKHKALEAEKQECAARTKEEEEAVIKQLRKNMVYKANPVPSFYHEGPPPKAELKKLPLTRAKSPNLTRRKSCSDAVVSPEVKQVCGRGRHSIGAYRQGNTSAVTPKSKGPVNGENGNGTSSAKPVKVTRRTPAKGRKETPANDSPAKETNASPTNDVKETPAEEVKESNCAVIDFQASGHIAAQS >itb15g09540.t2 pep chromosome:ASM357664v1:15:6807881:6811334:1 gene:itb15g09540 transcript:itb15g09540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMGREVASLRIDKKANVANLKPNCTGYGTVDINGSEALGRDANIEDCREKQDVLSVKITNCEAGEPEGKVVKADTLKLSDKKSNSTVKPASESAIVDATLDTNPDMLKSPGPGLVAKHHANGVEATDTGSNCSSKSNDLHSPLSAKRSQQTPLVSRKLQQLDDRKHHDEEDIWSLASSAAASVRTLRSKITVPVAPTFKSADRAARRREFYSKLEEKHKALEAEKQECAARTKEEEEAVIKQLRKNMVYKANPVPSFYHEGPPPKAELKKLPLTRAKSPNLTRRKSCSDAVVSPEVKQVCGRGRHSIGAYRQGNTSAVTPKSKGPVNGENGNGTSSAKPVKVTRRTPAKGRKETPANDSPAKETNASPTNDVKETPAEEVKESNCAVIDFQASGHIAAQS >itb04g11630.t2 pep chromosome:ASM357664v1:4:11319446:11323074:-1 gene:itb04g11630 transcript:itb04g11630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKAPSFLRLLLLLGLLSLSFCDDAAVMSKLLAALSPAPSGWSTSKDPCTWTNVNCDKSTGNVVTINLDSQSISGNLPSDLTQLSSLRTLSVQKNSLSGTLPSFANMSSLEGLYLDSNQFSSIPQDFLLGLPNLQTFSISDNGELSPWQIPSYLAESTNLGSFYASNASITGVIPNFFDSFPNLQNLRLSYNNLTGSLPGSFGSSEIQNLWLNNQQQGLSGTIDVLSSMAQLSQVWLHGNAFTGPIPDLSKCVNLFDLQLRDNQLTGVVPVSITGLPKLVNITLQNNNLQGPMPEFGKNVKNNVGNTFCKDTPGPCDPQVTALLAFAGGLGYPITLAQSWEGNNPCNNNWASVSCDGQGNVITVTLRKQGFSVVLFVSYKCYMKRQHKRFGRVESPEKSEQMMKASVVGSANGYSGVASELQSQSSGDHTEIPVFEGGNVAISVQVLRQVTNYFSEENVLGRGGFGVVYKGELHDGTKIAVKRMESGVMGTKGMSEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLGQHLFEWQEHGSEPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPTNILLSDDMRAKVADFGLVRNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVILLEIITGRKALDETMPDERSHLVTWFRRVLINRDNLRKAIDPTLDPDDEETYQSIAKVAELAGHCTAREPFQRPDMGHAVNVLSPLVEQWRPSRPEEEESYGINLDMSLPQALQRWQADEGTSRMFDDFSYSQTQSSIPTKPSGFADTFSSTDCR >itb04g11630.t1 pep chromosome:ASM357664v1:4:11319436:11323074:-1 gene:itb04g11630 transcript:itb04g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKAPSFLRLLLLLGLLSLSFCDDAAVMSKLLAALSPAPSGWSTSKDPCTWTNVNCDKSTGNVVTINLDSQSISGNLPSDLTQLSSLRTLSVQKNSLSGTLPSFANMSSLEGLYLDSNQFSSIPQDFLLGLPNLQTFSISDNGELSPWQIPSYLAESTNLGSFYASNASITGVIPNFFDSFPNLQNLRLSYNNLTGSLPGSFGSSEIQNLWLNNQQQGLSGTIDVLSSMAQLSQVWLHGNAFTGPIPDLSKCVNLFDLQLRDNQLTGVVPVSITGLPKLVNITLQNNNLQGPMPEFGKNVKNNVGNTFCKDTPGPCDPQVTALLAFAGGLGYPITLAQSWEGNNPCNNNWASVSCDGQGNVITVTLRKQGFSGTISPALANLTSLRNLYLNDNNLTGPIPVSLTTLPHLQVLEVSNNNLSGPIPVFPPSVNFSHGGNLFLGKNVSTGGGSPGSGQNSDAPGDNPSSHNSNGSSISAGMIAGVIIAAVVFVVVVLFVSYKCYMKRQHKRFGRVESPEKSEQMMKASVVGSANGYSGVASELQSQSSGDHTEIPVFEGGNVAISVQVLRQVTNYFSEENVLGRGGFGVVYKGELHDGTKIAVKRMESGVMGTKGMSEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLGQHLFEWQEHGSEPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPTNILLSDDMRAKVADFGLVRNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVILLEIITGRKALDETMPDERSHLVTWFRRVLINRDNLRKAIDPTLDPDDEETYQSIAKVAELAGHCTAREPFQRPDMGHAVNVLSPLVEQWRPSRPEEEESYGINLDMSLPQALQRWQADEGTSRMFDDFSYSQTQSSIPTKPSGFADTFSSTDCR >itb06g12910.t2 pep chromosome:ASM357664v1:6:17484377:17496354:-1 gene:itb06g12910 transcript:itb06g12910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MTSDYKLTLATDLEKARLRTAQFFITQRPWLDLYGVNVRPVAPFGSASSKTFVDSALIHRCLPDELLFEIFSRMSPYTLGKAACVCRKWWYTIRNPIFWRYACLKAWQTTGVTENYKILQLKFNGSWRTMWLLRPRLRTDGLYVSRNTYIRAGVAEWKVSNPVHIVCYYRYLRFYPSGRFLYKNSSQKVKDVAKFINFRASKADCVFSGSYTLTEDKVEAALLYPGLRPTVLRIRLRLRGTVKGANNRMDLLALLTSGVNDNEVNVPDEDILGVVEGWQEDETHNPDVPAVSHKRGLTPFVFVPFEEVETSVLNLPVERMDYFVPG >itb06g12910.t3 pep chromosome:ASM357664v1:6:17484377:17495677:-1 gene:itb06g12910 transcript:itb06g12910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MTSDYKLTLATDLEKARLRTAQFFITQRPWLDLYGVNVRPVAPFGSASSKTFVDSALIHRCLPDELLFEIFSRMSPYTLGKAACVCRKWWYTIRNPIFWRYACLKAWQTTGVTENYKILQLKFNGSWRTMWLLRPRLRTDGLYVSRNTYIRAGVAEWKVSNPVHIVCYYRYLRFYPSGRFLYKNSSQKVKDVAKFINFRASKADCVFSGSYTLTEDKVEAALLYPGLRPTVLRIRLRLRGTVKGANNRMDLLALLTSGVNDNEVNVPDEDILGVVEGWQEDETHNPDVPAVSHKRGLTPFVFVPFEEVETSVLNLPVERMDYFVPG >itb06g12910.t1 pep chromosome:ASM357664v1:6:17484377:17496354:-1 gene:itb06g12910 transcript:itb06g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MTSDYKLTLATDLEKARLRTAQFFITQRPWLDLYGVNVRPVAPFGSASSKTFVDSALIHRCLPDELLFEIFSRMSPYTLGKAACVCRKWWYTIRNPIFWRYACLKAWQTTGVTENYKILQLKFNGSWRTMWLLRPRLRTDGLYVSRNTYIRAGVAEWKVSNPVHIVCYYRYLRFYPSGRFLYKNSSQKVKDVAKFINFRASKADCVFSGSYTLTEDKVEAALLYPGLRPTVLRIRLRLRGTVKGANNRMDLLALLTSGVNDNEVNVPDEDILGVVEGWQEDETHNPDVPAVSHKRGLTPFVFVPFEEVETSVLNLPVERMDYFVPG >itb01g02020.t1 pep chromosome:ASM357664v1:1:1265442:1266163:-1 gene:itb01g02020 transcript:itb01g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTLALFLALSLYLLPNPAHSRFNPIRLPTTHEPPSSETPVLDINGDEVRAGGNYYMVSAIWGAGGGGLRLAHLDMMSKCASDVIVSPNDLDNGDPITITPSTADPESSVIMTSTYQTFRFNIATNKLCVNNVNWGIQHDSASGQYFLKAGEFVSDNSNQFKIEVVDANLNFYKLTYCQFGSDKCYNVGRFHDPMLRTTRLALSNSPFVFVIKPTDV >itb06g16550.t1 pep chromosome:ASM357664v1:6:20688536:20691882:-1 gene:itb06g16550 transcript:itb06g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRRLDNDKLFCISISDGSRPLMRRLRLFEQRCDDQRSTMGVLDEAPLVVHATCTGINLAPFLFIPVSCSLCLLSRRTFLFFFFSDSVKKFSVAATMEGGDGGPYNRCTIEEVYGDYEGRRTGIIQALTTDVEEFYKRCDPDRENFCLFAYPNGQWEVTLPAEDVPPELPEPTLGINFARDGMPAKDWLALVAAHSEGWLLSVAFYFAARFGFDRDERKILFNMINDLPTVFEIVTGAGAGAPKKQHSEKSVITNHGGSKMKSSSKLRAAESHARALNVNPHYEEEEYYDEEEHGNTLCGACGKHGGLDEFWIGCDKCERWFHGRCVKVTPAKAEHMKQYKCPACSNKRIRP >itb15g03890.t1 pep chromosome:ASM357664v1:15:2466563:2468247:-1 gene:itb15g03890 transcript:itb15g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAADDVCVVMVPLPAQGHLNQLLQLSCLISSYGLPVHYVGSAIHNNQARVRANGLDPKKLATVHFHDLPLPDFDCPSPNPNASVRFPAHLQPAFDASKLLRHPIAAVLRDLAAKARRVVIIHDSLMALVVQDVESISNAESYAFNCLSVFSLLSFLSNGLGNQIAIEEEEMPRENLTEGCMTESFAMFIEAHKEYQKLRGGDIHNTNRLIEGQFIDLFEKDGYVEKMKQWFIGPILPGMIISSKEQNPCLAWLDKQPQNSVLFVSFGTMTSMSDEEVRELAMGLELSKHRFLWVLRDADKGNIFSGKSRRIELPEGFEERVKGVGLVVRDWAPQPEILSHRATGGFMSHCGWNSCMESITLGVPMAAWPMHSDQPMNSFLVARILKTGLIVREWGKRGEVVKSWAIENVVRRLMGSEEGDEIRKKAQELGETIRKSAQEGGVSRMELDSFIAHITR >itb09g06760.t1 pep chromosome:ASM357664v1:9:3882677:3883601:1 gene:itb09g06760 transcript:itb09g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAILILAFVLITSTAILAARPEPEELNRLASQRGDGGGFGAPYFGSGTGFGVPGFGSGFGGGYGSGYGGPAGGYAGGGVVRPTVVCKDKGPCYGKKLRCPAKCFKSFAKGGKGYGYGGGGGGCTVDCKKKCTAYC >itb12g23150.t2 pep chromosome:ASM357664v1:12:25004443:25007694:1 gene:itb12g23150 transcript:itb12g23150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNNAVFEVTLQNILSTINPLKEDWSKRFQVIDDLRAVVQSIHSLRDATVEPYGSFVSDLFTRWGDLDISIELTNAADISPTAMKKLKEILLQDVLNALRSTGGYKKLKLIPNARVPILMFHGKYNISCDLSVNNLVGQMKSKLLYWISLIDTRFRDLVLLVKEWAKTHNINDSKRGTLNSYSLCLLVIFHFQTCEPLILPPMKEIYPGNMVDDLDENSYVGVRGIAEKNIEERCAVNINKFVSDRLRVHNQSSLSELFISFLTKFSDISSKASKQCINPYTGQWEDLEGNMRWQPNKYSIIIEDPFEQPVNSARGVSSKQLARISETFKTTCNKLSSPNQDPSLHISTLVRPRVSRSLAKTHTRSHGNHSREVIKVQTSSEGNAAILGSSQPQFECRRLNKQDGVMPRLAQAPPARVKAGKQPSLQRQFDRQPNSVMPRHAKAPCASVYTVQKPKSDK >itb12g23150.t1 pep chromosome:ASM357664v1:12:25004443:25007694:1 gene:itb12g23150 transcript:itb12g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNNAVFEVTLQNILSTINPLKEDWSKRFQVIDDLRAVVQSIHSLRDATVEPYGSFVSDLFTRWGDLDISIELTNAADISPTAMKKLKEILLQDVLNALRSTGGYKKLKLIPNARVPILMFHGKYNISCDLSVNNLVGQMKSKLLYWISLIDTRFRDLVLLVKEWAKTHNINDSKRGTLNSYSLCLLVIFHFQTCEPLILPPMKEIYPGNMVDDLDGVRGIAEKNIEERCAVNINKFVSDRLRVHNQSSLSELFISFLTKFSDISSKASKQCINPYTGQWEDLEGNMRWQPNKYSIIIEDPFEQPVNSARGVSSKQLARISETFKTTCNKLSSPNQDPSLHISTLVRPRVSRSLAKTHTRSHGNHSREVIKVQTSSEGNAAILGSSQPQFECRRLNKQDGVMPRLAQAPPARVKAGKQPSLQRQFDRQPNSVMPRHAKAPCASVYTVQKPKSDK >itb01g25670.t2 pep chromosome:ASM357664v1:1:31039541:31044074:1 gene:itb01g25670 transcript:itb01g25670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MEQRNTKPIRCIVKLGGAAITCKNELETIDEENLMTVSSQLRQSLMFGSASQKVSGMDWSKRLGFSEPPTVDSDFSDQPVVGSKSFVVVHGAGSFGHFQASKSGVHKGGLSQPLVKAGFVATRISVTSLNLEIVRALAREGIPSIGMSPFSCGWSTCKRNMDAADVSMVVNAIDAGFIPVLHGDAVLDSSQECTILSGDVIISHLAAKLKPEYVVFLTDVYGVYDRPPTEPDAVLLQEIAVREDGSWSVVKPTLQGTGKPEFTVASHDTTGGMVTKISEAAMIARLGIDVYIVKVGTEHSLRALDGSLRGEIPNDWRGTALRLVR >itb01g25670.t1 pep chromosome:ASM357664v1:1:31039541:31044074:1 gene:itb01g25670 transcript:itb01g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MDWSKRLGFSEPPTVDSDFSDQPVVGSKSFVVVHGAGSFGHFQASKSGVHKGGLSQPLVKAGFVATRISVTSLNLEIVRALAREGIPSIGMSPFSCGWSTCKRNMDAADVSMVVNAIDAGFIPVLHGDAVLDSSQECTILSGDVIISHLAAKLKPEYVVFLTDVYGVYDRPPTEPDAVLLQEIAVREDGSWSVVKPTLQGTGKPEFTVASHDTTGGMVTKISEAAMIARLGIDVYIVKVGTEHSLRALDGSLRGEIPNDWRGTALRLVR >itb01g25670.t3 pep chromosome:ASM357664v1:1:31039541:31044074:1 gene:itb01g25670 transcript:itb01g25670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MEQRNTKPIRCIVKLGGAAITCKNELETIDEENLMTVSSQLRQSLMFGSASQKVSGMDWSKRLGFSEPPTVDSDFSDQPVVGSKSFVVVHGAGSFGHFQASKSGVHKGGLSQPLVKAGFVATRISMDAADVSMVVNAIDAGFIPVLHGDAVLDSSQECTILSGDVIISHLAAKLKPEYVVFLTDVYGVYDRPPTEPDAVLLQEIAVREDGSWSVVKPTLQGTGKPEFTVASHDTTGGMVTKISEAAMIARLGIDVYIVKVGTEHSLRALDGSLRGEIPNDWRGTALRLVR >itb13g08500.t1 pep chromosome:ASM357664v1:13:10785454:10786642:-1 gene:itb13g08500 transcript:itb13g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSIAGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEPVDTPMESQDFLSTNHLEAEPQSNNNALPPPPNLDEECESMGSAASANSNDGETMHPNPESSQYSYPVVYPAAAAAYVTPIYPLPIPYWPGYCPEPAKAEKHEVFKPTAVHTKSPINVDELVGMSKLSLGESLADVKPSVSLKLVEGSTRQSAFHAKPAAASGNSSISSSHNPIHAV >itb01g30560.t1 pep chromosome:ASM357664v1:1:34533475:34537550:1 gene:itb01g30560 transcript:itb01g30560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSEPSSCSCLCGQRFPPGFRFQPTDEELAVYYLKRKICRRPIMLDVIGETDVYKREPEELPELSKLKTGDRQWFFFSPRDRRYPNGARSNRATKQGFWKVTGRDRIITSNSRNVGVKKTLVFHKGRTPKGRTPTTKPDRTDWVMYEYTMDEEELKRCPAQDYYALCRIFKKSGIGPKNGEQYGAPFREEDWPDDDCLTVNPLVEQEKYTNQVNDVGNVESPIPNDFGIPLFNIEELHPTIPHNVQANFDATQCSTSQPQLPEAPEFRSAPVYNGLNPQMLEEDYLEAFLEVDDLGGAEPSINNFNKPGKFMEIQQYGYDMGTREARHPNQPYVNSAENGMVNPVSTYFSDNHETMNSQQSYFSDNHETMNSQQLYFSDNHETMNSQQLFLNEDNEGSNQLWSPDQRWNNVQANFDVTQCSTSQPQLPEAPEFRSAPVYNGLNPQMLEEDYLEDFLEVDDLAGAEPSINNFNKPGEIMEIQQYGYDMGTREARHPNQPYVNSAENGMVNPVSTYFSDNHETMNSQQSYFSDNHETMNSQQLYFSDNHETMNSQQLFLNEDNEGSNQLWSPDQRWNNVQANFDVTQCSTSQPQLPEAPEFRSAPVYNGLNPQMLEEDYLEDFLEVDDLAGAEPSINNFNKPGEIMEIQQYGYDMGTREARHPNQPYVNSAENGMVNPVSTYFSDNHETMNSQQSYFSDNHETMNSQQLYFSDNHETMNSQQLYLNEDNEGSNRLWSPDQRCNIATPAEANHEVFHPATLGVVYDSHSLNDHPKGTNSNQITREDGATNSRFSSALWGSLESIPATPASAAESPPADLAFEQTSRRSRVIARNMNNASRVIARNMNNASDNTSRNSGKSMSGFLCVFVLGVLCAAMLWVLLGTSSLTYGGCSFLNL >itb06g10620.t1 pep chromosome:ASM357664v1:6:15051312:15052025:-1 gene:itb06g10620 transcript:itb06g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGILHLKYGSFVVESSLSTSKNSVSSSNPLHKNSKMEKAIAAEATLALEGYVGASFLTSPPGLHYPFKFGSFVVESSLSTSKNLALEGYAGASFLTSLPGLHYPFKFGSFVVESSLSTSKNLALKGYAGASFLTSPPSLHYPFKFGSFVVESSLSTSKNSVSSLSTSKNSVSSSNPLHKNSKMEKAITAEATSALERYAGASFFTSPPPTSLPLPAFLTKNAATWNNHNSTSAL >itb02g19040.t1 pep chromosome:ASM357664v1:2:15824109:15827556:-1 gene:itb02g19040 transcript:itb02g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKHLLYCLVFVILPTFTVSAILQYSNETDRIALLEFKHRVFNDSHGVLLNSWNDSHHHCHWPGVSCSRQNQRVVALRLPDMGLVGTISPHIGNLTFLRELNLSTNKLSGQIPGEIGRLFQLRSLDLSVNALTGELFAANLSSCLQLREIFLYLNGLHGKLPAELANLKKLQSLSLDANQLTGEIPPSFGNLSSLSGLGMEYNHLEGNIPEEITQCRGLLLLSLGANNLTGTLSSAFFNMTSIINFSISENSLQGTIPNYIGDTMPNLKGFYFGVNKFHGTIPTSFPNASKLQLLELSQNYFVGDVPDNIGRLKDLRRLNLQLNFLGGNDFTFITSLSNCSDLTQFSIAGNSFEGKLPDTIANLSSKLSMLSLAGNKFSGTIPIGINNLASLIALELDDNHLSGVIPSEIGDLKNLQVLALQKNQFFGKIPLVLFNITSLSSLYMEDNNLDGYIPSSVGNLWNLNELYLSHNRLDGFIPGKIFDLPSLSKYLDLSSNSFTGPLSPAVGNKR >itb03g13810.t1 pep chromosome:ASM357664v1:3:13786112:13789905:1 gene:itb03g13810 transcript:itb03g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISPQDVDESICKHAKKGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLRYGLQLCRRYSTAASAVRPPPLNLYPSKRMAYQAMKPTKAGLEEPQEQIHKIRITLSSKNVKNLEKVCGDLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >itb10g23320.t1 pep chromosome:ASM357664v1:10:27740498:27741899:-1 gene:itb10g23320 transcript:itb10g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCTFLNISAFVSLVFASLVCSLSFNMPYITPYYLGNIKVEGAANITNQGIQLTPFEGVQVSKAGRAMYAVPLQLWDKASGNLADFDTKFTFNINSNGNTNYGDGLAFFLADFSTPFSQTMYGGGGLGLTDGNLQTALDPFVAVAFDTYSSSSQTQTSTVSINVRSMKTSVKTTEWPNDVTQGKPNSASITYRAVSKVLRVDFTGYSNGQTSTYTLSCEVDLRVVDLPEFVSVGFSAATGSFFEEHTVTSWQFGSSPPRPAGSLLPPPNLVQKATDKIKRDLMIGVSVGVPTAVVVFVVLYCLCKKRRPKINEVTLGKVSAKAGAHAAKVAVKVAQDPTLECCANVAQVPVEPNPECCVKVEEPPAQEPCGQVSEGEEDGVLETLASSILDIFKTCG >itb08g01350.t1 pep chromosome:ASM357664v1:8:997419:1001052:1 gene:itb08g01350 transcript:itb08g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNDDVSQLIQKLPPPSAAQKKAAAQAPAAKPAAGSKMPSKPLPPAQAVREAKTDFQRGDGRGGRGGGRGRGGGRGRSFNQESAENENPYGNNGYSGGYRNSGDGEGARVSERRGGYGGGPRGSFRGGRRGGFSNGEAADEERPRRVYERRSGTGRGSEFKREGAGRANWGTPTDEIAPETEEPVNEIEKSVDVDKQTGHEGAGDASKDSPTNEPEEKEPEDKEMTLEEYEKVLEEKRKALMALKPEERKVRLDKEFASMQLISSKKTDESVFVKLGSEKDKKKEAGEKVKKTKTINEFLKPAEGESFNRGGRGRGRGRGRGYGGSNTNYAEASAPSIEDVGQFPTLGSK >itb04g13680.t1 pep chromosome:ASM357664v1:4:13814186:13816156:1 gene:itb04g13680 transcript:itb04g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDILAEEELVPAVFQKTANAVGIFDCCDDMNKVGAGMEVEMPFWLARELYVKQAVKIKVPSCFDTKERPRDRHRDEPLKRRDRTKDEIGADAAHVDLRGRCPYFYDFGCKIARLTGDKTIGPFLLVAFRTRYKEVLIKAYTAASALASKHLTLLTQEEMKLYEAGQSSTMAFKKWRMGGPRLHKASVLGRKRKPTQ >itb07g14770.t1 pep chromosome:ASM357664v1:7:17429907:17430474:-1 gene:itb07g14770 transcript:itb07g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKVPQVAVAVFILKGEKVLVGKRLAGAGTSCFSVPSGHLEFGEVFEECAAREVMEEAGLELKNIETLKVINHVFHNEAKPSHYVVLLIRAELSDPDQIPENVEPDRCEGWNWYEWNDMPKPLTPPLQLILKSGFNPFSANVQN >itb07g13480.t2 pep chromosome:ASM357664v1:7:15768907:15775008:1 gene:itb07g13480 transcript:itb07g13480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDQYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPLWDDTDVEVKVDDGVDSINAATTPASPSLSKLYSGSLPSPHLPEGPPLVKIKIAGASLAWKDLTVTIKGKRKYSDKVIKSSNGYALPGTMTVIMGPAKSGKSTLLKALAGRLPDSARMYGEVLVNGAKSRIPYGSYGFVEKETTLIGSLTVREFLYYSALLQLPGFFCQKKDVVEDAILAMSLGDYGNKLIGGHCYMKGLPRGERRRISIARELVMRPHVLFIDEPLYQLDSVSALLMMVTLKKLASTGCTLIFTLNQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGELSAVNMDTAVAIRTLEATYKSSADAAAVESMIVKLTEKEGPYLKSKGKASSATRIAVLTWRSLLIMSREWKYYWLRLILYMLLALCIGTVFSGLGHSLSSVATRVAAIFVFISFASLLSIAGVPCQMKEIKIYACEVSNQHSGALVFLLGQLIASIPFLFLISISSSLIFYFLVGLRDEFNLLMYFVLNFFMCLLVNEGMVLVFASIWQDIFWSTITFVSVHAVMMLSAGYYRIRSDLPVPVWMYPVSYIAFHTYSLQASSGNR >itb07g13480.t1 pep chromosome:ASM357664v1:7:15768907:15775623:1 gene:itb07g13480 transcript:itb07g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDQYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPLWDDTDVEVKVDDGVDSINAATTPASPSLSKLYSGSLPSPHLPEGPPLVKIKIAGASLAWKDLTVTIKGKRKYSDKVIKSSNGYALPGTMTVIMGPAKSGKSTLLKALAGRLPDSARMYGEVLVNGAKSRIPYGSYGFVEKETTLIGSLTVREFLYYSALLQLPGFFCQKKDVVEDAILAMSLGDYGNKLIGGHCYMKGLPRGERRRISIARELVMRPHVLFIDEPLYQLDSVSALLMMVTLKKLASTGCTLIFTLNQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGELSAVNMDTAVAIRTLEATYKSSADAAAVESMIVKLTEKEGPYLKSKGKASSATRIAVLTWRSLLIMSREWKYYWLRLILYMLLALCIGTVFSGLGHSLSSVATRVAAIFVFISFASLLSIAGVPCQMKEIKIYACEVSNQHSGALVFLLGQLIASIPFLFLISISSSLIFYFLVGLRDEFNLLMYFVLNFFMCLLVNEGMVLVFASIWQDIFWSTITFVSVHAVMMLSAGYYRIRSDLPVPVWMYPVSYIAFHTYSLQGLLENEYIGSSFAVGQVRTLSGYQALRNVYDTSEDSNAKWENLLMLFIMAVAYRILVFILLEFCVRKNISVHRLCPCNQDTSKNP >itb12g04150.t1 pep chromosome:ASM357664v1:12:2702887:2703875:1 gene:itb12g04150 transcript:itb12g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIGGSKTAKVMKISGETFKLRATTATAFDVLKDYPGHVLLDSEAVKRSGIRAKPLEAQQELKPKKVYFLVELPRFPDEERAVTRVMSGSGAHVSAKERLECLMLKRRSMSDVSVARQGSGGPVQVKMRLPRNQVEKVIGESRDEAEVARKIFHLCLQNSDDIC >itb12g04150.t2 pep chromosome:ASM357664v1:12:2702949:2703875:1 gene:itb12g04150 transcript:itb12g04150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIGGSKTAKVMKISGETFKLRATTATAFDVLKDYPGHVLLDSEAVKRSGIRAKPLEAQQELKPKKVYFLVELPRFPDEERAVTRVMSGSGAHVSAKERLECLMLKRRSMSDVSVARQGSGGPVQVKMRLPRNQVEKVIGESRDEAEVARKIFHLCLQNSDDIC >itb09g16560.t2 pep chromosome:ASM357664v1:9:11724636:11729568:-1 gene:itb09g16560 transcript:itb09g16560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNPIADVSDSIPPDYKLCGFLRAVLRIKVNPNDDLADALPLGSFCRIAGDAHYDVHFVTDNGVVLAPINSPDPDVSGDATAVPSTSKKKKKEKKKKKKSNSMEVIMDTPSTSKKNNKWSRIGMVNGSLSVVHQLHALVAHKCLSIIARVVCVAAENGEARAVLLIDVYLPVALWSGWRFPKSASAAAALFRHVSCDWKARGSILEYAKLGDEDYCSIWNLSDCHALGCKHHCSAPDPSKKKLFELHEIFKSLPSIVKKVDPDTSRINAADPSRPGIWLVADDILVNILSSLDPIDLVRVSATCHHLRHLTASIMPCMKLKLFPHQQAAVDWMLQRERDVRPLPHPLYMDFVTEDGFVFYLNAVSGEVVTDVAPKVNDFHGGMFCDEPGLGKTITLLSLILKTQGTLAEPPDGVEVIWCSHNGDQKCGYYELRSDNDAGVLPANRGMGQKARRGMFSPDKLMPKRSLQSSLPLRSTFVDSAECVTDPEIKLLPVTFSTPPTCATRCTRSWSHVKRNLMLAYEESALSPEEKNPNKSFKKRKHVSNGQSENMTKKQHSQSHELSSTQKKLKNFNVDDLEHDETWVQCDACRRWRRVDDASAMDISGAWFCSMNTDPLFQTCSAPEESWDSKQPVTYLPGFHTKGTPGGMEENVSFFINVLKDHYTFIDSETKKALTWLAKLSAERLSEMEAAGLVYTFVDIGVPHPYNRIFQAFGLVKRVEKGFTRWLYPRALVNLVFDLDALRIALCRPLNSFRLYLSRATLVVVPSNLVDHWRTQIEKHVRHGQLRVFAWTDRRKPSVHNLAWDYDVVITTFNRLSAEWSPQKKSALMQVHWLRIVLDEGHTLGSSLSLTNKLQMAVSLKATNRWILTGTPTPNIPNSQLSHLQPMLKFLQEEAYGQNQKSWETGIIRPFEAEMEEGRSRLLQLLHRCMISARKKDLLAIPPCIKKVMFLNFTQDHARSYNELVETVRRNILMADWNDPSHVESLLNPKQWKFRSATIRNVRLSCCVAGHVSVTDASQDIQETMDILVGNGLDPSSEEYAFIKYNLQFGGDCMRCKVWCRLPVITPCKHLLCLDCVSLDSEKCTFPGCGNLYEMQSPEILTRPENPNPKWPVPQDLIELQPSYKQDDWNPDWQSTSSSKVTYLVHRLREIQEANRLLVQTIEDEGANSVNDIRLPFLRSNISMTLHGPESDICQVLPEKVIIFSQFLEHIHVIEQQLTIAGIEFASMYSPMHSANKIKSLATFQHDANCLALLMDGSAALGLDLSFVSRVYVMEPIWDKRY >itb09g16560.t1 pep chromosome:ASM357664v1:9:11722698:11729568:-1 gene:itb09g16560 transcript:itb09g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNPIADVSDSIPPDYKLCGFLRAVLRIKVNPNDDLADALPLGSFCRIAGDAHYDVHFVTDNGVVLAPINSPDPDVSGDATAVPSTSKKKKKEKKKKKKSNSMEVIMDTPSTSKKNNKWSRIGMVNGSLSVVHQLHALVAHKCLSIIARVVCVAAENGEARAVLLIDVYLPVALWSGWRFPKSASAAAALFRHVSCDWKARGSILEYAKLGDEDYCSIWNLSDCHALGCKHHCSAPDPSKKKLFELHEIFKSLPSIVKKVDPDTSRINAADPSRPGIWLVADDILVNILSSLDPIDLVRVSATCHHLRHLTASIMPCMKLKLFPHQQAAVDWMLQRERDVRPLPHPLYMDFVTEDGFVFYLNAVSGEVVTDVAPKVNDFHGGMFCDEPGLGKTITLLSLILKTQGTLAEPPDGVEVIWCSHNGDQKCGYYELRSDNDAGVLPANRGMGQKARRGMFSPDKLMPKRSLQSSLPLRSTFVDSAECVTDPEIKLLPVTFSTPPTCATRCTRSWSHVKRNLMLAYEESALSPEEKNPNKSFKKRKHVSNGQSENMTKKQHSQSHELSSTQKKLKNFNVDDLEHDETWVQCDACRRWRRVDDASAMDISGAWFCSMNTDPLFQTCSAPEESWDSKQPVTYLPGFHTKGTPGGMEENVSFFINVLKDHYTFIDSETKKALTWLAKLSAERLSEMEAAGLVYTFVDIGVPHPYNRIFQAFGLVKRVEKGFTRWLYPRALVNLVFDLDALRIALCRPLNSFRLYLSRATLVVVPSNLVDHWRTQIEKHVRHGQLRVFAWTDRRKPSVHNLAWDYDVVITTFNRLSAEWSPQKKSALMQVHWLRIVLDEGHTLGSSLSLTNKLQMAVSLKATNRWILTGTPTPNIPNSQLSHLQPMLKFLQEEAYGQNQKSWETGIIRPFEAEMEEGRSRLLQLLHRCMISARKKDLLAIPPCIKKVMFLNFTQDHARSYNELVETVRRNILMADWNDPSHVESLLNPKQWKFRSATIRNVRLSCCVAGHVSVTDASQDIQETMDILVGNGLDPSSEEYAFIKYNLQFGGDCMRCKVWCRLPVITPCKHLLCLDCVSLDSEKCTFPGCGNLYEMQSPEILTRPENPNPKWPVPQDLIELQPSYKQDDWNPDWQSTSSSKVTYLVHRLREIQEANRLLVQTIEDEGANSVNDIRLPFLRSNISMTLHGPESDICQVLPEKVIIFSQFLEHIHVIEQQLTIAGIEFASMYSPMHSANKIKSLATFQHDANCLALLMDGSAALGLDLSFVSRVYVMEPIWDKSMEEQVISRAHRMGATRPIFVETLAMSGTIEDQMLKFLQDPDEFRSLLKEAHDKQGRDGSRLHRTVHDFAENNYLARLSFVRTSCSET >itb10g15710.t1 pep chromosome:ASM357664v1:10:21927986:21929821:-1 gene:itb10g15710 transcript:itb10g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSSQLKNEMKLSTIATSDGHAENSAYFDGWKAFENDPFHLTQNPQGVIQMGLAENQLCFDLIQDWILSNPKASICTPEGAEDFKDIAIFQDYHGLPEFRKAVARFMEKVRGDRVTFNPDRIVMSGGATGAHETLAFCLADRGDAFLVPTPYYPGFDRDLKWRTGAQLFPVICESSNDFKVTRGALEIAYEKAQEQNIRVRGLLINNPSNPLGTVLDRETLRDVVCFIADKNIHLICDEIYAATVFNRPEFISIAEIIQEFPVNLDLIHIVYSLSKDLGFPGFRVGILYSYNDKVVSCARKMSSFGLVSTQTQHLVASMLSDEVFVEKFVRESSERLRARHAAFTRGLAQVGISTLSSNAGLFAWMDLRRLLKEPSFEAELELWRIVINEVKLNVSNGGSFHCSEPGWFRVCFANMDDATMRVALRRIRAFVLKKKGVEAAAAAKKKLCRGRSLQISLSFKKLDDFISSPHSPVSSPMFQAAN >itb01g11950.t1 pep chromosome:ASM357664v1:1:11310743:11312247:-1 gene:itb01g11950 transcript:itb01g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETPTAFSGAAAMSQETSMFSDDIDSSCSTPYVSAPSSPGRSQPHCGFYYSAPASPMHFVLSTGSLISSTSVHSEASATAVAANSSFEFDFSSRLAGEGDACAGSMSSADELFFNGQIRPMKLSTHLQRPQVLAPLLDLDESEEADMDRSEEQACRGRDLKFRDRSLRRRTRSMSPLRTASIRVAVVLGAQFGFGAALV >itb11g05470.t1 pep chromosome:ASM357664v1:11:3234252:3234623:1 gene:itb11g05470 transcript:itb11g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRRNEFQDMLPVMADKLGGEGLIRELCNGFRLLMDREKEVITFESLKKNSALLGLQDLRDDELRSMVKEGDMDGDGALDQMEFCVLMFRLSPELMHESEALLQRVLQQDLKTADSWFRSN >itb01g32710.t1 pep chromosome:ASM357664v1:1:36124537:36127643:-1 gene:itb01g32710 transcript:itb01g32710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNDHNSCGGNNNNANNNSQLLGFSLSPTMKMDAYTATSAPTSFYHSLSPLHSSGLCYSVGEIGAFHSPLSAMPLKSDGSLCIMEAFSRSQTRSMVPNSSPKLEDFLGGATMGDGQYGSHEREAGILSLDSIYYTPQDVEQEAARQRSLGFHQEPQNHHSYYSNLQCHGFYQQPLEGEPKQSHQLDCGSQIAQMPGNELKNWASVELFGQQAPEQQQIATTALGCNELQPLSLSMSPGSQSSCVTAARQISPTDLECVAMDCKKRASGKVATKQPTHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGISAVTNFDISRYDVDKILASNSPAAGELSRRNKEAAVEYNQENNSTGAVSDWKMALYQSTDQPQQEQQNASFGSNHQKPIFSMAAQNLIGMDSSIIPSVQTHLISNPSSQISSLSNSREASPDKTGLSSETKFLIPTTNNVNAAAAAISSSRSPIPLSMAHLPLFAAWNHS >itb14g12830.t1 pep chromosome:ASM357664v1:14:14580459:14580844:-1 gene:itb14g12830 transcript:itb14g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAMYKSSSLSSGMWRNNGLMGQLPSFGSSPNLKVLRLANNQLYGSVPDELLLGLVPLEELDLSGNGFSS >itb14g02810.t1 pep chromosome:ASM357664v1:14:2411127:2411564:1 gene:itb14g02810 transcript:itb14g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSMIIQETSRLYAPVLTLLRRTKRKTKIGKLTIPAGVEIDIPVLAVHHNPEIWGEDAHLFNPDRFAEGMANATKNNTMAYFTFGTGPRKCVGLNFTILEVKIALTMILQRYTFILSPNYVHSPLMVLTLRPQHGVKILLRPLE >itb12g09010.t1 pep chromosome:ASM357664v1:12:7034134:7035477:-1 gene:itb12g09010 transcript:itb12g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MASTDRRLRIIAGADSFGSDLKDTLVAQLRALNIDVQDLGTDKYYSVGEEIGRRVSQAAATSDPSIETRGLVACGTGVGVAIFANKFPGVYAATCLTPGEAINARSINNCNVLAVSGMNTSPDVASQILDSFLNTPFKSPCPASGSNPWPSDLESFFDSSLPEMSKIGTPKNAEKETPKNAEKDTACMLCSLVKNREFTGVEIMPGGSMSIVRETPTSAFVKFKGGSVEPGHHHTFGHDVVVVQGRKTVWNLSKGEKYDLGVGDYLFTPAGDVHRVKYWEDTEFFIKWEGQWDIFLDEDLAAANAALDKQQKEN >itb13g14930.t1 pep chromosome:ASM357664v1:13:21584107:21587248:-1 gene:itb13g14930 transcript:itb13g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDIYSSLERICLEGSRTQSKLACSAIAALLVLGPSDQFLFPDLCKKLVDSLHMGQHIQTVLQSLGCLAQHSVVAFEAHEQEVTHFITKEIFQSKDAGTSEDQALLENSSNCSSYCKLKKLVDSLHMGQHIQTVLQSLGCLAQHSVVAFEAHEQEVTHFITKEIFQSNDAGTSEDQDLLENSSNCSSYCKLKVHLTELNL >itb06g12880.t2 pep chromosome:ASM357664v1:6:17447561:17455081:1 gene:itb06g12880 transcript:itb06g12880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSSAAAKHQNYHRQVLDFSINVDAQSRADSKCFDDDGRLKRTGSVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPTMMILFSIVTYYTSVLLSECYRSGDPVTGKRNYTYMDAVRSNLGGLQVKICGAIQYANLVGVAIGYTIASAISMMAIKRSNCFHASGGKNPCHINSNPYMIIFGVVEIIFSQIPDFDQIWWLSIVAAVMSFTYSTIGLGLGIAKVAENGKIQGSLTGISVGSNVSELHKIWLSFQAFGAIAFAYSYSLILIEIQDTVKSPPRESKTMKRATLISVAVTTVFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKSAKQKFPHSNLITRDLQVPLVPNFRLNLFRLIWRSLFVVFTTVVSMLLPFFNDIVGILGALGFWPLTVYFPVEMYIVQKQIPKWSTKWVCFQILSAACLVISVAAAVGSFAGVVTDLKVYRPFKTSY >itb06g12880.t3 pep chromosome:ASM357664v1:6:17450186:17455081:1 gene:itb06g12880 transcript:itb06g12880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSSAAAKHQNYHRQVLDFSINVDAQSRADSKCFDDDGRLKRTGSVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPTMMILFSIVTYYTSVLLSECYRSGDPVTGKRNYTYMDAVRSNLGGLQVKICGAIQYANLVGVAIGYTIASAISMMAIKRSNCFHASGGKNPCHINSNPYMIIFGVVEIIFSQIPDFDQIWWLSIVAAVMSFTYSTIGLGLGIAKVAENGKIQGSLTGISVGSNVSELHKIWLSFQAFGAIAFAYSYSLILIEIQDTVKSPPRESKTMKRATLISVAVTTVFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKSAKQKFPHSNLITRDLQVPLVPNFRLNLFRLIWRSLFVVFTTVVSMLLPFFNDIVGILGALGFWPLTVYFPVEMYIVQKQIPKWSTKWVCFQILSAACLVISVAAAVGSFAGVVTDLKVYRPFKTSY >itb06g12880.t1 pep chromosome:ASM357664v1:6:17447561:17455081:1 gene:itb06g12880 transcript:itb06g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSSAAAKHQNYHRQVLDFSINVDAQSRADSKCFDDDGRLKRTGSVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPTMMILFSIVTYYTSVLLSECYRSGDPVTGKRNYTYMDAVRSNLGGLQVKICGAIQYANLVGVAIGYTIASAISMMAIKRSNCFHASGGKNPCHINSNPYMIIFGVVEIIFSQIPDFDQIWWLSIVAAVMSFTYSTIGLGLGIAKVAENGKIQGSLTGISVGSNVSELHKIWLSFQAFGAIAFAYSYSLILIEIQDTVKSPPRESKTMKRATLISVAVTTVFYMLCGCFGYAAFGDSSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKSAKQKFPHSNLITRDLQVPLVPNFRLNLFRLIWRSLFVVFTTVVSMLLPFFNDIVGILGALGFWPLTVYFPVEMYIVQKQIPKWSTKWVCFQILSAACLVISVAAAVGSFAGVVTDLKVYRPFKTSY >itb09g14110.t1 pep chromosome:ASM357664v1:9:9331179:9332170:-1 gene:itb09g14110 transcript:itb09g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFFCPTVNIDKIWSLLPPEVQEKAAKNKDTAPVIDVTQFGYFKVLGKGVLPPSQPVVVKAKLISKIAEKKIKEAGDAVVLTA >itb10g14870.t2 pep chromosome:ASM357664v1:10:21165688:21170822:1 gene:itb10g14870 transcript:itb10g14870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAESEIRPVLGPAGNKARSAELRKPAEKLKSNKVERPRESDCKRFQAMSEAVADPPPKSRSPVSALKKCGSVGSILRKQQDQRSFLMRSNLSLNASCSSDASTDSSQSRASTGRIIRRSMTCTSARRKQCSPKTEKVIEKLASEVECLSVSSDDVSVLKKRCAWVTANTDPLYAAFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNDKKVLMQGSPASSLLSELKLRAIIENARQICRIVDEIGSFDKYIWGFVNSKPIVGNFRYPRQVPIKTSKAESISKDLVRRGFRGIGPTVIYSFMQAAGISNDHLITCFRFQDCIAACDTTDKAESFKTKVEVIQAEDETELGMGMGVGVVQAMDELSLSS >itb10g14870.t1 pep chromosome:ASM357664v1:10:21165688:21170822:1 gene:itb10g14870 transcript:itb10g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAESEIRPVLGPAGNKARSAELRKPAEKLKSNKVERPRESDCKRFQAMSEAVADPPPKSRSPVSALKKCGSVGSILRKQQDQRSFLMRSNLSLNASCSSDASTDSSQSRASTGRIIRRSMTCTSARRKQCSPKTEKVIEKLASEVECLSVSSDDVSVLKKRCAWVTANTADPLYAAFHDEEWGVPVHDDRKLFELLSLCTALAELTWPAILSRRQTFREVFQNFDPVAVSKLNDKKVLMQGSPASSLLSELKLRAIIENARQICRIVDEIGSFDKYIWGFVNSKPIVGNFRYPRQVPIKTSKAESISKDLVRRGFRGIGPTVIYSFMQAAGISNDHLITCFRFQDCIAACDTTDKAESFKTKVEVIQAEDETELGMGMGVGVVQAMDELSLSS >itb01g34140.t1 pep chromosome:ASM357664v1:1:36981225:36983111:1 gene:itb01g34140 transcript:itb01g34140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKYLNPSIVVVAIALYVVTHYVSGNAKSQTRRLPPEAGGAWPIIGHLHIFSGSSLPHIVLGDMAEKYGPAFTIRIGVHRGLVISDWKLAKELTTAHDVHISSRPKSRAAKHLGYNNFLLAFTPYGPYWRDIRKLISTELLSNRRLEQLKHIRAAEVETSVKELYKLWTENKNSDPSGHVLMEMKKWFGDLTLNMVLQMVVGKRIFGMATDCDEKEGRLCQRVLRDFVKFLGVFVPADALPFLGWLDIGGYEKTMKKVAKEMDSLVDEWLQEHRRKKDAKGDESTVCDDEKDFMDAMLSRIEGIDLNGCSADTAIKATCMSMIAGGTDTVTVVLTWALSLMMNNPHVLKMAQEELDMVVGKERKVNELDINNLIYLQAIVKETLRMYPAAPLGGPRMFTEDCTIYGFHVPKETWLFFNMQKLQRDPQVWSSPHEFKPERFINCHKEVDVFGRNFELIPFGIGRRICPGTTFSLQMLHLILANLLHSFELSNVSREGIDMTETVGLSNLKGTPLEILLAPRLSAHLY >itb13g12460.t2 pep chromosome:ASM357664v1:13:18641776:18646826:1 gene:itb13g12460 transcript:itb13g12460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MDQKMNVFIWDMDETLILLKSLINEKFAESFNGSKNWTTGVRIGKAWEKHLLQICDDNFFYEQIENCNMPYLDTMSQYDDGRDLTDYDFDNDGFSPPTDDANKRKLAYRHRVIAQKYKKGLHSILNGDMIKCWNDLYDETDSYTDRWFSSARTCLEQCASANAELTPSDCSPIDANDGQGTKYQHVNVLVTSGTLIPSLVKCLLFRLGDIISYENVYSAWDVGKMQCFTWIRERFSGPNVQFCVIGDGWEECEAAEAMRWPFVKIDPCSSKYHRFPGLTPKDLDLYLAVVYENRNDEKNKEDLKSPVEP >itb13g12460.t1 pep chromosome:ASM357664v1:13:18641437:18646885:1 gene:itb13g12460 transcript:itb13g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MDQKMNVFIWDMDETLILLKSLINEKFAESFNGSKNWTTGVRIGKAWEKHLLQICDDNFFYEQIENCNMPYLDTMSQYDDGRDLTDYDFDNDGFSPPTDDANKRKLAYRHRVIAQKYKKGLHSILNGDMIKCWNDLYDETDSYTDRWFSSARTCLEQCASANAELTPSDCSPIDANDGQGTKYQHVNVLVTSGTLIPSLVKCLLFRLGDIISYENVYSAWDVGKMQCFTWIRERFSGPNVQFCVIGDGWEECEAAEAMRWPFVKIDPCSSKYHRFPGLTPKDLDLYLAVVYENRNDEKNKEDLKSPVEP >itb13g12460.t4 pep chromosome:ASM357664v1:13:18641420:18646973:1 gene:itb13g12460 transcript:itb13g12460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MDQKMNVFIWDMDETLILLKSLINEKFAESFNGSKNWTTGVRIGKAWEKHLLQICDDNFFYEQIENCNMPYLDTMSQYDDGRDLTDYDFDNDGFSPPTDDANKRKLAYRHRVIAQKYKKGLHSILNGDMIKCWNDLYDETDSYTDRWFSSARTCLEQCASANAELTPSDCSPIDANDGQGTKYQHVNVLVTSGTLIPSLVKCLLFRLGDIISYENVYSAWDVGKMQCFTWIRERFSGPNVQFCVIGDGWEECEAAEAMRWPFVKIDPCSSKYHRFPGLTPKDLDLYLAVVYENRNDEKNKEDLKSPVEP >itb13g12460.t3 pep chromosome:ASM357664v1:13:18641437:18646885:1 gene:itb13g12460 transcript:itb13g12460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MPYLDTMSQYDDGRDLTDYDFDNDGFSPPTDDANKRKLAYRHRVIAQKYKKGLHSILNGDMIKCWNDLYDETDSYTDRWFSSARTCLEQCASANAELTPSDCSPIDANDGQGTKYQHVNVLVTSGTLIPSLVKCLLFRLGDIISYENVYSAWDVGKMQCFTWIRERFSGPNVQFCVIGDGWEECEAAEAMRWPFVKIDPCSSKYHRFPGLTPKDLDLYLAVVYENRNDEKNKEDLKSPVEP >itb01g08760.t2 pep chromosome:ASM357664v1:1:7010450:7015698:-1 gene:itb01g08760 transcript:itb01g08760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFSFSKKEDTGQKSAPQRGFCMKQTESQLQDQDNTSTLSTDQSHQTVAAMTPSNCRMPKVGPQPGYAEIHERQMKDCSIKPSQPHLDEDCTIHQGQLDFSQSMACLSWTEPYLGRLVATYGPNGIIYPQMVGIVPARMPLPSECAESIPIYVNAKQYRAILRRREIRAKLEAENKVVKVRKPYLHESRHAHALKRARGSGGRFLNKNELQQLKSAASPTHGKNISNQKGGGDISGSQLQHSESGSWGTSTPSGSDVTSIFSGDGIFQQPEFRVSSSPYHMGISMHEAENFVRRRT >itb01g08760.t1 pep chromosome:ASM357664v1:1:7010450:7015772:-1 gene:itb01g08760 transcript:itb01g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFSFSKKEGDQTAAQSFTPMSITSSSLWNSTDQPENPLSESADTGQKSAPQRGFCMKQTESQLQDQDNTSTLSTDQSHQTVAAMTPSNCRMPKVGPQPGYAEIHERQMKDCSIKPSQPHLDEDCTIHQGQLDFSQSMACLSWTEPYLGRLVATYGPNGIIYPQMVGIVPARMPLPSECAESIPIYVNAKQYRAILRRREIRAKLEAENKVVKVRKPYLHESRHAHALKRARGSGGRFLNKNELQQLKSAASPTHGKNISNQKGGGDISGSQLQHSESGSWGTSTPSGSDVTSIFSGDGIFQQPEFRVSSSPYHMGISMHEAENFVRRRT >itb12g09260.t1 pep chromosome:ASM357664v1:12:7280274:7283245:-1 gene:itb12g09260 transcript:itb12g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFIIFPLVFHILCLLALSQTNKEEAEALLQWKINGLSSSSTSLLDSSWSVNNITNVCNWEGIICNKGGSVSELNLPHESIRGTLEPFRFSLLPNLTRINLKNNSLSGVIPSAITTLLKLTFLDLSNNNFTGAIPAEVGQLTQLQYLSFFRNCLTGVIPFEVSNLQNLRYLDLGVNNLETPDWSKFRGMPSLTHLNLRYNKLTLDFPKHWIENCTNLSYLDLSKNHFTGQIPKFAFSKLQNLEHLDLIDNLFQGPLPSSLANLTKLKLLRLSNNNLSGTIPDEIGSIISLRVLKLFNNSFHGRIPPSIGNLKHLQYLALGRNLLNYTIPSELGLCTNLENLYLHNNFLTGELPATMSNLSKLVMLQLQFNNLSGLLLPQLIVNWTQLNLFSIESNFFTGRIPYEISLLTQVQYLYLNDNQLSGHIPSSIGNMPNLGLLYLSENDLSGTIPPSVGNLTELNVLELSYNNLKGSIPREIGRLTSLADISVNVNLLDGSETLSNICNVTSLVGISLAINKLNGVIQECLGNATALYYLDLKENQFHGGFPDSLCNAPDFQSLSLSSNYLSGEIPNCVGNFSNQLSLLSLANNLFHGALHKDFCERDHRILLCISIWSLCGIFCSAIWKTQLVAKETHMEERNGFQEGMVEQ >itb14g15440.t1 pep chromosome:ASM357664v1:14:18751858:18752316:1 gene:itb14g15440 transcript:itb14g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYRYNATREEDETFALPSPYPRPLSSSSTPAPLAPARPVTKKRGKGSGRRVRLPALCAARIFQLTRELGHRTDGQTVEWLLHHVHPSVFPSSYAAATEDLSASPSPPYYPQTPAAAPETEQDAVGATSFMALLMQAEKGDFVVQQEMGDY >itb14g02170.t1 pep chromosome:ASM357664v1:14:1755957:1757740:-1 gene:itb14g02170 transcript:itb14g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRCSMNCLREKQESDMGIGEKASAECVFALCWLQAIGDRMDLSVQLRDQIQALMLQLQLLNNILMCTEHCSEDMYLNHFLGGVSKMVSDIHSSLFDGVDRNYGKIQELESIVMNALENINVIKTDIVMVHVLFSKLSCSQGRMSDRILLEFLDMSMENLRGLMKSKADLLVPLKGQIGILEQKLRFLRKFVDFARNGYIEHENLGHLVTVIGAVVDKVGCLGALYWIDEMDDDMASRLRLKLCQLLQKIELVMPEVKLILIKFQKASMLLQSNKTTITDELLWDFVYFIIENLKEFIKGKINLMGSLDYQVESLHVEYRLLRTSLIKQQCFVKKLLKLLSESYRVYIHSNSTIKMVDLLTHMEAVSKEAATAMNSFGIHDTTDEGRALGITFASFDLLDKLIPFCSEGMQDLQSHIMKQRENAQPHEYDPLNCLECQEKHEGLSVNLKDAIQRLKMKFGYLQLILRRWSGEDCDFGIINEVIKATSPYCHKACVAIKYGKLDSALLNLTSVLSYANNNMKSFDLG >itb06g00220.t1 pep chromosome:ASM357664v1:6:635927:638316:1 gene:itb06g00220 transcript:itb06g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHIQKSRCSACAYPAARTRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTNFREGTEATPRKKGAAASS >itb09g02210.t1 pep chromosome:ASM357664v1:9:1313945:1315504:1 gene:itb09g02210 transcript:itb09g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELHVLFFPFMAQGHMIPTLDMAKLFASRSVKATIITTPLNQPVFSKAVDKYSQLGLQIQIRLLQFPAVEVGLPEDCESADKIPSSDALPKFFKACAMLQKPLEDLLQELRPNCLVADMFFPWATEAAAKFDIPRLIFHGSSYLAMCATDSLRTHKPFNDVSSDLELFNIPNLPHELKLTRLQVSPMERSEIETPFTEILKRLTESEETSYGVIFNSFYELEPDYAEHYKNVLGRRAWSVGPLSLYNRDVEDKAQRGKKSAIDEHKCLEWLDSKNPHSVVYVCFGSVANFAPSQLHEMAMGIEASGMDFVWVIRNKREEDNGSEKWMPEGFEERTKGKGLIIRGWAPQVLILDHEAVGAFVTHCGWNSTLEGICGGVPMVTWPVFAEQFVNEKLMTDILGTGIGVGSKEWKRADSDGVKREAIAEAIKKVMIGEESEEMRSRAKAMKEKAKKAIEEGGSSYLGLSSLLDELRAYHAKH >itb10g25510.t1 pep chromosome:ASM357664v1:10:28805516:28806203:1 gene:itb10g25510 transcript:itb10g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINLMTVFHVAKYIVRSRSTTTTADVPRGHLAVYVGECPDERHRFVVPISYLNHPLFHDLLSLAEEEYGFRHPTGGLTIPCTEAAFLNIISHLQSQLSS >itb15g11140.t1 pep chromosome:ASM357664v1:15:8948940:8949484:-1 gene:itb15g11140 transcript:itb15g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDALSKRNNYTRTAIENPVREALRAREARPEGRRGSVHLSDVGNRGRKEADFRTGLKRMSAFRPAASTGTPLESPPTLSWNRTSCRSFAQLWRNLLRR >itb02g20720.t1 pep chromosome:ASM357664v1:2:18808942:18811481:-1 gene:itb02g20720 transcript:itb02g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAASLPHQTTTTTSQLQYPESTNSSPRSRQAETWDEPLPPVPGAKLRLMCSYGGHIIPRPHDKSLCYVGGDTRIVVVDRSSSLAELHSRLSHTLLNGRHFTLKYQLPTEELDSLISVTTEEDLENMVEEYDRTVSASPLRPSRLRLFLFLSKPETAASMGCLLADAKSETWFVDALNNAGLLSRGLSDSATENNNIVDLDGMIQSGSVTDLQKNHHQNEALISSSDNNKQYTVPDSQVVIETTTTSSFDSSSVSSPSMANLPPIKVRVEGGGLRVNDKMFGLEEQLSQINVGPQTTQKQEDGLDNVAATAAVPPTIFAINSAVISDYEKLDDGAPPGIRKPPLPLQLVPRKTGDGYGLSSPDSRHAPGGFNLASPDSVASDSSITSAASFSKHATNQDAAQAIISRENRAAIDPKSNIMDNTSSLIQMQQGQDSAAAIPPPQQNQQQFIHPNTHYFQHPAAGGGAVPISSYYPMYTGAPPSQQQMDQHQQYPMYLLPVTQPYNNFAAAAAPQSSTQTINAAAAYKEALPPIYPTKPAPSSKPEAAAASVYRTPALFHQQFFGLSSQAPPPPAPATSANYGYDYSHPTQDQQLYYAQQHQVAPLPSQYQTMTPTTALLLSQATSHIAAENAMQQPKTSQPL >itb04g30790.t1 pep chromosome:ASM357664v1:4:33824499:33829189:1 gene:itb04g30790 transcript:itb04g30790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMNMLTTVVHLISLATFLCLVGPEFNLTQRVGAEKTMRCLERERKALIEFKKGLLDDDGVLSSWGSEDHKRDCCKWWGVRCSNKTGHVTAIDLHCPVDDDFLPLQTPLGGKVSPSLLELKHLSYLDLSYNDFQGQSIPDFIGSLNSLKVLNLAFASFAGNVPTHLGNLTSLHILNVSWNSDLSINNLEWLSRLSSLRYLDLSVVPFEQTLWPETIVNLPDSLEKLQLSTCGLFETIPFTINSSASLSVVDFSYNNLTLSSVSNWLQNFSTSLTSIGLSHNNLAGPIPETFGHMEFLQRLDLSGNKLSGSIPKSFRNLSHLVSLSLSDNQLSEPVSELLEKLSEHAGQSLESLWLDGNKLNGSLPDISTRFSSLRELVVSNNQLDGSLPQSFGLPSSLESLGLRGNRIMGLFPDIKTFGLESHLKFLDLSDNQITGPLPDLTPYSSMIELYLQGNQLQGVITEAHFSSLTRLRVLDLSFNSLSLNISADWNPPFQLEAIQLASCKMGPQFPKWLQTQGGFFALDISSAGISDTVPDWFWDLSPRIEYVNLSYNKIDGKVPDLSTKFSGAQVIDFRNNGFWGLMPSIPPEVKSFHLSHNNFSGSISFMCTDTTHFTTSLDLSYNQLSGEIPNCFENYTDLVVLNLANNYFSGKLPHSLGFLQGLLLLHMRNNNFTGKFPRSLQNCTSLRMIDLGGNQFTGRIPLWIWTSLSKLIVVSLRHNKFSGNLPPSLCHLNNIQVLDFSRNRMEGRIPSCFYNFTSLIQKNNSTGKTIVGVGEYFGAVYNDVDYIDNILIQWKNQDSEYRSILRFLKIIDLSSNHLIGDIPEEFSVLRGLISLNLSRNHLTGKIIPGIDQMEMLESLDLSRNQLSGEIPAGLAHLNFLSVLDLSNNNLSGKIPSGTQLQGFNATSYAGNSGLCGDPLPKCHEDVPTIKGKGDDYEEDDDRLLTLEFYISMVLGFSVSFWGFVVTLFLKDSWRYAYYKFLSDSKDWVYVTMKVSLPDGPFFDLEFTTVLDEEDGDSKSGGNGGGGGGGDKSNDHQHAGEDDESKPDDSDKEEG >itb14g17930.t1 pep chromosome:ASM357664v1:14:21103951:21106382:-1 gene:itb14g17930 transcript:itb14g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFSPSNSLQALKFDNAGRIHSLASFDLRRSFNAAARFLESRAGPGAAVGGGGGLVIVNASKQDAVAFNPFEEVKKELRLVSTDSHASLARHKFKDACEDAINDQINVEYSISYVYHAMYAYFDRDNVALKGIAKFFKDSSEEERQHAEKLMDYQNKRGGKVMLHTLLMPLSDFDHQEKGDALYAMELALSLEKLTNEKLLKLHKVADEQNDTQLTDFVEEEFLAEQVESIKKISEYVAQLRRVGDGHGVWHFDQMLLNNNGAA >itb01g35140.t1 pep chromosome:ASM357664v1:1:37504317:37505413:-1 gene:itb01g35140 transcript:itb01g35140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLRTMSKAEIDTSAPFRSVKEAVMLFGERVLAGEVYSNKLQEMQDGSSSENDGVPSRLSSVTAELEETKQSLQKAQEESLVMATCLSSLQEELRRTKSELRQLKQHSGKQGEEDSEIEDLKFIEDTEVKHENVVEFQKKRYVTFANPPAYSRHVMAPPSSDDVVLERHPSLKKKKKKPLIPLIGFFSRKKTT >itb07g20760.t1 pep chromosome:ASM357664v1:7:25107745:25108987:-1 gene:itb07g20760 transcript:itb07g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKHGDIFYLTLTGDGEHRLNPDLITTLGAALADVKSRAARGSVLITKAEGNFFSNGFDLKYAKAAGSPEAAADRLHQMVDMFRPIVADLISLPMPTIAAVTGHAAAAGLMLAMSHDYVTMRSDKGVLYMSELDIGLPLPDYFTALIGSKVTSLAARRALVLKASKLKAAEALGLGLIDSAHANAEETVKAAVQIAETLSKRRWEGKNYAVIRKSLLPEACTVLKVTETPVRPSRL >itb02g14590.t1 pep chromosome:ASM357664v1:2:10629231:10630007:1 gene:itb02g14590 transcript:itb02g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVPFGPSIRSSFESRLCFGVADPRTVVIIEVILKYKQTIYLRNRRGYLVDFYDINSDFNACFDFPELSYCELSAQIGQWLPGLSPSLSTQLSQRILVYAQQVAVAANARHRLNDNGGANKITAFVEIEEPELQPTDDDQVQDYSGVRADLDDDGGIVVLSFGNNFIDGYYDANNDESSSKPPRGLSWDEINGLKQERFKNGTAAEMCSICLEEFSAGVKIMPLSCSHTFHHNCIASWLQKQACCPICRFDITQPC >itb13g15520.t1 pep chromosome:ASM357664v1:13:22382295:22383420:-1 gene:itb13g15520 transcript:itb13g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVRSGTKNWTCTGAILLEDYHLVEKLANFDYERIPECVVHAKGASAKGFFEFSTVIYDCGSPETLRDPRSFAVKFYTTG >itb08g14100.t1 pep chromosome:ASM357664v1:8:15735975:15738020:-1 gene:itb08g14100 transcript:itb08g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPTPVTIHVTGFKKFQGVPKNPTERLVTNLRSYVEKRGLPAGVTLGSCIVLETAGEAALPALCRVIESGTSATNSSSGRQVVWLHLGVNSGAFKFAIEQQTVNEATFRCPDELGWQPQQLPIIAEDGGITCKRKTSCSAEAILELLNKKRYEVTISDDAGRFVCNYVYYHSLRLAEEKGHKTLFVHVPPFYRISEETQMQFVVALLEAIASTC >itb05g14280.t1 pep chromosome:ASM357664v1:5:21332879:21333436:-1 gene:itb05g14280 transcript:itb05g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWEAVGSSEVDCEAVGDCEGVGPSWGGDCEVVGPSSEEDCGGGGAIIGGIAWRWGHHGGGLRGGGAIIGGGLRGGGAIIGGGLCGGGAIMGGGLRGGGAIIGGGLRGGGAIIGGGLRGGGAIVGSGLPPPRQGGSTGSEIEVPREKDARLSRESRRIAKAWSLEAILEKLYKIFVICFCWYIW >itb12g02320.t1 pep chromosome:ASM357664v1:12:1522638:1525127:1 gene:itb12g02320 transcript:itb12g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRGAMLGSGGVSDGYEIGSKRPRMMESNPYFAVSSGSSGYRQAYSYGSGYPPSAFPVVRLRGLPFNCAEFDIYKFFAGLDIVDVFLVNKNGRFSGEAFVVFAGHMQAEHALQRDRQNMGRRYVEVFRCKKQDYYQAISAEVKDTGVEYDYHGSSPPHRPKRTQNKDQMEYTEILKLRGLPFSVKKSDIIKFFGGDFDLKDDKVHLAYRPDGKATGEAYVEFPSSEEAKNAMCKDNMMIGSRYIELFPSSQDEARRAESRYRQ >itb12g18510.t1 pep chromosome:ASM357664v1:12:20808763:20813889:1 gene:itb12g18510 transcript:itb12g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFFRTISLWSMAVVFLLRSAAGEWETCSWIVPMSYRNDKISIKDFGGVGDGRTLNTNAFREAIYRIEHLRRRGGTLLYIPPGVYLTGPFNLTSHMTLYLARGAVIKATKDTRHWPLIPPLPSYGRGRERLGGRHMSFIHGNGLRDVIITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFLNSRGILISNVIFMNSPFWNIHPVYCSNVVVRYVTILAPADSPNTDGIDPDSSSHVCIEDSYISTGDDLVSVKSGWDEYGIAYGRPSHGITIRRVTGSSPFSGISVGSETSGGIVDVLAEHINLYNIGVGIFIKTNIGRGGIIRNITVSNVYMENARTGIKIAGDVGDHPDNRFNPNALPIVNGIKIQDVWGEKVLQPGYIRGLKKSPFTHICLSNIHLNGAPSPRNPPWKCSDVHGAAIQVSPWPCSELATNHRYGACSVTL >itb12g18510.t2 pep chromosome:ASM357664v1:12:20808763:20813889:1 gene:itb12g18510 transcript:itb12g18510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFFRTISLWSMAVVFLLRSAAGEWETCSWIVPMSYRNDKISIKDFGGVGDGRTLNTNAFREAIYRIEHLRRRGGTLLYIPPGVYLTGPFNLTSHMTLYLARGAVIKATKDTRHWPLIPPLPSYGRGRERLGGRHMSFIHGNGLRDVIITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFLNSRGILISNVIFMNSPFWNIHPVYCSNVVVRYVTILAPADSPNTDGIDPDSSSHVCIEDSYISTGDDLVSVKSGWDEYGIAYGRPSHGITIRRVTGSSPFSGISVGSETSGGIVDVLAEHINLYNIGVGIFIKTNIGRGGIIRNITVSNVYMENARTGIKIAGDVGDHPDNRFNPNALPIVNGIKIQDVWGEKVLQPGYIRGLKKSPFTHICLSNIHLNGAPSPRNPPWKCSDVHGAAIQVSPWPCSELATNHRYGACSVTL >itb12g18510.t3 pep chromosome:ASM357664v1:12:20808763:20813889:1 gene:itb12g18510 transcript:itb12g18510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFFRTISLWSMAVVFLLRSAAGEWETCSWIVPMSYRNDKISIKDFGGVGDGRTLNTNAFREAIYRIEHLRRRGGTLLYIPPGVYLTGPFNLTSHMTLYLARGAVIKATKDTRHWPLIPPLPSYGRGRERLGGRHMSFIHGNGLRDVIITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFLNSRGILISNVIFMNSPFWNIHPVYCSNVVVRYVTILAPADSPNTDGIDPDSSSHVCIEDSYISTGDDLVSVKSGWDEYGIAYGRPSHGITIRRVTGSSPFSGISVGSETSGGIVDVLAEHINLYNIGVGIFIKTNIGRGGIIRNITVSNVYMENARTGIKIAGDVGDHPDNRFNPNALPIVNGIKIQDVWGEKVLQPGYIRGLKKSPFTHICLSNIHLNGAPSPRNPPWKCSDVHGAAIQVSPWPCSELATNHRYGACSVTL >itb12g18510.t4 pep chromosome:ASM357664v1:12:20810983:20813817:1 gene:itb12g18510 transcript:itb12g18510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIHGNGLRDVIITGENGTIDGQGDIWWNMWRQRTLQFTRPSLVEFLNSRGILISNVIFMNSPFWNIHPVYCSNVVVRYVTILAPADSPNTDGIDPDSSSHVCIEDSYISTGDDLVSVKSGWDEYGIAYGRPSHGITIRRVTGSSPFSGISVGSETSGGIVDVLAEHINLYNIGVGIFIKTNIGRGGIIRNITVSNVYMENARTGIKIAGDVGDHPDNRFNPNALPIVNGIKIQDVWGEKVLQPGYIRGLKKSPFTHICLSNIHLNGAPSPRNPPWKCSDVHGAAIQVSPWPCSELATNHRYGACSVTL >itb04g18510.t2 pep chromosome:ASM357664v1:4:22036512:22055992:1 gene:itb04g18510 transcript:itb04g18510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLRPGNAPPNYNPNSLADNMQNLQINRPNQPPSNPTSVPRPSSGFGQQPPHSSPFGQQPPTFATGPPVGRPGPPPPGVLPRGMTPPGVVPQNAMPPNAAIRPTGPPMGQTPPPFAARPPPGSLPSSIGGPSVPPPPGTLPSSTPGPQQGPFMASPFASGSIAPPSSMPTASSNGPPMLGSGPMQSGPRFPPASGMVRPPVGAPPSAMMSSGTPSQFPSMRSPFGSPPPTAPVTSQPPIPFSAPPPSVPSPFAAPVQGTTPPSPYSMHTLQTQSHQVAPPGSMQPPRMYGIPPPLQSQSVASIAPPLSHTGASVTGPSKIDPNQIPRPIPGSSVILHETRQDNQANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTFDLLTTSAMQLALLVQPLALPHPSEEPIQVIDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGYTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFIASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQVISDLPEGPRTFVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLENIPTMFQNNRTADSSFGAAIKAAFLAMKSTGGKLLVFQSVLPSIGLGALSAREAEGRTNISAGEKETHKLLQPADKILKTMAIEFAEYQVCVDVFLTTQTYVDIASISVIPRTTGGQVYYYYPFSALSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYNGNFCKRIPTDVDLPAVDCDKTIMVTLKHDEKLQDASECAFQCALLYTTIDGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFACILKQAANEVPIAPLSQIREQVTTQCINILYSYRKYCATVSSSGQLILPEALKLLPLYTLALVKSTGLRTDGRIDDRSFWINYVSPLSAPLAIPFVSPRMIAIHELNSKEADGLLIPPSIPLSSEHISDSGIYLLENGEDCLIYVGSSADPDIMRQLLGISSVDEIPAQFVLQQHDNPLSKKLNEIINDIRRLRCNYLRLKLCKKGDSSGMLFFSYMVEDKTPAGLSYVEFLIHIHRQIQSKMA >itb04g18510.t1 pep chromosome:ASM357664v1:4:22036367:22055992:1 gene:itb04g18510 transcript:itb04g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGLRPGNAPPNYNPNSLADNMQNLQINRPNQPPSNPTSVPRPSSGFGQQPPHSSPFGQQPPTFATGPPVGRPGPPPPGVLPRGMTPPGVVPQNAMPPNAAIRPTGPPMGQTPPPFAARPPPGSLPSSIGGPSVPPPPGTLPSSTPGPQQGPFMASPFASGSIAPPSSMPTASSNGPPMLGSGPMQSGPRFPPASGMVRPPVGAPPSAMMSSGTPSQFPSMRSPFGSPPPTAPVTSQPPIPFSAPPPSVPSPFAAPVQGTTPPSPYSMHTLQTQSHQVAPPGSMQPPRMYGIPPPLQSQSVASIAPPLSHTGASVTGPSKIDPNQIPRPIPGSSVILHETRQDNQANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTFDLLTTSAMQLALLVQPLALPHPSEEPIQVIDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGYTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFIASKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQVISDLPEGPRTFVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLENIPTMFQNNRTADSSFGAAIKAAFLAMKSTGGKLLVFQSVLPSIGLGALSAREAEGRTNISAGEKETHKLLQPADKILKTMAIEFAEYQVCVDVFLTTQTYVDIASISVIPRTTGGQVYYYYPFSALSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYNGNFCKRIPTDVDLPAVDCDKTIMVTLKHDEKLQDASECAFQCALLYTTIDGQRRIRVSTLSLPCTTMLSNLFRSADLDTQFACILKQAANEVPIAPLSQIREQVTTQCINILYSYRKYCATVSSSGQLILPEALKLLPLYTLALVKSTGLRTDGRIDDRSFWINYVSPLSAPLAIPFVSPRMIAIHELNSKEADGLLIPPSIPLSSEHISDSGIYLLENGEDCLIYVGSSADPDIMRQLLGISSVDEIPAQFVLQQHDNPLSKKLNEIINDIRRLRCNYLRLKLCKKGDSSGMLFFSYMVEDKTPAGLSYVEFLIHIHRQIQSKMA >itb03g05470.t1 pep chromosome:ASM357664v1:3:3819664:3823724:1 gene:itb03g05470 transcript:itb03g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMEERGANEQQQRLPTANEAARHPAPAEFTGTCTGNLLQTALVMLAWLGVPHAIVVIVFASFIFLSFINAMMVIGLLFLLIFIPIDQNSKWGIRIARCICMQASGYFPMHLYVEDIGAFDPNRAYIFGYEPHSIWPVGMVMLCALTGFMPLPKIKGLCSSAVFNTTVMRHIWTWLGMSAATKQNFKSLLSSGHSCIIVPGGTQETFYMEHDCETLFLKSRKGFVRISMEMGTPLVPVFCFGQSNVYKWWKPSGKLYLKFSRAIKFTPTLFWGYMGSFIPFQHPIHVVVGRPIEVEKNTQPTAQELEALHSRFIEALQQLFEKHKMRLGYTHLNLKIL >itb12g25800.t2 pep chromosome:ASM357664v1:12:26908806:26911729:-1 gene:itb12g25800 transcript:itb12g25800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLTGLLKDVAVKFPSRTAISVSGKFDLSHARLDQLVERAASSLLAAGVNPGDVVALTFPNAVEFIIMFLATIRVRATAAPLNAAYTAEEFVFYLSDSESKVLLTPIEGNEAAQAAASKLEIPHLTALLSDAESDIALDPIPSVSDPNAVSKLVNDPSDVALFLHTSGTTSRPKGVPLTQFNLVSSVTNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLGAGGSITLPAAGRFSASTFWSDMNNYHATWYTAVPTIHQIILDRHLSKPEPVYPKLRFIRSCSAALAPSVLSRLEEAFGAPVLEAYAMTEATHLMASNPLPEDGPHLPGSVGRPIGQEMAILDENGVPQEADAHGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDLGYLDSNGYLHLVGRIKEMINRGGML >itb12g25800.t1 pep chromosome:ASM357664v1:12:26907764:26911735:-1 gene:itb12g25800 transcript:itb12g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLTGLLKDVAVKFPSRTAISVSGKFDLSHARLDQLVERAASSLLAAGVNPGDVVALTFPNAVEFIIMFLATIRVRATAAPLNAAYTAEEFVFYLSDSESKVLLTPIEGNEAAQAAASKLEIPHLTALLSDAESDIALDPIPSVSDPNAVSKLVNDPSDVALFLHTSGTTSRPKGVPLTQFNLVSSVTNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLGAGGSITLPAAGRFSASTFWSDMNNYHATWYTAVPTIHQIILDRHLSKPEPVYPKLRFIRSCSAALAPSVLSRLEEAFGAPVLEAYAMTEATHLMASNPLPEDGPHLPGSVGRPIGQEMAILDENGVPQEADAHGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDLGYLDSNGYLHLVGRIKEMINRGGEKISPIEVDAVLISHPEIAQAVAFGVPDDKYGEEINCAIIPREGSNINEEEVSKFCKKNLAVFKVPKKVFITDSLPKTATGKIQRRLVAEHFLAQISTAKVPKFGA >itb06g07630.t1 pep chromosome:ASM357664v1:6:11266883:11268892:-1 gene:itb06g07630 transcript:itb06g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQRQRPLSSTPSGVITPTEPIIHLGSQKSAAWILDTEQAAAPACHDPKPSAISITPLHCQTASATGHRPRTVRPPPPPATALALSLHPRLLALSESHRHSPSVRHCQTRCQMEEISPLYMAPSSDELAISTSETVGASQISKKRKATKSRSEVWSHFKKVTSSSGVTS >itb01g28230.t1 pep chromosome:ASM357664v1:1:32747650:32749514:1 gene:itb01g28230 transcript:itb01g28230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVVMSLVRLPILAFRGRVIYSRTKDEVEKSAAELLHVIEEKRRQEESVALGFDTEWKPSFVKGVSPGKAAVVQICGDMDNCYVFHIFHSGISQSLQLLLENSTTPKVGVGIAIDASKVFKDHNVRLKGLKDLSALANQKLHGGPIKWS >itb03g28340.t1 pep chromosome:ASM357664v1:3:29119984:29120616:1 gene:itb03g28340 transcript:itb03g28340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 2 [Source:Projected from Arabidopsis thaliana (AT5G57520) UniProtKB/Swiss-Prot;Acc:Q39261] MSYEPNTSLNLSLSNNNNELKQRRGSPAGAEPRVFSCNYCRRKFYSSQALGGHQNAHKLERTLAKKSREISLAAVRAPAGAGPSSPSCFGPARLGTDDDDDDGIREMVYGSRNSANNGQEDFTQLDLSLRL >itb03g05460.t1 pep chromosome:ASM357664v1:3:3807331:3809063:1 gene:itb03g05460 transcript:itb03g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALTGFMPLPKIKGLCSSAVFNTTVMRHIWTWLGMSAATKQNFKSLLSSGHSCIIVPGGTQETFYMEHDCETLFLKSRKGFVRISMEMGTPLVPVFCFGQSNVYKWWKPSSKLYLKFSRAIKFTPTLFWGYMGSFIPFQHPIHVVVGRPIEVEKNTQPTAQELEALHSRFIEALQQLFEKHKMRLGYTHLNLKIL >itb03g05460.t2 pep chromosome:ASM357664v1:3:3805979:3808876:1 gene:itb03g05460 transcript:itb03g05460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGANEQQRSPPIPAEFMGTCTGNLLQATLIMLAWLGVPHSIVVIVFASFIFLSFINAMMVIGLLFLLIFIPIDQNIFGYEPHSIWPVGIVMLCALTGFMPLPKIKGLCSSAVFNTTVMRHIWTWLGMSAATKQNFKSLLSSGHSCIIVPGGTQETFYMEHDCETLFLKSRKGFVRISMEMGTPLVPVFCFGQSNVYKWWKPSSKLYLKFSRAIKFTPTLFWGYMGSFIPFQHPIHVVVGRPIEVEKNTQPTAQELEALHSRFIEALQQLFEKHKMRLGYTHLNLKIL >itb14g21150.t1 pep chromosome:ASM357664v1:14:23295610:23297734:1 gene:itb14g21150 transcript:itb14g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKIKIKRFSCSFSFSTPLNYTSGGELEIHRLMKQRSMSPKTLDPRRAIENCIFHLHSWRPFQVQSKTLDSSDSAKPYAAQGNGFFSKRPCRADRATAFPIDALDMSKLSLFDEDRPLSAHKRDSGIRWIARKRRRRGSRSVSGRSSDRSGTRLRCCSVGASAACGTCSDFPMAPGTDSSGELFVNGDVNWASDVSEAARNSRRRERDGGSAERESSIAASHSQIGNAESQGNESGYGSEPGYRGDGEIGYGDEFDEEEDDQRLLFWGHEFGASKAEKMGKNALQKAHHRCRRRKHDIKMVDLMT >itb12g24040.t1 pep chromosome:ASM357664v1:12:25710600:25714130:-1 gene:itb12g24040 transcript:itb12g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFFVFVYALRFQLWFTMIWHGVRQLRTAWADGPEFITQCPIKPGGSYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIHPRKGEPYPFPKPARETPIVLGEWWDANPIDVVRQATITGAAPNVSDAFTINGQPGDLYRCSSQDTTIVHVNAGQTHLLRVINAALNQQLFFSVANHHLTVVGADASYLKPFTTSVIMLGPGQTTDVLITGNQLPARYYMAARAYASAQGAPFDNTTTTAILEYSTAPCPAKGIKINPPLPLLPAYNDTATATAFSSSLRSSRKVEVPTVIDENLFITVGLGLNNCPAGASPQNCQGPNGTRFTASMNNVSFKFPANYSLLQAHHQGIPGVFTTDFPANPPVQFDYTGNVPRSLWQPATGTKVYKLNYGARVQVVLQGTSIVTAENHPIHLHGYDFYIIAEGFGNFNPQRDTAKFNLIDPPMRNTASVPVNGWSVIRFVADNPGVWIMHCHLDVHITWGLAMVFIVENGITELEALEEPPPDLPICW >itb10g23850.t1 pep chromosome:ASM357664v1:10:27981462:27982158:1 gene:itb10g23850 transcript:itb10g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDRVTLTLDKIDLFRLVDSPELLHGCAVCASVGGGSDGCHRHVNNMSCDRLREYHLHLLFGVQNLVLTHHWAVGPAVFVWPRCAVAVF >itb09g31120.t1 pep chromosome:ASM357664v1:9:31608151:31612916:1 gene:itb09g31120 transcript:itb09g31120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLACGVLGSEAAIMLQVQKMKGKVGVAVLYHYPCPDGAFAALAAHLYFSSLSSPPPPLFFFPNTVYSPLTPRDLPLSQIDSVYLLDFVGPPAFLHHLSSSVRRVIVLDHHKTALEMLGAGTCVTGNVTKVIDMDRSGATIAYDYFMEKLLTAGNRDTNNAAVDYSTLDQGIHEFRRLRQLFRYIEDRDLWRWKLPDSKAFSSGFDDLKIEFDVRLNPSMFDQLRSLDLESLISQGKVSISRKQKLIDDALDQSFVIALGGGTFGHCLAVNADALFELRSELGNQLAIKSFEMKLRRIGAVVYKVPELENDQVLKISLRSVDIEDTTPISQEFGGGGHRNASSFMLKSAEFEKWKVINSTSEYLVAWPKNSTSECI >itb04g25020.t1 pep chromosome:ASM357664v1:4:29685671:29690528:-1 gene:itb04g25020 transcript:itb04g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAERGNRTAFWLSPLLIKKLLDTVEETRKPNASKETDTVDKETKTNASKETDTVNKETKPNASKETDTVNKETKTKTPEEEAKEDEEKGLVLMRYAHQPDFKHGLIKGSMRTQRRKQNCLLALPKNTPFLSHFKELDTVDKETKTNASKETDTVDKETKTNASKETDTVNKETKPNASKETDTVNKETKTKTPEEEAKEDEEKGLVLMRYAHQPDFKHGLIKGSMRTQRRKIVKWGENPRNTCKRFATLVPRRISRIPSGYISKLFEPTRCCLL >itb04g07050.t1 pep chromosome:ASM357664v1:4:4681898:4691543:-1 gene:itb04g07050 transcript:itb04g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MVFLTANALGSLVESAVLNRSLLLGQAVHAKIVKTLEPPFPSFISNHLINLYSKLGALNSAQLVLSLTPTRHRSVVTWTALIAGSVQNGHFAAALLHFCDMRRDRIQPNDFTFPCLFKASAFLKSPFIGQQIHALAIKSSLILDVFVGCSAFDMYSKTGIRELADKVFEEMPDRNIATWNACISNAVLDGRHNDAVKKFVELLRVGVEPPNSITFCAFLNACSDGFYLKLGMQLHGNVIRRGYGLDISVLNGLIDFYGKCHDVESSELVFDSMDERNGVSWCSLLAVYEQNDLGEKALKVFLKAREEGIEPTEFMVSSVLSACAGLAAFELGRSIHGLVVKACIEENVFVGSVLVDMYGKCGCIEDCERAFYEMPERNLITWNALIGGYAHQGHANMALDLFEDMTRKTRNVVPNYVTFVCVLTACSRAGAVETGMDIFEAMKEKYGIEPGAEHYACVVDMLGRAGMVERAYEFIKRMPIRPTVSVWGALLGACRVHGKPELGKIAADNLFQIDPQDSGNHVILSNMFASSGRWEEANLVRKEMKDIGIKKGAGYSWISVKNSVHVFRAKDTSHVKYQEILAMLAKLKREMKAAGYIPETNLALYDLEEEEKESEVWYHSEKLALAFGLITIPPGVPIRITKNLRVCVDCHSAIKFISGIVGREIIVRDNNRFHRFKDDGGVMASGGGSLRDNRRPSGYPVGRAAGLSGEASTAGSDNRGTKRRASPQLAKRKSGVSGVASTSARPVKVPKIKQAAYAIKYESSIDDSSSDEEMPAAKKGPAPKGKSALTASINMRDSYSDSSYDDSISDEEMPAANKGPAHKGKSALVASIKKKDSSSVPASKKSPTPAPKGKSALAASIKKKDSSSDFSSDDSSSDEEMPAAKKGPAPKGKSVVVSIKRKYSSSDSSSDDFIFPAAKKSPPPKGKSVVVSIKRKYSSSDSSSDDFIFPAAKKSPPPKGKSVVVSIKRKYSSSDSSSDDFIFPAAKKSPPPKGKSVVVSIKRKYSSSDSSSDDFIFPAAKKSPPPKGKSVVVSIKRKYSSSDSSSDDFIFPAAKKSPPPKGKSALAASIKKKYSSSDSSSDDFILPAAKKSPAPKGKSVAASIKKKYSYSDSRYDDSSSDEEMPAAKKSPAPKGKSVVASIKKKCSSSAFSYDDSSSDEEMPAAKKGPASSDEEMPAAKKGPAPKGKSVVVSIKRKYSSSDSSSDEEMPAAKKGPTPKGKSALAASIKKKYSSSDSSSDDFILPAAKKSPSSDDFILPAAKKSPAPKGKSVAASIKKKYSYSASRYDDSSSDEEMLAAKKSPAPKGKSVVVSIKRKYSSSDSSSDEEMPAAKNGTLGNDLNFVDFPAGSLPVRYLGVPLDAQRLKVTYFSPLIDSISRRIAAWKRSNLTFAGSVPMGLFAGDLHGSRAFHVWNKVRDIFGFPKNTVAIRSSIKWIRRLFNGSRRHSKAVSIALACTVYHLWRFRNLVVHESVWTPLDSLVKCIACH >itb04g25800.t1 pep chromosome:ASM357664v1:4:30282071:30284298:-1 gene:itb04g25800 transcript:itb04g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATVTGSVITNTPITPSITTHKRFGPFILPKNSSLSGSPTRSLRVIASDPARFDGFRCRANRSSYSPLNSSSNFGNRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQKRVEPVPQRAQDRPRYSDRTRYVRRRENTR >itb05g17640.t1 pep chromosome:ASM357664v1:5:24486116:24489795:1 gene:itb05g17640 transcript:itb05g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENFISLPACSGAESETEDILSLPGESEAIEERANNETPQNNGNVGSEGTQLLHGMDNDIDFGKNHSAFQVSIEINQTLEVTEKMNSVNSKMCTDNGFVTVKDESNIASHREDPHLVRRSEIGPSALSGAKRPRSTLEEEQPSVHVIYNALTRESKQKLEELLRQWSQWHAEHCSSLNDSTKGLESGEETYFPALHVGLEKPCAVSFWMDDLPRNKKAKEYTPLDDNSVPLYDRGYTTALTSPDGSNNLEGGLEVVDVSRCFNCGSYSHSMKDCRKPRDTAAVNIARKQHMSRRIQNASSRNSTRYYQNSPKGKYDGLRPGVLDPETKRILGLGELDPPPWLNRMREIGYPPGYLDPDNEDMPSGITIFADEEIEEETEEGEILEKTDPEPPPKKMSVEFPGINAPVPEDADKWRWAAGSSSWKISRTSSYSRHNHTSDREHYLERQLSRDFQDEGHDHRTETINRGHHHEKLPGDFEDEGPPGCGHDPVMSPSLSHRFRGHDSNFSSPSPRDGSSAPKSHSYGRSSSDRGRRSLLVHDSSSNHSSYSR >itb12g01930.t1 pep chromosome:ASM357664v1:12:1305209:1308714:-1 gene:itb12g01930 transcript:itb12g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNHNSKRKRDADDYDEDDNRPAPPGVSNGEIDLSLLEALEKSQQNAVEALDLKTVKKLVLSFERRLKENIAARLKYPDQPEKFADSEVELHDEIQKLKVLAGGPEFYPELVNLGTVASITSLLNHDNTDIAIDVVALLQDLTDEDVLEDNDEPAQVLVDALIENNALELLVQNLSRLSDADPDESAAIYNTLATIENFIEVKPSVSELVCERTKIMKWLLGRLKVKEFDSNKQYASEILAILLQNSIANQKRLGQMNGVDAILQAVAAYKSKDPKTSDEEELVENLFDSLCCLLMPLENKERFVKSEGVELMIIIMNQKKLCYGSAIRALDFAMTNYPPACERFVDVMGLKTAFPAFMGKIPLSKKNKKRYKEELEERLVSLVASLFGGILRGSRRDRLLSKFVENECEKIDRLMELYIRYSDRVKKEAAEFDQLELDDLEMDEDEKYNRKLEVGLYTLQLIAVILGHLWTSEHPRIRARIELLLKQLKLTKQDVKDILQEYHDNIGDFNGPEEKERTQSKIQRFISAF >itb12g01930.t2 pep chromosome:ASM357664v1:12:1305209:1308714:-1 gene:itb12g01930 transcript:itb12g01930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNHNSKRKRDADDYDEDDNRPAPPGVSNGEIDLSLLEALEKSQQNAVEALDLKTVKKLVLSFERRLKENIAARLKYPDQPEKFADSEVELHDEIQKLKVLAGGPEFYPELVNLGTVASITSLLNHDNTDIAIDVVALLQDLTDEDVLEDNDEPAQVLVDALIENNALELLVQNLSRLSDADPDESAAIYNTLATIENFIEVKPSVSELVCERTKIMKWLLGRLKVKEFDSNKQYASEILAILLQNSIANQKRLGQMNGVDAILQAVAAYKSKDPKTSDEEELVENLFDSLCCLLMPLENKERFVKSEGVELMIIIMNQKKLCYGSAIRALDFAMTNYPPACERFVDVMGLKTAFPAFMGKIPLSKKNKKRYKEELEERLVSLVASLFGGILRGSRRDRLLSKFVENECEKIDRLMELYIRYSDRVKKEAAEFDQLELDDLEMDEDEKYNRKLEVGLYTLQLIAVILGHLWTSEYVSAPDISISFQSI >itb13g24950.t1 pep chromosome:ASM357664v1:13:30461225:30461923:1 gene:itb13g24950 transcript:itb13g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSICAATGFKGGFSAAVGGEDYAALARKMPVFVRVSRPVRAQPMMKNVNEGKGVFAPLVVVVRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGEKLGFLA >itb12g10380.t1 pep chromosome:ASM357664v1:12:8427043:8428302:-1 gene:itb12g10380 transcript:itb12g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWSLHGMTALVTGGTRGLGFAIVEELACLGATVYTCSRTEEELDECLQKWKAKGYNVFGSTCDILQPSQRQKLIQSVREQFSENLTVLVNNVARLIPKDTLNSDAQDFSDTIGTGLEASLNLCQLAHPLLKASGNGSIVFISSCSSFVYAPYHTIYAASKGGINSLVRNLACEWASDNIRVNAVAPWLLRTSLTESSRGEFGAVIEALIGRTLQHRLVEPKEASAAVAFLCFPAASFVTGQIIRVDGGASVYGL >itb03g22320.t1 pep chromosome:ASM357664v1:3:20395438:20396157:1 gene:itb03g22320 transcript:itb03g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDDEQADSDANFFDGGLDGSDTMGFGPLVPTESERSLMERVRQELKHELKHGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSQKSKRKSGSAGEKMSSEHFI >itb08g17500.t1 pep chromosome:ASM357664v1:8:20116495:20119336:1 gene:itb08g17500 transcript:itb08g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSLASHFASTEGLPVADCSVEISWDFQMWHLELITGLVIIVSSCRYLLLKTWPDFAESSEAANRQVLTSLEPLDYIVVSFLPGVGEEFLFRGALLPLFGANWPSALAVDAVFGILHLGSGRKYSFAIWATFVGLAYGYATISTSSIIVPMAAHALNNLIRGILWRYTSSSSK >itb15g13070.t1 pep chromosome:ASM357664v1:15:11035876:11039324:1 gene:itb15g13070 transcript:itb15g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGSQILPMAFFVVIITFFLVSFYSTRILTAGCFTLNDQRRVHNLDENENGNRRVIVLEKLRALLGMKDGFELREPNNAAADDSTVYSSPSPSPLPSPSPAPGIEGEEAPAPSPRRAVHRHSHHHRPSLPQAHKAKQKKKKEEGEGSSRKVLTAVLVSAGVTFSLCAVCLFWGCRRFQNKKKKTVRTIYCRSKYLSSQMSSVKPDLFYLDSVGIGLETPCPGPLFPEHGSDTETSLSTQIITPNGRQETDPQVHKPGDDNGEIIVFDEPHSPSSSSDDDDDSFHSICNPGSIRLSNASAGSLTEETSQDDSKLSFPVLNSEPYCPSTSVPPPPPPPPPPPRPCLPPFAKGGPPPPPSPPPPPAGKDGSPLPRLKPLHWDKVRAAPNRSTVWDQLKPSSFEFDEEMIESLFGYNLQNSMNDEAKSKTPSPTKHVLEPKRLQNITILSKALNVSANQVCEALTKGKGLSLRELEALAKMMPNKEEEAKLANYKGDINELGSAEKFVKAILKVPFAFKRVEAMLYQETFSDEVLHLRKSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTVRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRSEGLKFSESIMGKIEQRTKPKKPENDREENYRQMGLDLVSGLSTELCNVKKTATIDLDVLANSVSNLSAGIANIRSLVAMEDRIVDGFVGSMRSFLNGAERALKELREDENGVLLRVREITEYFHGDVSKDEPNPLRIFVIVRDFLGMLDHVCKQLRNSKPLSSPSPLSPFR >itb04g02550.t1 pep chromosome:ASM357664v1:4:1527686:1528839:-1 gene:itb04g02550 transcript:itb04g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISHLLVVVFLSFLFCHGSSARCFGLINNQLPKRLHLLSKQTMTEEKLKHYRASTPSVPEEESIKISDKKHEETTRKEAHHHHHEKKKKMDKNGEKDGEVSSVTWKVREKKRGEPQAEFNLDYLPARTHPPVHN >itb14g17120.t1 pep chromosome:ASM357664v1:14:20452457:20458731:1 gene:itb14g17120 transcript:itb14g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSRRPMMGNNENSFGDDFEKEIGLLLSEQRRHEAEADDLEKELNLYRSGSAPPTVEGSFTAVGGLFKHGGGGGGSGVGGSSTIQDFARNKNGNSFISEEELRSDPAYLSYYYANVNLNPRLPPPLMSKEDWRFAQRLQGGNSAIGDRRKVNKNDGAMVGRSLFSMPPGFNSKKEETENESDKLQGSVEWGGDGLIGLQGLELGTKQKSIAEIFQNDLNRVPASGGRLSRPASRNAFDASDDNLNTAEPDISHLRHDISSLDPLRSAANAQGSSVQHVGPASSYSYAAVVGASLSRSTTPDAQRVATAPSPCITPIGGGRIATSEKKSINSPKTFNGVTSHTNEPTDLVAALSSMNLSNGSQSNAKQHAYLKKSESAHYNIPSASQLTKAQYTEAGASNIDDLHSSILQSDLHKSAVNSNNSYLKGSPTSTLNGGAGLLSHHLNLDSSNTPYSGYGLSGNSANSMSSQHGNFNLPPLFENAAAASAMGVPGMDSRMLAGVNLNAAAAEQYLGRMGNQMAGGALQAQFMDPVYAQYLVQFAALNDPSMDRSYMGNSYMDLLQKAYVGNMLSPQKSQYGVPLNSKSMGSSHHGYYGNPAFGAGFSYPGSPLASPVIPNSPIGPGSPMRHSDHSMRFSGGLRNLGASVGGSWNLDNMEGSFPSSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATAEEKNMVFQEIIPHAITLMTDVFGNYVIQKFFEHGMATQRRELANKLFGSVLTLSLQMYGCRVIQKAIEVVDVDQKIKMVEELDDHVMRCVRDQNGNHVIQKCIECVPEDHIQFIISTFFGQVVTLSTHPYGCRVIQRVLEHCNDPQTQSKVMEEILGSVSSLAQDQYGNYVVQHVLEHGKPHERSTIIKELAGKIVQMSQQKFASNVVEKCLTFGNPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQERELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMAAQTPNPA >itb10g23760.t1 pep chromosome:ASM357664v1:10:27938055:27939433:-1 gene:itb10g23760 transcript:itb10g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSWSLGVEEAGGDDQKYDEDGRFKRTGTVLTASAHIITAIIGSGVLSLAWAIAQLGSVAGPIAMLAFSVITLYTAFLLADCYRSPDGKRNYSYMDAVRTYLGGMKVKFCGVSQYATLVGAGIGYTITTAMSLEAIARTDCYHKHGRGAEGCIPSKRSSLLMFGGFGALEILLSQIPNFHRLSFLSIVAALMWGGCGDECNGGAGWGKPFNARQDVQYLLCIGEHCISLWVQSYSD >itb04g08130.t1 pep chromosome:ASM357664v1:4:5721313:5722378:-1 gene:itb04g08130 transcript:itb04g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFLVALTIVALTCSVAYAYDPSPLQDFCVAVNDPRHAVFMNGRVCKDPKLATADDFFASGLDAIGTPAFPGLSVSVNVADVNRIPGLNTLGLTVVRAELGPAGLIPPHTHPRSSEFILVVQGTAYVGFVAVDPANRGKNRLFEKTLKGGDVFVIPQGLIHFMYNVGGGNATLFTAFNSQNPGVITIANELFGSDPGISEDVLSKAFRIDKESVQHIQAKFSSVG >itb08g09100.t1 pep chromosome:ASM357664v1:8:8340337:8340980:-1 gene:itb08g09100 transcript:itb08g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIVSLHLLVFTLMVFQCYGANQGPTTFIKTSCRATRYPPLCVQTLSSYANSIQQNPHQLAQTALSVGLARAGSAAKFILGLIRTAGLKPREKQALKDCMANMGVTVAQLKRSIKELSQTDNLPRRSFSWRVGNVQTWVSTAITNGNNCLDGFSGSAMDGSVKGAVSPKVLSVVQVTSNALALVNNFAARHKAGTSTNIP >itb04g00620.t1 pep chromosome:ASM357664v1:4:333557:336593:1 gene:itb04g00620 transcript:itb04g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSIDAQTIWKSSHPNKELPRYNSSRAVWFSRKRAYKKRPLLSFLAYPTSIYPILKLHTTQNMACKIVLAFSLLLLSLINISLGGEIAIYWGQNGGEDTLANTCATNNYNIVNIAFLTTFGSGRTPVLDLTGHCDPGSNQCTSLSDEIRACQSQGIKVMLSIGGAVGIYSLSSADDAKNVAHYLWDNYLGGQSGSRPLGDAVLDGIDFDIESGGGQFYDELAKALTSFGTKVYLTAAPQCPFPDQSLQTAINTGLFDYVWVQFYNNPNAACQYSDGNTADLLNSWNNNWSNVPAQKVLMGLPASQDAANNGFIPADVLTSQILPAIKGSTKYGGVMLWSKFYDNGYSSKIAIYWGQNGNEGSLRDTCATNNYNIVNIAFLTTFGNGRTPVLNLAGHCNPAANQCTGLSEEIRACKSQGIKVLLSIGGGVGNYSLSSADDAKNVAQYLWDNYLGGQSESRPLGDESLDGIDFAIVIGGNQFYDELAKALSTFGQKVYLSAAPQCPFPDRRLQSAIKTGLFDYVWVQFFNNPTAACQYSGDTKTDDLMKSWKNNWSTIPTPKLLMGLLASPKAAGSGFIPADVLTSQILPAIKGTPEYGGVMLWSKFFDNGYSLSIKSAV >itb06g12150.t1 pep chromosome:ASM357664v1:6:16723419:16725747:-1 gene:itb06g12150 transcript:itb06g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEIGEKVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHDTEKSSGYGSVIPDAHGVESHSSTKALAEKVGNYLDLYIEAMEKVKLKHGLKIAMSLSGEGNAYLQVSFSLPSFAAMVITLCQNLKVCVLCNLKPATMRGIKSQAMVLAASNNDHTKFIVCFSENSHADLHYNLASVYFLWQVLANRPDIIREYYMNELCILQDDVPPFPNQVAFNIIEEELGQPLEAVFSKISSGTIAAASLGQVY >itb02g24090.t1 pep chromosome:ASM357664v1:2:24406686:24407039:1 gene:itb02g24090 transcript:itb02g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKPATYFGAPSPFPGSQMPSPSIYGYNAWTRRPFDQPPAIGRLPPESYHAGARLPPSSSSSWPNTAMGRFDMPYRSPTVVDGIGDGFPMDIAGAGAGASSSKSQQEIDLDLSLKL >itb08g04270.t2 pep chromosome:ASM357664v1:8:3391605:3395085:1 gene:itb08g04270 transcript:itb08g04270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPDENGRPLYAAKDIKPFYLNNGPKIFPQKGGWFGKIWPSIRSVFGPKYDGKYLRSLLKEQLKEIRLKDTLTNIVIPTFDIKNLQPVIFSTYQAKRCPKMNAYLSDVCIGTSAAPTYLPAHYFKIEDPKHGTDEPPREYNLIDGGVAANNPTLVAINQVTKQILDNNPDFSPMKPIDSPSFRVISIGTGAAKNEHKYDSKQAAKWGILSWLFHKSSTPLIEVFMQSSADMVDLHNSVVFQTLDSSENRYLRIQEDELSGILSSADAATKENLEKLVEIGEKLLKKSVSRVNLETGVSEPIPHSGTNEDALKRFAKLLSDEKRFRESKSPIMNYP >itb08g04270.t1 pep chromosome:ASM357664v1:8:3391443:3395085:1 gene:itb08g04270 transcript:itb08g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGEDARLADYFDVISGTSTGGLVTAMLTAPDENGRPLYAAKDIKPFYLNNGPKIFPQKGGWFGKIWPSIRSVFGPKYDGKYLRSLLKEQLKEIRLKDTLTNIVIPTFDIKNLQPVIFSTYQAKRCPKMNAYLSDVCIGTSAAPTYLPAHYFKIEDPKHGTDEPPREYNLIDGGVAANNPTLVAINQVTKQILDNNPDFSPMKPIDSPSFRVISIGTGAAKNEHKYDSKQAAKWGILSWLFHKSSTPLIEVFMQSSADMVDLHNSVVFQTLDSSENRYLRIQEDELSGILSSADAATKENLEKLVEIGEKLLKKSVSRVNLETGVSEPIPHSGTNEDALKRFAKLLSDEKRFRESKSPIMNYP >itb12g00490.t1 pep chromosome:ASM357664v1:12:393540:397558:1 gene:itb12g00490 transcript:itb12g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPMSPSRTVFLLLLLIFCCLCCVFAADEEVRSLLEFRKGIKSDPLGKILGSWNLTLLSDLSSCPDMFYGVVCDSGSGSVSAIALDRLGLAGDLRFSTLTGLKHLRNLSLSGNSFTGRVVPEIGYMTSLQYLDLSGNQFYGPVPARLNDLWGLNYLNLSSNNFSGGFPSGIWNLQQLRVLDLHSNRLWGDMQELFSQLRNVENLDLSQNSFYGSLSMSQDHLSSFANTLHYMNLSHNKLAGVFFTEESMQMFRNLQVLDLGNNGLIGQLPSFTSLPNLKVLRLAHNQLYGSIPEELLHGWVSLEELDLSGNGFSGSVEKVNSTTLRALNLSSNFLSGSLPSSIGNCLMVDLSSNELSGDISVIQSWEASLEFVDLSSNKLSGTLPNLTSQFQQLTFLSIRNNSLRGALPSTLVTFPRLAMLDLSVNELEGQIPYFASSTLINLNLSGNHLTGSIPLEGMHTTELQVRLSYPQMESLDLSSNSLTGVLPPEISNLGRLKLLNLGENQLSGDLPSELSKLHDLEYLDFSNNDFKGRIPENLSLNLRVFNVSYNDLSGTVPENLRRFPYSSFHPGNSLLIVPSNMPSGSPGISGSVHGVSENHSSKSNIRVAVIVASVGFSVMVAFILFAYTRTRPQDFQSRSAFSNQSPSRDVRLGIFPRSSLLKFRSSSEQAPTSLSFSDDRLLTSNSRSLLGKTESSTEVIEHVGFAACSTSKQSSTLDTHPATSGQRLSPGSPIASSPRFFHDSIEQPVKLDVYSPDRLAGELFFMDGSLTFTAEELAQAPAEVLGRSNHGTLYKATLDNGVVLTVKWLRVGLVKSKKEFAREVKKIGSVRHPNAVPLRAYYWGPREQERLILADYIPGDSLALHLYETTPRRYSPLSFHQRLKVAVEVARCLAYLHESGLPHGNLKPTNIILWGGDYTARVMDYGFHRLMTGAGIAEQILHLGALGYCAPELANAAKPMPSFKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDREGRGMDCIDLDIAGGEEHSTAMDELLSISLRCILPVNERPNIRQVLENLTSIFV >itb04g33190.t1 pep chromosome:ASM357664v1:4:35513714:35515609:-1 gene:itb04g33190 transcript:itb04g33190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRLFGYNNDQFHGSPSNLKKQKIDVTSNYPECFGSWHTSAGTIVLALPSTHLSHIKEIEASKPRTDSKGVDLQATLKGTNSYNVAASEDSGDSEQNQVNSPTLSNGSASGYVNIVPTNEGSLVDHRKQVLDVLEQFKQVYNELLEGIKGDSVGSAKGGAPMKAASLLRKQRKWLQDKPTFGDIPGVKIGDTYQFRVELAIVGLHLQYSAGIDYTDLDGKLHATSVVDAGQYKYKVISLDTLIYIGSGANPKVSSSNKKVDDQKLEKGNLALKNSMDAKLPIRVICLQKTLGMSGQGYTYAGLYTVSNYKQERDQKGNSVFKFELRRLPWQPNLTLPMVWDWEPVELTEREGSVNNRVVVDDVSQGKEKFPIPAVNDIDDDKPPPFTYITKMVYPCWFHCPLPKGCNCKNGCSDSKPCPCTRRNGGEIPFNNSGAVLKAKQVIYECGPSCKCPPSCNNRVSQNGPQYQFEVFKTKSRGWGVRSRDYISSGSFICEFLGELLDEKEAEKRIDCDEYLFDIGNYDKEEDTNIVDIRRKDSDGFTVDAAQLGNVGRFFNHSCSPNLYVQNVLFDHDDKRAPHLVLFAQEDIPPFQELTYDYNYKMDQVRDANGNLKKKNCYCGFPECTGRMY >itb05g26570.t1 pep chromosome:ASM357664v1:5:30375442:30377282:1 gene:itb05g26570 transcript:itb05g26570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQCVTRVRLIPKAWHFHFYSLINPLRPQKSNLFQTLTLEMVTHSGSAKRPMCPSCSKPTRLCLCTRLKTPRLHNSVAVTILQHSLEKNHPLNSTRIASIALENLSVIPVSDVNFQAEFSIRLLCPNPEMGVANLDESGGECRDIMKQSDCSVDLKPSSEVISDSKSEIFNGNGEKAVVFTVEKQGRIASFQDCWKVENGVARWDFDHLLGCREAVSDLGKGFVVKKLQRNIEFEEKEEFEIAVPAGSVLLFPSEKSGGVEGLDFEVKNLIVLDGTWAKARRMYNENPWLKLLPHLKLDMDRLSLYGEVRHQPKQGCLSTIESIVYALKAVGEEDLEGLDCLLDVFASMVGDQRRCKDERLKKPYSINE >itb04g22350.t1 pep chromosome:ASM357664v1:4:27522558:27526801:1 gene:itb04g22350 transcript:itb04g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDSFISLLTVKNTGALASSSRACCFIKLNRQCYTNRRVMLGVHGSSSGFGSSRGSAAVGRSHTTWCCRFVLSGHHNNSVSEEDDINALLQILPCDLRETLLCDSRQDQLLEVILDLGRLPQAQYLGDFSRQDLRSTEVSTEELEYVQNAIGQFGGDNRAGIEGTLHRISAIKSRKGEIIGLTCRIGRAVRGQIDMVRDLLHFGESILFLGRPGVGKTTVMRELSRVLSDELHKRVVIVDTSNEIGGEGDIPHPAIGGARRLQVKDPSLQHQVMIEAVENHMPQVIVIDEISTEAEVHACRTIAERGVMLIGTAHGKRLENIIKNPTLSKLIGGIESVTISDQEARTRKSRKSVLERKAPPAFPFLIEIRERQYWVAHRTEKSVDALLCGKKPMVEVRKRDNQFNVVIERWKIDD >itb04g22350.t2 pep chromosome:ASM357664v1:4:27522558:27525981:1 gene:itb04g22350 transcript:itb04g22350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDSFISLLTVKNTGALASSSRACCFIKLNRQCYTNRRVMLGVHGSSSGFGSSRGSAAVGRSHTTWCCRFVLSGHHNNSVSEEDDINALLQILPCDLRETLLCDSRQDQLLEVILDLGRLPQAQYLGDFSRQDLRSTEVSTEELEYVQNAIGQFGGDNRAGIEGTLHRISAIKSRKGEIIGLTCRIGRAVRGQIDMVRDLLHFGESILFLGRPGVGKTTVMRELSRVLSDELHKRVVIVDTSNEIGGEGDIPHPAIGGARRLQVKDPSLQHQVMIEAVENHMPQVIVIDEISTEAEVHACRTIAERGVMLIGTAHGKRLENIIKNPTLSKLIGGIESVTISDQEARTRKSRKSVLERKAPPAFPFLIEIRERQYWVAHRTEKSVDALLCGKKPMVEVLHLCCSDLSWEPT >itb02g24410.t1 pep chromosome:ASM357664v1:2:24948180:24949838:1 gene:itb02g24410 transcript:itb02g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQPLTASSKPDETRWIIHIKESFIQELEEDTVTPATIFNVPKLLMASDPLSYTPQQVAIGPYHYWRPELYEMEKYKLDAAKRYSKLLPEGLKFQDLVDKLMKKRVPRIRASYDKYLNINDETLAWMVIMDACFLLEFLCVYAVKQGVVEPDRLPSRIDVSGRKSTHNVMLRDIVMLENQIPLFVLRKLLKWEFSSKELGDERLRTILSGLCKDLSPFVNIGEENMTKVPLDGCEHLLDFLYKVMVPDMDAPCQESSPDLEGLSSGNITYEDDGDDKAKSLGDSTNLKRLIQEIWKILSKIKSVPTDVLNVIVNLPWDVIGNLPGVKNLKQRLFPATPGSKKSDDDVDDDGSNKGPPLLEEISIPSVYKLVKSGVQFSPTDSGILSIAFDSKTTTLYLPVINLDVNSQVVLRNMVAYESCIARGPLVFTRYTELMNGIIDSEKDTNVLTEKGILLNHLKNDEEAANLWNGMSKSIRLTKVPLIDKTIGDVNKYYNGKWKVKAGKFMKSYIYASWKILTVLATILLLGLLTLQSFCSVYSCPRLFNINTTS >itb02g19580.t1 pep chromosome:ASM357664v1:2:16871773:16879861:1 gene:itb02g19580 transcript:itb02g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVDHASVLLPPLEGLCSVEETCVREKAVESLCRIGSQMKESDLVEAFIPLAKRLAAGEWFTARVSSCGLFHIAYPSAPEPLKTELRTIYSQLCQDDMPMVRRAAATNLGKFAATVEQPHVKTDIMAMFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCAAQILPVIVNFAQDKSWRVRYMVANQLYELCEAVGPEATRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIIPQVLEMINDPHYLYRMTILHAVSLLAPVMGSEITCNKLLPVIITASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFANQALQAADQVMMSS >itb09g25920.t1 pep chromosome:ASM357664v1:9:26023727:26032178:-1 gene:itb09g25920 transcript:itb09g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAEMTTVKLGSQGLEVSRLGYGCMGLTGMYNNPVTEEEGIAIIKEAFNAGITFLDTADVYGANHSNEYLVGKALKHLPREKVQLATKFGMYRFEPTQVVVRGTPEYVRSCCEASLHRLQVDYIDLYYIHRIDTTIPIEETMGEMKKLVEEGKIKYVGLSEAHPDTIRRAHAVHPITAVQLEYSLWTRDIEEEIVQLCRELGIGIVPYGPVGRGLFGGKAVAESLPANSSLVKHPRFTGENFEKNKTIYFRLEELSKKQGCSPAQLALAWILQQGDDFVPIPGTTKIKNLHENIGSVKVKLTKEDLQKVSDAVPISEVAGPRVGEALLKCSYKFAITPPLK >itb03g21170.t1 pep chromosome:ASM357664v1:3:18965926:18966318:-1 gene:itb03g21170 transcript:itb03g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKWWNLCVRRFDFCKPFWRILLVQRVYENTSIISHFHVRVWTTVSQEYNFEEILLDLLGCIGKRSTVGSTIEGDTSNDDPPAIDLTDLPAISINERAISNDPPATRLLKALMDKRYLIVMDDIWSTKA >itb05g17550.t2 pep chromosome:ASM357664v1:5:24437903:24441366:1 gene:itb05g17550 transcript:itb05g17550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSCKSPTLNTIILPLIAFTLIFLVAFSLHRPLITTAPQNIAALCAANLSAAESIGAAIGGAGLRKPPAPEKCDIFAGEWIPNAEAPYYTNETCYSIQEHQNCMKYGRPDSEYLKWRWKPEGCELPVFDPRWFLELGRNKSLAFVGDSIARNHFQSLVCLLTRVAYPVDISNTTDDNRKHMLYKDYNFTVRMFWSPYLVKTGTTFSEVNTKPFELYLDEFDESWTSEISTFDYLIINAGHWFFRPTKFYLDCQLVGCLYCPEPNLTHLTEDFSYRWALRTAFRAINSLESFKGVTFLRSFAPQHFENGAFDQGGACVRTEPFKRNDTILGKFKIGPIDTWSDFLWELLKREIEDE >itb05g17550.t1 pep chromosome:ASM357664v1:5:24437903:24441366:1 gene:itb05g17550 transcript:itb05g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSCKSPTLNTIILPLIAFTLIFLVAFSLHRPLITTAPQNIAALCAANLSAAESIGAAIGGAGLRKPPAPEKCDIFAGEWIPNAEAPYYTNETCYSIQEHQNCMKYGRPDSEYLKWRWKPEGCELPVFDPRWFLELGRNKSLAFVGDSIARNHFQSLVCLLTRVAYPVDISNTTDDNRKHMLYKDYNFTVRMFWSPYLVKTGTTFSEVNTKPFELYLDEFDESWTSEISTFDYLIINAGHWFFRPTKFYLDCQLVGCLYCPEPNLTHLTEDFSYRWALRTAFRAINSLESFKGVTFLRSFAPQHFENGAFDQGGACVRTEPFKRNDTILGKFKIGMYDIQKQEFAVAVKEGRRKGSKFRLFDVTQAMLLRPDGHPNGYGHWPNPNVTMYNDCVHWCLPGPIDTWSDFLWELLKREIEDE >itb15g12380.t1 pep chromosome:ASM357664v1:15:10282455:10283519:1 gene:itb15g12380 transcript:itb15g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMRLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb15g18490.t2 pep chromosome:ASM357664v1:15:20161127:20166257:1 gene:itb15g18490 transcript:itb15g18490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQRNDKQNDQFPLGMRVLAVDDNPTCLMVLENLLRKCQYHVTTTNQAIQALQLLRENKNQFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAYSDTNLVMKGITHGACDYLLKPVRIEELKNIWQHVLRRKKFDCKEQKISNKPDGESGELGRGFRGMGETDRNGKLTRKRKDQSDDEDEELDENGGRNEDPSAQKKPRVVWSVELHQKFVAAVNHLGIDKAMPKRILELMNVEKLTRENVASHLQKYRIYLKRLNSVASQHANMVSVLGSADPSYLRMGSLNNIGNIPFITGCTQFSDAPLRSISSGSVLTRLNTPSGIGMCGFAPSSMIQLANAPNSSSSITSEINFRQSIQPGNQDMDILEGMPMPLGTDQVNNNLGVTHLYPFSNGVPERKIDVDGRRNLTIGVSDNSIILRSQGQCVQRKDFLDNQFPVIASPVSSASSPFLNTTRCNDNWPTASQSSLLEANSFGTSVYSHHAMPRDLGNNGSTLEMNFSPRQDWHDFEPDSAHVPSLVCSSAHTFLPPDGGQRQQQHHEFENAAVDVKQEYLEEQKKLAGNNAYGQMG >itb15g18490.t3 pep chromosome:ASM357664v1:15:20161127:20166257:1 gene:itb15g18490 transcript:itb15g18490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQRNDKQNDQFPLGMRVLAVDDNPTCLMVLENLLRKCQYHVTTTNQAIQALQLLRENKNQFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAYSDTNLVMKGITHGACDYLLKPVRIEELKNIWQHVLRRKKFDCKEQKISNKPDGESGELGRGFRGMGETDRNGKLTRKRKDQSDDEDEELDENGGRNEDPSAQKKPRVVWSVELHQKFVAAVNHLGIDKAMPKRILELMNVEKLTRENVKYRIYLKRLNSVASQHANMVSVLGSADPSYLRMGSLNNIGNIPFITGCTQFSDAPLRSISSGSVLTRLNTPSGIGMCGFAPSSMIQLANAPNSSSSITSEINFRQSIQPGNQDMDILEGMPMPLGTDQVNNNLGVTHLYPFSNGVPERKIDVDGRRNLTIGVSDNSIILRSQGQCVQRKDFLDNQFPVIASPVSSASSPFLNTTRCNDNWPTASQSSLLEANSFGTSVYSHHAMPRDLGNNGSTLEVPMSSNLHNPLNSACPQVPDTRTEMQCLTTIIDNVSGVKMNFSPRQDWHDFEPDSAHVPSLVCSSAHTFLPPDGGQRQQQHHEFENAAVDVKQEYLEEQKKLAGNNAYGQMG >itb15g18490.t1 pep chromosome:ASM357664v1:15:20161124:20166262:1 gene:itb15g18490 transcript:itb15g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQRNDKQNDQFPLGMRVLAVDDNPTCLMVLENLLRKCQYHVTTTNQAIQALQLLRENKNQFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAYSDTNLVMKGITHGACDYLLKPVRIEELKNIWQHVLRRKKFDCKEQKISNKPDGESGELGRGFRGMGETDRNGKLTRKRKDQSDDEDEELDENGGRNEDPSAQKKPRVVWSVELHQKFVAAVNHLGIDKAMPKRILELMNVEKLTRENVASHLQKYRIYLKRLNSVASQHANMVSVLGSADPSYLRMGSLNNIGNIPFITGCTQFSDAPLRSISSGSVLTRLNTPSGIGMCGFAPSSMIQLANAPNSSSSITSEINFRQSIQPGNQDMDILEGMPMPLGTDQVNNNLGVTHLYPFSNGVPERKIDVDGRRNLTIGVSDNSIILRSQGQCVQRKDFLDNQFPVIASPVSSASSPFLNTTRCNDNWPTASQSSLLEANSFGTSVYSHHAMPRDLGNNGSTLEVPMSSNLHNPLNSACPQVPDTRTEMQCLTTIIDNVSGVKMNFSPRQDWHDFEPDSAHVPSLVCSSAHTFLPPDGGQRQQQHHEFENAAVDVKQEYLEEQKKLAGNNAYGQMG >itb05g03770.t1 pep chromosome:ASM357664v1:5:3217272:3222065:-1 gene:itb05g03770 transcript:itb05g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNERVVKWLNDAKPYLGVAFLQLSNAGSAIISKVALNQGMNPFTFTVYRNVIAVVFFSPFAIYFERLENVKIKTLHSQAKIIGTTSAIGGAMIMTLVKGPTIVLPWTKHSNDIVSHSTQHVVNQHNDPIKAALLLTASCCCGASFAIVQGLVRSGVVYYISGIIMKVKGPVFVTAFNPIGMVIVAIIGSFVLSEKLYLGRVLGAVVIFVGLYLVIWGKSKDQTSPNNDQNDALPIDHKHPQVQGLNKDIIVV >itb01g20250.t1 pep chromosome:ASM357664v1:1:26512977:26515589:1 gene:itb01g20250 transcript:itb01g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MRRALRVYHSFRNYTSLSILRYFVSATRTLKNCNADRIKFLIYCNSQISENGRKGNIEEAESIFYGMSAKNIVSWTAMLSAYGQNGLLKKARDLFDKMPQRTVVSWNAMLTAYMRSRVEIDEIFKFFGSMTERNAVSFAAMVTGFVNAGSFIEAEKLYHKTPVVFREPVCSNVLINGYLKNGKLEEAVRVFESMVEKDVVSYSSMVDGYCKNGNVTEARKLFDVMWERNAVTWSSMINGYMKVGSFEDGFELFLQMRREGEVRVEPTTFTIIFEACGRYCKYELGCQIHGLVLRLGHECDVFLGNSIITMYSRFGYINAARSTFDAMIHKDIISWNSLISCYVQGDRLEEAYELFEMAPEKDVVSWTTMIMGFSNRGLTEKCIALFEMMPKKDVVAWSTLISGFVHKGEYEEAICLYIEMLRSAVRPNSITLSSMLSASAGLAALNQGLQVHAHVFKMRLEYDLLIQSSLVSMYSKCGSLNDAYRIFKSVREPNIFIFNAMITGFAQNGLGNEALILFKELESEGEKPNGITFIGVLSACAHTGMVEEGWNYFKSMRSLYMIEPELDHYAIMVDILGKAGLLDEAMSLINSMPLEPHSGVWGALLSASRTHLRLDLAKVAAEHISNLEPSNSAPYVVLSDIYSFLGKKEDEEQSITIPKILKGEWFKEGFKPPIFNEMENANLDDVEGVFQLSEECHVTQK >itb12g09840.t1 pep chromosome:ASM357664v1:12:7834379:7839203:-1 gene:itb12g09840 transcript:itb12g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRTLKLNWSNLGRLDDDDQFFEPKDRLSCAGPIDLVGFDSDDSDDEFEDSRLSLSEAAFTNSFRAGLQAITQKHAQKQPSMNMADYGMWMAEPGDIKERRKRLHQGMGLVSNKDLLNKVTSSKIGRAISRKPPDSFGKKDNKFLSKKEESFKAIPRVMSRKPPDPGKLMNKFESRKGTFKVKGAASTPSPPIPQKPIQNQEKKEVIKEPEPEPKEPKKPAAAPEEPPPAEEPPEEEEENEEDEAAAFPFMLVRSRSEGDIDSFSANTKKRREEMLGPGVSKHRLIRTHSELTSYRTGIKQLTGPVIVTKRKKRKKKRGSSQTTSMTDECFGSFFLIKNLDTGKEFIVKECNEKGMWNKLSDLQTGKQLTMEEFEKHVGYSRMVKELMHRAHGRSDSKINMNSYISKSVRYSKKTSVALMKNIKDVANSMSLKITDKDPTLPPVDQKPKKNYTGSSEWIKVQQQGKTLKEFTGLQLCQEIQAHEGSIWTIKFSWDNHYLASAGEDKVIHIWEVQDVEVIKPTDEQGNLKAKAPSTKKKDGSVPEYVSMPDAVFSFSDKPLCTLTGHQDEVLDLSWSKSKLLLSSSMDKTVRLWNIEGQNCLKMFAHNDYVTCVQFNPTDDEHFISGSLDAKVRIWNVPDRKVVDWIDQKDLVSALCYAPDGEAVIIGLQKGICRVYRITDCKLEEEDQFDVEPKKKTQNKKITGFQFHGTNPAELLITSADAYIRTHDGTNFTQKYKGFKITTGQISASYGQDGKYVVCASEDSQVYVWKREEVKNVGGKPRYVTVQAHENFPCKDVSVAISWPGTDTKNEQPSTPLSSKKQQAEEESEQPTDTTDSATPPSSESPSPSHSHTRSSSWSILPADAAAAQATAWGMVIVTASSGGEIRVYQNFGLPVKVKGQANLFIA >itb14g09270.t1 pep chromosome:ASM357664v1:14:9587646:9588624:1 gene:itb14g09270 transcript:itb14g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEEVLISPRNTKKITHSSSSSSSSSSPSKNSGFVSFCKKLTRKRAKGEEELSRLTSSSSSSSSSEENGSCYERVFAYFDEDGDGRVSAAELQRAVRAVGGELTAEEAEEAVRLSDSDGDGMLGIEDFTKLMEGEKKEDELREAFGMYAMKGTDYITPKSLKKMLGRLGESTTTHNCKAMIRRFDLNGDGVLGFDEFKIMMS >itb11g01290.t1 pep chromosome:ASM357664v1:11:583759:584740:-1 gene:itb11g01290 transcript:itb11g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHAITTPPCSSATSSSANSDGSNSPAAATFPKKSLKRVKEGKGGDEKEKRRKNSGADLKYPSYSGVRKRIWGKWVSEIREPKKKSRIWLGTYPTAEMAARAHDVAALAIKGHSASLNFPHLAHHLPRPASTSPKDIQAAAARAAATTFPSADDGAQPMRAAENTQQHFPTTNVDDDTVFDLPDLSLMDDEYGQISPSPLAGNNAGFQLDEPFLW >itb15g10440.t1 pep chromosome:ASM357664v1:15:8226676:8229819:-1 gene:itb15g10440 transcript:itb15g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAENPKDDKPVIVRVKRKASQFRLDALWLEINERPHKRPLIDFEKLSISESSSSKVEELKTKKILVQHVETMACSEVTADLVLSFVPGSADSSIIKEKIEERRHAFKADNHMQRKDQLLAKAKQKQEDLSKNARFEQIWKNRRGKKDDEALHEVCRLYDVVRVDTEEKSHDVQEEDPELEDHRMMSQYLPLLREVMPSAAEEIESDIHDYVFKRASSDGFVYDFYAVKNDVETMEESTAHHFPLVQVDDDDDFYDGPDDSDNESYDSNDENNPRNDYPDDDEVETIASNDQSECESESSSEEGETGSHVSREGEDLGRYEWSDGRDPFVEDDIYSGDDWELYDNDEKVFGEEDKWM >itb02g23280.t2 pep chromosome:ASM357664v1:2:23136135:23145396:-1 gene:itb02g23280 transcript:itb02g23280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGAEHYTLYEEIGQGVSASVHRALCIPMNEVVAVKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREILKGLEYLHQHGHIHRDVKAGNILIDARGGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKEPSKRPSAKKLLKHSFFKQARSNDYIARRLLDGLPALGDRIRELKKKEEQMLAQKEIPDGQKEEISQNEYKRGISSWNFNLEDLKAQASLIPDEEILSDKDRVASSNSLVSLDTSGKKLQHQSSFLSRSSDYAETDDYNLSAPLSPADPTSACNIAKCEKSDDDVSLASSFQDNQISTSSSPSYENHLERNLPVKSEQEVDGKLTESSPTNSNQRNEICSPCTDAPAEAINRTSRTSANGEDSDEKTKCPIVQQKGRFKVTSENVELEKVPPTPMLPKSHSVQAFTQHPSVSQSLSPESMAPNIPVHSFFRTLQNILQTNIIQRDNILSLMRQATGSDPIGRSN >itb02g23280.t1 pep chromosome:ASM357664v1:2:23136135:23145396:-1 gene:itb02g23280 transcript:itb02g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGAEHYTLYEEIGQGVSASVHRALCIPMNEVVAVKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREILKGLEYLHQHGHIHRDVKAGNILIDARGGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKEPSKRPSAKKLLKHSFFKQARSNDYIARRLLDGLPALGDRIRELKKKEEQMLAQKEIPDGQKEEISQNEYKRGISSWNFNLEDLKAQASLIPDEEILSDKDRVASSNSLVSLDTSGKKLQHQSSFLSRSSDYAETDDYNLSAPLSPADPTSACNIAKCEKSDDDVSLASSFQDNQISTSSSPSYENHLERNLPVKSEQEVDGKLTESSPTNSNQRNEICSPCTDAPAEAINRTSRTSANGEDSDEKTKCPIVQQKGRFKVTSENVELEKVPPTPMLPKSHSVQAFTQHPSVSQSLSPESMAPNIPVHSFFRTLQNILQTNIIQRDNILSLMRQATGSDPIVDSGSLSLNHGATEKSLLEIAHDKEKELLREISDLQWRLICAQEELQRCKTDNAQV >itb08g04350.t8 pep chromosome:ASM357664v1:8:3463977:3467992:1 gene:itb08g04350 transcript:itb08g04350.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKAHTSGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSAGENPPLFTR >itb08g04350.t9 pep chromosome:ASM357664v1:8:3463977:3467992:1 gene:itb08g04350 transcript:itb08g04350.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSAGENPPLFTR >itb08g04350.t4 pep chromosome:ASM357664v1:8:3463977:3467951:1 gene:itb08g04350 transcript:itb08g04350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSADARP >itb08g04350.t2 pep chromosome:ASM357664v1:8:3463852:3467992:1 gene:itb08g04350 transcript:itb08g04350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSAGENPPLFTR >itb08g04350.t5 pep chromosome:ASM357664v1:8:3463977:3467951:1 gene:itb08g04350 transcript:itb08g04350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKAHTSGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSADARP >itb08g04350.t3 pep chromosome:ASM357664v1:8:3463852:3467992:1 gene:itb08g04350 transcript:itb08g04350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKAHTSGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSAGENPPLFTR >itb08g04350.t6 pep chromosome:ASM357664v1:8:3463852:3467951:1 gene:itb08g04350 transcript:itb08g04350.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSADARP >itb08g04350.t7 pep chromosome:ASM357664v1:8:3463853:3467979:1 gene:itb08g04350 transcript:itb08g04350.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKAHTSGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSADARP >itb08g04350.t1 pep chromosome:ASM357664v1:8:3463852:3467992:1 gene:itb08g04350 transcript:itb08g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEHQSVPLSVLLQRDLASEKIENPEILHGQGSQSKKGEDFTFLKTGCQRVQGEGFATFSVFGLFDGHNGSAAAIYSKENLLNNILSVIPTELNRDEWVAALPRALVAGFVKTDKDFQEKAHTSGTTVTLAIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLECNVEERERITASGGEVGRLNTGGGNEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLIVASDGVWDALSAETAFECCRGMPPDAAASQIVREAVQPKGLRDDTTCIVIDILPPEKANPPQPQHKKTGKRVFKAIFRKKNNESSSRNGKEYCEPNVVEEIFEEGSASLAERLDAGYPICNAFKLFMCAVCQVEIKPGEGISVHAESVDSRKSSRPWDGPFLCTSCQQKRRAMEGKKPSADARP >itb09g01230.t1 pep chromosome:ASM357664v1:9:742719:743715:1 gene:itb09g01230 transcript:itb09g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSALPVMARYFLIDFSYMAVAFLDRISLYAESRSQNRISRQALQFYQTNYGFKQPLNVMCSSRFLQKWRSAGEIEQRLSSVLAEKAVIWASNCIVQELGGGGYDGLKVYSCDHQSSMSGKSCISQIAKQQSSKLVFATDNLYFSKKLLKLAGVPVLLLKNTVLMLMKPSRAQRQFAKAEAEAQKAEAEEFAKQRKRKAGKAEAEEFGKQRKRKAGKAEAEEFAKQRKRKSH >itb11g09320.t2 pep chromosome:ASM357664v1:11:6195903:6200494:-1 gene:itb11g09320 transcript:itb11g09320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MAMLQGVNELAEAVKVTMGPKGRNVIIESSGGNPKVTKDGVTVAKSIKFKEKAKNVGADLVKQVANATNSAAGDGTTCATVLTQAIYTEGCKAVAAGVNVMDLRSGINMAVDAVITDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKDGVITVADGNTLDNELEVVEGMKLARGYISPYFVTDAKTQKCELENPLILIHDKKISNIYSAAKILEKALQKRRPLLIVAEDVDSDVLTMLIINKHQAGVKVCAIKSPGFGDNRRANLEDLAILTGGEVISEERGLTLDAVQLDMFGTAKKVTVSVDDTIVIHGGGDKKLIEERCEELRTAMDKSTAMFDKEKAQERLSKLSGGVAVFKVGGVSEAEVGERKDRVTDALNATKAAVEEGILPGGGVALLHATRVLKDLNTANDSQRRGVQIIENALKAPAFTIASNAGVDGSLVVGKLLEQDNLNFGYDAAKDQYVDMVKSGIIDPLKVVRTALVDAASISLLLTTTEAAVVDRQGEKNPLANRMPNIDY >itb11g09320.t1 pep chromosome:ASM357664v1:11:6195903:6200924:-1 gene:itb11g09320 transcript:itb11g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRAASAIASSIRSSASRKQICSRVISSRNYVAKDISFGTGARMAMLQGVNELAEAVKVTMGPKGRNVIIESSGGNPKVTKDGVTVAKSIKFKEKAKNVGADLVKQVANATNSAAGDGTTCATVLTQAIYTEGCKAVAAGVNVMDLRSGINMAVDAVITDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKDGVITVADGNTLDNELEVVEGMKLARGYISPYFVTDAKTQKCELENPLILIHDKKISNIYSAAKILEKALQKRRPLLIVAEDVDSDVLTMLIINKHQAGVKVCAIKSPGFGDNRRANLEDLAILTGGEVISEERGLTLDAVQLDMFGTAKKVTVSVDDTIVIHGGGDKKLIEERCEELRTAMDKSTAMFDKEKAQERLSKLSGGVAVFKVGGVSEAEVGERKDRVTDALNATKAAVEEGILPGGGVALLHATRVLKDLNTANDSQRRGVQIIENALKAPAFTIASNAGVDGSLVVGKLLEQDNLNFGYDAAKDQYVDMVKSGIIDPLKVVRTALVDAASISLLLTTTEAAVVDRQGEKNPLANRMPNIDY >itb09g16410.t1 pep chromosome:ASM357664v1:9:11621722:11630263:1 gene:itb09g16410 transcript:itb09g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MDLFRKAILHPGSPEEFALQTVQEAIKPQRQTKLVQDENQLLENILRSLLQELVSAAVQSGQKIMQYGQSIDDGESSQGQIPRLLDIVLYLCEKEHIEGGMIFQLLEDLTEMSTMKNCEDIFGYIESKQDILGKAELFARGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSAVNIKGVFNTSNETKYEKEAPEGISIDFNFYKTFWSLQECFCNPPSLSLAPAKWHKFTSSLMVVLNTFEAQPISDEEGNANYLEDEAAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLILFDYLKAPGKSDKELPSEAMKEEIKTCEERVKKLLEITPPKGKEFLRSIEHILQRERNWVWWKRDGCPPFEKQQIEKKLAQGGQKKRRQRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAISDYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEHGIEGVVPVELLPPEVRSKYQGKPSDRSKRAKEETKTSVQQAEENQVATPASEVGIEGGKADLETSATPMDTDATGNISQSGTPTPDENQKQSSDTDVGQEAGQIEADAETPQIEADVEAEAEAEAEAGTVDGEPGDTEADLDAVG >itb12g20290.t1 pep chromosome:ASM357664v1:12:22749666:22751620:1 gene:itb12g20290 transcript:itb12g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLTRTEQQCSFVEHRNYKVVYRRYASLFFLVGVDNEENELAILELIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILAPIQLMEKAS >itb02g17200.t1 pep chromosome:ASM357664v1:2:13170728:13178514:1 gene:itb02g17200 transcript:itb02g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVIQTGHEAIDELQYLEEEANEEEEESWSSSDEEIGGALDYLDARDGSEGGLGGGGGGGFTLQSSGRRPNAHGGVQSRPNNSSLQPLSNRTQKFANRIKASPLEEWEGRISFGMSNSVTTEIRESVREMAIGKTKTTDKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGKGGWAAPRLKDAGLPLDKLREGYVEIIMAMRKLYQKCKLVHGDLSEYNILYLEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFRKHGVAVMTIRELFDFIVDPMIDDDSVDTYLEEVQRKILARGEVSAEDEIADSVFIQSFIPKTLDTVKNAEADVQRIVSGEDTGDLYYKTITGLKQALSSTAEAAEQENNNSETETETEETESGSEEEEENSPMDRKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >itb02g19190.t1 pep chromosome:ASM357664v1:2:16181606:16184566:-1 gene:itb02g19190 transcript:itb02g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFNYSSYTCGQINIIHSFICRSVTAAWREESCQFVSPCSRLLIVGLFFTSLSFTKQTHHCRRRIDLPQCRRFAAANKRPVSLTDLLLANGKHMRLSLARRRLSSYRGGGPAMLQLVGVVSGGQWWLWCGWRRFVFLVVENGVGRRWRGRLWSSSFVQLCSPICCCCRHATPTSTW >itb02g19190.t2 pep chromosome:ASM357664v1:2:16181606:16184504:-1 gene:itb02g19190 transcript:itb02g19190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAAWREESCQFVSPCSRLLIVGLFFTSLSFTKQTHHCRRRIDLPQCRRFAAANKRPVSLTDLLLANGKHMRLSLARRRLSSYRGGGPAMLQLVGVVSGGQWWLWCGWRRFVFLVVENGVGRRWRGRLWSSSFVQLCSPICCCCRHATPTSTW >itb10g12200.t1 pep chromosome:ASM357664v1:10:18137318:18145487:1 gene:itb10g12200 transcript:itb10g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQVIAELVGMGFEFSDITDAVKAVGPSVSNAIEYILDGSHRNKGTSTSSTCVAPNTNSRQKRASNALHAFDRMRQSNIKESLKSACKPIKRKYNDEPVSLCESEALQRTLEGPTELLPVMDSDMCNAHETSVPSNCKDEEVFGQDWEKKVKTLLVKHFGFSSLKSFQKQSLEAWLNHRDCLVLAATGSGKSLCFQIPALLTGEVVIVISPLISLMHDQCLKLAKHGISACFLGSGQIDRSVEKKAMNGLYSIIYVCPETILRLIKPLQNLAESRGIALFAIDEVHCVSKWGHDFRPDYRQLSILRENFRVDTLKSLKFDVPLMALTATATNCVREDILKSLKMSNETQIVCTSFFRPNLRFSVKHSRTSSLASYQKDFQEIISTYSTMKKFRKNNLISPDLDDVTAISSSTSDCSIPELEALLENDVDDINDDAFSENDDEIGLPMRHSLPASKERELSVEFLEDESDLFQDVDDLDVSCGEFHGQPIKDFCASVPLRTVDLPSKPEERLKLQHEPLEYGPTIIYVPTRKETLSIAKFLSKFGVKAAAYNAKLPKSHLRQVHKEFHEDYLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCVLYANLSRIPTLLPSKRSEEQTRQAYKMLSDCFRYGMQNSQCRAKMLVEYFGEKFGLQKCLLCDVCINGPPELQNLKAEAAILMQIVYAHYGQSSCADISYDGDIIRHNGKRSKLYEKPNIREIVSRIREQHQEFTTSEVLWWRGLARILEAKGFIRESDGKNHVQIRCPELTELGRRFLRCDSGEEAFNVYPEADMLLSTATAKGKSYSSFAEWGKGWADPEIRRQRLQRKRSWKSPKKRKSHKPLPSTNTVRGRLTAKLSAKK >itb10g12200.t4 pep chromosome:ASM357664v1:10:18137318:18145487:1 gene:itb10g12200 transcript:itb10g12200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQVIAELVGMGFEFSDITDAVKAVGPSVSNAIEYILDGSHRNKGTSTSSTCVAPNTNSRQKRASNALHAFDRMRQSNIKESLKSACKPIKRKYNDEPVSLCESEALQRTLEGPTELLPVMDSDMCNAHETSVPSNCKDEEVFGQDWEKKVKTLLVKHFGFSSLKSFQKQSLEAWLNHRDCLVLAATGSGKSLCFQIPALLTGEVVIVISPLISLMHDQCLKLAKHGISACFLGSGQIDRSVEKKAMNGLYSIIYVCPETILRLIKPLQNLAESRGIALFAIDEVHCVSKWGHDFRPDYRQLSILRENFRVDTLKSLKFDVPLMALTATATNCVREDILKSLKMSNETQIVCTSFFRPNLRFSVKHSRTSSLASYQKDFQEIISTYSTMKKFRKNNLISPDLDDVTAISSSTSDCSIPELEALLENDVDDINDDAFSENDDEIGLPMRHSLPASKERELSVEFLEDESDLFQDVDDLDVSCGEFHGQPIKDFCASVPLRTVDLPSKPEERLKLQHEPLEYGPTIIYVPTRKETLSIAKFLSKFGVKAAAYNAKLPKSHLRQVHKEFHEDYLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCVLYANLSRIPTLLPSKRSEEQTRQAYKMLSDCFRYGMQNSQCRAKMLVEYFGEKFGLQKCLLCDVCINGPPELQNLKAEAAILMQIVYAHYGQSSCADISYDGDIIRHNGKRSKLYEKPNIREIVSRIREQHQEFTTSEVLWWRGLARILEAKGFIRESDGKIIRSTWYKSGYWWHPIQSFSFSSKWPFSVVWLMCTITQIMCR >itb10g12200.t3 pep chromosome:ASM357664v1:10:18137318:18145487:1 gene:itb10g12200 transcript:itb10g12200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQVIAELVGMGFEFSDITDAVKAVGPSVSNAIEYILDGSHRNKGTSTSSTCVAPNTNSRQKRASNALHAFDRMRQSNIKESLKSACKPIKRKYNDEPVSLCESEALQRTLEGPTELLPVMDSDMCNAHETSVPSNCKDEEVFGQDWEKKVKTLLVKHFGFSSLKSFQKQSLEAWLNHRDCLVLAATGSGKSLCFQIPALLTGEVVIVISPLISLMHDQCLKLAKHGISACFLGSGQIDRSVEKKAMNGLYSIIYVCPETILRLIKPLQNLAESRGIALFAIDEVHCVSKWGHDFRPDYRQLSILRENFRVDTLKSLKFDVPLMALTATATNCVREDILKSLKMSNETQIVCTSFFRPNLRFSVKHSRTSSLASYQKDFQEIISTYSTMKKFRKNNLISPDLDDVTAISSSTSDCSIPELEALLENDVDDINDDAFSENDDEIGLPMRHSLPASKERELSVEFLEDESDLFQDVDDLDVSCGEFHGQPIKDFCASVPLRTVDLPSKPEERLKLQHEPLEYGPTIIYVPTRKETLSIAKFLSKFGLPKSHLRQVHKEFHEDYLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCVLYANLSRIPTLLPSKRSEEQTRQAYKMLSDCFRYGMQNSQCRAKMLVEYFGEKFGLQKCLLCDVCINGPPELQNLKAEAAILMQIVYAHYGQSSCADISYDGDIIRHNGKRSKLYEKPNIREIVSRIREQHQEFTTSEVLWWRGLARILEAKGFIRESDGKNHVQIRCPELTELGRRFLRCDSGEEAFNVYPEADMLLSTATAKGKSYSSFAEWGKGWADPEIRRQRLQRKRSWKSPKKRKSHKPLPSTNTVRGRLTAKLSAKK >itb10g12200.t2 pep chromosome:ASM357664v1:10:18137318:18142701:1 gene:itb10g12200 transcript:itb10g12200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQVIAELVGMGFEFSDITDAVKAVGPSVSNAIEYILDGSHRNKGTSTSSTCVAPNTNSRQKRASNALHAFDRMRQSNIKESLKSACKPIKRKYNDEPVSLCESEALQRTLEGPTELLPVMDSDMCNAHETSVPSNCKDEEVFGQDWEKKVKTLLVKHFGFSSLKSFQKQSLEAWLNHRDCLVLAATGSGKSLCFQIPALLTGEVVIVISPLISLMHDQCLKLAKHGISACFLGSGQIDRSVEKKAMNGLYSIIYVCPETILRLIKPLQNLAESRGIALFAIDEVHCVSKWGHDFRPDYRQLSILRENFRVDTLKSLKFDVPLMALTATATNCVREDILKSLKMSNETQIVCTSFFRPNLRFSVKHSRTSSLASYQKDFQEIISTYSTMKKFRKNNLISPDLDDVTAISSSTSDCSIPELEALLENDVDDINDDAFSENDDEIGLPMRHSLPASKERELSVEFLEDESDLFQDVDDLDVSCGEFHGQPIKDFCASVPLRTVDLPSKPEERLKLQHEPLEYGPTIIYVPTRKETLSIAKFLSKFGVKAAAYNAKLPKSHLRQVHKEFHEDYLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCGLFIIIIILIHKHLI >itb05g07370.t2 pep chromosome:ASM357664v1:5:9142234:9145814:-1 gene:itb05g07370 transcript:itb05g07370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPEAEYWYSGYCQTWQTYSQKINETATTLQGDGSEPVVPLGIGIEDTIGVDFWTEVVEVAAMFDNAADAGVDVQQQEEISITQPELELDTTQPEIRHQVNKASKTTSVQPIRRARRFSGSLPRVLAASPVPAYQSTSVRTTAGTSATPLSRLSAFCLLSVLRQNFFKALSKSSKEVCITRINCT >itb05g07370.t1 pep chromosome:ASM357664v1:5:9142234:9145814:-1 gene:itb05g07370 transcript:itb05g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPEAEYWYSGYCQTWQTYSQKINETATTLQGDGSEPVVPLGIGIEDTIGVDFWTEVVEVAAMFDNAADAGVDVQQQEEISITQPELELDTTQPEIRHQVNKASKTTSVQPIRRARRFSGSLPRVLAASPVPAYQSTSVRTTAGTSATPLSRLSAFCLLSVLRQNFFKALSKSSKECENLLKNESANFQAIYEKFTMRRLHICRI >itb10g22580.t1 pep chromosome:ASM357664v1:10:27370978:27373725:-1 gene:itb10g22580 transcript:itb10g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMLSESILFFYMHWHIGFELKLHCKGLERFSGLSSNMMKGNGKTVGGDVTDGAGEERAEAVYQRMKWTNEMVKILIIAVCYIEAFVLSSIDPVRAGRWRVISGVFAERGYHASAQQCEDKFNVLNRRYRRLNEILGGNTSRVVENPEFMELMDLTDEAKEEARRILGSKQLFYQEMWSYHNPNWSFLPHDPTVKKSVMLAIKGKDKCDAETLPPGMPTKRMRCQEHGVVDLDRTPDYHNPQSTDVNNMPNTELGSGLQENQIMSRIFELTETKLQIQEQILELKNQLFHKQKFHHEQEKMLAILRSENEALRLANEHLSLKLRRAK >itb10g22580.t2 pep chromosome:ASM357664v1:10:27370978:27372192:-1 gene:itb10g22580 transcript:itb10g22580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALSIIPSATSNLQDAEQCFLLLDVHRVERLYDYAGNGKTVGGDVTDGAGEERAEAVYQRMKWTNEMVKILIIAVCYIEAFVLSSIDPVRAGRWRVISGVFAERGYHASAQQCEDKFNVLNRRYRRLNEILGGNTSRVVENPEFMELMDLTDEAKEEARRILGSKQLFYQEMWSYHNPNWSFLPHDPTVKKSVMLAIKGKDKCDAETLPPGMPTKRMRCQEHGVVDLDRTPDYHNPQSTDVNNMPNTELGSGLQENQIMSRIFELTETKLQIQEQILELKNQLFHKQKFHHEQEKMLAILRSENEALRLANEHLSLKLRRAK >itb14g00060.t1 pep chromosome:ASM357664v1:14:34955:42061:1 gene:itb14g00060 transcript:itb14g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSFNCITCYNNRTAVAAPAAIRNSFAQIPFAQNLKATCQTWSIVNHKKLCICWPPTSAGNRERAFVTMEDHFARSDTSLSSKISPRKILTTSNHLRHVDSIANLPSGAGKIPRLNAVILGEALASEEDDLVFPNEDFSRQAHVSSPQEYLKMYQRSVEDPSGFWADIASQFYWKEKWDHQVCHDNLDIRKGKIHIEWFKGGITNICYNCLDRNIESGNGDKIAIYWEGNEPGVEGTLTYNQLLARVCQLANYLKDIGVHKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSTESLAQRIVDCKPKVVITCNAVKRGSKVIHLKDIVDAALVESAQNGTIVDVCLTYENESAMKKEVTKWNEGRDIWWQDVVPKYPITCDVQWVGAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKSKDVYWCTADCGWITGHSYVTYGPLLNGATVVVYEGAPNYPDPGRCWDIVDKYKVSIFYTAPTLVRSLMREGDEYVTRYSRKSLRILGSVGEPINPSAWRWFFNVVGDARCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCIKSSWPGAFRTLYGDHERYETTYFSAFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKDLVLIVRKQIGPFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPTVVDQLIDLADS >itb14g00060.t2 pep chromosome:ASM357664v1:14:34955:42061:1 gene:itb14g00060 transcript:itb14g00060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRSVEDPSGFWADIASQFYWKEKWDHQVCHDNLDIRKGKIHIEWFKGGITNICYNCLDRNIESGNGDKIAIYWEGNEPGVEGTLTYNQLLARVCQLANYLKDIGVHKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSTESLAQRIVDCKPKVVITCNAVKRGSKVIHLKDIVDAALVESAQNGTIVDVCLTYENESAMKKEVTKWNEGRDIWWQDVVPKYPITCDVQWVGAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKSKDVYWCTADCGWITGHSYVTYGPLLNGATVVVYEGAPNYPDPGRCWDIVDKYKVSIFYTAPTLVRSLMREGDEYVTRYSRKSLRILGSVGEPINPSAWRWFFNVVGDARCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCIKSSWPGAFRTLYGDHERYETTYFSAFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKDLVLIVRKQIGPFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPTVVDQLIDLADS >itb09g10730.t1 pep chromosome:ASM357664v1:9:6629359:6629714:1 gene:itb09g10730 transcript:itb09g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDLDYCYYLFQDGECRKMCFPVKKSFCEFDEVRALFEPSSGKLDETVKVIFGRLGFTYQIPTVAKYFGGQVVSYHDLCEDG >itb14g03110.t1 pep chromosome:ASM357664v1:14:2785777:2786283:1 gene:itb14g03110 transcript:itb14g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASHAFIFIILIAICTFIFKKMLRMFPQSAILNYVVLAASHLKLAWDCLFIQSFCPPPPYKFNVDGTGMSPELGGRVFGGESEAVECAVCLCKIEEGEEVGDLRCNHIFHRDCLDRWLATGRNSCPLCRTQVKSAAGRRLFDDRYREVIEFDFFSGRRDGCTWWLR >itb04g22270.t2 pep chromosome:ASM357664v1:4:27441101:27447173:-1 gene:itb04g22270 transcript:itb04g22270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNEVECGWKIVHIPDKPPVLPHLQPTVNVYAAEIEPKDANTLVRKLNQICPLENLRHVKRIRKKCIDGGKPQLSLILSMTNEDAGGMPSNVLELVKSYQLSTFITKVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVKDMENQTVNPSDESSRPYLCTGYDIYLVWEPCSMCAMALVHQRVRRIFYAFPNLNAGALGSVHRLQGERSLNHHYAVFRVLLPKDTLRAEALDAGTTLNDETIVQVSC >itb04g22270.t5 pep chromosome:ASM357664v1:4:27441101:27446904:-1 gene:itb04g22270 transcript:itb04g22270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVKDMENQTVNPSDESSRPYLCTGYDIYLVWEPCSMCAMALVHQRVRRIFYAFPNLNAGALGSVHRLQGERSLNHHYAVFRVLLPKDTLRAEALDAGTTLNDETIVQVSC >itb04g22270.t6 pep chromosome:ASM357664v1:4:27443495:27446586:-1 gene:itb04g22270 transcript:itb04g22270.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHRFLFQHLSIALEVEGTMSSSNEVECGWKIVHIPDKPPVLPHLQPTVNVYAAEIEPKDANTLVRKLNQICPLENLRHVKRIRKKCIDGGKPQLSLILSMTNEDAGGMPSNVLELVKSYQLSTFITKVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVSYLLSCFSLCSCTI >itb04g22270.t3 pep chromosome:ASM357664v1:4:27441111:27446586:-1 gene:itb04g22270 transcript:itb04g22270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLILSMTNEDAGGMPSNVLELVKSYQLSTFITKVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVKDMENQTVNPSDESSRPYLCTGYDIYLVWEPCSMCAMALVHQRVRRIFYAFPNLNAGALGSVHRLQGERSLNHHYAVFRVLLPKDTLRAEALDAGTTLNDETIVQVSC >itb04g22270.t4 pep chromosome:ASM357664v1:4:27441101:27446904:-1 gene:itb04g22270 transcript:itb04g22270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHRFLFQHLSIALEVEGTMSSSNEVECGWKIVHIPDKPPVLPHLQPTVNVYAAEIEPKDANTLVRKLNQICPLENLRHVKRIRKKCIDGGKPQLSLILSMTNEDAGGMPSNVLELVKSYQLSTFITKVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVKDMENQTVNPSDESSRPYLCTGYDIYLVWEPCSMCAMALVHQRVRRIFYAFPNLNAGALGSVHRLQGERSLNHHYAVFRVLLPKDTLRAEALDAGTTLNDETIVQVSC >itb04g22270.t7 pep chromosome:ASM357664v1:4:27441178:27444859:-1 gene:itb04g22270 transcript:itb04g22270.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVKDMENQTVNPSDESSRPYLCTGYDIYLVWEPCSMCAMALVHQRVRRIFYAFPNLNAGALGSVHRLQGERSLNHHYAVFRVLLPKDTLRAEALDAGTTLNDETIVQVSC >itb04g22270.t1 pep chromosome:ASM357664v1:4:27441101:27447176:-1 gene:itb04g22270 transcript:itb04g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNEVECGWKIVHIPDKPPVLPHLQPTVNVYAAEIEPKDANTLVRKLNQICPLENLRHVKRIRKKCIDGGKPQLSLILSMTNEDAGGMPSNVLELVKSYQLSTFITKVCRYAASTKEEWEEQCKLWPTSFHPPTYNISGITGFSEEESQSVFSFMKSAINLAKSIDGLVINAAIIVDPSTKQVIASACDEVVSLNSSRNEAREGINCSSQREASSRTRATDMADQPKLLSDDSSNKPIAVYDNISCLHPWKWAEQQFSASFSSWHPLRHAAVVAIDHSATRDRQLFPSAGYNGYHFAQEDCVLSPLVGSPSKRQKVNPTDVKDMENQTVNPSDESSRPYLCTGYDIYLVWEPCSMCAMALVHQRVRRIFYAFPNLNAGALGSVHRLQGERSLNHHYAVFRVLLPKDTLRAEALDAGTTLNDETIVQVSC >itb13g23730.t1 pep chromosome:ASM357664v1:13:29636483:29637424:-1 gene:itb13g23730 transcript:itb13g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAGAGKGGAGRGKPKASKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGNVTIANGGVLPNIHQNLLPRKAGSAKGDIGSASQEF >itb02g13550.t2 pep chromosome:ASM357664v1:2:9718357:9725549:-1 gene:itb02g13550 transcript:itb02g13550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MLQVLKIGCCYSLSLRNIAVVSKNREIEGLFSVKLRAMAPKRRLSSSSAAAKPSEVPSVESSWPGKATAKESCESGFEDDVDDIESVTKMTVQQLRLKLRSAGIPARGSKHELVLAYKSFLNDTKNGNGSLEVEDQVYPEEKLSDIAENTSKRNSKRVSTKDVLSINVASEVSQIKRTKKRVEQDPIDIKDEQQRKDQELLVESNVVGGKRKVQAKKKVISESVNLSVNHDEPWTIFAHKKPQDGWIAYNPKTMRPPPLTGSHKALKLMSWNVNGLRGLLKLESLCIKQLAQREDFDVLCLQETKLQEKDVQVIKESLVDGYDNSFWTCSVSKLGYSGTAIISRIKPLSVKYGLGIIDHDSEGRLVTVEFDDFYLLTAYVPNSGDGLRRLSYRTTQWDPSLSDYVKELEKSKPVILTGDLNCAHEEIDIYNPAGNKRSAGFTDEERQSFETNFLKRGFVDTFRKQHPGVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKVYDSYIVPDVGGSDHCPIGLVLKL >itb02g13550.t1 pep chromosome:ASM357664v1:2:9718357:9725549:-1 gene:itb02g13550 transcript:itb02g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41460) UniProtKB/Swiss-Prot;Acc:P45951] MAPKRRLSSSSAAAKPSEVPSVESSWPGKATAKESCESGFEDDVDDIESVTKMTVQQLRLKLRSAGIPARGSKHELVLAYKSFLNDTKNGNGSLEVEDQVYPEEKLSDIAENTSKRNSKRVSTKDVLSINVASEVSQIKRTKKRVEQDPIDIKDEQQRKDQELLVESNVVGGKRKVQAKKKVISESVNLSVNHDEPWTIFAHKKPQDGWIAYNPKTMRPPPLTGSHKALKLMSWNVNGLRGLLKLESLCIKQLAQREDFDVLCLQETKLQEKDVQVIKESLVDGYDNSFWTCSVSKLGYSGTAIISRIKPLSVKYGLGIIDHDSEGRLVTVEFDDFYLLTAYVPNSGDGLRRLSYRTTQWDPSLSDYVKELEKSKPVILTGDLNCAHEEIDIYNPAGNKRSAGFTDEERQSFETNFLKRGFVDTFRKQHPGVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKVYDSYIVPDVGGSDHCPIGLVLKL >itb09g24070.t1 pep chromosome:ASM357664v1:9:23717993:23719872:-1 gene:itb09g24070 transcript:itb09g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPSGVLINENSQLLTQESILTLILSLLLKQPYQELWHFDGLHRPVWSREDGNSLLTYDSTNPGMEPNEFTFATVPRLVWLRLVSNSKGKSIVSCSRRPLRSICLPERDVVSCTAMISGFSQ >itb11g22000.t1 pep chromosome:ASM357664v1:11:23788876:23806853:-1 gene:itb11g22000 transcript:itb11g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTKQSAKQLQVVSIVGMGGIGKTTLTRKVYEDSSIISHFYMRAWVTVSQEHNLPQMLQCLLGCVSASSDEQSNNDDQGQLANRLRKHLKEQRYLIVMDDIWSSTAWDSVQRCFPNDNNGSRILLTSRLREVAEYASSGNAIINMPFLDVDKSWNLFCNVFGQTKFHSVFEQIGRDIVRKCNGLPLAITVIASLLSKTEVAVEKWDNVAENLKFFLTYLTWSDIRLFGMLPNLEVLKLKRAIASNDITWEPSEEGFRQLKRLDDQFGEDVLCFKLSNTMNNAHYSIINELNIQRLIFRPGHHHPQPPSVFFNDSITAPCGDNISLAKLSGWGDWVKIRETAEQLQVISIVGMGGIGKTTLARKIYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFINCVNAVSNDIPHEQRNDNLAKSQRKHLKVSNGILCKLRIDNLVESLRKHLKASNDILPKQRIDNLAESLRKHLKDQRYLIVMDDIWSATAWDSVQRCFPNDNNGSRILLTSRLREVAEYASSGNSTINMPFLDANESWNLYCNVFGQTEFLSVFEQIGRNIVKKCNGLPLAIIVIASLLSKTEETVEKWNNVAENVSRYVTSDSNDACSRILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLARLWAAEGFLRAKDHPNIEEVAMECLQDLVGRSLVFVSKQSYDGKMKTDDQFGEDVLCFKLSNTMNNAHYSIINELNIQRLIFRPGHHHPQPPSVFFNDSITAPCGDNISLAKLSGWGDWVKIRETAEQLQVISIVGMGGIGKTTLARKIYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFINCVNAVSNDIPHEQRNDNLAKSQRKHLKVSNGILCKLRIDNLVESLRKHLKASNDILPKQRIDNLAESLRKHLKDQRYLIVMDDIWSATAWDSVQRCFPNDNNGSRILLTSRLREVAEYASSGNSTINMPFLDANESWNLYCNVFGQTEFLSVFEQIGRNIVKKCNGLPLAIIVIASLLSKTEETVEKWNNVAEKTEETVEKWNNVAENVSRYVTSDSNDACSRILYLSYNQLPHHLKACFLYFGQLPHHLKACFLYFGVFPEDYEIHVKKLARLWAAEGFLRAKDHPNIEEVAMECLQDLVGRSLVFVSKQSYDGKMKTFLSVFAERAYDFLEAVWSCYFKDYMELF >itb09g17260.t1 pep chromosome:ASM357664v1:9:12499569:12502946:-1 gene:itb09g17260 transcript:itb09g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQNQPSTRPSKPQILKQKQAALLSETKNLDFSTWASENSVKILIISLLTITVAALFYFHTSFTTPTTLFCSQRSHHGQPQKPELPKIDLDSVQPIVDKSSPYSSFHSEQWIVVSVSDYPSISLQSLVRIKGWQVLAIGTSKTPKDWNLKGAIYLSLEQQADLGFRVVDHLPYDSYLRKSVGYLFAIQHGAKRIFDFDDRGDVIGGEIGKHFDLELDGLKGKEEKILQYSLEIVNRTVVNPYIHFGQRSVWPRGLPLENVGVVNHEEFYHEVSGGKQYIQQGISNGLPDVDAVFYSTRKRGSEAFDIRFDERAPKVALPQGLMVPINSFNTMFHYNAFWGLMLPVSVSTMASDVLRGYWAQRLLWEIGGFVAVYPPTVHRNDKVEGYPFSEERDLHVNVGRLVRYLVSWRSRSQSKKLFDKILQLSYSMALEGFWSENDVKFTAAWLQDLIAIGYQQPRLTTIKLDGRKPNIGLGDRKEFVPQKLPSVHLGVEESGVVNYEIGNLIRWRKRFGKVVLIMFVSSSVQQTALEWRLLYGRIFKTVVILSTQADADLAVEQGQLDQIYKYIPGILERFNDTEGFLYLQDNTILNYWNLMQADTSRLWIANKVPLSRTSIDGKDSSWFSQQADLVKKVVSTMPVHLQVNYKESGPSDQNIALCGSEVFYVPRRFTQDFIDLVDLVGDLQIHHKIAVPMFFMAMDVPRNFDPLLNRMIYKTGKPSTNSLNIYSAQAPAVHPWIVSTESDFIKLIRLMAEGDPLLMELF >itb10g21320.t1 pep chromosome:ASM357664v1:10:26601901:26603839:-1 gene:itb10g21320 transcript:itb10g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIPSLLLLSWFLALYSPPITSLAHQTNHNATKTLNPYPPNNLMNIIDSCWRSNPNWAHNRHALADCAIGFGSGAVGGKNGKIYVVTDPSDDPINPKPGTIRYGAIQTEALWIVFEKDMVITLENELMVNSYKTIDGRGAKVEIANGPCVTIEDVTNVIIHGISIHDCMPGKRGMVRRSPDHVGERIGSDGDAISVLASSHVWVDHCFLARCADGLVDVIHASTAVTISNNYFTQHDKVMLLGHRDSYTADRVMKVTVVFNHFGRDLVQRMPRVRHGYAHVANNYYDQWLQYAIGGSAGPTILSEGNYFVASDDVAPQNKEVTKREINNGGWKAWKWRSTKDVFLNGAYFVPSGYGICSPSYATSQLFVAAQGSLVPSLTYHAGPLQCVVGEKC >itb14g20030.t1 pep chromosome:ASM357664v1:14:22511842:22514342:-1 gene:itb14g20030 transcript:itb14g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEANRAAVESCHRVISLLSQPHDQSQYAKLALETGEAVHKFKRVVSKLNSTLGHARVRKVKKIQTPSLPPSILLENPMCRGDDHHPKALQLLPAISLEASNQEKGSSGVKSGLALGNPSFELNLHGKTPVPLSHQTPIPSYHFLQQQQQRYQQQQQQQLKQQAEMIYRRSNSGISLNFDSSTCTPTMSSTRSFISSLSIDGSVANMDGNAFHLIGASRSADLSSYQHKKRCSGRGEDGSTKCGSSSRCHCSKKRKHRVKRSIKVPAISNKLADIPQDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGDHNHPRVPSQSANT >itb14g20030.t2 pep chromosome:ASM357664v1:14:22511842:22514342:-1 gene:itb14g20030 transcript:itb14g20030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEANRAAVESCHRVISLLSQPHDQSQYAKLALETGEAVHKFKRVVSKLNSTLGHARVRKVKKIQTPSLPPSILLENPMCRGDDHHPKALQLLPAISLEASNQEKGSSGVKSGLALGNPSFELNLHGKTPVPLSHQTPIPSYHFLQQQQQRYQQQQQQQLKQQAEMIYRRSNSGISLNFDSSTCTPTMSSTRSFISSLSIDGSVANMDGNAFHLIGASRSADLSSYQHKKRCSGRGEDGSTKCGSSSRCHCSKKRKHRVKRSIKVPAISNKLADIPQDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGDHNHPRVPSQSANT >itb08g10110.t1 pep chromosome:ASM357664v1:8:9519083:9528973:1 gene:itb08g10110 transcript:itb08g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFTLSLELKRTIQSLMILTSCPLLSHHRSSSCFRSLPQLASSSHLAFPTSSPTPYMESESSTPSLLVLCGKSAAENELAKSLKNNNAMKLLGDDEVEVVLYSEVEDSLGNEGFRIRDYLKSLLTMSLGRFLLYSPRLPSTQDVVARNFCELPVGAVCVADVQFKGRGRSMNVWESPKGSLLFSFTLQMEDGRMVPHVQYVVSLAMTDAINDLCKQYGIRHLDVRIKWPNDLYLGGLKVGGILCTSTYKSQKFNISAGIGINVDNEKPTTCLNAVLQKSTSVPNIFKREAIMAAFFNKFETFIDVFFNQGFQPLEELYYKTWLHSGQRVIVQEKTENQDQFVENVVTIQGLSSSGYLLAITDDGQTCELHPDGNSGQRVIVQEKTENQDQFVENVVTIQGLSSSGYLLAITDDGQTCELHPDGNSFDFFKGLVRRKLS >itb02g07150.t1 pep chromosome:ASM357664v1:2:4445184:4445603:1 gene:itb02g07150 transcript:itb02g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKFGYNKLTGEKQSLRSWKSPEDPSPGLYSLAMDPQIWQFAATWNGTDRYWTSGPVNGSLFKFVPEMRPNPIYNFTFVNNANQTFFVYEFFNPDAVISRFVLDISGQLRHYTWLDSSKAWNIFFLKPEKKCDVPAV >itb05g02110.t1 pep chromosome:ASM357664v1:5:1640428:1641171:1 gene:itb05g02110 transcript:itb05g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMEWNMEEDCCSWDGVSCDPATGYVIGLDLSFSMLSGEIFPIFNLHHLQTLNLSCNNFNYTTPFPSGFEKLRNLTHLNLSYTSFSGQIPVGISMLTRLVSLDLSTMLVSVKLRKPNLESFFMNLTSLKEVYLDGVDLSAQGSNWSQVLSSALPHLQVLSLSNCNLNGPIHPSFATLKSLSYLTLDDNNLSSGFPENVFLLPKLKIIDISYNFLLSGQFPEFPKHTSLQRISLYETSFHGELPESI >itb03g15830.t1 pep chromosome:ASM357664v1:3:15053417:15054297:1 gene:itb03g15830 transcript:itb03g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPSFFGRRSNVFDPFSLDVWDPFDGFPIGNFRPLSEQFRSTETSSFAQASIDWKETPSAHVFKADVPGLRKEEVKVEVEDDRILQISGERRREMEEKGDTWHRVERSSGKFVRRFRLPENAKVDQVKASMDDGVLTVTVPKEEEEKKQQRDVKSIDISG >itb03g08350.t1 pep chromosome:ASM357664v1:3:6231306:6235210:-1 gene:itb03g08350 transcript:itb03g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPSPPSYTVVADESCAGKFSIPEVPARDTVDVLKLRTRKGNEIVAVHVKHPKASATMLYSHGNAADLGQMFELFVELSLRLRINLMGYDYSGYGRSTGKPSECNTYADIDAVYKCLKEQYGVKDEQLILYGQSVGSGPTIDLASRVQNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKISMVSCLVLVIHGTADEVVDCSHGKQLWELCKEKYDPLWINGGGHCNLELYTEYIKHLKKFVLSLGKSKPPANGSQKPAPDSENPSKPAENGPPAAADRFDLHADVPEISRNSLDSRLEKSKKSSKPEKSRMSTDRVDRFRRRKGLVW >itb11g15720.t1 pep chromosome:ASM357664v1:11:13539572:13540156:-1 gene:itb11g15720 transcript:itb11g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNPINKWAVLLNQSSTKWALPDSAPTQCHLNPPSKVRNGAVRLRPKITDTVKGKLILGAKLLQAGGVQKVFKKNFSVKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKVSFLSDRSIKILSSTGKSMRMHYKVSIPISKIKRAKESENLKNPSEKYIQVVTEDHFEFWFMWFLHHQKTLKYLQNVISQARYL >itb03g00540.t3 pep chromosome:ASM357664v1:3:266115:273288:1 gene:itb03g00540 transcript:itb03g00540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATASSAGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPGGSAPSNKSSSGPVSSSIQKSSQGQHPDNGDDDRYDRGSNGGSSRLSTGAERGSSDHSRDVSQMPEAGGGPSAPKSNSGLSMGSDLSPDSYRRQHEISVTGDNVPPPFTSFKATGFPSELLREGFLNHPCSWLGMLLVMIPSATLSIWKKRSPPALACCSIGCLCKGDVSSSCAGQGHAKTSNSRGTLKLLHQAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKRRNNNPRMGPTVLVLSPTRELATQIQDEAVKFGTTSRISSTCLYGGAPKGPQLRELDKGVDIVVATPGRLNDILEMKRVTLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSQRQTLMYTATWPKDVRKIAADLLVNPVQVNIGNVDELVANKSITQYVEVLQSMEKRRRLEQILRSQEPGSKIIIFCSTKKMCDMLAGNLNNKFGAAAIHGDKSQSDRDYVLSQFRTGRSPVLVATDVAARGLDVKDIRLLHQAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKRRNNNPRMGPTVLVLSPTRELATQIQDEAVKFGTTSRISSTCLYGGAPKGPQLRELDKGVDIVVATPGRLNDILEMKRVTLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSQRQTLMYTATWPKDVRKIAADLLVNPVQVNIGNVDELVANKSITQYVEVLQSMEKRRRLEQILRSQEPGSKIIIFCSTKKMCDMLAGNLNNKFGAAAIHGDKSQSDRDYVLSQFRTGRSPVLVATDVAARGLDVKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVAYTFFGDQDGRYASDLIKILEGASQRVPDELRDIASRGGGGGGMGRARRQWSAAPGGRDGGNIGQDSGRAGWSMSFSSDKGGGHGNNRDGGISGQDSGRAGWSMSFSSDKGGGHGNNHDSYDSDRYGNSSQDADGSGSFQACSFHERMMKASEKPRRSPSPSRSPNRGSGWGGDNNSRGRSRSRSVERFDKPSPVGQEPIGGSFHETMMAKAGTSLVVQNLMLPTWDSVNVIDSGKNLNDDPKGCESSPPKQQNDDQYADGSCLNYGEEGNIAKEDGTFQDASENPSPTHP >itb03g00540.t2 pep chromosome:ASM357664v1:3:267787:273740:1 gene:itb03g00540 transcript:itb03g00540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVMIPSATLSIWKKRSPPALACCSIGCLCKGDVSSSCAGQGHAKTSNSRGTLKLLHQAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKRRNNNPRMGPTVLVLSPTRELATQIQDEAVKFGTTSRISSTCLYGGAPKGPQLRELDKGVDIVVATPGRLNDILEMKRVTLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSQRQTLMYTATWPKDVRKIAADLLVNPVQVNIGNVDELVANKSITQYVEVLQSMEKRRRLEQILRSQEPGSKIIIFCSTKKMCDMLAGNLNNKFGAAAIHGDKSQSDRDYVLSQFRTGRSPVLVATDVAARGLDVKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVAYTFFGDQDGRYASDLIKILEGASQRVPDELRDIASRGGGGGGMGRARRQWSAAPGGRDGGNIGQDSGRAGWSMSFSSDKGGGHGNNRDGGISGQDSGRAGWSMSFSSDKGGGHGNNHDSYDSDRYGNSSQDADGSGSFQACSFHERMMKASEKPRRSPSPSRSPNRGSGWGGDNNSRGRSRSRSVERFDKPSPVGQEPIGGSFHETMMAKAGTSLVVQNLMLPTWDSVNVIDSGKNLNDDPKGCESSPPKQQNDDQYADGSCLNYGEEGNIAKEDGTFQDASENPSPTHP >itb03g00540.t1 pep chromosome:ASM357664v1:3:265223:273740:1 gene:itb03g00540 transcript:itb03g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATASSAGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPGGSAPSNKSSSGPVSSSIQKSSQGQHPDNGDDDRYDRGSNGGSSRLSTGAERGSSDHSRDVSQMPEAGGGPSAPKSNSGLSMGSDLSPDSYRRQHEISVTGDNVPPPFTSFKATGFPSELLRELHQAGFSAPTPIQAQSWPIALQGRDIVAIAKTGSGKTLGYLIPGFMHLKRRNNNPRMGPTVLVLSPTRELATQIQDEAVKFGTTSRISSTCLYGGAPKGPQLRELDKGVDIVVATPGRLNDILEMKRVTLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPSQRQTLMYTATWPKDVRKIAADLLVNPVQVNIGNVDELVANKSITQYVEVLQSMEKRRRLEQILRSQEPGSKIIIFCSTKKMCDMLAGNLNNKFGAAAIHGDKSQSDRDYVLSQFRTGRSPVLVATDVAARGLDVKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVAYTFFGDQDGRYASDLIKILEGASQRVPDELRDIASRGGGGGGMGRARRQWSAAPGGRDGGNIGQDSGRAGWSMSFSSDKGGGHGNNRDGGISGQDSGRAGWSMSFSSDKGGGHGNNHDSYDSDRYGNSSQDADGSGSFQACSFHERMMKASEKPRRSPSPSRSPNRGSGWGGDNNSRGRSRSRSVERFDKPSPVGQEPIGGSFHETMMAKAGTSLVVQNLMLPTWDSVNVIDSGKNLNDDPKGCESSPPKQQNDDQYADGSCLNYGEEGNIAKEDGTFQDASENPSPTHP >itb05g17650.t1 pep chromosome:ASM357664v1:5:24492724:24501175:1 gene:itb05g17650 transcript:itb05g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRHKSGRLSSCTPSQNAGSGLEFYDSRSHCSKLSMNQMQEEACYLRQNREAFNFHPVTLSPNCKTTPMGMSAAAYYSNLEYGSSFNNQSSGFVPVGQDGNTMFSHVSVKHMPSEIIPSPEPISSVTVCSDGDWNLDRELFDSGHTFTENSLPHVIESAPDSCIKPQHTAPNTYSVDVPPSHRQNLGLSNSNVRIPEQDMKRIPQDCIVMPGMTVDKPRSNNRYLFHPQLQIGNSEPSDQHSHFMLCNEPSDLRSHGFMATEKACHSHVPSQKSLNVISSEQPEFSGVQHASDEPAPNSFPSRTSQHLGLGHLSSHDILVLYVKYNALTVNFGREQVPFLNNLHSLVCNDTVCSCDRYRLLISHFESCCNSNCSVCKPVRDNRVLHSVGPISTESGKPKTGLMRTFHDRDFNGITSSCEDTPSYKRTKVESSFLPENMDVVAQSVNKCFGAPLRTVKDSTVMNQKFTNSIGNPCNLGAVSVLAADDGQKSQFSSDSLVSGELHSKEDSTEMNKELRNVIGVPGRTSATGNHVTDGPQKSSFDHTSLVSNGVSGCELQKVDNDSGSGASNDNTENSLGVSSDRMPVHNESANGQEEDSKRNRSEIKCDLAESAVRYLFRIKSNNSKRLGVSFVDFFTAEQIKTHICSLRLHFGQGLIGNAITPSSIENTCQLCGTDKLVFIPMPMYCSSCGVRIKRNLVFYYWAVEETSRRYCFCTLCFRASRGGNITFLGLSIPKAKLQKGKNNEENEESWVQCDKCECWQHQICALYNAKKDLEGKAKYICPYCRLKEIEYGEHVPFPAIGARDLPRTNLSDHIEDRLFRLLEQEKEQRANLLGKNLDEVPGATGLFVRVVLSVNKQLRVKQQFLDIFHDQDYPVEFQYRSKVILLFQNIEGVDVCLFGMYVQEFGSECGEPNKRCVYVSYLDSVKYFRPDIRTVAGEALRTFVYHEILIGYLEYCKKRGFSTCYIWACPPVKGEDYILYCHPESQKTPKSDKLRQWYRSMLKKASKEDIVISFTNFYDHFFVPSAESNTKITAARLPYFDGDYWSGAAEDMMRNMDKDGKGGSPGKVKKVVTKRSLKAMGYSDLSAEAAKDVIVMQKLGQTILPVKEDFIIVNLQFKCTKCEELILSGTRWSCNECKNFQLCARCVDMKQGVNEQKEHTSSTGEKHFLTQTSADPIPADTEDNDAIIDNDFFEHRHSFLCFCQENHYQFESLRRAKHSSMMILYHLYKSIHCLSGGGRDSQLQQAERGLQVKLMELLVHASRCHASKSNPCPLPECHKIRQLFRHAHGCTIRVSGGCDVCNKIWLLLRMHSRNCQDSSCSVPRCRDLKRHAEENALQSNVHQRQTVEERGSA >itb05g17650.t3 pep chromosome:ASM357664v1:5:24492976:24501175:1 gene:itb05g17650 transcript:itb05g17650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRHKSGRLSSCTPSQNAGSGLEFYDSRSHCSKLSMNQMQEEACYLRQNREAFNFHPVTLSPNCKTTPMGMSAAAYYSNLEYGSSFNNQSSGFVPVGQDGNTMFSHVSVKHMPSEIIPSPEPISSVTVCSDGDWNLDRELFDSGHTFTENSLPHVIESAPDSCIKPQHTAPNTYSVDVPPSHRQNLGLSNSNVRIPEQDMKRIPQDCIVMPGMTVDKPRSNNRYLFHPQLQIGNSEPSDQHSHFMLCNEPSDLRSHGFMATEKACHSHVPSQKSLNVISSEQPEFSGVQHASDEPAPNSFPSRTSQHLGLGHLSSHDILVLYVKYNALTVNFGREQVPFLNNLHSLVCNDTVCSCDRYRLLISHFESCCNSNCSVCKPVRDNRVLHSVGPISTESGKPKTGLMRTFHDRDFNGITSSCEDTPSYKRTKVESSFLPENMDVVAQSVNKCFGAPLRTVKDSTVMNQKFTNSIGNPCNLGAVSVLAADDGQKSQFSSDSLVSGELHSKEDSTEMNKELRNVIGVPGRTSATGNHVTDGPQKSSFDHTSLVSNGVSGCELQKVDNDSGSGASNDNTENSLGVSSDRMPVHNESANGQEEDSKRNRSEIKCDLAESAVRYLFRIKSNNSKRLGVSFVDFFTAEQIKTHICSLRLHFGQGLIGNAITPSSIENTCQLCGTDKLVFIPMPMYCSSCGVRIKRNLVFYYWAVEETSRRYCFCTLCFRASRGGNITFLGLSIPKAKLQKGKNNEENEESWVQCDKCECWQHQICALYNAKKDLEGKAKYICPYCRLKEIEYGEHVPFPAIGARDLPRTNLSDHIEDRLFRLLEQEKEQRANLLGKNLDEVPGATGLFVRVVLSVNKQLRVKQQFLDIFHDQDYPVEFQYRSKVILLFQNIEGVDVCLFGMYVQEFGSECGEPNKRCVYVSYLDSVKYFRPDIRTVAGEALRTFVYHEILIGYLEYCKKRGFSTCYIWACPPVKGEDYILYCHPESQKTPKSDKLRQWYRSMLKKASKEDIVISFTNFYDHFFVPSAESNTKITAARLPYFDGDYWSGAAEDMMRNMDKDGKGGSPGKVKKVVTKRSLKAMGYSDLSAEAAKDVIVMQKLGQTILPVKEDFIIVNLQFKCTKCEELILSGTRWSCNECKNFQLCARCVDMKQGVNEQKEHTSSTGEKHFLTQTSADPIPADTEDNDAIIDNDFFEHRHSFLCFCQENHYQFESLRRAKHSSMMILYHLYKSIHCLSGGGRDSQLQQAERGLQVKLMELLVHASRCHASKSNPCPLPECHKIRQLFRHAHGCTIRVSGGCDVCNKIWLLLRMHSRNCQDSSCSVPRCRDLKRHAEENALQSNVHQRQTVEERGSA >itb05g17650.t2 pep chromosome:ASM357664v1:5:24492753:24501175:1 gene:itb05g17650 transcript:itb05g17650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRHKSGRLSSCTPSQNAGSGLEFYDSRSHCSKLSMNQMQEEACYLRQNREAFNFHPVTLSPNCKTTPMGMSAAAYYSNLEYGSSFNNQSSGFVPVGQDGNTMFSHVSVKHMPSEIIPSPEPISSVTVCSDGDWNLDRELFDSGHTFTENSLPHVIESAPDSCIKPQHTAPNTYSVDVPPSHRQNLGLSNSNVRIPEQDMKRIPQDCIVMPGMTVDKPRSNNRYLFHPQLQIGNSEPSDQHSHFMLCNEPSDLRSHGFMATEKACHSHVPSQKSLNVISSEQPEFSGVQHASDEPAPNSFPSRTSQHLGLGHLSSHDILVLYVKYNALTVNFGREQVPFLNNLHSLVCNDTVCSCDRYRLLISHFESCCNSNCSVCKPVRDNRVLHSVGPISTESGKPKTGLMRTFHDRDFNGITSSCEDTPSYKRTKVESSFLPENMDVVAQSVNKCFGAPLRTVKDSTVMNQKFTNSIGNPCNLGAVSVLAADDGQKSQFSSDSLVSGELHSKEDSTEMNKELRNVIGVPGRTSATGNHVTDGPQKSSFDHTSLVSNGVSGCELQKVDNDSGSGASNDNTENSLGVSSDRMPVHNESANGQEEDSKRNRSEIKCDLAESAVRYLFRIKSNNSKRLGVSFVDFFTAEQIKTHICSLRLHFGQGLIGNAITPSSIENTCQLCGTDKLVFIPMPMYCSSCGVRIKRNLVFYYWAVEETSRRYCFCTLCFRASRGGNITFLGLSIPKAKLQKGKNNEENEESWVQCDKCECWQHQICALYNAKKDLEGKAKYICPYCRLKEIEYGEHVPFPAIGARDLPRTNLSDHIEDRLFRLLEQEKEQRANLLGKNLDEVPGATGLFVRVVLSVNKQLRVKQQFLDIFHDQDYPVEFQYRSKVILLFQNIEGVDVCLFGMYVQEFGSECGEPNKRCVYVSYLDSVKYFRPDIRTVAGEALRTFVYHEILIGYLEYCKKRGFSTCYIWACPPVKGEDYILYCHPESQKTPKSDKLRQWYRSMLKKASKEDIVISFTNFYDHFFVPSAESNTKITAARLPYFDGDYWSGAAEDMMRNMDKDGKGGSPGKVKKVVTKRSLKAMGYSDLSAEAAKDVIVMQKLGQTILPVKEDFIIVNLQFKCTKCEELILSGTRWSCNECKNFQLCARCVDMKQGVNEQKEHTSSTGEKHFLTQTSADPIPADTEDNDAIIDNDFFEHRHSFLCFCQENHYQFESLRRAKHSSMMILYHLYKSIHCLSGGGRDSQLQQAERGLQVKLMELLVHASRCHASKSNPCPLPECHKIRQLFRHAHGCTIRVSGGCDVCNKIWLLLRMHSRNCQDSSCSVPRCRDLKRHAEENALQSNVHQRQTVEERGSA >itb14g12090.t1 pep chromosome:ASM357664v1:14:13690398:13697713:-1 gene:itb14g12090 transcript:itb14g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHKLALVDSDWTYTDMRVAEDYISVIPITLKLQDKVKATNRIGVYSVSEIASDVTPEFLKDKGLKSVPKSVAYGYTASGYGFIQDMPYAYIHEFIRTSMAGKIRRFKGGYMSVWKKLSEAMPIKFQCNTEVLSIKRNSSSVCVDVRHKNGALQAMEFDKIIISGAFPFHNGRTYRSPSQKTAGLVCDRMDMSTLEKELFSKVQTIEYYTSVLEIDGLEHLPMGFYYFGNFMEDPAAIGNPVAMQKFYTDTNIFLFWSYGNSVDILGSEVMEFAKKAVIKMGGQVKKVILQRHFKYFPHVNCKDMKDGFYEKLETQLQGQRNTYYVGGLMAFELTERNASYSMALIRKHFANDLAVPIFPYVKRLFPLKSSSEGLAFKQLDEIPGVKFPELSSLDSYLRHWGTLGVTENKTLYTWINEKGDVLDKRTYKELHTNAFTIAQKLLTCQKPAIRPGDKVLLIYVPGLDFVDAFFGCLRARIIPIPTIPPDPTQRGGGQALLHIANIAKVCNPVAILSTFRYHVTVRIMSAKDMLFGKSKDKSSNAWPDLPWLHTDSWVKEPKLFKYGKDKVEQSQQLSEDLCFLQFTSGSTTDPKGVMITHGGLIHNVKLMRKRYKSTSKTILVSWLPQYHDMGLIGGLLTSMVSGGSAILFSPVTFMKNPLLWLQTMSKWHATHSAAPNFAFELLVRRLESSKEQNFDLSSMHFLMSAAEPIRQTTLKRFVELTRRFGLSQEVIAPGYGLAENCVFVSCAYGEGLPIFVDWQGRVCCGYIKPNTDGVDIKIISPETGKENDDPGKEGEIWISSLSAGIGYWGLEELSQKTFENDILSTPGKRYIRTGDLGRIIDSKLFITGRSKDLIIVAGKNIYTSDIEKTVESSSELLRPGCCAVVGIPEDVLVSKGILTQQASDELGLVVIAEVQDGKPLPYDVMDQICTRVAEEHGVPIASIVAIKPRSISKTTSGKIKRYECAKRFINGTLDIIEEQMNGERSFHLSDRVSLPQSDGIIVPPNGKISKTDIINFLKDLLSQQTGIPVSKISTAETLVSYGVNSIGVVRAAQKISAFFGVNIGAIDIFSATCIDDLADFVESLLEKNHPMLVTTSANSSEIKISSTGIPSVVSTFDKITIWSIQLMALAYVSLLLIIPAYISVSTFKSLILANQSPTLVAPWFFYFISLACAPFSWMLCIFFTCICTRLLGNTLLQPNYVLNPEISVWSVEFVKWWALYKAQEISSKVLAVHLRGTVFLNYWFKILGAKIASSALIDTVDITDPLLVSIDEETVISEGALIQSHEVKNGVLSFSVVRIGKKSSIGPYAVLQKGSTVGDGAEVLALNKKASSKTAAKISKPLNGHKGKVTQRIRKRNHVNQNAVFQLFGIYMIGYLNSLSAAIAYLIWIWLLRKPPSLHHFLFICIAGAFHWFPYIIITFTAMFSSLPVSTLSFAGMIAAGYTVHGLILSVFTFMLNHFLSRKGDTAKMTLKTWILHRMNVACHIRFAKLLSGTELFCIYLRWMGAKIGQHCSIRAINPVAEPALVSVADGVHLGDFSRIVPGYHTAGGYISGRIDIQENSVIGSQGLILPGSFIEKDVILGALSVAPLNSVLKCCGTFVGSENLVMVRNQTLPLDDRIEEMDPKYKKVLGSLAANLAASTLKLKSRYFHRIGVAGKGSLRLYNVLPGLPDHKIFGPGATYPVIIRHSNCLSSDDDARLDPRGAAIRILSHERTTPLSPLLDLTLKTGKAFHARTIGDFATWLVCGAAAREEHVKHAPHIRDAMWGSLRRPNSYTELHYYSNICRLFRFKDGQEMYVRFKLRPFDEKIGEESGEVEPRGILPPETGAIPRDENDKRPLLFLAEDFQLRVSSPDKVRYVLQLQIQPIPDEERVREILLDCTKPWDDKEVPYIEVGEITIDQVLTEKESEELEFNPFFRCDEVDVIRATSCNQSASIDHGRSLVYTICQHLRNRKPLPEAWRIFLDQSDIKLDLSGCPLAAKLENKDMPKATLARQWYVTLWLMSGQPFLQIVLPYFLLGLVIYAPLNCLFYTHEMTQIQKQWLLPLWWGGSGILAGLVCALSKWVLVGKKYKGKTEPIWSRGIFMDTIWQAIRTVTGEFFMEIATGTFLMGIWMRLMGSEVAWDGVYIDSMGATLNPDMVRIEEYGSVGKEALLFGHIYEGGGEVKYGRISVKKGGFVGSRAVAMPGATIDCGGSLGALSLAMKEEMIK >itb14g12090.t2 pep chromosome:ASM357664v1:14:13690398:13698644:-1 gene:itb14g12090 transcript:itb14g12090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESGVDVDDLFLKLHPCLPTTTRIGIVGAGPSGLSAAYALIKLGYSNVTVLEKHHSAGGMCESIDIEGRTYDLGGQVLAANSAPTIFHLAKEVGAEVEEMDTHKLALVDSDWTYTDMRVAEDYISVIPITLKLQDKVKATNRIGVYSVSEIASDVTPEFLKDKGLKSVPKSVAYGYTASGYGFIQDMPYAYIHEFIRTSMAGKIRRFKGGYMSVWKKLSEAMPIKFQCNTEVLSIKRNSSSVCVDVRHKNGALQAMEFDKIIISGAFPFHNGRTYRSPSQKTAGLVCDRMDMSTLEKELFSKVQTIEYYTSVLEIDGLEHLPMGFYYFGNFMEDPAAIGNPVAMQKFYTDTNIFLFWSYGNSVDILGSEVMEFAKKAVIKMGGQVKKVILQRHFKYFPHVNCKDMKDGFYEKLETQLQGQRNTYYVGGLMAFELTERNASYSMALIRKHFANDLAVPIFPYVKRLFPLKSSSEGLAFKQLDEIPGVKFPELSSLDSYLRHWGTLGVTENKTLYTWINEKGDVLDKRTYKELHTNAFTIAQKLLTCQKPAIRPGDKVLLIYVPGLDFVDAFFGCLRARIIPIPTIPPDPTQRGGGQALLHIANIAKVCNPVAILSTFRYHVTVRIMSAKDMLFGKSKDKSSNAWPDLPWLHTDSWVKEPKLFKYGKDKVEQSQQLSEDLCFLQFTSGSTTDPKGVMITHGGLIHNVKLMRKRYKSTSKTILVSWLPQYHDMGLIGGLLTSMVSGGSAILFSPVTFMKNPLLWLQTMSKWHATHSAAPNFAFELLVRRLESSKEQNFDLSSMHFLMSAAEPIRQTTLKRFVELTRRFGLSQEVIAPGYGLAENCVFVSCAYGEGLPIFVDWQGRVCCGYIKPNTDGVDIKIISPETGKENDDPGKEGEIWISSLSAGIGYWGLEELSQKTFENDILSTPGKRYIRTGDLGRIIDSKLFITGRSKDLIIVAGKNIYTSDIEKTVESSSELLRPGCCAVVGIPEDVLVSKGILTQQASDELGLVVIAEVQDGKPLPYDVMDQICTRVAEEHGVPIASIVAIKPRSISKTTSGKIKRYECAKRFINGTLDIIEEQMNGERSFHLSDRVSLPQSDGIIVPPNGKISKTDIINFLKDLLSQQTGIPVSKISTAETLVSYGVNSIGVVRAAQKISAFFGVNIGAIDIFSATCIDDLADFVESLLEKNHPMLVTTSANSSEIKISSTGIPSVVSTFDKITIWSIQLMALAYVSLLLIIPAYISVSTFKSLILANQSPTLVAPWFFYFISLACAPFSWMLCIFFTCICTRLLGNTLLQPNYVLNPEISVWSVEFVKWWALYKAQEISSKVLAVHLRGTVFLNYWFKILGAKIASSALIDTVDITDPLLVSIDEETVISEGALIQSHEVKNGVLSFSVVRIGKKSSIGPYAVLQKGSTVGDGAEVLALNKKASSKTAAKISKPLNGHKGKVTQRIRKRNHVNQNAVFQLFGIYMIGYLNSLSAAIAYLIWIWLLRKPPSLHHFLFICIAGAFHWFPYIIITFTAMFSSLPVSTLSFAGMIAAGYTVHGLILSVFTFMLNHFLSRKGDTAKMTLKTWILHRMNVACHIRFAKLLSGTELFCIYLRWMGAKIGQHCSIRAINPVAEPALVSVADGVHLGDFSRIVPGYHTAGGYISGRIDIQENSVIGSQGLILPGSFIEKDVILGALSVAPLNSVLKCCGTFVGSENLVMVRNQTLPLDDRIEEMDPKYKKVLGSLAANLAASTLKLKSRYFHRIGVAGKGSLRLYNVLPGLPDHKIFGPGATYPVIIRHSNCLSSDDDARLDPRGAAIRILSHERTTPLSPLLDLTLKTGKAFHARTIGDFATWLVCGAAAREEHVKHAPHIRDAMWGSLRRPNSYTELHYYSNICRLFRFKDGQEMYVRFKLRPFDEKIGEESGEVEPRGILPPETGAIPRDENDKRPLLFLAEDFQLRVSSPDKVRYVLQLQIQPIPDEERVREILLDCTKPWDDKEVPYIEVGEITIDQVLTEKESEELEFNPFFRCDEVDVIRATSCNQSASIDHGRSLVYTICQHLRNRKPLPEAWRIFLDQSDIKLDLSGCPLAAKLENKDMPKATLARQWYVTLWLMSGQPFLQIVLPYFLLGLVIYAPLNCLFYTHEMTQIQKQWLLPLWWGGSGILAGLVCALSKWVLVGKKYKGKTEPIWSRGIFMDTIWQAIRTVTGEFFMEIATGTFLMGIWMRLMGSEVAWDGVYIDSMGATLNPDMVRIEEYGSVGKEALLFGHIYEGGGEVKYGRISVKKGGFVGSRAVAMPGATIDCGGSLGALSLAMKEEMIK >itb09g29580.t1 pep chromosome:ASM357664v1:9:30328357:30330255:1 gene:itb09g29580 transcript:itb09g29580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFKYFCTLLSITLVIPALANPQGGGGGSIQPGGAGGSTQPGGDGGSASSQPAGPKATYYTTSDGLGTPSGSCGYGELGRTENNGEVCTATSRLYNGGAGCGACYQVRCKNKDLCSEEGTKVVVTNSGEGPATDFILSYTAYAKLAKYPAVAAQLFAQGIVDIEYRRVSCKFGANLMIRIQEHSKFPSFLSIVVMNQGGATDILAVEIYEESSQKWISMRRAYGAVWDLSSPPCGRLKVRFLVSSSDGTTAWVQSDKAAIPADWKAGVTVETDITLS >itb05g13650.t1 pep chromosome:ASM357664v1:5:20675131:20677160:1 gene:itb05g13650 transcript:itb05g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQILITVVIIFLSFAFTRAANSSCPINFDYAKTCKWDTSLCTRRPSESGNCCQALRSVFGIGLAQHLKQTSTFYLPNASVAASCISDFRAELGDMSVPAPLVSQCMSNASDFTASPAGCDGIETLSDWYEKVGRNSPLDSSCDGDLSGLSRCSLCVDSGMKVNTKLVSLDPNNSSKCFYFAVLYAAAIVNEFGPRDPRTAGCVLALPVASPPNDPGDSEGPKTLKIVFGVLGGVIGGVLAAIVMVRKWKKKKGNSNNNNLSISHLTSIVDRQIFPNTGGARWFGLAELEEATDGFSQRNLIGKGGSGVVYKGILPDGAIVAVKHIQDFDSRGDEEFTNEALIISKIRHRNLLPLRGFCVTSDSLLGKRRFLVYDFMQNGSLHDHLFLQSRNRPKLSWPQRKNIILDVAKALAYLHHEIKPGIYHRDIKPTNILLDSEMKARVADFGLAKQTEEGQSHITTRVAGTYGYLAPEYALYGQLTEKSDVYSFGILILEIVSGRRALDMSSTSSVLIADWAWALVKSSNAEEIFDISIREEGPKRVMERFALVGILCAHVMVALRPTISDALKMLEGDIDIPTLPDRPLPLSHESFRSSSQYSSISIIERLGSSSRISTI >itb06g22700.t1 pep chromosome:ASM357664v1:6:24939900:24943469:1 gene:itb06g22700 transcript:itb06g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPSVVLSNTLKLDPDFKRNNISSLSIEKKHISLERGVDPFSLDTRKGLSLIRNVNEKVESAAYVPVLRECVKNSSVSDVEVIHGHIVKTGSYQDPFVMTFLVNTYAKLGRMEAARKLFDKMCTRNVVTWTSLMSGYIQEEQPEIAIRVFVEMLEVGGYPTNLTIGIILNACSLLSDFETGKQIHGYVVKYRTEHDTSVGNALCSLYTKCGDLDSAVKAYRKIEEKDVISWTSVICACGDNGDSRAALGFFIDMLCNGVEPNEITLSNALRSCIVMWALGLGSQVHSLSIKLGYSSNPLIKNSLLYLYLKGGLVKEARKLFDGMETRSLVSWNAMIAGIAQLTDLAEDVLSSHGCGIEALNVFLRLNQSGVKPDLFTFSSVLTVCSHLAAMEQGEQIHAQVIKSGFLSNVVVGTALLNMYGKCGSIDSASRSFVEMSTRTLVSWTSMIAAYAQNGCSKQALQLFEDMRFVGVKPNLVTFTAVLFACSHAGMVDEAFAYFNMMNSKYRIKPARDHYSCLISMYARLGRIEEALEFMKENDIRPNEFTWSLLIAGCRSHGKSELGFYAAEQLLNLNPKNPETYTSLLNMYVSEGRLEDASRLRKIMEDNNIKKLHDWSWINIRDKVHSFETNAQLHPPYKEVAEFLSDLHNQAKALGYELQTEPEEETGSAGYHSEKLAVAFGLLNTPDAAAIRVIKTISMCRDCHVFLELVSTLTGRKILVRDSKRLHIFANGVCSCGDFALLEMP >itb06g22700.t2 pep chromosome:ASM357664v1:6:24940396:24943469:1 gene:itb06g22700 transcript:itb06g22700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPSVVLSNTLKLDPDFKRNNISSLSIEKKHISLERGVDPFSLDTRKGLSLIRNVNEKVESAAYVPVLRECVKNSSVSDVEVIHGHIVKTGSYQDPFVMTFLVNTYAKLGRMEAARKLFDKMCTRNVVTWTSLMSGYIQEEQPEIAIRVFVEMLEVGGYPTNLTIGIILNACSLLSDFETGKQIHGYVVKYRTEHDTSVGNALCSLYTKCGDLDSAVKAYRKIEEKDVISWTSVICACGDNGDSRAALGFFIDMLCNGVEPNEITLSNALRSCIVMWALGLGSQVHSLSIKLGYSSNPLIKNSLLYLYLKGGLVKEARKLFDGMETRSLVSWNAMIAGIAQLTDLAEDVLSSHGCGIEALNVFLRLNQSGVKPDLFTFSSVLTVCSHLAAMEQGEQIHAQVIKSGFLSNVVVGTALLNMYGKCGSIDSASRSFVEMSTRTLVSWTSMIAAYAQNGCSKQALQLFEDMRFVGVKPNLVTFTAVLFACSHAGMVDEAFAYFNMMNSKYRIKPARDHYSCLISMYARLGRIEEALEFMKENDIRPNEFTWSLLIAGCRSHGKSELGFYAAEQLLNLNPKNPETYTSLLNMYVSEGRLEDASRLRKIMEDNNIKKLHDWSWINIRDKVHSFETNAQLHPPYKEVAEFLSDLHNQAKALGYELQTEPEEETGSAGYHSEKLAVAFGLLNTPDAAAIRVIKTISMCRDCHVFLELVSTLTGRKILVRDSKRLHIFANGVCSCGDFALLEMP >itb02g16770.t1 pep chromosome:ASM357664v1:2:12727762:12728634:1 gene:itb02g16770 transcript:itb02g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSIILRRSIFTFLQKYQYFTTIAALLAFPFAASTLLLQSLVPIIPSSSIFFSILHTNQLSHTIAISVLAFPSTLSSFLFSKASVIHALMTNQECPLSFSCLFKLHIPLIHTQICNSLFTLSSLFIAFNLAHYGLGFSSMFFSAIGAVVFSLIVAHTFIICNLALILSATQKKGGFTALLGACILIKTRTATALSLALPTNAAMAAVEVLFQYRVVRAYHDDGVFSVALEGLFIGYLYALPLVLDTIVAYFFFTSCQVDKDGNGRKKIAQDQSLACSCRGIKIVEDLP >itb10g01840.t1 pep chromosome:ASM357664v1:10:1506189:1507420:-1 gene:itb10g01840 transcript:itb10g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNISSEEEKWWGDKHLEQINGFWFMPFLIPRIHRVLAEFNPHPSDVILGSYPKTGTTWLKSLLYSIINRSSLDSLVKNNPHDLIPYLEVQVYGDQESSESSIHLSSEDTTRLFHTHIPYQLLGKTLESSGCRVVYVARNPKDTLNSLWHFANKWKMAEEAPWELEEAVEKFLRGIVPAGPYYEHVLGYRTASLMNPSKFFFITYEELKDDTKSHLKRLAEFLGCPFAEEDDKGVEEIVKCCSFEVLKNHEVNKSEDCPDWFPAPYNSFFRQAKVGDHTNYFSDRLSKV >itb02g19020.t1 pep chromosome:ASM357664v1:2:15767637:15768896:1 gene:itb02g19020 transcript:itb02g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDNDKHHCDPKGTFTMTQVTIDGPCKAPIEVQVQATLKAPSDLLTLDRDKEWLTVNRVDHFTLSGRSVFDGQGVAAWDQNDCKKKSVCNNLPNNLSFNFLTNSVVRDITSLDSKLFHVNVLGGKNLTFDHFTIKAPADSHNTDGIHIAKIIDVNVKDSVIGTGDDCISIGDGTENLRITGVTCGPGHRISVRSLIGKTPVKSQLRKPSQVKISKVSYKNIKGTSATEEVVILACSSGVPCEGVEIGEINLTFKGGAAKSVCSNVKPTLTGKQVPPLVCVTGGAKSSYLK >itb10g03080.t1 pep chromosome:ASM357664v1:10:2742926:2745241:1 gene:itb10g03080 transcript:itb10g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGSGNRVEANPELGSTAQSVGETRIAIEVDEKDENSVRRGDDEEEGFCCGEDLERVCRICHLNAKDMKNSKDLIIELGCNCKGELGFAHLHCAEAWFKLKGNRLCEICGETVKNVRGVGDARFMEEWNDGGASAAESGKSCWNGQPFCNFLMACLVITLLLPWFLRVDIF >itb04g20030.t1 pep chromosome:ASM357664v1:4:24475803:24476300:-1 gene:itb04g20030 transcript:itb04g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSCHHRHLLPPLAERKRVAEKRCPPKCSATFECRSSVLPLLMPEFGKVSPLSKPAADVEVRELLGSIVACCCWKEFAADHCFAGKKKVSYVRCRSWRRLPPPSHTVGVHHGCRRVKGRRSLCCTLAWPIIDIHSRERDXRQRREKRDGGHVLEMGFHSLLII >itb06g07050.t1 pep chromosome:ASM357664v1:6:10261500:10261886:-1 gene:itb06g07050 transcript:itb06g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSPAESYAVSLCDVAKSNGTLEKTSADLDKIEKVFIEESVFDFFANLIMSDEKKREVVAGIAKSFELQPHVVNILVDMDRMELIKDIVQEFEMVYNKITDSRVREDDQGSCTVDSWTQVSSTTALL >itb09g11550.t1 pep chromosome:ASM357664v1:9:7200714:7202751:-1 gene:itb09g11550 transcript:itb09g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRTSFSTAINHHQLPISTVSGGSQAPATLLTSAKSFLKKPHAFPFLLSLFLLLTWVSLRLQRPSSHFPHGGGSESGSELRQRADWSPDDDHFANLARFSASSSLIAKDKRGWLLNPISLALDAHISGGATSCASVHLGEIKPGGTRGNHRHHTCNETFVIWGSKMIFRLENNALKKGYAEVKLGADEVAVAASPSGTAHALVNIDPVRSTFFLGCQDNVVNYSDSKTDFNIWKDL >itb02g02000.t1 pep chromosome:ASM357664v1:2:1099387:1101928:-1 gene:itb02g02000 transcript:itb02g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGGKMMMSYKGCGYEYSFKILLIGDSGVGKSSLLLSFISHHCPLQNPSPTIGVDFKIRVLTIGGKRLKLTIWDTGGQERFGTLISSYYRGAHGIILVYDVTRRDTFTNLSKIWGKEIERYSTNPECIKMLVGNKVDRDNERAVSREEGMAFAQKHKCLFLECSAKTRENVPQCFRDLTLKILEVPSLVEKGSNVVKNQILKQKEIDEHKSKCCT >itb02g02000.t2 pep chromosome:ASM357664v1:2:1099387:1101928:-1 gene:itb02g02000 transcript:itb02g02000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METKGGKMMMSYKGCGYEYSFKILLIGDSGVGKSSLLLSFISHHCPLQNPSPTIGVDFKIRVLTIGGKRLKLTIWDTGGQERFGTLISSYYRGAHGIILVYDVTRRDTFTNLSKIWGKEIERYSTNPECIKMLVGNKVDRLMTYWVFRITKGL >itb02g02000.t3 pep chromosome:ASM357664v1:2:1099387:1101928:-1 gene:itb02g02000 transcript:itb02g02000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METKGGKMMMSYKGCGYEYSFKILLIGDSGVGKSSLLLSFISHHCPLQNPSPTIGVDFKIRVLTIGGKRLKLTIWDTGGQERFGTLISSYYRGAHGIILGKPPPSFLLNCRQVS >itb09g05780.t1 pep chromosome:ASM357664v1:9:3293584:3294558:1 gene:itb09g05780 transcript:itb09g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSSGGRLPLHHQDPYSGDGPLKRHHSAHYYAHRVKESLTTRISKLICSIFLGLLAFLGIIAFILWLSLRPHRPRIYLEDFSIPGLDNPNGFENAEIIFNVTARNSNQGIGFNFDAMQVTVFYEDQSIGGASLLIPFYQSPKNTTVLAGVLSGATLTVTNQRWQQFQADRSRGLVLFRLQLTSTMRFKVSSWHSKHHRLHANCPVGVGKDGTITADYKGKRCPVYFS >itb04g33900.t1 pep chromosome:ASM357664v1:4:35996816:35998396:-1 gene:itb04g33900 transcript:itb04g33900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLNNLVSLDLSQCGFLGSFPNGPWNLTYLSSLDLSLNEMNGSLPNQLFGLSYMKSLKLCCNQFHGPLPNDRWNLTSLEVLDVSSNYLNSHIPDSIYHCPNLKVLRLRGNNLQGIISKSISNLTYLSTLVLSNNMLTGEIPKEIGKLKNLNVFLFSSNKFYGPLPESIGYLSSLTILSFLNNKLEGIVTENHFVNLTMLTEIYASGNRLTLRVRSNWMSPFQLYALALSGWNLGPQFPIWLQSQHQIVQLEISNAEIEGEIPKWFWNFSSVLEAIDLSFNQLRGEIQNISIRLPEGSVGVLLYLDSNQFSGSLPSIPIHIIELDLSNNSFSGNISSFLCDAQNVPYNLTILHLGENDLSGEIPDCWMHWPHLEVINVGENQLIGGIPSSIGLLNKLESLDAHKNMLSGHLPPSLQNCTHLLKVDLGENGFTGTIPRWLGTSFSKLKVLRLRLNKLFGELPPTFCHLTSLQILDLANNYFSGVIPRCLDNLTAMITIDENKNEMPSSRYGYFEENALVTTKGHEYI >itb01g02960.t3 pep chromosome:ASM357664v1:1:1930292:1933699:-1 gene:itb01g02960 transcript:itb01g02960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETSETSKHSLDQSTESPQRPSKIVKLNHDIAGNGVEEEEQCAANLSEKQMNSNPRLQRYLVAVEYIGTRFAGAQQQSNCRTVVGVLEEAFKRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPEVVKRAVNHFLQKNEGDVTVIDVRRVAADFHSRYKAQERTYFYCILSGPEPLSAFNKDRAWHVPEELDLLSMQQACRILVGHHDFSSFRAAACQAKSPIRTLDELNVTEVVSTPYFPSILERQPSDAVMESLSETLNSKASFPCSVIPNQVKLGGSDHEARHEFGIRRRHRCLVVTARARSFLYHQVRLLVGVLKAVGTGDLTVPDVKRILDAKTITAASPMAPACGLYLGHVKYDLPPETGSEERT >itb01g02960.t1 pep chromosome:ASM357664v1:1:1930292:1933699:-1 gene:itb01g02960 transcript:itb01g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETSETSKHSLDQSTESPQRPSKIVKLNHDIAGNGVEEEEQCAANLSEKQMNSNPRLQRYLVAVEYIGTRFAGAQQQSNCRTVVGVLEEAFKRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPEVVKRAVNHFLQKNEGDVTVIDVRRVAADFHSRYKAQERTYFYCILSGPEPLSAFNKDRAWHVPEELDLLSMQQACRILVGHHDFSSFRAAACQAKSPIRTLDELNVTEVVSTPYFPSILERQPSDAVMESLSETLNSKASFPCSVIPNQVKLGGSDHEARHEFGIRRRHRCLVVTARARSFLYHQVRLLVGVLKAVGTGDLTVPDVKRILDAKTITAASPMAPACGLYLGHVKYDLPPETGSEERT >itb01g02960.t2 pep chromosome:ASM357664v1:1:1930292:1933699:-1 gene:itb01g02960 transcript:itb01g02960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETSETSKHSLDQSTESPQRPSKIVKLNHDIAGNGVEEEEQCAANLSEKQMNSNPRLQRYLVAVEYIGTRFAGAQQQSNCRTVVGVLEEAFKRFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPEVVKRAVNHFLQKNEGDVTVIDVRRVAADFHSRYKAQERTYFYCILSGPEPLSAFNKDRAWHVPEELDLLSMQQACRILVGHHDFSSFRAAACQAKSPIRTLDELNVTEVVSTPYFPSILERQPSDAVMESLSETLNSKASFPCSVIPNQVKLGGSDHEARHEFGIRRRHRCLVVTARARSFLYHQVRLLVGVLKAVGTGDLTVPDVKRILDAKTITAASPMAPACGLYLGHVKYDLPPETGSEERT >itb09g00670.t1 pep chromosome:ASM357664v1:9:459089:460134:-1 gene:itb09g00670 transcript:itb09g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRVFFDMTIGGQPAGRIVMELFADTTPQTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPNFMCQGGDFTAGNGTGGESIYGSKFKDENFVKKHTGPGILSMANAGPNTNGSQFFICTAKTEWLDGKHVVFGQVVEGLDVVKKVEQVGSGSGRCSKPVVVADCGQLS >itb01g33630.t1 pep chromosome:ASM357664v1:1:36670817:36671131:1 gene:itb01g33630 transcript:itb01g33630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKLTVTACFLLLALLFSFGFQLSEERPLNLADNNNNGFSSLKTAETHPPPALNNNNRRNQKAGADNGGSAAVDADINDTTPGHSPGVGHSGGPSSAVGPKA >itb11g01850.t1 pep chromosome:ASM357664v1:11:912746:916234:-1 gene:itb11g01850 transcript:itb11g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSALIQKLLSTNAHLGKRVAQNHFKIYSAGNRNAMTVIDSDKTLICIRSACDFISSLVRDRARFIFVNTNTLFDDIIDQMTKTIGCRNDTSWRLGGFLTNSSSPRKFRSRNKKLNLTAVHAPDCVVIFDTDRKSSVIEEASRLQIPIVGLVDSNMPWDTYKKITYPIPANDSVQFVYLFCNLITKTFLHEQKKLNPGTREDVQFLDQSKTATKDKVTVLPHENLEPLSEDLSETKQLLDKHVVLNFTGNSGTDGLQ >itb02g18410.t1 pep chromosome:ASM357664v1:2:14792384:14796681:1 gene:itb02g18410 transcript:itb02g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSRTVTSSRHHQNDPTTGPKLENQEESQMCCKMMRNPHHGLKEKMKELTLLYEQQKQASMKNRILRVEEPPSLDIVGSSNSVPNSTVTRTYVPPQENVGVEAGGGERIVGFSWPRRANRGGATSENVQESESNGGEASSSSSSCRILVFVRLRPLAKKEMDGCVRIANGKDVYLTEPATENDYLGLKRLRDRHFTFDASFPDSASQQQVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFNKIGERSFDGNHVVHLSYLEIYNETVRDLLSPGRSLVLREDKQGIVAQGLTQYRAYSADEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVVVEYRFKDDLNNIVYRMGKLSLIDLAGSERALATDPRTLRSLEGANINRSLLALSSCISALVEGKKHIPFRNSKLTQLLKDSLGGACNTVMIANISPSSHAFGETQHTLHWADRAKEIRTKAYYTHDKMLIPDSEIDQAKLLLELQKENRELRMQLARQQQQLLSNQAQNLAPNASPTASTVTSQLSPSPSPAHPNEKRKARPSLLGANCFTPESQKKGADETVKELRKVVSELEAEIERMKMDHALQIKQKDDFIHELSRKSQKPARVGGDSMKRVITRVNIQAEPEEACEDELKTQSHRFLSPVATAKKRTFWDIAMPSNPSLVTLTSRQTRNHVNTETVVTASKLLQPGFARQRP >itb02g18410.t2 pep chromosome:ASM357664v1:2:14788262:14796384:1 gene:itb02g18410 transcript:itb02g18410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGLMENNTQEMQHLRTIINELRVELIDLLRLNKLPPPPVFLTTPGASSVHGRGSGGGVLAQKQKMPVSTRSRTVTSSRHHQNDPTTGPKLENQEESQMCCKMMRNPHHGLKEKMKELTLLYEQQKQASMKNRILRVEEPPSLDIVGSSNSVPNSTVTRTYVPPQENVGVEAGGGERIVGFSWPRRANRGGATSENVQESESNGGEASSSSSSCRILVFVRLRPLAKKEMDGCVRIANGKDVYLTEPATENDYLGLKRLRDRHFTFDASFPDSASQQQVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFNKIGERSFDGNHVVHLSYLEIYNETVRDLLSPGRSLVLREDKQGIVAQGLTQYRAYSADEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVVVEYRFKDDLNNIVYRMGKLSLIDLAGSERALATDPRTLRSLEGANINRSLLALSSCISALVEGKKHIPFRNSKLTQLLKDSLGGACNTVMIANISPSSHAFGETQHTLHWADRAKEIRTKAYYTHDKMLIPDSEIDQAKLLLELQKENRELRMQLARQQQQLLSNQAQNLAPNASPTASTVTSQLSPSPSPAHPNEKRKARPSLLGANCFTPESQKKGADETVKELRKVVSELEAEIERMKMDHALQIKQKDDFIHELSRKSQKPARVGGDSMKRVITRVNIQAEPEEACEDELKTQSHRFLSPVATAKKRTFWDIAMPSNPSLVTLTSRQTRNHVNTETVVTASKLLQPGFARQRP >itb01g20150.t1 pep chromosome:ASM357664v1:1:26440297:26441598:1 gene:itb01g20150 transcript:itb01g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLEALPPLKRFILMQKHQENDVVLPAKKRKHCPESNQPLPSPCCLPAKKRVWAIHPLDLNQEFNPIFDDEIGEEKGMKQIQAAENQEAQEPETNDNDDDGIVCAVCESTDGDPSDPIVLCDGCDLMVHTTCYGHPFTNGVPEGDWFCAHCLAKPQTQTPISCSLCPLPAGALKPTTDGKWAHLVCALFVPEVFFADPEAREGIDCSKVPKRRWERKCYVCKSRNGCAIDCSEPKCPLAFHVTCGLEQDLCIEYNQGRTKGAIVAGFCKAHSDLWKKQQQTGKFKIVARDEEEK >itb15g17260.t1 pep chromosome:ASM357664v1:15:17718434:17723104:1 gene:itb15g17260 transcript:itb15g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSEEEIPDDYLFKIVMVGDSAVGKSNLLARFARDEFYSNSKSTIGVEFQTQKMEINGKEVKAQVWDTAGQERFRAVTSAYYRGAVGALLVYDVSRRLTFESIGRWLSELQNHSDMNIVTILVGNKSDLQDAREVTTAEGKALAEAQSLFFIETSALNSSNVTAAFETIVKEIYTILSRKVMQSQELKGDDAERLSGGKPVVLEDEENKEAAEGEEAKAGCC >itb15g10360.t1 pep chromosome:ASM357664v1:15:8175899:8180780:-1 gene:itb15g10360 transcript:itb15g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MRKVHRNPFLFIVCLCVLSSSVLSDLIISKADRKIDLTSQIVRSTITLKVENSGNDPASEVLLPFPDVVAKNLAFLRVTTSEGKGKSKTSSSNLPLKAVNPDGFPPGLTWYAVSLPSKLGKGESLTLEVKAVFTHSLQPFPEKITQAELQLLVFQDSAHYLSPYSVEVQSLTVKLPEPKVEAYTKLENTKFSGSELKYGPYQNIPPFSFQHIAVHFVSNKPFAVAEQLVREIEISHWGNVQVTEDYNLVHAGAQSIGEFSRLDYQARPHIRGASAFRNLVARLPPRAHSIYYRDQIGNISTSNLWSDAMKTLLEIEPRYPMFGGWKTSFTIGYGLPLKDFLFRSEGKRFLNISFGSPISDVVVDKLIVKVVLPEGSKDISVSAPFTVSESKETKFSHLDMIGRPVVVIEKKNVVPEHNQYFQVYYRFNSFSLLREPMMLISGFFFFFLACIIYMHADLTISKSSASYLAKKQWDEVQTVIQQFLNIMNRCLTIHDKLEASLRDLSRTGDVQACKAARKAADAVLKELSKELKPLLSFLQSSPQAAPIFPKVEEVVAKEKELQEKLMVKHSTVIDCYEKKSGGRDIENRVASIQQRITALRQEVDDLLEIIDEI >itb07g12020.t1 pep chromosome:ASM357664v1:7:13577875:13581568:1 gene:itb07g12020 transcript:itb07g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVKTNAIGTLRRQCVGELETVDHILRTCKKAKEIWLAFATAERRRQWRHLDFRTWITNNISGDDAHDSTDNWPRRFTIIAWWLWKWRCGRVFNERDAELHRNIVWLKTYEEEIDRAFTSCNIRVTTSSSSRTVQIRWNASLEHRFTLNVDGSVQPGDNRAGFGGVIRNREGKWIRGIMCRTGLDVQIAVDASIERVEFSAGGEVSPSETDRIREPNEGMTFDSEAAARAFYVEYAGRAGFITRVLSSRKSERDGSIISRGLGCRGIPDTQKIGNAATVKRDRRREGCAAMLLIKREKPGTWVVRKFVKDHNHPLMVSLPKRHSTFDEKDKKIQELTAELRVKKRLSAAYREHLLGIMKDVESHSEHLSSKVQAIRENLRSLDAERQELLTRERP >itb04g29510.t1 pep chromosome:ASM357664v1:4:32860504:32863212:1 gene:itb04g29510 transcript:itb04g29510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANLQSSYLFLYNSLQAFGWAVSLWRLLISFISTGSITGAYASAGQLICFLQSLAFLEVLHGAIGIVPSGVLLPLMQWGGRTHFLLAIVRSISEVQELPAIFITFSAWSISEVIRYSHYALNCISTPPHLVTYLRYTAFIVLYPIGVGPGEMWLMYQALPIIKERNLYADRLPFSYYNFVLVVLLLYPVLWLKLYLHLFKQRRSKLGKHQKTKRG >itb04g02180.t1 pep chromosome:ASM357664v1:4:1317106:1318311:-1 gene:itb04g02180 transcript:itb04g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSNLPQDIIRHILLQLPVKCVIRCQCVCKQWRSLIDDSDFKFSYRGQLRMILLSPEFKSKDRWRRSIVKSTSHDLRLRRHKWPFGEESPLIREDNLRVLCSCNGLVLLLRVGTEDIWLWNPSTKCSTKVLESPYPEEFNHIYLGGLCYDSCTSDYKVVLLIHPIGFDPFEFGYPFYPFFISASFKHKKWRQVQFPCNSKSVRAGLEFRNTFHWWASDMKDWDWYKNYSSDRNKIVYFDPAHDEFRILPLPTPELSKNFSIFGLGVIDDCLCMAACLVHDEEEEPKIKTIWIMKEYGRQESWMIAFTMQMPELGDIYGSYGLTFYSQKKNVQEVLFLHTVGWCVRHVYIYDRTKHELKEDPVCRNFVSMCFYVESLACPGTNTLSRISTGWMKVYK >itb04g02700.t1 pep chromosome:ASM357664v1:4:1622013:1639833:-1 gene:itb04g02700 transcript:itb04g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLASTASNYIRYLLQSLNNSNSDSVFQELLQCAAYGTEGSILVLGICFDHLNIYGKDLKNLQLEPVFASIFKYLLDKPNFSTVFFKSVRDAAICEEFLENLCTALQLTVYEKIGVGIALSYVEDVDIEMCGKKFFMIQLGELLSTRMSVDSVKIVQNMVLKHVDLFRNMLSLVLSKDGVPFLSDKIHEADFSCMNFDLLNEGGEDDFDALLAEMEMEKEMSMADIMKELGYGSTASVLQCKEILSLFLPLTEITIARILGMTVYTYSGVEDNHNIFLSFQTALGSSSLSNLPPLSSWNTDALIDAINQLAPGLNWGIIIENLDHEGFYVPNESSFSFLMSMYRRACQDPFPLHAICGSVWKNADGQLSFLRYAVLAPPELFTFAHSGRQLAYVDAVKEHKLQPGHANHAWLCLDLLEVFCQLAERGHASLIRCILEYPLKHCPEVLLLGLAHINTAYNLLQHEISSIAFPTMLKSTLGTRMILYLWNVNPSILLRGVMDAMKDDPDNINKVLDACQELKILSPVLDMIPYSFGIRLAALASHKEFIDLGKWLSTNLRAYKDNFCEECLKFIKEVLFSVQDDSTNHFHPPNALLNSYLETVSMFVKVLQSCTGSVSSFHLSEELEKLNLTCMNSNSSLENIAADSNDIEASANSYFKLLFTEQLTIESMIQILGRFKESSEKREKLLFGVMIANLFEEYKFFPKYPENHLKTAAIIFGSLIKHHLVTHIDLKITLKAVLDALQEPADTIMFGFGTLALEQFEDCLIELPEFCHQISQISHLRAAKPELIQFIEHELASVSMVNSELDEGKNYITTQFPGSIPSSQSSIELLGSSAAKTSYLGTGHPARANSTRGFGAALNIETLVAAAERRQTPIEAPASEVQDKISFIMNNLSDANIVVKAKEIVELLKEEHYPWFAQYIVMKRASIEPNYHALYVKLLDIVNLKALFKEVVRATYENCKVLLQSGLIKSSSEERSLLKNLGSWLGKITIGRDHVLLAREIDPKSLIIEAYEKGLMIAVIPFTSKILESCQNSLAYQPPNAWTMAILGLLVEIHALPNLKMNLRFDIEVLFKNLGVDLKDINPTSLLKERVREFEGNPDFSNKDAGSSQPQFIGDIKFGIVSNLNQGELSVDVASTSHPGHHSQIVPQYAASLHLPSRTLTAEGKLTALVSDQHILPSAQGLLQVETPFSVVSQLPTAACNIEEQVIINPKLHDFGLHSHFQSVLPIAMEKAIKDIVMSIMPRSVSIATQTTKELVLKDYSMESDETQIRNASHFMVAGLAGSLVHVSCKEALCVYISSQLRNTLEGTDIANEFLEHAVQLVTNDNLELGCALIEQTVIKKAIQTIDETIAIELASMTHKEGHTHIDSYIYTEQCKGVLPEALRIKSGHLSYSQQRVYEDFVRLPWKNQSIQSSNALSLGPASSNNSILSQAYVAGSAQMSTAAIYSSDLFKAGVAAVPNYLDIASEEIDTSSSQLHSASLPPIGMGDGASSYNFENDSIVTPFSSVLLRPIKPCNVVKGTGCSIQPRNPTLSSEDLGSSVSELSSEDLGSSVSEPSLTTGDALDKYRIILEKLENLVTRGAKETEVQGAIAEVPAIILRCKSQDEAALAVAQKVFKGLYENASNFEHVTVHLAMLCSICDISKVVVKELTSWVIYSDEEQKFNKDITVRLIQSDLLSLAEYNIHMAKLIEAERNKSATEFSISLIQTLLKIDSKAVLELQDLVDALGKLAARPGSLESLKQLVEIAKNPSANVVASFGASGWKADNFKQSKGKKAAMLPPSGGDKSSFECVEPGPAGFHEQVSMLFVEWYRICGSPGAKDAASAALYVSRLHRSGLLKGDDVSDCFFQKLMEISVLHCLSSEVISLSLSQASEPKLLSFRAIDLYANLVYSVVKFYPVDQGTSKISLLSRVLAVTVKFIQRDSDEKADSFDPRPYFRLFINWIIDLCSSDSIFDGANFQILTLFASAFHALQPLQVPGFSFVWLELVTHRCFMPKLLAGNAQNGWPYFHRLLVDLLQFMEPFLRNAELGEAPVCLLYEETLRVLLIVLNDFPEFLCDYHFSFCDVIPSTCIQMRNMVLSACPRNMNLPNPSAPGVKIDLLPEIKLSPRILSEVDAALKTRQLKHDVDEYLKTRQQGSLFLRELKQTLLLSPSEAARAGTHYNVPLINSLVLYVGILAVQQLQMTTRTATSNNNSNSQVLLLANSAPNPSTVLDAAFDIFKTLCMELDKEGRYVLLNAIANQLRYPNNHTHYFSLTILYLFANSNQEMIQEQIIRVILERLVAKPPHPWGLVVTFIELTRNKNYNFWERSFTRCSPDVENLLKSAFCKYNIIRAKN >itb13g01760.t1 pep chromosome:ASM357664v1:13:1579976:1583642:1 gene:itb13g01760 transcript:itb13g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSLQFLDLNSNMLSGQIPRPPPEAKYLDFSNNNFSMIPLDIADQIPDVYFFSIAKNRVSGKISTSWCRVAANLKVLDLSHNALHGTIPSCLAQNNSNLVVVNLRGNHLSASPIPESEEKESTHHVDIYISVAFGFAAAKRVREAPSVGCSSQLPNDDDDFVKDPVVGVQRMRFGAVPELKIKELPVRLARWLLSNFDSENTCVLLGEGEVLPVREENVSSILGFPWGEGVITRRDRYAKSKLLTAWPKMFEKHRHDVSPLSLHNLLGRILMGVCGSRGILWFC >itb01g10520.t1 pep chromosome:ASM357664v1:1:9132196:9135516:-1 gene:itb01g10520 transcript:itb01g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVMTVAQDGSGDFLTVQEAIDVVPFGNTRRTVIRVAPGIYSQPIYIPKTKNLITLAGLSPEATVLTWNNTATNIDHHQPSRIIGTGTFGCGSIIVEGEDFIAENVTFENSAPEGSGQAVAIRVTADRCAFYNSRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQETTGYVFLRCVITGNGGTFYTHLGRPWGPFGRVVFAHTYMDSCIKEVGWHNWGKTENERTACFYEYRCFGPGSCPAKRATWGRELLEEEAEEFLLHGFIDPDPERPWLARRMGLRVPYSA >itb02g16820.t2 pep chromosome:ASM357664v1:2:12802214:12805929:1 gene:itb02g16820 transcript:itb02g16820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKTVENLCLAPVFSFFFLIVTALTGGLVSGDSLETDKDVLMNLKSFIQNQSAINRGSRYTKWNSTDLSPCNWPGVFCSGGRVTGLNLSGDYLTGNMFHNFSALTNLTYLDLSSNTIGGVIPEDLGKCHSLRFLNLSHNIIDGELHLSGLESLKVLDVSLNRFRGDARLAFPGRCDNLVVANISGNNFTGEMGNALEKCGNLRYVDLSLNSLSGDLLLLGFDKVKELSVAQNNMSGRLAWWVFNQSCSLESLDLSENKFFGGLPKEMSNCRDLRMINLSGNNFSGPIPKEIGSLESLEGLYLGSNKFLRQIPNTLTSLPNLVFLDLSNNSFGGEIQEIFGEFTQVRFLLLHGNGYTGGIVTSGITKLVNLSRQFTGPIPSEYGNFPGLQALDLSSNALTGPIPPSLGKLTSLLWLMLANNSLTGEIPPELGNCSSLLWLNLASNKLSGPIPPELANIGANPMPTFLLNRAKDKITPGSGECLAMKRWIFADYPPFSFVYPLLTRKNCRNLWDLLLKGAGIFPVCELGSTIRTYQITGYVQLSGNKLSGLVPSEIGKMRNFSMLHLGNNNFHGNLPAPMGGMPLIVLNLTHNKFSGKIPPQIGNIKCLQNLDLSYNSFNGAFPTTLNNLTDLNKFNISYNPDISGEIPETGQIATFDKWSFLGDPLLRLPSFINTSINNKPTPEKPKKPTKTGTVFVFAALVSASLVCGILIFIFCLSVKLPRDSPGYLLEASTSSSPWISDDDVKVIRLGKTSFTHSDILKATKGFSDDRIIGRGGCGTVYRGVLPDGREVAVKKLQREGVEGEREFRAEMEVLSGNGGLGWPHPNLVMLYGWCLDGAEKLLVYQYMEGGSLDNVITDRVSLPWQNRLNIAIDIARALVFLHHECYPGIIHRDVKASNVLLDKVGRARVTDFGLARVMDVAKTHISTVVAGTIGYVAPEYGQTWKATTKGDVYSYGVLAMELATARRAVDGGEECLLEWARRVMGDGRHGFSRASPPVALLVSGLAEGAEQMCELLRIGIWCTAETPHYRPNMKEVLDVLLRISPTSTRNSSRGSSSGP >itb02g16820.t3 pep chromosome:ASM357664v1:2:12802214:12805929:1 gene:itb02g16820 transcript:itb02g16820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKTVENLCLAPVFSFFFLIVTALTGGLVSGDSLETDKDVLMNLKSFIQNQSAINRGSRYTKWNSTDLSPCNWPGVFCSGGRVTGLNLSGDYLTGNMFHNFSALTNLTYLDLSSNTIGGVIPEDLGKCHSLRFLNLSHNIIDGELHLSGLESLKVLDVSLNRFRGDARLAFPGRCDNLVVANISGNNFTGEMGNALEKCGNLRYVDLSLNSLSGDLLLLGFDKVKELSVAQNNMSGRLAWWVFNQSCSLESLDLSENKFFGGLPKEMSNCRDLRMINLSGNNFSGPIPKEIGSLESLEGLYLGSNKFLRQIPNTLTSLPNLVFLDLSNNSFGGEIQEIFGEFTQVRFLLLHGNGYTGGIVTSGITKLVNLSRLDLSYNNFSAPLPVEISKMTNLKFLVLAFSQFTGPIPSEYGNFPGLQALDLSSNALTGPIPPSLGKLTSLLWLMLANNSLTGEIPPELGNCSSLLWLNLASNKLSGPIPPELANIGANPMPTFLLNRAKDKITPGSGECLAMKRWIFADYPPFSFVYPLLTRKNCRNLWDLLLKGAGIFPVCELGSTIRTYQITGYVQLSGNKLSGLVPSEIGKMRNFSMLHLGNNNFHGNLPAPMGGMPLIVLNLTHNKFSGKIPPQIGNIKCLQNLDLSYNSFNGAFPTTLNNLTDLNKFNISYNPDISGEIPETGQIATFDKWSFLGDPLLRLPSFINTSINNKPTPEKPKKPTKTGTVFVFAALVSASLVCGILIFIFCLSVKLPRDSPGYLLEASTSSSPWISDDDVKVIRLGKTSFTHSDILKATKGFSDDRIIGRGGCGTVYRGVLPDGREVAVKKLQREGVEGEREFRAEMEVLSGNGGLGWPHPNLVMLYGWCLDGAEKLLVYQYMEGGSLDNVITDRVSLPWQNRLNIAIDIARALVFLHHECYPGIIHRDVKASNVLLDKVGRARVTDFGLARVMDVAKTHISTVVAGTIGYVAPEYGQTWKATTKGDVYSYGVLAMELATARRAVDGGEECLLEWARRVMGDGRHGFSRASPPVALLVSGLAEGAEQMCELLRIGIWCTAETPHYRPNMKEVLDVLLRISPTSTRNSSRGSSSGP >itb02g16820.t1 pep chromosome:ASM357664v1:2:12802214:12805929:1 gene:itb02g16820 transcript:itb02g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLSPEGVTIWWWRIFPAITSLERWEMPWKNVGILGPIPKEIGSLESLEGLYLGSNKFLRQIPNTLTSLPNLVFLDLSNNSFGGEIQEIFGEFTQVRFLLLHGNGYTGGIVTSGITKLVNLSRLDLSYNNFSAPLPVEISKMTNLKFLVLAFSQFTGPIPSEYGNFPGLQALDLSSNALTGPIPPSLGKLTSLLWLMLANNSLTGEIPPELGNCSSLLWLNLASNKLSGPIPPELANIGANPMPTFLLNRAKDKITPGSGECLAMKRWIFADYPPFSFVYPLLTRKNCRNLWDLLLKGAGIFPVCELGSTIRTYQITGYVQLSGNKLSGLVPSEIGKMRNFSMLHLGNNNFHGNLPAPMGGMPLIVLNLTHNKFSGKIPPQIGNIKCLQNLDLSYNSFNGAFPTTLNNLTDLNKFNISYNPDISGEIPETGQIATFDKWSFLGDPLLRLPSFINTSINNKPTPEKPKKPTKTGTVFVFAALVSASLVCGILIFIFCLSVKLPRDSPGYLLEASTSSSPWISDDDVKVIRLGKTSFTHSDILKATKGFSDDRIIGRGGCGTVYRGVLPDGREVAVKKLQREGVEGEREFRAEMEVLSGNGGLGWPHPNLVMLYGWCLDGAEKLLVYQYMEGGSLDNVITDRVSLPWQNRLNIAIDIARALVFLHHECYPGIIHRDVKASNVLLDKVGRARVTDFGLARVMDVAKTHISTVVAGTIGYVAPEYGQTWKATTKGDVYSYGVLAMELATARRAVDGGEECLLEWARRVMGDGRHGFSRASPPVALLVSGLAEGAEQMCELLRIGIWCTAETPHYRPNMKEVLDVLLRISPTSTRNSSRGSSSGP >itb10g14120.t1 pep chromosome:ASM357664v1:10:20413792:20416761:-1 gene:itb10g14120 transcript:itb10g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLHSFVSLAASSDLSTDLAALLAFRSAVGGRAFLWNTTDSTPCNWPGVKCENQRVAVLRLPGSSLSGEIPANTLANLTRLRTLSLRLNSLSGSLPSDFSKCTELRNLYLQGNHFSGPVPAFLSGLHSLVRVNLATNNFSGEIPAGFNNLTRLRTLYLENNRLSGSIPDLHLPNLDQFNVSFNSLNGTVPKSLEAMPAEAFSGNSLCGRPLHLCPGHKVPAAIATGGIEIGKSNKKRRLSGGAIAGIIIGSILGFLLLLLAVFVLCRKRSGNKARSIDIPTYKLPQPDTDISGEKPMIHSENGDSGNGYSAAAAGETVKEIEAREGGNVDKKLLFFGNSMKAFDLEDLLRASAEVLGKGTFGTTYKAVLEMGTAVAVKRLKDVTTSEKEFRDKMESIGAMSHGNLVPLRAYYYSKEERLLVHDYLPMGSLSALLHGNKGASRTPLNWERRSGIALGAARGIEYLHSRGPNVSHGNIKSSNILLTKSYESQVSDFGLATIVGPSSSPTRVIGYRAPEVTEPRRVSQKADVYSFGVLLLELLTGKAPTHAILNEDGVDLPRWVQSVVREEWTSEVFDLELLRYQSVEEEMVQLLQLAIDCVAQYPDNRPSMSEVTRRIEELHDSHLGHHQEPSELVTAT >itb05g27630.t1 pep chromosome:ASM357664v1:5:30907693:30908717:1 gene:itb05g27630 transcript:itb05g27630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIYIHALCIMYLILLINQMSRNGADAELRLFCCYSSFVSLSIYRPLLCIRVFHWEKNPSKQETISFSACPVNFEVQNYTIITSQCKGPEYPPKKCCNAFLRFACPYADAINDRATDCADTMFAYINLYGKYPPGIFASECIGDKNGISCKGVPPSQSPGSGGALSPSSPPLLLAPPFLLLFMCFV >itb05g27630.t2 pep chromosome:ASM357664v1:5:30907693:30908717:1 gene:itb05g27630 transcript:itb05g27630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMQNCGYSAVILVSFLSLFTGLCYASEFSIGRRILQSKKLNFEVQNYTIITSQCKGPEYPPKKCCNAFLRFACPYADAINDRATDCADTMFAYINLYGKYPPGIFASECIGDKNGISCKGVPPSQSPGSGGALSPSSPPLLLAPPFLLLFMCFV >itb05g27630.t3 pep chromosome:ASM357664v1:5:30907693:30908717:1 gene:itb05g27630 transcript:itb05g27630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMQNCGYSAVILVSFLSLFTGLCYASEFSIGRRILQSKKPCPVNFEVQNYTIITSQCKGPEYPPKKCCNAFLRFACPYADAINDRATDCADTMFAYINLYGKYPPGIFASECIGDKNGISCKGVPPSQSPGSGGALSPSSPPLLLAPPFLLLFMCFV >itb12g12890.t1 pep chromosome:ASM357664v1:12:11569275:11571294:-1 gene:itb12g12890 transcript:itb12g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDYKKQGSVPPSRLNYRGNGDDNSAEDLAWADSCLIGDPEILEGGLDSFRNAFALLDIHSQLKSSVDQKGEFCEAADKKISLFGRKGRSSPMLDKTTTYNPPGNEKEEGNRIGYDQIRLNNEQDGNMIDYYPISLSNEQEGNMVDYYPFSLSNEQAGNMIDYDQISLSNEQEGNIFDSHQINESTNTLSSKFDSENVFLPTYNENQRIFVTDDPEDSNFPAFLEDLPAENIFKVWELDIPDEEDELIGQVKKAIAQRSLQPVASVSEDSRSSRGWNDQSLDDIISGIADMSLSPNFS >itb04g05870.t1 pep chromosome:ASM357664v1:4:3793836:3800686:1 gene:itb04g05870 transcript:itb04g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLVPISPMAAVSNGGDGGKTTMDRKGGWKSAIFIIFVEMAERFSYYGIAGNLITYLTTVLGQPTATAARNVNTFLGVSALFPILGAFLADSYVGRFKTIVVSTAVYILGLVMLVVASTAALRRHGTLFFVALYTVSVGEGGHKPCVQTFAADQFDEDLPEEKQAKSSFFNWWYLGILVGATLAVLVVIYVEDFVGWTVGYGMLAAATVLALAVFLAGSRTYAREAPVGSPFTRVAHVVAAAVRKRRLSEERDGRGIWYGDGEIGGAAAADGERLRTRALARTNQFRFLDKATIIDDTDVSSEKRNPWRLCSVSQVEEVKLLLRLIPIWLSCLTFAAVIAQHGTFFTKQAATLDRSLGSFHFPPASFQVVTSTTILISVALYDRLLVPAARRLTGVPSGITVLQRIGAGLVISVVTMTVAGALETKRLRVAAEHGLLDSPTATLPMPIWWLAPQYVLTGLGDVFTIVGLQELFYDQMPVQMRSTGAALYLSIVGVGNFLSGVLITIVQRISARSGHQWLLNNLNRSHLNYFYWLLAGLSAVNFCIYVFAAKAFVYKKVEAAAMEEQAKV >itb07g16230.t1 pep chromosome:ASM357664v1:7:19809630:19812055:1 gene:itb07g16230 transcript:itb07g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPFL6 [Source:Projected from Arabidopsis thaliana (AT2G30370) UniProtKB/TrEMBL;Acc:A0A178VW02] MQQNQNNLRESIHYSSSSIFFSSYHQSTVQFSPHCLLHMFMEFTFKIITKTTFLLSLTLFFSLYALSTTQHPLETTPRPFAGQVEFYFQIMKKTGEKLSVGGGARRMLGGPGSSPPRCTSKCGRCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >itb03g05310.t1 pep chromosome:ASM357664v1:3:3651931:3654013:1 gene:itb03g05310 transcript:itb03g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKPSPPNSTPLTPLTFLERAANIYGDGVSVVYDDVTFTWSETHLRCLRLASSLVSWGVHRGDVVSVLAPNVPAMYELHFAVPMAGAVLNTINIRLDARIVSVLLRHGESKVLFVDSQFRGLAIEALSQFPPNSPRPALVLIADREFPAEKSGGGEFVETYEGMIEQGDPNFKWIRPVSEYDPIVLNYTSGTTSAPKGVVHCHRGIFIISLDSLLEWSVPKNPVYLWTLPMFHANGWSFPWGMAAVGGTNICSRKVDAANIYSAIRNHNVTHLCGAPVVLNLLTNSGDGKPLPRPVQILTSGAPPPSAILLRTESLGFVVSHGYGLTETAGIVVSCSWKEKWNKLPATERARIKARQGLRTIGMAEMDVVDPETGAAVKRDGSTLGEVVLRGGCVMLGYLKDPEGTAKSMRPDGWFYTGDVAVMHPDGYLEIKDRSKDVIISGGENLSSLEVESVLYTHPAVNEAAVVAKPDEFWGETPCAFISLKPHIKEKPKEKEIIEFCRAKLPHYMVPKTVVFTKELPKTSTGKILKFVLRKMAKSMGSGNSTFSRL >itb05g01890.t1 pep chromosome:ASM357664v1:5:1471511:1473215:-1 gene:itb05g01890 transcript:itb05g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVMHVLAVDDSTVDRTIVEKLFKAASCKVTTAENGLRALEYLGLLAGDDQNNSPNTNVPKLNLIITDYSMPEMNGYEFLKKVKGSAMFKDVPVVVMSSENTPSQINQCMEAGAKKFILKPLKQADVNQLKSQLMQS >itb03g09240.t2 pep chromosome:ASM357664v1:3:7100110:7103725:1 gene:itb03g09240 transcript:itb03g09240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRENKLGCPLKSPIQNFEFGKKIKKNIQTVRILDRFHRYFPTRIAGTMATGHATGRSLPFPALIFVLSLFTSRYLVSGDELSLAVSQLTSLELSPALPVENSPGSRPGAKVLCERVQIRGLPRLKNLNKYANSVIVNISHVTTGGRLPNVEVCFHRNQSRGIGMCPQSQWTRITKGSLIRSMSPFDHKFLDFRILGSSKQTLQVSLHEEFYLYRIVFLVLGILLMAVASSLSKSLVFYYSGAMVVGIFLVILMVLFQGMKLLPTGRKNSLAIFIYSSLVGLGSFLLRYVPTVLRMILTEIGIGEDMYNPLAIFLLLFLVIAGAWLGFWVVRKLVLTDDGSIDIGVSHFIAWAIRIVASTMILQSTVDILLAAEALVCGILVSSILRRLFHLKFIRLIYKKSSRMIGNICEILLDLFTPTYKESYPRPPSNGSTSGSPKPLSDSDTFYSSYHKTPERRKISKEDLEKLTQQTTKRAMEELVASPDFSRWAVAHADRITLAPKKATTPDRQRRWYHWS >itb03g09240.t1 pep chromosome:ASM357664v1:3:7100110:7103725:1 gene:itb03g09240 transcript:itb03g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRENKLGCPLKSPIQNFEFGKKIKKNIQTVRILDRFHRYFPTRIAGTMATGHATGRSLPFPALIFVLSLFTSRYLVSGDELSLAVSQLTSLELSPALPVENSPGSRPGAKVLCERVQIRGLPRLKNLNKYANSVIVNISHVTTGGRLPNVEVCFHRNQSRGIGMCPQSQWTRITKGSLIRSMSPFDHKFLDFRILGSSKQTLQVSLHEEFYLYRIVFLVLGILLMAVASSLSKSLVFYYSGAMVVGIFLVILMVLFQGMKLLPTGRKNSLAIFIYSSLVGLGSFLLRYVPTVLRMILTEIGIGEDMYNPLAIFLLLFLVIAGAWLGFWVVRKLVLTDDGSIDIGVSHFIAWAIRIVASTMILQSTVDILLAAEALVCGILVSSILRRLFHLKFIRLIYKKSSRMIGNICEILLDLFTPTYKESYPRPPSNGTYQGSTSGSPKPLSDSDTFYSSYHKTPERRKISKEDLEKLTQQTTKRAMEELVASPDFSRWAVAHADRITLAPKKATTPDRQRRWYHWS >itb10g10720.t1 pep chromosome:ASM357664v1:10:15636145:15637560:-1 gene:itb10g10720 transcript:itb10g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMDGCFSEKSDIFSFGVMIIEIVSGKRNNGFYNPNRVSNLLGYEEERTTATPETQPMPTISLRRDSRFAAGTLVVSRSSTSG >itb03g07550.t1 pep chromosome:ASM357664v1:3:5576196:5577092:1 gene:itb03g07550 transcript:itb03g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFLSYVELKKQAQMDNEPEERDIELGQLSNEDACNLSQFFQQVEAIQTDIKGITDLLSDLESLNEETKTTHSAKVYRGLRDRMDSDMVAVLRKAKTVKTKLQELDQSNLGNRKISVAFAKGTAVDRIRASTTHGLRVKLRDIMNDFLALRQKIVLGYKEDLRRRYYNATGQVPSEEVIEKMVLGREDVQIFEQKAEMKEENKERHEAVIDIQRSLDKLHQVFLDMAVMVEAQGEQMNNIERAVTTAGSFVNGGTNNLFYAKQMKREKKWLHWVFAVLAITLLVCLISLLVS >itb07g21930.t1 pep chromosome:ASM357664v1:7:26433491:26434069:1 gene:itb07g21930 transcript:itb07g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPWSHGLDNEGSLLFSEYDVQVNAFSDFDKNIIIAPSCEEINEGADFVELWTNNNNNIDSNFTSKIILSNGNNNDNFSSIMMFSDDDMNNNKWKRIPAIESRSEEWCNGYGGLLESYGQSALAKKEKMMMITGFNPCSQIPIMCQVDGCKMDLSSSKYYHQRHRVCVDHSKTTKAILHGVEQRFCQQCSR >itb11g04590.t1 pep chromosome:ASM357664v1:11:2424523:2428083:-1 gene:itb11g04590 transcript:itb11g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGQPSGTARADLVQKTPVLSGRGPKLERKYVEKNRRNQLKGLYNQLFSLVPSSQETLPLPDQVDVTINYIKTMKEKLEKMKHEREELMMMKKKAQSRKMHSCASSLSSHLHHHVEPHPQIHIHEMGPAMAVVFITGLDNVATFNDIIRVVRGEEGVEVTSASFQLHGNSTLQIVGEPVGKWAVSNGAAGAITSVSKKLKEMISGATSTETESSSRLELWDYDIENEVWGFDVVAPLQHNIEQY >itb11g04590.t2 pep chromosome:ASM357664v1:11:2426000:2428083:-1 gene:itb11g04590 transcript:itb11g04590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGQPSGTARADLVQKTPVLSGRGPKLERKYVEKNRRNQLKGLYNQLFSLVPSSQETLPLPDQVDVTINYIKTMKEKLEKMKHEREELMMMKKKAQSRKMHSCASSLSSHLHHHVEPHPQIHIHEMGPAMAVVFITGLDNVATFNDIIRVVRGEEGVEVTSASFQLHGNSTLQIVGEPVIILYAFIFTHMIWLD >itb02g11590.t1 pep chromosome:ASM357664v1:2:7723146:7723637:1 gene:itb02g11590 transcript:itb02g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIDNLSIRRGSHTCIDDLHVLLNENLTILPYRFVSLPKLLYKIPLPSSFSFIIIICFSSSFFSCDYFRFSTHLVLRLRGGMQIFVRTIDGTTITPEVESSDTIHSVKAKIEDKKGIPLDHQRLVFAGKLLQDGRTVADYNIQKDCSLHLITRILAGSELLQ >itb10g23130.t2 pep chromosome:ASM357664v1:10:27642705:27644660:-1 gene:itb10g23130 transcript:itb10g23130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFITNPNRTEALRWLTIAEKLLANRDLMGSKSFATRAQESDPTMVHGEQILAVIDTLIAGDKLMNNQFDWYGILQVPPNQVHDSEFIATQYRRLALLLNPQKNNFPFADQAFRLVVDAWTLLSNPFRKSVYDKELGFFINLNPNPVPSVQQQQQPPSAFSVVPNSGRDHQQQMFFVSREQQQPFTTGQPLTFLSREPVQTVTFLQQPNTGRDQQPPPPPQPVTFLSRDPPPPVTSVPTLNVDQLPTVAYMPGSSTEPPPFSFTPSSGRAQPQPPPPPPPPPPVAPTESSTVYQQRNEPSLQQNEGFETNYRSGGSTDNLSKAKEKESRVDESNNEELKEDEADEPKDDIPSFWTACPYCYHMFQYPEAYVDCTLRCQNCKMAFQAVAISSPPPIIDGKEAYFCCWGFLPLGFSVSIWEKYKGQATTWTPFSPMFNCPQTGGKNGFTGGNVYHSVGGQGIGSNVSGFGGGGVKKSAPKIIVDDDVYVELSDSSEESDEDWKNGYNKKRKKAKSLKGKGVGTGTPNRTAMKQQADNGNNVKDNAGDNFQDGVTVRSGARLPAELRKKGVASNTRRQSGRIAKDYGKLDLNVEFSNEVEEPPPGMNGQVDGTGRGEDDGIEGNGFFEGLDEFLNSLPILNAVGDDKVKAA >itb10g23130.t1 pep chromosome:ASM357664v1:10:27642221:27644746:-1 gene:itb10g23130 transcript:itb10g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFITNPNRTEALRWLTIAEKLLANRDLMGSKSFATRAQESDPTMVHGEQILAVIDTLIAGDKLMNNQFDWYGILQVPPNQVHDSEFIATQYRRLALLLNPQKNNFPFADQAFRLVVDAWTLLSNPFRKSVYDKELGFFINLNPNPVPSVQQQQQPPSAFSVVPNSGRDHQQQMFFVSREQQQPFTTGQPLTFLSREPVQTVTFLQQPNTGRDQQPPPPPQPVTFLSRDPPPPVTSVPTLNVDQLPTVAYMPGSSTEPPPFSFTPSSGRAQPQPPPPPPPPPPVAPTESSTVYQQRNEPSLQQNEGFETNYRSGGSTDNLSKAKEKESRVDESNNEELKEDEADEPKDDIPSFWTACPYCYHMFQYPEAYVDCTLRCQNCKMAFQAVAISSPPPIIDGKEAYFCCWGFLPLGFSVSIWEKYKGQATTWTPFSPMFNCPQTGGKNGFTGGNVYHSVGGQGIGSNVSGFGGGGVKKSAPKIIVDDDVYVELSDSSEESDEDWKNGYNKKRKKAKSLKGKGVGTGTPNRTAMKQQADNGNNVKDNAGDNFQDGVTVRSGARLPAELRKKGVASNTRRQSGRIAKDYGKLDLNVEFSNEVEEPPPGMNGQVDGTGRGEDDGIEGNGFFEGLDEFLNSLPILNAVGDDKVKAA >itb10g14050.t1 pep chromosome:ASM357664v1:10:20300036:20315303:-1 gene:itb10g14050 transcript:itb10g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKVVGFVGLDDISLELASSLLTSGYSIQAFETFSPLIDKFSKLGGKVCENPTEAGKGVAALVILLSHADQVKDVVFGHEGVLKGISKDAVIILHSTISPADVQKLNISLKENNEIDFFVDMYVSKAVSEDLNGKLMIISSGGSESIYRAQPILSAMCGKLYTFEGELGAGSKSKMVIELLEGIHFVASIEAISLGTQAGIHPWIIYDIISNAAGNSWVFKNYVPQLLKGSQTKQQFLDVLIQNLGTVLDMAKSMVFPLPLLTVAYQQLVAGFSQGKEDDYSLLKVCELLLGVNISEAVNAENYHPEELAAQLTANSDSVKRIGFVGLGAMGFGMATHLLKSNFSVLGFDVYKPTLSRFANEGGLIGGTPAEVSQDVDVLVIMVTNEAQAESVLYGESGAVPALPSGASIILCSTVSPAFISQLERRLQNEQRNLKLVDAPVSGGVKRASDGTLTIMAAGTEEALKHTGSVLSALSEKLYVINGGCGAGSAVKMVNQLLAGVHIASAAEAMAFGARLGLNTRLLFDVITNSAGTSWMFENRTPHMIENDYTPLSALNIFVKDLGIVSRECSSRRVPLHLSNLAHQLFLAGSAAGWGRIDDSGVVKVYETLTGVTVEGKCPVLSKESVLNSLPPEWPEDPINDICKLTENSSKTLVVLDDDPTGTQTVHDIEVLTEWSIGSLVEEFRKKPKCFFILTNSRALSSEKASTLIADICRNLKTAAKSVENADYTVVLRGDSTLRGHFPEEPDAAVSVLDEMDAWIICPFFLQGGRYTIGDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLREWVEEKTRGRIPAGTVSSITIQLLRKGGPNAVCEHLCSMKKGTTCIVNAASDRDVAVFAAGMLQAELKGKHFLCRTAASFVSARVGIRQIPPISPNDVGINREKSGGLIVVGSYVPKTTKQVEELKLQFGNVLKTIEISVDRVAMKTSKEREEEINRTVEMADVFLKSRKDTLIMTSRELITGKTASESLEINFKVSSALVEIVQRITTRPRYIIAKGGITSSDIATKALEAKRAKIVGQALAGIPLWQLGPESRHPEVPYIVFPGNVGDSKALAEVVKRWAHPGRLSTRELLVNAERGEYALGAFNVYNMEGVEAVVAAAEDEKSPAILQIHPSAFKEGGIPLVACCVAAAEHATVPITVHFDHGSSKQELLEAIEMGFDSVMVDGSHLPFEENTSYTKFISALAHSKNLLVEAELGRLSGTEDDLTVEDYEAKLTDVNQANDFIEATGIDALAVCIGNVHGKYPPSGPNLRLDLLKDLYDLCSKKGVHLVLHGASGLSKEIIEECIKLGVRKFNVNTEVRKAYMETLSSPKKDLIHVMNSAKEAMKAVVAEKMRLFGSSGKAH >itb05g06850.t1 pep chromosome:ASM357664v1:5:7711475:7711945:1 gene:itb05g06850 transcript:itb05g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISEYVKTRRVNEKIDVYSFGVILLELVTGREPNDGDMDCCLADWARYYVVEENPIEDALDEEIKEAENIDVMCGVFKLGIFCIGKTPAQRPTMREALRILQHPSPLSLYGKERSVSERDVLPLIKCSSSEGILEDEDHCLEIQDRNCEVLDFRQ >itb04g01150.t1 pep chromosome:ASM357664v1:4:640647:641493:1 gene:itb04g01150 transcript:itb04g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTKISLLLALFSLPIFSSLSHGSHAPTSNPATAATTGAVSTADYYDYPAPWTPPEWFYSVFPPQLGDMIRQQVHDMSGWMGGQFQPPYGGGSYPQPQRPPVQQQPSYPAPGECTDVTQVIDTCAQKSVAKSVNDGYSWSCSYNDDCCRAAFSVSDNCMSISPMIRTIKSVCCGQ >itb11g17910.t1 pep chromosome:ASM357664v1:11:18012765:18018112:1 gene:itb11g17910 transcript:itb11g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLSPVSLSYPMNKETWRHTIILSFQSLGVVYGRLSTAPLYVFGSIDAKDVKSKEEIYELFSFAFWTLTIIPLLKYALIVLKADDQGEGGTFALYSLLCRHAKVGLLPSDKTAAETMHHEEIPSKIKMRTRARWAIENHKSCHYFLLLLALVGSCLIICDGVLTPSISVLSATTTLKRSISKILHESASSEKADSTDKYLTKYIPVPTACAILVCLFTLQKYGTHKIGFLFAPVVIIWIVFISTMGIYNISRYPSILRSVSPVYIVRFIRNADITSWKLLGRIVLCIAGSEAMFADLGHFSKKSIQVTFALIIYPVLIICYAGQAAFISNHLGVTPYVTHLSESLPISRDLHHVFTVLSLIASLVGSQATITASFSIINQCQALGCFPRVKVIHTSDKIYGQVYIPDVTWILMVLSLGVTFGLGDITTIANATGLTVICGMSVTSCLMSLVIALYWDKSLFFSVCFVLAFGSVEAMYLFSSLMNFSKGTWCVLVLTSLFLMTMLSWHYGTVKKYAFDVENKVAVDWLTDLSPGLGVNRVQGIGFIYTEIVTGIPSFFSHFVTNLPAFHELLVFVSFKPLPVPYIPQSKRYLIGRVGHKEYKIYRCIVRYGYCDHMRDTHDFEEHIISSIGEFIAREEQEDEDQEAMAEGRMIALGGPSTSAALIPVTEIEENEVSQTSADIESQRSPLIDSYPPVKRKKVRFFLPPTSPKVNPAVRRELQELVDARERGTAYFLGKPHLSVRKGSNFFKRFLIMNYIFLDKNCREPPVALNIPHAALLEVGMAYIV >itb11g17910.t2 pep chromosome:ASM357664v1:11:18012765:18017965:1 gene:itb11g17910 transcript:itb11g17910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLSPVSLSYPMNKETWRHTIILSFQSLGVVYGRLSTAPLYVFGSIDAKDVKSKEEIYELFSFAFWTLTIIPLLKYALIVLKADDQGEGGTFALYSLLCRHAKVGLLPSDKTAAETMHHEEIPSKIKMRTRARWAIENHKSCHYFLLLLALVGSCLIICDGVLTPSISVLSATTTLKRSISKILHESSSEKADSTDKYLTKYIPVPTACAILVCLFTLQKYGTHKIGFLFAPVVIIWIVFISTMGIYNISRYPSILRSVSPVYIVRFIRNADITSWKLLGRIVLCIAGSEAMFADLGHFSKKSIQVTFALIIYPVLIICYAGQAAFISNHLGVTPYVTHLSESLPISRDLHHVFTVLSLIASLVGSQATITASFSIINQCQALGCFPRVKVIHTSDKIYGQVYIPDVTWILMVLSLGVTFGLGDITTIANATGLTVICGMSVTSCLMSLVIALYWDKSLFFSVCFVLAFGSVEAMYLFSSLMNFSKGTWCVLVLTSLFLMTMLSWHYGTVKKYAFDVENKVAVDWLTDLSPGLGVNRVQGIGFIYTEIVTGIPSFFSHFVTNLPAFHELLVFVSFKPLPVPYIPQSKRYLIGRVGHKEYKIYRCIVRYGYCDHMRDTHDFEEHIISSIGEFIAREEQEDEDQEAMAEGRMIALGGPSTSAALIPVTEIEENEVSQTSADIESQRSPLIDSYPPVKRKKVRFFLPPTSPKVNPAVRRELQELVDARERGTAYFLGKPHLSVRKGSNFFKRFLIMNYIFLDKNCREPPVALNIPHAALLEVGMAYIV >itb09g18830.t3 pep chromosome:ASM357664v1:9:14902833:14905988:1 gene:itb09g18830 transcript:itb09g18830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRGAFAELNNIPASRTVFQRNGNLFFHTTAQKATSYRQTKNRKLMAFMIFAIFMLTINGVLSKSRRPISEMEIWEKKNKCYADIESGLWGEKCKTSMVAKENCALQCLSPVCYELIYESDPLEEGEKDTVRSQEYKYCMHKVSLGESLDGIRGSFSF >itb09g18830.t2 pep chromosome:ASM357664v1:9:14902654:14905331:1 gene:itb09g18830 transcript:itb09g18830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPALDSHHDLLKLVRGAFAELNNIPASRTVFQRNGNLFFHTTAQKATSYRQTKNRKLMAFMIFAIFMLTINGVLSKSRRPISEMEIWEKKNKCYADIESGLWGEKCKTSMVAKENCALQCLSPVCYELIYESDPLEEGEKDTVRSQEYKYCMHKYNSYYLVFFHASPNINLVSS >itb09g18830.t1 pep chromosome:ASM357664v1:9:14902648:14906070:1 gene:itb09g18830 transcript:itb09g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPALDSHHDLLKLVRGAFAELNNIPASRTVFQRNGNLFFHTTAQKATSYRQTKNRKLMAFMIFAIFMLTINGVLSKSRRPISEMEIWEKKNKCYADIESGLWGEKCKTSMVAKENCALQCLSPVCYELIYESDPLEEGEKDTVRSQEYKYCMHKVSLGESLDGIRGSFSF >itb06g02480.t1 pep chromosome:ASM357664v1:6:4335445:4335828:-1 gene:itb06g02480 transcript:itb06g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACRYLSSPGNEVDGSAAKLRREISHRNITNREELKMVSLKLQKRLAASVLKCGGGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSTKTVFVFFIYFVFFLLCGDQVPTPKFMWQAT >itb14g02670.t1 pep chromosome:ASM357664v1:14:2288938:2291459:-1 gene:itb14g02670 transcript:itb14g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALMKVGSIKAGSFWLTKKAKEEFNNISGDINTISNTVEEKAKWIFNKLKGKPLKSLADLLREHNLPPGLFPENITSFEFDDSKSKLIVYLPSACEVTFKDGSVIRYATRVKGILLRDKLMGIEGMKTKVIVWVKVTSVSVEGYKSDKVWFNAGVKKARPMDAYNVPREALRVKEF >itb15g11650.t1 pep chromosome:ASM357664v1:15:9440628:9443367:1 gene:itb15g11650 transcript:itb15g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLILATIRRNKVQQMRRQATHLQDLPKRILSEQLKNVKQLSSEACLFKVHERLRKPNPEAYTPLTISIGPYHHGKPELRKMERLKVLYTQSLLNRAGGVGVEECWKKLKDLEGKARSYYGDDILKVRGDEFVKMLLLDGCFIVEFVIRQSGLLMMQKRIFDPIFMQIQGMVCNILRDMLLLENQLPFFVLQAIYDMISNPGNPEFSEMVKIAFRNKIPKMNIISLLNTQVNPQEIKHLLQIVHILCQPQNNGQIHPQQKTCSSNSSCCFWKQPQQIIDDDEAALLCSIRTASELQEAGVRFKKVGKISNTSSNQTISLFDIKFNHGVLEIPSFALYHPTETFFRNLIAYEQHSPDVHPMYFTDYAKFMDDLINTEKDVNLLRLKDVFINGLGDDKEVTRLFNDLCKGVTYYSGDGFYYKDLCKELNLHCKKLRNVLMARLRHDYFHTPWAGISTFAAILLLSLTIAQTVLAALDYLYK >itb15g11650.t2 pep chromosome:ASM357664v1:15:9440693:9443367:1 gene:itb15g11650 transcript:itb15g11650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLILATIRRNKVQQMRRQATHLQDLPKRILSEQLKNVKQLSSEACLFKVHERLRKPNPEAYTPLTISIGPYHHGKPELRKMERLKVLYTQSLLNRAGGVGVEECWKKLKDLEGKARSYYGDDILKVRGDEFVKMLLLDGCFIVEFVIRQSGLLMMQKRIFDPIFMQIQGMVCNILRDMLLLENQLPFFVLQAIYDMISNPGNPEFSEMVKIAFRNKIPKMNIISLLNTQVNPQEIKHLLQIVHILCQPQNNGQIHPQQKTCSSNSSCCFWKQPQQIIDDDEAALLCSIRTASELQEAGVRFKKVGKISNTSSNQTISLFDIKFNHGVLEIPSFALYHPTETFFRNLIAYEQHSPDVHPMYFTDYAKFMDDLINTEKDVNLLRLKDVFINGLGDDKEVTRLFNDLCKGVTYYSGDGFYYKDLCKELNLHCKKLRNVLMARLRHDYFHTPWAGISTFAAILLLSLTIAQTVLAALDYLYK >itb13g01310.t1 pep chromosome:ASM357664v1:13:1268871:1271448:-1 gene:itb13g01310 transcript:itb13g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKIFMVLLLSNLLIINSLGLCVVDQKTSLLQIRSNLSYYPSSYKKAVQWDERVDCCKWEGVRCNDAGFVTTLDLSNEPIDHGFNVSLLLKLKSLSVFKLDGINFSVPFPDFFSDFTNLTVLSLVDCFFIGTVPRKLFQVPTLQTIDLSYSEMLEGSLPEFPEINGSLQTLILSNTKFSGNLPESIGNLRMLSHLDLSRCNFGGSIPASIGKLTKLAHLNLAWNLFSGPIPSFKLSKNLTLVDLSNNQFAGEIPFSHWDGLHNLESVYLNNNSFSGPIPVSLFSLPSLQSLYLSMNKFSGRIIDLQKNVSSPLRGLDLGSNNLEGPIPSFLFQLQNLSSLSLSSNKFNGTVHLADFKIVENFYFLDLSYNNLVVETNISEAQLPFFSQFQRLTLASCNLQHIPDFLKNQSTLAMLDLSSNNMSGEIPNWVWGINHGLVRYLNLSHNRFTRLQEPVEYGLLDYLDLHSNLLSGKIPPLPGSAAYLDLSNNHFSSTIPLDIGNQLPNVRFFSIANNRVSGRIPPSWCHAALLEVLDLSNNSLQGTIPSCLAQNNSNLGVINLKGNRLSGEIPQVFLQSCSLETLDLSQNFFKGRLPPSLVNCTKLKVLNLGNNGISDTFPCWLNNMSNLHILALRSNKFHGSISCPSHGVNNSWPSLKVIDLGSNNFSGILPANLFLELKAIVVDQNEAKTEVDYLHFTSDIGNVYYADSISLSLKGHEVAIKNQHLQYCKN >itb11g09310.t2 pep chromosome:ASM357664v1:11:6191667:6194576:1 gene:itb11g09310 transcript:itb11g09310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDNDQFDMSDLSSSLPAAAAALSAEDRAGLVNALKNKLQTLAGQHSDILESLTPSVRKRVEALKEIQSQHNDLEVKFFEERAALEAKYHKLYEPLYTKRYEIVNGVVEVEGVSEASVDKGDDKGTEEKGVPNFWLNAMKMNEILAEEISDRDEEALKYLKDIKWCRVDDPKGFKLEFFFDTNPFFKNTILVKTYHMVEDDEPILEKAIGMDIEWHPGKCLTQKILKKKPKKGSKNAKPITKIEKCDSFFNFFNPPQVPEDDDDIDDDTAEELHNQMEQDYDIGATIRDKIIPHAVSWFTGEAVQGDEYEDIEEDDDDDDDDDEDDDVDDDEDDEDQEEGKSKKVNF >itb11g09310.t1 pep chromosome:ASM357664v1:11:6191667:6194576:1 gene:itb11g09310 transcript:itb11g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDNDQFDMSDLSSSLPAAAAALSAEDRAGLVNALKNKLQTLAGQHSDILESLTPSVRKRVEALKEIQSQHNDLEVKFFEERAALEAKYHKLYEPLYTKRYEIVNGVVEVEGVSEASVDKGDDKGTEEKGVPNFWLNAMKMNEILAEEISDRDEEALKYLKDIKWCRVDDPKGFKLEFFFDTNPFFKNTILVKTYHMVEDDEPILEKAIGMDIEWHPGKCLTQKILKKKPKKGSKNAKPITKIEKCDSFFNFFNPPQVPEDDDDIDDDTAEELHNQMEQDYDIGATIRDKIIPHAVSWFTGEAVQGDEYEDIEEDDDDDDDDDEDDDVDDDEDDEDQEEGKSKK >itb11g09310.t3 pep chromosome:ASM357664v1:11:6191667:6194576:1 gene:itb11g09310 transcript:itb11g09310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDNDQFDMSDLSSSLPAAAAALSAEDRAGLVNALKNKLQTLAGQHSDILESLTPSVRKRVEALKEIQSQHNDLEVKFFEERAALEAKYHKLYEPLYTKRYEIVNGVVEVEGVSEASVDKGDDKGTEEKGVPNFWLNAMKMNEILAEEISDRDEEALKYLKDIKWCRVDDPKGFKLEFFFDTNPFFKNTILVKTYHMVEDDEPILEKAIGMDIEWHPGKCLTQKILKKKPKKGSKNAKPITKIEKCDSFFNFFNPPQVPEDDDDIDDDTAEELHNQMEQDYDIGATIRDKIIPHAVSWFTGEAVQGDEYEDIEEDDDDDDDDDEDDDVDDDEDDEDQEEGKSKKKSGLAQVA >itb01g04420.t1 pep chromosome:ASM357664v1:1:2985833:2988405:1 gene:itb01g04420 transcript:itb01g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVCFLITQSTGTIFRHLGYYCPNTSTYSPSSTYKSNLNTLLSTLSSNGDRKNGFYNTTVRGGADATVYGLFMCRGDVSTGDCGSCVSNATTTILRLCPKEKSAIVWYDYCMLRYSNGDIFGRADQSVMLMLFNESKTNNNNMSSPFRELVGNTLEQMAARVAGGDGLSGKKFATQEANVTASSSKERIYSLGQCTPDLSDTDCKTCLRSAIQQLILSSGGSRSIFPICAVRYEVYPFYNSTAAGAPPLPPAPILRPPPPPITPTGSSKVIIAIVVPVITGIILLTAIFCFVRIRNAKIRHTKLQETGVTGVSAEESTQYDFATGMLPNGKEVAVKRLSRTSRQGAQEFKNEVEVVAKLQHRNLVRLLGFCSQGEEKILIYEFVPNKSLDYFLFDADKRSLLDWSRRYKIIRGIARGLLYLHEDSRLKIIHRDLKTSNILLDGNMDPKIADFGLARIFEIDQTEESTNRIVGTYGYMPPEYAMHGEFSVKSDVFSLGVILLEIITGKKNRILCQSNRSVNLLGYAWEHWRDGTPLEILDPVFAESYKVNEVIQCIHIGLLCVQDVAVERPTMAEVMLMLSSYSSNSWPPPREPAFYHGGNEEMPREPELEQPMTVNGMSISELYPR >itb04g19790.t1 pep chromosome:ASM357664v1:4:24250989:24254248:1 gene:itb04g19790 transcript:itb04g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVQSYARTGKSHVDVGIPISSAICSFHNSQRTILRDGEGRCQVLTLSKETSKIRSITFGHKITETIKGKLSLGAKILQVGGVGKILGAKILQVGGVGKIFKRNFDVKDGEKLVKTSQCXYKGKVEPGSQNPSTGPIAGLLFISTDKLAFCSERSIKLLSANGKSNIIRYKVTIPLRKIKSANESHNVKKPSQKYVQVVTEDKFEFCAEPVPRSDEADRSTAPSGSVPQSGGCVHNFRSFSSAAPYGPWMIVSRKERRQGGRPASNERQGERSRPSGASIPTTVAHPGSGSRFALLDPEGEANDQQASDTIAQSPSEESGPSLEALAVPNSIPSLSAQGRNSRRANVIANEK >itb15g20180.t2 pep chromosome:ASM357664v1:15:22688504:22694474:1 gene:itb15g20180 transcript:itb15g20180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTWLSPVSFYGGFIRRCLSAAGLTSHTLDIDDETTLHFWGPSPNSSSPQKPALVLIHGFGPHGVWQWRPQISFFANDYNVFVPSLVFFGRSHTKSSDRSELFQAKCVGKLLEKLGVEKFSIVGTSYGGFVAYHMARMWPERVEKVVIASSAVNLKKQDNEGLIKRANAGRVEDVLLPATAEQLRTLMSLSSFRQPPAFTPNFIFNDFISKLYLQNRKEKLELLEGLTLGRDNNPNIIPLQQEVLIVWGECDKLFLLEKATQLKELLGKGTRLEIIKSTSHIPHIEHASRFNNIVKDFLRG >itb15g20180.t4 pep chromosome:ASM357664v1:15:22688606:22694239:1 gene:itb15g20180 transcript:itb15g20180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTWLSPVSFYGGFIRRCLSAAGLTSHTLDIDDETTLHFWGPSPNSSSPQKPALVLIHGFGPHGVWQWRPQISFFANDYNVFVPSLVFFGRSHTKSSDRSELFQAKCVGKLLEKLGVEKFSIVGTSYGGFVAYHMARMWPERVEKVVIASSAVNLKKQDNEGLIKRANAGRVEDVLLPATAEQLRTLMSLSSFRQPPAFTPNFIFNDFISKLYLQNRKEKLELLEGLTLGRDNNPNIIPLQQKLYLQNRKEKLELLEGLTLGRDNNPNIIPLQQEVLIVWGECDKLFLLEKATQLKELLGKGTRLEIIKSTSHIPHIEHASRFNNIVKDFLRG >itb15g20180.t1 pep chromosome:ASM357664v1:15:22688516:22694448:1 gene:itb15g20180 transcript:itb15g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTWLSPVSFYGGFIRRCLSAAGLTSHTLDIDDETTLHFWGPSPNSSSPQKPALVLIHGFGPHGVWQWRPQISFFANDYNVFVPSLVFFGRSHTKSSDRSELFQAKCVGKLLEKLGVEKFSIVGTSYGGFVAYHMARMWPERVEKVVIASSAVNLKKQDNEGLIKRANAGRVEDVLLPATAEQLRTLMSLSSFRQPPAFTPNFIFNDFISKLYLQNRKEKLELLEGLTLGRDNNPNIIPLQQI >itb15g20180.t3 pep chromosome:ASM357664v1:15:22688513:22693615:1 gene:itb15g20180 transcript:itb15g20180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTWLSPVSFYGGFIRRCLSAAGLTSHTLDIDDETTLHFWGPSPNSSSPQKPALVLIHGFGPHGVWQWRPQISFFANDYNVFVPSLVFFGRSHTKSSDRSELFQAKCVGKLLEKLGVEKFSIVGTSYGGFVAYHMARMWPERVEKVVIASSAVNLKKQDNEGLIKRANAGRVEDVLLPATAEQLRTLMSLSSFRQPPAFTPNFIFNDFISKLYLQNRKEKLELLEGLTLGRDNNPNIIPLQQEVLIVWGECDKLFLLEKATQLKEYVIFIWHMLYTH >itb12g18680.t1 pep chromosome:ASM357664v1:12:20927556:20927837:1 gene:itb12g18680 transcript:itb12g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGPGTRLVPRSQTSKETQKHLPSLFCFLLTPRFPPSLSLSLPPSFLSRSLSLLLRSSHHAKPHHHGLSLSLGVASNGGDLNSSDVDQLAT >itb12g17630.t1 pep chromosome:ASM357664v1:12:19729873:19734125:-1 gene:itb12g17630 transcript:itb12g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSDGRNEEMAAAKEMRQQLESRATTVHNAQLDLIASLQNLVPNIVSSIDLSLKAISCFSGRPFIPLPHTLSSNPNPNIRGAPKLPSSDPKIASANANNARGNPSPEASGLENEKMVIDESGGALSVVRAMVAVCLLERVPFTPIDSSTVLRKLENDQSATAADRAALRELGGESGPILAVEVALKSMADDNGGVELEEFVVSGKARVLVMNIDRTRLLKELPESKQLYQQNEGGSGSIEGNRNQELLKTGSDVNNGGVFGAGRPMPDMWMGGGPAEHPPHMSGLPPMFPGNMGMRGVPRGMVGMMGMPRGVGVPPPMSRPPIGPNGQVGGANMKPRTEEDDLKDLEALLNKKSFMELQKSKTGEELLDLIHRPTARETAVAAKFKSKGGSQVKEYCSALTKEDCRRQSGSFIACEKVHFRRIIAAHTDVNLGDCSFLDTCRHMKTCKYVHYELDSTPDMSHHMMGTGALPPPKPSQQRAHYCSEVELGEPQWINCDIRSFRMDILGQFGIIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGMIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYAMLERVSPRTRKLELFARMHNVHAGWISLGNQLQGVRLVDEGLRARFKAAYPDVEVQPASPPRAAAMEVDPASGQMRNTESAYTTEG >itb06g22920.t1 pep chromosome:ASM357664v1:6:25084786:25088585:-1 gene:itb06g22920 transcript:itb06g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSATGKLFEFASSNMKDILGKYKLHSSNLDQATQPSLELQLENSLHVRLSKEVADKTRELRQMKGEELQGLSLEELQKLEKRLENGLTRVLETKGERVVTEIATLQRKGAELMKENKQLKEKMARVNGEKFPVIADVEAAGLIPEEGQSSESITTNVCSCNSGPPPEDDCSDTSLKLGLPIN >itb04g17050.t1 pep chromosome:ASM357664v1:4:19316548:19320223:1 gene:itb04g17050 transcript:itb04g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINTSSLHLKHKRHAGFRDNNIMAADQCKDIEKGMVKGAGDELEVPFLQDYSKLIVDSEDEEESNGSIFMVVFSTCVAVCGSFEFGSCVGYSAPTQSSIRNDLDLSIAQFSTFGSIITIGAMIGAIASGRIADLVGRKGAMRLSAVLCIAGWLAIYYAIGSWSIDMGRFFTGFGIGIFSYVVPVFIAEIAPKNLRGGLTTINQLMIVCGSSVAFLLGTVMTWRQLALTGILPCVFLLVGLFFVPESPRWLAKVGREKDFDVALRKLRGKNADVTKEAAEIQAYVDTLQSLPKARILDLFDSKYIRAVIIGVGLMVFQQFIGINGIGFYASETFSAAGLSNGNIGTIAYAIVQVPITVGGAMLMDRSGRRPLLLVSATGTFIGCFLTGASFYLKGQSVWLNLVPVLAVSGVLIYIAAFSIGMGAVPWVIMSEIFPIHVKGAAGSLVVLVNWVGAWAVSYTFNFMMEWSSTATFMLYAMFCALTFLFVVKVVPETKGKTLEEIQAIINSST >itb04g15400.t1 pep chromosome:ASM357664v1:4:16628329:16630368:1 gene:itb04g15400 transcript:itb04g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPEEMEPVKYGDVFGVSGELADKPIAPQDAAMMQSAETAVLGQTQKGGPAAAMQAAAKVNVRAGVVSPDDVSSPAGNQGVTVTETDVPGSRIITESVAGQGEALLAPKPVSRLDKRWKRQHMHLEAKRWIGATLRQYRQLKLGLLALTSSLPAVSLPRLSPLLLTTKASSETKTKSNLEMSWLECLAGDKGKDATQKLPADKIATREDAEGVASAQQRNNINMSSPPGGVAASVAAAARLNERGL >itb11g14550.t1 pep chromosome:ASM357664v1:11:11742655:11744087:1 gene:itb11g14550 transcript:itb11g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDEGREDTISEVVTESLLDSVFELKRIKCTPSICDASPTDTDRSLVAIRDYAADDSPRTSLVFPPANHENLPVSVNLIGAFSRQHHLKSSFSWEFPSSPSESMSSSSQSRFVAENDDSSSSFSPSGSDSGDPSNPPPWTQHPPPKTVAGSARWWNFGIEFLHSKFNGIVKHLLSFASVPGAISSSYSPVGRATLAAAVFMFIYFWRRRRRRRRLEINRESREQLIRTVKEKDKRINQLLEQIAEMNKLLVALQNGHQSNPS >itb07g03220.t1 pep chromosome:ASM357664v1:7:2188402:2192776:-1 gene:itb07g03220 transcript:itb07g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPISSSNANAIIKLEEEIEGDQIGSRDYRFCRIGEPVPIKADGISPFDPENEVPPLQPLAVSERFRLIFVAHSDGFCVARTNEVLASAKEIKDERKELSVQESCIVDISIGLVSILALSADESLLAATVGSEINFFPVSTLLLKEQQPSFSFSLNESFIKDMQWAKKEERIYLLLSSEGKLYCGHGQSQANYVMDNVDAVGWSANGDCVAVARNNVISILSSRFEEKLSLEPSFKLMLSDSDAESVIRVDAVRWVRPDSIVLGCIQVNDDGEETYALQVITGKNGKIDDDSSKPVVLSFTNVFPDFRSDAVPLASGPHLFFSYLDNQYVFICFSF >itb06g03270.t1 pep chromosome:ASM357664v1:6:5556586:5559458:1 gene:itb06g03270 transcript:itb06g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVMSFTIIFDNKKKEKKKKKSFTIIKLRIHSLSLHCPSKLLKPDRTTKANDFVIVDEELKPIIFTMWGNFTVCQGVEIDKELQSGDYPVVLGRRITVTPYQGISLSTRTDSGVEVNPFGKRADALKECEMASPLDQQISPISDLKKSFDQSKGVWVKSKITLNNENPTYYIGCNNCDKKINCNDEGIKFQCMFCGHANAVSIKRYRLIVELFDGTDRIQATLFNRLVDKLFSLIETENEKDGFDFQKLQKKLDTPTFAVELRCQTQDRGGMTVVYTVASICEDISTSSITGTKKKLAFGESSNPVEDSTNLPPSNVDNNYLEKNVQELSEVVICT >itb01g24770.t2 pep chromosome:ASM357664v1:1:30324568:30325726:1 gene:itb01g24770 transcript:itb01g24770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRQDSLLSSSRRQTPPPENRRRGKSVGCMSGIFQLISKYQSRTKRLTSGGKRGKLSEDSSPANKKVTVDDQNNNEVTVEKSPGLPPELRRRASAENAKTRPSALVVRLMGLEEKCSPVVAAEGETLTEVKRKMLLKALDKCNQDLEALKKIIKAVESSSSSSASGASTPPPPPPAVETCKKKSTAEHQLLSPISVLDEQMISRSPPLTKIHDNGSFSVPLISIFNSPLMLLLASDHKK >itb01g24770.t1 pep chromosome:ASM357664v1:1:30324568:30327415:1 gene:itb01g24770 transcript:itb01g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRQDSLLSSSRRQTPPPENRRRGKSVGCMSGIFQLISKYQSRTKRLTSGGKRGKLSEDSSPANKKVTVDDQNNNEVTVEKSPGLPPELRRRASAENAKTRPSALVVRLMGLEEKCSPVVAAEGETLTEVKRKMLLKALDKCNQDLEALKKIIKAVESSSSSSASGASTPPPPPPAVETCKKKSTAEHQLLSPISVLDEQMISRSPPLTKIHDNAIHIVQRSKKAGTDYDSTNCILKKFTTSSFRTKREAVAASPVMWCSKAMVQSVEEVCRDIACGEHREGGKIGLVLQDYICADLIQELVKDLGSSSSSPCAVSLPFEACRRRLCL >itb13g25950.t1 pep chromosome:ASM357664v1:13:31330028:31330910:-1 gene:itb13g25950 transcript:itb13g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSGVSNPKLDVEYEDEGDDGWSSPSEWDYKLHEVPSDGKDGPVINLLCKLALTHYQNSHQPGETYEFVSIQKVLCGLVSGVEYSVTFWAKNLKSNVVETFEGRGYDMIGEDELKIVSCALKE >itb13g25950.t2 pep chromosome:ASM357664v1:13:31330028:31330910:-1 gene:itb13g25950 transcript:itb13g25950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSGVSNPKLDVEYEDEGDDGWSSPSEWDYKLHEVPSDGKDGPVINLLCKLALTHYQNSHPGETYEFVSIQKVLCGLVSGVEYSVTFWAKNLKSNVVETFEGRGYDMIGEDELKIVSCALKE >itb02g11770.t1 pep chromosome:ASM357664v1:2:7855793:7858237:1 gene:itb02g11770 transcript:itb02g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYESCEADWGTRMEWNRGKLFTLIYLNLTLILLIRSSRAWTGEIHGRVVCDVCGDSTVGPEDHVLEGAEVAVLCITKSGEVLNYQAFTNSNGIYTVAETMPESDRWDACLARPISSFHEQCTHLRDGSSGVKFSYNHKSGYSHTVKPFVYHPAILPTYCI >itb02g11770.t2 pep chromosome:ASM357664v1:2:7855746:7858237:1 gene:itb02g11770 transcript:itb02g11770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYESCEADWGTRMEWNRGKLFTLIYLNLTLILLIRSSRAWTGEIHGRVVCDVCGDSTVGPEDHVLEGAEVAVLCITKSGEVLNYQAFTNSNGIYTVAETMPESDRWDACLARPISSFHEQCTHLRDGSSGVKFSYNHKSGYSHTVKPFVYHPAILPTYCI >itb15g02620.t2 pep chromosome:ASM357664v1:15:1621438:1624551:1 gene:itb15g02620 transcript:itb15g02620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) UniProtKB/TrEMBL;Acc:A0A178VEZ3] MDIQEPLLLNEGPKVHTLRSNFFSKLPEKLRGGIDPETPFHLDLSKTPGLIEGEREYYEKQLATLRSFEEVDSLESPGEINEDDDKKVQAQHERAMNVSNWANIFLLAFKIYATVQSGSLAIAASTLDSLLDLMAGGILWFTHLAMKNINIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLVQAVEQLIANTPSDKMSSLQLVWLYTIMLTATGVKLILWMYCRSSGNKIVRAYADDHYFDVVTNVVGLVAAVLGDRFYWWIDPAGAIALALYTIINWSGTVLENAVSLVGQTAPPEVLQKLTYMVLRHDPRIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELTEVERAFVHLDYECDHKPEHSVLSKIPDTKP >itb15g02620.t1 pep chromosome:ASM357664v1:15:1621438:1624551:1 gene:itb15g02620 transcript:itb15g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) UniProtKB/TrEMBL;Acc:A0A178VEZ3] MFLSTVYKIIVVWLFLWELKKKKKGEDAIVLGCSVCEMDIQEPLLLNEGPKVHTLRSNFFSKLPEKLRGGIDPETPFHLDLSKTPGLIEGEREYYEKQLATLRSFEEVDSLESPGEINEDDDKKVQAQHERAMNVSNWANIFLLAFKIYATVQSGSLAIAASTLDSLLDLMAGGILWFTHLAMKNINIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLVQAVEQLIANTPSDKMSSLQLVWLYTIMLTATGVKLILWMYCRSSGNKIVRAYADDHYFDVVTNVVGLVAAVLGDRFYWWIDPAGAIALALYTIINWSGTVLENAVSLVGQTAPPEVLQKLTYMVLRHDPRIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELTEVERAFVHLDYECDHKPEHSVLSKIPDTKP >itb06g08230.t3 pep chromosome:ASM357664v1:6:12199123:12201270:-1 gene:itb06g08230 transcript:itb06g08230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIAAILIAGAFGVSVPLIGRKRRFLQTDSNLFVAAKAFAAGVILATGFVHMLPDATEQLTDPCLPEYPWLKFPFSGFIAMMAALATLVVDFVGTQYYERKQEKQSQTAHIESVDSVSDTLIVPGETKGRNGKLFGEEEGGAIHIVGMHAHAAHHRHSHSQEAGACQGHTKEHSHGHSHSHSHSFGHGDEENGVRHVVVSQVLELGIVSHSMIIGLSLGVSESPCTIRPLIAALAFHQFFEGFALGGCISQAKFRTLRSTLMATFFAVTTPLGIAAGLCVSSFYNPRSPRAMVVEGVFDSISAGILVYMALVDLIAADFLSKKMSCNTRLQIASYFCLFLGSVLMSSLAIWA >itb06g08230.t2 pep chromosome:ASM357664v1:6:12199123:12202943:-1 gene:itb06g08230 transcript:itb06g08230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIAAILIAGAFGVSVPLIGRKRRFLQTDSNLFVAAKAFAAGVILATGFVHMLPDATEQLTDPCLPEYPWLKFPFSGFIAMMAALATLVVDFVGTQYYERKQEKQSQTAHIESVDSVSDTLIVPGETKGRNGKLFGEEEGGAIHIVGMHAHAAHHRHSHSQEAGACQGHTKEHSHGHSHSHSHSFGHGDEENGVRHVVVSQVLELGIVSHSMIIGLSLGVSESPCTIRPLIAALAFHQFFEGFALGGCISQAKFRTLRSTLMATFFAVTTPLGIAAGLCVSSFYNPRSPRAMVVEGVFDSISAGILVYMALVDLIAADFLSKKMSCNTRLQIASYFCLFLGSVLMSSLAIWA >itb06g08230.t1 pep chromosome:ASM357664v1:6:12199123:12203092:-1 gene:itb06g08230 transcript:itb06g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVEGLLPLCMDQIREKTKVFTDSFLQNVSQSLSNNSCVNSEKELGDCQDSGAAFILKMIAIAAILIAGAFGVSVPLIGRKRRFLQTDSNLFVAAKAFAAGVILATGFVHMLPDATEQLTDPCLPEYPWLKFPFSGFIAMMAALATLVVDFVGTQYYERKQEKQSQTAHIESVDSVSDTLIVPGETKGRNGKLFGEEEGGAIHIVGMHAHAAHHRHSHSQEAGACQGHTKEHSHGHSHSHSHSFGHGDEENGVRHVVVSQVLELGIVSHSMIIGLSLGVSESPCTIRPLIAALAFHQFFEGFALGGCISQAKFRTLRSTLMATFFAVTTPLGIAAGLCVSSFYNPRSPRAMVVEGVFDSISAGILVYMALVDLIAADFLSKKMSCNTRLQIASYFCLFLGSVLMSSLAIWA >itb04g00350.t1 pep chromosome:ASM357664v1:4:230117:232316:-1 gene:itb04g00350 transcript:itb04g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEFGGITHIAMLPSPGMGHLIPFVQLADRLIRRHRLAVTLIVPTDRPLSAPQEAFLGALPTGVDYLVLPPVNLEDLSAYTKNEIRICLTVTRCLPALRRVFESLVASKTRPPPVALLVDLFSTDAFQVADEFGVSPYLFFPTSAMVLSFGTILPELDLAVSCEFRDMAEPVQIPGFSVPVHGKDFLDPVQDRQDEAYRWIVYHNKRIGLAKGVILNSFKEMEQGAIEALQRPESGIPPVYPIGPLVQTDSDSSAAGECLEWLEAQPPGSVLFICFGSGGCLSHYQLTELALGLEMSQQRFLWVIRSPSDSAAAAFFSAQNPGDPLTFLPQGFLERTKKHGLVVPNWAPQSRILSHRSTGGFLTHCGWNSTLESIVRGIPLIAWPLYAEQKMNAVVLTKDIKVALRPMAGENGVVRREEIAKVVKSLMDGEEGKMVRQRISVLKDAAAMALSEDGSSTKALSELASIWKNKMVIPPGGDPSPGGKRDPPTTVRS >itb04g00350.t2 pep chromosome:ASM357664v1:4:230117:232099:-1 gene:itb04g00350 transcript:itb04g00350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEFGGITHIAMLPSPGMGHLIPFVQLADRLIRRHRLAVTLIVPTDRPLSAPQEAFLGALPTGVDYLVLPPVNLEDLSAYTKNEIRICLTVTRCLPALRRVFESLVASKTRPPPVALLVDLFSTDAFQVADEFGVSPYLFFPTSAMVLSFGTILPELDLAVSCEFRDMAEPVQIPGFSVPVHGKDFLDPVQDRQDEAYRWIVYHNKRIGLAKGVILNSFKEMEQGAIEALQRPESGIPPVYPIGPLVQTDSDSSAAGECLEWLEAQPPGSVLFICFGSGGCLSHYQLTELALGLEMSQQRFLWVIRSPSDSAAAAFFSAQNPGDPLTFLPQGFLERTKKHGLVVPNWAPQSRILSHRSTGGFLTHCGWNSTLESIVRGIPLIAWPLYAEQKMNAVVLTKDIKVALRPMAGENGVVRREEIAKVVKSLMDGEEGKMVRQRISVLKDAAAMALSEDGSSTKALSELASIWKNKMVIPPGGDPSPGGKRDPPTTVRS >itb13g20630.t3 pep chromosome:ASM357664v1:13:27422717:27424651:-1 gene:itb13g20630 transcript:itb13g20630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYSMFDSNRAALGNLYQDASMLTFEGQKIQGSQNIVAKLTSLPFQQCQHAITTVDCQPSGPAGGMLVFVSGTLQLAGEQHALKFSQMFHLMPTPQGSFYVYNDIFRLNYA >itb13g20630.t2 pep chromosome:ASM357664v1:13:27423406:27424651:-1 gene:itb13g20630 transcript:itb13g20630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYSMFDSNRAALGNLYQDASMLTFEGQKIQGSQNIVAKLTSLPFQQCQHAITTVDCQPSGPAGGMLVFVSGTLQLAGEQHALKFSQVEMLNFNSMPVLSFHLSGCISMELSNG >itb13g20630.t1 pep chromosome:ASM357664v1:13:27422717:27424651:-1 gene:itb13g20630 transcript:itb13g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDALAKAFVEHYYSMFDSNRAALGNLYQDASMLTFEGQKIQGSQNIVAKLTSLPFQQCQHAITTVDCQPSGPAGGMLVFVSGTLQLAGEQHALKFSQVVNSHSAISVFFFST >itb05g12580.t1 pep chromosome:ASM357664v1:5:19169598:19174280:1 gene:itb05g12580 transcript:itb05g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSWDSLRKQARKVEAQLDEQMHLYRKLVSTKVDSADDNDLEKGIDKLLKELQQVNSRMHAWISSGGAEIFSHTLTRHQEILQDLTQEFNRLRSSYRAKKEHASLLDDFREFDRTRLDLEDGGDSYEHALLNEHASIHRSTGQMDGVISQAQETFKTLAFQRSTFGGINSKLSNVSSRLPTVNQILSSIKKRKSMDTIILSVVASVCVFLILIYWLTK >itb11g01730.t1 pep chromosome:ASM357664v1:11:866913:867632:-1 gene:itb11g01730 transcript:itb11g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLHGRRDSENETNLNRFSGEASAAGDGVARRPRGRPPGSKNKPKPPVIIARESANALRAHIFEIGGGCDVFEAVASYARKRQRGICILCGSGAVNNVTLRQPAAAGAAVVNLQGRFEILSLAGSFLPAPAPPEATSLTVFLAGGQGQVVGGNVVGALIAAGPVIVITASFTNVAYDKLPLDDGEVLPPLTNGGGGSGSNQFADPSSGLPSFFNLPLHLPMESAGWTGNSANRVQY >itb14g19210.t1 pep chromosome:ASM357664v1:14:21938405:21940718:-1 gene:itb14g19210 transcript:itb14g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPFLSLCCIVFFFTLFSTSSSALAPPSDVEILLRKIKPSLQGNAENLLLSSWNAAVPLCQWRGLKWAFINGSSLQCVDLSSPQWTNLSLYTDPSLRLASLQLPSANLSGTLPREIGELAALQSLYLGVNALSGTIPLELGYSSSLSDVELSGNMFTGPIPASIWNLCEKLVSLRLHGNSLSGYIPQPALPNASCKNLEFLDLGHNSFSGDFPEFVARFSGLKELDLGDNKLSGPIPGSLSGLSLEKLNLSYNNFSGVLPNFGEPKFGVEAFEGNSPELCGPPLRPCNGNSGLSPGAIAGIVIGLMAGAVVLASLLIGYFQGKKRRNDDEEDEEDFEELEDEENGGGGGDGGEGKLIIFQGGEHLTLEDVLNATGQVMEKTSYGTVYKAKLADGGTIALRLLREGSCKDRSSSLPVIKQLGKIRHENLVPLRAFYQGKRGEKLLIYDYLPNRTLYDLLHEAKVGKPVLNWARRHKIALGIARGLVHIHSLEMPITHGNVRSKNVLVDDFFVSRLTEFGLDKIMVASIAEETLLLAKADGYKAPELQKMKNCSSRTDVYAFGILLLEILLGKKPGKTGRNGEFVDLPSLVKVAVLEETTMEVFDAELLKGIRSPMEEGLVQALKLAMGCCAPVATVRPTMEEVVRQLEENRPRNRSALYSPTETRSGSGTPF >itb14g15490.t1 pep chromosome:ASM357664v1:14:18791330:18796039:1 gene:itb14g15490 transcript:itb14g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSYQMVAVRSSVGYVDGDDEPVRTSSTPRVTFPGLQFLHSNSLLCTVSQTEAPSFGKCEKTFLLFPLLAFRTSSIFLHDVLVIYDEFLASALDFEIWVEANKFQNKMLDNFGEMRKTEHLFDSQEHNLKPLTENAKVHIEESAPAFGEVGSVFLESEEEGMRLQLLLEEPKYSNILDTVLDINASNAQKNFNVNEYLDSTYHKADDGVLNSSFTEGNKTVEQFLDGLSEENFSVVSCEENSHFDACKDYLLDVMFDERAHQSLHSVLNKEIYMDDDTKFDNQKLSSTRQIENSSPCHSKKTRAWLLPDFNLPGDNSHIGQNMKIQLQITSAKRSARSSATRVFKSSLALSSSSEDSYVPRLEARYSQNNLRVDEMSSDKLRKVFQKLFGHQTIITDEQWLRHHISLGLQKSDKWKNNLTLEEFSIPNENKGVSVSSATEDLLKAPSPFPGVFSFKRKQRVQRVKKKGNNASCESFKDFLFALERNSECGQKKPEICKKHTKDNVLHDASKWQHYREGSRVPLQAGNKHFRGCTEVPRDLPFKETTTRNEGHEWVDHSSKRHEDNCSNAYSDSLVESLEEDDDTYTGESEDETSENEWKTEKIQQHRKNHFWSLTEVMNLVQGVSKYGVGRWIQIKRLFFRSSPHRSPADLKDKWRNLLSASCGRSQKRRVPRQNGIPHSIPQHILHWVRDLATIHPYPRKKVRQTAPSIRASNTAPRT >itb07g09680.t1 pep chromosome:ASM357664v1:7:9295669:9298618:1 gene:itb07g09680 transcript:itb07g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQQAASMMNQQQQQHMIFDFFRMLSFYFTTVGYYFCTMLTMLSAYAFLYGRAYLALSGVGETIQDRADIFQNTALSAALNAQFLFQIGVFSAVPMILGFILEQRFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGWTILHGGARGEESWEVWWDEELAHIRTLEGRLMETILSLRFFIFQYGIVYKLHLQGDNTSLTVYGFSWIVFAVLLILFKIAVAWKAVHSVELLYDAGIGMLIFIPIAFLSWFPFVSTFQTQPMFNERAGNSPNTGV >itb07g09680.t2 pep chromosome:ASM357664v1:7:9295669:9298618:1 gene:itb07g09680 transcript:itb07g09680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQQAASMMNQQQQQHMIFDFFRMLSFYFTTVGYYFCTMLTMLSAYAFLYGRAYLALSGVGETIQDRADIFQNTALSAALNAQFLFQIGVFSAVPMILGFILEQRFLRAIVSFVTMQFQLCTVFFTFSLGTRTHYFGWTILHGGARGEESWEVWWDEELAHIRTLEGRLMETILSLRFFIFQYGIVYKLHLQGDNTSLTIAVAWKAVHSVELLYDAGIGMLIFIPIAFLSWFPFVSTFQTQPMFNERAGNSPNTGV >itb04g29280.t1 pep chromosome:ASM357664v1:4:32728118:32729077:1 gene:itb04g29280 transcript:itb04g29280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 2 [Source:Projected from Arabidopsis thaliana (AT5G59340) UniProtKB/Swiss-Prot;Acc:Q6X7K1] MEDVTSVDMMTTNTGSNGSRWNPTKEQINLLESFYNQGIRTPTAEQIQQITGMLRAFGHIEGKNVFYWFQNHKARQRQKQKQENLAFFNHFHYPYPHPHHHLYLQHCPNVMYRPYYVAPQGDLGFYAKSPGLFLSAGSTTGYKRNAQKMEIMHKPSPPIGDEESNTASVKCSPETLDLFPLLPTGILQASKSVNGVSGDAPSSGSVEINCCGDEHRGGGGGGSAGDHPFFDFFCGNGRYGAH >itb06g18850.t3 pep chromosome:ASM357664v1:6:22396896:22401716:1 gene:itb06g18850 transcript:itb06g18850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MAVVASAPGKVLMTGGYLILERPNPGIVLSTNARFYAIVKPLYEEAKPDSWAWAWTDVKLTSPQMSRETVYKLSLKNFTLQSVSSSDSRNPFVEYAVEYAAAAAHATFDNNKKDALHKLLLQGLDITILGCNEFYSYRNQIEACGLPLTPESLASLPPFSSITFNAEESGGENRKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSSTDNHIQEEKDTAVLDVVHIIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSPAQNAGMATPLTEVISEVLKAKWDHERTKFLLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPQNSLQTWRNLLEGNSSLEMHLNALCKLAESNYTAYECVINSCSALPSGKWMEAANEPHQREVVKELLGARDAMLGIRYHMRKMGEAAGIPVSSLPLEREAHFFLRSSIFPTPLSPCQK >itb06g18850.t1 pep chromosome:ASM357664v1:6:22396896:22401716:1 gene:itb06g18850 transcript:itb06g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MAVVASAPGKVLMTGGYLILERPNPGIVLSTNARFYAIVKPLYEEAKPDSWAWAWTDVKLTSPQMSRETVYKLSLKNFTLQSVSSSDSRNPFVEYAVEYAAAAAHATFDNNKKDALHKLLLQGLDITILGCNEFYSYRNQIEACGLPLTPESLASLPPFSSITFNAEESGGENRKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSSTDNHIQEEKDTAVLDVVHIIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSPAQNAGMATPLTEVISEVLKAKWDHERTKFLLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPQNSLQTWRNLLEGNSSLEMHLNALCKLAESNYTAYECVINSCSALPSGKWMEAANEPHQREVVKELLGARDAMLGIRYHMRKMGEAAGIPIEPESQTRLLDATMNMEGVLLAGVPGAGGFDAVFAVTLGSSSNNVVKAWSSQNVLALLVREDPRGVALENNDPRTSAVTSGVSSIKIE >itb06g18850.t2 pep chromosome:ASM357664v1:6:22396896:22401711:1 gene:itb06g18850 transcript:itb06g18850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MAVVASAPGKVLMTGGYLILERPNPGIVLSTNARFYAIVKPLYEEAKPDSWAWAWTDVKLTSPQMSRETVYKLSLKNFTLQSVSSSDSRNPFVEYAVEYAAAAAHATFDNNKKDALHKLLLQGLDITILGCNEFYSYRNQIEACGLPLTPESLASLPPFSSITFNAEESGGENRKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSSTDNHIQEEKDTAVLDVVHIIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSPAQNAGMATPLTEVISEVLKAKWDHERTKFLLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPQNSLQTWRNLLEGNSSLEMHLNALCKLAESNYTAYECVINSCSALPSGKWMEAANEPHQREVVKELLGARDAMLGIRYHMRKMGEAAGIPIEPESQTRLLDATMNMEGVLLAGVPGAGGFDAVFAVTLGSSSNNVVKAWSSQNVLALLVREDPRGVALENNDPRTSAVTSGVSSIKIE >itb01g00510.t1 pep chromosome:ASM357664v1:1:235275:236416:1 gene:itb01g00510 transcript:itb01g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPKTAPKLERKYVEKNRRNHLKNLYKHLFSLLPPHLSQEGIALHDQVDETVKYIRWLQNEVEKSKQKKEELQKKKMMMSSSSRKRHHSSSCDDDNKSSPLVQVLDISPGIHVVLVNDLEGVAAFHNIIRILHQNGLEVANATFQQHGNSMLQVVHQQGFGGTIMLSDKLKQVLYGSSNPCPEETEQPSPDYSWDNNNYDIWGFHSLEPFQQLEYPPPY >itb12g04460.t1 pep chromosome:ASM357664v1:12:2918751:2919386:1 gene:itb12g04460 transcript:itb12g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTGDHEKRSASHSVLSHLFRSLSFVSFSLFVAGVRLIQLEAVAVAVAFSRFCLNALDFQLNFDIAIGFFVADVC >itb03g18910.t2 pep chromosome:ASM357664v1:3:17085704:17089987:-1 gene:itb03g18910 transcript:itb03g18910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASRDQNRVMDAVRATVFKKSESLDGEGGCTKIEGYDFNRGVDYPQLLNSLLSTGFQASNLGEAIQIVNEMLDWRLSQEPIMENCDEEEKDPAYRDSVTSKIFLGFTSNLVSSGVRDTIRYLIQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFSLPGAVLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMYEEQTKQNVLWTPSKVIARLGKEINDESSYLYWAYKNKIPVFCPSLTDGSLGDMLYFHTFRKEDPNNPDRNPGLIIDIVGDIRAMNGEAVHAGSRKTGVIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRGGAKTVKVHCDATIAFPLLVAETFATKAKNSTGNQ >itb03g18910.t1 pep chromosome:ASM357664v1:3:17085704:17089987:-1 gene:itb03g18910 transcript:itb03g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASRDQNRVMDAVRATVFKKSESLDGEGGCTKIEGYDFNRGVDYPQLLNSLLSTGFQASNLGEAIQIVNEMLDWRLSQEPIMENCDEEEKDPAYRDSVTSKIFLGFTSNLVSSGVRDTIRYLIQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFSLPGAVLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMYEEQTKQNVLWTPSKVIARLGKEINDESSYLYWAYKNKIPVFCPSLTDGSLGDMLYFHTFRKEDPNNPDRNPGLIIDIVGDIRAMNGEAVHAGSRKTGVIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRGGAKTVKVHCDATIAFPLLVAETFATKAKNSTGNQ >itb03g18910.t3 pep chromosome:ASM357664v1:3:17085704:17089987:-1 gene:itb03g18910 transcript:itb03g18910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASRDQNRVMDAVRATVFKKSESLDGEGGCTKIEGYDFNRGVDYPQLLNSLLSTGFQASNLGEAIQIVNEMLDWRLSQEPIMENCDEEEKDPAYRDSVTSKIFLGFTSNLVSSGVRDTIRYLIQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFSLPGAVLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMYEEQTKQNVLWTPSKVIARLGKEINDESSYLYWAYKNKIPVFCPSLTDGSLGDMLYFHTFRKEDPNNPDRNPGLIIDIVGDIRAMNGEAVHAGSRKTGVIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRGGAKTVKVHCDATIAFPLLVAETFATKAKNSTGNQ >itb01g23930.t1 pep chromosome:ASM357664v1:1:29759478:29761731:-1 gene:itb01g23930 transcript:itb01g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSVGGDSSSIPTLKPFSFLEISSASAYDEDQKNGRGKDNNIGFCMGSLGDGGGEEEEEEEAESGSPVDEEPPLQLALLPLSPPPLPCAAAQPRFPWLSHNLGSEPGLLSNLLAGKGRGSGGGAAEAASGASSFPARWWSRKRDMEGDMMRERGGSSNNNNSVGSDEIEDEIINGGLGRKKLRLTRQQSAFLEQTFKQHSTLNPKNKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLRRCCDTLREENKRLQKELQELRALKKSTTSHLFYNHLPATTLNICPSCTRTATTTPSAATNTALSLPKSEC >itb03g29760.t1 pep chromosome:ASM357664v1:3:30773330:30778901:1 gene:itb03g29760 transcript:itb03g29760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPLLEDNMEEEAALNRKAFVGEVKEVNRIALPMIVVTVSQYLLRTSPMLMLGHLGELPLSSASIATSLCNVTGYSVLFGMSSALETLCGQAYGAGHYKKLSTFTYVAILCLFLVCIPVSILWLFTERLLKLIGQDPSIAAQAGNYAIWLIPTLFPYAILQSLVRYLQTQSLILPMLWTAVAALCLQLPICWAFIFKLNLGNAGAALSIGVSYWVNVILILVYVKYSSTCKKSRATLSWDVFASMGEFFRFALPSAVMVCLEWWAFELIVLLSGILPNPKLETSLLSICLTTTSVHYHIPYSFGAAASVRVSNALGGGKPQAARVALFAVLLLSATEFLLASIAIFCCRYVWGYMFTYEQEVITYVKEITPLLCLSIILDGTQAVLSGVARGSGWQHIGAYVNLGSYYLFGIPLALLFGFVLHLKGEGLWGGLVAGGFLQSTVFSLITGFTDWEKQAVEARQRIFDEKVQP >itb06g17320.t1 pep chromosome:ASM357664v1:6:21191376:21194441:1 gene:itb06g17320 transcript:itb06g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFDLISERRKREKQAKMRKRIITASILLVIILLIAAAAFAYFVVLKGPHDDKGKHSTKAKSHKSKSKSDEDSSSSSSSSETADSSVPKGETNLVHSEKMIKTLCTGTDFTTTCEDSLNKAAKENATAAKNPKDLLKASMSIAVEEIKKAVKQVAGLKLDMPMKKAALEDCKALLTDSMEELNTSMSSVGTAKTPDLNNWLSAAITFQDNCIDGFPDGPDKSAVQKSLKIAEEIASNALAMISQLASVLSTLHIPDSEPKRHLLSDDEEFPTWMDHEDRRMLKGAAADIPKQPPNATVAKDGSGKFTTINAALKAIPAKYEGRYVIFVKEGIYAENVKITKKMVNLTMYGDGSQKSIITGSKNYVDGIPTYQTATFAVMGDGFMGQALGFRNTAGPEKHQAVALRVQADKAIFLTCRMEGYQDTLYAQAHRQFYRGCYITGTVDFIFGNAAAVFQKCLIYARKPLDKQQNIVTAQGRSDKRQTTGIVLQNCKILADEKLEPVKSKIKTFLGRPWKEYSRTIIMESEIGDLIDPEGFTPWSGDFALKTLYYAEFANTGPGAKTKSRVKWSGYKGELKKDEATPFTVAPFIQGEPWIKPSGCPASFGFNS >itb14g03420.t1 pep chromosome:ASM357664v1:14:3037691:3038866:-1 gene:itb14g03420 transcript:itb14g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHSPFPYGFPTICGTTNPSSSPIATPWMDTRIWSRLPQRLIDRVIACLPPPAFFRARLVCKRWYALLFSTTFLQLYLQIPHHCHWFIFFKHKTLKTYIYRNGSDRAIHEGFLFDPYNLKWYRLSFPLIPAGFFPANSSGGLISWVSEEAGSKNVILSNPLVGSLIPIPPTLRPRLFPSIGLNIANSSIDLVVAGDDLTSPYAVKNLTTESFHVDANGFYSIWGTTSSLPRLCSFESETRDGM >itb04g07710.t1 pep chromosome:ASM357664v1:4:5307942:5309724:-1 gene:itb04g07710 transcript:itb04g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYLLLVQLCSALLVFLGALYYFMTRPRKVYLVDFACYKPPPEIMCPKEMFMDRSKKAKFFSEENLSFQKRILERSGLGEKTYFPEALLREPPNPCMGEARKEAEMVMFGAIDDLLAKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLWGNIPSYNLGVTMENLTLNWYTGNNRSMLVSNCIFRIGGAAILLSNRSSDRRRSKYQLLHTVRTHNGADDRSYSCAFQQEDAAQKTGVALSKHLMAIAGDALKTNITTLGPLVLPFSQLLLFFTALVARKVLKMNIIKPYVPDFKRAFEHFCVHAGGAAVLEEIEKRLELSEWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALKTIHPAELNKPWMDEIHEFPVDVPKLATINA >itb09g05520.t1 pep chromosome:ASM357664v1:9:3150091:3152640:1 gene:itb09g05520 transcript:itb09g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHNMHQSCASLVNASASLNVIAEISAELQRERQKNAELMERIMVLEAQLQERQKASCENGRWETRKFKRQKVMTDCKQDDGSIKMNLLESHKRECRQTEEKNLEDRLVKWMSMDETQFMHSDKPQSRDFVLDSNDRDDIDDQEDGEHREEETGNTRSGEEINVQFPLLNSHLAITSGHGNQELNEDVKEMSSHSDTSQMRFEENCRKEMAVESYCETASVQRRHQKMAFCPKEVKRILEMEELSKKNAQSHTIRKIIVFSSLGIRHGCEDMYELDLNHFSILQKGESYVDQKNPGEHVLYENPGVRRKIFYPNRQNPTLCPVQILEEEKVMRPSDASCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPVICRMAMLVHARCGSFFFKALGITLLFMAGFPNDLVQRETNYKNLDLLQKYYRTDKDAEGEELFLSYPLADQQTIQIAASSKQKSKKHTTTSGNLDEKTSAVKSPPPHSDQFELTGYASNLTNATFSPKLTSSQVPTDVQPLSNPVITSPNINTSTQSSYPFLPAYPTNSFVPMVYWHPANPFQLYPYPSGYRYPPPGNYLSIHPHQYFSHPPSNPPIPKLPGNGRNHTASEIAEKDSDSSSSSKESRKKMS >itb04g10070.t1 pep chromosome:ASM357664v1:4:9319696:9323601:1 gene:itb04g10070 transcript:itb04g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEEVRKAQRAEGPATILAIGTATPANCVNQSTYPDYYFRITNSEHKTELKEKFQRMCDKSMITKRYMHLTEEILKENPSFCEYMAPSLDARQDIAVVEVPKLGKEAAQSAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLIVCSEITVVTFRGPSETHLDSLVGQALFGDGAAAVIVGADPTPAEKPLFQLVSAAQTLAPDSCGAIDGHLREVGLTFHLLKDVPSVVSNNIEKCLLEAFNPLGISDWNSIFWIAHPGGPAILDQVEDKLGLKPEKLRATRHVLSEYGNMSSACVLFILDEMRKASSNDGLGTTGEGLEWGVLFGFGPGLTIETVVLHSVPT >itb04g10070.t2 pep chromosome:ASM357664v1:4:9321521:9323601:1 gene:itb04g10070 transcript:itb04g10070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITKRYMHLTEEILKENPSFCEYMAPSLDARQDIAVVEVPKLGKEAAQSAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLIVCSEITVVTFRGPSETHLDSLVGQALFGDGAAAVIVGADPTPAEKPLFQLVSAAQTLAPDSCGAIDGHLREVGLTFHLLKDVPSVVSNNIEKCLLEAFNPLGISDWNSIFWIAHPGGPAILDQVEDKLGLKPEKLRATRHVLSEYGNMSSACVLFILDEMRKASSNDGLGTTGEGLEWGVLFGFGPGLTIETVVLHSVPT >itb14g17810.t1 pep chromosome:ASM357664v1:14:20995154:20997482:1 gene:itb14g17810 transcript:itb14g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGEDEESARISLLKREFEASLARVNFLEKENHELRQEIVRLRAQVNNAFKSHDVDRKSVLWKKVQSSPESKIAADKSQQKLSFPAAESTEAVEPGNKKEMAAKSAYCYQFQQKPICKLPSLPAGGPAPPPPPPPPPSKTGGSKALRRVPAVMELYRSLVKRDAQKERRTNAIASMAALNPKNMIGEIENRSTHLLAVKSDVETHGEAIEALLREVESAAFTEISEVETFVKWLDGELSSLVDERAVLKHFPQWPERKADALREAACSYRELKNLESEVLSFKDNPKQLLSQSLRKIQALQDRQVGKKCRQY >itb14g17810.t3 pep chromosome:ASM357664v1:14:20995154:20997481:1 gene:itb14g17810 transcript:itb14g17810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGEDEESARISLLKREFEASLARVNFLEKENHELRQEIVRLRAQVNNAFKSHDVDRKSVLWKKVQSSPESKIAADKSQQKLSFPAAESTEAVEPGNKKEMAAKSAYCYQFQQKPICKLPSLPAGGPAPPPPPPPPPSKTGGSKALRRVPAVMELYRSLVKRDAQKERRTNAIASMAALNPKNMIGEIENRSTHLLAVKSDVETHGEAIEALLREVESAAFTEISEVETFVKWLDGELSSLVDERAVLKHFPQWPERKADALREAACSYRELKNLESEVLSFKDNPKQLLSQSLRKIQALQDRQVGKKCRQY >itb14g17810.t2 pep chromosome:ASM357664v1:14:20995154:20997481:1 gene:itb14g17810 transcript:itb14g17810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGEDEESARISLLKREFEASLARVNFLEKENHELRQEIVRLRAQVNNAFKSHDVDRKSVLWKKVQSSPESKIAADKSQQKLSFPAAESTEAVEPGNKKEMAAKSAYCYQFQQKPICKLPSLPAGGPAPPPPPPPPPSKTGGSKALRRVPAVMELYRSLVKRDAQKERRTNAIASMAALNPKNMIGEIENRSTHLLAVKSDVETHGEAIEALLREVESAAFTEISEVETFVKWLDGELSSLVDERAVLKHFPQWPERKADALREAACSYRELKNLESEVLSFKDNPKQLLSQSLRKIQALQDRLERSVGNIERLRDGASKRYRELHIPWAWMLDTGVVGQLKLSSMELAKQYMGRVAMELECHEPPQKQDLILQGVRFAYRVHQFAGGFDAETVKAFEGIKLISTNIESQ >itb10g17830.t1 pep chromosome:ASM357664v1:10:23933957:23938762:-1 gene:itb10g17830 transcript:itb10g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVHELSDNSETEQQQKHSEHEIQSSSPSNLMPHSATIAPAMHYAIPPQNGNGNAMAHTVYPYPDPYYRSIFAPYDAQPYAAQPYPSQPMVHLQLMGTQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLLKARKPYLHESRHLHALRRARGCGGRFTSKKNENQQTQEESGHKSPPNVNLNSESSDNGSELAS >itb10g17830.t2 pep chromosome:ASM357664v1:10:23933957:23938762:-1 gene:itb10g17830 transcript:itb10g17830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVHELSDNSETEQQQKHSEHEIQSSSPSNLMPHSATIAPAMHYAIPPQNGNGNAMAHTVYPYPDPYYRSIFAPYDAQPYAAQPYPSQPMVHLQLMGTQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKLLKARKPYLHESRHLHALRRARGCGGRFTSKKNENQQTQEESGHKSPPNVNLNSESSDNGSELAS >itb08g06440.t1 pep chromosome:ASM357664v1:8:5472392:5475101:-1 gene:itb08g06440 transcript:itb08g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACASTSASLAWNMAPLRSALPCIQSSSTSSLRFSSRSSPSPLRLSRAKSQPGTVRAFVGLAPFHSLLSHFSPDSTSFEHTFTMIGNGCRFFAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKYGRIKTTRARARAMRKYVDKMITLAKEGSLHKRRQALGFIYEKQIVHALFAEVPERYGDRNGGYTRIIRTLPRRGDNAPMAYIELV >itb12g10070.t1 pep chromosome:ASM357664v1:12:8046584:8048869:1 gene:itb12g10070 transcript:itb12g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPTATVEGEVTVQIIAQPRRLICLAGRYRFEMERLAMEIDDDGCTTPKNPECRIPEVTVCPPAPRKKPIRRRRNKPKPKKNNGSSSFFIPPARREARAFR >itb15g18120.t1 pep chromosome:ASM357664v1:15:19509293:19511387:-1 gene:itb15g18120 transcript:itb15g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLLGSKHSADKDEDLTLRLYQSFKRRRYLVVIDDLWSVEAWDALKTCFPDDGYGSRVLLTTRIGEVANHICTQNDFSHQMQLLEQSESWKLFNEKACKSRGAGFETIGRPVVEKCKGLPLAIIVVAGLFSKLNTLDEWKNTANALSSSATTLDDEECSRILSLSYNHLPHNLKACFLYLGVFPEDHKINANELAKLWLGEGLVKAFENENFDAVANREVQKENLLHVVMNENMSDFPQKGFRWVRIQGEVRENNVCIMGLADLEHLEALQISYWFGTPGSINNDIVLLKNLKKLTLDSIRFESEKINIFSKLSWLEVLKLQWNSCLDKEWEVQEEVIFFQLIALVINDCDLKHWKASSHNFPKLEHLYIRRCYILREIPMDFAEISTLKSIKLWSCLPSVVKSAKKIQDEQRDYGNSDMVVIDKHTLDVSESKESPESKDSSEYDESPESEECLSEEEPKVDN >itb13g15860.t1 pep chromosome:ASM357664v1:13:22777015:22780804:1 gene:itb13g15860 transcript:itb13g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAMLTFQITDTPLRLGYWLLSNLDLQSMLLKLPNGLAIEVDEEAVEVVLGLPRGTKVITDRAKHEKSVILNSWRESFEKVDYSITPTDVAQKLQKYPDGGECFIRNYAILVVSTVVRCMQNGYVYQHVLPNLDDTLEIANLNWCRYVIHSLISTLTAWKAGETQRFTGPLVFLTVLYVDRVRVGVCTVPRTLPSFVGWDSELLKQREETEITTGRFGRGPIVELMRPEKKDIRIRVTDKARTVAEMTTDKSDDNTKLWGTARSLLGINPTLGVHAHVPQPSDWTDGAQHDDDFYSNPDFLKAVEEIERAVHDRNALSNGASVTLGVDHGAGSSHVRHNTHNVTLVERASVIRTRSAAKDFSAHPSALPTSGATHSRTHPPVHDDCVTTAPQVLSSVIEVPTSATMHLTGSAPLRAPETPQEVNHPPTPVLTKRTHHVDPVEEMQHAVHDPDAFFDVPSFSLGLTQDAGNSLVRQTTPFGTSQQRPSVITTRSAAKHNACKPFAQTSPGDPPSLTHLSVPDDGVTTTPQVFKTVWMDSLGTPSVFKLLLTLVLQVVVMVPRPPTSTTSYHTGHAPLKPLKPLREGKHPLTPVVTKLSHRVAQVGGRPTWIVPGQTYVYRWVVDNNNSRDEELFRYNDYKASWGGIATLQEGSKVSYKVVDAWACVLNYQELTKGVGVPNRFFASTKIALQSAVNHTALRSLRLGWFTKNLEADFNNSAHETWREIQIYIFPILKLGHFYMISVDTVAKKVDIIDSSSAAKKKGEMYGQTPAQLVDLLSTFLDDKLLIEIGAQIRDIKPKRMQMSWRVAKNEVDSAMYTMRHMESYCGQGVANWNVGLQRGNYRQLRVLREYFMRELLMSDINIHQNSNIKRALNFANGLARNTQISFNLTVLCVAKTVMLV >itb06g18630.t1 pep chromosome:ASM357664v1:6:22247683:22252184:-1 gene:itb06g18630 transcript:itb06g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGHQWGGPLEIIQDSSTSEDFERVSRGNAEWDRASATQQRHYGGAAGPRPDLDETQQGWLLGPPERKEKKYVDLGCVVCSKKALKYTLWGIVVAFFVLALPTIIAKSLPKRHPRPPPPDNYSVALHKALLFFNAQKSGKLPKNNGVPWRRDSGLDDGSKLTDVKGGLIGGYYDAGDNTKFHFPMSFAMTMLSWSVIEYEHKFRAAGEYDHVRDLIRWGTDYLLRTFNSSASTIDKIYSQVGGSTNGSKTPDDHYCWQRPEDMAYPRPVQTAVSGPDLAGEMAAALAAASIVFRDHAVYSAKLAKGAETVYAFARDRGKRTAYSRGNPYIEPYYNSTGYFDEHMWSAVWLYYATGNNSYISLATNPEIAKNANALVVIPDLSVFSWDNKLPGAMLLLTRFRIVLNPGYPYEEMLQSYHNVTTLTMCSYLQRFHVFNFTKGGLIQLNHGQGQPLQYVVNAAFLASLFADYMEMTDVPGMNCGPYFIPTDVLRVFAISQINYILGENPLKFSYVVGYGSRFPRHVHHRGASTPNNKIKYSCTSGWKWRDTKKPNPNNITGAMVGGPNRFDQFQDSRTSFAFTEPTLAGNAGLVAALVSLTNTGRSGVDKNAIFSNVPPLYAPAPPPPPPWKP >itb15g06780.t1 pep chromosome:ASM357664v1:15:4543008:4545070:-1 gene:itb15g06780 transcript:itb15g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMTVTAGNEKVGSFRLLSGHMIPAIGLGTWKAQNPRDNVYTAIVEGGYRHVDTAAQYGVQEGVGEGLKAAIHAGVERKTLFVTSKLWCTDLSPDRVRPALMSTLQELQLDYLDLFLIHWPFHLKEGASMPPKAGDVSGFDMHGVWREMEKLVADKLVRDIGVCNFTVKKLNKLLDIAKIKPSVCQMEMHPGWRNDKMLDACKDNNIHVTAYSPLGSDERDLVHDPMVEKVAKKLNKTPGQVLVKWAIQRGTSTIPKSNHAHRIKENISIFDWEIPKQDFEALSSIPDQRRVLNGEDMFVNKTDGPFKSVADLWDHED >itb12g11300.t1 pep chromosome:ASM357664v1:12:9486585:9488027:-1 gene:itb12g11300 transcript:itb12g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPLSWISLISLLQLILGIDITVISGQCPNDQRSQLLQLRNSLKFKSGAPTNKLANWNQSTDCCHWPGVRCDNLYHVIGLSLDNETIVRIDNRSSLFSLQYIEELNLGFNFFNSSPIPVQMYNLTNLRYLNLSNAGFGGQIPSGILRLTRLVILDLSTQFIVGQPLKLENPNLKIFFNNATELSEVYLDGVNISAQGSEWCETLSSSLPNLRVLSLRNCHVSGPIHPSLLKLRSLSAIYLDQNDLSSAVPDFLTNFTNLMTVSLSVCKLLGEFPKAILQLQTLHHLDLSNNQDLSGGFPEFQQNGSLETVSLSFTSFSGLLPDSIGHLRNLSRIELSNCTFTGSIPSTMANLTSLAYVDFSKNNFTGPFPSFQMSKKIIYIDLSHNALTGPLFSSHFKGLSELKYVILGSTLLSGQIPQDLFDLPSLQSLYLNDNRFEGQVNEFPNASVSQLGMLDLSSNHLNGSIPKSFLELPELRV >itb06g19690.t1 pep chromosome:ASM357664v1:6:22916688:22921510:-1 gene:itb06g19690 transcript:itb06g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGLPSSQGSIDLNIAAKDVGLILSQEIQFGSLVPALSRTHESRDSLSDNGENGESEGNEVVDKRNMKVTKNLFSNQNNSRPSFSIREPEGDDCLLEMLESDSDIIDLGEDEVFNIEEEKFFCLLGRFAGRFPGAFAIYQLINYWKVNCKLEKVANGHVIFWFKNEADRDKVAGEGPYFVYGKRLFLDFLPDGFVLKNEDFCVLPIWIRLPGLPKKCWHVKALSKIATRIGKPIFMDRHTKEMKKSDFARILVDVDCSFKPCDSITFRMPDGSLWTQKVFYEYLPLFCSRCGGEKHVNASCPLSRVERNLLHREKDKALHSPVKDAQNLEDDVIPYEIQNSLNIPSGTDALEMRPEKSDSIPLTFEKGETSHANLKGVFGDKESLSNEGEHCLVGNSGEDHVNQQGLCDKDSNICNEVADPFGVLCDSDHLNLPPDGPNYKSSKYSKDLATGGNVKQKRKKKEKDQLNSLKDFFADLEDTPVPPRDNLVAGGGGDFNTVLSSLERRGSVEPSRYDIGDFEYCCMNLGLRDAFSTGNHFTWSNGSKEAKLDRCLINEYWLLNNLACHAEFKNMVSTSDHSQIIIKVFGQHKSGNRPFKFMNMWLKHPNFKKVVEDTWSNPVEGTKQFILASYLKALKAPLKSLNKMEYGYISERVKRANEEFTIAHESLDVISATEFEREQVRILREKALFLMEAERMYFSQKLNTKHLLESDRSSRYFHNLVNKRNSAKVIPSILDNNGVPTTSLEQVGELLVKYYSNMIGKAKERVQTVDSYFSQGPGGLSSSEVFEIIRPRAHKSFVFKTIWRGFIPPKFAFTSWLCLRNRLPTKDNLKFLDIEDKCTLCGKEPENVNHLFFSCDFSKQVWEEVRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb13g06090.t1 pep chromosome:ASM357664v1:13:7253183:7254010:-1 gene:itb13g06090 transcript:itb13g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLPPPFHTSRDFNFHPQQFHSQNNNSNNIEQSGGKQRDPEEVNQQDDGNDAGESKNAGEGEISRRPRGRPAGSKNKPKPPIIITRDSANALRTHLMEVADGCDVMDSVAAFAQRRQRGVCVLSGNGIVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPSATGLTIYLAGGQGQVVGGSVVGALLAAGPVVIMAASFSNAAYERLPLEEDEGNAPPFPGGGIGSPGAAGGQQLLAEQGMFQGVPPNLINSIQLPNDAYWGGSRPPF >itb08g06310.t1 pep chromosome:ASM357664v1:8:5387674:5390178:1 gene:itb08g06310 transcript:itb08g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRGCRVTNFHWGWEEPEDIDAGVQATPTAGPKSTTNTAAATRKKKTRSPSTSIYTNYSTPQLDHTPAPVLPKERGDAIRSAKPYLATPGATVRGLYGETFYEGDTLVTFHYEKSRSGDITQGLPKVEQVLEVRSVDPISLNLERRVEGWNKCLTRILGIPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIHYIFGWPIPEDVESPIFIGVGKSLRTLTLVSRQLQQPVLRAPQTPPPPRERKKQDPLPQAFTQTTQHHSWITPQPRERGDAIRSAKPYLATPGATVRGLYGETFYEGDTLVTFHYEKSRSGDITQGLPKVEQVLEVRSVDPISLNLERRVEGWNKCLTRILGIPWGFLIGAELTIAQSRMMMEKWRF >itb15g03050.t1 pep chromosome:ASM357664v1:15:1924206:1928919:1 gene:itb15g03050 transcript:itb15g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAIQILGSSSSGFVSYTLRKPHPNCNLSFISIKSLPSSSSKATLPAFLLRYYHTGGRFSGYRTFRMYSFHPDGASSSPSGGAAPYSSASSAAVQPTVGIRKIKFCQSCGGSMKHEIPDGEEKVRAICTVCGRIAYENPKMVVGCLIEHDNKILLCKRNIQPSHGLWTLPAGYLEMGESTVEGAMRETWEEAGAEVEIISPFAQLDISLIGQTYIIFLAKLKRPHFSPGPESSECRLFELGEIPFESLAFSSMLVTLKLYIEDKKVGRPMFHYGIINKRPGTSPSEIHAYTLDNHVQC >itb11g19630.t1 pep chromosome:ASM357664v1:11:20388155:20388766:1 gene:itb11g19630 transcript:itb11g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFLFLSAIIFSVLLCEASKSPDPVLDINGKILRTNTKYYIVPLHDLVGGGLDLALSRPRGDTCPQSVVQDKVNKSGLAVQFYPVNSKKGVIRESTDLNIAFPDAHAKCLKSNIWTIEGDVSWYDDTQYITAGGQIGNPGEQTLVNWFKIVKTPNAYKLRFCPDVCSSCDFVCQDVSVEDLQGKKLLVLSNPPLEIAFREA >itb07g03250.t1 pep chromosome:ASM357664v1:7:2204086:2205821:-1 gene:itb07g03250 transcript:itb07g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRACATAAVALLLCFSAVRAEDPYLFFTWKVTYGTISPMGVPQQGILFFINGQFPGPKINCTSNNNIIVNVFNELDEPLLLTWSGIQQRKNSWVDGSIGTMCPIMPGTNVTYKLQVKDQIGTYFYFPTTGLQRAAGGYGSINVHSRDLIPVPFDRPADEFNVFISDWYNKGHKTLKKILDSGRTIARPDGVVINGKHSKVGDKIDPLFTTEAGKTYRYKQYYIYSHFILILRYRVCNVGMRMSVNFRFQGHAMTLVEMEGSHTIQNVFFKQEFSTVAIISYANGNDIPASPELPPSPPENTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNSKSYVDGKLRYALNGVSHINTDTPLKLAEYFRISEKVFKYDIMSDTPPENDEKVTLAPNVVNATFRNFIEIVFENHEKTIQTYHLDGYSFFAVAIEPGRWSPEKRKNYNLVDAVSRHSIQVYPNS >itb04g26070.t1 pep chromosome:ASM357664v1:4:30482386:30491216:1 gene:itb04g26070 transcript:itb04g26070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRKTMTRRSITDDAQPSNVQCSSGSGFARGRDSDFRYVKSKAKRVRFADVNRAPISNNISDDFSTGFEATRDPRSGIPKTSEYAFFKKVMEGAIQRNQHSLNKESERKLKDAGHCYPNILRKENEKLKKSKTNDYTSSMSSRPRISHPKNVTTLDQNLQLSPQAALKCSDSQCLESEVFSEKRRKLRQWAETTLSGTENFSSKGFDMVTLLLSRLSPGLNENKGSKLKGNTGCSPVLTESDPSEMRHHQSYRIDLIEPEYGTCQSNELPSWSIGTREIIPVDWPAFASDNCFTKYNANTVSHNEMVDNNHGSKSETTKRLLKQIDSPVHLLFGRSGFTDRYHLMEPDSFYSLDESPKRETQILLLDWDFDNEKDEPEITLDADCCEDKINSQIATLWDSDLHKSIDTGHNTMTLCSRREPPGHNTMTLCSRREPQALLLDWLCSRREPQALLLDWNFDNEKDEPEITLDADCCEDKINSQIATLWDSDLHKSIDTGHNTMTLCSRREPPGHNTMTLCSRREPQALLLDWLCSRREPQALLLDWDFDKESDEPEIAINYCEDKMDLQIVTLRDADLQKSIETRDNTMALCSRREHQALLLDWDFDERDEPEIAINADCCEDKMDLQIVTLRDADIHRSINTGHNTMALCSSSLFSDHSPHFCMLPDFHSTSLHEELCRDFERNLEYKEYTITEPGCLSSLFDAEYLDPEEYGYSGNVISYFLDYHQDSPVQDFGEKCHLDSNAFLLPMEPNSLLATGFPRPNHSSQHSYRSAYTTQLEEAWNLGSSNSTVVGFRGEAFDSYERPTCNFQTSFDRMLGCPLLLKDSSCARSEEGTYLGSPNE >itb06g15340.t1 pep chromosome:ASM357664v1:6:19712278:19715484:-1 gene:itb06g15340 transcript:itb06g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKCPQKAGEEESVVAAENKKVLEFIEDITMNADQVQPKVLAEILSRNANVEYLQRHGLGGHTDAQTFKKTMPVITYEDIQPDINRIANGDKSPILCSQPISEFLTSSGTSGGERKLMPTIEEELGRRSKLYSLLMPVMSQFVPGLEQGKGMYFLFIKYEAKTPGGLAARPVLTSYYKSSYFKNRQPDPYTNYTSPNEAILCPDSYQSMYAQMLCGLCQRHEVLRVGAVFASGFIRAIRFLEKHWKPLCNDIRTGTVNSQITEPSVREAVMRILRPDPKLADFVEGECKKERWQGIITRIWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPIHNDKEQQEELVDLTDVKLGQEYELVVTTYAGLYRYRVGDVLRVSGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAVKNAVTHLLPFDAHLTEYTSYADTTTIPGHYVLFWELTLKGSTPVHPSVFEDCCLAIEESLNSVYRQGRVADKSIGALEIKVVEEGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVSSFFSPKCPKWIPGHKQWATMHMD >itb06g15340.t2 pep chromosome:ASM357664v1:6:19712278:19715484:-1 gene:itb06g15340 transcript:itb06g15340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIEEELGRRSKLYSLLMPVMSQFVPGLEQGKGMYFLFIKYEAKTPGGLAARPVLTSYYKSSYFKNRQPDPYTNYTSPNEAILCPDSYQSMYAQMLCGLCQRHEVLRVGAVFASGFIRAIRFLEKHWKPLCNDIRTGTVNSQITEPSVREAVMRILRPDPKLADFVEGECKKERWQGIITRIWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPTMAYFEFLPIHNDKEQQEELVDLTDVKLGQEYELVVTTYAGLYRYRVGDVLRVSGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAVKNAVTHLLPFDAHLTEYTSYADTTTIPGHYVLFWELTLKGSTPVHPSVFEDCCLAIEESLNSVYRQGRVADKSIGALEIKVVEEGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVSSFFSPKCPKWIPGHKQWATMHMD >itb02g23590.t3 pep chromosome:ASM357664v1:2:23698852:23701836:1 gene:itb02g23590 transcript:itb02g23590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIGSNSMPDLKERLLPTKYASSANIRDTSYRPSASGRQPFQGLDVLGLKKRGQGLRSWIRVDASGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGRESAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWPTEGPNLHRRRGSRNLDDLFGSSSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSFYGDQSVVGYRSTDGVLSVSAPVSPISSPPDSSRKLEKSLSVARSRHESMRSSESAAEAQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELILTTATFVVAIFGVVAGIFGMNFEIAMFQYKNAFQWVLVITGVSGLVVFLSFLWYFKYRRLMPL >itb02g23590.t2 pep chromosome:ASM357664v1:2:23697894:23701314:1 gene:itb02g23590 transcript:itb02g23590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKERLLPTKYASSANIRDTSYRPSASGRQPFQGLDVLGLKKRGQGLRSWIRVDASGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGRESAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWPTEGPNLHRRRGSRNLDDLFGSSSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSFYGDQSVVGYRSTDGVLSVSAPVSPISSPPDSSRKLEKSLSVARSRHESMRSSESAAEAQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLVCIFCI >itb02g23590.t5 pep chromosome:ASM357664v1:2:23698852:23701836:1 gene:itb02g23590 transcript:itb02g23590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIGSNSMPDLKERLLPTKYASSANIRDTSYRPSASGRQPFQGLDVLGLKKRGQGLRSWIRVDASGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGRESAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWPTEGPNLHRRRGSRNLDDLFGSSSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSFYGDQSVVGYRSTDGVLSVSAPVSPISSPPDSSRKLEKSLSVARSRHESMRSSESAAEAQSIEELEMLLEAYFVVIDSTLNKLTSVSSHYLVSCFNISFLFDFLIGSIIYHFL >itb02g23590.t4 pep chromosome:ASM357664v1:2:23697946:23701836:1 gene:itb02g23590 transcript:itb02g23590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKERLLPTKYASSANIRDTSYRPSASGRQPFQGLDVLGLKKRGQGLRSWIRVDASGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGRESAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWPTEGPNLHRRRGSRNLDDLFGSSSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSFYGDQSVVGYRSTDGVLSVSAPVSPISSPPDSSRKLEKSLSVARSRHESMRSSESAAEAQSIEELEMLLEAYFVVIDSTLNKLTSVSSHYLVSCFNISFLFDFLIGSIIYHFL >itb02g23590.t1 pep chromosome:ASM357664v1:2:23697894:23701836:1 gene:itb02g23590 transcript:itb02g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKERLLPTKYASSANIRDTSYRPSASGRQPFQGLDVLGLKKRGQGLRSWIRVDASGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGRESAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWPTEGPNLHRRRGSRNLDDLFGSSSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSFYGDQSVVGYRSTDGVLSVSAPVSPISSPPDSSRKLEKSLSVARSRHESMRSSESAAEAQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELILTTATFVVAIFGVVAGIFGMNFEIAMFQYKNAFQWVLVITGVSGLVVFLSFLWYFKYRRLMPL >itb09g23520.t1 pep chromosome:ASM357664v1:9:22846880:22849051:-1 gene:itb09g23520 transcript:itb09g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPENSVSSAVSGGDNETKPMQLEEGEEHNSKVCVDAVEERMRDCFIQNKRPKRPLSPPAATELQQSYESEVARGGSAVEFDPIGTKLRSLDLIYQFHA >itb12g01630.t2 pep chromosome:ASM357664v1:12:1097433:1100417:-1 gene:itb12g01630 transcript:itb12g01630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGVGRLLLVDFDQVTVSSLNRHAVATREDVGTPKALCLKKHFQSIFPECHVDAKVLLYDSSSEEEILSGNPDFVLDCIDNIDTKVSLLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRHRLRKDHGIDGGIPIVFSLEKPKAKLLPFKGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLEVQMEPVVNFDMDHYHILHHRLIEHEELVYGTAMQVEVDAEEVMYVAKELWHGRSARDQSVKEVGRAMWRSVNELMLVRWDRTKRATVSNLILLRFKEAEEHESKSLEDIKESEPEFFDRVTSVLKRAEQDFGLSCS >itb12g01630.t1 pep chromosome:ASM357664v1:12:1097433:1101822:-1 gene:itb12g01630 transcript:itb12g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVKLLALIGAGALLGSAATISLFKLLPRAVKHEVIKEAVKANGSTFFREENPTMINPDLLSDEVVCEQLTRNIQFFGLDAQQKVTASYVVVIGLGGVGSHAANMLLRSGVGRLLLVDFDQVTVSSLNRHAVATREDVGTPKALCLKKHFQSIFPECHVDAKVLLYDSSSEEEILSGNPDFVLDCIDNIDTKVSLLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRHRLRKDHGIDGGIPIVFSLEKPKAKLLPFKGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLEVQMEPVVNFDMDHYHILHHRLIEHEELVYGTAMQVEVDAEEVMYVAKELWHGRSARDQSVKEVGRAMWRSVNELMLVRWDRTKRATVSNLILLRFKEAEEHESKSLEDIKESEPEFFDRVTSVLKRAEQDFGLSCS >itb12g01630.t3 pep chromosome:ASM357664v1:12:1097433:1100675:-1 gene:itb12g01630 transcript:itb12g01630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFCYMIHPLKRRFFLAILILSWTVLITLTQRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRHRLRKDHGIDGGIPIVFSLEKPKAKLLPFKGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLEVQMEPVVNFDMDHYHILHHRLIEHEELVYGTAMQVEVDAEEVMYVAKELWHGRSARDQSVKEVGRAMWRSVNELMLVRWDRTKRATVSNLILLRFKEAEEHESKSLEDIKESEPEFFDRVTSVLKRAEQDFGLSCS >itb07g08250.t1 pep chromosome:ASM357664v1:7:6450280:6453629:-1 gene:itb07g08250 transcript:itb07g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERGTSMGGSSLIFGAVLMYCLVVAAVAEEQLSTKECENLGFTGLALCSDCRTLSEYVKDKEVVSDCLKCCTEDSDDATSKVVYAGAVIEVCMRKLVFYPEIVGFIEEEKDKFPSVKVQYAYNSPPKLIMLDGNGGHKETVRIDNWKREHILQFLTEKVKPSSDI >itb14g14460.t3 pep chromosome:ASM357664v1:14:17077368:17080793:-1 gene:itb14g14460 transcript:itb14g14460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSESEDGMTLKGCVSSPSIEEVTAGGKTGGSVLLKKGPWTSAEDAILVDYVTKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEECRIIELHSKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDLCLRSINARQQNEDMNIFSIGDMQHPDLFQINSFEIPAVEFKNLELNQHSYPPPSLVDIPASSLLDIPSSSLVGQGLKSSCGNRYFHSTVHPSKRLRGTETLFPGLSDNGSDFLPACSQFQSGAYIAAQSFGVSSAYNQNLASDDPSLLSVIPGSHASLNGNSSYSSEPSWEKKPELPSLQSQMGSWYSPSSPLPSLESIDTLIQSPPPTEHTESGSLSPRNSGLLDAVLYESQTLKHSKNNNTSSQQTTSDASIIPGSIVDNSCPDLHDTEWEAYGDPISPLGHSSASVFSEYTPAVNGSCSLDEPQTVVAGCKVKQEEEGMELSPMQCDTGRDDDEESFQNMFSRPDFLLASNCFGSQTEYSKNHCVLKEVFGAVLFDDFSSRDCKNMRTSTSSGGSPCPWDHAMPTV >itb14g14460.t2 pep chromosome:ASM357664v1:14:17077368:17081909:-1 gene:itb14g14460 transcript:itb14g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVGLLLLTQFCHFPSSLKLPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDLCLRSINARQQNEDMNIFSIGDMQHPDLFQINSFEIPAVEFKNLELNQHSYPPPSLVDIPASSLLDIPSSSLVGQGLKSSCGNRYFHSTVHPSKRLRGTETLFPGLSDNGSDFLPACSQFQSGAYIAAQSFGVSSAYNQNLASDDPSLLSVIPGSHASLNGNSSYSSEPSWEKKPELPSLQSQMGSWYSPSSPLPSLESIDTLIQSPPPTEHTESGSLSPRNSGLLDAVLYESQTLKHSKNNNTSSQQTTSDASIIPGSIVDNSCPDLHDTEWEAYGDPISPLGHSSASVFSEYTPAVNGSCSLDEPQTVVAGCKVKQEEEGMELSPMQCDTGRDDDEESFQNMFSRPDFLLASNCFGSQTEYSKNHCVLKEVFGAVLFDDFSSRDCKNMRTSTSSGGSPCPWDHAMPTV >itb14g14460.t1 pep chromosome:ASM357664v1:14:17077368:17081909:-1 gene:itb14g14460 transcript:itb14g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSESEDGMTLKGCVSSPSIEEVTAGGKTGGSVLLKKGPWTSAEDAILVDYVTKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEECRIIELHSKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDLCLRSINARQQNEDMNIFSIGDMQHPDLFQINSFEIPAVEFKNLELNQHSYPPPSLVDIPASSLLDIPSSSLVGQGLKSSCGNRYFHSTVHPSKRLRGTETLFPGLSDNGSDFLPACSQFQSGAYIAAQSFGVSSAYNQNLASDDPSLLSVIPGSHASLNGNSSYSSEPSWEKKPELPSLQSQMGSWYSPSSPLPSLESIDTLIQSPPPTEHTESGSLSPRNSGLLDAVLYESQTLKHSKNNNTSSQQTTSDASIIPGSIVDNSCPDLHDTEWEAYGDPISPLGHSSASVFSEYTPAVNGSCSLDEPQTVVAGCKVKQEEEGMELSPMQCDTGRDDDEESFQNMFSRPDFLLASNCFGSQTEYSKNHCVLKEVFGAVLFDDFSSRDCKNMRTSTSSGGSPCPWDHAMPTV >itb14g14460.t4 pep chromosome:ASM357664v1:14:17077368:17080793:-1 gene:itb14g14460 transcript:itb14g14460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVGLLLLTQFCHFPSSLKLPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDLCLRSINARQQNEDMNIFSIGDMQHPDLFQINSFEIPAVEFKNLELNQHSYPPPSLVDIPASSLLDIPSSSLVGQGLKSSCGNRYFHSTVHPSKRLRGTETLFPGLSDNGSDFLPACSQFQSGAYIAAQSFGVSSAYNQNLASDDPSLLSVIPGSHASLNGNSSYSSEPSWEKKPELPSLQSQMGSWYSPSSPLPSLESIDTLIQSPPPTEHTESGSLSPRNSGLLDAVLYESQTLKHSKNNNTSSQQTTSDASIIPGSIVDNSCPDLHDTEWEAYGDPISPLGHSSASVFSEYTPAVNGSCSLDEPQTVVAGCKVKQEEEGMELSPMQCDTGRDDDEESFQNMFSRPDFLLASNCFGSQTEYSKNHCVLKEVFGAVLFDDFSSRDCKNMRTSTSSGGSPCPWDHAMPTV >itb04g01660.t2 pep chromosome:ASM357664v1:4:946415:952984:1 gene:itb04g01660 transcript:itb04g01660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYNSQSPYPYGNAYGYPQVPPSSNQPYPPPPSSGAYPPYPYPHPHPHPQYPQYPHPFTTSHSGHLSYAPPDPSTGGYQPGPVDYGYPPPPPHPHPGLVPYPYHGYMPPPPPASSAQPIIQHQGSFDYGSSQHYQQPVPPQPLESHPSRVNIYPMLDHQASTLSSSSNVANYDHGIDNAPTCPPAYPPVEDLLAHMQLSENHPPVPAPGSVPDSPPMYHSRPGSMTPNYNAQGTIYGYPNSSFSSWDACSSQAESTPHHHLSYSSSFMETGPDQSLQLVPVSPSKGSLRVLLLHGNLDIWVYEAKNLPNMDMFHKTIGDVFKLSGNGNNKTEGHLSNKITSDPYVSISVAGATIGRTYVMSNSENPVWHQYFYVPVAHSAAEVHFVVKDSDVVGSQLIGTVAIPVEHIYGGRKVEGSFPILTSNGKPCKAGAFLSLSIQYTPMEQLSIYHCGVGAGPEYNGVPGTYFPLRKGGNVTLYQDAHVPDGFLPNLPLDHGMQYVHGKCWHDIFSAIRSARRLIYITGWSVWHNVRLIRDDSSVEGYTLGELLKSKSQEGVRVLLLVWDDPTSRNILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRVAGKRHSWLKQREVGVIYTHHQKTVIVDAEAGNNRRKIIAFVGGLDLCDGRYDTPEHPIFRTLQTLHSDDYHNPTFAGSVAGCAREPWHDLHCKIDGPAAYDVLTNFEERWLKAAKPHGIKKLKMSFDDALLRIARMPEILGISDIPYVSGDDPEGWHVQIFRSIDSNSVKGFPKDPKEATMKNLVCGKNVLIDMSIHSAYVKAIRSAQHYIYIENQYFIGSSYNWSQYKDVGADNLIPMEIALKIADKIRANQRFAVYIIIPMWPEGNPTGAATQRILFWQNKTMQMMYETIYKALVEVGLEDSFSPQDYLNFFCLGNRETPCADDAPTNENPVAANSPQALCQKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPQHTWARKQSNPRGQIYGYRMSLWAEHLGMVEDCFTQPESIECVRRVRSMGEANWRQFAADEVTEMRAHLLKYPVEVDRKGKVKSLPGCQNFPDVGGSIVGSFLAIQENLTI >itb04g01660.t1 pep chromosome:ASM357664v1:4:946415:952984:1 gene:itb04g01660 transcript:itb04g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYNSQSPYPYGNAYGYPQVPPSSNQPYPPPPSSGAYPPYPYPHPHPHPQYPQYPHPFTTSHSGHLSYAPPDPSTGGYQPGPVDYGYPPPPPHPHPGLVPYPYHGYMPPPPPASSAQPIIQHQGSFDYGSSQHYQQPVPPQPLESHPSRVNIYPMLDHQASTLSSSSNVANYDHGIDNAPTCPPAYPPVEDLLAHMQLSENHPPVPAPGSVPDSPPMYHSRPGSMTPNYNAQGTIYGYPNSSFSSWDACSSQAESTPHHHLSYSSSFMETGPDQSLQLVPVSPSKGSLRVLLLHGNLDIWVYEAKNLPNMDMFHKTIGDVFKLSGNGNNKTEGHLSNKITSDPYVSISVAGATIGRTYVMSNSENPVWHQYFYVPVAHSAAEVHFVVKDSDVVGSQLIGTVAIPVEHIYGGRKVEGSFPILTSNGKPCKAGAFLSLSIQYTPMEQLSIYHCGVGAGPEYNGVPGTYFPLRKGGNVTLYQDAHVPDGFLPNLPLDHGMQYVHGKCWHDIFSAIRSARRLIYITGWSVWHNVRLIRDDSSVEGYTLGELLKSKSQEGVRVLLLVWDDPTSRNILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRVAGKRHSWLKQREVGVIYTHHQKTVIVDAEAGNNRRKIIAFVGGLDLCDGRYDTPEHPIFRTLQTLHSDDYHNPTFAGSVAGCAREPWHDLHCKIDGPAAYDVLTNFEERWLKAAKPHGIKKLKMSFDDALLRIARMPEILGISDIPYVSGDDPEGWHVQIFRSIDSNSVKGFPKDPKEATMKNLVCGKNVLIDMSIHSAYVKAIRSAQHYIYIENQYFIGSSYNWSQYKDVGADNLIPMEIALKIADKIRANQRFAVYIIIPMWPEGNPTGAATQRILFWQNKTMQMMYETIYKALVEVGLEDSFSPQDYLNFFCLGNRETPCADDAPTNENPVAANSPQALCQKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPQHTWARKQSNPRGQIYGYRMSLWAEHLGMVEDCFTQPESIECVRRVRSMGEANWRQFAADEVTEMRAHLLKYPVEVDRKGKVKSLPGCQNFPDVGGSIVGSFLAIQENLTI >itb07g24340.t1 pep chromosome:ASM357664v1:7:28592197:28596439:-1 gene:itb07g24340 transcript:itb07g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETNSLQDLHNGGGGGGGVNGGHQQQQHMSISNFDLPPTHDDFLEQILSAASPWPDLSKSQPPWEAQHHSLPMPPLSNLNAAADDQAYHFDDQSSALLASKLQQYHINGSDGGAAAAAAKALMLQQQLLLSRALTGTGLRSPTGASAGNGLLGVPLSLTGGDQNEANDNKFEALFNGFTGSLGQTSSQTHHFHHPQGGEMQAQSFGAPSAPPPMNQGLASTGSASTGGGAAPAQPKQQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGGDCIQGNGGRSSNGAPAQAASSSNNDSMTMTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLATAISTSTTRNTIANTLLRGGATNAADGGGGPSSPSMSVLTVQSTATMGNATPDPSVKDATSVSKP >itb07g00980.t1 pep chromosome:ASM357664v1:7:615366:619252:-1 gene:itb07g00980 transcript:itb07g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSARPLVGFGLLVLCFMAAMAEDEYLKYKDPKQPLGARIKDLMKRMSLEEKIGQMTQIERKVASADVMKQYFIGSVLSGGGSVPAPKASVQDWVNMVNEIQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGVTRDPELVKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIIPGLQGDLPPKSRSVPFVAGKTKVAACAKHFVGDGGTVKGVDESNTVINIKDLFSIHMPAYYDSIKKGVATVMVSYSSVNGEKMHANGNLVTGFLKNKLKFRGFVISDWQGIDRITNPPHANYTYSVYAGILAGIDMIMVPENYAEYLSTLTELVKKNVIPMSRINDAVKRILRVKFAMGLFENPLADLSLANQLGSQEHRELAREAVRKSLVLLKNGKNGHYPLLPLPKKAPKILVAGTHADNLGFQCGGWTIEWQGVPGNDLTAGTTILTAVKNTVDPSTQVVFQENPDAGFVKSGGFSYAIVVVGEVAYAEMFGDSANLTIAGTGGAAINTVCGAVKCVVVVVSGRPVVIEPYVAKIDALVAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDRHYDPLFAFGFGLTTKPKVN >itb07g18720.t4 pep chromosome:ASM357664v1:7:23175563:23182111:-1 gene:itb07g18720 transcript:itb07g18720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSRLCLWLLSFAVFIQFALGGQEGFLSLSCGGSISYVDSLNISWIPDGAYVSSGNTTTVDFVRDGSSSSSTIPVRFFPNSEARKCYRLPVANNVSSLVLVRAGFVYKNYDGLNTPPAFSVSLGRAITTSVNLADADPWIEEFLWQVDKDILPVCFHSFPHSGFPVISSLELRPLPQGAYAAGLGDSPNKLLRKTYRINCGYNAALRYPVDEFDRIWDADEDFSPFHVSSGVDVQSNFNNMSVVIERPPPAVLETARVLARWRDMTYTFPLDHHLGLQGDYYVVLYFAGILPVPPTFDVLINGDVFQSNYTVSRWEVASLAFTLRGTKSLNVTLKTISYYPLLNALEVYEILDIPWETSSTTVSALEVIQQSTGLDLGWEDDPCSPITWDHLECEGNLVTSLELSDIDLRSIGPTFSDLLDLKSLDLHNTSLTGEIQNLGGLQYLKKLNLSFNQLTAFGSELEDLVNLQVLDLQNNSLQGIVPDNLGELKDLHLLNLENNKLQGPLPRSLNRESLKIRASGNLCLSFSTTFCNDFSINPSIETPQVTVLAPKKHKAGKNKLPLIIGAVGGSVLSLSLVLLAVFLYLRHKERARDPAYASRGGGIDMKNWSSGAKVFSYKEIKTATNNFKEVIGRGSFGSVYLGKLPDGKQVAVKVRFDKTQLGADSFINEVCLLSQIRHQNLVSLEGFCQESKQQILVYEYLPGGSLADNLYGANSKKLTLSWVRRLKIAIDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDMNAKVSDFGLCKQITQADATHVTTVVKGTAGYLDPEYYSTRQLTEKSDVYSFGVVLLELICGREPLDHSGTPDSFNLVLWDQALTIMAKAIGSSEGPTLFPYKSPVSFTICTLGGTG >itb07g18720.t3 pep chromosome:ASM357664v1:7:23175562:23182284:-1 gene:itb07g18720 transcript:itb07g18720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSRLCLWLLSFAVFIQFALGGQEGFLSLSCGGSISYVDSLNISWIPDGAYVSSGNTTTVDFVRDGSSSSSTIPVRFFPNSEARKCYRLPVANNVSSLVLVRAGFVYKNYDGLNTPPAFSVSLGRAITTSVNLADADPWIEEFLWQVDKDILPVCFHSFPHSGFPVISSLELRPLPQGAYAAGLGDSPNKLLRKTYRINCGYNAALRYPVDEFDRIWDADEDFSPFHVSSGVDVQSNFNNMSVVIERPPPAVLETARVLARWRDMTYTFPLDHHLGLQGDYYVVLYFAGILPVPPTFDVLINGDVFQSNYTVSRWEVASLAFTLRGTKSLNVTLKTISYYPLLNALEVYEILDIPWETSSTTVSALEVIQQSTGLDLGWEDDPCSPITWDHLECEGNLVTSLELSDIDLRSIGPTFSDLLDLKSLDLHNTSLTGEIQNLGGLQYLKKLNLSFNQLTAFGSELEDLVNLQVLDLQNNSLQGIVPDNLGELKDLHLLNLENNKLQGPLPRSLNRESLKIRASGNLCLSFSTTFCNDFSINPSIETPQVTVLAPKKHKAGKNKLPLIIGAVGGSVLSLSLVLLAVFLYLRHKERARDPAYASRGGGIDMKNWSSGAKVFSYKEIKTATNNFKEVIGRGSFGSVYLGKLPDGKQVAVKVRFDKTQLGADSFINEVCLLSQIRHQNLVSLEGFCQESKQQILVYEYLPGGSLADNLYGANSKKLTLSWVRRLKIAIDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDMNAKVSDFGLCKQITQADATHVTTVVKGTAGYLDPEYYSTRQLTEKSDVYSFGVVLLELICGREPLDHSGTPDSFNLVLWVHA >itb07g18720.t2 pep chromosome:ASM357664v1:7:23175562:23182284:-1 gene:itb07g18720 transcript:itb07g18720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSRLCLWLLSFAVFIQFALGGQEGFLSLSCGGSISYVDSLNISWIPDGAYVSSGNTTTVDFVRDGSSSSSTIPVRFFPNSEARKCYRLPVANNVSSLVLVRAGFVYKNYDGLNTPPAFSVSLGRAITTSVNLADADPWIEEFLWQVDKDILPVCFHSFPHSGFPVISSLELRPLPQGAYAAGLGDSPNKLLRKTYRINCGYNAALRYPVDEFDRIWDADEDFSPFHVSSGVDVQSNFNNMSVVIERPPPAVLETARVLARWRDMTYTFPLDHHLGLQGDYYVVLYFAGILPVPPTFDVLINGDVFQSNYTVSRWEVASLAFTLRGTKSLNVTLKTISYYPLLNALEVYEILDIPWETSSTTVSALEVIQQSTGLDLGWEDDPCSPITWDHLECEGNLVTSLELSDIDLRSIGPTFSDLLDLKSLDLHNTSLTGEIQNLGGLQYLKKLNLSFNQLTAFGSELEDLVNLQVLDLQNNSLQGIVPDNLGELKDLHLLNLENNKLQGPLPRSLNRESLKIRASGNLCLSFSTTFCNDFSINPSIETPQVTVLAPKKHKAGKNKLPLIIGAVGGSVLSLSLVLLAVFLYLRHKERARDPAYASRGGGIDMKNWSSGAKVFSYKEIKTATNNFKEVIGRGSFGSVYLGKLPDGKQVAVKVRFDKTQLGADSFINEVCLLSQIRHQNLVSLEGFCQESKQQILVYEYLPGGSLADNLYGANSKKLTLSWVRRLKIAIDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDMNAKVSDFGLCKQITQADATHVTTVVKGTAGYLDPEYYSTRQLTEKSDVYSFGVVLLELICGREPLDHSGTPDSFNLVLWAKPYLQAGAFEIVDESIKGSYDEESMRRAALIASTSVERDALRRPNIAQVLAELKEAYSIQLAYLASAGLAN >itb07g18720.t1 pep chromosome:ASM357664v1:7:23175562:23182284:-1 gene:itb07g18720 transcript:itb07g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSRLCLWLLSFAVFIQFALGGQEGFLSLSCGGSISYVDSLNISWIPDGAYVSSGNTTTVDFVRDGSSSSSTIPVRFFPNSEARKCYRLPVANNVSSLVLVRAGFVYKNYDGLNTPPAFSVSLGRAITTSVNLADADPWIEEFLWQVDKDILPVCFHSFPHSGFPVISSLELRPLPQGAYAAGLGDSPNKLLRKTYRINCGYNAALRYPVDEFDRIWDADEDFSPFHVSSGVDVQSNFNNMSVVIERPPPAVLETARVLARWRDMTYTFPLDHHLGLQGDYYVVLYFAGILPVPPTFDVLINGDVFQSNYTVSRWEVASLAFTLRGTKSLNVTLKTISYYPLLNALEVYEILDIPWETSSTTVSALEVIQQSTGLDLGWEDDPCSPITWDHLECEGNLVTSLELSDIDLRSIGPTFSDLLDLKSLDLHNTSLTGEIQNLGGLQYLKKLNLSFNQLTAFGSELEDLVNLQVLDLQNNSLQGIVPDNLGELKDLHLLNLENNKLQGPLPRSLNRESLKIRASGNLCLSFSTTFCNDFSINPSIETPQVTVLAPKKHKAGKNKLPLIIGAVGGSVLSLSLVLLAVFLYLRHKERARDPAYASRGGGIDMKNWSSGAKVFSYKEIKTATNNFKEVIGRGSFGSVYLGKLPDGKQVAVKVRFDKTQLGADSFINEVCLLSQIRHQNLVSLEGFCQESKQQILVYEYLPGGSLADNLYGANSKKLTLSWVRRLKIAIDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDMNAKVSDFGLCKQITQADATHVTTVVKGTAGYLDPEYYSTRQLTEKSDVYSFGVVLLELICGREPLDHSGTPDSFNLVLWVHA >itb07g18720.t6 pep chromosome:ASM357664v1:7:23176797:23182111:-1 gene:itb07g18720 transcript:itb07g18720.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSRLCLWLLSFAVFIQFALGGQEGFLSLSCGGSISYVDSLNISWIPDGAYVSSGNTTTVDFVRDGSSSSSTIPVRFFPNSEARKCYRLPVANNVSSLVLVRAGFVYKNYDGLNTPPAFSVSLGRAITTSVNLADADPWIEEFLWQVDKDILPVCFHSFPHSGFPVISSLELRPLPQGAYAAGLGDSPNKLLRKTYRINCGYNAALRYPVDEFDRIWDADEDFSPFHVSSGVDVQSNFNNMSVVIERPPPAVLETARVLARWRDMTYTFPLDHHLGLQGDYYVVLYFAGILPVPPTFDVLINGDVFQSNYTVSRWEVASLAFTLRGTKSLNVTLKTISYYPLLNALEVYEILDIPWETSSTTVSALEVIQQSTGLDLGWEDDPCSPITWDHLECEGNLVTSLELSDIDLRSIGPTFSDLLDLKSLDLHNTSLTGEIQNLGGLQYLKKLNLSFNQLTAFGSELEDLVNLQVLDLQNNSLQGIVPDNLGELKDLHLLNLENNKLQGPLPRSLNRESLKIRASGNLCLSFSTTFCNDFSINPSIETPQVTVLAPKKHKAGKNKLPLIIGAVGGSVLSLSLVLLAVFLYLRHKERARDPAYASRGGGIDMKNWSSGAKVFSYKEIKTATNNFKEVIGRGSFGSVYLGKLPDGKQVAVKVRFDKTQLGADSFINEVCLLSQIRHQNLVSLEGFCQESKQQILVYEYLPGGSLADNLYGANSKKLTLSWVRRLKIAIDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDMNAKVSDFGLCKQITQADATHVTTVVKGTAGYLDPE >itb07g18720.t5 pep chromosome:ASM357664v1:7:23175563:23182111:-1 gene:itb07g18720 transcript:itb07g18720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSRLCLWLLSFAVFIQFALGGQEGFLSLSCGGSISYVDSLNISWIPDGAYVSSGNTTTVDFVRDGSSSSSTIPVRFFPNSEARKCYRLPVANNVSSLVLVRAGFVYKNYDGLNTPPAFSVSLGRAITTSVNLADADPWIEEFLWQVDKDILPVCFHSFPHSGFPVISSLELRPLPQGAYAAGLGDSPNKLLRKTYRINCGYNAALRYPVDEFDRIWDADEDFSPFHVSSGVDVQSNFNNMSVVIERPPPAVLETARVLARWRDMTYTFPLDHHLGLQGDYYVVLYFAGILPVPPTFDVLINGDVFQSNYTVSRWEVASLAFTLRGTKSLNVTLKTISYYPLLNALEVYEILDIPWETSSTTVSALEVIQQSTGLDLGWEDDPCSPITWDHLECEGNLVTSLELSDIDLRSIGPTFSDLLDLKSLDLHNTSLTGEIQNLGGLQYLKKLNLSFNQLTAFGSELEDLVNLQVLDLQNNSLQGIVPDNLGELKDLHLLNLENNKLQGPLPRSLNRESLKIRASGNLCLSFSTTFCNDFSINPSIETPQVTVLAPKKHKAGKNKLPLIIGAVGGSVLSLSLVLLAVFLYLRHKERARDPAYASRGGGIDMKNWSSGAKVFSYKEIKTATNNFKEVIGRGSFGSVYLGKLPDGKQVAVKVRFDKTQLGADSFINEVCLLSQIRHQNLVSLEGFCQESKQQILVYEYLPGGSLADNLYGANSKKLTLSWVRRLKIAIDAAKGLDYLHNGSEPRIIHRDVKCSNILLDMDMNAKVSDFGLCKQITQADATHVTTVVKGTAGYLDPEYYSTRQLTEKSDVYSFGVVLLELICGREPLDHSGTPDSFNLVLWDQALTIMAKAIGSSEGPTLFPYKSPVSFTICTLGGTG >itb09g28310.t1 pep chromosome:ASM357664v1:9:28934894:28940086:-1 gene:itb09g28310 transcript:itb09g28310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKELYPSENDLPYEEELLRNPFGLKLWWRYLVARADAPFKKRAIIYERALKALPGSYKLWHAYLRERLELVRNLPVTNSQYQTLNNTFERALVTMHKMPRIWIMYLQTLTEQKLLTRTRRTFDRALCALPVTQHDRIWAPYLYFVSQRGVPIETSLRVYRRYLKYDPSHIEDFIEFLVNSELWQEAAERLAGVLNDDQFYSIKGKTKHRLWLELCDLLTQHATEISGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRKLVEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMLALKMEEMSESDVEDEEGNGEKGVAEEDEEDDRLNVAKLEKKLKNFWLNDDKDVDLRLARLEYLMDRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTRQILTFTEAVRTIDPMKAVGKPHTLWVAFAKLYEKHKDVANARVIFDKAVQVNYKTVDHLAAVWCEWAEMELRHKNFKHALELMRRATAEPSVEVKRRVAADGNEPVQMRLHKSLRLWTFYVDLEESLGTLESTKAVYERILDLKIATPQIIINYAMLLEDHKFFEDAFKVYERGVKIFKYPHVKDIWTTYLSKFVKRYGKSKLERARELFEHAVEVAPADAVKPLFLQYAKLEEDYGLAKRAMRVYDLATKAVPANEKLSMYEIYIARAAEIFGVPKTREIYEQAINSGLPDKDVKTMCLKYAELEKSLGEIDRARVLYKYASNYADPRSDPGFWDKWNEFEVQHGNEDTFREMLRVKRSVSASYSQTHNILPELLMQKDQMQTLEEAKDVLKQAGVDDDQMAAIERQLEPNVDETATRDKSRVVGFVSAGVVESGAQKTTANNEDIELPEESDSEGEEDDDGKVEIAQKDVPDAVFGGLVRKREESEKDDGGDGSDSHLGALERIKRRRQAAS >itb00g01120.t1 pep chromosome:ASM357664v1:16:7141755:7142144:1 gene:itb00g01120 transcript:itb00g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKQKTVKRLLAHSSIGHVGYIRTGFSCGTMIRQAGANKAVRPYRIRNAKASPSKGDRGKKELCY >itb12g11310.t1 pep chromosome:ASM357664v1:12:9501315:9505750:1 gene:itb12g11310 transcript:itb12g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVLSWIPLISLLQIILGIDITVISGQCPNDQRSQLLQLRNSLKIKSGDPTKKLANWNQSTDCCHWHGVKCDKLSRVIGLSLENETIVSIDNSSSLFSLQYIEELNLGFNFFNSSPIPVQMYNLTNLRCLNLSEAGFGGQIPNGISRLTRLVTLDLSTRFVVGRPLKLENPNLKLLFDNAIELREVYLDGVNISSQGSEWCEALSSSLPNLRVLSLRNCHVSGPIHPSLLKLRSLSAIYLDKNDLSSAVPDFLANFTNLTTLSLSECKLQGEFPKANLQLKTLHHLDLSNNQDLSGGFPELQQNGSLETVSLSFTNFSGLLPDSIGHLRNLSRIELSKCKFTGSIPTSMANLTSLAYVDFSVNNFTGPFPSFQKSKKLVYIDLSHNALTGPLSFSHFKGLSELKYVHLGSNLLSGQIPQYMFSLPSLQSLYLNENLFEGQVNLFANAFASQLDTLDLSSNRLNGSIPKSFFKLPKLSVLSLSSNFFSGKMQFNVIEKLPDLRVLDLSYNNLTVDTTNSNETIFPSPLRVLNLASCKVQKFPDLRNQSKMVRLDLSDNQIKGRIPNWIWQVGNGGLTLLNLSYNLLENLEEPYRINTSLTVIDLHSNRLQGNVPIPPALSIYVDYSDNNFSSVIPHEIGNSLAVAVFISLSNNTLIGKIPNSFCNSSWLKVLDLSQNLLTGKIPSCLMNSSSIAVLNLRRNNLDGTIPDEFPDSSALKTLDLSRNILAGRMPGSLVKCKSLEVLNVGNNKIADKFPCFLRSSSTLGVLVLHSNQFFGELHCLTVNLSWPNLQIIDISSNNFTGKLDSGYFLNWTGMKANEDSLPNHIGFASQFNDFHYQDTVTVTLKGLEVELVKILRIFTSIDFSSNKFDGDIPDSVGALNSLRLLNLSHNALRGKIPEEFGNLTQLESLDLSANQLSGEIPVQLTKLTYLEVLNLSVNEFSGKIPTGNQFQTFSEDSFSDNPGLCGFPLNITCTPSPTPVHTPHNSVSTVNSDTDWLFTFIGLGFGLGMAVGIAPLWFSKQWRTWCDMQLRKLIKQIFPTYGFTYIRYNGARVVAEETIQEFTEDSDEIEDEEEDTEFHGRYCIFCSKLDIQRNNAIHDPKCTHHYCLPIAFSPTTPSSSSLSVTNSHDS >itb09g04460.t1 pep chromosome:ASM357664v1:9:2498086:2501949:1 gene:itb09g04460 transcript:itb09g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGPSSVRNVMYNGKNSLLPPKSPFPSISPSYIEYVPTITQKGVSKPRELNSHHMRTSSESFLIEEQPSWLDDLLNEPDTPVRRGAHRRSSSDSFAYLDAANVSNMDYIVQDDSKFRNVMPNPWGTQEFDLHRDARHAAFYADSIALSKHRNRAASPNKIAHPRGIPSPRENVVVQHLGTPCPPQEADRAPSPTIEKQDQVESGPLDSKSSAEKKDSPHAKNSSSETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILNMENKALKQRLESLAQEQLIKYFEQEVLERERGRLRALYQQQQNQQPPPSGHRRTTSRDLDQQFANLSLKNKEAGSDREPVSGQLQI >itb01g32240.t1 pep chromosome:ASM357664v1:1:35774243:35776191:-1 gene:itb01g32240 transcript:itb01g32240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G24440 protein (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G24440) UniProtKB/TrEMBL;Acc:Q53YU1] MATFELYRRSTIGMCLTETLDEMVSNGILSPEIAIQVLVQFDKSMTEALEAQVKNKVSIKGHLHTYRFCDNVWTFILQDALFKSEECQENVSRVKIVACDSKLLTQ >itb04g07670.t1 pep chromosome:ASM357664v1:4:5255713:5258826:1 gene:itb04g07670 transcript:itb04g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGLERPTRSTADTGGKHRISAELKRLEQEARLLEEEMEQLDKMEKASAACKEMLHTVGTKPDPLLPQTNGPINPSWDLWFEGPQDESSCGCWIL >itb15g09360.t1 pep chromosome:ASM357664v1:15:6626393:6631844:1 gene:itb15g09360 transcript:itb15g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTLKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDARGDGTTSTVLFIGELMKQSERYIDEGMHPHVLNDGFEIAKRATLQFLERFKTPVVMGDEPDKEILRMVARTTLRTKLYEALADQLTDIVTNAVLCIRKPEESIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSSAEQREAMVAAERRSVDERVQKIIDLKRKVCSGNDSNFVVINQKGIDPPSLDLLAREGIIALRRAKRRNMQRLVLACGGESIDSVDYLTPDCLGWAGLVYEHVLGEEKYTFIENVKNPNSCTILIKGPNDHTIAQIKDAVRDGLRALKNTIEDEAVVLGAGAFEVAARQYLINEVKKTVQGRAQLGVEAFANALLVLPKTLAENSGLDTQDVIIALTGEHDKGNVVGLSLHTGEPIDPQMEGIFDNYSVKHQIINSGPAIACQLLLVDEAIRAGRNMRKPT >itb05g02730.t1 pep chromosome:ASM357664v1:5:2204768:2207807:-1 gene:itb05g02730 transcript:itb05g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVNLEGKVYSCKHCGTHLAVSEDILSKAFQCRHGKAYLFNKVVNVTSGKNEDRMMTTGLHTVADIFCVRCGSIVGWKYETAYEKDQKYKEGRSVLERFKISGPEGNNYWINHEARVAGSDADDV >itb14g09190.t1 pep chromosome:ASM357664v1:14:9477590:9478131:-1 gene:itb14g09190 transcript:itb14g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYCGTSDLQLERVNVYYNEASCGRFVPRAVLLDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGSGTGSGFRYSG >itb05g20550.t1 pep chromosome:ASM357664v1:5:26590311:26592652:-1 gene:itb05g20550 transcript:itb05g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVEAFWEILERPTIDVEMMMFLGPVWVAFFLGIIIGWTWKPKWASLGNCKFDFSAPSSPMAFVPSPPKALDSTKGFVSSNAQTPSFSPALYASWDEDHIGCDTSSQSQDERANINVITDEDLEHFCHLVERRDGGPCWKHMMDRSIPGLSYQAWQRDPKTGPPQYCSRTVYEDATPELLRDFFWDDEFRLKWDDMMVHASILDECPATGAMVLHWVRKFPFFCSDREYIIGRRMWESERSYYCITKGVQCSSVPRRDRPRRVDLYYSSWFIQAVESSRGNGELTACQVILFHHEDMGIPWELIKFGARQGMWGAVKKIECGLRAYQQARASGEPISHCASMAQTITKINPLHLNSLEQGDDEHSSSSNTEFLDSPPEKPSPVNIPKILLVSGAVAVACTLDGGLLLLPKALLFDIARKLANLGRRNR >itb02g08860.t2 pep chromosome:ASM357664v1:2:5603929:5606595:-1 gene:itb02g08860 transcript:itb02g08860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFSIAILCSALFLAYLAWKTLSWLWFEPKKLEKRLRQEGFNGNSYRPPFGDYKETLKLIEEANSKPISFTNEIFPRVLPSLHKTIKNYGKNAFIWKGPEPEVFIMDPELIREIFSKHTVFQKTPSNPLTKLLAYGVVSFEKDKWAKHRRLLNPAFHAEKLKNMVPAFYLSCSEMLSKWEKLVGAEGSELDVWPYLRTLTADGISRAAFGSNYDEGKKIFELQEEQGVLTIQVVRSLNIPGLSFLPTKRNRRMKHIFNEVRSLVLGMIDKRMRAIEAGGSRNDDLLGIMLESNLTEIQQHRSKSYGMSIDEIVEECKLFYLAGLETTSTLLLWTMILLGKHLDWQERARQEVLQVIGTTEEPDSDKLNQLKIVSILSHLIF >itb02g08860.t1 pep chromosome:ASM357664v1:2:5603929:5606595:-1 gene:itb02g08860 transcript:itb02g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFSIAILCSALFLAYLAWKTLSWLWFEPKKLEKRLRQEGFNGNSYRPPFGDYKETLKLIEEANSKPISFTNEIFPRVLPSLHKTIKNYGKNAFIWKGPEPEVFIMDPELIREIFSKHTVFQKTPSNPLTKLLAYGVVSFEKDKWAKHRRLLNPAFHAEKLKNMVPAFYLSCSEMLSKWEKLVGAEGSELDVWPYLRTLTADGISRAAFGSNYDEGKKIFELQEEQGVLTIQVVRSLNIPGLSFLPTKRNRRMKHIFNEVRSLVLGMIDKRMRAIEAGGSRNDDLLGIMLESNLTEIQQHRSKSYGMSIDEIVEECKLFYLAGLETTSTLLLWTMILLGKHLDWQERARQEVLQVIGTTEEPDSDKLNQLKIVTMILNEVLRLYPPGVLFTRAIEQDAELGNITLPAGIHIHIPVIVLHHDEEMWGEDAKEFKPERFSEGISKATKGKLCFFPFGWGPRICIGLNFAMLEAKMTLAMILRGYAFELSPSYAHAPQAGMALIPQYGAQLILRKLENN >itb05g20810.t1 pep chromosome:ASM357664v1:5:26801298:26804881:1 gene:itb05g20810 transcript:itb05g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSYPQGELGRFEQIISQFLLKSLHIVLDSRIPSMRPYGRSGELKKSDRWFNLVLGDRPAALDNLSFWHRNLMEPMIIDIMLVQDKPNSSSEPYLSSTLGGTLSETVIERWVVQYEHVRALAPQIGDSSYKKSYKKVILLLRSLYSMMRLLPAYKAFQKLSSSQSCDFDINYKVSSFSAPFSRVEEQLMKEYSFVPVEAQQGRFSISLTYRDNLSDFNLETSSSFPPQIITDYASTDPIRSFPSTTSDKDVHATVFPLRRMHSSPSTPLQHPHSWTSGLHKGPSVSPNQPYVGSPLSYRSPYELSSSPTDVYGQRISNYRLPTHHRATSSDDYQLSPPFSPSPSPSPPTYLSGGNPMKSRWRSETAPVSIPHPMIGRSSRYLSPNLSDPNRHSLPPPSPRSTKHDSSSHESPSGVRSLRKSGESSFGNTIMSQKVSRDTKDDSGRFSGLLSSSGSPRVGFSRSSSRLSFQDDLDDSDFSCPFIVDDVDTSDSQFSSQNPNVKKDSESSSSQAFLGSRKSQEAAVGALVQMLKNAPPLRQDSSCHSVKTELAGGIGAVSEFSGSRKTLDALEELKAYKELKELILSKSATRTSSVSKS >itb05g20810.t2 pep chromosome:ASM357664v1:5:26801318:26804881:1 gene:itb05g20810 transcript:itb05g20810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSYPQGELGRFEQIISQFLLKSLHIVLDSRIPSMRPYGRSGELKKSDRWFNLVLGDRPAALDNLSFWHRNLMEPMIIDIMLVQDKPNSSSEPYLSSTLGGTLSETVIERWVVQYEHVRALAPQIGDSSYKKSYKKVILLLRSLYSMMRLLPAYKAFQKLSSSQSCDFDINYKVSSFSAPFSRVEEQLMKEYSFVPVEAQQGRFSISLTYRDNLSDFNLETSSSFPPQIITDYASTDPIRSFPSTTSDKDVHATVFPLRRMHSSPSTPLQHPHSWTSGLHKGPSVSPNQPYVGSPLSYRSPYELSSSPTDVYGQRISNYRLPTHHRATSSDDYQLSPPFSPSPSPSPPTYLSGGNPMKSRWRSETAPVSIPHPMIGRSSRYLSPNLSDPNRHSLPPPSPRSTKHDSSSHESPSGVRSLRKSGESSFGNTIMSQKVSRDTKDDSGRFSGLLSSSGSPRVGFSRSSSRLSFQDDLDDSDFSCPFIVDDVDTSDSQFSSQNPNVKKDSESSSSQAFLGSRKSQEAAVGALVQMLKNAPPLRQDSSCHSVKTELAGGIGAVSEFSGSRKTLDALEELKAYKELKELILSKSATRTSSVSKS >itb15g00340.t1 pep chromosome:ASM357664v1:15:206572:207063:-1 gene:itb15g00340 transcript:itb15g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METMPKPHYHPAASYSYPPPPPQPPAAAALHYPPHGYCQPPPYPPPHEAFHQQPYQYPPPPQVAYHHHQPQPAMVPQYPPPPYAVHQPPLLQPGTGMVLVYPYPSPPHAFYPPPLAAPHTIPPHHASTIAKIVSNIVGIATAIGLGAASEVLADEVEHYLHTK >itb02g04970.t1 pep chromosome:ASM357664v1:2:2969937:2973172:-1 gene:itb02g04970 transcript:itb02g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIGATAAAAATTSASAAATRNVLNSSKCLPLFRHHYSQSHPKLKLKLKLRFPSSKDLHSNWNHSVVAKAQLNEVSVDGSSNAAPDTPPESEVSSASNDVKLSSETSPAVLASEESISEFLSQVSTLVKLVDSRDIAELKLKQLGCELIIRKKEALLQPPSAAPPPHTLPVQSHYMPAIQPSALPSSVPVPPPVPGPAQAPLPQKTKPSESSLPPLKCPMAGTFYRSPGPSEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKPVSVDTPLFVIKP >itb02g04970.t2 pep chromosome:ASM357664v1:2:2970206:2973086:-1 gene:itb02g04970 transcript:itb02g04970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIGATAAAAATTSASAAATRNVLNSSKCLPLFRHHYSQSHPKLKLKLKLRFPSSKDLHSNWNHSVVAKAQLNEVSVDGSSNAAPDTPPESEVSSASNDVKLSSETSPAVLASEESISEFLSQVSTLVKLVDSRDIAELKLKQLGCELIIRKKEALLQPPSAAPPPHTLPVQSHYMPAIQPSALPSSVPVPPPVPGPAQAPLPQKTKPSESSLPPLKCPMAGTFYRSPGPSEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEILAEDGKPVSVDTPLFVIKP >itb08g16720.t1 pep chromosome:ASM357664v1:8:18762856:18763527:-1 gene:itb08g16720 transcript:itb08g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPVVIIWELWVEYTSCRYGGGKASVERIIFKITRDMVDCIRRRWPGWDGFPPNWKFIMKKAENFRCGRLTVRKSWTRPPRGWVKVNCAICREGKSCCFFGRNSRGSFCFAGVFVADGAEDIPILEMMQECWAWCRRKKIGHVVLESDEPLILDVRRPEDFTVTISLCDKKVNCIAGCLADKCRRGSVLFKGVGGLPKEFFQLLRLEGIPHFCFLPGKDAVW >itb01g35270.t1 pep chromosome:ASM357664v1:1:37558634:37560176:1 gene:itb01g35270 transcript:itb01g35270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPNGTLSQSQPSAGSDPDSLQYWCYHCDKRVSIETIADLPDVICHECKNGFVESIAAVAAPHPATEAAPDQIDEANFSNQFFQVLRLIAQAAREDDTPPPPPSEHADPSDDDYLRVEIGGWDNGDEDEDEDEDVEGRNEDEENQDLDDENRNESDEEDDDDEGDENHGEREEEDFRRRQRDVLRLRLRDLAARAASRRNRILDWAEILMGLEDNSIEFRLQVPEGDGYFGNPGDYVDAAGYEALLQNLAESDNGGRRGAPPASKTAIEALPTVVIKTELEELVCAICKDSVITGETAKELPCGHRYHGDCIVPWLGSRNSCPVCRFELATDDPEYEEERKSKPSNFSSSSSTAPASSEGCD >itb03g06170.t1 pep chromosome:ASM357664v1:3:4537775:4539759:-1 gene:itb03g06170 transcript:itb03g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWPFAFVVPVILGVVIYQLDSFDPAPYPVHELTQGRAAVAPKRNGRLLHGSEKIGVGRLSGPEDIAYDPKTGVIYTGCMDGWIKRVTVNESSCADSAVEDWVNTGGRPLGLAHGLHGEVIVADADIGLLNVTSDGKVQLLTDEAEGVKFKLTDAVDVAEDGMLYFTDASWKYRLKDFFWDACEGRPHGRLLSYNPNTKQTKVLLKDLFFANGVAVFPDQSSVIFCETPLRRCKKFYIKGERKGSVEAFIEDLPGSPDNIRYNGEGLFWIGLVTEYTYAWELAQKYPFLRKIMVVMDKYIGRPHLEKNGGVFVVDLEGNPVAHYYDPDLTLVSTGIKIGDHMYCGNVESGFILSLNLNQYSAVASG >itb09g01050.t1 pep chromosome:ASM357664v1:9:656056:661061:1 gene:itb09g01050 transcript:itb09g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQMSAIKAAKVLMVGAGGIGCELLKTLALSGFQNIHIVDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLKFRPGIKIEPYHANVKDPNFGVDFFKEFNVVLNGLDNLDARRHVNRLCLAAGVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQANDLNVRSNDASSSSENVEDVFERREGEDIEQYGKRIYDHVFGYNIEVALSNEETWKNRNKPRPIRIKDVLSSEETEQNGNGDRNSKTSDPSSVSAMTLLGLKNPQELWSLKENTLVFLEALKLFFSERPKDVGNLSFDKDDQLAVEFVTAAANIRASSFGIPLHSLFESKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQNDVKNYRMTYCLEHPSKKMLLMPIEPFEPNKSCYVCSETPLTLEINTHSAKLRDFVDKIVKAKLGMNLPLIMNGAALLYEVGDDLDEKMVKNYAANLDKVLCELRPPVNGGTIITVEDLQQELTCNINIKHREEFDEEKDPDGMVLSGWTPVLASENKNKTTHDNGASSSTASQTAPLDANEDDDLEILPTGGAIPPGKKRKSCDTNPNPERKVEELDDDGDGFVVLDGNSDGSKKQRVQ >itb07g24350.t1 pep chromosome:ASM357664v1:7:28606283:28611085:-1 gene:itb07g24350 transcript:itb07g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKLLCLKFLHCYCTVPAPLWLTAHLLPSIYTETKSQPGIKPHTSHSPFTHTQSFFNHSLISLPTRAFASVAMTQNADFAEIIDGEVFKYYSEGEWRKSVSGKSVAIINPTTRKTQYKVQACTQEEVNKVMENAKAAQKLWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGVLSCITGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADIDLAAANVVKGGFSYSGQRCTAVKVVLVMESIADALVEKVKAKVAKLTVGAPEDDCDITPVVSESSANFIEGLVMDAKEKGATFCQAYKREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPTPSYTMG >itb01g08810.t1 pep chromosome:ASM357664v1:1:7049031:7049770:-1 gene:itb01g08810 transcript:itb01g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVHKVVGVKKVEQDPEAWDHSMPLPGDIIEGIAATAADNSFMQAKGWSELTLVVARIIGKADCVWLKVRRGDISVKMRVFIIPQNRSKLQKRVKIRAAYDENHVAVISELTFEECTELQDVRVTQSSNCNNERTRRLRRSVVVAIGMTRGFDNWQRPQLRPSLWRGGR >itb10g03540.t1 pep chromosome:ASM357664v1:10:3277947:3278600:1 gene:itb10g03540 transcript:itb10g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQVYVVYLGEHSGGKSLKEIEDHHCSFLLSVKGSKEEATASLVHSYKNVINGFSALLTQEEADRISEMEGVISVFHSHPRKVKPQTTRSWDFVNLLEAINGSPSSREELLRKANSGKDVIVGMMDTGVWPESPSYSDQGMEPIPCS >itb13g08080.t2 pep chromosome:ASM357664v1:13:10379601:10383849:-1 gene:itb13g08080 transcript:itb13g08080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLRRAVSSSGLPPKVFGITNRPFGSLNVAGESLDCSTSPSLIHGIHVFHCPDEVGIVAKLSDCIASRGGNILNADVFVPEDKHVFYSRSEFVFNPAKWPRVQMDEDFLKLSKMFNAMTSVVRVPTMDPKYKIAVLASKQDHCLVDLLHGWQDGRLPVQITCVISNHDRSSNTHVIRFLERHGIPYHYLQTSNDNKREGEILELVQDTDFLVLARYMQVLSGDFLSKYRKDIINIHHGLLPSFKGGKPARQVRF >itb13g08080.t1 pep chromosome:ASM357664v1:13:10379601:10383849:-1 gene:itb13g08080 transcript:itb13g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLRRAVSSSGLPPKVFGITNRPFGSLNVAGESLDCSTSPSLIHGIHVFHCPDEVGIVAKLSDCIASRGGNILNADVFVPEDKHVFYSRSEFVFNPAKWPRVQMDEDFLKLSKMFNAMTSVVRVPTMDPKYKIAVLASKQDHCLVDLLHGWQDGRLPVQITCVISNHDRSSNTHVIRFLERHGIPYHYLQTSNDNKREGEILELVQDTDFLVLARYMQVLSGDFLSKYRKDIINIHHGLLPSFKGGKPARQAFDAGVKLIGATTHFVSEELDDGPIIEQMVERVSHRDNLRSFVQRSEELEKRCLAKAIKSYCELRVLPYERNKTVVF >itb13g17270.t1 pep chromosome:ASM357664v1:13:24274861:24275172:-1 gene:itb13g17270 transcript:itb13g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLRMAKKKPVVIFSKSSCCMSYSIKSLFSDLAVYPAVYELDEIPSGREIEQALARMGCNPTVPAVFVGGVLVGGESEVMSRHLQGSLEPMLKKAGAKWV >itb08g09010.t1 pep chromosome:ASM357664v1:8:8244461:8248857:1 gene:itb08g09010 transcript:itb08g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNMGRLVIWVLAIFWISITPISLANKDDGHDLFSFNKDYNCDYKEALSKAILFFEGQRAGKLPPTQRVTWRGDSALADGMPENVSLSGGYYDAGDNVKFVWPMSFSVTLLSWAAVEYQKEICSADQMLHLRRAIRWGTNFIIHAQASPTTLYAQVGDANGDHRCWERPEDMDTPRTLYKISPESPGSEVAAEAAAALAAASIVFKEYDCKYSARLLRRSKLLFQFADKYRGSFQGSCPFYCSYSGYQDELLWAAAWLYKASGEKEYLNYVSSNEGWSHTVSEFSWDNKFAGAQALLANEYFKGNGNLEKFKNDADSFVCALMPGSSSVQVKTTLGGLLYTRDSSNMQYVTSASMILLVYSRFLDAANVGDVNCGSVTFSPSQIKAFAKSQVDYILGNNPMKMSYMVGYGTKYPNQVHHRGASIPSIYTHPSKVGCNDGLNSWFYSGNPNPNTHTGAIVGGPNSGDQFTDSRSDFSHLEPTTYINAAFVGSVAALQAYPSKDNDHLQFIYATM >itb11g02450.t1 pep chromosome:ASM357664v1:11:1227130:1228280:-1 gene:itb11g02450 transcript:itb11g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRENEFHKNPKMKSGSYFNRSMTVYHGRTTSPDAFGFGKKPPVERMGSIKHLNTFESMVSVAGNSFSGKVKKLCSIFDSQKFARGLWQSLSPTSKSSVSEFGDSLLRLPGTEDRVVVYFTSLRGIRRTFEDCYTARMILKGFRVDVDERDVSMDNAYKMELQNVLGQKSVPLPQIFIKGKHIGGAEVIKQLNEVGELPKLLRGLPTRPPGYVCEGCGDVRFVPCTHCDGSRKFFDEDDGQVRRCPVCNENGLIRCDYCCD >itb15g16820.t1 pep chromosome:ASM357664v1:15:16857375:16859464:1 gene:itb15g16820 transcript:itb15g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSHEDGEMPIPINSTYGHGQHMIMHHHEPPNNNHIIIPPSSNGPPIADHPPAAYNNSQSQLVITTSKKMVRYRECLKNHAASMGGNATDGCGEFMPSGEEGSLEALTCSACNCHRNFHRKEVEGEPLPPLSLPCDCYHHNSIKRKVFVGHHKALPGPEPPLGGGGGYPRAAAAAVPHQMIMSYNMATLLQPSESDEHEEQRPPHQLCVKKRFRTKFTQEQKDKMLSFAERVGWKIQKQEESVVQQFCQEVGVKRRVLKVWMHNNKHNLAKKTNNPNPNPNPPQIQL >itb09g20490.t1 pep chromosome:ASM357664v1:9:17299209:17300167:-1 gene:itb09g20490 transcript:itb09g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFQILPLNIHKCRCWITSSDEVEYDNAFLAGNALLATGIGSNVDLNDTRSAELLVVCKDGVLTVVIRHMSSDFAKIRRLVRLSKCLQDRMIAKENLIFLSALGRMEASCSEIGLGGTSEALVSVPVRPNKRSSCVSDLSSEECDVNGFDDDGLEFVSSRYDQSGNQQGCKGTGM >itb12g22670.t1 pep chromosome:ASM357664v1:12:24676078:24677684:1 gene:itb12g22670 transcript:itb12g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENVSMGRKDLCSKWLDCWMEEHAWNNYENSDLKDGGADDEKSDKILEIDTWKPRQNPSGSNRTSQNSHGQTDWGWCLVESERLVEQSARQKWGLGLAAEGRLVEDGGSERLSPEGRLR >itb03g09700.t1 pep chromosome:ASM357664v1:3:7512147:7513191:-1 gene:itb03g09700 transcript:itb03g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTALVLKLLGFCAGFAAAAVPETASESSGLLPKDVGPVIIYGAFEEEDEESGKCSRSSSSEDLYEGHVCVICYDKKRSCFFDPCGHCATCYACAKRVVEDARTCPFCRRIIHKVRRLFSP >itb05g27580.t1 pep chromosome:ASM357664v1:5:30886483:30889433:1 gene:itb05g27580 transcript:itb05g27580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGLMAYLRSQSHHIGGLSSASSALVEQEQYLAELLEERSKLKPFMPVLPHCYRLLNQEILRVTTLLGNASVLDQSGLEHANPLASGGIFSKGANADRWASVSPFRSESSGLTEPLSNQNWRSSQSSSSGLIVRRTIRIDIPVDLFPSYNFVGRLLGPRGNSLKRVEASTDCRVLIRGHGSIKDPTKEEMMRGKPGYEHLNEPLHLIVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELALLNGTLREEGSQMSGSVSPFHNNLGMKRAKTQG >itb10g20290.t1 pep chromosome:ASM357664v1:10:25923316:25925972:1 gene:itb10g20290 transcript:itb10g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTADSRSDSGHPRLPPGFRFHPTDEELVVHYLKKKTASLPLPVTIIAEVDLYKFDPWELPSKAKFGEQEWYFFSPRDRKYPNGTRPNRAATSGYWKATGTDKPILTCNGTQKAGVKKALVFYRGKPPKGMKTNWVMHEYRLPDNVVNSMAPSSAHRPLGDDIVNKKSSLRLDDWVLCRIFKKNGSSRPVESGGRGDPTEDATVATSTTSLDGCGGAGDHQNPNSRASRGLSFGEFFEINNGHNLYAGTTMMSGGINGTQQTSWDSPRDQTCMAMGITAAAPPRIDEDHHHETAANNAMMEGSTSFISLLNQLSPNDSASLSNVQTGLGVSIAEGVLHQPYQLSWLKH >itb02g01300.t1 pep chromosome:ASM357664v1:2:713914:715145:-1 gene:itb02g01300 transcript:itb02g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSIYTQIKPQFPEQEHLKCPRCDSMNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKNAKRSSPSSASSSKRSAPESSPTPPQAAAPPIPPPQKTEAYGMGDLPPFNVNASFTSLLTATSGGQFGNFLDGSDLQLGEFPGNPTQNPAPGAGAARMGMGFQNTEGFLGGGQSDGGDSPNCWTGANNDWPDLAMYTPDSNFQ >itb09g07590.t1 pep chromosome:ASM357664v1:9:4471423:4472984:-1 gene:itb09g07590 transcript:itb09g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIKALNLFHRRLYQNKHTMVLLPKESLDLFLVPTGLLVMFAYHLILLYRYLHTPHTTVIGFENNDKRAWVEKVMQAENKNVSTALDVLAYNNTGATFMATVCLTLSSLIGTWMANNSSIFISDLIYGDTRAATMGVKYISLLVCFLVGFSCFVQASRNFIHANYLISMPDSDIPISYVESAVIRGGEFWSLGLRALYFAVTLLFWFFGPIPMFGTSMGMVLLLHYLDRNTTPLHRHRSSDKGKQPLMSVEEAVFGYR >itb15g10300.t2 pep chromosome:ASM357664v1:15:8129143:8134127:1 gene:itb15g10300 transcript:itb15g10300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVAIAATIGNFLQGWDNATIAGAVVYIKKELTLETYMEGLVVAMSLIGATLITTCSGSIADWLGRRPMLILSSMFYFLSGLIMLWAPNVYVLLIARLLDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFTGSGGMFLAYCMIFGMSLTTAPSWRLMLGVLSIPSLAYFALAVFYLPESPRWLVSKGRMLEAKRVLQKLRGREDVSGEMALLVEGLAVGGETSIEEYIIGPADEFPEDQDLAADKDRIKLYGPEEGLSWIAKPVTGQSSIGLVSRQGSMVSQTVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMISTADHTKNEQWDEESLQREGEDYGSDGLDADSDDNLQSPLISRQATTAEKDMVPPASTGSILSMRRHSTLIQGNAGEAVGSMGIGGGWQLAWKWSEKEGGDGKKEGGFRRIYLHQEGGPASQRGSLISLPGGDVPVEGEYIQAAALVSQPALYSKELLDQHPVGPAMVHPSEIASKGPSWTTLLEPGVKRALIVGIGIQILQQFSGINGVMYYTPQILEQAGVSVLLSNLGLGSDSASFLISAFTNLLMLPSIAVAMRFMDVSGRRTLLLSTIPVLIFSLIVLVIGNVINLGTVLHAVLSTICVILYFCSFVMGYGPIPNILCAEIFPTRVRGQCIAICALVFWICDVIVTYSLPVMLSSMGLAGVFGIYAVVCVISWIFVFLRVPETKGMPLEVITEFFAVGAKQAEMAKNE >itb15g10300.t1 pep chromosome:ASM357664v1:15:8128393:8134127:1 gene:itb15g10300 transcript:itb15g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVAIAATIGNFLQGWDNATIAGAVVYIKKELTLETYMEGLVVAMSLIGATLITTCSGSIADWLGRRPMLILSSMFYFLSGLIMLWAPNVYVLLIARLLDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFTGSGGMFLAYCMIFGMSLTTAPSWRLMLGVLSIPSLAYFALAVFYLPESPRWLVSKGRMLEAKRVLQKLRGREDVSGEMALLVEGLAVGGETSIEEYIIGPADEFPEDQDLAADKDRIKLYGPEEGLSWIAKPVTGQSSIGLVSRQGSMVSQTVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMISTADHTKNEQWDEESLQREGEDYGSDGLDADSDDNLQSPLISRQATTAEKDMVPPASTGSILSMRRHSTLIQGNAGEAVGSMGIGGGWQLAWKWSEKEGGDGKKEGGFRRIYLHQEGGPASQRGSLISLPGGDVPVEGEYIQAAALVSQPALYSKELLDQHPVGPAMVHPSEIASKGPSWTTLLEPGVKRALIVGIGIQILQQFSGINGVMYYTPQILEQAGVSVLLSNLGLGSDSASFLISAFTNLLMLPSIAVAMRFMDVSGRRTLLLSTIPVLIFSLIVLVIGNVINLGTVLHAVLSTICVILYFCSFVMGYGPIPNILCAEIFPTRVRGQCIAICALVFWICDVIVTYSLPVMLSSMGLAGVFGIYAVVCVISWIFVFLRVPETKGMPLEVITEFFAVGAKQAEMAKNE >itb06g02920.t1 pep chromosome:ASM357664v1:6:4982572:4982949:1 gene:itb06g02920 transcript:itb06g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGNLKQLMPLLLLCIITFTVLSPWAEAQVSCDDIYKQISPCSGYVKTGGAVPADCCPALKTMVDSLKTKLDRQSACQCIKDGVSKATPEQLKRAQGLPGYCKVPFPFKIGPDVDCSAVPKRT >itb15g12350.t2 pep chromosome:ASM357664v1:15:10268563:10273893:1 gene:itb15g12350 transcript:itb15g12350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITGTASSTGRHCGTASSTGPLRLRRSQLQAHEHWEIKTVVSLLRQRRRSSNSTTAAQRKMVVVPLNSIPLHKNLNKVVQLLCCALSTTFKLLTCGIALKISDMYAQAFTVSMYSPTATKFSPLPHFLAPCTQSKSLLYFSKSKSGYCNLVKRVSESQRSSRNGNGHVVVNVRCEGSPMENDNDDGFYIRRCVELARKAVGCTSPNPMVGCVIVKDGRIVGEGFHPKAGQPHAEVFALRDAGNMAEDATAYVSLEPCNHYGRTPPCTEALIKAKVKKVVVGMVDPNPIVDSKGLDRLREAGIEVTVGVEEELCQKLNEAFVHKMLTGKPFVTLRYTISLDGHLSNQLGEKVMESGGYYSRLLQENDAVIHSSTSVAENPSVLSSKEPGANQPLNILLAVSPNLPNVPPALTADATPKLIIFTEKETAAAAPDSNQRAVETVVLDRMNLTAILDHCKRQGLCSVMLDVRGNSTEFEGILREGFEQSLFQKVVMEVLPVWGGSDVFKNSGLNLKVKNLTTRVSGNSVLLEGYF >itb15g12350.t1 pep chromosome:ASM357664v1:15:10268563:10273893:1 gene:itb15g12350 transcript:itb15g12350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITGTASSTGRHCGTASSTGPLRLRRSQLQAHEHWEIKTVVSLLRQRRRSSNSTTAAQQRKMVVVPLNSIPLHKNLNKVVQLLCCALSTTFKLLTCGIALKISDMYAQAFTVSMYSPTATKFSPLPHFLAPCTQSKSLLYFSKSKSGYCNLVKRVSESQRSSRNGNGHVVVNVRCEGSPMENDNDDGFYIRRCVELARKAVGCTSPNPMVGCVIVKDGRIVGEGFHPKAGQPHAEVFALRDAGNMAEDATAYVSLEPCNHYGRTPPCTEALIKAKVKKVVVGMVDPNPIVDSKGLDRLREAGIEVTVGVEEELCQKLNEAFVHKMLTGKPFVTLRYTISLDGHLSNQLGEKVMESGGYYSRLLQENDAVIHSSTSVAENPSVLSSKEPGANQPLNILLAVSPNLPNVPPALTADATPKLIIFTEKETAAAAPDSNQRAVETVVLDRMNLTAILDHCKRQGLCSVMLDVRGNSTEFEGILREGFEQSLFQKVVMEVLPVWGGSDVFKNSGLNLKVKNLTTRVSGNSVLLEGYF >itb14g06970.t1 pep chromosome:ASM357664v1:14:6301138:6304105:-1 gene:itb14g06970 transcript:itb14g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVLAMLGCLWFGICCNPKEAPLFIDIYDEIGIGGGASGMSGGLLHPYSPKVKLLWKGEECWKESLNLLRIAENAKFSQSSNVEKQDHGQNENNFMVRRRGILRPALSSKNMDTMINNAQDFLASCRIETINRESAHNLVPSLFVPLDVAFHMPEAVNVHSQYYLEALYTACQNAAKDLSTSGVAHKELCLHKKSIDGLLELAGEYDAVIICLGARAAFLPELSGRLPLRTCRGVIARLRIDDHVREDYPDHGPSILADAWLAIQGPRDLHVGATWEWKSRNYSRAVSEGEATKALEELLQKASTVYPGIKKWSVRGLSAGLRAMPPLTPQGSLPLLGCVDELVGCKEAGKLWLFTGLGSRGLLYHGWLGKVIAQAVLSGIEGFIPLELTSWKHNVEL >itb04g02150.t1 pep chromosome:ASM357664v1:4:1307701:1310587:-1 gene:itb04g02150 transcript:itb04g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVHIPIEIIRQILLNLEVKAVIRFQSVCKEWLSTIQNPHFKLSYSGRKRVMVVAHSSSSCLALTSITTTTTPHIETPFRVTDINNLTSFLNTTTRFPPLNSWWSGVWCSCNGLVLFSVGKHILLWNPSTRCCAKVLELPRLNDLLPNVVSGLCYVSSTGDYKAVLLFSLYGTLMVASLKNKEWRQVAFPYRTPPFTEGGLNFRNTLHWRVISTSRRESYFLKCDTIVYFEAESDEFKELPSLGWKRKSNIIIGLGIMDNCLCTARLRRPTYNIEAFFSSFINTPFPLQHHGCWSGVWCSCNGLVLFSVGKHILLWNPSTRRFTKVLELPRLLILYHAHVVSGLCYDPSTGDYKAVVFNLSDTTTVLVASLKNKEWRKVLFPYNAESIRDSGVNFHSTLHWKVGDLQTGLNKIVYFDPESDEFKELPVPGGEIESSVILGLGIIDECLCVARERKELIQVLVMKEYGVEESWVTEFDISATKFKCEGIICDNRNMTLYSSECNAKVLICCTSYFRWLQILVYDLKNNTLKPFFRESGFSGDLVSICSYVQSIISPHDFIWRDNEHQHNPQSRMMMLLERFNI >itb09g08100.t1 pep chromosome:ASM357664v1:9:4870125:4874650:-1 gene:itb09g08100 transcript:itb09g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKMKRKERNQAPHYLHFSEFLRLFSVFLSVSPVLAAGEPNFDYADALSKSLLYFEAQRSGRLPYNQRVTWRHNSGLTDGLEQNVDLVGGYYDAGDHVKFGLPMAFTATMLSWGVIEYGAEIAGAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRAEDMTTSRRAFKIDENNPGSDLAGETAAAMAAASIVFRKTNPHYSHLLLHHAQQLFEFGEKYRGKYDSSVGVAKNYYASLSGYGDELLWAAMWLYRATDNEEYLEYAIKQGHSFGGTTWAITEFSWDVKYAGLQIIASQLLREGRHRNHNHRDVLEQYRSKAEHYVCSCLNKNNAGGNVQRTPAGLLYVRQWNNMQYVSSAAFLLTLYSDLLKSSGEKLTCPVGEVDRDEILGFVRSQVDYILGSNPNNISYLVGFGPNYPKRVHHRGASIVSFRENQGFIGCTQGYDNWYGRNAPNPNVLYGALVGGPGRLDNFEDRRGNYMQTEACTYNTAPLVGVFAKLNFLNNHSLIASI >itb01g32260.t1 pep chromosome:ASM357664v1:1:35780817:35786229:-1 gene:itb01g32260 transcript:itb01g32260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRNFRRIFNGLCTRVRHTEDCRPSGGPGPMPPLGVRLYIQDHHVVMDNGIIQVTLSKPGGIVTGIRYNGIDNVLEIRNNESNRGYWDVVWNAMDGGKAGIFEVIHATNFTVIKDEEEQVELSFSRPWDPSLRGKLVPLNIDKRFVLLRGSSGFYTYAIYEHVGSSEWPAFSLGETRIAFKLRKDKFHYMAVADNRQRFMPLPDDRLPGRCQALAYQEAVLLVNPVNPELRGEVDDKYQYTCENKDNKVHGWISMNPPMGFWQITPSDEFRSGGPVKQNLTSHVGPTTLAVFLGSHYAGEELSPKFEQGEAWKKVFGPIFIYLNSVMNVEDALTLWDDAKRQMLVEVQSWPYNFPASEDFLSADQRGNVIGRLLVSDKYVCDDLMPASGAYVGLAPPGDAGSWQKECKGYQFWTRASEDGCFSINNIRPGDYNLYAWVPGFIGDYRNEAAINIASGCRIDVGEILYKPPRDGPTLWEIGIPDRSAAEFYIPDTNPKYLNKIFVNHPEKFRQYGLWERYSELYPNEDLVYTVGKKKMAHIKEPHGKSSSNLAMSTEVVPTNCAWQLHLQPLPSYRFGLTIPIQIDHCLRADCLVGITP >itb07g06130.t1 pep chromosome:ASM357664v1:7:4288090:4312297:-1 gene:itb07g06130 transcript:itb07g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPVECIYITEDSIKEFKNGNSSFKFPNPVPTLRFLYELCWTMVRGELPFQKCKAALESAEFMDDVCQDEIGSNLADIVTQIAQDLTLPGEYRARLIKLAKWLMESGLVPLRFFQERCEEEFLWEADMIKIKAADLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIPEASSQNISDATVGIIKSLIGHFDLDPNRVFDIVLEFFEFQPDNSVFLDLIPIFPKSHASQILGHKFQYYQRIEVNDPVPSGLYQLTALLVKKDFIDVESIYAHLLPKDEEAFEHYSASSAKRLEEANKIGRINLAATGKDLMDDEKQGDVTVDLYAALDMESDAVTDRSSELQDSQPLGLLMGFLAVDDWYHARMLFDRLSPLNPVEHMEICSGLFRLIERSISPGYDLICKTQLLGLPTGGSTNTTDVTDSSGSRPFIDLPTQLFEMLACVGPYLYRDTSLLQKVCRVLRGYYICALELVNSSGDLNSQLTTGGNQTPRIHLKDARLRIEGALGACLLPSLQLIPANPAVGQEIWELLSLLPYEVRYRLYGEWEKDDEQLPMLFAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIIHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQSGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKRGNGIELVFLQELIQQMANVQYTENMTEEQLDAMAGSDTLRYQATSFGITRNNKALIKSTNRLRDALLPKEEPKLAIPLLLLVAQHRSVVVISADVPYIKMVSEQFDRCHGTLLQYVEFLSSAVTPAAGYALLVPTLDELVRLYHLDPEVAFLIYRPVMRLFRSQNNPDAFWPLDYDEAMSAVTAGKESESMDDCAKMVLDLGSSRKPIFWEDLLDTIKTMLPSKAWNSLSPDLYATFWGLALYDLYVPRNRYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTTELHKHEEHVASVRRRLSREKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVNTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKTAYYWKSDEAIYERECGNMPGFAVYYRYPNSQRVTYSQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALILLTKISNVFPVTRKSGINLEKRVGKIKSDEREDLKVLATGVGAALAARKPSWVSDEEFGMGFLELKPAPGPTQKSSAANQSAIQNGAIIHASQGESAGGRTAAVGRAGDGKSERTESASQKPDLGHSKQNAASSNGPDSSSSRPSAVQSGASRSMENQKHMDDLTNEPLEESNTKTVAKTFSNQEGRAATKRAVPAGSQTKLKQDLAKDDRSGKVVHPSDNRSGGVTSSIPGNGNMGLAKTASSSARSLTHGNETKADPRHTTGKDDVNESSDVHNQSSSRGVHSPHQEFSKSSDRKRASPTEEVDRLNKRRKGEIDPREIDGGEVRERTIDSRAVDKLHPPDYDKTGSEDQNTNRQTEKPIDRSKEKGAERHEREHRERPDKSRGDDVLSEKQRDRSTERHIRERSVEKVLERGADRNFDRLGKDERSKDDRSKLRYGEVPVEKSHVDDRFHSQSLPPPPPLPPHVVPQSINTGKRDEDSDRRAGSARHGQRLSPRHEERERRRSEENTSLLQDDMKRRRDDDFRDRKREERDGLQIKVEEREREREKPNALKEDMDPNAASKRRKLKREHAPSESGEYSPAALPPPPLPVNISQSYDGRERGDRKTGMIQRPGYLEEQGPRIHGKDTTSKSNRRDVDPMYDREWDDDKRQRAEPKRRHRK >itb10g20510.t1 pep chromosome:ASM357664v1:10:26060562:26063230:-1 gene:itb10g20510 transcript:itb10g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRTCEMRTLSSLELMLLKLQQAEEPPPPEGSLPALPARPVMRTRLPRARMKLPVTFQNSDLVGENEAGKGSCCSTSTEKEAFLDSVHQQESSESDSPHDFNEAAEDEEDRGVLQKGVVIIQRCFRGHQACRYYHELKMGAIALQSFVRGENARNGYQCLVKRLRAIVIIQKHTREHRKRTEHLTGIICLQAGIRGCLARREFDKQIASRDTDNLGNKNELTKVPQIVLLDLRRKVLITEAALERKKDENASLKKRIAEFETKWKQYESRMQYMEKMWQDQLTSIQTSLAAVRKRQAAAGNGSPRLPETSSGRLAFKPSCMLKDAGHQVNGRVDSPCHNAQFRTALDNHPESSSWIQSGQEVSSFSAKEDLAKLKQRFKSWKKDYKTRLKEAKTTMKKLGQPDRGVGSKIWCGR >itb11g17160.t1 pep chromosome:ASM357664v1:11:16634695:16635483:-1 gene:itb11g17160 transcript:itb11g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRFLPAAKAMASDMTSEVPQYAPRKQQVVHEQPQPPKKVIKADRRPQLRYGPSFAKHYYQAHDYAEEGSDDDDDDDNEHEYLPTKACGLLPHFCLRSPFFLMNLVPGMSVRTHVPMSPISKTHTRSSSASSCSGTENEP >itb03g17720.t1 pep chromosome:ASM357664v1:3:16207481:16210432:1 gene:itb03g17720 transcript:itb03g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQVLSLTETAAARIRQLLEHRQRTFLKLGVKSRGCNGLSYTLNYADEKEKFDELVEDKGVKILIDPKALMHVIGTQMDFVDDKLRSEFIFINPNSAGQCGCGESFMTKTSAEAAKLHGRAGT >itb11g04060.t1 pep chromosome:ASM357664v1:11:2163815:2165214:-1 gene:itb11g04060 transcript:itb11g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLRSFLSPIHRSSIQLFSARNLSSSAELEESVRAAVESKRYEQIPELLAAADQSLQSHNPFSFLSAFPENARISVVDDILQSFIPLRPRSRPFKAYSCLLSYTLQSSNPLPIALAILQRILRSGCLPSPQTHLLLSNAWIKRRKQLLSVSDLLSEMKSIGYSPDSGTCNYLISSLCKVDQLREAVSVLKGMGRGGCVPDLDSYGSLIAELCELRMIDAAVEMVREMVVTVGLNPRQDVLVKVLGAMRANKEIWRAVRMIEMLEAEGVHLGFECFELVLEGCIECRQFVLAEKFVMNMTKKGFIPYIRVRQRLVEGLAEVGEWELGNAVRQRFSELNS >itb15g01900.t1 pep chromosome:ASM357664v1:15:1136458:1142445:-1 gene:itb15g01900 transcript:itb15g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIASPLAQSLRPSSRRLISSSCRISRMVLENSSLITKKQHANFRLCNVSGYKTDLLEVHAQDPKLHVVFIPGNPGVVSFYVDFIESLYELLGGTASITAIQHISHGKKNWEYGRLFSLKAQIDHKMNFIEQELHNVEVPIVLVGHSIGSYISLEIFKRSRKKMGFCIGLYPFLTVNTKSWTQFFIKKIAASRSISAVLSSVIAFLGMLPTWFSRSLVKKLVGKFWSPAAVEALCTHILQFHTMQNVLFMAMTEFDKLSDTLDWSFMRQKKNQMAFLFGNDDHWGPTHLLDEIAEKVPGASLMLEQAGHSHAFSCTKDGSATFFPAMSSHDKKKIILSSVAVKLGCGGGSCRRPKLSAVFHPKPRRHHKKAAFHGGAAVNGSNYSSSSWDTTTTTFSPAYASSDASSEIKSLRAVQGFGRIGGESVAVEKDSDDPYLDFRHSMLQMILEKNIYSKDDLKELLNCFLQLNSPYYHGVIVRAFTEIWNGVFSVKPPVTGDWMSRGF >itb06g11240.t3 pep chromosome:ASM357664v1:6:15849614:15852384:1 gene:itb06g11240 transcript:itb06g11240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQSRNAGLPLLNLVKLKGVPILQQLHLEERLLRTSSDNWCIINDGTNTPTIVMGISGNPTELLEINYVLRDKIPVIKRFTGGGTVIVDHGTMFATFICNKDDVPSVQPYPRPIMSWSSLLYSKVFQGVGDFYLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDYEISNMAYLSLPKRAPDYRQARDHLEFICRMKDYLSRAQFMNRTIDAVKCHFCVRSMEVEEAELLCDMKFSPSSRLLEKQELEEAVLT >itb06g11240.t2 pep chromosome:ASM357664v1:6:15849019:15851329:1 gene:itb06g11240 transcript:itb06g11240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGNPTELLEINYVLRDKIPVIKRFTGGGTVIVDHGTMFATFICNKDDVPSVQPYPRPIMSWSSLLYSKVFQGVGDFYLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDYEISNMAYLSLPKRAPDYRQVCYYI >itb06g11240.t4 pep chromosome:ASM357664v1:6:15849614:15851329:1 gene:itb06g11240 transcript:itb06g11240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQSRNAGLPLLNLVKLKGVPILQQLHLEERLLRTSSDNWCIINDGTNTPTIVMGISGNPTELLEINYVLRDKIPVIKRFTGGGTVIVDHGTMFATFICNKDDVPSVQPYPRPIMSWSSLLYSKVFQGVGDFYLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDYEISNMAYLSLPKRAPDYRQVCYYI >itb06g11240.t1 pep chromosome:ASM357664v1:6:15849019:15852384:1 gene:itb06g11240 transcript:itb06g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGNPTELLEINYVLRDKIPVIKRFTGGGTVIVDHGTMFATFICNKDDVPSVQPYPRPIMSWSSLLYSKVFQGVGDFYLRENDYVFGNHKFGGNAQSITKNRWIHHTSFLWDYEISNMAYLSLPKRAPDYRQARDHLEFICRMKDYLSRAQFMNRTIDAVKCHFCVRSMEVEEAELLCDMKFSPSSRLLEKQELEEAVLT >itb11g07200.t1 pep chromosome:ASM357664v1:11:4395078:4401843:1 gene:itb11g07200 transcript:itb11g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRVDGNGPPLKGLTEINHNGMRSEQNGVRDGVNGDGHGLSEEDESRINEDAEDRNDMRRDLMQVQAVLHTQQQQPQGPVVRWERFLPLRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGVEAWKILEDLTNHIDLVLTEVAMPYMSGIGLLSKVMNHKTRKNVPLIMMSSNDSMGVVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGIRTEKSTKSKSIQGSENNSDSNDEDENGSIGLNIRDGSDNGSGTQSSWSKRAIEVESPQPMLPWNELPEPPDSTCAQVIHSRPEAQSANWVPTIATREYQDEEDEQENVPMGKDLQIGVPRSPDLQLNGPTSKALDGDASAKKGKLVNIDSSKDDEKLIGKLELNKTRKNELKDKDNGHVAAAITIKDNPLMEITGNDVPTDPSKMTNTKEIATYNSKEMPSLELSLKQHREVGETGTTVQERNVLRHSDHLSAFSRYGTTSTANQAPTGNVGSCSPVNNSSEAAKTESLQNLRSNSSSMPNQRSNGSSNNNDMGSSTNNIFVKAEAFTDKPVNKSSAVNAHPCSAFQPVQHGQNSSLPGKADSAKAALAQARAMQQQFQVQHHHHHYHHHHHHVHSMQQQQQQQQQQQQQLLNEDSLPSRKTVADAPHGSGPYMLGTLTDGNTNYGSASGSNNASNGHNGSSGQNESNAAVIAEETNMATEDGIAGKCTVGGESGSGSRSGVDQCRQAQREAALNKFRQKRKERNFEKKVRYQSRKRLAEQRPRIRGQFVSQSSDKTKTKDTNC >itb13g20830.t1 pep chromosome:ASM357664v1:13:27561602:27564126:1 gene:itb13g20830 transcript:itb13g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPIIGLTWEPKLPGLPASAAKPKPHHSQSPETSSALYRPRSELIDGLYVPPSDPKKLKKLLRKEVKDTAGKNWFDMPAPNITPELKKDLQLLKMRDAFDPKRHYKKGDSKSKTLPKYFQVGVVIEPASEFYSSRLSKKERKATLAEELISDTNLVEYRKRKVREIEEQKRPGGVDKWKIRGQQSRKRAKHRRH >itb04g21110.t1 pep chromosome:ASM357664v1:4:26204625:26204969:1 gene:itb04g21110 transcript:itb04g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNEAGNHTRQLRQSAAERRRWAQRSGVDLRRRGRRGVCVLRSTMRAEPNSGGGRGEQQRWARRWARRAAAIGGGRGEQRRWAVLAADVFVAAGCIFPLRAALEHYSVKYFN >itb09g29930.t1 pep chromosome:ASM357664v1:9:30630881:30646589:1 gene:itb09g29930 transcript:itb09g29930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDYQSQNFHIVGDDSSKVSSVLCPYALPKFDFDESLQGHLRFDSLVENEVFLGIPSQEDNHWIEEYSRGSSGIEFSPSAAESCSIPRRNNVWSEAASSESVEMLLKSVIQEHNLPVDAIIEESDAGNELGNLTQQIMEPNLREDDKVDYVKDSNPSVQPDEFSRSKETTGAEVIQMALETQVVETCAYENIESRENDQIMTDDRIQIEVKNSDSNKVEVGTLDNETVNQTKVNPSASGVQVQGEEFSSPTVTVGNSSEWDKQSGIHLETTSGLPDDFCKGAGDNTIVSEDTTINDEKLSGVAFQTGIPAANKDSPSNVTSEVVGVNGARGENTITNLGESSSLLGISDHDLSTAEVCKKDVPFVEPPKDNKSDIVVSPKATKIQHQYDDCSMLQDETSVAFKSTSAPDGHVAEVREVEGLETCSNLELEKCSALNIPSGMSKIAQQNTTESFISSDQRPSFASSGGLSEPFGPQTESSIDQGGECDSIMESSTSAGILEERHATEDMKGGNVCVGADKVVSGIGHDPSSLSKAECVQLYRENLPSEVPVGACNSDQDNSSGEKGRAMPCAVLSNEERDKSLDPQIRVGSSSIVEEHKEDVEITCAPEFGTSVQNKQASAVEVKDVNCSSHDTVDNVNSLSKHSDIPPVMANHPEQKPLSVKESSMHSGNKEMNTICPKEASPSTLGSSVQMIVKASHIGTPVGQATAAADAGQDCSKDLKIREIGSSVEPVAPSQVSTSATNCTQPLQTEKNKEEFGNRAAVESIPTPQVTDNLVGKVQSTCMNSGVSASSKEESLNIKVTPVAGSSEVEPSKDMQSFPSNRSEVPMVGEDLPLISVGANAGKETPQVSDQITPSRGSKAERKTRGGSSKAGRKSTKGNQLKETPVKVIERRDKSRVARESSGTKTSGVVSTPTSNLPDLNTSTQSVLFQQPFTDLQQVQLRAQIFVYGSLIQGAVPDEACMVSAFGTTTDGGRGTWEAAWRACLERHLGPRSHAINTETPVRSRSGAKTQDQAINQGLLQSKVLSSTAARSSSKGSSTPIVTPMIPLSSPLWNIATPSDSRVSSSVVSGAVIDYQSFSSLNPYQIPPGRNLAGYTTWLSQTSIPAPWVASTQTAAFDISARFPVLPITEPVKLTPVKESSVAASGGTKHMPTNSMAPSVLPGIIAGNTSMVDNKKAPASPADLKSRKRKKPPVTDGHGQISLPVAPAELVSAPSSSGYSSKSVGLISSVGRSPSQTLSAPVVSSHFSTLVAVTTSPSFVPKGKSDLVPASSLLVDHSKRAEVNVVRRTLTPEDIKNVEESKLQAEEASAHAAAALGHCQGIWIELDKQKSSSLMPDVEAKLTSAAVAIAAAASVAKAAAAAAKVASDAAAQVKRIVDESLVSSKSVTIENSAVALSNSVSNLGSATPASILKSGDGNFVSIEAMSAASRHAENLMAVVKAAELAAEAVSHAGKVVIGDPLPLSELVKAGPDGFWKVSESISQSKDVNGGKSDVNMVEQGSDVFPKQSEGPSVKALHALKGGLSPHPGGTSANTIAGSVRAEEGISSSIPHADKDICSSKAPTTSEFNKTLEISTGPEIESRSTSLLQGDYENIASSMIDSIKEGCLVEVLRDSGDLKAWFSANVLSLKDGAAFICYTELQSDEGSGQLKEWIPLRLDGEDVPSIRISHPMTAVHLEGARKRRRAAMKDYTWSVGDQVDARMDNCWREGIITEKNKKDETILSVNFPARGDTAVVRAWNLRPTLIWKDGEWVEWSTSRNEPAFQGDTPKEKRIKLGNPAGETSGKAKLSKNIDFPEPGTNKEPKLLPLSDNETIFNVGSNKDENKPSTVRTMRSGLQKEGSKVVFGVPKPGKKRKFMDVSKHYVSNWGMKDTTANDSAKLAKYVMPQGSGVGGWKNNSKTNPKEKEVAEFKSRPPRSRKPPSSSRTSKDNSLTSNPSASGDATSTDHVVKDTMSYDKNESAQSDFVKCNSNGEEAAEGQMQFSSEDLQAESTKKASTSTNKSEKVNAGNVTPASGKSTKVEVDKSIPEVIEPRRSNRRIQPTSRLLEGLQSSLIIPKFPSLSHDKGQRSHHRGTSKGNTHG >itb09g29930.t5 pep chromosome:ASM357664v1:9:30630909:30646471:1 gene:itb09g29930 transcript:itb09g29930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDYQSQNFHIVGDDSSKVSSVLCPYALPKFDFDESLQGHLRFDSLVENEVFLGIPSQEDNHWIEEYSRGSSGIEFSPSAAESCSIPRRNNVWSEAASSESVEMLLKSVIQEHNLPVDAIIEESDAGNELGNLTQQIMEPNLREDDKVDYVKDSNPSVQPDEFSRSKETTGAEVIQMALETQVVETCAYENIESRENDQIMTDDRIQIEVKNSDSNKVEVGTLDNETVNQTKVNPSASGVQVQGEEFSSPTVTVGNSSEWDKQSGIHLETTSGLPDDFCKGAGDNTIVSEDTTINDEKLSGVAFQTGIPAANKDSPSNVTSEVVGVNGARGENTITNLGESSSLLGISDHDLSTAEVCKKDVPFVEPPKDNKSDIVVSPKATKIQHQYDDCSMLQDETSVAFKSTSAPDGHVAEVREVEGLETCSNLELEKCSALNIPSGMSKIAQQNTTESFISSDQRPSFASSGGLSEPFGPQTESSIDQGGECDSIMESSTSAGILEERHATEDMKGGNVCVGADKVVSGIGHDPSSLSKAECVQLYRENLPSEVPVGACNSDQDNSSGEKGRAMPCAVLSNEERDKSLDPQIRVGSSSIVEEHKEDVEITCAPEFGTSVQNKQAVEVKDVNCSSHDTVDNVNSLSKHSDIPPVMANHPEQKPLSVKESSMHSGNKEMNTICPKEASPSTLGSSVQMIVKASHIGTPVGQATAAADAGQDCSKDLKIRGGQHDLTMREGTCDKTETIEKHEEANNDGQDLPKLGEIGSSVEPVAPSQVSTSATNCTQPLQTEKNKEEFGNRAAVESIPTPQVTDNLVGKVQSTCMNSGVSASSKEESLNIKVTPVAGSSEVEPSKDMQSFPSNRSEVPMVGEDLPLISVGANAGKETPQVSDQITPSRGSKAERKTRGGSSKAGRKSTKGNQLKETPVKVIERRDKSRVARESSGTKTSGVVSTPTSNLPDLNTSTQSVLFQQPFTDLQQVQLRAQIFVYGSLIQGAVPDEACMVSAFGTTTDGGRGTWEAAWRACLERHLGPRSHAINTETPVRSRSGAKTQDQAINQGLLQSKVLSSTAARSSSKGSSTPIVTPMIPLSSPLWNIATPSDSRVSSSVVSGAVIDYQSFSSLNPYQIPPGRNLAGYTTWLSQTSIPAPWVASTQTAAFDISARFPVLPITEPVKLTPVKESSVAASGGTKHMPTNSMAPSVLPGIIAGNTSMVDNKKAPASPADLKSRKRKKPPVTDGHGQISLPVAPAELVSAPSSSGYSSKSVGLISSVGRSPSQTLSAPVVSSHFSTLVAVTTSPSFVPKGKSDLVPASSLLVDHSKRAEVNVVRRTLTPEDIKNVEESKLQAEEASAHAAAALGHCQGIWIELDKQKSSSLMPDVEAKLTSAAVAIAAAASVAKAAAAAAKVASDAAAQVKRIVDESLVSSKSVTIENSAVALSNSVSNLGSATPASILKSGDGNFVSIEAMSAASRHAENLMAVVKAAELAAEAVSHAGKVVIGDPLPLSELVKAGPDGFWKVSESISQSKDVNGGKSDVNMVEQGSDVFPKQSEGPSVKALHALKGGLSPHPGGTSANTIAGSVRAEEGISSSIPHADKDICSSKAPTTSEFNKTLEISTGPEIESRSTSLLQGDYENIASSMIDSIKEGCLVEVLRDSGDLKAWFSANVLSLKDGAAFICYTELQSDEGSGQLKEWIPLRLDGEDVPSIRISHPMTAVHLEGARKRRRAAMKDYTWSVGDQVDARMDNCWREGIITEKNKKDETILSVNFPARGDTAVVRAWNLRPTLIWKDGEWVEWSTSRNEPAFQGDTPKEKRIKLGNPAGETSGKAKLSKNIDFPEPGTNKEPKLLPLSDNETIFNVGSNKDENKPSTVRTMRSGLQKEGSKVVFGVPKPGKKRKFMDVSKHYVSNWGMKDTTANDSAKLAKYVMPQGSGVGGWKNNSKTNPKEKEVAEFKSRPPRSRKPPSSSRTSKDNSLTSNPSASGDATSTDHVVKDTMSYDKNESAQSDFVKCNSNGEEAAEGQMQFSSEDLQAESTKKASTSTNKSEKVNAGNVTPASGKSTKVEVDKSIPEVIEPRRSNRRIQPTSRLLEGLQSSLIIPKFPSLSHDKGQRSHHRGTSKGNTHG >itb09g29930.t2 pep chromosome:ASM357664v1:9:30630881:30646589:1 gene:itb09g29930 transcript:itb09g29930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDYQSQNFHIVGDDSSKVSSVLCPYALPKFDFDESLQGHLRFDSLVENEVFLGIPSQEDNHWIEEYSRGSSGIEFSPSAAESCSIPRRNNVWSEAASSESVEMLLKSVIQEHNLPVDAIIEESDAGNELGNLTQQIMEPNLREDDKVDYVKDSNPSVQPDEFSRSKETTGAEVIQMALETQVVETCAYENIESRENDQIMTDDRIQIEVKNSDSNKVEVGTLDNETVNQTKVNPSASGVQVQGEEFSSPTVTVGNSSEWDKQSGIHLETTSGLPDDFCKGAGDNTIVSEDTTINDEKLSGVAFQTGIPAANKDSPSNVTSEVVGVNGARGENTITNLGESSSLLGISDHDLSTAEVCKKDVPFVEPPKDNKSDIVVSPKATKIQHQYDDCSMLQDETSVAFKSTSAPDGHVAEVREVEGLETCSNLELEKCSALNIPSGMSKIAQQNTTESFISSDQRPSFASSGGLSEPFGPQTESSIDQGGECDSIMESSTSAGILEERHATEDMKGGNVCVGADKVVSGIGHDPSSLSKAECVQLYRENLPSEVPVGACNSDQDNSSGEKGRAMPCAVLSNEERDKSLDPQIRVGSSSIVEEHKEDVEITCAPEFGTSVQNKQASAVEVKDVNCSSHDTVDNVNSLSKHSDIPPVMANHPEQKPLSVKESSMHSGNKEMNTICPKEASPSTLGSSVQMIVKASHIGTPVGQATAAADAGQDCSKDLKIREIGSSVEPVAPSQVSTSATNCTQPLQTEKNKEEFGNRAAVESIPTPQVTDNLVGKVQSTCMNSGVSASSKEESLNIKVTPVAGSSEVEPSKDMQSFPSNRSEVPMVGEDLPLISVGANAGKETPQVSDQITPSRGSKAERKTRGGSSKAGRKSTKGNQLKETPVKVIERRDKSRVARESSGTKTSGVVSTPTSNLPDLNTSTQSVLFQQPFTDLQQVQLRAQIFVYGSLIQGAVPDEACMVSAFGTTTDGGRGTWEAAWRACLERHLGPRSHAINTETPVRSRSGAKTQDQAINQGLLQSKVLSSTAARSSSKGSSTPIVTPMIPLSSPLWNIATPSDSRVSSSVVSGAVIDYQSFSSLNPYQIPPGRNLAGYTTWLSQTSIPAPWVASTQTAAFDISARFPVLPITEPVKLTPVKESSVAASGGTKHMPTNSMAPSVLPGIIAGNTSMVDNKKAPASPADLKSRKRKKPPVTDGHGQISLPVAPAELVSAPSSSGYSSKSVGLISSVGRSPSQTLSAPVVSSHFSTLVAVTTSPSFVPKGKSDLVPASSLLVDHSKRAEVNVVRRTLTPEDIKNVEESKLQAEEASAHAAAALGHCQGIWIELDKQKSSSLMPDVEAKLTSAAVAIAAAASVAKAAAAAAKVASDAAAQVKRIVDESLVSSKSVTIENSAVALSNSVSNLGSATPASILKSGDGNFVSIEAMSAASRHAENLMAVVKAAELAAEAVSHAGKVVIGDPLPLSELVKAGPDGFWKVSESISQSKDVNGGKSDVNMVEQGSDVFPKQSEGPSVKALHALKGGLSPHPGGTSANTIAGSVRAEEGISSSIPHADKDICSSKAPTTSEFNKTLEISTGPEIESRSTSLLQGDYENIASSMIDSIKEGCLVEVLRDSGDLKAWFSANVLSLKDGAAFICYTELQSDEGSGQLKEWIPLRLDGEDVPSIRISHPMTAVHLEGARKRRRAAMKDYTWSVGDQVDARMDNCWREGIITEKNKKDETILSVNFPARGDTAVVRAWNLRPTLIWKDGEWVEWSTSRNEPAFQGDTPKEKRIKLGNPAGETSGKAKLSKNIDFPEPGTNKEPKLLPLSDNETIFNVGSNKDENKPSTVRTMRSGLQKEGSKVVFGVPKPGKKRKFMDVSKHYVSNWGMKDTTANDSAKLAKYVMPQGSGVGGWKNNSKTNPKEKEVAEFKSRPPRSRKPPSSSRTSKDNSLTSNPSASGDATSTDHVVKDTMSYDKNESAQSDFVKCNSNGEEAAEGQMQFSSEDLQAESTKKASTSTNKSEKVNAGNVTPASGKSTKVEVDKSIPEVIEPRRSNRRIQPTSRLLEGLQSSLIIPKFPSLSHDKGQRSHHRGTSKGNTHG >itb09g29930.t3 pep chromosome:ASM357664v1:9:30630881:30646589:1 gene:itb09g29930 transcript:itb09g29930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDYQSQNFHIVGDDSSKVSSVLCPYALPKFDFDESLQGHLRFDSLVENEVFLGIPSQEDNHWIEEYSRGSSGIEFSPSAAESCSIPRRNNVWSEAASSESVEMLLKSVIQEHNLPVDAIIEESDAGNELGNLTQQIMEPNLREDDKVDYVKDSNPSVQPDEFSRSKETTGAEVIQMALETQVVETCAYENIESRENDQIMTDDRIQIEVKNSDSNKVEVGTLDNETVNQTKVNPSASGVQVQGEEFSSPTVTVGNSSEWDKQSGIHLETTSGLPDDFCKGAGDNTIVSEDTTINDEKLSGVAFQTGIPAANKDSPSNVTSEVVGVNGARGENTITNLGESSSLLGISDHDLSTAEVCKKDVPFVEPPKDNKSDIVVSPKATKIQHQYDDCSMLQDETSVAFKSTSAPDGHVAEVREVEGLETCSNLELEKCSALNIPSGMSKIAQQNTTESFISSDQRPSFASSGGLSEPFGPQTESSIDQGGECDSIMESSTSAGILEERHATEDMKGGNVCVGADKVVSGIGHDPSSLSKAECVQLYRENLPSEVPVGACNSDQDNSSGEKGRAMPCAVLSNEERDKSLDPQIRVGSSSIVEEHKEDVEITCAPEFGTSVQNKQASAVEVKDVNCSSHDTVDNVNSLSKHSDIPPVMANHPEQKPLSVKESSMHSGNKEMNTICPKEASPSTLGSSVQMIVKASHIGTPVGQATAAADAGQDCSKDLKIRGGQHDLTMREGTCDKTETIEKHEEANNDGQDLPKLGEIGSSVEPVAPSQVSTSATNCTQPLQTEKNKEEFGNRAAVESIPTPQVTDNLVGKVQSTCMNSGVSASSKEESLNIKVTPVAGSSEVEPSKDMQSFPSNRSEVPMVGEDLPLISVGANAGKETPQVSDQITPSRGSKAERKTRGGSSKAGRKSTKGNQLKETPVKVIERRDKSRVARESSGTKTSGVVSTPTSNLPDLNTSTQSVLFQQPFTDLQQVQLRAQIFVYGSLIQGAVPDEACMVSAFGTTTDGGRGTWEAAWRACLERHLGPRSHAINTETPVRSRSGAKTQDQAINQGLLQSKVLSSTAARSSSKGSSTPIVTPMIPLSSPLWNIATPSDSRVSSSVVSGAVIDYQSFSSLNPYQIPPGRNLAGYTTWLSQTSIPAPWVASTQTAAFDISARFPVLPITEPVKLTPVKESSVAASGGTKHMPTNSMAPSVLPGIIAGNTSMVDNKKAPASPADLKSRKRKKPPVTDGHGQISLPVAPAELVSAPSSSGYSSKSVGLISSVGRSPSQTLSAPVVSSHFSTLVAVTTSPSFVPKGKSDLVPASSLLVDHSKRAEVNVVRRTLTPEDIKNVEESKLQAEEASAHAAAALGHCQGIWIELDKQKSSSLMPDVEAKLTSAAVAIAAAASVAKAAAAAAKVASDAAAQVKRIVDESLVSSKSVTIENSAVALSNSVSNLGSATPASILKSGDGNFVSIEAMSAASRHAENLMAVVKAAELAAEAVSHAGKVVIGDPLPLSELVKAGPDGFWKVSESISQSKDVNGGKSDVNMVEQGSDVFPKQSEGPSVKALHALKGGLSPHPGGTSANTIAGSVRAEEGISSSIPHADKDICSSKAPTTSEFNKTLEISTGPEIESRSTSLLQGDYENIASSMIDSIKEGCLVEVLRDSGDLKAWFSANVLSLKDGAAFICYTELQSDEGSGQLKEWIPLRLDGEDVPSIRISHPMTAVHLEGARKRRRAAMKDYTWSVGDQVDARMDNCWREGIITEKNKKDETILSVNFPARGDTAVVRAWNLRPTLIWKDGEWVEWSTSRNEPAFQGDTPKEKRIKLGNPAGETSGKAKLSKNIDFPEPGTNKEPKLLPLSDNETIFNVGSNKDENKPSTVRTMRSGLQKEGSKVVFGVPKPGKKRKFMDVSKHYVSNWGMKDTTANDSAKLAKYVMPQGSGVGGWKNNSKTNPKEKEVAEFKSRPPRSRKPPSSSRTSKDNSLTSNPSASGDATSTDHVVKDTMSYDKNESAQSDFVKCNSNGEEAAEGQMQFSSEDLQAESTKKASTSTNKSEKVNAGNVTPASGKSTKVEVDKSIPEVIEPRRSNRRIQPTSRLLEGLQSSLIIPKFPSLSHDKGQRSHHRGTSKGNTHG >itb09g29930.t4 pep chromosome:ASM357664v1:9:30630881:30646589:1 gene:itb09g29930 transcript:itb09g29930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDYQSQNFHIVGDDSSKVSSVLCPYALPKFDFDESLQGHLRFDSLVENEVFLGIPSQEDNHWIEEYSRGSSGIEFSPSAAESCSIPRRNNVWSEAASSESVEMLLKSVIQEHNLPVDAIIEESDAGNELGNLTQQIMEPNLREDDKVDYVKDSNPSVQPDEFSRSKETTGAEVIQMALETQVVETCAYENIESRENDQIMTDDRIQIEVKNSDSNKVEVGTLDNETVNQTKVNPSASGVQVQGEEFSSPTVTVGNSSEWDKQSGIHLETTSGLPDDFCKGAGDNTIVSEDTTINDEKLSGVAFQTGIPAANKDSPSNVTSEVVGVNGARGENTITNLGESSSLLGISDHDLSTAEVCKKDVPFVEPPKDNKSDIVVSPKATKIQHQYDDCSMLQDETSVAFKSTSAPDGHVAEVREVEGLETCSNLELEKCSALNIPSGMSKIAQQNTTESFISSDQRPSFASSGGLSEPFGPQTESSIDQGGECDSIMESSTSAGILEERHATEDMKGGNVCVGADKVVSGIGHDPSSLSKAECVQLYRENLPSEVPVGACNSDQDNSSGEKGRAMPCAVLSNEERDKSLDPQIRVGSSSIVEEHKEDVEITCAPEFGTSVQNKQASAVEVKDVNCSSHDTVDNVNSLSKHSDIPPVMANHPEQKPLSVKESSMHSGNKEMNTICPKEASPSTLGSSVQMIVKASHIGTPVGQATAAADAGQDCSKDLKIRGGQHDLTMREGTCDKTETIEKHEEANNDGQDLPKLGEIGSSVEPVAPSQVSTSATNCTQPLQTEKNKEEFGNRAAVESIPTPQVTDNLVGKVQSTCMNSGVSASSKEESLNIKVTPVAGSSEVEPSKDMQSFPSNRSEVPMVGEDLPLISVGANAGKETPQVSDQITPSRGSKAERKTRGGSSKAGRKSTKGNQLKETPVKVIERRDKSRVARESSGTKTSGVVSTPTSNLPDLNTSTQSVLFQQPFTDLQQVQLRAQIFVYGSLIQGAVPDEACMVSAFGTTTDGGRGTWEAAWRACLERHLGPRSHAINTETPVRSRSGAKTQDQAINQGLLQSKVLSSTAARSSSKGSSTPIVTPMIPLSSPLWNIATPSDSRVSSSVVSGAVIDYQSFSSLNPYQIPPGRNLAGYTTWLSQTSIPAPWVASTQTAAFDISARFPVLPITEPVKLTPVKESSVAASGGTKHMPTNSMAPSVLPGIIAGNTSMVDNKKAPASPADLKSRKRKKPPVTDGHGQISLPVAPAELVSAPSSSGYSSKSVGLISSVGRSPSQTLSAPVVSSHFSTLVAVTTSPSFVPKGKSDLVPASSLLVDHSKRAEVNVVRRTLTPEDIKNVEESKLQAEEASAHAAAALGHCQGIWIELDKQKSSSLMPDVEAKLTSAAVAIAAAASVAKAAAAAAKVASDAAAQVKRIVDESLVSSKSVTIENSAVALSNSVSNLGSATPASILKSGDGNFVSIEAMSAASRHAENLMAVVKAAELAAEAVSHAGKVVIGDPLPLSELVKAGPDGFWKVSESISQSKDVNGGKSDVNMVEQGSDVFPKQSEGPSVKALHALKGGLSPHPGGTSANTIAGSVRAEEGISSSIPHADKDICSSKAPTTSEFNKTLEISTGPEIESRSTSLLQGDYENIASSMIDSIKEGCLVEVLRDSGDLKAWFSANVLSLKDGAAFICYTELQSDEGSGQLKEWIPLRLDGEDVPSIRISHPMTAVHLEGARKRRRAAMKDYTWSVGDQVDARMDNCWREGIITEKNKKDETILSVNFPARGDTAVVRAWNLRPTLIWKDGEWVEWSTSRNEPAFQGDTPKEKRIKLGNPAGETSGKAKLSKNIDFPEPGTNKEPKLLPLSDNETIFNVGSNKDENKPSTVRTMRSGLQKEGSKVVFGVPKPGKKRKFMDVSKHYVSNWGMKDTTANDSAKLAKYVMPQGSGVGGWKNNSKTNPKEKEVAEFKSRPPRSRKPPSSSRTSKDNSLTSNPSASGDATSTDHVVKDTMSYDKNESAQSDFVKCNSNGEEAAEGQMQFSSEDLQAESTKKASTSTNKSEKVNAGNVTPASGKSTKVEVDKSIPEVIEPRRSNRRIQPTSRLLEGLQSSLIIPKFPSLSHDKGQRSHHRGTSKGNTHG >itb10g23240.t1 pep chromosome:ASM357664v1:10:27682733:27685370:-1 gene:itb10g23240 transcript:itb10g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGKKFAVLLCADDSEYVKKMYGGYFGVFLRMLAEEGETWAVFRVARGEFPEDDEIGEFDGFVITGSCNDAHGNDAWICKLLNLLHKLNSMKKKVLGICFGHQILGRAIGGKTGRATGGWDIGVTTVHLKKSKVFTSLKMPAFLNILEVHQDEIRELPAKAEILAWSIKTGIEMFKYGDHFMGIQGHPEYTKDILLNLVDRLNRGNFIKDDMAAAAKARVAENELNREAWKKLCISFLKGRL >itb03g11630.t1 pep chromosome:ASM357664v1:3:9931373:9935305:1 gene:itb03g11630 transcript:itb03g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTSQAMLNQILFQDVASSSSSLFCVSSNNNNITGTGTGTALPFESLKTLITPMPTSLASLLPPLVESSSPNSTSAFHQTQTLLQQHQDLSPLFGPPHHHQLLSLHRSAPNLWAWGEVNECMMRSKRSGLVVDDHRHHHLGVSAVKMKKMSKSRRKVREPRFSFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDDDDSQASSQANDLLW >itb03g29410.t1 pep chromosome:ASM357664v1:3:30294967:30298969:1 gene:itb03g29410 transcript:itb03g29410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIAVMKNRYGDMYKTHILGGPTVVSSDPDVNRYILMNEAKGLVPGYPKSNRDVMGKYNIAMMHGPNHKLFRGSLLSLVGTPMIRHHLLPKIDKYMKLYLQDFHGRTTIDIQQETLGVVDSEPSSLRIAFKQEFDKMADGAISLPLNIPGTAYHAALKARKNAIKMMREMVDRRRASKEMYNDLVDQLLGKVETKYELSDEQIYDQIITILYSGYETLSKTSMMCLKYLHDHPKALQHLRDEHFKIREGKTPDDPITWDDYKSMTFTRAVILETLRLSTVVNGVLRQTTQDLVLNGSLIPKAWRIFVYVRELNYDPIVYPEPYAFNPWRWLEDKNLESHNYYLAFGGGCRLCPGKEWGIVKISIFLHHFVTQYRWEEIQEAKIKKFPRVEAVNGLHVRVSKY >itb06g12300.t1 pep chromosome:ASM357664v1:6:16922673:16924385:-1 gene:itb06g12300 transcript:itb06g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTIATLCDDIGKVLTVSVENYEPPLIHRAETVEVNTDLGIKDILMNDECSERKRRYNEVIELKGNIRVFCRCRPLNSEEFANGSTSVVDFDMSRGNEIQIVSSDSSRKQFRFGHVFKPEDGQEAVFVETIPIVSL >itb12g20650.t1 pep chromosome:ASM357664v1:12:23105398:23107545:1 gene:itb12g20650 transcript:itb12g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVFLLPILLLSPFILGEAITKIPYGDGEGGGQLSLADVELHPTFQHGTYEKANAAHEISLRRSLPDAQQRPTFHGSLCTTCSAGTDTSRRLGGTSASLVATVFSPHRLTTAKEEHQLMHPVDPCSTQIQQTSSDTIAASISDSGVPPVVYESPSAVPVEKQPNRRLLNEAVIPTSPPASGDEADKAAPSISPSISPSISPSISPSISLCPNYFYLLFFLLFLV >itb11g09700.t1 pep chromosome:ASM357664v1:11:6604556:6605463:-1 gene:itb11g09700 transcript:itb11g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTAGAARGQEMEDNSIGHKEEAAVKRSSSGGDFNRCFSLPIDPGGGVKSLKHMDSKKLKEEIKKWAKKVVAYARQVSDHFGSSRR >itb06g25520.t1 pep chromosome:ASM357664v1:6:26529313:26532725:-1 gene:itb06g25520 transcript:itb06g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKENCRNELRSAIRQLNDRCLDSAAKWAAEQLVGIEQDPSKYSSSHTRFQRGSSSIRRRFRTATPESAFTSTPIAGVSYVATQSLLEDEYDAVDSDFYLLAKSYFDCREYRRAAHVLRDQTSKKAKFLCYYSLYLAGEKRKEEETIELEGSLGRSDAVNQELVSLERELSALLKSGTIDSFCLYLYGLVLKQKGTDNLARTVLVESVSSFPWNWSAWSELQSLCTRIETLNNLNLTNHWMKDFFLASAYQELRMHNESLAKYEHLQGTFSFSNYIQAQIAKAKYNLREFEEVEVIFDDLLRNDPYRIDDMDMYSNVLYAKECFSALSSIAHRVVLTDKYRPESCCIIGNYYSLKGQHEKSVIYFRRALKLNKNFLSAWTLMGHEYVEMKNIPAAVDAYRRAVDINGRDYRAWYGLGQAYEMMGMPLYALHYFKKSVFLQPSDSRLWIAMAQCYKTEQLHMLEEAIKCYERAAKCNDREAIALHELAKLHSELGRSEEAAFYYQKDLERMDAEDREAPNMVEALLFLARHCKAQKRFEEAEVYCTRLLDCAGPEKETAKSLLRGIRHARDVEHFPH >itb06g25520.t2 pep chromosome:ASM357664v1:6:26529313:26531334:-1 gene:itb06g25520 transcript:itb06g25520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYIVCKSVGDILQFLFCSVKAGEKRKEEETIELEGSLGRSDAVNQELVSLERELSALLKSGTIDSFCLYLYGLVLKQKGTDNLARTVLVESVSSFPWNWSAWSELQSLCTRIETLNNLNLTNHWMKDFFLASAYQELRMHNESLAKYEHLQGTFSFSNYIQAQIAKAKYNLREFEEVEVIFDDLLRNDPYRIDDMDMYSNVLYAKECFSALSSIAHRVVLTDKYRPESCCIIGNYYSLKGQHEKSVIYFRRALKLNKNFLSAWTLMGHEYVEMKNIPAAVDAYRRAVDINGRDYRAWYGLGQAYEMMGMPLYALHYFKKSVFLQPSDSRLWIAMAQCYKTEQLHMLEEAIKCYERAAKCNDREAIALHELAKLHSELGRSEEAAFYYQKDLERMDAEDREAPNMVEALLFLARHCKAQKRFEEAEVYCTRLLDCAGPEKETAKSLLRGIRHARDVEHFPH >itb11g03670.t2 pep chromosome:ASM357664v1:11:1994498:1998075:-1 gene:itb11g03670 transcript:itb11g03670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQKLYNSCKASLSTNGPVSEEALEKVRALLDKIKPSDVGLEQEAQVVRSWNGSARERNGSLRSPPPIKYLHIHECDSFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLYVKSYDWIDVPGYSDASQGARPARLVKDCQMTAPCGTTILYPVSGGNIHCFKAITPCAILDILSPPYSSEDGRHCTYFRRSPKGDLPGELEVDGVTISGVTWLEEFQPPDDFVIRRGQYKGRVIKT >itb11g03670.t1 pep chromosome:ASM357664v1:11:1994498:1998075:-1 gene:itb11g03670 transcript:itb11g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQKLYNSCKASLSTNGPVSEEALEKVRALLDKIKPSDVGLEQEAQVVRSWNGSARERNGSLRSPPPIKYLHIHECDSFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLYVKSYDWIDVPGYSDASQGARPARLVKDCQMTAPCGTTILYPVSGGNIHCFKAITPCAILDILSPPYSSEDGRHCTYFRRSPKGDLPGELEVDGVTISGVTWLEEFQPPDDFVIRRGQYKGRVIKT >itb09g14700.t9 pep chromosome:ASM357664v1:9:9943449:9946453:-1 gene:itb09g14700 transcript:itb09g14700.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t8 pep chromosome:ASM357664v1:9:9943493:9946771:-1 gene:itb09g14700 transcript:itb09g14700.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t2 pep chromosome:ASM357664v1:9:9943493:9946730:-1 gene:itb09g14700 transcript:itb09g14700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTTCRQDDDGMVDCELEAAEALAGLAHSALPSRSTASDASESPANSRSPPPPNEDHETLPPRHNIENPLPNILGSGNEFEISPSLTCSFDYPSVIGSKSRQNITEVEKEARRLRRVLANRESARQTIRRRQAMYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t7 pep chromosome:ASM357664v1:9:9943493:9946730:-1 gene:itb09g14700 transcript:itb09g14700.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTTCRQDDDGMVDCELEAAEALAGLAHSALPSRSTASDASESPANSRSPPPPNEDHETLPPRHNIENPLPNILGSGNEFEISPSLTCSFDYPSVIGSKSRQNITEVEKEARRLRRVLANRESARQTIRRRQAMYEELTKKAADLALENENLKKMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t6 pep chromosome:ASM357664v1:9:9943449:9946730:-1 gene:itb09g14700 transcript:itb09g14700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTTCRQDDDGMVDCELEAAEALAGLAHSALPSRSTASDASESPANSRSPPPPNEVEKEARRLRRVLANRESARQTIRRRQAMYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t10 pep chromosome:ASM357664v1:9:9943449:9944717:-1 gene:itb09g14700 transcript:itb09g14700.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t4 pep chromosome:ASM357664v1:9:9943493:9946771:-1 gene:itb09g14700 transcript:itb09g14700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t1 pep chromosome:ASM357664v1:9:9943493:9946771:-1 gene:itb09g14700 transcript:itb09g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTTCRQDDDGMVDCELEAAEALAGLAHSALPSRSTASDASESPANSRSPPPPNEQDHETLPPRHNIENPLPNILGSGNEFEISPSLTCSFDYPSVIGSKSRQNITEVEKEARRLRRVLANRESARQTIRRRQAMYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYHVIFNNSGQYGTTPNILACER >itb09g14700.t5 pep chromosome:ASM357664v1:9:9943449:9946730:-1 gene:itb09g14700 transcript:itb09g14700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTTCRQDDDGMVDCELEAAEALAGLAHSALPSRSTASDASESPANSRSPPPPNEQDHETLPPRHNIENPLPNILGSGNEFEISPSLTCSFDYPSVIGSKSRQNITEVEKEARRLRRVLANRESARQTIRRRQAMYEELTKKAADLALENENLKKMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb09g14700.t3 pep chromosome:ASM357664v1:9:9943449:9946771:-1 gene:itb09g14700 transcript:itb09g14700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTTCRQDDDGMVDCELEAAEALAGLAHSALPSRSTASDASESPANSRSPPPPNEQDHETLPPRHNIENPLPNILGSGNEFEISPSLTCSFDYPSVIGSKSRQNITEVEKEARRLRRVLANRESARQTIRRRQAMYEELTKKAADLALENENLKKEKELAAKEYDCLKSTNNSLKAQMTSVVKEEAQDIHEVSNSTQPEMSTAPSFFYNRSPMMPCMWRPIFQPLDAIHLQHGSQSGFSSNVGEPTPFLKQEHSTSNPATPFYVLPFPWLLPFHAPNPYFPQSSDHNETSVLHQCSMSSSITPVSMEQHRIFLPVKDEMEDSNSTQAIHKDDCKEVGLGFLSGLGTSCTTDGIRQHLEPHPKQAAALMAGAKVGSLGGEVRDINTISSSNVRQITRDSFEDKGVLTCPSKNSAEATAAIEARKRRKEIMKLKNHLHCRPCHMH >itb01g26280.t1 pep chromosome:ASM357664v1:1:31499805:31501925:1 gene:itb01g26280 transcript:itb01g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEEVRKAQRAQGPATIMAIGTSTPPNCVDQSTYPDYYFRITNSDHMVELKEKFKRMCEKSMISKRYMHLTEEILKENPNICAYMAPSLDARQDIVVVEVPKLGKEAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLQPSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDAHLDSLVGQALFGDGAAALIIGSDPVAEIERPLFQLVSAAQTILPDSGGAIDGHLREVGLTFHLLKDVPGLISKHIEKSLNEAFEPLGIRDWNSLFWIAHPGGPAILDQVEAKLELKPEKLRATRHVLSEYGNMSSACVLFILDEMRKASSKEGLNTTGEGLEWGVLFGFGPGLTVETVVLHSVSA >itb07g18320.t1 pep chromosome:ASM357664v1:7:22756606:22757184:1 gene:itb07g18320 transcript:itb07g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNTSRGVTRGRQRLPLARIENEVQRLVTFSKRRTGLFKKTSEMSTLCGTEITMVVFSPSGKPFSFSNPDMNTVLTKYFGEIPRAEANVPEHIIRAQRDAKMRAMTSQINVLEALIDEEMLVDQALREAEKGRPSISDLQLPELQSMEHHMKTLLDQVPEKLNMISIMGAQSQAMETRFGANDGAGPSGV >itb04g09130.t1 pep chromosome:ASM357664v1:4:8447371:8448833:1 gene:itb04g09130 transcript:itb04g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKREVDLSKNMAINAPMANTTTMMMSSASSSAASSAAAISPTTAAATLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPVCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKKPPSQPQSSSPAAALPPSAAAT >itb15g05820.t1 pep chromosome:ASM357664v1:15:3764978:3765346:1 gene:itb15g05820 transcript:itb15g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKQKIGESRMVHKINEKLHLGLEDKLHKDGDQKEKEQDDDHHVDESKPPEDDQKRKSVRKTVKKIAKKIVHHRGNGKRRGGGGGGGGEEGEGEEEEEEEYEVEEVEEGGEFEFELDFGF >itb12g26770.t1 pep chromosome:ASM357664v1:12:27501507:27506966:-1 gene:itb12g26770 transcript:itb12g26770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQDEEDCQFFDAPESIVQPSAVSPNCLESTVWVDHCVSSSYQYDVWVRSPKSVRERRTKFLEWIGSDLDGVHAEDQDGIDCGSSALCRENDRINESTGAVLRNPIFQGEFSCSQSSVPSSSADAMGSSEEPGSNETFLCTSGNLDHQICDTSTMAEHRMESNHQGLALEQLVVSEECENSTGVPGHREIHVNGKNQRVLNRVKSRWLDRLRSFNCIMDSEWRTHYIESYGSIPVPRARAQRVKVRHCKKRLKELSALFMEQEILAHEGSILAMKFSLDGQYLASAGEDNVVRVWQVVADERSNEIDIPDLDPSCIYFKVNHFSQLEPVVTEKDRINKSMGIRKTSESACVVFPPRIFRILEKPLHVFLGHNDEISDLSWSKNNFLLSSSIDKTVRLWQVGFDQCLQVFSHSNFVTCVQFNPVNDNYFISGSIDGKVRIWTIDGGQVVDWTETRDIVTAVSYRPDGQGGIIGSTTGTCRFFSVTENHIQLESNICLISKKKSPSKRITGFQFVPRDPSKVMVTCADSQVRIISGNNVVTKYKGPRNAGNQILASFTSDGKHIISASDDFNVYMWNCISPEESSLSKPKVKSFEFFSGNASIAIPWSGLKVGEPENGQLSHGVDENRNNALPFSIPLDQELFLEAIPKGSATWPEEKLPTSSSQTLPSAM >itb12g26770.t2 pep chromosome:ASM357664v1:12:27501507:27506966:-1 gene:itb12g26770 transcript:itb12g26770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQDEEDCQFFDAPESIVQPSAVSPNCLESTVWVDHCVSSSYQYDVWVRSPKSVRERRTKFLEWIGSDLDGVHAEDQDGIDCGSSALCRENDRINESTGAVLRNPIFQGEFSCSQSSVPSSSADAMGSSEEPGSNETFLCTSGNLDHQICDTSTMAEHRMESNHQGLALEQLVVSEECENSTGVPGHREIHVNGKNQRVLNRVKSRWLDRLRSFNCIMDSEWRTHYIESYGSIPVPRARAQRVKVRHCKKRLKELSALFMEQEILAHEGSILAMKFSLDGQYLASAGEDNVVRVWQVVADERSNEIDIPDLDPSCIYFKVNHFSQLEPVVTEKDRINKSMGIRKTSESACVVFPPRIFRILEKPLHVFLGHNDEISDLSWSKNNFLLSSSIDKTVRLWQVGFDQCLQVFSHSNFVTCVQFNPVNDNYFISGSIDGKVRIWTIDGGQVVDWTETRDIVTAVSYRPDGQGGIIGSTTGTCRFFSVTENHIQLESNICLISKKKSPSKRITGFQFVPRDPSKVMVTCADSQVRIISGNNVVTKYKGPRNAGNQILASFTSDGKHIISASDDFNVYMWNCISPEESSLSKPKVKSFEFFSGNASIAIPWSGLKVGEPENGQLSHGVDENRNNALPFSIPLDQELFLEAIPKGSATWPEEKLPTSSSQTLPSAMCKSQYKFLKNSCKSTSSSHAWSLVIVTAGEDGRIRSFHNYGLPVPL >itb05g27970.t1 pep chromosome:ASM357664v1:5:31143611:31145336:-1 gene:itb05g27970 transcript:itb05g27970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSLFRTISGRDMNESGGGGKPTAPITRDLLGGCCSLDSKELDLDLQVPSGWEKRLDLKSGKVYLQRCNSTNSSASTMENKQQNNHPAGKLQDLNFPPSSKLQLNLFDEANLDLKLVPSSSSPPSSSSLHSVCTLEKVKSALERAEKESSSRKRSMSLSNSSSPLSNSLSSSIRDYCEIDQENTSASFAAGCPNCLLYVLISKNSPRCPRCNTVVQSPTLDKKPRIDLNISI >itb06g18760.t1 pep chromosome:ASM357664v1:6:22344978:22345777:-1 gene:itb06g18760 transcript:itb06g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFWLLWSISCLRKDPYIPRLIHKPYCPIVSPYQLSDSLQEAKKGPLDLKLKSKEGMDGFQQFGVPVVGIVAVAAITFYAVSFMEMSEKSFRDLDEKEDSENGGFNPALRFKERTARKKARKQTKP >itb11g06750.t1 pep chromosome:ASM357664v1:11:4097733:4098194:1 gene:itb11g06750 transcript:itb11g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPHEQCASRTHRCAPQQTTVRRLVGVIVEILPKNTLPIGVFRPGLSPFTAVSCRSVFLRSSTLLYCWITSQMCPSADCSA >itb04g06710.t1 pep chromosome:ASM357664v1:4:4389370:4392100:-1 gene:itb04g06710 transcript:itb04g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFPVDFVSPIVLGLILLCWFSGTTAAGAGATEFDFGTLTLSSLKLLGDAHLSNNTVRLTRDLPVPNSGAGKVLYAKPVRFRQPGSSGAASFSTFFSFSVSNLNPSSIGGGLAFIITPEDETVGDGGAYMGIMDAKGTQNGAVAVEFDTIMDVEFNDVNGNHVGLDLSSMVSAQVGDLESAGVDLKSGDLVNSWVEYSGLTQQLNISVSYSNLKPKLPFLSIPLNLAQYVNDFMFVGFSGSTQGSTEIHSIEWWSFTSSFDVGPKSTSPPPPPTTSLMNPTANSVTSPPPSMPPSESSNVTTTSHTKTAGKCHNQLCKQGPGAVAGVVTAGAFCLGFAALALIWVYSKKFKNVKTSEILASDIIKMPKEFTYKSLKSATKSFDSARIIGHGAFGTVYKGILPENNEVVAVKRCSHNGQGKTEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMSNGSLDKALFESRMVLPWPHRQKILLGVASALAYLHQECENQVIHRDIKTSNIMLDESFNARLSDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRATDKTDVFSYGAVVLEVASGRRPIEKEAEKARGNGNLVEWVWGLHREGRLLSAADSRLNGEFEEGEMRRVLLVGLACSHPDPMARPSMRGVVQMLVGEAEVPLVPRAKPSMNFTTSDLLMTLQDSVSDLNGMIAFSPSSSESSFTGLDIV >itb13g06610.t1 pep chromosome:ASM357664v1:13:7968780:7970256:1 gene:itb13g06610 transcript:itb13g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAKMGNLSLYLVLTILSCYLADTAQSSSFPSNFIKASCRATRYPSLCIDCLSAYANSIQQSERQLAEVALSVSLAKARSAAMFVVKLTRSARGLKPRELQAVKDCVDTMGDTMDQLRRSVRELGRAGRVPSQDFVWHVGNVQTWVSAALTNENTCLDGFAGPAMDGNVKGAIRARVVNVARVTSNALALVNRFAARHRPGGANVP >itb04g31150.t1 pep chromosome:ASM357664v1:4:34109802:34111883:-1 gene:itb04g31150 transcript:itb04g31150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKQVMMEQQQQPQLQIPLLKNSGVISYSGNNPMGDDKAEEMTRTALSTFRAKEEEIERRRKEVTDRVQAQLGRMEEESKRLAEIREALEGFVDPAGKEAAMVRKKIDVVTRELKSLGQTCQRKEKEYKETLDAFNGKSKEKAQLITKLMELVSESERMRMKKLEELSKNVDTLC >itb03g01360.t1 pep chromosome:ASM357664v1:3:765301:766719:-1 gene:itb03g01360 transcript:itb03g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISVHVTLDTERLIDAYHQLHALISPLNPLRIGHQGELEPSIILNFRSSEIDYYDDDDDEERRRLGGEAEEGSFGRGDSGYGSGERGVHGGDRVASLGDGEGPEVERRRQLRKEQHRRSLRSQPDSEDQSRGFPHRRGHCRDFQP >itb09g17490.t1 pep chromosome:ASM357664v1:9:12795256:12800530:-1 gene:itb09g17490 transcript:itb09g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVRSMGPLIQKALSSFQRSDAHHSLLRPTLSNSELLRNYATASASKEQNVKVPLTMYGVSGNYSSALYLAAVKSNVLEKVESELYDFVEASKKSPTFSQFMKDLSVPKDARVKAVNEICAQAKFVDVTKNFLALLAENGRLRHIDSIAKRFKELTMAHKGEVKAIVTTVIPLPPEEEKELKATLSEMTGNGKMVTFEQKIDPSILGGLVLEFERKVFDMSIRTRARQMERFLREPLNF >itb01g03600.t1 pep chromosome:ASM357664v1:1:2348605:2351439:1 gene:itb01g03600 transcript:itb01g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQEIADGVEALLRQSDPNAVISLNGVVQQLEAKLGLDLSHKAGFINDQINLIRSKPQPPPPPPQQSRDHFALLHHQQFPTSQPEHFYPHFALHQQHYQHGAVPPPPQQLRPAVLQQQAPPPTVVRFTAAQNVVQNAAQAPKESTTAGTKRRGGAGGLNKVCGVSPELQAIVGQPALPRTEIVKQLWAYIRKHNLQDPGNKRKIICDDALRIVFETDCTDMFKMNKLLAKHILPHDPTKQPEQAKKLKVETNLEPDNTESASPSVMISDALANFFGSEEREMLQSEALRRVLEYIKVNHQEDSPNSTVIQCDAKLQELFGCKSISTMEIPEKLVRNHLFQRQ >itb13g15810.t1 pep chromosome:ASM357664v1:13:22751094:22755184:1 gene:itb13g15810 transcript:itb13g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >itb13g15810.t3 pep chromosome:ASM357664v1:13:22751514:22755184:1 gene:itb13g15810 transcript:itb13g15810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >itb13g15810.t2 pep chromosome:ASM357664v1:13:22751094:22755184:1 gene:itb13g15810 transcript:itb13g15810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >itb13g22240.t2 pep chromosome:ASM357664v1:13:28565261:28574014:-1 gene:itb13g22240 transcript:itb13g22240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASWYSSLECSSSIINSSDDSSLTSTAIQWLRFIFLSPCPQRVLFSSIDLIFLLTLVVLAIIRFIRSKKKKSSSVNRPLIERETPGFRVTPWFYVSLIVTALLALAYTGLCVFTFIKGVDSKWDVVEAIFRSFQAVTHVMVFVLIVHEKRFGAARHSVPIRAYWAGNLLGVCLFVASAVIRLFNNVGYKKESTTMRVDDLFSFACFPLSVYLFVVTIRGSTGIVSVGGGEDDANGNGSVEVDSNVSGYATASLFSKAVWKWINPLLTKGYKSPLTEDEVPSLPPDFRAEQMSELVEKNWPKPDEGSSHPVWRALLRCFWKDIVFTGFLAAVRLAVMYIGPLLIDSFVDYASGDRSNPFKGYYLVLTLFLAKVIEVLSSHHYNFHTAKLGMLMRCSLITTLYKKGLRLSCSARQTHGVGNVVNYMAVDSQLISDMVPQLHSVWMTPMQLVAAILLLYIYIGAPVVASVAIVFLLTILTFGITLLGNFYQLRVMKNRDSRIKAINELLGNMRVIKFQAWEEHFSKKIQSLRGVELSWLWKIMCMTSVNVSILWSVPNIISLLTFALAIWMKIHLDTGKVFTVMTVLKILQDPIRTFPQVLVFLSQTVICLRRLNTYMLSHELESDLVQREDDGCGCAIAVEVKNGNFSWDDNDEKNVLKDINFRIRKGELAAIVGTVGSGKSSLLASILGELQKSSGEVRVCGTTAYVAQSSWIQHATIQDNILFGLPMDRDRYNNAIRVCSLEKDLEVLEHGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKGCVRGALKDKTILLVTHQVDFLHNADLILVMREGKIVQSGKYGELLESGMDFGALVAAHENSMELVEMSATVSDENHPQTPKSPHQQAPNSPIKLEGQGKPKDQPEPSADSKLIEDEERESGHVSSSVYKEYCTKAYGWWGVVVVIVVSLLWQLALTANDYWLAYETSSSTVFKPSRFMSVYAIIGGISVILVAARSFLVIALGMKTSQGYFTEIIGSILHAPMSFFDTTPSGRILSRVTIDQTSLDIMIPVFVSNSLQSFFTLLGILVVICQNAWPTIFLLIPIFWLNAWYRKYYIASSRQLTRMDSITKAPILHHFSETVSGVMTIRSFRKQGVSFQGNIDRVNKSLRMDFNNNGANEWLGFRLELIGSFLLCISTLFMVMLPKSVIGSEYIGMALSYGLSLNAVIFYCVYLTCIMENKMVAVERIKQFINIPSEAAWKTPGCVPSPTWPHHGDIEIKDLKVRYRHNTPLVLKGISLGIHGGEKIGVVGRTGSGKSTLIQVFFRLVEPCGGKIVIDGIDICKVGLHDLRARFGIIPQEPILFEGTVRSNIDPLGLYSDDEIWRSLERCQLKEVVSEKTGQLDASVVSSGDNWSMGQRQLLCLGRVMLKNSRILFMDEATASVDSQTDTVIQKVIREDFLNCTIITIAHRIPTVIDCNKVLVMDDGLAKEYDAPAKLLERPSLFGALVQEYSLRSSGV >itb13g22240.t1 pep chromosome:ASM357664v1:13:28565261:28571933:-1 gene:itb13g22240 transcript:itb13g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASWYSSLECSSSIINSSDDSSLTSTAIQWLRFIFLSPCPQRVLFSSIDLIFLLTLVVLAIIRFIRSKKKKSSSVNRPLIERETPGFRVTPWFYVSLIVTALLALAYTGLCVFTFIKGVDSKWDVVEAIFRSFQAVTHVMVFVLIVHEKRFGAARHSVPIRAYWAGNLLGVCLFVASAVIRLFNNVGYKKESTTMRVDDLFSFACFPLSVYLFVVTIRGSTGIVSVGGGEDDANGNGSVEVDSNVSGYATASLFSKAVWKWINPLLTKGYKSPLTEDEVPSLPPDFRAEQMSELVEKNWPKPDEGSSHPVWRALLRCFWKDIVFTGFLAAVRLAVMYIGPLLIDSFVDYASGDRSNPFKGYYLVLTLFLAKVIEVLSSHHYNFHTAKLGMLMRCSLITTLYKKGLRLSCSARQTHGVGNVVNYMAVDSQLISDMVPQLHSVWMTPMQLVAAILLLYIYIGAPVVASVAIVFLLTILTFGITLLGNFYQLRVMKNRDSRIKAINELLGNMRVIKFQAWEEHFSKKIQSLRGVELSWLWKIMCMTSVNVSILWSVPNIISLLTFALAIWMKIHLDTGKVFTVMTVLKILQDPIRTFPQVLVFLSQTVICLRRLNTYMLSHELESDLVQREDDGCGCAIAVEVKNGNFSWDDNDEKNVLKDINFRIRKGELAAIVGTVGSGKSSLLASILGELQKSSGEVRVCGTTAYVAQSSWIQHATIQDNILFGLPMDRDRYNNAIRVCSLEKDLEVLEHGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKGCVRGALKDKTILLVTHQVDFLHNADLILVMREGKIVQSGKYGELLESGMDFGALVAAHENSMELVEMSATVSDENHPQTPKSPHQQAPNSPIKLEGQGKPKDQPEPSADSKLIEDEERESGHVSSSVYKEYCTKAYGWWGVVVVIVVSLLWQLALTANDYWLAYETSSSTVFKPSRFMSVYAIIGGISVILVAARSFLVIALGMKTSQGYFTEIIGSILHAPMSFFDTTPSGRILSRVTIDQTSLDIMIPVFVSNSLQSFFTLLGILVVICQNAWPTIFLLIPIFWLNAWYRKYYIASSRQLTRMDSITKAPILHHFSETVSGVMTIRSFRKQGVSFQGNIDRVNKSLRMDFNNNGANEWLGFRLELIGSFLLCISTLFMVMLPKSVIGSEYIGMALSYGLSLNAVIFYCVYLTCIMENKMVAVERIKQFINIPSEAAWKTPGCVPSPTWPHHGDIEIKDLKVRYRHNTPLVLKGISLGIHGGEKIGVVGRTGSGKSTLIQVFFRLVEPCGGKIVIDGIDICKVGLHDLRARFGIIPQEPILFEGTVRSNIDPLGLYSDDEIWRSLERCQLKEVVSEKTGQLDASVVSSGDNWSMGQRQLLCLGRVMLKNSRILFMDEATASVDSQTDTVIQKVIREDFLNCTIITIAHRIPTVIDCNKVLVMDDGLAKEYDAPAKLLERPSLFGALVQEYSLRSSGV >itb13g11070.t1 pep chromosome:ASM357664v1:13:15998257:16002325:-1 gene:itb13g11070 transcript:itb13g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPADQGATLLVIGLALSCYRSVRISQVPSVASLRRSSLIFPNVQSRSDQLVRINRIWSCSDDCPCWESIHAKTGLLHLSVARGASCFIFLIPLCGQHAQRPFIRYQHYALSLPSLAKKKQGYGYHDQSPSIKKTLSKSLRVSGAFKHPKYAGIVNDIAFLIKNDDSVRKTKLFKFFFPKKSRSDRPTSHLLKRTLPAVRPSLNYSVMQYLLNTKKKMNFDPVVVLNHFVAPGVAEPSTMGGANTQERSLDKRIRSRIAFFVESSTSEKKCLAEAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDGVGVHNNLFSEDAREQLQLLGQLRRKYWNPMSLMSKDKVSKCGFHIVKKKGDVLYPKRTKYSKYRKGRCSRGCKPDGTQLGFGRYGTKSCRAGRLSYRAIEAARRAIIGHFHRAMSGQFRRNGKIWVRVFADIPITGKPTEVRMGRGKGNPTGWIARVSTGQILFEMAGVSLSNARQAARLAAHKLCSSTKFVQWS >itb06g13130.t1 pep chromosome:ASM357664v1:6:17736850:17739269:-1 gene:itb06g13130 transcript:itb06g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKTYRLIIYPFITMPSERDPLLAPPTANEEGKNIKKRSLDDTIERCIGKFGWAQLLQATLASLAWIFDAQQTFVTIFTDVVPTWHCNDDLSSSTCTDVCQLPAEAWGWDAAAHTSVVSEWGLQCAGAVITGLPSTSYFVGCLVGGIALSTFADSSFGRKRMLAFSCCLMNVAAVLTAAASNVWVYSALRFLTGFGRATIGTCALVLSTEVVGRRWRGQVGIIGFICFTFGFLSLPAIAYFNAGSSWRYLYLWTGIPGIIYSVIVYFVAGESPRWLYVRGMKQDFVQTIKNIAPPETRNSITTSFFGSFILDNHDQDQEDQNMGMDLYAALRLLLNKGWALKRLLTVMLVGVGTGMVYYGIPLGVGEMPLNLYLSTTLNALSELPASLTTFLLIDKLKRRSSVLGLGLLSGACCVGCVVFVSEELRWVQIGLEIVAFFNACTAFNVLMIYTLELFPTCVRNSAVSIVRQAMVLGGAISPLLVALGRANRFYSYGVFGLTIGVCCLFVIWLPETMGGEICDTIDEEESKENNGISKV >itb11g23190.t3 pep chromosome:ASM357664v1:11:25113112:25118231:-1 gene:itb11g23190 transcript:itb11g23190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRNDSNSKRSRHKADLAATLRKSWYHLRLSVRHPARVPTWDAIVLTAASPEQAQLYEWQLNRAKRMGRIAHSTVTLAVPDPHGQRIGSGAATLHAIFALANHYQQEADASHLDSESFSQFKRSEGDDPPFSLVDLIAKRHILLLHAGGDSKRVPWANPMGKVFLPLPYLASDDSDGPVPLLFDHILAIASCARQAFKNEGGILTMTGDVLPCFDASSLVLPDDASCIVTVPITLDIASNHGVIVASKTGISNEKVSVNVVENLLQKPCIEELIKYQAILDDGRTLLDTGIIAVRGKAWVDLVNLACSCEPMISELLERKAEMSLYEDLVAAWVPAKHDWLRPRALGSELVKRLGKHKMFSYCAYDLLFLHFGTSSEVLDHMSETGSRLVGRRHLCSIPATTVSDIAASAIILSSKIEPGVSIGEDSLIYDSSISGGIQIGSLCIVVGVNVPVANNMKAQESFSFMLPDRHCLWEVPLVGCSERVIVYCGLHDNPKSVLSKDGTFCGKPWRKVLDDLGIQETDLWSSDESPEKCLWNAKIFPILPYFDMLTLAKWLTGLDNQQNEALYSLWKKSHRLSLEELHKSIDFPQMCSGSSNHQADLAAGIVNACINFGLLGRNISQLCEEILQKEATRVEICEGFLSVCSKLHTRHSQLVPKSRAYQVHVDLLRACGKEKMASELEQKVWAAVAHETASAVRYGFREKLLESSSLSNAAYLNNNVDGGVKQSFFSRTVKIELPVRVDFVGGWSDTPPWSLERAGYVLNMAITLGGSLPIGTTIETKKGTGVLIMDDIGNQLSIEDLSTIAPPFKSDDPFRLVKCALLVTNVINDNVLQSMGLEIRTWANVPRGSGLGTSSILAAAVIKAILQLTNGDESNENVTRLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVLPLLTSPGLIEELQQRLLVVFTGQVSQL >itb11g23190.t4 pep chromosome:ASM357664v1:11:25113112:25116099:-1 gene:itb11g23190 transcript:itb11g23190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVQMSLYEDLVAAWVPAKHDWLRPRALGSELVKRLGKHKMFSYCAYDLLFLHFGTSSEVLDHMSETGSRLVGRRHLCSIPATTVSDIAASAIILSSKIEPGVSIGEDSLIYDSSISGGIQIGSLCIVVGVNVPVANNMKAQESFSFMLPDRHCLWEVPLVGCSERVIVYCGLHDNPKSVLSKDGTFCGKPWRKVLDDLGIQETDLWSSDESPEKCLWNAKIFPILPYFDMLTLAKWLTGLDNQQNEALYSLWKKSHRLSLEELHKSIDFPQMCSGSSNHQADLAAGIVNACINFGLLGRNISQLCEEILQKEATRVEICEGFLSVCSKLHTRHSQLVPKSRAYQVHVDLLRACGKEKMASELEQKVWAAVAHETASAVRYGFREKLLESSSLSNAAYLNNNVDGGVKQSFFSRTVKIELPVRVDFVGGWSDTPPWSLERAGYVLNMAITLGGSLPIGTTIETKKGTGVLIMDDIGNQLSIEDLSTIAPPFKSDDPFRLVKCALLVTNVINDNVLQSMGLEIRTWANVPRGSGLGTSSILAAAVIKAILQLTNGDESNENVTRLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVLPLLTSPGLIEELQQRLLVVFTGQVRLAHRVLQKVVTRYLQRDNLLVSSIKRLTELAKLGREALMNRDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFAFCHRFCCGYKLVGAGGGGFALLLAKNRESAEELRHLLNEKSGFDVQVYNWQILQS >itb11g23190.t1 pep chromosome:ASM357664v1:11:25113112:25118761:-1 gene:itb11g23190 transcript:itb11g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRNDSNSKRSRHKADLAATLRKSWYHLRLSVRHPARVPTWDAIVLTAASPEQAQLYEWQLNRAKRMGRIAHSTVTLAVPDPHGQRIGSGAATLHAIFALANHYQQEADASHLDSESFSQFKRSEGDDPPFSLVDLIAKRHILLLHAGGDSKRVPWANPMGKVFLPLPYLASDDSDGPVPLLFDHILAIASCARQAFKNEGGILTMTGDVLPCFDASSLVLPDDASCIVTVPITLDIASNHGVIVASKTGISNEKVSVNVVENLLQKPCIEELIKYQAILDDGRTLLDTGIIAVRGKAWVDLVNLACSCEPMISELLERKAEMSLYEDLVAAWVPAKHDWLRPRALGSELVKRLGKHKMFSYCAYDLLFLHFGTSSEVLDHMSETGSRLVGRRHLCSIPATTVSDIAASAIILSSKIEPGVSIGEDSLIYDSSISGGIQIGSLCIVVGVNVPVANNMKAQESFSFMLPDRHCLWEVPLVGCSERVIVYCGLHDNPKSVLSKDGTFCGKPWRKVLDDLGIQETDLWSSDESPEKCLWNAKIFPILPYFDMLTLAKWLTGLDNQQNEALYSLWKKSHRLSLEELHKSIDFPQMCSGSSNHQADLAAGIVNACINFGLLGRNISQLCEEILQKEATRVEICEGFLSVCSKLHTRHSQLVPKSRAYQVHVDLLRACGKEKMASELEQKVWAAVAHETASAVRYGFREKLLESSSLSNAAYLNNNVDGGVKQSFFSRTVKIELPVRVDFVGGWSDTPPWSLERAGYVLNMAITLGGSLPIGTTIETKKGTGVLIMDDIGNQLSIEDLSTIAPPFKSDDPFRLVKCALLVTNVINDNVLQSMGLEIRTWANVPRGSGLGTSSILAAAVIKAILQLTNGDESNENVTRLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVLPLLTSPGLIEELQQRLLVVFTGQVRLAHRVLQKVVTRYLQRDNLLVSSIKRLTELAKLGREALMNRDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFAFCHRFCCGYKLVGAGGGGFALLLAKNRESAEELRHLLNEKSGFDVQVYNWQILQS >itb11g23190.t2 pep chromosome:ASM357664v1:11:25113112:25118231:-1 gene:itb11g23190 transcript:itb11g23190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLRNDSNSKRSRHKADLAATLRKSWYHLRLSVRHPARVPTWDAIVLTAASPEQAQLYEWQLNRAKRMGRIAHSTVTLAVPDPHGQRIGSGAATLHAIFALANHYQQEADASHLDSESFSQFKRSEGDDPPFSLVDLIAKRHILLLHAGGDSKRVPWANPMGKVFLPLPYLASDDSDGPVPLLFDHILAIASCARQAFKNEGGILTMTGDVLPCFDASSLVLPDDASCIVTVPITLDIASNHGVIVASKTGISNEKVSVNVVENLLQKPCIEELIKYQAILDDGRTLLDTGIIAVRGKAWVDLVNLACSCEPMISELLERKAEMSLYEDLVAAWVPAKHDWLRPRALGSELVKRLGKHKMFSYCAYDLLFLHFGTSSEVLDHMSETGSRLVGRRHLCSIPATTVSDIAASAIILSSKIEPGVSIGEDSLIYDSSISGGIQIGSLCIVVGVNVPVANNMKAQESFSFMLPDRHCLWEVPLVGCSERVIVYCGLHDNPKSVLSKDGTFCGKPWRKVLDDLGIQETDLWSSDESPEKCLWNAKIFPILPYFDMLTLAKWLTGLDNQQNEALYSLWKKSHRLSLEELHKSIDFPQMCSGSSNHQADLAAGIVNACINFGLLGRNISQLCEEILQKEATRVEICEGFLSVCSKLHTRHSQLVPKSRAYQVHVDLLRACGKEKMASELEQKVWAAVAHETASAVRYGFREKLLESSSLSNAAYLNNNVDGGVKQSFFSRTVKIELPVRVDFVGGWSDTPPWSLERAGYVLNMAITLGGSLPIGTTIETKKGTGVLIMDDIGNQLSIEDLSTIAPPFKSDDPFRLVKCALLVTNVINDNVLQSMGLEIRTWANVPRGSGLGTSSILAAAVIKAILQLTNGDESNENVTRLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVLPLLTSPGLIEELQQRLLVVFTGQVRLAHRVLQKVVTRYLQRDNLLVSSIKRLTELAKLGREALMNRDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFAFCHRFCCGYKLVGAGGGGFALLLAKNRESAEELRHLLNEKSGFDVQVYNWQILQS >itb11g23190.t5 pep chromosome:ASM357664v1:11:25113112:25115841:-1 gene:itb11g23190 transcript:itb11g23190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGSRLVGRRHLCSIPATTVSDIAASAIILSSKIEPGVSIGEDSLIYDSSISGGIQIGSLCIVVGVNVPVANNMKAQESFSFMLPDRHCLWEVPLVGCSERVIVYCGLHDNPKSVLSKDGTFCGKPWRKVLDDLGIQETDLWSSDESPEKCLWNAKIFPILPYFDMLTLAKWLTGLDNQQNEALYSLWKKSHRLSLEELHKSIDFPQMCSGSSNHQADLAAGIVNACINFGLLGRNISQLCEEILQKEATRVEICEGFLSVCSKLHTRHSQLVPKSRAYQVHVDLLRACGKEKMASELEQKVWAAVAHETASAVRYGFREKLLESSSLSNAAYLNNNVDGGVKQSFFSRTVKIELPVRVDFVGGWSDTPPWSLERAGYVLNMAITLGGSLPIGTTIETKKGTGVLIMDDIGNQLSIEDLSTIAPPFKSDDPFRLVKCALLVTNVINDNVLQSMGLEIRTWANVPRGSGLGTSSILAAAVIKAILQLTNGDESNENVTRLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVLPLLTSPGLIEELQQRLLVVFTGQVRLAHRVLQKVVTRYLQRDNLLVSSIKRLTELAKLGREALMNRDIDELGEIMLEAWRLHQELDPYCSNEFVDKLFAFCHRFCCGYKLVGAGGGGFALLLAKNRESAEELRHLLNEKSGFDVQVYNWQILQS >itb07g11540.t1 pep chromosome:ASM357664v1:7:13023065:13026978:1 gene:itb07g11540 transcript:itb07g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSTPRNSAYLTALTQEIEKKLQRALTSPSQRRDLLQQLFADIALEVDDRAKEIIFGSEDVISAAEERIEGPISFFDVLADHFILVPHNGKAILDLIVQLWSQSFCSNIFTLLFHKWLFEVELDNSEVLLRYSSALVQGATNVFWIDIQTNTRRFQSLFQYLLEEVSLVPDRLKKIPLQVQRSLFLLLSRFLFFYDSVEKLETFLKQFPDYPNSFLIGGPADIFVTELADQLQKLKVEPVLLYYLSQTKVLSGLELRMATSTRLKTCLYSFTSPGAPMYPTRAVRHAAWNALNLLFPVGRYPRHLISLFFRLLYPWYWPASCWNFIKSCIMAVLYSILRLIFSGWEKLRKQKEQ >itb07g11540.t2 pep chromosome:ASM357664v1:7:13023065:13026955:1 gene:itb07g11540 transcript:itb07g11540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSTPRNSAYLTALTQEIEKKLQRALTSPSQRRDLLQQLFADIALEVDDRAKEIIFGSEDVISAAEERIEGPISFFDVLADHFILVPHNGKAILDLIVQLWSQSFCSNIFTLLFHKWLFEVELDNSEVLLRYSSALVQGATNVFWIDIQTNTRRFQSLFQYLLEEVSLVPDRLKKIPLQVQRSLFLLLSRFLFFYDSVEKLETFLKQFPDYPNSFLIGGPADIFVTELADQLQKLKVEPVLLYYLSQTKVLSGLELRMATSTRLKTCLYSFTSPGAPMYPTRAVRHAAWNALNLLFPVSIFFDSSRL >itb07g11540.t3 pep chromosome:ASM357664v1:7:13023065:13026244:1 gene:itb07g11540 transcript:itb07g11540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSTPRNSAYLTALTQEIEKKLQRALTSPSQRRDLLQQLFADIALEVDDRAKEIIFGSEDVISAAEERIEGPISFFDVLADHFILVPHNGKAILDLIVQLWSQSFCSNIFTLLFHKWLFEVELDNSEVLLRYSSALVQGATNVFWIDIQTNTRRFQSLFQYLLEEVSLVPDRLKKIPLQVQRSLFLLLSRFLFFYDSVEKLETFLKQFPDYPNSFLIGGPADIFVTELADQLQKLKVEPVLLYYLSQTKVLSGMRIRALTQNP >itb05g26980.t1 pep chromosome:ASM357664v1:5:30597392:30601697:-1 gene:itb05g26980 transcript:itb05g26980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIFISFLLASVLLISWSGIIHGESNEEQGFISVDISNKGLNFAKNILVETAESSLVPLELPDIKKSVDIPVVGKVEMTLSNVVINTIDVVSSTIKTGDSGILIAVSGATANLTMNWRYSYKTWLFPVISDQGKASVQVEGMDMGLTLSLQNQQGSLALSLLDCGCFVEDISINLDGGASWLYQGVLDAFEGKLVSAVEDAVSTRIGDGVVKLDSVLQSLPKEIPITEFASLNVTFIGDPVMSNSSVVLAINGLLNNTNESILLKHYHGLLLPLIAYKDPDEMITIFLHENVIKSALSVYFKVDKMHWIVDQVPEQALLNTAEWRFIIPKLYKLYPNDDMSLNISVSSTPSIKIEKQQIYVTIPADVIINVLDEDEVVPVACISAEITGWVSAKISSSTLAGSVKLDHYTMSLKWSKIGNLHLFLVQTMMSAVLRTVVLPLVNLRLELGYHLPSFYGYELQNAEIFFTDSWITVSSDVAPIKQLNLSYWPSLSSTVTSSGMYMV >itb05g26980.t2 pep chromosome:ASM357664v1:5:30597492:30600830:-1 gene:itb05g26980 transcript:itb05g26980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSNVVINTIDVVSSTIKTGDSGILIAVSGATANLTMNWRYSYKTWLFPVISDQGKASVQVEGMDMGLTLSLQNQQGSLALSLLDCGCFVEDISINLDGGASWLYQGVLDAFEGKLVSAVEDAVSTRIGDGVVKLDSVLQSLPKEIPITEFASLNVTFIGDPVMSNSSVVLAINGLLNNTNESILLKHYHGLLLPLIAYKDPDEMITIFLHENVIKSALSVYFKVDKMHWIVDQVPEQALLNTAEWRFIIPKLYKLYPNDDMSLNISVSSTPSIKIEKQQIYVTIPADVIINVLDEDEVVPVACISAEITGWVSAKISSSTLAGSVKLDHYTMSLKWSKIGNLHLFLVQTMMSAVLRTVVLPLVNLRLELGYHLPSFYGYELQNAEIFFTDSWITVSSDVAPIKQLNLSYWPSLSSTVTSSGMYMV >itb05g26980.t4 pep chromosome:ASM357664v1:5:30597392:30600859:-1 gene:itb05g26980 transcript:itb05g26980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAFAKVEGMDMGLTLSLQNQQGSLALSLLDCGCFVEDISINLDGGASWLYQGVLDAFEGKLVSAVEDAVSTRIGDGVVKLDSVLQSLPKEIPITEFASLNVTFIGDPVMSNSSVVLAINGLLNNTNESILLKHYHGLLLPLIAYKDPDEMITIFLHENVIKSALSVYFKVDKMHWIVDQVPEQALLNTAEWRFIIPKLYKLYPNDDMSLNISVSSTPSIKIEKQQIYVTIPADVIINVLDEDEVVPVACISAEITGWVSAKISSSTLAGSVKLDHYTMSLKWSKIGNLHLFLVQTMMSAVLRTVVLPLVNLRLELGYHLPSFYGYELQNAEIFFTDSWITVSSDVAPIKQLNLSYWPSLSSTVTSSGMYMV >itb05g26980.t5 pep chromosome:ASM357664v1:5:30598027:30599650:-1 gene:itb05g26980 transcript:itb05g26980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAFAKVEGMDMGLTLSLQNQQGSLALSLLDCGCFVEDISINLDGGASWLYQGVLDAFEGKLVSAVEDAVSTRIGDGVVKLDSVLQSLPKEIPITEFASLNVTFIGDPVMSNSSVVLAINGLLNNTNESILLKHYHGLLLPLIAYKDPDEMITIFLHENVIKSALSVYFKVDKMHWIVDQVPEQALLNTAEWRFIIPKLYKLYPNDDMSLNISVSSTPSIKIEKQQIYVTIPADVIINVLDEDEVVPVACISAEITGWVSAKISSSTLAGSVKLDHYTMSLKWSKIGNLHLFLVQVHCLTHRPQ >itb05g26980.t3 pep chromosome:ASM357664v1:5:30597988:30600848:-1 gene:itb05g26980 transcript:itb05g26980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIFISFLLASVLLISWSGIIHGESNEEQGFISVDISNKGLNFAKNILVETAESSLVPLELPDIKKSVDIPVVGKVEMTLSNVVINTIDVVSSTIKTGDSGILIAVSGATANLTMNWRYSYKTWLFPVISDQGKASVQVEGMDMGLTLSLQNQQGSLALSLLDCGCFVEDISINLDGGASWLYQGVLDAFEGKLVSAVEDAVSTRIGDGVVKLDSVLQSLPKEIPITEFASLNVTFIGDPVMSNSSVVLAINGLLNNTNESILLKHYHGLLLPLIAYKDPDEMITIFLHENVIKSALSVYFKVDKMHWIVDQVPEQALLNTAEWRFIIPKLYKLYPNDDMSLNISVSSTPSIKIEKQQIYVTIPADVIINVLDEDEVVPVACISAEITGWVSAKISSSTLAGSVKLDHYTMSLKWSKIGNLHLFLVQVHCLTHRPQ >itb01g11380.t1 pep chromosome:ASM357664v1:1:10246754:10252669:-1 gene:itb01g11380 transcript:itb01g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILLLSSPPLPTSSNHSFPILKNQSSLATPKKTKWEKIVAFSTNGRETTQQNGVSRRDLVFTGLSSLSLIFPSLSSSAEEDVKMASLVDNINSYSYLYPTELPSKGFVFKWVESRKPERYSSAAPLSPDARLRIVSERVDIIDNLIISVTIGPLNSQFLKSEKSTWSAKDVADSVLADKSALRVTSSQRLAESSLLDAHSSQIDGDPYWYFEYLVRKSPTKTALESNLFRHYVASTAERDGYMYTLSASAISKQWNQMGPFLEKSVASFRLLPPTESYVPPYKDPWRFW >itb03g18690.t1 pep chromosome:ASM357664v1:3:16952009:16954432:1 gene:itb03g18690 transcript:itb03g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCIAVSAAMAFAFLFFSSIKPSSSSDVARPLTKLKHPVVVLISCDGFRFGYQYKTQTPNIDRLIKNGTEAELGLIPVFPTLTFPNHYSIVTGLYPAYHGIVNNKFLDPNTGQAFTMQSHDPKWWLGEPLWETVVNHGLKASTYFWPGSEVHKGSWTCPEFYCKQYNGSVPFEDRVDTVLKYFDLPDDEIPSFMTLYFEDPDHQGHQVGPDDPQITEAVGRIDGLIGRLIRGLEERGVFEDVTIIMLGDHGMVGTCDKKLIFLDDLAPWIKIPKDWIQYYTPLLSIRPPANYSAKDVVSKMNEGLKSGNVDNGQYLKVSLKEELPSRLHYSDSDRIPPIIGLVDEAFKVEQTNTNTAECGGAHGYDNAFFSMRTIFIAHGPQFARGRKIPSFENVQIYNLVTTILNIDGAENNGTASFPHEVLLPNQSFKD >itb03g18690.t2 pep chromosome:ASM357664v1:3:16952009:16954432:1 gene:itb03g18690 transcript:itb03g18690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDSASLLSSSNNGATLIPANEEEDPPNSTAGLISFKSKPTSTALIFTALMATTCIAVSAAMAFAFLFFSSIKPSSSSDVARPLTKLKHPVVVLISCDGFRFGYQYKTQTPNIDRLIKNGTEAELGLIPVFPTLTFPNHYSIVTGLYPAYHGIVNNKFLDPNTGQAFTMQSHDPKWWLGEPLWETVVNHGLKASTYFWPGSEVHKGSWTCPEFYCKQYNGSVPFEDRVDTVLKYFDLPDDEIPSFMTLYFEDPDHQGHQVGPDDPQITEAVGRIDGLIGRLIRGLEERGVFEDVTIIMLGDHGMVGTCDKKLIFLDDLAPWIKIPKDWIQYYTPLLSIRPPANYSAKDVVSKMNEGLKSGNVDNGQYLKVSLKEELPSRLHYSDSDRIPPIIGLVDEAFKVEQTNTNTAECGGAHGYDNAFFSMRTIFIAHGPQFARGRKIPSFENVQIYNLVTTILNIDGAENNGTASFPHEVLLPNQSFKD >itb01g06460.t1 pep chromosome:ASM357664v1:1:4651918:4654034:-1 gene:itb01g06460 transcript:itb01g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPARWRVLSGSDNWKGLLDPLDNDLRRYLIHYAAMICPSFETLIREPASKNIGLPRYARRNLLANTGLVKGNPFKYEVTKYFYAVSGYPVGATGYNVRPPPEQRNAVLKESNWNGYVAVATDEGKVALGRRDILIVWRGTMRPLEWVTNFTFLFVNAPLIFGQNSDPLVHRGWYEMYTAINQDSVLNQKSARDQIREEVARLVELYKNEEISITVTGHSLGSSMATLNATDLAVNPFRPDIPVTAFFFAGPKVGDEKFKNAFANQANLRGLRITDVNDIVTKIPPFGWPVGGELLPIIPYQDVGEGLIIESKTSDYLKPELSGLGYHDLVLYMHAIDEFNLPQGGIEPRRNRDFDIAKINKYQDALKDEYSIPVAWLAVKDKGMVQQEDGSYILDDHEVDETF >itb08g09460.t1 pep chromosome:ASM357664v1:8:8704525:8706275:1 gene:itb08g09460 transcript:itb08g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPNIISVVVCFTLVFLHSVASAKYEDTYIVRVSPPAAINGFSEDNYESYFQSFVSDSGSDEAERSRIVYSYRHVASGFAAKLSPEMVSEMRKKKGFISARPQKVYHTYTTHSPNFLGLHQNFGLWQNSSYGKGVIVGVLDTGITPNHPSFNDENMPPPPEKWKGTCAFEGSACNNKLIGARNFVSEVSPPPPPVDEEGHGTHTASTAAGNFVANVSVIGSAKGTAVGMAPLAHLSIYKVCTEDGCAESAILAAMDAAIEDGVDVLSLSLGGASESFFEDSIAVGAFAAMEKGIFVSCAAGNDGPDYSTLSNEAPWILTVGASTIDRTIKATAVLGNGEQLSGESAFQVHNFPPTLLPLVYPGAKDEDAALCGAGSLDKSDVKGKVVLCDRGGGIARVDKGKTVKEAGGAAMILVNQEIDGYTILADTHVLPATELSYNDGLIVKGYINSTTTTNSPPTATIVFKGTIIGTKTAPSVASFSSRGPNSASPGILKPDILGPGVNILAAWPFSVDNNTKRAPRLVSGCYKIRDHHYRGPVQPKRQQDSRRKVGSC >itb08g09460.t2 pep chromosome:ASM357664v1:8:8704525:8706762:1 gene:itb08g09460 transcript:itb08g09460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPNIISVVVCFTLVFLHSVASAKYEDTYIVRVSPPAAINGFSEDNYESYFQSFVSDSGSDEAERSRIVYSYRHVASGFAAKLSPEMVSEMRKKKGFISARPQKVYHTYTTHSPNFLGLHQNFGLWQNSSYGKGVIVGVLDTGITPNHPSFNDENMPPPPEKWKGTCAFEGSACNNKLIGARNFVSEVSPPPPPVDEEGHGTHTASTAAGNFVANVSVIGSAKGTAVGMAPLAHLSIYKVCTEDGCAESAILAAMDAAIEDGVDVLSLSLGGASESFFEDSIAVGAFAAMEKGIFVSCAAGNDGPDYSTLSNEAPWILTVGASTIDRTIKATAVLGNGEQLSGESAFQVHNFPPTLLPLVYPGAKDEDAALCGAGSLDKSDVKGKVVLCDRGGGIARVDKGKTVKEAGGAAMILVNQEIDGYTILADTHVLPATELSYNDGLIVKGYINSTTTTNSPPTATIVFKGTIIGTKTAPSVASFSSRGPNSASPGILKPDILGPGVNILAAWPFSVDNNTSNNGNATFNIISGTSMATPHLSGIAALLKSAHPDWSPAAIKSAIITTADQYNLNGSKILDERLVPADVFATGGGHVNPSRASDPGLIYDLNPDDYIPYLCGLNYTDQEIQQIVQRKVECSQVTSIPEAQLNYPSFAIWLGQTSLTYSRTVTNVGEANSMYTVKVSPIPGVDVGVTPDTLVFTEVNQKLTYNISFSPSSTPVDEDMVQGAIAWVSQNHIVKSPIALIFQ >itb06g19600.t1 pep chromosome:ASM357664v1:6:22865297:22865830:1 gene:itb06g19600 transcript:itb06g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSIGTPPFETYGIADTGSDITRTQCQPCTNCFNQSLPMFDPKKSSSYKTMPCDSSTCSSSTSFSCGDDDVCQYLVTYGDLSTTTGDVAMDTLTLGNTSFKSVLFGCGHENGGTFSDSTSGIVGLGGDGVTSIIAQLDQQISGKFAYCLSADANSSSHISFGPDAVVNGAKAVSRR >itb01g26140.t2 pep chromosome:ASM357664v1:1:31366960:31371874:1 gene:itb01g26140 transcript:itb01g26140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVEELADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSVEFNNKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNPKNQKCELDDPLILIHEKKISSINAIVKILELALKKQRSLLIVAEDVDSDALATLILNKLRVGVKVCAIKAPGFGENRKANLQDLATLTGGQVITEELGLNIENLDMEMLGTCKKVTVSKDDTVVLDGAGEKTSIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLFASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNADLGYDAAKGEYVDMVKAGIIDPVKVIRTALVDAASVSSLLTTTEAVVVELPKDEKETPAGMGGGMGGMGGMDY >itb01g26140.t3 pep chromosome:ASM357664v1:1:31366960:31371874:1 gene:itb01g26140 transcript:itb01g26140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNPKNQKCELDDPLILIHEKKISSINAIVKILELALKKQRSLLIVAEDVDSDALATLILNKLRVGVKVCAIKAPGFGENRKANLQDLATLTGGQVITEELGLNIENLDMEMLGTCKKVTVSKDDTVVLDGAGEKTSIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLFASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNADLGYDAAKGEYVDMVKAGIIDPVKVIRTALVDAASVSSLLTTTEAVVVELPKDEKETPAGMGGGMGGMGGMDY >itb01g26140.t1 pep chromosome:ASM357664v1:1:31366960:31371874:1 gene:itb01g26140 transcript:itb01g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLSNIASKARVAKNSSQQVSSRLGWSRNYAAKDIRFGVEARALMLQGVEELADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSVEFNNKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNPKNQKCELDDPLILIHEKKISSINAIVKILELALKKQRSLLIVAEDVDSDALATLILNKLRVGVKVCAIKAPGFGENRKANLQDLATLTGGQVITEELGLNIENLDMEMLGTCKKVTVSKDDTVVLDGAGEKTSIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLFASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNADLGYDAAKGEYVDMVKAGIIDPVKVIRTALVDAASVSSLLTTTEAVVVELPKDEKETPAGMGGGMGGMGGMDY >itb12g23680.t1 pep chromosome:ASM357664v1:12:25314788:25315360:1 gene:itb12g23680 transcript:itb12g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFIVGELARRGGGFLVPLVDLISGNLPGEEIVFDAVDNVGCEDGAAALDVDFGPGIDGLVVGVEDLILDLELGVEVVGGAVGLVECNVAQEVGVADLEVLDTVVDVLDATPVDIPLDDAFDVGLDNEVNVDLDLLKVGITPGIEGFDPPEYECLRLSVLEVLNCGEDAGSLDVKLLLAMGSGWGFDS >itb10g19690.t1 pep chromosome:ASM357664v1:10:25453571:25457028:1 gene:itb10g19690 transcript:itb10g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFKPSSLLILLLVFPFSVWMVSVSGTGNETIDIGAIIDLNSRAGKEHKVSLEIAVQKFNDHSRTHHISVHFRNASMDPIQAVFAADELVRGKQVKAIIGMNTWEEASLVAEVVAKRAEMVPVLSLAASSGKPESVQLQWPFLVQMAANSSEQVKCISAIIHSWSWRKVVVIYEDGLYGADAGMLGALSDSLEDIGVDIEYHLILPEFSSLSGDSERIVRGEVLKLLEKQSRVFIVLRSSELMAGFLFREAKEIGLMGRESVWLLADSVSDLLDSVGTSFALSVQGALGIKNHYVETTKPFQDFQTQFQKLFRSEFPDEDHSKPGIHALQAYDSMNTIAQAIMNLSKNNVVDSKSLLTRILSSNFTGLSGDVHFQHGARSQQVSIFRIVNVVGLKYKELGFWSSDHGFSDSLEAGSSSGGIQELSSLVNWPGELGRVPKGWAMPTYAKPLIIGVPGRTVFDKFVKVDWAKIPHKYTGFCICVFENVLEILKKNYIVNYDFKPYNGTYPDLVHFLANKTYDAIVGDITILAERSKDMDFTQPYVESGLTMIVPVKTDKSKKAWMFLKPFTRNMWVVTSAILFYTMFIIWFLEHQSNENFRGARRDQLGVALWFTFSSLFFAHKEELKSNYTKLVVIVWLFVVFVLTSSYQASLTSMLTVSRLEPSVTDIDWIRRTNAPVGCDGDSFVKDYLRNVLKLKNITIVGNQYDYPELLKNGSIKAAFLELPYAKLFLKEYNNDFTSAGVTYRFGGLGFAFQKGSPLARDFSEAILTLLENGTMQKLEEEWLGSSMTLVNNDTSVKTQSLSLSSFWGLYLLSGATSTICFLIFVCRLFLRKSRQHSQVQDENEPKTEQGARPASLMKTATFGHIDRLRSSEWELVSPSDTSEMFDASHPAEIQITTMN >itb13g15480.t1 pep chromosome:ASM357664v1:13:22337044:22339628:1 gene:itb13g15480 transcript:itb13g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MFLFQNNGSSPTMAANRTRQASRRISTEIAGLPNQQQAGTQKRKGEDALLPQTNSSANEPSSGLNQQSLRNTAGKEMQAANFYLAKAWFHSSQPMTRSRSSELRRRYAAMQSSQLGVEHLQTESGSGIETFKQEFGNTNGFTDLPTRYEMPNQLSSFVSPSNSSSSTFEAQNVDAADKISSVVSMLKGTLERKKLSGHCHTTIEALEDSSAGIYGAHEVLCNSSLNHMLGGHVYEKHEALQDASFFQVNETRVFQAAGGSLDAKLEDAMAPTSLIQIGAPSQELSQSESSVVPLVISTGFDICDGSSNTYQAQNGRSTENGSSTRDIRERTYENSKDNQKKGGLVRYGSVKSSQSVENGDPTKKRRVERSRKMAEAKERNLTPAVPSDMQSVLKRCENLEKEVRSLKLNLAFMNRKDSEQIKQIEELQKQNEDLSKEKERLLEEIERIISETSNM >itb11g21850.t1 pep chromosome:ASM357664v1:11:23637044:23638477:-1 gene:itb11g21850 transcript:itb11g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQYAYFNSEAAAIVQIKIPTTLNTPFEPEVKLVFSNPSKPPLPSGMGVFSHNHILYMVGGYNTRKFKGTGNNRREVKDDDDAYGCIFRYEYEYDDRVHMFDPTKCHQIPVENIETLQNLGCAHTVLPKVIRAEDRIYLLSRKDDHFGYRIWSSKKHLGENVPLDFQYFDLNKKLFETLRPPPIRINLEMHLSVIGVQGHFFLRGYIYVFITDTTTCFETFKFSTKDSKWEDCKSFVDRFEERNIPFPFLHAGDMGVSDEFDDNTWILVSLHGKLPTAYRVRLSDTGDIDPISHRVLAEFKFSDADMPYSVRDWKQLADMGGERFCVMHTTSSGDFFIYVFEINFRLEHAIQTFESGDRSSNIIFSMKFNPYDTLPKGHVLTGFCIASAPLPASPDNEDQDKTPPRASPDNEDQEDQDKNPPRASPDNADQEDQDSSSCAAE >itb09g12690.t1 pep chromosome:ASM357664v1:9:8017436:8021371:-1 gene:itb09g12690 transcript:itb09g12690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWQRHLQCLLRQAGRRFGHTHDVPFNNSYFHSKASLVTGQVPHSPSLRNLLSPCSSRPLFQYLQQSGFTSSRALLAESSDATPVSSPLTPLITSGDGKTEAGGTISKPSTVQAVLKGIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANATHNYGLDPDRLLVAEAFVGKGLYLKRVSYHAKGRSGVMERPRCRLTVVVREITPEEEAEIAKLRVSNFRKLTKREKRLVPHKLIETTPVWDRKRRGNTHRPGGTAA >itb10g06390.t1 pep chromosome:ASM357664v1:10:7095749:7097564:1 gene:itb10g06390 transcript:itb10g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKMKKTFYFSLLFFLGFQPLCGVSGTSETSDFYQKLVDCLTTNAKPPIPKDQATKIVYEPKNGNFMSILNAYVRNRRYNTTESPKPDVIVAPLEETQVPAIVTCALDAGVPIKVRSGGHDYEGLSYVAGSEYLVLDMFNLRSVEVDVGTQTAWVQTGATLGELYYRISEKSPTLGFPGGVCPTIGIGGHISGGGYGNMVRAYGLSVDHVVDARIVDVKGKVLDRKGMGEDLFWAIRGGGGASFGVILAYKIELVKVPEKVTHFAVEKTLEEHATNVMVEFQRAVETMDPNLFIRLIVQSTPPGEIQQKRNIKLTAMGLFLGDAAKLLPIMNKEMPVLGLNSSGCQEMTWIQSVLEWADYDHTTTKPEVLLGRVPDQVLFTKRKSDFVMTPIPREAIPPMWKKVIELGDIELKFNSFGGKLPEICSDEETPFPHRSGVLYLIQYAVSWKEEGSEGEMLFQQQARDMYKFMGPFVSSNPRRAYLNYRDVDLGTTEHGVHALSEGRLYGTKYFNKNYDKLARVKTTVDHRNFFRNAQSIPPLTQARPRNRKQADSS >itb05g03850.t1 pep chromosome:ASM357664v1:5:3276833:3277819:1 gene:itb05g03850 transcript:itb05g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEEKMGQFPQFDVVSDISDHKYFNASSYMQLPAIRAVMREWKILEKNLPGGSIFVRAYEGRVDLLRAAIVGPQKTPYHDGLFFFDFQFPNNYPHAPPKAHYWSFGRCLNPNLYENGWVCLSLLNTWRGPKETRWQPGVSTMLQVLVSLQALVLNQSPLHNEPMILTKLMTTSYTYNDTAFVLTCRTMLSLIRTPPRGFETLVKNHFGTRAHAILAACQVYLNGFARVGDYAYGGGLSPRRLFAASSEEQRRVVFSVNSDFKRSLKVEYKALVVALSKHDPSLKGFSQELERMVNDAVKNSVPPPSFCNLNLLFRRFWEWIWMGSIE >itb12g21880.t1 pep chromosome:ASM357664v1:12:24085488:24093559:-1 gene:itb12g21880 transcript:itb12g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELPQSIGDLENLVKLKMEGCKNLRALPSSIRNLMSLNHLDLKGCSKMKGLTQSIGDLENLVELKMEGCNNLIGLPNSIRNLMSLKHLDLKDCSKMKELPQSIGDLENLVELDLSNCEDLNALPSSICNLKSLEWFSLACCSKIKELPIDLGKLKWLKYLNASKTSVTHVPTSCGSLRHLNYLILGPQPSRGRYYDEECIGHSNTNLCSLATLQTPYEHVQHLDLPIGDGILTTLNLSYSDFDTLPFNLSHLSKLEYLVLNNCPNLSVIEDDLPLSLYHFSVENCPLLKSIPDLSGLLRLRVLNVGKCSNLIEVVGVENLVNLERMDIRGCSALSSKYWCDNFFKGLFKSPNIGGHFYGFVRMGVSKDMVPQYLWSNQGVGCSSANYAVSSNSWGEKLYKPLFKSPNIGGAMRRSNEVGECSSVNYTLPLFLKNKRIFIIVMVSCSLHPWSLWIPHFKQFSVTEHLDGKKELECVVYEQPNEVKEVEVLIELYSVKLKEVEDKLSIETRVVYEDEENCLVLEKASVLSGIEVRSLKLPNCSNLVELRDLENLTSLEDIDIRNCSTLSSNSCFVNLFKAHFQKSDLQQFTVWVSKDMIPEYLWCNEVVGSSSNYKLPLFLEEKLILIVLISRGCVGRCFFKNKTTGVELLIFELGYPLGPHNDDMVLECKVYDHFTEPIKVEELEVFIELYPLRIKTPKENLTIHTCIVYADKDGELYFFPSSIIGDMKKGVAFGMFCACSLYLDVLVFLADTISSPQHLGHSLGSPNSSFLCQQSPASSGLLAHSIACSSTASTDHQTTILAPLVTAMSSTELPPLSFAFSRSAASRTAVPSFIACLRSPLLK >itb02g12840.t1 pep chromosome:ASM357664v1:2:8874310:8877150:1 gene:itb02g12840 transcript:itb02g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLKRKHSPSPPSTPSSLKPPNRTGGAAADESNPFPAFPNPTFEECQAVRDDLLALHGFPQDFLKYRKQRLLLNQNLPDLDQSLSNSEQLNEEGGDDDSCGQESVLDGLVGAILSQNTTEVNARRAFASLKSSFPAWEDVHAAEPKLVETAIKCAGLAPSKTSCIKGILSCLLEKKGKLCLEYLRDLSIEEIKRDLSCYGGVGPKTVACVLMFHLNQDDFPVDTHVFQIARTIGWVPAEANVKKTYLHLNQRIPNELKFDLNCLLYTHGKACRVCSGKGSYKAEADSNQKPPCPLLKYVSSSK >itb02g12840.t2 pep chromosome:ASM357664v1:2:8874330:8877150:1 gene:itb02g12840 transcript:itb02g12840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLKRKHSPSPPSTPSSLKPPNRTGGAAADESNPFPAFPNPTFEECQAVRDDLLALHGFPQDFLKYRKQRLLLNQNLPDLDQSLSNSEQLNEEGGDDDSCGQESVLDGLVGAILSQNTTEVHAAEPKLVETAIKCAGLAPSKTSCIKGILSCLLEKKGKLCLEYLRDLSIEEIKRDLSCYGGVGPKTVACVLMFHLNQDDFPVDTHVFQIARTIGWVPAEANVKKTYLHLNQRIPNELKFDLNCLLYTHGKACRVCSGKGSYKAEADSNQKPPCPLLKYVSSSK >itb04g10230.t1 pep chromosome:ASM357664v1:4:9517590:9518573:-1 gene:itb04g10230 transcript:itb04g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNCTSSLPPKPRRHLTEKHRREQMKGLYRRLASLVPHEKSLEKSPAFDVLDHATNYIKQLESNVNELKARKDSLQLPVSITVNECEGGESLEINIVCGLEKKEVMKMHKVFRILQEEGAQVVSATNSTVDLKIYHTIICKAFSPRIGMDTTRVQERLKNFISDLI >itb01g25040.t1 pep chromosome:ASM357664v1:1:30550303:30553068:1 gene:itb01g25040 transcript:itb01g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRSRPLNVYLYIPNIIGYIRILLNCIAFAVCFVDKKLFSFLYFISFVCNALDGWFARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGLLFLSLLALDIASHWLQMYSTFLVGKTSHKDVKDSSNWLFKAYYGNRIFMGYCCVSCEVLYITLFLLPQNQTENLFDVLISTATKSWLYLALIALLILGWSIKQFVNVIQMKSAADICVLYDINKKQ >itb01g25040.t2 pep chromosome:ASM357664v1:1:30550303:30553068:1 gene:itb01g25040 transcript:itb01g25040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRSRPLNVYLYIPNIIGYIRILLNCIAFAVCFVDKKLFSFLYFISFVCNALDGWFARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGLLFLSLLALDIASHWLQMYSTFLVGKTSHKDVKDSSNWLFKAYYGNRIFMGYCCVSCEVIISKNP >itb07g18580.t1 pep chromosome:ASM357664v1:7:23033931:23035934:-1 gene:itb07g18580 transcript:itb07g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELCLPRKTWFSSLLISDLKQFCSFLLSQPLYLSYFVFFSPYLLKIISFLFPLVFTTSLLLLAFLTVSPGLIRKFLPHSKVGHLLSACHAVVEDGTQECFDLEDFEAYKIVFEGPIMDVQESQSQFSEQSLVENSMEKEDKKLEDFVPKVESPTSRVPYTLVQQSIWEHHDNSMTQQVKLNFSVPYPPDKQSIWKDIKKVTQQAELNVSMPYTPTEQHIWKDINQDNSVVQQTGLNTVTHKELVGELESMGSVKGEKKVEAQGANADKVVENQKARNGSEDEEANVNKTKTKTPITGNDSQSLKVESSRTLDYNLVSNGSMRKEKEWKRTLACKLYEERNTRDGSGEGMDLLWETYEMDAMKSKVESKKKTKKRWESKRYNKVDSEDEEEEVNDQLCCLQALKFSAGKVNLGMGIGKPNLMKISKAIKGFGWLHHVSSKHSKKVHIEDRL >itb02g07020.t1 pep chromosome:ASM357664v1:2:4371412:4376548:1 gene:itb02g07020 transcript:itb02g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGTWTVREIWEFMGMAMLRCVVVSVVFLTALAAIDSDWKLPSSHLGNAAPFYLQKTGKHKAAQLCNQCKKEFLEISEDGPYLDVRFPTPSEGNRSFIQSYYTLLAKRSLWVAVSYLFSQEREQHIHMENSTLHGVLKDDNVSGAGLIKYKYKEFLSTLSRVPRRSLRFKKYKRVEDTGSDQVQVHSPAPSPENAAVSSVSDQGSSEEAADSSNSSDPSSSESQEKESKSESEEEPTETSKSSTDSSRPTIAARKTKKVASSSSKNEDSSVILVAIIGVASVALLIVVIFLVCSLKEMDDNENDNDNENDNDRPRDEKPLIKVEPAADISAADSPNDSQSTENPGENDSQSLPSANNDLPVATESETPSETNTMATEATEAAPVKSHAALPLPPGKSAPPPPANPSPPPPGPPGPPGPPEPQGPPEPPVPTGPPEPTGPPAPTGPPAPTGPPAPKPPGPKCPPPPGARPPPNPPKPGSGPKSAPPPGPNHKGPNSANDGTENGESPKAKLKPFFWDKVNASPNTSMVWHEIRGGSFQFNEDLMESLFGYSPAETKNDRKKEPKASSQFIQIIDAKKAQNLAILLKALNVTTEEVCDALKEGNELPPELVQTLLKMAPTADEELKLRLYTGDIALLGIADRFLKILIEIPFAFKRMESLLFMSILQEEVAYTKESFTTLEVACNELKNSRLFLKLLEAVLKTGNRMNTGTYRGGAQAIKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGLRAARTLNESYSMSSVKTEDLVNDAPHDSVEYHRKLGLQVVSQLGDELKNVKKAAVIEGDNLTQTVAKLGHSLLKSKDFLKKDMSSLEGDEFGITLTSFLEHAEGEIPTLLKEEQRIMALVKSTGDYFHGKSGKDEGLRLFVIVRDFLVMLDKVCKEVEKEAKEKEAKAQVKPPKKETASTPSSSSPQEPKTESLPDTPQQLFPAIAPSSSQEPQQESLIDMRQRLFPAITARKVDDDFSSDDESTDSS >itb01g27380.t1 pep chromosome:ASM357664v1:1:32209387:32213085:-1 gene:itb01g27380 transcript:itb01g27380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINNGGKGKNSTSGVENSVGAGSGVFVAPPMRFQNNQKINMEWSAEEQAILEECLVKFAYDSNIVRYAKIAVQLNNKTVRDVALRCRWMAKKENARKRKEDLNPLRKMKDKKDKLIDPASTTSSNLMVQPGFSPYAQGTATNSNGNSASLNATVQLLQHNSQVFDQISANLSSNQIQDNIVLLCQARDNLFRILSNLNEQQNFVARMPPLPVEVNEELANSILPPSTRLRK >itb06g20120.t2 pep chromosome:ASM357664v1:6:23187399:23189445:-1 gene:itb06g20120 transcript:itb06g20120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPPPSQPTTPSRFRRICVFCGSSAGKNPSYQLAAIQLANQLVERNIDLVYGGGSIGLMGLVSQAVHNGGRHVLGVIPKTLMPREITGETVGEVRPVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVTLLIFYFSHSLFNDMH >itb06g20120.t1 pep chromosome:ASM357664v1:6:23185813:23189445:-1 gene:itb06g20120 transcript:itb06g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPPPSQPTTPSRFRRICVFCGSSAGKNPSYQLAAIQLANQLVERNIDLVYGGGSIGLMGLVSQAVHNGGRHVLGVIPKTLMPREITGETVGEVRPVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFITPAARHIIVSAQTAQELMSKLEDYVPKHNGDAPKLSWEMEQQLGYTTTKSEIAR >itb12g07450.t1 pep chromosome:ASM357664v1:12:5574679:5577099:1 gene:itb12g07450 transcript:itb12g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKLSYPQQIAVTATGVIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLTRKIRHDYFNVEHAAVAKE >itb01g35500.t1 pep chromosome:ASM357664v1:1:37671126:37672399:-1 gene:itb01g35500 transcript:itb01g35500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFVSDSDDEKAVEELLSQAMDQSVLEQVAAINCSGFSHSDLPDHLEARFRKLKTFPATKPKPSNPCSKSFNVSQSVDFPGKKEDRAEEKGLKENPDSSIASPIPKLETENHDFSASKKDPDRKMDSEPKSGSGSSSFSVGSPDFSTEPPSPPAKTGCMWCSPKKVSKKKGKENRGATIIGKGFDWGKSDAEFLSDLSTFSKKNQEKMLKKAMKEEEKINREAEKIVQWAKQASARIDFAGLDDELTDNEAHGR >itb06g10190.t1 pep chromosome:ASM357664v1:6:14517596:14521468:1 gene:itb06g10190 transcript:itb06g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVISAAFPTIIEAVKGCLGLNESVEDLQTQVNQLTNQLGDLHTKLTNLEQGGKRKRKQEVISWIEQATKLINDFPTVKDRAIHGPSWDVWEKYLSINRVKKMSEAVKDAKNDLRNIGELTVVDVDESTIPSLFVSELSGKTSNVYLEKLYRWLEDDNISSIGVYGMGGVGKTTLMRHVHNQILQKMSGVKVYWVTVSQDFSIEKLQDDIARTAGLQFHDENEELRATILHQHLVGKKTVLILDDVWKFIDLEKLGDPHRIEGCKFIITSRSSEVCRQIGCQNCLKVDVFNEEEAWNLFKQILLIYDHIVVSNAIEEHAKSLAKKCGGLPLALKTVAASMRGVNDDHIWRNAVKNFQTASQLETLENNVFQRLEFSYNRLNDQGLKECFLYCCLYPEDGQIKKDEIIIKFIAEELCEDIDEGHSILKKLVDVFLLEEGEECVTMHDLMREMALKISKFLVKFELVEIPEEKHWTAELERVSLNSNTLKEIPNDFSPICHKLSTLNLRNLYWKTGIKEIPESFLLYMSQLRVLDLSDNYQLKCLPNSISNLENLCGLFLKGCRRISCLPAMKKMEKLGVLNIEYCDRIRELPQDMECLVSLQYLHMMGTSTDLEIPKGVISKLRNLKCIQLNNCGRLQSEDLNCLPHLQEFWCCYDKLHDFNSLVKNLEQLKCYYICVTSLHFHRQSLPLGSNVKKVYFRRINFTLVLIPANITSLHIEDCDGLNGCIADYFQSIMSNLRELEVDQCREVEWILNSKQIIENVSNTGATPFKSLEVLDIYDLPKLISVCKGEDVILPDNCTFSSLKHLEIFGCDSIKKLLPYALLQNLKNLEKLDVRYCSQLEVVIGGVGGDGEEEGSSNTPIYLPKLKQLSLSNLRELKSICNGREMICPSIEEINIWCCTNVKRMPSFLPVNEATEQPYIPSSFRKIKLFEDEKEWWESLELHDPNAKYILQSHIQWLHPIGQLWEVAE >itb15g12520.t1 pep chromosome:ASM357664v1:15:10411498:10414099:1 gene:itb15g12520 transcript:itb15g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFRFFNREWFDLFLLHLLEVPGGTNNNNYANVQLIVEAVKKSISMQITSGSEMVKLEKDPHAAFALIIDGKTLCYALEDDMKQKILNLAVDCASVICCRVSQKQKALVARLVKEGTGKTTLAIGQKECRLDLTLFILGELTTKTEQSGKIFAGAFPENYEPPSGFYFEVNDDSPVVQDDTNLFDYNVLERVNDFVAAALSEACI >itb01g06160.t1 pep chromosome:ASM357664v1:1:4347429:4354253:-1 gene:itb01g06160 transcript:itb01g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G18780) UniProtKB/TrEMBL;Acc:A0A068FHR7] MQTLGGWQQRLQGKPITKMMQSGVPSCSVCGEQIGLASNGEVFVACHECNYPLCKSCVEYELKEGRNACMRCGTPYDENSAMADGEREESGNHTTMAAQLNNSEDLGLHARNISSVSTMDSEYNGESGNPIWKNRVESWKEKKNKKKKPEKKAAKEEEIPAEQQMEEKPQSADAAETLSAVIPIPKSQLAPYRTVIIMRLVILSLFIHYRVTNPVESAYPLWLTSVICEIWFAFSWVLDQFPKWAPINRETYVDRLSAWFEREGEPNALAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFESLAETAEFARKWVPFCKKFAIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAIKREYEEYKVRINALVAKAQKTPEDGWTMADGTPWPGNNTRDHPGMIQVFLGNTGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAILTNAPYILNLDCDHYVNNSKAIREAMCFLMDPQVGRDVCYVQFPQRFDGIDKSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYSPPNMPRLSKSSSSCSFGSCCCCCRGKKPAKEKDPSELYRDAKREDLNAAIFNLKEIESYDEYERSLLISQMSFEKTFGISSVFIESTLMENGGVPDSADPSTLINEAIHVISIGYEEKTAWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSIPLVAYCSLPAICLLTGKFIIPTLSNLASALFLGLFMAIIVTSILELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGIDTNFTVTAKAADDTEFGELYIIKWTTVLIPPTTILIVNLVGVVAGFSDALNSGYESWGPLFGKVFFSFWVIFHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLIWVKINPFVAKNDASTLAQSCISIDCN >itb12g05010.t1 pep chromosome:ASM357664v1:12:3307968:3311948:-1 gene:itb12g05010 transcript:itb12g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGRRSNSFRGALPLDSSSDGKSPATVVWLVLHALCCLISLVLGFRFSRSVFFLFLSNSSTPSNTLYSLPALSVLEAPANGTVSSSRVVVGRHGILIRPWPHPNSTEVMQAHKIIEIVQREQRFQYGVKTPRTVIAITPTYVRTFQTLHLTGVMHSLMNAPYNVIWIVVEAGGITNETSSLLAKSGLRTIHIGFREKMPILWKHRQKLESKMRVHALRHVRDEKLDGIVMFADDSNMHSLELFDEIQKAKWVAAMSVGILAHSGGAEAKHMQLPVQGPACNSSNNLIGWHTFDSSPYLEKSARYVGDKAVVLPGKFEWAGFVLNSRLVWKDAEDKPEWVKDLDSIVEAGEDIDSPLSLLKDSSVVEPLGNCGRKVMLWWLRVEARADSKFPARWIIDPPLDVTVPAKRTPWPDAPPELPTTEKLISIQENTEKRTTKPRSRRRSSRKRKHVARNIDMQASSTSRSGEN >itb01g32230.t3 pep chromosome:ASM357664v1:1:35769189:35772713:-1 gene:itb01g32230 transcript:itb01g32230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MESICAARSTRARFLTPHFRTLTSHHVINGRLPAMPPSSSNRRHNLSLPPSFPINLLGQRFEIRRRKTVHTEHSPSTSSRFAIRASSESTDAPPRNTKAVILNSAVTVILGIANRVLYKLALVPMKEYPFFLAQVTTFGYLGIYFCMLYLRYRAGIVTKDMLTLPKSSFWLIGFLEALGVVSGMYAGAMLPGPSIPILSQTFLVWQLALSFLLLGRTYSPNQIFGCLLVAAGVVLAVTSGSGSGNMLSGVALLWPVLMIASSAFQAGASIIKESVFIDAATQLKGKMLDIFVVNSFGSGFQALFVLLFLPFLSNLKGIPFSQLPSFLKSGAGCFFNIGNDTSGYTSHSGS >itb01g32230.t4 pep chromosome:ASM357664v1:1:35767082:35772733:-1 gene:itb01g32230 transcript:itb01g32230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MESICAARSTRARFLTPHFRTLTSHHVINGRLPAMPPSSSNRRHNLSLPPSFPINLLGQRFEIRRRKTVHTEHSPSTSSRFAIRASSESTDAPPRNTKAVILNSAVTVILGIANRVLYKLALVPMKEYPFFLAQVTTFGYLGIYFCMLYLRYRAGIVTKDMLTLPKSSFWLIGFLEALGVVSGMYAGAMLPGPSIPILSQTFLVWQLALSFLLLGRTYSPNQIFGCLLVAAGVVLAVTSGSGSGNMLSGVALLWPVLMIASSAFQAGASIIKESVFIDAATQLKVCEEFLST >itb01g32230.t5 pep chromosome:ASM357664v1:1:35770599:35772761:-1 gene:itb01g32230 transcript:itb01g32230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MESICAARSTRARFLTPHFRTLTSHHVINGRLPAMPPSSSNRRHNLSLPPSFPINLLGQRFEIRRRKTVHTEHSPSTSSRFAIRASSESTDAPPRNTKAVILNSAVTVILGIANRVLYKLALVPMKEYPFFLAQVTTFGYLGIYFCMLYLRYRAGIVTKDMLTLPKSSFWLIGFLEALGVVSGMYAGAMLPGPSIPILSQTFLVWQLALSFLLLGRTYSPNQIFGCLLVAAGVVLAVTRS >itb01g32230.t2 pep chromosome:ASM357664v1:1:35767083:35772713:-1 gene:itb01g32230 transcript:itb01g32230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MESICAARSTRARFLTPHFRTLTSHHVINGRLPAMPPSSSNRRHNLSLPPSFPINLLGQRFEIRRRKTVHTEHSPSTSSRFAIRASSESTDAPPRNTKAVILNSAVTVILGIANRVLYKLALVPMKEYPFFLAQVTTFGYLGIYFCMLYLRYRAGIVTKDMLTLPKSSFWLIGFLEALGVVSGMYAGAMLPGPSIPILSQTFLVWQLALSFLLLGRTYSPNQIFGCLLVAAGVVLAVTSGSGSGNMLSGVALLWPVLMIASSAFQAGASIIKESVFIDAATQLKGKMLDIFVVNSFGSGFQALFVLLFLPFLSNLKGIPFSQLPSFLKSGAGCFFNIGNDTSGCDGAPLLPLLYITTNIAFNISILNLVKISTAVVSSLAVMSSGFTLSFKFAHSLLLHVH >itb01g32230.t1 pep chromosome:ASM357664v1:1:35767083:35772713:-1 gene:itb01g32230 transcript:itb01g32230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MESICAARSTRARFLTPHFRTLTSHHVINGRLPAMPPSSSNRRHNLSLPPSFPINLLGQRFEIRRRKTVHTEHSPSTSSRFAIRASSESTDAPPRNTKAVILNSAVTVILGIANRVLYKLALVPMKEYPFFLAQVTTFGYLGIYFCMLYLRYRAGIVTKDMLTLPKSSFWLIGFLEALGVVSGMYAGAMLPGPSIPILSQTFLVWQLALSFLLLGRTYSPNQIFGCLLVAAGVVLAVTSGSGSGNMLSGVALLWPVLMIASSAFQAGASIIKESVFIDAATQLKGKMLDIFVVNSFGSGFQALFVLLFLPFLSNLKGIPFSQLPSFLKSGAGCFFNIGNDTSGCDGAPLLPLLYITTNIAFNISILNLVKISTAVVSSLAVMSSVPLSIYLLSLPLPYLPEGSSLSPFFVLGSLVLIIGLVLYNLRWPLKQDSETVRD >itb04g28210.t3 pep chromosome:ASM357664v1:4:32023908:32026220:1 gene:itb04g28210 transcript:itb04g28210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD3 [Source:Projected from Arabidopsis thaliana (AT2G29980) UniProtKB/TrEMBL;Acc:A0A178VX43] MGSLGVSDDLKDALPVNGGVAVADDSVDVEFDPSAPPPFRIAEIRAAIPKHCWVKNPWRSLSYVLRDFIVVASLMAMVVYLNNWVFWPVYWAAQGTMFWAIFVLGHDCGHGSFSDSALLNSVAGHILHSFILVPYHGWRISHRTHHQNHGNVERDESWVPLTESLYKKLDYSTKFLRFKIPFPLLAYPVYLIWRSPGKNGSHFSPYSDLFQPEERMCIVISTVCWSTMASILVYLCTVFSPLLVFKLYGVPLLIFVMWLDLVTYLHHHGYDKKVPWYRGKEWNYLRGGLTTIDRDYGIINNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKHVLGKYYREPKKSGLIPIHLIPILTKSMSEDHYVSDEGEVVFYQTDIDLSAKKDA >itb04g28210.t1 pep chromosome:ASM357664v1:4:32023093:32026696:1 gene:itb04g28210 transcript:itb04g28210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD3 [Source:Projected from Arabidopsis thaliana (AT2G29980) UniProtKB/TrEMBL;Acc:A0A178VX43] MGSLGVSDDLKDALPVNGGVAVADDSVDVEFDPSAPPPFRIAEIRAAIPKHCWVKNPWRSLSYVLRDFIVVASLMAMVVYLNNWVFWPVYWAAQGTMFWAIFVLGHDCGHGSFSDSALLNSVAGHILHSFILVPYHGWRISHRTHHQNHGNVERDESWVPLTESLYKKLDYSTKFLRFKIPFPLLAYPVYLIWRSPGKNGSHFSPYSDLFQPEERMCIVISTVCWSTMASILVYLCTVFSPLLVFKLYGVPLLIFVMWLDLVTYLHHHGYDKKVPWYRGKEWNYLRGGLTTIDRDYGIINNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKHVLGKYYREPKKSGLIPIHLIPILTKSMSEDHYVSDEGEVVFYQTDIDLSAKKDA >itb04g28210.t2 pep chromosome:ASM357664v1:4:32023147:32026220:1 gene:itb04g28210 transcript:itb04g28210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD3 [Source:Projected from Arabidopsis thaliana (AT2G29980) UniProtKB/TrEMBL;Acc:A0A178VX43] MGSLGVSDDLKDALPVNGGVAVADDSVDVEFDPSAPPPFRIAEIRAAIPKHCWVKNPWRSLSYVLRDFIVVASLMAMVVYLNNWVFWPVYWAAQGTMFWAIFVLGHDCGHGSFSDSALLNSVAGHILHSFILVPYHGWRISHRTHHQNHGNVERDESWVPLTESLYKKLDYSTKFLRFKIPFPLLAYPVYLIWRSPGKNGSHFSPYSDLFQPEERMCIVISTVCWSTMASILVYLCTVFSPLLVFKLYGVPLLIFVMWLDLVTYLHHHGYDKKVPWYRGKEWNYLRGGLTTIDRDYGIINNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKHVLGKYYREPKKSGLIPIHLIPILTKSMSEDHYVSDEGEVVFYQTDIDLSAKKDA >itb12g20350.t1 pep chromosome:ASM357664v1:12:22828415:22830504:1 gene:itb12g20350 transcript:itb12g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVSEIPPEGLKGLGTKIIDDEDED >itb08g10670.t1 pep chromosome:ASM357664v1:8:10315303:10316162:-1 gene:itb08g10670 transcript:itb08g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGSVLVCLALIAISPSSGGSVSDIVSDAFFNGIADQADSRCEGKGFYTRTSFLDAVNSYPQFGTVGSADDSKREIAAFFAHVTYETGNMCYINEIDGASRVFCDPSNKQYPCAPGKKYYGRGPFQLSWNFNYGPAGQSIGFDGLNNPDIVATDPVISFKTALWFWMNNCHSLVTSGQGFGATIRAISGQLECDGANPVTVRKRVEYYTQYCQQLGVHPGDNLTC >itb07g23850.t1 pep chromosome:ASM357664v1:7:28182115:28187845:-1 gene:itb07g23850 transcript:itb07g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGSKCRRILSVFCAANMHFCHGGSLFLLCIFLASASLSLSYEGRNPEVEALIAIRNYLNDPNGVLRNWDVDSVDPCSWAMITCSSDNLVIAFIAPSQGLSGTLSGLNANLTNLKQILLQNNNFSGHIPRELGRLPNLQTLDLSNNKFSGHVPETLGLLNSLQYLRLNNNSLSGAIPLSLARASKLAFLDLSYNNFSGPVPKFPARTFNVVGNPLICGSLSSGTCNGSFNPIPLSFFLKTSTGKKCSKRLAIALALSCSCVSLFLLGFGFIFWKRSKHTKQSILNVNDLQDEELIKLGNLRSFTFKELQHATDNFSSKNILGTGGFGNVYRGKLGDGSVVAVKRLKDISGTAGESQFQTELELISLAVHRNLLRLVGYCATPTERLLVYPYMSNGSVAARLRGKPPLDWYTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYYEAVVGDFGLAKLLDHAESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLVELITGMRALELGKAVNQKGAALEWVNKMQQEKKIDALVDRELGTTYDRIEVGEMLQVAILCTQYLPAQRPKMSEVVRMLEGDGLAEKWAASHNCNSIKAPHRSNPMVPRYDDNEHDHLPIFGTMTDDDYDAHAMELSGPR >itb10g11810.t1 pep chromosome:ASM357664v1:10:17447683:17454976:1 gene:itb10g11810 transcript:itb10g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDESFGDFTFASFPPNIQIHAPQSTIEDDDDDEWGDFVDFSRGSDPSNEPSQTKPFHPLGVFSNNGSQHPVQSTPLSEPATAQTDSSKTAQWVKPRGALPLSLFGEAEDEEEKPDEEEKSTHIETKKLSNGSNTDSSIGLNDIIASLYNQNPQFQSGKPSLHASEYGNLSSNLGISELGLNSGLLDVRNDFGTVHNSDWNLTGSGSKPQAVESSSTEWNTDLNNLLSDQTGLSSNTSVDVTNLNERSEDSLIGSTTGGLSSDITASRLSFSGWGFDFGEFGSTSKTSNSTFSGFNSNSDALVVSESYGEDGDNEDDDDDDDGWEFKDAFTEASDGGTHNKAGSEAQEIHETKAFGNGSNRLLHLFPMSNGSEEADSHGHYTGDMKAYSVGTDNGSNGYLNSDLREHDTSNIMAYSYGNVSNHSIDIFSMSNGTDLEVLDTGDMKAFSSAFSNGSVITNESVKAKPEARDTNELKTNLLGYSESDNHSIDLFAIANGISGIIHENEVENNKPSTSAQNSFIQDSYWTSEKSVSNGIADLIPVVEGVETDEDFGEFTGALSDSGSKQEGELKDTDLSHELEAAKSVNKHQVNVIGFNHKGALPLSIFGDEELETDASSTSEDGFICHPTSFPKIDKKQEPVISINDLISSLYSQAEYTSSISSLQNLSDVVEPPDSGARSNLVNGEDAFGDESWEYKGGMPLGTENKTSIFHHGDSLPSSLSIRKLDNYVDFYSELKKELSLYSKYHLGNLQEAGKELDLEGYICEEDDLEGHPSWETCFHEFIGVLRKPKFQVLESEYNLSRRLSQMENDFNSAVELINHASIMLRLLTFASAKEQLIYVSVWYKMFSVCIQELKHGTWLWKQISDNNAQSYVFSNTRGRQYILGLVEIYKVAVVLGASVKLYQPWTWLNSVDFTGIYLLLDECHALWSRLGLEQAILNTSDPASTGTIRLSLDNIKSIHDLDAFALQNHIFSQKEVICRLSLLTSEAVNGKQIQTLQFSILESSVLFTAFGQLVLSL >itb11g14210.t1 pep chromosome:ASM357664v1:11:11254905:11283952:1 gene:itb11g14210 transcript:itb11g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MFLQLPRLTSSLCDPFDVDQAYLHRKTILQGLKPRSSASSSEESELARNIVYKWDEASPELRQAYKQFIGSVRELVGGEVVQDEFREVALSVYRHFSGPMPEGEEDRIISEKKLDLQKLVGYAIPNAVLHKAANLAQRLYELQENKHRTVPVLELEDGGGDNFEFGSDLVFQPPARFLVDASLEDLDFVVGHDKETSNYRNEYTHGYSFDGGHLPGAKFDLEWLRDACDRIVSESTSQLPRDELAMAICRVLDSEKPGDEIAGDLLDLVGDGAFETVQDLIMHRKELVDAIHHGVSVLKADKMATSSQSRQPSYGTQVTVQTESEKLIDKLRRKEEKKHRRVADNGVENDLSLMNFSSLLQASEKKNIFEDLIGRGEGPNSLATTALPQGTIRKHHKGYEEVIIPPTMTAPMKPGERLIEIKELDDLAQAAFQGYKSLNRIQSRIYPTTYNSNENILVCAPTGAGKTNIAMISILHEIKHHFREGYLHKNEFKIVYVAPMKALAAEVTMTFSKRLSPLNVTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMALSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAEFLRVNPETGLFFFDSSYRPVPLAQQYIGISEHNFAARNELLNEICYNKIVDSLRQGHQAMVFVHSRKDTGKTADKLVEISQKNEDWELFRNEQHPQFELKKKEVLKSRNKEVVQLFDHGIGIHHAGMLRQDRSLTEHLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQIYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFINSLKDNLNAEVVLGTVTNIKEACAWLGYTYLFIRMKMNPLAYGIGWEEVIADPSLSLKQRDFITDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNENELINLVAHSSEFENIVVRDEEQNELEMLARTYCPFEIKGGPSSKHGKVSILIQLYISRGSIDTFSLVSDASYISASLARIMRALFEICLRRGWCEMTALILEFCKAVDRQVWPHQHALRQFDKDISADILRKLEERGADLDRLQEMEEKDIGALIRYAPGGKVVKQHLGYFPSIQLSATVSPITRTVLKVDLVLTPEFVWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMARGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLQAEAFYTITFHNLALPEAHTTHTELLDLKPLPVTALGNGMYEALYKFSHFNPIQTQAFHVLYHTDKNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVIYIAPLKAIVRERMNDWRKHLVSQLGKKMVEMTGDYTPDLMALLSADIIITTPEKWDGISRNWHTRTYATKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTDRPIRFVGLSTALANAQDLADWLGVDENGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPIQFLNMPEDALQMVISQVMDQNLKHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDAKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLKEQLHDHINAEIVSGTICHKEDAVHYLTWTYLFRRLIVNPAYYGLEDAEPGTISSYLSSLVQSTFEDLEDSGCIKISDDSVEPLMLGSIASQYYLKYTTVSMFGSNIGPDTSLEVFLHILSGASEYDELPVRHNEDKYNERLIDKVPYMVDNNRLDDPHVKANLLFQAHFSQSELPVVDYVTDMKSVLDQSIRIIQAMIDICANSGWLSSTLTCMHILQMVMQGLWFSRDSPLWMLPCMTNDLYNSLSKRGVINVQQLLDLSSANLQSIVGNSVASKLHQDLQHFPRVQVRLRIQRRDSGDNRSHTLNIRLENINTSRRTSKAFTPRYPKIKDEAWWLVLCNTTTSELYALKRVSFSDRLMTHMDLPSAATTFQGMKLLLVSDCYLGFEQDYPIKEYS >itb11g14210.t2 pep chromosome:ASM357664v1:11:11254905:11277894:1 gene:itb11g14210 transcript:itb11g14210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MFLQLPRLTSSLCDPFDVDQAYLHRKTILQGLKPRSSASSSEESELARNIVYKWDEASPELRQAYKQFIGSVRELVGGEVVQDEFREVALSVYRHFSGPMPEGEEDRIISEKKLDLQKLVGYAIPNAVLHKAANLAQRLYELQENKHRTVPVLELEDGGGDNFEFGSDLVFQPPARFLVDASLEDLDFVVGHDKETSNYRNEYTHGYSFDGGHLPGAKFDLEWLRDACDRIVSESTSQLPRDELAMAICRVLDSEKPGDEIAGDLLDLVGDGAFETVQDLIMHRKELVDAIHHGVSVLKADKMATSSQSRQPSYGTQVTVQTESEKLIDKLRRKEEKKHRRVADNGVENDLSLMNFSSLLQASEKKNIFEDLIGRGEGPNSLATTALPQGTIRKHHKGYEEVIIPPTMTAPMKPGERLIEIKELDDLAQAAFQGYKSLNRIQSRIYPTTYNSNENILVCAPTGAGKTNIAMISILHEIKHHFREGYLHKNEFKIVYVAPMKALAAEVTMTFSKRLSPLNVTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMALSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAEFLRVNPETGLFFFDSSYRPVPLAQQYIGISEHNFAARNELLNEICYNKIVDSLRQGHQAMVFVHSRKDTGKTADKLVEISQKNEDWELFRNEQHPQFELKKKEVLKSRNKEVVQLFDHGIGIHHAGMLRQDRSLTEHLFSEGLLKVLVCTATLAWGVNLPAHTVVIKGTQIYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFINSLKDNLNAEVVLGTVTNIKEACAWLGYTYLFIRMKMNPLAYGIGWEEVIADPSLSLKQRDFITDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNENELINLVAHSSEFENIVVRDEEQNELEMLARTYCPFEIKGGPSSKHGKVSILIQLYISRGSIDTFSLVSDASYISASLARIMRALFEICLRRGWCEMTALILEFCKAVDRQVWPHQHALRQFDKDISADILRKLEERGADLDRLQEMEEKDIGALIRYAPGGKVVKQHLGYFPSIQLSATVSPITRTVLKVDLVLTPEFVWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMARGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLQAEAFYTITFHNLALPEAHTTHTELLDLKPLPVTALGNGMYEALYKFSHFNPIQTQAFHVLYHTDKNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVIYIAPLKAIVRERMNDWRKHLVSQLGKKMVEMTGDYTPDLMALLSADIIITTPEKWDGISRNWHTRTYATKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTDRPIRFVGLSTALANAQDLADWLGVDENGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPIQFLNMPEDALQMVISQVMDQNLKHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDAKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLKEQLHDHINAEIVSGTICHKEDAVHYLTWTYLFRRLVRTKVDYKVSNDYSLHKFCPKLMLSIVDFYYLAVKHLRGIRG >itb01g23980.t1 pep chromosome:ASM357664v1:1:29785057:29785983:-1 gene:itb01g23980 transcript:itb01g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRPAIKNHIIMPAAPKPPPRRPTPTNAVAPNQSPAAAPVILQQPSHHSKKGQYWKPQKLFRRVKSVFRSFPVISTPCKMPVPGARLQDGHHIHGGKQMTGTLFGFRKGRINVSIQETPRSVPLLILEMSIHTDKLLQDMGSGLVRIALECEKNPSEKTKLIDEPIWTMYCNGRKTGYAVKRGATDDDLNVMQKLHAVSMGAGVLPSGNVESPAGEGELTYLRAFFERVIGSKDSETYYMMSPDGNSGPELSIFFVRV >itb09g11160.t1 pep chromosome:ASM357664v1:9:6982231:6982509:-1 gene:itb09g11160 transcript:itb09g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEEKLHVGGHKEEKKHHDKAEGHKEEKKHHGGDHKEGLVEKIKDKIHGGGSDHHDKDEKKKKKEKKKKHGEHGHGHHHDSSSDSD >itb14g18410.t1 pep chromosome:ASM357664v1:14:21439578:21441329:-1 gene:itb14g18410 transcript:itb14g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQADVNYRFIFFPVFLIQSFSFSLQAPSALNSAESAPNSANSAPNSANPNLIKPPKKEEEVQSIEHHPLHNRKALASSSSSHLILAVTTATGLLSFIVCLSS >itb14g15980.t3 pep chromosome:ASM357664v1:14:19272904:19279094:-1 gene:itb14g15980 transcript:itb14g15980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPVSFAGLKGRENGLGFGKNMDFVRVCDLQRVKSRRSKVCVIRNSSSVEVQPASEGSPLLVPRQKYCENIHKTVRRKTCTVMVGNVAIGSEHPIRIQTMTTTDTKDVAGTVEQVMKIADTGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEEVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLWDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRAAELQQGVAPFDEKHRRYFDFQRRTGQLPIQKEGEEVDYRGVLHRDGSVLMSVSLDQLKTPELFYKSLATKLVIGMPFKDLATVDSILLRELPPVNDKDARLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVTLKELSSGAHKLLPAGTRLVVSVRGDEAQEELEFLKSSDATLILHNLPYSEENISRVHAARRLFEYLSENSLDFPVIHHIEFPKNIHRDDLAIGAGTNAGTLLVDGLGDGVLLEAPDSDFDFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMDQATDALIDLIKEHGRWVDPPTEE >itb14g15980.t2 pep chromosome:ASM357664v1:14:19272882:19279496:-1 gene:itb14g15980 transcript:itb14g15980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPVSFAGLKGRENGLGFGKNMDFVRVCDLQRVKSRRSKVCVIRNSSSVEVQPASEGSPLLVPRQKYCENIHKTVRRKTCTVMVGNVAIGSEHPIRIQTMTTTDTKDVAGTVEQVMKIADTGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEEVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLWDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRAAELQQGVAPFDEKHRRYFDFQRRTGQLPIQKEGEEVDYRGVLHRDGSVLMSVSLDQLKTPELFYKSLATKLVIGMPFKDLATVDSILLRELPPVNDKDARLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVTLKELSSGAHKLLPAGTRLVVSVRGDEAQEELEFLKSSDATLILHNLPYSEENISRVHAARRLFEYLSENSLDFPVIHHIEFPKNIHRDDLAIGAGTNAGTLLVDGLGDGVLLEAPDSDFDFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMDQATDALIDLIKEHGRWVDPPTEE >itb14g15980.t1 pep chromosome:ASM357664v1:14:19272882:19279496:-1 gene:itb14g15980 transcript:itb14g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPVSFAGLKGRENGLGFGKNMDFVRVCDLQRVKSRRSKVCVIRNSSSVEVQPASEGSPLLVPRQKYCENIHKTVRRKTCTVMVGNVAIGSEHPIRIQTMTTTDTKDVAGTVEQVMKIADTGADIVRITVQGKKEADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEEVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLWDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRAAELQQGVAPFDEKHRRYFDFQRRTGQLPIQKEGEEVDYRGVLHRDGSVLMSVSLDQLKTPELFYKSLATKLVIGMPFKDLATVDSILLRELPPVNDKDARLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVTLKELSSGAHKLLPAGTRLVVSVRGDEAQEELEFLKSSDATLILHNLPYSEENISRVHAARRLFEYLSENSLDFPVIHHIEFPKNIHRDDLAIGAGTNAGTLLVDGLGDGVLLEAPDSDFDFIRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIAMDQATDALIDLIKEHGRWVDPPTEE >itb04g33970.t1 pep chromosome:ASM357664v1:4:36026452:36036601:1 gene:itb04g33970 transcript:itb04g33970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPQLQHATSKTLIIPWYKPFVYGGESTVAFRPAVMKPNSGGKRLRKGTGKSSMLRYRPCNIKAVATTSSTEKSTSVKAVVTVQSTVGGFLSNLNLTRALDDINDLLGKTLLLDIVAAELDHKTGEEKKTIQGYAHRQDEKDGEVNYECDLVIPENFGEVGAIMIENEHHTEMFVKKIVIDGFPNGTVNIACDSWVHSKFDNPDKRIFFTNKSYLPSQTPSGLKKLREKELLTVRGDGTGERKKFERIYDYDVYNDIGDPDGSDDGIRPVLGGKEHPYPRRCRTGRPRSEKDPLSESRSSSIYVPRDEAFSEVKNATFSGNTLYSVLHAVVPGLKSILVDADRGFPHFPAIDDLFNVGVDIPTEQKGILSFALPRLIKSITDVGKDAILFETPEMIDRDKFSWLKDEEFARQTLAGLNPYSIRLIKEWPLKSKLDPAIYGPPESVITKELIETKIGGIMTVEEAVKQKKLYILDYHDLLLPLVNTVNELEGTVLYASRTVFFLTPSGCLMPVAIELTRPPVDGKPQWKQEFCHTWDSTGSWLWKLAKAHVLAHDSGYHQLVSHWLRTHCATEPYIIASNRQLSAVHPIFRLLQPHFRYTMEINALARLALINANGIIETSFSPGKYSMLLCSIAYDLEWQFDLQALPADLISRGLAEKDPTAPHGLKLTIEDYPFANDGLDLWDIIKEWVTDYVSHYYPEASLIGSDTELQAWWTEIRTVGHGDKKDSPGWPDLKTPDDLIRILTTIVWVCSGHHAAVNFGQYLYAGFFPNRPTIARTKMLTDDVTEEEWEHFLEKPEDALLRCFPSQIQATTVMAILDVLSNHSPDEEYIGAAPEPYWVEEPIINAAFERFSGRLKELEGIIDARNADRNLRNRSGAGILPYELIKPYSGPGVTGKGVPNSISI >itb04g26980.t1 pep chromosome:ASM357664v1:4:31140129:31141290:-1 gene:itb04g26980 transcript:itb04g26980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILNVEDEHQATALTLSPCSNSCNSVVEMNQKGSDHGYEEEAPAERNKTKKTVRFEEASNQIIVFTSAAAPPPHASKKVVCICAPATHAGAFKCRLHRENSSHRPSSSAPWMVKHGGRGPKVFSPAGKVSQHGGFGSQTHHSKLVRAAMANVQQSSAGVDQTQIH >itb01g01320.t1 pep chromosome:ASM357664v1:1:745948:748532:-1 gene:itb01g01320 transcript:itb01g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATENRIAAILLKEAAELRRQAEKDGALAYLHRPTVRGRPNSRFLTATVLGVQQANRAVEVNEMWRARQKELEVENRNKRRLENECRNKQILDNENKNKRRSENENSSTRSHKDVMESRIKAKRRSDVGSTSSASCPSKKRDIEGYYSREDGLRDDEVEEFLHSRAKRGRGTVGSRMDETGPYPCLDPEEKQLSGPDMGPRVEFRDHAIIRPEKPRWLNSSESSEDEIVSDDDKIKVSKKYHSRKDKSKSKSREKDKKRRDSKRHKSHK >itb01g01320.t3 pep chromosome:ASM357664v1:1:745996:747598:-1 gene:itb01g01320 transcript:itb01g01320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVITANRAVEVNEMWRARQKELEVENRNKRRLENECRNKQILDNENKNKRRSENENSSTRSHKDVMESRIKAKRRSDVGSTSSASCPSKKRDIEGYYSREDGLRDDEVEEFLHSRAKRGRGTVGSRMDETGPYPCLDPEEKQLSGPDMGPRVEFRDHAIIRPEKPRWLNSSESSEDEIVSDDDKIKVSKKYHSRKDKSKSKSREKDKKRRDSKRHKSHK >itb01g01320.t2 pep chromosome:ASM357664v1:1:745873:748532:-1 gene:itb01g01320 transcript:itb01g01320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATENRIAAILLKEAAELRRQAEKDGALAYLHRPTVRGRPNSRFLTATVLGVQQANRAVEVNEMWRARQKELEVENRNKRRLENECRNKQILDNENKNKRRSENENSSTRSHKDVMESRIKAKRRSDVGSTSSASCPSKKRDIEGYYSREDGLRDDEVEEFLHSRAKRGRGTVGSRMDETGPYPCLDPEEKQLSGPDMGPRVEFRDHAIIRPEKPRWLNSSESSEDEIVSDDDKIKVSKKYHSRKDKSKSKSREKDKKRRDSKRHKSHK >itb14g20340.t3 pep chromosome:ASM357664v1:14:22742267:22749634:-1 gene:itb14g20340 transcript:itb14g20340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLRSSDFCKEGRVELDLNVEPVEEAEDTVITTDHDSQQRCDVHSLGNKAEERECVAGGAGCQVNAGADHGAGLAATMCVEEPEVMQCDIDADASVFPDGAASEGNLDDGGLPMVSDKEGTITEVVLRCIKSEDDGKDVGIVNVEGSNLHGDSNEGGHVVQKKRRGRKRKNIENFQCHDDEVKRKKDNHLPSPGSTGNVGRVLRSRVIGISQAGPQLSGENLGVAALEIKKETQQPEVDQSEKPFMAKNTEISPPYGRGRKKVKGRRGRPPKIPKNDVLAPPISKDLKKVKRRRGRPPKMPSKDGASEIDVSQKDRVIESKGSVRHKKAGNVIKRSKFSKSQLESAELQLVNDETVEDKKSLKSKEGDKGRRIQQQAVRDQIVDILKKAGWTVEYRPRQSKEYSDAVYVDCDGKTHWSCTLAYRKLKEKVENGDADDKTLSAFTVIPEEVLGTLFRTRQNKIKKFKKQSGSESDKKKNIKAPSSYSDKVIRRQKCKEKLNANPKFKDKFLRKKTKANAHSRDDDLSMKASNRGRSRSKKDGRNRKRYALLARRSGEMSDQDGDGFVLYDGKRSLLSWMIDFGTIQSNAQVQYMNARRTKVMLEGKITGDGICCGCCDQTFTLLDYESHAGSTLGQPLEHICLASGRSLLQCLADSWSKLEKSENIDFHPVDVDANDPNDDTCNICGDGGDLICCDGCPSTFHQSCLNIQNLPSGNWRCVYCSCKFCGTVAGKSCDVDDHMIVPELSMCHLCEERFHVACNQGENNLDSKDMSFCGKGCEKLFEGLQVLLGVKHDLDEGFSWTILQRRFVGQDVIGSANSLKIECNSKLAVAFSVMDECFMPIVDERSKINMIQNVVYNCGSNFRRLNYCGFYTVILEKGDELVAAASIRLHGNQLAEMPFIGTRYKYRRQGMCRRLIGAVETALCSLGVEQLVIPAIPELIETWTKIFGFTPLEESKRREMTRMSMIVFPGTDMLQKPLSKHQDTNDHITSTGTFHDDITEAASSEVDLNKNVVTVEPVESCVNSSRGFLQDASDMKTGFESFIPKEALRVQ >itb14g20340.t2 pep chromosome:ASM357664v1:14:22744585:22749634:-1 gene:itb14g20340 transcript:itb14g20340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLRSSDFCKEGRVELDLNVEPVEEAEDTVITTDHDSQQRCDVHSLGNKAEERECVAGGAGCQVNAGADHGAGLAATMCVEEPEVMQCDIDADASVFPDGAASEGNLDDGGLPMVSDKEGTITEVVLRCIKSEDDGKDVGIVNVEGSNLHGDSNEGGHVVQKKRRGRKRKNIENFQCHDDEVKRKKDNHLPSPGSTGNVGRVLRSRVIGISQAGPQLSGENLGVAALEIKKETQQPEVDQSEKPFMAKNTEISPPYGRGRKKVKGRRGRPPKIPKNDVLAPPISKDLKKVKRRRGRPPKMPSKDGASEIDVSQKDRVIESKGSVRHKKAGNVIKRSKFSKSQLESAELQLVNDETVEDKKSLKSKEGDKGRRIQQQAVRDQIVDILKKAGWTVEYRPRQSKEYSDAVYVDCDGKTHWSCTLAYRKLKEKVENGDADDKTLSAFTVIPEEVLGTLFRTRQNKIKKFKKQSGSESDKKKNIKAPSSYSDKVIRRQKCKEKLNANPKFKDKFLRKKTKANAHSRDDDLSMKASNRGRSRSKKDGRNRKRYALLARRSGEMSDQDGDGFVLYDGKRSLLSWMIDFGTIQSNAQVQYMNARRTKVMLEGKITGDGICCGCCDQTFTLLDYESHAGSTLGQPLEHICLASGRSLLQCLADSWSKLEKSENIDFHPVDVDANDPNDDTCNICGDGGDLICCDGCPSTFHQSCLNIQNLPSGNWRCVYCSCKFCGTVAGKSCDVDDHMIVPELSMCHLCEERFHVACNQGENNLDSKDMSFCGKGCEKLFEGLQVLLGVKHDLDEGFSWTILQRRFVGQDVIGSANSLKIECNSKLAVAFSVMDECFMPIVDERSKINMIQNVVYNCGSNFRRLNYCGFYTVILEKGDELVAAASISYTANVSWEFY >itb14g20340.t1 pep chromosome:ASM357664v1:14:22742267:22749634:-1 gene:itb14g20340 transcript:itb14g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLRSSDFCKEGRVELDLNVEPVEEAEDTVITTDHDSQQRCDVHSLGNKAEERECVAGGAGCQVNAGADHGAGLAATMCVEEPEVMQCDIDADASVFPDGAASEGNLDDGGLPMVSDKEGTITEVVLRCIKSEDDGKDVGIVNVEGSNLHGDSNEGGHVVQKKRRGRKRKNIENFQCHDDEVKRKKDNHLPSPGSTGNVGRVLRSRVIGISQAGPQLSGENLGVAALEIKKETQQPEVDQSEKPFMAKNTEISPPYGRGRKKVKGRRGRPPKIPKNDVLAPPISKDLKKVKRRRGRPPKMPSKDGASEIDVSQKDRVIESKGSVRHKKAGNVIKRSKFSKSQLESAELQLVNDETVEDKKSLKSKEGDKGRRIQQQAVRDQIVDILKKAGWTVEYRPRQSKEYSDAVYVDCDGKTHWSCTLAYRKLKEKVENGDADDKTLSAFTVIPEEVLGTLFRTRQNKIKKFKKQSGSESDKKKNIKAPSSYSDKVIRRQKCKEKLNANPKFKDKFLRKKTKANAHSRDDDLSMKASNRGRSRSKKDGRNRKRYALLARRSGEMSDQDGDGFVLYDGKRSLLSWMIDFGTIQSNAQVQYMNARRTKVMLEGKITGDGICCGCCDQTFTLLDYESHAGSTLGQPLEHICLASGRSLLQCLADSWSKLEKSENIDFHPVDVDANDPNDDTCNICGDGGDLICCDGCPSTFHQSCLNIQNLPSGNWRCVYCSCKFCGTVAGKSCDVDDHMIVPELSMCHLCEERFHVACNQGENNLDSKDMSFCGKGCEKLFEGLQVLLGVKHDLDEGFSWTILQRRFVGQDVIGSANSLKIECNSKLAVAFSVMDECFMPIVDERSKINMIQNVVYNCGSNFRRLNYCGFYTVILEKGDELVAAASIRLHGNQLAEMPFIGTRYKYRRQGMCRRLIGAVETALCSLGVEQLVIPAIPELIETWTKIFGFTPLEESKRREMTRMSMIVFPGTDMLQKPLSKHQDTNDHITSTEAASSEVDLNKNVVTVEPVESCVNSSRGFLQDASDMKTGFESFIPKEALRVQ >itb12g07930.t2 pep chromosome:ASM357664v1:12:6075522:6079177:-1 gene:itb12g07930 transcript:itb12g07930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVECELNFRYAWVLPGFAASVLGGVNGPIHITMTTTLSKLPEERQKKLFQHTSIILFLGGSVFAALVFIFAEFIIHAYAPGLWTLVEGEATREIAIKQLKVMSSCIAVAGPIGLGYAFMSTKGKNVLPAIFPTFSSLILIASCIFYTFCGQGNAPYYGGVLLSFGAAFGLFLQWVTQALVLKGAWHINVPYLQIDTLASYDVSKFFSLLLPATFSSGLEHIASFTDLYFASRVPGAVAGLSYANLLVTAPLGLLSSTILLPLLPTFSKLAKSASWTDLAEKLRSAILLCMVVLLPILSTVSTLAEPIIRVLFERLAFNSSATSLVASLFICYSIGTPFFIVRELLVAVFYSLGDGQRPFLVSISAIALNAILDWFLVCRYFLGGQGLVRFFI >itb12g07930.t1 pep chromosome:ASM357664v1:12:6074242:6079177:-1 gene:itb12g07930 transcript:itb12g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECELNFRYAWVLPGFAASVLGGVNGPIHITMTTTLSKLPEERQKKLFQHTSIILFLGGSVFAALVFIFAEFIIHAYAPGLWTLVEGEATREIAIKQLKVMSSCIAVAGPIGLGYAFMSTKGKNVLPAIFPTFSSLILIASCIFYTFCGQGNAPYYGGVLLSFGAAFGLFLQWVTQALVLKGAWHINVPYLQIDTLASYDVSKFFSLLLPATFSSGLEHIASFTDLYFASRVPGAVAGLSYANLLVTAPLGLLSSTILLPLLPTFSKLAKSASWTDLAEKLRSAILLCMVVLLPILSTVSTLAEPIIRVLFERLAFNSSATSLVASLFICYSIGTPFFIVRELLVAVFYSLGDGQRPFLVSISAIALNAILDWFLVCRYFLGGQGLALSTSATAALSVVILILLLQKKLAGVFHYAELIYPALLLSICCLVSSLTTSITHDLLVQMLTSICRKRLGRVAEAFSICLASATGIISFFVPVILVYFSGFKMPGLSGLLGWLTNKPETVP >itb12g07930.t3 pep chromosome:ASM357664v1:12:6074145:6077847:-1 gene:itb12g07930 transcript:itb12g07930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIAVAGPIGLGYAFMSTKGKNVLPAIFPTFSSLILIASCIFYTFCGQGNAPYYGGVLLSFGAAFGLFLQWVTQALVLKGAWHINVPYLQIDTLASYDVSKFFSLLLPATFSSGLEHIASFTDLYFASRVPGAVAGLSYANLLVTAPLGLLSSTILLPLLPTFSKLAKSASWTDLAEKLRSAILLCMVVLLPILSTVSTLAEPIIRVLFERLAFNSSATSLVASLFICYSIGTPFFIVRELLVAVFYSLGDGQRPFLVSISAIALNAILDWFLVCRYFLGGQGLALSTSATAALSVVILILLLQKKLAGVFHYAELIYPALLLSICCLVSSLTTSITHDLLVQMLTSICRKRLGRVAEAFSICLASATGIISFFVPVILVYFSGFKMPGLSGLLGWLTNKPETVP >itb13g15800.t1 pep chromosome:ASM357664v1:13:22738613:22743766:-1 gene:itb13g15800 transcript:itb13g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAFPFGQTLLTPKPNRNLVAPPQILRASFTTITIFPSSATHSPPKAPHFQLHFSHCPSDDHAISRKTHSSLATLILLAFSFALLSLRLVSGVLLPDFPDRWAQLIAFSQRARSEVMVHCPQDLVRAVVAYEDRRFFSHFGVDPIGVARAVLSFSARGGGSTITQQLVRNTLLRNERTFLRKIVEMVLALAVERTISKLTILSSYLCKIYWGHGIYGVQSASNFYFGKHISILSLGECAMLAAMIPGPEIRSPFRDSSRGKIFQARVLRRMVDVGFLDVKLALMVVKQSLELNSESHDYSEAFVVPLPSHKVQALVNINSEAGTLSNFRKIWNWERESKIWEVKEDMERWAMSVTEKSRINYK >itb13g15800.t2 pep chromosome:ASM357664v1:13:22738613:22743766:-1 gene:itb13g15800 transcript:itb13g15800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAFPFGQTLLTPKPNRNLVAPPQILRASFTTITIFPSSATHSPPKAPHFQLHFSHCPSDDHAISRKTHSSLATLILLAFSFALLSLRLVSGVLLPDFPDRWAQLIAFSQRARSEVMVHCPQDLVRAVVAYEDRRFFSHFGVDPIGVARAVLSFSARGGGSTITQQLVRNTLLRNERTFLRKIVEMVLALAVERTISKLTILSSYLCKIYWGHGIYGVQSASNFYFGKHISILSLGECAMLAAMIPGPEIRSPFRDSSRGKIFQARVLRRMVDVGFLDVKLALMVVKQSLELNSESHDYSEAFVVPLPSHKVQALVNINSEAGTLSNFRKIWNWERESKIWEVKEDMERWAMSVTEKSRINYK >itb13g15800.t3 pep chromosome:ASM357664v1:13:22740673:22743766:-1 gene:itb13g15800 transcript:itb13g15800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAFPFGQTLLTPKPNRNLVAPPQILRASFTTITIFPSSATHSPPKAPHFQLHFSHCPSDDHAISRKTHSSLATLILLAFSFALLSLRLVSGVLLPDFPDRWAQLIAFSQRARSEVMVHCPQDLVRAVVAYEDRRFFSHFGVDPIGVARAVLSFSARGGGSTITQQLVRNTLLRNERTFLRKIVEMVLALAVERTISKLTILSSYLCKIYWGHGIYGVQSASNFYFGKHISILSLGECAMLAAMIPGPEIRSPFRDSSRGKIFQARVLRRMVDVGFLDVKLALMVVKQSLELNSESHDYSEAFVVPLPSHKVVMTQKKNPAK >itb04g04290.t1 pep chromosome:ASM357664v1:4:2647055:2652974:1 gene:itb04g04290 transcript:itb04g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRSVSLLSKLRSRAVQQPNLSNSVRWLQVQTSSDLDLRSQLQELIPEQQERLKKIKSQHGKVQLGNITVDMVIGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPGAKLGGEPMPEGLLWLLLTGKVPSKAQVDSLSQELSSRATVPDHVYKTIDALPVTAHPMTQFATGVMALQVNSEFQKAYEKGIHKSKLWEPTYEDSLSLIAQVPIVASYVYRRMYKNAQIIAKDDNLDYGANFAHMLGYDSPAVHELMRLYITIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENISKEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHYGLSEARYYTVLFGVSRAIGICSQLIWDRALGLPLERPKSVTMEWLENYCKKAAGA >itb13g02590.t2 pep chromosome:ASM357664v1:13:2508645:2512195:1 gene:itb13g02590 transcript:itb13g02590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVRNKYVSIRNNIEGAPQESDFELNTEMVSLSVEPGSKDVIVKNLYVSIDPYQLNRMKSQSSSQNAINFAVAITPGESIDAYGVGKVLASGRDDIKKDDLVAGLLSWGEYSVLKEGSLLNKLDPMGFPLPHHVGIFGFSGLSAYGGFFEICKPQKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGSQQKVNILKEQLGFDDAFNYKEESDLNSALKRCFPEGIDIYFDNVGGRMLEAAVANMNTHGRVAVCGVISEYTNATVRAAPNMMDVVYKRIQIQGFLAADFMKVYADFYSRTLEYLKAGKIKVLEDISHGVENIPSAFVGLYRGNNVGKTIVQIADE >itb13g02590.t1 pep chromosome:ASM357664v1:13:2508645:2512195:1 gene:itb13g02590 transcript:itb13g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVRNKYVSIRNNIEGAPQESDFELNTEMVSLSVEPGSKDVIVKNLYVSIDPYQLNRMKSQSSSQNAINFAVAITPGEQSIDAYGVGKVLASGRDDIKKDDLVAGLLSWGEYSVLKEGSLLNKLDPMGFPLPHHVGIFGFSGLSAYGGFFEICKPQKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGSQQKVNILKEQLGFDDAFNYKEESDLNSALKRCFPEGIDIYFDNVGGRMLEAAVANMNTHGRVAVCGVISEYTNATVRAAPNMMDVVYKRIQIQGFLAADFMKVYADFYSRTLEYLKAGKIKVLEDISHGVENIPSAFVGLYRGNNVGKTIVQIADE >itb01g28100.t1 pep chromosome:ASM357664v1:1:32625890:32630463:-1 gene:itb01g28100 transcript:itb01g28100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLGMRLSVLPLPPNFSKIVHLSLNNCCPFTVTSQSICAYSASFPQRRGASPRTSTRIQTWLHGNDERHQRNCDLGNEDEYTTQMFGSDEEFTTQIPTQAQSVVEGSGAVLVSEYKPVPDVDYLQELLAIQQQGPRNIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLSKQPPESQELLSKVKNVVEKPYNDHLTLIEASRLCNMDIISQVQQVICFAFHDSRLLMETCQEAKQMRKIVTLFYLD >itb01g28100.t2 pep chromosome:ASM357664v1:1:32626508:32630463:-1 gene:itb01g28100 transcript:itb01g28100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLGMRLSVLPLPPNFSKIVHLSLNNCCPFTVTSQSICAYSASFPQRRGASPRTSTRIQTWLHGNDERHQRNCDLGNEDEYTTQMFGSDEEFTTQIPTQAQSVVEGSGAVLVSEYKPVPDVDYLQELLAIQQQGPRNIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLSKQPPESQELLSKVRIQSL >itb04g23740.t1 pep chromosome:ASM357664v1:4:28682989:28686594:-1 gene:itb04g23740 transcript:itb04g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRKYKSSRAKVRVGLPKKNPHIFKPAFNVPPKLRSLLDPHSQWDEKGSVIENYKSFGVVSNPNLLGVRSRTSHIVESDSLQVPPPASADDADSDAEFQPLDSGSDLEEDDLKSALGKKRRDGQSAPPQPLTAMQRVYVRKLVEEYGDDYQRMFMDTKLNKMQHSVATLEKLCKRYHIACFRSPFPPEMSRPMEEDAPGKNEEEEFNTGPLSVLMMSVRNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >itb01g27360.t1 pep chromosome:ASM357664v1:1:32197996:32202169:-1 gene:itb01g27360 transcript:itb01g27360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQAAQYAKELSYSGVSSPRALHLMDSFTSSAAIAQKTWEIENNVETMDLPPTSADSSASASSDAIFYYDETAQTKFQQEKPWTNDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLSHSRFGPLIAPAQRKKEEESQLAKITCDSAKITVEQVHGLMSQVIKDILFNTVRQSNRSRTESSGPEPMVET >itb07g00360.t1 pep chromosome:ASM357664v1:7:253935:256538:1 gene:itb07g00360 transcript:itb07g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSSLRRLKRLHKFQNPLQSRHFSESHAIALDYGISDLQDARHPFDKTSERDRYDNNPLLFEYSRSSMNAEVLNLFSGIHRSGFLINESSLSCVLKSCGCLFNQDFGKQVHCHCVKGGFLEDISVGTSLMDMYMKTGSVIEGKRVLDEMEGTNVVTWTTLIAGYSSNGLSDGAMQAFHMMQVEGIKPNPFTFATVLGALADDDDALVKGFQVHALVIKCGFDSTLFVGNSLISVYSRSGMVKEAKAMFDDMEVRSEVSWNAMITGFVSNRHDFEALELFCTMRLARVNLTRATYATVIKLCTNLKELEFARQVHTWVIKSEFGLDHNLRTALMGCYTKCGEMDEAFKLFSTMHGYRNVVSWTAMIGGYLQNCRPEKAANLFREMRKEGISRPNHFTYSTILAAHPIISISQLHAEVIKTNYESSPTVGTALLDGYVKIGGHINDAAKVFETIYEKDIVAWSAMLAGYAQNGDSEGAGTVFRELAKDGVRPNEFTFSSVINACATSTSAVEQGKQFHASSIKFGYNNALCVSSALVTMYAKRGNIESAYEVFKRQQERDLVSWNSMISGYAQHGYAPKALQIFEEMQNRNMEMDVITFIGVISACTHTGLVNEGQKYFDTMVKNLHIPPSMEIYSCMVDLYSRAGKLEKAMDLINAMPFPAGATVWRTLLGACRVHRNVEIGKLAAEKLISLQPHDSAAYVLLSNLYAADGSWQEREKVRKLMEEKKVKKETGYSWIEIKNQTHTFTAGDISHPLSNNIYMKLEELSFRLRESGYQPDTTYVLHDVEDEHKEAILSQHSERLAIAFGLIATSPGVPIQIVKNLRVCGDCHTVIKLISKIEGREIVVRDSNRFHHFKQGLCSCGDYW >itb09g08040.t1 pep chromosome:ASM357664v1:9:4842905:4847164:-1 gene:itb09g08040 transcript:itb09g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQIRRASWLQSFWSPAKKWLASYGFIFNDFLGTKGNQWELKESLQEPGELRTESIPNIEEVEKIIGYNFNDKSLLCQAFTHISYHPNCVSYERLEYLGDSVINLMITKQQISMYPDLPPGLLSPLRAANVDTEKLARVAMSSSQIRRASWLQSFWSPAKKWLASYGFIFNDFLGTKGNQWELKESLQEPGELRTESIPNIEEVEKIIGYNFNDKSLLCQAFTHISYHPNCVSYERLEYLGDSVINLMITKQQISMYPDLPPGLLSPLRAANVDTEKLARVAVKHKFHKYLRYKQPKIKKRINIFIDALPNYPLHSHGLIAAPKVLADVVESTVGAVFIDSNASLDKTWEVTKTLLEPIITPEMLEANPVRKLFEICQKHKLKVQLIDLWAQNRTCEVLVDNQYRGRGKCLQKKEVALNRAASEVYNEVVNVLGIKS >itb10g10750.t5 pep chromosome:ASM357664v1:10:15655162:15657197:-1 gene:itb10g10750 transcript:itb10g10750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIFYDSLVVGIVGFFICHALLLSYVSAKDRLSPGEYILPTDEVYLRSSYKIFELKLIRGPTGTSSTTSCYLCIQFTEYPILASSQQMIVWVAWLGQAQISLVPGPELKMERDGRLAVSADHHEFPVNDDQQYPYVMNTTATLLDTGNLVLRTVEGHTRTSWQSFDHPTANTWLPGMILGRFGVKTRGVQQRCLTSWTSEGNPSPGDFSLCVDPNNPKQLVATRKGIVYWHSGVWSGRNNNFPFLPVLSHHLRYFSNDNESYFAWDGNVDPHSTFIRTFATGEISVAVKHDRNLTRASINCDHNGTYYSNVGCVRSSNCSAGDRFRSTTGFIGWELQLHDIMLGDCNEMCVNNCSCNAYATLESDGTGCKFSTSTAYRYVSNGGETFYIRDAKTGRPTPLSVLYLLLDMLILTKSTIYFFTS >itb10g10750.t3 pep chromosome:ASM357664v1:10:15653874:15657197:-1 gene:itb10g10750 transcript:itb10g10750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIFYDSLVVGIVGFFICHALLLSYVSAKDRLSPGEYILPTDEVYLRSSYKIFELKLIRGPTGTSSTTSCYLCIQFTEYPILASSQQMIVWVAWLGQAQISLVPGPELKMERDGRLAVSADHHEFPVNDDQQYPYVMNTTATLLDTGNLVLRTVEGHTRTSWQSFDHPTANTWLPGMILGRFGVKTRGVQQRCLTSWTSEGNPSPGDFSLCVDPNNPKQLVATRKGIVYWHSGVWSGRNNNFPFLPVLSHHLRYFSNDNESYFAWDGNVDPHSTFIRTFATGEISVAVKHDRNLTRASINCDHNGTYYSNVGCVRSSNCSAGDRFRSTTGFIGWELQLHDIMLGDCNEMCVNNCSCNAYATLESDGTGCKFSTSTAYRYVSNGGETFYIRDAKTAKQSHRPPPRYPALALTRRMSHHRRTTIVVALTVTLLVTLSVVFLVWYMNRRKCCSCFQGILLISIYKILVILNLPLLDANKL >itb10g10750.t1 pep chromosome:ASM357664v1:10:15650786:15657197:-1 gene:itb10g10750 transcript:itb10g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIFYDSLVVGIVGFFICHALLLSYVSAKDRLSPGEYILPTDEVYLRSSYKIFELKLIRGPTGTSSTTSCYLCIQFTEYPILASSQQMIVWVAWLGQAQISLVPGPELKMERDGRLAVSADHHEFPVNDDQQYPYVMNTTATLLDTGNLVLRTVEGHTRTSWQSFDHPTANTWLPGMILGRFGVKTRGVQQRCLTSWTSEGNPSPGDFSLCVDPNNPKQLVATRKGIVYWHSGVWSGRNNNFPFLPVLSHHLRYFSNDNESYFAWDGNVDPHSTFIRTFATGEISVAVKHDRNLTRASINCDHNGTYYSNVGCVRSSNCSAGDRFRSTTGFIGWELQLHDIMLGDCNEMCVNNCSCNAYATLESDGTGCKFSTSTAYRYVSNGGETFYIRDAKTAKQSHRPPPRYPALALTRRMSHHRRTTIVVALTVTLLVTLSVVFLVWYMNRRKCCSCFQAPIVPSTQQLASKDDDLPFFNFKSIEIATNYFSDENKLGQ >itb10g10750.t2 pep chromosome:ASM357664v1:10:15650786:15657197:-1 gene:itb10g10750 transcript:itb10g10750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIFYDSLVVGIVGFFICHALLLSYVSAKDRLSPGEYILPTDEVYLRSSYKIFELKLIRGPTGTSSTTSCYLCIQFTEYPILASSQQMIVWVAWLGQAQISLVPGPELKMERDGRLAVSADHHEFPVNDDQQYPYVMNTTATLLDTGNLVLRTVEGHTRTSWQSFDHPTANTWLPGMILGRFGVKTRGVQQRCLTSWTSEGNPSPGDFSLCVDPNNPKQLVATRKGIVYWHSGVWSGRNNNFPFLPVLSHHLRYFSNDNESYFAWDGNVDPHSTFIRTFATGEISVAVKHDRNLTRASINCDHNGTYYSNVGCVRSSNCSAGDRFRSTTGFIGWELQLHDIMLGDCNEMCVNNCSCNAYATLESDGTGCKFSTSTAYRYVSNGGETFYIRDAKTAKQSHRPPPRYPALALTRRMSHHRRTTIVVALTVTLLVTLSVVFLVWYMNRRKCCSCFQGILLISIYKILVILNLPLLDANKL >itb10g10750.t4 pep chromosome:ASM357664v1:10:15653874:15657197:-1 gene:itb10g10750 transcript:itb10g10750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIIFYDSLVVGIVGFFICHALLLSYVSAKDRLSPGEYILPTDEVYLRSSYKIFELKLIRGPTGTSSTTSCYLCIQFTEYPILASSQQMIVWVAWLGQAQISLVPGPELKMERDGRLAVSADHHEFPVNDDQQYPYVMNTTATLLDTGNLVLRTVEGHTRTSWQSFDHPTANTWLPGMILGRFGVKTRGVQQRCLTSWTSEGNPSPGDFSLCVDPNNPKQLVATRKGIVYWHSGVWSGRNNNFPFLPVLSHHLRYFSNDNESYFAWDGNVDPHSTFIRTFATGEISVAVKHDRNLTRASINCDHNGTYYSNVGCVRSSNCSAGDRFRSTTGFIGWELQLHDIMLGDCNEMCVNNCSCNAYATLESDGTGCKFSTSTAYRYVSNGGETFYIRDAKTAKQSHRPPPRYPALALTRRMSHHRRTTIVVALTVTLLVTLSVVFLVWYMNRRKCCSCFQAPIVPSTQQLASKDDDLPFFNFKSIEIATNYFSDENKLGQ >itb12g25810.t1 pep chromosome:ASM357664v1:12:26912869:26917691:1 gene:itb12g25810 transcript:itb12g25810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLRSIAKSFEQQLLKQSKHYSSSQLITHLSSFNSNSQVQQWQFQNRRTLILEPVTSQSIKLEQLSDSDSGILELKLDRPDRKNAIGKAMLRELRHVFDRINNERLANVLMISSSVPTAFCAGADLKERETMTPVEVQEFVNTLRSTFSMLEELCIPTIAAIDGAALGGGLEMALCCDLRVCGEAAVLGLPETGLAIIPGAGGSQRLPRLVGKSVAKDLIFTARKINGKEALLMELANYCVPAGEARLKALEIAQEINQKAPLAIKMAKRAIDKGFEVDLESGLALEEDCYDQLLNTKDRLEGLAAFAERRKPKYTGE >itb05g23870.t1 pep chromosome:ASM357664v1:5:28894716:28897547:1 gene:itb05g23870 transcript:itb05g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNCLSKVLNGVNALRKTRSRIPIATITTASKIPAMDDRQTLNTRLCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAPGGQLTTTSEVENFPGFPQGIDGLEITDRFRKQSVRFGTEIFTETVSKVDFSARPFKVFSDARIALADSVVVATGAVAKRLEFPGSGDGENGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSKVYIIHRRDEFRASKIMQNRALNNPKIEVLWNSVVVEAFGEKILGGLKVKNVLTGNVSDLKVSGLFFAIGHEPATKFLNGQLELDSDGYILTNPGTTITSVKGVFAAGDVQDKKYRQAVTAAGSGCMAALDAEHYLQEIGAQDGKSD >itb02g24890.t1 pep chromosome:ASM357664v1:2:25620254:25622337:1 gene:itb02g24890 transcript:itb02g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLMQSLLNPSKNWFAAQHMKAISNRLRKYGLRYDDLFDPKEHLDIKEALERLPQEVIDARNQRLKRAMDLSMKHEYLPDDLQKMQTPFRSYLHEMVDLKTVLSQVEKERAERKALGALPLYQRTIP >itb14g21730.t2 pep chromosome:ASM357664v1:14:23600209:23610604:-1 gene:itb14g21730 transcript:itb14g21730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPAIDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPMWTKEETGQLFELCKRFDLRFTVIADRFSSSRTVEELKDRYYTVSRIVLNSRAASSIDVAGHPIIKEPYNMPQEIERKHALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGLDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQYKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFIPDLSFGGERVGKRDQKRKTPGTPKFESSPATSKRPRKLKASDG >itb14g21730.t1 pep chromosome:ASM357664v1:14:23600209:23610604:-1 gene:itb14g21730 transcript:itb14g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNSLSIPPEKKSRPPKESQRKPDGISREVYALTGGLAPLMPAIDINHLKRRTQAENEKITWQWLPFTNSARNDNLQLYHWVRVVNGVLPTGDYSFAKYNKSVDVIEYTDEEYEKYLTDPMWTKEETGQLFELCKRFDLRFTVIADRFSSSRTVEELKDRYYTVSRIVLNSRAASSIDVAGHPIIKEPYNMPQEIERKHALSMVLSQTKHQERRDAEVLAEAKKIIESRKVAKAAEDSELPIMSDTCPEGLDRAINVDPVSTSPNAQFPSGTSAAPISETASALASLHMLGVYLRTYALEQMVQEASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQLQYKEAEGSSYRDGSYSETPGSPPKRSQRGVDQDRTFIPDLSFGGERVGKRDQKRKTPGTPKFESSPATSKRPRKLKASDG >itb09g09020.t2 pep chromosome:ASM357664v1:9:5402372:5408941:-1 gene:itb09g09020 transcript:itb09g09020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQAIKAIDAFPRAEEHLLQKTKSGALVSIVGLVIMATLFLHELRYYLTTNTVHQMAVDLKRGETLPIHINMSFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNRDGYIVGTEYLSDLVEKEHSDHKHDDHKDNHEDLHLQGFDQEAENFIKKVKQALDNGEGCRVYGTLDVQRVAGNFHISVHGLNIFVAQMIFQGSSHVNVSHIIHVLSFGPKYPGIHNPLDGTERILRGGSGTFKYYIKIVPTEYRYLSKEVLPTNQFSVSEYYSPIHEFERAWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRFVEAVTKPNARSIVR >itb09g09020.t1 pep chromosome:ASM357664v1:9:5402372:5408941:-1 gene:itb09g09020 transcript:itb09g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQAIKAIDAFPRAEEHLLQKTKSGALVSIVGLVIMATLFLHELRYYLTTNTVHQMAVDLKRGETLPIHINMSFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNRDGYIVGTEYLSDLVEKEHSDHKHDDHKDNHEDLHLQGFDQEAENFIKKVKQALDNGEGCRVYGTLDVQRVAGNFHISVHGLNIFVAQMIFQGSSHVNVSHIIHVLSFGPKYPGIHNPLDGTERILRGGSGTFKYYIKIVPTEYRYLSKEVLPTNQFSVSEYYSPIHEFERAWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRFVEAVTKPNARSIVR >itb09g07820.t1 pep chromosome:ASM357664v1:9:4672504:4674791:1 gene:itb09g07820 transcript:itb09g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFVVTMLLSFFLLTSPENAAAAAAPYTSLFSFGDSLADTGNLLHILPETNPPPHFALPPYGETFFHTPTGRFSDGRLVIDFIAESLGLPFLPPYIGEEKNESGRRNFSQGVNFAVAGATALDDAFFEEIGIDHFTPNVSLYCQLNWFKEMLPTICHSSSECKEFLKSSLVVVGEIGGDDYNYAFQVEISTDVINSYVPKVISKIGSIVDELIGLGAVTVLVAGEIPKGCSAAYLAKFMSSNQNDYDFETGCLNWLNNFAKYHNSLLINELNRLQELHPHATIIYADYYNAAMQFYLTPDKLGFKEGALVACCGAGGPYNFNESFKCGYPPTKSCANPNLHANWDGGHLTEAAYKWLAHSILTGAYTDKNLNTISTSFKATS >itb13g13920.t1 pep chromosome:ASM357664v1:13:20491262:20493367:-1 gene:itb13g13920 transcript:itb13g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISESQKKKMLSVLETAAKENNVDILYETIRDNPNILKEIEDTPFAHTPLHVAASHGNTIFAAEMMSLTPSFSRKLNCEGLSPLHLALQNGHLETAREMIGLESGLIRVAGRGKMTAMHFLVSVMGNGENDDVKKKVDLLIDFVWACPEAVSDVTVEGRTPLHIAVASKSVDAFKMLFGWVCRTGQNSVLDKHDIDGNNLMHLAALTHQTEIMRRLKGFVSVTKENKQGLTPADITTERLQGRIKIVNIKQEKEDISKEHRRTFFMSQETFVQRFIRIIACAHRGMSMDKRNMMLVVATLVATATYQVVLQPPTGIAATLDHTTTTRRYDFIFGSSTANRANLFNVFVPLNTLALNLSLAFILFVLPLDLGSGMLQLTLLVMSGSYITVMRYILEKHKLPFLFSDVIYAMFGVAIIVQLGVRTLRAWPGKPSNFHLQIETVLRSPALTTKDNN >itb06g02560.t1 pep chromosome:ASM357664v1:6:4425694:4426641:-1 gene:itb06g02560 transcript:itb06g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSGVKVRDLIAECLAEAAWDAEENQHKALQNLVAITKVSPQNRNLVAQAEGAIPRLLGLSKSSSSSITVRVLALSTLFNLSLNPNLKKSLADMESITFLNSLILSQVSEESCKIAASVVCSLAMLDKNKAKFGVAGSIEALTEAISRPRCQASHHLLSSLAELVQFQGNCTVAVRSGAIPVLLKLVESADNEDLSGTSLAILSLLARFDEGLGTLKKTDKIVASMLEILKGRCMLSKEGAAEILVRLFDESEGCIRDALRLPEFSFVLADLSVRGSGRTREKASLLMKKLMEANQNDAYPDDDVYFQW >itb11g06710.t1 pep chromosome:ASM357664v1:11:4050056:4053598:1 gene:itb11g06710 transcript:itb11g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTQSFRRIMGNVSGKRNGDEAESSGAKNVEEEEYMEFVHSPGSMVQYSPLHTPRAYQSPLIFTPQNQSNAAMNENTTAEYGEIEMPFGNGIPTMITWRQGGNEVAVEGSWDDWQTRDYLQRTDKDFSVMKMLPSGIYHFRFIVDGEWRYAPDFPHECDDMGRVFNVLDLKDVIPEVLNNICWPKSPPSPASSYNSAPFSYGDFNEKLPDLPPLLQQTPLNRTSLSRDSVETLQKPLPAVLEHLYFQKGHAGQSMVALSSTHRFRSKYVSLVLFKSLKDLKR >itb11g06710.t2 pep chromosome:ASM357664v1:11:4050056:4053598:1 gene:itb11g06710 transcript:itb11g06710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTQSFRRIMGNVSGKRNGDEAESSGAKNVEEEEYMEFVHSPGSMVQYSPLHTPRAYQSPLIFTPQVPNEMIQNQSNAAMNENTTAEYGEIEMPFGNGIPTMITWRQGGNEVAVEGSWDDWQTRDYLQRTDKDFSVMKMLPSGIYHFRFIVDGEWRYAPDFPHECDDMGRVFNVLDLKDVIPEVLNNICWPKSPPSPASSYNSAPFSYGDFNEKLPDLPPLLQQTPLNRTSLSRDSVETLQKPLPAVLEHLYFQKGHAGQSMVALSSTHRFRSKYVSLVLFKSLKDLKR >itb02g00790.t1 pep chromosome:ASM357664v1:2:443717:446532:-1 gene:itb02g00790 transcript:itb02g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMAIPMNPYLEQELDKRFKLFRFWNFPQKKEFLRENANAIRAVVGNAAAGADAELIDALPKLEIVSSFSVGLDKIDLVKCREKGIRVTNTPDVLTEDVADLAIGLMLAVLRRICECDRFVRTGLWKMGDFKLTTKFSGKTVGIIGLGRIGLAVAKRAEAFDCPICYHARSEKPNTNYKYYPSVVELASNCQILVVACALTPETRHIVNREVINALGPKGVLINIGRGPHVDEREMVSALVEGRLGGAGLDVFENEPEVPEELFGLENVVLLPHVGSGTIETRKAMADLVIGNLEAHFLNKPLLTPVV >itb01g20750.t1 pep chromosome:ASM357664v1:1:26947529:26954919:1 gene:itb01g20750 transcript:itb01g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGSDVKKSQSRSPLTDKLGEKYAIPRSPLAYSNSSSHVLPPLKFHSGLLGAHNTVALSTDSNEDEYEDEDDYDGDDNDSESVASAPDELHLHYSDEEIFNLKSKMNSNPGLGLRPTLIRGLSKENLRIEVPGNTKRFIDGDSMFLGSATSLASGSLSNCQLRGKVQPHSAYATPIGKLVDELGTPSAPPIIVDIGTEEHHSEVAEASGRMAGIEHVTDEINTLNDDGITMQAFSGSKQVLSERINSATFQGQDKANIGEMEPKACFQQANAVEHSLHYDTSGQNAWQVLLAYDACIRLCLNSWARGCTEAPEFLRDECKLLRSAFGLHKLLLQPRHVQSTERINKTTEQALPMKVRKAVGKMRVEVRKLRVLPRRKLKSANSLRGAIYMQVGADYVRHVSSVVKNRISSLKLHSLVLASEDSLSCLVLLRSATEDTQVDPGSAVSLRPGTGDYHDFFPENQGDALLVEVQDSKKNILGQATIPISSTLDNLNDKLRWWPIYLEDHECVGKVQLYINSTFTSDEMTHLKSGPIVETLAYDLLLEAAMRAQQFSARNLGIDEPWKWLLAEFSDYYGVSDSYTKLRYLSHVMDIATPTKDCLELVHELLVPVIKARSEKSLTRQEKTILLDCETRVESLLADVFENYKSLDENSPTGLADISAPIPETAAPALASAVHVYTLLHDILAQDSQTILKNYLQTAAAKRCRKHMVETDEFLSTSSEGFVMDSVTISTAYAKMKNLCINISNEIQADIKIHNQDILPSSIDLSSITTSVYSTELCKRLRAFLAACPPSSPMPHVNELLVATADFERNLELWKISMVQGGVDSRSLYHNYIMVWVEDMQLNLLELCKAEKVPWCGVITNYSTSPFAEEMFEKVKQMLLEYEVVIHRWPQYTLVLENAVANVERAIIKALEKQYSDILTPLKDSVPKRLGMQVQKLARRQSTTLYCIPNQLGTFLNTMKRILDVLHCQVEEILKSWASYLPGNGDRKTTFGEQLNGITVLLRTKYKNYVQAIVVKLASNVNTQFLQQILEAL >itb01g20750.t2 pep chromosome:ASM357664v1:1:26947529:26954919:1 gene:itb01g20750 transcript:itb01g20750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGSDVKKSQSRSPLTDKLGEKYAIPRSPLAYSNSSSHVLPPLKFHSGLLGAHNTVALSTDSNEDEYEDEDDYDGDDNDSESVASAPDELHLHYSDEEIFNLKSKMNSNPGLGLRPTLIRGLSKENLRIEVPGNTKRFIDGDSMFLGSATSLASGSLSNCQLRGKVQPHSAYATPIGKLVDELGTPSAPPIIVDIGTEEHHSEVAEASGRMAGIEHVTDEINTLNDDGITMQAFSGSKQVLSERINSATFQGQDKANIGEMEPKACFQQANAVEHSLHYDTSGQNAWQVLLAYDACIRLCLNSWARGCTEAPEFLRDECKLLRSAFGLHKLLLQPRHVQSTERINKTTEQALPMKVRKAVGKMRVEVRKLRVLPRRKLKSANSLRGAIYMQVGADYVRHVSSVVKNRISSLKLHSLVLASEDSLSCLVLLRSATEDTQVDPGSAVSLRPGTGDYHDFFPENQGDALLVEVQDSKKNILGQATIPISSTLDNLNDKLRWWPIYLEDHECVGKVQLYINSTFTSDEMTHLKSGPIVETLAYDLLLEAAMRAQQFSARNLGIDEPWKWLLAEFSDYYGVSDSYTKLRYLSHVMDIATPTKDCLELVHELLVPVIKARSEKSLTRQEKTILLDCETRVESLLADVFENYKSLDENSPTGLADISAPIPETAAPALASAVHVYTLLHDILAQDSQTILKNYLQTAAAKRCRKHMVETDEFLSTSSEGFVMDSVTISTAYAKMKNLCINISNEIQADIKIHNQDILPSSIDLSSITTSVYSTELCKRLRAFLAACPPSSPMPHVNELLVATADFERNLELWKISMVQGGVDSRSLYHNYIMVWVEDMQLNLLELCKAEKVPWCGVITNYSTSPFAEEMFEKVKQMLLEYEVVIHRWPQYTLVLENAVANVERAIIKALEKQYSDILTPLKDSVPKRLGMQVQKLARRQSTTLYCIPNQLGTFLNTMKRILDVLHCQVEEILKSWASYLPGNGDRKTTFGEQLNGITVLLRTKYKNYVQAIVVKLASNMQANRSTRLQRILEETKEADGEAEIRERMQMLSTQLSDSICNLQEVFTSRIFIAICRGFWDKMGQIVLKFLEGRKENRVWYNGSYHALGILDDIFASQMQRLQGNALQEKDLEPPRSIVEARSILSRDTTNGPDSSNYLYF >itb07g02130.t1 pep chromosome:ASM357664v1:7:1293732:1295277:-1 gene:itb07g02130 transcript:itb07g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIFTCICSALVFASFIAFLTLCWKKQKMVELAKPSKVEKLSKIPYRDLHQATDGFSETNLIGSGSFGSVYKGKFEQGGGEQTIAVKVLDLLKNGATKSFLAECKVLRNIRHRNLVPILTCCSSCDFAGNEFKALVYEFMENGDLDMWLHSHSSNTRTTVLSAFQRLNIAIDVACALHYLHDDCEPKVVHCDLKPSNILLDKDLTAHVGDFGISRLYSPATIGDPIGEQTSTIGLKGSIGYVSPEYGIGARASTFGDVYSFGILLLEMFTAKRPIDALFMNGGCESLYGYVVAALSEQVMQIVDPLLLACLESNIGIRQNEELENDGNLVEIEESKVHNFFISIFKIGLTCASRSPMDRMHMNEVSKELHKIKRVFFA >itb07g02130.t2 pep chromosome:ASM357664v1:7:1293732:1295229:-1 gene:itb07g02130 transcript:itb07g02130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAKPSKVEKLSKIPYRDLHQATDGFSETNLIGSGSFGSVYKGKFEQGGGEQTIAVKVLDLLKNGATKSFLAECKVLRNIRHRNLVPILTCCSSCDFAGNEFKALVYEFMENGDLDMWLHSHSSNTRTTVLSAFQRLNIAIDVACALHYLHDDCEPKVVHCDLKPSNILLDKDLTAHVGDFGISRLYSPATIGDPIGEQTSTIGLKGSIGYVSPGKNIVIKLYHVFPYLASLREKSHIHKSYLMLKKFFPKY >itb02g12270.t1 pep chromosome:ASM357664v1:2:8341549:8343086:-1 gene:itb02g12270 transcript:itb02g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRQLQAEDVVVKGKVIGANKGGVEGLRGFVPFSQISTKSTAEELLDKELPLNQISHDRVSDIETVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLSSDLPAEGLDLSEIPQAEDSFDISEIPPAEDPIDDL >itb04g28700.t1 pep chromosome:ASM357664v1:4:32333413:32335530:1 gene:itb04g28700 transcript:itb04g28700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MWTVHTPSRLQPLLFTNPTRSSSHSHRTTAAVTSPAVGANTHNSLIQSLCKKGQLKQALQLLSKEPNPTQRTYELLILSCADKKSVPDALNVHRELIDDGFGEDPFLATKLINMYSQLDCIDDARQVFDKICDRTIYVWNALFQALSLAGHGDEVLGLYRRMIQVGIASDRFTYTYVLKACVVSESQVSLLQNGKEIHAHILRHGYDNVVHIMTTLVDMYARFGCVDYASRVFREMPDKNVVSWSAMIACYARNGRPYDALELFREMMMLETSDLLPNSVTIVSVLQACGAIAALEQGKLIHGYILRKGLDTIVPVTSALVTMYARCGNLDTGQCVFDQMSKRDVVAWNSMISSYGIHGRGAKAVELFEEMVRRGVSPTPISFVSVLGACSHAGLVEEGKALFESMVKKHGIYPSVEHYACMVDLLGRANRLDEAARIIEDMRIEPGPEVWGSLLGSCRIHCNVELAERASRRLFELEPRNAGNYVLLADIYAEAGMWEEVKRVKKLLDCRELEKVSGCSWIEVRRRVYSLTSVEEFNPQIEQIHALLLQLSAEMKDYGYVPDAKIVLYDLDREEKERILLGHSEKLAVGFGLINSGKGETIRIAKNLRLCEDCHSFTKFISKFTNREILVRDINRFHHFRDGVCSCGDYW >itb01g02380.t1 pep chromosome:ASM357664v1:1:1529581:1532517:1 gene:itb01g02380 transcript:itb01g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSPPTRRELLAMHEFWKSNAQNLYDMVVTYNSDWPTPTVEWLPDGEEPEGESYTVQKLIVGTRAPENEQNSLLLLQVRLPRDDWEGEQFSSSVEMGKVEIVQRINHDGVVNRARYMPQNPSIVATKTGSAELFMFDCTKHPSNPPEEGVCNPDLRLTGHKDKGNALSWSPLKQGYLLSGSDDGQICIWDVNATPNDKTLEAMHIFDIQHGCAKDVAWHMKNENLFGSVGEDNYLRIWDIRTPVIKLNQSVLSHEAMVNSLAFNPINGWVVATGSSDRKVILFDLRMISSSLQTLERPLQEEVDHVRWNHKRENILASSCAGKKLLVWDISRYFFTTVTWCIAISVKNSRTECIVTIGRIQTSEEEKAGPPELIFLHFGHMDSITDFSWSPTYDSAIASAANDNSLQLWRMLEDEDDDDDEKAP >itb09g12880.t1 pep chromosome:ASM357664v1:9:8191999:8193627:-1 gene:itb09g12880 transcript:itb09g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSRQNGGIGAEVVVVMVPLPAQGHLNQLLHLSRLISSYNIPIYYTGAATHLRQAKLRLQGWDPLSLSNLHFHEFPTPSFQSPDPDTKTKSPSQLAPSFHAVLLLRDPLRDFLSNLSKTTRRVVVVYDSWMAWNVQDIPSIPNAESYVFHSVSAFSIYSFVWEFVQQKGPLPPEAQVLKHLPNLEAMHPDFDEYGKLQNEALKFNSGALFNSCRMIEGPFLDLLAKEPILRASQQWAIGPFNPVILPENKDSGMRHKSLAWLDKQEQNSVIFVSFGSSTSLSDEQINEIAIGLAESEQKFIWVLREADKGDVFVGEARRADLPEGYEEGINGKGMVVRDWAPQLEILAHPSTGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNAMLITKVLKMGVEVDDCSSQEVVRSQRIADGVKRLMGSREGDEMRRRAEELSRDLKLSVMDGGATRLEMDSFISHITRE >itb02g15840.t1 pep chromosome:ASM357664v1:2:11538766:11540739:1 gene:itb02g15840 transcript:itb02g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSDSEYVVNEEEYEEDDDEEVDKVIPGWMQPFLEASYFTCCEEHNDLEKNGLNKFCVTCVAPLCKHCIQTKVHKRHELLTIYHHSHKDVVPLKQIKKHIRCSQIQVYKCNRQWVVALHLPESNEAEGSRSQHNESEICKACKRKLHKRSDGYHFCSIACMVENEREEAEIEAPPPVVVRHRRKGIPHRSPQ >itb03g07500.t1 pep chromosome:ASM357664v1:3:5546127:5548698:-1 gene:itb03g07500 transcript:itb03g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFGLSLFLALLLLVHQNCFVYPATASDGFIRTKGIHFLLNGYPFYANGFNAYWLMYMASDPSQRSKVSEAFRDASSHGLTVARTWAFSDGGYNPLQYAPGSYNEHMFKGLDFVIAEAKKYGIRVILSLANNYDSFGGKKQYVNWARAQGQYLTSEDDFFTNSVVKTYYKNHVKTVLNRLNTFIGVEYKNDPTIMAWELMNEPRCTSDPSGKTIQSWITEMADYVKSIDTNHLVEAGLEGFYGQTSPQRTKLNTNVNIGTDFIANNAVPAIDFATTHVYPDQWLTSSNDQTQLSFLSDWLNAHIQDSQDILNKPLLISEFGKSQDDPGFTADKRDLLYKTVYYNIYSSARRGGAAAGGLFWQLLAEGMDNFGDGYEIILSRRTSTANLIAQQSHKLYQIRRIFATMTGIESVKRAGAVSRGRGRRQIGN >itb13g13710.t2 pep chromosome:ASM357664v1:13:20269639:20280466:-1 gene:itb13g13710 transcript:itb13g13710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSVPSSRLFTSTVTVPKIANVSSSPSPATASCSTTKVESIAVKHCRRCRFAVFCSSKARSMAEMLVEKSASSTAAAAASSVSHSGNQELRTFLDARSEEDLLTGVRREADDGRLPQTVAQRMEELYQNYRNAVFQSGDPKADEIVLSNMAAAFDRIFLDVKDPFEFSPHHQAIREPFDYYMFVQNYIRPLIDFRRSYVGNISLFNEMEEKLQQGENIILISNHQTEADPAIIALLLESTHPHIAENMICVAGDRVLVDPLCKPFSMGRNLLCVYSKKHMYDVPELVEMKKRANTRSLKELAVLLRGGSKIVWIAASGGRDRPDPITQEWYPAPFDPFSVDNMRRLVEHAVFPGHIYPLALLCYNIMPPPAQVEKEIGERRVISFHGAGLSVGPKVDFHVLTAAFEDREEAKVAYSNVLFDSVNQQYDVLKSAIHGKQGLKASTSTVSLSQPWL >itb13g13710.t3 pep chromosome:ASM357664v1:13:20269649:20280466:-1 gene:itb13g13710 transcript:itb13g13710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSVPSSRLFTSTVTVPKIANVSSSPSPATASCSTTKVESIAVKHCRRCRFAVFCSSKARSMAEMLVEKSASSTAAAAASSVSHSGNQELRTFLDARSEEDLLTGVRREADDGRLPQTVAQRMEELYQNYRNAVFQSGDPKADEIVLSNMAAAFDRIFLDVKDPFEFSPHHQAIREPFDYYMFVQNYIRPLIDFRRSYVGNISLFNEMEEKLQQGENIILISNHQTEADPAIIALLLESTHPHIAENMICVAGDRVLVDPLCKPFSMGRNLLCVYSKKHMYDVPELVEMKKRANTRSLKELAVLLRGGSKIVWIAASGGRDRPDPITQEWYPAPFDPFSVDNMRRLVEHAVFPGHIYPLALLCYNIMPPPAQVEKEIGERRVISFHGAGLSVGPKVDFHVLTAAFEDREEAKVAYSNVLFDSVNQQYDVLKSAIHGKQGLKASTSTVSLSQPWL >itb13g13710.t1 pep chromosome:ASM357664v1:13:20269639:20280466:-1 gene:itb13g13710 transcript:itb13g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSVPSSRLFTSTVTVPKIANVSSSPSPATASCSTTKVESIAVKHCRRCRFAVFCSSKARSMAEMLVEKSASSTAAAAASSVSHSGNQELRTFLDARSEEDLLTGVRREADDGRLPQTVAQRMEELYQNYRNAVFQSGDPKADEIVLSNMAAAFDRIFLDVKDPFEFSPHHQAIREPFDYYMFVQNYIRPLIDFRRSYVGNISLFNEMEEKLQQGENIILISNHQTEADPAIIALLLESTHPHIAENMICVAGDRVLVDPLCKPFSMGRNLLCVYSKKHMYDVPELVEMKKRANTRSLKELAVLLRGGSKIVWIAASGGRDRPDPITQEWYPAPFDPFSVDNMRRLVEHAVFPGHIYPLALLCYNIMPPPAQVEKEIGERRVISFHGAGLSVGPKVDFHVLTAAFEDREEAKVAYSNVLFDSVNQQYDVLKSAIHGKQGLKASTSTVSLSQPWL >itb08g09530.t1 pep chromosome:ASM357664v1:8:8741198:8743499:1 gene:itb08g09530 transcript:itb08g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRRLSFAFFMVLVLAAIVDKSVVVATYSSYRTPVSRPSTWKLAHATFYGDESASATSGGACGYGNLFSNGYGGDTAALSTVLFNNGYACGQCFQIRCAESPYCYKASPIATVTATNLCPPNWSEDGNNGGWCNPPRTHFDMSKPAFMKIADWKAGIVPVMVPCARHGGVKFSIQGNGYWLLVYVMNVAGGGDIAGMWVKGSRTGWISMSHNWGASYQAFAALGGQTLSFKLTSYTTHETIVAYNVAPSNWNAGMTYQAAVNFH >itb03g09670.t4 pep chromosome:ASM357664v1:3:7477410:7478192:-1 gene:itb03g09670 transcript:itb03g09670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTLEMNFPITSSEDYDDRRITFEFAVMVFVYTSVLALLLKLMGFCDGGAVAEGGIATESSGLLSKEAAKKMYGVFEEEDEELGTCGRSSSNEDLFEGKVCAICYDKRRSWFFVPCGHCATCSSCTKRFVVLLLGRWVLLRLEEGQCLN >itb03g09670.t3 pep chromosome:ASM357664v1:3:7476566:7478192:-1 gene:itb03g09670 transcript:itb03g09670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTLEMNFPITSSEDYDDRRITFEFAVMVFVYTSVLALLLKLMGFCDGGAVAEGGIATESSGLLSKEAAKKMYGVFEEEDEELGTCGRSSSNEDLFEGKVCAICYDKRRSWFFVPCGHCATCSSCTKRIIEGEAKTCPFCRCFIERVSRLLVKHP >itb03g09670.t2 pep chromosome:ASM357664v1:3:7476547:7479643:-1 gene:itb03g09670 transcript:itb03g09670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTLEMNFPITSSEDYDDRRITFEFAVMVFVYTSVLAVFLMVIALLLKLMGFCDGGAVAEGGIATESSGLLSKEAAKKMYGVFEEEDEELGTCGRSSSNEDLFEGKVCAICYDKRRSWFFVPCGHCATCSSCTKRIIEGEAKTCPFCRCFIERVSRLLVKHP >itb03g09670.t1 pep chromosome:ASM357664v1:3:7476547:7479643:-1 gene:itb03g09670 transcript:itb03g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYTSVLAVFLMVIALLLKLMGFCDGGAVAEGGIATESSGLLSKEAAKKMYGVFEEEDEELGTCGRSSSNEDLFEGKVCAICYDKRRSWFFVPCGHCATCSSCTKRIIEGEAKTCPFCRCFIERVSRLLVKHP >itb07g10980.t1 pep chromosome:ASM357664v1:7:12374573:12376889:1 gene:itb07g10980 transcript:itb07g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRTVVDASRDLISPLPVEIKHRILECLPTRDAARTALLSTHWNDVWLQHGRLAFNWEFSYSVEQGHYDKGKTLVDIINNILLSRAGPVKKFTLHIDEDYYRQSDLDRWCLFLSRNGVEELIIKFFNTGEDEYKLPFCILSCRTIKQLSVEVDFSSSIVGLEVPEAKSMNKEKPQYSNHITAIKPNTTKLRPLIVVDTETLLDEKSHAHVPYAVGYMLVSPVDDVGSKVDYEIETRFSESHVKFLPKMEDGR >itb01g35480.t1 pep chromosome:ASM357664v1:1:37659056:37665223:1 gene:itb01g35480 transcript:itb01g35480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCVKISQLLLLILLPCTLLQVARPLSPDGQALNNFRTAIVSSDDVLRQWRPEDPDPCRWKGVKCDPKSKRVTSLSLPNHKLSGPISPDIGKLDQLQILILHDNNFYGTIPPELGNCTVLKSLFLQGNYLSGLIPDQLGNLSFLENLDLSSNSLSGNIPQSLGNLNKLIMFNVSNNFLTGQIPSDGHLSNFGNDSYHGNRNLCGQQINSVCKNNAGGPTPFSQPPNSAQDQNKKKYSGRLLISASATVGALLLVALMCFWGCFLYKRLGKNDSGSLAMDVGPGASIVMFHGDLPYSSKDIIRKLESLNEEHIIGSGGFGTVYKLEMDDGSVFALKRIVKINEGFDRFFERELEILGSIKHRHLVNLRGYCNSPTSKLLIYDFLPGGSLDEALHGRSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNYEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLVTEDRPREIVDSYCEGVQAESLDALLSIAIQCVSSNPEDRPTMHRVLQILESEVMTPCPSDFYDSNSD >itb05g25320.t1 pep chromosome:ASM357664v1:5:29779455:29780523:1 gene:itb05g25320 transcript:itb05g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPCHHQLLLLFFILSLLVASVNCRRLNANHGGGKALECRSCGQEANIRGGFDRVPSLEDIKNSGPSPGAGHGVHNRPRHL >itb14g01460.t1 pep chromosome:ASM357664v1:14:1118170:1119981:1 gene:itb14g01460 transcript:itb14g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLRCGTYRSSLRWMRLTRMYCSKDTMLPMFDPTKKVCDILMSCPKSGLDTELDQSGIRPSPELVEEVLKRFENAGMLAHRFFEWAGRQRNYEHSVRAYHTMIESLAKIRQYQVMWDLVNSMKGKGMLNIETFCIIMRKYTRAQKVEEAVYTFNVMKKYDVPPNLAAFNGLLSALCKSKNVRKAQEIFDSMKNQFIPDAKTYSILLEGWGRDPNLPKAREIYRKMIEVGCNPDIVTYGIMVDILCKAGRVDEAVEIVKEMEYEGCRPTSFIYSVLIHTYGIDNRIEDAIDTFHEMQRSGVHSDVAVYNSLISAFCKVKKHENAYRVVNEMDRKGVTPNSRTCNIILSSLIGRGETDEAFRIFCRMTKICEPDADTYTMMIKMFCSSKELLKARKIWKLMKQKQFVPSMHTFSVLISGLCDDGDTRRACQLMEEMIEKGIRPDRETFGKLRQLLLKEDRKDVLEFLHEKMNLLVKEPLCD >itb11g21630.t1 pep chromosome:ASM357664v1:11:23322962:23328552:-1 gene:itb11g21630 transcript:itb11g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYMTAWVSLWILKPTEVWTRTWKAAEEKASASVFGYNGLCFAVYSFPVVVFAIFGFVYLEQKKQKEEGKSRQERRCLAFLSNPLIVKSSLGILSGIEILGVSLFIIFLAWTFYTRIANDFRKTVQIKDFKLAVWQYRMLRVATRFGLLTEACLALLLLPVLRRMAVFRLLGLQFEVSVRYHTWLGTAMIFFATLHGAGTFFIWGIKHRIHEEMWRWQKVGRIYVAGEITLITGLIIWVTSLPQIRRKCFEVFYYTHHLYIVFLVFFLFHGGDRHFYMVFPGIFLFAIDKLLRIIESRPHTRILSARIFPSKAIELILPKDPRLKYTPTSVIFVKIPSISEFQWHPFSLTSSSSVDDHTMSIMIKCEGWWGSSLYNLISSAATDSEADQLLPIPIAVEGPYGPATLEFLRYNSLVLVAGGIGITPILSILQEIGSGNRNVFPGRVQIIFATKRSQDVCMLNPVLSRLLDSKLKIHLKLKVYVTQEKQTNQTLLEMLDHDEVSVTQLRNFESKFSGYLPYGPENLYWMAAIAASASILFMLFLASFNHVFLHTGNNKKSSSKDKTPSSVVDLFLLCSFAMALVCVALIAAVRRWKCLRKEMLPFADKESIALKPRLTETNLSHDQELEVHFGARPNFQDIFAEFTKESRGSYTGVFICGPESMKESVALACQLSSKACRPQFSFHSLNFSL >itb03g21040.t1 pep chromosome:ASM357664v1:3:18845509:18850386:-1 gene:itb03g21040 transcript:itb03g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MDISNRKHLRFLGLPLNSLACKCVALVVIALVLRAVLLPRLYELGGLFEQSKFLVAPNVSLLSDSESLMEKSKFLEVPQIIWGLNNQKIAFARACLTARMLKRKLLMPSLSASLFYKEVDLLQPISFDKVFQFGSFNSRCSEFVQLGHYSEISNQSDVVELQKGLGRRWTVEKDFEQLVQFSKQPYDQYEIVKVVGKNPFLWHDHWPAKDYARVFECLVFVEQISKEADKVVSKIRDVGREARQQMGLSQPVPYVAVHMRIEKDWMIHCKKLEQRLNISEICSSKEEIMDRVRNIIGLKIPMVIYLAVADSLLEDNSILNGWNEGLLPCEKKKLGVVEIYKKHPYLIQSAIDYLVCLRSDIFVGNSFSTFSSLVVLDRTQKMIETGDANMCGVDTHWPSFAYNLAGESNGPRAWVTNLSDSSLQSISYGSNHISCSLTSRL >itb03g21040.t2 pep chromosome:ASM357664v1:3:18845509:18850386:-1 gene:itb03g21040 transcript:itb03g21040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MDISNRKHLRFLGLPLNSLACKCVALVVIALVLRAVLLPRLYELGGLFEQSKFLVAPNVSLLSDSESLMEKSKFLEVPQIIWGLNNQKIAFARACLTARMLKRKLLMPSLSASLFYKEVDLLQPISFDKVFQFGSFNSRCSEFVQLGHYSEISNQSDVVELQKGLGRRWTVEKDFEQLVQFSKQPYDQYEIVKVVGKNPFLWHDHWPAKDYARVFECLVFVEQISKEADKVVSKIRDVGREARQQMGLSQPVPYVAVHMRIEKDWMIHCKKLEQRLNISEICSSKEEIMDRVRNIIGLKIPMVIYLAVADSLLEDNSILNGWNEGLLPCEKKKLGVVEIYKKHPYLIQSAIDYLVCLRSDIFVGNSFSTFSSLVVLDRTQKMIETGDANMCGVDTHWPSFAYNLAGESNGPRAWVTNLSDSSLQSISYGSNHISCSLTSRL >itb03g21040.t3 pep chromosome:ASM357664v1:3:18845509:18849582:-1 gene:itb03g21040 transcript:itb03g21040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MDISNRKHLRFLGLPLNSLACKCVALVVIALVLRAVLLPRLYELGGLFEQSKFLVAPNVSLLSDSESLMEKSKFLEVPQIIWGLNNQKIAFARACLTARMLKRKLLMPSLSASLFYKEVDLLQPISFDKVFQFGSFNSRCSEFVQLGHYSEISNQSDVVELQKGLGRRWTVEKDFEQLVQFSKQPYDQYEIVKVVGKNPFLWHDHWPAKDYARVFECLVFVEQISKEADKVVSKIRDVGREARQQMGLSQPVPYVAVHMRIEKDWMIHCKKLEQRLNISEICSSKEEIMDRVRNIIGLKIPMVIYLAVADSLLEDNSILNGWNEGLLPCEKKKLGVVEIYKKHPYLIQSAIDYLVCLRSDIFVGNSFSTFSSLVVLDRTQKMIETGDANMCGVDTHWPSFAYNLAGESNGPRAWVTNLSDSSLQSISYGSNHISCSLTSRL >itb03g21040.t4 pep chromosome:ASM357664v1:3:18845509:18850332:-1 gene:itb03g21040 transcript:itb03g21040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MDISNRKHLRFLGLPLNSLACKCVALVVIALVLRAVLLPRLYELGGLFEQSKFLVAPNVSLLSDSESLMEKSKFLEVPQIIWGLNNQKIAFARACLTARMLKRKLLMPSLSASLFYKEVDLLQPISFDKVFQFGSFNSRCSEFVQLGHYSEISNQSDVVELQKGLGRRWTVEKDFEQLVQFSKQPYDQYEIVKVVGKNPFLWHDHWPAKDYARVFECLVFVEQISKEADKVVSKIRDVGREARQQMGLSQPVPYVAVHMRIEKDWMIHCKKLEQRLNISEICSSKEEIMDRVRNIIGLKIPMVIYLAVADSLLEDNSILNGWNEGLLPCEKKKLGVVEIYKKHPYLIQSAIDYLVCLRSDIFVGNSFSTFSSLVVLDRTQKMIETGDANMCGVDTHWPSFAYNLAGESNGPRAWVTNLSDSSLQSISYGSNHISCSLTSRL >itb03g19180.t1 pep chromosome:ASM357664v1:3:17332297:17336718:1 gene:itb03g19180 transcript:itb03g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAVGAGVVCAAAVCVAAALVVRHHMRSSGRWAKTVALLKELEEKCGTPTGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPSGDEEGLFYALDLGGTNFRVMRVQLGGKEKGVVNREFQEVSIPRHLMVGSSEALFDFIAHELAMFVKKEGEDFHIPPDKQRELGFTFSFPTRQTSIASGTLIKWTKGFNIEEVVGEDVVEELTKAMERAGLDMRVAALVNDTVGTLAGGRYNNPDAVAGVILGTGTNAAYVERANAIPKWHGLLPKSGEMVINTEWGNFRSSHLPLSDYDLGLDAESLNPGEQIYEKLISGMYLGEIVRRVLCKMAEEADFFGDTVPPKLKFPFILRTPDMSAMHHDTSADLKVVGNKLKDILEIPNSSLKMRKVVVEVCDIVATRAARLSAAGIVGILKKLGRDSSKAGENNKRSVVAVDGGLFEHYTKFRECMESTLKELLGEAAESLVIEHSNDGSGVGAALLAASHSQYLEADESLWSPAEAVAFPAE >itb03g09130.t1 pep chromosome:ASM357664v1:3:6998853:6999755:-1 gene:itb03g09130 transcript:itb03g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFPATNMSFDPFFSNPLPPFEGGFTSWDFPESAFDDFPAQLDQQPVLSPLQEPVISNSGSESDNSNQNPDNSNSGSEEPSRKRTRPVSESGMDEPDPNQAVSLPAVDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRLRLGNRELLNRFRFVSHHCHLVQSDNERLRAESSLLRQRLWDIRQVLLVRQLQHQLSTSAWGFNNNNNNNNVEQRLQSLITR >itb15g22400.t1 pep chromosome:ASM357664v1:15:25138120:25139099:1 gene:itb15g22400 transcript:itb15g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAMGIIPHMKPALYRAAATGTVEDYHAALRQMRAEGSEDCQVAPNGNTVLHVAVLHGNKHFVEKILQDQRAHASASSMLYSLNNKKETVLHCAAEKGDADIVSVLINAIKVYDEELESGVTVKEMIRMTDDVMDMALHKAARMGHLGVVKLLIQEDNEFGYPTNDAGETPIYLVAESHFLYCLKEIIEKCQNLTYVGPLGRNALHAAILSPSGSAWEIMMQILLTLDAHLVLPGNIIC >itb10g00420.t1 pep chromosome:ASM357664v1:10:269521:276713:-1 gene:itb10g00420 transcript:itb10g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVQSFKISPCFSLNLDYKLQPRTSIQCIASRGDSANLLSDSVGVNGVSPVGEKGRSGRASIDVGNGYLRSGVREEKKMEKNKDGAQEKLEVYWDDGYGTQTVKDYLELAKEIIKPDGGPPRWFSPVSCGPHLKDSPALFFLPGADGTGLGLTLHHKALGKVFELWCLHIPVRDRTPFEGLIKLVEQTVRMKHASSPSKPIYLLGDSFGGCLALAVAACNPKIDLVVILANPATSFGRSQLQPLFPFLEALPGELQFTIPYLLSSVMGEPTKMAMVGIDTELPPTVVLEQLSSNLTALLPLLSGLADIIPKETLMWKLKLLKHGSAYANSRLHAVTAEVLVLASEKDGMLPSANEGKRLARTLRNCKVRYFKNNGHTILLEDHVNLLSIIKRTGKFRRSKKHDFVLDFLPPSGSECKKAIDNNKNYRNFTGPVMFSTMEDGRIVRGIAGVPDEGPVLLVGYHMLMGLELVPLVEEFLRVKKILVRGIAHPTLFSQLVEGDGGANEDAFMDLIRLFGGLPVSPSNLFKLLQTKSHVLLYPGGAREALHLKGEEYKLIWPDQPEFVRMAARFGATIVPFGVVGEDDIVQLVLDYDDLMKIPVLNDRIRNDNEKAKEMGFAVRADKTGEIANQTLYLPGLLPKIPGRLYYLFGKPISTKGKREMLKDREKARELYLRIKSEVENSMAYLLKKREEDPYRSLADRTAYRAFSAPFDQVPTFDID >itb04g08960.t1 pep chromosome:ASM357664v1:4:8208316:8211585:1 gene:itb04g08960 transcript:itb04g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLETKFSLRNLNRFLERRLQNWCSFSDYNIIHRGRIVLIWDPCKVQCTVFSASAQAVHCQLRISDCPATGEIFTWSNNRVKAKLDRVLINAAWNDLNIACDTAFLPMDCMSDHCPSIVKIMEYNRGGNKPFKFFNMWMLHNDFSAILESVWTRPLSGTFQYLLAIKLKALKHPLKALNRAEFGHISDRAAKANVEFQQAFHNLDVVRANDGDRRHVSNLRERALFLAEAERQFFCQKLKTKHLVNADKGSKYFHELIRNRQRSMAIPTVCALDGTPSTSLDHVGEIFVNFFSDLFGSTRDRQHSVTNFIDNGPKVSPAQGLCSVRNMILEKFGSKAAAISKMQSWSVEGRLPAAKVYDLLRVKGQTLACWRHTWKSHIPPKYSFITWLALRERLLTRDRLQFLDLDGQCSLCVGAMESANHLFFLCPFSLQVWSDVCRWLGFPFKTKTIRGSLRWIKKAHVGNRIQSKGIYLALLCTIYQIWKIRNAVLHNENRLDPIAAVRIIVREVCRILASLYPTHINLFVVCNPNVDISG >itb10g17080.t2 pep chromosome:ASM357664v1:10:23328113:23329117:1 gene:itb10g17080 transcript:itb10g17080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSTFCDPLKLETIVKNFASKQEPLPLSFASIGSLSSENNVLFLAPTPTLSLLQFHSQLFDSMRKEGLEIGEEYRPDMWLPYCPVAEEVPKTRMAEAFTVLRDLKLPVSGYAMDIALVEYPPVREVFSFALGNTVEP >itb10g17080.t1 pep chromosome:ASM357664v1:10:23327719:23329145:1 gene:itb10g17080 transcript:itb10g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSTFCDPLKLETIVKNFASKQEPLPLSFASIGSLSSENNVLFLAPTPTLSLLQFHSQLFDSMRKEGLEIGEEYRPDMWLPYCPVAEEVPKTRMAEAFTVLRDLKLPVSGYAMDIALVEYPPVREVFSFALGNTVEP >itb02g24270.t1 pep chromosome:ASM357664v1:2:24622354:24624537:-1 gene:itb02g24270 transcript:itb02g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDELVGEVLSKMMRIGDKNSVSLTCKRLHKLDNAQRKSIRVGCGLVPVHDALVALCHRFSNLETVEIVYSGWMSKLGKQLDDGGLLILSSSCPSLRNLTLSYCTFVTDAGLGYLASCSKLSSLKLNFTPRITGCGILSLVVGCKNLVMLHLIRCLNVSSVEWLEYLGKLGKIQDLCIKNCRAIGEGDLIKLGPGWQKLKKLQFEVDANYRYMKVHDPLAVDQWQKQWIPCDSMIELSLVNCIISPGRGLACLLGNCKNLEKIHLDMCLGVRDSDIMCLAHKSSNLRSMSLRVPSDFSLPLLVNNPLRLTDDSLRAVAQKCSHLESVKLSFSDGEFPSFSSFSLDGILKLIQMCPIRELALDHAYSFNDTGMEVLSTAQHLRILELVRCQEITDEGLQLVAQIPRLCILRLSKCLGVTDEGLKPLIGSQKLQVLVVEDCPQISERGTQSAAKSVTFKQDLSWMF >itb02g24270.t2 pep chromosome:ASM357664v1:2:24622354:24624537:-1 gene:itb02g24270 transcript:itb02g24270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDELVGEVLSKMMRIGDKNSVSLTCKRLHKLDNAQRKSIRVGCGLVPVHDALVALCHRFSNLETVEIVYSGWMSKLGKQLDDGGLLILSSSCPSLRNLTLSYCTFVTDAGLGYLASCSKLSSLKLNFTPRITGCGILSLVVGCKNLVMLHLIRCLNVSSVEWLEYLGKLGKIQDLCIKNCRAIGEGDLIKLGPGWQKLKKLQFEVDANYRYMKVHDPLAVDQWQKQWIPCDSMIELSLVNCIISPGRGLACLLGNCKNLEKIHLDMCLGVRDSDIMCLAHKSSNLRSMSLRVPSDFSLPLLVNNPLRLTDDSLRAVAQKCSHLESVKLSFSDGEFPSFSSFSLDGILKLIQMCPIRELALDHAYSFNDTGMEVLSTAQHLRILELVRCQEITDEGLQLVAQIPRLCILRLSKCLGVTDEGLKPLIGSQKLQVLVVEDCPQISERGTQSAAKSVTFKQDLSWMF >itb09g16750.t1 pep chromosome:ASM357664v1:9:11894665:11895959:-1 gene:itb09g16750 transcript:itb09g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVWNSVFIVILLSLAFAYFCSKSLTPFYWIEEGSHENIHHLEMQAVCNGTGGGNSSRSIAKKMKSNSHCNWFQGTWVFDDSYPLYDSISCPFIRKVFHCIRFGRPDRQYLKYRWQPHGCELPKFDGRDFLSRMRGKKIMYIGDSLSLNNFESLLCLLHGTVPGVKYREEFTALNVTVTFLEYEVEVILYHSEFLVDIENRVAKMNSIKSGEIWKQMDVLIFNSGLWWMRKGPKQPWDFIEQVDGRVVKDMSRYGAYEMALQSWAKWVEREVDHTKSQVFYQSEAAVHYM >itb04g09190.t1 pep chromosome:ASM357664v1:4:8507957:8510105:-1 gene:itb04g09190 transcript:itb04g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDLHLKLAKRFGLGRFLGRDGGGGGGNDEKGKRFSWMTPVSHGYYAAEGDDDEVVVQREQVEDNEWWFYGVLHARIGAGVTEYLQDNLFNNNLNQSQMRRKSKETMKKAHLRARAKIMETAKGSCKVGSASAIVINGERLVIAKMGDYKAVICKDGEAFQIGTRHWQQKRQHWSNKLFPGAIRFPRMQTTNASDTSNTDESKDFKSLELAVACERIDGNTEFVILASTGVWEVMKEQEAVNLIRHFENPQAAAECLAKEALTRMSKSKIACLIIWFD >itb07g05830.t1 pep chromosome:ASM357664v1:7:4028434:4031446:1 gene:itb07g05830 transcript:itb07g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFDMYDPDQHRLQQQGQQPRKKSKLALLLLVSRVTTLVSLAISIVILKNSTKTYPGKVTFYGDTYDNGYRIRYSDYSSYKYMMFIMVIGCVYNLLQIPLALYFFLRHNHLINHTGFVLFQFFADQFQRFPRIRHNKISGVVVNPFPVFTQVTLRRRLLAVRRRKLKPHRFFVPPVNVLGVANSTVKSLGDTTQVQSKEDGVRSRRKWKLHLTVDFKPPDLLEICH >itb11g11390.t1 pep chromosome:ASM357664v1:11:8336634:8340929:1 gene:itb11g11390 transcript:itb11g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKERLLPPKPASAANLRDASYRTSASGRSPFQVLDVLGLKKRGQGLRSWIRVDATGNSQVIEIDKFTVMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCIITADDVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWQSESSDLSRRGSRNLDDMFRSSSPDYLPFEFRALEIALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSPYGDHQSLMGFRSNDGVLSVSAPVSPVSSPPESRKLEKSLSIARSRHESTRSSESLTEAQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELILTTATFVVAIFGVVAGIFGMNFEIALFEKRNAFKWVLIITGVTGMVIFFSFLWFFKYKRLMPL >itb11g11390.t3 pep chromosome:ASM357664v1:11:8337454:8340929:1 gene:itb11g11390 transcript:itb11g11390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKERLLPPKPASAANLRDASYRTSASGRSPFQVLDVLGLKKRGQGLRSWIRVDATGNSQVIEIDKFTVMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCIITADDVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWQSESSDLSRRGSRNLDDMFRSSSPDYLPFEFRALEIALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSPYGDHQSLMGFRSNDGVLSVSAPVSPVSSPPESRKLEKSLSIARSRHESTRSSESLTEAQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELILTTATFVVAIFGVVAGIFGMNFEIALFEKRNAFKWVLIITGVTGMVIFFSFLWFFKYKRLMPL >itb11g11390.t2 pep chromosome:ASM357664v1:11:8337376:8340881:1 gene:itb11g11390 transcript:itb11g11390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKERLLPPKPASAANLRDASYRTSASGRSPFQVLDVLGLKKRGQGLRSWIRVDATGNSQVIEIDKFTVMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCIITADDVLLLNSLDSYVLQYVVELQRRLQSAGVGEVWQSESSDLSRRGSRNLDDMFRSSSPDYLPFEFRALEIALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSPYGDHQSLMGFRSNDGVLSVSAPVSPVSSPPESRKLEKSLSIARSRHESTRSSESLTEAQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELILTTATFVVAIFGVVAGIFGMNFEIALFEKRNAFKWVLIITGVTGMVIFFSFLWFFKYKRLMPL >itb02g25850.t1 pep chromosome:ASM357664v1:2:26658371:26675651:-1 gene:itb02g25850 transcript:itb02g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGRDRDSSRNGRKRNLPSWMGSRQDTRNVEGNKRAHVGDQEGSEEGETSKVSKNHSKTQNAEVETEPNSDLGTSNFSKLMEGVVFVLSGFINPERGTLRSQALEMGAEFQPDWNSNCTLLVCAFHNTPKFRQVEADLGTIVSKAGRDRDSSRNGRKRNLPSWMGSRQDTRNVEGNKRAHVGDQEGSEEGETSKVSKNHSKTQNAEVETEPNSDLGTSNFSKLMEGVVFVLSGFINPERGTLRSQALEMGAEFQPDWNSNCTLLVCAFHNTPKFRQVEADLGTIVSKDWIAECYNQRQLIGIEPYLMHAGKPWRKQSVCKDQRPSTSRKSQKRVESSRSDMTTPASSKDTDSNGVKECFSPSKVKKWAIDDLQSTISWLESQDEKPEPTEIKKIAAEGILTCLQDTIDSLKQGQDVKRITEEWACIPRAVEELAKFQGTKGGLAMVQKEDLCKQAVSCKQIYEREFKNNDPFLKTKERNTGSDSDETIEMTEQEIDQAYNAVSSTLRTQINAKHLTSSPLSQSQPIKALPTPLCSSNLLYFPFSSTTPCSATMGASLPPKEANLFKVIVKSYETKQYKKGLKAAEAILKKFPDHGETLAMKGLTLNCMGRKSEAYDLVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRLQLLTLKPNHRMNWIGFAVAHHLNSNGSKAVDILEAYEGTLEDDYPPENERCEHGEMLLYKISLLEECGSLERALEELRKKEYKIVDKLGYKEQEVCLLLKLRHFEDGERLYKVLLSMNPDNYRYYEGLQRCLGLYSEDGKYSSDEIDRLAATYESLAQQYPISSAVKRIPLDFLGGEKFREAAENYIRPLLTKGVPSLFSDLSSLYDLPEKADILEQLVLKLEQSIRTIGGYPGSVDKEPPSTLMWTLFYLAQHYDRRGQYDLALLKIDEAIEHTPTVIDLYLVKSRILKHAGDLAAAAALADEARCLDLADRYINSECVKRMLQADQVTLAEKTAVLFTKDGDQHNNLYDMQCMWYELASGESYLRQGDLGRALKKFLAVEKHYSDITEDQFDFHSYCLRKMTLRTYVEMLKFQDRLHSYPYFHKAAAGAIRCYLRLYDSPLKSAAEEDDEMSKLPPSQKKKLRQKQRKAEARAKKEAEVKNEESSATGVSRLGKRPVKAVDPDPHGEKLLQVEDPLVEATKYLKLLQKHSPDCLETHLLSFEVNMRKQKILLALQAVKHLVRLDADSPDSHRCMIKFFHKVGSLAAPVTDTEKLVWDVLEAERPTFSLLHEKAAAAELLHVLEPNKKADAVKLIEDFANDSASINGAQGSLRKWKLKECITVHKCLDTALEDHEAALRWKECCADYFPYSTYFGGSRSSATMGLSHHLIRQSSENGVAASLSTDQDAAASVSSNGRLQKLEGGFKELVI >itb01g21930.t1 pep chromosome:ASM357664v1:1:27922003:27925529:1 gene:itb01g21930 transcript:itb01g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSSIIYILFLSALLSYCISRKQAAKRKENLRLPPGSMGWPYIGETLQLYSQDPNIFFSNKQKRYGDIFKTHILGCPCVMLASPEAARFVLVTHSSLFKPTYPKSKEKLIGPSALFFQQGNYHSQVRKLVQSSLSPEVLRKLVPDIEAIAITSLESWANKQIINTFQEMKKFSFEVGILAIFGHLDKKYRDALKENYCIVDKGYNSFPTNLPGTVYNKATVARKRLNQILSEIICERKEKKVLERDLLGHLLNFKDEKGQALREDQIADNIIGVLFAAQDTTASALTWVLKYLHDDQKLLETVKAEQTVIYRSNSEEKKSLNWAQTRNMPLTYRAILESLRMSSIISFTFREAVVDVFYDGYFIPKGWKVMPLFRNIHHNPEFFTDPQNFDPSRFEVAPKPNTYMPFGNGAHSCPGNELAKLEMLILVHHLVTNYGWEAISSQGMIQYSPFPVPYQGLPARFWKEINSLQQSI >itb01g21930.t2 pep chromosome:ASM357664v1:1:27922003:27925014:1 gene:itb01g21930 transcript:itb01g21930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSSIIYILFLSALLSYCISRKQAAKRKENLRLPPGSMGWPYIGETLQLYSQDPNIFFSNKQKRYGDIFKTHILGCPCVMLASPEAARFVLVTHSSLFKPTYPKSKEKLIGPSALFFQQGNYHSQVRKLVQSSLSPEVLRKLVPDIEAIAITSLESWANKQIINTFQEMKKFSFEVGILAIFGHLDKKYRDALKENYCIVDKGYNSFPTNLPGTVYNKATVARKRLNQILSEIICERKEKKVLERDLLGHLLNFKDEKGQALREDQIADNIIGVLFAAQDTTASALTWVLKYLHDDQKLLETVKAEQTVIYRSNSEEKKSLNWAQTRNMPLTYRAILESLRMSSIISFTFREAVVDVFYDGYFIPKGWKVMPLFRNIHHNPEFFTDPQNFDPSRFEVAPKPNTYMPFGNGAHSCPGNELAKLEMLILVHHLVTNYG >itb02g20490.t1 pep chromosome:ASM357664v1:2:18459603:18465101:1 gene:itb02g20490 transcript:itb02g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKNFAIHVRNQRHLKGRGPALLQRRHGLKEEEEGVRLCQKSSPPPRSFLVAPRRHSSRLHRPTTFIEARRWSSCSPSPESPDGGERPETSPEEPSLASAVPPLRNANNRRMPFQLAPPSSPPEEPAPRYSSYSPESVSPEGGWRGSEWESKTIASSAYLLAAALMPPLVAAVLHGSRRLEGKTMQIYRETKANFFSDTLMQQFMQLPLILSLMWVMLQILNLLSFPILFIQFLMLKVPIPFLILLNLDNH >itb06g18870.t1 pep chromosome:ASM357664v1:6:22404498:22408966:-1 gene:itb06g18870 transcript:itb06g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MGRKHHDTAILSEPLTSGTIENHDTKSKKKHKRKLENAEAEPEPEIARESNKEKKKKKKHKHKADEEAKDHAGEIEERNGSVDVSGKANNKKENGEGVEVSNNGSVEIMESSEGVVVSGKDVNDLKFKALEKFSDSGLPDKVLECCKNFEKPSPIQSHSWPFLLNGRDFIGIAATGSGKTLAFGIPGIMHVMSKRKGKKPKNPLCLVLSPTRELAQQISDVLCDAGKPTGTQSVCLYGGTSKGPQISALKSGVDIVIGTPGRLKDLIEMGICQLKEVSFVVLDEADRMLDMGFEPEVRSILSQTCSVRQMVMFSATWPPPVHQLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLEDRARDERLQNLLEKYHKSRRNRVLVFVLYKKEASRVENMLQRRGWKVVSISGDKAQHARTEALSLFKEGSCPLLIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTKENKGLAGELVNVLKEAGQIVPADLLKFGTHVKKKESKLYGAHFREITPNAAKATKIKFDNSDDED >itb12g07670.t1 pep chromosome:ASM357664v1:12:5891921:5894555:1 gene:itb12g07670 transcript:itb12g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKEAETAETLAAGFTELTNPKTPPADNGNLGSDCGVQNGDSVNEFVGDGISLLVQVHGTLETEKEQLALRGNNSPVLKNGDGDSTEEKPQTEAINEDEKSGNEADDDDDDERNDSVSEHTYSVGDYVWGKIKSHPWWPGRIYDPSDASEFAEKYRQTGRLLVVYFGDGSFSWCLPSQLKPFADNFEEMSKQSSIRSFLNAVDKALDEIGMLLVLGMTCQCIPQEHIDGRSWPLAMNAGIKTGVLVPKGDTRTLLLPSYDPRLVVLKLRDLARTTSVPSRLEYVALTSWLSAFYRSKGGCRLSVYCEPGLIEGLEDKTRNHAVDGTDLNVPIEVPIKGPLEDEQVSYRNTGPINCPVLADDNLYHPRKQKSVAELMGENVRSDVGNNSDGGGVAQVTETGIKECRKETDCYSSAKIAKKRRNPLFIKCSENGSRNNVGEAKEEAEKSPASRERKKSKYLSPPYTSPRWITGRSSAKREFEAESEKISKTARVGEQMKPAAEEVVPVPISGHNSEEMKEKVLEQPDDLDMETQIGKENHEKIPGTVYMNASINQVLTEFQSTAIDPLYSSNSGTLDMVREFISAFRKSVYLNRTNYKVHNSRQSGRKRKSLCSPIENHVDVPSATNAKSQQRRKRTKLAKAETSELKKPASTSSGEAEDKEATRKSLIVTFSPGYPLPSKEELVRIFSRYGDLNEKETNTFYKSNCAQVVYENGSDAEAAFKKSVKQSPFGAAHVNYRLRYSPHKQDPRVLLDSQLEHDDALEFGSIKQNLNILKSMLRKCNGKISQEEKFDLEGEIKGLLEKDSCN >itb13g24390.t1 pep chromosome:ASM357664v1:13:30059846:30061189:-1 gene:itb13g24390 transcript:itb13g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKPSSSCNVLMFPWLAQGHFTPFLELAKILSSRNFNVHFCSSPIILQSIAKLNLSPSIHLVELRLPSTPDLPPHHHTTTALPPRFADALQEAFQNTESTFYDVVSSVNPDLLIYDGFQPWAASLNIPAVHLSTAGAVAMSSLAHNRVDRARGSKFPFPEIYYHDHEIRKFISMAKKNPTGVDLGSLGFKSIEQSHKIMFINSCREMEGKYVDYLSHLTKKEVMAIGPLIRPTTDEEDHADIVQWLHDKGESSCVLASFGSEYSMSSTDMEEIAFGLEISEVNFIWVVKFPAGVDTAVEEAVPEGYLERVKGRGIVVKGWVPQAKILADRKICGFLSHCGWNSLLESLTFGVPIIALPLHLDQPGNARLVEEIGVGVEALKGENGEIKREEVAKALRKVAMEKSGEEMKQKARELSVKMRLSGEKMIDEAVDKLLQLCRKN >itb06g19760.t1 pep chromosome:ASM357664v1:6:22980624:22984352:1 gene:itb06g19760 transcript:itb06g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISVFLCVVVLLFSYVLESKATPLKQQNDGVYIVYMGAAAPSNNGLMRDDQAQLVTSLIKRKKNALVYSYTNGFSGFSARLTEEEARSIAQEPGVVSVFPDPILQLHTTRSWDFLNSLSDKKFSAATIRPKSARAQPSSSGEADTIIGILDTGIWPESASFDDKGMGPIPSRWKGKCEVGENFTSSNCNRKLIGARYYGERSARDDGGHGTHVASTAAGSLVEGASFYGLANGTAKGGSPSSRIAMYKVCVIFICSGSAILKGFDDAIKDGVDVLSVSVGMGSLVRPDFSTNAIALGAFHAVERGIVVVSIAGNDGPKRSSIDNEAPWIFTVAASTIDRDFQSQVILGDKTVIQGGGINFGNLTKTSVHPLATGASVKPDNVTGSDDARNCVPKSLDPAKVKGKIILCETQNTSYDSIDRQHEVKKAGGVGIILIVTQDERYMAPIFFEFPGSIIIKEEGSQVFNYINSTRNPVATILPTVTVTGIKPAPVVISFSSRGPSLTSVNLLKPDICAPGVDILAAWSPMDDMAIPGKNPPQFLTSSGTSMAAPHTSGIVATVKAHNPNFSASAIRSAVMTTAIQTNNMNAPITTSSGPLATPYDIGAGEVNPTASIEPGLVYETEIADYVLFLCATGYNTSQIKLISQTIPKDFQCPDKVTEDIISNMNYPSIAISKLKDGEPKTVTRTVTNVGPEESVYTATIEAMTGIETTVTPNKLVFTKEKKKLTYNVTFTAPSSPKNDIFGSITWTSGKYRVRSPIVISAE >itb06g17190.t1 pep chromosome:ASM357664v1:6:21110226:21116982:1 gene:itb06g17190 transcript:itb06g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFGGPLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDILWEFQPKKLGEGDLLTGGDLYANVIENSLMQHHVTLPPDAMGKITYIAPTGHYSLKDTVLELEFQGVKKQYTMLQTWPVRTPRPVAEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMLRNIIHFYNLANQAVERGAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEDVLVGKFKKLHEDLISGFRNLEDETR >itb01g13410.t1 pep chromosome:ASM357664v1:1:13461422:13462496:1 gene:itb01g13410 transcript:itb01g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMCSIDYLTAITQPSFGQAACESDCIKGFIAAHNVARETVGAPPVKWNTTLADFAESYATKRSADCVAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCIGGECHHYTQVVWRDTSSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb02g24160.t1 pep chromosome:ASM357664v1:2:24520576:24522494:-1 gene:itb02g24160 transcript:itb02g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKSKKGAASKKRAAASSPPPPPDPVISKADKELERIDKLFYTYANDSSGLIDPEGIEILCSHLGVAHTDIRMLILAWKMQAGRQGYFTLVQKDYKVITMDQWLGFYRFLSEISFPDFSNYDEELAWPLILDDFVDWMREKQC >itb10g15230.t1 pep chromosome:ASM357664v1:10:21522329:21522895:1 gene:itb10g15230 transcript:itb10g15230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVHRLAISAADLRPDGDESKIDEGEEEEILDGLDEDNVIGSGLSRKVYKVVLSSGEVVAVKKIKKNLKLAGKSSDVEKGGYQDDGFEAAIETLGKIRHKNIVKLFCSSLLLL >itb05g00860.t1 pep chromosome:ASM357664v1:5:729818:730369:1 gene:itb05g00860 transcript:itb05g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSIAKRSCGRPRKASIKSDICPYTTSRKSKIKIKVLEQQDYWDHVVSATPRKPRTKNTRILNRKKQSWGQRYLLDHNLKPNSISQKEVEGVPFLDLDASPINTRSPLLSNSIDRVKPISSQNEVEAVFTSSSSSSSELKISLSTPLSEYIIPGPIPDYIYDFAWDEDINCNFWEDIPDFEE >itb06g02080.t1 pep chromosome:ASM357664v1:6:3493449:3494942:-1 gene:itb06g02080 transcript:itb06g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYWNNERGWEWENMPPLPAIIKQHLQLINLNGSDREDENYWMRETTGKFSVSSAYSLTHGYQSNMQGKIWGTLWKLKVPNKMKNFLWIALHDRVMGNAERARRGITSDGCCGVCMGELETTYHILRHCKEAEDIWTAFVPRDERRRWRRMDLKCWIQANITGTLAGYDNTNWPRQFTTIVWWLWKWRCNRVFNGRDVPVHHKTYWVKEAVKEIDRAFTHSTLARGIKIAKLRWCASSDHRFTLNVDGSVKVGLNRAGFGGVIQNQRGEWVEGLLCSIEYGDPSIIEARAIVECLSWAWQNGIGDMEEMDYVAILRVRRNRT >itb14g00680.t1 pep chromosome:ASM357664v1:14:464813:465290:-1 gene:itb14g00680 transcript:itb14g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRSNLQQEEEESFSGGGVPVHSQVRKIRQEMEKIKHPSLQQPEMMRPVFRDITGRQRSRSPLGLAERPIAVALLHSFKFCRRR >itb12g25970.t1 pep chromosome:ASM357664v1:12:27038598:27041435:-1 gene:itb12g25970 transcript:itb12g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLNGFSSDRSHRLYADDGDYRPARGLRTWWEVFCIETVKLWIIGGPIAFNIICQYGTNSATNIFVGHLGNIELSSISIAQSVIGTFSFGFMLGMGSALETLCGQAFGAGQVHMLGIYMQRSIVILFVTCIILLPIYLFATPLLKLLGQEDEIAVLAGEYAILIIPQLFSLSVTFPTQKFLQAQSKVNVLAWIGFLVLLLNVLLLWVFVCLFSWGTTGAALAFDLSNWVIAIAQFVYVVRWCKDGWKGLSWTALNDIWAFVRLSLASAIMLCLEIWYMMSIIILTGHLDNAVIAVGSLSICMNVNGWEAMLFIGINAAISVRVSNELGLGHPRATKYSVYVTVFQSLLIGILCTVIVLATRNHMAIIFTDSKEMQQAVAKLAGLLGITMVLNSVQPVISGVAVGGGWQALVAYINLGSYYIFGLPLGFVLGYVANLGVTGLWAGMIAGAGMQTLLLLIVLYKTNWTNEVKQTTERMKKWGGQDINVGEKSPDHLASCNNEP >itb12g09310.t1 pep chromosome:ASM357664v1:12:7308217:7308922:1 gene:itb12g09310 transcript:itb12g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESDHGVHIWTERERRKKMRNMFSNLHALLPQLPPKADKSTIVDEAVNYIKTLQQTLQKLQTRKLEILHGFNSNNPSPSIFGSQKLNAELTTREAFLADHHQGSSGALASFIGSSSTPPQPPAFQTWTSPNVILNVCVDDAQISLCCPKKPGLLQAICFVLEKHKIEVVSAQVSSNHHRTSISGAHFCDFASS >itb12g15540.t2 pep chromosome:ASM357664v1:12:15878747:15881392:1 gene:itb12g15540 transcript:itb12g15540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCEFCRTVKRRGRVYVICSANPKHKQRQGLSTFAYEGPIPSTSLVTSAKQEMPSSNVISSGLPSLITKNSEVLITPWWRRGLVSILLNQGSKQ >itb12g15540.t1 pep chromosome:ASM357664v1:12:15878608:15881392:1 gene:itb12g15540 transcript:itb12g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCEFCRTVKRRGRVYVICSANPKHKQRQGLSTFAYEGPIPSTSLVTSAKQEMPSSNVISSGLPSLITKNSEVLITPWWRRGLVSILLNQGSKQ >itb07g08740.t1 pep chromosome:ASM357664v1:7:7034143:7035301:1 gene:itb07g08740 transcript:itb07g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLLDFVSLWLNIPPKDQSWDGVLLEAVLLNTGNLVLRERSNPPNIIWQSFDDPTYPWLPGAKLGYNKLTGKSLQKLSSWRNGEDPKPGLFKVVAEE >itb11g01570.t3 pep chromosome:ASM357664v1:11:768643:771346:-1 gene:itb11g01570 transcript:itb11g01570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MAATLRNLKIKTSTCKRIVKELHSYEKEVERESAKTADMKAKGADPYDLKQQENVLAESRMMIPDCHKRLEAALTDLKGTLVEIEEELNQKEGPEFEEAQKVITEVEQVLQSTEA >itb11g01570.t2 pep chromosome:ASM357664v1:11:768577:771427:-1 gene:itb11g01570 transcript:itb11g01570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MAATLRNLKIKTSTCKRIVKELHSYEKEVERESAKTADMKAKGADPYDLKQQENVLAESRMMIPDCHKRLEAALTDLKGTLVEIEEELNQKEGPEFEEAQKVITEVEQVLQSTEA >itb11g01570.t1 pep chromosome:ASM357664v1:11:768577:771427:-1 gene:itb11g01570 transcript:itb11g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MAATLRNLKIKTSTCKRIVKELHSYEKEVERESAKTADMKAKGADPYDLKQQENVLAESRMMIPDCHKRLEAALTDLKGTLVEIEEELNQKEGPEFEEAQKVITEVEQVLQSTEA >itb11g20520.t1 pep chromosome:ASM357664v1:11:21692746:21700233:-1 gene:itb11g20520 transcript:itb11g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFEFHRLLEHFAVVRTRDYHYESEMKLSASLSSRNLEASKSSKNLTSSKSSKNFEASKSSRNLDSSKSSKKLMPSKSQRNFTPSRSSRNLASSRSSRKLTSKSLRELVEKMNKGDMKEIDSNDSFWDKLKQAAQKQLVEQFYRLVKKKQKSSARHFKKFIRNFFRESNSGFFSIKNTTDANALLRFRVTEKEDRWAMKELSRELRWDFWVASLGFGEAADGGSALRNGLLDGSGRWVECLIFLPLTCDFF >itb15g17630.t3 pep chromosome:ASM357664v1:15:18603736:18608652:1 gene:itb15g17630 transcript:itb15g17630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHEVVSSNRRWSYYKDSIDKGTAFISSSWLSYAASIDREDWQSIEVTSSLLLNSEHTPSSSPHHRRTPLSHTPPHDVTLLSSPLARRTKPSRSDAVQHRSSPRRPASRVVVVQPSSRAVAVAVAVYLLQPRPTVLCKKLTVWWPWVSHSRTSGLSKAVKNKLTVWCSTFWLCIYVIWICPFVLFLILLFDAHLTNC >itb15g17630.t2 pep chromosome:ASM357664v1:15:18603736:18608620:1 gene:itb15g17630 transcript:itb15g17630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHEVVSSNRRWSYYKDSIDKGTAFISSSWLSYAASIDREDWQSIEVTSSLLLNSEHTPSSSPHHRRTPLSHTPPHDVTLLSSPLARRTKPSRSDAVQHRSSPRRPASRVVVVQPSSRAVAVAVAVYLLQPRPTVLCKKLTVWWPWVSHSRTSGLSKAVKNKLTMWMMWQGDAKLVQL >itb15g17630.t1 pep chromosome:ASM357664v1:15:18603736:18608620:1 gene:itb15g17630 transcript:itb15g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHEVVSSNRRWSYYKDSIDKGTAFISSSWLSYAASIDREDWQSIEVTSSLLLNSEHTPSSSPHHRRTPLSHTPPHDVTLLSSPLARRTKPSRSDAVQHRSSPRRPASRVVVVQPSSRAVAVAVAVYLLQPRPTGIPPMSKLLNHSDGWVTVLCKKLTVWWPWVSHSRTSGLSKAVKNKLTMWMMWQGDAKLVQL >itb01g01620.t1 pep chromosome:ASM357664v1:1:958765:960521:-1 gene:itb01g01620 transcript:itb01g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSISATLSASMAAADSLSDQQINEFREAFCLIDKDSDGVITMEELGTVLHSLHEHPTMEEIQEMVNLVDDDGDGTLNFQEFLNIMATKLKEDVVEELKEAFKVFDRDQDGFISAIELRNVMMNLGERLTDEEAEQMIKEADVDEDGLVSYEEFVGMMMKSLPTS >itb11g21470.t1 pep chromosome:ASM357664v1:11:23196388:23198547:1 gene:itb11g21470 transcript:itb11g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAAAAAVNAKLVYPYAPSSTPTSSKPSKLILSSSFTPTLSTHFVHSPSAAVPAAAAIRHRRFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAANGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPIVSGSALLALEALMANPSIKRGENQWVDKIYELMDSVDAYIPIPQRQTDLPFLMAIEDVFSITGRGTVATGRVERGTVKVGDTVDIVGLKDTRNTTVTGVEMFQKILDDAMAGDNVGLLLRGMQKADIQRGMVLAKPGTITPHTKFESIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTGIKNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQKILE >itb07g15050.t1 pep chromosome:ASM357664v1:7:17958651:17959556:1 gene:itb07g15050 transcript:itb07g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFLLCLRYLTVCLKGWDSVSPPSLEHLHHLETFKVKSSVGLHLPFMFWNLEGLRHLHIDHYCNRCLYRRNLILIPPKPLNLQTFSIPVLFNSRRDEHLLRKLPHLRKLRCRFSTAWYRNCHRFPELGFLDQLESLEACRISHHMNAAIPSAFDFPPSLKELILHKFRLPWDSISVIAKLPRLESLELFSAFDGDQWDVKEGNFSKLKFLRICESRIVRWNATAESFPKLERLVLEKCKRLKEVPSDFQDIITLQVIEINQCNSSVARSVKKIQEDMEALGNENLNVCVVNSDLELRKCK >itb09g06750.t1 pep chromosome:ASM357664v1:9:3874257:3878554:1 gene:itb09g06750 transcript:itb09g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSINFPIANLPSHPFHSIRKTENLPLNYPRVTRILSSPTPSLLLDRNCRLNCLSDPTTAVFPPKNLVSTMDKTQNLEIGSVADDKYSKELEIAVKAVHMACLLCERHSKDDNFLVTIADWSVQAIVSWVLSEAFGSHSVAILAEEDKQEISKAEATGILESVAKAVNESLAYAPRFGLTGPAEALCTKEIMETINTCKLAGADASRFWALGTVDSTLGSPRGDQYAVALSLIEDGAPVLGVLGCPNYPLKKEWLSYHYGYQRILSRLTSAALSEPHRDKGCVMYARRGDGNAWMQPLLHGEKMFNWPNSSKQIKPSSIDSPVLATFCETVEEKAKSSHSFTAGLNSSLGHRNQQLRVYSSMKYAAIARGDAEAFMKFARAGKKEKVWDHAAGVVIIQEAGGVVTDAGGDPLDFSNGACIEGLDRAIARGDAEAFMKFARAGKKEKVWDHAAGVVIIQEAGGVVTDAGGDPLDFSNGACIEGLDRGVIACAGPKLHENIIRAVDESWCSSCL >itb05g08030.t1 pep chromosome:ASM357664v1:5:10770030:10770931:1 gene:itb05g08030 transcript:itb05g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRCHLSKLQLSPHSPSLTTAFAIADATISHHRQVPPPSPSLTAVDLDAPSSATGSATPSKVIPPPSTSSLVRPHAVDEFAHDRW >itb01g31210.t2 pep chromosome:ASM357664v1:1:35030471:35034929:-1 gene:itb01g31210 transcript:itb01g31210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAEKIQKGRIIESVTKTRGRSRSYSPRSRYRDDHRDRDYRKRSRSRSRGRSEHDRYRGRDRDRHRYRSRSGSPDYHKGRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTPQSRDGSPSPRRSPSPRRAPQSRDGSPDGRNEKERSPTPRSLSPRGRGAESRSPSPRSDADE >itb01g31210.t1 pep chromosome:ASM357664v1:1:35029883:35034929:-1 gene:itb01g31210 transcript:itb01g31210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAEKIQKGRIIESVTKTRGRSRSYSPRSRYRDDHRDRDYRKRSRSRSRGRSEHDRYRGRDRDRHRYRSRSGSPDYHKGRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTPQSRDGSPSPRRSPSPRRAPQSRDGSPDGRNEKERSPTPRSLSPRGRGAESRSPSPRSDADE >itb10g12490.t1 pep chromosome:ASM357664v1:10:18572337:18572945:-1 gene:itb10g12490 transcript:itb10g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSKALLDLEDKSTPSASSLESKLSFCDGDESFLSRSTKLNPPDKKPAISSIPQSQFLGKVKDFLGVISEANKNLELDAKTNPGKNYDIEALTGEESEYIEMDLMLGVAELQTEEAVAAAESALAGYQPVIPLAVSSSETESEESSDDEDSDGDDDDDNEDGDEAERPSPKKGKTAKPVEKDSSSKNKQPRKRPKIVELS >itb01g07610.t1 pep chromosome:ASM357664v1:1:5995072:5995665:-1 gene:itb01g07610 transcript:itb01g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLNSQTKQKTHSSSSLNHNKKRLTQDQVKLLEASFDSNKKLDPERKFQLARDLGVPPRQVAIWYQNRRARWKSQSLELDYSALQVKLESALAEKRHLEREMGKLREELKRAEERMLVVGGSSSQGQEGAAALARVSSFSPSPNYNSSCCDEGMSSSFHDEEGLQFEELYASLVGAEDGSNTNSGSSWANGKDFWG >itb03g17350.t1 pep chromosome:ASM357664v1:3:16010653:16011918:-1 gene:itb03g17350 transcript:itb03g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKKVITIAIVFLLSSVSGLGKPSQVSSNSVLVALSAVKPEGAPEIDPRTLKRTTTVIPKGPHSPPSPPPPFPIYQAMASEPATEFDGESQVKDFVQTLLHFGGYNEMADILVNLTNLAAEMGHLVNAGYALTVLAPNDEAMAKITAEQLSDPGAPEQIIYYHIVPEYQTEESMYNAVRRFGKVKYETLRLPHTIVARESDGSVKFGDGDNSAYLLDPDIYTDGRISVQGIDGVLFPEKKKTKNQKDVKTGSRKVKVSSAKSRRGKLFEVSCRVLRTIGQDSWFYCK >itb03g10660.t1 pep chromosome:ASM357664v1:3:8498253:8499182:1 gene:itb03g10660 transcript:itb03g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRFWMDSSSMETLSSHLAGDAMNLTMQALGLHHRLQEAMLEYAEPKQARMALANDLSRSEERYGRVRAAYDVLHSDYTALREDYERLQAEYQTLQAQVKQREIDHVAVMQGIILNWRGTPDFVRAADELALTRMPLLLQSRLATSNMSCQPMVDAMASWFDVQHFTVPPSIQIFQDWAITPGGRLAMGPIAETWLRDTDEGQACNMREGEAAFFWGNGTCRSSCMPSCNAVFLVSAKQGGSCPRHCRYSSLSTPRRTFNLLIVGSWSLRRGLELELLLL >itb05g24690.t2 pep chromosome:ASM357664v1:5:29365233:29366798:1 gene:itb05g24690 transcript:itb05g24690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS1-3 [Source:Projected from Arabidopsis thaliana (AT2G18050) UniProtKB/TrEMBL;Acc:A0A178VX64] MKLVQMIKEAVSALNEKNGSSPYAIAKHMEEKHNGELPANFRKILGLQLKNSAAKGKLIKIKASYKLSEAGKKDETAKPNAAKKKPSSAAAAPKAVEEAKAAAVVKKKVAAKRSKKSTPKKPKQPKSIKSPAKKTRKAVAA >itb05g24690.t1 pep chromosome:ASM357664v1:5:29365233:29366798:1 gene:itb05g24690 transcript:itb05g24690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS1-3 [Source:Projected from Arabidopsis thaliana (AT2G18050) UniProtKB/TrEMBL;Acc:A0A178VX64] MASIGEVEKPTVEQPAGEAPGAAEQPQAAAKPVKEKKVRVPKEKKAKVPKTATHPPYFQMIKEAVSALNEKNGSSPYAIAKHMEEKHNGELPANFRKILGLQLKNSAAKGKLIKIKASYKLSEAGKKDETAKPNAAKKKPSSAAAAPKAVEEAKAAAVVKKKVAAKRSKKSTPKKPKQPKSIKSPAKKTRKAVAA >itb09g12520.t1 pep chromosome:ASM357664v1:9:7924744:7925152:1 gene:itb09g12520 transcript:itb09g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLPNFLAVISLAFFHFNCSFSLVSSYIRTLAATSSAALAAQSAASERRRSDLMMTPTHFLCPISLDLMKDPATLSTGISYERESIDMIYGSGAWNGIRFRASQK >itb14g03840.t1 pep chromosome:ASM357664v1:14:3449620:3450339:-1 gene:itb14g03840 transcript:itb14g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTFCCYSAVVSVWPLALGGYRTKLIAFKSRLRSIFPVDSPSRKTSFLRFPARIPIHIKDCRSQSSLDVASPLLPSLASLASNRRLAALCRPCLPEYHLAALPPSRNTASAPLVSSQYSVWYGRMAQNDRKMVLASMVDW >itb06g21790.t1 pep chromosome:ASM357664v1:6:24341763:24343845:1 gene:itb06g21790 transcript:itb06g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVPFLFDAAALYCEEESLGEVEKEECFMGNNFDDSYVVVWGDEELRFLLSKEEENNLQNILEKNPSLSDSRTEGVEWILEMNGRHNFSPQTALLAVNYLDRFLGSVESQTQKPWMSQLAAVACISLAAKVEETQVPLLLDFQVEESEFMFEAKTIERMELLVLSSLQWRMNPVTPFTFLDYIARRLGLKNALYSEFLRRCGHVIISTTSDCRFMCYLPSVMASATMLHVIKKLEPCIGIEESQEQLLLGILGTNMEEVEKCLRLIREVASEFEFDRAQKRKMLGSPKGVMDVSFSSDSSNHHSWSICSSWEPLLKKTRTQEHPKH >itb14g12900.t1 pep chromosome:ASM357664v1:14:14707932:14710361:-1 gene:itb14g12900 transcript:itb14g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGDALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQKHIRVGRQVVNVPSFMVRVDSQKHIDFSLSSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDEE >itb14g11240.t2 pep chromosome:ASM357664v1:14:12856303:12861332:-1 gene:itb14g11240 transcript:itb14g11240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGYGLQLRAPPSQQKKQPSRPPLPTALGFGDGDDDDVEKEISRQASKNKALRDIEEQHKKALEEDPSVFDYDGVYDDMKMKAVQPRAQDREERKPKYIQQLIHKAKQREREHEIIYERKLAKERTKDDHLFADKDKFLTSAYKKKLQEQEKWMQEERLRELREAKEDVTKKTDISDFYFSLASNVAFGGGESKINKPEKQHEEEVAIQPQKEIPSSSTVSRDMSREIKRQEIESSPSPKERSQQAADTRPISNASSEEKAITAPAIDDGPAVNEALVNDQPRQEHHKRSGDALAAAKERFMARKRAKEERLV >itb14g11240.t1 pep chromosome:ASM357664v1:14:12856303:12861332:-1 gene:itb14g11240 transcript:itb14g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGYGLQLRAPPSQQKKQPSRPPLPTALGFGDGDDDDVEKEISRQASKNKALRDIEEQHKKALEEDPSVFDYDGVYDDMKMKAVQPRAQDREERKPKYIQQLIHKAKQREREHEIIYERKLAKERTKDDHLFADKDKFLTSAYKKKLQEQEKWMQEERLRELREAKEDVTKKTDISDFYFSLASNVAFGGGESKINKPEKQHEEEVAIQPQKEIPSSSTVSRDMSREIKRQEIESSPSPKERSQQAADTRPISNASSEEKAITAPAIDDGPAVNEALVNDQPRQEHHKRSGDALAAAKERFMARKRAKEERLV >itb02g15940.t2 pep chromosome:ASM357664v1:2:11645362:11649922:1 gene:itb02g15940 transcript:itb02g15940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFRLAYVNPESRVVGAGDLVDHPKKIALHYLKGYFVIDFFIILPFPQIIILLILPRSMRSGANFAKNLLRAAILVQYVPRLFRFLPLIAGQSSSGFIFESAWANFVINLLTFVLAGHVVGSCWYLFGLQRVNQCLRDACHDSHIEYCRRFIDCGHSYSAREFSNYSSWSNWTRNVNATACFDKDYKDGYYGIYGQAVNLTTKHSIVTRYVYSLFWGFQQISTLAGNQVPSYFEWEVLFTMGIIGLGLLLFALLIGNMQNFLQSLGRRQLEMSLRRRDVEQWMSHRRLSDDLRRRVRQAERYTWAATRGVNEERLLENLPEDIQRDIRRHLFRFVKKVHIFAMLDEPILDAICERLRQKTYIKGSRVLYQGGLIDKMVFIIRGNMESTGEDGNATILSEGDACGEELLSWCLEHSSVNKDRRKIRIPGHRLLSNRGVECLTNVEAFILRAADIEEVTSLFARFLRSPRVQGAIRYASPYWRGRAARCIQVAWRYRKKRQSRADGASPQHSLLRDENLFINL >itb02g15940.t1 pep chromosome:ASM357664v1:2:11643253:11650018:1 gene:itb02g15940 transcript:itb02g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKDVVPMLSATDSQTDESDEHGYHRRFSSRARSASMSIPANSMESYYTENALLGFTGPLRNERRTPFVQMSGPLYGGHKPESNLRPSQVTLGRKSTGLTMEKYPSVAGRESNGWANDDYFGKNEHLLRSGQLGMCNDPYCTTCPAIDILNAQKKKSKPSEIYDHKFHNMIYGDAKGCAKKICSFLSSRIPGVMNPHTKVVQWWNKFFAISCLFAVFIDPLFLFLLTVNKDNKCIVLNWPLTTTIVILRSLTDFIHLINMLLQFRLAYVNPESRVVGAGDLVDHPKKIALHYLKGYFVIDFFIILPFPQIIILLILPRSMRSGANFAKNLLRAAILVQYVPRLFRFLPLIAGQSSSGFIFESAWANFVINLLTFVLAGHVVGSCWYLFGLQRVNQCLRDACHDSHIEYCRRFIDCGHSYSAREFSNYSSWSNWTRNVNATACFDKDYKDGYYGIYGQAVNLTTKHSIVTRYVYSLFWGFQQISTLAGNQVPSYFEWEVLFTMGIIGLGLLLFALLIGNMQNFLQSLGRRQLEMSLRRRDVEQWMSHRRLSDDLRRRVRQAERYTWAATRGVNEERLLENLPEDIQRDIRRHLFRFVKKVHIFAMLDEPILDAICERLRQKTYIKGSRVLYQGGLIDKMVFIIRGNMESTGEDGNATILSEGDACGEELLSWCLEHSSVNKDRRKIRIPGHRLLSNRGVECLTNVEAFILRAADIEEVTSLFARFLRSPRVQGAIRYASPYWRGRAARCIQVAWRYRKKRQSRADGASPQHSLLRDENLFINL >itb01g09430.t1 pep chromosome:ASM357664v1:1:7695824:7698331:-1 gene:itb01g09430 transcript:itb01g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLTFVLPLLVSCNAPSPSPVEERNGGERRIQGLRRKEYEPSNSRPPEQIRKPNMKSEVWAEVQGCWSSSHHRRCWSRREAEVQGQGCMAPFLVVGNGEELLLSVLGGLW >itb03g04040.t1 pep chromosome:ASM357664v1:3:2489582:2491979:1 gene:itb03g04040 transcript:itb03g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKKVKEEADRIIEQYKHCLPRLVVFDLDYTLWPFYCEFYEEDDEPHLYPHSKGILYALKDRGIDIAIASRSPTANVAKAFLDKLKIRSMFVAEEIYSSWSHKTEHFQKIHRKTGIPFDSMLFFDDEDRNIQAVSKMGATSILVHNGVTLEALRRGLSDFSQKSSS >itb03g07510.t1 pep chromosome:ASM357664v1:3:5555488:5556252:-1 gene:itb03g07510 transcript:itb03g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRSLTTRKSPLAYEQLKDEAPLFEPKLSRTASVPSAKNIGASVFSSSSSKKVTMSEETKSQAKKADKASKIHPIFSLFDGRRKSKKVTARPEFSRYVQYLKEGGFGGIMDMANKSNMSAK >itb09g20860.t4 pep chromosome:ASM357664v1:9:17914390:17915539:-1 gene:itb09g20860 transcript:itb09g20860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGIDEISMDMMGKKMTVIGTVDPVSVVSKLRKFWQAASIVSVGPAKVEPPPEKKEEAKKEEAAKKEEGKKAEEGKKEEAPKEEAKKEEGKKEEAPKEEGKKEEGKKEEGKKEGEKKAEAAAAVVVAPPQAHPHPMLMPPQLQQPHPMMGMVMPYRPYYPPINTYGQYYYQPQPPQSAYYVQHSMEENPNSCVIC >itb09g20860.t1 pep chromosome:ASM357664v1:9:17914372:17918015:-1 gene:itb09g20860 transcript:itb09g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVLTLDLMDDKDKRKALKTVAALPGIDEISMDMMGKKMTVIGTVDPVSVVSKLRKFWQAASIVSVGPAKVEPPPEKKEEAKKEEAAKKEEGKKAEEGKKEEAPKEEAKKEEGKKEEAPKEEGKKEEGKKEEGKKEGEKKAEAAAAVVVAPPQAHPHPMLMPPQLQQPHPMMGMVMPYRPYYPPINTYGQYYYQPQPPQSAYYVQHSMEENPNSCVIC >itb09g20860.t2 pep chromosome:ASM357664v1:9:17914397:17917745:-1 gene:itb09g20860 transcript:itb09g20860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDKRKALKTVAALPGIDEISMDMMGKKMTVIGTVDPVSVVSKLRKFWQAASIVSVGPAKVEPPPEKKEEAKKEEAAKKEEGKKAEEGKKEEAPKEEAKKEEGKKEEAPKEEGKKEEGKKEEGKKEGEKKAEAAAAVVVAPPQAHPHPMLMPPQLQQPHPMMGMVMPYRPYYPPINTYGQYYYQPQPPQSAYYVQHSMEENPNSCVIC >itb09g20860.t3 pep chromosome:ASM357664v1:9:17914390:17917745:-1 gene:itb09g20860 transcript:itb09g20860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDKRKALKTVAALPGIDEISMDMMGKKMTVIGTVDPVSVVSKLRKFWQAASIVSVGPAKVEPPPEKKEEAKKEEAAKKEEGKKAEEGKKEEAPKEEAKKEEGKKEEAPKEEGKKEEGKKEEGKKEGEKKAEAAAAVVVAPPQAHPHPMLMPPQLQQPHPMMGMVMPYRPYYPPINTYGQYYYQPQPPQSAYYVQHSMEENPNSCVIC >itb11g15660.t1 pep chromosome:ASM357664v1:11:13501817:13503503:1 gene:itb11g15660 transcript:itb11g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLVQSYARTGKSHVDVGIPISSAICSFHNSQRTILHDGEGRRQVLTLSKETSKIRSITFGHKITETIKGKLRLGAKILQVGGVGKIFKRNFDVKDGEKLVKTSQCYLSTTAGPIAGLLFISTDKVAFCSERSIKLLSANGKSKIIRYKVTIPLRKVKSANESHNVKKPSQKYVQVVTEDKFEFWFMGLINHKRTLRFLQEAIISQNQCQHMLSQTGGRIL >itb11g12710.t3 pep chromosome:ASM357664v1:11:9662976:9665527:1 gene:itb11g12710 transcript:itb11g12710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDESKQCIYSLNQRLKQFSDWLLDIMASGDLNAYFPAATREYAPLVYEIWKDPAIQETYKRGNEMHFLPDTAKYFLDQAIAISSNEYEPSEKDILYAEGVTPSNGLAFVELSFDDHSAKSDIYLDDAESQSPLTRYQLIRVSSKGPHDFGKWMEMFEDVTAVVFCAALSDYDQTWSLANGPPQNKMLVSRDLFESLVQHSCFKNTTFVLILNKYDLFEDKISKVPLTTCEWFNDFRPLKTRRHNQSVANQAYHYVARKFKEWYSSMTGRKLFVWPSRAREEASVDESFRYVREVVKWEEEKDRMCNFGDEHSDDSFDSATE >itb11g12710.t2 pep chromosome:ASM357664v1:11:9661221:9665619:1 gene:itb11g12710 transcript:itb11g12710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTICELMKFMYGNEYTADEVQNIKLMIQSNMYRYLSILLEDRERFEEEALMEKSASTLQVENHSPGEMKTDESKQCIYSLNQRLKQFSDWLLDIMASGDLNAYFPAATREYAPLVYEIWKDPAIQETYKRGNEMHFLPDTAKYFLDQAIAISSNEYEPSEKDILYAEGVTPSNGLAFVELSFDDHSAKSDIYLDDAESQSPLTRYQLIRVSSKGPHDFGKWMEMFEDVTAVVFCAALSDYDQTWSLANGPPQNKMLTTENSAPQSVCSESSIPLRSSQVQGVVLIYDRTKAIRVAK >itb11g12710.t1 pep chromosome:ASM357664v1:11:9661221:9665619:1 gene:itb11g12710 transcript:itb11g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTICELMKFMYGNEYTADEVQNIKLMIQSNMYRYLSILLEDRERFEEEALMEKSASTLQVENHSPGEMKTDESKQCIYSLNQRLKQFSDWLLDIMASGDLNAYFPAATREYAPLVYEIWKDPAIQETYKRGNEMHFLPDTAKYFLDQAIAISSNEYEPSEKDILYAEGVTPSNGLAFVELSFDDHSAKSDIYLDDAESQSPLTRYQLIRVSSKGPHDFGKWMEMFEDVTAVVFCAALSDYDQTWSLANGPPQNKMLVSRDLFESLVQHSCFKNTTFVLILNKYDLFEDKISKVPLTTCEWFNDFRPLKTRRHNQSVANQAYHYVARKFKEWYSSMTGRKLFVWPSRAREEASVDESFRYVREVVKWEEEKDRMCNFGDEHSDDSFDSATE >itb04g11390.t1 pep chromosome:ASM357664v1:4:10990629:10996321:1 gene:itb04g11390 transcript:itb04g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAEQPRCCKTGPGYASPKEAMKGPRESLIYVTCVYSGTGTEKPDYLATVDVDPNSPTFSKIIHRLPMPYIGDELHHSGWNSCSSCHGDPSATRRYLVLPSLISGRVYAIDTIKDPKAPSLYKVVEPDDIISKTGLAFPHTAHCLASGDIMLSCLGDKDGNAEGNGFLLLDSDFNVKGRWEKPGHSPQYGYDFWYQPRHKTMISSSWGAPTAFTKGFNPQHVSDGLYGRHLHVYSWPDGELKQTLDLGNTGLLPLEIRFLHDPSEATGFVGCALTSNMVRFFKKPDDSWGHEVAASVKPLKVQNWILPEMPGLITDFLISLDDRFLYFANWLHGDIRQYNIEDRANPKLAGQVWVGGLIRKGSSVVAEAEDGTTYQVDVPDIQGKKLRGGPQMIQLSLDGKRLYATNSLYSTWDKQFYPDLVEKGSHIIQIDVDTHKGGLSINPNFFVDFGAEPDGPALAHEMRYPGGDCTSDIWI >itb03g03190.t1 pep chromosome:ASM357664v1:3:1827079:1831657:-1 gene:itb03g03190 transcript:itb03g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLQDWLTSFYVVFAFCAALCLGALKSLLVGPIAGLILIIGNVGVILGLFPAHVLWTVYALVKTNRFDVPLKVAFLFALPVLFGIWLGLSIAGSVLVGVGYGFFTPWVSAFEAFRHDNDSKRFFHCIVDGTWGTIRGSCTVVRDFADICYHSYPLYLKELRESPASLELQPFKFIHVPGCIIVGLLGLVVEIPLYTAIAIVKSPFMLFKGWYRLTHDLISREGPFLETACIPIAGLTILMWPLVVIGSIIMAIFSSFFIGVYGAVVVYQERSFRRGVAYVIAMVAEFDEYTNDWLYLREGSVLPKPKYRKKKVSEQTEFSVRRNRSIQGKLNAVFSEAPAMLVPSLTASRSVREAIQEVKMVQVWQNMIKTCEIRGKELLDSNVITAADLEVWVKAKHVSDAAIVNVGLPCYTFLQTILFSIKAGSDGLLLLDNLEITYLNRPQDRLLDWFFEPVMNLKEQIKVIRLEEGEIRFLEKVVLFGSNTDRFKAWENGSLAPQETLRAAQIEGISRRMVGMIRSVSKFPTYRRKFKQIVKGLILHNIAAESSSRSIPYSVPKAGSTRSVSSTRSTGSIIEIV >itb11g05280.t1 pep chromosome:ASM357664v1:11:3116720:3118042:1 gene:itb11g05280 transcript:itb11g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKNGLKKGPWTPEEDLKLIHYIQLHGPGNWRTLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRSGIDPVTHSPRLDLLDLTSLLNLTQLNLSGLLGLQALASPEVLRLLCTLMATQNENNTPQILLQKLQESQLNNNNQVENENPLLLLQKLQENQSPNAPIQNHQAAAFQPCDQFLDTVPSNNVCASSSLPMQPTNLSAGQVIQESLIPSNGGNLMSGLQNNYYGVFEAEQSLSDWSNQCNFGLDSVLSTPLSSPGSTTFVNSGSCTEDEKESDCISNMMKFEIPSASLDFEDLL >itb06g21400.t1 pep chromosome:ASM357664v1:6:23993861:23997790:1 gene:itb06g21400 transcript:itb06g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGDDFEEEEEFGFSRNYFISKELGSSRKKSARKLSDINLVDEEELREAAANIEPKHEKEIEDLMKSYRSSYSEWKFVLRCGFGLLMHGFGSKKALIEDFASSTLTEYSVVVINGYLPSINLKQVVITLAELLWDQLKTLQKTTSGSQSKNQQPFNTRSVDSLLGFLHEPHVDVNDEECFVCIVVHNIDGPGLREPDNQQCLARIASCSHVRMVASIDNINAPLLWDKKMVHTQFNWYWLHVPTFAPYKVEGMFFPLILAHGGSAQSVKTASLVLQSLTPNAQNVFKVLAEHQLAHPDDEGMPTNNLYTICRERFLVSSQLTLNSHLTEFKDHELVKSKRRSDGQDCLYIPLANDAIEKLIAEISQ >itb08g02890.t2 pep chromosome:ASM357664v1:8:2457152:2460739:1 gene:itb08g02890 transcript:itb08g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQAFVVSHKEPQLLVPSKPTPHEIKELSDIDDQKGMRIHVSMIMFFRANPLMKARDAVEAIRGALAEALVWYYPLAGRIIHGPDEDKFMVDCSGEGILFVKADSNFSLEDLGDAIKPPCLYSKELLYQVPGSDGILGCPLLLVQVTRLICGGFVVAIRLNHVITDGLGLAKFLKATGELAQGASSPSIKPIWRRELLTAKNLPPRTRYEHPEYNVVGHENDTKMDEKNVVGRGFYFGPNEIKAIRQKLAQPTSKFNMITASIWRSRTRALNLTGDETVAITTMVNVSDKTPLAPLQKGFYGNAAVPAAAVTGARMLSSNPLGYAIDLIQKAKDKVGEDYVRSMVNLMNEKGKPQILRSRCNIVVSDASKAGFDRVDFGWGKPVYGGTMDGGSATLSIYGCCRNVDGEEGVVVPVFLPPPAMERFEREMERLTSLEFGESLISKSNL >itb08g02890.t1 pep chromosome:ASM357664v1:8:2457152:2460739:1 gene:itb08g02890 transcript:itb08g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQAFVVSHKEPQLLVPSKPTPHEIKELSDIDDQKGMRIHVSMIMFFRANPLMKARDAVEAIRGALAEALVWYYPLAGRIIHGPDEDKFMVDCSGEGILFVKADSNFSLEDLGDAIKPPCLYSKELLYQVPGSDGILGCPLLLVQVTRLICGGFVVAIRLNHVITDGLGLAKFLKATGELAQGASSPSIKPIWRRELLTAKNLPPRTRYEHPEYNVVGHENDTKMDEKNVVGRGFYFGPNEIKAIRQKLAQPTSKFNMITASIWRSRTRALNLTGDETVAITTMVNVSDKTPLAPLQKGFYGNAAVPAAAVTGARMLSSNPLGYAIDLIQKAKDKVGEDYVRSMVNLMNEKGKPQILRSRCNIVVSDASKAGFDRVDFGWGKPVYGGTMDGGSATLSIYGCCRNVDGEEGVVVPVFLPPPAMERFEREMERLTSLEFGESLISKSNL >itb06g02840.t1 pep chromosome:ASM357664v1:6:4869421:4875070:-1 gene:itb06g02840 transcript:itb06g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESISIEEELHDDEPSSSPEIDSVYEFDAPQFFDFTRLESPSQAGLSSIDGSNFQDAGYYPPSLQKKNEKLQNEFNSVQSTITTNDNTTGASGCVRSLGFRLSNRIVKGLVEVKQLIGDGRAFEDKIVGVNPVPNAVEYIPAPFVEAQPTAKRNLDKMMGLLKRNEKAKTIGVWGMGGAGKTTLVKNVNNELSKDPTNQVFDIVIWVVVSQNASVEIIQSKIATRLQVTINKESAASYLYNKLKGKRFLLILDDIWEGVDLNDVGIPPLEDHDSKVILTTRNFHVCQEMSTDTEFEIGRLSDEEAWKLFSEKVGEEVVNDDQIKASAKAIVEECDGLPLALIIIGASLRKKTEVALWKSALYALQKSEPTHIHGVEEKVYKPLKWSYDSLKVKQLKSCFLFCCLYPEDFEIDTGRLVHYWLAEGLLDEQQNYEQLENDVKRIVDCLIGSCLLEKCAVGIDKVKMHDVVRDVGVWIAKSSEDWCKSIINSGITEMQISQQLLSCSDKVKRVSFMNSKIAFLPDCSIHCPETTALILQGNRGLHELPNPFLQGFQMLTTLDLSKTNIMLLPDSLLQLGELQALILRHCQSIRELPPLEALRKLQILDCSFTTIAKLPVGFETLANLKILLLEETPKLREIPTGIFCKLHKLEFLSMEGSGIRWGTRDTIEANAPIEDLLCLNQLIVLYIHFKSIRCFTTQHTSWFKRIKKFRFHVNDHSAYWPSQIENEVLLKGLHFSGDEMVGWLLLNALSFGIVHCEKVDLMLDSLVRNSASLGPFVNLKKLSIIYCCIFGKPLSLGRCDEQIDLLPNLEFLSLSGVSGLESLSSFNNFLGLGFKLVPVGI >itb12g07020.t1 pep chromosome:ASM357664v1:12:5247711:5252315:1 gene:itb12g07020 transcript:itb12g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPEEAGNAKSSGMGKSKSRMGKNNDDDDGGAGQSCRWFNLCFLGNCMPSRSRIDISVNRPATTHHDAKGFSDTNTDPPVALVLSSSATSNMESTPPAPNRGEELKIASQLRKFTYNELKAATRNFRPECLLGEGGFGSVYKGWINANGCTPVRPGSGLPAAVKTLNQNGLQGHKEWLAEVNYLGELQHPHLVKLIGYCMEDDQRLLVYEFMPRGSLENHLFKRSLPLPWCIRMKVVLGAAMGLAFLHEEAERPVIYRDFKASNILLDAEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLSGRRSMDRSKRNGEHNLVEWARPFLSDRRRFYRVIDPCLEGHFSVKGAQKSVELAATCLSRDPKARPLMSEVVETLKPLPQMKDMACDSPYFRVMKSRFASANPSARNSHRLEPGLAFQKRRPARSLSIPVPNGPLASPYNNTFNHRSPKPSIRSQEC >itb02g09650.t1 pep chromosome:ASM357664v1:2:6193448:6194265:1 gene:itb02g09650 transcript:itb02g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIGYDLSAFEVSRINSEALASFLEESLMEDADDERLISVMQSLEAEIFAPVAGGDDFTSMTEMKFEDINGPIGEEFQLYDLSASEDYSTSSDLELDWTTDGEMACCCPSDEVNSMLLEYSADEIEDAVMFVGVENHMQLWDGVLLEEHGYSSLWQEPYSSL >itb09g21250.t2 pep chromosome:ASM357664v1:9:19023091:19025242:-1 gene:itb09g21250 transcript:itb09g21250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPGSVKFTIEEIIKATKNFSLSLKIGQGGFGTVYKGRLGDGTLVAVKRAKKSVYDKHLGTEFQSEVQTLAKVEHLNLVKFYGFLEHGEERIVVVEYVSNGTLREHLDCINGNVLNLPKRLDIAIDVAHAITYLHTYTDHPIIHRDIKSSNILLTEHLRAKVADFGFARLAADSESGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPTRELKERITARWAVKKFTEGDAILILDPRLERSAANSMIAERILELALLCLAPHRHSRPAMRKCTEILWSIRKDLSDPNIC >itb09g21250.t1 pep chromosome:ASM357664v1:9:19023091:19026125:-1 gene:itb09g21250 transcript:itb09g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRRNPSSSSGSVGRRTPDRFSQSPSYSSSSSSHRSTTSAGNPVKVAARTVAGAFAACFAPPDSKDSTHFDDSDSFKPPSVYSGTGSERRRNSNQNICAHPNNSTHMKEPGSVKFTIEEIIKATKNFSLSLKIGQGGFGTVYKGRLGDGTLVAVKRAKKSVYDKHLGTEFQSEVQTLAKVEHLNLVKFYGFLEHGEERIVVVEYVSNGTLREHLDCINGNVLNLPKRLDIAIDVAHAITYLHTYTDHPIIHRDIKSSNILLTEHLRAKVADFGFARLAADSESGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPTRELKERITARWAVKKFTEGDAILILDPRLERSAANSMIAERILELALLCLAPHRHSRPAMRKCTEILWSIRKDLSDPNIC >itb01g33040.t1 pep chromosome:ASM357664v1:1:36323570:36325752:-1 gene:itb01g33040 transcript:itb01g33040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILNPFLYLVLFLSLYLISKHFHRKFKNHPPAPFLTLPLLGHLYLFKKPLHRALTNISNRYGPVLLLEFGSRKVLLVSSPSAAEECLSKHDVVFANRPRLMAGKYIGCNYTSLAWTSYNDHWRNLRRIAAIEILSTHRLQMLHDIRADEVNFMIRKLDSSSKAGAPVEMKTVFFELMLNLMMRMIAGKRYFGENVEDLKEANRFREILTEILAISGASNVGDFVPSLKTVFRSLEKRFVNVQRNRDAFLQDLIEECRKQIAENISSGDSTEPAGKDKKKKKSFVRVLLTLQENEPEYYQDEIIRGLMSVMLAAGTDTSSGTLEWGLSLLLNHPKVLKKVQREIDERVGQERLLEESDLANLPYLNCIIKETMRMYPVGPLLIPHESSEECTVAGYRVPAGTMLMINVYSIQRDPKNWEDPEKFRPERFQGLEGVMRDGYKMMPFGSGRRSCPGEGLALRMVGLSLGSLIQCFDWERIGSEMVDLTEGIGLTMPKASPLTAICKTRPFVAHLLSQTGV >itb10g22690.t1 pep chromosome:ASM357664v1:10:27444614:27446315:-1 gene:itb10g22690 transcript:itb10g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNVNLPPGFRFYPTDEELVVHFLHRKAALLPCHPDVIPDLDLYPYDPWDLDGKAMAEGRKWYFYSRRTSRRMTENGFWKPLGVEEPIFSSSGAGQKVGMKKYYAFYVGEPPEGDKTDWVMQEYRLSDYSASTSSRSSSRRNRHSKIDYSKWVICRVFEGNYDNGGGGDDGTELSCLDEVFLSLDDLDEISLPH >itb10g22690.t2 pep chromosome:ASM357664v1:10:27444664:27445663:-1 gene:itb10g22690 transcript:itb10g22690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGKAMAEGRKWYFYSRRTSRRMTENGFWKPLGVEEPIFSSSGAGQKVGMKKYYAFYVGEPPEGDKTDWVMQEYRLSDYSASTSSRSSSRRNRHSKIDYSKWVICRVFEGNYDNGGGGDDGTELSCLDEVFLSLDDLDEISLPH >itb14g19670.t1 pep chromosome:ASM357664v1:14:22275911:22276710:1 gene:itb14g19670 transcript:itb14g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLGKGILDNQELAEDMAITQHSKNKRIKGDTLIVCPMALLGQWNDELEPHSKPDNISVFVHYGGDRSNDPRVLAEPDVVLTTYDLLTVAYKFDGEISIFLKVDWRGIVLDEAHTIKNWRTMSPRAAFTHIIVCTLQVVQCLTGTPLQLMMSSLIAAE >itb10g18360.t3 pep chromosome:ASM357664v1:10:24362575:24366251:1 gene:itb10g18360 transcript:itb10g18360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MESITNVKEYEVIAKEKLPKMIYDYYASGAEDQWTLKENRDAFSRILFRPRILIDVSKIDTSTTVLGFKISMPIMVAPTAMQKMAHPQGEYATARAASSAGTIMTLSSWSTSSIEEVASTGPGIRFFQLYVYKDRNIVVQLLKRAERAGFKAVVLTVDTPILGRREADIKNRFILPPHLTLKNFEELDLGKIDKTNDSGLASYVASQVDRSLSWKDVKWLQTVTTLPILVKGVLTAEDARIAVQNGVAGIIVSNHGARQLDYSPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAVDGEGGVRKVLQMLHDEFELTMALSGCRSIQEITRNHIVAPWDAPHVVPRL >itb10g18360.t2 pep chromosome:ASM357664v1:10:24362547:24366263:1 gene:itb10g18360 transcript:itb10g18360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MESITNVKEYEVIAKEKLPKMIYDYYASGAEDQWTLKENRDAFSRILFRPRILIDVSKIDTSTTVLGFKISMPIMVAPTAMQKMAHPQGEYATARAASSAGTIMTLSSWSTSSIEEVASTGPGIRFFQLYVYKDRNIVVQLLKRAERAGFKAVVLTVDTPILGRREADIKNRFILPPHLTLKNFEELDLGKIDKTNDSGLASYVASQVDRSLSWKDVKWLQTVTTLPILVKGVLTAEDARIAVQNGVAGIIVSNHGARQLDYSPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFVSGYNYFEILPCHDISSSIVISIAL >itb10g18360.t4 pep chromosome:ASM357664v1:10:24362816:24366263:1 gene:itb10g18360 transcript:itb10g18360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MESITNVKEYEVIAKEKLPKMIYDYYASGAEDQWTLKENRDAFSRILFRPRILIDVSKIDTSTTVLGFKISMPIMVAPTAMQKMAHPQGEYATARAASSAGTIMTLSSWSTSSIEEVASTGPGIRFFQLYVYKDRNIVVQLLKRAERAGFKAVVLTVDTPILGRREADIKNRFILPPHLTLKNFEELDLGKIDKTNDSGLASYVASQVDRSLSWKDVKWLQTVTTLPILVKGVLTAEDARIAVQNGVAGIIVSNHGARQLDYSPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAVDGEGGVRKVLQMLHDEFELTMALSGCRSIQEITRNHIVAPWDAPHVVPRL >itb10g18360.t5 pep chromosome:ASM357664v1:10:24362575:24366254:1 gene:itb10g18360 transcript:itb10g18360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MESITNVKEYEVIAKEKLPKMIYDYYASGAEDQWTLKENRDAFSRILFRPRILIDVSKIDTSTTVLGFKISMPIMVAPTAMQKMAHPQGEYATARAASSAGTIMTLSSWSTSSIEEVASTGPGIRFFQLYVYKDRNIVVQLLKRAERAGFKAVVLTVDTPILGRREADIKNRFILPPHLTLKNFEELDLGKIDKTNDSGLASYVASQVDRSLSWKDVKWLQTVTTLPILVKGVLTAEDARIAVQNGVAGIIVSNHGARQLDYSPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAVDGEGGVRKVLQMLHDEFELTMALSGCRSIQEITRNHIVAPWDAPHVVPRL >itb10g18360.t1 pep chromosome:ASM357664v1:10:24362547:24366263:1 gene:itb10g18360 transcript:itb10g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MESITNVKEYEVIAKEKLPKMIYDYYASGAEDQWTLKENRDAFSRILFRPRILIDVSKIDTSTTVLGFKISMPIMVAPTAMQKMAHPQGEYATARAASSAGTIMTLSSWSTSSIEEVASTGPGIRFFQLYVYKDRNIVVQLLKRAERAGFKAVVLTVDTPILGRREADIKNRFILPPHLTLKNFEELDLGKIDKTNDSGLASYVASQVDRSLSWKDVKWLQTVTTLPILVKGVLTAEDARIAVQNGVAGIIVSNHGARQLDYSPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAVDGEGGVRKVLQMLHDEFELTMALSGCRSIQEITRNHIVAPWDAPHVVPRL >itb04g07590.t1 pep chromosome:ASM357664v1:4:5178409:5181378:-1 gene:itb04g07590 transcript:itb04g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDPTIIILLVCAALSLAFGMKEDGAKEGWYDGGSIFVAVFLVISVSAISDFRQSRQFEKLSKISSNIQVEVVRSGRRKYISIFEVVVGDLVWLKTGDQIPADGLLVEGHSLQVDESSMTGESDYVEVNHNQNPFLISGTKVVDGYGKMLVTSVGMNTAWGEMMSKISSSSSEETPLQARLNKLTTSIGKVGLAVAFLVLVVLLVRYFTGHTKDDNGNKEFNGSKTKADDVINSVVGIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMSDKAMVRKLSACETMGSATTICTDKTGTLTQNRMTVTKFWLGKESMEGKDHNLIAVRVLDLLHQGVSLNTTGSVFRSPNTTSFEFSGSPTEKAILSWAVLQLKMDMEETKRNSTILHVQAFNSEKKRSGVLMKKIPDGTTHAHWKGAPEMILGMCSHFCDNEGKHKAITYHEREKFYQIIQGMAASSLRCIAFAHKQVSETNPEDGEIHEKIPESGLTLLGFVGLKDPCRAGVKKAVEDCQYAGVNIKMITGDNIFTAKAIATECGILQYNQAVEEGAVIEGSEFRNLTEEQRMERVEKICVMARSSPLDKLLMVQCLRKKGHIVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMKKPPVGRTEPLITNIMWRNLLAQALYQISILLILQFRGESIFGVSSKVNDTLIFNTFVFCQVFNEFNARELEKRNVFQGIHKNKLFMGIIGITIVLQVMMVEFLKKFADTERLNWGQWGVCIGLAAASWPIGWLIKCFPVPERPIFSYLKLKNLKFL >itb04g23030.t1 pep chromosome:ASM357664v1:4:28244171:28245641:1 gene:itb04g23030 transcript:itb04g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYIEKLEKVGFLWELKGAKERLKILKGDVMEEGSMEEGFDGVDGVFHTASPVLVPYDHNIQKTLIDPSVKGTLNVLNSAKKMMVKRVVLTSSCSCIRYRHDATQLSPLNESRWTDLDYCKRYNLWYAYAKTMAEQEAWKWGEQNGGIELVVVNLSFVVGPLLTPQPTSTLQLILAIVKGLIGEYPNKRVGFVHIEDAVRAHILAMEEQNASGRLICSTTVAHFSQIIHMLRAKYPSYPYLTKCSNEEGDESEHSLDCTKIMELGLPPFATLSQMFDDCIHSFQQKGFL >itb07g01810.t1 pep chromosome:ASM357664v1:7:1096109:1096603:-1 gene:itb07g01810 transcript:itb07g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSLLVFCFFNFLMVILIAMPAPKTTSSSAFTPYSTHGDHRSINEEKNTTYYYPYASQQQKEEEEEEEQTIRNKKRKISEAAEMKKALVEVMIPQVEVVEVSDRVVVCISDHKEEEEEEEGGGDGDELKKRVEEFIAKVNNGWKKEKLRDRIKEQYNVCMK >itb11g11620.t1 pep chromosome:ASM357664v1:11:8522044:8526413:-1 gene:itb11g11620 transcript:itb11g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLILFVVMAALFSSPLSSSTPVDVRPEPPVSDPVLPTEKEPIFQASSSSGAHSPGATDVRAVQHQNLNKKILIALIVASTLLGGILLLLSGLWVYRLSKLKKSNEKCQQNADGAKGLSCCPVKDKSTPFKASGRKGLVAVLEYPSLLAATSNFMEENVLGEGRLGRVYKAKFDNDFEAAVKRLCGGRQEVIKEFENEVDLLSKIQHQNIVSLLGYSIHGDMRFLVYEMMQNSSLEFQLHGPSRGSALSWPLRMKIALDVARGLEYLHERCNPPMIHRHLKSSNILLDSSFNAKISDFGLSVTGGNLNKNITKISGSLGYLAPEYLLDGKLTDKSDVYAFGIILLELLMGKRPVEKVGETKCQSIVTWAMPQLTDRSKLPNIVDSTIRNTMDVKHLYQVAAVAVLCVQPEPSYRPLITDVLHSFIPLVPNELVILGLVILSYSNPSDGTLLVFPMETKKETLLKPILRKNKHHCLEKEAMVALTKWICNKSAVVKIVHPGGHVEVHDCPVLAGEIMNRNPKCCVARPNVFQQPWAAILAPDTTLVPGQKFYVVPKGTVRKLQSLALKHFPSSMAREIQKPQHDDGNVSSCLSFRRNSLSLEHAKKDNDAQSSSSSSETKIEIAPNRLSSFDNWQPGLESISEE >itb03g24160.t1 pep chromosome:ASM357664v1:3:22745399:22749818:1 gene:itb03g24160 transcript:itb03g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNTVPSIRLSSFKCNPLRAPHLSYPISLTPSRSRFVVRATETDSEVKAKVPDKAPPESGSSINQILGIKGAKQETDKWKIRVQLTKPVTWPPLVWGVVCGAAASGNFHWTPEDVAKSIVCMLMSGPFLTGYTQTINDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLALGGLLDVWAGHDVPVIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGSSYISLPWWAGQALFGTLTPDVIVLTLLYSIAGLGIAIVNDFKSIEGDRAMGLQSLPVAFGSETAKWICVGAIDITQLSVAGYLFSVGKPYYALALLGLTAPQIFFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >itb01g25610.t1 pep chromosome:ASM357664v1:1:30994055:31000095:-1 gene:itb01g25610 transcript:itb01g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGNKLFQYIVMGLYSPLIVCVIGLYIWCAASDPADSGVFKSKKYIRVADNNKSAQAKESKLGGDSVQDANTALAGDKTFDNNSKDPNAATHLAAQTKKKIETSHQASCFSALLPLLPCAFVCNCSDQREESSEQTSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCVGKRNYRKFFTLMVSALLLLILQWSTGILVLICCFIEKKRFYAEITSKLGSSFSVVPFVMVVAVCTILAMIATLPLAQLFFFHIILIKKGISTYDYIMALREQEQQGVEGQQSPQMSTASSITGLSSASSLNTFHRAAWCTPPPLFLEDQFDVVPPDNVSVSSLGRKTTVEEAAKKKNPAAVKINPWTLARMNAEDVSKAAAEARKKSKILQPVVRQQTPHAPEANSSFGSSDRQIALRPDNNKRRPSKRGVRLPAELPFENLSELLNETGQRNRGLVITEPSRSAFHPSQAKSSSRGIVVSSPESSLDSPDIRPLRVSGAEDAARHHAGLSTSGFTLQKEIPLSSSTSDGYDASGGEDSDRVPTRFMQRSAQWSDPKERDIRLIVPSSSSSQANSRRL >itb15g11450.t1 pep chromosome:ASM357664v1:15:9257439:9259746:-1 gene:itb15g11450 transcript:itb15g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSYGFDSKASNKKVADEEYEDFDGTKGKPNEDGYGYPYEDGGVMSKPRAVVVDGGQRKSSITHYPNVDGSDSYVVRTERVVKVVRPAMTTHYSLKEDRDDNLGMAKSFLDQFLKEAAMSGAPVHQSRTYWGCRDESPLKYDEYSHQFSPPNMAKFPSWEDTDEHQELGRPRTGSRRNWPSRDESPPRFITHPEWNHPNHSGGRHNWPNHDQDDYPPRSMMHPELSHINSSSARPNWPSRDNSFSGPLVRHQLSQSNPGFTPNWARQYDSPPRSVEYLEVGHSNSSAKPNWPSQYNSPPPSTRRFVSNHPNSSPRSNWQTRDDSPSRFVTHHESSYYPNSSTRPNWPSQYDDSPPKFMYLESSHPNSGVRPNWSSRDNSPPRPTEYPLLSTHNASTKPNWSSRVESPPRSMTHLTLNHPSSPSTKPSWPSHQEGSPSPDNIENGRDKINTGLVLKNFDELNLQDSRGTSPLPNERSNSDVAKTANYNSMDSGQRPNWPNRKGHSTYKTNKDDHEKPKFNGAQTKNTHDEEIPDINNKKIAYKIPYSEWPVEDEDEDDDSKKSGILRSSTNVSHKASETKPNYSSGSYKQPVSSTTTNDEVSDMMKLVEEAKKTATYDPNEITPNPPQQMHYSTFAVPAAPWGYYKPVLDSNEASRKYNGIYSRT >itb06g23550.t1 pep chromosome:ASM357664v1:6:25457337:25458011:-1 gene:itb06g23550 transcript:itb06g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPSSFLHRNPNPPPADPTKSARTCRPSPTIPTKFRRQSLPNRAADLGRWTALRLDAQQQRGQTKQKPQEQEEQLSGADVLRALQRASAQKIKKNHTRGRERVAEKASRGGEREEGVDVTKDESSVRALCINGEWGARLDDLEKQLRDLMHS >itb11g20870.t1 pep chromosome:ASM357664v1:11:22235858:22247440:1 gene:itb11g20870 transcript:itb11g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSQCPGLRKSFLPAFSKPGSLNRTVFRPKVTHFAPFVANAAGKGAETVAVSVSPPPPSVSRVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKVLQQVVEQLNKLVNVLKVEDLSREPQVERELMLIKLNAELSTKAEIMWLADIFRAKVVDISEHFVTIEVTGDPGKMAAVLRNLKKFGIKELARTGKIALRREKMGETAPFWRFSAASYPDLEQITNGAATQKDIQPTIKSKVNNGSRGDVYPVESNDDLFVNQVLDAHWGVLYDEDSSGLQSHTLSMLVNDSPGVLNLLTGVISRRGYNIQSLAVGPAEREGLSRITTVIPGTDETIRKLLQHFYKLVDIHEVQDLTRLPFAERELMLIKVAVNATARRDILDIASIFRAKPVDVSDHTITLELTGAFNKLLALQRLLEPYGICEVARTGRVALVRESGVDSTSLRGYCLPL >itb02g03540.t1 pep chromosome:ASM357664v1:2:2050240:2052920:1 gene:itb02g03540 transcript:itb02g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLSSSFLFIFFCLVSVRLSVSSENQETFIVHVSKFQKPHVFTTHRDWYDSIITSLSPLSPHPSEILYTYGRVARGFSARLTRFQASELRKVPGVISVLPDHVRGLLTTRTPQFLGLAESSGLWPNSDYADDVIVGVLDTGIWPERPSFSDEGLSPVPVSWKGKCENSTDFPESLCNRKIIGARVFYKGYEAARGSPIDESVESKSPRDTEGHGTHTASTAAGSIVASASLFEYARGEARGIAIKARIAVYKICWSSGCYDSDVLAAMDQAVEDGVHIISLSVGPSVYCPDYDQDSFAIGAFGATKHGVLVSCAVGNSGSGANTAVNVAPWFLTVGASTIDRQFPADVVLGDGRIFTGVSLYSGEPLGENKLPVVYGGDAGSRYCYSGELNASKVTGNIVFCDRGGIAKVEKAVAVREAGGVGMILANLASSGEELVADPYLIPTSNVGDKDGDEIRNYINSDPSPTATIVFRGTVIGTSPSAPRVAAFSSRGPNYVTPEILKPDVIAPGVNILAGWTGAIGPSGLNIDKRTVEFNIISGTSMACPHVSGLAALLRKAYPKWSPAAIKSALMTTAYNLDNSGSNFTDLATGKQSTPFAHGSGHVDPNRALDPGLVYDLEVSDYVDFLCTIGYDTRRIAAFVNDSSSVNCSSRNLGTPGSLNYPSFSVVFTSKSSVIKYKRVVKNVGSEKNVVYKVKADAPLGVQVSVSPTTLKFSEENDLLSYEVTFTSTKLGSFRESFGSLAWEDGVHIVRSPIAVTWRMYSQQVA >itb01g18060.t1 pep chromosome:ASM357664v1:1:23011153:23012782:1 gene:itb01g18060 transcript:itb01g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYQPPSSLNLNRLNPSISSTRQPNPPLSLPALNISRCGPLFSAVTSSTLSVSDPEPVYASVKSFAPATVANLGPGFDFLGCAVDGIGDFVTVRVDPDVPPGQVSISEISGAGNKLSKNPLWNCAGIAAIAVMKMLRIQSVGLSLSLEKGLPLGSGLGSSAASAAAAAVAVNELFGSRLSVSDLVFAGLESESKVSGYHADNVAPSIMGGFVLIRSYDPLELIQLKFPQEKSLFFVLVNPEFEAPTKKMRAALPAEIPMSSHVWNCSQAGALVASVLQGDLPGLGKALSSDKIVEPRRAPLIPGMEAVKKAAIQAGAFGCTISGAGPTAVAVTDDEEMGMEIGERMVEAFIQEGNLKALAMVKRLDRVGARLVSSAPRS >itb10g03990.t1 pep chromosome:ASM357664v1:10:3764146:3765541:1 gene:itb10g03990 transcript:itb10g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEKTAAPLLLLLLLPRDILVEILTKFPAKSLVQFRCISKFFCALIDGHGFGVVHRSLSLTLPSRAGILIAITPRKLFSARSSPAYYTLNFGEENPRRPGMLQANRLAYLDNAESFVKGSLRSSSDGLICVYRPNEGVVVCNVSTGQLIFLPTMQSHSPYLFSSCALLGFDSLSKRYKVLMIDSFEYKHWVFTVGVDKSWREINYSCSHPFYPFDAYACPSYSDTSVHIDGVIYSFNWLTKDDPPNFHIVAFEVGSERYSLIPFPVEISSSSSQYQCAIFALGNFALLQVDGGRLAIVYVHKIGHLFYMDVWT >itb03g24960.t1 pep chromosome:ASM357664v1:3:23859101:23867823:-1 gene:itb03g24960 transcript:itb03g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPATTICEARAAAVRFSLGFETGGEDFNSSSSEVLNHIPEVTSLRPIQFPMFNGVHHNSSKLPSGVRYFSSAESASHTVLAMPALSPTMSQGNIARWTKKEGDKIEVGDVLCEIETDKATLEFESLEEGYVLGNLSKCRFSF >itb06g22760.t1 pep chromosome:ASM357664v1:6:24967806:24970072:-1 gene:itb06g22760 transcript:itb06g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVPMNQTCDGFFSFHCIHSNSRNHSFGVSTGISSASFFVGRKARLSMVSVGGGELRMFGGNGKQRRKKVFALSRIETIRSNGRVSRVEKGSYGQGENELIMSHNFESNNSLRRLVSNGKWEEGFRHLETMVYHGEIPDINVCTSLIRGFCRNGKTRRATRIMEILEESGAGPDVITYNILIDGYCKSGEIDNALRVLDRMSVSPDVVTYNTILRTLCESGKMKQAMEILDLQLKRECYPDVFTYTILIEAMCKESGVEQAMKLLDEMKSKGCKPDVVTYNVLIDGICKQGRLDEAVEFMNNLPLNGCQPNVITHNIILRSMCSADRWKDAEKLFGEMTRKGCSPSIVTFNILINFFCRKGHLGRAIDLLQKMPKHGCTPNALSYNPLVHAFCKEKKMDRAIEYLEVMVSRGCYPNIVTYNSLLSGLCKDGKVDFAIEIFNQLSTKGCSPVLITYNVVIDGLSKVRKTERAIELLDEMKRKGLQPDSFTYSSLVSGLCREGKVDQAIKLFCDLETLGIRPDAITYNTIMLGLCKARQTDRAIDFLVDMVSKGCKPNEYTFTILIEGLAHEGFAKEALELLSELCSIGAVGKSYPEQIFMKDVAQKRLVTKV >itb06g22760.t2 pep chromosome:ASM357664v1:6:24967918:24970107:-1 gene:itb06g22760 transcript:itb06g22760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVPMNQTCDGFFSFHCIHSNSRNHSFGVSTGISSASFFVGRKARLSMVSVGGGELRMFGGNGKQRRKKVFALSRIETIRSNGRVSRVEKGSYGQGENELIMSHNFESNNSLRRLVSNGKWEEGFRHLETMVYHGEIPDINVCTSLIRGFCRNGKTRRATRIMEILEESGAGPDVITYNILIDGYCKSGEIDNALRVLDRMSVSPDVVTYNTILRTLCESGKMKQAMEILDLQLKRECYPDVFTYTILIEAMCKESGVEQAMKLLDEMKSKGCKPDVVTYNVLIDGICKQGRLDEAVEFMNNLPLNGCQPNVITHNIILRSMCSADRWKDAEKLFGEMTRKGCSPSIVTFNILINFFCRKGHLGRAIDLLQKMPKHGCTPNALSYNPLVHAFCKEKKMDRAIEYLEVMVSRGCYPNIVTYNSLLSGLCKDGKVDFAIEIFNQLSTKGCSPVLITYNVVIDGLSKVRKTERAIELLDEMKRKGLQPDSFTYSSLVSGLCREGKVDQAIKLFCDLETLGIRPDAITYNTIMLGLCKARQTDRAIDFLVDMVSKGCKPNEYTFTILIEGLAHEGFAKEALELLSELCSIGAVGKSYPEQIFMKDVAQKRLVTKV >itb06g20350.t1 pep chromosome:ASM357664v1:6:23347090:23348665:-1 gene:itb06g20350 transcript:itb06g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPTVQIISECYITPNPSSEASKKPVFLSAWDLALLSADYIQKGHLFAKPPSFDLTTFLHTLKHSLSLTLVHFYPLAGRLSTAKGEDGAGFTVFIDCENSPGARFVLASVDLTVAHILSPRDVPLIVQSFFDHDRAINHDGHERSLLTIQVTELSNGVFLGFSMNYMVGDGTSFWNFLNTLSEIFRANNHQNPISRRQPIHDRWFPENHGPILNLPFTHHDQFISRHEAPPLRERGHCGGGRAFEHGLGWAAWKLHRAVASHDNAVIREWVNAWIESAFVYRLGDFFDPCSVMMGSSPRFNMYSVEFGLGKAVAIRSGYANKFDGKVSLYPGIEGGGSMDLEICLSHQCMGALESDMECMDTLA >itb01g26460.t2 pep chromosome:ASM357664v1:1:31633028:31635997:1 gene:itb01g26460 transcript:itb01g26460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQNLFLSDGITMPYGIGVEANDFFNPSWENPVDQSDPFESALSSMVSSPAASNAGVAPPAANTSGENESFVLRELIGRLGSSFDNPGDISPAACTNSSCYNTPLNSPPKLNLSSMADHQIRAANFPIHPALSNFSADPGFAERAARFSCFSDKSLVNSGKVSGKVHGSQMAPIQENSAPSKKLTLENSELGDSRENSTVSEQIPVGETAKNDANSRKRKSAAKGKAKETTTTTTATPSSSSANATSQNNESNPKRIKSDEQNSGNDKDSSPKGNDKAAGENKEPPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATINPRMEFNMEALLSKDMYQFRGAMAQNMFSSEASGSPIPYSFQSLPSIPNLHTLLPKGSEVTFPINQLNANNSSRNLGLALPPMDTFLEPASQVTKITTIGATPVNLVKLLTLVTTRLQVQLSAGVAYWPS >itb01g26460.t1 pep chromosome:ASM357664v1:1:31632785:31635997:1 gene:itb01g26460 transcript:itb01g26460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQNLFLSDGITMPYGIGVEANDFFNPSWENPVDQSDPFESALSSMVSSPAASNAGVAPPAANTSGENESFVLRELIGRLGSSFDNPGDISPAACTNSSCYNTPLNSPPKLNLSSMADHQIRAANFPIHPALSNFSADPGFAERAARFSCFSDKSLVNSGKVSGKVHGSQMAPIQENSAPSKKLTLENSELGDSRENSTVSEQIPVGETAKNDANSRKRKSAAKGKAKETTTTTTATPSSSSANATSQNNESNPKRIKSDEQNSGNDKDSSPKGNDKAAGENKEPPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATINPRMEFNMEALLSKDMYQFRGAMAQNMFSSEASGSPIPYSFQSLPSIPNLHTLLPKGSEVTFPINQLNANNSSRNLGLALPPMDTFLEPASQVPAFFEDDLNTVVQMGFGQNQSQNFHGTVGASGMKVEL >itb01g26460.t3 pep chromosome:ASM357664v1:1:31633028:31635997:1 gene:itb01g26460 transcript:itb01g26460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQNLFLSDGITMPYGIGVEANDFFNPSWENPVDQSDPFESALSSMVSSPAASNAGVAPPAANTSGENESFVLRELIGRLGSSFDNPGDISPAACTNSSCYNTPLNSPPKLNLSSMADHQIRAANFPIHPALSNFSADPGFAERAARFSCFSDKSLVNSGKVSGKVHGSQMAPIQENSAPSKKLTLENSELGDSRENSTVSEQIPVGETAKNDANSRKRKSAAKGKAKETTTTTTATPSSSSANATSQNNESNPKRIKSDEQNSGNDKDSSPKGNDKAAGENKEPPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATINPRMEFNMEALLSKDMYQFRGAMAQNMFSSEASGSPIPYSFQSLPSIPNLHTLLPKGSEVTFPINQLNANNSSRNLGLALPPMDTFLEPASQVTKITTIGATPVNLVKLLTLVTTRLQVQLSAGVAYWPS >itb01g30630.t1 pep chromosome:ASM357664v1:1:34583292:34585576:-1 gene:itb01g30630 transcript:itb01g30630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLVLQVIGLLLLGSLQFSHARVQRHTFIVEETPYTRLCSSKKILTVNGSFPGPTLFAKRGDTIIVDVINNGNENITIHWHGVKQPRYPWSDGPEFITQCPIQPGGKFSQKIFLSDEEGTLWWHAHSDWSRATVHGQIVVSPPNGPNGYPFPKPQMEVPIILGEWWKSDIQEVLNEFLASGGDPNISDAFLINGQPGDRYNCSKNDIFRLRVDSGKTYLLRMVNAVMNNIMFFSIANHNLTVVGTDGAYTKPFQASVVAISPGQTLDLLLHADQTPNHYYMAAKALNTANRVQYDNTTTTAFIQYSGNYTPSPSPPFPNLPDHNDINASVGFTSQLRSLADKKHPIDVPKNVSTNLLFTISINTRPCERNNTSNCTGPGGRMFAASVNNNSYILPQTDILEAYYYSTILNRSVNDVVYTTDFPPFPPFPFDYTNATLPSNLQLPERNKTAKVFVLEYGTTVELVYQGTNLVAGIDHPMHLHGYSFYVVGWGFGNFDKDKDPQNYNLVDPPLMNTIALPKNAWTAIRFKADNPGE >itb04g30040.t1 pep chromosome:ASM357664v1:4:33259487:33266885:-1 gene:itb04g30040 transcript:itb04g30040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MAAGGGGGGGGGGAASPSHSLLETPTWAVATVCFVFILLGLLIEHLIHLLSHWLKKHRKTALFEAVEKLKSVLMLLGFLSLLLAILQRPISKICIPNSVAKSMLPCNRAAGSTKTTKTLQHLWTNNPGNSSTTPVFLVPSHGRHLAGGLDSSDHCNSKGRTSLISQSGISQLNNFMFVLAIMQIVYCVITMGLGRLKMNRWKSWETETQTVEYLAANDPNRFRLTTQTTFGRRHIDDCATTGPLLWIKCFFRQFFYSVAKVDYLTLRHGFIMAHLSSNNTFNFQKYIERSLEDDFKVIVGISPLMWLLVVIFLLVDVHGWNVYLWVSFLPLIIVLVVGTKLEVIVAKMALRVKNQSTVIKGSPLVQPNDNLFWFNHPPLVLTLLHFTLFMNAFEVAFFIWVTLQFGIRSCYHENVEKIVIRVVLAVMVQILCSYITLPLYALVTQMGSHYKRALLEENVMQIIKYWHSEVKKKKKNRNNKKNKNMEADDDEDIENSRREYYSSSTTPAAVSPSSNSPETSHLNRSPTLAPAAAETDDEITEEWRPPQ >itb07g05590.t1 pep chromosome:ASM357664v1:7:3844558:3847189:1 gene:itb07g05590 transcript:itb07g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIPPKNPHFFKPIHEGFKNGVNIPTAFLAKYLKGQGPKFAILRRGDRSWRVKISGGRIIADGWEKFGAENGLNVGDFVVFRKEGDRVFDVSVFEPSLCERDWPLPHGGCPVTQPSNNFCSAARDTTSTSPADHPYFVSTIKSYCLKRQELYLPMEFAMSNGLVAEEKREMILRDDKERSWSVVMGRVGNNFSLRQGWQSFQMANDLKEGDAYKFELIKSGKTPIAKFHSLGTTSSSSAENPHFVATIKPYCLRKSHLNLPLEFARSNGLMAEGKREMILRDDKERSWPVVLGTMGKHVSLLRGWQAFRMAKGLKEGDAYKFELIKSGKKPIAKFHSGHFSGEED >itb10g06190.t1 pep chromosome:ASM357664v1:10:6777799:6782620:-1 gene:itb10g06190 transcript:itb10g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWLCCSCQEEESYPSNENEVHKNPGNHFDGHPKGSKVQAPAKIEVQKPIPTIQVPELSLDELKEKTDNFGSKALIGEGSYGRVYFANLDNGKAVAVKKLDTSSEQESNVEFLTQVSTVSTLKHENLVELLGYCVEGNLRILAYEFATMGSLHDILHGRKGVQGAQPGPTLDWMQRVRIAVDAARGLEYLHEKVQPPIIHRDIRSSNVLLFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGIAKLGAVAALCVQYEAEFRPNMSIVVKALQPLLKSAAPAPEV >itb13g01720.t1 pep chromosome:ASM357664v1:13:1562632:1563203:1 gene:itb13g01720 transcript:itb13g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSGQCLLDEKTVLLQIRSEITYSSASTKLLLWDERVDCCRWPNQDGNKLSGHINELQNVTSPLALLDLSNNNLEGTIPSFFFQFQNLSTLFLGMSQIPSFFFQLQNLTFLYLSSNKFSGQMIDLQNVTSPLEVVDLSMQQQLGRDNTFILLSITES >itb15g20090.t1 pep chromosome:ASM357664v1:15:22572270:22580909:-1 gene:itb15g20090 transcript:itb15g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGMVAGSHKRNELVRIRHDSDSAPKPLKDLNSQICQICGDAVGVTASGDAFVACNECAFASGDAFVACNECAFPVCRACYEYERKDGNQACPQCKTRYKRQKGSPRVDGDDEEDDFDDLDDEFNHAQGNSKARHQWQGEDVDLSSSSRHESQQPIPLLMNGQPISGEIPPSATPDTQSVRSMSGPLGPGDKHGHSIPYLDPRQPVPVRIVDPSKDLNTTYGLGNVDWKERVEGWKLKQEKTMVHVSXASGDAFVACNECAFPVCRACYEYERKDGNQACPQCKTRYKRQKGSPRVDGDDEEDDFDDLDDEFNHAQGNSKARHQWQGEDVDLSSSSRHESQQPIPLLMNGQPISGEIPPSATPDTQSVRSMSGPLGPGDKHGHSIPYLDPRQPVPVRIVDPSKDLNTTYGLGNVDWKERVEGWKLKQEKTMVHVSNRYSDGKGGDSEVIGSNGEELQMADEARQPLSRVVPIPSSHLTPYRIVIILRLIILGFFMQYRLTHPFPKWSPINRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPMKEPPLITANTVDPMKEPPLITANTVLSILSVDYPVDKVSCYVSDDGSPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKFNIEPRAPEFYFARKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTSWPGNNSRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGLQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGSRKKGRNGNKKYIDKKRAAKRTESTIPIFNVEDIEEGVEGYDDEKSLLMSQKSLEKRFGQSPVFIAATFMEQGGVPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPCPAFKGSAPINLSDRLNQVLRWALGSIEIFLSRHCPIWYGYNGKLKLLERIAYINTIVYPLTSIPLLAYCILPAICLLTGKFIVPEISNYASAWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGEFSELYVFKWTSLLIPPTTVLIVNLVGIVAGVSFAINSGYQSWGPLFGRLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDTAKATATGQCGINC >itb04g24350.t1 pep chromosome:ASM357664v1:4:29052463:29055193:1 gene:itb04g24350 transcript:itb04g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHGINVRESQSVVPLNTWVLISNFKVAYNLLRRPDGTFNRNLAEFLDRKVGPNTVPDDGVYSFDVLDRATNIVNRIYKSAPDNEARWGLLELENPLSTTEVVPVIVFFHGGSFTHSSANSAIYDTFCRRVVKICNAVVVSVDYRRSPEHRYPCAYDDGWSALKWVHSREWLKSGKEGKVHVYLAGDSSGGNIAHHVAVRAAEESDIEVLGNILLHPMFGGQQRTESETKLDGKYFVTVRDRDWYWRAFLPEGEDRDHPACNIFGPRSKSLEGLKLPKSLVVVAGLDISQDWQLAYVEGLQKSGHDVKLLHLKQATIGFYFLPNNDHFFNLMDEITEFIHPNCS >itb04g07900.t1 pep chromosome:ASM357664v1:4:5465917:5466254:1 gene:itb04g07900 transcript:itb04g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCEGKDSWPELVGEQALVAKATIEKENPSVTVRIAYPRCPIPLDYRCDRVWVSVDCNNVVQRTPVIG >itb15g09860.t2 pep chromosome:ASM357664v1:15:7133308:7136353:-1 gene:itb15g09860 transcript:itb15g09860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRSCSLSSPSLHQSSNPNQLFQFQTLNGLKQLAETRRFKAWFLDQFGVLHDGKQPYPGAISTLENLAKDGAKMVVISNSSRRASVTLEKLKSLGFDPSLFAGAITSGELTYQNLQRRDDPWFAALGRSCIHFTWNDRGAISLEGLGLQVVEDVLEADFILAHGTEAIGLRSGAALPMKLEDLEKILKQCADRKIPMVVANPDFVTVEARALRVMPGTLAAKYEKLGGEVKWMGKPDKLFH >itb15g09860.t1 pep chromosome:ASM357664v1:15:7133308:7136368:-1 gene:itb15g09860 transcript:itb15g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRSCSLSSPSLHQSSNPNQLFQFQTLNGLKQLAETRRFKAWFLDQFGVLHDGKQPYPGAISTLENLAKDGAKMVVISNSSRRASVTLEKLKSLGFDPSLFAGAITSGELTYQNLQRRDDPWFAALGRSCIHFTWNDRGAISLEGLGLQVVEDVLEADFILAHGTEAIGLRSGAALPMKLEDLEKILKQCADRKIPMVVANPDFVTVEARALRVMPGTLAAKYEKLGGEVKWMGKPDKIIYDSAMAMTNEDASNCIAVGDSLHHDIKGANAAGIASAFITCGIHATELGLGKFGEVADDTSVHALASRHDAFPTYVIPSFTW >itb15g09860.t3 pep chromosome:ASM357664v1:15:7133308:7135632:-1 gene:itb15g09860 transcript:itb15g09860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVISNSSRRASVTLEKLKSLGFDPSLFAGAITSGELTYQNLQRRDDPWFAALGRSCIHFTWNDRGAISLEGLGLQVVEDVLEADFILAHGTEAIGLRSGAALPMKLEDLEKILKQCADRKIPMVVANPDFVTVEARALRVMPGTLAAKYEKLGGEVKWMGKPDKIIYDSAMAMTNEDASNCIAVGDSLHHDIKGANAAGIASAFITCGIHATELGLGKFGEVADDTSVHALASRHDAFPTYVIPSFTW >itb04g10140.t1 pep chromosome:ASM357664v1:4:9387175:9399208:-1 gene:itb04g10140 transcript:itb04g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVMNPTSEISELQADPVPFARSYQLEALETAIRQNTIVFLETGSGKTLIAIMLLRSFAHLIRKPSPYIAVFLVPTVVLVTQQGEAIRKHTDLRIGKYWGEMGVDYWDAKMWMQQVQNFEVLIMTPAILLAALRHSFIGIDMIKILIFDECHNARGKHPYSCIMTEFYHPQLHSRNVQLPRIFGMTASPIKAKGSSSTFSYWKEIRCLENLMNSKVYTCASESVLAEYIAFPTAKVKTYSDVDVTYSMFGSISCDVRSLRAEHDQYIIKSNMSESTAESARKRLAKLESTFLFCLVELGIFLALKAAESLSCDDKDSFLWGKLDLRAETISRGFSLDAAKIFSDHMPSGAQWDISNDLQANKDAGYITSKVLSLVEILLSYRNIKDLRCIIFVERVITAIVLQSLLNELLPRLTGWKTEYTAGNTSVMQPQSRKVQNKIVEEFRKGMVNIIVATSMLEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDFILMVRSGDRSTLAQVNNYLASGEIMRKESLRHASLPCSPLDCENDEYSYRVESTGAVVNLRSSVSLLYLYCSKLPSDRYFKPVPMCDIDKEQKICTLNLPRNCQIQTVRVQGDTNILKQLACLEACKQLHQAGALTDNLVPNIMEETDVIEESGCVLYNDEQARYFPPELIGCCGKDPEASYHCYLIELQHYRHNGSQLQNIILAVRTKLGFSREKLNFELNVEGGCQEGHLLHIGLITLTSERIHRCRMFQAVLIRALLHHNLNKLEEVSDQSRRLMGSASFDYLLLPSVGSSSEPSVDWKTIDSLLIPSEKPDNKHTSSCPMQGCNLVHTKNGLVFSCWLENSLVCTPHNGKVYYTTGYLHNLDGNSSLKMRNKESMTYKEYFKKRHNIDLLFERETLLDGKYLPTVQNYLRRCSPLKSKEPSNSSVELPPEFCFIVMSLVPYVTLCSFTYVPSIMHRIESLLVAVNLKKMVQDHCMQNVSIPTAKILEAITTKKCQESFHLESLETLGDSFLKYAASQQLFTSFENDHEGLLSVKKDKMVSNSALCRLACNQKIPGFIRTEPFDPKAWPIPCDYSLPLNLDLETLSPTSKMYSMGKRNIKGKRVADVAEALIGAYVSTAGEQAALSFMTWLGLEIQFVKVPRARHFPVNAEKFVNVHHFENLLKYKFSDPSLLVEALTHGSFMLSEIPLCYQRLEFIGDAVLDYVITTYLYYKYPGLSPGLLTDLRSCSVNNDCYALCAIKADLQKHILYASNDLHGHIASTVESVTQLSISETFGWELDTSFPKVLGDVIESLAGAIFIDSGFNKDKVAECIVPLLEPMVTPETVRLHPARELNELCQRKNYAKQKCVTRKDGVACIGVEVDANGSIYSHTCSARDKRTAEKLACMHILKKLKEEGGC >itb04g10140.t2 pep chromosome:ASM357664v1:4:9388509:9399208:-1 gene:itb04g10140 transcript:itb04g10140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVMNPTSEISELQADPVPFARSYQLEALETAIRQNTIVFLETGSGKTLIAIMLLRSFAHLIRKPSPYIAVFLVPTVVLVTQQGEAIRKHTDLRIGKYWGEMGVDYWDAKMWMQQVQNFEVLIMTPAILLAALRHSFIGIDMIKILIFDECHNARGKHPYSCIMTEFYHPQLHSRNVQLPRIFGMTASPIKAKGSSSTFSYWKEIRCLENLMNSKVYTCASESVLAEYIAFPTAKVKTYSDVDVTYSMFGSISCDVRSLRAEHDQYIIKSNMSESTAESARKRLAKLESTFLFCLVELGIFLALKAAESLSCDDKDSFLWGKLDLRAETISRGFSLDAAKIFSDHMPSGAQWDISNDLQANKDAGYITSKVLSLVEILLSYRNIKDLRCIIFVERVITAIVLQSLLNELLPRLTGWKTEYTAGNTSVMQPQSRKVQNKIVEEFRKGMVNIIVATSMLEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDFILMVRSGDRSTLAQVNNYLASGEIMRKESLRHASLPCSPLDCENDEYSYRVESTGAVVNLRSSVSLLYLYCSKLPSDRYFKPVPMCDIDKEQKICTLNLPRNCQIQTVRVQGDTNILKQLACLEACKQLHQAGALTDNLVPNIMEETDVIEESGCVLYNDEQARYFPPELIGCCGKDPEASYHCYLIELQHYRHNGSQLQNIILAVRTKLGFSREKLNFELNVEGGCQEGHLLHIGLITLTSERIHRCRMFQAVLIRALLHHNLNKLEEVSDQSRRLMGSASFDYLLLPSVGSSSEPSVDWKTIDSLLIPSEKPDNKHTSSCPMQGCNLVHTKNGLVFSCWLENSLVCTPHNGKVYYTTGYLHNLDGNSSLKMRNKESMTYKEYFKKRHNIDLLFERETLLDGKYLPTVQNYLRRCSPLKSKEPSNSSVELPPEFCFIVMSLVPYVTLCSFTYVPSIMHRIESLLVAVNLKKMVQDHCMQNVSIPTAKILEAITTKKCQESFHLESLETLGDSFLKYAASQQLFTSFENDHEGLLSVKKDKMVSNSALCRLACNQKIPGFIRTEPFDPKAWPIPCDYSLPLNLDLETLSPTSKMYSMGKRNIKGKRVADVAEALIGAYVSTAGEQAALSFMTWLGLEIQFVKVPRARHFPVNAEKFVNVHHFENLLKYKFSDPSLLVEALTHGSFMLSEIPLCYQVNIDYSCTSI >itb15g14640.t1 pep chromosome:ASM357664v1:15:12948504:12949397:1 gene:itb15g14640 transcript:itb15g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKQNIMLVLIFLLFICKFEYCYSNDDASCVEGERIALLKFKESLIDISNRLSSWSGLDCCEWEGISCSSTTGHVLKLDLRNSNYWLHNGLPSNYSNNCLGGEINHSIINLTHLNYLDLSLNNFSKIRIPEYFGSFKNLRYLNISSSGFVGNIPTHLGNLSSLEHLDLGDAAFIIVPSYNDLATDNLKWLASLSSLKSLDMSWVSIRHSEDWLRTINKLVSLSSLNLAYCQLNTTTPLSHVNSTSLISLDLSENSLDSAILPWLSNLTRLEHLNLRGNSLNSSMLEIFEPLASLN >itb08g17930.t1 pep chromosome:ASM357664v1:8:20810286:20812635:-1 gene:itb08g17930 transcript:itb08g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVCSLPHALILVFSADRSLHLPPTGDPLCLLSSLSALAVSIGSLPASLPSASHFSVGLSLRKPIPQDFSLLYHQCYVASPTLNGTVSSSLVVSRRRGWSPPQELRLWKTNSQILQRLPPKV >itb09g09100.t1 pep chromosome:ASM357664v1:9:5446280:5448590:-1 gene:itb09g09100 transcript:itb09g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAADFVLCEFELRFRIAKAKLITMELADKAVGLLLTVTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAIIIPVFAGVALLSFLSMFIGYVMLKSKKKKKA >itb14g04400.t1 pep chromosome:ASM357664v1:14:3963733:3966535:-1 gene:itb14g04400 transcript:itb14g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPTGKIERMYSPILASEVMKLNPGHYVSLIIPLPVAAAAADGNSDEKTAVRFTRVKLLRPNDTLVLGRAYRLVTTQDVMKVLRAKKYAKMKKKQQQQEAEMSEEKLQADAEEHSSSSQLLQPGNFDSDKAVKNERQRRGGGLTSTAAAGSRSKSWRPSLDSISESGS >itb03g25920.t1 pep chromosome:ASM357664v1:3:25311863:25322384:1 gene:itb03g25920 transcript:itb03g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYTVRSYSCLRFGLASPVGRILNFARAAQPPSSSHYPTYAAVPALDSLLRRLHFSPSPSTSIPSVASQQQQQQEMAGLEWPSTKVRDTFIKFFESKAHTCVKSSPVVPHNDPTLLFANAGMNQFKPIFLGTVDPNTELSKLKRACDTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKTEAIQWAWELLTEVYKLPAIRIYATYFGGDEKNGLPPDNEARDIWLKFLPPERVLPFGSKDNFWEMGDTGPCGPCTEVHFDRIGNRDAASMVNNDDPTVIEIWNLVFIQFNRESDGSLKPLPAKHVDTGLGFERLTSILQNKMSNYDTDVFLPIFDAIQKITGARPYTGKLGSDDVDKIDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGNEVLKAEPGFFSRLVKVVAELMGDVFPELKQREAHIMDIIADEEASFGRTLLHGIEKFKKAAQEVQGKLFSGQDAFVLWDTYGFPLDLTQLMAEERGLIVDIEGFNAAMNVARERSRSAQNKNSGGAIVMDADATAALHKKGIATTNDIFKFTWFQDHESEIKAIYTGSEFLECACTGDDVAIILGSTSFYAEQGGQIYDTGSLESSAGSFQVHNVQIFGGFILHIGSFSGENQKFSIGDKVICKVDYDRRSLIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVKPEELQKIESIVNEQIKAEMDVFSQEATLSDAKRVKGLRAVFGEVYPDPVRIVSIGQKVGDLLADPENEEWLSISAELCGGTHISNTREAKAFALVSEEGIAKGIRRITAVTTNCAFEAIELASFLEKKIKEAASVEDSLLEEKVTTLNASVERAQIPAAKKDELKRELSKLQTRVIQAKKRIGAENSLKAVTAASEMAEAAVSGGKAYCILRLGIGLDTAAVRDAVVKVMEQKGIAVLIFSTDEAANKVLVCAGVPEKGDRCKQLSVKEWLNAALKPLGGKGGGGKGGLAQGQASDLKHVDEAMDLAASFASMKLS >itb03g16980.t1 pep chromosome:ASM357664v1:3:15729163:15734199:1 gene:itb03g16980 transcript:itb03g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKSSRKDRESAKEREHKHRSSKDKSRDAASDEDDNRHHHRHRSKHRGGDDDDDRRRSDNRHRRHSEEDSFDRERSTERHRSEREGSLDRREKSHDRDKRERSHERETKREESVERRHFSKRKERGDSEDRNDGAEKRARVSEGKNENGKEKEDRRERRRFDDGEVKEERRERKRFEDKVKQDDMGVDEERMEREFQNRTFKKEEPVEDAQHVDSVANGLAMESSNKAPRTLPETSLAPVDPPATKVSSISTNENKGVNINRSHEVPGKSSTDGTASGAGKRAGFSLDALAKAKRALQMQKELAEKLKKIPTLNKGAGPTREGSQQVGATESHKASSSSAGVQPALVASSNSGILPTPASAAPSTLDLPAGASVNLPPSGLPHLAGLTAQKYEAVKRAQELAAKMGFRQDPEFAPLINMFPGQMPQEVTIQPKPAKAPVLRLDALGREIDEQGNVVNMPKPSSTLKVNINKKKKDAFQILKPELEVDPDENPHFDARMGLNKNKILRPKRMSFQFVEEGKWSRDAEIIKLKSQFGEARAKELKAKQAQLAKAKAEPDINPNLIEVSQRVFTQEKQKEPIPEVEWWDVPILQSGAYGDITDGNISHDKLRMEMITIYVQHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLLEPPKPKVKMSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHIDRNIARKLTPDERREKKERKLFDDPNTTLETIVSVYKINDLSHPQTRFKVDVNAQENRMTGCAVISDGISVVAVEGGKKAIKRYGKLMLRRIDWAAAVKQEEDEDEDEDEEKPINKCVLVWQGSVAKPSFHKFFVHECRTEAAARKIFADAGVPHYWDLAVNFKDEEL >itb06g14180.t1 pep chromosome:ASM357664v1:6:18786176:18789090:1 gene:itb06g14180 transcript:itb06g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPEEIEEATEDELACKLTELLKGRDYLIVVDDIWSTRAWDEIIRLFPYGRNNRCFILLTTQDREVAMYASGGSETYNLSILDELDSWKLFRNIFPFEKYVAPRFKKFMSHLVRVVEKCDGLPPTIIAVAERLSKCKNATQELKKIEKELESLGILSHNIRTHIYNQLPEDLKVYFLYFGVFPKCSEIQVKTLLRLWIAEGLVKQTCSMNIEESAYSCLEYLINRSLVLICNLSFDGKIKSCRMQSALHSVCAVEAQKEGILCAVNTLQHVGLPLSSVFSNSCRWLSLYTHGFDYYVLLGTNICRSIFFFCENSESKFVPLKFLRVLAFVPSRFLERENLGNLVFLRYVHVKQWFEGLEDIAPTNPNLQVFIVSHKGTIASSIRLPSKFWETPKLIRHVEVSSSLSVDPPPPSEVRESLQTLYWLSIHHCTEEVFSRIPNVKKLGIICGDGKGNSSSTEDNLGNLGCLGELKTLMIAFKKGSPIGLQNLNSLSLHLNIKKLNLKRTCLPWSEINIVGMLPNLEALKLKEASNGSDWNLSVQGKFYKLKFLYVEAKNLVRWKIIEDHPFFKDYHLFRLKYLVLKRCTQLEAIPSSFESIYSLKSIDLLHCSPHLKEFAYNFSDFLELYEYNPVVIRYGLAMICSVATLQEPSGDSYILKFAF >itb09g10200.t1 pep chromosome:ASM357664v1:9:6348193:6350601:-1 gene:itb09g10200 transcript:itb09g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGNSTDHFKLWSELELQEFPEKFVIRSPASHDQGFSISRFDGTIEKLNGETNTGKPSKISTIYGVVGTIRLLAGAHVLVITSRKEVGAYLDFPIFRVTSMKFLSCNEASKHLSSEEKRDEAYFMNLLKVVESTPGLYFSYETDITLNMQRRYKLSKGWMRKPVWKQADPRFVWNRNLVEELIENKVSY >itb06g23710.t1 pep chromosome:ASM357664v1:6:25531281:25534039:-1 gene:itb06g23710 transcript:itb06g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIQKKTVTESQRQIGLYSRSHPPSLPLIHFYSLWPLSLSISLISQNRIGDTVIQIEIELQVKGVPSTLRQLEIVVHRERSRLEIEGAPSHMVDLLRHQMLLLFPSKSLFRQPDIFQDRHRRHPRPSLFRRIPSPSLCAALVTQTHDPVEISRNYNHTNRTLKTPPLEILPFRSSRYIVLTLLRRRRDLAHPPAPPFRSQLLPLELDFPFRTFLARVSTCNWVSDGGSQTSER >itb15g02050.t1 pep chromosome:ASM357664v1:15:1222020:1223516:1 gene:itb15g02050 transcript:itb15g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSRSFSQPRENGASPESHTIEMTGAANGGGANLAKFFEDVEAVKDDLKDIEKILNSLKTAHEQSKTLHNAKQVKELRARMDKDVSLALKQAKLIKHRLEELDKANAANRKVYGCGPGSSSDRTRTSVVNGLRNKLKEYMNQFNDLRQKIESEYRETVQRRYYTVTGENPDEEVLDKLISTGQSETFMQKAIQEQGRGEVMNTVLEIQERHEAVKEVERNLKELHQVFLDMAVLVETQGEQLDDIESQVNRANSVIRHGADQLLTARKHQKNTRKWTCFAIILLLIIILIVVLSIRPWK >itb06g22880.t1 pep chromosome:ASM357664v1:6:25066412:25067556:1 gene:itb06g22880 transcript:itb06g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKGDWEVVLCPSRGNGNFILRAPRFSGEGGHQAELRNNLHHQFFFFFFYFSFAPPPRSDIFRRLAVLFCFSSERESESLPGI >itb12g06030.t1 pep chromosome:ASM357664v1:12:4494167:4494457:1 gene:itb12g06030 transcript:itb12g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRNALPVWKSPLPYLFAGLSVMCVLIALALLLLACSYRKRPSSSSSSSSSTAAAADSDEKSSHSAANRSVEMPPTIIVVMAGDSKPTQLAIPV >itb11g17990.t1 pep chromosome:ASM357664v1:11:18136873:18143193:-1 gene:itb11g17990 transcript:itb11g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MASFAGSAPLSIYVSNNGASSSFSSSPSSSRLSTAGKPSNLFRSFIFTRKETNRRIVCACVAPPRDVKPADADEFSSHKFNGSAKPENLSSMGEPDDGSDVLIECRNVYKSFGEKHILRGVSFKIRHGEAVGIIGPSGTGKSTILKIMAGLLAPDRGEVLIRGRRRHGLISDEEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSTMPGDQIAQLVTETLAAVGLKGVENRLPSELSGGMKKRVALARSIIFDTTKDVVEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMKGEDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGRVVWQGMTSEFSSSTNPIVQQFASGSLDGPINYY >itb15g04860.t2 pep chromosome:ASM357664v1:15:3144124:3148108:1 gene:itb15g04860 transcript:itb15g04860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHKAVRKFSRLRFGACSVFGNDILGSVPEMELQNFKGEQGKRFSLSKMEEEDNGRVYLWQRPTNRVSRNPALTRETSVTGTTHNAFHMLRHCYSTQLNPRNGVGLNKVLGSLPEFVRIVEVGPRDGLQNEKEIISTSVKVELIQMLVSAGLEVVEATSFVSPKWVPQLADAKDVIQAIQNIAGVRFPVLTPNLKGLEAAVSSGAKEVAVFAAASESFSRSNINCSIEESLTRYRDVALAAKNHSIPVRGYISCVVGCPVEGAVPPSKVAYVAKELLNMGCFEISLGDTIGVGTPGTVIPMLEAVSEVVPMEKLAVHFHDTYGQALSNILVSLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVRTNVDLQKLMLAGDFICKHLGRPLGSKTAIALTRRTAHTSKL >itb15g04860.t1 pep chromosome:ASM357664v1:15:3144124:3148108:1 gene:itb15g04860 transcript:itb15g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHKAVRKFSRLRFGACSVFGNDILGSVPEMELQNFKGEQGKRFSLSKMDREEDNGRVYLWQRPTNRVSRNPALTRETSVTGTTHNAFHMLRHCYSTQLNPRNGVGLNKVLGSLPEFVRIVEVGPRDGLQNEKEIISTSVKVELIQMLVSAGLEVVEATSFVSPKWVPQLADAKDVIQAIQNIAGVRFPVLTPNLKGLEAAVSSGAKEVAVFAAASESFSRSNINCSIEESLTRYRDVALAAKNHSIPVRGYISCVVGCPVEGAVPPSKVAYVAKELLNMGCFEISLGDTIGVGTPGTVIPMLEAVSEVVPMEKLAVHFHDTYGQALSNILVSLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVRTNVDLQKLMLAGDFICKHLGRPLGSKTAIALTRRTAHTSKL >itb06g18230.t1 pep chromosome:ASM357664v1:6:21936795:21943753:-1 gene:itb06g18230 transcript:itb06g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVSGNGDAADSFRNSMEVVRGVLSPIESSIKKVAKDLEHCWPGSQVVLVDSSDDSKNSELNLNRKSVITDVEKTSLAVKVPTKKFVGFLGSSWQSDRDEIGARKGLKEKYGGGDVGKSNGNCFDCLHFALAWSLMMNNFAQALPGTFKVGTRWVHKTSNGDAVPNETAMKPRVLGEFKKKESNGRVSCCERLNHEEGNNVSVEGFIGFMFDQLAQNLQKLDSHFHEKESKLADLEPVPPATNQFDHLKVLISVFEGKRADFNGFLGNLNFARVGGMPPSIVGISSPVSDERDDAVNGDGPRIESAGSSPQKLANGLLSIPLSNVERLRSTLSSVSLTELVELLPQLGRPSQDHPDKKKLFSVQDFFRYTEAEGRRFFEELDGDGDGQVTLEDLEVAMRKRKLPKRYAHEFMRRTRSHLFKKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLQEDPRNIWFEAATVVAVAPPVEIPAGSVLKSALAGGLACALSTSLMHPIDTIKTTLQASSLSFPQIMSKLPEIGVRGLYRGSIPAIVGQFSSHGLRTGIFEASKLVLINFAPTLPELQVQSIASFCSTVLGTAVRIPCEVLKQRLQAGLFDNVGQAILGTWQQDGLKGFFRGTGATLCREVPFYVAGMALYAKSKKVAQQLLERELEPWETIAVGALSGGLSAVVTTPFDVIKTRMMTAPQGRPVTLTVLAFSIIHHEGPMGLLKGALPRFFWIAPLGAMNFAGYELAKKAMDKSEETSEQVSLKK >itb12g17220.t1 pep chromosome:ASM357664v1:12:19190494:19192460:-1 gene:itb12g17220 transcript:itb12g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQESKCISSDSLAITSACHTRWKLYKNPCYLSIKQINKVREDCRNREHSRYHLHQPVSARKIAASFWDLAFIRPIMESMLEKARLQIIGLKAELEKEHSRRKKVEYMNKKLIKQVSEERKGREAMERLCEQLAKEVSSHKAQINGLKKEIEEERKMLQIAEVLREERVQMKLAEAKSLLEEKILELNTTKKLHIQSPISISESKVEESSSNTQRIAADHSSSSSPSPASGEQTNSISGSDSNVGSSSQHSTLAVQGKASPKPENPHIKRGKKGFVEFPLVVKAIGCKSRHLGTKLECQKAQLGTLVKHKSPISSCSLIWS >itb04g32050.t1 pep chromosome:ASM357664v1:4:34725969:34728000:-1 gene:itb04g32050 transcript:itb04g32050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGWLLIWMFSVYSSYGQQYYDSTLCSDTSVHPGTRYTCNSSPGFSCPTFLVYRASHGVRTISTISSLFNIPPGELLSPRNNVTSSSEILEPGREVLIPVQCSCSGGFFSANLSYKVLENTTFESVACEVFEGLVKPVTLIEENVSKKRDKEGLGAGTEIVVPLKCACPYKSFGPPGLKYLVTYPIVSGDDADLLSKKFKIPIKEIWEINNLSFKDNTIYPNTTILLPLKSEPSINFSVSNSEPPTPGFLQTSPVEKPGKNLRLKKLYISVSVIGFCLLVATIIACSLYLRTLRKFRAARVQSSIRRSTTGTSFSTPRSSPKSGPMANSCLSPDLLAGLKYSLCNYTAEELRKATRGFDEDAKVSESVYRGWIDNAEVLIKQMRFEGTRQIIDVHSRINHVNIVRLQGVCYGENDFSSCSLVFEFPANGSLRGCLSSPSGSLRWHRRTQIAFDIATGLHYLHFCTIPPYTHMNVNTKNIFLTQNWRAKLTVFAAVPSPSISGASKESSGGSMGSLGGWILPEHLVHGSESDKADIFAFGVVLLELLSGKDDVNSIMFLGGGGGTEGGCFEQLRNFMDPSLKEDYPLAEALCLAVLAKACVEDDPLHRPSMDDIIKILARMV >itb13g16130.t1 pep chromosome:ASM357664v1:13:23041315:23045457:-1 gene:itb13g16130 transcript:itb13g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDAPLIKPQLQNSKMLRFKPFTLSSFPLINFHTPKIAAAGGLSRTTILSGSPFPMAANLSTVSTQNDEAVSEPTPKPPQPLQVAKRLEKFKTTIFTQMSMLAIKYGAINLGQGFPNFDGPEFVKEAAIQAIRDGKNQYARGYGVPDLNAAVAARFKKDTGLDIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKVKCITLRPPDFSVPIDELKSVISKSTRAILINTPHNPTGKMFTQEELNVIASLCIENDVLVFSDEVYDKLAFEMEHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGIRQAHSFLTFATSTPMQYAAATALRTPDSYYVELRRDYSAKKAILVDGLKAAGFTVYPSSGTYFVIVDHSPFGLKDDVAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEQTLRSAVERMKEKLPKK >itb13g16130.t2 pep chromosome:ASM357664v1:13:23042797:23045440:-1 gene:itb13g16130 transcript:itb13g16130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDAPLIKPQLQNSKMLRFKPFTLSSFPLINFHTPKIAAAGGLSRTTILSGSPFPMAANLSTVSTQNDEAVSEPTPKPPQPLQVAKRLEKFKTTIFTQMSMLAIKYGAINLGQGFPNFDGPEFVKEAAIQAIRDGKNQYARGYGVPDLNAAVAARFKKDTGLDIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKVKCITLRPPDFSVPIDELKSVISKSTRAILINTPHNPTGKMFTQEELNVIASLCIENDVLVFSDEVYDKLAFEMEHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGIRQAHSFLTFATSTPMQYAAATALRTPDSYYVELRRDYSAKKAILVDGLKAAGFTVYPSSGTYFVIVDHSPFGLKDDVAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEQTLRSAVERMKEKLPKK >itb01g02650.t1 pep chromosome:ASM357664v1:1:1723559:1728201:1 gene:itb01g02650 transcript:itb01g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGVIYFNPLFIYLFIPFHFEISNSSKEAEQKISSHFYLLLPKPRLPSISNADKSMATRSNDEKSATADNKKSSESVPTGTQSGPGNANPLAGLGMENPFDFSALSGLLNDPSIKDLAEQIAKDPSFTEMAENLQNTFQGAGLEDEIPHFDPQQYYATMQQVMANPEFMTMAERLGNTLMQDPAMSSMLESLSNPAQSDKLEERMAHIKEDPSLKPILEEIERGGPSAMMRYWNDKDVLKKLGEAMGFAATGETAASNETVPDETEEESEDESIVHHCASVGDAEGLKKALASGADKDEEDSEGRTALHFACGYGEEKCAQILLEAGAKVDALDKNKNTALHYAAGYGRKGCVALLLENGAAVTLQNMDGKTPIEVAKLNDQSEVLKLLEKDAFL >itb15g21040.t1 pep chromosome:ASM357664v1:15:23728645:23731375:-1 gene:itb15g21040 transcript:itb15g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKCSSCGKTGHNSRTCNNNNDDDHHQRTSSSMKLFGVKLIGSQLNSSSSYSFVMKKSFSDDCLPAASYSFVDSSFGSSGFLLDENFDDKVPGCGYISDGLDAGNRGKKRGVPWSEEEHRIFLDGLEKLGRGDWRGISRHFVTTRTPTQIASHAQKYFLRQNSLQSRKRRRSLFDLAWKADESRVLCGKMAKSRSSSIIDFSSTTTSLSAIALSSGQDSKSSQYSNIISASSLSFATHNDNQDNIGVQSPSPPPSPDLELTLGGTISVV >itb10g03250.t1 pep chromosome:ASM357664v1:10:2962646:2965333:-1 gene:itb10g03250 transcript:itb10g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACYSANALPPFLAKTYEMVDDPSTDPIVSWSQNNKSFIVKNPQEFCRDLLPKYFKHSNFSSFIRQLNTYGFKKVDPEQWEFSNEDFVRGHPHRLKNIHRRKPVHSHSAKNLHSSALNESERRGYKDDIEKLKSDKESLQLELKRHEEDQRGFAFQMQGLSERAQQVEQRHKSMLSTLSRMLDKSELAIGLVPRLGLPDRKRRLPGNNYMDYNQESSSQISTRENSVSTSFVNGEWLDQLESSVIFWENTLQAVCQTGIQLNSALEVDESTSCAGSPSTQLSREIGANTSRIDVNSEPNAPKIPTKEQAETNVPTRVNDVFWEQFLTENPGSTDPLEVQSERKDYDGKKSERKPVDLAKFWWNKNNVNSLTEQPGHLTQAEKT >itb10g03250.t3 pep chromosome:ASM357664v1:10:2962770:2965290:-1 gene:itb10g03250 transcript:itb10g03250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACYSANALPPFLAKTYEMVDDPSTDPIVSWSQNNKSFIVKNPQEFCRDLLPKYFKHSNFSSFIRQLNTYGFKKVDPEQWEFSNEDFVRGHPHRLKNIHRRKPVHSHSAKNLHSSALNESERRGYKDDIEKLKSDKESLQLELKRHEEDQRGFAFQMQGLSERAQQVEQRHKSMLSTLSRMLDKSELAIGLVPRLGLPDRKRRLPGNNYMDYNQESSSQISTRENSVSTSFVNGEWLDQLESSVIFWENTLQAVCQTGIQLNSALEVDESTSCAGSPSTQLSREIGANTSRIDVNSEPNAPKIPTKEQAETNVPTRVNDVFWEQFLTENPGSTDPLEVQSERKDYDGKKSERKPVDLAKFWWNKNNVNSLTEQPGHLTQAEKT >itb10g03250.t2 pep chromosome:ASM357664v1:10:2962775:2965252:-1 gene:itb10g03250 transcript:itb10g03250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACYSANALPPFLAKTYEMVDDPSTDPIVSWSQNNKSFIVKNPQEFCRDLLPKYFKHSNFSSFIRQLNTYGFKKVDPEQWEFSNEDFVRGHPHRLKNIHRRKPVHSHSAKNLHSSALNESERRGYKDDIEKLKSDKESLQLELKRHEEDQRGFAFQMQGLSERAQQVEQRHKSMLSTLSRMLDKSELAIGLVPRLGLPDRKRRLPGNNYMDYNQESSSQISTRENSVSTSFVNGEWLDQLESSVIFWENTLQAVCQTGIQLNSALEVDESTSCAGSPSTQLSREIGANTSRIDVNSEPNAPKIPTKEQAETNVPTRVNDVFWEQFLTENPGSTDPLEVQSERKDYDGKKSERKPVDLAKFWWNKNNVNSLTEQPGHLTQAEKT >itb13g07270.t1 pep chromosome:ASM357664v1:13:9038082:9040229:1 gene:itb13g07270 transcript:itb13g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYDNREKVRRDEEAAARDEQLKRDDARKRDAELRLEKLRQARGLASASVNEAAAPSSPKSKSKSKSEETRLENDSNHINLFEGIRIFDPIEAKPESNDQRPVKKVKKEQPPRVVTAEDEKYKLGYGIVGKGTKLPWYLEKPTKKSDEKSDDDDAEDLRPAKKKSNGKKTVDELRKERLEREKREKQRERALLKEKSRRDGGFSLRR >itb01g06880.t1 pep chromosome:ASM357664v1:1:5088013:5094924:1 gene:itb01g06880 transcript:itb01g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNALKEIGDQLGKKDWDFRLNPCDNNSNWMTPDREDMPLYTNALSCNCNFPDGICHVQSIVLRGQDLQGVLPPALVKLPFLKIIDFTRNYLSGTIPPEWASAKFENLSLENNMFNGTVPPELGKLSNLQNLILNANNLIGELPKELYALTNLTEFRLSSNNFTGKLPSFQSWKNLQKLELQASGFEGPIPESISVLSNLIELRISDLNGGGGSRFPTLISMTSMIKLMLRSCNISGTIPNIAYMTGLQYLDLSFNNLEGGIDGLQDLAKVQFMYLTNNSLSGPIPQWILSQDSRQDAAKCFLQCSKDWYSFHINCGGGDVVIGDTTYDADQDSTGFAKFVPTRENWVTSSTGVFWDRNKTLLDYTATNISLIEGNDPQLYQTARLSPLSLTYYGRCLANGNYTVKLHFAELVMRDNRSFQSLGRRIFDVYIQGERKLKDFDIEAIAQGVDKALVEQFQAVVKDKTLEVRFEYAGKGTTAVPSRGIYGPLISAISVESDFKPPRKRKTLIIAVAVASSLFLIITILCFAWWKIYIRKKTSQENELQNLDLRTGLFTLRQIKAATNNFDAANKIGEGGFGPVYKGTLLDGTIIAVKQLSSISRQGNHEFLNEIGMISCLQHPNLVKLYGCCVEGKQLLLVYEYMENNSLAHALFGPEEWIPKIDWPTRQRICVGIAKGLAFLHEESALKIVHRDIKATNVLLDKELNPKISDFGLAKLTDDGNTHIITRVAGTIGYMAPEYAMWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNENFVCLLDWALVLQRKGNLMELIDPRLGSDFNKEQAIRMIKVALLCTNPSPVLRPSMSAVVSMLEGHADILEYNFDLHEFNFEVMRDNYDEMQVGSSTSSNKVEFSL >itb14g05080.t1 pep chromosome:ASM357664v1:14:4375568:4382093:-1 gene:itb14g05080 transcript:itb14g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETYEEAIAGLKKLLSDKTELEGVAAAKIKQLTAELEAAAAGKTSDAVQTIRTGFAHFKGEKFEKNPDLYGQLATGQSPKFMVFACSDSRVCPSHVLNFQPGEAFVVRNIANMVPPYNPAKYSGAGAAIEYAVMHLKVENILVIGHSRCGGIKGLMHIPDDGTTHSEFIEEWVKIGMIAKSKVKAQCSDLGPDEQCELLEKEAVNVSLGNLLTYPFVREAVTKKTLALQGGHYDFVKGGFDVWNLNFSLSPSITV >itb09g09170.t1 pep chromosome:ASM357664v1:9:5492260:5492775:1 gene:itb09g09170 transcript:itb09g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDRKMKIPKCCVVVAFIFSLICFPAACSIINPHQDFVYCLSSKLRNNATSQVVYTPNSPSFLPILNFSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQIRTRSGGHDLEGLSYVSSLPFAILDLNKFRSIEVNVGEKSSWVQTGATLGEVYYTIANKS >itb05g22910.t1 pep chromosome:ASM357664v1:5:28194743:28195433:-1 gene:itb05g22910 transcript:itb05g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVFLLLTLFATACLAQSPTPAPKISPSATPTPSPTVAPPTPTPAPAPATPSPSPAPVTSPTPAPAPSTPETPAPAPEVSSPSPSVSSPPAPGPVGAAAPAPADQPSADNTPPPNGGSRVVIGGAALAGVLFAFALI >itb06g02970.t1 pep chromosome:ASM357664v1:6:5075105:5076263:1 gene:itb06g02970 transcript:itb06g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNYHRYLAEFKIGAECKEAVESTLSAYKGAQIGDENKGLQSITLSIAIAKRKENDIVCCYNVVTARGFLSITVTDSFDEMRMLSKWT >itb05g04100.t1 pep chromosome:ASM357664v1:5:3585394:3587091:1 gene:itb05g04100 transcript:itb05g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMNKKNKSHSHRQHVLQDDDGRRHGCNYHILPHLSDYLSDEEAKEDFISNLADDILSEILSLIPLDSAVKTAALSTRWSDFWGKTLTLNGSKEGMFCAVGDLVSNFDVECPFKHPRKLQYSYGSSGGLVLVASLGLKANLHLDFSKGKLDFPRDFGWHLILNTTTSHLHHSPQYSIRNLDLTSVNYLTSDAVSCVASNLGFIESLTIRKCEGLRSLRLEAASRLQNLTVIDCSRLKSLAIEAYELQTFRFRGPLCWFSLEGVESLEDVKLECREGPGFGHFKYQDYNALLLAMRDVKILTLSGWIFKEIMMPWLCNGHENFRFTKLKELWWIDSSEQNIEAAIMSFLQFSPSLQRLFITIDPSSFNAEPSRMASCCNKERKQAKLRHLKLVKLEGFADGEERIISLRERLVKGFNVEPRMVIATKGSHPRCLIRIPRHKGRGNPNNGASKKASYKFVEEIEDNAGLCSPHLHML >itb12g20210.t1 pep chromosome:ASM357664v1:12:22680648:22683458:-1 gene:itb12g20210 transcript:itb12g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MKTKKPLAALKLSVPTQETNISSFLTASGTFHDGDLLLNQKGLRLVSQENESQPSESKEIDLQFSLEDLETIKVIGKGSGGVVQLVRHKWAGTLFALKVIQMNIQEEIRKQIVQELKINQASQCPHVVVCYHSFYHNGAISLVFEYMDRGSLADVVRQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLANSMGQRDTFVGTYNYMAPERISGSTYDYKSDIWSLGMVILECAIGRFPYIQSENQQEWPSFYELLQAIVGSPPPAAPPDQFSPEFCSFVSACIQKDPRDRSSALDLLTHAFIKKFEDKDIDLSILVGSLEPPVNFPR >itb12g20210.t2 pep chromosome:ASM357664v1:12:22680648:22683010:-1 gene:itb12g20210 transcript:itb12g20210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MNIQEEIRKQIVQELKINQASQCPHVVVCYHSFYHNGAISLVFEYMDRGSLADVVRQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLANSMGQRDTFVGTYNYMAPERISGSTYDYKSDIWSLGMVILECAIGRFPYIQSENQQEWPSFYELLQAIVGSPPPAAPPDQFSPEFCSFVSACIQKDPRDRSSALDLLTHAFIKKFEDKDIDLSILVGSLEPPVNFPR >itb15g21880.t1 pep chromosome:ASM357664v1:15:24545777:24548281:-1 gene:itb15g21880 transcript:itb15g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCHILLCFAFHFLLLFSLPLETATEGRALLKWKNTLDFNTDVLHSWSTANLHNICWNWTGITCNNAGVVYKIKLNDFSLSGTLESLDFVSFPNLTRFSLYNNNFTGSIPYAIANLSHLVFLDLSSNRFVSFIPSEIGRLTELRVLNLGGNHLRGEGQAPDEKDDSEDFGMKFDWQSVAVGYCCGFIFGIGIGYFVFKYGKPLWLIRLVLGK >itb13g04780.t1 pep chromosome:ASM357664v1:13:5648374:5649021:1 gene:itb13g04780 transcript:itb13g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQLPAAAVSSLFLLLLMILTVTTLSDHRRRELPAKPADQTTTTELQHPRKSLSSSSFFPAPAPQLAAGPAYAASFRAVPGGPNPLHN >itb12g22610.t1 pep chromosome:ASM357664v1:12:24658656:24659594:1 gene:itb12g22610 transcript:itb12g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFMMKRAREEFDHHVTTVANGLMLLSAAAGDRQGDSYLTMSSGDLCGRVFECKTCNRQFSSFQALGGHRASHKRPRMMTAAAAGEAVALLPPSSPPKPKTHECSICGLEFAIGQALGGHMRRHRAVGNDAALSGGGGGGDSLALSPPGGVPVVKKPSSGRRVLCVDLNLTPLENDRLEFIKFGKMAGLMV >itb12g28410.t1 pep chromosome:ASM357664v1:12:28330599:28335016:-1 gene:itb12g28410 transcript:itb12g28410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSAGGWVPCDLRVVVGKYTIAQSYALKLHLDEIQSSVPWGVAYALRVVEGAIALWVGLVRSIAWHEFHKGSWGENTELCTPGIETCVKGRGLLVIAIRYCRSLLCSLRCSPSSSNAAARHRPDLTPPAPSPSNLHHPSSPANKTASHSRLLAIRCSSRRSPAPSPQGFWSPVDAARVPVSSCRRSPLAAATDSAIHYEAVRNLVSFEFEVNIIKNEDLEELKEIGLWNIWHCLSWQMERNRCCHQENKEKLLHWPIIRAREAGSGLNTLVKMKELQCTRALSCEEENQESFLAINFFLAVQPLNV >itb01g03140.t1 pep chromosome:ASM357664v1:1:2068565:2073112:1 gene:itb01g03140 transcript:itb01g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCSSLCVLMVGVLMVILPLHGVNGDSPYRFYTWKITYGDIYPLGVKQQGILINGQFPGPQIDCVTNDNLIISVYNYLSEPFLISWNGLQQRRNSWQDGVYGTTCPIPPGKNFTYMLQAKDQIGSYFYFPSLRIHKALGGYGAIRIYSRPLIPVPFPPPARDYTLLVGDWFKRSHRQLVYILDSGHNLPFPDGLIINGRGWNGYTFIVDPGKTYRFRISNVGLATSINFRIEGHTMKLIEVEGSHTLQTTFTNLDIHLGQSYSVLVTADQQPKDYYIVASSRFTSRVLTTTAVLHYSNSFVKVSGPPPRGPTTQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLIKPARTIMLANSAPYINGKQRYAVNGVSYVNPDTPLKLADYFNIGGVFNLGSIPDSPNGGGAYLGTSVMAADFRSYVEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTQASRTRYNLRDTVARCTVQVYPKSWTAIYMALDNVGMWNIRSEDWARQYLGQQFYLRVYSPTHSYRDELPIPKNALLCGRARGRHTRPF >itb02g21680.t2 pep chromosome:ASM357664v1:2:20663083:20665613:-1 gene:itb02g21680 transcript:itb02g21680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKANSAYEDLRAARILENKARLESLGLQKAISELRSIISSKPKSQKRKLIKIEYSSSPLRRSSRLEGKSCDYRPSVEVEDELMKKAKNGFTYQPSPDALSRRCSSKARGSVYDPVYGICCHFCRQKKLCGEEDCKHCGELDMDQLCIGKTDCSICHSSNGVLCRACLKVRYGEEMEEVRANKEWICPHCTEEKGINPYWICNSSLCLKKRKMVPTGIAIYRAREMGFKSVAHLIMDELQRRGAAHR >itb02g21680.t1 pep chromosome:ASM357664v1:2:20663083:20665613:-1 gene:itb02g21680 transcript:itb02g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRTKSEYEDLRKARISGNNVPLETLGLQKSIAELGSLAAKPKLERRKWRKIDCSSTPVRQSNRLKGKPCDYAPSVTVENGGFCPGKDSDSVLDPPVNVEMEEVKANSAYEDLRAARILENKARLESLGLQKAISELRSIISSKPKSQKRKLIKIEYSSSPLRRSSRLEGKSCDYRPSVEVEDELMKKAKNGFTYQPSPDALSRRCSSKARGSVYDPVYGICCHFCRQKKLCGEEDCKHCGELDMDQLCIGKTDCSICHSSNGVLCRACLKVRYGEEMEEVRANKEWICPHCTEEKGINPYWICNSSLCLKKRKMVPTGIAIYRAREMGFKSVAHLIMDELQRRGAAHR >itb10g18850.t1 pep chromosome:ASM357664v1:10:24657692:24660216:1 gene:itb10g18850 transcript:itb10g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIEKSTKPEELEKSSSSARPSDGGEAGDGPEEGEIVGDSNEIPNPDKGLTAKHPLENSWTFWFDNPSAKSKQTTWGSNIQPIYTFSTVEDFWSVYNNIHHPSKLSVGADFHCFKNKIEPKWEDPVCANGGKWTMTFQKGKSDTCWLYTLLAMIGEQFDHGDEICGAVVNVRARQEKIAVWTKNAANEAAQVSIGKQWKEFLDYKDTIGFIFHDDAKRLDRGAKNRYTV >itb11g12240.t1 pep chromosome:ASM357664v1:11:9113453:9116116:1 gene:itb11g12240 transcript:itb11g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNGGKYEYEAILERSFSRQDRKTMGWCATLAIFLVIFTFCIFFKPYITDGDEKNDAFPSPLSNLEVPIGESLNLQATVHDAINIAPQPYSKTKEVKPVCEVKRRRSDICDANGGDIRVIANSSTIFVVSNDHSNITSWNIRPYARKGDEAAMGSVRTLTVKQLHPSHQQIPPCTTKYDVPAVVFSTGGYAGNLFHDFTDLIIPLYLTSREFEGEVQFLVTDKRPRWINKFKEVLQTLSKHEIIDLDREKYGENVFCFPRIIVGLKANKEFSINNVSSDHNISMKNFTAFLRNAYNLKRDNIVTNEKLLQPRLLVIARNKTRRLLNANEVSAAAENMGFKVVVRETDSNMTKISKFVNGFDVMVGVHGAGLSNMVFLPENAVVVQIVGLGMDWIAKNDFEVPSLDMGLRYLGYKIGLNESSLKEKYPAGHEVLSNSSAIRVKGWKAFSAVYLQGQHVRVDVDRFRGTLLKALHLLHANN >itb07g19660.t1 pep chromosome:ASM357664v1:7:24131130:24131450:1 gene:itb07g19660 transcript:itb07g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTDERVWGSRTLNPSNPLVTNSSTIAAIVTLLGGPPAAVGIVRLSGSSAVSIVGRLFRSLKKKKKKKKKKKKRRKDDTSEWRPKSHVVEYGVVSDSRGNVIDEI >itb12g17950.t2 pep chromosome:ASM357664v1:12:20197620:20202284:1 gene:itb12g17950 transcript:itb12g17950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKRWCVTYTKHIKQKRKVYQDGFLELHSSSHKVILYDDCEKVLDSKFMKIEGDVKSGETLAFDSYLVDIGDLHGDKKSMVDENSEVRDKNIDMKPRSLHSHKVRNSTAIEDRKPNFGEKKALPSCLSPSQKVIKEFKKSEVRKYSTSPSCLDMTKSCEEEWQVLYTTQLTQKAKKFHDGFLRVLASGSQCKQAMLYDAAKRLLDSKFLKRNEIIMSGESITFDGFLVEIGEMEGNHKPPLDSKFQGRNINGGKIGVMRDQVHSQHNTPVEWDVMYTSQLSQKHKKYNSGVLRLSSCGSYQSQVTLLTEEGNILGRRFLKLSEHVKTGVTLNLPNYLVEVGEIHTIPEERQSGASSHKDIVSITKGFSVNNSKFSRSLSAKPLLESLGQAQKKSSMPEQVNLNSRSSSLDNSTLGTEVAKKPIRAAHEILSILKKPIISGRALRESASTEEIETKQSSDCARLDVKFGPKNDFHKTLCKESSIEHIDEETITVDTKSGRHTAAGLVLHQSLTGTLSKIDSESVVFRENPTGETPAAAYEPPSNLSKPSPIPHETSVEIGIQDGKEEEASGCLDMKREERSFKFAEEELQNCQEPKECQVKLVCHNESQLNAPESAGLCDTEDSFELDTNTIEEGELLSFDLGF >itb12g17950.t1 pep chromosome:ASM357664v1:12:20197462:20202590:1 gene:itb12g17950 transcript:itb12g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKRWCVTYTKHIKQKRKVYQDGFLELHSSSHKVILYDDCEKVLDSKFMKIEGDVKSGETLAFDSYLVDIGDLHGDKKSMVDENSEVRDKNIDMKPRSLHSHKVRNSTAIEDRKPNFGEKKALPSCLSPSQKVIKEFKKSEVRKYSTSPSCLDMTKSCEEEWQVLYTTQLTQKAKKFHDGFLRVLASGSQCKQAMLYDAAKRLLDSKFLKRNEIIMSGESITFDGFLVEIGEMEGNHKPPLDSKFQGRNINGGKIGVMRDQVHSQHNTPVEWDVMYTSQLSQKHKKYNSGVLRLSSCGSYQSQVTLLTEEGNILGRRFLKLSEHVKTGVTLNLPNYLVEVGEIHTIPEERQSGASSHKDIVSITKGFSVNNSKFSRSLSAKPLLESLGQAQKKSSMPEQVNLNSRSSSLDNSTLGTEVAKKPIRAAHEILSILKKPIISGRALRESASTEEIETKQSSDCARLDVKFGPKNDFHKTLCKESSIEHIDEETITVDTKSGRHTAAGLVLHQSLTGTLSKIDSESVVFRENPTGETPAAAYEPPSNLSKQPSPIPHETSVEIGIQDGKEEEASGCLDMKREERSFKFAEEELQNCQEPKECQVKLVCHNESQLNAPESAGLCDTEDSFELDTNTIEEGELLSFDLGF >itb01g29960.t2 pep chromosome:ASM357664v1:1:34019810:34021855:1 gene:itb01g29960 transcript:itb01g29960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSSGNMMTGNGSYPNMDLQGSIRVHHHQPQQVGLHQQQHHSLPRQGSMVRPPIHESFPLSIGGPQGCNKNISWNDYGRGEGVKSASDEEEPSFAEDAAEGYNDQNRGKKAPMWHRVKWTDQMVRLLITAVSYIGEEAAAEHSGRRKCSNLHKKGKWKLVSKVMAKRGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENPTLLDLMDHISEKTKEEVRKILSSKHLHYEEMCSYHNGNRLHLPPDPDLQRALRLALRSRDDHDDNDVRGHGQDNNYEDDQDDELDEHERDQCEENHNFNVHHRMYVVPAGGSGKRAKPNVNLGNSLNSQDCSMTFSFQTQNANGDAMQTLSDGVRGSASQKKWLDHRTLQLEEHKLHIQVQMLELEQERLKWQRFNRKKDHELEMMRMENGRMKLENERMAMELKWKKMLTDNS >itb01g29960.t1 pep chromosome:ASM357664v1:1:34019493:34021855:1 gene:itb01g29960 transcript:itb01g29960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSSGNMMTGNGSYPNMDLQGSIRVHHHQPQQVGLHQQQHHSLPRQGSMVRPPIHESFPLSIGGPQGCNKNISWNDYGRGEGVKSASDEEEPSFAEDAAEGYNDQNRGKKAPMWHRVKWTDQMVRLLITAVSYIGEEAAAEHSGRRKCSNLHKKGKWKLVSKVMAKRGHFVSPQQCEDKFNDLNKRYKRLNEILGRGTSCEVVENPTLLDLMDHISEKTKEEVRKILSSKHLHYEEMCSYHNGNRLHLPPDPDLQRALRLALRSRDDHDDNDVRGHGQDNNYEDDQDDELDEHERDQCEENHNFNVHHRMYVVPAGGSGKRAKPNVNLGNSLNSQDCSMTFSFQTQNANGDAMQTLSDGVRGSASQKKWLDHRTLQLEEHKLHIQVQMLELEQERLKWQRFNRKKDHELEMMRMENGRMKLENERMAMELKWKKMLTDNS >itb10g17160.t1 pep chromosome:ASM357664v1:10:23392412:23397211:-1 gene:itb10g17160 transcript:itb10g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSKGQGYHFPPCHILNICGFQVLFDCPLDLSALSVFSPLPTDPPSLLDRQIVPQTGKCLDATSLIHSEPWYKTVERLNLFNTSFIDVVLITSPMGLLGLPYLTRDKDFSAKIYATEAAARLGQLIMEDLVAIHMELRQFYGAQESAFPEWVNWEKLELLPLELKEIVLGKHGIDLGGWMPLYSAAEVKSCIQKVQSLKYAEETFYSGTLSLKALSSGLEIGACNWTIVSPKGSITYLSGSVFASATAMSFDYFSLQKSDILLYSGYAPSDVDNVDGDNSRCTPPCNDFSNSSGSDFSLEVTAKYLLDSSEYTEEMEKIDFLCSCVLDSVNAGGSVLIPIGRPGIMLQLLENVGLLLESSNLKVPIFFISSVAKELLAFSNVIPEWLCKQKQDRLYAGESLFSHVELLNGKRLQLFPAIHSPELLTSWQEPCIIFCPHWSLRLGPVVHLLRRWCADPNSLLVMEEGADANLSFLPFKPMAMKVLQCTFLSGINLKKAPYLLKALQPKHVLLPETLRSHFSHLNHTYSFSYFSEKEALVIPKLKQGSDLHLGVDLVSRLLHCTKPMQEDKEIARLKGELVIDRGKYQLVIGNDQIISTKTRPVVYWGKTNPDLLVAALQKMGIKATIEQAGPESASTIHVSEPNEALIEVTAERTVIFTADENFASRISESVCSILDGI >itb04g24730.t1 pep chromosome:ASM357664v1:4:29467603:29469360:-1 gene:itb04g24730 transcript:itb04g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKTVKLTPKGKNPVTMDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >itb04g08170.t1 pep chromosome:ASM357664v1:4:5775022:5780047:-1 gene:itb04g08170 transcript:itb04g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFAISNISSGSNRLWVHFSVLCFVSCYALYLLYKECSYILLKRIQQLRNKRQYPNQFTILVRRIPFCQEHKVRGCCIDHFFTKHHPYSYQSYQILYDGKELENLVNKAKSAAKRIEDLKVKQSTNKRSGKSFLSGACRKKDKIEKLEQMLQELHSKIRHVRRKMMLQEKELPVAFVTFRSRWGAVIAAQSQQHSNPLLWITEMAPEPRDVLWRNLAIPYRILPLYEIVILVAVVFLTLFFAIPVTAVQGIAKYERLSKWFPPVKALELIPGLKSVVTGYLPSAILNGFIYIIPFAMIGLSRLAGYITRSKKDMKACKMVFYFLVGNVFFLSLLSGSLLDQIGQSFSQPKYIPSRLASAVSAQADFFMTYILTNGLSGFSLEILQPGLLIWDAIKPSTWDRGKERNPYLYSLPYYRIIPFVALCTLIGIVYAVVSPLLLPFLVGYFLLGYVVVTNQIEDVYITSYETHGQFWPCIHHYIIVALVIMQITMIGLFGLKSKPSASFATIPLMILTLFFNEYCKIRFYPSFRHLSVQASSGVGQVSQAGAMDADPASNPQTQPIFTIAIALPQPSPIAVTVALPPPESSFFLLLSSSSFFSIFFGFLHLRLFVFLWIWVINCQTATSLVTNISGQWAGQGAVG >itb03g15710.t1 pep chromosome:ASM357664v1:3:14971208:14974095:-1 gene:itb03g15710 transcript:itb03g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHHSLQKLKKLASLSRRVQRMRIMASWVRGVVLVALAALICPEPVESVVRHYNFRVVSKNTTRMCSSKPIVVVNGKFPGPSLYAREDDTVIVRVVNHVQENVSIHWHGVRQLRTGWSDGPAYITQCPIQTGQTFIYNFTLTGQRGTLLWHAHINWQRATVHGAIVILPKRGVPYPFPKPDKEEVIVLGEWWKSDVEAVINQAMQSGMAPNVSDAHTINGHPGPTPNCSSKGYTLEVESGKTYLLRIVSAAVNEELFFKVAGHNLTVVEVDASYVKPFKTDNIFIGPGQTTNALLTADQNAGKYLIAISPFMDTIVATDNQTAKGTLHYKGTQALTSTILTAMPPRNATPVTSTFMEGLKSLNSKKFPANVPLQTDHSLLFAIGVGINPCSTCLNGSRAVADINNISFVMPTTALLQAHYYNISGVFTDDFPGQPAIPFNYTGTPPANLQTQNGTKVYRLAFNATVQVVFQGTSMIAPESHPTHLHGFNFFVVGKGVGNFDPQNDPKNFNLVDPVERNTISVPTAGWTAIRFRADNPGVWFLHCHLEVHTTWGLKMAFVVDNGKGPNESILPPPSDLPSC >itb05g14720.t4 pep chromosome:ASM357664v1:5:22008539:22013475:1 gene:itb05g14720 transcript:itb05g14720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMDYFVEVEQDECHKPSEIDLDYEFDAARFFDFSHSETIFEIIEAELWFHASGNYPPSPLIIKTNWGSGTSSEQKTAGTSSDSSMSQETPESKSKSAPKLSKHRISTLMKPTASHLAKLNHAQKVYSTHIGERSLKAANKSDEQSLQSSSGFDNLATKRQKLETGYLCKVSHLKHQAQMLHKLSKKGGFPVSNNLVNYKLKVTVPKQPELKTLHRAQIRSFRSKSDLQSSEHEKQKTNTLKAVPLNKKIPEACLKPQPKKSKQKLPNFREFHLKTMERATIHSPDNLSSHHTANSVVQSTATYPRRPKAPSPMKPEKSERSPQTNSGLSNRKLSYGEHHAHAVCQNTREGTTLHRQSQSSNNLRLLQHPPTELFNKMSLVPDTDTAAAAISQPKLRLSGKGMKENAPDPSRNGSSKYGGKPNHSGIGRTTSKIVCHPSVNRSH >itb05g14720.t2 pep chromosome:ASM357664v1:5:22008435:22013587:1 gene:itb05g14720 transcript:itb05g14720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMDYFVEVEQDECHKPSEIDLDYEFDAARFFDFSHSETIFEIIEAELWFHASGNYPPSPLIIKTNWGSGTSSEQKTAGTSSDSSMSQETPESKSKSAPKLSKHRISTLMKPTASHLAKLNHAQKVYSTHIGERSLKAANKSDEQSLQSSSGFDNLATKRQKLETGYLCKVSHLKHQAQMLHKLSKKQGGFPVSNNLVNYKLKVTVPKQPELKTLHRAQIRRSKSDLQSSEHEKQKTNTLKAVPLNKKIPEACLKPQPKKSKQKLPNFREFHLKTMERATIHSPDNLSSHHTANSVVQSTATYPRRPKAPSPMKPEKSERSPQTNSGLSNRKLSYGEHHAHAVCQNTREGTTLHRVKHYFICFLLVLLSIALNSFTLLQQSQSSNNLRLLQHPPTELFNKMSLVPDTDTAAAAISQPKLRLSGKGMKENAPDPSRNGSSKYGGKPNHSGIGRTTSKIVCHPSVNRSH >itb05g14720.t1 pep chromosome:ASM357664v1:5:22008435:22013587:1 gene:itb05g14720 transcript:itb05g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMDYFVEVEQDECHKPSEIDLDYEFDAARFFDFSHSETIFEIIEAELWFHASGNYPPSPLIIKTNWGSGTSSEQKTAGTSSDSSMSQETPESKSKSAPKLSKHRISTLMKPTASHLAKLNHAQKVYSTHIGERSLKAANKSDEQSLQSSSGFDNLATKRQKLETGYLCKVSHLKHQAQMLHKLSKKQGGFPVSNNLVNYKLKVTVPKQPELKTLHRAQIRRSKSDLQSSEHEKQKTNTLKAVPLNKKIPEACLKPQPKKSKQKLPNFREFHLKTMERATIHSPDNLSSHHTANSVVQSTATYPRRPKAPSPMKPEKSERSPQTNSGLSNRKLSYGEHHAHAVCQNTREGTTLHRQSQSSNNLRLLQHPPTELFNKMSLVPDTDTAAAAISQPKLRLSGKGMKENAPDPSRNGSSVSKQYQQFQDSFLLKKSNYFLYCYSQMQKYGGKPNHSGIGRTTSKIVCHPSVNRSH >itb05g14720.t3 pep chromosome:ASM357664v1:5:22008539:22013475:1 gene:itb05g14720 transcript:itb05g14720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMDYFVEVEQDECHKPSEIDLDYEFDAARFFDFSHSETIFEIIEAELWFHASGNYPPSPLIIKTNWGSGTSSEQKTAGTSSDSSMSQETPESKSKSAPKLSKHRISTLMKPTASHLAKLNHAQKVYSTHIGERSLKAANKSDEQSLQSSSGFDNLATKRQKLETGYLCKVSHLKHQAQMLHKLSKKGGFPVSNNLVNYKLKVTVPKQPELKTLHRAQIRRSKSDLQSSEHEKQKTNTLKAVPLNKKEFHLKTMERATIHSPDNLSSHHTANSVVQSTATYPRRPKAPSPMKPEKSERSPQTNSGLSNRKLSYGEHHAHAVCQNTREGTTLHRQSQSSNNLRLLQHPPTELFNKMSLVPDTDTAAAAISQPKLRLSGKGMKENAPDPSRNGSSKYGGKPNHSGIGRTTSKIVCHPSVNRSH >itb12g18700.t1 pep chromosome:ASM357664v1:12:20936806:20941643:-1 gene:itb12g18700 transcript:itb12g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPRSDAFPEERRDNDAGAVFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTAMGVVTFYSYYLMSLVLEHCEKSGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGISIGAILLAGECIQIMYSNLSPHGSLKLYDFIAIVTGVQIVLSQFPSFHSLRHINLVSLFLSLGYTFLVVGACIHAGMSKNAPSKDYSLETSKLSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMMKGLTMCYSVILVTFYSAAVSGYWTFGNKSNSNILKSLMPDDGPSLAPTWVLGLGVIFVLLQLFAIGLVYSQVAYEIMETKSADVNQGMFSWRNLIPRIILRSLYMALCGFLAAMLPFFGDINGVVGAIGFIPLDFILPMLLYNMTFKPSKSSLTLWINSLIIIVFTGAGLLGAFSSVRKLILDANKFKLFSSDVVD >itb03g25010.t1 pep chromosome:ASM357664v1:3:23979898:23980400:-1 gene:itb03g25010 transcript:itb03g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPSREKSVNHAESEVRPVLEPVGKKARSLELWKPVEKLKSNKVDKSSESDDGKKSSVIPPASAAEGGDQLSKPRFQVAMSKKCGSVELGIDGQIALHVAACQGHRDVVELLLGNGVEVNVEDSWGSTVIT >itb07g18360.t1 pep chromosome:ASM357664v1:7:22789453:22791980:-1 gene:itb07g18360 transcript:itb07g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTAAVDGGDPAAELKKELQKVVKVIVSEDGINVGAIDRAQQALCSLRDLNAKQQRSSFAVPDEFRCPLSKELMKDPVVVATGQTYDRSFIQKWLKSGNRTCPRTQQVLSHTILTPNQLIRDMISQWCKINGIQLPDPVHNLDEEGLTEADRHHFLSLLEKMSSTLSEQRDAARELRMLTKSVPSFRALFGESENAIAQLMYPLSTSKSESEVQPQLQEDIITTLLNLSIHDSNKKLVAETPMVIPLLMDALRSGTVGTRGNAAAALFTLSALDSNKALIGKSGALKPLISLLEEGHPLAMKDAASAIFNLCFLHENKIRAVRDGAVSVILEKIANKVHVDELLAILAMLSNNQKAVEEMGELGAVPCLLNLIRETSCARNKENCIAVLYTICFSDRTKCKEIREEENKYRTISQLAQTGTSRAKRKASSILDRLNRAFNITHTA >itb07g05290.t1 pep chromosome:ASM357664v1:7:3575686:3579543:-1 gene:itb07g05290 transcript:itb07g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPFASDLYLPKHSHSYLPFPTPKPQIPFPRCRKISKNRGSKCAAIAEKVGGDGESVILGLTSQELKDERVVVEYNWAEEWYPLYLAKNVPDDAPLGLTVFDKQVVLYRDGSGVLRCFEDRCPHRLAKLSEGQLFDGKLECLYHGWQFDGDGKCVKIPQLPEDAKIPRSACTKPYEIRDSQGVIWIWMSHKTPPKPEKIPWFENFERPGFRDISTTHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAGPLFFEVTERTGRGFAGWWGRKSDQGKPNYIPNFLRFEAPCVLQNNREIIDENGTKDYFSGLFLCRPSGQGKSMLIVRFGNTRKRTGLLKIIPEWFFHQNAGKVFEQDMGFLSSQNETLMKERVPTKELYLNLRSSDTWVAEYRKWMDKVGHGMPYYFGHSSISLPEQPAIVEHAPAGLVASLSASQPAKGGIGTMHAPNFSNRYFRHVIHCKSCSSAIKSFETWKNTLAAAALVSTAVAILVSGRQWKAAFLLSTSLCLAGVYACSTAIAMNTTNFIRTHRRL >itb15g00050.t2 pep chromosome:ASM357664v1:15:50437:53000:1 gene:itb15g00050 transcript:itb15g00050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACESDSKMGAVIYNPFINDSLGDDELRAVLARLGSDKDKENFGLVCKRWLRLQSTERKKLCARAGPFMLCRLAARFTRLRELDLSQSVSRSFFPGVTDDDLSVIATAFSCLQILNLQNCKGITDVGMRAIGSGLSSLQSLDVSYCRKLTDKGLSAVAGGCHDLRALHLAGCRFVSDALLKALSENCHNLEELALQGCTNITDSGITVLVEGCHRMKHLDINKCSNIGNTGIFDVSRVCSATLETLKMLDCYRVGDESISNVAKYCKNLETLIIGGCRDISDESMILLADACNNSLRRLRMDWCLNISDASLDSILMRCRKLEVLDIGCCEEVTDAAFRQLGNEGCKLALKILKVSNCSKITVAGIDKLLKSCNSLEYLDVRSCPHITKAECEEAGLQFPECCSVIFNGNLGEQDVLI >itb15g00050.t1 pep chromosome:ASM357664v1:15:48712:53000:1 gene:itb15g00050 transcript:itb15g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVIYNPFINDSLGDDELRAVLARLGSDKDKENFGLVCKRWLRLQSTERKKLCARAGPFMLCRLAARFTRLRELDLSQSVSRSFFPGVTDDDLSVIATAFSCLQILNLQNCKGITDVGMRAIGSGLSSLQSLDVSYCRKLTDKGLSAVAGGCHDLRALHLAGCRFVSDALLKALSENCHNLEELALQGCTNITDSGITVLVEGCHRMKHLDINKCSNIGNTGIFDVSRVCSATLETLKMLDCYRVGDESISNVAKYCKNLETLIIGGCRDISDESMILLADACNNSLRRLRMDWCLNISDASLDSILMRCRKLEVLDIGCCEEVTDAAFRQLGNEGCKLALKILKVSNCSKITVAGIDKLLKSCNSLEYLDVRSCPHITKAECEEAGLQFPECCSVIFNGNLGEQDVLI >itb04g28150.t2 pep chromosome:ASM357664v1:4:31979307:31982165:-1 gene:itb04g28150 transcript:itb04g28150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDYESYPPLFRPSSVNPNHGGVEKESPEMEAAEGDGVPIIDFERMREDEEKVKEACREWGFFRLVNHGIPESLMSQMLESARELFSMAYEAKQAAFNSAAGISYFLGTAGLTASGAALASSNLNWMEGLNIPLSKVPELHYEDPMLESFRSLVEEYGKHQTKVGKAIYEALWRKLELETSNLSKYEESTKYFSEDTEILRVYRYPRCLEANRAWGVEEHTDSSLLSILHQDLVGGLQVYKDHQWIQVNPIPNTLIVNIGDMIQVSSLICLFFLLRKK >itb04g28150.t1 pep chromosome:ASM357664v1:4:31979307:31982165:-1 gene:itb04g28150 transcript:itb04g28150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDYESYPPLFRPSSVNPNHGGVEKESPEMEAAEGDGVPIIDFERMREDEEKVKEACREWGFFRLVNHGIPESLMSQMLESARELFSMAYEAKQAAFNSAAGISYFLGTAGLTASGAALASSNLNWMEGLNIPLSKVPELHYEDPMLESFRSLVEEYGKHQTKVGKAIYEALWRKLELETSNLSKYEESTKYFSEDTEILRVYRYPRCLEANRAWGVEEHTDSSLLSILHQDLVGGLQVYKDHQWIQVNPIPNTLIVNIGDMIQAISDDKYVSVKHRVKVNKENERISMGYFVFPGDDVVIESSKYKPFTYAQFRAQVQHELKSVGFKIGLHNFKRP >itb15g09520.t1 pep chromosome:ASM357664v1:15:6783192:6784024:1 gene:itb15g09520 transcript:itb15g09520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITDYGGAPPPLYGSYPSNFPNGFGSYPSNFSNGFTSVPVNRPQFYTQVWQEEYSEEEVDLAVQVNGDSENNALHKCYKFLEHWFHKFYGNFKEFVYDFKQFLADWFAQFFHNFVIFLNDFKHFLYNLEHYIDRSTVFIEHCTEFINSLNRRLQEILIIVIVIIIIIIVNVVRALNFEEAVPQMVANTEESGVKKCRNCANYVTPKS >itb12g10780.t2 pep chromosome:ASM357664v1:12:8864463:8868538:1 gene:itb12g10780 transcript:itb12g10780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIKLRKPSSESGGAAKPLPVDSITVACPDHLVLADLPVAKSLGLASSASISKAVGRKSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSLCYLCDERIQKIQTIKLMEGIFICAAPHCLKSFIKKNEFEAHIHGNHADLLHPNAEKDGNVSDTANARKPAASDSTVQAPPRSAFSPTPGSQVHDREDKASHMPSKLTPPSAGQIQSHQTENQGDSNPPPGFERSGPQNQFPQETFETQGGMRQEPGQFQDKQQGVVGASPFPEYPINVPQPHGFMPVNPNPVLAPPQFGYPHFVPDGAQPYYGAPYDMRRPDSAAEAGSEQGSLLGFPPGPAGAANYAEAYPRPWNMGQAVGPFDSSAVHGFMEGFMNAPDPQGRNTFFQGNSAGLPPNMPPASSSSANKGLETGQGYSMDPRDGKSVLAPQPMPLPPPPPMPPHMHQLQRGRSYSGDTES >itb12g10780.t1 pep chromosome:ASM357664v1:12:8864456:8868538:1 gene:itb12g10780 transcript:itb12g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIKLRKPSSESGGAAKPLPVDSITVACPDHLVLADLPVAKSLGLASSASISKAVGRKSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSLCYLCDERIQKIQTIKLMEGIFICAAPHCLKSFIKKNEFEAHIHGNHADLLHPNAEKDGNVSDTANARKPAASDSTVQAPPRSAFSPTPGSQVHDREDKASHMPSKLTPPSAGQIQSHQTENQGDSNPPPGFERSGPQNQFPQETFETQGGMRQEPGQFQDKQQGVVGASPFPEYPINVPQPHGFMPVNPNPVLAPPQFGYPHFVPDGAQPYYGAPYDMRRPDSAAEAGSEQGSLLGFPPGPAGAANYAEAYPRPWNMGQAVGPFDSSAVHGFMEGFMNAPDPQGRNTFFQGNSAGLPPNMPPASSSSANKGLETGQGYSMDPRDGKSVLAPQPMPLPPPPPMPPHMHQLQRGRSYSGDTES >itb02g23650.t1 pep chromosome:ASM357664v1:2:23778941:23782580:1 gene:itb02g23650 transcript:itb02g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKANCGFNIDGMASAIPPTNPSSMSIKLGVIRGSEGLMTFGRSLKCGVTRVVFPEDLKVSEKMIFDPQDKSLLFWNRLLVISCIFSVAVDPLFLYLPVFKSDEDHCLHIDSSLAYTTTTLRTIIDSFYLIRIILQFRTAYIAPSSRVLGRGELVIDPKEIASRYIHRYFIVDLLSILPLPQIVVLRFLNGSRGSDVVGTKRALVLLVILQSIPRFFRFLPLTSDLKKTSGVFAETAWLGAAYYMLWFMLASHIFGAYWYLLAVERKNFCWQKACLDSADCSPSYSLLFCSLDRDKTANLTDWRQITEDVLHKNCVADDDSPFNYGIYAQAVSSGILDSEKFIPKYCYCLWWGLQNLSTLGQGLETSTYPLEVLFSIALAIIGLLLVSILIGNMQTYLQSITVRLEEMRIKRRDSEQWMHHRVLPPELRERVRRYDQYKWLETRGVDEESLVQNLPVDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLFTENTYIVREGDPVDEMLFVIRGRMESVTTDGGRSGFFNRGILKDSDFCGEELLTWALDPKAGANLPPSTRTVKALTEVEAFALIADELKFITTQFRRIHSRQVQHTFRFYSQQWRTWASMYIQVAWRRYTRRKNVELRHSEAGYDDEDDDNDETTLNPGISSSSSSSFSNRSIVATMYASRFAANALSKVRKFRSSSLIMKPPKPPEPDFDKEDLTNDQRFI >itb04g15290.t1 pep chromosome:ASM357664v1:4:16539188:16540970:1 gene:itb04g15290 transcript:itb04g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCGANPKANEAARRETDATGQTDAIAIAPRVRLLSPENQLHRHRLSYRRRHRHRRAAPPLPLDDADAGRSRTGAGDRQQSPPLRRRDRRKDRNGRLNSDQDADR >itb05g03150.t1 pep chromosome:ASM357664v1:5:2578108:2579109:-1 gene:itb05g03150 transcript:itb05g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTTTLPPQLLADNVRKRRGRRLVETQSRAKLTASLPAREEESEDDDGGRCPVTAIDQGRRPPLKVRRSSVRHWFPIVVHAGEENPGGVQPHLVANHHAVFDRQAHRHPACLSAGGEETLEMSCYRLAARRSFTHRPPARLPSKTTTSDLLVNALSKTPAVLAWRRCHAEGGHHRIYRSYGVRRW >itb10g17260.t1 pep chromosome:ASM357664v1:10:23473156:23473578:1 gene:itb10g17260 transcript:itb10g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEEEKQLTQSSPDASSLPIYTLTYRSRERKPRDLDRENRSLIHSFPFSTPQPQTTVLPRLRSLTASALHALRPRSSVLTSSDGQTLRSHCTDSATLRFCLTETL >itb15g22360.t1 pep chromosome:ASM357664v1:15:25114397:25122881:-1 gene:itb15g22360 transcript:itb15g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPANAQRSPSPSQPSGKGEVSDLKMQLRQLAGSRAPGADDSKRELFKKVISYMTIGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKNNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNGYVRTVAAIGVLKLYHISASTCVDADFPTLLKQLMLNDPDPQVVANCLSALQEIWSLEGSKSEEASRDREILLSKPVIYFLLNRIKEFNEWAQCIVIELVTKYVPSDSNEIFDMMNLLEDRLQHANGAVVLATIKLFLQLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPFYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDHVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKAKAALIWMLGEYAQDMQDAPYILESLIENWEEEHSAEVRLHLLTAVMKCFLRRPPETQMALGAALAAGINDFHQDVHDRALFYYRLLQYNVSLAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLAVVYQKPSYMFTDKEHRGPFAFSEELGNLSIGEESNDNIASAQTVEANDKELLLSTSESEETKGPSNNGSEYSAPQAQMDLVSLDYKTTTNAPSASFAIDDLLGLGLPTESTPAPPPPPPALSLNPKAVLAANVFQQKWRQLPISISQEIPMNPQGVAALTTTQALIRHMQANSINCIASGGQAPNFKFFFFAQNANDECTYLVECITNSASCKAQVKIKADDQSTSQAFLELFQSTLSKFGLS >itb15g22360.t2 pep chromosome:ASM357664v1:15:25114749:25122692:-1 gene:itb15g22360 transcript:itb15g22360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPANAQRSPSPSQPSGKGEVSDLKMQLRQLAGSRAPGADDSKRELFKKVISYMTIGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKNNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNGYVRTVAAIGVLKLYHISASTCVDADFPTLLKQLMLNDPDPQVVANCLSALQEIWSLEGSKSEEASRDREILLSKPVIYFLLNRIKEFNEWAQCIVIELVTKYVPSDSNEIFDMMNLLEDRLQHANGAVVLATIKLFLQLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPFYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDHVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKAKAALIWMLGEYAQDMQDAPYILESLIENWEEEHSAEVRLHLLTAVMKCFLRRPPETQMALGAALAAGINDFHQDVHDRALFYYRLLQYNVSLAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLAVVYQKPSYMFTDKEHRGPFAFSEELGNLSIGEESNDNIASAQTVEANDKELLLSTSESEETKGPSNNGSEYSAPQAQMDLVSLDYKTTTNAPSASFAIDDLLGLGLPTESTPAPPPPPPALSLNPKAVLAANVFQQKWRQLPISISQEIPMNPQGVAALTTTQALIRHMQANSINCIASGGQAPNFKFFFFAQNANDECTYLVECITNSASCKAQVKIKADDQSTSQAFLELFQSTLSKFGLS >itb01g13160.t1 pep chromosome:ASM357664v1:1:13163077:13166315:1 gene:itb01g13160 transcript:itb01g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPVRTFSVFFQRHQTSATVVVNQGRRGAAAGSPSCSTAIAQPSFGQAACDSDCIKGFIVAHNAARETVGAPPVKWNTTLADFAESYASKRSTDCAAQHSHGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWHDTTSIGCARATCKTGWMFVTCNYYPPGNYVGECPY >itb03g18260.t1 pep chromosome:ASM357664v1:3:16580049:16584767:1 gene:itb03g18260 transcript:itb03g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLRSREVVPTPKSVDSLKKRKKEVVEPSTPEKSVEALSNLPGETTPLTGSSRKRSVPPDAVPRRSLRLAAKFSNAFSDISTASNGCDVGNLKDGDGIEKGGLGLEEKGDKIMGEVGKHKLVSVVEELKIRRDTVLNNCGDHSREPVECSKEGSRMKRRRMEVDTIRLKAQTEVDTSKRFLSLRSGKKIMKEVEVNNGGSVFVTGGIEGGQKSSPGKGSEDVPKGSGAPEVLQLISSAVTNLKLNATMDGEGNLEKKRLTVEEKGKGKVAERTLLMASSSVRFEVDLSNNNKDVTGVNATRRLSRNEKGKGVVIDNDLSNDFCTLNKDSGSKVETSHAAEHEATQHGEQIKESTVNVTNIERGYRKQFRDIAKRSAPRFAHFSSQEEGNLVDEAVRDTQPPKEVLGDWPGPFSTAMKIIQDREKNMDKQTKTGSVPESMVAPLLWNPKKDTQPNQRRKIIPSLRDLCMHVLVKNADGITSLDCVPDVLRHKLCNLLCDSRKMNCQFLGLLTSGSPTEIRLTDCSWLTEELFINSFERCGTSNLAVLQLDQCGRCLPDFTLLATLARLPNSLPALTTISLKGACRLSDVGLSALVSSAPSLRSINLSQCSLLSSNGIKCLSDSLGSILKELYLDDCEAIDCSLVLPFLLKLEHLEVLSVAGIPKVCDDFVIEFVTQRGHNMRELVLKDCKKLTDRSVKAVAESCPRLCAIDLSNLFGLTDYSVGYLANGCRAIHKLKLCRNAFSDEAIAAYLETSGKWLKELSLNNIKKVSHNTAMSLAEHCRNLVSLDVSWCRNLTDEALGLIADSCLSLEVLKVFGCTQITEVFLDGHSNPQVKIIGRKLTPVMEHLSLADPLQQDVCNKMVETFFKTNPVDDAISLADANQSYCCTLRNLSHTVTF >itb04g28310.t1 pep chromosome:ASM357664v1:4:32074537:32082415:-1 gene:itb04g28310 transcript:itb04g28310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) UniProtKB/TrEMBL;Acc:F4ILN8] MALFGKDVDPAFQGAGAKPGLDIWGVENLQLVPVPKSLHGKFYSGSAYLVLHTMLLKTGICQHDIHYWIGSNAKQVDSELVSDKALELDAALGSYTVQYREVQGEETEKFLSYFKPCIIPIEGTFSRGQANEKSKAYPISMLTCKGDHVVHVKEVPFTRSSLNHDDVFILDTASKIFIFSGCNSSIQERAKALEVVQYIRENKHNGNCDIATIDDGKLVGDPDVGEFWSLFGGYAPIPKGLPSGVEEATENPLLKLFWINTQGKLCEIGSGALNKEMLDSNKCYMLDCNTELFLWMGKCTSVTERKTSISAAEEILRSQGRSDCTHLTFLTEGTETALFRSNFRDWPQFVQPKLYEEGREKVAAIFKHQGYEVKELPDADEDDHQVIDLSGKLKVWRISCDDRTLIPSVEQSKLYSGDCYVIQYTFHNNGREENLFYTWLGRQSVAEDRVDAISHMIDLADSTKSDTVMAQIFEGNEPSLFFLIFKALIVFKGGMSTRYKKFLDEKGIEDDTFDDNKIALFRIQGTSPCNMQAFQVDHVSSSLNSSYCYILRMDTSTFTWVGNLASSRDHDLLDRLLEMINQMWQPVTVREGNEPDAFWDALGGKSEYSKEKEIKTYMEDPQLFVCVFTKDDVKVKEIFNFSQDDLTTEDVLVLNCHREIYVWIGRNSSINSKREAIDFVPKVLGKETPIGKLSPDIPIYIINEGCEPPFFTQCFEWDSSKANMLGNSFERKLAILKGKHQKLEAPVRSSWKPFSMETTPTNSRSSSVSANGHRRSLSPASSISGSTAKSSESIRRIPSPASVTRMLFPGSEHHNGNGLSVPTGKATTGIPKSSQIEADANLPKYPYEQLTVVSKNPASDIDITRREAHLSDEEFQGKFGMARSSFYGLPRWKQNKLKMILHLF >itb05g03070.t1 pep chromosome:ASM357664v1:5:2461268:2464079:1 gene:itb05g03070 transcript:itb05g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGHILIVPFPLQGHINSMLNLAHLLDLSNFHVTFLLTADTHARLLAHSDVDSGRFSPRFRLHTFPAGIHGDRTGSQEELAEMFYSLVKIGEPFLRELIARTGDSGPPFTCLISDLLEISLNISRDLGLPVYYFRTIGASALWAYFSVPDLIQANELPIKENGMDSLVTKVKGMEGFLRARDLPSLCRADEMTGPVFKLVCTQPQLVHQLHAIILNTFEGLEGPILSHIRAKYPKVYTIGPLHASLKARLQLKQASSNSLLQEDRSCIAWLDSQEPKSVIYVSFGSISVITRQQLMEFWYGLVNSGKKFLWVMRPDLVVAKDGETPIPLELEEGTKANGYMVGWAPQEEVLEHPAVGGFLTHCGWNSTLESIVAGVPMICWPFFADQQTNSRFVGEVWKVGLDMKDVCDRSSVENMIRELMDKRKGELLKRVEDMGKLAKEAITEGGSSYCNLDCLIQDIIKLNVSVRKTI >itb10g04290.t1 pep chromosome:ASM357664v1:10:4102171:4103415:-1 gene:itb10g04290 transcript:itb10g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSSFAGKAVKVSPSTPEITGNAKFSMRKTAGKPKVVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb07g16250.t1 pep chromosome:ASM357664v1:7:19836899:19841621:-1 gene:itb07g16250 transcript:itb07g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDMPRVKLGSQGLEVSKLGYGCMGLTGIYNNPVPEEEGIAIIKEAFSKGVTLFDTSDIYGANHANEYLVAKALKHLPREKVQLATKFGVCKIDLTEVVVKGTPEYVRSCCEASLKRLQVDYIDLYYIHRIDTTVPIEETMRELKKLVEEGKIKYIGLSEAHPDTVRRAHAVHPITALQQEYSLWTRDIEDDIIPLCRELGIGLVPYSPVGRGLFGGKAVVESLPTNSFLEKHPRFTGDNIEKNKSIYFRLEELAKKHGCSPAQLAIAWVLNQGDNFVPIPGTTKMKNLHDNIDSVKLKLTKEELKEICDAVPISEVAGQRIGEAFYKTTYRYGITPPLKQ >itb07g16250.t2 pep chromosome:ASM357664v1:7:19838293:19841621:-1 gene:itb07g16250 transcript:itb07g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDMPRVKLGSQGLEVSKLGYGCMGLTGIYNNPVPEEEGIAIIKEAFSKGVTLFDTSDIYGANHANEYLVAKALKHLPREKVQLATKFGVCKIDLTEVVVKGTPEYVRSCCEASLKRLQVDYIDLYYIHRIDTTVPIEETMRELKKLVEEGKIKYIGLSEAHPDTVRRAHAVHPITALQQEYSLWTRDIEDDIIPLCRELGIGLVPYSPVGRGLFGGKAVVESLPTNSFLEKHPRFTGDNIEKNKSIYFRLEELAKKHGCSPAQLAIAWVLNQGDNFVPIPGRSPSSLFHFFFLVKVKPPNYF >itb07g02270.t1 pep chromosome:ASM357664v1:7:1423296:1424310:-1 gene:itb07g02270 transcript:itb07g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVPSEIGKLLRLRSLNLFANALTGELAGVNLSSCVRLEQIVISRNGLHGRLPVRLSSLKKLRSLRLGRNHFTGGIPPSFGNLSSLQFLGLDENHLGGSVPNEISELWGLNILLLDANNLTGTLSSSLFNITSVRVISITDNSL >itb15g00190.t1 pep chromosome:ASM357664v1:15:124257:126950:1 gene:itb15g00190 transcript:itb15g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MVMKRGRRCGGGVAILILLLSLLVCVVGAAGFNYSDALDKALLFLEAQRSGKLPPDQRVNWRSHSGLKDGFSEGVKLVGGYYDAGDHVKFGLPMAFAATMLSWGAVDFRKETVHLNQMSNTLAAIKWATDYFIKAHTQPNLLWAQVGDGESDHYCWERAEDMTTPRTAYKLDPKNPGSDLAAETAAAMAAASLAFKPYDSSYSYLLLVHAKQLFSFADRFRGLYDDAIPSARQFYTSSGYSDELLWAAAWLYRATKEDYYLKYVVENGGSLGGTGWAVREFSWDNKYAGVQVLLSKISLQLQHNNGEAQTYAAAAATVVLKQYQAKADYFTCACLQKNGDAYNVALTPAGLIYVREWNNMQYVSSAAFLLAVYSQYLSEAKAIVKCPEAPQIYPQQILNFAKSQADYILGNNPKAISYLVGYGHNYPAHVHHRGASISPITVLRSSVGCVEGFDKWYRRPQPNPNVIYGALVGGPTNQDDFTDDRSNYEQTEPTLSAAAPLVGLFSKLHSLSAPYAPAGPPPVASHTKHTPQPQDVVKLMHSIASTWTVGKESYYKHKVVIKNVSFKPITHLKLRIENLSGSIWGLRPCQQLNTYDLPQTVLKPGSQLSFFYIQGGAQAKISVLSYHI >itb10g04560.t1 pep chromosome:ASM357664v1:10:4336201:4341487:1 gene:itb10g04560 transcript:itb10g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGWSSTRCKWVSAMVLLLVSLAAGELQDGPLLNGDFETAPSGGFSADDRFSDGPAEIPNWKTNGTVELVESGQKQGGMILIVPQGQHGARLGNDAEISQEVQVEKGALYSITFSAARTCAQLESLNVSVPPASQTIDLQTLYSVQGWDTYSWAFQPQEDNVRVVFRNPGMEDDPTCGPIIDDIAMKKLFVPDKPKDNPVLNGDFESGPWMFRNESLGVLLSTNLDEDTSPLPGWIVESNRAVRYIDSYHFNVPGGKRAIELLSGKEGIISQMVETKPNKPYRLTFSLGHAGDKCKQPLAVMAFAGDQAENIHYTPNSNSTFQTADVNFTAKAERTRIAFYSIYYNTRTDDMSSLCGPVVDDVRVEQSMSSRVKAGGILGLAFGLLVLALA >itb08g10520.t1 pep chromosome:ASM357664v1:8:10129555:10133302:-1 gene:itb08g10520 transcript:itb08g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAGRSVPLHQAYIHHRAVWVVRRYYKPLGDQSNNDSTSTYKLAMNAGVYDSLLQYFGLGAETRRTDTHVSNAYGIEVGNPPEGYEDAWVLNLHTIDTRGTVGNLRCLECKCELYNVTVALCIISLMWHYNVKSPSLPVSPMHRGERWCSRQLCISFSEFAGQNW >itb14g06570.t1 pep chromosome:ASM357664v1:14:5824608:5835039:1 gene:itb14g06570 transcript:itb14g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MAETDVVTLINAIPSLIEQLSNAFSELEGHEDASVDKAQFKEIQEHFKQLEAMVVDKYFELDIREKAFCEQESHVLMLVKSREAKVATMEQDLLDRIQKLKDAAASAISEARENHKLTPYEPVDVGDSDDSQVSSSLGDTNAFHTGSEVKSPHETVQNAGPKPRSELMQICEQMDAKGLLNFVTGNQKSVTTICKEVALALQCATEPGRLVLASLEGFYPPDEPNENDEKNIDMILGMRRSCLVCIKAMATLLAKADPGSDSILSPEIKQQAKAIADEWKPKVANAGTGSASENSLETEAFLQLLATFRIASEFDEEELCKLVLAGAHIRQGPELCRSLGLTHRISGVIESLISSGKQIDAAHFIHAFQLTESFPIAPLLKAHLKDLRRGLQGKGSNSENNGNAQELTALKAIINCVQEYNLEADYPLNPLQRRVAQLENAKANNDKKRSAEPERPRHSKKPYANGAHGSRGSSSNPSRQAPSGLTGRAAYGGASERYPPPAYDYQAPTSTAYPPQAAYDQGSYYFPPDEMVAAPTSYPAAPAPAPASSYSGYATEPNFQPNPGFCSSSSAQMGSFQTPLGGVQSSALLETSCGYLLQELQMIWDEVGEDQFEREKVLLDIEQECLEVYRRKVDNANTSRARLHQELADSEAEFTHLLLLLGERSLPGRPEKMSGTLKEQLDSITPALREMRLRKDERVRQFRTVQGQIHKISAEIAGQPEFNDSSSNVKVNENDLSLKKLEEYQNELQRLQNEKIERLQKVDKYICTIQNLSAILGIDSSSIITKVHPSLNQLSGLSKNISNAILTKLDSTVVSLEAEKRTRLEKLHELGNALTNLWNLMDTPQKERLPFSYVTSLITVSSGEISSPGSLTPNTIQQAETEVKRLDQLKASKMKELFLKKQTELEEICKRSHMEIPSRPEMENITKLLNSGEIDHANLLKSMDEQISQAQEEASSRNAIMEKVEKWISARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARLMVNKIPALVDSLITKTKSWEEERNKVFLYDEVPLLAMLEEYNLLRKEKEEEIQRQREQKKLQVQGQGQTVVGQENFYVPRPSTSNRRLSVLDRSINGDSNSSSGSGNATPLNRRLSLLGSKTINSPPHDNIPSSIKEAKKERRRLKPFSPSHDAASLVSAFSVPFSP >itb10g00820.t1 pep chromosome:ASM357664v1:10:612482:612907:-1 gene:itb10g00820 transcript:itb10g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRKRTSKTLLVCLVVILSVASPATASLWKMPLAGVIIFNRLPYTSSDELKVHCRSKDNDLGLQKIPKGTDYSWRFSLHLLGRTLYFCSFDWGSKHMVFDVYTEPFAKFNCRNTGAFGCAWIVRKDGFYLSNGLKIHSW >itb01g09040.t1 pep chromosome:ASM357664v1:1:7283148:7283846:1 gene:itb01g09040 transcript:itb01g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAYDPLLRRRHLFAALLLLSCSETALSARQCADCGSSPVPYPLSTGPDCGDPSYKVRCNNGALMFDTLNNTYPITSITPETQRLTISPSPLIPDTCVTADISTGGIYLDSSSPFNITSSNTILYINCTEDLLESPLNCTASSLCHAYIDASGLGACSHAPICCSFKAGGSSTAHKLRVRSKGCSAYRSFVNLDESLPVRRWPQPALEFQWVSPPKPLCGSQSDDCSASPA >itb05g23480.t1 pep chromosome:ASM357664v1:5:28593542:28594269:1 gene:itb05g23480 transcript:itb05g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFENTKIHRGMKQEPETFKPFCSDDDKEKHYIGVRKRPWGKYAAEIRDTTRNGARVWLGTFSSAEEAALAYDQAALLMRGPSSCLNFPVERVRASIRELKLFCGGEGPSSPAEALKEKHKKRCGKGKSKGSSVVNKQSCGEGNNNVVFVFEDLGSDLLDQLLSQTSASSSSSSLSSHTETSNSIQFSTFFNLPSSHYFPATTPLRSEE >itb13g01660.t1 pep chromosome:ASM357664v1:13:1526000:1529662:1 gene:itb13g01660 transcript:itb13g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCVVSSDVVPEGGRSSADGVYVREKLLERLADKTGELARCILALIQLVNEAGAVAGNNVNFWQVLDAAQVMMTIVWVVVLAGYVSFLCFVLVVYVCLLSDLFVLSNKALFAKYLIFGVLYVTLXFVGARSWRFGGTSQMLPCVVSSDVVPEGGRSSADGVYVREKLLERLADKTGELARCILALIQLVNEAGAVAGNNVNFWQVLDAAQDANIDHISGSQHGGCSGVNAEQQVHDGGVDGGSDMLLECGEWLGGPSRQGVGLSDIVDGRSFVTPTEVVPRVGSTFVCLAPHKANNRPARTVLGSVRRSGVHSIASVPSLDLLDDVNFFKWVLKYGSDDLHEFLFSYSGLIVSREDICSLAPGAELFFPILQQNHFYLLCVDFKFEQLEIIDNSASTQPSPAKYGDTPENVKLLLFEYFTSVGEKFKSIVCDNLKTKRMPMTWRDTKNKVDYGMYLMRHMKSYVSEAVKVLQNGIVG >itb14g16060.t1 pep chromosome:ASM357664v1:14:19317709:19326238:-1 gene:itb14g16060 transcript:itb14g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVKMRGGVAVVLAVVLLQCLAPFAANVTYDHRALVIDGKRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYIFWDLHEPVRNQFDFEGRKDVVKFVKLVGEAGLLVHLRIGPYVCAEWNYGGFPLWLHFIPGIELRSNNEPFKAEMQRFTTKIVDLMKQENLYASQGGPIILSQIENEYGNIQSDYGPRAKPYVEWAAAMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSDKKPKMWTENWTGWFISFGGPVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRSSGGPFITTTYDYDAPLDEYGQIRQPKWGHLKDLHKAIKLCEAAMVATDPTITSLGSNLEASVYKTGSGLCSAFLANIGTQSDATVTFNGNSYHLPAWSVSILPDCKNVALNTAKINSVATIPRFVTQSSEADTSGASLSGWSWVNEPVGISSDKAFTKDGLVEQINTTADMSDYLWYSLSIEIKGDEPFLQDGSQAVLHVQSLGHALHAFINGKLAGSGKGGSNDAKVSINVPVSLVPGKNKIDLLSLTVGLQNYGGHFDLKGAGITGPVQLKDSKSGSSIDLSSQKWTYQVGLKGEELSLFNGDSSLWTSQPALPTNQPLIWYKANFDAPGGDSPLAIDFTGMGKGEAWVNGQSIGRYWPTYTAPSGGCTDSCNYRGSYNANKCLKNCGKPSQTYYHVPRSWLKSSGNVLVVFEEVGGDPTKLSFATREVGSLCSRVSESHPMPIDMWTSDVSGQKREGATLSLECPLPNQVISTIKFASFGTPQGTCGSFSHGKCKNTNALSVVKKACIGSRNCSLGVSSTTFGNPCAGVTKSLAVEASCA >itb14g16060.t2 pep chromosome:ASM357664v1:14:19317709:19326092:-1 gene:itb14g16060 transcript:itb14g16060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVKMRGGVAVVLAVVLLQCLAPFAANVTYDHRALVIDGKRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYIFWDLHEPVRNQFDFEGRKDVVKFVKLVGEAGLLVHLRIGPYVCAEWNYGGFPLWLHFIPGIELRSNNEPFKAEMQRFTTKIVDLMKQENLYASQGGPIILSQIENEYGNIQSDYGPRAKPYVEWAAAMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSDKKPKMWTENWTGWFISFGGPVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRSSGGPFITTTYDYDAPLDEYGQIRQPKWGHLKDLHKAIKLCEAAMVATDPTITSLGSNLEASVYKTGSGLCSAFLANIGTQSDATVTFNGNSYHLPAWSVSILPDCKNVALNTAKINSVATIPRFVTQSSEADTSGASLSGWSWVNEPVGISSDKAFTKDGLVEQINTTADMSDYLWYSLSIEIKGDEPFLQDGSQAVLHVQSLGHALHAFINGKLAGSGKGGSNDAKVSINVPVSLVPGKNKIDLLSLTVGLQNYGGHFDLKGAGITGPVQLKDSKSGSSIDLSSQKWTYQVGLKGEELSLFNGDSSLWTSQPALPTNQPLIWYKANFDAPGGDSPLAIDFTGMGKGEAWVNGQSIGRYWPTYTAPSGGCTDSCNYRGSYNANKCLKNCGKPSQTYYHVPRSWLKSSGNVLVVFEEVGGDPTKLSFATREVGSLCSRVSESHPMPIDMWTSDVSGQKREGATLSLECPLPNQVISTIKFASFGTPQGTCGSFSHGKCKNTNALSVVKKACIGSRNCSLGVSSTTFGNPCAGVTKSLAVEASCA >itb09g12320.t1 pep chromosome:ASM357664v1:9:7776157:7777083:-1 gene:itb09g12320 transcript:itb09g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKQKKRRHKHTITKNPKRKQQQQQLKVVYISNPVRVNTSAAKFRALVQELTGQDADDLPPVPHGGGREAGICSQKDDVVGTASCVAMEDQHVVLQIPSIHHHPGTAGTTSSSSESSNLIFEDAYVPQLQQSFPAGILPIPSNMWPL >itb03g30560.t1 pep chromosome:ASM357664v1:3:32929779:32931254:1 gene:itb03g30560 transcript:itb03g30560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLKQTTTIQILPPPNPFLQFNKWDEARQMMSDHSSGSNSEIEFQTRSDYMLHSPSLWASKDQAYYHPSPRSRLKAIVDGQRKLMEMIQNMPDDSSLELSLKDIVLDNHQGTGKVGVEDTSKVKDKKIKPTFKHAKISPSESMDSGVFLLKMFVPLSLYTKTKSKTKTCSSKQTEKPAATKDWWKMIYLHIKHNSYSRSIKRSFSSISIKESISRGSESRLVESSSLLDEPSFDSRRYTSKNQRGCLF >itb07g03730.t1 pep chromosome:ASM357664v1:7:2513290:2515544:1 gene:itb07g03730 transcript:itb07g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTQFPINLNFKLILICFFFLFLIFLIPRSVFSPATPSSSLHASSQSPPENQEPPENQEPTTTTAAALPSCRTQSCGKIPPSLADALVHYVTTNITPQQTMKEISVSMRVLEKKSPCNFLVFGLGHDSLMWTSLNHGGRTVFLEEDRSWINQIHRQLPSLESYHVTYDTKVTEADELLETGARDDCQVVGDPRTSKCRLALKGLPEEVYEIEWDLIMVDAPTGYHDEAPGRMAAIYTAGLIARNREDGETDVFVHDVDRVVEDKFSKTFLCEGYMIEQEGRIRHFTIPSHRARSGRPFCPQ >itb03g16840.t1 pep chromosome:ASM357664v1:3:15638507:15643516:1 gene:itb03g16840 transcript:itb03g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCEESEGLSQSREIGCWIHSPRHLAFTLTRILCIITGMNVLPIMWSSTRSSSAVQTNSSPTSQEALKNRHCVTVTVLVFATLYSYILQKHSRTEDETPEAETTAMIQKMFGNTLAKAFSLTLTRILCIITGMSVLPIMWSSTRSSSAVQTNSSPSNVCHIPRGPMRDIIEDIHHWKEKDWKVNFRGRTWWLTILLISELIKARSGLNMSTLPQGRRS >itb11g10870.t1 pep chromosome:ASM357664v1:11:7747331:7750991:1 gene:itb11g10870 transcript:itb11g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCIGCDNKAKLILSSNKSLRRQKIKGSKAPVRSTSEDFWTTSTRDMDTNVIQSQGSISSISTSAQAHDAHSSGSTNTPSEFVNHGLIQWNQIRQQWVGSKKPENQSQELREPRLSWNATYDSLLASNKPFAQPIPLPEMIDFLVDIWEQDGWDV >itb14g17170.t1 pep chromosome:ASM357664v1:14:20497127:20500326:1 gene:itb14g17170 transcript:itb14g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLTSNPFLPKPIPTPHSRLVSLRIPKLTVFAKKSGPFPSFRLSSAGDSSGQDDQESSANSSPFRFDFGKIPDVKSLVPLSPTNPASGLSFGRQRRKDAGTVFVAGATGLAGVRIAQTLLREGFSVRAGVPDLAAAQELAVLAAQYKIISNEEAKRFNAVQSTFQDAESIAKAIGNASKVVVTIGPFENGPTKEVTASDALQVIQAAELAGVGHVAIVYDGSASSSTYNVLDGITSFFNTLFSRSQPLTLQEFLQKIIETNISYTLIKTSLTEDFAPESSYNVVVSAEGTTGANDYKVAKSQIAKLVADVFTNTAVAENKVVEVSTDPVATKRTGDELFSAIPEDGRRKAYAESVAKAKAEQEATEASEKAQQAAKEAKRLEEEVKKREQQETKAVNLAKEAVEKAAAAGISVDGLLDKAKGFSSGLSWQKFSSQLNSAVQERTEEVEEPKAKGLNSGLSWETFSSQLKSVVQKPSEEEEEEEPKVRLATVRGQAKARNLPAQKAVVKSAAPKPKPKPKPAEAPKPKAKQTDSKAEVRKVFGGLFQQETIYIDDD >itb10g18600.t1 pep chromosome:ASM357664v1:10:24511751:24515478:-1 gene:itb10g18600 transcript:itb10g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALNSTALRPSPLDPLRKTTSHFPVGVAVKPLPRRLRVVSASAAAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGISAIDRFDASKFPTRFGGQIRGFKSEGYIDGKNDRRLDDCLRYCIVAGKKALENADLGADGIGKIDKERAGILVGTGMGGLTVFSDGVQALIEKGYRKITPFFIPYAITNMGSALLAIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMLAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVTCDAYHMTDPRADGLGVSSCIHSALEDAGVSPEEVNYINAHATSTLVGDLAEVNAIKKVFKNTSEIKMNATKSMIGHCLGAAGGLEAIASVKAITTGWLHPTINQFNPEPSVEFDTVPNKKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >itb12g02520.t1 pep chromosome:ASM357664v1:12:1648976:1651494:1 gene:itb12g02520 transcript:itb12g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIKISHAFYLRTFVPKSSHHYFFSSAYSSSLQITAIDEIETEISSISSSLYNFLPDTENPNKTVSLVCSALKQRNLGSTNQQEELSGCLLTSSEISRVLLRCQSDSCAALTFFDWVRSMNSNSNRLDTLNYCLMVHILAWSRKYSQAMEILSELVQLSPTAVKEDLVFKGLIDSTELCNWNPVVFDMLIRTYLRVGMLKHGFRAFLYAAKLGFLPNVITVNILLNALSQSNRFGKCWQVYAEMERIGVHPNSCTFNILTHTLCKNGDADKVDEFLEKMEDEGFEPDIFTYNMIVDSYCKRGRLKDAVYLYYIMYKRGVPPDLITYTTLINGFCKGGNVKDAHQLFLRMIHRGFRPDAISYNTLLHGYCKDGMMQEARSLLHDMIGNGVCPDNFSCWAVVEGYRKHSKLISALNLVLELPKFGVAISRDIHEYIVVALCKENRPFAAKSLLDRISCEGFEPNLVIYRELIVSLCNCNCVDEALHLKAEMVSKNLKLCLTTYRAIISGLCGSSRSLEANSVVQEMIDSGLQPDTEICRALITGHCDEKNFEEAQFLLSYFAEQFQIFDTVCYNKVVCLLGEKGDAAELMEFQDKMMKMGFTPNQLTCKYVVGGLQKALKIHRNNILVH >itb11g11210.t1 pep chromosome:ASM357664v1:11:8193958:8201087:1 gene:itb11g11210 transcript:itb11g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTEQAGGDIIFRSKLPDIYIPNHLPLHSYCFENISAFRDRPCLINGSDDRVYTYAEVELTCRKVAAGLHKVGINHGDTIMILLPNSPEFVFAFLGASYRGAVATMANPLFTPAEVIKQVKASNAKLIVTLACYVDKVREYAWENDIKVICTDSAPKGCSHFSELSEADERDMPETEVKPDDVVALPYSSGTTGLPKGVMLTHKGLVTSIAQQVDGENPHLYFHDQDVVLCALPFFHIYSNSILLCGLRVGARILIMQKFDINLFLELIQKYKVTIGPFVPPIVLAIAKSPVVDNYDLSSVRTVMSGAAPLGKELQDTVRTKFPNAKLGQGYGMTEAGSVLAMCLAFAKEPFEIKSGSCGTVVRNADMKIVDPDTGSSLPRNQPGEICIRGDQIMKGYLNDPEATERTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLTHPQISDAAAIPMKDEQAGEVPVAFVVKTSGCSLTEDEVKEFVSKQVVFYKRIKKVFFVDAIPKSPSGKILRKNLRARLEAGIPN >itb03g06200.t2 pep chromosome:ASM357664v1:3:4562353:4567473:-1 gene:itb03g06200 transcript:itb03g06200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKLTGGISSGITAKQFDRREAKKTLFVKTLPWNANRVHRPGASLRKGLRFGRIKNCGLLNDEQALEYELSSSELEEFASTRERFIGGSNEGAIALSGNSIEEPSVASVQTELIMLSLPAIAGQAIEPLAQLMETAYIGRMGAIELASAGISISIFNIISKVFNIPLLSVATSFVAEDIAKSADGDSAAAERKPLPSVSTALVLSFGIGLLEAAAMYLGSGVFISMMGIPTSSPMRIPAENFLKLRALGAPAVVLYLAIQGIFRGFKDTRTPVLCLGLGNLAAVFFFPIFMYICRLGIAGAAISTVASQYIVAILMLWYLNKKTVLLLPSMKNMDFGAYLKSGGFLLGRTLAAVLTVTLSTSMAARLGAIPMAAHQICLQVWLSASLLADAQAASCQALIAGSFAKQDFTRLRVITQVALKSGLFTGVFLAIALGLSFPSLAKLFTNDAQVLDIALSGLLFVSASQPINALAYIFDGLHYGVSDFPYAASSMVNNLYKELSPNYTC >itb03g06200.t1 pep chromosome:ASM357664v1:3:4561074:4567493:-1 gene:itb03g06200 transcript:itb03g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKLTGGISSGITAKQFDRREAKKTLFVKTLPWNANRVHRPGASLRKGLRFGRIKNCGLLNDEQALEYELSSSELEEFASTRERFIGGSNEGAIALSGNSIEEPSVASVQTELIMLSLPAIAGQAIEPLAQLMETAYIGRMGAIELASAGISISIFNIISKVFNIPLLSVATSFVAEDIAKSADGDSAAAERKPLPSVSTALVLSFGIGLLEAAAMYLGSGVFISMMGIPTSSPMRIPAENFLKLRALGAPAVVLYLAIQGIFRGFKDTRTPVLCLGLGNLAAVFFFPIFMYICRLGIAGAAISTVASQYIVAILMLWYLNKKTVLLLPSMKNMDFGAYLKSGGFLLGRTLAAVLTVTLSTSMAARLGAIPMAAHQICLQVWLSASLLADAQAASCQALIAGSFAKQDFTRLRVITQVALKSGLFTGVFLAIALGLSFPSLAKLFTNDAQVLDIALSGLLFVSASQPINALAYIFDGLHYGVSDFPYAASSMMIVGVIASAFLLYAPPIIGLPAVWSGLTIFMGLRTITGFMRLSAKDGPWWFLQDSQKEEIEI >itb10g12480.t1 pep chromosome:ASM357664v1:10:18562435:18565153:-1 gene:itb10g12480 transcript:itb10g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEDVQDHCNHLFTQQEIVSLYERFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASMQQKIELIFKVYDSDGNGKISFNNIMEVLQDLTGPFMSDEQRENVLSQVLREAGYTRDSLLYLDDFIKVFDYPGLKMEVEIPVD >itb02g25530.t1 pep chromosome:ASM357664v1:2:26285580:26286140:-1 gene:itb02g25530 transcript:itb02g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFRCFRTTPIQCFSSSSSSSANRSELADPSSSKQTSGSNWWAPLFGPNFIKNGSVPANTNEMKIQAAEEEIPYQRLNLEVDGGRSKFRAGCFTKEKAVELRKVTIQTSTFHDKMYHSAIASRLASDVSGMACISATKSSNLRL >itb15g09760.t1 pep chromosome:ASM357664v1:15:7016435:7020852:-1 gene:itb15g09760 transcript:itb15g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGYHTNGWDNNSQQNDPLVVIRNGQEIILQAFNWESHKHDWWRNLERKVPDISKSGFTSAWLPPASHSFSPEGYLPQNLYNLNSSYGSEHLLKALLNKMKQYKVRPMADIVINHRVGTTQGHGGRYNRYDGIPLSWDERAVTCCSGGLGNRSTGDNFHGVPNIDHTQGFVRKDIIDWLRWLRYTVGFQDFRFDFAKGYSTKYVKEYIEGAKPIFSIGEYWDTCNYRGTYLDYNQDSHRQRIINWIDGTGQLSAAFDFTTKAILQEAVKGEFWRLRDAQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSSHVMEGYAYILTHPGTPAVFYDHFYDWGNSLHDQIVRLIDIRRNQGINSRSSIRILEAQPNVYAAIIGEKICMKIGDGSWCPAGAEWRLATSGHRYAIWQK >itb15g09760.t3 pep chromosome:ASM357664v1:15:7014970:7019939:-1 gene:itb15g09760 transcript:itb15g09760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGYHTNGWDNNSQQNDPLVVIRNGQEIILQAFNWESHKHDWWRNLERKVPDISKSGFTSAWLPPASHSFSPEGYLPQNLYNLNSSYGSEHLLKALLNKMKQYKVRPMADIVINHRVGTTQGHGGRYNRYDGIPLSWDERAVTCCSGGLGNRSTGDNFHGVPNIDHTQGFVRKDIIDWLRWLRYTVGFQDFRFDFAKGYSTKYVKEYIEGAKPIFSIGEYWDTCNYRGTYLDYNQDSHRQRIINWIDGTGQLSAAFDFTTKAILQEAVKGEFWRLRDAQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSSHVMEGYAYILTHPGTPAVFYDHFYDWGNSLHDQIVRLIDIRRNQGINSRSSIRILEAQPNVYAAIIGEKICMKIGDGSWCPAGAEWRLATSGHRYAIWQK >itb15g09760.t2 pep chromosome:ASM357664v1:15:7014970:7019939:-1 gene:itb15g09760 transcript:itb15g09760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGYHTNGWDNNSQQNDPLVVIRNGQEIILQAFNWESHKHDWWRNLERKVPDISKSGFTSAWLPPASHSFSPEGYLPQNLYNLNSSYGSEHLLKALLNKMKQYKVRPMADIVINHRVGTTQGHGGRYNRYDGIPLSWDERAVTCCSGGLGNRSTGDNFHGVPNIDHTQGFVRKDIIDWLRWLRYTVGFQDFRFDFAKGYSTKYVKEYIEGAKPIFSIGEYWDTCNYRGTYLDYNQDSHRQRIINWIDGTGQLSAAFDFTTKAILQEAVKGEFWRLRDAQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSSHVMEGYAYILTHPGTPAVFYDHFYDWGNSLHDQIVRLIDIRRNQGINSRSSIRILEAQPNVYAAIIGEKICMKIGDGSWCPAGAEWRLATSGHRYAIWQK >itb10g15080.t1 pep chromosome:ASM357664v1:10:21368988:21369796:-1 gene:itb10g15080 transcript:itb10g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTKYNHNKIPRQNSGISPPSPFNLAISPPSPFNPLCKVSFLFFFCISLKGLKGDRGEMSLETRASWFSLKRVEAQQLTGYLGVKRCFGAGRKSLTGHLGVKHCFGACHKSGTKSSFVASRGIEEARLAERWFSVQERKVPFQGKKG >itb06g24720.t2 pep chromosome:ASM357664v1:6:26169248:26170640:-1 gene:itb06g24720 transcript:itb06g24720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVPSPKTSAFLSGAQTRQLLPPQNSVSFRSGPRSGPALQGGFKVRAQISEVAAIEKSANSKPVVEKKTDEEIPKAEKTIPDASSISAFMSQAAQLVELVDSRDIVELQLKQNGCEIVIRKKEALQQNVAPAPIIMQQPAIPQAYAQPQLPPPAAAPAPAPAKAVPALPAPSKPASSHPPFKCPMAGTFYRSPAPGAPAFVKVHD >itb06g24720.t1 pep chromosome:ASM357664v1:6:26168075:26170763:-1 gene:itb06g24720 transcript:itb06g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTVPSPKTSAFLSGAQTRQLLPPQNSVSFRSGPRSGPALQGGFKVRAQISEVAAIEKSANSKPVVEKKTDEEIPKAEKTIPDASSISAFMSQAAQLVELVDSRDIVELQLKQNGCEIVIRKKEALQQNVAPAPIIMQQPAIPQAYAQPQLPPPAAAPAPAPAKAVPALPAPSKPASSHPPFKCPMAGTFYRSPAPGAPAFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEIVAEDGKPVSVDTPLFVIAP >itb10g06410.t1 pep chromosome:ASM357664v1:10:7121901:7123814:1 gene:itb10g06410 transcript:itb10g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTMKIFIFSFFLVFQALCSVSSAATGGSIYDKFVDCLTGPGSQIPKDQVSKIVYAQNNAQFSSVLDAYVRNLRFNTSETPKPVIIVTPLEEAHVPAVVLCAKNADIQIKIRSGGHDYEGLSYVSEESSFIILDMFNIRSIDVDIATETAWVQAGATLGELYYRIAEKSPVHGFPGGVCPTVGVGGHFSGAGYGNMLRQYGLTVDHAVDARIVDAKGRILDRSAMGEDLFWAIRGGGGGSFGVILAYKIKLVRVPKRVTYFRVERLLNQNATDVVLEYQKAVTTMDSNLFIRLLLQPITPPSIVDPVTGKKIKQNKTMRVTSIGLFLGEKSQLLPVTNKELPVLGLKSSDLTQMTWIQSVLEWANFDHKTTKPEILLSRVPDELKFGKRKSDYVQTPIPRDGLESLWKKMVELGKVGLVFNSYGGKLAEIPDNATPFPHRAGILFKIQYSVSWAESGEEAENQFLTQARDLHTFMTPFVSSNPRQAYLNYRDVDIGTTDNGPKRYEQAKVFGAMYFNNNFDRLVKVKTAVDPDNFFRNEQSIPPLSPIRSRKHVAKL >itb08g07100.t1 pep chromosome:ASM357664v1:8:6073161:6077088:-1 gene:itb08g07100 transcript:itb08g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQKALIYAFVARGNAVLAEHTDFNGNFNSIAYQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVAEESTGRQVPMAFLERIKDDFVSKYGGGKAATAPANSLTKEFGPKLRDHMQYCADHPEEISKLAKVKAQVSEVKGVMMDNIEKVLDRGEKIELLVDKTENLHQQAQDFRSTGTKIRRKMWLQNMKIKLIVLGIIIALILIIVLSVCHGFNCGK >itb15g23860.t1 pep chromosome:ASM357664v1:15:26765906:26768806:1 gene:itb15g23860 transcript:itb15g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLRTHDLAFASRPELLATKILFYNRSDIVLSPYGDHWRQMRKICVTEVLNAKLIRSFSSIRSDEIHRLLTHVRSSLGSPLNFSERISLFTSSIICRSTLGKVFIGREELLELVDEISALLGGFEFADVFPSWKLLHGLCGNKKRILNVHRKTDAITESIIKEHEKNIESGNQGSGELGGEDIVDVLIKLEKNGTLQRPITHDIIKATIIDMFGAGTETSSTTVVWAMSEMMKNPRVLAKAQAEVREIFRGKEKLEEDDVEQLQYLKLVVKEALRCHPPAPLLIPRECTEETVVCGYTIPQKARVLINVWANGRDPKYWEDPESFIPERFENSSIDFTGNHFEFLPFGGGRRICPALTFGFANTVSPLAHLLYHFDWNFVPGITAHTFDMKEKPGVAVGRNTDLFLIPTSPGLLACS >itb06g06500.t2 pep chromosome:ASM357664v1:6:9117796:9121319:1 gene:itb06g06500 transcript:itb06g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIKKRPGSPTQEFIDEARYLSSIQHRNLVTLLGYCQENKQQILVYEYIPNGSVSIHLYGAAHVSRERLEFKHRLSIAIGAAKGLEHLHSLSPRLIHKDFKTGNVLVDENFIAKVADAGVRNFLGRFDVAGPSSSITADEIFLAPEVREFGRFSEKSDVYSFGVFLLELVSGQEATDLLCSSRDGNIVEWVENHQDSGSISSIIDKKLGNSFTAEGMEEFVELMVRCVEGSSERRAGMSYVVTELDRILEKEMSLTTMMGEGTPVVTLGSQLFRALK >itb06g06500.t1 pep chromosome:ASM357664v1:6:9117796:9121319:1 gene:itb06g06500 transcript:itb06g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIIGGAAGAATLVGVILLIICFFWLSLRGRISRTSETGSSDPSAQGDVGRSVGTELTLRGARCFQLEELSLATKDFSDRNLIGQGKFGEVYKGLLQDGMLVAIKKRPGSPTQEFIDEARYLSSIQHRNLVTLLGYCQENKQQILVYEYIPNGSVSIHLYGAAHVSRERLEFKHRLSIAIGAAKGLEHLHSLSPRLIHKDFKTGNVLVDENFIAKVADAGVRNFLGRFDVAGPSSSITADEIFLAPEVREFGRFSEKSDVYSFGVFLLELVSGQEATDLLCSSRDGNIVEWVENHQDSGSISSIIDKKLGNSFTAEGMEEFVELMVRCVEGSSERRAGMSYVVTELDRILEKEMSLTTMMGEGTPVVTLGSQLFRALK >itb10g03510.t1 pep chromosome:ASM357664v1:10:3216830:3227528:1 gene:itb10g03510 transcript:itb10g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEDRILPSPDLNELQSTEMPGVQCDHDSVVPATRLERLLRNRELRKSSRSLHSNEGVRDGCVPDSEKRPQKENFLEGIAASKTPGDVKERRDGCSQKQRLLVVANRLPVAATRQSKESWTLEVSVGGLVSALLGVAEIEARWIGWAGVNVPDEVGQRSLSKSLAEKRCIPVFLDEDTVHQYYNGYCNNILWPLFHYLGLPHEDRLATTRSFQSQFAAYKKANQMFADVVNEHYKEGDIVWCHDYHLMFLPKCLKERNNEMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPRVQYHIKELQERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPDWRDKVVLLQIAVPTRTDVPEYQRLTCQVHEIVGRINGRFGSLTTVPIHHLDRTLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGYALKMPAHEREKRHHLNFMHVTTHTSQEWAATFVSELNDTIVEAQLRTRQIPPPLPTNAAIERYMHSNNRLLILGFNATLTEPLDSQERRMDQLKDMELKLHPDLKEPLKRLCDDPKTTVIVLSGSDRNVLDENFGEYNMWLAAEHGMFLRLTESNWMTTMPENLHMDWVDSVKHVFEYFTERTPRAHFELRETSLVWNYKYADVEFGRLQAKDLLQHLWAGPISNASVDVVQGSRSVEVRAIGVTKGAAIDRILGEIVHNSDVKAPIDYVLCIGHFLPKDEDIYTFFEPELPVGPSASARVKMGNPMNKNISNHLSGKGGVREPCNKNLEPFLTSEKRFNSDEENENWWSMMREKMTLHEGSSVLDLKGDNYFSCAVGRKCSNARYLLGSSADVVSLLKDLSDCS >itb09g12810.t1 pep chromosome:ASM357664v1:9:8129075:8132619:1 gene:itb09g12810 transcript:itb09g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQMKVRMMLPMSVRCNTCGNYIYKGTKFNSRKEDAVGENYLGIQIFRFYFKCTKCSAEITYKTDPKNSDYIVESGATRNFEPWREQDEEMEKHKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRQATVSVEAMLEALRHSAEEKERKMLEEEEALIKSLFKGEREKFVKRIRDDEIEDDDDDDDDEDLDIPQKSGESSINFLKRRKLPEELCSNPTDVLTKSSATDNSSDKDKAVGKGDSALTVNLQSFLESGYQVTRLTKLGCLINFILE >itb10g24900.t1 pep chromosome:ASM357664v1:10:28462004:28465469:1 gene:itb10g24900 transcript:itb10g24900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHVFLQCGNLGLHFLWLIIHFFVGIWYFVLGIAQTIESFLISSGFWESYKSLNISKIQHLAVVIDCEEAQETSKVIELLQFLATIGVKNVSLYDSEGVLKQSKEAIKEELSRIKFYEGTSLPTPLLHKYNNLEFVSSADGKHAMGKAANFLFVKHYTGTNLQKHTFTESDVTDALSVIGCCGPDPDLLLVYASARCHLGFPAWRLRYTEIGHMGPLKSMKFGSLMKAIYKFTMVHQNYGI >itb11g08480.t1 pep chromosome:ASM357664v1:11:5577743:5581793:1 gene:itb11g08480 transcript:itb11g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGRAAAGKPRTTKLVFVCVGLLGAALVADLLWASSTSSSSSAAFSNWAVPESSNVIFPNQTNNFPNPNPKDSAKEGKKDGTKERKLSATFADLPAPELKWEKMAFAPVPRLDGAAIQIKNLLFVFAGYGTIDSVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTAHTFVLDTETKQWKDMPPLPVPRYAPATTLWKGRLHVMGGSKENRYTPGLEHWSIAVKDGKVLETEWRSEIPIPRGGPHRACVVFDDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYDDVYMLDDDMKWKVLPPMPKPDSHIEFAWTIVNRSIFIAGGTTEKHPETKKMTLVGEVLKFHFDTQKWEVVGKLPYRVKTTLVGFWDGWLYFTSGQRDRGPDDPAPKKVIGEMWRTKLKL >itb02g08180.t1 pep chromosome:ASM357664v1:2:5128761:5129561:-1 gene:itb02g08180 transcript:itb02g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRAIFMTTLLHLSLPILIIFLLFPHQIHTQPAATTTAPSPSSSTAWPVDIIDILKTGKQFNIFAKFLNSANHLGKQIDTQIITSTDGVTVLAATDGAFSSLPSGTLNKLSDQQKVRLIQFHILPKFYSLDDLETASNPVETLAGGEFMLNFTGTNGQINVTSGVVQTQISNIMRKNFPLAVYQIDKVLLPLEFTPKARPSLGNKGRPNKTAEAAAAPKNSKGISPADEPSPAETDEPSSAGKMSQLGLGLAPAALVLFCMGVF >itb10g20460.t1 pep chromosome:ASM357664v1:10:26020774:26024519:1 gene:itb10g20460 transcript:itb10g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPREDDEVNPFAGTGRVPPATNSPDPAGFYNNGSVDISLDSAADLKQKEKELQGKEAELSRREQELKRKADAAGRAGIALEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFTTLLGLYACLLWNIIAVTTAWIKEGDATIWLLSIIYFILGVPGAYVLWYRTLYRAFRSETAFRFGWFFLFYSLHLVFCIFAAVAPPVVFKGKSLTGILPAIDLIGKQILVGVFYFIGFGLFCFESVLSVWVIQQVYMYFRGSGKAAEMKQAATTGALRAAI >itb08g04440.t1 pep chromosome:ASM357664v1:8:3646503:3648857:-1 gene:itb08g04440 transcript:itb08g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFQFLSCFCLASIFAPAIGSSVLFQGFNWESNKQQGGWYNSLINLVPDLANAGITHVWLPPPSQSVAPQGYMPGRLYDLDGSKYGNKQQLQALVAALHDKGIKAVADIVINHRCADYKDSRGIYCIFEGGTADDRLDWGPGLICRGDTEYSDGTGNDDTGGDFGGAPDIDHLNPRVQKELSDWMNWLKSEIGFDGWRFDFVRGYAASISKIYMENTSPEFAVGEFWNDMTYGSDGKPDYNQDKHRNELSQWVQGAGGVATAFDFTTKGILQAAVLGELGRLKDSAGKPSGLIGISPKNAVTFIDNHDTGSTQSHWPFPRDKVIQGYAYILTHPGIPSVFYDHFFDWGMKDEISKLISIRNSNGISETSGIEILAADADLYVAKIGDKVIVKIGPKDAGNLIPPGFSLATSGQDYAVWEQKA >itb01g18880.t1 pep chromosome:ASM357664v1:1:24848203:24850688:1 gene:itb01g18880 transcript:itb01g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYFPAIPPGQQLCSLPHLRPPFLPTAKSLPSQERRRARLPAATPLERGTLGTSSRSPVASLAGVATGSAAGVSGNEQFITFSRSKNVDSPIAFFSVTPGSPYLVSCHQPHRRPSPLQESPAMNPFVRPGCRCLKATGKIRREEKNSQGR >itb01g18880.t2 pep chromosome:ASM357664v1:1:24846414:24850688:1 gene:itb01g18880 transcript:itb01g18880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRETEVGQSLLCHEHRSIRQPVDAIKIYKKRTPCSDWRGHSGARRVAWFGVGGRGGEAALSAGLGSAADLGGVVTLGGVLSSFSAEAGLGGGCVNGAASSARLQGGFGGVDSGTRRRQWNLTAGLPGSGRRRLTSAEVTGGGFPSLSGGALLWESKSLPSQERRRARLPAATPLERGTLGTSSRSPVASLAGVATGSAAGVSGNEQFITFSRSKNVDSPIAFFSVTPGSPYLVSCHQPHRRPSPLQESPAMNPFVRPGCRCLKATGKIRREEKNSQGR >itb13g15950.t1 pep chromosome:ASM357664v1:13:22855076:22860011:1 gene:itb13g15950 transcript:itb13g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIDSTKPTQIKDKDLFKAAESGNSELFKSLSDDQLLKALALRNEDDRSLLHVAVSSAHTEVVKILAAADSSISGINSGDEEGWVPLHSAASSGNIEIVEILLSRVSDALSAVPDKASILTRDASFRHEFQESVMVTVEDPVVKGYVSCVRHAWLVHLMLIHDGVDAQETGPIVSSHDLRHINTCLDVIFSDNVFQFWMKNILQTPAYQNDDEDMVYMYNAYLHKMMTCFLSHPLARDKVKEVKDKAMTELSPYRMASSHNHMVDRSMHAQKTEPAPQAFISLLEFVSEIYQLTKSVSDWIQDRVVIQL >itb13g14710.t1 pep chromosome:ASM357664v1:13:21301528:21303938:1 gene:itb13g14710 transcript:itb13g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGVWEGGGFGGVGPLLRLAVPSCVGICLEWWWYEIVTVFASYLPNPRLVVAATGIIIQTTSLMYTVPMDLVGCVSDRVGNEVGVGKPYKAKLAAMVALACAYVIGFMNVIWTLIFREKWAGLFTKDEMLKALAALVLPIIGLCDLGNCRQTTGCGFLRGTARPAVGAHINLGSFYFVGTPVAVGLTFWLKLGFEGLWFGFLSAQAACAISIIYAVLCYTDWEG >itb02g13800.t2 pep chromosome:ASM357664v1:2:10043633:10048601:1 gene:itb02g13800 transcript:itb02g13800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MEALGLGHGLPPLSVLRSSPPQQQRWNLLGSASCLAPSLAPLSLKSGVSLVKFQCRSGIRMQQVEEEYELKQMKDMAAARKRWDALIREGKVKVLTPREAGYAIQLSNKTLLDVRPSIEHEKARVKGSTWIPIFEVDTRLDPGTLSMKVTNFMMGGWWSGVPTLSYNSQFLSKVQEQFPKDADLIVACQKGLRSLAACELLYNAGYRNLFWVQGGLENAEEEDLEREGPQPFKFAGIGGLSEFLGWTDQQRVAAAKEGWGYRLVFSARLVGVFLAADALFIGAQRVGQYIQDLRSH >itb02g13800.t1 pep chromosome:ASM357664v1:2:10043633:10048552:1 gene:itb02g13800 transcript:itb02g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MEALGLGHGLPPLSVLRSSPPQQQRWNLLGSASCLAPSLAPLSLKSGVSLVKFQCRSGIRMQQVEEEYELKQMKDMAAARKRWDALIREGKVKVLTPREAGYAIQLSNKTLLDVRPSIEHEKARVKGSTWIPIFEVDTRLDPGTLSMKVTNFMMGGWWSGVPTLSYNSQFLSKVQEQFPKDADLIVACQKGLRSLAACELLYNAGYRNLFWVQGGLENAEEEDLEREGPQPFKFAGIGGLSEFLGWTDQQRVAAAKEGWGYRLVFSARLVGVFLAADALFIGAQRVGQYIQDLRSH >itb02g13800.t3 pep chromosome:ASM357664v1:2:10043769:10049434:1 gene:itb02g13800 transcript:itb02g13800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MEALGLGHGLPPLSVLRSSPPQQQRWNLLGSASCLAPSLAPLSLKSGVSLVKFQCRSGIRMQQVEEEYELKQMKDMAAARKRWDALIREGKVKVLTPREAGYAIQLSNKTLLDVRPSIEHEKARVKGSTWIPIFEVDTRLDPGTLSMKVTNFMMGGWWSGVPTLSYNSQFLSKVQEQFPKDADLIVACQKGLRSLAACELLYNAGYRNLFWVQGGLENAEEEDLEREGPQPFKFAGIGGLSEFLGWTDQQRVAAAKEGWGYRLVFSARLVGVFLAADALFIGAQRVGQYIQDLRSIASTPGGRFESKLNEVEQEQILQLP >itb03g05950.t1 pep chromosome:ASM357664v1:3:4208085:4213091:1 gene:itb03g05950 transcript:itb03g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEKHAKSIAAPLVFFIVGVFQFLSRYLELRKAKGSISQKDAQLKAEIKQLLKEANALSQPSTFAQAAKLRRMAAAKEKELAKSQGIHKEEIKMSYDMYSKCLLVLKIFTYFMLILWFWRMPVASVSKQLVHPFGKMLSWRAGGFVNDNVMVGIIPWLILCTRVSKTICWKVLK >itb10g06470.t7 pep chromosome:ASM357664v1:10:7288345:7292863:-1 gene:itb10g06470 transcript:itb10g06470.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIDSVHCILIFGKVIFSFIVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSDTYNPVPGVMDGVPSSRNYEGGFMSKLMLKDLKLAQTSAKNVDLRCPLTSQAEEIYAKLCNDGYEAKDFSCVFRYYYSGKDEQ >itb10g06470.t2 pep chromosome:ASM357664v1:10:7288696:7295691:-1 gene:itb10g06470 transcript:itb10g06470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVRRLRSLLELQKCGCRRFCFSLTRRFSSSSPTSHLFEKIGFIGLGNMGSRMADNLIKAGYDVAVHDINHDVMKSFSNKGIPTKGSPVEIAEASDVVITMLPSSNHVLDVYTGPNGLLMGGNSVRPWLFIDSSTIDPQTSRNVFGAVSACSLRETKVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSLKT >itb10g06470.t3 pep chromosome:ASM357664v1:10:7288345:7295691:-1 gene:itb10g06470 transcript:itb10g06470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSNKGIPTKGSPVEIAEASDVVITMLPSSNHVLDVYTGPNGLLMGGNSVRPWLFIDSSTIDPQTSRNVFGAVSACSLRETKVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSDTYNPVPGVMDGVPSSRNYEGGFMSKLMLKDLKLAQTSAKNVDLRCPLTSQAEEIYAKLCNDGYEAKDFSCVFRYYYSGKDEQ >itb10g06470.t6 pep chromosome:ASM357664v1:10:7288322:7294079:-1 gene:itb10g06470 transcript:itb10g06470.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSVRPWLFIDSSTIDPQTSRNVFGAVSACSLRETKVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSDTYNPVPGVMDGVPSSRNYEGGFMSKLMLKDLKLAQTSAKNVDLRCPLTSQAEEIYAKLCNDGYEAKDFSCVFRYYYSGKDEQ >itb10g06470.t8 pep chromosome:ASM357664v1:10:7288322:7292203:-1 gene:itb10g06470 transcript:itb10g06470.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MACIQVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSDTYNPVPGVMDGVPSSRNYEGGFMSKLMLKDLKLAQTSAKNVDLRCPLTSQAEEIYAKLCNDGYEAKDFSCVFRYYYSGKDEQ >itb10g06470.t4 pep chromosome:ASM357664v1:10:7288869:7295691:-1 gene:itb10g06470 transcript:itb10g06470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVRRLRSLLELQKCGCRRFCFSLTRRFSSSSPTSHLFEKIGFIGLGNMGSRMADNLIKAGYDVAVHDINHDVMKSFSNKGIPTKGSPVEIAEASDVVITMLPSSNHVLDVYTGPNGLLMGGNSVRPWLFIDSSTIDPQTSRNVFGAVSACSLRETKVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSDTYNPVPGVMDGVPSSRNYEGGFMSKLMLKDLKLAQTSAKNVDLRCPLTSQAEEMYVFFSNFITTL >itb10g06470.t1 pep chromosome:ASM357664v1:10:7288322:7295691:-1 gene:itb10g06470 transcript:itb10g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVRRLRSLLELQKCGCRRFCFSLTRRFSSSSPTSHLFEKIGFIGLGNMGSRMADNLIKAGYDVAVHDINHDVMKSFSNKGIPTKGSPVEIAEASDVVITMLPSSNHVLDVYTGPNGLLMGGNSVRPWLFIDSSTIDPQTSRNVFGAVSACSLRETKVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSDTYNPVPGVMDGVPSSRNYEGGFMSKLMLKDLKLAQTSAKNVDLRCPLTSQAEEIYAKLCNDGYEAKDFSCVFRYYYSGKDEQ >itb10g06470.t5 pep chromosome:ASM357664v1:10:7288696:7295672:-1 gene:itb10g06470 transcript:itb10g06470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSNKGIPTKGSPVEIAEASDVVITMLPSSNHVLDVYTGPNGLLMGGNSVRPWLFIDSSTIDPQTSRNVFGAVSACSLRETKVGQEAPSMLDAPVSGGVLAAETGSLTFMVGGSEEAYIAANPLFLSMGKNSIYCGGSGNGSTAKICNNLAMAVSMLGVSEAFALGQSQGIASSTLTKVFNSSSARCWSSLKT >itb03g23490.t1 pep chromosome:ASM357664v1:3:21699549:21701852:1 gene:itb03g23490 transcript:itb03g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSSTAPPPQPAQPHRPSALYPRISQFSANPIAAGNPTISPSSTVGIGIRVALKPEYRMTPLPHLSPQLEDVPRSVFNFEFDVERKILAEAKKDNPNCSELTLGNIPQERTDQTDPGSSEDPIVNTYIASGLNREAVPLAVANYGDNPTKVKEFVEQYSRVKDMGFSSNDVAESLLLFHNDIDQALQHLLSHPS >itb06g20090.t1 pep chromosome:ASM357664v1:6:23175720:23178618:-1 gene:itb06g20090 transcript:itb06g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDPNEQQPKQERLRTRWTPSLDKIFADLVVEQIRLGNRPNNVFDKKTWNYIRGEFNKQTNLDFNNNQLRKHLDVLRTRYYAFKSASGQNDPLVDCCFVGFDLWEDIAAQPKPETTKIKECPIYDELCLIFADSGADGKYAQSSHYGELEKSAGVDPSFTETQNPCPKAPLPSTISQGNETSPKATAKSTVEKKRKRLSEAVPDSGENSRDTDMINMISETLQEMIAASKLRLVEGPQIDKRFTISECIKALDEIEGMHDTLYYAALDLFEDPNLRETFLSLNDNMRLTWLQGNFGNPVSDSLIGL >itb09g13680.t4 pep chromosome:ASM357664v1:9:8954243:8957434:1 gene:itb09g13680 transcript:itb09g13680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESASPMSTRPAKCCDCGCSCSMMNGSYSGTWSRNIKRKYEEFDGTAFTVPGLILPQTARIDIENECAALREMVSNQQQTILDLRIELDKERNASSTAADEAMSMILRLQSEKAEVQMEFTQFKRFAEEKTAHDQQEMDALEDLLFKREQTIQSLTCEVQMYKHRMLSYGLTESEADGEGDKRGNLSRNNSMAEILDGQFEVPLYDYPPLKCSNENQVCSEVENEIVDVEKYAFGETPHSQDHLRDLECRINQLERSPRFSHPDGELYKNNVLEKVIVDQSPHGPRHMSMLSTDSSDTFVPTNNEMDSNIITESPKFGGSSRRTELPQVYEFSNLRKADNALEVEDDTSDRVYTIDSIHQSVSHNDGADAKATIGVGDDFVTSPRDSSNYTNMEDPDVKKLYMRLQALEADRESMRQEIVSMRTDKAQLVLLKEIAQHLCKDMPPAMGTRLRKPSAAGTTSFMSMPTWVGSLVTWRRKTSRCKYMSGLSGNNAGLLTLLNKGPCVGQWRCLTSTQV >itb09g13680.t3 pep chromosome:ASM357664v1:9:8954243:8957434:1 gene:itb09g13680 transcript:itb09g13680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESASPMSTRPAKCCDCGCSCSMMNGSYSGTWSRNIKRKYEEFDGTAFTVPGLILPQTARIDIENECAALREMVSNQQQTILDLRIELDKERNASSTAADEAMSMILRLQSEKAEVQMEFTQFKRFAEEKTAHDQQEMDALEDLLFKREQTIQSLTCEVQMYKHRMLSYGLTESEADGEGDKRGNLSRNNSMAEILDGQFEVPLYDYPPLKCSNENQVCSEVENEIVDVEKYAFGETPHSQDHLRDLECRINQLERSPRFSHPDGELYKNNVLEKVIVDQSPHGPRHMSMLSTDSSDTFVPTNNEMDSNIITESPKFGGSSRRTELPQVYEFSNLRKADNALEVEDDTSDRVYTIDSIHQSVSHNDGADAKATIGVGDDFVTSPRDSSNYTNMEDPDVKKLYMRLQALEADRESMRQEIVSMRTDKAQLVLLKEIAQHLCKDMPPAMGTRLRKPSAAGTTSFMSMPTWVGSLVTWRRKTSRCKYMSGLSGNNAGLLTLLNKGPCVGQWRCLTSTQV >itb09g13680.t2 pep chromosome:ASM357664v1:9:8954133:8957634:1 gene:itb09g13680 transcript:itb09g13680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESASPMSTRPAKCCDCGCSCSMMNGSYSGTWSRNIKRKYEEFDGTAFTVPGLILPQTARIDIENECAALREMVSNQQQTILDLRIELDKERNASSTAADEAMSMILRLQSEKAEVQMEFTQFKRFAEEKTAHDQQEMDALEDLLFKREQTIQSLTCEVQMYKHRMLSYGLTESEADGEGDKRGNLSRNNSMAEILDGQFEVPLYDYPPLKCSNENQVCSEVENEIVDVEKYAFGETPHSQDHLRDLECRINQLERSPRFSHPDGELYKNNVLEKVIVDQSPHGPRHMSMLSTDSSDTFVPTNNEMDSNIITESPKFGGSSRRTELPQVYEFSNLRKADNALEVEDDTSDRVYTIDSIHQSVSHNDGADAKATIGVGDDFVTSPRDSSNYTNMEDPDVKKLYMRLQALEADRESMRQEIVSMRTDKAQLVLLKEIAQHLCKDMPPAMGTRLRKPSAAGTTSFMSMPTWVGSLVTWRRKTSRCKYMSGLSGNNAGLLTLLNKGPCVGQWRCLTSTQV >itb09g13680.t1 pep chromosome:ASM357664v1:9:8954133:8957634:1 gene:itb09g13680 transcript:itb09g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESASPMSTRPAKCCDCGCSCSMMNGSYSGTWSRNIKRKYEEFDGTAFTVPGLILPQTARIDIENECAALREMVSNQQQTILDLRIELDKERNASSTAADEAMSMILRLQSEKAEVQMEFTQFKRFAEEKTAHDQQEMDALEDLLFKREQTIQSLTCEVQMYKHRMLSYGLTESEADGEGDKRGNLSRNNSMAEILDGQFEVPLYDYPPLKCSNENQVCSEVENEIVDVEKYAFGETPHSQDHLRDLECRINQLERSPRFSHPDGELYKNNVLEKVIVDQSPHGPRHMSMLSTDSSDTFVPTNNEMDSNIITESPKFGGSSRRTELPQVYEFSNLRKADNALEVEDDTSDRVYTIDSIHQSVSHNDGADAKATIGVGDDFVTSPRDSSNYTNMEDPDVKKLYMRLQALEADRESMRQEIVSMRTDKAQLVLLKEIAQHLCKDMPPAMGTRLRKPSAAGTTSFMSMPTWVGSLVTWRRKTSRCKYMSGLSGNNAGLLTLLNKGPCVGQWRCLTSTQV >itb11g16760.t1 pep chromosome:ASM357664v1:11:15278473:15284088:1 gene:itb11g16760 transcript:itb11g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHQETQNMVPSKHSRLPLLSNDAHIPSPFGQLAVEFTDSELRETAYEIVVGACRSSGSGRPLKFVSNSERSDSTSSSSSQSLQKSLTSSSGSKVKKALGLKSKKKNRSDSAAADQAQNSASRKRASTVGELMRVQMKVSEQTDSRVRRGLLRVAAGQLGRRIESYILPLELLQQLKLSDFPSQQYYEAWQRRNLKVLEAGLLFHPSLPLHETDTQFQQLRGIIHGALVKPIDTGKYSDSMQALRNVASSLACRSFDGSVSQVCHWADGIPFNLRLYEILLKACFDVDDATSMIEEVDEVLEIIKKTWGVLGINQMFHNICFLWVLFHHYVFTGITGEDENDLLFAAENILLEVQKDANTTKDPTYSKILSSILGLILGWAEKRLLAYHNSYYRSNIDIMQSVLSIAISAAKILAEDMSHEYSNKRREVDVAYSRVDSYIRSSLHNAFTQENEKLISCRSSSKNQRNSLPILSILAQNISDLAFNEKEIYSPVLKRWHPLATGVAVATLHACYGDELKKFVSSINELTPDAVQVLIAADKLEKNLVKMAVADAVDSDDGGKALIQEMIPFEAEAVIANLVKSWIRTRVDRLKEWVERNLQQEIWNPRANKERVAPSGVEALRVIDETLEAFFLLPIPMHPSLLPELLNGLDRCLQNYIFNIKSGCGSQSAFIPKIPSLTRCATGKKIGVFKKKERTNMVVRKNPHFGTLDSNDAFGLPQLCVRVNTLHHIRKQLEVLEKRSIAQLRDSGCVHNDNVSIGLGKSFELSASACIEGIKQLSETIAYKVVFHDLSHVFWDFLYVGNVSSSRTEPFLQELEKNLEIISSTVHDRVRTRVITDVMKASFEGLSMILLAGGPFRAFTIPDAAIIDEDFKFLMDLFWSDGDGLPSDLIDKYSVNLKGILQLLHTDTQSLITQFQCVIEDNYGASGKSMPLPPTSGRWSPSEPNTILRVLCYRNDKVATKFIKKHYNLPKKLKPYSGYAPNLYTYTLPIFRR >itb04g28120.t1 pep chromosome:ASM357664v1:4:31959124:31962714:1 gene:itb04g28120 transcript:itb04g28120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQRKRVRVLELSRRLKHRGPDWSGLFQHGDFYLAHQRLAIIDPASGDQPLFNEDKKIIVTVNGEIYNHEELRKSLPNHKFFTGSDCDVIAHLYEEHGENFVDMLDGMFSFVLLDTRDNSFLVARDAIGITSLYIGWGLDGSVWVSSELKGLHDDCEHFVAFPPGHLYSSKSGGLRRWYNPPWFSEAIPSAPYEPLVLRRALENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAGREVADYLGTVHHEFHFTVQDGIDAIEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEVFGGYLYFHKAPNKDEFHRETCRKIKALHQYDCLRANKSTSAWGLEARVPFLDKEFINVAMNIDPEWKMIKPEEGRMEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAEEHVTDKMMLNAAHIFPHNTPTSKEGYYYRMIFERFFPQNPASLTVPGGASVACSTAKAVEWDASWSKNLDPSGRAAIGVHNSAYENQRAANGNLATTKIIDNVPRMMEVAAAPELTIWS >itb04g28120.t2 pep chromosome:ASM357664v1:4:31959124:31962714:1 gene:itb04g28120 transcript:itb04g28120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQRKRVRVLELSRRLKHRGPDWSGLFQHGDFYLAHQRLAIIDPASGDQPLFNEDKKIIVTVNGEIYNHEELRKSLPNHKFFTGSDCDVIAHLYEEHGENFVDMLDGMFSFVLLDTRDNSFLVARDAIGITSLYIGWGLDGSVWVSSELKGLHDDCEHFVAFPPGHLYSSKSGGLRRWYNPPWFSEAIPSAPYEPLVLRRALENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAGREVADYLGTVHHEFHFTVQDGIDAIEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEVFGGYLYFHKAPNKDEFHRETCRKIKALHQYDCLRANKSTSAWGLEARVPFLDKEFINVAMNIDPEWKMIKPEEGRMEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAEEHVTDKMMLNAAHIFPHNTPTSKEGYYYRMIFERFFPQNPASLTVPGGASVACSTAKAVEWDASWSKNLDPSGRAAIGVHNSAYENQRAANGNLATTKIIDNVPRMMEVAAAPELTIWS >itb01g27410.t2 pep chromosome:ASM357664v1:1:32229207:32234448:-1 gene:itb01g27410 transcript:itb01g27410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDLGNFLPQLEFSSGYLCRHLLLLVIWLSSYLNVVTLQMLPEPSSYTSLSALASPPKSGMFEPIEISPAVIPHYPFPGEPLPPMYPTFPTTYNPILTGRCPVDFSVISSITEKTASDCTTPLSTIVRNVICCPQFNSFLHIFQGFYSTNSSSLVLQDAVADDCFKDIISILASRGANGSVSSMCSAKSLNLTGGSCPVKDVTTFEKMVNTSKLLESCSTIDSLKECCRPICQRAISEASLQISGIKSNVTDNKSLLGAPSQIDSLNDCKGVVYSWLARKLPFDAANKVFRLLSSCKVNKVCPLDFKQPSEVIKACQNLAAPSPSCCSSLNSYIAEIQKQMLITNRQAIICATVFGSMLQRAGVMTNVYQLCDVDLKDFSLQAYGQEGCLLRSLPADLVYDNSTGFSFTCDLNDNIAAPWPSSSSDTSLSLCAPEMSLPALPTSETMGNSGCCIHALDISVSILLFFVSTLLY >itb01g27410.t1 pep chromosome:ASM357664v1:1:32228991:32234351:-1 gene:itb01g27410 transcript:itb01g27410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKTAIRLKGYLCRHLLLLVIWLSSYLNVVTLQMLPEPSSYTSLSALASPPKSGMFEPIEISPAVIPHYPFPGEPLPPMYPTFPTTYNPILTGRCPVDFSVISSITEKTASDCTTPLSTIVRNVICCPQFNSFLHIFQGFYSTNSSSLVLQDAVADDCFKDIISILASRGANGSVSSMCSAKSLNLTGGSCPVKDVTTFEKMVNTSKLLESCSTIDSLKECCRPICQRAISEASLQISGIKSNVTDNKSLLGAPSQIDSLNDCKGVVYSWLARKLPFDAANKVFRLLSSCKVNKVCPLDFKQPSEVIKACQNLAAPSPSCCSSLNSYIAEIQKQMLITNRQAIICATVFGSMLQRAGVMTNVYQLCDVDLKDFSLQAYGQEAGCLLRSLPADLVYDNSTGFSFTCDLNDNIAAPWPSSSSDTSLSLCAPEMSLPALPTSETMGNSGCCIHALDISVSILLFFVSTLLY >itb09g17230.t1 pep chromosome:ASM357664v1:9:12474920:12475660:-1 gene:itb09g17230 transcript:itb09g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLRLAYIVNESKRKTSYQKRKRGLLKKINELTILCDAVVAMIMYSSFEQGPVIWPPTVERFEELIVRFLNLPDEQQTRRMMNNESLNRGRIEKLSTQLLNLKNKNRKREMNELMHQILTGEQSIDSLNFTDLNDIGWVLNTNLADVGVRFRELVRDSSPTLAPFLSATASPSVLWPGTSNVVSPADVFSGQSYQGMVGMQTPMSPNLNPGMASNYQNWSFMNSSVQNDPTQGGPGPSTSKNP >itb07g11400.t1 pep chromosome:ASM357664v1:7:12826360:12828441:-1 gene:itb07g11400 transcript:itb07g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIAILRAQRFYGALRRTFVISSPDFRFSFCTQIPTSSLESGSENEHIVAEKDAEVNSLSSRIEKLPRGEPIGSAFQSWMGDGFSIHRGHIFHAINRLRRLNSTKRAIEVMEWVIRERPYRPKELDYSYLLEFTSRLHGVSQAESLFCRIPSEFQNELLYNNLVLVCLDRGLIRLSLAYMKKMRELGHRISHLVFNRLIILHSAPGRRKSDPKILIQMKADKVTPHVSTFNILLKIEANEHNIEGLVKVFNDMKRAKVQPNEVTYCILAIAHAVARLYTVCEAYVEAVEKSATGKNWSTLDILVILYGYLGKQKELERTWGITRELPHVKSKSYMLAIEAFGRIGDLNRAEGLWMEMKLEKGLKSIEQFNSMIAVYCKHGLVTKATGLYKEMEVCGLKANSITFRHLALGCLKAGLVKEAMKTIDLGMDMTTSIKVKRSIPWLETTLSVIEILADNGELRIVEKLFEELKKAKYTRYTFVYNALIKSYVKAKVYDPNLLKRMVLGGARPDSETYSLLKLTEQFQT >itb01g16070.t1 pep chromosome:ASM357664v1:1:19946218:19951995:1 gene:itb01g16070 transcript:itb01g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPPPPTERSSPPPTPSPSAASTSSLPPLSTPASSTKPTLNPSASTSVTPPSQNLQSRPASEPPSSFATSRQQPSPFQHLAHPPSSLTVPPPARGGLAIGVPAHQPGPSPPPASFSSLAPPSFGQQFGGLGRGVPDSLPTSSSAQGRPVQGMQGIGITGSLGSSMPMRPAGVPPQPVRSITTAIRQQTVTNSQSPSSQNFQGHGMLRAPNPPSTSQSPQSQNQAWLSSGVQGKPPLPPPSLRPQLSQQSLQQRSHIRPQHHHSVPASQQQQHTSSAQQAQPSISSQSQENLGQQIQPSRTQQPFPNQPPIARSHGFGIPRPSSHALLHSAAVQSGPLNKTSAAETEEPCNRILSKRSIQELVTQIDPSEKLDAEVEDILVDIADEFVESLTTFGCSLAKHRKSSTLEAKDILLHAERHWNITLPGFSGDEIRTYKKPLTSDVHRERLAAIKRSSVAVKPSNTKSSAGQGGNSKTTPLGKGPTNILTSPNAKT >itb04g14040.t1 pep chromosome:ASM357664v1:4:14286618:14290958:1 gene:itb04g14040 transcript:itb04g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLASILRSSSQRGGSVSRSAVANSLTRPRPTTRSSPAGFLLNRLARYSTSAAAPADKPASKPAGNEPTGKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEAIDEKGELKTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMVESGVIKLGDKQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESINSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAA >itb07g12480.t1 pep chromosome:ASM357664v1:7:14363426:14366682:1 gene:itb07g12480 transcript:itb07g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLHSQSRGSIAFSIGGTSFHPYLPRDHSDPTAEIKTLEVSLPSRFYLFIRPLFSSFPRFVLVVCSCRRCLSLSLSQLSACKKLYQRIVAMGKCYPTVSEEYQKAIDKLRRKLRGFIAEKNCAPLMLRLAWHSAGTYDVSTKTGGPFGTMRLKAEQAHGANNGLDIAVRLLEPFKEQFPIVSYADLYQLAGVVAVEVTGGPEVPFHPGREDKTEPPVEGRLPDATQGNDHLRDVFVKHMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTTNPLIFDNSYFKELLSGEKEGLIQLPTDKALLEDPVFRPLVEKYAADEDAFFSDYAEAHLKLSELGFAEA >itb09g00610.t1 pep chromosome:ASM357664v1:9:439146:439499:-1 gene:itb09g00610 transcript:itb09g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSLGLMLLLWLTAMAECEGNKKDTWAEKVSKGNQVVTTLQFYFHDKLSGSNPSAIKIVEQPKAGKFVTGFGVVMMADDPLTVGPDPMSKEVGRARGLYGSAGPHLGPQLHLHGG >itb10g12110.t2 pep chromosome:ASM357664v1:10:18066596:18079085:-1 gene:itb10g12110 transcript:itb10g12110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEAMRLFVKILEEEDPGWYSRASNFSVEPVVDVEMNHNEKAETVVGNGNAFPEIKTIPAENGNLIETQDKNVVSEDFGAVSVYDQWVTPPISGRRPRPRYEHGAAVIDDRMYIFGGNHNGRYLSDLQALDLKSWTWSRVEVKSADEASPPTVTPCAGHSLIPWEGNKLLSVGGHTRDPSDALQVKVFDLQLCTWSTLKTYGKPPVSRGGHSVTLVGTSLVVFGGQDAKRSLLNDLHILDLETLTWDEMNMLGLPPSPRADHAAAVHAERYLLIFGGGSHAACFNDLHVLDLQTMEWSRPTQQGEIPSARAGHAGATVGENWFIVGGGNNKSGVSEMVVLNMSALVWSVVTSVQGHPPLACEGLSLALSSYNGEDVLVSFGGYNGQYSNEVNLLKPSHKSTLQSKMIETPVPDSVSAVHNATNPTRDLESELGTGQDGKIREFTMDNVDSEPMVNKVDETSEHLISTLKAEKEELESSLNKEKLQTLQLKQELTDAETRNRDLYKELQAVRSQLAAEQSRCFKLEVDVAELRQKLQTMDTLKKELELLQRQKAASEQAALSSKHRQGSGGVWGWLAGTPGQTTDDDDA >itb10g12110.t3 pep chromosome:ASM357664v1:10:18068311:18079083:-1 gene:itb10g12110 transcript:itb10g12110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTRARSGLAYPERFYAAAAYVGFDGSDDSAKGVISKFSNDVALLLYALYQQATVGPCKVPKPKAWSPVEQSKWNSWNGLGNMASTEAMRLFVKILEEEDPGWYSRASNFSVEPVVDVEMNHNEKAETVVGNGNAFPEIKTIPAENGNLIETQDKNVVSEDFGAVSVYDQWVTPPISGRRPRPRYEHGAAVIDDRMYIFGGNHNGRYLSDLQALDLKSWTWSRVEVKSADEASPPTVTPCAGHSLIPWEGNKLLSVGGHTRDPSDALQVKVFDLQLCTWSTLKTYGKPPVSRGGHSVTLVGTSLVVFGGQDAKRSLLNDLHILDLETLTWDEMNMLGLPPSPRADHAAAVHAERYLLIFGGGSHAACFNDLHVLDLQTMEWSRPTQQGEIPSARAGHAGATVGENWFIVGGGNNKSGVSEMVVLNMSALVWSVVTSVQGHPPLACEGLSLALSSYNGEDVLVSFGGYNGQYSNEVNLLKPSHKSTLQSKMIETPVPDSVSAVHNATNPTRDLESELGTGQDGKIREFTMDNVDSEPMVL >itb10g12110.t1 pep chromosome:ASM357664v1:10:18066594:18079085:-1 gene:itb10g12110 transcript:itb10g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTRARSGLAYPERFYAAAAYVGFDGSDDSAKGVISKFSNDVALLLYALYQQATVGPCKVPKPKAWSPVEQSKWNSWNGLGNMASTEAMRLFVKILEEEDPGWYSRASNFSVEPVVDVEMNHNEKAETVVGNGNAFPEIKTIPAENGNLIETQDKNVVSEDFGAVSVYDQWVTPPISGRRPRPRYEHGAAVIDDRMYIFGGNHNGRYLSDLQALDLKSWTWSRVEVKSADEASPPTVTPCAGHSLIPWEGNKLLSVGGHTRDPSDALQVKVFDLQLCTWSTLKTYGKPPVSRGGHSVTLVGTSLVVFGGQDAKRSLLNDLHILDLETLTWDEMNMLGLPPSPRADHAAAVHAERYLLIFGGGSHAACFNDLHVLDLQTMEWSRPTQQGEIPSARAGHAGATVGENWFIVGGGNNKSGVSEMVVLNMSALVWSVVTSVQGHPPLACEGLSLALSSYNGEDVLVSFGGYNGQYSNEVNLLKPSHKSTLQSKMIETPVPDSVSAVHNATNPTRDLESELGTGQDGKIREFTMDNVDSEPMVNKVDETSEHLISTLKAEKEELESSLNKEKLQTLQLKQELTDAETRNRDLYKELQAVRSQLAAEQSRCFKLEVDVAELRQKLQTMDTLKKELELLQRQKAASEQAALSSKHRQGSGGVWGWLAGTPGQTTDDDDA >itb01g02930.t2 pep chromosome:ASM357664v1:1:1920278:1921685:-1 gene:itb01g02930 transcript:itb01g02930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAGKKMTAGFRLPSMPERALSSSPETAAATQLTIFYGGSVHVYDNVTADKAQAIMLLAGESCLSAAEVAKHPRRCGPEQYAGKFPTGCVISVLIR >itb01g02930.t1 pep chromosome:ASM357664v1:1:1918935:1921685:-1 gene:itb01g02930 transcript:itb01g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAGKKMTAGFRLPSMPERALSSSPETAAATQLTIFYGGSVHVYDNVTADKAQAIMLLAGESCLSAAEVAKHPRRCGPEQYAGKFPTELPIVRRNSLRQFLEKRKNRKINNGLHAISSTESH >itb12g03440.t1 pep chromosome:ASM357664v1:12:2243618:2247858:1 gene:itb12g03440 transcript:itb12g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLSSMAAVAAAAAVASSSSKMSNRAYADSPFRFYPFSSSPSPPPPPSPADDSPASDSKAPAEDSRGGFDHQALERGAKALKEINSSPYHKQVFEVMRKQEKTRIAELDAEKAHFEAIQAHADIEKQQKWSEDQRELYQQQAQAKAQMLRYEDELARKRMQTDHEAQRRHNAELVKMQEESSIRKEQSRRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHNRRMLVEKINSEKEKWLAAINTTFTHVEEGFRILLTDRSKLIMAVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSMKKFPWSGKISEVATRAFRSGTAAEGVASSQSKSTFNNIVLHPSLQRRIEHLARATANTKSHQAPFRNMLFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVVPLGAQAVTKIHEIFDWAKKSKKGLLLFIDEADAFLCERNSIHMSEAQRSALNAMLFRTGDQSRDVVLVLATNRPGDLDSAITDRIDEVIEFPLPQEEERFKLLKLYLNKYLSSEGSSDSKWGGGLFKKQPQQITVKDISDDIIREAARKSEGFSGREIAKLMASVQAAVYGSPDCVLHPQLFKEIVDYKVAEHQQRIQLAAEGGEPAFCTN >itb15g19880.t2 pep chromosome:ASM357664v1:15:22378699:22383974:-1 gene:itb15g19880 transcript:itb15g19880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSVVNFDQLVKTDEVGSGLPVDGAKDIEKKTESAEPSSSAVVEDKVAGMGKEKDIEVADEEAPLIGIRECRICQEEDSVKNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPRSQPEETTIDIGGWQISGTPLDLHDPRLLALAEAERQILEAEYDDYNATNASGAAFCRSAALILMALLLLRHALSMTDSEGDDEDPSALFSLFLLRVFGFLLPCYIMVWAISILQQRRQRQEAAAALAASQFAFVVQSAQRNGVHLTIASTTPPVPAAHEHV >itb15g19880.t1 pep chromosome:ASM357664v1:15:22378681:22384145:-1 gene:itb15g19880 transcript:itb15g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSVVNFDQLVKTDEVGSGLPVDGAKDIEKKTESAEPSSSAVVEDKVAGMGKEKDIEVADEEAPLIGIRECRICQEEDSVKNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPRSQPEETTIDIGGWQISGTPLDLHDPRLLALAEAERQILEAEYDDYNATNASGAAFCRSAALILMALLLLRHALSMTDSEGDDEDPSALFSLFLLRVFGFLLPCYIMVWAISILQQRRQRQEAAAALAASQFAFVVQSAQRNGVHLTIASTTPPVPAAHEHV >itb14g12110.t1 pep chromosome:ASM357664v1:14:13716497:13718860:1 gene:itb14g12110 transcript:itb14g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPPPPPDEFALKQTAPKIAGSGVITGDKVTCAYDLVEQMEYLYVRVVKAKELPPKDVSGSCDPYVEVKVGNYKGVTKHFQKKSNPEWDHVFAFSQDRLQASFVEVIVKDKDVVVDDFIGRAVFDMVDVPRRLPPDSPLAPQWYRLENKKGEKIKKGEIMLALWKGTQADEAFSDAWHSDAAAVGREGVSKIRGKVYLSPRLWYVRVNVIECQDLVPPDKNRQLEACVKVVLGNQALKTRISPPRGLNPMWNEDLVFVSADPFEEPLILTVEDRSDILGKCLIPLPTLPRRLDNKAVPSKWHNLEKHPIQEGEKKEKEPKFSSKIHLRLSLDGGYHVMDESIHYSSDFRPTSKLLWKSSIGILELGIISATGLSAMKDGQGTTDAYCVAKYGPKWVRTRTIIDNLSPKWNEQYTWEVYDPCTVITVGVFDNGHLQGGRDSSIGKVRIRLSTLETEKVYTHSYPLIVLKPSGVQKMGEVQLAVRFSCTSYTNMLSKYTQPLFPKMHYVHPLSISQQDYLRFRAIQIVSKRLGRAEPPLKAEVVEYMLDVGSHIWSVRRAKANFFRLMYVVSPIMAVWKWFDEICKWKNPVTTVLIHILLFILVLYPELILPTFFLYLFFIGIWHYRRKPRHPPHMDIHLSHAHAATADDLDEEFDSFPTGRPAEKVRMRYDRLRSVGGRIQTVIGDMATQGERFHSLLSWRDPRASALFLTFCLFAAVVMYVTPVQVVALLAGLYVLRHPKLRQKIPPTCTNFFKRLPARADCML >itb06g18600.t2 pep chromosome:ASM357664v1:6:22223624:22228224:-1 gene:itb06g18600 transcript:itb06g18600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKSSGEMSEQEHDEAAVGENKQIIFKGYIDGVPKETDMEVVVGKRPDKLRGGDGGEAVMLVKNLYLSCDPYMRGRMRDFHGSYIPPFLPGSVIEGFGVSKVLASSHPDYKPGDLISGFTGWEEYSLIHRTEQLRKIQADNDIPLSYHIGLLVGQLVGQLAKLHGCYVVGSAGTKEKVDLLKDKLGFDDAFNYKEVTDFDSVLKRYFTEGIDIYFDNVGGAMLDVVLLNMRLHGRIAICGVVSQQTMTYPHYNLFNLITKRVRMKGFLQSDYLHLFPKFLEHVTSLYKQGKIVYIEDMNIGLESAPAAFAGLFYGKNVGKQVIRVAT >itb06g18600.t1 pep chromosome:ASM357664v1:6:22223624:22228254:-1 gene:itb06g18600 transcript:itb06g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKSSGEMSEQEHDEAAVGENKQIIFKGYIDGVPKETDMEVVVGKRPDKLRGGDGGEAVMLVKNLYLSCDPYMRGRMRDFHGSYIPPFLPGSVIEGFGVSKVLASSHPDYKPGDLISGFTGWEEYSLIHRTEQLRKIQADNDIPLSYHIGLLGMPGFTAYAGFYEVCKPKRDDYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGTKEKVDLLKDKLGFDDAFNYKEVTDFDSVLKRYFTEGIDIYFDNVGGAMLDVVLLNMRLHGRIAICGVVSQQTMTYPHYNLFNLITKRVRMKGFLQSDYLHLFPKFLEHVTSLYKQGKIVYIEDMNIGLESAPAAFAGLFYGKNVGKQVIRVAT >itb13g02340.t2 pep chromosome:ASM357664v1:13:2179187:2204754:-1 gene:itb13g02340 transcript:itb13g02340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQRPLNESWECMLPGPPSRSNGGSADLSTTGLLAYASGSSIAVVETHSMQLVTAIPLPPPSSSSAALSPFITSVRWSPHPLPHFLLSSDAPNQHLILAVGDRQGRICLLDLRSKTPLLFFDTSSSNSSSKPGIQDLCWVQTGPDSWAIAALSGPSLLSLYNTSTGRCFFKYDASPEYFSCLCRDPFDSRRFCALGLKGFLLSVKALGDSENDISHKELQIRTDTSELQKLERDSTAAVNGAPALATFPNYIVSSAFSPHWKHILFVTFPRELVVFDLQYETALYSAGLPRGCGKFLEVLPDTNMQVLYCAHLDGMVSIWRRKKGEQVYTICAMEELMPSLGSSVPSPSVLALAICHSDATLQNISKLYSDGCQSLELDFDNPFDFSDESLVISKTPLISISDDGKVWKWLLTAEGSGDTQKDKIQPGIAVEVSKSVVKEKDPQKDILPSDSSMSMKSNGAISNSGCLSNAAKNDKEVSFKINLVGQLHLLSSAVTMLAVPSPSLTSTLARGGNSPAVAVPLVALGTQNGSIEVIDVSANAVAASFSVHNSVVRGLRWLGNSRLVSFSYTQGTEKSSGFTNRLVVTCLRSGLNRTFRVLQRPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWSLPTVPRPSSKDHTAVSAETSSPKASPLDSKSGTDGSQDEFSETFSFALVNGALGVFEVHGRRIRDFRPKWPNSSFAASDGLVSAMAYRLPHVVMGDRLGNIRWWDVVTGQSSSFNTHREGIRRIKFSPVVPGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKSDPLILCIAGADSSFRLLDVNINDNKIAYAPQARSIKERYRPVPLCSPVLLPTPHAMALRTILQLGLKPSWFNTFSSTMDKGHYEVTATPSSTSDLCSYMLHSPRIGDSVVPEMLLKVLEPYRREGCLLDNEMVKLYGSIVNKGCAVRLGFAAAIFGEPMEALFWLQLPNAVNHWMKKLVNKSPAVSQSTSAPELDEAAMLNRISSRGKVAPGSEERNVVGNSKLKLMAFEEEELLKCANERIPWHEKLEGEEAIQNRVHELVSVGNLEAAVSLLLSTPPESSYFYANALRAVALSSAVSNSLLELAVKVVAANMVRTDNSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAASHLKGSDYARVLQRWADHVLRAEHNIWRALILYVAAGAFQEALAALREAQQPDTAAMFILACREIHAELVSSLDLDDEAIAAVKDKLVNLPGLSPENVDSIAVVEYYGQYQRKLIHLCMDSLPSSD >itb13g02340.t4 pep chromosome:ASM357664v1:13:2179326:2204754:-1 gene:itb13g02340 transcript:itb13g02340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQRPLNESWECMLPGPPSRSNGGSADLSTTGLLAYASGSSIAVVETHSMQLVTAIPLPPPSSSSAALSPFITSVRWSPHPLPHFLLSSDAPNQHLILAVGDRQGRICLLDLRSKTPLLFFDTSSSNSSSKPGIQDLCWVQTGPDSWAIAALSGPSLLSLYNTSTGRCFFKYDASPEYFSCLCRDPFDSRRFCALGLKGFLLSVKALGDSENDISHKELQIRTDTSELQKLERDSTAAVNGAPALATFPNYIVSSAFSPHWKHILFVTFPRELVVFDLQYETALYSAGLPRGCGKFLEVLPDTNMQVLYCAHLDGMVSIWRRKKGEQVYTICAMEELMPSLGSSVPSPSVLALAICHSDATLQNISKLYSDGCQSLELDFDNPFDFSDESLVISKTPLISISDDGKVWKWLLTAEGSGDTQKDKIQPGIAVEVSKSVVKEKDPQKDILPSDSSMSMKSNGAISNSGCLSNAAKNDKEVSFKINLVGQLHLLSSAVTMLAVPSPSLTSTLARGGNSPAVAVPLVALGTQNGSIEVIDVSANAVAASFSVHNSVVRGLRWLGNSRLVSFSYTQGTEKSSGFTNRLVVTCLRSGLNRTFRVLQRPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWSLPTVPRPSSKDHTAVSAETSSPKASPLDSKSGTDGSQDEFSETFSFALVNGALGVFEVHGRRIRDFRPKWPNSSFAASDGLVSAMAYRLPHVVMGDRLGNIRWWDVVTGQSSSFNTHREGIRRIKFSPVVPGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKSDPLILCIAGADSSFRLLDVNINDNKIAYAPQARSIKERYRPVPLCSPVLLPTPHAMALRTILQLGLKPSWFNTFSSTMDKGHYEVTATPSSTSDLCSYMLHSPRIGDSVVPEMLLKVLEPYRREGCLLDNEMVKLYGSIVNKGCAVRLGFAAAIFGEPMEALFWLQLPNAVNHWMKKLVNKSPAVSQSTSAPELDEAAMLNRISSRGKVAPGSEERNVVGNSKLKLMAFEEEELLKCANERIPWHEKLEGEEAIQNRVHELVSVGNLEAAVSLLLSTPPESSYFYANALRAVALSSAVSNSLLELAVKVVAANMVRTDNSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAASHLKGSDYARVLQRWADHVLRAEHNIWRALILYVAAGAFQEALAALREAQQPDTAAMFILACREIHAELVSSLDLDDEAIAAVKDKLVNLPGLSPENVDSIAVVEYYGQYQRKLIHLCMDSLPSSD >itb13g02340.t1 pep chromosome:ASM357664v1:13:2179326:2207888:-1 gene:itb13g02340 transcript:itb13g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMPSLGSSVPSPSVLALAICHSDATLQNISKLYSDGCQSLELDFDNPFDFSDESLVISKTPLISISDDGKVWKWLLTAEGSGDTQKDKIQPGIAVEVSKSVVKEKDPQKDILPSDSSMSMKSNGAISNSGCLSNAAKNDKEVSFKINLVGQLHLLSSAVTMLAVPSPSLTSTLARGGNSPAVAVPLVALGTQNGSIEVIDVSANAVAASFSVHNSVVRGLRWLGNSRLVSFSYTQGTEKSSGFTNRLVVTCLRSGLNRTFRVLQRPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWSLPTVPRPSSKDHTAVSAETSSPKASPLDSKSGTDGSQDEFSETFSFALVNGALGVFEVHGRRIRDFRPKWPNSSFAASDGLVSAMAYRLPHVVMGDRLGNIRWWDVVTGQSSSFNTHREGIRRIKFSPVVPGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKSDPLILCIAGADSSFRLLDVNINDNKIAYAPQARSIKERYRPVPLCSPVLLPTPHAMALRTILQLGLKPSWFNTFSSTMDKGHYEVTATPSSTSDLCSYMLHSPRIGDSVVPEMLLKVLEPYRREGCLLDNEMVKLYGSIVNKGCAVRLGFAAAIFGEPMEALFWLQLPNAVNHWMKKLVNKSPAVSQSTSAPELDEAAMLNRISSRGKVAPGSEERNVVGNSKLKLMAFEEEELLKCANERIPWHEKLEGEEAIQNRVHELVSVGNLEAAVSLLLSTPPESSYFYANALRAVALSSAVSNSLLELAVKVVAANMVRTDNSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAASHLKGSDYARVLQRWADHVLRAEHNIWRALILYVAAGAFQEALAALREAQQPDTAAMFILACREIHAELVSSLDLDDEAIAAVKDKLVNLPGLSPENVDSIAVVEYYGQYQRKLIHLCMDSLPSSD >itb13g02340.t3 pep chromosome:ASM357664v1:13:2179485:2204483:-1 gene:itb13g02340 transcript:itb13g02340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQRPLNESWECMLPGPPSRSNGGSADLSTTGLLAYASGSSIAVVETHSMQLVTAIPLPPPSSSSAALSPFITSVRWSPHPLPHFLLSSDAPNQHLILAVGDRQGRICLLDLRSKTPLLFFDTSSSNSSSKPGIQDLCWVQTGPDSWAIAALSGPSLLSLYNTSTGRCFFKYDASPEYFSCLCRDPFDSRRFCALGLKGFLLSVKALGDSENDISHKELQIRTDTSELQKLERDSTAAVNGAPALATFPNYIVSSAFSPHWKHILFVTFPRELVVFDLQYETALYSAGLPRGCGKFLEVLPDTNMQVLYCAHLDGMVSIWRRKKGEQVYTICAMEELMPSLGSSVPSPSVLALAICHSDATLQNISKLYSDGCQSLELDFDNPFDFSDESLVISKTPLISISDDGKVWKWLLTAEGSGDTQKDKIQPGIAVEVSKSVVKEKDPQKDILPSDSSMSMKSNGAISNSGCLSNAAKNDKEVSFKINLVGQLHLLSSAVTMLAVPSPSLTSTLARGGNSPAVAVPLVALGTQNGSIEVIDVSANAVAASFSVHNSVVRGLRWLGNSRLVSFSYTQGTEKSSGFTNRLVVTCLRSGLNRTFRVLQRPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWSLPTVPRPSSKDHTAVSAETSSPKASPLDSKSGTDGSQDEFSETFSFALVNGALGVFEVHGRRIRDFRPKWPNSSFAASDGLVSAMAYRLPHVVMGDRLGNIRWWDVVTGQSSSFNTHREGIRRIKFSPVVPGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKSDPLILCIAGADSSFRLLDVNINDNKIAYAPQARSIKERYRPVPLCSPVLLPTPHAMALRTILQLGLKPSWFNTFSSTMDKGHYEVTATPSSTSDLCSYMLHSPRIGDSVVPEMLLKVLEPYRREGCLLDNEMVKLYGSIVNKGCAVRLGFAAAIFGEPMEALFWLQLPNAVNHWMKKLVNKSPAVSQSTSAPELDEAAMLNRISSRGKVAPGSEERNVVGNSKLKLMAFEEEELLKCANERIPWHEKLEGEEAIQNRVHELVSVGNLEAAVSLLLSTPPESSYFYANALRAVALSSAVSNSLLELAVKVVAANMVRTDNSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAASHLKGSDYARVLQRWADHVLRAEHNIWRALILYVAAGAFQEALAALREAQQPDTAAMFILACREIHAELVSSLDLDDEAIAAVKDKLVNLPGLSPENVDSIAVVEYYGQYQRKLIHLCMDSLPSSD >itb10g16690.t1 pep chromosome:ASM357664v1:10:22972304:22974850:1 gene:itb10g16690 transcript:itb10g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYMHVLCITFLLSFIFSCSAFTSRDYSVALEKSIIFYEGQRSGKLPANQRLTWRGNSGLSDGSTSHVDLVGGYYDAGDNVKFGLPMAFTTTLLSWSVIEFGDSMHNQLNNAKAAIRWGTDYLLKAATATPNTLYVQVGEPNQDHRCWERPEDMDTPRNVYKVSPQNPGSDVAAETAAALAAASIVFKDSDPSYSTKLLRAAMNVFNFADKYRGSYSDSLSSVVCPFYCSYSGYHDELLWGASWLYRASGITSYLDYIQSNGQTLGATDDDYSFSWDDKRPATKILLSKGFLDKNIQEFQLYKSHSDNYICSLIPGSPSLQAQYTPGGLLYKETGSNLQYVTSSSFLLLTYAKYLNSHGGAVTCGSSSFTADKLIALAKKQVDYILGDNPARMSYMVGFGQRYPQHIHHRGSSVPAVKQHPGRIGCSDGFQYFYSGSPNPNVLVGAIVGGPDNRDNFADDRNNYQQSEPATYINAPLVGALAFFSARS >itb10g16690.t2 pep chromosome:ASM357664v1:10:22972304:22974850:1 gene:itb10g16690 transcript:itb10g16690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYMHVLCITFLLSFIFSCSAFTSRDYSVALEKSIIFYEGQRSGKLPANQRLTWRGNSGLSDGSTSHVDLVGGYYDAGDNVKFGLPMAFTTTLLSWSVIEFGDSMHNQLNNAKAAIRWGTDYLLKAATATPNTLYVQVGEPNQDHRCWERPEDMDTPRNVYKVSPQNPGSDVAAETAAALAAASIVFKDSDPSYSTKLLRAAMNVFNFADKYRGSYSDSLSSVVCPFYCSYSGYHDELLWGASWLYRASGITSYLDYIQSNGQTLGATDDDYSFSWDDKRPATKILLSKNIQEFQLYKSHSDNYICSLIPGSPSLQAQYTPGGLLYKETGSNLQYVTSSSFLLLTYAKYLNSHGGAVTCGSSSFTADKLIALAKKQVDYILGDNPARMSYMVGFGQRYPQHIHHRGSSVPAVKQHPGRIGCSDGFQYFYSGSPNPNVLVGAIVGGPDNRDNFADDRNNYQQSEPATYINAPLVGALAFFSARS >itb15g02370.t2 pep chromosome:ASM357664v1:15:1421156:1428966:-1 gene:itb15g02370 transcript:itb15g02370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSTSLLRTKSDQLVETMAAAMAAMRSPASSGDGTGSGAPDSGGGGGTLSRKSSRRLAAASPGRSSTHIRKSRSAQMKFDLDDLSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKPFSDDDIPEDLEAGTDKKRIHSEPTMPIFLKFTEVSYKVVLKGVTSTEEKDILNGISGAVDPGEVLALMGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFIQTVPAFIAWLRYLSFNYHTFKLLLKVQYEQITNSVNGVKIDSGVKEVSALAAMVVGYRLLAYISLRRMKLQSRA >itb15g02370.t1 pep chromosome:ASM357664v1:15:1421156:1428966:-1 gene:itb15g02370 transcript:itb15g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSTSLLRTKSDQLVETMAAAMAAMRSPASSGDGTGSGAPDSGGGGGTLSRKSSRRLAAASPGRSSTHIRKSRSAQMKFDLDDLSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKPFSDDDIPEDLEAGTDKKRIHSEPTMPIFLKFTEVSYKVVLKGVTSTEEKDILNGISGAVDPGEVLALMGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQVCFFCK >itb15g02370.t4 pep chromosome:ASM357664v1:15:1421156:1428966:-1 gene:itb15g02370 transcript:itb15g02370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSTSLLRTKSDQLVETMAAAMAAMRSPASSGDGTGSGAPDSGGGGGTLSRKSSRRLAAASPGRSSTHIRKSRSAQMKFDLDDLSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKPFSDDDIPEDLEAGTDKKRIHSEPTMPIFLKFTEVSYKVVLKGVTSTEEKDILNGISGAVDPGEVLALMGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFIQTVPAFIAWLRYLSFNYHTFKLLLKVQYEQITNSVNGVKIDSGVKEVSALAAMVVGYRLLAYISLRRMKLQSRA >itb15g02370.t8 pep chromosome:ASM357664v1:15:1421156:1424254:-1 gene:itb15g02370 transcript:itb15g02370.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFIQTVPAFIAWLRYLSFNYHTFKLLLKVQYEQITNSVNGVKIDSGVKEVSALAAMVVGYRLLAYISLRRMKLQSRA >itb15g02370.t3 pep chromosome:ASM357664v1:15:1421156:1428966:-1 gene:itb15g02370 transcript:itb15g02370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSTSLLRTKSDQLVETMAAAMAAMRSPASSGDGTGSGAPDSGGGGGTLSRKSSRRLAAASPGRSSTHIRKSRSAQMKFDLDDLSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKPFSDDDIPEDLEAGTDKKRIHSEPTMPIFLKFTEVSYKVVLKGVTSTEEKDILNGISGAVDPGEVLALMGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQVCFFCK >itb15g02370.t6 pep chromosome:ASM357664v1:15:1421156:1424734:-1 gene:itb15g02370 transcript:itb15g02370.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQVCFFCK >itb15g02370.t9 pep chromosome:ASM357664v1:15:1421156:1424254:-1 gene:itb15g02370 transcript:itb15g02370.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQVCFFCK >itb15g02370.t5 pep chromosome:ASM357664v1:15:1421156:1428956:-1 gene:itb15g02370 transcript:itb15g02370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSTSLLRTKSDQLVETMAAAMAAMRSPASSGDGTGSGAPDSGGGGGTLSRKSSRRLAAASPGRSSTHIRKSRSAQMKFDLDDLSSGAALSRASSASLGFSFSFTGFTVPPDEIADSKPFSDDDIPEDLEAGTDKKRIHSEPTMPIFLKFTEVSYKVVLKGVTSTEEKDILNGISGAVDPGEVLALMGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQVCFFCK >itb15g02370.t7 pep chromosome:ASM357664v1:15:1421156:1424734:-1 gene:itb15g02370 transcript:itb15g02370.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGSGKTTLLSLLGGRIREPTGGSITYNEQPYNKFLKSRIGFVTQDDILFPHLTVKETLTYAARLRLPKTLTKEEKENRAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRMVEILQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASAALDYFSSIGCVPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQMGNSMRETRNGKPSPAVVHEYLVESYETQVAESEKKKLLAPLPIDEELKLKVSSIKREWGASWCEQYSILFWRGLKERRHDYFSWLRITQVIATALILGMLWWKSGGDKPSELQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYQLSAYFVARTTSDLPLDLFLPVLFILVVYFMAGLKHDAASFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFIQTVPAFIAWLRYLSFNYHTFKLLLKVQYEQITNSVNGVKIDSGVKEVSALAAMVVGYRLLAYISLRRMKLQSRA >itb04g13090.t2 pep chromosome:ASM357664v1:4:13111537:13113425:-1 gene:itb04g13090 transcript:itb04g13090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSELQRQLPTIHIDESVKMENNASVEAHGLDGRDFNVNFMHHIADYIFIIKKIVLKCLSNEGVHSTAIYLLSTLSTYSWEGKLTTMLASLAIIHGESNVKGSTHLK >itb04g13090.t1 pep chromosome:ASM357664v1:4:13112191:13113425:-1 gene:itb04g13090 transcript:itb04g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSELQRQLPTIHIDESVKMENNASVEAHGLDGRDFNVNFMHHIADYIFIIKKIVLKCLSNEGVHSTAIYLLSTLSTYSWEGKLTTMLASLAIIHGESNVKGSTHLK >itb08g03150.t1 pep chromosome:ASM357664v1:8:2628026:2631738:1 gene:itb08g03150 transcript:itb08g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSFSLFISFILFFFLFSTSILHASDLDVLLKMKASMVRQKSSGLSDWRDAGNNATSAAAVHCFFSGVKCDGDSRVIAINISGVPLFGTLPPEIGLLDRLVNLTLIGDNLTGELPPEMAKLTAVRAIVLENNTFSGDFPGEILAGMTELEVFNVYNNNFTGNLPVEFSKMEKLRILTLAGNYFAGEIPAAYSEITSLQILYLQANSLTGVIPPSLSNLPNLQELRLGYFNTYHGGVPPEFGKLATLRLLDLGSCNLSGTVPASLGNLKRLHTLFLQMNSLTGHIPAELGTMESLMSLDVSYNNMTGEIPESFSQLKNMTLLNLFNNKFHGGIPAFIGDLPNLETLQVWGNNFTFELPENLGRNGRLLYLDVASNRFTGTIPKHLCAGGKLKIMILMENFFFGPIPEELGECKSLTRVRVMKNFLNGTVPPGFFNLPLLDMLELNNNLFSGELPAEISARKLTNLGVSNNMIAGEIPPAIGELESLVKLSLGGNNFVGEIPNEISNLRKLLMIDLSGNGLKGEIPSSISQCTQLNSIDLSGNNLSGEIPKQISELHSLNSLNLSGNALTGAIPAELGQMNSLTVLDLSYNDFTGRRPANGQLRLFRDRFFTGNPNLCSPHARYCPSASSSSARNNHSHVFTTAKMVITIIILVTVALLLAVTWVIIRREKIRKSKAWKLTAFQRLDFRVDDILECLKEENIIGKGGAGIVYRGSMPNGVDVAIKKLIGRGTGCHDHGFSAEIQTLGRIKHRHIVRLLGYVSNNDTNLLLYEYMSNGSLGEMLHGTKGSYLQWETRYRIAMEAAKGLCYLHHDCSPAIIHRDVKSNNILLDSDHEAHVADFGLAKFLRDAGVSECMSSIAGSYGYIAPEYAYTLKVDQKSDVYSFGVVLLELITGHKPVGEFGDGVDIVRWVRKTISELSQPSDAASVLAVVDSRLNGYPLQGVINLFKVAMFCVEDESSARPTMREVVHMLTNSPQPAPTFITL >itb07g21410.t1 pep chromosome:ASM357664v1:7:25804325:25808237:1 gene:itb07g21410 transcript:itb07g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKVGLKRGRWTAEEDRILTDYIHANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKRGKFSPQEEEIIIKSHAILGNRWSLIAAQLPGRTDNEIKNYWNSHLSRKFYSFRRAGSEKTIENLETDLAKAAEQTKRRRGKVSRSAMKKNKTTDYKHYSNINHAPELPYFQRHKDTIPSLYTEPVNNNVAASAVQIPSSLPILMEKEDIDTSSFLCMVGSEYFSLDDIMPILVEDMDPTRTILSTSLNGSLENSVKEFGLQVEQPQHDLAKSGNSSIYNYSGLIPSDHHHQFGGGENSESTATSSSFPVEHHCSLAQNIIDWDDWQYYWDDDSGNNLYNTHNLMPQQNKDDVMLSSPWPWDDTFYI >itb05g25820.t1 pep chromosome:ASM357664v1:5:30010422:30013200:1 gene:itb05g25820 transcript:itb05g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSGSAMNKKRRARSGRKTRTRKPKFLSLRLQFAGDKSGDGGSPAAELPDCEKTQLNLFPLHPENLTAAAAAQEENYVVAEYNFFSAAESGATTLTGLLGAPSTSASSGGNKAFSSSDSLRFTYGGAALARAALRRKERKPSEEEKWVSYSEVVENERKDEEVTSSASDLRRRVSDTRRRRLSLKLDYEEIISAWSNRGSLYIQPECPQTVPDIIHDDFFSGGFYEPSSSHGTWERNMSLYTVPEMAGSRNDGEKAPAQDGGDEEAAAAGQTHRAASVLRYKEKRQNRLFSKTIRYQVRKLNAEKRPRVKGRFVKRD >itb05g25820.t2 pep chromosome:ASM357664v1:5:30010422:30012590:1 gene:itb05g25820 transcript:itb05g25820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSGSAMNKKRRARSGRKTRTRKPKFLSLRLQFAGDKSGDGGSPAAELPDCEKTQLNLFPLHPENLTAAAAAQEENYVVAEYNFFSAAESGATTLTGLLGAPSTSASSGGNKAFSSSDSLRFTYGGAALARAALRRKERKPSEEEKWVSYSEVVENERKDEEVTSSASDLRRRVSDTRRRRLSLKLDYEEIISAWSNRGSLYIQPECPQTVPDIIHDDFFSGGFYEPSSSHGTWERNMSLYTVPEMAGSRNDGEKAPAQDGGDEEAAAAGQTHRAASVLRYKEKRQNRLFSKTIRYQVRKLNAEKRPRVKVIIYLSILILYITSHIIQITKST >itb12g03450.t1 pep chromosome:ASM357664v1:12:2248428:2250158:1 gene:itb12g03450 transcript:itb12g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNAELLLLWLAAALLCWCSQEGAAESAKHTQNSAATDMGINGSQYSQAQRFPGHNRQPLRGTEEDGAQRLVDSAETQRYASVKANEMGDMASEKLGGFKNIVSDMAGGLKAKVKDKACDAYAFCSEKAEQGIDMASNVSTDAEETGKGAASSSYGHASDRMNQAGDMKDKASGKAKQAIKQGSDKAAYDEKIEKSEAFKRYQHAKSEVYETCASAKDTMTEQAKDKYEQAKERASQATGDLGAKMREPAPLKS >itb09g16520.t1 pep chromosome:ASM357664v1:9:11705080:11705499:-1 gene:itb09g16520 transcript:itb09g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKKNIGHATPLLLFLLSLGFFLATYNLITVIIHYKTVGSVKWIPGGSGSQPFRDPVVEMPKSMRKPKKAKLLFHIALTATNATYSKWQCRIMYYWYMKQKDLPGSEMGKFTRILHSGGPDNLMDEILPLWLILFQKG >itb01g19790.t2 pep chromosome:ASM357664v1:1:26049009:26053097:-1 gene:itb01g19790 transcript:itb01g19790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCHVLFLLLLQGIGATSRPLQQSFREVISDGTDHIQESSSLIRLYGEDSAEFCEQMYGFLPCSNTLPGHLFLILVYEYLLFHGESYVAAGGERIFKILGPGVFGASAFQILGFLPETLILLASGLLNSEEVAQEYVLTGVGLLAGTTILLLTIIWGTCVIVGNQELRNDTQSQPPSHSEDQKTHLEAFLSRSKGPGVVTDLETCKTARIMVSSVIPLAIMLILRVPSYFSSWEQLTILICLVVSVVFLLLYFFYQICQPWIQKRRLEYVKHEHLVVDILKHLQNQAIGKLLTEDGTLNVPAIKKLFDEIDQDNDSFISVLELKELLNKIRSRKLREDKDHITQEIWGDLDHDSDGKITLEEFMEGFEKWIGETKQTMNRRYHSTRSLKDLYEILKPWIQKKKEEHEMTKHIISVILKHVQDSAIGSLLTEDGKPDTNAIKRLFESIDKDGNRVITRSELKELINKIKFGIVPSNAEETVDKIMEELDASGDKLINEDEFVNGLCKWLHITNKHVPSTVESKDDVYYQVRKSIIFFLVHTILISRYLFNFLYINLQKNLKTIDRLLENRLDGSTLAWTKAIMLLALGIAMLGLLAEPLIQSVQNLSAAANVPSFYISFILVPLATNARIGISAIKEARHKKQRTTSLTFSEIYGGVFMNNVLGFCVLLSLIYFRGLSWHFSAEILVLLMVCGIMGCLTSLSTFFPVWTSAIAYLLYPLSLVLVYVFDDSFNSFST >itb01g19790.t3 pep chromosome:ASM357664v1:1:26049009:26053097:-1 gene:itb01g19790 transcript:itb01g19790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYCHVLFLLLLQGIGATSRPLQQSFREVISDGTDHIQESSSLIRLYGEDSAEFCEQMYGFLPCSNTLPGHLFLILVYEYLLFHGESYVAAGGERIFKILGPGVFGASAFQILGFLPETLILLASGLLNSEEVAQEYVLTGVGLLAGTTILLLTIIWGTCVIVGNQELRNDTQSQPPSHSEDQKTHLEAFLSRSKGPGVVTDLETCKTARIMVSSVIPLAIMLILRVPSYFSSWEQLTILICLVVSVVFLLLYFFYQICQPWIQKRRLEYVKHEHLVVDILKHLQNQAIGKLLTEDGTLNVPAIKKLFDEIDQDNDSFISVLELKELLNKIRSRKLREDKDHITQEIWGDLDHDSDGKITLEEFMEGFEKWIGETKQTMNRRYHSTRSLKDLYEILKPWIQKKKEEHEMTKHIISVILKHVQDSAIGSLLTEDGKPDTNAIKRLFESIDKDGNRVITRSELKELINKIKFGIVPSNAEETVDKIMEELDASGDKLINEDEFVNGLCKWLHITNKHVPSTVESKDDVYYQKNLKTIDRLLENRLDGSTLAWTKAIMLLALGIAMLGLLAEPLIQSVQNLSAAANVPSFYISFILVPLATNARIGISAIKEARHKKQRTTSLTFSEIYGGVFMNNVLGFCVLLSLIYFRGLSWHFSAEILVLLMVCGIMGCLTSLSTFFPVWTSAIAYLLYPLSLVLVYVFDDSFNSFST >itb01g19790.t1 pep chromosome:ASM357664v1:1:26049009:26053097:-1 gene:itb01g19790 transcript:itb01g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCHVLFLLLLQGIGATSRPLQQSFREVISDGTDHIQESSSLIRLYGEDSAEFCEQMYGFLPCSNTLPGHLFLILVYEYLLFHGESYVAAGGERIFKILGPGVFGASAFQILGFLPETLILLASGLLNSEEVAQEYVLTGVGLLAGTTILLLTIIWGTCVIVGNQELRNDTQSQPPSHSEDQKTHLEAFLSRSKGPGVVTDLETCKTARIMVSSVIPLAIMLILRVPSYFSSWEQLTILICLVVSVVFLLLYFFYQICQPWIQKRRLEYVKHEHLVVDILKHLQNQAIGKLLTEDGTLNVPAIKKLFDEIDQDNDSFISVLELKELLNKIRSRKLREDKDHITQEIWGDLDHDSDGKITLEEFMEGFEKWIGETKQTMNRRYHSTRSLKDLYEILKPWIQKKKEEHEMTKHIISVILKHVQDSAIGSLLTEDGKPDTNAIKRLFESIDKDGNRVITRSELKELINKIKFGIVPSNAEETVDKIMEELDASGDKLINEDEFVNGLCKWLHITNKHVPSTVESKDDVYYQKNLKTIDRLLENRLDGSTLAWTKAIMLLALGIAMLGLLAEPLIQSVQNLSAAANVPSFYISFILVPLATNARIGISAIKEARHKKQRTTSLTFSEIYGGVFMNNVLGFCVLLSLIYFRGLSWHFSAEILVLLMVCGIMGCLTSLSTFFPVWTSAIAYLLYPLSLVLVYVFDDSFNSFST >itb13g24550.t1 pep chromosome:ASM357664v1:13:30207986:30209093:1 gene:itb13g24550 transcript:itb13g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWVSSFSPESSSWDFRSFDNSLPFNLNDSEEMLLFGVLEQAAAEENSDDTNSSDSVKMEAETAAERSYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFAMRGAAAILNFPVERVRESLKEMRCQVEEGCSPVVALKRRHSMRKRRSSSAGRKSGKVVVGDVKVVENVVVFEDLGADYLEQLLSSSSEIMTPNHQW >itb09g01400.t1 pep chromosome:ASM357664v1:9:866807:867959:-1 gene:itb09g01400 transcript:itb09g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYNFQNIKAEKARAILRYRMMQKVTIVFRFVEFCIFLIVFSRFSVHLPPAFKLSGDYFRGLSVALISPWFVFIVGNAIVIILFLKSGRSSGNNGPTDDGKADLYDEYVKKCAKDQSFQEDNGRIEKQRKQTSVCVSGEEVNPHTYQSKKRKINRSQSESWSVQRREEPSKDLKRSVTVPCRKSGEKAAETDEMSSEEFRRTVEAFIARQQRALREEEFSVFVPCENSYHTLNL >itb02g24230.t1 pep chromosome:ASM357664v1:2:24572583:24573626:1 gene:itb02g24230 transcript:itb02g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNNVVGVCLIVVLLISCGVLVEGEGKRSRGGGRKRGRRSCDPLYLYLFGRCGQWPFPHNSPHNPFICPPPRRQPPPLPPPSPPPPVVSCPCPPPAPPPSPPPACAPSPPPPPPVCPPSPPPPPVCPPSPPPPSPPPPSPPPPSPPPPPPLVPSPPPPVPSAPPPDSPPLIIFPPPLDLSPPPQPTFPFPWLTPPIFSSPPPLFSFPPPLLVPITPPLQEPQPLPNFPPQLPFTFAPPTISNPPELPFTFPPPIISNPPETQLPFTFTPPVVSNPQNPEQPPETQLPFTFPPPIISDPPVIPQNPEQPPEITLPPPLVTDIPQNPVDPLPFISAPSEPNFGDPTLP >itb08g02480.t1 pep chromosome:ASM357664v1:8:1959635:1960878:-1 gene:itb08g02480 transcript:itb08g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTTMLPFLMVLLVLFDVTMGTQIYRVGDYFGWNNSTKVDYSQWSASKDFQVGDVLSFQFDPSNDNVFRVSAEDFAACRAPSPFGLPGDGQEFYPLDTPGRWFFICSIPGRCQSGQKLQILVHPLAPSSPSQPSLPTNPPAMAFSPAPSPINPPAPPQNNPSPSSSPAGSPLNESPSSGKLSPDQSPSNSPAGENSGSVAVPVNFWVEMAAVAVSVFSIARRN >itb04g28040.t1 pep chromosome:ASM357664v1:4:31891787:31892095:-1 gene:itb04g28040 transcript:itb04g28040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSPPTLTNLVFSDIDNLLLCMKMGRLLITSEDAPAATTPISRRRTFCRVTTITEFETSSSLLLLGKEACMRMKRKNRKMRRTRDSPKKSSVTRNLFALS >itb10g18410.t1 pep chromosome:ASM357664v1:10:24411555:24413843:-1 gene:itb10g18410 transcript:itb10g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYATPSNFNPWATQRFSSSFCSSPVDLGLPFKSISMKKPTRRVKIHCEAASSVLTLPEQPLPDGESPPPSQPKWNLLQRAAAAALDAAEGALVSRELQRPLPKTWDPGVQIAGNFAPVPEQPVRHNLPVDGAVPDCINGVYVRNGANPLFRPKAGHHLFDGDGMVHAVTINGGSVSYSCRFTETERLVQERGLGRPVFPKAIGELHGHSGLARLLLFYARGLFGLVDHSRGTGLANAGLVYFNGRLLAMSEDDLPYHIRFNPSGDLETVGRYDFNDQLKGTMIAHPKVDPESGDFFALSYDVVQKPYLKYFNVKSSGEKSPDVEIPLDVPTMIHDFAITENYVIIPDQQVVFKLQEMIRGGSSVIYEKNKKSRFGILKKTAKNSEDIIWVESPETFCFHLWNAWEEAETDEIVVIGSCMTPPDSIFNECEENLKSILSEIRLNLKTGESTKRPIITEQVNLEAGMVNRNRLGRKTRYAYLAIAEPWPKVCGLAKVDLSTGEMKKFMYGDGKYGGEPFFLPRNSNSEKEDDGHILSFVHDEKTWKSELQIVNAINLKLLATVKLPSRVPYGFHGTFITSYDLQNQV >itb01g34500.t1 pep chromosome:ASM357664v1:1:37168454:37169321:1 gene:itb01g34500 transcript:itb01g34500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMRDEEAQVKSNGVQKKEGFKYKLWVLAAILLLACWTMFTGSITLGLKWKHLSPKPDSPAIHDDLDLLDVEEREKVVRHMWDVYTESRTSRLPKFWQEAFEAAYEDMMSDYSAVRDAAVTEIAKMSLHSSAKPESRETQSGNDKEES >itb13g03590.t1 pep chromosome:ASM357664v1:13:3438769:3440083:1 gene:itb13g03590 transcript:itb13g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRNFATNTIGFCLLPSELIQHIILRLALPEIIRLKTVNKSIFSTIISDEDFARDYNLLSSSATWLFVYRKRWRRDAVLHGFTGGSDRWFKILIGDMLKPVIPPGEDVYFLTASGNIFLFALNTSQEVISVDILTRVVKKIPPSPLGPRGTSSWRRSGMKLLSCPRETKHFRFLFAEICENGPVLFEYDSKIDKWHSWEAEEVIGDLPHSLKRDNYIFLSTSNSRLGSTIIATGPQRDKTMILRPRYVERENEGGHLVVGFSWRNVMNRLHVYGDANMLIVASENACGTGELVRMLKGIELWGLSSNGRRWELVSRLPNGLIDKIRKPYGAMMGCMQERDGIIRAILMSNLEGSWNIIWLSYDKRLDLWEWLPLPDSQMKGSNLAGITFSSGLTLS >itb12g02330.t1 pep chromosome:ASM357664v1:12:1525780:1527833:-1 gene:itb12g02330 transcript:itb12g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAVKLITQTCHTLAQPEPIFLLLQKHSKSKSITLCKQIHANLLKAHANPQVHISNTLLSFYSECGDLCSAHRVFAQMPHRNVVTWTSLISSCIRYGAPEEALGLFKEMLEANESPNPYTFSVVVRACTSGGLIELGQQVHGLIVKYELADNEYTGSSLVDLYRKVIGSLSDAFYVFDRLSVRDLVLWNVMISGFAQAGDVNNVLRLFSEMREVDELRPNDFTLTSLLKCCCLLEDVEQIHGLALKFGFDRDVVVGSALVDLYGKCGDLCSGKKIFDSMEVKDGYSWSSMVTGNVRSGREKNAVLLFKSMLSQGVKPDQHVLSSTLRACREIGELETGIQIHSQTIKNGYQKDCFVGSGLINLYAGANEICEVEKLFRGMVNKDIVAWNTMIMCYAQMEEGSASLCISLLRELNHSVLAPDEATFVAVINSCKSASDLAMGVQVHCSIVKLRQGYETSIGNALVRMYSDCQNIESARKAFTGIAHKDEVSWSSLIGIYHQNGFDLEALRIFKEMLADGKPPTRFSLPLGITACAKIAAVDMGKQFHSLICKLGYNRDVYVGSSVVDMYAKCGSVDDAEKAFEEQGEPNEVALNSLISGFARDGNAHKAIQLFREMESMRFAPNSITFLTVLSACSHAGLARGGV >itb12g02330.t2 pep chromosome:ASM357664v1:12:1525527:1527833:-1 gene:itb12g02330 transcript:itb12g02330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAVKLITQTCHTLAQPEPIFLLLQKHSKSKSITLCKQIHANLLKAHANPQVHISNTLLSFYSECGDLCSAHRVFAQMPHRNVVTWTSLISSCIRYGAPEEALGLFKEMLEANESPNPYTFSVVVRACTSGGLIELGQQVHGLIVKYELADNEYTGSSLVDLYRKVIGSLSDAFYVFDRLSVRDLVLWNVMISGFAQAGDVNNVLRLFSEMREVDELRPNDFTLTSLLKCCCLLEDVEQIHGLALKFGFDRDVVVGSALVDLYGKCGDLCSGKKIFDSMEVKDGYSWSSMVTGNVRSGREKNAVLLFKSMLSQGVKPDQHVLSSTLRACREIGELETGIQIHSQTIKNGYQKDCFVGSGLINLYAGANEICEVEKLFRGMVNKDIVAWNTMIMCYAQMEEGSASLCISLLRELNHSVLAPDEATFVAVINSCKSASDLAMGVQVHCSIVKLRQGYETSIGNALVRMYSDCQNIESARKAFTGIAHKDEVSWSSLIGIYHQNGFDLEALRIFKEMLADGKPPTRFSLPLGITACAKIAAVDMGKQFHSLICKLGYNRDVYVGSSVVDMYAKCGSVDDAEKAFEEQGEPNEVALNSLISGFARDGNAHKAIQLFREMESMRFAPNSITFLTVLSACSHAGLVEESLFFFNLMMNQRYNMKPESEHYACLIDVLGRVGRLEEAYELVQNGGCVFAWKTLLNACRNYENVKIAEKCAGKVAEIDPSDHSPYIILSNMYSREGKWEEASKLRQKMVHIGMQKNPGSSWLM >itb12g02330.t3 pep chromosome:ASM357664v1:12:1525527:1527254:-1 gene:itb12g02330 transcript:itb12g02330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISGFAQAGDVNNVLRLFSEMREVDELRPNDFTLTSLLKCCCLLEDVEQIHGLALKFGFDRDVVVGSALVDLYGKCGDLCSGKKIFDSMEVKDGYSWSSMVTGNVRSGREKNAVLLFKSMLSQGVKPDQHVLSSTLRACREIGELETGIQIHSQTIKNGYQKDCFVGSGLINLYAGANEICEVEKLFRGMVNKDIVAWNTMIMCYAQMEEGSASLCISLLRELNHSVLAPDEATFVAVINSCKSASDLAMGVQVHCSIVKLRQGYETSIGNALVRMYSDCQNIESARKAFTGIAHKDEVSWSSLIGIYHQNGFDLEALRIFKEMLADGKPPTRFSLPLGITACAKIAAVDMGKQFHSLICKLGYNRDVYVGSSVVDMYAKCGSVDDAEKAFEEQGEPNEVALNSLISGFARDGNAHKAIQLFREMESMRFAPNSITFLTVLSACSHAGLVEESLFFFNLMMNQRYNMKPESEHYACLIDVLGRVGRLEEAYELVQNGGCVFAWKTLLNACRNYENVKIAEKCAGKVAEIDPSDHSPYIILSNMYSREGKWEEASKLRQKMVHIGMQKNPGSSWLM >itb12g05200.t1 pep chromosome:ASM357664v1:12:3454834:3457915:-1 gene:itb12g05200 transcript:itb12g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCGGAVIGGSRAAGFPATLYSRCRTALRCYSSSSDHLSFIKDVAATQPPEHLNGLLNMLQMKGEQIISPGTKQGLIPLAIPLSKKSSGTVTALLRWPTAPPGMEMPVVEVRKYGVWLLAKNVDQHIHRILVEEDASSPEKSDALFHASAGAGEKLYKMGDFAASQVSSLDIYLLKKVGLFPDVIERKIKQHFDKGDDISALVTGEFYTRKEHFPGFGRPFVFNAEVLLRVGRNVEAKDAARGALKSPWWTLGCEYQKVANMASWEDEQIEFVKEKVTQEGRQEDLKKGKDPAQIALDEAAFLLDLASIDGKWDDYLERIAECYKEAGLHDIASFVVYRD >itb05g26320.t1 pep chromosome:ASM357664v1:5:30274158:30277786:1 gene:itb05g26320 transcript:itb05g26320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSSGLIIGTSIGLVIGAFLAVLGVFCFRVHKKRSQIGNSSSRRAAAIPIRANGADASTVLSDSSVATESPRTTVDSGISLWVRRPSVLSASGILEYSYKDLQRATYNFSTLIGQGAYGPVYKAQLSTGESVAVKVLAMDSKQGEKEFQTEVVLLGRLHHRNLVNLLGYCAEKGQNMLIYVYMSKGSLASHLYDDKLEPLSWDLRAQIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVTKHASNIRGTFGYLDPEYISSRTFTKKSDVYSFGVLLFELVAGRHPLQGLMEYVELAAMTADVKGGWEEIVDPRFNGKYDVQELNGVSALAYRCVNRAPKQRPAMRDIVQVLSRMLKSRHSRKHPKHLPATPEEVCISMEDVDHKSPTFGLQQVESMDITAESCEV >itb08g05990.t3 pep chromosome:ASM357664v1:8:5118987:5125813:-1 gene:itb08g05990 transcript:itb08g05990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLREQVLPLLAAANNHGDLDVKLSSLRQVKDVLLSADASQAAEIFPYLIDLQSSPETMLRKYLIQVIEDIAAKSMEHALMLMPVLLASLRDNSSLVVKQSIVSGTSIFYGVVEELSMQFHRRGLIERWLEEFWTWMIKFKDAIFGILFEAGPVGVRLLAIKFLEIYVLLFTPDATDSERYTSEVGQLHVSMLLQYQESIVLLFLLCFSTLP >itb08g05990.t2 pep chromosome:ASM357664v1:8:5120227:5125803:-1 gene:itb08g05990 transcript:itb08g05990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLREQVLPLLAAANNHGDLDVKLSSLRQVKDVLLSADASQAAEIFPYLIDLQSSPETMLRKYLIQVIEDIAAKSMEHALMLMPVLLASLRDNSSLVVKQSIVSGTSIFYGVVEELSMQFHRRGLIERWLEEFWTWMIKFKDAIFGILFEAGPVGVRLLAIKFLEIYVLLFTPDATDSERYTSEAPTKFRRAFNISWIIGHHPIMDPAALISDANRTIGILLELLRSASSVPGSLTISVVNSL >itb08g05990.t1 pep chromosome:ASM357664v1:8:5118981:5125862:-1 gene:itb08g05990 transcript:itb08g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLREQVLPLLAAANNHGDLDVKLSSLRQVKDVLLSADASQAAEIFPYLIDLQSSPETMLRKYLIQVIEDIAAKSMEHALMLMPVLLASLRDNSSLVVKQSIVSGTSIFYGVVEELSMQFHRRGLIERWLEEFWTWMIKFKDAIFGILFEAGPVGVRLLAIKFLEIYVLLFTPDATDSERYTSEAPTKFRRAFNISWIIGHHPIMDPAALISDANRTIGILLELLRSASSVPGSLTISVVNRSWREN >itb08g05990.t4 pep chromosome:ASM357664v1:8:5121145:5125832:-1 gene:itb08g05990 transcript:itb08g05990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLREQVLPLLAAANNHGDLDVKLSSLRQVKDVLLSADASQAAEIFPYLIDLQSSPETMLRKYLIQVIEDIAAKSMEHALMLMPVLLASLRDNSSLVVKQSIVSGTSIFYGVVEELSMQFHRRGLIERWLEEFWTWMIKFKDAIFGILFEAGPVGVRLLAIKFLEIYVLLFTPDATDSERYTSEAPTKFRRAFNISWIIGHHPIMDPAALISDANRTIGILLELLRSASSVPGSLTISVVNR >itb08g05990.t5 pep chromosome:ASM357664v1:8:5120883:5125862:-1 gene:itb08g05990 transcript:itb08g05990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLREQVLPLLAAANNHGDLDVKLSSLRQVKDVLLSADASQAAEIFPYLIDLQSSPETMLRKYLIQVIEDIAAKSMEHALMLMPVLLASLRDNSSLVVKQSIVSGTSIFYGVVEELSMQFHRRGLIERWLEEFWTWMIKFKDAIFGILFEAGPVGVRLLAIKFLEIYVLLFTPDATDSERYTSEVGQLHVSMLLQYQESIVLLFLLCFSTLP >itb14g21340.t1 pep chromosome:ASM357664v1:14:23404077:23404604:1 gene:itb14g21340 transcript:itb14g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPLCLIFSPPPRFRRTYLPTTRRPVEAELHADSVRRRGEERRGRSLVETQPPSSSPRCCHPHLSLVYRPKSEDDDGRCPVTAIDQGRRPPEFCRSLLPIAVYRTPASLFIIYKL >itb11g10710.t3 pep chromosome:ASM357664v1:11:7656386:7660464:1 gene:itb11g10710 transcript:itb11g10710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRTPFRGIAEDVRGRVACYKQDWVAGIRSGIGILAPTAYIFFASALPVIAFGEQLSRDTDGSLSAVETLSSTAICGIMHSILGGQPLLILGVAEPTIIMYTYLYKFAKDQKDLGQQLYLAWTGWVCVWTALMLFLLAIFNAGRVINRFTRIAGETFGMLIAVLFIQEAIKGIVSEFNVPKDEDPKSDKNQFQWLYTNGLLGVIFSLGLLYTALKSRKARSWWYGTGWFRSFIADYGVPLMVLVWSALSYSIPAKVPSGVPRRLYSPLAWDSGATQHWTVIQDMGRVPAAYIFAALIPAMMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDIFLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLVVLKRKLMRRKMVETAKESIRQKESKQGMYGKMQAVFIEIDSSSISAVDKELEDLKEAVMKIGNEGEKGNDTFDPEKHIDEYLPVRVNEQRVSNLLQSVLVAASVCAVPVMKKIPTSVLWGYFAYMAIDSLPGNQLWERMLLLFITPARRFKVLEGAHASFVETVPYRHIVIFTVFQFVYLLLCYGVTLIPIAGILFPVPFFLLITIRQHLLPKLLPPRSLQELDAAEYEEIAGALQPSLSISFRVS >itb11g10710.t2 pep chromosome:ASM357664v1:11:7656386:7659343:1 gene:itb11g10710 transcript:itb11g10710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRTPFRGIAEDVRGRVACYKQDWVAGIRSGIGILAPTAYIFFASALPVIAFGEQLSRDTDGSLSAVETLSSTAICGIMHSILGGQPLLILGVAEPTIIMYTYLYKFAKDQKDLGQQLYLAWTGWVCVWTALMLFLLAIFNAGRVINRFTRIAGETFGMLIAVLFIQEAIKGIVSEFNVPKDEDPKSDKNQFQWLYTNGLLGVIFSLGLLYTALKSRKARSWWYGTGWFRSFIADYGVPLMVLVWSALSYSIPAKVPSGVPRRLYSPLAWDSGATQHWTVIQDMGRVPAAYIFAALIPAMMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDIFLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLVVLKRKLMRRKMVETAKESIRQKESKQGMYGKMQAVFIEIDSSSISAVDKELEDLKEAVMKIGNEGEKGNDTFDPEKHIDEYLPVRVNEQRVSNLLQSVLVAASVCAVPVMKKIPTSVLWGYFAYMAIDSLPGNQLWERMLLLFITPARRFKYVSCYFIPAAFLDLNS >itb11g10710.t1 pep chromosome:ASM357664v1:11:7656386:7660464:1 gene:itb11g10710 transcript:itb11g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRTPFRGIAEDVRGRVACYKQDWVAGIRSGIGILAPTAYIFFASALPVIAFGEQLSRDTDGSLSAVETLSSTAICGIMHSILGGQPLLILGVAEPTIIMYTYLYKFAKDQKDLGQQLYLAWTGWVCVWTALMLFLLAIFNAGRVINRFTRIAGETFGMLIAVLFIQEAIKGIVSEFNVPKDEDPKSDKNQFQWLYTNGLLGVIFSLGLLYTALKSRKARSWWYGTGWFRSFIADYGVPLMVLVWSALSYSIPAKVPSGVPRRLYSPLAWDSGATQHWTVIQDMGRVPAAYIFAALIPAMMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDIFLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLVVLKRKLMRRKMVETAKESIRQKESKQGMYGKMQAVFIEIDSSSISAVDKELEDLKEAVMKIGNEGEKGNDTFDPEKHIDEYLPVRVNEQRVSNLLQSVLVAASVCAVPVMKKIPTSVLWGYFAYMAIDSLPGNQLWERMLLLFITPARRFKVLEGAHASFVETVPYRHIVIFTVFQFVYLLLCYGVTLIPIAGILFPVPFFLLITIRQHLLPKLLPPRSLQELDAAEYEEIAGALQPSLSISFREMEVHNIPGSDEEGEDGMNDAELLDELTTRRGELKLRTISFDDKRPQVHPTDHSTDSEVEELH >itb05g25790.t1 pep chromosome:ASM357664v1:5:30003061:30004743:-1 gene:itb05g25790 transcript:itb05g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKEAGTGIASDGKSSAVKGGKTVEECQGMIQKSLRTPMVKFLREHMEKAGCGVGEKFFKAIHCDQSVGGGYVPGEGVVVCSNHMNIQDEVNQVVIHALIHAYDDCRANIDWTDCAHLACSKIRANHLSGDCHYKREFLRGNLKIRGHEQECVKRRVIKELTPHFSETAARDAMEAVWETCYNDTKPFDRAP >itb09g01640.t1 pep chromosome:ASM357664v1:9:1003901:1006856:1 gene:itb09g01640 transcript:itb09g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNISSRALRFPQELQHPEMPISFKARIFPHSAKIHGVYNKKGKAFSFSLSCALKTAKGKKGGKKKVLAPDSDRAPAVFEGKTFLNGQAPARRRPDVRRSNDGGVGLFGAFPKRVFAGLSNLFSVAGLMALGTIIDQGEVPGFDIQKFQMFSSPIFLGTLALLGASLMNCPDQSETPLMKVARRWSFLNSREPVSEQESAETLPKTSVEDLGITLMGTGYEVFLKGPLYAFKGLASQIAPVVVNLALILVMMSGGTLGATVGDEHDPIAGLPTPSDYYQSGEVSQLRADLSSHDLKGKDPLRCSGIRIYKTDRRFPQPLKTNGDKMLVVTLVPVEDSNSSSNVKEIVDAGSPKANGNEDDSSPDVKGAEEADLPNVKKTEDDGDSPNVKGISMVATDSHSVIIYHKEGKVVGVRQHNSQLPIEADGTEIVIVDTTGTAGLDLETGDQGAKIVYAGFGAVILMNCINYLSNAEVWALQDGTTVIVGGKTNRAKGEFPDEIIRLLDQVPAEIFESSSSSSSSTESDDLSG >itb10g05290.t1 pep chromosome:ASM357664v1:10:5408240:5408611:-1 gene:itb10g05290 transcript:itb10g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKESIRMEMSVQSIYERVRSLASGNAVVIFTLSGCCMCHVVKQLLFGLGVGPTIVELDRDAHGREIYDLLLNLPGSAPGQQQPVPAVFVGGRFLGGIDTVMGCHINGTLVPLLKDAGALWL >itb02g07920.t1 pep chromosome:ASM357664v1:2:4961425:4965304:1 gene:itb02g07920 transcript:itb02g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLNAFKEVLKPCNNQSSSNSSQTSLISTQFDSSTVNPRKPPKSSLSRQLLLLEDSFTSSSWTQSQRPQKQTNSSIGVKDEKEEEEAVEEEKPLTFGRSKLESFVLDHTGPYEPLVLSPPGETPVVQVPASINCRLLEHQRAGVKFLYGLYRNNHGGVLGDDMGLGKTIQTIAFLAAVYGKDADATDSISITGDLERKGPVLVVCPTSVIHNWENEFSKWATFSVYVHHGPNRELIIDKLERYGVEIIITSFDTYRIHGSILSDVQWEIVIVDEAHRLKNDKSKLYQACLEIKTKKRYGLTGTIMQNKIMELFSLFDWVVPGCLGTREHFREFYEDPLKHGQRSTASNRLVQVADERKQHLVSVLQKYLLRRTKEETIGHLMLGKEDNVVFCAMSALQKRVYQRILQLPDIQCLINKDNPCSCGSPLKQVECCKRIVPDGIIWPYLHRDNPDGCDSCPFCLVLPCLVKLQQVSNHLELIKPNPRDDPDKQRKDAEFAAAVFGPDIDLVGGNTQNESFMGLSDVKHCGKMRALEKLMFTWISQGDKILLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPTGLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANCVVIFDPNWNPAQDLQAQDRSFRFGQKRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSDIIELQENKGKEDGGSLNLSDLGMHFLPEKVITGSSSLTSECQEPKKGDTKLKLEDLGIVYAHRNEDVVNLRPVAIPTSEEQNMQQRKFPGVGRRKLDATNGKEKAGNSDEVKMHKKRQYCRIAQFMGMKELQFSKWILSATPAEREKVLKDYKKRKEKVLNG >itb13g01690.t1 pep chromosome:ASM357664v1:13:1539667:1540041:1 gene:itb13g01690 transcript:itb13g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVIVADGSASFFRPISFILVVSLNGIGEVEGLVGVVRTLRFFTLKWLDGRQWCCLSFRRCLPNGKRRSCMSPAATDLQSEKPTMAEVGGGRRRCRGSGPREGVANTGRVFPSFFSLVLLFTV >itb14g17310.t1 pep chromosome:ASM357664v1:14:20572771:20574731:-1 gene:itb14g17310 transcript:itb14g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGKPNRSDVHLSAQEEAAMEEATRKHFDALAPNRHSKPQRSDYSSTYTDTYSNGVHGDAEIPELLQFQRLEKDQQKLCYSEGKLREEFVETEYYKDLNCVDKQHHTTGTGFIKVEGALRNSFKIEPDSDAEFHASCKGNPATNEWIPAPADAINFISDKPGRSDN >itb09g25240.t1 pep chromosome:ASM357664v1:9:25189790:25196827:1 gene:itb09g25240 transcript:itb09g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNRLQRACTALGDHGEDSALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHRLDEGREYAEFGHLPRKRFTDFGAVRKEIADETDRETGRSKAISSVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKVSREVDPKGDRTFGVLTKIDLMDKGTDAVDILEGKAYKLQFPWIGVVNRSQQDINKNVDMIAARRREREYFSSTPEYKHLAHRMGSEHLGKVLSKHLESVIKSRIPGLQSLISKTIIELETELSRLGKPIATDAGGKLYMIMEICRIFDGIFKEHLDGVRSGGDKVYNVFDNQLPAALKRLQFDKQLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESSVVSIKGPAEASVDAVHGILKDLVHKSINETMELKQYPSLRVEVSNAAVESLERMRDESKKATLQLVEMECSYLTVDFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTDLGKKEAKQLGKLLDEDPAVMQRRISLGKRLELYRAAQAEIDSVAWSK >itb10g03750.t1 pep chromosome:ASM357664v1:10:3495885:3500050:1 gene:itb10g03750 transcript:itb10g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVETDAQSLGASSLPVPSVQQLAKENPTLLPTRYIRDDIESPAASPSVSDVPVIDMQKLLCEDSGDSELQKLHFACRDWGFFQVINHGVNSSLVEKAKCEAQAFFNLPLEVKQNKYGQVAGEREGYGQQFVVSEEQKHDWADMFYIQTLPLEVRSPQLFPNLPEAFRNTIEAYSLEVHKLAMKILSLVAKILGIKAEEMSMLFEEGMQSIRMNYYPPCPQPELVLGLSPHSDAGGLTILLQANETQGLEIKKDGIWIPIVPIHNAFIVNIGGSLEIFTNGIYSSIEHRGVVNREKERISIATFHSPKMNGELGPASNLITPQTPAKFKRVSVMDYFRQFLGRKLDGKSHVDAYKITC >itb15g18930.t1 pep chromosome:ASM357664v1:15:20816231:20816877:-1 gene:itb15g18930 transcript:itb15g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGNDEIKISENNDAIEIKIKTMFCRQTPTLRIDKRVGVGALKEEVTLVTGVLAEHQRLIFRGKALSDDKQLSDYHVEDGDTLLLIVTTTSDPQGLFVRLSPL >itb03g18030.t1 pep chromosome:ASM357664v1:3:16420582:16425738:1 gene:itb03g18030 transcript:itb03g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGIDHRETCVLGFLAHGSDVPHQRRAASLPISPTDSNPEMNSSNLLLEEPIRMATILEPSKASVFPAMTKIIGTLGVKSRSVDVISDCLKAGMSAARFDFSWGDPEFHQETLENLKMAVKKTKKLCAVMLDTTGPELQVINKSNHPISLEADTLLVLTPDVEKEATPNLLPINYNGLAKAVKTGDTIFIGQYLFTGKETTSVWLEVTEVKGDDVVCLIKSSATLSGSLYTLHVSQIHIDQPTLTELDKEVISTWGVRNSIDFLSLSYARHAEDVRHAREFLSKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIIFGRGNLGIDLPPEKVFLHQKVALFKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGCDAILLGAETLRGLYPVETISIIGKICSEAEKVFNQDLYFKRTVKYVGEPMSHLESIASSAVRAAIKVRASVIICFTSSGRAARLIAKYRPTMPVLSVVIPQLKSNQLRWTFSGAFEARQSLIVRGIFPMLADPRHPGDPANATNESVLKVALDHGKALGVIKPHDRVVVCQKVGDSSVVKIIELED >itb13g14540.t1 pep chromosome:ASM357664v1:13:21168522:21169206:1 gene:itb13g14540 transcript:itb13g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVKPQTFHALFFTLFACLPSLCRSCHDGTKIQGMFVFGSSLVDNGNNNNLIDAIAKADYLPYGVDFPGGASGRFTNGKNVIDLLGQHLQLPAYIPPFTHPSTRGPNIVHGVNYASGGSGILDDTGSVLVRM >itb08g04830.t1 pep chromosome:ASM357664v1:8:3991437:3994207:-1 gene:itb08g04830 transcript:itb08g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQTISSQIDRLRISPISSSFATADNICNLLNATTPIGDDGEEGTDDWVGSGFYVEFRGKGFLRVFVKLMMKMAINGNGRKVVKRINEEEIIVLIEMAARLVHKSLAFLLIISILFIRPDEAEARPFTAAKPPSFQAVAAVKTGGPSSGGRGHRLTDDQIVGEIMNSGPSPGANH >itb08g04830.t2 pep chromosome:ASM357664v1:8:3993887:3994207:-1 gene:itb08g04830 transcript:itb08g04830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQTISSQIDRLRISPISSSFATADNICNLLNATTPIGDDGEEGTDDWVGSGFYVEFRGKGFLRVFVKLMMKMAINGNGRKVVKRINGEIIEIFAIFSQLLSAV >itb14g18230.t1 pep chromosome:ASM357664v1:14:21329565:21331224:-1 gene:itb14g18230 transcript:itb14g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEKATDLGSPETVKFSEHVIMTNKHVPEGSFGSGAPGMLRNRVVRIVVTDGDATDSSGDEGERGVGRRRVKRHVSEIKLVPPPAEQRVQFTSSGSKKRGVSDVTSSESDASSRKKFRGVRQRPWGRWAAEIRDPTRGKRLWLGTFDSPEEAATVYDKAAVRLKGADAVTNFPKLTVTETAVDASNNAAVLSPASVLRYDDSTPFDSPVQSDVTPNGDEFTALTNLDDFGNFDSPIDFDFLGLPSFRLLANHRAEEFDEFDVDYFLLENQLEDPRSLMAEL >itb06g15090.t1 pep chromosome:ASM357664v1:6:19423205:19424392:-1 gene:itb06g15090 transcript:itb06g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGGEETLKPKNHKKQQKLLCHSSKNFHTNSPTNTLHNSIRQPSKFSSFCIHPNSSFHLFQFPMAQNSSRKALHTLAPLCLLLLIHNATGYEFKVGGPGNWSVPSDPNTGTYSQWAERSRFQIGDTIVFNYPADKDSVLLVNKDDFTNCNTAAPLEKYSDGNTMFKFNHSGPFYFISGVQDNCKKNEKVVITVLADRSNHSSASPPSPSTSETNSPSPAPAGEEAPSPPTGSVEINPTPAPSQESHPKSDGALIVTGLFTSIGALVGSSALLF >itb09g26900.t1 pep chromosome:ASM357664v1:9:27470027:27470713:-1 gene:itb09g26900 transcript:itb09g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGLRRSSSRTNREIEVPKGHFAVYVGESEKKRFVLPISFLNNPSFQGMLNQAEEEFGFDHPMGGLTIPCPEDTFLDIISSLSTY >itb05g12090.t1 pep chromosome:ASM357664v1:5:18461684:18464086:1 gene:itb05g12090 transcript:itb05g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLQSCARSVKSINTPNSLLHGKMFHLLFLKRGVLNSALTIANRLIQMYARCGQMSDARKMFDEMPERNYFTWNTLLEGYMKCGMISDSLRLFSSMPQKNDFSWNVAILGLVNAGELDTARRLSYEMPRKNAIAWNALIDGFARTGFLGVALRLFREFSCFGEKSCVDPFVLTTVVKACTNLGSLRLGKQIHAHIIVDQVEIDSTLASSLVNMYGKCGDLDSAHHILSRMLIPDDFSLSAMILAYANCGRMKDARQIFDVKTDPCVVLWNSMITGYVSNGDTSEAFFMFDEMHKAGITGDSLTLVSVLSACSSAHIVENCQLVHAFACKLGLVDDLIVASALIDTYAKCGYSCDACKIFGELKMHDTILLNSMITIYCSCGRVEDAKWIFESMPHKSLISWNAIIAGLCQNGLPIEAMDIFCRMNKMDIRMDRFSCASMISACASISSLDLGEQVFARAIIIGIDFDQIVSTSILDLYCKSGLIKQARKIFDQIVEPDEASWNSMLMGYATNGYGTEALNLFCEMRCAGVLPTNITFTGVLSACNHCGLLEEGKKWFNAMRHDYHTDPGIEHYSCMVDLYVRAGCLEEAMNIALTMPFEADASIWSSILRGCVAQGNEILGKQVAKRITELDPENSSAFAQLSSIYATSGKWERSALVWNLMKEKGVHKIPGQSWRDTWI >itb02g24050.t1 pep chromosome:ASM357664v1:2:24369201:24370993:1 gene:itb02g24050 transcript:itb02g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGENDSVQIREVWDYNLEQEFALIREVVDEYPYIAMDTEFPGVVIRPVGNFKNSCDYHYQTLKDNVDMLKLIQLGLTFSDEEGNLPKCGTHTHCIWQFNFREFNPNEDVFANDSIELLRHSGIDFQKNIEKGIDARRFGELLMSSGVVLNDNVHWVTFHSGYDFGYLLKLLTCQMLPESQPGFFTLIKAYFPVVYDIKHLMKFCNSLHGGLNKLAELLEVKRVGICHQAGSDSLLTACTFRKLKESFFSSSVEKYAGVLYGLGVESS >itb10g03880.t1 pep chromosome:ASM357664v1:10:3697427:3700227:1 gene:itb10g03880 transcript:itb10g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMLAKLGGSMQVPSVKELAKESPETVPPRYLRDDVDPPAPAKKEIPVINMANLLQGECDDELKKLDFACKEWGFFQLINHGVSSSLIEKVKTDTKDFLNLPMDEKKKFWQEAGDLQGFGQAFVHSDEQKLDWCDMFYIITSPTYLRKPNLFPNIPLPFRETVEMYAEELRNLALTILDYLAKALGIEQEHVRGLFEEGMQSMRMNQYPRCPQPDKVIGLYPHSDAVGLTILLQLNEMEGLHIKKEGMWIPVKPIPGAFIVNIGDILEILTNGIYKSVEHRAVVNSEKERLSVGTFLSPRLEAELGPIPSLITPQNPAKFRSVGVTDYYKGFYSRKLDSKSYIDVMRI >itb06g20030.t1 pep chromosome:ASM357664v1:6:23142111:23145230:-1 gene:itb06g20030 transcript:itb06g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFLPPEKQQQQQQNTQKKQQRKRKQQLQNPKKQPNKPAKPPSSWDSFKSLLTCKQVEGSAVHDPSKNGAGAGYVLGGPCGSICSFRDVVHGNTSRVVHRADNSPESSSLGQETRLLSKKNGGCANSGSSSRSLAAAARSHGGSSYGGSSRAMQFRKLSGCYECHTIVDPSRYPMPRTICACPDCGEVFPKIESLEHHQAVRHAVSELGPEDSSRNIVEIIFKSSWLKKDNPVCKIERILKVHNTQRTIQRFEDCRDAVKVRANAAGKKNPRCAADGNELLRFYCTTLTCALGARGASSLCGSLPGCGVCTIIRHGFQGNKVAGIRTTASSGRAHDCPNGGNDGRRAMLVCRVIAGRVRRVVEDTGAGDEEAAAPAGLSAGAGSYESVAGYGGIYSNLEELYVFNPRAILPCFVVIYKAMES >itb03g29640.t3 pep chromosome:ASM357664v1:3:30594096:30601841:-1 gene:itb03g29640 transcript:itb03g29640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTQFQRRVLYPELNQDHHHLPNMYQGVKALQKGLRPNHSHFRTCTQQRPRCIMRRLDPADVDGELVVASISSECSVESDDLTDSRQRSPLASDCEIGGKNALRSPSSVIPKDQSPVGQISRREATGLVNLSSSRCVNSSSPKRGPLNSFVANLQVPCHGAFRSAPDSSVSSPSRSPMSGIGNEQVPGSMLWAGRPPDIPLLGSGQCSSPGSGQNSGHNSMGGDMPGQLFLQPSRGSPEYSPNPSPRMRSPGRSSRVQSGAVTPIHPRAGGRDCESQTCWPDDGKQQSHPLPLPPVRMSNSLPFSHSNSATASPSVARSPGKAENLTSPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESAKQLAQEIALLSRLRHPNIVQYYGSEMVGDMMYIYLEYVSGGSIYKLLQEYGAFGETAIRSYTQQILSGLAYLHAKQTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSLKGSPYWMAPEVIKNSNGRNLAVDIWSLGCTVLEMATSKPPWSQYEGVAAMFKIGNSKELPIIPEHLSDEGKDFIRQCLQREPRSRPTAAQLLDHPFVKNAVPPEKPVISSMQSDPPVAGMDGMKSLAIGHARNTPCFEPERLAIHSFRVSKSSIYGSEIHVPRNISCPVSPIGSPLLHPRSPQHLNGRMSPSPISSPLAASGSSTPLTGGNGAIPFHHFNQSIYLQEGIANLSQGLYINAPSYWEPDASRGMQSGAHALWDVQPTENDALAKLFGRPTSGELNNGQSVLANRVSEQLLRDHVKLIPPLDLSPCHPLAGRTGGT >itb03g29640.t1 pep chromosome:ASM357664v1:3:30594056:30601841:-1 gene:itb03g29640 transcript:itb03g29640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWPKSSKDAKKKENKESFIGTLHRKLKNPSDSKSAIKPGGSRRHGNDSVSEKGSLSRAESRSSSPSKHVSRCQSFAERPSAQPLPLPDLHSAKVVRTDSGIISKKLREGKGSKPALYLPIPRPRCIMRRLDPADVDGELVVASISSECSVESDDLTDSRQRSPLASDCEIGGKNALRSPSSVIPKDQSPVGQISRREATGLVNLSSSRCVNSSSPKRGPLNSFVANLQVPCHGAFRSAPDSSVSSPSRSPMSGIGNEQVPGSMLWAGRPPDIPLLGSGQCSSPGSGQNSGHNSMGGDMPGQLFLQPSRGSPEYSPNPSPRMRSPGRSSRVQSGAVTPIHPRAGGRDCESQTCWPDDGKQQSHPLPLPPVRMSNSLPFSHSNSATASPSVARSPGKAENLTSPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESAKQLAQEIALLSRLRHPNIVQYYGSEMVGDMMYIYLEYVSGGSIYKLLQEYGAFGETAIRSYTQQILSGLAYLHAKQTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSLKGSPYWMAPEVIKNSNGRNLAVDIWSLGCTVLEMATSKPPWSQYEGVAAMFKIGNSKELPIIPEHLSDEGKDFIRQCLQREPRSRPTAAQLLDHPFVKNAVPPEKPVISSMQSDPPVAGMDGMKSLAIGHARNTPCFEPERLAIHSFRVSKSSIYGSEIHVPRNISCPVSPIGSPLLHPRSPQHLNGRMSPSPISSPLAASGSSTPLTGGNGAIPFHHFNQSIYLQEGIANLSQGLYINAPSYWEPDASRGMQSGAHALWDVQPTENDALAKLFGRPTSGELNNGQSVLANRVSEQLLRDHVKLIPPLDLSPCHPLAGRTGGT >itb03g29640.t5 pep chromosome:ASM357664v1:3:30594106:30599680:-1 gene:itb03g29640 transcript:itb03g29640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGNEQVPGSMLWAGRPPDIPLLGSGQCSSPGSGQNSGHNSMGGDMPGQLFLQPSRGSPEYSPNPSPRMRSPGRSSRVQSGAVTPIHPRAGGRDCESQTCWPDDGKQQSHPLPLPPVRMSNSLPFSHSNSATASPSVARSPGKAENLTSPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESAKQLAQEIALLSRLRHPNIVQYYGSEMVGDMMYIYLEYVSGGSIYKLLQEYGAFGETAIRSYTQQILSGLAYLHAKQTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSLKGSPYWMAPEVIKNSNGRNLAVDIWSLGCTVLEMATSKPPWSQYEGVAAMFKIGNSKELPIIPEHLSDEGKDFIRQCLQREPRSRPTAAQLLDHPFVKNAVPPEKPVISSMQSDPPVAGMDGMKSLAIGHARNTPCFEPERLAIHSFRVSKSSIYGSEIHVPRNISCPVSPIGSPLLHPRSPQHLNGRMSPSPISSPLAASGSSTPLTGGNGAIPFHHFNQSIYLQEGIANLSQGLYINAPSYWEPDASRGMQSGAHALWDVQPTENDALAKLFGRPTSGELNNGQSVLANRVSEQLLRDHVKLIPPLDLSPCHPLAGRTGGT >itb03g29640.t2 pep chromosome:ASM357664v1:3:30594056:30601804:-1 gene:itb03g29640 transcript:itb03g29640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWPKSSKDAKKKENKESFIGTLHRKLKNPSDSKSAIKPGGSRRHGNDSVSEKGSLSRAESRSSSPSKHVSRCQSFAERPSAQPLPLPDLHSAKVVRTDSGIISKKLREGKGSKPALYLPIPRPRCIMRRLDPADVDGELVVASISSECSVESDDLTDSRQRSPLASDCEIGGKNALRSPSSVIPKDQSPVGQISRREATGLVNLSSSRCVNSSSPKRGPLNSFVANLQVPCHGAFRSAPDSSVSSPSRSPMSGIGNEQVPGSMLWAGRPPDIPLLGSGQCSSPGSGQNSGHNSMGGDMPGQLFLQPSRGSPEYSPNPSPRMRSPGRSSRVQSGAVTPIHPRAGGRDCESQTCWPDDGKQQSHPLPLPPVRMSNSLPFSHSNSATASPSVARSPGKAENLTSPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESAKQLAQEIALLSRLRHPNIVQYYGSEMVGDMMYIYLEYVSGGSIYKLLQEYGAFGETAIRSYTQQILSGLAYLHAKQTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSLKGSPYWMAPEVIKNSNGRNLAVDIWSLGCTVLEMATSKPPWSQYEGVAAMFKIGNSKELPIIPEHLSDEGKDFIRQCLQREPRSRPTAAQLLDHPFVKNAVPPEKPVISSMQSDPPVAGMDGMKSLAIGHARNTPCFEPERLAIHSFRVSKSSIYGSEIHVPRNISCPVSPIGSPLLHPRSPQHLNGRMSPSPISSPLAASGSSTPLTGGNGAIPFHHFNQSIYLQEGIANLSQGLYINAPSYWEPDASRGMQSGAHALWDVQPTENDALAKLFGRPTSGELNNGQSVLANRVSEQLLRDHVKLIPPLDLSPCHPLAGRTGGT >itb03g29640.t4 pep chromosome:ASM357664v1:3:30594106:30600096:-1 gene:itb03g29640 transcript:itb03g29640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGNEQVPGSMLWAGRPPDIPLLGSGQCSSPGSGQNSGHNSMGGDMPGQLFLQPSRGSPEYSPNPSPRMRSPGRSSRVQSGAVTPIHPRAGGRDCESQTCWPDDGKQQSHPLPLPPVRMSNSLPFSHSNSATASPSVARSPGKAENLTSPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESAKQLAQEIALLSRLRHPNIVQYYGSEMVGDMMYIYLEYVSGGSIYKLLQEYGAFGETAIRSYTQQILSGLAYLHAKQTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSLKGSPYWMAPEVIKNSNGRNLAVDIWSLGCTVLEMATSKPPWSQYEGVAAMFKIGNSKELPIIPEHLSDEGKDFIRQCLQREPRSRPTAAQLLDHPFVKNAVPPEKPVISSMQSDPPVAGMDGMKSLAIGHARNTPCFEPERLAIHSFRVSKSSIYGSEIHVPRNISCPVSPIGSPLLHPRSPQHLNGRMSPSPISSPLAASGSSTPLTGGNGAIPFHHFNQSIYLQEGIANLSQGLYINAPSYWEPDASRGMQSGAHALWDVQPTENDALAKLFGRPTSGELNNGQSVLANRVSEQLLRDHVKLIPPLDLSPCHPLAGRTGGT >itb15g18150.t1 pep chromosome:ASM357664v1:15:19574591:19576417:1 gene:itb15g18150 transcript:itb15g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRENKKRGREGEEAMKSSEKSNAKKRGLLLSGDSCLCDNSSLEEGEQSNENRPPRKYEEDEDGNGSEVGVFDFPWLKEGTTSKGYYDDDHYECRLEFEDLVAGCWSGAYDASSSACLDFTDFSLDHHFFQQNYDHRTAATTMTRRSDNDNDCGGEVPILRDKNTIEELDGIWSSVLDQPLDNNIEVVAMVDARFSGGGRRRRQSSLSPFASSKSQEATSSSL >itb08g16540.t2 pep chromosome:ASM357664v1:8:18610261:18612645:1 gene:itb08g16540 transcript:itb08g16540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNINIIIPPSSPRLPNSLIPPHNTSHTPPQYSKKKTSNGSFQLLSTTSKLSAIQCSQSSGGGLLAAPPTSLTNGWAAFANKVSGEWDGFGADFTEQGKPMELPESVVPEAYREWEVKVFDWQTQCPTLAHPSNFSFDYKLIRLLPTVGCEADAATRYSVDERSITTSSCTAFAYHPTTGCYVAAWAASTLELELEHCLIDPRDKESRVRIIQVLRLLRGNDDSNPTPLLELKTVKVFREQWYGPFRDGDQLGGCAIRDSAFASTPPLDASQITGVWEGPIATATFLNSPPKNVDIQEVNEGSTMVTVRDKAANLVLLPKQLWCCLKDTEISGETCCEAGWLLDKGSAITSNCILSKTGDLKQVAIAYQHATPESVPQ >itb08g16540.t1 pep chromosome:ASM357664v1:8:18610261:18612645:1 gene:itb08g16540 transcript:itb08g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNINIIIPPSSPRLPNSLIPPHNTSHTPPQYSKKKTSNGSFQLLSTTSKLSAIQCSQSSGGGLLAAPPTSLTNGWAAFANKVSGEWDGFGADFTEQGKPMELPESVVPEAYREWEVKVFDWQTQCPTLAHPSNFSFDYKLIRLLPTVGCEADAATRYSVDERSITTSSCTAFAYHPTTGCYVAAWAASTLELELEHCLIDPRDKESRVRIIQVLRLLRGNDDSNPTPLLELKTVKVFREQWYGPFRDGDQLGGCAIRDSAFASTPPLDASQITGVWEGPIATATFLNSPPKNVDIQEVNEGSTMVTVRDKAANLVLLPKQLWCCLKDTEISGETCCEAGWLLDKGSAITSNCILSKTGDLKQVAIAYQHATPESVPQ >itb10g20340.t1 pep chromosome:ASM357664v1:10:25939513:25941205:1 gene:itb10g20340 transcript:itb10g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFIIYSSSAAALHSYVLSVPSDEYLSAAWSEEHEVTASPSNEGQDRDAEIEKRNTTSSCKRDGGGNDARGPEDMGAEEECEQIEDSVGEKQVRSLSRLGSRLSEKKGKDCEASTKQDERSCCRGGNDARGPEDMSVEEECEQIEGSVGEKHVRALSRLDSRQSEKKGKAISEASTKLDETLCCRGGNDARGPEDMGVEEECEQIEGSVGDASSEQRIIQEAKNTAAEAKVKVLELQLEASNKPNSAAQARFEALEQEKIKLSEKVEAQRKQLKAKDEELASTQDKAIQKWRQSEEFRQAAQSYARENISRILSDKVAQNHLFKALFKTAYEEDEGQAPRPSAMEEGFARQEHEVTSAPNCEDQDRDGQMEKRNKINSDKEEMGGNNSKKRRCRSRD >itb07g19630.t1 pep chromosome:ASM357664v1:7:24112901:24114003:1 gene:itb07g19630 transcript:itb07g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGYQYDSFLSSSAAADISGRAPPTTSSSFAPLRPWRELFARPSLYSIPRTIADVTDRLRRNLNYFRMNYALTMLLILFLSLLWHPLSMIVFLVLFVAWFLLYFFRDGPLVIFSRTVDDRVVIVVLSVLTVVCLVLTRVWLNVLVSVLIGAAIVALHAAFRVNDDLFLNEDEAAQGGLLSFAGATSSTQPARTRV >itb02g13320.t2 pep chromosome:ASM357664v1:2:9336521:9340015:1 gene:itb02g13320 transcript:itb02g13320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVVVDEIHEEREDSEFKENENSGVHNENLVVNGSPRNGLASQSPRNGGTGYTGNGVAEPSIEELYDNVCEMQSSEHSPSRQSYGSDGDESRIDSELRHLVGGEMREVEIMEEDEELQKPGNGNDDSRSDSGSKKESSSTTALDNSESATSKTPPSGKSKKASQSQLESDASGKSSPKGKSPPGKPSLDKQSEKRKPARGVSSLNKQKSSAVGGLKSQKGTEDTSDSGVENPDLGPFLLKQARDLISSGDNPRRALDLALRAAKSFEKCADGKPSLDVVMCLHVTAAIHCNLGQYGDAIPILEHSIEIPVIEEGQDHALAKFAGYMQLGDTYAMLGQVENSIICYTTGLGIQRQVLGDNDPRVGETCRYLAEAHVQALQFDEAEKLCQMALDMHRDNGSPPSLEEAADRRLMGLICESKGDHEAALEHLVLASMAMVANGQEAEVASVDCSIGDTYLSLNRYDEAIFAYQKALTALKSSKGESHPSVASVFVRLADLYNRTGKLRESKSYCENALRIYGKPLPGVAPEEIASGLTDVSAIYESMNELEQALKLLQKALKIYNDAPGQQSTIAGIEAQMGVIYYMLGRYSDSYNSFKSGISKLRASGEKKSAFFGIALNQMGLACIQRYAINEAVDLFEEARGILEQEYGPYHPETLGVYSNLAGTYDAVGRLEDAIEILEFIVNVREEKLGTANPDVDDEKKRLAELLKEAGRVRNRKARSLENLLVVNHRPSSTVNNNGIKV >itb02g13320.t1 pep chromosome:ASM357664v1:2:9336218:9339903:1 gene:itb02g13320 transcript:itb02g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVVVDEIHEEREDSEFKENENSGVHNENLVVNGSPRNGLASQSPRNGGTGYTGNGVAEPSIEELYDNVCEMQSSEHSPSRQSYGSDGDESRIDSELRHLVGGEMREVEIMEEDEELQKPGNGNDDSRSDSGSKKESSSTTALDNSESATSKTPPSGKSKKASQSQLESDASGKSSPKGKSPPGKPSLDKQSEKRKPARGVSSLNKQKSSAVGGLKSQKGTEDTSDSGVENPDLGPFLLKQARDLISSGDNPRRALDLALRAAKSFEKCADGKPSLDVVMCLHVTAAIHCNLGQYGDAIPILEHSIEIPVIEEGQDHALAKFAGYMQLGDTYAMLGQVENSIICYTTGLGIQRQVLGDNDPRVGETCRYLAEAHVQALQFDEAEKLCQMALDMHRDNGSPPSLEEAADRRLMGLICESKGDHEAALEHLVLASMAMVANGQEAEVASVDCSIGDTYLSLNRYDEAIFAYQKALTALKSSKGESHPSVASVFVRLADLYNRTGKLRESKSYCENALRIYGKPLPGVAPEEIASGLTDVSAIYESMNELEQALKLLQKALKIYNDAPGQQSTIAGIEAQMGVIYYMLGRYSDSYNSFKSGISKLRASGEKKSAFFGIALNQMGLACIQRYAINEAVDLFEEARGILEQEYGPYHPETLGVYSNLAGTYDAVGRLEDAIEILEFIVNVREEKLGTANPDVDDEKKRLAELLKEAGRVRNRKARSLENLLVVNHRPSSTVNNNGIKV >itb12g00160.t1 pep chromosome:ASM357664v1:12:164497:166099:1 gene:itb12g00160 transcript:itb12g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTAGFSFSQILGVPGGKVDGSRQRQLFIFFSNDKCNLRSSTGFNYLITAKVQAGETAANRTGNHLLVDCSTDSLNSSRDDAISAVAKLSSLSSHKSQANAVLVVDYLERIGMDQAHINAAVSKFPKLLLYDPDKKLHPKIQCLEEFGLSGSDLFNFIAKYPFVLARGLDTHLRPALHLIRRAAGSNKNAVKALKRSGRLLSYSSCKTMEANILLLQEAGFSDDKIQQFVMARPRYITNKPTWIGNTLKRVEMEFGISRNSPMFYCGLLVATALNKSTVDKKLEIFRSYGWSNSEISTMVQKLPHSLTLSEARLKKVLNFFMKELGYESYYLAFRPIILTYSLEKKSIPRSQVLKTLEENNLRVCSLFTAIVLSESKFLGDYVLPYKNKLPDMYQRYIKGRAK >itb11g07650.t1 pep chromosome:ASM357664v1:11:4696656:4700528:1 gene:itb11g07650 transcript:itb11g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGQSILSLRVFNFSPLDLLFKLLFWGHKEWVTEVNVLGVVDHPNLVKLVGYCAEDDERGIQRLLVYEYLANRSVQDRLSSRFLSPLPWSARDFKSSNILLDEQWKVGTVGYAALEYIQTGRLTAKSDVWSYGVFLYELITGRRPMDRNRPKNEQKLLHWVRPHITKVLSKFEKILDPRLEGKYSIKSAQKLAAIANRCLLKHPKNRPKMSRVLEMVNRVVEEESPEPAPERCGAAKDGDGGIKVKCLNTNFVEHIARDKKFGWKTLRVGILKGT >itb06g17180.t1 pep chromosome:ASM357664v1:6:21104680:21108008:1 gene:itb06g17180 transcript:itb06g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTLAAFISLLFFSTFSLTSSIEVNHDGRAITLNGEPRILLSGAIHYPRSTAQMWPDLMKKAKEGGLDAIETYVFWNAHEPVRGRYDFSGNHDLIRFIKTIDDAGMYAVLRIGPYVCAEWNYGGFPVWVHNLPGVHELRTANEVYMNAMQNFTTLIVDMVKKEKLFASQGGPIILAQIENEYGNVYEPYGAAGKAYLDWCANFANSLHTGVPWLMCQQKDAPEPMLETCNGWYCHQYKPRNPTTPKMWTENWTGWFKNWGGKDPLRTAEDVAFAVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYDAPLDEYGNVKQPKYGHLKQLHDVLHSVEKILTTGNITTTELDNSLQITVYALNGTSTCFFSNANETSDATINYQGVDYDVPAWSVSILPDCKKEAFNTAKVNTQTNVMVKDSNTAEQEPSSLKWSWRPEKIDDTVVLGKGDFSANQIFDQKITNDVSDYLWYMTSVNLDKDDPIWSNDMSIRINHTGHPLHLYVNGDFIGSNWTTYGVPKSVFETKVKFRHGKNQISILSAAVGLQNYGSFFDLAGTGLSGGPVEIVGTKGDETISKDISSHKWTYKVGLHGEANKLFSNQSRFASQWQSDKLPVNSRMTWYKTTFKAPRGKDGVVVDLLGLGKGFAWVNGNNLGRYWPSFLAEDGCSTDPCDYRGSYDNNKCVSNCGNPTQRWYHVPRSFLNDGDNNELVLFEEIGGNPTNVSFKTVRVGSVCANAYENKLMEISCHGRPISGIKYAHFGETEGLCGSFEKGSCGGAKDALTILKTACEGKEFCSVTATEDVFGKTNCDGKRKKLVVEAVCSM >itb11g07040.t1 pep chromosome:ASM357664v1:11:4259722:4262015:1 gene:itb11g07040 transcript:itb11g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCVFLQMQLTGIMGRKGSWFSALKRALSSESKKKDKAAHKSKWFGKEKAADLGCSRAEAVAAMPPSEQEKLMEAESEQNKHAYSVAIATAMAAEAAVAAAQAAAEVVRLTAAAHSSGKSKEEIAAIRIQTAFRGYLARRALRALKGLVRLKTMLHGQSVKRQAASTLKCMQTLARVQSEVRARRVRMVEENQTLQKQLQQKQEKEQMVSEYGENWDVSTQSKEQIEANLQSKHDAAKRRERALAYAYTHQTLRNSSKPSHQTFMNPNNPNWGWSWLERWMAARPWESKTAIDREESNATSSRLDDSRPSPSPHKHARRPSFQSPSTPTSKPRRLRPQSPRGSCYGEDDSRSLTSAQSERCRRHSIAGSSVRDDESLASSPAIPSYMTPTESAKARSRMPSPLSSEKNYSTPEKAAVGSAKKRLSFSGSPGGPRRHSGPPKIDISFSKYLNVHS >itb14g15570.t1 pep chromosome:ASM357664v1:14:18866675:18884757:1 gene:itb14g15570 transcript:itb14g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYETRSRSKVGGDEDNTSKNRVADGEGTSISGSRETDCLDLRRSARKTSSKQITTSPSTTRKSERLQKQTPPVTPTKGKSGKNEKLSTPSPSPSRKSDRSKRNLLPSTSLLNVSEEESNSSGMKRNKKKQKSMKQQTLESESVSTSSSQSLSSIGKKRRRMKSMNAHSYVLLLKMRRKRGTPSGINKSKRPHKFSGADGRDTRRGEFVGDKENRDSECHIKVADELTDHLAAQVDEGASNSVLEACPDMKSLKIPKFVDSGSAWSPSAGHEKHFFAGSCAACSKQRRVGHGLPKVELCSCVAISNKDCDKLSSPKDEIGVEAIIISGSAEKFNSGQSKGAPSDPHMDRNDNVCAVCKQGGKGLLCSGKDCKRCSHPPSLGTPVHDVPSGVWHCLWCLKKKIESGVHSVTQGVESIWDAREVGVSGTHRQKQYLVKYTGLAHVHNHWVSEAQLLHEAPSLVANFNDKNQVIRWNSEWTVPHRLLKKRLLSFSELQGGCHNFDAGDNSECQYEWLVKWRGLDYENATWELENANFLCSPHGQSLVKEYEIRQEKAKQVINKNNKQSLVELSKNWAGSSLVTDIDILNSINKLQDCWWKSQNAAFYDDQEQIVKIILFILSLSTVCWPVLIITTSNAISKWEAEFMKWAPSLDCVVYHGSRESRKSIETLEFYSQSGCIMLQVLLTSLETVVEDIHVLNSLSWEVVVIDQCQHSNVSTHIEKIKTLAGLKVLLFNGPIKHTAFEYSNLLSLVDSDGDLDKVDLLKSHSNDTLGQLKERFPWFTSCSSKWTSKFLEYWLPVQISELQLELYCDTLLSNINALCSYSKSDPVGALNDIFLNVRKCCDHPFTIWDPSLNPFNKGLSQPEILDIGIKASGKLQLLDKMLSEMKCRQLRTIVLFQSFVGTMPSIGDILDDFLRQRFGENSYERIDAFLIRSKKESALNRFNKLENGRFVFLLENRACKATLKLSSVDNVIIYGSDTNPWNDLRLLEKISFDSDSKPIKVFRLYSSFTVEEQALVIAKQEPDHLHSPNRNLNNTLMWGAADLLSRLDGYYAGDGQASAMHTSTGQLLFSDVIREFSTIISESSENAGMQRSVISKVLHSSSHCTTNLSLFGEQKNKCTGGEAPSVFWKSLLGGRNPQWRHISCPSPSPRSRKRVQFFDGSSPEINVAKKSRKMNSGFDAISVQPEHEGGQPSASKEGSSTIRASNESLPTSTTCENEVDLVEPAERKIISDEQLSLHIYLKGEMAKIFKVLKLSEDVKKMAGSFLEYVMENHRISREPVGIFHAFQISLCWTAASFLKQKVDKEEMLALTKEHLNFKCTKDEATTVYLRLRALKKNFSQHMKRNKSTSNSLELSEASKSGDTCKAPVQPPLEEHTVDEVLSVEHAKEVSMECDHRPKVHDDAPDVAAGYEHTAEANTIADGSAEENVTPINRTDLTSTTANEKEVTSNSGDGNQTLQEVTSSDDGGLLPQNQCNGTQVSESQSTSQTEAGLSEPINAGHRMSDCESVLAENSGNQPASDAGGRSPPGEVADMICNQEDSSLQTSRPSPQLVETGTVIQPSPDADSQDPLYNEFDRMRREMENAAKSHEDLKSQLKSDCEKEIEEIIAEIRNKYNARLQEADTRYSLRKNELGGNLKKVLLNKLLADTFRSKCNDIKPSKLPGMQQGVMFLIVVNAAYCFG >itb15g00640.t1 pep chromosome:ASM357664v1:15:373114:375125:1 gene:itb15g00640 transcript:itb15g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKPHFVLIPLLAQGHMIPMIDMARLLASHGAKVSLVTTPQNAARFSGNLRRASLEIHLLEIPFPCQQVGLPEFCENLDSVPSKDLIRNFYAALDALQAPLERYLEHDSPPSCIISDKCLSWTAKTARKFQVPRLVFHGMGCFSLLSSHNILLHKPHLSVKSDMEEFPIPGLPVNVHIAKAQLPGSFVTLPDLDDIRGHQNEAESSAYGVVVNTSQELEHSFVEAYRKAVNKKVWCIGPVSLCNRDVVDMYHRGNKASIDEKYCLEWLDSMKPKSVLYACLGSQCRLIPSQLIQIGLGLEASNHPFIWVIKAGDDERSAELEKWFQEERYEERIRGRGVVIKGWAPQVLILSHPAIGGFLTHCGWNSTVEGVCSGVPMITWPMFAEQFLNEKIIVEVWGIGVRVGVKFPVRWGEEERVGVLVVKDEVANAVEKLMDGGEEGEERRKKAQELGLVAKRTMDVGGPSHGNILDLIEDIMHYKKREN >itb15g04730.t1 pep chromosome:ASM357664v1:15:3040433:3049789:1 gene:itb15g04730 transcript:itb15g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKASHPPLLRHSMRLMAYGAFLTHSRASPSFLSSSFSVSRPSLLFCNSRTNVRLRRLPNRDFSRNVLCTKSFMSSSIAMDALQERHGSKDYGSEQIQVLQGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFASKIDVVLHADNSVSITDNGRGIPTDLHPVTKKSALETVLTVLHAGGKFGGSSSGYTVSGGLHGVGLSVVNALSEELEVTVWRDGKEHKQRYSRGKPTTSLICHELLAGQRERQGTSIRFWPDKEVFTTEIEFDYSTLAGRIRELAFLNPELTIVLKKEDMDTEKSQCNEYCYAGGLVEYVKWLNADKKPLHDVVGFKREADGVIIDVALQWCSDAYSDTVLGYANSIRTIDGGTHIDGLKASLTRTINNLGKKSKIIKEKDISLSGEHVREGLSCVISVKVPNPEFEGQTKTRLGNPEVRKVVEQSINEYLSEFLELHPNVLDSILSKSLNALKAALAAKRARELVRQKGVLRSSSLPGKLADCSSTNPKESEIFIVEGDSAGGSAKQGRDRWFQAILPLRGKILNVERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIIILTDADVDGAHIRTLLLTFFYRYQRALFDEGCIYVGVPPLYKVERGKQAYYCYDDAELKKLRSSFPSNASYNIQRFKGLGEMMPAQLWETTLNPKTRLLKQLVVEDAAQANFVFSSLMGRRVDDRKELIKHSASKINFDKLDI >itb10g04480.t1 pep chromosome:ASM357664v1:10:4240409:4245530:-1 gene:itb10g04480 transcript:itb10g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFSLWVVVLVMLVKSWQSSGCWEEERIALLHVKTEIKYTYGKSLDSWIDDKRSNCCEWAKVTCSNITRRVIELSLGSTRDKRVGYWYIDASVFLPFKHLKSLDLSGNNLGGVVESGGFDKLSKLSDLEVLDVSFNNLNRSIFSSLSHLSSLKILYLLVNPLDSPLNHLDLSDFISLKELDISYNNFQSFGPVYDINALNNLEDLDLSENAIERFDTSTSLSGKIRNNSHLQVLHLNTISSNMTSLLQSLVAFPSIKTLELENNNLTSIDTIHDDALLHKDFLQSIGSMSRLIVLSLPRCQLGTTLPNKGWCELKNLQELGFSANQFEGKLPPCLGNLTSLRLVDLSLNKLTGNIASSPLPTLMSLEYLSISSNFFEIPDSFKSFGNHSKLKFLFADGNKAIVETELHGDLVPKFQLHLFTMSNCIGLQKFPRFLHYQHDLRILRLSGNNIEGEVPSWLLQNNTKLQGFYFEGNAFKGILKFSSQRNHDLQTLDVSNNKLSGEIPNNISSTFPNIVALNFSYNLFEGQIPYMGKLNYLTNLDLSNNFLTGKIPKELLISCPSLASLKLSDNKLEGEIVQEFACLPSLYLLYLDGNNFTGPIPYSLSNIPLQFLDISDNNFFGKIPRWLGLVTSLEELSLSKNHLEGHIPIEFCNLDSIYLLDLSENNLTGAIPSCLNPSSIKHVLLSKNRLAGQLSPALLNNTSLVVYDLSHNNFVGTIPEWIGSISGLSILLLKGNQFEGMIPIEVCQLIRLSILDLSDNHLSGHIPHCLSEMNLEVTNEKSTASHYTGDIDFALTTYMFNIMDTNSYHVSFGWENGVGLDPSEDDTPTRVEFRTKGNVYYYEGNILNYMSGIDLSNNRFIGEIPLELGGLINIHALNLSLNDLIGTIPETFSMLRNIESLDLSHNKLNGTIPNKLLDLTSLEVFSVAYNNLSGAVPEAKAQFATFDKSSYEGNPFLCGPPLEVHCSSPKAPSLLPTLNNDFDQQGAGWMDMEDFYISFSISYLTFLLGLVAVLCINPQWRYSWFYAIEVLFESFLYCLCKN >itb10g07060.t1 pep chromosome:ASM357664v1:10:8206148:8208220:-1 gene:itb10g07060 transcript:itb10g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVSSNVMREYRKGNWTVQETMVLIEAKRMDDERRMRRQAGESSGGERGKPTELRWKWVEDYCWSNGCLRSQNQCNDKWDNLMRDFKKVREYERRVSGDDNKSYWKLERNERKENNLPTNMLREIYEALVEVVDRKGQRVVVGMGVGVGMGGGSASASTSGFIPQHLPPPMQQSPLEAQIAALPLPPPPPPTAAAAAAAQTPPLHYQHQPHCTTQPFPRVDPDTSEHSDSPAKRRRMGEGTSGTQTSGGGNINSHQEVGPAISRSAALIAEAIQSSEEREERRHRELLSLHERRLQIEESKAEINRQGINGLVDAINRLANSILTLATNNNQPGAPPS >itb05g02520.t1 pep chromosome:ASM357664v1:5:2031899:2032432:1 gene:itb05g02520 transcript:itb05g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCQLILLTISMLLVSFAIPMITAYEHAPAKPAGKPIDAVVEGLVYCQSCDSYGSWSFTGAKAIAKAKVSVICKDYRRRVSFYKAFEADENGYFYAELKGFKMGHSFLDHPLHSCKVKLVSSPLENCSTFTNVNDGLNGAPLRYEDKTIVRPDYEAVIYTAGPLAFRPAYCPPKAN >itb12g04820.t1 pep chromosome:ASM357664v1:12:3197140:3200605:-1 gene:itb12g04820 transcript:itb12g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGDEQQKNGLLEARLLDRDEEERAYESSEKVHIVGVDEAETEEEDYTKTPPFSWKKLWLFTGPGFLMSIAFLDPGNLESDLQAGAIAGYSLLWLLLWATAIGLLVQLLSARLGVATGKHLAELCRDEYPRWARILLWVMAELALIGADIQEVIGSAIAIKILSQGFLPLWAGVVITALDCFIFLFLENYGVRKLEALFAFLIAIMALSFAWMFGDTKPSGAELVLGVLIPKLGSRTIKQAVGVVGCIIMPHNVFLHSALVQSRDVDKRKIGRVREALNYYSIESAAALAVSFIINLFVTTVFAKAFFGTGQAESIGLGNAGQYLQEKYGGGLFPIIYIWAIGLLAAGQSSTITGTYAGQFIMGGFLHLQLKKWQRALITRSCAIIPTLIVALAFDTSEDLLDVLNEWLNILQAIQIPFALIPLLCLVSKQHLMGVFTIGPILKVISWIVATFLIAINGYLMVDFFSSEVNGVLFASAVSAFAAAYVAFIVYLVSWGIPFSRNKN >itb13g25910.t1 pep chromosome:ASM357664v1:13:31311301:31312065:-1 gene:itb13g25910 transcript:itb13g25910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSPLDSSAPDSSPPNSSLPDSSMNDIIVKLAEDRAASLPEDRWLSVSKVTGNPLEVFQVTSDQFRGGALIMHECTRLGYINVPRWTSNL >itb04g25060.t3 pep chromosome:ASM357664v1:4:29715911:29727463:-1 gene:itb04g25060 transcript:itb04g25060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGERLVLVLWFILCVGTRTYGESSTCLTVYEEGGAPAVFQSPKCPRWKLPSYGSKPRYQSPAANCQTALHQGRRKQQEDRAICALDIRVPFLGPKGITEVTVGIVGVFDGHNGAEASEMASKLLLEYFTVHMFFLLDTTFSVLLRKLRGWLPNERGNDVLQDLKWDEELGQHQLKFGRLKLSLSSIFDESFPLEIFKEAVLRAIDDIDSAFSRDAFRNNFDSGSTAAIILMAENQIFAANIGDSKAFVCSEDYKSSTEARAALLRMYRQTKVDGIFHPFRNYRTFESVASDGLSFLIARELTRDHHPDRDDERSRVESAGGHVSEWGGVARVNGQLAVSRAIGDVYLKSYGVISVPEITDWQPLTENDSYLVAASDGVLEQLNPQDVCDILSKLRADFAVRSELNSSCMHSLADCIVNAAFKKGSTDNMAAIILPFRSKVPMKTLPKEDCDGLHISDCPALGYNGYSIGLSELAEMEQAHPVVTYLDRLLVVGKDRCFYLSENLDEKDDNTFWIQKDVREYPYELGHGLSVAGYSSGGPVNLYNDQHLCLNFGMNFEGNKEQCINPEGFARFLGLLESVPFNDTFSNEHATADSRYILKKRYDRGSYGEVWMAFPWNCSHGNKTSENAKTPYSEDSDNDHSDDTKFILKRIMVEKGVAVYLSGLREKHFGELFLNATTVLGGPLSARESYCLSKDTCLEPHGHLMENDSIGLEMGEVLNFDNIYGGRSEMMQSTYEEGLNHIARYIESFESQSNEIWLVFRHEGVSLSKILYTAEEVTNSAGERNEQVKNVQILHPSKWWYWLKTTEAGREEMRNLIWQLLMALKSCHDRNITHRDIKPENMVICFEDMDSGRCLKGHPSGDNNYTTKMRIIDFGSALDDFTLKHLYGSVGPSRAEQTCEYTPPEAFLNASWYQGPTSASLKYDMWSVGVVMLELILGSPNVFQISSRTRALLDQHLEGWNDSIKELAFKLRAFMELCILIPGSSTKPRYPDSVSPAPWKCSEEFFLHQIRNRDPLKIGFPNIWALRLVRQLLHWNPIHFKFI >itb04g25060.t1 pep chromosome:ASM357664v1:4:29715911:29727463:-1 gene:itb04g25060 transcript:itb04g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGERLVLVLWFILCVGTRTYGESSTCLTVYEEGGAPAVFQSPKCPRWKLPSYGSKPRYQSPAANCQTALHQGRRKQQEDRAICALDIRVPFLGPKGITEVTVGIVGVFDGHNGAEASEMASKLLLEYFTVHMFFLLDTTFSVLLRKLRGWLPNERGNDVLQDLKWDEELGQHQLKFGRLKLSLSSIFDESFPLEIFKEAVLRAIDDIDSAFSRDAFRNNFDSGSTAAIILMAENQIFAANIGDSKAFVCSEDYKSSTEARAALLRMYRQTKVDGIFHPFRNYRTFESVASDGLSFLIARELTRDHHPDRDDERSRVESAGGHVSEWGGVARVNGQLAVSRAIGDVYLKSYGVISVPEITDWQPLTENDSYLVAASDGVLEQLNPQDVCDILSKLRADFAVRSELNSSCMHSLADCIVNAAFKKGSTDNMAAIILPFRSKVPMKTLPKEDCDGLHISDCPALGYNGYSIGLSELAEMEQAHPVVTYLDRLLVVGKDRCFYLSENLDEKDDNTFWIQKDVREYPYELGHGLSVAGYSSGGPVNLYNDQHLCLNFGMNFEGNKEQCINPEGFARFLGLLESVPFNDTFSNEHATADSRYILKKRYDRGSYGEVWMAFPWNCSHGNKTSENAKTPYSEDSDNDHSDDTKFILKRIMVEKGVAVYLSGLREKHFGELFLNATTVLGGPLSARESYCLSKDTCLEPHGHLMENDSIGLEMGEVLNFDNIYGGRSEMMQSTYEEGLNHIARYIESFESQSNEIWLVFRHEGVSLSKILYTAEEVTNSAGERNEQVKNVQILHPSKWWYWLKTTEAGREEMRNLIWQLLMALKSCHDRNITHRDIKPENMVICFEDMDSGRCLKGHPSGDNNYTTKMRIIDFGSALDDFTLKHLYGSVGPSRAEQTCEYTPPEAFLNASWYQGPTSASLKYDMWSVGVVMLELILGSPNVFQISSRTRALLDQHLEGWNDSIKELAFKLRAFMELCILIPGSSTKPRYPDSVSPAPWKCSEEFFLHQIRNRDPLKIGFPNIWALRLVRQLLHWNPEDRLSVDEALKHPYFSQHANNQTDGKV >itb04g25060.t2 pep chromosome:ASM357664v1:4:29715911:29727463:-1 gene:itb04g25060 transcript:itb04g25060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGERLVLVLWFILCVGTRTYGESSTCLTVYEEGGAPAVFQSPKCPRWKLPSYGSKPRYQSPAANCQTALHQGRRKQQEDRAICALDIRVPFLGPKGITEVTVGIVGVFDGHNGAEASEMASKLLLEYFTVHMFFLLDTTFSVLLRKLRGWLPNERGNDVLQDLKWDEELGQHQLKFGRLKLSLSSIFDESFPLEIFKEAVLRAIDDIDSAFSRDAFRNNFDSGSTAAIILMAENQIFAANIGDSKAFVCSEDYKSSTEARAALLRMYRQTKVDGIFHPFRNYRTFESVASDGLSFLIARELTRDHHPDRDDERSRVESAGGHVSEWGGVARVNGQLAVSRAIGDVYLKSYGVISVPEITDWQPLTENDSYLVAASDGVLEQLNPQDVCDILSKLRADFAVRSELNSSCMHSLADCIVNAAFKKGSTDNMAAIILPFRSKVPMKTLPKEDCDGLHISDCPALGYNGYSIGLSELAEMEQAHPVVTYLDRLLVVGKDRCFYLSENLDEKDDNTFWIQKDVREYPYELGHGLSVAGYSSGGPVNLYNDQHLCLNFGMNFEGNKEQCINPEGFARFLGLLESVPFNDTFSNEHATADSRYILKKRYDRGSYGEVWMAFPWNCSHGNKTSENAKTPYSEDSDNDHSDDTKFILKRIMVEKGVAVYLSGLREKHFGELFLNATTVLGGPLSARESYCLSKDTCLEPHGHLMENDSIGLEMGEVLNFDNIYGGRSEMMQSTYEEGLNHIARYIESFESQSNEIWLVFRHEGVSLSKILYTAEEVTNSAGERNEQVKNVQILHPSKWWYWLKTTEAGREEMRNLIWQLLMALKSCHDRNITHRDIKPENMVICFEDMDSGRCLKGHPSGDNNYTTKMRIIDFGSALDDFTLKHLYGSVGPSRAEQTCEYTPPEAFLNASWYQGPTSASLKYDMWSVGVVMLELILGSPNVFQISSRTRALLDQHLEGWNDSIKELAFKLRAFMELCILIPGSSTKPRYPVSKYMGFAISSPTAALES >itb14g05030.t1 pep chromosome:ASM357664v1:14:4354356:4355053:-1 gene:itb14g05030 transcript:itb14g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLDLQDQLCYVKCGHCTTILLVSVPRSSLCTVVTVRCGHCTAILSVNMMMMTTSLVPFQFLASLDHHHQWNQEGEEDQQEGDDSNNNPKPWEKNTPSLEEEDNNDDSPVTQTAVTKRILLRRDKEPPQLTTASSSN >itb10g06550.t1 pep chromosome:ASM357664v1:10:7379094:7382040:-1 gene:itb10g06550 transcript:itb10g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLINLFCFLLLLFLALVAREQNKWRGKKLPPGPWRLPFLGSMHHLYGGAASSPLRRLRDLAQKYGPLMHVQLGQVCAVVVSSPDMAKSVLKTHDLAFASRPKMLLADIVSYNSSDIVFCPYGDYWRQMRKICVVEVLSTRNVQGFSTIRRQEVSRLLDFIRLSGGRPVNLTEKVFQFTSSMTCRSAFGCVFKEQDEFIALIKEVLILLGGFDVADIFPSLKFLHGLSGMKRKILRVHNRIDAIVENVIGDHRRQKKTLTAGKKVDTGGSEDLIDVLLRMMDTHDGFQFPITIQNVKAIVFDLFTAGTETSSTTVVWAMVEMLRNPSILAKAQAEVRQAFKGDFNEEDVEDLKYLKLVIKETLRLHPPSPLLIPRECREETEINGYKIPPKTWVLVNVWSISRDPAYWDHAESFLPERFENSSIDFSGNNFEFLPFGGGRRICPGMSFGLANVILPLAKLLFHFDWELPQEPQYSKGLDLTESSGITAGKKDDLYLVATPYNPMI >itb07g16720.t1 pep chromosome:ASM357664v1:7:20613862:20614677:-1 gene:itb07g16720 transcript:itb07g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTQAFSANVLFSVFPMNILMKYDGLLQGVCGCERFKNGSIKDVLQTIVKKDGYRGLMRGWMPRMLFHAPAAAICWSTYEAAKSFFYELNTGSSRRL >itb05g21040.t1 pep chromosome:ASM357664v1:5:26955663:26958384:1 gene:itb05g21040 transcript:itb05g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFFFYFAYFLIALVFFPSVTLQSYSGGHRQEATGFCGEEGEREDNLIRMATTTLGGISDSASAENSVEIESLARFAVEEHNKKENAMIEFVRVVNAEEQVVAGKLHHLTLEVIDAGKRKLYEAKVWLKPWMNFKELQGFNHIEDIPTLTSSDLGAKRDCPNTGLKSVPVNDPVVQEAAQHAVKTIQQRSNSLLPYELQEIVHANAEVIDDSAKVHMLIKTKRGEKEEKFSVEVHKNNEGAFLLNHMAPANS >itb13g18860.t1 pep chromosome:ASM357664v1:13:25868204:25870304:1 gene:itb13g18860 transcript:itb13g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKNSKKNPKPQTRSHHLHKPHSWAVVRSLFTCKHLEQPKEQRHRKPKQREEIISKKMGPQHPSSSSSSPASPNSSIVGSLRAMPFRKLSGCYECRMVVDPVLGLTRDPSLRTTICSCPVCGQIFMKPDTLELHQAVRHAVSELGAEDTSRNVVEIIFQSSWLKKHNPICTIDRILKVHNTPKVISRFEEYRDAIKAKANKLPKKHPRCVADGNELLRFHSTTFMCSLGLNGSSNLCNSIPSCAVCGIIKNGFKGAGDVAGKGVLTTATSWKAHVSGGAAGEEKRAMLVCRVIAGRVKKSFPGSLEEYDSVSGGAGAGAGGYGDLEELYVFNPKAVLPCFVVIYRGF >itb09g17840.t1 pep chromosome:ASM357664v1:9:13211752:13213455:-1 gene:itb09g17840 transcript:itb09g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGVLLATLTIVLAAVTFSVNACSPADLAALLDVKAALDEPYLGIFDTWSGTKCCSGWYGVTCDPTTNRVADISLRGESEDKILVKAGRSGYMKGWISPSVCKLDRLSTLILADWKAISGEIPPCITALSNLRILDLTGNQITGQIPADIGELSKLTVLNLADNQISGLIPHSIVNLGSLKHLDLSANKLTGELPSDLGKLTMMSRALLHKNQLTGPIPTSTANLRRLADLDLSMNHFIGKIPDYLGSMPVLSTLNLDSNQFSGSIPTGLISNSGLNILNLSRNSIEGELPDVFGPSTYFTALDLSHNNLRGLIPKSLSSAKYIGHLDLSNNHLCGTIPTGSPFDHLEASSFANNDCLCGSPLRTC >itb13g06450.t1 pep chromosome:ASM357664v1:13:7739359:7740445:1 gene:itb13g06450 transcript:itb13g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILISNTDNFFVNPYGTPPVLHPHGTTATSLISVSGANLKPQGILPWLFLVATMLRLINKRPHLMKMNLKIFYMDREEDTMSIASGVTVKASQPEREHRNQRDRRLITRRWIDAHINPAKQFIAFRGYSASHFLGGQWNSGGACDREMEPIKNGTNLKPYVPMMEVLDRVLKDIKTPVSYLNITRMTDFRKDARPSMYRTRNMTAEERKNWFIHQDCSHWCLPGVADNWNELLYAELLVNQYQKQQQSSRS >itb03g20460.t1 pep chromosome:ASM357664v1:3:18362131:18362598:-1 gene:itb03g20460 transcript:itb03g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKEKGEGETRDRETRRVTLFTEPSPRLPSSQPEFDVVSPSQRLLGRAQATRPRSSSRHLRSSRRSSLEKVERSPATAMAGATSDQAEGRPRCQLRSPTETTIDAAQIATIIAEGHHPPPTSRSQTRHRRRHRTAPAVLLFQKTTATISEKPV >itb02g21380.t1 pep chromosome:ASM357664v1:2:20192222:20194747:1 gene:itb02g21380 transcript:itb02g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPWEALDVDDSDLPSLLQPCKRLCHHRRNPNSASATSSQSSFTPCSSSTLISEQEKEDQNLQVLQPFQQSDSAPSQPQPTPHRIPGPAGVIQSAMLQKSFDRKNHEVWSSPIPTQDYVRKAVEDSSEFDYDFHSSAWFCALQFIRKVPGITTLRSIVKCQHKVEKVVAVIKSSKPNGLSGLMVTLKDPTGTIGASIHPKVLSESMFGKSIIVGTVLVLKEVAIFAPSRSAVYLNITLRNMEKVFCKDSDCLPANAVNCSYPGIDYSGRAAAAKQIFIAEKEMTGEVQCMSAGGVVHSESETEKENLLPGSYMRNLRQDNVEKESLSFRGGAAKGVEEIIRKVTTRDKQPEGAKPKNNFHACNTIESLPKSLTSRDEFQERNEVMSKTQPFVSKAPLPEWTDEQLSELFGVNGVDDFHACNTIESLPKSHTSCEEFQEKDEVVSKTCSSVSKAPLPDWTDEQLSELFGGDVVDDFHACKSIESLPKSHYSVEFQEKD >itb02g15010.t1 pep chromosome:ASM357664v1:2:10899000:10903146:1 gene:itb02g15010 transcript:itb02g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MKKQWMIRALSKALISAPHAGLTSFSRALCSSAFSLKNVTSSNFESALEDLRGLIKAADFVAIDLEMTGVTSAPWRESFDLDRYDIRYLKVKDSAEKFAVVQFGVCPFRWDSVQHFFIAHPHNFYIFPRQEIPGNDQSYEFLCQTTSLDFLAKYQFDFNTCISEGISYLSRNQETIALERLNSIYTDESSDSLSSLKEVGDLQYARMADVLFAERMKIKIRDWRDGLLQDRNSSSEFQQTPNNSDQRFQTIFFKMRPAVVLSGFTSHQKKLIQLVTEKHFKDLAFVRVTDDETLCPQQLVVYIDSEDDRDLLMKEVKDCILKEGQRKVKAAVGFRNVIDLLSSEKKLVVGHNCFLDFAHIHNKFIGPLPWTAEEYATSLQNYFPHIIDTKVLLNANDSFQSPMKKSSTSLSKAFASLCPGIASGVKGYSLADKFHVKVEVQVDEKRSLNWNSGAKHEAGYDAFMTGCVFAQACSHLGVDFKTNSPPLGLADEKKLQNYMNLLYLSWVSGDIIDLRTGKRNTDSSASSNLKSQSGEILFKNIVLLWGLPSNLKPSDIRDCISRVFGHNSAPSIYFIDKTSAFVQFSKTEMATAFLDTIETLGRSNDPISVLHPLSRLLEGGCTHAANYEVYRQICSSSLSKVLFADQAEAIRTKGVCEVSKANREEEMIEDEKHTTFAQSCI >itb10g01220.t1 pep chromosome:ASM357664v1:10:903629:905007:-1 gene:itb10g01220 transcript:itb10g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRDSPSRFFASTYTTLHTMVSPNATQDKRIEWFIVQLEAYLRLAPNLNYATLGMVNTCKLLMDRSSSCRNSSNDFQKTWESIAKQTKLEKMTSEHFTGQIGMRLVKTYHDWGHRANHIPDGIFPRSEDLDWEQLIEDRCFILY >itb15g19430.t1 pep chromosome:ASM357664v1:15:21782074:21785746:1 gene:itb15g19430 transcript:itb15g19430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVTSLMRTLELQFLHPQPRVILTNKEQIKSLVEKFGHLLAFLEEYEKKGNNRSEMKELTEKIRKVSVKAEDDIEAELLNVHHYQDLDKALQRVVEDIEELMQTTRNTKHHLVNNLTLGAPSQPTSNVEDNNAMVGHSEELIKVKSQLIDKSLKQRQVMAIVGMGGIGKTTFAKRIFNDYPPGNSHFDICAWTTLSQEHNKLQALTDLIRCILVTSKKKIGGDDPVDLLRKCLLGQRYLIVVDDIWTTEAWDDIQGCFPDDNNGSRILLTTRVREIVQYANSGEYSYDMRFLNSCEGWDLFYQKFLAKEFLKNEFETIGRNIVQKCVGLPLTIVVLAGLLSTIKSVDEWENVESKINSLLTLNLSEQFSRILNLSYNSLPSDLKGCFLYLGVFHEDCEIPIKKLIRLWIAEGFVGTVSHSKRLEEIGRDYLQDLIDRCLIMVHRRSSDGNIKTCKMHDLLHELCRKKGKFENLLYLENTGSSHHREIELDDSRWLSLDVAIPAFHLVIASGECRSILCFNMAVSCDREWYLKADSFKKLRVLDLSKIKFNKGMPADITDLIFLRYLAIASCKVLNCIPLWKNWNLQTLLVTEDDNGALRLPPGIWDLPQLRHLEIYHQISIDRPKVIQEDLQTLYWLSTSECTREVFLRIPNVKELGIIAGDEAASPQDGLNNLCCLSHLEKLKVQGSYHPLHMRPQATIYPQTLKKLTFVRTLIPWEHMNCISKLPNLEVLKLRNFACVGQEWELNEEGGFPQLKVLLISITNLKEWKADVDTPFPKLERLLLRNCFELKEMPEWIEGAITLRLIKLEYCYASLVGSAQMIKEEQLDYGNDMLDIIDSNTRLEEEEEEDSNEQTYEGECVRHGLTSLWFEARRLRIGWGDDTRYWIWTRDSEFGCEVAKLEKVSWFEIRLNFNVGCLSKMTCYSAYLVFKLESGRYRDVNTALAGVRYEKDKAIYGGYRLAGVRYEKSIYGWMLGENRHSQVFLAKTKSYGDCGRFPDNRSDGWMEIKLGNFYVSSGNEGEVELQLWHVSDQFWKSGFIVRGIEVRPLNEGQES >itb14g00010.t1 pep chromosome:ASM357664v1:14:2159:3391:1 gene:itb14g00010 transcript:itb14g00010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRGKRKAKERVKDGFENGRMNLRKFGIQRTDLGEGIILKKGCADRPIWKPTGAEFSFKSAKKLMRQNEARDQRAFWFKRIWGKGLTWKMSFLAWRVFKKKLPMDDVLRRMGYSTVSRCSCCIQPGCDSGQHVFGLGETALQIWNYFSRTMGMNVQVRTERHVCYEWWKAPIKNRMLKFMAERLPVLILWELWVNYTHCKYGGGKPSVSRIIYKISRDMADCIQRKWPAWDPLPPNWNFIVKKAEGFGCGRIVQKCCWCRPVGCGVKINWAISKNGVSCAYFTRNSKGMFCASGVYSKQNDEELKSVIERMLSDCVERCIMSDMMNICLETDSWERVEVDVGRWAPQGLIRTNRCAARVNVVAACLVELCVGANVSFWRKEGLPRGLGRILALEGIPHFVVGPGPDAI >itb06g25850.t4 pep chromosome:ASM357664v1:6:26729813:26735196:-1 gene:itb06g25850 transcript:itb06g25850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGYKKEQEQDENGRNTRELVSQEAHQTNNSFVWDVNTQLYYHSNTGFYHDPQAGWYYSSRDGLYYKFENGNYVLLEPDQGDESQSNCNAIQDEHCEYVNIHAEEELASVGELYSKAAGTISNGNSEAYHTAGVGNELPGNPPPSEWLEDTLIDLYLSNYPNHSMHVESNDLDGSNVSATGNDDIYELEEGEWIPDDHLVSSCSNANLLDEGTSLEEEHWQAQFGQVTRPDEDSLSNIQAINLWDWSLVKGSRKEKKHKVTRLVGRLVKSSAKLHPSMPSSGSLLRTAPVCEAHLDLVQVKSGKVYRLRNPSSQYLASVSTYDSSNPTKDWGFPQMLMYGLVRADTSGQGYESRGLVSQKDFSLPCDHNSAFEKTRGHVYRDRAAERRALHNGIGVGPGQKNTFDSPDSGPSTSTSAGGAEAMAESLNTSFGAGSYARRILESMGWKEGEALGSSNKGLIEPLQATRRTGNAGLGWNQSRGKYSL >itb06g25850.t3 pep chromosome:ASM357664v1:6:26729814:26735196:-1 gene:itb06g25850 transcript:itb06g25850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILENWCRKRHTKPITALCGITGFYHDPQAGWYYSSRDGLYYKFENGNYVLLEPDQGDESQSNCNAIQDEHCEYVNIHAEEELASVGELYSKAAGTISNGNSEAYHTAGVGNELPGNPPPSEWLEDTLIDLYLSNYPNHSMHVESNDLDGSNVSATGNDDIYELEEGEWIPDDHLVSSCSNANLLDEGTSLEEEHWQAQFGQVTRPDEDSLSNIQAINLWDWSLVKGSRKEKKHKVTRLVGRLVKSSAKLHPSMPSSGSLLRTAPVCEAHLDLVQVKSGKVYRLRNPSSQYLASVSTYDSSNPTKDWGFPQMLMYGLVRADTSGQGYESRGLVSQKDFSLPCDHNSAFEKTRGHVYRDRAAERRALHNGIGVGPGQKNTFDSPDSGPSTSTSAGGAEAMAESLNTSFGAGSYARRILESMGWKEGEALGSSNKGLIEPLQATRRTGNAGLGWNQSRGKYSL >itb06g25850.t2 pep chromosome:ASM357664v1:6:26729813:26735196:-1 gene:itb06g25850 transcript:itb06g25850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGYKKEQEQDENGRNTRELVSQEAHQTNNSFVWDVNTQLYYHSNTGFYHDPQAGWYYSSRDGLYYKFENGNYVLLEPDQGDESQSNCNAIQDEHCEYVNIHAEEELASVGELYSKAAGTISNGNSEAGVGNELPGNPPPSEWLEDTLIDLYLSNYPNHSMHVESNDLDGSNVSATGNDDIYELEEGEWIPDDHLVSSCSNANLLDEGTSLEEEHWQAQFGQVTRPDEDSLSNIQAINLWDWSLVKGSRKEKKHKVTRLVGRLVKSSAKLHPSMPSSGSLLRTAPVCEAHLDLVQVKSGKVYRLRNPSSQYLASVSTYDSSNPTKDWGFPQMLMYGLVRADTSGQGYESRGLVSQKDFSLPCDHNSAFEKTRGHVYRDRAAERRALHNGIGVGPGQKNTFDSPDSGPSTSTSAGGAEAMAESLNTSFGAGSYARRILESMGWKEGEALGSSNKGLIEPLQATRRTGNAGLGWNQSRGKYSL >itb06g25850.t5 pep chromosome:ASM357664v1:6:26730127:26733914:-1 gene:itb06g25850 transcript:itb06g25850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFFNFFLYKHTIQPELGMSCQEIHHPQNGMMHLNVRLEDTLIDLYLSNYPNHSMHVESNDLDGSNVSATGNDDIYELEEGEWIPDDHLVSSCSNANLLDEGTSLEEEHWQAQFGQVTRPDEDSLSNIQAINLWDWSLVKGSRKEKKHKVTRLVGRLVKSSAKLHPSMPSSGSLLRTAPVCEAHLDLVQVKSGKVYRLRNPSSQYLASVSTYDSSNPTKDWGFPQMLMYGLVRADTSGQGYESRGLVSQKDFSLPCDHNSAFEKTRGHVYRDRAAERRALHNGIGVGPGQKNTFDSPDSGPSTSTSAGGAEAMAESLNTSFGAGSYARRILESMGWKEGEALGSSNKGLIEPLQATRRTGNAGLGWNQSRGKYSL >itb06g25850.t1 pep chromosome:ASM357664v1:6:26729906:26735196:-1 gene:itb06g25850 transcript:itb06g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILENWCRKRHTKPITALCGITGFYHDPQAGWYYSSRDGLYYKFENGNYVLLEPDQGDESQSNCNAIQDEHCEYVNIHAEEELASVGELYSKAAGTISNGNSEAGVGNELPGNPPPSEWLEDTLIDLYLSNYPNHSMHVESNDLDGSNVSATGNDDIYELEEGEWIPDDHLVSSCSNANLLDEGTSLEEEHWQAQFGQVTRPDEDSLSNIQAINLWDWSLVKGSRKEKKHKVTRLVGRLVKSSAKLHPSMPSSGSLLRTAPVCEAHLDLVQVKSGKVYRLRNPSSQYLASVSTYDSSNPTKDWGFPQMLMYGLVRADTSGQGYESRGLVSQKDFSLPCDHNSAFEKTRGHVYRDRAAERRALHNGIGVGPGQKNTFDSPDSGPSTSTSAGGAEAMAESLNTSFGAGSYARRILESMGWKEGEALGSSNKGLIEPLQATRRTGNAGLGWNQSRGKYSL >itb04g24590.t1 pep chromosome:ASM357664v1:4:29391659:29393586:-1 gene:itb04g24590 transcript:itb04g24590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVSRSIQRSDHCPGNLRRHGSNPNSNKDSAMIGVVSRSIQRSDHCPGNLRRHGGGGQSFVTPSRWFLALEFESTRKLPLSPSSLRIPIPILNAKNAGTAMDKDEVYSGARYSSSSKLCEEPLPVPEDRIHEAALFHPFAEASYTGLLLDVGRNPILFPLSWAHGYVGKASSLLGLVKVWGITLGLLDEVEAIRELASLV >itb07g14050.t1 pep chromosome:ASM357664v1:7:16402890:16408243:-1 gene:itb07g14050 transcript:itb07g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGTDGDRRGGAGRGRSQRGGRGGGGARGGGYDGHGGRGRGGGGRGPSAAHNVPSPAQIPAPSMTAQPASTAQRLSRDLDQKLTLQTESHPAVAESPASSHPASSKAAVAESPALPPASSKAVRFPTRPGFGKVGMRCVVRANHFLVDIADRDLNHYDVTITPEVLSNKVCRLIMSKLASDYTQTHLGNRMLAYDGGKSVYTAGELPFKSKDFVVKLDDDKGSSRYQVVGRSFFSSQFGEGQLSDGLDYWKGFYQSLRPCQMGLSLNIDVSARAFYEPILLSDYVKKFVRDLSRPLTDQDRIKTWNAFLQIIRKNEYNEKPLVKDEFGMQLRPELAQIDARVLPPPRIKYHESGRESLVDPRVGQWNMINKVLVDAAKVEFWTCVNFSRCNDPDRFCGELLEMCCSKGMVFNPSPLIPIRPFHPSKIEKALIDIHKDCTARISSMKKSGNLQLLIIILPDVSGSYGKIKRICETELGIVSQCCQPRQAAKYNNKQYLENVALKINVKVGGRNCFLEQAVQRNIPYLTDRPTIIFGADVTHPSPGEDTSSSIAAVVASMDWPHVTKYRGLVSAQQHREEIIQGLYKTHQDPQRGIVHGGMIRDGVSEGQFSQVLLEEMDAIRKACNSLEANYRPPVTFVVVQKRHHTRLFPANHGDRRMTDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQVNFAINQSGIVPPAYYAHLAAFRARYYVEDEVSDGSSGLAGGKATREKTANVKPLPAIKDNVKAVMFYC >itb11g10590.t2 pep chromosome:ASM357664v1:11:7588427:7590633:-1 gene:itb11g10590 transcript:itb11g10590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRGMGLFSHNHIVYMVGGYFFKREVCVDRPKVVDVDGLQYFDLVYMFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGQNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTFKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELVDNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIA >itb11g10590.t1 pep chromosome:ASM357664v1:11:7588427:7590633:-1 gene:itb11g10590 transcript:itb11g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRGMGLFSHNHIVYMVGGYFFKREVCVDRPKVVDVDGLQYFDLVYMFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGQNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTFKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELVDNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFNHNYPIPLKGQLTGFCIASAPPPLASPDNEDQDKNDRKSKRKRGSRLFVYLFPALLLLLLRRR >itb13g14300.t2 pep chromosome:ASM357664v1:13:20845323:20853427:-1 gene:itb13g14300 transcript:itb13g14300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDDEPPFKRVKVSSGELGELLNGKSLRDPTNCSLSDCSMARSLVCEGDYDVVGSKGIVKKVEFVQIIAEALYSLGYKKTGAQLEEESGIHLHSSVVNLFMQQILDGKWDESVITLHRLGIVDQTTVKLASFVLFEQKLLELLGSENVMGALKTLRTEIAPLGVNHNRVRELSSCILSHSQQVHVGISGENIVRMKSRSKLLEELKGLLPPTVMIPEKRLVHLVEQALELQLDGCRFHNSLAGEMSLLTDHQCGKDQIPSQTLQILQGHSDEVWFLQFSHNGKFLASSSADSSVIIWEVKVDGRVSLKHRFSANQKPVSYISWSPDNNQILTCGAEEVVRRWDVQSGECVHIYEKSELGLISCGWSPDGKTIFTGVTDKSISMWDLDGNELECWKGQRTIRTSDIGITTDGKQIISVHKDKTILLFGWESKAEKSIKEDQDITSFVLSRDSKYLLVSLLNQEIHLWNIDGSVTCIAKFKGHKRNRFVVRSCFGGLDDAFIASGSEDSQVYIWHRSSGELVGTLHGHSGTVNCVSWNGANPHMLASASDDRTIRIWGLKQLNMKQNSTVCNGVHHCNGGS >itb13g14300.t1 pep chromosome:ASM357664v1:13:20845323:20853427:-1 gene:itb13g14300 transcript:itb13g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDDEPPFKRVKVSSGELGELLNGKSLRDPTNCSLSDCSMARSLVCEGDYDVVGSKGIVKKVEFVQIIAEALYSLGYKKTGAQLEEESGIHLHSSVVNLFMQQILDGKWDESVITLHRLGIVDQTTVKLASFVLFEQKLLELLGSENVMGALKTLRTEIAPLGVNHNRVRELSSCILSHSQQVHVGISGENIVRMKSRSKLLEELKGLLPPTVMIPEKRLVHLVEQALELQLDGCRFHNSLAGEMSLLTDHQCGKDQIPSQTLQILQGHSDEVWFLQFSHNGKFLASSSADSSVIIWEVKVDGRVSLKHRFSANQKPVSYISWSPDNNQILTCGAEEVVRRWDVQSGECVHIYEKSELGLISCGWSPDGKTIFTGVTDKSISMWDLDGNELECWKGQRTIRTSDIGITTDGKQIISVHKDKTILLFGWESKAEKSIKEDQDITSFVLSRDSKYLLVSLLNQEIHLWNIDGSVTCIAKFKGHKRNRFVVRSCFGGLDDAFIASGSEDSQVYIWHRSSGELVGTLHGHSGTVNCVSWNGANPHMLASASDDRTIRIWGLKQLNMKQNSTVCNGVHHCNGGS >itb15g12690.t1 pep chromosome:ASM357664v1:15:10570059:10571501:-1 gene:itb15g12690 transcript:itb15g12690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNSKNLPLREIPGGYGVPFFGPIADRYDFFYNQGADEFFRSRKEKYKSSVFRCNMPPGPFIAKNPQVVVLLDSVSFPILFDVSRVEKRDIFEGTYMASTKFTGGYRVLSFLDPSEPKHATIKGLFIQTLAKLHDRFLPLFSSISDDMFAQIEDEVGKTGESNYNDINDVKSFEFLFRLYCNDVKPSDTKLGTGAAKSITTWLLPQIAPVTSFGLSWLPGFIEDLLMHTFPIPFFLVKSQYNKMYDAFINNLGPLLDDAEKAGLKRDEACHNFIFFVCFNSYAAFKFFLPELMKYIGSAGEDLHRKLAEEIRAAVELEGGKITVNALNNMPLTESAIWEALRIEPPIRYQYAKAKEDITIQSHDASYLIKKGETIFGFQPFATKDPNVFENPDTYIPDRFVGEGKKLIEYVYWSNGRGTDIPTANDKQCLGRNMIILLSRLHLAEFFLRYDTFTVEVSKYLFSSIIKFKSLTKASSST >itb04g03270.t1 pep chromosome:ASM357664v1:4:2016144:2018702:-1 gene:itb04g03270 transcript:itb04g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHAATRLLNRSASAAVAGGSRRFSTDLASAPSADEAFVSAWTRTVPNIDPPKTPLSFMQPRPPTPSSIPSKLKINFVLPYASEFSNKEVDMVIVPATTGQMGILPGHVATIAELKPGVVSVHEGNDVKKYFVSSGFVFVHSNSIADIMAIEAVPVEQIDPSAVQKGLSEFTQKLNSASTDLQKAEAQIGVDVFSALNSALTG >itb12g24750.t1 pep chromosome:ASM357664v1:12:26181943:26185822:-1 gene:itb12g24750 transcript:itb12g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAISPQWQDKASGFFQSSGAKLKEAGQSAGTVLGEVAKDAKGNVTEVAEKVGSVVKSHWSFLQQPSTRQAMQERLISAAATTSLFLRKGFSETKDKVVVGKTKVEEVAKKTAQKSKTLMTDIERWQKGVASTDVFGVPIEITVHRQQSTRPIPSILVKCADYLVLSGLNSRGLFKSEGDKKTIQNLVSLYNEDPSATLPEGINPLDVAALIKCYLASLPEPLTTFQLYNEIRDARSSIPTMRNILKKLPTVNYMTLELITALLLRVSQKSPVNEMDARSLAMEIAPIIMWQQGRSPDQYKQFWSQLPKNHQKTRLDSDSNYSPWDMLADEDENVDASSPIPLDDGLPVDFGVIEVVQCLIEHHNAIFTDANETVWR >itb12g01280.t1 pep chromosome:ASM357664v1:12:925723:926142:-1 gene:itb12g01280 transcript:itb12g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLISLFLAVSGVAVALSTGQVNGGGSTQDGAVHLDVKSGGRTAVKDAKTNKRIQGMGMYCVAEYNKGLKQKNLEAVELLKFKEVVKAEKQVVAGVKYFLHIAATTHHGTVKNFDAEVLLKPISRDMEMLVFAPDMVS >itb13g21180.t1 pep chromosome:ASM357664v1:13:27821035:27823634:1 gene:itb13g21180 transcript:itb13g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKRGSTADMVAEAEILCQQSVSVQYLCVSKPVENDLELFEVKPEAVSTPPIEVESVAIEISRSESAVSCSTSIQTTLIDSSRNTNFLPSIRSGSYTDIGARRSNEDEHIRIDDLSAHLGPLYRWPLPCSFYAVFDGHGGSAASAYVKDNALRFFFEDAALPQASVVDKAFLEELGRSHHRAFLLADQALADDCSVDASCGTTAITALILGKYLVIANAGDCRAVLCRKGNAVQISHDHRPSCQFERKRVEALGGLIEYGYLNGEISVTRALGDWSMKLPYGSASSPLSAEPEIHQVALTEDDEFLIIGCDGVWDVMSNQDAVSIVLQELRMHNDPQECAKELVNQALLREKNDNLTAIVICFTAPPVPSRRPKLRCLTLSEEARNRLRSFVQGN >itb12g26030.t1 pep chromosome:ASM357664v1:12:27093183:27096565:-1 gene:itb12g26030 transcript:itb12g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVKKLVPKPQQLSMTASPASFFRVNCRKISLSVIAMLAICLIALLSISSIEERVLGSSTPPLSDQLYTGEVVNEFPHDPEAFTQGLLYAEKDTLFESTGLNGRSSVRRVVLSTGEVEVSHKMQQSDFGEGLTLLGDRLFQVIWQHNTGYIYDRYNLSKFKGFTHEMKDGWGLATDGKVLYGSDGTSTLYQIDPHTMKAITKHTVKYRGQEVPRLNELEYVKGEVWANVWMTDCIARISPKDGMVLGWILLPSLREGLLASGHDQIDVLNGIAWDKDRSRIFVTGKLWPKLFEIKVHPLKTPFKGDVKKMCIP >itb01g15860.t3 pep chromosome:ASM357664v1:1:19123755:19131173:1 gene:itb01g15860 transcript:itb01g15860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSRRPFDRSRIEPGLKKPRLAEDPATNRTLNGRSFVQQRPMASGSAGSRFRASERGGGDSESSDSVRGSYPQQQQQQNHQELVSQYKTALAELTFNSKPIITNLTIIAGESIPAAKAIAATICANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFATRLPEVFCKVYRQVDPSIHSGMRHLFGTWKGVFPPQTLQLIEKELGFTTGVNGSSSGTTSRNESQTPRPARSIHVNPKYLEARQNLQQPAKAKGNAGGISATLVSSSEDVERLERTASVSSGPPGRSWVDPSIKNIHRVQKGRLNEPVAVKTISVEKTVNVVYADSEYGSEISRRPGMHGGITSEKYKKQGLDKQWYDSESIATGTISSKRNGYDLKHGLQNYPSHKSSSSEAYLHATQDSINRNSTELNRSWKHSEEEEYAWDDIHSRLPNHAIAKISVKDHCTPDGSERMDIETQLRRLQSERDVGSSFNPDASTASISIVNKGQAAFGREGSSLWARELHSLDGVAAPVSARDFSSHSEDYSNSFSGLSNAGNSIAKTSCKPQTGSVSIGTARFGLSSNAAVEKESRSAASPSAQSPMHQLPPSPSNQVAFNIAERDQAQAILRPDPRTSQFPRRSNLDPRQRFYQDSQPTLSNNAHLGSSMRTNPPSLSDSTQGRDHNPFAKQLEPKPEVAEYLDQAKELPISQIPGVTEPSLPVSSSSSPSIIPGAESPLQATTSSLLAAVMNSGILGTNSVIDSLPRLNSQDAGSLSSQSVKLTIASTKSKPATVISEPSEGNASVLTTYSQGNLEKPPLPPGRHPSFLASSSMSSSNVVSAGSNPVSSLLSTLVEKGLITASKEESSTSFESHVPPQTLNQSPSSVNTSSGTALPFAVSSENPSLSMEYSQALAKPAAKASDGLQQSVARGIKNLIGFVFKPDVIRQPHPVVISELIDDLPHECGICGFKLKLKEQLDRHIEWHASKVPDMKMLNKSSRKWYANSNEWNVGNASFEDGPVEKMECTVQMVPADENQCLCVLCGQLFEDVFSDEMDQWMFKGAVYVSTQSLGDKSGRTNMSPAQGPLVHKNCITESSVYDLGLVEDIKQHATQRSA >itb01g15860.t1 pep chromosome:ASM357664v1:1:19123755:19132023:1 gene:itb01g15860 transcript:itb01g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSRRPFDRSRIEPGLKKPRLAEDPATNRTLNGRSFVQQRPMASGSAGSRFRASERGGGDSESSDSVRGSYPQQQQQQNHQELVSQYKTALAELTFNSKPIITNLTIIAGESIPAAKAIAATICANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFATRLPEVFCKVYRQVDPSIHSGMRHLFGTWKGVFPPQTLQLIEKELGFTTGVNGSSSGTTSRNESQTPRPARSIHVNPKYLEARQNLQQPAKAKGNAGGISATLVSSSEDVERLERTASVSSGPPGRSWVDPSIKNIHRVQKGRLNEPVAVKTISVEKTVNVVYADSEYGSEISRRPGMHGGITSEKYKKQGLDKQWYDSESIATGTISSKRNGYDLKHGLQNYPSHKSSSSEAYLHATQDSINRNSTELNRSWKHSEEEEYAWDDIHSRLPNHAIAKISVKDHCTPDGSERMDIETQLRRLQSERDVGSSFNPDASTASISIVNKGQAAFGREGSSLWARELHSLDGVAAPVSARDFSSHSEDYSNSFSGLSNAGNSIAKTSCKPQTGSVSIGTARFGLSSNAAVEKESRSAASPSAQSPMHQLPPSPSNQVAFNIAERDQAQAILRPDPRTSQFPRRSNLDPRQRFYQDSQPTLSNNAHLGSSMRTNPPSLSDSTQGRDHNPFAKQLEPKPEVAEYLDQAKELPISQIPGVTEPSLPVSSSSSPSIIPGAESPLQATTSSLLAAVMNSGILGTNSVIDSLPRLNSQDAGSLSSQSVKLTIASTKSKPATVISEPSEGNASVLTTYSQGNLEKPPLPPGRHPSFLASSSMSSSNVVSAGSNPVSSLLSTLVEKGLITASKEESSTSFESHVPPQTLNQSPSSVNTSSGTALPFAVSSENPSLSMEYSQALAKPAAKASDGLQQSVARGIKNLIGFVFKPDVIRQPHPVVISELIDDLPHECGICGFKLKLKEQLDRHIEWHASKVPDMKMLNKSSRKWYANSNEWNVGNASFEDGPVEKMECTVQMVPADENQCLCVLCGQLFEDVFSDEMDQWMFKGAVYVSTQSLGDKSGRTNMSPAQGPLVHKNCITESSVYDLGLVEDIKQEEDNI >itb01g15860.t2 pep chromosome:ASM357664v1:1:19123755:19131850:1 gene:itb01g15860 transcript:itb01g15860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSRRPFDRSRIEPGLKKPRLAEDPATNRTLNGRSFVQQRPMASGSAGSRFRASERGGGDSESSDSVRGSYPQQQQQQNHQELVSQYKTALAELTFNSKPIITNLTIIAGESIPAAKAIAATICANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFATRLPEVFCKVYRQVDPSIHSGMRHLFGTWKGVFPPQTLQLIEKELGFTTGVNGSSSGTTSRNESQTPRPARSIHVNPKYLEARQNLQQPAKAKGNAGGISATLVSSSEDVERLERTASVSSGPPGRSWVDPSIKNIHRVQKGRLNEPVAVKTISVEKTVNVVYADSEYGSEISRRPGMHGGITSEKYKKQGLDKQWYDSESIATGTISSKRNGYDLKHGLQNYPSHKSSSSEAYLHATQDSINRNSTELNRSWKHSEEEEYAWDDIHSRLPNHAIAKISVKDHCTPDGSERMDIETQLRRLQSERDVGSSFNPDASTASISIVNKGQAAFGREGSSLWARELHSLDGVAAPVSARDFSSHSEDYSNSFSGLSNAGNSIAKTSCKPQTGSVSIGTARFGLSSNAAVEKESRSAASPSAQSPMHQLPPSPSNQVAFNIAERDQAQAILRPDPRTSQFPRRSNLDPRQRFYQDSQPTLSNNAHLGSSMRTNPPSLSDSTQGRDHNPFAKQLEPKPEVAEYLDQAKELPISQIPGVTEPSLPVSSSSSPSIIPGAESPLQATTSSLLAAVMNSGILGTNSVIDSLPRLNSQDAGSLSSQSVKLTIASTKSKPATVISEPSEGNASVLTTYSQGNLEKPPLPPGRHPSFLASSSMSSSNVVSAGSNPVSSLLSTLVEKGLITASKEESSTSFESHVPPQTLNQSPSSVNTSSGTALPFAVSSENPSLSMEYSQALAKPAAKASDGLQQSVARGIKNLIGFVFKPDVIRQPHPVVISELIDDLPHECGICGFKLKLKEQLDRHIEWHASKVPDMKMLNKSSRKWYANSNEWNVGNASFEDGPVEKMECTVQMVPADENQCLCVLCGQLFEDVFSDEMDQWMFKGAVYVSTQSLGDKSGRTNMSPAQGPLVHKNCITESSVYDLGLVEDIKQHATQRSA >itb03g05220.t1 pep chromosome:ASM357664v1:3:3565002:3567729:1 gene:itb03g05220 transcript:itb03g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSKKSASSSSSVSAPAVSQSTIPSKPKQETKSLNSNPPPLVKKSEEGTVKKEIFVIKHRKSHEVDREEKGDSKKTAEVSEPVRSGGISSGSGKEDGIVVSAPVRTSSCTKEEVDAILIQCGRLSRSSSTGKAAFSGSIGSSTKKYSGSKRSYDFDNENGGENPEEENVEGETERLHRHRQRQRQPRGSASPSSSSRRRTPSREREQATQQQQQRSGSRERGSSNGGGRRVSRSPGRRSESPITVASGNGNGRPGKMVSVPATVSSLAMDKSIDAGEPISASAVKRIQVKRNVGMGGADGSRTAASPRARSPARTSARVSNENQPVSLSRSNSRKAEHSPYRRNPLSEIDTNVVIEPGTKPAKNTTLSQVQKMNGDNISNGKVQGTGNKVSCKEQQVIMEEAKAVQALGGNVAVNVVVPGSESLKPQGVTRSRSSRLSRDLDINPEALLNSNSNQNYTALLLEDIQNFHQKNTPNAPAFSLPACVTKACSILEAVADLNSTTSSNLTNAFSEDRRRNFTSEQFVKNDNGVNPPGKKRSGAKDPFMESEVAVGDDLMEPSIHKYVTMRRGNVEGGDTEDQESSGSNSFVSGQPHWLSPASWEPNSGDSTSRSYYSRDDNRSPLGFQRNAVSEPSHEMDEGKRRLAAKKRDLENQQTGIGHGKVGSRGLHVSPMATS >itb11g11120.t1 pep chromosome:ASM357664v1:11:8074191:8077821:-1 gene:itb11g11120 transcript:itb11g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNQISRVPSFLFIFIILLSPFGLASVIPGVVDGSNNGAVSWGNGRSLLQENNGGDSSLILAAERTHRKDPSDKLNYYTGGWNISNDHYKSSVAFTGAPLFLIAAIWFLGFGLALLLVCLCCCCCRKTRYGYSRSAYALSLAFLALFTVAAIIGSAVLYTGQDKFYHSTKDTMDFVVAQADSTVYKLRNVSGVLAVAKSTGVAQIFLPKDVQNSIDRVDDTINSSANTLETETRNNKRDILRAIDVVSKTLIILAAVMLGLAALGFLLSILGLQFLVYILVILGWILIAATFFLSGVFLVLHNVVGDTCVAMDQWVKHPEAHTALDDIIPCVDPQAARAALSQSKDVTFQMVGVVNRIINNVSNVNMPARSPAWYNQSGPLVPNLCNPFNSDKTDRNCAAGEVEFSNATEVWKSYVCKVSAKNVCTTVGRLTPDLYRQMNTAINISGGLYHYGPFLTELVDCTFLRGTFNVIHDDHCPDLSKFSKWVYIGLALASAAVMFSLIFWVIYARERRHRKYTKLVDARSGLGSPSPSK >itb14g00370.t2 pep chromosome:ASM357664v1:14:237992:242177:1 gene:itb14g00370 transcript:itb14g00370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MVKSVENGVSVPPFLLKCYEMVDDESTDALISWSQSQPSTADGNNDSFIIWDVSNFCSELLPKYFKHSNFSSFVRQLNIYGFRKVDTDRWEFANDKFVRGQKHLLASIVRRKNSQNVVPKITTQQEVTKSSTTEDDKRLALWKEVESLKIDKNALMQELIKLRQHQQNSQSRLLLLREQVKGMEKNQQQMLSFIVMAMQNPGFLLQFLQPKDNNWLMAETGSNVLSKVDNKCELAPSNGMLVKYQPPLPHQVAEPICSDPTSDPEKSMELDFSSNDIEENDQLTAETGRNNASSEVEHNSELTPSNGILVKYQSPTHQVAVPKCIEPTPYAEKSTDLDFSLDDIKDLFKNIDIFPGPFDEGFLPSENSDPFAIPDMLDGDDVMDMLLSSPISERAETNDSRRSEEELEDGIGKGGTTETPPHTTHAGNFEGMDTLTEQMGHTKF >itb14g00370.t1 pep chromosome:ASM357664v1:14:237992:242177:1 gene:itb14g00370 transcript:itb14g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MGYRCRRSCSSATRWWTTSQRTRSFLGVKVNRPPQMVTTIASSSGMCPTSALNCSPNISSTPISLASFASSISMKVDTDRWEFANDKFVRGQKHLLASIVRRKNSQNVVPKITTQQEVTKSSTTEDDKRLALWKEVESLKIDKNALMQELIKLRQHQQNSQSRLLLLREQVKGMEKNQQQMLSFIVMAMQNPGFLLQFLQPKDNNWLMAETGSNVLSKVDNKCELAPSNGMLVKYQPPLPHQVAEPICSDPTSDPEKSMELDFSSNDIEENDQLTAETGRNNASSEVEHNSELTPSNGILVKYQSPTHQVAVPKCIEPTPYAEKSTDLDFSLDDIKDLFKNIDIFPGPFDEGFLPSENSDPFAIPDMLDGDDVMDMLLSSPISERAETNDSRRSEEELEDGIGKGGTTETPPHTTHAGNFEGMDTLTEQMGHTKF >itb12g08780.t1 pep chromosome:ASM357664v1:12:6877291:6881101:-1 gene:itb12g08780 transcript:itb12g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYAMVGANNVLKGTTTFLLLSSFRPLLPSPLRICFHANNKGLRLPPPAFSRHAFPSSFHNDLSHSKLAVAFSGRRRGGFFSQCSYSDSTAATTSSSKEEDDNSASSASRSVDSSSANQPMLEIKDAANTLDIRVGKILRAWKHDEADSLYVEEVDIGEPQPRIICSGLVNYVPLQHLQDRHVIVLANLKPRNMRGVKSCGMLMAASDESHQNVELLLPPEGSVPGERVWFGLDDEKDKLPEAATPNQVQKKKIWELVQPHLKTNDTCVAMLGSYHMRAPAGLVVCSSLANARVS >itb06g05920.t3 pep chromosome:ASM357664v1:6:8619857:8625187:1 gene:itb06g05920 transcript:itb06g05920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKIFVDTDADVRLARRIRRDTVERGRDISSVLEQYAKFVKPAFDDFILPSKKYADVIIPRGGENHVAVDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGVHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >itb06g05920.t1 pep chromosome:ASM357664v1:6:8618789:8625187:1 gene:itb06g05920 transcript:itb06g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTAIDYVMEAASGPHFSGLRLDGLRSSTSRSSTTTPPTAFVPSPAPPPDFSVHKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVLLVNQDSFYRGLTPEELKRVHEYNFDHPDAFDTEQLVECVDKLKAGQAVHVPIYDFKAHQRSSDSFRQVNASDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDISSVLEQYAKFVKPAFDDFILPSKKYADVIIPRGGENHVAVDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGVHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >itb06g05920.t2 pep chromosome:ASM357664v1:6:8618789:8625188:1 gene:itb06g05920 transcript:itb06g05920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQQLHDHRVLLVNQDSFYRGLTPEELKRVHEYNFDHPDAFDTEQLVECVDKLKAGQAVHVPIYDFKAHQRSSDSFRQVNASDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDISSVLEQYAKFVKPAFDDFILPSKKYADVIIPRGGENHVAVDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDRDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGVHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >itb15g02230.t1 pep chromosome:ASM357664v1:15:1361716:1364679:-1 gene:itb15g02230 transcript:itb15g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLPFYSSVMVYIYSPVKVLVKVINFNSVQPENHFSPEKMGSSECHIAVLAFPFTTHAAPLLSLVEQLSAAFPSARFSFFNNHDSNSNLFKGRNPAAGKVKAYDVWDGTVAGEALVTHEEFIMAMPGNYQTAIAEAEAEMGTKFGCFLTDSFLWFGGDLAAERGGVPWISFWTAGACSISAHLYTDFVRSLVAAGPNANGNGLEQKMKIIPGMSEISIGEMPGEILAKDLQAPFPGMIYNMALKLPSANALVLNSFQKLEPTVTDDLRSKLQKVFNIGPMILQPATPKPPISDDHNCIPWLDSLPPASPAVYLSFGSGITPPPAEIVGLAEALEAKRAPFLWSLKPHGVKHLPEGFVERTKEFGKIVPWAPQVQVLSHPVVRAFVTHCGWNSTLEAISYGVCMICRPFYGDQKINTRFVESVWEIGVKVEGGIFTKDGTMKALNVVLDSDRGKLLKQNVVKLKGEALEAVKPNGSSTKDFQELVHLLNDSF >itb14g19390.t2 pep chromosome:ASM357664v1:14:22071081:22072590:-1 gene:itb14g19390 transcript:itb14g19390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVSQTTKSPAVEEFSSSTVEKSKSKVIVVDKTRGGSKINSDAKDKSFVKASLFVKVNMDGVMIGRKVDLGAHTNYEDLVCTLDEMFFMPTTTAHTRCSNLEEKNAMTDAAARPRLLDGSSEFVLTYEDKDGDWMLVGDVPWEIFVCSVKRLRIVRKSEANGLGTSTYIHQNAILTAF >itb14g19390.t1 pep chromosome:ASM357664v1:14:22071081:22072590:-1 gene:itb14g19390 transcript:itb14g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVSQTTKSPAVEEFSSSTVEKSKSKVIVVDKTRGGSKINSDAKDKSFVKASLFVKVNMDGVMIGRKVDLGAHTNYEDLVCTLDEMFFMPTTTAHTRCSNLEEKNAMTDAAARPRLLDGSSEFVLTYEDKDGDWMLVGDVPWEIFVCSVKRLRIVRKSEANGLGTTPTMHQERNGRPRTKPI >itb12g26540.t1 pep chromosome:ASM357664v1:12:27395990:27397172:-1 gene:itb12g26540 transcript:itb12g26540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKFMASNKRKSFNGAGPTHLTTVDWSNSSHRSSVAACLVEGVYSREEERQQCCHGGDGGDGALASSAWWESFGFQLNQVLIDEKDKSIFGAVYELKLWSQAQAQVEAGKPQSPKLVIAFRGTLIEKKSWLQDIRLDRYIVQNKLHKSHRVRDGLEAVQAAVSKVGAENVWLAGHSLGSSIALLIGRNMSVAPKLQEGIRLAHAGVKAAMAVTMSIANKKKIVEDDEEFNLLLPWIPHLFINSSDPICAKYLEHFKHTAAGEIGRLAAKNSVRCMLGNAIGKDCKPSHLIPSAYLTINSNGSKREAHNLSQWLHPDLKVDHKLSQL >itb04g25750.t1 pep chromosome:ASM357664v1:4:30263405:30264998:-1 gene:itb04g25750 transcript:itb04g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSYSSSSSSFCSVFSCSLFVMVMSAALAASQPPLGLFEQEGVYRVLEAVNPEIPWRSLFPDDLCSSAPHGVVCDEGDDDDSGGVSHVTELNFGYVSDYTPNPPCSPKATIHSSLLAPFTHLRKLFFFQCFTEAPVSFPDLSSLVSLEEAVFVENPALYGSISGDIGRLRSLRRFVLTGTNVSGEMPVGFGDLYNVEQVTLSRNKLSGYVRTNFQALNRLRVLDLSQNQFSGAVPVSIGNLTQLLKLDLSFNQFSGRIPETLRFLNSLEFLDLSYNRFTNSGIPAFIPAMRKLKEVYLSGNNLGGVIPEIWENMGGLQGIGLSRTGLVGNIPVSMGIHLRNVCYLGLDNNYLEGTVPAEFGALEFVNELNLENNNLSGKVPFSAEFALKVGEKLKLHGNPLLCVDEGLRSAKVSGSLENLKLCSRHYLPKSAPLSNSSPVLHSSTAFLIIGILCLFS >itb01g02110.t1 pep chromosome:ASM357664v1:1:1359176:1361433:-1 gene:itb01g02110 transcript:itb01g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMKKVVLGSTGKKTMNMMRRGFSCRSDKATAVLRRSMTTTGSRAAVALPRGRVLHTPPTRRHHHQRPTLFVPTSNETYMLLPSNGPLSYSNLPVYYSVGPKFEHSGVEGISPFAGNEKYMRLVQRPRATFREYGSLPTSPPRRSGKALLFSGGCSAAAASLALAPIRKGSGDDGRREKARKKPPARRSSKTLLFSGGCSAASLALAPVRKGSGGEGRRERAWKPPPAASTLSSSNTHVFQVVVMRVSLHCQGCASKVKKHLSKMEGVTSFSIDLENKKVTVMGNVSPTGVLESVSKVKRAEFWPC >itb15g10710.t1 pep chromosome:ASM357664v1:15:8401664:8405828:1 gene:itb15g10710 transcript:itb15g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYQHRPSSAFNSPFWTTNSGAPVWNNNSSLTVGTRGPILIEDYHLVEKLANFDRERIPERVVHARGASAKGYFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGVPQDYRHMEGSGVNTYTLISKAGKVHYVKFHWKPTCGVKCLLEEDCVKVGGANHSHATQDLYDSIAAGNYPEWKLFIQIIDPDHEDKFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRYDPCRHAEQHPIPPRILTGKRDKCIIEKENNFKQPGERYRSWSPDRQERFACRWIDALSDPRVTHEIRSIWVSYWTQADKSFGQKLASRLNVRPTM >itb15g16000.t1 pep chromosome:ASM357664v1:15:15092109:15092542:-1 gene:itb15g16000 transcript:itb15g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHPFTAQKSKLLQMEFRNRKLETRKSAAPAKELRYSAAALLGGRCSALRRLSCSGPCPSVALQSHPRLASAVGSPPLAPSPSKKYTDEEKMRKIWGTVKKQGVRTGGGRRN >itb04g25170.t1 pep chromosome:ASM357664v1:4:29822409:29826141:1 gene:itb04g25170 transcript:itb04g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPTDGSSEDFFDQILGFPAYAAADANLAGTASAAPMMLQLSSGDGSAHLGGVRIGMGLGGLGGPFHGTGAAPFPLGLSLEQGRGEFMKMDESSASMKMDIRASSSMKPGFHGQLMPSSVPAMPHPPAIRPRVRARRGQATDPHSIAERLRRERIAERIRALQDLVPSVNKTDRAVMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPISLVEEEGGSEAGRTQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHSQPPDTTPLVKPETNPPS >itb06g05150.t1 pep chromosome:ASM357664v1:6:7904121:7905746:-1 gene:itb06g05150 transcript:itb06g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKWFRAIINLKRLKIRLSKSVIKNRRRYHGQQLNEDIAATRIQAAFRGYMARKALRRLRGTMRFRGILIDGCYYNQQVSATLKHIHSWSRIQSEMKARRLSMVTEGRLKQKKIQSEIKLQAKLHELEVDWSGGSETMEEVLQRIQQREEAAIKRERAMAYAFSHQWRASSSHYFGQAYYDLGKDSWGWSWTERWIAVRPWETRVEAKPVNSKKEGNQTPNLGPLKLLVSQKSPLRNVKSSAKARKLPGHNAGNQIPKETDT >itb12g26970.t1 pep chromosome:ASM357664v1:12:27616909:27618983:1 gene:itb12g26970 transcript:itb12g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7 [Source:Projected from Arabidopsis thaliana (AT5G53660) UniProtKB/Swiss-Prot;Acc:Q9FJB8] MATSLGFPFTFAQWKELERQAMVYKYMMASVPVPPDLLLPLPTDAYNAAPPSFHAQSGRSGGVGFSGKNRDLEPGRCKRTDGKKWRCSKEVALNQKYCERHLHRGRPRSRKPVEDANNNNKKTRLQQPPLESPSMVVSQQPANPAPSSSDKHKEAPQCVDSRMDQSFSISPFNEPRRSLDWEMGGTEEQWKQLMSLESNVYRDNAQTLQQMSLFHCKDYYGSIRQNGECNLYFNPDLGSLNKEFINAWANGSIASSNSNTNSDYALSLAMAAGNILDSEMGVEDGNAGGNYDEEHTMCSGNLTLRSPVSWVPFASGGPLAEVLKPSQQLHHPSSSSYISNGDSISPAGTTVSSPSGVLQKTNLFSQSDGSVCNSPTVAASELVPFQWLS >itb12g26970.t2 pep chromosome:ASM357664v1:12:27617489:27618983:1 gene:itb12g26970 transcript:itb12g26970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7 [Source:Projected from Arabidopsis thaliana (AT5G53660) UniProtKB/Swiss-Prot;Acc:Q9FJB8] MATSLGFPFTFAQWKELERQAMVYKYMMASVPVPPDLLLPLPTDAYNAAPPSFHAQSGRSGGVGFSGKNRDLEPGRCKRTDGKKWRCSKEVALNQKYCERHLHRGRPRSRKPVEDANNNNKKTRLQQPPLESPSMVVSQQPANPAPSSSDKHKEAPQCVDSRMDQSFSISPFNEPRRSLDWEMGGTEEQWKQLMSLESNVYRDNAQTLQQMSLFHCKDYYGSIRQNGECNLYFNPDLGSLNKEFINAWANGSIASSNSNTNSDYALSLAMAAGNILDSEMGVEDGNAGGNYDEEHTMCSGNLTLRSPVSWVPFASGGPLAEVLKPSQQLHHPSSSSYISNGDSISPAGTTVSSPSGVLQKTNLFSQSDGSVCNSPTVAASELVPFQWLS >itb04g32640.t1 pep chromosome:ASM357664v1:4:35128349:35132374:1 gene:itb04g32640 transcript:itb04g32640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKFRQNSIVGRKESFSCKSNGKGKGKRRRKRDALRRQCSIL >itb01g10430.t1 pep chromosome:ASM357664v1:1:9036697:9038039:-1 gene:itb01g10430 transcript:itb01g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFAKRLTSSLFRPNVSLSSSYPHLCTQQGLSESAKISPFLLQFFNSTSIKSPSSLNQNPNFSDSLLTGSTAHFRYLPSFKYPFSHGQFLLKNCEKVRFLSTTSEPPSNGNDKSSQSGSEIQNPEFKHQEITGPTVERDVSALANETREVLEKMMKNVYSLSKALAVLSLVQLGLGAWFLYSTRDSPMPEISLQSFLAFGLPISLAFMLRRSLKPMYFFKKMEDQGRLQILTLTLQVAKQLNLFFCRVQGVSYSCIGVAALGLIYVALSR >itb07g19710.t1 pep chromosome:ASM357664v1:7:24167226:24167719:-1 gene:itb07g19710 transcript:itb07g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIILEKSGKQQPIEDLCWENEELLSLFSKETDTHLRFDPSLSIPRAQAVRCILKVNAHYRFSPLTPILAVNYLDLFLSSFQYQEDKPWMIHLAAVACVSLAAKVEETQVPL >itb10g11990.t1 pep chromosome:ASM357664v1:10:17703362:17704749:1 gene:itb10g11990 transcript:itb10g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSAPATSATASSSLRRLSLCQPPPPQSLPAIAASHVATSPSTYISYQKALRADSSYKAAAECLAIVLTDLGTSLKLAGNTQEGIQKY >itb02g17090.t1 pep chromosome:ASM357664v1:2:13093106:13093819:1 gene:itb02g17090 transcript:itb02g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYFSLGQWQELELQALIFRHMIVGAPVPPELLHLVKKSFFNSATSPYYFPHPLHHYPHYHPAAAPLMQAGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRARNRSRKPVEIPTPNPPTAAGGLKTNPTADAQPMAGGRDAPPHFTLSSAAPPSSSIDLFHLSQRHHGEPIMENSGPLEAQNDGSSGGGQTLRHFFDDWPDHLRKLRAPPPPPTSPSPSPSPSR >itb13g05070.t1 pep chromosome:ASM357664v1:13:5978457:5980487:1 gene:itb13g05070 transcript:itb13g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRADSQVFLFANSKCKRYFHNRLKPSKLTWTAVYRKQHKKDIAAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKAKTATKGNMPKGPAGKGPKLGGGGGKR >itb02g00100.t1 pep chromosome:ASM357664v1:2:87828:95531:1 gene:itb02g00100 transcript:itb02g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVAPPRNSDSAGAAADSAPVLRSYQAWKGSNRFCLQGRLIFGPDGRSVFLTIFLIVAPVAVFCVFIARKLMDDFAGDWGISIMVIVVLFTLYDLVLLLLTSARDPGIIPRNLHPPEPENFEGNKQAGPGQTPQLRLPRVKDVDVNGIIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCLYVHAFCWVYIRRIMDSEQSSIWKAMAKTPASIVLIIYTFISVWFVGGLTVFHLYLISTNQSTYENFRYRYDRRVNPFNKGTIQNFMEIFCTSIPPSKNNFRAKLQKEAEIQPRVVGGGYSPNLEKTMSDIEMRRKPVCDDGDGGVTSTGELEGLPRNDNLLDKINKKSAASIEKNEASLGDGDGDGDGRSILHPRRSSWGRRSRSLDLPPDIVALAMASSEFGNSNHKNVISRSVSSITEEEEG >itb12g13270.t2 pep chromosome:ASM357664v1:12:12085423:12092289:1 gene:itb12g13270 transcript:itb12g13270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb12g13270.t4 pep chromosome:ASM357664v1:12:12085423:12092289:1 gene:itb12g13270 transcript:itb12g13270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb12g13270.t6 pep chromosome:ASM357664v1:12:12088912:12092254:1 gene:itb12g13270 transcript:itb12g13270.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb12g13270.t5 pep chromosome:ASM357664v1:12:12088912:12092289:1 gene:itb12g13270 transcript:itb12g13270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb12g13270.t3 pep chromosome:ASM357664v1:12:12085423:12092289:1 gene:itb12g13270 transcript:itb12g13270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb12g13270.t7 pep chromosome:ASM357664v1:12:12088912:12092254:1 gene:itb12g13270 transcript:itb12g13270.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb12g13270.t1 pep chromosome:ASM357664v1:12:12085423:12092289:1 gene:itb12g13270 transcript:itb12g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIQLKPCLLKYSSFCRGKNRVLIKSTKGIFDEGADPYFPLCVKSRRQKSLAISIRSKTSLESDSDLDGHANHQQSHEAPKEKSFLGAVALIVGTAVGPGMLGLPAATVKAGQLPATVAILFSWIYVVSSIILVAELSFAAMEENGAAEVSFTDLANKAFGSGFGTFVALIYASLSFALLVCCVSGIGSLISQWFPKLNPIVANALFPSVVGAVLSLLPFKAIDVANRFLCIVMLFSISALVTVGVFVGGTNILGSFAYASWAPSTVLPAIPIAVLTMGFHVTTPFICKIAGNTVNEARKAILVGGAIPLIMVLSWNLIVLGLARTGASSSITDPISLLLSVNPSALPAVQGFALSALATSLIGYAVSFPKQLIDTMELILNTTGNPIKAPSGEVGKVGLATFNGHSGKISYCGIKCYASEAARETASDSLQSIVMPIVLALPVLIASFFPSMFSRALNFAGTYANCFLFGILPPIMTFIFQSRRKLRLSILPGGDGTLLLLLGISVILAVWH >itb11g02910.t3 pep chromosome:ASM357664v1:11:1490666:1492878:1 gene:itb11g02910 transcript:itb11g02910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASESTEMIDGGNGEVDIGNKRKLSELDSAEEDQKRCREEEEKSISQMHLKNWENLDLDVSGNIFRHLNSYALFWTLSFVCTSWRIACWDHLFWKSSVFSLGASCRKCNHSRSSLSSKNQHNQLGVKMMILLKSIMEGSDAHGRPLERTPNLIDLELLGSSKITSTGFAKAISNWKCLQRINLGEFYWRDFHHFMRAINRSCPQLKVLCISKEGFNFNFGKSTVMTLYLKGLPLERLIFRRAHLDQYGIHGISHQHFPQISMEFDDCFFKCSYLTPQITFRLSFDLRRGKESSEWAVVNSKPATMRSNIAKWLASKALLSDSINLSA >itb11g02910.t4 pep chromosome:ASM357664v1:11:1490666:1492878:1 gene:itb11g02910 transcript:itb11g02910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEASESTEMIDGGNGEVDIGNKRKLSELDSAEEDQKRCREEEEKSISQMHLKNWENLDLDVSGNIFRHLNSYALFWTLSFVCTSWRIACWDHLFWKSSVFSLGASCRKCNHSRSSLSSKNQHNQLGVKMMILLKSIMEGSDAHGRPLERWRNSILKFCVPRNLNISDVHLLYVAERTPNLIDLELLGSSKITSTGFAKAISNWKCLQRINLGEFYWRDFHHFMRAINRSCPQLKVLCISKEGFNFNFGKSTVMTLYLKGLPLERLIFRRAHLDQYGIHGISHQHFPQISMEFDDCFFKCSYLTPQITFRLSFDLRRGKESSEWAVVNSKPATMRSNIAKWLASKALLSDSINLSA >itb11g02910.t1 pep chromosome:ASM357664v1:11:1490666:1492878:1 gene:itb11g02910 transcript:itb11g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASESTEMIDGGNGEVDIGNKRKLSELDSAEEDQKRCREEEEKSISQMHLKNWENLDLDVSGNIFRHLNSYALFWTLSFVCTSWRIACWDHLFWKSSVFSLGASCRKCNHSRSSLSSKNQHNQLGVKMMILLKSIMEGSDAHGRPLERTPNLIDLELLGSSKITSTGFAKAISNWKCLQRINLGEFYWRDFHHFMRAINRSCPQLKVLCISKEGFNFNFGKSTVMTLYLKGLPLERLIFRRAHLDQYGIHGISHQHFPQISMEFDDCFFKCSYLTPQITFRLSFDLRRGKESSEWAVVNSKPATMRSNIAKWLASKALLSDSINLSA >itb11g02910.t5 pep chromosome:ASM357664v1:11:1490713:1492878:1 gene:itb11g02910 transcript:itb11g02910.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEASESTEMIDGGNGEVDIGNKRKLSELDSAEEDQKRCREEEEKSISQMHLKNWENLDLDVSGNIFRHLNSYALFWTLSFVCTSWRIACWDHLFWKSSVFSLGASCRKCNHSRSSLSSKNQHNQLGVKMMILLKSIMEGSDAHGRPLERWRNSILKFCVPRNLNISDVHLLYVAERTPNLIDLELLGSSKITSTGFAKAISNWKCLQRINLGEFYWRDFHHFMRAINRSCPQLKVLCISKEGFNFNFGKSTVMTLYLKGLPLERLIFRRAHLDQYGIHGISHQHFPQISMEFDDCFFKCSYLTPQITFRLSFDLRRGKESSEWAVVNSKPATMRSNIAKWLASKALLSDSINLSA >itb11g02910.t2 pep chromosome:ASM357664v1:11:1490666:1492878:1 gene:itb11g02910 transcript:itb11g02910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASESTEMIDGGNGEVDIGNKRKLSELDSAEEDQKRCREEEEKSISQMHLKNWENLDLDVSGNIFRHLNSYALFWTLSFVCTSWRIACWDHLFWKSSVFSLGASCRKCNHSRSSLSSKNQHNQLGVKMMILLKSIMEGSDAHGRPLERWRNSILKFCVPRNLNISDVHLLYVAERTPNLIDLELLGSSKITSTGFAKAISNWKCLQRINLGEFYWRDFHHFMRAINRSCPQLKVLCISKEGFNFNFGKSTVMTLYLKGLPLERLIFRRAHLDQYGIHGISHQHFPQISMEFDDCFFKCSYLTPQITFRLSFDLRRGKESSEWAVVNSKPATMRSNIAKWLASKALLSDSINLSA >itb10g24870.t1 pep chromosome:ASM357664v1:10:28445244:28448746:-1 gene:itb10g24870 transcript:itb10g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQRGCDEIGNGRQVNDVQKWKKVANKWKDESKVVWQIAGPAILTAVSQFSFGFVTAAFVGQIGHVELAAVSEVQNVVEGFVYGIMLGMGSALETLCGQAVGASEFNMLGIYLQRSWIITGVTALLLTPVYVFTSPILKLLRQDKHISHVAGKYAIWVIPQLFAYAFNFPIQKFLQAQAKVWVMAIISVAALAVHGLLSWALVMRLDRGLLGAAIAGNVSWWILIIAQIVYIVSGSFADSWTGFSWLAFKSLFNFVKLSLASAAMLCLELWYFTAVILMVGGLNNAAVAVDSVSICVNLQLWALMVALGFNASVSVRVSNELGGGRPEAAKFSVIVNVITSAILGTIFTAIVASTVNQFPRMFTKNEEVMKETSKLGYLLAATIFLNSVQPVFHGVAVGAGWQFLVALVNLVSYYVVGLPFGALLGFKFDLGVKGIWSAMLGGSVLQTLILYLIIARTNWHKEALQAEERVRYWGGPVENQECLEQSVAQRNGNETR >itb12g08770.t2 pep chromosome:ASM357664v1:12:6871601:6877371:1 gene:itb12g08770 transcript:itb12g08770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHIPFHGNPPAAAPLLHPLCIFSLHIRRDRLSGCAVAVLTSLKDQWQNTPPSWDDSDDPCGGSWEGVSCNNSRVTALGLSTMGLKGKLNGDIGGLTELISLDLSFNRGLTGSISPRIGDLQKLSILILAGCSFSGSVPAELGKLSELSFLALNSNNFTGEIPATLGNLSKLYWLDLADNQLTGSLPVSTGKDPGLDLLKKAKHFHFNKNQLSGTIPANLFSADMVLIHVLFDGNQFSGSIPSTIGLVQTLEVLRLDRNLLNGSVPSNLNNLTSMIELNLAHNTLSGRLPDLNGMNSLNYVDLSNNSFRQSEAPDWFSSLQSLTTLVIEYGSLQGPVPQKLFAFPQIQQVKLRNNAFNDTLNMGSSIGQQLQLVDFQNNEISAVTLGSGYKNTLILIGNPVCTSALANTDYCHLQQQATKPYSTSLANCGSKSCPSDKKLSPQSCDCAYPYEGTFYFRGPSFRELSSVELFHSLEMSLWVKLGLTPGSVSLQNPFFNIDDYLQVQLELFPSTGMYFNRSEVQRIGFSLSNQTYKPPPEFGPYYFIASPYTFPAEHVRTSISSRVIIGIAVGCSFLVLLLVGLGIYAVQQKKRAERAIGMSRPFGSWAPSGKDSGGVPQLKGARWFSYDELKKCTNNFSESNEVGSGGYGKVYRGLLSTGQVVAIKRAQQGSMQGGMEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSNGSLRESLSGRTGIYLDWKRRLRIALGSARGLAYLHDLANPPIIHRDVKSTNILLDENLTAKVSDFGLSKLVSDCTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIEKGKYIVREVKMMMNKDNDEHFGLRNMMDPSIRNATTNLSGFGKFVELAMQCVEESAADRPTMSEAVKALETILQNDGLNTNSTSASSSATDFGSSKAVLAHPYHHDGLPRKEIHDSSDAFDYSGGFTLSAKVEPK >itb12g08770.t1 pep chromosome:ASM357664v1:12:6871601:6877371:1 gene:itb12g08770 transcript:itb12g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRLLLPCFILFASFHFIFAETDSRDVAVLTSLKDQWQNTPPSWDDSDDPCGGSWEGVSCNNSRVTALGLSTMGLKGKLNGDIGGLTELISLDLSFNRGLTGSISPRIGDLQKLSILILAGCSFSGSVPAELGKLSELSFLALNSNNFTGEIPATLGNLSKLYWLDLADNQLTGSLPVSTGKDPGLDLLKKAKHFHFNKNQLSGTIPANLFSADMVLIHVLFDGNQFSGSIPSTIGLVQTLEVLRLDRNLLNGSVPSNLNNLTSMIELNLAHNTLSGRLPDLNGMNSLNYVDLSNNSFRQSEAPDWFSSLQSLTTLVIEYGSLQGPVPQKLFAFPQIQQVKLRNNAFNDTLNMGSSIGQQLQLVDFQNNEISAVTLGSGYKNTLILIGNPVCTSALANTDYCHLQQQATKPYSTSLANCGSKSCPSDKKLSPQSCDCAYPYEGTFYFRGPSFRELSSVELFHSLEMSLWVKLGLTPGSVSLQNPFFNIDDYLQVQLELFPSTGMYFNRSEVQRIGFSLSNQTYKPPPEFGPYYFIASPYTFPAEHVRTSISSRVIIGIAVGCSFLVLLLVGLGIYAVQQKKRAERAIGMSRPFGSWAPSGKDSGGVPQLKGARWFSYDELKKCTNNFSESNEVGSGGYGKVYRGLLSTGQVVAIKRAQQGSMQGGMEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSNGSLRESLSGRTGIYLDWKRRLRIALGSARGLAYLHDLANPPIIHRDVKSTNILLDENLTAKVSDFGLSKLVSDCTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIEKGKYIVREVKMMMNKDNDEHFGLRNMMDPSIRNATTNLSGFGKFVELAMQCVEESAADRPTMSEAVKALETILQNDGLNTNSTSASSSATDFGSSKAVLAHPYHHDGLPRKEIHDSSDAFDYSGGFTLSAKVEPK >itb10g12550.t1 pep chromosome:ASM357664v1:10:18598016:18601750:1 gene:itb10g12550 transcript:itb10g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHKSVNVNGINMHVADKGQGPVILFLHGFPELWYTWRHQLQFFAALGYRAVAPDLRGYGETDAPSHPSAYTCLHVVGDLVALIQSLGVDKVFLVAHDWGAIIGWYFCMFRPDLVKAFVDISVPFRPRHPTMKPVEAMRAFFGEDYYICRFQEEGRIESDIAIHGSEKVLRKIFTDRKAGPPCLPKENPFGISTDDAKQPKLPSWLSEQDLKYYASKYDLKGFTGGLNYYRSLDLNWELTAAWTGIEVKVPVKFMVGDMDMVYTTPGIKEYVHGGGFKKDVPLLEEIVVIEGGGHFLNQERPDEVNHHIHHFINNFS >itb02g16530.t1 pep chromosome:ASM357664v1:2:12442700:12444559:1 gene:itb02g16530 transcript:itb02g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQPLSSSATQSNKRKTRQTIEAAGRPGGESLKTMGFLWFFILGFLSFSSSAHAKHNDHDGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAAVSTALFGNGLRCGACFEVKCVNDPKACLPASVVVTATNFCPPNSALPSNQGGWCNPPLHHFDLSQPVFQQIARYKSGIVPVSYRRVACQKKGGIRFTINGHSYFILVLITNVGGSGDVHAVSIKGTNTNWMPMSRNWGQNWENNSKLDGQALSFKVTTGDGRTVVCNNVVPSGWSFAQTYIGAQFT >itb11g04980.t1 pep chromosome:ASM357664v1:11:2906782:2910414:1 gene:itb11g04980 transcript:itb11g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDNPFDEEEEEVNPFGDQSTQGSGPFNMANPGSKPSASSSRLSPLPPERADTVDIPIDSTAMELKRKEEELHAREAELKKREQELKRKEDAIARAGIVIEEKNFPPFFPIIHHDIANEIPVHLQKMQYVAFLTLLGLVVCLAWNIVAVALAAWVNEEGPMIFFLAIIYFITGVPGAYVLWYRPLYRAMKSDSALKLVWFFLSYMFHIGFCVVAAVAPPIFFKGKSLTGILPALEVSFSSSFVGIFYFIGFGLFAIESLMSIWVIQQVYMYFRGSGKAAEMRREAARQTMMAAL >itb05g22980.t1 pep chromosome:ASM357664v1:5:28227744:28228341:1 gene:itb05g22980 transcript:itb05g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNNTFLLSLMITLCLASINTSSATRRLLQIPGAPPLPAMPTIPSLPQPQMPSIPNMPTTTLPPLPAFNLPNMPLPTLPSAPKLTLPPLPANIPLPTSFPNMPAIPTLSPPPSN >itb10g17750.t1 pep chromosome:ASM357664v1:10:23875120:23883472:-1 gene:itb10g17750 transcript:itb10g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAAKGKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFEWLCQLHKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDSDIIHVDDTVDPVRDLEVISQELRLKDIEFMENRIEDLEKSMKRSNDKQLKIEHELCLKVKTSLDEGKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNERDYQRKKNKFLPKIHAWVQEHGGELIIPFSAALERSLADMPPDEAAKYCEENKVQSCLPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGSEPAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >itb15g03330.t2 pep chromosome:ASM357664v1:15:2126445:2130412:-1 gene:itb15g03330 transcript:itb15g03330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLQSFRFKGTAISCPKIQSCHRQQDLRGQTVAIIGLGKSGIAATRLALARGASVVAIDQNQNVGSLLEQNVAFGKDSVRTILGDFDGELLRDADIVVVSPGVPLQNYGLASLLASGKCVMSELDFAAQALPTCTEIIAVTGTNGKSTVTTFAGQMLNHLGIPTFVGGNLGVPLSDAAFECLTLSPQRPFQVAVVEVSSYQLEVPNSYFCPTVAVVVNLSPDHLERHKTMKNYAMTKCRVFSHMKGNKIGILPPGNQYLLEALRAHMHDFNPAWIGTCPGVKVSMEAKVAKLKVPAIGCDSELDLSALKAIGMHNYINAAVAALSVTGLDIGINATSIDSTISKLRAPCHRMQVVRIDNNGVTWIDDSKATNVEATYSGLMGVKQKAVLLLGGVAKVL >itb15g03330.t1 pep chromosome:ASM357664v1:15:2126445:2130412:-1 gene:itb15g03330 transcript:itb15g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLQSFRFKGTAISCPKIQSCHRQQDLRGQTVAIIGLGKSGIAATRLALARGASVVAIDQNQNVGSLLEQNVAFGKDSVRTILGDFDGELLRDADIVVVSPGVPLQNYGLASLLASGKCVMSELDFAAQALPTCTEIIAVTGTNGKSTVTTFAGQMLNHLGIPTFVGGNLGVPLSDAAFECLTLSPQRPFQVAVVEVSSYQLEVPNSYFCPTVAVVVNLSPDHLERHKTMKNYAMTKCRVFSHMKGNKIGILPPGNQYLLEALRAHMHDFNPAWIGTCPGVKVSMEAKVAKLKVPAIGCDSELDLSALKAIGMHNYINAAVAALSVTGLDIGINATSIDSTISKLRAPCHRMQVVRIDNNGVTWIDDSKATNVEATYSGLMGVKQKAVLLLGGVAKDTKMQGLDGFEHLVEPLKRHRGVITFGSSGMMIQKTLYVNGVTIPCLNTKHLKDAVCLAKKIAQQGDAIVLSPGCASFDEFRNFEHRGETFQELAFSLQ >itb04g02240.t1 pep chromosome:ASM357664v1:4:1335987:1337168:-1 gene:itb04g02240 transcript:itb04g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPNDIIRHIFLQLSMKSVIRCQCVCKQWCSLIQDSNFKLSYRGQQRVIILSLEFKAQLQDYDWDSRFLVRSTSLHDLRLQRPFGEAAYPLIHASHQYLVRALCSCNGVVLLVGDERDIWLWNPTTRCSTKVLELPYQEMLNPVILAAGLCYDSCARDYKAVLLLRRLIRLGHGFGDPFVICASLNHKEWQPVEFPYNSARGGVEFRNTFHWWASDIKDLDRDRDMSGGNRILYFDLVRDEFRILPIPERRENNSSIVGLGVIDDCLCMAYIIQKREGELKTETMQVWIMMEYGKQESWMIAFAIQMPELGDVYGSYGLTFYSHKKNAQEILFLLRNAGWCRRQVYVYDRKKDEMKEELMDFLQSSSCRYFVSMCLYVESFVCLPLQSQN >itb15g22310.t1 pep chromosome:ASM357664v1:15:25062669:25066873:-1 gene:itb15g22310 transcript:itb15g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLDEETTKKVIRQVEFYFSDSNLPRDSFLKKTVDESEDGLVSLALICSFTRMRTHLGLGAAKPEDITDDTVKNVADALKGSTFLKISEDGKRVGRTTELPKPEVVIEQLDGRTVAASPLEYDVKLEDVESFFGQHAKVNSVRLPRHVADKRLLCGTALVEFSSDEDAQSILKQSLVFAGVELELKPKKDFDEERAQQEKDTESNHHGSFRKNHSNSEANYPKGLIVAVKLKKISEEGSAKQNGDQAPAADNVEVPAAAEAQATTEDDKELTEAKESKDEENPENGDKGGDSVENEVQESEAAGKSEESPMEKDDEAEKQEKPNISECMDNKDVVLREDLKTLFKKFGHVKFVDFAIGSDSGYVRFEDAEAAQKARAAAVLAAEGGLVVKNFIATLDPVTGDAEKEYWSQLRSGQEKYRDARGGHRGGRGGKFRGGKHSRHRDNYSGRPNKVQKVGA >itb05g24470.t2 pep chromosome:ASM357664v1:5:29257632:29260465:-1 gene:itb05g24470 transcript:itb05g24470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITSAQGEELRKLIDAPAYIECSSKSQQNVKSVFDAAIKVVLAPPKAKGKKKGGKAQKGCSIL >itb05g24470.t1 pep chromosome:ASM357664v1:5:29257632:29260465:-1 gene:itb05g24470 transcript:itb05g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITSAQGEELRKLIDAPAYIECSSKSQQNVKSVFDAAIKVVLAPPKAKGKKKGGKAQKGCSIL >itb08g00380.t1 pep chromosome:ASM357664v1:8:330356:332797:-1 gene:itb08g00380 transcript:itb08g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPTSTNSPLMTPAAAADGYPGTRPSLRRHSIRDVVWVLRRAGSRGMVWREPSALVRQAAAEQMDERQSDWAYSKPVVILDLVWNFAFVLIAAAVLVLSRDEQPELPLRLWIVGYALQCVLHAVSVSLEYRKRRRRRWQSADEAFGRGGEGLNSSTGYVTLAQFTQEETSTVAKYLESITTMFSFVWWIIGFYWASVGGQPMAQDSPQLYWASIIFLAFDVFFVVFCIILACVIGLGICCCLPCILAVLYAVADQLQDGADQEDIEQLSKYTFTRTISFENADGEAHGSIAGVMTLCGVDVDMAMAHQLSVDDAECCICLSAYEDGAELRQLPCGHHFHCACADKWLQINATCPLCKNSIIKGNYHRSGEV >itb08g00380.t2 pep chromosome:ASM357664v1:8:330622:332545:-1 gene:itb08g00380 transcript:itb08g00380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPTSTNSPLMTPAAAADGYPGTRPSLRRHSIRDVVWVLRRAGSRGMVWREPSALVRQAAAEQMDERQSDWAYSKPVVILDLVWNFAFVLIAAAVLVLSRDEQPELPLRLWIVGYALQCVLHAVSVSLEYRKRRRRRWQSADEAFGRGGEGLNSSTGYVTLAQFTQEETSTVAKYLESITTMFSFVWWIIGFYWASVGGQPMAQDSPQLYWASIIFLAFDVFFVVFCIILACVIGLGICCCLPCILAVLYAVADQDGADQEDIEQLSKYTFTRTISFENADGEAHGSIAGVMTLCGVDVDMAMAHQLSVDDAECCICLSAYEDGAELRQLPCGHHFHCACADKWLQINATCPLCKNSIIKGNYHRSGEV >itb04g22090.t1 pep chromosome:ASM357664v1:4:27273119:27275237:1 gene:itb04g22090 transcript:itb04g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTTTLLSWSALEYGKRMGPQLQSTREAIRWATDYLLKCANAAPGRIFVGVGDPNADHKCWERPEDMDTVRTVYSISPSNPGSDVAGEMAAALASASLVFRKADPAYSRKLLGTAVKVFRFAVQYRGSYSDKLGSADELLWGAAWLLRATNDVSYYNFINSLGANDSPDIFSWDNKYAGAYVLLSRRSVLGNDNRFAQYRQHAEDFMCKILPNSPYSSTQYTNGGLMYKLPQSNLQYVTSISSLVTTYAKYMAATKRTFNCGSLLVTSNTLRSLAKRQVDYILGENPMKMSFMVGYGTNYPRRIHHRGSSLPSLATHPNSFGCESGFQPFYYTSNANPNILVGAIVGGPNQNDFFPDDRTDYSHSEPATYINAAMVGPLAYFAGR >itb12g07880.t1 pep chromosome:ASM357664v1:12:6040332:6041438:-1 gene:itb12g07880 transcript:itb12g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGKGRMQACRQAVTANIDMESKEEKKIGNGEGEVKAKDDEGRKWTDESSNNNKKAGKEIKLLPHQQLPQPNDFNTEALEKKL >itb15g07330.t1 pep chromosome:ASM357664v1:15:4979547:4982434:1 gene:itb15g07330 transcript:itb15g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISSKVSPTTAASPLTFPPLASCLLVESDEESSLTDKLRRVVATSDPTVTEHRHHILLGQACSSSKQAGDGDGAAQPPQPPPRRRQASQLLAIFTFSRATAAADGELLATSGSNSFGNIIPTRM >itb04g05060.t1 pep chromosome:ASM357664v1:4:3147176:3149085:1 gene:itb04g05060 transcript:itb04g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKNRHHLIVFAAILVLEMWACCDATALEMWACCDATARWRLDDDEAMLKRYEEWIVDDEAMLKKNGMRDAISSRENAIYVQLHGYCSSLDKLGITWSSDSDKKSKTMWVLLGIFCGGGDRGSLTDKNTQISLFVGATAAGLRNRREQRPAGGCRRHSSTRPRTEA >itb09g10090.t1 pep chromosome:ASM357664v1:9:6257038:6274281:1 gene:itb09g10090 transcript:itb09g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MDEADRTQSTSLIVPASETASGSGVREEVDDDDRRPPQPFPQRSTAVDDAYHPHFQQTNNDETVQSFSDDTWSCVVVVITFWFFVSMTLIWGVYGTSNLQLGPNSSIMIKPNPLFVEQITVEEIDTAIGGPMLYGLYRNPPLDIVATWSEAYKTSLPANTHKEWTYYFNAGSEISISYNVNSLSSSSLVLVITQGSDGLAQWLEDPSYPNGAFSWNLIHGNGTIWQDIRKSSSYHVAVGNLNSEMVEAHLNIKIKAFLYSTNEAYYKCRITQNQCGLSLFFPGGNVALLTSPSQRPDTADGVWTVKLSYGPRWITYLLGIGGTCFLVFLAQRILNNLHRSRQDTSRAQLEDAGSERFPMLSDKHDDNLSLGSSYCSLSQDEDAEEPTSSSTLDGKPVKDSEQSDMRHLCAICFDAPRDCFFLPCGHCLSCFECGTKIAEAAGTCPVCRRRMKKSLKAVVKAPASGVEIPSQNPYCCVKPFTLPKAHHIQSGDGNLLLSLEHSLFRARILHETLVTEARRTRVWLRGAMVGMMAAVSREKVADLLNSSKLAAAVPSQMGYLRQLRDELSDADSILLTEFLPLLLDFVSGRFSPVRKFTIEMLSPIGIRHIEFLPAIIPLLITALKDDTPAVARQSITCGIEIFRSMLFKVAIQGLYSSELDDLLETSWAWVQKFMDEIYTVAFQPALDGRSLLAIKFVESVILLYTPNPNVSSEPPSDINAQGNFEEFNISWLRGGHPILKVGELSAKASNSLSLLLDQLRFPAVKSLSNLMIIVLINSLSAIATKRPAFYGRILPVLMGLDPSTSDSNGKHVYAVHHSLKNAFLSCLNCTHPGSAPWRDRLIGALREMKPGVRTDHGEHQVCQTNGKVEPNNELCPSEDAKPSIKTMDAGCNISGAKRPVIETNDNSVDVDGEMSRKRVKPTPAVLEGKEELSGQQDNSSSEPTTSRTDSDNSAVQQLVAMFGSLVAQGDKAQSLLEMLISSISGDLLAEVVMANMRNVPPNRPNAEEDDDLLMAGSHLGASTIGTGLKQLSLLLTDYLSQPSTLQQNDIPVDAQFSLSSELEQSGGGEEQPLDMPQIKAASDSQDYSSDQAPKPVSFSEGTPSIVENIPVIAPEVADVVNMGNAIPGIDSFSQNDGSAGVVVPLSSSTDLDEASQEHVSGLARSDLEALPSISTDRSEELSPKAAVTDATNIQASTATSVEPSPQLVLPKMSAPVVNLSDEQRDNLQKSVLVRVIDAYKQVAVAGGSHARLSILAHLAVKLPSELDAWKMLQVHILSDYVNHEGHELTLRVLYRLYGQAEEDQDFFSSTAAASAYEMFLLTVAETLRDSFPASDKSLSRLLGDAPHLPNSIFKLLECLCCPGSSANDDKELYSGDRVTQGLSAVWSLILLRPPIRNTSLNIALQSAAHHLEEVRMKAIRLVANRLYPLPSISQQIETFANEMLLSLINVNQNTNSNDDGTITELQQDSNLDRNLNEQPSTSAATKEVSSDTHQSSTSESISSSSVAEAQRCMSLYFALCTKKHSLFRHIFITYKSGSKAVKQAIDGQIPMLVRTIGSSSELLEIISDPPNGSEELLIQVLRTLTEGTVPSPELIALVKQLYETKVKDVEILLPVLPFLSKEEVLLIFPHLVNAPLDIFQAALSRVLEGSSHSGPMLTPAEALIAIHGIDPDRDRIPLKKVTDACNACFDQRQTFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIVAFPSLVDFIMEILSRLVSKQIWKYPKLWVGFVKCAQLTKPQSFSVLLQLPPAQLENALNKVSALKAPLVAHASQPHIKSSLPRSVLVVLGIASDSQSSSQAQPPQPPTDDKESSDKEAVTEVSKESSAAS >itb09g10090.t2 pep chromosome:ASM357664v1:9:6257038:6274281:1 gene:itb09g10090 transcript:itb09g10090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MDEADRTQSTSLIVPASETASGSGVREEVDDDDRRPPQPFPQRSTAVDDAYHPHFQQTNNDETVQSFSDDTWSCVVVVITFWFFVSMTLIWGVYGTSNLQLGPNSSIMIKPNPLFVEQITVEEIDTAIGGPMLYGLYRNPPLDIVATWSEAYKTSLPANTHKEWTYYFNAGSEISISYNVNSLSSSSLVLVITQGSDGLAQWLEDPSYPNGAFSWNLIHGNGTIWQDIRKSSSYHVAVGNLNSEMVEAHLNIKIKAFLYSTNEAYYKCRITQNQCGLSLFFPGGNVALLTSPSQRPDTADGVWTVKLSYGPRWITYLLGIGGTCFLVFLAQRILNNLHRSRQDTSRAQLEDAGSERFPMLSDKHDDNLSLGSSYCSLSQDEDAEEPTSSSTLDGKPVKDSEQSDMRHLCAICFDAPRDCFFLPCGHCLSCFECGTKIAEAAGTCPVCRRRMKKSLKAVVKAPASGVEIPSQNPYCCVKPFTLPKAHHIQSGDGNLLLSLEHSLFRARILHETLVTEARRTRVWLRGAMVGMMAAVSREKVADLLNSSKLAAAVPSQMGYLRQLRDELSDADSILLTEFLPLLLDFVSGRFSPVRKFTIEMLSPIGIRHIEFLPAIIPLLITALKDDTPAVARQSITCGIEIFRSMLFKVAIQGLYSSELDDLLETSWAWVQKFMDEIYTVAFQPALDGRSLLAIKFVESVILLYTPNPNVSSEPPSDINAQGNFEEFNISWLRGGHPILKVGELSAKASNSLSLLLDQLRFPAVKSLSNLMIIVLINSLSAIATKRPAFYGRILPVLMGLDPSTSDSNGKHVYAVHHSLKNAFLSCLNCTHPGSAPWRDRLIGALREMKPGVRTDHGEHQVCQTNGKVEPNNELCPSEDAKPSIKTMDAGCNISGAKRPVIETNDNSVDVDGEMSRKRVKPTPAVLEGKEELSGQQDNSSSEPTTSRTDSDNSAVQQLVAMFGSLVAQGDKAQSLLEMLISSISGDLLAEVVMANMRNVPPNRPNAEEDDDLLMAGSHLGASTIGTGLKQLSLLLTDYLSQPSTLQQNDIPVDAQFSLSSELEQSGGGEEQPLDMPQIKAASDSQDYSSDQAPKPVSFSEGTPSIVENIPVIAPEVADVVNMGNAIPGIDSFSQNDGSAGVVVPLSSSTDLDEASQEHVSGLARSDLEALPSISTDRSEELSPKAAVTDATNIQASTATSVEPSPQLVLPKMSAPVVNLSDEQRDNLQKSVLVRVIDAYKQVAVAGGSHARLSILAHLAVKLPSELDAWKMLQVHILSDYVNHEGHELTLRVLYRLYGQAEEDQDFFSSTAAASAYEMFLLTVAETLRDSFPASDKSLSRLLGDAPHLPNSIFKLLECLCCPGSSANDDKELYSGDRVTQGLSAVWSLILLRPPIRNTSLNIALQSAAHHLEEVRMKAIRLVANRLYPLPSISQQIETFANEMLLSLINVNQNTNSNDDGTITELQQDSNLDRNLNEQPSTSAATKEVSSDTHQSSTSESISSSSVAEAQRCMSLYFALCTKKHSLFRHIFITYKSGSKAVKQAIDGQIPMLVRTIGSSSELLEIISDPPNGSEELLIQVLRTLTEGTVPSPELIALVKQLYETKVKDVEILLPVLPFLSKEEVLLIFPHLVNAPLDIFQAALSRVLEGSSHSGPMLTPAEALIAIHGIDPDRDRIPLKKVTDACNACFDQRQTFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIVAFPSLVDFIMEILSRLVSKQV >itb15g07540.t1 pep chromosome:ASM357664v1:15:5155794:5159036:1 gene:itb15g07540 transcript:itb15g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDIFAAYNMWLQHLIGGSSMHERSENIVVACDDSGHVISLILDNESIILDAIQNSSTLFSLQYLERLNLAFNTFYSIPLLPVQIYNLTNLTYLNLSSVGIGVQIPNGISRLTRLVTLDLSDLYPIGPPLIRLENPNLKQFFENSIQLRQLYLDVVDLSAQRSEWCQALSSSMPNLRVLSLRNCRVSGPIHPSLSKLRFLSEIYLDVNDFSSTVPKFFANFLNLKTLSLRDCNLQGEFPREIFHIQGLQELSLANNQNLSGSFPSFPKNGSLPASISNISNLSRIDLSFCKFSGSIPSTMAQLTSLIYVDFSHNNFTGSIPHFQWSKNLNYIDLSGNGLTGSLSSKHFGGLSGIVNIDLASNLLSGRIPPSLFSLPSLQRIVLSNNKFDGQVDEYLNASASQLVVLDLSSNLLNGSIPKFFFELPKLQVLLLSSNSFNGKFMFESLQNLKNLTRFELSYNNLSVDISSGSSTTSFLPQISVLKMASCRLQKFPDLRNQSSLAHLDLSDNEIRGEIPNWIWNVGNGRLTHLNLSQNFLDGLEMPYTIPSLSVLDLHSNQLQGQLPIGVAPTMEAMYLDYSNNFFNGSIPFDLGSYAPFVSFLSLSNNSFTGPIPESICNASYLQVLDLSNNKLNGILPSCLFDIPLGVLNLGKNQITGTIPDSFPSNCALKTLDLGKNVLEGRIPSSLINCSSLELLNLGSNKIVDAFPCTLKNLSSLRVLVLRSNGFHGNLQCVNANHMWPNLQIIDIAFNNFTGELSPKLLNWKGMTVDEDNTAQSEGNIRFDYLGPNRFRQDKAIITVKWLDYLGSNRLYYHDTSTTTRKKIPETKKQSSQEQNPNNLSCSSCR >itb15g02930.t1 pep chromosome:ASM357664v1:15:1870359:1873062:1 gene:itb15g02930 transcript:itb15g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWWKSIFKNCWGHWRPLRRPKMEDEEEQSHIWSRDLDKHFYGDLSFAIVQANQDLEDYSQYDTGKDILFAGVYDGHGGAEAANFISVHLLRHIARFAREHREVNAEVLSNAFTETDKGFLSLVGTLFDARPTIAASGSCCIVGVIWRGTLYVANLGDSRAVLGTLTESGRLKAEQLTREHNARYANIREELRSLNPGDENIVYHRHGAWRIKGIIQVSRSIGDAYLKRQEFALDASYPKYHLPEPLTRPVLRSDPAIFSKNLTPADKFLIIASDGLWDHLSNQQAVDIVNSSPRRGIARKLIKAALMEAARKAKMGYEVLKQIRLGYRRVYHDDITVFVIFIDHQLMEAGVAYPVLSVKGTKGVIIPDVNLVNGLDVGEPASQAGQRSTFEFGESSTEGGLRTQEAAGKGKGKIGQPSEAEVDQSEESSEETIDPETIVQLSPIRPGPVFSFRAAFSLNRGLRQLLDFISGDGSYFVLEIGDDTSA >itb12g27770.t1 pep chromosome:ASM357664v1:12:27986115:27995614:1 gene:itb12g27770 transcript:itb12g27770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIVQEQGGHPVWGSYAQRLLDRESGLWRDPSGGVNDDKAHPPIHPTRFSAGEPGWSQDHHKVYELVVRHFLACVSQPAKGAETIVEIDIAGESFSACGRVIIAKNYLDVYRYESWGGSVIPTYSFGQQFTPTTLTLDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRCYVTKDSNTRFSPTKLGEALVMGYDDMGYELWKPYLRSMMEHDMKAVSIGTKGKTEVLETCLQQMKACFLDARVNKVKLFEAMAVFFDRSNRSNASEQHIVGDVVRRCGLCQESDMVLRQKTDGKFMVGCLGYPQCRNAVWLPGCILEAVVTQDICSICTPGPVFKIRFKFRRLSIPPNFDAEHLGCVGGCDDTLKQLAEICGTGSRNTRGGHTTPSASAQRSNPRPQASCLYCRQTGHSSNDCPSQASNRRSAQSQFQSHEANRQNGVPSIPCNSCGAPCALRTANTAKNRGRKFYSCQSRECNFFVWEEDGVSRGGGAPSENTSDLGSNSGRGGRGRGRGRGRGRGRGGATATHANGFTFVSATGEPTTGCCFVCGDPSHLANVCPSRR >itb12g27770.t2 pep chromosome:ASM357664v1:12:27986115:27995424:1 gene:itb12g27770 transcript:itb12g27770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIVQEQGGHPVWGSYAQRLLDRESGLWRDPSGGVNDDKAHPPIHPTRFSAGEPGWSQDHHKVYELVVRHFLACVSQPAKGAETIVEIDIAGESFSACGRVIIAKNYLDVYRYESWGGSVIPTYSFGQQFTPTTLTLDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRCYVTKDSNTRFSPTKLGEALVMGYDDMGYELWKPYLRSMMEHDMKAVSIGTKGKTEVLETCLQQMKACFLDARVNKVKLFEAMAVFFDRSNRSNASEQHIVGDVVRRCGLCQESDMVLRQKTDGKFMVGCLGYPQCRNAVWLPGCILEAVVTQDICSICTPGPVFKIRFKFRRLSIPPNFDAEHLGCVGGCDDTLKQLAEICGTGSRNSTNFSFLSI >itb12g27770.t4 pep chromosome:ASM357664v1:12:27986115:27994985:1 gene:itb12g27770 transcript:itb12g27770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIVQEQGGHPVWGSYAQRLLDRESGLWRDPSGGVNDDKAHPPIHPTRFSAGEPGWSQDHHKVYELVVRHFLACVSQPAKGAETIVEIDIAGESFSACGRVIIAKNYLDVYRYESWGGSVIPTYSFGQQFTPTTLTLDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRCYVTKDSNTRFSPTKLGEALVMGYDDMGYELWKPYLRSMMEHDMKAVSIGTKGKTEVLETCLQQMKACFLDARVNKVKLFEAMAVFFDRSNRSNASEQHIVGDVVRRCGLCQESDMVLRQKTDGKFMVGCLGYPQCRNAVWLPGCILEAVVTQDICSICTPGPVFKIRFKFRRLSIPPNFDAEHLGCVGGCDDTLKQLAEICGTGSRNSTNFSFLSI >itb12g27770.t3 pep chromosome:ASM357664v1:12:27986115:27994985:1 gene:itb12g27770 transcript:itb12g27770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIVQEQGGHPVWGSYAQRLLDRESGLWRDPSGGVNDDKAHPPIHPTRFSAGEPGWSQDHHKVYELVVRHFLACVSQPAKGAETIVEIDIAGESFSACGRVIIAKNYLDVYRYESWGGSVIPTYSFGQQFTPTTLTLDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRCYVTKDSNTRFSPTKLGEALVMGYDDMGYELWKPYLRSMMEHDMKAVSIGTKGKTEVLETCLQQMKACFLDARVNKVKLFEAMAVFFDRSNRSNASEQHIVGDVVRRCGLCQESDMVLRQKTDGKFMVGCLGYPQCRNAVWLPGCILEAVVTQDICSICTPGPVFKIRFKFRRLSIPPNFDAEHLGCVGGCDDTLKQLAEICGTGSRNTRGGHTTPSASAQRSNPRPQASCLYCRQTGHSSNDCPSQASNRRSAQSQFQSHEANRQNGLIAQLPPTYFQLYIQI >itb05g19020.t1 pep chromosome:ASM357664v1:5:25651151:25653000:-1 gene:itb05g19020 transcript:itb05g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTVLTDTWSRRAKRFEIEGYAKILAIGTATPANWVDQTTYPDFYFRITNSQHLLEHKEKFRRICNKSKIRKRHLVLTEELLQKNPSLCTYNETSLNTRQDILVSEVPKLGKEAAMKAIKEWGRPISEITHLVFCTTSGVDMPGADFRLTKLLGLNSSVKRLMMYHQGCNAGAAMLRLAKDLAESNKGGRILVVCSEITINIFRGPSLEQDDNLLAQCLFGDGSAAMIVGTDPRPDLETPLFELVSSAQTIVPNTDSHLKLHLREMGLTFHCSRAVPSVLAENVEDCLVKAFEPYGISDWNSIFWVFHPGGNAIVDRVEERLGLGPERFRASRDVLSEYGNLTSACVLFILDEVRNKSKKNEQMTTGEGLEWGVVFGFGPGLTIDTIIIRSVPIN >itb07g23680.t2 pep chromosome:ASM357664v1:7:28038338:28041140:-1 gene:itb07g23680 transcript:itb07g23680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRGVRTLASLSGSLSSVSTPPVYRSFSTKSSSSSTSPPASNAAAVASSAAAGLTEEDLLIIDDDDAAVSKPDTIPTLLQPGVVVYDGVCHLCHKGVKWVIRADKDRKIKFCCLQSKAAEPYMSLCGVDRNDVLRRFLFIEGPGLYYQGSSAALKVLSHLPLPYSILSTFMIIPPPLRDAVYDYIAKRRYHWFGKDDDCLVLQEKVLLQRFIDAEELLKRRQSDS >itb07g23680.t1 pep chromosome:ASM357664v1:7:28037192:28041140:-1 gene:itb07g23680 transcript:itb07g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRGVRTLASLSGSLSSVSTPPVYRSFSTKSSSSSTSPPASNAAAVASSAAAGLTEEDLLIIDDDDAAVSKPDTIPTLLQPGVVVYDGVCHLCHKGVKWVIRADKDRKIKFCCLQSKAAEPYMSLCGVDRNDVLRRFLFIEGPGLYYQGSSAALKVLSHLPLPYSILSTFMIIPPPLRDAVYDYIAKRRYHWFGKDDDCLVLQEKVLLQRFIDAEELLKRRQSDS >itb12g25740.t1 pep chromosome:ASM357664v1:12:26878608:26881897:1 gene:itb12g25740 transcript:itb12g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYENGEVEEVTMDLDYLSYPHLLKYIKENRYGKIHSLSYKLPHERMENLRLLNNDRSTVDLMHFACVWGQVDIFVEHGLDDAEVVPELALPESVTQLESEKAATGKQGDEEQLLGDDLEGEEGDEEQFLGDDLEGEEAENRDEDDDLMDVECHTASSSDDENREARRVEVEAENIVVLSIHNDNTKMAHIVNLASTLMEMTLINHSKKKKPYARTYKNRETYVRHGDEKMNKNKKSSTNLVVMSVKIGDLRIKGFTCEDIGNW >itb12g25740.t2 pep chromosome:ASM357664v1:12:26878608:26879787:1 gene:itb12g25740 transcript:itb12g25740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYENGEVEEVTMDLDYLSYPHLLKYIKENRYGKIHSLSYKLPHERMENLRLLNNDRSTVDLMHFACVWGQVDIFVEHGLDDAEVVPELALPESVTQLESEKAATGKQGDEEQLLGDDLEGEEGDEEQFLGDDLEGEEAENRDEDDDLMDVECHTASSSDDENREARRVELLDHEIYLRTYAHTLQPMNGELFWPKTQCEEILAPLPKKMAGRPKRKRNREANEIPTRRKQGTSTTITTISSVKTRVSKKGKQMHCSLCKNSGHYKRTCPNRSGQQDVAPMD >itb05g28550.t1 pep chromosome:ASM357664v1:5:32231665:32236407:-1 gene:itb05g28550 transcript:itb05g28550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDCEVNYVWSLCSLVGAFLDLAIAYFLLCASSVVFLGTKFLALFGLHLPCPCNGLFGTPPNRSLCLKRLLVDFPNEKVSNVQLSVKGKLPFNDQKNCHVNLRLIREQNTNYTHGGLVEIGGEEEEASGSSKSCSVPRIEFQPKSEIGVVREERPVPVVKGKGVMNYKSRGWIRRRRKRASAVDHWTSSSVSSYDPQFVDFHSGPASPPSINTERVEIRPRNEEDIKEHYGNGVEAHCSDGHRHRQPPIGTAFGQRDSFELNEFPSDDDQTEKNVSSYEELRAHAQMEQHLSTDDKNAIQLLELALEEEQASRAALYLELEKERNAAATAADEAMAMILRLQEEKASIEMEARQYQRIIEEKSAYDAEEMNILKEILVRRETEKHFLEKEVETYRQMISLGNGQSAVGDEHILDAERQLFNSSLDESEDPVLMLHQLSASIDKKIMAKSKRSDEFISINKQNPVLPPGMESSMLEWNKDASFLKQGDLATHPCGNQEFQEKEMVTIINGSNISRAQAERERLDTVSQSCKLDVSEHKGEGSKQEENIPDQGMMVVEGLETCGRVDSCIEHDDECLKLHGKDVSQEFHCSSNLSFDKELNVHDVHVIDYGSNVCNEGRRSKVKPLSTNTNLKSSINSNLSSEASAAPMIDVTKDTPSTSRSGAQMEVKRSSIDLSNQLPPLGPKARSVLPCDVRRHSMSTIDIERLKLDYEVERLRERLKTVQEGREKLNLSSVENREGEKFQLKLLEDIANQLREIRQLTDPGKAVRQASLPPPSSKVTTKKKRCRSVSVGIQNSS >itb14g15690.t1 pep chromosome:ASM357664v1:14:19012879:19015874:1 gene:itb14g15690 transcript:itb14g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLIVCSSVTATSNASDAQDDFEQCENTVKNWASSSQESENFEDQQVLKDFLFFLHVPRTGGKNYFHCFLEQLYTESAKCPASYDRIRFNTRNPHCNLSVTHNDYSLMSKLPKDQTSVVTIIRNPVDRVLSSYEFSVEVAARFLSNNNLTYATKTMQQIPSVEKFGGSTLDIWPWKYLVPWMTEDLFSRKDVRMLKGGSGAVIEANNPYNVEEMVMPLHEFINDPIVLDLVHNGATFQVAGLTNNSYTDESHPVRRCVLKYQILGEYVLEVAKRRLDGMLYVGLTDKHQESASLFANVVVDQVLSKLAISNATVNISEQNYSSRQSVSLRERDRRIRNSWETTWAESIEAGNGNSTTAKLVESYEMCIKRLLTAQEQKREGSMKFVRPVNFTQEARREIPKRIVEVISSLNSLDMQLYEYAQQMFEQQKQPWPQKVMVRERVDGVLKNALYGASWKPLPFALPFLILLLFIFMFVNGRMMRRSKSKI >itb15g02750.t1 pep chromosome:ASM357664v1:15:1748826:1750683:1 gene:itb15g02750 transcript:itb15g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFSRGFRKRGTIPLSTYLRIFHIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNITKRAIGVEVNKQVGNRIIRKRIHVRIEHVQPSRCTEEVKERIKNNDRLKAEAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >itb05g27140.t1 pep chromosome:ASM357664v1:5:30664923:30670462:-1 gene:itb05g27140 transcript:itb05g27140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRFLSSISNSTIRSLLPKSVSSKSRMSLTRSKSRFNGENIAPMDPNVQISDPPLFSSNSIPKKAQSKQANPIKELARSEAKVEVSKAPDSPVKVVVRIRPGDGLTTGDRLVRGVSKDSVSVGDKKFTFDSIFDSNSTQEDVFQSVGIPLVKDALAGYNTSLLAYGQTGSGKTYTLWGPPSAMVETPSTNGLQGIVPRIFQMLFDNIQREHENSEDKQISYQCRCSFLEVYNGQIGDLLDPMQRNLKIKDDTKNGFYVENLTEEYVSTYEDVTQILIKGLSSRKVGSTGVNSKSSRSHVVFTCIIESWCKENSSKCFGSSKTSRITLVDLAGFERNVLEDAGRQGIKEGKFVKKSTSQLGRLVNILSEQSQRELEVVPYSCSSLTHLLRESLGGNAKLSVICTISQADKHASDTISTLRFGKKVKLMKNEPVINEITEDDVNGLSDQIRLLKEELIRAKSSVNNVSGINSGYFKGHNMRDSLNQLRVSLNRSLMLPRIDNDSEEEVHINEDDIKELQVQIDSSMHYSHEENSKETIETKDCSKFCSAEGSVADVMSEHYISCSEEEGEIEEIGSEVFQSELLHPSRISIDTSHQCTVLQDPVLSESPKFGNTQRKSLVISSSPLLNESDKQDNSRCSALPQAPEKVQSSLRSSRIFPGPTESLAASLHRGLQIIDHHQQNSASMRSSVASFSFDHFAMKPCVSSVDKAKTIQTSSEGQQSSETWIVPVDGTNGHRKADQVPKEYEKDLTEALEREKKLESVCKEQEEKIQQLSQLLAQCKCETQNNVLIECNSAAIGCEDMDHKKWRQSINFKEKLLEWNGNRDCEQEIVKEIQGEVDHDDRGKCFDMAERDALLTEIENLKSKLQPHTDDPMNKSTERARSSLLLQSIQLGKSGAYAKGFSEEELESERQRWTEMESEWISLTDELRIDLESIRQRAEKVEMELKLEKKCTEELDDALQRSVLGHARMVEHYAELQEKYNDLAEKHRLIMQGIQDVKKAAAKAGTKGHGARFAKSLAAELSALRVEREKERDLLRKENRSLKAQLRDTAEAVHAAGELLVRLRESEETASVAEENFTAAREENDKLKKQIEKLKRKHKMEMITMKQYLAESRLPEAALRPLYREDSDIPQNDTSSSHPVYDDDQAWRAEFGAIYQDHHY >itb09g04540.t1 pep chromosome:ASM357664v1:9:2542748:2545470:-1 gene:itb09g04540 transcript:itb09g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAMALNPQSPIYCGGNQRILESPTCSSSSYATTLFHPPPVKSSSFVGARVSLSRRQRNPLWLASSRSLQSIHFTVNHKHRVVKAVATPDSAVELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVKLKLQGACGSCPSAVVTMKMGIERRLMEKIPAIFAVESIPDEETGLELNEENIEMVLEEIRPYLVGAAGGTLELVAIEEPIVKVRISGPAAGVMTVRVAVTQKLREKIPAIAAVQLLQ >itb11g17740.t1 pep chromosome:ASM357664v1:11:17788782:17789634:1 gene:itb11g17740 transcript:itb11g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAENQDVGMGDPEEQSSESEFETESEEEEEDQEQVVKLAEPSRNAVYNKDGLLDKLGDISWPDKAGWMHKLSIDIDQEGEVDVNDDLPRELSFYTQALQGTREAYLKFQSDGVPFLRPSDYYAEMVKSDVHMEKVKGRLLAEKKKIEEAEERKKARENKKLAKEQNGFDKDSNAELDLDLEDGKVFQRPNKKRPGVSPGDRSGGKGRPHGGNNKKGSDKKPKGRENRNSKFGFGGKKGLKKQNTADSTNDFR >itb10g17590.t1 pep chromosome:ASM357664v1:10:23768718:23770386:-1 gene:itb10g17590 transcript:itb10g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIALIISLSFPLLLAVYAFRRRPENNPEFPPGSFGWPILGETIGFLFGKPEKFVHDRMKKYSPEIFKTMILGEKTAVICGPNGHKFLFSNEQKLFTAFRPHATQRLFRSYQPKTPPPQPQPETVRHEEAAMIRQPGFLKPEALARFLGSMDRTARELLRDHFEGKTEVRACPLAKSFTLALSGRFFLGIDNPNRITRLISYFDDVTLGMHSMVLNFPGTAFYRANKAAAAIREELRRVVREKKEAMQSGAAIRDILSHMMTAGMPENEAVDKIMGLVVAGYSTVATTITFLMKYVGERPEIYRRIRAEQMEIAGRKKEGEGLEWEDMQKMKYSWNVICETMRLTPPLQGTFREALTDFTYAGYTIPRGWKVYWTVSSTNNNSKYFEDPEKFDPERYDRGEGSAPYTYVPFGGGPRTCPGKEYARLAILAFLHNVVKKYRWEVIEPKEKVVGDMMPTPQNGLPIRLYHI >itb09g25230.t1 pep chromosome:ASM357664v1:9:25167684:25170440:-1 gene:itb09g25230 transcript:itb09g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVINLPPPPSRFLSSHKPKTFGVSSITANHQNPYLQCGNHTSNRKPFLLLVPILKNLEDFASKASKNSWISPALQAGAGGTPGEEFSESLLQSGGFGMALLSITATAGVKISPFVATLAANPTFLSGLLAWFVAQSTKVFLNFCVERKWDFRIMCASGGMPSSHSALCTALTASVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAQVLNLIVEDLFKWHPISQRKLKELLGHTPSQVIAGAVLGVLVACICCQACSIAI >itb09g27570.t1 pep chromosome:ASM357664v1:9:27793069:27793492:-1 gene:itb09g27570 transcript:itb09g27570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKRLIKLAKRWQKFAAIRRKRISFPSLYDDADSCSTSAVSKGHFVVYTTDQKRFVIPLSYLENEIIRQLLIEVYLENLRMPCSSQLLHIGVHQLHYTKKGGEIRNC >itb15g23480.t1 pep chromosome:ASM357664v1:15:26323733:26324923:-1 gene:itb15g23480 transcript:itb15g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTNCCLHHRSSPPPTSSLVPTPPKTAPIIVWEQKGRPWQNQLVIGMACVILGGAIVVGDQEIAFAAGETPQLAGKPIKNVQIRWSDKRSCPPWQANSLETIVPENLPRPSSRRRWEIVGYSSRPAPSVKYVNKNIKQCFSL >itb02g05000.t1 pep chromosome:ASM357664v1:2:2984075:2986714:-1 gene:itb02g05000 transcript:itb02g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb02g05000.t4 pep chromosome:ASM357664v1:2:2984032:2986714:-1 gene:itb02g05000 transcript:itb02g05000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRPTKSPYKYPNPPRQTQSTRPTSPTPSSPSRTLPETLDKQQKWKPCQISHPNTKSNLRLKQSTPKFTQIRSFLRIQSTTQINRRLLQQLVHGRNRVFNPRLGKIPLHQDIFQKRTRISNLKRKTQQRKEVQALKYF >itb07g14920.t1 pep chromosome:ASM357664v1:7:17594831:17601714:1 gene:itb07g14920 transcript:itb07g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRRRKLHLSKIYSFRCGRSSFNKEDQSQIGGPGFSRVVFCNEPDAEEASFRNYSGNYVSTTKYTAATFLPKSLFEQFRRVANFYFLVTGIMAFTPLAPYTAVTAILPLIIVIGATMVKEGIEDWHRKQQDIEVNSRKVKVHLGGGVFEDTEWRYLKVGAVVKVQKDEFFPADLLLLSSNYEDAICYVETMNLDGETNLKLKQALEVTSSLHEDSNFRDFKAMVKCEDPNPSLYTFVGSMEFEGQQYPVSPQQLLLRDSKLRNTEYVYGVVIFTGHDTKVMQNATEPPSKRSKIERKMDKIIYFLFGVLFTFALVGSIYFGITTRQDLDDGHQRWYLRPDSAKIFFDPHRAPAAAVYHFLTAVMLYSYLIPISLYVSIEIVKVLQSIFINQDINMYYEETDKPANARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGTAYGRGITEVERAMARRKGSPLMVNGRELEDSPDVVRKSTVKGFNFDDERIMGGSWINEPRSDVIQKFFRLLAVCHTVIPEVDEASRKVSYEAESPDEAAFVIAAREIGFEFNKRTQSSVSVSELDLASRKRVERSYKILNVLEFNSARKRMSVIVQDEDGKILLLCKGADSVMFERLALNGREFEENTREHVHEYADAGLRTLILAYRELTEEEYRVFNEQFTEAKNLVSADRDAMIDEVTERVEKDLILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIVNLDAPEIIALEKAGDKRAIAKASRKSVLKQLTDGKALLASSNNEAFALIVDGKSLTYALEDGLENLFLGLAIRCASVICCRSSPKQKALVTRLVKIGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMVCYFFYKNITFGVTVFLYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWKRIIGWMFNGVCSAVIIFFLCINAIDPQSYKKDGKVAGYAVVGATMYTCVIWVVNCQMALAVSYFTLIQHIFIWGGIALWYIYLLIYGALPTTFSTTAYQVFVEALAPAPMYWIVTLFVVLAALIPYFAYNAIQIQFFPMYHGMIQWLRYEGKTEDPEYCNMVRQRSIRPTTVGFTARSLARTNPLEDGNRSTR >itb07g14920.t4 pep chromosome:ASM357664v1:7:17594888:17599793:1 gene:itb07g14920 transcript:itb07g14920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRRRKLHLSKIYSFRCGRSSFNKEDQSQIGGPGFSRVVFCNEPDAEEASFRNYSGNYVSTTKYTAATFLPKSLFEQFRRVANFYFLVTGIMAFTPLAPYTAVTAILPLIIVIGATMVKEGIEDWHRKQQDIEVNSRKVKVHLGGGVFEDTEWRYLKVGAVVKVQKDEFFPADLLLLSSNYEDAICYVETMNLDGETNLKLKQALEVTSSLHEDSNFRDFKAMVKCEDPNPSLYTFVGSMEFEGQQYPVSPQQLLLRDSKLRNTEYVYGVVIFTGHDTKVMQNATEPPSKRSKIERKMDKIIYFLFGVLFTFALVGSIYFGITTRQDLDDGHQRWYLRPDSAKIFFDPHRAPAAAVYHFLTAVMLYSYLIPISLYVSIEIVKVLQSIFINQDINMYYEETDKPANARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGTAYGRGITEVERAMARRKGSPLMVNGRELEDSPDVVRKSTVKGFNFDDERIMGGSWINEPRSDVIQKFFRLLAVCHTVIPEVDEASRKVSYEAESPDEAAFVIAAREIGFEFNKRTQSSVSVSELDLASRKRVERSYKILNVLEFNSARKRMSVIVQDEDGKILLLCKGADSVMFERLALNGREFEENTREHVHEYADAGLRTLILAYRELTEEEYRVFNEQFTEAKNLVSADRDAMIDEVTERVEKDLILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIVNLDAPEIIALEKAGDKRAIAKASRKSVLKQLTDGKALLASSNNEAFALIVDGKSLTYALEDGLENLFLGLAIRCASVICCRSSPKQKALVTRLVKIGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQV >itb07g14920.t2 pep chromosome:ASM357664v1:7:17595241:17601714:1 gene:itb07g14920 transcript:itb07g14920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRRRKLHLSKIYSFRCGRSSFNKEDQSQIGGPGFSRVVFCNEPDAEEASFRNYSGNYVSTTKYTAATFLPKSLFEQFRRVANFYFLVTGIMAFTPLAPYTAVTAILPLIIVIGATMVKEGIEDWHRKQQDIEVNSRKVKVHLGGGVFEDTEWRYLKVGAVVKVQKDEFFPADLLLLSSNYEDAICYVETMNLDGETNLKLKQALEVTSSLHEDSNFRDFKAMVKCEDPNPSLYTFVGSMEFEGQQYPVSPQQLLLRDSKLRNTEYVYGVVIFTGHDTKVMQNATEPPSKRSKIERKMDKIIYFLFGVLFTFALVGSIYFGITTRQDLDDGHQRWYLRPDSAKIFFDPHRAPAAAVYHFLTAVMLYSYLIPISLYVSIEIVKVLQSIFINQDINMYYEETDKPANARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGTAYGRGITEVERAMARRKGSPLMVNGRELEDSPDVVRKSTVKGFNFDDERIMGGSWINEPRSDVIQKFFRLLAVCHTVIPEVDEASRKVSYEAESPDEAAFVIAAREIGFEFNKRTQSSVSVSELDLASRKRVERSYKILNVLEFNSARKRMSVIVQDEDGKILLLCKGADSVMFERLALNGREFEENTREHVHEYADAGLRTLILAYRELTEEEYRVFNEQFTEAKNLVSADRDAMIDEVTERVEKDLILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIVNLDAPEIIALEKAGDKRAIAKASRKSVLKQLTDGKALLASSNNEAFALIVDGKSLTYALEDGLENLFLGLAIRCASVICCRSSPKQKALVTRLVKIGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMVCYFFYKNITFGVTVFLYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWKRIIGWMFNGVCSAVIIFFLCINAIDPQSYKKDGKVAGYAVVGATMYTCVIWVVNCQMALAVSYFTLIQHIFIWGGIALWYIYLLIYGALPTTFSTTAYQVFVEALAPAPMYWIVTLFVVLAALIPYFAYNAIQIQFFPMYHGMIQWLRYEGKTEDPEYCNMVRQRSIRPTTVGFTARSLARTNPLEDGNRSTR >itb07g14920.t3 pep chromosome:ASM357664v1:7:17596526:17601714:1 gene:itb07g14920 transcript:itb07g14920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDGETNLKLKQALEVTSSLHEDSNFRDFKAMVKCEDPNPSLYTFVGSMEFEGQQYPVSPQQLLLRDSKLRNTEYVYGVVIFTGHDTKVMQNATEPPSKRSKIERKMDKIIYFLFGVLFTFALVGSIYFGITTRQDLDDGHQRWYLRPDSAKIFFDPHRAPAAAVYHFLTAVMLYSYLIPISLYVSIEIVKVLQSIFINQDINMYYEETDKPANARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGTAYGRGITEVERAMARRKGSPLMVNGRELEDSPDVVRKSTVKGFNFDDERIMGGSWINEPRSDVIQKFFRLLAVCHTVIPEVDEASRKVSYEAESPDEAAFVIAAREIGFEFNKRTQSSVSVSELDLASRKRVERSYKILNVLEFNSARKRMSVIVQDEDGKILLLCKGADSVMFERLALNGREFEENTREHVHEYADAGLRTLILAYRELTEEEYRVFNEQFTEAKNLVSADRDAMIDEVTERVEKDLILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIVNLDAPEIIALEKAGDKRAIAKASRKSVLKQLTDGKALLASSNNEAFALIVDGKSLTYALEDGLENLFLGLAIRCASVICCRSSPKQKALVTRLVKIGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMVCYFFYKNITFGVTVFLYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARYCLKFPLLYQEGVQNVLFSWKRIIGWMFNGVCSAVIIFFLCINAIDPQSYKKDGKVAGYAVVGATMYTCVIWVVNCQMALAVSYFTLIQHIFIWGGIALWYIYLLIYGALPTTFSTTAYQVFVEALAPAPMYWIVTLFVVLAALIPYFAYNAIQIQFFPMYHGMIQWLRYEGKTEDPEYCNMVRQRSIRPTTVGFTARSLARTNPLEDGNRSTR >itb01g06740.t1 pep chromosome:ASM357664v1:1:4962274:4964623:-1 gene:itb01g06740 transcript:itb01g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPTTSGSEVSTVEKKNLGRIVKIIGPVLDVAFPPGKMPNIYNALVVQGRGNEQTNVTCEVQQLLGNNRVRAVAMSDTDGLMRGMEVIDTGAPISVPVGGSTLGRIFNVLGQPVDNLGPVDTNTTSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVVQGRSNEQTNVTCEVKQLLGNNQVRAVVMSDTDGLYNNGAGWARQASRHKGTMQVEGLKRTKEKMEGTHSRSYNCDCRREEAWELSEPRAHADTHTCAYQHKARNHRVGFGSHDRPHGCEVLDHLADVWTHTNAYAYEH >itb05g04580.t1 pep chromosome:ASM357664v1:5:4179373:4184204:1 gene:itb05g04580 transcript:itb05g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLGHNHILSSRWSCRIGDHLPRFLPFHYNLSCRYSGRNQEEMDQVVIDGSESEVVVGNTVSLHKKICAIRSAGPSKLQVIADFDATLTKFWINGCRGQSSHSLLQQDDPGYNIKRQNLYDYYHPLEFNPAIPLDEKAKLMEEWWGKTHGLLIEGGLTYDAIRNSVANANIAFRDGVVELFELLEERNVPVLIFSAGLADIIEEVLRQKLRRSFKNIRVVSNRMVFDENGNLLRFKDVFCR >itb05g04580.t2 pep chromosome:ASM357664v1:5:4179373:4184204:1 gene:itb05g04580 transcript:itb05g04580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLGHNHILSSRWSCRIGDHLPRFLPFHYNLSCRYSGRNQEEMDQVVIDGSESEVVVGNTVSLHKKICAIRSAGPSKLQVIADFDATLTKFWINGCRGQSSHSLLQQDDPGYNIKRQNLYDYYHPLEFNPAIPLDEKAKLMEEWWGKTHGLLIEGGLTYDAIRNSVANANIAFRDGVVELFELLEERNVPVLIFSAGLADIIEEVLRQKLRRSFKNIRVVSNRMVFDENGNLLRFKGKLIHVLNKNEHALDMAEPLHDQFGEDKGMCNEESSLKQRTNVLLLGDHIGDLGMSDGLNYETRISVGFLNHNIEDSLDSYRKAFDVLYLNDASMDGVVKLASHLCST >itb05g04580.t3 pep chromosome:ASM357664v1:5:4179725:4184204:1 gene:itb05g04580 transcript:itb05g04580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVIDGSESEVVVGNTVSLHKKICAIRSAGPSKLQVIADFDATLTKFWINGCRGQSSHSLLQQDDPGYNIKRQNLYDYYHPLEFNPAIPLDEKAKLMEEWWGKTHGLLIEGGLTYDAIRNSVANANIAFRDGVVELFELLEERNVPVLIFSAGLADIIEEVLRQKLRRSFKNIRVVSNRMVFDENGNLLRFKGKLIHVLNKNEHALDMAEPLHDQFGEDKGMCNEESSLKQRTNVLLLGDHIGDLGMSDGLNYETRISVGFLNHNIEDSLDSYRKAFDVLYLNDASMDGVVKLASHLCST >itb07g18000.t1 pep chromosome:ASM357664v1:7:22396356:22400089:-1 gene:itb07g18000 transcript:itb07g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAKAKLILPLLLLLGVATAAPLNKAKKEFDYFTLALTWSGTKCLNARECCSSNGCCNPDVGSKFTIRGLWPEYNDGTWPTCCNGTAFDQDGVDIQLLMPDLARDWPSYYCTLSSACGSPKGSNWAYEGILSESGYVASDSAKYLVGGIIQAIEKELGVTPILSCYGDAVKEVQLCFDKNLQIQECPTIESGMLSKSDECPGFVRLPIKTAPEKMNYLSLRGVQNW >itb09g05690.t1 pep chromosome:ASM357664v1:9:3247621:3249055:-1 gene:itb09g05690 transcript:itb09g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTFKAGFKYISSIFVVKEPEIEIQIGYPTDVKHVAHIGWDGQTGAAPSWMKEFKQGPDFAATSIGKSDLGESMRKQSGSELHSNTPAEIPKKQKRKKNKSNLSGTSSSSSSLGSFQTGKSKAKLSQGNPDSADVEVALC >itb01g16760.t1 pep chromosome:ASM357664v1:1:21203944:21209513:-1 gene:itb01g16760 transcript:itb01g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVEKTIGSVLVSLVMLWVPASMGAVYKVGDAAGWTTIGNVDYKLWSATKTFQVGDVIVFEYNPQFHNVMQVTHPMYQTCNASSPIATHTTGNDSITINTHGHHFFLCGVPGHCQFGQKLDINVLRAADVSSSPTQSPTASTTAPAPSPSHAAAPLLLLANRIATFLALFSMLLLYTSSTN >itb04g18020.t3 pep chromosome:ASM357664v1:4:21259953:21265318:1 gene:itb04g18020 transcript:itb04g18020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPFLAWSLSVDQCLKEYQVKLNKGLSTYEVEKRREKYGWNELQKEKGKSLWGLFLEQFDDMLVKILLVAAFISFILAYLHGNETGDSGFEVYVEPFVIFLILVLNAIVGVWQESNAEKALEALKEMQCESAKTFRDGYSVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTLTLRVEQSSLTGEAMPVVKGTEPILISDCELQAKENMVFAGTTVVNGSCICIVISTGMCTEIGKIQKQIHEASMEESDTPLKKKLDEFGNRLTTVIGIVCLVVWIINYKYFLTWEVVNGWPTNFRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVSEFFTLGGKTTSSRTFHVEGTTYDPKDGGIVDWKCYNMDANLQALAEICALCNDAGIFFDGRQFRATGLPTEAALKVLVEKMGVPDYKARSKIRDAMLISNYLIDCNTVKLGCCEWWIKRSKRIATLEFDRVRKSMSVIVRESNGNNRLLVKGAVEGLLERSSYVQLADGSTVPIDECCRKLLLLKHSEMSSKGLRCLGLAYKDHLEELSDYYSNSHPAHKKLLDPSYYSLLESNLIFVGVVGLRDPPREEVYSAINSCRGAGINVMVITGDNKSTAEVVCREIHLFSQGEDLKGKSFTGKEFMALSSQQQMDILSRLGGKVFSRAEPTHKQEIVRVLKEMGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVTKYSSISHCTLYLFFTSFSAIYLNSS >itb04g18020.t2 pep chromosome:ASM357664v1:4:21259953:21265318:1 gene:itb04g18020 transcript:itb04g18020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPFLAWSLSVDQCLKEYQVKLNKGLSTYEVEKRREKYGWNELQKEKGKSLWGLFLEQFDDMLVKILLVAAFISFILAYLHGNETGDSGFEVYVEPFVIFLILVLNAIVGVWQESNAEKALEALKEMQCESAKTFRDGYSVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTLTLRVEQSSLTGEAMPVVKGTEPILISDCELQAKENMVFAGTTVVNGSCICIVISTGMCTEIGKIQKQIHEASMEESDTPLKKKLDEFGNRLTTVIGIVCLVVWIINYKYFLTWEVVNGWPTNFRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVSEFFTLGGKTTSSRTFHVEGTTYDPKDGGIVDWKCYNMDANLQALAEICALCNDAGIFFDGRQFRATGLPTEAALKVLVEKMGVPDYKARSKIRDAMLISNYLIDCNTVKLGCCEWWIKRSKRIATLEFDRVRKSMSVIVRESNGNNRLLVKGAVEGLLERSSYVQLADGSTVPIDECCRKLLLLKHSEMSSKGLRCLGLAYKDHLEELSDYYSNSHPAHKKLLDPSYYSLLESNLIFVGVVGLRDPPREEVYSAINSCRGAGINVMVITGDNKSTAEVVCREIHLFSQGEDLKGKSFTGKEFMALSSQQQMDILSRLGGKVFSRAEPTHKQEIVRVLKEMGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVTKYSSISHCTLYLFFTSFSAIYLNSS >itb04g18020.t1 pep chromosome:ASM357664v1:4:21259919:21265318:1 gene:itb04g18020 transcript:itb04g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPFLAWSLSVDQCLKEYQVKLNKGLSTYEVEKRREKYGWNELQKEKGKSLWGLFLEQFDDMLVKILLVAAFISFILAYLHGNETGDSGFEVYVEPFVIFLILVLNAIVGVWQESNAEKALEALKEMQCESAKTFRDGYSVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTLTLRVEQSSLTGEAMPVVKGTEPILISDCELQAKENMVFAGTTVVNGSCICIVISTGMCTEIGKIQKQIHEASMEESDTPLKKKLDEFGNRLTTVIGIVCLVVWIINYKYFLTWEVVNGWPTNFRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVSEFFTLGGKTTSSRTFHVEGTTYDPKDGGIVDWKCYNMDANLQALAEICALCNDAGIFFDGRQFRATGLPTEAALKVLVEKMGVPDYKARSKIRDAMLISNYLIDCNTVKLGCCEWWIKRSKRIATLEFDRVRKSMSVIVRESNGNNRLLVKGAVEGLLERSSYVQLADGSTVPIDECCRKLLLLKHSEMSSKGLRCLGLAYKDHLEELSDYYSNSHPAHKKLLDPSYYSLLESNLIFVGVVGLRDPPREEVYSAINSCRGAGINVMVITGDNKSTAEVVCREIHLFSQGEDLKGKSFTGKEFMALSSQQQMDILSRLGGKVFSRAEPTHKQEIVRVLKEMGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMILADDNFSTIVSAIAQGRSIYNNMKAFIRYMISSNVGEVISIFLTALLQIPECMIPVQLLWVNLVTDGPPAIALGFNPADVDIMRKPPRKSDDALINSWVFIRYMVIGTYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECSSWSNFNATPFTAGDHRLVTFSNPCEYFGGGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLITMPPWTNPWLLVAMAFSFGLHCLILYVPLLADVFCVVPLSVNEWFLVILVSAPVILIDEVLKFFGRRRRKSTKLKAA >itb01g26060.t2 pep chromosome:ASM357664v1:1:31287561:31288212:-1 gene:itb01g26060 transcript:itb01g26060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQTKEKRFPNDKVVEGLKYKVRVLQSEVSEIMCMRENESQLYEQEMMVFAFKEAEWNKERKKLREEVKKLRKRVLGERGDNQNAEDDGETVGDSYLMQQIREEQARRDEAIEKWKQLYFAIKVELDHLILRTNSGMYLHS >itb01g26060.t1 pep chromosome:ASM357664v1:1:31287039:31288212:-1 gene:itb01g26060 transcript:itb01g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQTKEKRFPNDKVVEGLKYKVRVLQSEVSEIMCMRENESQLYEQEMMVFAFKEAEWNKERKKLREEVKKLRKRVLGERGDNQNAEDDGETVGDSYLMQQIREEQARRDEAIEKWKQLYFAIKVELDHLILRTNSGEGLCWKEEGGGVEEKLRAKEECIEVLEAKIALLEQQELRREREVDILKQSLKIMFHKKKPHA >itb04g03560.t1 pep chromosome:ASM357664v1:4:2186519:2196292:1 gene:itb04g03560 transcript:itb04g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSRKMKLSSALLRRWKLQQGVADASHRSTYRSFTTVEGHRPTIVHKRSLDILHDPWFNKGTAFSETERDRLHLRGLLPPNVMSFEQQTERFMADLKRLQVQARDGPSDPNALAKWRILNRLHDRNETLYYKVLIENIEEYAPIVYTPTVGLVCQKYSGLFRRPRGMYFSAADRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTDNENLLKDPLYLGLQEHRLDGEQYLEVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNNYRMFNDDVQGTAGVALAGLLGAVRAQGRAMIDFPKMRIVVAGAGSAGIGVLNAARKTMARMLGNNEVAFESARSQFWVVDANGLITEARENVDEDARPFARNMKEIERQGLREGASLVEVVRQVKPDVLLGLSAVGGLFSHEVLEALKESTSTRPAIFPMSNPTKNAECTPEEAFSLVGDNIIFASGSPFKDVDLGNGHIGHCNQANNMFLFPGIGLGTLLSGSRIVSDGMLQAAAERLAAYMTEEEVHQGIIYPSISRIRDITKEVAAAVIKEATIEGLAEGYRGMDSRDLNQLDQEQILEFVKHNMWSPDYPKLIYKKD >itb03g10550.t1 pep chromosome:ASM357664v1:3:8352277:8352837:-1 gene:itb03g10550 transcript:itb03g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLWTMLAVFAALSLIQGLLKKKKAKKLPPGPRGLPIVGHLHLVGKNPHQDLSKLAKQYGPIMHLRFGFVDTIVASSPHAAKLFLKTHDLVFASRPPIEAAKYMSYNQKSLSFGEYGPYWRDMRKLCTLQVLSNHKVNSFQAMRREELCYLIDSLKQSALNRVAVDLSAKVSELSAEMTHSSNQL >itb06g04830.t1 pep chromosome:ASM357664v1:6:7614711:7618746:1 gene:itb06g04830 transcript:itb06g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETLGILDEIQSLVSDKLQVVSYKWLSQNFLVSSNAAKSLLQEFVEKHGNGVEVVYSLSGWLKNNPSAYHVQLVSSPKLAEAKQEFNENCSIQVYSVQACIPKDPAALWNAEFAQAEELFKQPPVDNYFWDNRFCGVSNSFVKRNAEETSAITGAPPAKSAGALGVSKSKLTSQSVATQQPQQKKVQQADPRTNMNSPSMVNDVKNEIPEARGSNLAIDKEKITQPLAKKKKVQTDKTSAGNGGALANMWGRATTKSKADCISTETNNAIPNSADAQICAREELEDGNSNEDGEDVNIKRSNGKGSRKRRVVFDSSDEEGEDAVNLASPDPPKTRTSMVKQNSDAPELEKALHFEENEKTGMQLKGESLANMESKSQISSSDILGHSPSNDANTGVKMTDDAPKSPQRKKVLKTRIDERGREVTEVVWEGEEEKKSDANPSKKAENKVANDAVDRPPAPKKPNAIGSNAPSNQAAKVGNKKGGNKDPKQGNILSFFKRV >itb11g18190.t4 pep chromosome:ASM357664v1:11:18392874:18396247:-1 gene:itb11g18190 transcript:itb11g18190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPIQKDGSFKKPTLAPAGKDADKTTASSTESNSVDADENHKDNRKKDPTKFLTWNANSLLLRIKNNWPEFIKFVETFDPDVIAIQEVRMAAAGSKGAPKNPREIKDDNNASREEKLIVTRALSSPPFKNYDVWWSLSDSKYAGTALFVKKCFQPKKVSFSLDGTGSKHEQDGRVILAEFETFRLLNTYAPNNGWKEEESSFPRRRKWDKRMLEFVLQASNKPLIWCGDLNP >itb11g18190.t2 pep chromosome:ASM357664v1:11:18391159:18396247:-1 gene:itb11g18190 transcript:itb11g18190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPIQKDGSFKKPTLAPAGKDADKTTASSTESNSVDADENHKDNRKKDPTKFLTWNANSLLLRIKNNWPEFIKFVETFDPDVIAIQEVRMAAAGSKGAPKNPREIKDDNNASREEKLIVTRALSSPPFKNYDVWWSLSDSKYAGTALFVKKCFQPKKVSFSLDGTGSKHEQDGRVILAEFETFRLLNTYAPNNGWKEEESSFPRRRKWDKRMLEFVLQASNKPLIWCGDLNVSHEEIDVSHPEFFAAAKQNGYVPPNKEDCGQPGFTLAERRRFGGILKEGKLVDAYRLLNEDKDMECGFSWSGNPIGK >itb11g18190.t1 pep chromosome:ASM357664v1:11:18391159:18396247:-1 gene:itb11g18190 transcript:itb11g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPIQKDGSFKKPTLAPAGKDADKTTASSTESNSVDADENHKDNRKKDPTKFLTWNANSLLLRIKNNWPEFIKFVETFDPDVIAIQEVRMAAAGSKGAPKNPREIKDDNNASREEKLIVTRALSSPPFKNYDVWWSLSDSKYAGTALFVKKCFQPKKVSFSLDGTGSKHEQDGRVILAEFETFRLLNTYAPNNGWKEEESSFPRRRKWDKRMLEFVLQASNKPLIWCGDLNVSHEEIDVSHPEFFAAAKQNGYVPPNKEDCGQPGFTLAERRRFGGILKEGKLVDAYRLLNEDKDMECGFSWSGNPIGKYRGKRMRIDYFVVSELLKDRIISCKMHGQGIELKGFYGSDHCPVSLELSENYVPADAEQPQTS >itb11g18190.t3 pep chromosome:ASM357664v1:11:18391159:18396247:-1 gene:itb11g18190 transcript:itb11g18190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPIQKDGSFKKPTLAPAGKDADKTTASSTESNSVDADENHKDNRKKDPTKFLTWNANSLLLRIKNNWPEFIKFVETFDPDVIAIQEVRMAAAGSKGAPKNPREIKDDNNASREEKLIVTRALSSPPFKNYDVWWSLSDSKYAGTALFVKKCFQPKKVSFSLDGTGSKHEQDGRVILAEFETFRLLNTYAPNNGWKEEESSFPRRRKWDKRMLEFVLQASNKPLIWCGDLNVSHEEIDVSHPEFFAAAKQNGTVGSLDLRLLRGGDLVAY >itb03g23970.t1 pep chromosome:ASM357664v1:3:22446022:22446399:-1 gene:itb03g23970 transcript:itb03g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPKFGIFYRDVQRKFCLSALHYKKEESRCRSNAPLCGNEEETMSHIFLECIVVSDVWAAAGIPLSLATMGFTSFLEANMENRYRHHAKGGSDHLVYLVRKKRSGMEQPNRSMLIRYGTKLNVG >itb11g03480.t2 pep chromosome:ASM357664v1:11:1847905:1850233:1 gene:itb11g03480 transcript:itb11g03480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEDKGVVAAEALATRQQKQVLLFYCVEMEDVARKIASESPFIQLQSINWRSFDDGFPNLFINNAQNIRGQHVAFLASFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISKGGPTSLVIYDIHALQERFYFGDQVLPLFETGIPLLKQRILQLPESDKIVVAFPDDGAWKRFYKQFSNYPAVICTKVREGDKRIVRLKEGSPDGCHVIIVDDLVQSGGTLIECQVFLLLM >itb11g03480.t1 pep chromosome:ASM357664v1:11:1847888:1850925:1 gene:itb11g03480 transcript:itb11g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEDKGVVAAEALATRQQKQVLLFYCVEMEDVARKIASESPFIQLQSINWRSFDDGFPNLFINNAQNIRGQHVAFLASFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISKGGPTSLVIYDIHALQERFYFGDQVLPLFETGIPLLKQRILQLPESDKIVVAFPDDGAWKRFYKQFSNYPAVICTKVREGDKRIVRLKEGSPDGCHVIIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKRSWERFLHKDGGRSEKAFSHFWITDSCPHTVKAIANKAPFEVLSLAGSIAETLQI >itb07g08290.t1 pep chromosome:ASM357664v1:7:6488359:6493516:1 gene:itb07g08290 transcript:itb07g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWLSAIKRVFVPNSSSKDKQLDGTEKKTPHEKKKGRRILKHGEFKSFIPLFREPSSIEKILEEASEQSLLVPLYYEQQKNASARPTSPRVSPSRASSCRAASPRYSPPRVASPRTGPLKVSSPRAASPRAISPRAASPRETSPRAASPRAISPRAASPRETSPQAASPRATSPRVDPPRVTSPRVASSTVNQNRKETSYTQRPEPTLQALHFSATRIQAIFRGYMSRKNFRSLRGLLRLQAVVKSNSVKKQTVSAMKQLQLLVRVQTQIRSRRIQMLENQALQWQAYKDGESTLSKWSQMSEAGNEKWDDSMLTKDETDQRWRKKVEAVMKRERALAYAYSHKLWKANPKNIQSNGFPCWWKWLERQPRSASCSESPSAVKNAPRTPSRAISERKGSPLPQTPNYRYPSSEYDNLESETPTSTKSAIPMRARPFHTPGRTPPNSILRKYTRARSNATGSPFQYPSKDDDSLTSCPPFSAPSYMAPTISAKAKSRPNLREGEFETPSSGTARRLTFPFTPNIGSFKWNKGSNKDAASLREFDKSADGLSVDSTVSMPAIVGRKPFNRFV >itb06g08700.t1 pep chromosome:ASM357664v1:6:12721817:12722525:-1 gene:itb06g08700 transcript:itb06g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRIKTTQPRMGDYNDGRNMQKNEMEKYHGFRSYCAPPPPMLKKGKSSNGSTSKCWSMNDPELQRKKRIASYKVYSVEGKVKGSLKRSFRWLKDKYSQVIYGWF >itb07g20390.t1 pep chromosome:ASM357664v1:7:24761829:24770300:-1 gene:itb07g20390 transcript:itb07g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEPMPSQTAIRRSKRARVQIRANDMREMSLNEDEREESSDDFEESRPRAKRNKATASTSAAAPTTDQTLIEVLKGNRKQIPQVVKSWVGHYEKEPEAAKLELVMLILEACGAKYHIDEESLDQTNVDDVVVALVNMAKRGEVEDYHSSKKKELKNFKDNLIFFWDNLVTECQSGPLFDGDLFDRCTDYIIALSCTPPRVYRQIASLMGLQLVTSFITVAKMLGAQRETTQRQLNAEKKKAADGPRVESLDKRLSVTHEKITVIEEMMRKIFKGLFVHRYRDVESDIRMLCIQSLGIWIQEYPSLFLQDLYLKYLGWTLNDKTEGVRKASVLALQELYKVDDNVPSLGLFTERFYKRMIELADDIDISVAVCGIGLVTQLLRLQLIPDEDLGSLYDLLIDDPPEIRRAIGALVYDHLIDQSSRTGNAKESSKILLSRMLHILKEFSSDEMLIAYVIDAIWDYMDAMKDWKCIISMLLEEDTSIELSDADATNLIRLLSASIKKSVGEKIVPATDNRKQYYSKAQKETFESNKQTITNAMMKNYPQLLRKFMVDRTKVSYLLEIVVHMNLELYSLKSQNQNFTSVLKLMKEAFFKYGEKDVLRSCVRAINYCAAESRGELHDFALNELKKIEDELLVKLKSAVKEVTDGDDEYLLLVNLKRLYELQLSREISIESLYEEFVHILKTFRNIDDEVICFLLLNMHLHLCWCLHSIINCKTVSETVVSSLKSKRSTLFEQLEDFLQTCFENSGEAKCGNVLACRVCTIVAEQWCLFKKANFASTELETLGYLPDNSILQKFWHVCEKQLHMSDETEDDDTNREYVEETNRDAVIIAASKLVAVDAVPKEYLGAEIISHFVSHGSSVSEVIKHLITVLRKKDDKISSILLEALKKAYKRHLVAVSSSDEVASSTTFQECKDLAARLSGMFAGAARNKYRLDVLNIVKGGIEYAFSDVPKHLSFLDAAVLHFISKLPLTDILDILKDVERRTTNVNTDEDPSGWRPYLTFVETIREKYVKNEGFQDEKEGGVSVRRRGRPRKNQNIQGKKLFDEPNSSEEEDSISGSEQDAEEEEEEEAPLIHSFRSASKLKSLSVSREKKGHTSTTG >itb07g20390.t2 pep chromosome:ASM357664v1:7:24761877:24770296:-1 gene:itb07g20390 transcript:itb07g20390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEPMPSQTAIRRSKRARVQIRANDMREMSLNEDEREESSDDFEESRPRAKRNKATASTSAAAPTTDQTLIEVLKGNRKQIPQVVKSWVGHYEKEPEAAKLELVMLILEACGAKYHIDEESLDQTNVDDVVVALVNMAKRGEVEDYHSSKKKELKNFKDNLIFFWDNLVTECQSGPLFDGDLFDRCTDYIIALSCTPPRVYRQIASLMGLQLVTSFITVAKMLGAQRETTQRQLNAEKKKAADGPRVESLDKRLSVTHEKITVIEEMMRKIFKGLFVHRYRDVESDIRMLCIQSLGIWIQEYPSLFLQDLYLKYLGWTLNDKTEGVRKASVLALQELYKVDDNVPSLGLFTERFYKRMIELADDIDISVAVCGIGLVTQLLRLQLIPDEDLGSLYDLLIDDPPEIRRAIGALVYDHLIDQSSRTGNAKESSKILLSRMLHILKEFSSDEMLIAYVIDAIWDYMDAMKDWKCIISMLLEEDTSIELSDADATNLIRLLSASIKKSVGEKIVPATDNRKQYYSKAQKETFESNKQTITNAMMKNYPQLLRKFMVDRTKVSYLLEIVVHMNLELYSLKSQNQNFTSVLKLMKEAFFKYGEKDVLRSCVRAINYCAAESRGELHDFALNELKKIEDELLVKLKSAVKEVTDGDDEYLLLVNLKRLYELQLSREISIESLYEEFVHILKTFRNIDDEVICFLLLNMHLHLCWCLHSIINCKTVSETVVSSLKSKRSTLFEQLEDFLQTCFENSGEAKCGNVLACRVCTIVAEQWCLFKKANFASTELETLGYLPDNSILQKFWHVCEKQLHMSDETEDDDTNREYVEETNRDAVIIAASKLVAVDAVPKEYLGAEIISHFVSHGSSVSEVIKHLITVLRKKDDKISSILLEALKKAYKRHLVAVSSSDEVASSTTFQECKDLAARLSGMFAGAARNKYRLDVLNIVKGGIEYAFSDVPKHLSFLDAAVLHFISKLPLTDILDMMLKEEPQM >itb07g20390.t3 pep chromosome:ASM357664v1:7:24762133:24770300:-1 gene:itb07g20390 transcript:itb07g20390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEPMPSQTAIRRSKRARVQIRANDMREMSLNEDEREESSDDFEESRPRAKRNKATASTSAAAPTTDQTLIEVLKGNRKQIPQVVKSWVGHYEKEPEAAKLELVMLILEACGAKYHIDEESLDQTNVDDVVVALVNMAKRLVTSFITVAKMLGAQRETTQRQLNAEKKKAADGPRVESLDKRLSVTHEKITVIEEMMRKIFKGLFVHRYRDVESDIRMLCIQSLGIWIQEYPSLFLQDLYLKYLGWTLNDKTEGVRKASVLALQELYKVDDNVPSLGLFTERFYKRMIELADDIDISVAVCGIGLVTQLLRLQLIPDEDLGSLYDLLIDDPPEIRRAIGALVYDHLIDQSSRTGNAKESSKILLSRMLHILKEFSSDEMLIAYVIDAIWDYMDAMKDWKCIISMLLEEDTSIELSDADATNLIRLLSASIKKSVGEKIVPATDNRKQYYSKAQKETFESNKQTITNAMMKNYPQLLRKFMVDRTKVSYLLEIVVHMNLELYSLKSQNQNFTSVLKLMKEAFFKYGEKDVLRSCVRAINYCAAESRGELHDFALNELKKIEDELLVKLKSAVKEVTDGDDEYLLLVNLKRLYELQLSREISIESLYEEFVHILKTFRNIDDEVICFLLLNMHLHLCWCLHSIINCKTVSETVVSSLKSKRSTLFEQLEDFLQTCFENSGEAKCGNVLACRVCTIVAEQWCLFKKANFASTELETLGYLPDNSILQKFWHVCEKQLHMSDETEDDDTNREYVEETNRDAVIIAASKLVAVDAVPKEYLGAEIISHFVSHGSSVSEVIKHLITVLRKKDDKISSILLEALKKAYKRHLVAVSSSDEVASSTTFQECKDLAARLSGMFAGAARNKYRLDVLNIVKGGIEYAFSDVPKHLSFLDAAVLHFISKLPLTDILDILKDVERRTTNVNTDEDPSGWRPYLTFVETIREKYVKNEGFQDEKEGGVSVRRRGRPRKNQNIQGKKLFDEPNSSEEEDSISGSEQDAEEEEEEEAPLIHSFRSASKLKSLSVSREKKGHTSTTG >itb06g18860.t1 pep chromosome:ASM357664v1:6:22401777:22403603:-1 gene:itb06g18860 transcript:itb06g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFSRFSVTKAAHRRAQTALDEREASPHNCEAAGASVVAGADPTTTITHGIELAVEFKPIEHPVEPLDNDSPIQCPLPEPSILNDGRIWRERVSAVRGRIDLPVMQQEGAAIEPEVAATKSKRQTNRPILPSISAPEHSILKLLEESGI >itb06g18860.t2 pep chromosome:ASM357664v1:6:22401777:22403388:-1 gene:itb06g18860 transcript:itb06g18860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREASPHNCEAAGASVVAGADPTTTITHGIELAVEFKPIEHPVEPLDNDSPIQCPLPEPSILNDGRIWRERVSAVRGRIDLPVMQQEGAAIEPEVAATKSKRQTNRPILPSISAPEHSILKLLEESGI >itb12g02040.t1 pep chromosome:ASM357664v1:12:1371797:1374121:1 gene:itb12g02040 transcript:itb12g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKLSPANSCPLTPLNFLERTATIYGDCTSVVYNGTIYTWSETHQRCLRLASSIVSLGVERGQVVSVMAPNIPAMYEMNFAVPMAGAVLNSINLRLDARTVSIMLRHCESKLIFVDCLSKDLLMEALSMFPAESSRPAVVLITDDEFPAERGRGGEFVDTYEGLIEKGDPWFNWIRPRSELDPIVLNYTSGTTSAPKGVVHSHRGAFLMTLDSLNQWPMPSQPVYLWTLAMFHANGWSYTWGMAAVGAANICLRKFDAAVIYAAIKNHHVTHMCGAPVVLNMLSNFPGVKPLSQPVYIMTAGAPPPAAVLFRAESLGFVVSHGYGLTETGGLVVSCTWKNKWNKFPAEERAKLKARQGVRTIAMTEVDVVDPDTGRSVKRDGATLGEVVFKGGCIMLGYLKDPEGTAKCLKPDGWFYTGDVGVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYMHPAVNEAAVVARPDEFWGETPCAFLSLKTGVTKKPTEKEIMEFCRARMPHFMVPKTVVFQDDLPKTSTGKIQKFLLRETAKAMGSSKLQRKSRM >itb03g20520.t1 pep chromosome:ASM357664v1:3:18418480:18419987:-1 gene:itb03g20520 transcript:itb03g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATDGITPMDFNNADQLPGSHIWVDTAINTSLTPKSIPVIDLNDPQAVEQMKAAFESWGFFQLLNHGVPLALLAQMEQQMRRFFELEAEQKRRALRSPDSNTGYGIVPSSRNFNSRMWGEGFTVVGSPPLEHARRVWPQDYVHFCDVIGNYQEQMKALSEKIVGLLFKSLGLSGEDMEWFKPDGLPESTASVLQINSYPKCPDPNRAMGLAPHTDSSLITLLYQSGTTQGLQVYRPDLDWVNIEPIPDAIVINAGDLTEIASNGQFKSLLHRAIVSRDYHRISIAYFYGPNMDVKILPPLKLIKDGDFPVFRTISWKEYLAVKDVHFFKSLELIRFNSAAEETNGNEAPLGSEVEEAVEA >itb09g00470.t2 pep chromosome:ASM357664v1:9:370221:372328:-1 gene:itb09g00470 transcript:itb09g00470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELEFSNHEMLSSPPCIGEFPDSSSMGSFWNDILSDTHACTHTHTCNPPGPDNSHTHTCYHVHTKIVPATSEDKAPSDDTAESADKIAKKRPSGNREAVRKYREKKKARAASLEDEVVRLRTINQQLLKRLQGQAVLEAEIARLKCLLVDIRGRIEGEIGSFPYQKPVKSGDLYPNLANPTLPGAYIMNPCNMHCNDQVYCLHHGSEGKSSEGTTLNNQGTSNCEFESLQCFGNQTSGLKELPGCGVGSNMSTRNATGKSKKKVVAQAAESS >itb09g00470.t3 pep chromosome:ASM357664v1:9:370229:372329:-1 gene:itb09g00470 transcript:itb09g00470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELEFSNHEMLSSPPCIGEFPDSSSMGSFWNDILSDTHACTHTHTCNPPGPDNSHTHTCYHVHTKIVPATSEDKAPSDDTAESADKIAKKRPSGNREAVRKYREKKKARAASLEDEVVRLRTINQQLLKRLQGQAVLEAEIARLKCLLVDIRGRIEGEIGSFPYQKPVKSGDLYPNLANPTLPGAYIMNPCNMHCNDQVYCLHHGSEGKSSEGTTLNNQGTSNCEFESLQCFGNQTSGLKELPGCGVGSNMSTRNATGKSKKKVVAQAAESS >itb09g00470.t1 pep chromosome:ASM357664v1:9:370221:372518:-1 gene:itb09g00470 transcript:itb09g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELEFSNHEMLSSPPCIGEFPDSSSMGSFWNDILSDTHACTHTHTCNPPGPDNSHTHTCYHVHTKIVPATSEDKAPSDDTAESADKIAKKRPSGNREAVRKYREKKKARAASLEDEVVRLRTINQQLLKRLQGQAVLEAEIARLKCLLVDIRGRIEGEIGSFPYQKPVKSGDLYPNLANPTLPGAYIMNPCNMHCNDQVYCLHHGSEGKSSEGTTLNNQGTSNCEFESLQCFGNQTSGLKELPGCGVGSNMSTRNATGKSKKKVVAQAAESS >itb14g08450.t1 pep chromosome:ASM357664v1:14:7891476:7902366:-1 gene:itb14g08450 transcript:itb14g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMYVLVEELHKDHTSVAIRLRAVRTYNVWHSRGGDQIKSRECVFMMKRLCMQQQTPLKSITSNSTFSYGTTSGEDLSSTKMQITTLSEIFSKRETSEFWVPCKIIGIESDPNDWYYDSCPKQNCNKKLEFNSGMYDCGKCGGRFIKGNLRYKLKIRVVDVKGTAPLLLWDREVLELLCLKADELKAMQPSVITKIPKDIRNLKGRGLFLKISVRSDQFDNLNSAVPVLQVKHLPKMFETYCPGLIQHNDDEFSSKLQLTQDDSDSDEDIPVTIDKNRTPLQDIPVTLDKNRTPLQDIPVTPNMNQKRETNFKRLRGLKRTRIFCNAGGNSLKPEINLPPPIHQFVAADKSPPTNEFRRRSDSLSPRAIAERNRLASGIGPDLN >itb07g04560.t1 pep chromosome:ASM357664v1:7:3058885:3060082:-1 gene:itb07g04560 transcript:itb07g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMRRLNTLTHSSDLLHVKPSFPTPSKRCTTPATAATATATVTAAGNNKRALRDAAVDSAGATKMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGVKARTNFVYPPPLAAADCFSHPLYSTNKFTSHHQISLKDLPTKQFFQSTFSNPYNLSRKMNKPLNTLPVTDFLNPSSTGGGAAAPNIHLPSMLNNNNVFCTTVSLPAFPEPPAKNPNTQIEETGMDFFPTEPSGSGLLEEVLNGFFPKPNPSNPDPSPAVGSFAGKTTVNNQFGVFQGGVGVQGQFGDSITGFEDSSLPFQNDFSANFQISPEIMLGDMLHQFPDPLNVFTAN >itb03g18750.t1 pep chromosome:ASM357664v1:3:16972751:16975824:-1 gene:itb03g18750 transcript:itb03g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSNKITAFLNFVAVMCSIPVISAGIWLASNSDNQCVHWLRWPLVFIGVALLLIALAGFAGAYWNKEGLLGVYLVSMAILIVLLLSLLILASVVTRPDGSYAVPGRRYRDYRLPGYSAWLRDHVTGDDYWADIRACLAADHDICPAISNNYFTAELFFAARLSPLQSGCCKPPTICGYQYVSPTMWINPSYPMIDADCSIWNNDPTQLCYNCDSCKAGLLGNLRSEWRKVNVILIVTVVLLISVYFIACSAYKNAQTNKGTAHGRK >itb05g13590.t1 pep chromosome:ASM357664v1:5:20591965:20602186:-1 gene:itb05g13590 transcript:itb05g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDAAACAERATSDMLIGPDWAVNIELCDIININPGQAKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGENMFQQIVERDILHDMVKIVKKKPDLNVREKILILIDTWQEALGGPRGRFPQYHAAYNELKAYGVEFPPREENSVPLFTPPQTHPIVHPAASTFEDTAVELSLESDQSGLSLPELQNAQGLADVLMEMLGALDPKNPQGVKEEIIVDLVEQCRSYQKRVMVLVNNTGDEELLCKGLALNDNLQRVLCRHDDIAKGTSSASVVTNDTPVAPLMNVNHEDEESEDDFVQLSRRSTRDTSQGQGRKPLGLKSEPVRINPILPPPPSSRNPVSTDAGMIDYLSGDTYQSERSSGPSGSAPTAVSTHSNDSIPIKSSPQKLSSPPPDDLINPTAADDDFLNPTASMFASKPAQSDSPSKPKSADHLPQAPWDATPAGNLPPPPAKYNQRQQFFEQQHQFPGSPSNSSAGSYNSLVGQTKNLSINPPTPTKQEKNAEDALFKDLVDFAKAKSSSSSSSKPPSNRSF >itb05g13590.t2 pep chromosome:ASM357664v1:5:20591965:20602051:-1 gene:itb05g13590 transcript:itb05g13590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDAAACAERATSDMLIGPDWAVNIELCDIININPGQAKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGENMFQQIVERDILHDMVKIVKKKPDLNVREKILILIDTWQEALGGPRGRFPQYHAAYNELKAYGVEFPPREENSVPLFTPPQTHPIVHPAASTFEDTAVELSLESDQSGLSLPELQNAQGLADVLMEMLGALDPKNPQGVKEEIIVDLVEQCRSYQKRVMVLVNNTGDEELLCKGLALNDNLQRVLCRHDDIAKGTSSASVVTNDTPVAPLMNVNHEDEESEDDFVQLSRRSTRDTSQGQGRKPLGLKSEPVRINPILPPPPSSRNPVSTDAGMIDYLSGDTYQSERSSGPSGSAPTAVSTHSNDSIPIKSSPQKLSSPPPDDLINPTAADDDFLNPTASMFASKPAQSDSPSKPKSADHLPQAPWDATPAGNLPPPPAKYNQRQQFFEQQHQFPGSPSNSSAGSYNSLVGQTKNLSINPPTPTKQEKNAEDALFKDLVDFAKAKSSSSSSSKPPSNRSF >itb04g21880.t1 pep chromosome:ASM357664v1:4:26988613:26990546:1 gene:itb04g21880 transcript:itb04g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGDVKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWQENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKESTA >itb05g12790.t1 pep chromosome:ASM357664v1:5:19480994:19482371:1 gene:itb05g12790 transcript:itb05g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSIKLPLLLALFMLASLLPLTVMAQISVGPNKKQLFMTGQLCKSHDDCKANSVYSSNFCINKISGSEMGHCAGFDSTLGMELKESKAKGTCGKCKTDADCKSCPVTSGCEKIILDGACV >itb01g02420.t1 pep chromosome:ASM357664v1:1:1579018:1580604:-1 gene:itb01g02420 transcript:itb01g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYAYLVTDDGLYRVNIPDFDPPHQPEFMLECVAEDFDDIILPTMGIFSKGQDVYLFGGLMFGGRGNAQYNRSLYFFNPRKVKEKEYPIRDSKLLYKSSLKYVPMVTPSVLQAKHKTYLFSVVRPRYGPMKSLCYFQSFDPLKNCFCTLPTPSLDDSFLWYDVVGYFLVYDHIYVFIQGGAKDVNELHAFSFNTRSSKWKELDSLLSKFEERKIPIPYCHCGDIGLSYKFNDNTQILVALSYGTPTAYRVRLGAAGSSLRPKSYRQLLEHNYGVHNFSHLLDIGSQRFCAICSREDKHLLVYAFKMDFAVEYENQGKSASLAMPSIEILCSRKFFPSVVTCVCLAYAPASQRCIPWDKVKPINDKSNEKEPKRKPGVVYLEV >itb07g04650.t2 pep chromosome:ASM357664v1:7:3134245:3138392:-1 gene:itb07g04650 transcript:itb07g04650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNTKQPLLSPAGDDHVVGLADDEFLLRPSLASFRSSFVPDPDDIAPITGARDFFREFKVESRKLWYLAGPAVFTSLCQYSLGAITQTFAGHLSTIDLAAVTVENSVIAGFSFGVMLGMGSALETLCGQAFGAGQIDMLGVYMQRSWVILNATAVILTALYVAAAPFLRLIGQTEEISAAAGRMALYMIPQLYAYAMNFPIAKFLQAQSKMMVMAWVSGAAIAMHTLLSWLLMLRLRWGLAGAAAVLNFSWWFIVVAQLVYIFSGACGRAWSGFSPKAFQNLWSFVRLSLASAVMLCLETWYFMALVLFAGYLKNAEVAVDALSICTNILGWAVMVAIGLNAAISVRVSNELGAGHPRTAKFSVVVVVMSSFVLGVLISAVLLIFRRQYPALFADDEVVKALVYNLTPLLATCIVVSNIQPTLSGVAIGGGWQALVAYVNIACYYLLGIPAGLIMGYVLNMGLEGIWYGMLGGTVVQTLVLFWIVYKTNWNKEASIAADRIKQWAGEPEIKEVD >itb07g04650.t1 pep chromosome:ASM357664v1:7:3134245:3138392:-1 gene:itb07g04650 transcript:itb07g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNTKQPLLSPAGDDHVVGLADDEFLLRPSLASFRSSFVPDPDDIAPITGARDFFREFKVESRKLWYLAGPAVFTSLCQYSLGAITQTFAGHLSTIDLAAVTVENSVIAGFSFGVMLGMGSALETLCGQAFGAGQIDMLGVYMQRSWVILNATAVILTALYVAAAPFLRLIGQTEEISAAAGRMALYMIPQLYAYAMNFPIAKFLQAQSKMMVMAWVSGAAIAMHTLLSWLLMLRLRWGLAGAAAVLNFSWWFIVVAQLVYIFSGACGRAWSGFSPKAFQNLWSFVRLSLASAVMLCLETWYFMALVLFAGYLKNAEVAVDALSICTNILGWAVMVAIGLNAAISVRVSNELGAGHPRTAKFSVVVVVMSSFVLGVLISAVLLIFRRQYPALFADDEVVKALVYNLTPLLATCIVVSNIQPTLSGVAIGGGWQALVAYVNIACYYLLGIPAGLIMGYVLNMGLEGIWYGMLGGTVVQTLVLFWIVYKTNWNKEASIAADRIKQWAGEPEIKEVD >itb07g04650.t3 pep chromosome:ASM357664v1:7:3134245:3138392:-1 gene:itb07g04650 transcript:itb07g04650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNTKQPLLSPAGDDHVVGLADDEFLLRPSLASFRSSFVPDPDDIAPITGARDFFREFKVESRKLWYLAGPAVFTSLCQYSLGAITQTFAGHLSTIDLAAVTVENSVIAGFSFGVMLGMGSALETLCGQAFGAGQIDMLGVYMQRSWVILNATAVILTALYVAAAPFLRLIGQTEEISAAAGRMALYMIPQLYAYAMNFPIAKFLQAQSKMMVMAWVSGAAIAMHTLLSWLLMLRLRWGLAGAAAVLNFSWWFIVVAQLVYIFSGACGRAWSGFSPKAFQNLWSFVRLSLASAVMLCLETWYFMALVLFAGYLKNAEVAVDALSICTNILGWAVMVAIGLNAAISVRVSNELGAGHPRTAKFSVVVVVMSSFVLGVLISAVLLIFRRQYPALFADDEVVKALVYNLTPLLATCIVVSNIQPTLSGVAIGGGWQALVAYVNIACYYLLGIPAGLIMGYVLNMGLEGIWYGMLGGTVVQTLVLFWIVYKTNWNKEASIAADRIKQWAGEPEIKEVD >itb09g29040.t1 pep chromosome:ASM357664v1:9:29674205:29682429:-1 gene:itb09g29040 transcript:itb09g29040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGEFSGAGGHVSEQLVLIWRQIKAFKVPVVAPILNVAVYVCMSMTLLLFVEWVYMGVVIGVVKMFSRTPEKRFKWEALEEDAELGNGCFPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRIIVQVLDDSTDPTIKEMVEMECQKWASNGIDIKYEIRGNRNGYKAGALSEGMKRSYVRECDYVVVFDADFQPEPDFLRRTIPFLVHNPNLALVQARWKFVNADECLMTRMQQMSLDFHFAVEQEVGSWAYAFFSFNGTAGVWRISAIDEAGGWNHRTTVEDMDLAVRASLKGWKFLYLDSLRVKNELPSTFKALRYQQHRWSCGPANLFRKVFMEIVRNENVNLLKKINIVYSFFFVRKVICHVGTFMFYCVVLPTTCLVPEVDVPKWGAIYIPFIVTLINSVGTPRSFHLLSFWILFENVMATHRAKGTFIGLLEVGRVNEWVVTEKLGEASKLKSTKETYRKPRSKIVERMYILELVIGAYLLFCGSYDLFLGKRQYCWYIILQSIAFFIAGFGYIGTYLPTS >itb03g09470.t1 pep chromosome:ASM357664v1:3:7295237:7296737:1 gene:itb03g09470 transcript:itb03g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCILRTCLQWIESAEAQGHATVFVAKFFGRAGLMSFISAVPENQRPSLFQSLLYEAAGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGALQPIPGGAEASECTDTLKLQDPAQNARSKVQKRRRLPDGPPSVLQLADLDLSLNPGFQGFHPKGYGNTSPAPEKRRPGTPSMNSEESVTTTCFDSQFAGAGDQDGGEPKLLSLFN >itb12g23780.t2 pep chromosome:ASM357664v1:12:25400418:25405217:-1 gene:itb12g23780 transcript:itb12g23780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVEAVLEFLRKNGFSESESALTEEIMERSQLGSFDYQSFLFPMVPPPPPLKIPATRRPEDVSGAGSSSHSSDDEEFVSLGSSTTELCSSEFTNPYGIRGDTCLSSQASSDRMSQFGTARDYHDFDMQNDLFWYREKDEDYAMPPDFGGSDFAAGPSEDKFVMTLDSGKQSAADVLSLDCEFETHPLTSVDNNRDAKDETSKDYCHFDKSLQTRGGDDGKCERDCADYSCSSPLCACCCGAGVVYRGDPAEYGIVDLKLTDINDLLDEQSGERDNEFRISDSIKKNSPRDWAGDLETTPHLHSPVIDKDCYPFIDVDDFEYKDDKPKAGEPDAAPDEEGGTASDELLMLNASEDDYEVFNLRIIHRKNRTGFEENKDFPIVLNSIVAGRYHITEYLGSAAFSKVVQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKYVNKHDPGDERHILRLYDYFYHQEHLFIVCELLRADLYEFQKYNRESGSEPYFTMLRLKTITRQCLVALEYLHGLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQTDNLSLYVQSRSYRAPEVILGLHYDQRIDIWSLGCVLAELCSGEVLFPNEEIPMLLARVIGVLGPIDMDMLLEGQETHKYFTKEYDLYHINEVRFLLI >itb12g23780.t3 pep chromosome:ASM357664v1:12:25401952:25405268:-1 gene:itb12g23780 transcript:itb12g23780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVEAVLEFLRKNGFSESESALTEEIMERSQLGSFDYQSFLFPMVPPPPPLKIPATRRPEDVSGAGSSSHSSDDEEFVSLGSSTTELCSSEFTNPYGIRGDTCLSSQASSDRMSQFGTARDYHDFDMQNDLFWYREKDEDYAMPPDFGGSDFAAGPSEDKFVMTLDSGKQSAADVLSLDCEFETHPLTSVDNNRDAKDETSKDYCHFDKSLQTRGGDDGKCERDCADYSCSSPLCACCCGAGVVYRGDPAEYGIVDLKLTDINDLLDEQSGERDNEFRISDSIKKNSPRDWAGDLETTPHLHSPVIDKDCYPFIDVDDFEYKDDKPKAGEPDAAPDEEGGTASDELLMLNASEDDYEVFNLRIIHRKNRTGFEENKDFPIVLNSIVAGRYHITEYLGSAAFSKVVQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKYVNKHDPGDERHILRLYDYFYHQEHLFIVCELLRADLYEFQKYNRESGSEPYFTMLRLKTITRQCLVALEYLHGLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQTDNLSLYVQSRSYRAPEVILGLHYDQRIDIWSLGCVLAELCSGEVSPNYTRLLLCMHSVHIIE >itb12g23780.t1 pep chromosome:ASM357664v1:12:25400418:25405268:-1 gene:itb12g23780 transcript:itb12g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVEAVLEFLRKNGFSESESALTEEIMERSQLGSFDYQSFLFPMVPPPPPLKIPATRRPEDVSGAGSSSHSSDDEEFVSLGSSTTELCSSEFTNPYGIRGDTCLSSQASSDRMSQFGTARDYHDFDMQNDLFWYREKDEDYAMPPDFGGSDFAAGPSEDKFVMTLDSGKQSAADVLSLDCEFETHPLTSVDNNRDAKDETSKDYCHFDKSLQTRGGDDGKCERDCADYSCSSPLCACCCGAGVVYRGDPAEYGIVDLKLTDINDLLDEQSGERDNEFRISDSIKKNSPRDWAGDLETTPHLHSPVIDKDCYPFIDVDDFEYKDDKPKAGEPDAAPDEEGGTASDELLMLNASEDDYEVFNLRIIHRKNRTGFEENKDFPIVLNSIVAGRYHITEYLGSAAFSKVVQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKYVNKHDPGDERHILRLYDYFYHQEHLFIVCELLRADLYEFQKYNRESGSEPYFTMLRLKTITRQCLVALEYLHGLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQTDNLSLYVQSRSYRAPEVILGLHYDQRIDIWSLGCVLAELCSGEVLFPNEEIPMLLARVIGVLGPIDMDMLLEGQETHKYFTKEYDLYHINEETNQLEYIIPEETSLEDQLQVSDPLFVDFVRKLLQINPITRPTATEALEHPWLS >itb06g21180.t1 pep chromosome:ASM357664v1:6:23870307:23874425:-1 gene:itb06g21180 transcript:itb06g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSNCAAIILDRKKMRKGDDSGSAQLSKARPSILRQLQEARIREALEDASENGSLAKSQDIDEEPSENGNFGRSRSLARLNAQKEFLRATALAADRTFCTEEAIPDYQEAFNKFLIMYPKFQSSERIDQLRLNEYSHLSDSDAKVCLDYCGFGLFSYYQTVHFWNTSAFGLKEITANLNNQALHGGAEEGTVEHDIKVRIMDYLHIPENEYALVFTVSRGSAFKLLAEFYPFHTNKRLLTMFDHESQSVNWMAQCAKEKGAKVGSAWFKWPSLKPCSRELRKQISVKKKRKKVSAVGLFAFPVQSRVTGAKYSYQWMALAQQHNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYKIFGSDPSGFGCLLIKKSVMTALQNQPGRTGTGMVRIVPEFPQYLDDSLDCLDGLVEFEEGTDNGNDELVIGKPRGSQLPAFSGVFTSSQVRDVFDTEMDLDNISDRDGASTIYEEADSISIGEAMRSPIFSEDGLSDYSCWIDLGQSPHHSDLSGQLTKEKSLAPLTQSWFSIREENKPFSPKVGSKVSQNKVYEPMISFDTAVLSMSQEPDFVRETSREPYLTMQKDVGGKDIQEEIEIVEELSRGSESSSISNGLRINHVPGGFQHFDPEICQGIKENCIRRETECDFRLLGGREQSRQGGGRFFGLEDGGQAASLGYRESFTLNKNREGRSQHFLRSDEALMTGHDDGDFIVDGEYGDGQDWQRREPEITCRHLDHVDMLGLNKTTLRLRYLINWLVTSLLQLHFPSSDKAIGAPLVQIYGPKIKYERGASVAFNVRDSSKGGLIHPETVQKLAERHGVSLGVGILSHIQVVDSSKQRHRSRCPEDIALCKPMSSGCHDNKNQLFRIEVVTASLSFLTNFEDVYRMWAFVAKFLNPVFIEADRQSADSEDFQET >itb06g21180.t2 pep chromosome:ASM357664v1:6:23870332:23874425:-1 gene:itb06g21180 transcript:itb06g21180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLHIPENEYALVFTVSRGSAFKLLAEFYPFHTNKRLLTMFDHESQSVNWMAQCAKEKGAKVGSAWFKWPSLKPCSRELRKQISVKKKRKKVSAVGLFAFPVQSRVTGAKYSYQWMALAQQHNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYKIFGSDPSGFGCLLIKKSVMTALQNQPGRTGTGMVRIVPEFPQYLDDSLDCLDGLVEFEEGTDNGNDELVIGKPRGSQLPAFSGVFTSSQVRDVFDTEMDLDNISDRDGASTIYEEADSISIGEAMRSPIFSEDGLSDYSCWIDLGQSPHHSDLSGQLTKEKSLAPLTQSWFSIREENKPFSPKVGSKVSQNKVYEPMISFDTAVLSMSQEPDFVRETSREPYLTMQKDVGGKDIQEEIEIVEELSRGSESSSISNGLRINHVPGGFQHFDPEICQGIKENCIRRETECDFRLLGGREQSRQGGGRFFGLEDGGQAASLGYRESFTLNKNREGRSQHFLRSDEALMTGHDDGDFIVDGEYGDGQDWQRREPEITCRHLDHVDMLGLNKTTLRLRYLINWLVTSLLQLHFPSSDKAIGAPLVQIYGPKIKYERGASVAFNVRDSSKGGLIHPETVQKLAERHGVSLGVGILSHIQVVDSSKQRHRSRCPEDIALCKPMSSGCHDNKNQLFRIEVVTASLSFLTNFEDVYRMWAFVAKFLNPVFIEADRQSADSEDFQET >itb10g05170.t1 pep chromosome:ASM357664v1:10:5239972:5240835:-1 gene:itb10g05170 transcript:itb10g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAHPTNLSENPTKFTKKKTNGISLWAFLLSIFIYISIFYTFNLSPVNLLRSTKFWFFISNTLILIIAADFTVFFSSRKSDFYQETASFSPSFEAYMQRRNSVEKNNTQEKVVLEITPPEEIKCVIFHSRNEHEVVAKEMGTDEHEVVAKEMGTDDHEVVVAKEMGTVTKNDSENCYECKSFQGANSNSLQVKAQNPVQHEAKKREAKRSNSEVGILLEENEEEEKRNNNGLQRSLSEKYNLKNVEENNEFSDMSVEELNRRVEEFIQRFNRQIRLQAASRQFLN >itb06g17090.t1 pep chromosome:ASM357664v1:6:21033800:21041020:-1 gene:itb06g17090 transcript:itb06g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFMSRRHLDTTPLEAHEPFSPTSITPTKRRHLPPFHTPTSSSSTPPPPPSSSSVNFRPPAPPPPLLKLSSGETLFRILCPATKTGRVIGKGGANIRQFREETGAKIRIDDSAPACDERVITIVADSAKRESAPSQSNSDCASTTAEFLPSSSVDDEASPAQLALVRVFERIWKVDEEREGEERKDEGSNSCGGFPQGMVVCRLLAPTSLVGCVLGRGGKIVERIRNETGAQVRVLPKDQIPHCASQGDEVIQITGSFSAVRRALLSVSGCLQDNPRAELAYSSTPKSSGSSFHGNGLPGPDPYSLPGYATGHQFVDHHSKNFQYSCAESSASYGMVKEEEVVFRLLCKVDKVGSLIGKGGSIIRVIESETGASVKIVEVASDSDERVVIISSRENSEQRHSPAQEAVIRVHSRIAEIGFEPAAAAVVARLLVHSNQIGYLFGDGGIPIAELRRITGASIRVFPKEQSPRFGSQGDEVVQVIGSLQSVQDVLFQVTSRLRETVFAMRPKFPTTTSLPHRPEMLPPAYRARHEPASPAYHPPASIPRGVDHGFAPRRPFERASALSHGFDHNSPTYPDSVPNLYGNERRGQAPIYDQPISPRCPTQASGAPAVDVNGGFAPNDARTSSEDAVVQSPVVVEVMIPQYLLKHVYGADNSNLDFIRQASGAKVSVTDPRPGTTEGVVVLSGTQDQTRTAQNLVHACILSELPF >itb03g19630.t1 pep chromosome:ASM357664v1:3:17648882:17654640:1 gene:itb03g19630 transcript:itb03g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVMVSSAGGLLAMLNETHPALKLHALTNLNAFVDYFWPEISTSVPVIESLYEDEEFDQRQLAALLVSKVFYYLGDLNDSLSYALGAGPLFDVSEESDYVHTLLAKALDEYASRKTKAAESNDEAADVDPRMEAIVERMLDKCIVDGKYQQAIGMAIECRRLDKVAEAIVRSDNLDAALMYCSNVSHNFVNRREFRREVLRLLVDVYQKSASPNYLSICQWLMFLDKPNDAAAILEKLLRSDDRNDALMAFQIAFELLESENQVFLLSVRDRLSSPMLQPSEPVQPSEPVQAGPTEPGTAETGNTDVHEDVQMAEDSQASSRDVPIVDPKEATYAERLAKIKGILSGETAIQLTLQFLYRHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHSGHLQQGRSLMAPYLPQSGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEDIYDDIKTVLYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHETQHEKIISGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQVPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQTSEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAIVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPTFEFMSHAKPSLFEYPKPTTVATTTSTVKLPTAVLSTSAKAKARASKKEAEKANTEKTSGAEPSGSVKGKSTDKDEESMQVDAPAEKKTEPEASFEILTNPARVVPAQEKCIKFLEDSRYVPIKLSSPSGFVLLRDLRPEEPEVLSLTDAPSTASNAAGGSAAAPAAMNVDDEPQPPPAFEYAS >itb01g05050.t1 pep chromosome:ASM357664v1:1:3433616:3438229:1 gene:itb01g05050 transcript:itb01g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKVWWITSAAVLLLMVPRINSWGKEGHFAICKIAQEYLTEEALKAVQDLLPAEAEGDLANVCDWADQVRYRAHYGWSKTLHYVDTPDFLCNYEYTRDCHDTKGRKDKCVTAAIYNYTEQLLGYYNSNSETNYNLTEALMFLSHFVGDVHQPLHVGFIGDEGGNTITVRWYRRKTNLHHVWDTNIIETAVKTFYDSDLTLMIQALQNNITDEWPIDIPAKENCNTTVCPDPYASESVSLACKFAYRNATPGSTLKDEYFLSRLPVVEKRLAQSGVRLAGILNSIFTSSPSVAQL >itb08g17370.t1 pep chromosome:ASM357664v1:8:19847616:19855077:1 gene:itb08g17370 transcript:itb08g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPMCSLQPQFALYVQQRRVLVRNLHERLHFGPKPKTQFLFSEHSSKKRFGFVTPVKSASVNGYPLHDNYSKERGLEGEDAELGEKFRKWVGLARDFLPGGSWWRLSSEDAKVEMAAEPVTVIRALQKMWELIAEERWLIFSAFAALIVTALSEISIPHFLTASIFSAKSSSVPVFYRNVRILAVLSIVAGVCSGVRGCFFGIANMILVQRMREKLYSTLLRQDISFFDSETVGDLTSRLGADCQQVSRVIGNDLNLILRNLLQGIGALAYLLILSWPLGLCTLAICSTILILLLLYGRYQKKAANLIQEYTASSNEVAQETLSLMRTVRVYGTEKLELRRYSKWLGKLADISMRQNAAYGFWNFSFNTLYHSMQVIAVLVGGMFILAGHISAEQLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFRLMDLEPSSQLIAKGLKLQRLSGQIEFKNVSFCYPFRTTVPVLQQINFTVHPGEVVAIVGLSGSGKSTLVSLLLRLYEPTSGQICVDGYPVKDLDIKWFRERIGYVGQEPRLFRTDISSNIKYGCTRNISQEDVEWAAKQAFAHDFISSLPQGYQTLVDDELLSGGQKQRIAIARALLRDPDILVLDEATSALDAESEHNVKGTLRAVRRDLNTKRTVIVIAHRLSTIQAADRIVVMDSGNIIEMGNHSELLLRDGLYARLTKRQADAVA >itb08g17370.t2 pep chromosome:ASM357664v1:8:19847616:19855077:1 gene:itb08g17370 transcript:itb08g17370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPMCSLQPQFALYVQQRRVLVRNLHERLHFGPKPKTQFLFSEHSSKKRFGFVTPVKSASVNGYPLHDNYSKERGLEGEDAELGEKFRKWVGLARDFLPGGSWWRLSSEDAKVEMAAEPVTVIRALQKMWELIAEERWLIFSAFAALIVTALSEISIPHFLTASIFSAKSSSVPVFYRNVRILAVLSIVAGVCSGVRGCFFGIANMILVQRMREKLYSTLLRQDISFFDSETVGDLTSRLGADCQQVSRVIGNDLNLILRNLLQGIGALAYLLILSWPLGLCTLAICSTILILLLLYGRYQKKAANLIQEYTASSNEVAQETLSLMRTVRVYGTEKLELRRYSKWLGKLADISMRQNAAYGFWNFSFNTLYHSMQVIAVLVGGMFILAGHISAEQLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFRLMDLEPSSQLIAKGLKLQRLSGQIEFKNVSFCYPFRTTVPVLQQINFTVHPGEVVAIVGLSGSGKSTLVSLLLRLYEPTSGQICVDGYPVKDLDIKWFRERIGYVGQVLLRTYGLNEFELDFALSLTLGCFLQEPRLFRTDISSNIKYGCTRNISQEDVEWAAKQAFAHDFISSLPQGYQTLVDDELLSGGQKQRIAIARALLRDPDILVLDEATSALDAESEHNVKGTLRAVRRDLNTKRTVIVIAHRLSTIQAADRIVVMDSGNIIEMGNHSELLLRDGLYARLTKRQADAVA >itb01g01480.t1 pep chromosome:ASM357664v1:1:860287:863480:-1 gene:itb01g01480 transcript:itb01g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQFGLICIDFLAWPMIALAYPLCASIRAIETGSKHHMRKLVTYWTVFSIVSLFEHTLRVMEWVPLWPYIKLVGTFWLVVPRFNGACYAYDCLVNADLGAKLHCILSQISHALLAHKHLSIDMKAMEQTTEQGTEETKSKDFKSMEQTTEHGTEGTKSLDIRAVEQTTKQGIPEERKVKSAVDNIGEMQRQETEPPFVKAIPGANAAEEKIIPEINSSKLIQREWTCAVCQVTTTSEHDLKCHLRGMRHKTNCAELKPIKWVPEHPGSKQAASVSADPRLYCRICNIWCSREIDMSCHLKGRKHLSNLNLKQTSVMVAEKATGRQYQVKNEPARPNSPANGGSKQKTNGNEEKAPDQKGIRANGNNASVGKDYNLYCGLCNIWAPCKAAMVAHLKGKKHLSNLK >itb05g26430.t1 pep chromosome:ASM357664v1:5:30322446:30322856:-1 gene:itb05g26430 transcript:itb05g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPALVAVLVALLAMAEAGKQQQCREQIESQEQQLSHCEKYLSQKGNNFELTKEEEKQPPQECCRALQSFDRECRCAAVREAFGRQLEKDLEESGGWVEERKMQRALQKAKFLPSSCNLQQRPQECQIRPPMVL >itb11g14840.t1 pep chromosome:ASM357664v1:11:12140856:12142785:1 gene:itb11g14840 transcript:itb11g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGAPGDIIGNRNTHVWKEHLYNLNMMFTWSLSFLSIWRTANATAAGKALIPNSLFRSRALDFVFSTPQLQTTVQHSSSSDLSPPLRLTPSSLCNTPIRRSLPTPSRLRPRSSVLTSSDGLTSLRRLSGDRTGLGHSAALRNSPHPRPSASQPSAPPLHCIKSKAQGI >itb01g09100.t1 pep chromosome:ASM357664v1:1:7313807:7316141:1 gene:itb01g09100 transcript:itb01g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQISLNKTQKIRLERALELLDSFSSKTNSNASVTVADVIPVDHEDGILKGHGTSEMDGRVVATLCGVVERVNKLVYVRCLRARYKPEVGDIIVGRVIEVAPKRWRLEINSSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLERGQLLIVSPYLVKKRKQHFHHLDQYQIDLILGCNGFIWIGEHVDVKDDTMEDQLNKSMQPSTKSSTSMILEEEEKYTPPEIRLNICRIANAIRVLSNLGFMITVEVLLEIVGLSESLNHVHEMLGAEFYVMVAEKEAERRSQLTKKR >itb13g25970.t3 pep chromosome:ASM357664v1:13:31335412:31340135:-1 gene:itb13g25970 transcript:itb13g25970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDLFSDGAAKTSRAVGKFQPKAKARPVKKAATSSAPSSQSNQLGGADKREGTEALKAGQLSTKDGSSEHIVPAENARAAVGSHMEGDTYMPENNRDLQCSLEKPVGESADIYLGLESLDDLLPHDTNAMETAIPSSEELAINVPKGDGEEPLAIPTTSPVGDPAPVSNIPDAQNSEVSAAQGPLNCGEPVVATHEGVSQIDSIDLEIEGIETYPSLETPDGPELATISGRHTGKFQPKPKAHVFKESCDTGIPNSCAQDSQSTDFVDKERLPALSDDVLDLSSLGFDHALPTESTPEVPVNEEMPNVDANVPAEQPGSSGINEDENIDTEVQVENESQKKRGRKKSKKKAGDEEKPARKRKKANDKTEQATKEKPKKFPHTTRQKKRMVDKVLLDTPEEEIDFQRVPIKDLILLAEHKERLAKKEAALQTPQPQVNPSNFDNSSELNNEEERFTFDEDENENGETFEEQTTNQVEDSTVYFNYQTYMEKTPRVRWSKEDTELFYEAIRQFGSDFSMIQQLFPGRTRTQVRLKYKKEEQRYPSRVHDALTNRSKDHSRFEQVIAHLRKIAAEENQSDDDIDEPIDLTGEEVEVTSKRTYLLVFLHVFIFFISPFRGRRKNQIRSITRKQRSRMWSKMLHKLTVLWLRRTRKTRTYGANTRVSFDPNCN >itb13g25970.t6 pep chromosome:ASM357664v1:13:31335379:31340135:-1 gene:itb13g25970 transcript:itb13g25970.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDLFSDGAAKTSRAVGKFQPKAKARPVKKAATSSAPSSQSNQLGGADKREGTEALKAGQLSTKDGSSEHIVPAENARAAVGSHMEGDTYMPENNRDLQCSLEKPVGESADIYLGLESLDDLLPHDTNAMETAIPSSEELAINVPKGDGEEPLAIPTTSPVGDPAPVSNIPDAQNSEVSAAQGPLNCGEPVVATHEGVSQIDSIDLEIEGIETYPSLETPDGPELATISGRHTGKFQPKPKAHVFKESCDTGIPNSCAQDSQSTDFVDKERLPALSDDVLDLSSLGFDHALPTESTPEVPVNEEMPNVDANVPAEQPGSSGINEDENIDTEVQVENESQKKRGRKKSKKKAGDEEKPARKRKKANDKTEQATKEKPKKFPHTTRQKKRMVDKVLLDTPEEEIDFQRVPIKDLILLAEHKERLAKKEAALQTPQPQVNPSNFDNSSELNNEEERFTFDEDENENGETFEEQTTNQVEDSTVYFNYQTYMEKTPRVRWSKEDTELFYEAIRQFGSDFSMIQQLFPGRTRTQVRLKYKKEEQRYPSRVHDALTNRSKDHSRFEQVIAHLRKIAAEENQSDDDIDEPIDLTGEEGQKEEPNSEHNEEAEVQDVEQDVTQVNSSVVEEDEEDEDIWSQYKSEF >itb13g25970.t4 pep chromosome:ASM357664v1:13:31335412:31340273:-1 gene:itb13g25970 transcript:itb13g25970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDLFSDGAAKTSRAVGKFQPKAKARPVKKAATSSAPSSQSNQLGGADKREGTEALKAGQLSTKDGSSEHIVPAENARAAVGSHMEGDTYMPENNRDLQCSLEKPVGESADIYLGLESLDDLLPHDTNAMETAIPSSEELAINVPKGDGEEPLAIPTTSPVGDPAPVSNIPDAQNSEVSAAQGPLNCGEPVVATHEGVSQIDSIDLEIEGIETYPSLETPDGPELATISGRHTGKFQPKPKAHVFKESCDTGIPNSCAQDSQSTDFVDKERLPALSDDVLDLSSLGFDHALPTESTPEVPVNEEMPNVDANVPAEQPGSSGINEDENIDTEVQVENESQKKRGRKKSKKKAGDEEKPARKRKKANDKTEQATKEKPKKFPHTTRQKKRMVDKVLLDTPEEEIDFQRVPIKDLILLAEHKERLAKKEAALQTPQPQVNPSNFDNSSELNNEEERFTFDEDENENGETFEEQTTNQVEDSTVYFNYQTYMEKTPRVRWSKEDTELFYEAIRQFGSDFSMIQQLFPGRTRTQVRLKYKKEEQRYPSRVHDALTNRSKDHSRFEQVIAHLRKIAAEENQSDDDIDEPIDLTGEEVEGQKEEPNSEHNEEAEVQDVEQDVTQVNSSVVEEDEEDEDIWSQYKSEF >itb13g25970.t1 pep chromosome:ASM357664v1:13:31335379:31340301:-1 gene:itb13g25970 transcript:itb13g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDLFSDGAAKTSRAVGKFQPKAKARPVKKAATSSAPSSQSNQLGGADKREGTEALKAGQLSTKDGSSEHIVPAENARAAVGSHMEGDTYMPENNRDLQCSLEKPVGESADIYLGLESLDDLLPHDTNAMETAIPSSEELAINVPKGDGEEPLAIPTTSPVGDPAPVSNIPDAQNSEVSAAQGPLNCGEPVVATHEGVSQIDSIDLEIEGIETYPSLETPDGPELATISGRHTGKFQPKPKAHVFKESCDTGIPNSCAQDSQSTDFVDKERLPALSDDVLDLSSLGFDHALPTESTPEVPVNEEMPNVDANVPAEQPGSSGINEDENIDTEVQVENESQKKRGRKKSKKKAGDEEKPARKRKKANDKTEQATKEKPKKFPHTTRQKKRMVDKVLLDTPEEEIDFQRVPIKDLILLAEHKERLAKKEAALQTPQPQVNPSNFDNSSELNNEEERFTFDEDENENGETFEEQTTNQVEDSTVYFNYQTYMEKTPRVRWSKEDTELFYEAIRQFGSDFSMIQQLFPGRTRTQVRLKYKKEEQRYPSRVHDALTNRSKDHSRFEQVIAHLRKIAAEENQSDDDIDEPIDLTGEEGQKEEPNSEHNEEAEVQDVEQDVTQVNSSVVEEDEEDEDIWSQYKSEF >itb13g25970.t5 pep chromosome:ASM357664v1:13:31335412:31340301:-1 gene:itb13g25970 transcript:itb13g25970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDLFSDGAAKTSRAVGKFQPKAKARPVKKAATSSAPSSQSNQLGGADKREGTEALKAGQLSTKDGSSEHIVPAENARAAVGSHMEGDTYMPENNRDLQCSLEKPVGESADIYLGLESLDDLLPHDTNAMETAIPSSEELAINVPKGDGEEPLAIPTTSPVGDPAPVSNIPDAQNSEVSAAQGPLNCGEPVVATHEGVSQIDSIDLEIEGIETYPSLETPDGPELATISGRHTGKFQPKPKAHVFKESCDTGIPNSCAQDSQSTDFVDKERLPALSDDVLDLSSLGFDHALPTESTPEVPVNEEMPNVDANVPAEQPGSSGINEDENIDTEVQVENESQKKRGRKKSKKKAGDEEKPARKRKKANDKTEQATKEKPKKFPHTTRQKKRMVDKVLLDTPEEEIDFQRVPIKDLILLAEHKERLAKKEAALQTPQPQVNPSNFDNSSELNNEEERFTFDEDENENGETFEEQTTNQVEDSTVYFNYQTYMEKTPRVRWSKEDTELFYEAIRQFGSDFSMIQQLFPGRTRTQVRLKYKKEEQRYPSRVHDALTNRSKDHSRFEQVIAHLRKIAAEENQSDDDIDEPIDLTGEEVEVTSKRTYLLVFLHVFIFFISPFRGRRKNQIRSITRKQRSRMWSKMLHKLTVLWLRRTRKTRTYGANTRVSFDPNCN >itb13g25970.t2 pep chromosome:ASM357664v1:13:31335412:31340064:-1 gene:itb13g25970 transcript:itb13g25970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDLFSDGAAKTSRAVGKFQPKAKARPVKKAATSSAPSSQSNQLGGADKREGTEALKAGQLSTKDGSSEHIVPAENARAAVGSHMEGDTYMPENNRDLQCSLEKPVGESADIYLGLESLDDLLPHDTNAMETAIPSSEELAINVPKGDGEEPLAIPTTSPVGDPAPVSNIPDAQNSEVSAAQGPLNCGEPVVATHEGVSQIDSIDLEIEGIETYPSLETPDGPELATISGRHTGKFQPKPKAHVFKESCDTGIPNSCAQDSQSTDFVDKERLPALSDDVLDLSSLGFDHALPTESTPEVPVNEEMPNVDANVPAEQPGSSGINEDENIDTEVQVENESQKKRGRKKSKKKAGDEEKPARKRKKANDKTEQATKEKPKKFPHTTRQKKRMVDKVLLDTPEEEIDFQRVPIKDLILLAEHKERLAKKEAALQTPQPQVNPSNFDNSSELNNEEERFTFDEDENENGETFEEQTTNQVEDSTVYFNYQTYMEKTPRVRWSKEDTELFYEAIRQFGSDFSMIQQLFPGRTRTQVRLKYKKEEQRYPSRVHDALTNRSKDHSRFEQVIAHLRKIAAEENQSDDDIDEPIDLTGEEVEGQKEEPNSEHNEEAEVQDVEQDVTQVNSSVVEEDEEDEDIWSQYKSEF >itb08g14180.t1 pep chromosome:ASM357664v1:8:15900591:15906416:-1 gene:itb08g14180 transcript:itb08g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKEKSVNVSGKPKHSLDGNRDSSGSKGGRSAATVRRLKMYNTRPKRDTKGKVVKHDLQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQNRMSSSYNVILKDRKLPLSLLNDHQKQAKAHLLDTEPFADAFGPKRRRKRPKLMASDYESLVKKADVSQDAFEEKFGASNPGEGNEDSFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCFHLEKHLKENCKHKHMILLLNKCDLVPAWVTKGWLRVLSKEYPTLAFHASVTKSFGKGSLLSVLRQFSRLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSNDSETDIVLKGVVRVTNLRDAAEHIGEVLTRVKKEHIERAYKIKEWEDENDFLLQLCQGTGKLLKGGEPDTMTAAKMVLHDWQRGRIPFFVPPPKIDDDAKEEGNALGGEEEDAAAARRAIADVISSQQLKDVPVQTDLYSESELLGETSQKLPENES >itb10g13390.t2 pep chromosome:ASM357664v1:10:19596500:19603708:-1 gene:itb10g13390 transcript:itb10g13390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGSRTEVRKKSYKIGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQHVIDATQSPAAIEKRLECIPIMVQGVWSEDPSAQIEATTQFRKLLSIERSPPIDEVIKAGVVPRFVQFLERNDLPQLQFEAAWALTNVASGTSEHTRVVIDQGAVPKFIQLLSSPSDDVREQAVWALGNVAGDSPTCRDLVLGHGALLPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPAPFEQVKAALPVLQQLIHMNDEEVLTDACWALSYLSDGPNDKIQAVIESGVCPRLVELLLHPSAAVLIPALRTVGNIVTGDDTQTQYVIDNQVLPCLYQLLTQNHKKSIKKEACWTISNITAGNRAQIQAVIDANIILPLVYLLQNAEFDIKKEAAWAISNATSGGSHEQIRFLVNQGCIKPLCDLLVCPDPRIITVCLEGLENILKVGEADKEMGLNDGINLYAQIIDECEGSDKLEHLQTHDNQEIYEKAVKILEKFWSEEESEQQNIGDGVDGNQQAFSFGENQPNVPPGGFQFG >itb10g13390.t4 pep chromosome:ASM357664v1:10:19596500:19603692:-1 gene:itb10g13390 transcript:itb10g13390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGSRTEVRKKSYKIGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQHVIDATQSPAAIEKRLECIPIMVQGVWSEDPSAQIEATTQFRKLLSIERSPPIDEVIKAGVVPRFVQFLERNDLPQLQFEAAWALTNVASGTSEHTRVVIDQGAVPKFIQLLSSPSDDVREQAVWALGNVAGDSPTCRDLVLGHGALLPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPAPFEQVKAALPVLQQLIHMNDEEVLTDACWALSYLSDGPNDKIQAVIESGVCPRLVELLLHPSAAVLIPALRTVGNIVTGDDTQTQYVIDNQVLPCLYQLLTQNHKKSIKKEACWTISNITAGNRAQIQAVIDANIILPLVYLLQNAEFDIKKEAAWAISNATSGGSHEQIRFLVNQGCIKPLCDLLVCPDPRIITVCLEGLENILKVGEADKEMGLNDGINLYAQIIDECEGSDKLEHLQTHDNQEIYEKAVKILEKFWSEEESEQQNIGDGVDGNQQAFSFGENQPNVPPGGFQFG >itb10g13390.t3 pep chromosome:ASM357664v1:10:19596500:19603692:-1 gene:itb10g13390 transcript:itb10g13390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGSRTEVRKKSYKIGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQHVIDATQSPAAIEKRLECIPIMVQGVWSEDPSAQIEATTQFRKLLSIERSPPIDEVIKAGVVPRFVQFLERNDLPQLQFEAAWALTNVASGTSEHTRVVIDQGAVPKFIQLLSSPSDDVREQAVWALGNVAGDSPTCRDLVLGHGALLPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPAPFEQVVKAALPVLQQLIHMNDEEVLTDACWALSYLSDGPNDKIQAVIESGVCPRLVELLLHPSAAVLIPALRTVGNIVTGDDTQTQYVIDNQVLPCLYQLLTQNHKKSIKKEACWTISNITAGNRAQIQAVIDANIILPLVYLLQNAEFDIKKEAAWAISNATSGGSHEQIRFLVNQGCIKPLCDLLVCPDPRIITVCLEGLENILKVGEADKEMGLNDGINLYAQIIDECEGSDKLEHLQTHDNQEIYEKAVKILEKFWSEEESEQQNIGDGVDGNQQAFSFGENQPNVPPGGFQFG >itb10g13390.t1 pep chromosome:ASM357664v1:10:19596500:19603692:-1 gene:itb10g13390 transcript:itb10g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGSRTEVRKKSYKIGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQHVIDATQSPAAIEKRLECIPIMVQGVWSEDPSAQIEATTQFRKLLSIERSPPIDEVIKAGVVPRFVQFLERNDLPQLQFEAAWALTNVASGTSEHTRVVIDQGAVPKFIQLLSSPSDDVREQAVWALGNVAGDSPTCRDLVLGHGALLPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPAPFEQVVKAALPVLQQLIHMNDEEVLTDACWALSYLSDGPNDKIQAVIESGVCPRLVELLLHPSAAVLIPALRTVGNIVTGDDTQTQYVIDNQVLPCLYQLLTQNHKKSIKKEACWTISNITAGNRAQIQAVIDANIILPLVYLLQNAEFDIKKEAAWAISNATSGGSHEQIRFLVNQGCIKPLCDLLVCPDPRIITVCLEGLENILKVGEADKEMGLNDGINLYAQIIDECEGSDKLEHLQTHDNQEIYEKAVKILEKFWSEEESEQQNIGDGVDGNQQAFSFGENQPNVPPGGFQFG >itb15g02400.t1 pep chromosome:ASM357664v1:15:1462356:1467918:-1 gene:itb15g02400 transcript:itb15g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSWLSRLRSSSRLTYNAFKNSFSHRQSQSVQSSLLREGIIITSENAHAFFSWIPSLLPLALALSAGSLALHSQTNHNHSFSLSEAPNSIDSSVKIGGKSSTDYVVKGSYKEVPQELIDELKEICQDNMTMDYDERYTHGKPENSFHKAVNIPDVVVFPRSEEEVSKIVKACNKHKAPIVPYGGATSIEGHTLSPNGGVCIDMTLMNRVKSLHVEDMDVVVEPGIGWMELNEYLEPHGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKAVLANGDVVKTASRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPQSSVVAMCNFPTIKDAADVAIATMLSGIQVSRVELLDEVQVRAINTANGKNLPEVPTLMFEFIGTEAYAREQTKIVQKIASEHRGTDFVFAEAPEEKKELWKIRKEALWACFAMEPNFEAMITDVCVPLSHLAELISRSKQELDASPLVCTVIAHAGDGNFHTVILFDPAQESQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIENLQTMKKIKDALDPNNIMNPGKLIPPHVCF >itb09g20100.t1 pep chromosome:ASM357664v1:9:16695513:16698439:-1 gene:itb09g20100 transcript:itb09g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKTKPMTLHQLSFERNNSFVNALQELKNLRPQLYSAAEFCEKSYLYSEQKPMVFINLKDYAARALVNAVDHLGTVAYKLSELLEHQSLDISIMESKIACLNQQFLTFQMYAVKEGLRRHQLLAIIPRHQKHYTLSNSLSKKVHFSAQIQMDPGQHMQSSSLLCPSGTPATNSLSRNLALETKSALKEALYAFTSCEDIKGSERASGASETKSTLKEALRAFTSCEDIKGSGRASGASETKSTLKEALRASMSCEDTKGSERASGAPNMLVLLTDGEEINRAKASADPPQSTNVDSASSVRMQALGLLGRIMCACMIHDALA >itb09g20100.t2 pep chromosome:ASM357664v1:9:16695513:16698439:-1 gene:itb09g20100 transcript:itb09g20100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKTKPMTLHQLSFERNNSFVNALQELKNLRPQLYSAAEFCEKSYLYSEQKPMVFINLKDYAARALVNAVDHLGTVAYKLSELLEHQSLDISIMESKIACLNQQFLTFQMYAVKEGLRRHQLLAIIPRHQKHYTLSNSLSKKVHFSAQIQMDPGQHMQSSSLLCPSGTPATNSLSRNLALETKSALKEALYAFTSCEDIKGSERASGASETKSTLKEALRAFTSCEDIKGSGRASGASETKSTLKEALRASMSCEDTKGSERASGAPNMLVLLTDGEEINRAKASADPPQSTNVDSASSVRMQALGLLGRIMCACMIHDALA >itb05g10770.t3 pep chromosome:ASM357664v1:5:16651209:16657853:-1 gene:itb05g10770 transcript:itb05g10770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCFSFTASKNRCLRSTFSGSGLRSTVTDLGDGTVMHCWVPKTRRSTRADLVLLHGFGANSMWQWGDTVRILSPHFNIYVPDLVFFGESYSTRPERSDAFQAQCVKRALEANSVGGKVHVAGLSYGGFVAYSLAAQFRDSVEKVVICCAGVCLEDKDLKEGLFPVDNVEEAADILLPQTAEKMRELMRFTFVKPPAKVLPSCLLTDFIDEMFTEYVEEKKELLRAIANNRKLSELPKITQASGRKCTTSGDQELRPCLHL >itb05g10770.t1 pep chromosome:ASM357664v1:5:16651209:16657853:-1 gene:itb05g10770 transcript:itb05g10770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCFSFTASKNRCLRSTFSGSGLRSTVTDLGDGTVMHCWVPKTRRSTRADLVLLHGFGANSMWQWGDTVRILSPHFNIYVPDLVFFGESYSTRPERSDAFQAQCVKRALEANSVGGKVHVAGLSYGGFVAYSLAAQFRDSVEKVVICCAGVCLEDKDLKEGLFPVDNVEEAADILLPQTAEKMRELMRFTFVKPPAKVLPSCLLTDFIDEMFTEYVEEKKELLRAIANNRKLSELPKITQPTLILWGDQDKIFPIQLAHRLKRFLSGSGHLGENAQLVVIKNSGHAFIYEKSKEFHKHLKAFLLETTNNNITTA >itb05g10770.t4 pep chromosome:ASM357664v1:5:16652748:16657853:-1 gene:itb05g10770 transcript:itb05g10770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCFSFTASKNRCLRSTFSGSGLRSTVTDLGDGTVMHCWVPKTRRSTRADLVLLHGFGANSMWQWGDTVRILSPHFNIYVPDLVFFGESYSTRPERSDAFQAQCVKRALEANSVGGKVHVAGLSYGGFVAYSLAAQFRDSVEKVVICCAGVCLEDKDLKEGLFPVDNVEEAADILLPQTAEKMRELMRFTFVKPPAKVLPSCLLTDFIDEMFTEYVEEKKELLRAIANNRKLSELPKITQPTLILWGDQDKIFPIQLAHRLKRFLSGSGLVGPTDGLL >itb05g10770.t2 pep chromosome:ASM357664v1:5:16651209:16657853:-1 gene:itb05g10770 transcript:itb05g10770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCFSFTASKNRCLRSTFSGSGLRSTVTDLGDGTVMHCWVPKTRRSTRADLVLLHGFGANSMWQWGDTVRILSPHFNIYVPDLVFFGESYSTRPERSDAFQAQCVKRALEANSVGGKVHVAGLSYGGFVAYSLAAQFRDSVEKVVICCAGVCLEDKDLKEGLFPVDNVEEAADILLPQTAEKMRELMRFTFVKPPAKVLPSCLLTDFIDEMFTEYVEEKKELLRAIANNRKLSELPKITQPTLILWGDQDKIFPIQLAHRLKRHLGENAQLVVIKNSGHAFIYEKSKEFHKHLKAFLLETTNNNITTA >itb05g21300.t1 pep chromosome:ASM357664v1:5:27131296:27132111:-1 gene:itb05g21300 transcript:itb05g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLLIVALAVLALASSFAQASDPSPLQDFCVAVNDSKAAVFVNGKICKNPMEVSADDFLFQGLNKPGNTSNPLGSAVSPVNVNNLPGLNTLGISLARIDFEPYGLNPLHTHPRATEVLTVLEGTLYVGFVLSNPAPGMKNKLFTKVLKAGDVFVFPQGLIHFQFNIGHSKAIAFAGLSSQNPGVITIANAVFGSDPPINPNVLTKAFQVDKNVINYLQSQFWYNNN >itb01g24460.t2 pep chromosome:ASM357664v1:1:30089201:30090689:1 gene:itb01g24460 transcript:itb01g24460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWPLPLFWEEFSRFLVTWFRKDKDFLNGFLNFARILTPMTMETGTVKLRPENVGFKEETTLLDLPDLALDCILERLSPAELCSVGRVCSSLREKCVSDHLWGKHMAQKWGKLIGNAACREWQSHIASRKRSVQLNSKNQTDIFGYFLSSANFLLSRPEAEENRFKSSLYKDSIMALYFSLESGKFWFPAQVYNRENGQLGFTLSCYDAEVNYDSNADKFRARYLTQGWRSIEEDIERNRIRAPPVDTPSHVLHISDCLNDLKPDDHIEIQWRKNKEFPYGWWFGVVGHLESCSGSKLYCKCHTSDMVILEFRQYSPGSRWRQAAINRKDHQEIGNEVDGFYGGIRKLYNEEEISAWKCFWPSCTLD >itb01g24460.t1 pep chromosome:ASM357664v1:1:30088964:30091566:1 gene:itb01g24460 transcript:itb01g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWPLPLFWEEFSRFLVTWFRKDKDFLNGFLNFARILTPMTMETGTVKLRPENVGFKEETTLLDLPDLALDCILERLSPAELCSVGRVCSSLREKCVSDHLWGKHMAQKWGKLIGNAACREWQSHIASRKRSVQLNSKNQTDIFGYFLSSANFLLSRPEAEENRFKSSLYKDSIMALYFSLESGKFWFPAQVYNREVQNGQLGFTLSCYDAEVNYDSNADKFRARYLTQGWRSIEEDIERNRIRAPPVDTPSHVLHISDCLNDLKPDDHIEIQWRKNKEFPYGWWFGVVGHLESCSGSKLYCKCHTSDMVILEFRQYSPGSRWRQAAINRKDHQEIGNEVDGFYGGIRKLYNEEEISAWKCFWPSCTLD >itb03g27960.t1 pep chromosome:ASM357664v1:3:28589879:28599236:1 gene:itb03g27960 transcript:itb03g27960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSPSISPYSRKLHSRSSNIRKTGLSFGFPHNYFAEFGKKARPPVLAVNAAIDGGGESDTAVVVEKPLPYGLRRRFEVLSGHPAPFGATARDGGINFAVFSSNATSAALCLISLADLPQKKVTEQIPLDPSINKTGDVWHVFLKGDFDNMLYGYSFDGKFAPEEGHYFDSARILLDPYAKAIVSRAEFGALGPEKDCWPPMACMLPSADKFDWEGDLPLKFPQRDLVIYEMHVRGFTNHESSGTEFPGTYRGVVEKLDHLKGLGVNCIELMPCHEFNELEYYSYNPVLGDYKVNFWGYSTVNFFSPMGRYSSAGMHKSGLGAIDEFKYLVREAHRRGIEVIMDVVFNHTAEGNENGPMFSFRGVDNSVFYMLAPKGEFYNYSGCGNTFNCNHPVARQFILDCLRYWVIEMHVDGFRFDLASILTRSSSLWDAANVYGNSIEGDMLTTGAPLSSPPLIDMISSDPILSGVKLIAEAWDCGGLYQVGAFPHWGIWSEWNGKYRDIVRQFIKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNDKHNMANGEDNKDGENHNNSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKDESSTDFFRFCCHMTKFRHEAESLGLDDFPTAERLQWHGHTPGMPDWSESSRFVAFTLVDKVKGEIYIAFNASHLPVTVTLPERGGYRWEPLVDTGKQTPFDFLGDDVPEKKIALKQYAHFLDANMYPMLSYSSIVLLLCPDEMIDNS >itb03g06910.t1 pep chromosome:ASM357664v1:3:4999622:5001214:-1 gene:itb03g06910 transcript:itb03g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSAPYNSLLPSPSFFSSESSVSPSSVKFRPSSFSGVAASATATYAEFETETTTTTSFSSPPNLTSLSPTSFYDLLGVPVGATSEEIKAAYRRLARACHPDVAGTDQKESSANEFMKIHTAYSTLSDPEKRADYDRRLFRRRRSVKVHSGICTSPAPEKMSRFTGYTRRNWETDQCW >itb09g29240.t1 pep chromosome:ASM357664v1:9:29947809:29953919:-1 gene:itb09g29240 transcript:itb09g29240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHAPPSNKGRRPFSIFIVLGLCCFFYLLGVWQKSGSGKGDRLALAVTEKTADCNIFPAATLDFEPHHTFVSSIGSSEPKTKEYKFCDAKYKDYTPCQEQDRAMTFPRENMIYRERHCPPDNEKLRCLIPAPNGYTTPFPWPKSRDYVYYANVPYKHLTVEKAVQNWVQYQGNVFKFPGGGTMFPKGADAYINELASVIPMANGSIRTALDTGCGVASWGAYLMKRNILAMSFAPKDNHEAQVQFALERGVPAIIGVLGSIRLPYPSNAFDMAHCSRCLIPWAENDGIYLKEVDRVLRPGGYWVLSGPPINWKTYYRVWKRTKEDVKAEQTRIEEIAKNLCWEKKYEKGDIAIWRKRINPNACSSRSTNICKSKDADDVWYKKLEACVTPLPEDQSSGGWELKKFPTRLFAVPPRVTNGLVPGVTAESYQEDNKLWKKHVNGYKRSVSLLGSTRYHNIMDMNAGLGGFAAALDSPKLWVMNVVPNIAENTLGVIYDRGLIGIYHDWCEGFSTYPRTYDLIHANGVFTLYQDKCEFEDILLEMDRILRPEGSVIFRDGVEVLNKVRKIAQGMKWDLKLTDHEDGPLVPEKILVAVKQYHSTDESSKSDDE >itb01g28280.t1 pep chromosome:ASM357664v1:1:32786385:32791647:-1 gene:itb01g28280 transcript:itb01g28280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKKCEVFRHLLLPPPGKKLPFLPSSCSTLLIKYKLNISVLSLSPSLKLKSLCHSENPSNPVSKMVLDIDLNGPPRVEDLLVIDDAIGVNTHLELGLPQLQVTANSDSIDDEVVICSPRSFAEAREKSRRNHQVIEVLDDETEAQGAPPEGFIFCRRRKVSRRNGANVSCINLETPEKYKERKGDKPEQVSQFAAQPKPPTFSCPVCMGQFVEETSTKCGHIFCKKCISTAIASQGKCPTCRQILKGKDTFRVYLPILD >itb01g28280.t2 pep chromosome:ASM357664v1:1:32786385:32791647:-1 gene:itb01g28280 transcript:itb01g28280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKKCEVFRHLLLPPPGKKLPFLPSSCSTLLIKYKLNISVLSLSPSLKLKSLCHSENPSNPVSKMVLDIDLNGPPRVEDLLVIDDAIGVNTHLELGLPQLQVTANSDSIDDEVVICSPRSFAEAREKSRRNHQVIEVLDDETEAQGAPPEGFIFCRRRKVSRRNGANVSCINLETPEKYKERKGDKPEQVSQFAAQPKPPTFSCPVCMGQFVEETSTKCGHIFCKKCISTAIASQGKCPTCRQILKGKDTFRVYLPILD >itb01g28280.t3 pep chromosome:ASM357664v1:1:32786623:32791647:-1 gene:itb01g28280 transcript:itb01g28280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKKCEVFRHLLLPPPGKKLPFLPSSCSTLLIKYKLNISVLSLSPSLKLKSLCHSENPSNPVSKMVLDIDLNGPPRVEDLLVIDDAIGVNTHLELGLPQLQVTANSDSIDDEVVICSPRSFAEAREKSRRNHQVIEVLDDETEAQGAPPEGFIFCRRRKVSRRNGANVSCINLETPEKYKERKGDKPEQVSQFAAQPKPPTFSCPVCMGQFVEETSTKCGHIFCKKCISTAIASQGKCPTCRQILKGKDTFRVYLPILD >itb03g29170.t5 pep chromosome:ASM357664v1:3:29938855:29941809:-1 gene:itb03g29170 transcript:itb03g29170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPLEMRQLRAEIGAVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVIQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYVEDSAGGPDVTVGFLPKLDKVTLLQVIY >itb03g29170.t4 pep chromosome:ASM357664v1:3:29938855:29941809:-1 gene:itb03g29170 transcript:itb03g29170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPLEMRQLRAEIGAVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVIQADGDLNYVEDSAGGPDVTVGFLPKLDKVTLLQMDAKLSLDTFENVMQLAIEGCKAVANYIREILLENTKQLECRRGL >itb03g29170.t2 pep chromosome:ASM357664v1:3:29940405:29941809:-1 gene:itb03g29170 transcript:itb03g29170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPLEMRQLRAEIGAVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVIQADGGMILLVF >itb03g29170.t3 pep chromosome:ASM357664v1:3:29940406:29941809:-1 gene:itb03g29170 transcript:itb03g29170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPLEMRQLRAEIGAVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQVLVLWLCNRPNRILNTDSSVPYFEFI >itb03g29170.t1 pep chromosome:ASM357664v1:3:29938855:29941809:-1 gene:itb03g29170 transcript:itb03g29170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPLEMRQLRAEIGAVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVIQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYVEDSAGGPDVTVGFLPKLDKVTLLQMDAKLSLDTFENVMQLAIEGCKAVANYIREILLENTKQLECRRGL >itb01g22830.t2 pep chromosome:ASM357664v1:1:28913471:28916460:-1 gene:itb01g22830 transcript:itb01g22830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t4 pep chromosome:ASM357664v1:1:28913499:28915699:-1 gene:itb01g22830 transcript:itb01g22830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t1 pep chromosome:ASM357664v1:1:28913471:28916460:-1 gene:itb01g22830 transcript:itb01g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t5 pep chromosome:ASM357664v1:1:28913499:28915902:-1 gene:itb01g22830 transcript:itb01g22830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t3 pep chromosome:ASM357664v1:1:28913499:28915699:-1 gene:itb01g22830 transcript:itb01g22830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t6 pep chromosome:ASM357664v1:1:28913499:28915902:-1 gene:itb01g22830 transcript:itb01g22830.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t8 pep chromosome:ASM357664v1:1:28913499:28915699:-1 gene:itb01g22830 transcript:itb01g22830.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb01g22830.t7 pep chromosome:ASM357664v1:1:28913518:28916197:-1 gene:itb01g22830 transcript:itb01g22830.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKNGLRSIVPIHLQDESATRFCMFSNVKSTSYSPGSVPVYLNVYDLTSMNGYLYWAGVGVFHTGVEVYGVEYAFGAHEYPTSGVFEIEPRQCPGFKFRKSIFIGTTYLDPIQIREFMELQSSNYSGDSYHLIMKNCNHFCEDVCYKLTGNRIPKWVNRLAKIGSLCNCILPEAIKASGVQQGPDFPGFDSEKRRLRSSFRCLSSISMRHSRDKEVSISSLFLRSHYKDCLLPRKFRSSRSGSLREG >itb04g31590.t1 pep chromosome:ASM357664v1:4:34399021:34399828:-1 gene:itb04g31590 transcript:itb04g31590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDNSVSKRGKGSRGWSWSSALVGAAAATATAALFSSKPRDPTFHLISIDLTSFNFNFPVLDADVILTVHVTNPNIAAIQYSPTEMSIFYAGSFLGSARVEAGSQPPRSCQMIRLPARLSGLELAHHAKSFLADVARREMVLDAAVDIEGAAKLLWWDHRFKVHVDSHVTVDPIFLDVIDQENQSHMELLLA >itb07g15490.t1 pep chromosome:ASM357664v1:7:18561320:18566036:-1 gene:itb07g15490 transcript:itb07g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLMNPKSPAISLTSVQLPRISRRPMLNARAMAKELYFNHDGSATEKLLAGVNLVADLVGVTLGPKGRNVVLENKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDIAGDGCTTSIVLAQGLIAEGVKVTATGTNAVQVSRGIEKTAKALVSELKSMSKEVEDRELADVAAVSAGNDYTVGNMIAEAIRRVGRKGVITIEKGNYSETNLEIVEGMHFDRGYLSPYFVTDRQKMAVEFHDCKLLLVDKTISNPKELIKILDNAAKEKYPIVIVAEAIKEEALAPVIRNKLRGVLKAAAIKAPAFNERKSHCLEDIAVLTGGTVIREDKGLTLENANKELLGTASKVVITKDSTLIVTDGRHQEAVNKRVSQMQNLVENTAENFQKKILNERIARLSGGIAIVQVGAQTVVELKDKQLRVEDALNATRAAIEEGVVVGGGCCLLRLSSKVDAIKETLDNEEQKIGAEIFKRALAYPIRQISQNAGVNGNVIIEKILSTDNVSYGYNAAKGKYEDLMAAGILDPAKVVRCCLEHAASVAKTFLTSDAVIIDIREPVSRLRRKPMMPPTSGIGPVGF >itb04g01290.t1 pep chromosome:ASM357664v1:4:725791:728021:1 gene:itb04g01290 transcript:itb04g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARQIYRDQKIASHFDMRAWGVASQHYNKRQMLLALLNSMGFAESSNDDLETKLYQRLKGQRYLVVIDDVWSNGAWNDVQRCFPDDGCGSRVLITTRLEEVANSTCSKKDDFYHKMSFLNQSESWELFCKKAYKAGDDKFEMIGKQIVEKCDGLPLAIVMASGVLSKLNTVDQWKDIADSLNSFATIIDEKCSTILSFSYNHLPPTLKACFLYLGVFPEDYEINTNDLAKLWAAEGLVKGDESLDVQVDKRIQELVDRSLIIESKRSCCGKKVKAFTMHDVLHAFCVEEAHKEKLLYTVLEHGSTSPDQEGFRWLTLRNTNFEWKGINILSSLPKLKVLKLFFFACVGEEWELEDEVFSQLIYLEINSIDLKQWKAGSHNFPELERLLLYRCKRLEEIPPDFGEIPNLKLIELKGCLTSVVDSAKQIETDQRDSGNDDMIVIEENAIQLKDELEADEDDFDEL >itb09g07140.t1 pep chromosome:ASM357664v1:9:4140669:4142551:1 gene:itb09g07140 transcript:itb09g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVFTMDEHVITATPSFDNGGYSSFSADAPPYQSAGGFPGEYDEVTVEHFPQSVHSPDPYGFGSDPHPALVSNGNENPYDLGEDSAGIFISDGPVLPPPHEMHEAGFALREWRRQNAIRLEEKEKKEKETRNQIIEEGEEYKEAFYEKRKHNIETNKTNNKEKEKSYLANQEKFHKEADKQYWKAIAELIPNEVPNIEKKGRKKDQEKKPSVSVIQGPKPGKPTELSRMRQILVKLKHNPPAHMLPPPPTLAKDAKDAAKDSKDSAPTSEDPATIAIDQPSS >itb12g08980.t1 pep chromosome:ASM357664v1:12:7020734:7021252:-1 gene:itb12g08980 transcript:itb12g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASKFKSGRMYEAYYFTHKGRKPSNGEFLGGKKFDGEWKTEGPEEACFGENQILGYKRKLYFYRSEKRTGWVIKEYRYNPERVPAHYLQNRIIHGFLLNYVVLKIKFDPNLRENFTAEESFSISMEDAKDLDVFQDFEG >itb01g35080.t3 pep chromosome:ASM357664v1:1:37488942:37491940:1 gene:itb01g35080 transcript:itb01g35080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTRCSANYVPLTPISFLERSALVFRDNVSIIHGPLKFTWKQTRDRCLSLASALSLIGISRHDVVAALAPNIPAMYELHFGVPMAGAVLCTLNTRHDSAMVAVLLKHSEAKVIFVDDQFLDTAKGALEILSRSGGIKLPRLVVIHDSDVKQSGSFFDKISSAQGSLEYESFLASGRSDFEIIWPNDECDPIALNYTSGTTSSPKGVVYSHRGAYLNALAAVLVSEMTSMPVYLWVVPMFHCNGWCLTWGVAAQGGTNVCLRNITAKGIFDKIDHHNVNHMGGAPTVLNMIINAPPDVKRPLPRKVAIMTGAAPPPPHVLFKMEELGFEVIHSYGLTETYGPGTICAWKPEWNSLPPSARAKLKARQGLHHIGMEVLDVKDPATMKSVPPDAKTIGEVMFRGNTVMNGYLKDLKATEDAFRGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESIIFSHPAVLEAAVVGRPDDHWGETPCAFVKLKDGYNVSANEIIKYCRDHLPHYMAPKTVIFNDLPKTSTGKTQKFILRQRTKAMGSLSKSSRL >itb01g35080.t4 pep chromosome:ASM357664v1:1:37489662:37492038:1 gene:itb01g35080 transcript:itb01g35080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYELHFGVPMAGAVLCTLNTRHDSAMVAVLLKHSEAKVIFVDDQFLDTAKGALEILSRSGGIKLPRLVVIHDSDVKQSGSFFDKISSAQGSLEYESFLASGRSDFEIIWPNDECDPIALNYTSGTTSSPKGVVYSHRGAYLNALAAVLVSEMTSMPVYLWVVPMFHCNGWCLTWGVAAQGGTNVCLRNITAKGIFDKIDHHNVNHMGGAPTVLNMIINAPPDVKRPLPRKVAIMTGAAPPPPHVLFKMEELGFEVIHSYGLTETYGPGTICAWKPEWNSLPPSARAKLKARQGLHHIGMEVLDVKDPATMKSVPPDAKTIGEVMFRGNTVMNGYLKDLKATEDAFRGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESIIFSHPAVLEAAVVGRPDDHWGETPCAFVKLKDGYNVSANEIIKYCRDHLPHYMAPKTVIFNDLPKTSTGKTQKFILRQRTKAMGSLSKSSRL >itb01g35080.t2 pep chromosome:ASM357664v1:1:37488942:37492038:1 gene:itb01g35080 transcript:itb01g35080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYELHFGVPMAGAVLCTLNTRHDSAMVAVLLKHSEAKVIFVDDQFLDTAKGALEILSRSGGIKLPRLVVIHDSDVKQSGSFFDKISSAQGSLEYESFLASGRSDFEIIWPNDECDPIALNYTSGTTSSPKGVVYSHRGAYLNALAAVLVSEMTSMPVYLWVVPMFHCNGWCLTWGVAAQGGTNVCLRNITAKGIFDKIDHHNVNHMGGAPTVLNMIINAPPDVKRPLPRKVAIMTGAAPPPPHVLFKMEELGFEVIHSYGLTETYGPGTICAWKPEWNSLPPSARAKLKARQGLHHIGMEVLDVKDPATMKSVPPDAKTIGEVMFRGNTVMNGYLKDLKATEDAFRGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESIIFSHPAVLEAAVVGRPDDHWGETPCAFVKLKDGYNVSANEIIKYCRDHLPHYMAPKTVIFNDLPKTSTGKTQKFILRQRTKAMGSLSKSSRL >itb01g35080.t1 pep chromosome:ASM357664v1:1:37488942:37492038:1 gene:itb01g35080 transcript:itb01g35080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALAPNIPAMYELHFGVPMAGAVLCTLNTRHDSAMVAVLLKHSEAKVIFVDDQFLDTAKGALEILSRSGGIKLPRLVVIHDSDVKQSGSFFDKISSAQGSLEYESFLASGRSDFEIIWPNDECDPIALNYTSGTTSSPKGVVYSHRGAYLNALAAVLVSEMTSMPVYLWVVPMFHCNGWCLTWGVAAQGGTNVCLRNITAKGIFDKIDHHNVNHMGGAPTVLNMIINAPPDVKRPLPRKVAIMTGAAPPPPHVLFKMEELGFEVIHSYGLTETYGPGTICAWKPEWNSLPPSARAKLKARQGLHHIGMEVLDVKDPATMKSVPPDAKTIGEVMFRGNTVMNGYLKDLKATEDAFRGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESIIFSHPAVLEAAVVGRPDDHWGETPCAFVKLKDGYNVSANEIIKYCRDHLPHYMAPKTVIFNDLPKTSTGKTQKFILRQRTKAMGSLSKSSRL >itb09g11630.t1 pep chromosome:ASM357664v1:9:7259230:7261653:1 gene:itb09g11630 transcript:itb09g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >itb15g00940.t1 pep chromosome:ASM357664v1:15:533336:536229:-1 gene:itb15g00940 transcript:itb15g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MLEPMERLFLPLILFFFCCCSTTTFAAAANDTAVLALFRSQTDPHATLLSNWTLTTFNATACSANWVGVNCTNGRVTALSLPSLNLRGPIDALSSLDQLRLLDLRNNRLNGSLAPITQCLNLKLIYLSGNDFSGEIPPEISSLRRLLRLDLSNNNLKGSIPEKISNLSRLLTLRLQNNELSGIIPTSLNSLQYLTELNLSNNELYGIVPQSLYKRFGGNSFAGNEGLCGSRQLRCSHAGRPLNSSSSQTVPSNPSSLPSTPTAAIEDPRKKPRKGLGRGAIVAIVVANSVALLVIASFVVAFYCGKYSRETYSVSGSESGKRRSSYSSEKRVYAGGAAADSDGTTATDRSRLVFFDRRKQFELEELLRASAEMLGKGSLGTVYKAALDDGRTVAVKRLKDANPCARKEFEQYMDVIGRLKHPNIVKLRAYYYAKEEKLLVYDCLPNGSLHSLLHANRGPGRIPLDWTTRIGLVLGAARGLAHIHEEYEESRIPHGNLKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYKAPEQAEIKRLSQKADVYSFGVLLLEVLTGRAPSQYPSPTRPRVAAEEEELAVDLPKWVRSVVRDEWTAEVFDQELLRYKNIEEELVSMLHIALACVVQQPEKRPAMAEVAKLIEEIRVVQSPLGEDYDESRNSISPSLATTEDGMA >itb14g17640.t1 pep chromosome:ASM357664v1:14:20852055:20853328:1 gene:itb14g17640 transcript:itb14g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVVTLYSNGAIAETTKKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADDENHINKHNFRVPFVCGCRNLGEALRRVREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIQAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPEVLADISCGLGEAMVGINLNDDNVERYANRSE >itb13g26430.t1 pep chromosome:ASM357664v1:13:31700442:31705954:1 gene:itb13g26430 transcript:itb13g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGFLVAASIAAYGVRQISVKPQRPPKPSGNSEEHTRNEEEDKEQITYSKNGLKEGELEEEKEEVKLINGIINPSLRKSLDIEDDLFPEFEDLLSGEIEFPLPSGMYDAANAEKERAYQNEMANNANELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIVELQKQLKIKAVEIDMLNITVNTLQAEKKRLQDEVSKTVDARKELEVARNKIKEMQRQVQLEASQTKGHLLLLKQQVTGLQAKEKEAFKRDAEVEKKLKLLKELEVEVMELKRKNKELQIEKRELVMKLDAAQAKVTSLSNMTESEMVANVREEVTALRHTNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQAPAGKVTARDLSKSLSPRSQEKAKQLMLEYAGSERGQGDTDLESNFSHPSSPGSEDFDNTSIDSSTSRYSSLSKKPGLLQKLKKWGRSKDDSVFTSPSGSLGGSPSRMSMSHSRPRGPLESLMLRNAGDSVAITSFGTAEQEFLNSPETPKMSQARVHDMSPVSLNTVASSFHLMSKSVEGVMDEKYPAYKDRHKLAVEREKQLKEKAERARTAKFGDTSSFKVDRSIALPPKLTQIKEKAGVSSDSTEQSSDPKADSQSISKMKLAHIEKRAPRVARPPPKPSSASAPTTTGTNASGGAPPPPPPPPGAPPPPPPPGGPPRPPPPPGSLTKAGGGDKVHRAPELVEFYQTLMKRESKKDSSSPLISSSSNASDARSNMIGEIANKSSFLLAVKADVETQGDFVQSLASEVRAASFSNVDDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVSSFVDDPNLSCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPTDWLLDAGVVGKIKLSSVQLARKYMKRVAIELDALSGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVATQTTGEVKQEE >itb12g27470.t1 pep chromosome:ASM357664v1:12:27837922:27839490:1 gene:itb12g27470 transcript:itb12g27470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAATGDLATLIFTYGTLKRGFSNHGLLRDLIDSGDAAYLGDYQTAEKLPLVCGPYRVPFLLNLPGSGDRVWGEVYAVSARALARMDELEGTTRGHYERLPITLLRQGDGEEPMEVEAYYAHRSYAAELWKRSGEKGYSCYGEIESSGYIRRKDRPQHLSFLDQISLFIRHNPSEAISNH >itb07g18540.t1 pep chromosome:ASM357664v1:7:22990502:22996358:1 gene:itb07g18540 transcript:itb07g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSHLLALGLFVSIYFLLWGRKTRKSYKLPPEPAGALPFIGHLHKLDGQGVPLARLWGSLADKYGPIFTVRLGVHRTLVVSSWEAVKDCFTTHDKDFADRSVLSAATYLGYNYAMLSFTTYGPYWRKLRKLLATQVLSAKRLDELKHVRVAELQLNIKELYGSKIPTNQRINIGQWFEKLTLNVVLKIVAGKRYSNLVINDDGSDYEEAQRIIDAIKDHMYLDAQLVLYDAIPFPLFKWIDFQGYIKAMKRNFKETDSILQVWIDEHVERRRRLNGQADDRDFIDVMFSVIDDEFTSDHGHSQETVIKATAMSMILDASDTTAAHLTWTLSLLLNNGDAMKRAQEEIDAQVGKDRWVEDSDVDNLPYLQAIVKETLRLYPPAPVLVPHEAMQDCNVGGYHIPKGTRLYVNAWKLHRDPRVWCDPEKFVPERFLTTHAKVDASGRHFEFVPFSSGRRSCPGIAYTLQVTHLTLARLLQGFDFSIESGSEVDMTETPGTTMHKAAPLEVFVAPRLPSLLYQL >itb15g00450.t1 pep chromosome:ASM357664v1:15:279474:279764:1 gene:itb15g00450 transcript:itb15g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPYDCVFVNNTSFHFSYDGVRLSSPSLSFHSHFVLIAGNLLPVWPPPIAYPAVVHHSHDPPFLFLHDCLPVSPPNRPNSHVNESQIADSRPPHC >itb01g24880.t1 pep chromosome:ASM357664v1:1:30378896:30383068:1 gene:itb01g24880 transcript:itb01g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGISERLLSSEVDKTNDDLKVRVYDESRKIWRVAMPGVLSRVASFGSIVVTQSFIGHIGELDLAGYALVQTLTVRFVNGILIGMSSATETLCGQAYGAGQYHMMGIYLQRSWIVDFITLTVLLPFFIFATPIFKLLGQEESIADAAGYVSYWFIPVVYNFVFSLTIQMYLQAQQKNMIIAWLSVAQFVIHIPLSWLLVYQFEFGVPGAMIALTISSWFVVIGEFVYIFGGWCPNTWRGFTMDAFKDIFPVVKLSISSGLMLCLELWYNAVLVLLAGYMKNAEVAISAFSICLNISGWEFMISLGFFGAACVRVANELGRGDAKATRFSIKVIVSTSIVVGLFFWVLCLAFGSKIGYLFTDEKEVADAVSDLSMLLSFSVLLNSIYPVLSGVAVGAGLQSTVAIINLCCYYLIGIPIGAVLGYVANLEVQGIWLGMICGVVTQSIALCYMTWRTDWDNEVAKAKRRLQKFYLKSDESNQLA >itb13g17370.t1 pep chromosome:ASM357664v1:13:24372062:24374487:-1 gene:itb13g17370 transcript:itb13g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKPSIRAVGQRSIPSSFLFRPSIRSNGSSEDVEIKNPSEKCPKISLSDFLNKKLHKSSVLSGSVQRKEKQFLSPVGSKDAIRFPKENGNSKGKDIGEHCALDVILEKLKRPDKGEEHGNRGSGCDEAVISSTEGKEISKKRRNVFEGNDEEQSGGKVLVVLGGDSKNKQSRKGKSRATVEKPANYFNHYANGGGWWDYEREGVDNEEVGCNEMWEGRGCTTLGGLDWH >itb15g05870.t1 pep chromosome:ASM357664v1:15:3801940:3805094:-1 gene:itb15g05870 transcript:itb15g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEIHMKVESKIKSDEKTEKTEVEIEVKSKSLEKEDLKHKDDKKEKDVHKESSKKEKHKEKKSNEDVDEEDKKKKKKEKDKKDAEDEELENDEDKPRKDKKDKEKKHKDKKEKKDKVKESKCEGETEGEKDEEADDGKVKKKDEEKKHKKEKDTKKEEETEGEAVERVTEEKGEEVHDKEKKDKAKKDKKEKDKEKKTKSKGTSEGELKEENDDEREEEKKDKKEKKDKKDKEKKTKSKDTSEGELKEEKDDEREEEKKDKKEKKDKKDKEKKMKSKDEASGGESKEEKDEEAHNKEEKKKKEKKDKEKKAKHKDVSDEESKEKKDNVDKGVHGAEVSTRDIHVDGTEAEGICKVEKKEKECKDEKKDKTEKKRKLEDKSKCKGLDKLKSKLEKINAKMESLEQKRADILRQIKEAEDQNTALAEVHGNTEGKASVGADDKH >itb05g04530.t1 pep chromosome:ASM357664v1:5:4134701:4135282:-1 gene:itb05g04530 transcript:itb05g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEMRSKAAYTKAEQEVFDDDDDDEEFPKSLVTEEDAIHEAPVGKGLCTALRLLKQRGALKETIQWGAPNKKSKLLGKEIHIERTDEYGRILTPKEAFRLFSHKFHGKRPCKLKQQKRMRQYQQHLKVKQMTPSQSVERMREAQKKLKTPYLILS >itb03g28890.t1 pep chromosome:ASM357664v1:3:29604270:29609245:1 gene:itb03g28890 transcript:itb03g28890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGACAAVQQTLTTEAASVLKHSLSLARRRGHAQITPLHVAATLLSSRFSLLKRACLKSQPSSCSSSSSHPLQCRALELCFNVALNRLPASPAPLLHGQPSLSNALVAALKRAQAHQRRGCIEQQQQQQQQPHWVIKVEVEQLVLSILDDPSVSRVMREAGFSSTAVKATLEELSSSVSSVFQAYNSSGGGIYSTPSSPPTQIYKFPEEDLNVVNAVVHVLLGGPRRNVVIVADSPAATHGVFNDLMGKVERGQVPEELKSAHFIKFQFSTAPLALMRREEVETNMAELKRKVESLAGGRGVIIYTGDLKWTVDNTVASTCAYNPVDHLVAEIGRLLSSCTKVWLVGTANFQTYIKCQMRQPPLDLLWALQPVSVPSGSGGLSLSLNATTCFQDLKMAIPQNQSNKKEEEVVLTCCPECTSNYAREAGLNQKSFETKGGSDKPLAQLPDWLIPQAPHQKDEWGELRRKWNRICESLHHQTRNSVICKQGKSLLYTTTTGSIYPPGWGNDESLLCDSPVVKSNLGASCVPRFRRQQSCHIEFSFSNSKNNNNQPNLDSLRSEGEDNKEVKISLALGNSPIPIPIPEKDLVISNELHENLPWQSQDSLSAIRETLMGIQNSGKKQEWLLIRGNDMVGKRRLARVIAHSILLSSHLLCLNMRRIRKGSSPNSPNHTESLEMGIRNHPRIVVLVESVDLADPHLLKFLANSLHNGISSSCHAVFVLTINDDDQSNRDNDEDYTIPIPMKLLINETPNKDLKRRAEWGDMDLQISKRKSQIRRTNTNNLAMPPAKTLDLNIKAEDEDDEEEEEDKAAGDSSPISSDLTRDSSPNDPLGFLETIKNQVVLNKDSSQEQKAKDMFSSRIKQSLEQVLGGDKNTGVVDENLTEELLQGCGDFLNSLFEKWLKDVLQTSLQNMLDDHRKEGVSNVRLCLGEKGGDTFFQDGFMGTCLPKKI >itb09g23660.t1 pep chromosome:ASM357664v1:9:23126869:23127657:-1 gene:itb09g23660 transcript:itb09g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLLAIDTGLMGPNYSISSACAIVNYYFYSISSACAIVNYYFYAAANHVRRGEANIMVVGETEPAVILVGVGGFIACRALSQRNDELKKASRPWDKSGMAGFIIGEGSGVLSRNLVLSRNVEFEKRMTKAQSEN >itb04g31640.t1 pep chromosome:ASM357664v1:4:34413581:34414833:1 gene:itb04g31640 transcript:itb04g31640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIISPGFRFYPTEEELVSFYLHTKLEGNRPDIDRVIPALNIYDLEPWQLPRVSGELCAGDMEQWFFFVPRQEREARGGKPCRTTATGYWKATGSPSYVYSSGNKVIGVKKSMVFYNGKAPCGRKTKWKMNEYRAIEEVVLPATSSSTATVPKLRHEMSLCRIYVISGSFRAFDRRPIGAPPSLQRRESGEGASGSGSSNININININDDDGKTLMELETSSKSSPPLLSASLMDQQCDGGRVKMESTTTNLNLCTMVKNLEPICHDWMGKA >itb02g01950.t1 pep chromosome:ASM357664v1:2:1085047:1086388:1 gene:itb02g01950 transcript:itb02g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQCSPLVLPYFFQEEGIEELKHSLLYTTLELENTVVCARDEIAKKDEEIVRLRNLLGRIVKERDEAMEECRRLGLEKQLLRQQVNLQILRQRGGVVELRPPSTAAETASNEDQSSDSEENINAGDGQRSSTPPAPPSAAATAKLVGIDVTEKIAPKMPLPEKGKFLQAVMEAGPLLQTLLLAGPLPEWQHPPPQLNSGDIPPVTISPSAASSAATGGMGYFSKKRPANGACDSSPSPSKYQKALNQISPLMTTNIISAS >itb07g04070.t1 pep chromosome:ASM357664v1:7:2733413:2737430:-1 gene:itb07g04070 transcript:itb07g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYFPLRWESTGDQWWFASPIDWAAANGHYDLVRELLHLDTNLIIKLTSLRRIRRLETVWDDEEQFDDVAKCRCGVARKLLLECETKEGPNSLIRAGYGGWLLYTAASAGDMEFVKELLERDPLLVFGEGEFGVTDILYAAARSRSSEVFRLLLDSAVSLKQLRNSGVEESSGEDVPSSFKAEMMSRAVHAAARGGNVEILMELLGDCSDVLMFRDGLGSTLLHSAAGRGQVEVIKSLLARYDIIDSRDNQGNTALHVAAYRGYLHVVKVLVSASPSSTLLTNNYRDTFLHMAVAGFRTPSFHRLDRQIELMRQLVRGEIVCIGDIVNLRNNEGKTALHMAVSENIQTDLVELLMTAISIDLNVRDADGNTPLDLLKQRPRCASSEILIKRLISAGGISNCRNHKTRSVLASHMRMRGIAGSPGTSFRIPDGEIFLYTGLEKGYEANCDLASPCSGEISPCHSAEGSNFSQKKKAVSGNSAARRLKFLLQWPKKKETADDTNSVESLVLYSSSSGEKPVPLRQKFSRASSLPNSKRVLSSLPSPSTKKKFAAGLRQGVIQITPQSSHGSPPSSFSGSPWASPNSAIQDKSSNLANASTCASSSNDLQGKQKSRLGQKRDSFNMKVMNQFFCFGTEGLAVDNPVRFKPQDQCYKHAVAT >itb09g00380.t2 pep chromosome:ASM357664v1:9:328249:331024:1 gene:itb09g00380 transcript:itb09g00380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNKEKYLYLKQVKEKKKMKANLTLKKGGCKEVRFLGKTEKKDLKIKADTIKRMMDKGYRVKCMAVSNGSDNENLGEVLSRLSSLIEDVALIESGPHVEKKQAYIVVRHVKFGPPKKGAGKKASSVAATSSVDNQESEMDSDAADVRVEPGDDCITEDLRNDDVENKLDWRASDDTDEVSNTGHSKFASMAAASSAPFQENRYRGESGNISPRGKDNRYLRQPANGAERENRYRREAAASSASSQTRPAGAGENRYRRETVNPSSTSRQAPPGGDNNRYRREQPQFNMNSSSSSSSSPQRKSADPRFLNNSSSNGFTEQHSSQSGAHRSPASGYGIFSVPQGENAPGKQNVATEPNRYKKENTANSSTNP >itb09g00380.t1 pep chromosome:ASM357664v1:9:326897:331024:1 gene:itb09g00380 transcript:itb09g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSRVKESQIRVLSNRLKRCYFYTHNPTELPRYASSHASIRVLNKPNLTIHTNRVEFMNSVRFFAAPVQAKPKKEEKDTGGPKLNEKVTAAFVRLVTGEDHVVISRLEALNRAKSLNLDLVEVDRNAKPPVCKIMDYNKEKYLYLKQVKEKKKMKANLTLKKGGCKEVRFLGKTEKKDLKIKADTIKRMMDKGYRVKCMAVSNGSDNENLGEVLSRLSSLIEDVALIESGPHVEKKQAYIVVRHVKFGPPKKGAGKKASSVAATSSVDNQESEMDSDAADVRVEPGDDCITEDLRNDDVENKLDWRASDDTDEVSNTGHSKFASMAAASSAPFQENRYRGESGNISPRGKDNRYLRQPANGAERENRYRREAAASSASSQTRPAGAGENRYRRETVNPSSTSRQAPPGGDNNRYRREQPQFNMNSSSSSSSSPQRKSADPRFLNNSSSNGFTEQHSSQSGAHRSPASGYGIFSVPQGENAPGKQNVATEPNRYKKENTANSSTNP >itb02g10450.t1 pep chromosome:ASM357664v1:2:6748773:6750101:-1 gene:itb02g10450 transcript:itb02g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDDGREETWFFGNSLHGKSRMFNMRRHSHPPCPSTSNSIIGMNLVAEKSMEQTYSSIKKIPEGTLTRAGPALMKTTSMPTNKESSTRIYSTMKKQQIMQCGRHLSRALSSLPSFAEKEEFEEDDDEESEFCMGKLIRQASLNHARVQPPLPSVKGLRRSCSISIVPKQTNSKITGCDYEEIKPRKGNDGKKERL >itb02g10450.t2 pep chromosome:ASM357664v1:2:6748773:6750101:-1 gene:itb02g10450 transcript:itb02g10450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDDGREETWFFGNSLHGKSRMFNMRRHSHPPCPSTSNSIIGMNLVAEKSMEQTYSSIKKIPEGTLTRAGPALMKTTSMPTNKESSTRIYSTMKKQQIMQCGRHLSRALSSLPSFAEKEEFEEDDDEESEFCMGKLIRQASLNHARVQPPLPSVKGLRRSCSISIVPKQTNSKITGCDYEEIKPRKGNDGKKERL >itb03g15160.t3 pep chromosome:ASM357664v1:3:14575818:14578972:1 gene:itb03g15160 transcript:itb03g15160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGFWGFREIRPALLAVVLVLVLVYQHLSFCWSLSDKGIVLSRSKETVVHDSFEDGNGGKNLCRSDVECSRGNAVALSVEKPRNVAHRKLMAVTLTPFNLFGPFVPPFWVSPGPVQSAPPPSPSPFSPSPAPLPSMVPQLAPAPPRAASITPSSVPAPAEGPSPSVSSDNFSGKHHRVLIVSAAIGGSVLVVALFIVIVFSQKNKMAVVRPWATGLSGQLQRAFVTGVPKLKRQELETACEDFSNVIGSSSVCTLYKGTLSSGVEIAVMSLTFASAKDWSRDHEAQFRKKIDSLSKVNHKNFVNLIGYCEEKEPFTRMMVFEYAPNGTLFEHLHSKL >itb03g15160.t2 pep chromosome:ASM357664v1:3:14575436:14579039:1 gene:itb03g15160 transcript:itb03g15160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLTPFNLFGPFVPPFWVSPGPVQSAPPPSPSPFSPSPAPLPSMVPQLAPAPPRAASITPSSVPAPAEGPSPSVSSDNFSGKHHRVLIVSAAIGGSVLVVALFIVIVFSQKNKMAVVRPWATGLSGQLQRAFVTGVPKLKRQELETACEDFSNVIGSSSVCTLYKGTLSSGVEIAVMSLTFASAKDWSRDHEAQFRKKIDSLSKVNHKNFVNLIGYCEEKEPFTRMMVFEYAPNGTLFEHLHIREAEHLDWGARMRVIMGTAYCLGHMHQMAPPIAHKNLKSSAIQLAEDSAAKISDFAVWNESADDETDATPESNVYSFGVILLEVVTGRLPYSPNGTALEDFASDYLRGTQSLREMADPTLTSFQEHQLDGIGEVIKSCLRLSPRLRPTMREVADRLREITGIGPDGAIPKLSPLWWAELEIQSADISN >itb03g15160.t1 pep chromosome:ASM357664v1:3:14575436:14579039:1 gene:itb03g15160 transcript:itb03g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGFWGFREIRPALLAVVLVLVLVYQHLSFCWSLSDKGIVLSRSKETVVHDSFEDGNGGKNLCRSDVECSRGNAVALSVEKPRNVAHRKLMAVTLTPFNLFGPFVPPFWVSPGPVQSAPPPSPSPFSPSPAPLPSMVPQLAPAPPRAASITPSSVPAPAEGPSPSVSSDNFSGKHHRVLIVSAAIGGSVLVVALFIVIVFSQKNKMAVVRPWATGLSGQLQRAFVTGVPKLKRQELETACEDFSNVIGSSSVCTLYKGTLSSGVEIAVMSLTFASAKDWSRDHEAQFRKKIDSLSKVNHKNFVNLIGYCEEKEPFTRMMVFEYAPNGTLFEHLHIREAEHLDWGARMRVIMGTAYCLGHMHQMAPPIAHKNLKSSAIQLAEDSAAKISDFAVWNESADDETDATPESNVYSFGVILLEVVTGRLPYSPNGTALEDFASDYLRGTQSLREMADPTLTSFQEHQLDGIGEVIKSCLRLSPRLRPTMREVADRLREITGIGPDGAIPKLSPLWWAELEIQSADISN >itb07g21100.t1 pep chromosome:ASM357664v1:7:25472555:25474020:1 gene:itb07g21100 transcript:itb07g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDRREWDTEVVQDILNERDAGLVLRIPLSRREGAYTLFWAGDKRGRFTVKSCYRLLTRELGMEEWSGWTTIWGMQLPPKVKIFLWQVCMQCLPTADVLRGRRVSCMVECRFCRVREESALHVFFQCEVTKCCWQKVGVAMYTAIVSQRGVGFELAYVCNLALMWIGSEDDF >itb04g25970.t1 pep chromosome:ASM357664v1:4:30376899:30380058:1 gene:itb04g25970 transcript:itb04g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) UniProtKB/TrEMBL;Acc:F4I456] MALWMEAGSEPKTDTETADLDAIAALKESTAIELKEKGNEYVKKGKKYYSGAIDCYTRAINQKALSDAEQSILHSNRAHVNLFLGNNRRALQDAEEAIKLNPANVKAFYRAAKASVSLNLLVEAKSYCEKGLEQSSSNDDLKKLAKQIDTLKYEQERREAEISKAIAAAKGLISAFEDRNLKIGKAMYRELTGLKKPILDKNNILHWPVVLLYPEVMSSDFIEDFCETDMFLAHLDMMFSEDCPPLTWDEGNAYTRDALELYCKAGSEVPLSKKELLRYLLEGTSASHLESFDDDDINAAQESTSCSTSLGNSPKWVKVDERRTLHDVLKEPNLVIPGVPVFFVVSRKSSFYKTFKSGNWSF >itb07g22330.t1 pep chromosome:ASM357664v1:7:26800677:26804928:-1 gene:itb07g22330 transcript:itb07g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEAHTTNTKCTSKPAQSFRGSVVNHQSSNTANHYQSYPPLLPLPTPSLPALQNHSFRPKPHFKKPPLDWNSPPLATSSSASEPQDISASAAAGKSEKKDVRRVRARRPDSGGVEGQTISLLANHFLVKFNPLQQIFHYDVEISPNPSKEVARMIKREIVQVHSVAVSGAQPVYDGRRTIYSPAEFENDRIELYISLPIPSGKSSNPEQCKLFRINIRLVSKFDGKELSSYLSKEGDDWNPIPQEYLHALDVVLRESPTEKCLTAGRSFYSCSMGKEIGDGAVALRGFFQSLRPTQQGLALNVDFSVTAFHESIGVISYLEKRLHFLHDLSQRKTRVLTSEEKKEVEKALKNIRVFVCHRETVQRYRVYSLTEDATESLCFPDRDGKKLRLVNYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCVICEGQKFLGKLSDDQTAQILKMGCQRPRERKAIIDGVVAGPVGPTSGNQETEFKLEISRKMTQLYGRILQPPKLKLGDGGQVRHLTPSRHDRQWNLLDSHVFEGTRVDRWALISFGGTYDQRSSIPKFINQLSQRCEQLGIFLSKNTVVSPRFEPMHVLSSVKHLESELKKVHRAAVNNLQLLICVMERKHRGYADLKRIAETSIGVISQCCLYPNLSKLNSQFLANLALKINAKVGGCTVALYNSLPSQIPRLFQLDDPVIFMGADVTHPHPLDDVSPSIAAVVGSLDWPTASKYVSRMRSQTHRQEIIQDLAAMVGEILDDFYGELSKLPKRIIFFRDGVSETQFSKVLQEELQAIHGACSRFPGYNPPITFAVVQKRHHTRLFPNETLPSSARNQFCSENIPPGTVVDTVITHPREFDFYLCSHWGIKGTSRPIHYHVLWDENHFTSDELQKLVYNLCYTFVRCTKPISLVPPAHYAHLAAYRGRLYLERADSNAAATRSSSTISRPTPPTTTPLPKLTENIKNLMFYC >itb07g23270.t2 pep chromosome:ASM357664v1:7:27690529:27695059:-1 gene:itb07g23270 transcript:itb07g23270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGDVVKVEKVEAWDVGKSKGMMMKKKKKEGGESGEDTGCWFKLRFIGSCISSRSKVDNSISGISARCAESKSTNDTSIGQAVAPVISSTTTSNAESNASTSKLEEELKVSSLLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLTFLHEEAQRPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHIGDRRRFYRLIDPRLEGHFSIKGAQKAAQLAARCLSRDPKARPMMSEVVEALKPLPNLKDMASSSYYFQTMQVDRIGSSPNARNGGGGGRAQPPSFAAKNGGQQHPRSLSIPNGSLTSPYHHHQFLQNSPKPNGKA >itb07g23270.t1 pep chromosome:ASM357664v1:7:27690529:27695059:-1 gene:itb07g23270 transcript:itb07g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGDVVKVEKVEAWDVGKSKGMMMKKKKKEGGESGEDTGCWFKLRFIGSCISSRSKVDNSISGISARCESKSTNDTSIGQAVAPVISSTTTSNAESNASTSKLEEELKVSSLLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLTFLHEEAQRPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHIGDRRRFYRLIDPRLEGHFSIKGAQKAAQLAARCLSRDPKARPMMSEVVEALKPLPNLKDMASSSYYFQTMQVDRIGSSPNARNGGGGGRAQPPSFAAKNGGQQHPRSLSIPNGSLTSPYHHHQFLQNSPKPNGKA >itb11g01370.t1 pep chromosome:ASM357664v1:11:634744:637360:-1 gene:itb11g01370 transcript:itb11g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWSGILKVPLYTRTGTTYYRVAASLRFSPSTNNFTVPVANAIFFNGDRVEGTGNPVIERLSDLQRVAEILVSKFGNSINAWVVEAPVFNGPFGVYSDFIPSVNEYGEPKVYDAMEFPASSSIILLLWNCLKEAKNATAGKRKEPFVGEASTSYSHKPRTILLGFSKGGTVLNQLVTELAFSPVPPSEDKDQANKNATSGVSTQQQDEITPTTKESFLNSIAEFHYVDVGLNTEGAYLTNKDTIDKISDRLRQGAPGIRFFLHGTPRQWNDGRRSWIRREKDELLRLLKGAAHKNMGKLYIRERIYFGNLPPDLQMHFEIIEHLDFT >itb04g25740.t2 pep chromosome:ASM357664v1:4:30257974:30262428:1 gene:itb04g25740 transcript:itb04g25740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKCHPLLRGGRRRNSYNHGLSSSQYQALAAICEALIPPIPLPQDSDDEAVCSFYSSSASQPPFPDEVADVVARRFLPDAVFIFRLVLMILSTRLGSLLLCGFVCFDGRWPFIHNFSELPLKRREAILQKWSRETRILPLRIVFMTIKIACYFIFFSWTDENSNNPAWEAIGFHVDTNEVPPEQHRERPLEKGIIETINENDTTLKESLIRKGLNVTESQNANTLTIRCDVVIVGSGCGGGVAAAVLANSGQKVVVLEKGHYFVSEDYSGVEGPSLSELYESGGMLTTTDGKVMVFAGTMIGGGSAINWSASIKTPNNVLNDWSLEQKIPLFGSSEYQSAMDAVCKRIGVTEVCSKEGLQNQVLRKGCENLGLKVESVPRNCSEKHYCGSCGYGCRTGDKKGVDTTYLVDAVNNGAVILTGCQAEKLILEEDETARKKCLGVIATSKGNNKILLRIEARATVSACGSLYTPPLLISSGLKNKNIGSNLHLHPVLFAWGYFPESMAEMEGKNHQGGIITSIHKVVSEETKQQAAIIEAAALGPAGFAAVSPWTSGLDMKERMRKYSRTVNIFSMVRDHGSGQVKKAGRIQYRMDQIDKDNIRTGLRQALRILVAAGAVEVGTFRSDGQKICCKGIKTEELESFLDGVVATEGPMSGGENWTLYFSAHQMGSCRMGVTEEDGAVDNNGECWEAKGLYVCDGSVLPTAIGVNPMITILSTAYCISNGIAKSLNSGKA >itb04g25740.t4 pep chromosome:ASM357664v1:4:30259539:30262474:1 gene:itb04g25740 transcript:itb04g25740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKCHPLLRGGRRRNSYNHGLSSSQYQALAAICEALIPPIPLPQDSDDEAVCSFYSSSASQPPFPDEVADVVARRFLPDAVFIFRLVLMILSTRLGSLLLCGFVCFDGRWPFIHNFSELPLKRREAILQKWSRETRILPLRIVFMTIKIACYFIFFSWTDENSNNPAWEAIGFHVDTNEVPPEQHRERPLEKGIIETINENDTTLKESLIRKGLNVTESQNANTLTIRCDVVIVGSGCGGGVAAAVLANSGQKVVVLEKGHYFVSEDYSGVEGPSLSELYESGGMLTTTDGKVMVFAGTMIGGGSAINWSASIKTPNNVLNDWSLEQKIPLFGSSEYQSAMDAVCKRIGVTEVCSKEGLQNQVLRKGCENLGLKVESVPRNCSEKHYCGSCGYGCRTGDKKGVDTTYLVDAVNNGAVILTGCQAEKLILEEDETARKKCLGVIATSKGNNKILLRIEARATVSACGSLYTPPLLISSGLKNKNIGSNLHLHPVLFAWGYFPESMAEMEGKNHQGGIITSIHKVVSEETKQQAAIIEAAALGPAGFAAVSPWTSGLDMKERMRKYSRTVNIFSMVRDHGSGQVKKAGRIQYRMDQIDKDNIRTGLRQALRILVAAGAVEVGTFRSDGQKICCKGIKTEELESFLDGVVATEGPMSGGENWTLYFSAHQMGSCRMGVTEEDGAVDNNGECWEAKGLYVCDGSVLPTAIGVNPMITILSTAYCISNGIAKSLNSGKA >itb04g25740.t1 pep chromosome:ASM357664v1:4:30258363:30262366:1 gene:itb04g25740 transcript:itb04g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERKCHPLLRGGRRRNSYNHGLSSSQYQALAAICEALIPPIPLPQDSDDEAVCSFYSSSASQPPFPDEVADVVARRFLPDAVFIFRLVLMILSTRLGSLLLCGFVCFDGRWPFIHNFSELPLKRREAILQKWSRETRILPLRIVFMTIKIACYFIFFSWTDENSNNPAWEAIGFHVDTNEVPPEQHRERPLEKGIIETINENDTTLKESLIRKGLNVTESQNANTLTIRCDVVIVGSGCGGGVAAAVLANSGQKVVVLEKGHYFVSEDYSGVEGPSLSELYESGGMLTTTDGKVMVFAGTMIGGGSAINWSASIKTPNNVLNDWSLEQKIPLFGSSEYQSAMDAVCKRIGVTEVCSKEGLQNQVLRKGCENLGLKVESVPRNCSEKHYCGSCGYGCRTGDKKGVDTTYLVDAVNNGAVILTGCQAEKLILEEDETARKKCLGVIATSKGNNKILLRIEARATVSACGSLYTPPLLISSGLKNKNIGSNLHLHPVLFAWGYFPESMAEMEGKNHQGGIITSIHKVVSEETKQQAAIIEAAALGPAGFAAVSPWTSGLDMKERMRKYSRTVNIFSMVRDHGSGQVKKAGRIQYRMDQIDKDNIRTGLRQALRILVAAGAVEVGTFRSDGQKICCKGIKTEELESFLDGVVATEGPMSGGENWTLYFSAHQMGSCRMGVTEEDGAVDNNGECWEAKGLYVCDGSVLPTAIGVNPMITILSTAYCISNGIAKSLNSGKA >itb04g25740.t3 pep chromosome:ASM357664v1:4:30258363:30262366:1 gene:itb04g25740 transcript:itb04g25740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTDGKVMVFAGTMIGGGSAINWSASIKTPNNVLNDWSLEQKIPLFGSSEYQSAMDAVCKRIGVTEVCSKEGLQNQVLRKGCENLGLKVESVPRNCSEKHYCGSCGYGCRTGDKKGVDTTYLVDAVNNGAVILTGCQAEKLILEEDETARKKCLGVIATSKGNNKILLRIEARATVSACGSLYTPPLLISSGLKNKNIGSNLHLHPVLFAWGYFPESMAEMEGKNHQGGIITSIHKVVSEETKQQAAIIEAAALGPAGFAAVSPWTSGLDMKERMRKYSRTVNIFSMVRDHGSGQVKKAGRIQYRMDQIDKDNIRTGLRQALRILVAAGAVEVGTFRSDGQKICCKGIKTEELESFLDGVVATEGPMSGGENWTLYFSAHQMGSCRMGVTEEDGAVDNNGECWEAKGLYVCDGSVLPTAIGVNPMITILSTAYCISNGIAKSLNSGKA >itb07g21850.t1 pep chromosome:ASM357664v1:7:26389896:26391000:1 gene:itb07g21850 transcript:itb07g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSLRCLSIKNCNALTIADNYLHEGDFPIALRSLSSSLNGIDLMGSYYPQSLLLSPFHQYSNLKQLSLDDLQNLRSLPQLPPNLERLSAKNSVSLEKIADLSNLKRLEQLDIQNCKSLIELLGLESLESLRYLGIANCSCLKIPSIEKWFKVHPKDDSVYINVEVSVVERGRIYSQFSFMNPSFVIDHSEIDGPNRIDLGVRSKSSGAWIIMEPKFMGMQWRSFHVPMTMMGEALEVWREEEEKEGAEAFSSNHKGIDSFCYDPARRWTKEEEKDSIRQRRL >itb01g25480.t1 pep chromosome:ASM357664v1:1:30892636:30892932:1 gene:itb01g25480 transcript:itb01g25480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTRVSFKAVHVRRVWRQEKKVLVMKKFNIILSNGTGISPDSAGVQTPPVSLLNLTLRFPAAAASVIQIPFPSPNSSQFCRIELTEKKPSWKSIRPP >itb01g09780.t1 pep chromosome:ASM357664v1:1:8021058:8026374:-1 gene:itb01g09780 transcript:itb01g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSWDSLRKQARKVEAQLDEQMHLYRKLVSTKVDSADDNDLEKGIDKLLKELQQVNSRMHAWISSGGAEIFSHTLTRHQEILQDLTQEFNRLRSSYRAKKEHASLLDDFREFDRTRLDLEDGGDSYEHALLNEHASIHRSTGQMDGVISQAQETFKTLAFQRSTFGGINSKLSNVSSRLPTVNQILSSIKKRKSMDTIILSVVASVCVFLILIYWLTK >itb06g13370.t1 pep chromosome:ASM357664v1:6:18104449:18108078:1 gene:itb06g13370 transcript:itb06g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEKLSSSVITKVDEEEDDDVPVVLPGFRFHPTDEELVGFYLRRKIEKRELSMELIKQIDIYKYDPWDLPKSSHVGDTEWYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSSAPAPAGENHRHCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPADHDKSTKHIDIKSIAQEAEVWTLCRILKRSVSHKRDWKEISAKKSNNHYANVNSTTYNMESCCDDQKNNYISFNAPLMANHTTNNVCHHLLTAQLNPISQPQFLSYSTTANAYYSPVVAAPDIDELLKHEDWDEITQLF >itb03g21810.t1 pep chromosome:ASM357664v1:3:19749900:19752563:1 gene:itb03g21810 transcript:itb03g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADHCVLLTASIKCIRFLLQQGIPLREYGESSESNDEGNFVQLLKVLADVNEDVSKAMMRNAHENHQLTSPLIQKDAVSAILNETTDLIIKDLGDELFGILVDESIDDISWEKHMIIFIRYVDARGHIVERLLGVIHVRDTTSLSFKVAIEDLLTRHGLSISRIRSQSYNGASGLRCAFNSLKTLILNENSSAFYIHNFAHQLQLALVAAAKENLDVGLFFLYASQLCNVVCAFYEPMDKLRGKQLEMLIESVSLETIETGTSFYQESTLKRLGDACWVSPYGALVSIVNYSLW >itb04g07220.t1 pep chromosome:ASM357664v1:4:4834202:4839224:1 gene:itb04g07220 transcript:itb04g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSQLKPLSPQEWESLIDDYNHGGTRLFRWTAAHYSGTLLFELALSSIVRKDLPLNLKLHLLIFLEEHSSTVIPSAAAAASFSRLIETLRSVVQSPNDGVSITFPLKEQFLISTTSIFVTSNANGDSGSNTISSGLVPQFECLIELLLTIINRPNHSVDRQTRSVTCDCLRELEIAFPCLLSDVASQLWSLSQSERTHAGQSYALLLATVVSNIVKLKPNASFTNASMPLVPFNVPSFVIDDADNNATGEDKHSTEKQISDLSNKDLKRVVAFLLEWPHNLTSWGLLEFMDKILPVAAALDLQASLLKVQFSGLLSTYDPLLWHAYLVMCLRFLDSFEGQELEITRRLLLLSKESQHHLVFRLLVLHWLLGFVGLVLNRDEGKRGNVLEMSLSFYPAVFDPLALKSLKIDLLAYCSILVIKGNGVVSTETSPKVSVEKLFEDALVSVSAFKWLPPWSTETAVAFRAFHKFLIGASSHSEADSVSNRILTESTIFHTVQKTLVASMSEYKGMVPVTVTFIDRLLACHKHHLLGEHLLETFDEHLLPKLKLDYRLGSYFPILEKIAVSSKVSPSGLLEHLVKFMLFLIKKHGPDTGLRSWCHGSKVLGICRTMLMHHHSSKLFLGLSRLLAFTCLYFPDLEVRDNARIYLRMLICIPGKKLRDMLNSGDQLPGVSPSTHSSPFFTVQSPRFSHDLKKSRNISSYIHIERVIPLLVKQSWSLSLATLGIDNKKPGYLEAIRDTEPMSEHKEIDRNTDQSVSETYGTDRLPGVPEPLRVMDSKICQIVDMLRRHFSSIPDFRHMPGLRIKIPCSLWFESEPFNRIWGTSMAANEFDGVDALPAIYAAVLRFSSSAPYGTIPSYHIPFLLGQPPRSFNSFNENNSLEIVPVENSSYSPGAVEGFNTHVLIELEPREPVPSLVDIFIEANTASGQIIQGQLHSITVGIEDMFLKAIPPDDISGEAVPGYYVQLFNALWEACGTSTSTGRETFVLKGGKGVAAISGTRSVKLLEIPAASLIQSVEQCLAPFVVCVTGELLINTVKGGEVIRDIVWGDTSPDSSIDDVSTQETSVVGGPLYLKYLDDEDDGGGNAQITKKNMGCFQILIFLPPRFHLLFQMEVCDTSTLVRIRTDHWPCLAYIDDYLEALFCL >itb08g10350.t1 pep chromosome:ASM357664v1:8:9795284:9795643:1 gene:itb08g10350 transcript:itb08g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPDFGAGSSVGDDGGASWNGRRRCWHCAEEGRRRRGWGSSGDVSVRSSLSGDSGDRLLLLHLRASVKFVSRWRRGERLQRRRCFFSSSRRRRLASDCCCSSLLSDGVGGRHWCSHSS >itb01g05630.t1 pep chromosome:ASM357664v1:1:3879612:3881235:-1 gene:itb01g05630 transcript:itb01g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDKGAAVAVAVPAVDGVRREQVHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDNAAREFRGAKAKTNFPLAEERIPLDFCARFDMNRNACEKIGGGCGGGGGGGGGGNSNHSPSQSSTVESSSRDGGRFSPAVLVDSSSLLDLNVGGGFSAVNHLPYQSRHLRVAPVVAAAAVHPASHVFYLNALARAGAITTTPSLNPRFSSPNFHDAAGSTGSGVAQSESDSSSVVETNRDLKPNKDGLILDLDLNLPPPETL >itb04g27830.t1 pep chromosome:ASM357664v1:4:31742945:31744785:-1 gene:itb04g27830 transcript:itb04g27830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKFSDHIVAIPFPGRGHINPLMNLCKIIAMAKPQVVITFVVTQEWQGFLSSDAMPENVRFGCIPNVLPSETIRSKDYPCFIRAVYTDMEAPVDRLLDAVEAPAASVIISDVLLTWALGVGNRRNIPVASFWCVSVTVFSLCFHRQLLVVNGHFDANLSEKGEEVVDYIPGVSSMLVKDLPPTFHCKSPPGLAQPKLPRLLTWLDAQPACSVLYISQGSFLSLSTEQLEEIVAGVHESGVCFFWVARENTERLRESGGKQGLIVPWCDQLRVLRHRSVGGFWTHCGWNSIKEGAFAGVPFLAFPIARDQITNSKQIVEDWKIGWSVLRKINNDDGGIVKRGEIAQLVRRFMDCDNIESKELRRRAKQIGEMCRESTESGLANRDLQTFIEDVLGSLNS >itb06g05400.t1 pep chromosome:ASM357664v1:6:8120889:8122448:1 gene:itb06g05400 transcript:itb06g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVEELKEALDADIRDRLMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEVRLKMERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >itb15g05530.t1 pep chromosome:ASM357664v1:15:3559712:3561926:1 gene:itb15g05530 transcript:itb15g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLSKTAGVVFSPSSVDCKSAIHHHHHHTQHRVCAFPNRSENFFSHLKLRCTNRNLPSTDFCGGGVALRRERDTTGVGSRQAIPSSAPMSIGLRKAPKWWEKGLQPNMKEVTGAQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAEGRVCSFSCTNATIKKFKDALVKYGTDRCSLGPPKGLEEKELLALASNRDLSFEYTSKGEPAPAPSREEFTTATIGKSSSSSSSNPNSEFQLPLPLPIPLATSQKVNQESGDKAIASPSPR >itb11g06510.t2 pep chromosome:ASM357664v1:11:3900476:3902845:-1 gene:itb11g06510 transcript:itb11g06510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCNKTDALRAKDMAEKKLAEKDYVGAQELALRAQTLFAGLDGLSKLLEVINIHVRSQRKINGEVDWYAVLGADSSVSDETLRRRYRRLVLALHPDKNKSTGADGAFAIVAEAWGMLSDKAKRASYDQRRAARNVSHGNPAMEAGQNAFHAPMSTPSARPWNPSMAHGQSVFHTRMSIPSAMSVNPSMASGQNIFHGHMNTPIARPPASIPSIQPTSMPPHQPGNINLCTKCTWCGTGYEYPRLYLNRILVCGKCQKSYWAAPVARAPEQASFVPFGQQQPYFSPASSQSSASGTRYFTVNVQATADIHRTGERLRTGGAGADANTSSTDSFTSEIQGGPMKKRRMDGQASNSERKGRVGQMAAKSGSQANVSGNQKAFIGAQRVAPNRSRDFTQPQIRSMLMEKAVLEIRKKVNEWNLNDAVNASLKEDKNLKKPTATASVPQDSVKDGMKGNNVDGASMHSGSATQNKKSSVSAEPTDEDSKAIVVQSMSVPDSDFHDFDKDRIESSFAENEVWAAYDNDDGMPRYYAMIKKVMSKSPFKTTISWLNSKSNNELGPMKWILSGFPKTSGDFWIGKSAVCSSLNTFSHKVKWTKGLRGVIQIFPSKGDVWALYRNWSPEWNTFTPDDEIHSYEMVLVLEDFSENEVARVVPLTKVPGYRSVFKQQSDPNATRAIPKVEIFRFSHQVLSYVLTGEEAPNVLEGFLELDPAALPPDLLQVITEPKEVEEDGSTCKKLPAEDMSGGEVKPQEADTRNDSKEKAPESVASKPLLTYSKRPKGYKATAKPSA >itb11g06510.t1 pep chromosome:ASM357664v1:11:3900476:3901270:-1 gene:itb11g06510 transcript:itb11g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYAMIKKVMSKSPFKTTISWLNSKSNNELGPMKWILSGFPKTSGDFWIGKSAVCSSLNTFSHKVKWTKGLRGVIQIFPSKGDVWALYRNWSPEWNTFTPDDEIHSYEMVLVLEDFSENEVARVVPLTKVPGYRSVFKQQSDPNATRAIPKVEIFRFSHQVLSYVLTGEEAPNVLEGFLELDPAALPPDLLQVITEPKEVEEDGSTCKKLPAEDMSGGEVKPQEADTRNDSKEKAPESVASKPLLTYSKRPKGYKATAKPSA >itb06g21720.t1 pep chromosome:ASM357664v1:6:24304171:24304476:-1 gene:itb06g21720 transcript:itb06g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLKLVTKMEEWFRENGAEYSYMATENDNQASINLFTHKCRYLKFRYPSILVQPVFAHGVGVSSRVTIIKLSPGDAEVHGEDVAGGGGLSAEVVGGVEL >itb02g06420.t1 pep chromosome:ASM357664v1:2:3998532:4000699:1 gene:itb02g06420 transcript:itb02g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLQASLVFTVTRKKPELIAPAKPTPREIKCLSDIDSQPGLMFHVPFMMFYRNEPSMSGKDPVKVIREALAKTLVFYYPFAGRLREGYGGKLMVDCTGEGVMFIEADADVALKHFGHAPRPPFPCSDELLYDVPGFGGILNSPLLLIQVTRLRCGGFVFAIRLNHTMSDASGLVQFLNTIAEIARGAEAPSVYPVWQREFFDARNPPRITCTHYEFEEEPADSMGPNIIPLDNLVHRSFFFGPTEISALRRSLPPHLLKCSRFEVVTACLWRCRTIAMEFDPNEEVRICGTVNARPRLKNPPVPNGYYGNAFVYPGAKSTAGKVSKNPLAHALELVTNIKSSFTEEYIKSVADLMILKGRPRFTVQGTFIVSDVSRFGFSELDIGWGQSVFGGPAHGGVGGIPGLITFYLPYEDNKGDRGILVPMCLPAIAMGKFVKELENCLMK >itb13g10540.t1 pep chromosome:ASM357664v1:13:15399051:15402681:-1 gene:itb13g10540 transcript:itb13g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTAQKVVSYETAKAFADEIGIPFLETSAKNATNVEQAFMAMTSAIKNRMASQPSSNARPPTVQIRGQPVNQSSGCCSS >itb01g20900.t1 pep chromosome:ASM357664v1:1:27090848:27091192:-1 gene:itb01g20900 transcript:itb01g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKATHFLQLKNRQARHSFLTHSPESLTFSQKPPMAALTTATSAAGISQTFTNLRQQGKVALIPYITAGDPNLETKDITVIAGTWLAAIASSVTAATVEIQASNCRRHRRR >itb07g17800.t1 pep chromosome:ASM357664v1:7:22110819:22111916:1 gene:itb07g17800 transcript:itb07g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYFPRLLEPLIHHILSFLPFKEIGRTCVLSKYWNSIWCNYPNLDFYMVAKNHDKRWSKENSEKAIFDYMNNSFDQFLDGRPFIQKLALHIECWTVGRALTTKLDRWLHLLVDKNVSMLVLRTKTLWSPPRYYVPQHVFIARTLEVLDLSFCTLDTCHIVHVDLPSLETLSLSRCWILGDKLLQKIVCGSPKTKHIVISCYLGPPPVCSISIPNLVSVAMRLEVLDLSGCILEEHCFVGIEFPSLERLVLRNCRFVGNNLLEKILSACGPSMKHISISWCKGIGGSISLSVSCKPLLKGFFLRCDDEFKRIKIDAPNLRTFSYESSNVACVIDLTCCPNLENLYGRIGKTLNHPASALLLHHKI >itb01g08250.t1 pep chromosome:ASM357664v1:1:6646471:6649388:-1 gene:itb01g08250 transcript:itb01g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAARQAANISRFSAPKSVPTASQAANFIQRRGLAGGGDHHGPPKVNFWADPMSPSKWKEEHFVLISLSGWGLVFYGGYKLFTGGKKDKKEEKVSEGSH >itb11g00780.t1 pep chromosome:ASM357664v1:11:349564:350517:-1 gene:itb11g00780 transcript:itb11g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSREENRYAPVETLRSTPSAVMLKVTYSSLTSPNSEVPLQALVVNTHKFDSVYELTEEYTLARRCVHKNVVAPHVSFTAPLGDERSGYLWVVMPLVSRFSLRSMMMMSPAFRSGLPEPVVGFVLREILEGLDCIHRFGGGKVHGNVNAGCIYIDEEMTTVKLAFRSLTCEESTTPWRECKTEPEMTNPSLPPCAAAADIWAFGLLILELLYGEIPVDTHDGLQEFINNDAIIVPKSRKYGYLLKPLCGRNPAGNGGAGRVSRPLGEIIKECLCKDPKKRPTTQQLLEHDYFKLSKGKGRYDVKKVLVRLQKHAVS >itb05g18310.t1 pep chromosome:ASM357664v1:5:25099511:25102680:-1 gene:itb05g18310 transcript:itb05g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIRLLVGSIAGLIVILGNVGIVLGLFPSHVFWTAYTLVKTSRLDAPMKVAFLLALPALFAIWLGLSIAGSVIVGVGYGFFAPWVSAFEAFRHDNESKKFFHCIVVMFKSELLSFHFMFLQDGTWGTIQGSCTVVQDFADICYHSYPLYLQELRESPASLELQPFRFIHVLGCVVVGVMGLVVEIPLYTVIAVLKSPYMLFKGWYRLIHDLISREGPFLETACIPVAGLTILMWPLVVIGSIVMAIFSSFFIGLYGAVVLYQERSFRRGLAYIIAMVAEFDEYTNDWLYLREGSFFPKPRYRKNKVSNTTDFSVRRNSSIHGKLRSAPAMLMPSASSRSVREVIQEVKMVQVWQNMIKMCEVRGKELLDSNVITQSDLEDWSKAKNGNGASIVNVGLPCYTFLQTILHSVEAGSDGLLLLDNLEVTYLNRPRDRLVDWFFQPVMDLKEQIKVMGLEEGEIRYLEKTVLFRRNTERFKGWENGSLAPQDAIRAAQIEGISRRTVGMITMVSKLPTYRRLFGQIVKALIAYSIPTEGCPTRAIPHCVHKATGSTRSVSSRTTGSIEVVV >itb09g09370.t1 pep chromosome:ASM357664v1:9:5653306:5655569:1 gene:itb09g09370 transcript:itb09g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGILEVLLVDEEGIKDDHECTLGCLNWLNPCKNARKPYVCVQYGNQQRLSCVAKRGLDRKRIWNEKFTFEVEYPALGQLGDEEQPQYKLIFRVMNKHKFSKDEFLGEARIHVKDILSLGMEKGRYEVRHQKYRVVEVDKTYSGDISVAITFIKIGMGEDIASCKRSDIQAKTVI >itb04g28610.t1 pep chromosome:ASM357664v1:4:32294703:32295242:1 gene:itb04g28610 transcript:itb04g28610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTSTTLIHIMALDGIVNVNSIFTIALFIGLTSTPSDHSYTLVSTSTCAATAATAQRLVSRHVYSFSSFLFSSLVALGLKQAIRIADHDHILNCHAVLHGAGRVDLRMLRVGILGSALGSVFGCVFLTLALVDWVQIKLGVLGCWGYYTLAAAIPLVTLVPFALIIYIFIVFHAFRQ >itb14g08400.t1 pep chromosome:ASM357664v1:14:7805861:7811909:1 gene:itb14g08400 transcript:itb14g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLDTQEPQPQPYPTRPQNGQHADDLMPPDPLLRSDAYIEVDMEATPLRSRVVVDPPEMSADTVTDQAADSSQRRARRKMLPEDAAERPAWLPENWKMELRVRNSGATAGSIDRYYIDPVSGKKFRSSKEVLHYLETGTKRKPSDSPGGSQKQKKSSPMPKKAPPFYFDFENPPQSVSWVQTNAPGDAWTPYSCHGMVPECIRNEWSTVFSSVTQVVGQKNAPLKDKKSSS >itb04g33690.t1 pep chromosome:ASM357664v1:4:35865781:35869687:1 gene:itb04g33690 transcript:itb04g33690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSFSSSSSSNNSSFSASKRGSSAAVLSIQCLKGSSKADEWTGDALQTGDIVEELRIGNMIVKAPFKNGRAGLQKLLHDSFKLKNTSVHVRVRRGADDDGEFAELQACLVPNAVAGRKQYMLRSIDDPNYAVGFADRTESECLDLQASRASRMMDALTRTPLQYGFVSYPWQRKMAEMLPVPNSSSFYSILLLPKTSGDHEAAALQYNDLEDTLGRANAWLNASQASGVPIVFMNIQTESLLTKISGRMASCSVNAGSLSDLSNLSNASLYGFEDYHGVDIGVVRAVRLWFSPLGGEIPIEIKIKEDDTKLGFAISRTEEGFIYISTVMGADENSQNTPSEESGLRHLYMEATRQNKLLVVSRISHQKVLPWMVSPAGGVRCYDTVSVSQKLSLHRHAKVPILIHVFLWDRSVNVPNCGSNRYRVFSPTGMPPAPEENKAMPLNPEDEVVDEESSDGSEISRLERDTAGEFSFRSTP >itb10g13160.t1 pep chromosome:ASM357664v1:10:19333910:19337704:-1 gene:itb10g13160 transcript:itb10g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILCCIPSLIFILFLRPTHSIRKSYVVYLGGHSHGKQVSSVDLHRVKHSHHQLLGTYLGSWDKARDAIFYSYTRHINGFAAMLEEEEAAEIAKNPEVISVFLNRARQLQTTRSWEFLGLEGEGGLIHEGSIWKNARFGEDTIIANLDSGVWPESKSFSDEVFGSIPKRWKGICQNQKDKSFHCNRKLIGARYFNKGYSSVVGTLNSSSFFTPRDVSGHGSHTLSTAGGNFVPGANVFGLGNGTAKGGSPKARVAAYKVCWPPTSTGEECYDADILAGFDGAIEDGVDVLSVSLEGEHPGPYFEDSIAIGSFHAVKNGIVVVASAGNDGPAAGSVHNVAPWMITVGANTMDRQFQSNVVLGNNKHYTGESAAPLKLPTGKFYPLLSAASARIENESISSQDSLLCKPGTLNPKQVKGKILVCLRGGTTRTDKSHQAALAGAVGMILANDEYFGNEIEADAHFLPATHATYSDGLAIFDYINKTRNPVAHITHPNTLLGMKPAPVIAAFSSRGPNTINPEILKPDISAPGVNVIAAYTEATGLSGNDYDKRIVSFNLESGTSMSCPHVAGVVGLLKTLYPSWSPAEIRSAIMTTATVRANSGKAITDDKNGVEATPFAYGAGHINPNRAADPGLLYDLKLTDYVNFICAQGYNETDITRVLGTPYKCPHHITLSTFNYPSIAVPHLKGTATVTRTLKNVGSPATYTSSVRSPVGFSVTVNPNILKFEKVGEEQTFKVTLKAKGENASADYAFGVLTWSDKKHRVRSPIVVKRA >itb10g13160.t3 pep chromosome:ASM357664v1:10:19333934:19337704:-1 gene:itb10g13160 transcript:itb10g13160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILCCIPSLIFILFLRPTHSIRKSYVVYLGGHSHGKQVSSVDLHRVKHSHHQLLGTYLGSWDKARDAIFYSYTRHINGFAAMLEEEEAAEIAKNPEVISVFLNRARQLQTTRSWEFLGLEGEGGLIHEGSIWKNARFGEDTIIANLDSGVWPESKSFSDEVFGSIPKRWKGICQNQKDKSFHCNRKLIGARYFNKGYSSVVGTLNSSSFFTPRDVSGHGSHTLSTAGGNFVPGANVFGLGNGTAKGGSPKARVAAYKVCWPPTSTGEECYDADILAGFDGAIEDGVDVLSVSLEGEHPGPYFEDSIAIGSFHAVKNGIVVVASAGNDGPAAGSVHNVAPWMITVGANTMDRQFQSNVVLGNNKHYTGESAAPLKLPTGKFYPLLSAASARIENESISSQDSLLCKPGTLNPKQVKGKILVCLRGGTTRTDKSHQAALAGAVGMILANDEYFGNEIEADAHFLPATHATYSDGLAIFDYINKTRNPVAHITHPNTLLGMKPAPVIAAFSSRGPNTINPEILKPDISAPGVNVIAAYTEATGLSGNDYDKRIVSFNLESGTSMSCPHVAGVVGLLKTLYPSWSPAEIRSAIMTTVHYLSQPQ >itb10g13160.t2 pep chromosome:ASM357664v1:10:19333910:19337704:-1 gene:itb10g13160 transcript:itb10g13160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILCCIPSLIFILFLRPTHSIRKSYVVYLGGHSHGKQVSSVDLHRVKHSHHQLLGTYLGSWDKARDAIFYSYTRHINGFAAMLEEEEAAEIAKNPEVISVFLNRARQLQTTRSWEFLGLEGEGGLIHEGSIWKNARFGEDTIIANLDSGVWPESKSFSDEVFGSIPKRWKGICQNQKDKSFHCNRKLIGARYFNKGYSSVVGTLNSSSFFTPRDVSGHGSHTLSTAGGNFVPGANVFGLGNGTAKGGSPKARVAAYKVCWPPTSTGEECYDADILAGFDGAIEDGVDVLSVSLEGEHPGPYFEDSIAIGSFHAVKNGIVVVASAGNDGPAAGSVHNVAPWMITVGANTMDRQFQSNVVLGNNKHYTGESAAPLKLPTGKFYPLLSAASARIENESISSQDSLLCKPGTLNPKQVKGKILVCLRGGTTRTDKSHQAALAGAVGMILANDEYFGNEIEADAHFLPATHATYSDGLAIFDYINKTRNPVAHITHPNTLLGMKPAPVIAAFSSRGPNTINPEILKPDISAPGVNVIAAYTEATGLSGNDYDKRIVSFNLESGTSMSCPHVAGVVGLLKTLYPSWSPAEIRSAIMTTGMYVCIS >itb15g12220.t1 pep chromosome:ASM357664v1:15:10166837:10170090:1 gene:itb15g12220 transcript:itb15g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLIQENPPAAGSVCVEKRNEKPNHCFIFPPNNVDMASHTIERRNNHWFVKTKASSDLTVQIENKCFHLHKIPMALKSGYINRLAFRSNGSSSDSNLSSIIQMDSIPGGAKIFEQVVRFCYGLKINATATNIAPLYCAAHFLEMNDDLNPGNLISKAEAFLSFIVLSSWKDTFRVVRSCESVSTWAKDLQIVKQCSESIAWKACSDTNASSFTSNEVFLNVLGKTSTDDKNLPSNWWFEDASTLRIDHFIELVSSIKKRRKIKPELVGSCIAHWTKKWLPRVRIGKQKSNNDDDDDNDDDLTIRLHRVTLECLIRILPNEENSVSCNFLLHLYKIGVGMRIESELVNQVKTRIAMMLERCRAKDLLVKNTTTLFDVEIVAQVVEAYAFHHMISGKTQVAKLVDEYMVLVARDESLPAKKFQMLVQVLPKEARSCNDNLYTAIDMYLKAHPNLTDEERTEICRTLEYHKLSQEARTHVMRNHRLPESMMVRFILLEQVSMARLCGSFSCSSGDERRNPSGAVVRISKELRMRSQKDVKVMKKDVEMLKIQVGKLQMCRMELQRQIKKPALI >itb15g01620.t1 pep chromosome:ASM357664v1:15:962601:965431:-1 gene:itb15g01620 transcript:itb15g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKGGDENNKERIVGGTEHAWCKAVSGGTGITVLAFLVSPEAADTCVLRNALHNILNSHPTLRSKLLYNAATKAFSFAISATPDVQITRFDAPATLQLLRSRKDSSSDVVSLSPFQIILEHELSNNAAWRNPNSFPPSGADVLFASVYALPEDGKRVMALRFHTAVCDRTTAVALLGELMEFMGEGTLKGIKNEGEGSFGIENVVPSEKSKKRLWAHGLDMLGYSVNSFRLTNLKFNDVKGPRHSLVVRLQLNLHHTSRILAGCKSAGIKLCGVLTAAGLIAAHSSRSQWDHHHQQQKKKYGVVILTDCRSILDPPLSSHHYGFYHSAILNMHTMKGGESLWDLAKRSYNAFENSKKSNKHFSDMADLNFLMCKAIDNPNLTASSSLRTSFITVFEEPVVYDISADIQRDLGVEDYVGCSSVHGVGPSIAVFDAVIDGKLDCACVYPSPLHSREQMHELVDEMKRVLIDATKCIDE >itb05g12480.t1 pep chromosome:ASM357664v1:5:19027937:19029678:1 gene:itb05g12480 transcript:itb05g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMNPNFLGPNMMSTPPSYYTNPNSNNLHFSPEMLQDYDYDYAQDFDLSYVNTLLNDDHHHHYSNVVSNNPFASSSSSLFSQPLPPPTIVQDQSSTTSASSGSSGFDGMLPTANYPMQEVKSMRQMVNRAKAKERHSVAFRTKTELEILDDGYKWRKYGKKKVKSNSNPRNYYKCSHEGCIVKKRVERDREDSKFLITEYEGIHNHESPYVIYYY >itb06g20180.t1 pep chromosome:ASM357664v1:6:23265704:23270732:-1 gene:itb06g20180 transcript:itb06g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSATTCFKIIACGSDSADRDEVEAPESKGSSDKRGWSFRKRSARHRVLSNTVVSETQSANKDSSESIGINSQAQPNSGTPGKASTEQLNSNFPDKTYSTEPLSDVPEKTYAKEPESNTPDKTCATDPLSDVPESTDAKEPESNTPEKPSAVECADEKPESPISIDEKLVDAAASEVDDNKDNVTLKEPDVIVIQTAIRVFLAQNSLLKHKKVIKLQAAVRGHLVRRHAVGTLRCVQAIVKMQALVRARHAHRHIEGNDKLEKDHLCSNMGTENSSKKSRASYTSIEKLLSNRFARQLLESSPRTKTNIKCDPSKSDSSWTWLERWMSVSTRLSPSTEIPAEQHEKEEVEHLDDHLEAATEPETQSASKLESTSIESSKEAPAVLSENDENLITYDEDRLSFEAHHPSASPDLTYKQEEPQPQDLGEEISRDESGSSLPLPSREKAVLPEEHDSFPLKTETENDEQLHSGKRIAPEQPEGKKFSLGSRKASNPAFIAAQSKFEVLSLTAGSGKVTSLCSQETETESFADTISSATNNAVKTSEASLPENSGLHSSVQVGGSECGTELSVSSTLDSPDRSEARVHEFEQESKTSIDTTAHHHKSNENLDIETHEQTSSGNELPNVDSVQPGRHVDSISDSEHTGPVVAPDLPHLEQKPETHATDVQIEPGSEMGCQVSKSSPEPSPRSHVTFPESQQGTPSSNVSVKPKTKSEKGGSNSKRRLSSSAGNKSPSNPNQDAGTTSLDQLPKDQRSGKRRNSFGSAKSEHVDQEPRDSSSSSSLPSYMQATESARAKAISNSSPRSSPDVHNKDAYVKKRHSLPGSNGRQESPRIQRSLSQAQQTAKGNGTQSPHERRWQR >itb10g21720.t1 pep chromosome:ASM357664v1:10:26804505:26806254:-1 gene:itb10g21720 transcript:itb10g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMKSVMKHWMEGEITIFIKVWLSIYLSLSYCFLAAKMAPIGLPRLLLFLPVISLFLLLPLALHSVHLCGTTAFFISWLANFKLLMLAFNGGPLSLPSLSFPRFLVLACLPIKIHQKHAQDSSNNTHSVSGQHRPLSWFLNGKLLVKTHDRVLTDTTQQKYQDLVQIDTIQKHQTRILSPNEVLSYGEKPSMEISENRQKSAVSYAVKGVLMGLIIRIYDYSDSINPTVILIIYCLHIYLCLEIILAIVAALARAVLGLELEPQFNEPYLSASLQDFWGRRWNLMVNRILRPTVYNPVLGVSAKYLGRKWATFPAVMATFVVSGLMHELIFFYLGRARPTWEITWFFLLHGACVAVEVAMKKALKGRCRLPGILGTILTVGFVMLTGFWLFFPQLLRCEADVKAFAEYAALCAFVKDVGIALTLGARGALA >itb06g21950.t1 pep chromosome:ASM357664v1:6:24431970:24434951:-1 gene:itb06g21950 transcript:itb06g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFLQGYFETALWALVVSCIIVKLVLSAVDSDGTKGVREIDAESSGIVKVVDEDEGEVIVGKVEMVEQCGDERDGSWTGGESRNLGVFEVGASKIDALCGVEEKHVLGDVKVVREELVGASKVDALCGVEEKHVFGDVKVVGEEFKSEEVGKKNVVGTNLPRDDTASGHIEGGFRQEDEKDEGLSDDDDWEGIERSDLEIRFAKAINFVECGNNDALSNLGSKLQMQLYALQKTAMEGPCYKPQPMAFKVSARAKWNAWQRMGSMSSEAAMEQYIKLLSDTVPSWIRCSSTESCVDSSSATGKTRDPDFNASSPDIQSDCTFVPMKSNLNRNFLSEEQNLLAQHKRLKSDRN >itb06g21950.t3 pep chromosome:ASM357664v1:6:24431970:24434951:-1 gene:itb06g21950 transcript:itb06g21950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFLQGYFETALWALVVSCIIVKLVLSAVDSDGTKGVREIDAESSGIVKVVDEDEGEVIVGKVEMVEQCGDERDGSWTGGESRNLGVFEVGASKIDALCGVEEKHVLGDVKVVREELVGASKVDALCGVEEKHVFGDVKVVGEEFKSEEVGKKNVVGTNLPRDDTASGHIEGGFRQEDEKDEGLSDDDDWEGIERSDLEIRFAKAINFVECGNNDALSNLGSKLQMQLYALQKTAMEGPCYKPQPMAFKVSARAKWNAWQRMGSMSSEAAMEQYIKLLSDTVPSWIRCSSTESCVDSSSATGKTRDPDFNASSPDIQSDCTFVPMKSTLFLC >itb06g21950.t2 pep chromosome:ASM357664v1:6:24432889:24434951:-1 gene:itb06g21950 transcript:itb06g21950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFLQGYFETALWALVVSCIIVKLVLSAVDSDGTKGVREIDAESSGIVKVVDEDEGEVIVGKVEMVEQCGDERDGSWTGGESRNLGVFEVGASKIDALCGVEEKHVLGDVKVVREELVGASKVDALCGVEEKHVFGDVKVVGEEFKSEEVGKKNVVGTNLPRDDTASGHIEGGFRQEDEKDEGLSDDDDWEGIERSDLEIRFAKAINFVECGNNDALSNLGSKLQMQLYALQKTAMEGPCYKPQPMAFKVSARAKWSESCYV >itb11g06000.t1 pep chromosome:ASM357664v1:11:3590732:3594372:1 gene:itb11g06000 transcript:itb11g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQVLLFACTVALLLASFLASAAVVEHTFHVKNLTVTRLCRRQVITAVNGSLPGPTLRVREGDTLVVTVFNESPYNLTIHWHGVFQILSGWSDGPEFVTQCPIRPGHSYTYKFKITRQEGTLWWHAHVSWLRATVHGALIIRPREGHSYPFPKPYREVPILLGEWWNANVVDVENQALANGSAPRNSDAYTINGRPGDIYNCSANHTYKLKVKQGKTYLLRIINAALNNQLFFKIAGHTMKVVAVDASYTDPYVTDVVVVAPGQTTDVLLTADQSPGRYYMAANAYASAPNVPFDNTTTTGIVEYDGAISSPPAMPALPAFNDTPTAHRFYSNLTGLVSGPFWSSPPRHVDERMLITVGLGLVACDRPGTCGGPNGQRLAASMNNASFQLPTKLSMLEAFFFDVDGIYTADFPNRPPVRFDYTNSTQRLNASALIMTTKSTKVKKVKYNATVEMVFQNTALVAIENHPIHLHGFNFYVLAQGFGNYNPSVDRKMFNLVNPQERNTIAVPVGGWAVVRFRANNPGVWLMHCHLDVHLPWGLATAIEVENGPTPSTTLPPPPLDLPKC >itb10g22740.t1 pep chromosome:ASM357664v1:10:27488833:27491062:-1 gene:itb10g22740 transcript:itb10g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLKNIPAKDFIVPPQKRAVDFSADKRIPVIDLAAHNRADLAQQIIKSAKEFGVFQVRNHGVSEKVMEETMNLYKQVFNMGDEEKAMLYGEGSNKNCRIHAGGDYYLNAEYRSWREMLIQPVSPMDDESMQSKPWLEKPAGYREMVIAYTTEMKMLGLRILDLIGEALGMEAGYFERNNLTQTLNIVANHYPVCPDPSSTMGSAPHYDPNLITILHQQLFGLQIFNNGQWLGVEPLPHALTIMVSSQLQIISNDELKGCKHRVVTNSSAARVSIGTFIGPSLDAVVEPAAGAAPVFRPVQYNEFLQQYASNKTESDVVGFCKIQP >itb04g27900.t1 pep chromosome:ASM357664v1:4:31768972:31771601:-1 gene:itb04g27900 transcript:itb04g27900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTALLATCVLFLIVPTLFPVSVGAVGVNWGRAASHPLPADKVVQILKANGIAKVKLSEPYPEALESLSGAKIDVTVSIPDSMLRGLNSSLKAAQSWVHDNITRYFSEASSKVRIEYISIGDEPFLETYGTQFHPFLIGAATNIHTALVKANLEKEIKVVIPCSFDAFLSETGMPSRGHFRADINKTMIQVLKFLSQRQSPLFVTISPFQSYRQNKNISLDFALFKETARPHNYSRKTYKNSFDLSCDTLVSALSSAGFPQMDIVVGQIGWPTDGAANATSSNAEVFLKGLMERLHSKSGTSSKATKLPLETYILSLLDEDKRSVASGSFERHWGVFTFDGQAKYHVDFGQGSRKMVDAQNVQYLSSKWCVVNNNKDLSNATAQAIDACSSADCSALSPGGSCFNISWPGNISYAFNNYYQQNNQNADSCNFGGLGLITTVDPSVEPCRFLVQLKTSVSVSLLPSALFHLLASPVVSILLWLLNGI >itb14g10900.t1 pep chromosome:ASM357664v1:14:12384371:12389296:-1 gene:itb14g10900 transcript:itb14g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNNNLPGSRRNLGQDDDAISSSALQMFYYNQTLDHFNFQPQSFATFPQRYMIDSTYWGGADKNAPIFVYLGNEMSLEGTLTYLGFLSDNAPGFKALIIYIEHRFYGKSVPSVSQREALKNETLRGYFNSAQALADYAELLLYLKKELSATYSPIIVVGASYGAMLTTWFRLKYPHIAVGALASSAQFLLLDDNIPQDAFYRTVFDDFQESSQSCSETIKASWDEIHKVASKPDGLSSLSQKFKTCRKLNNVSEIVTYLKHMYYQSATFDMPPTDVICRAIDGGSKGIDVLGQIFSGIVAFEGESSCYLNESKQLQNEDDTDLGLGWQDMKLVLKRFGSNIIFTSGLRDPWSTGGILEDISHSIVAIVTKKGAHASDVLKARKTDPEWLVKQRNKSLKIIEGWITKYYDDLQFVP >itb15g07400.t1 pep chromosome:ASM357664v1:15:5058746:5062182:-1 gene:itb15g07400 transcript:itb15g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRICCFSRKFKLRDAEPPDEIKALFGRFSENGMMSAEHLHKFLKEVQGEESVSKEEAESAMEAALKSLEHLHVFHHSKGLNLESFFRYLFSETNSPLPPANKVHHDMNAPLSHYYIYTSHNTYLTGNQLNSDCSDVPIIKALERGVRVIELDMWPNSSKDNVDILHGGTLTTPVELIKCLKSIREHAFISSEYPVIITLEDHLTPDLQAKVAEMVSQTFGDILFAPGSECLTEFPSPESLKRKIIISTKPPVEYQESKSLKDKDNSNSHSTKSASEENAWGKEISDLSHKFKALYENNKEDAADHECAEDDDSHHSNHGVPPNAAPEYKRLIAIQGGKTKGKVEQWINADPDKVRRVSLSEEKLESIVLTHGKEIIRFTQRNMLRIYPKGIRFDSSNYNPLIGWIHGAQMVAFNMQGYGRPLWLMQGMFRANGGCGYVKKPELLLNPNDVHDPKNLLPVKTTLKVKVYMGEGWHLDFKRTHFDFHSPPDFYVKIGIAGVPADSTMKKTKAIEDNWIPTWDEEFEFPLTVPELALVRIEVHEYDMSEIDDFGGQTCLPVSELRTGVRAVTLHDQKGQKYPSVKLLMSFDFVK >itb11g06140.t1 pep chromosome:ASM357664v1:11:3659897:3663254:1 gene:itb11g06140 transcript:itb11g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 1 [Source:Projected from Arabidopsis thaliana (AT2G04880) UniProtKB/Swiss-Prot;Acc:Q9SI37] MGTPMEEGVDEGSLGKLQPKREPSTGSLESETEHKVNDKLVPAEGVSGELQKRLSPNVKAEASESSEREVAVPDKCETVPSDMQRKQGVVNGSSASQSEKEKSSHSGIQVKDGEEVQQKQGVGSDTDASQFSQVSIVPKKESDGAGHEQSNDEKIHGTETLALAVIPEKNSDNPQQLQIQSMEVLATHSNQARVAYVKPHEKGLDKLQPRRNPEIGAHTPQFDQRSAPSKAPEKPSEDGYNWRKYGQKLVRGNEFIRSYYKCTHTNCTAKRQVERSQDGHITEINYIGNHEHPKPQNSPQINAPTILPIQMRRPDLPIMTPSEGTQSVTQGEKCETPEPKQITSPVGVVSADIGARDSVLQSHNLRDEDDHCGGPDSKKQKKCLSSPDDNKPHGEPRHVVQTMSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNAGCPVKKHVERASHDPKVVITTYEGQHDHDMPASRTITQNSGEGDATSGESRPESGENKHVGLDMVVHIGAN >itb14g11580.t1 pep chromosome:ASM357664v1:14:13219012:13223557:-1 gene:itb14g11580 transcript:itb14g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKRIQEEQREYGNDALVVDIVSQGDANRRFQIEQELRLFYLGKSMKLRLHSAQKLLPILNGATKGGIETMDFNCVMETLGKHFTLPVSGMPPHIYKRIKSFCRQHQLFLYGKKDYHYEKESKNEQQIDDEKESKNEQQIDDEKESKHGQQIDDDDDDDDDEECRRERKSDDDEQESKNEQQIDDEQESKIEQQIDDEQDSKNEQQIDDEQEAERRRYMWWNEQQIDDEQEAERRRYMLYEAESVIRQELRASYLNKYMKQRIQATQRIRQLFIQGIRLTSYIKKEMLKVKNAYHQSNNSQNNNNNNPASLRGLELDNITVGDSKSIIKMVGCNDVFNTIMDNLNQQSSKREIVSIVGMGGIGKTTLARKIYEDASFISCFDCRAWVTISQDYNPTQVFQCLLRSLAPAGASDNNGAYNYELAGQVYRLLKHRRYLIVVDDIWSTDVWDDLMRCFQDDNIGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKVCKRSITLLSPEFEKIGREIVHKCKGLPLAIIVAAGLLSNPNQTFIHELEHIAKCVPALSLDHSNQQGENIIDLSYTFLPHHLKLCFLSFGCFPEDDEIYESKIVDFWVSERFLKVLRSESLEDVARKNLQNLVDRNLVLFCRDRNNIDSYQMHDVLRELALREAQKENLLCSKKGYDISLRWKRNQSINSSHISQPWTIQSRICTYNSITPTTNTSSLIDTLFRTSRRAEDMDVVGKLSKLEKLRLYGVKDQKWKPKDGGFRRLSFLKISSGPLQYWEATSNHFPVLENLDLSCTKLKEIPSDFA >itb13g11820.t1 pep chromosome:ASM357664v1:13:16987053:16991182:1 gene:itb13g11820 transcript:itb13g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPDCHSTAVIDPESFKSIVSEVHNFFAALNMHIRKDIPVHLVDLFEMNILSPHQTAMPMGLTTYKIDAIPFVNWSLQQGMSIEVRHHCTVTGMTVLYGLPRLLTGRILAHEFMHTWMRLQGYNNAIGTWVEEGMCEVMAYVWLDWYALFGKEMYGDDEKASFMRNLKEHEMKRMERNPCRIYGDGFREAKSAVKIYGFEHTMKCIAYTGNFPC >itb10g14010.t1 pep chromosome:ASM357664v1:10:20282690:20287656:1 gene:itb10g14010 transcript:itb10g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHDGHKFLQITDAMVSINQRVNLIGVVTESGLPKQSRGTDCFVTMRIIDESQPKHGIAVNFFTEKMDMLPQLATEGDIIQLSHVVMKTHGPDAYALFNKKFSSFALYEGKNSTSFTPYQCSAKFNAREQDNKFILGLRQWLAGEQIDAGASVTGLMTGSTELLQLKEFKEGVRFNLVCKVLHAWETEREELMLYVWDGTDTPPIAIKSNLEDEKENPLPLHLEPIPLSRDVLCAFPPVGTVLRVTVDSSNVKFGLNYIKTGRWVKFLGLRCEVRSSLWCASLMPFTKFCYLLEDNDLVVQRKRSYDDRFYSKWGHMPLTSFPWHSGMTGTSCPKNTPFVTLMNVLTYPEVTYKYRCVVRVAAALPWEVSKFRSPSGIYRIRLTLEDPTARIHAFLYKEDAEEFFTHFPSPDDVLTRKWNSLLGIPKTGDCSAVGDASRNPPWIECCLKSYYVNKKDAWGTRNYRIFMTTLLERP >itb13g22080.t1 pep chromosome:ASM357664v1:13:28469463:28471349:1 gene:itb13g22080 transcript:itb13g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITHKTVAVNGINMHVAELGKGPLVLLLHGFPELWYSWRHQILFLAARGYRAVAPDLRGFGDTTGAPNDDPSKFTVFHIVGDLIELLQCIAPEEEKVFVVGHDWGALIAWHLCMFRPDKVKALVNLSVHFFPRHPHLDLVESFRAMYGNEHYVCRFQEPGEIEDEFANIGVKTCLGNIYSFRRPGPLYFPKGKGFSANTDGSTALPAWLPEEDLDYFVSRYEKTGFTGGVNYYRALKLDWELTAPWSETKVMVPTKFIVGDLDLVYHIPDAKEYIHSGGFKNDVPLLEDVVVLQGVAHFLNQEAPEEVNNHIINFLNKF >itb10g17910.t1 pep chromosome:ASM357664v1:10:24005843:24019140:1 gene:itb10g17910 transcript:itb10g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHCVCLWILLSLVVLQLQANGSVGCEEEERRALLILKDAFNGTTALSSWDNEEMNCCKWERVNCDQSNHHVIQLSLAYITQSSSDDFSLNASLFLPFRELQNLSLDLNIIRGFHGVLNLSKLQVLDLSENRFDEIPSLGLLRSLRILNMESNSINTWSHFEELTPLKHLELLNFGYNQLSGKIPGSLGSLTSLKFLSFTDNDLINGSLIEGGFCKLRNLRELDLLENSFDGRIPSCLGNLTSLRALVLESNYLTGTLPSTVFSTLNSLEYLSLSFNYFEGYFSFNSFRNNSKLEVFELDNLRSNLTVDTENPPWMPQPHIKIFRLSNCKLNELGGNLPSFLSKQRELRILSLSHTGVREIFPSWLLINNPNMKFLSLAGNFLTGPFTYNNHQTKNEYLSWLDVSMNQIQGVLPYSIGVLFPSLYLLNMSMNAMQGGIPPSMGELKALRFIDFSNNNLSGELPEDFIQGCTNLCILKIANNNLQGQVLPTNSNLSSLEYLHLANNRFSGELSRGLLNSMSLELLDLSNNSITGKIPDWIGYLSNLESIVLSHNFLQGPIPMSFCKVKELSFVDLSKNKLTETIPACLNVSSLRYLHLHGNGFTGFVPKVLSEASSLVTLDMRDNNLSGRLPSWISSLSNLRFLLLGGNQLEGSIPSQLCDLKNVTMLDLSSNNLSSFLPSCLHNVLFGSKRTFDATLLEPNVYGGFLYLSLFTYSYESPLQIDLILQYDYESSDEEEEVEFVTKSRSESYKGSILKYMSGLDLSFNNFTGPIPQEIGLLSDIHSLNLSHNQFTGSIPTTFSNLKQIECLDLSRNRLNGQIPQDLIELNFLSKFSVAFNNLSGRIPDKKQFLTFDNSSYEGNPLLCGQLLGESCSSSSFEPSSEPNIEKDTFKDTFVWSFTASYIVAFIASVIVFICYTDYSERLFMFVVVEVQGCEEEERRALLRLRDDVFNYPNGTIALPSWDEEEKDCCMWERVKCDQSNHHVTQLSLAHIIRLWSSDPIFLNTSLFLPFRELRNLSLVDNNIRGFYGVLNLSKLQVLDLSENGFDEIPSLGLLRSLRILHMEYNSINTWSHFEELTTLKHLELLNFALNFLSGKIPNALGSLTSLKFLSFLGNHQLNGSLIDGGFCKLRNLQDLDLGFNSFEGRIPLCLGNLTSLRALDLQSNLLTGTLPSAIFSNLNLLQYLSLSSNYFEGYFSFNSFRNNSKLEIFELDNSESNLIVDTENPPWMPKPQIKIFQLSNCKFNEPGGNLLPSFLLKQRELRVLILDNIGATEIFPTWLLINNSNMEYLSFAANFLIGPFTFEDHQSKNEYLRGLDVSINEIQGVLPYSIGVLFPNLNTLDMYMNAIQGGIPPSLGELKQLKFVDLSNNNLSGELPKEFVKGCINLQVLELANNNLQGQVLPTNSNMSSLRYLGLANNHFSGELSRGLLNSVSLKLLDLSNNSITSEIPDWIGYLSQLRLIGLSNNFLQGPIPMSFCKVKELSFLDLSKNKFTETIPACLNVSSLRYLHLEGNEFTGFVPKVLFEASALVTLDMRNNNLIGRIPSWISSLSNLRFLLLGGNQLEGSIPPQLCELKNVSMLDLSSNNLSSFLPSCLHKVLFGSKITFDVTVGSNLYGRVYHYPFSTYSYESQLQIGQRIEFYHTTEDYEEHVEFVTKCRSELYKGKVLKYMSGINLSFNNFTGPIPHEMGYLSNIHTLNLSHNHFTGSIPTTFSNLKQIECLDLSHNRLNGQIPQDLIELHFLSIFSVAFNNLSGKIPDKNQFSTFVNSSYEVEVQGGDGSVGCDEEERIALLRLRDAFKYPNGTTPLPSWDEEQMDCCMWERVKCDLSNHHVIQLSLASIRQLDLTWNFNSDPILLNTSLFLPFRELQNLSLQLNQIRGFHEVLNLSKLQVLDLSSNGFDEIPSLGLLRSLRILNMDDNSINTWSHFEELTTLKHLELLSFAFNDLSGKIPQSLGSLTSLKFLSFMNNWQISGSLTDGGLCKLTKLEELDLSVNEFEGKIPSCFGNLTSLRALILKQNYLTGALPSAVFSTLSSLAYLSLSLNYFEGYFSFNDSFGNNSKLEIFELDNLISSMTIDTEIPHWMPQPQIKVF >itb13g17070.t1 pep chromosome:ASM357664v1:13:24018863:24019639:-1 gene:itb13g17070 transcript:itb13g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPFTRGPTDRRSRRGGGGFSSSGSHGSASRIKRGQKFGSDVDNGIITGGFGGRGKAAGGGDGCGGTVAAAALAGTGFDIWGHSYKHSRHYVADLNNQPLKLHSESVWQIEGAALSTIHVTPEDGFSYASFEAVGYDLEAVKLSTMIERALGCFEPSEFSIAVHADVAGKLLDQNCSLDVKGYCLGEKSVEEFGVGCGSVIYQKFCGAAFTCCGSPKSVLQSCWKQEDKEEKQ >itb07g09670.t1 pep chromosome:ASM357664v1:7:9293278:9295020:1 gene:itb07g09670 transcript:itb07g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIVAATDSNQPSGSDSNSEVKKRSWEQREALPDEPKCVICGRYGEYICDETEDDICSLECKTILLSRIEKSRKPTPCPPLVRFPAIDDCYYVRDDDKKSESKSLASDQAELLRRKLNIVVKGDLASPPIMSFGSCDIPRKLLDNLEAAGYEMPTPVQMQAIPAALMGWSLLVSAETGSGKTGSFLIPIVANTVKLNLACSERKQKPLAMVLAPTRELCIQIEEHAKVLGKGMPFKTALVVGGDAMARQLYRVEHGVSLIVGTPGRLIDLLTKREIELDSISMFVIDEVDCMLQRGFHEQVMQIFRALSQPQILMYSATISREVEKMASSMAKHLTVISVGQLNKPNGAVKQLAIWVESKQKKQKLFDILTTKRHYKPPVIVFVGSRLGADLLSEAITISTGLKAVSIHGEKSMKDRREILRSFLVGDVPVIVATGVLGRGVDLLSVKQVIVFDMPNSIKEYVHHIGRASRMGEEGTSIVFINEENKKLFPELVEILKSSGAAIPPELANSKYSVGSFSIGRHQKRRKYGT >itb01g28940.t3 pep chromosome:ASM357664v1:1:33244615:33248359:1 gene:itb01g28940 transcript:itb01g28940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAEEVSQLDYSQDVGDNGAYYTVDEALSAAGFGKFQFLVLAYAGLGAVVDAMEVMILSFIGPSVKSVWGLSSAQESLITTVVFAGMLVGAYFWGIVADNCGRRKGLLSVAVVTTVTAFLSAFSPNYISLLVLRMLVGTGLAGGPVYSSWFLEFVPPGNRGLWMVIFSTFWTVGTVLEALLAWIIMPKLGWRWLLGLSSVPCFATLAFYGWTIESPRYLCTKGRVKDAYNVLKKVAAVNQTELPFGMLVCDNVPEANEELLSRHRNKFANYKAAFSSLFPLFSSRLIRTTLLVWVVYFGNSFLYYGVILLTSELSSGEARCISTTPNLNDDYSLYRDTFITSLAELPGLVLSAVLVDKAGRKMSLVLMYGAGFLFLLPLVIHEHEFLTTVLLFGARMCFIGTFTVAGIYCPEVRRKSLAISRKFDSFFLRSFPIPPEFILYAFSFLESTQFCS >itb01g28940.t1 pep chromosome:ASM357664v1:1:33244615:33248746:1 gene:itb01g28940 transcript:itb01g28940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTGLAGGPVYSSWFLEFVPPGNRGLWMVIFSTFWTVGTVLEALLAWIIMPKLGWRWLLGLSSVPCFATLAFYGWTIESPRYLCTKGRVKDAYNVLKKVAAVNQTELPFGMLVCDNVPEANEELLSRHRNKFANYKAAFSSLFPLFSSRLIRTTLLVWVVYFGNSFLYYGVILLTSELSSGEARCISTTPNLNDDYSLYRDTFITSLAELPGLVLSAVLVDKAGRKMSLVLMYGAGFLFLLPLVIHEHEFLTTVLLFGARMCFIGTFTVAGIYCPEIYPTSVRTTGVGVASAVGRIAGMICPVVAVQFISGCEMTAAILLFEAVAIVSGVGALLFPLETKGRKLTDIVSS >itb01g28940.t4 pep chromosome:ASM357664v1:1:33244768:33248746:1 gene:itb01g28940 transcript:itb01g28940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMILSFIGPSVKSVWGLSSAQESLITTVVFAGMLVGAYFWGIVADNCGRRKGLLSVAVVTTVTAFLSAFSPNYISLLVLRMLVGTGLAGGPVYSSWFLEFVPPGNRGLWMVIFSTFWTVGTVLEALLAWIIMPKLGWRWLLGLSSVPCFATLAFYGWTIESPRYLCTKGRVKDAYNVLKKVAAVNQTELPFGMLVCDNVPEANEELLSRHRNKFANYKAAFSSLFPLFSSRLIRTTLLVWVVYFGNSFLYYGVILLTSELSSGEARCISTTPNLNDDYSLYRDTFITSLAELPGLVLSAVLVDKAGRKMSLVLMYGAGFLFLLPLVIHEHEFLTTVLLFGARMCFIGTFTVAGIYCPEIYPTSVRTTGVGVASAVGRIAGMICPVVAVQFISGCEMTAAILLFEAVAIVSGVGALLFPLETKGRKLTDIVSS >itb01g28940.t5 pep chromosome:ASM357664v1:1:33244615:33248746:1 gene:itb01g28940 transcript:itb01g28940.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAEEVSQLDYSQDVGDNGAYYTVDEALSAAGFGKFQFLVLAYAGLGAVVDAMEVMILSFIGPSVKSVWGLSSAQESLITTVVFAGMLVGAYFWGIVADNCGRRKGLLSVAVVTTVTAFLSAFSPNYISLLVLRMLVGTGLAGGPVYSSWFLEFVPPGNRGLWMVIFSTFWTVGTVLEALLAWIIMPKLGWRWLLGLSSVPCFATLAFYGWTIESPRYLCTKGRVKDAYNVLKKVAAVNQTELPFGMLVCDNVPEANEELLSRHRNKFANYKAAFSSLFPLFSSRLIRTTLLVWVVYFGNSFLYYGVILLTSELSSGEARCISTTPNLNDDYSLYRDTFITSLAGSPDLFHLRLFLLGFNADTIFVAYFVHFPLAELPGLVLSAVLVDKAGRKMSLVLMYGAGFLFLLPLVIHEHEFLTTVLLFGARMCFIGTFTVAGIYCPEIYPTSVRTTGVGVASAVGRIAGMICPVVAVQFISGCEMTAAILLFEAVAIVSGVGALLFPLETKGRKLTDIVSS >itb01g28940.t2 pep chromosome:ASM357664v1:1:33244615:33248746:1 gene:itb01g28940 transcript:itb01g28940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAEEVSQLDYSQDVGDNGAYYTVDEALSAAGFGKFQFLVLAYAGLGAVVDAMEVMILSFIGPSVKSVWGLSSAQESLITTVVFAGMLVGAYFWGIVADNCGRRKGLLSVAVVTTVTAFLSAFSPNYISLLVLRMLVGTGLAGGPVYSSWFLEFVPPGNRGLWMVIFSTFWTVGTVLEALLAWIIMPKLGWRWLLGLSSVPCFATLAFYGWTIESPRYLCTKGRVKDAYNVLKKVAAVNQTELPFGMLVCDNVPEANEELLSRHRNKFANYKAAFSSLFPLFSSRLIRTTLLVWVVYFGNSFLYYGVILLTSELSSGEARCISTTPNLNDDYSLYRDTFITSLAELPGLVLSAVLVDKAGRKMSLVLMYGAGFLFLLPLVIHEHEFLTTVLLFGARMCFIGTFTVAGIYCPEIYPTSVRTTGVGVASAVGRIAGMICPVVAVQFISGCEMTAAILLFEAVAIVSGVGALLFPLETKGRKLTDIVSS >itb10g00940.t1 pep chromosome:ASM357664v1:10:675014:675433:1 gene:itb10g00940 transcript:itb10g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSQSQSSQPTSPRRCARGCGFFGSPENKFMCSKCYTDYLKEEITKLATAVTLTSPPRDSSDLAAESAAAPAKSKRCLCCNKKVGLMSFGCRCGGTFCGSHRFPEEHKCGFDFKALGRKVLAKENPVITHDKFPERI >itb10g15750.t1 pep chromosome:ASM357664v1:10:21975835:21983262:1 gene:itb10g15750 transcript:itb10g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMDVDSTDLRPERSGEMREQDDSPGRERWGEDGLDALEENDLEKSRDSSKHQSKTSGRKEEKEHRSKNRERLREGEKEDKALQKDRMSSRDRRKEDRDDTEKDKTRDRIREKDTDRDKYRDKERDRGREKDRRYHEKEKERDKDDLERDGGRGRDKERAKDKSRDKDKDKDKERTKDREREKEKDREKQREREREYDRVRSKDASDKEKVKQRTREDWDVVRGKEKSRDTDGSYRKLQDEGHNRSMHVGNDDMPRFNEEDGIDGNLSEQEMGLADGEDTRISLVKGESIGSQPAVSELEERILKMKEERLKKKPEGASEVLAWVNKSRQIEEKRKAEKEKALQLSKIFEEQDRIDQEESDDEEATRRTTEELSGAKILHGLDKVLEGGSVVLTLKDQSILSGDDVNQEVDVLENVEIGEQKRRDDAYKAAKKKTGIYDQSDEFDSEKKMLPQYDDPMEEEGVTLDGTGRFSGGAEKKLEELRRRIQGVATKNHTEDLNSSSKILTDYYTQEEMLQFKKPKKKKSLRKKEKLDIDALETEAISTGLGAGDLGTRNDKTRQALKEEKERAEAEMRSKAYQTAYTKAQEASKALRPEQAITTKAEEDDAVFDDDDEELRKSLERARKLALKKQEAGKSVPEAIALLATSNANNSTVDNNSNPAIGDSQENKVVFTEMEEFVWGLQLDEDAKKPDAEDVFMEDVTPNSPEAAITEDGGWTEVKETENNEPATEEDEEAAPDGAIHEAAVGKGLSGALRLLKERGTLKETIEWGGRNMDKKKSKLVGIIDEEGPKEIHIERTDEYGRILTPKEAFRLLSHKFHGKGPGKMKQEKRMRQYQEELKVKQMKNSDTPSQSVERMREAQEKLKTPYLILSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDKKVEHFLGIKRKPEPGDSNSQKKPRT >itb04g19610.t1 pep chromosome:ASM357664v1:4:24016299:24022705:-1 gene:itb04g19610 transcript:itb04g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRIKTDGKTWSLVSQRGKHLISSYYRNCAPTQTSSSAPILESCTFQGTLNRRHLLDTFKSTSIGYGRTHIRLLRRSDVCLSCSQLRFYSSEGDGRNASEDKHARTIEQPSSDKAKTWKQKVGEQVRHCDGHAELGELEQKEWLCNEKLSIDSKKKESPFLPRRERFKNEFLRRIVPWEKIAVSWDTFPYYVHEHTKSLLVECAASHLKHRKLTMDYGGHLNSSSGRIMLQSIPVAKDLKVPLLVLDNSILASYDFGEDCSSESESDEDAESAEEYASESEADDATDAANEEEWASSGEARSEASDDERDVQASAEALKKLVPYNLEDFEKRVSGESDDSSESPSSEAVDSSENTKRPFRKGDRVKYAGPSVIVEADNRWYMHLADPKD >itb08g01980.t1 pep chromosome:ASM357664v1:8:1578588:1580797:1 gene:itb08g01980 transcript:itb08g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDEDDNRWPPWLKPLLQERFFVQCKLHADSHKSECNMYCLDCTNGALCSLCLANHKDHRAIQIRRSSYHDVIRVNEIQKYLDISSVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSNNFVKKPKQFSEKKRAAAAAAAASDSEDSYSSISHGRHGGAGKIHSFSPSTPPPTSGNFRAAKRRKGIPHRAPMGGLIIEFY >itb04g00690.t1 pep chromosome:ASM357664v1:4:376728:379039:-1 gene:itb04g00690 transcript:itb04g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLPSSDVSLFSAGRRPAGIFSKLRNFHKVAITNNGKEIVGAKISSSVKDMEEAARDLSLGFNLGLCDSFSAAMAEPATAFPSIKPSKEEEQKQDYYLNMGYAIRTIREDFPALFYRELSFDIYRDDIVFKDPMNTFVGIENYKSIFWALRFHGRMFFRALWIDIVSVWQPVDSMIMVRWTVHGIPRVPWESRGRFDGTSEYKLDKTGKIYEHKVHNIALNAPPKFSVVAIEEMIQYISCPSMPKPTSFESSTCSPSFITDKTVSNST >itb13g19000.t1 pep chromosome:ASM357664v1:13:26029177:26032863:-1 gene:itb13g19000 transcript:itb13g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSNRKKKHRQEEDSPTSSTPSQSPSESSDSEIERHRRSRKRRRDERRRISSKVDKDRDRKRRDKKYRSKRKHGSSRSSGRKSRRRYSDSDESASDATGSEFDNPERSREDPKDVVIRILKEFPAVAGDLEQLLKMIDDGQAVDISGLSERLLVKRLRKLFLSLKLKQNGDLVFLLPSKACPTLERVGSIIHSHTQLQNQHLDSSALESNKQSKSPDADNHNANLSLPNEEADTYNANLSLPNEETSAPRKRMIGPAMPSAELLAAAAKLAEAQEEMREAELEEDSELFIGPPPPAVVTEAESTNEAERFEEITRIMGVEEDNAYDVLGVNRNMASENMKKR >itb12g17730.t2 pep chromosome:ASM357664v1:12:19881646:19883403:-1 gene:itb12g17730 transcript:itb12g17730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVGTSSGSNNNSSSSSKPSSSSCGERKIRPQKEQAVNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSSSSVSSVSVSVSSANNNGGLAVKKLPDLVPPVPPILHHHHHLLHQQQQQNPSKIHENHHHHQDLNLGFSQHQDFKTISELIQVPNYESKDGGNDPHRPSSSSPPSTATHHHHLSALELLTGMTTAATSSSRGLMSSFIPMPPIPDPNNNYSSFPLPEFKPSLNFSLDGIGNNNGAYGNHHHLQGMQETATASGGGGRLFFPFEDLKSTAAPDGGEQERDSNNPAGESTGGHGFWNGVLGAGGGSW >itb12g17730.t1 pep chromosome:ASM357664v1:12:19881644:19883837:-1 gene:itb12g17730 transcript:itb12g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIVVKPMEEIVGTSSGSNNNSSSSSKPSSSSCGERKIRPQKEQAVNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSSSSVSSVSVSVSSANNNGGLAVKKLPDLVPPVPPILHHHHHLLHQQQQQNPSKIHENHHHHQDLNLGFSQHQDFKTISELIQVPNYESKDGGNDPHRPSSSSPPSTATHHHHLSALELLTGMTTAATSSSRGLMSSFIPMPPIPDPNNNYSSFPLPEFKPSLNFSLDGIGNNNGAYGNHHHLQGMQETATASGGGGRLFFPFEDLKSTAAPDGGEQERDSNNPAGESTGGHGFWNGVLGAGGGSW >itb13g21950.t1 pep chromosome:ASM357664v1:13:28412557:28412940:-1 gene:itb13g21950 transcript:itb13g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYTKKELKNIGLEGFAIIDEFYGGRKGGRKQHPNYGANAHRPLPPPPPAAAPATPFVSGNHYSTGSSSGYSRRVKYQGYNYRYSPSESHVGWAPVAAAVTAETTTVVRRGYEAGDADNLMDNYKY >itb13g02070.t1 pep chromosome:ASM357664v1:13:1900427:1901302:-1 gene:itb13g02070 transcript:itb13g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGVGGRRKALVTDEKGADAHHNRRDNLAGLTLFAILGGDEVSPATATAPAPAPAPAPAPAPAQQTRTLLDAIKDDSSGGKDHKHTWKHFKEKLRPKPPAGAAWTSTVPVPASDVPMNNRSMSRRHSNRLAEAEYPAGEYKPELSASASRRELRSTPSRKMSLTRNQSRTGDRSLKLQSSMSIRRGGEEESEGGEKGEGDQPMRMSLMALLGESEEGGYAMDDEEEDGGGCEDYGGEFRNCCVCMVRHKGEAFEPCGHTFCRLCSRELGAEKGNTCPLCNNFILEILDIF >itb09g16060.t1 pep chromosome:ASM357664v1:9:11350692:11354419:-1 gene:itb09g16060 transcript:itb09g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVNNFKAHKAYTWRGFPLIIYSPKTLVIFIAESFTLYTLFPFPLPSPMATAAARPLVSVQTFENDMVTDGSSAANCLPLPGVMKAPIRPDVVTFVHANISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKIPVNQKRYAVASAIAASAIPSLVLARGHRIESVPEIPLVVSDSAEGIEKTSNAIKALKQIGAYPDAEKAKDSHSIRPGKGKMRNRRYISRKGPLIVYGTDGAKLVKSFRNIPGVEICHVDRLNLLKLAPGGHLGRFIIWTKSAFEKLDEVYGSFDKPSEKKKGYVLPRPKMVNADLARIINSDEVQSVVRPIKKEVKRATLKKNPLKNLNVLLKLNPHAKTARRMALLAEAQRVKAKQEKLAKKRHQITKEEASAIRGASKAWYKTMISDSDYTEFENFSKWLGVSQ >itb11g08040.t1 pep chromosome:ASM357664v1:11:5155508:5160886:1 gene:itb11g08040 transcript:itb11g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQALMQQSLYHPGLLAPQPIEPILSGNLPPGFDTSACRSVYVGNIHPQVTELLLQEVFSSTGPLEGCKLIRKEKSSYGFVDYFDRGSAALAILSLNGRQLFGQPIKVNWAYASAQREDTSNHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKSGRSRGFGFVSFRNQQEAQGAINDLNGKWLGSRQIRCNWATKGAGSSDDKQTSDAKSVVEITNGISDENQEKTNEDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHSLCAGVIEDVRVQRDKGFGFVRYSTHAEAARAIQLGNARILFGKPVKCSWGSKPTMPGTSSAPLPPPAAHIPDVSATNLAAYEMQLALSKMGAAQALMHPQGQRIGAANHQAMYDGSYPGIATTQPPMYYQ >itb08g08160.t1 pep chromosome:ASM357664v1:8:7045458:7047088:-1 gene:itb08g08160 transcript:itb08g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPASTLQNRLQFIVDNGSPELWLYAIFWQASGDGNGVVLSWGDGHFRGGRGGDTDRNVAEGNGEADYPEWFYLSSVAKCFVAAEDLIVRTFDSGSYAWLSGDFGGVPFCDCGRVKEAYMHGIKTLVFFSTSYGVVELGSTEIIQQDGELLRHFQSLFNFNASAAAVPVTNRSPESSNSDFHDVHPTTCGGNLGRRRKTSLSGNDQMTRNHVASERQRREKLNHRFYALRSAVPNVSKMDKASLLADAVAYINELKAKIDDLEAKVGSRAENPNPRKRMRESTAMIIHEGTSSPSLTVDPFGVEVEVKIIGEEAIIRVQSPDVNFPAARLMNVLRELEVGIHHATVSCVAELMLQDVVIRVSGGSQEEALKAIILQRLQTYQD >itb01g20310.t6 pep chromosome:ASM357664v1:1:26556990:26558944:-1 gene:itb01g20310 transcript:itb01g20310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t5 pep chromosome:ASM357664v1:1:26555509:26558944:-1 gene:itb01g20310 transcript:itb01g20310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t9 pep chromosome:ASM357664v1:1:26556886:26559435:-1 gene:itb01g20310 transcript:itb01g20310.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t7 pep chromosome:ASM357664v1:1:26556886:26559435:-1 gene:itb01g20310 transcript:itb01g20310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t3 pep chromosome:ASM357664v1:1:26555509:26559428:-1 gene:itb01g20310 transcript:itb01g20310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t2 pep chromosome:ASM357664v1:1:26555509:26559435:-1 gene:itb01g20310 transcript:itb01g20310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t10 pep chromosome:ASM357664v1:1:26556886:26558680:-1 gene:itb01g20310 transcript:itb01g20310.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t4 pep chromosome:ASM357664v1:1:26557013:26559428:-1 gene:itb01g20310 transcript:itb01g20310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t8 pep chromosome:ASM357664v1:1:26555509:26558680:-1 gene:itb01g20310 transcript:itb01g20310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb01g20310.t1 pep chromosome:ASM357664v1:1:26555509:26559435:-1 gene:itb01g20310 transcript:itb01g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMARIRRFFLPLLVKPFSPPSLSHGQPILRYSNPIVDLSRPRLVNLFSPLNIRWGLRNFSHGTVNFVISSDGKPKFETLETDPPKKEKWMTKKRLKQQRKREKQKRKAANKRDPRRLGVKGKKKKQKFATADERIKQKIENAKIKEALLIERLKRYEVPKVQGPQVMPHELTGEERFYIKRMAQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVYCKPCKPGQIQEYASEIARLSGGIPIQIIGDDTIVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSDRNPHSILNNSMNKEERKKINLGKEASSTANKCFHESEIFADYSGQELSDYDDGLDEGQLASEVESAGDN >itb14g06990.t1 pep chromosome:ASM357664v1:14:6326148:6328645:-1 gene:itb14g06990 transcript:itb14g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKESPHCFPFLSPFHFNRFSTQSRIPNLKNQPKFIRNTEGESSPSSHPPHSTSQAEEEDPVAKMDGAQASRYWCYHCSRVVNPIMEIEPLKCPICQSGFVEEMESAAAPETTNENAFDFGGADSERALSLWAPILLGMMSNPRRRRSSSSRFRRMEFEEEDDEDNNNNNNNNNNNNERNHEEGDQTELDRELESIIRRRRRSSATILQLLQGIRAGMLSETENSEGGGGGDDRERERERDRDRENRDRERVILINPFNQTIIVQGSYDSNTNSGNHNMGSLGDYFIGPGLDMLLQHLAENDPNRYGTPPAQKEAIEAMPMVKVEDLLQCSVCLEDFEVGCEAKEMPCKHKFHSGCILPWLELHSSCPVCRHQLPFDDSKLESNGSRDNAAMNMDGNRGDGGGDPNGDARNENGRQYSVPLPWPFSSLFSPSTSAPGTQSNADGHSPSSPPTNATPGAHSHTHED >itb09g01070.t1 pep chromosome:ASM357664v1:9:664528:665264:-1 gene:itb09g01070 transcript:itb09g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVRDEHGNPIQLTDQYGNPVYLTNERGRPMHLTGVATAVGSDNVVVTHEYDTAVIKQRPEEPYQQHQLNRSGSSSSSSSEDDGQGGRRKKKGLTEKIKEKLPRKNKEHQHKEEHAHAATATDTVTHGGATFTTTGGHHPEKKSVVEKIKEKLPGYHH >itb12g03490.t1 pep chromosome:ASM357664v1:12:2278115:2280944:-1 gene:itb12g03490 transcript:itb12g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEALLLLLNGVMKMEGVKPHTFEIEPGTVLKFWVPKETIKKPKKAAAATATKHKPNKPAVVLIHGFAGDGILTWPFQVAALMKSYSVYVPDVLFFGGSITDSKDRSPAFQAECLSKGLRMLGVEKCTVVGFSYGGMVAFKMAEMFPQLVEAVVVSGAALAITDSLRTAMLHSLRVSSFSDLLMPNSVKGLKKLLRIATYKKYWFPNRIYQDFLKGMFSSSKEREELLECMVESSKDNTIRDIPQKVLLLWGENDEIFKIEQAKDLKDQLGSKTTLEGIRKAGHLVHLERPCVYNRCLKKFLASLSVDMPQK >itb15g09300.t1 pep chromosome:ASM357664v1:15:6586212:6586691:1 gene:itb15g09300 transcript:itb15g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGQKHGVQQGGEENNNGGGCGSYNWCARWKPTVEQLQILKDLYYNQMIRRPSTEEIERISLRLRQLGKIEGKNVFYWFQNQRNRERHPRKRPVTAIIAPPGLPDLHNNNYNNAAKRSKPPPQTLSLFPMHGDGDGDSDGDSSVSLELSLRPYSPKP >itb10g19860.t3 pep chromosome:ASM357664v1:10:25538989:25543624:1 gene:itb10g19860 transcript:itb10g19860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSGLIDLNTVNNDDVNGGEETASPDNSDLTESSPPPAAGLLCMELWHACAGPLISLPKKGSAVVYLPQGHLEHLSDYPAVAYNLPPHVFCRVVDVKLHAEVATDEVYAQVSLVPDNLIEQKWRNGEVEVDNEEVDTEGDGKSSTPPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVARDLHGITWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRSGDGELRLGIRRAAQAKFGSPSSALGPFSQRLNVSGILDVVNAISTRGIFNVCYNPRDSLSKFVVPYRKFSRSLLHSFAPGVRFKLHFETEDAAERRYTGLVIGVGDVDPVRWPGSKWRSLLVRWDDPDDTRHNRVSPWEIEPTGTVTGPINVVVPAAKRTRMEDFPVHGDRTVVLDIRNPSRLPVLQGQEVFPVNKPYDGTDIDPQRAVYFPGFDSSGISTRGRNIRALLGDASKSQESIGGFAESCRFNKVLQGQETYLSPPYRRGIHATNQAPQPHRSGIIVGIQVPSHSTRWSTPIVPNHHSPMQLQQPSSPLVHMSSPPSVLMFQQASSPAPSFHKQSGFSHLLSPQSSCRIFGFPLSEGRSTASGKERDPFHSPPSHGETTTPLSGNEAQVLLKPQAISSAMGSSYSKASREVLLDIAL >itb10g19860.t1 pep chromosome:ASM357664v1:10:25538989:25543624:1 gene:itb10g19860 transcript:itb10g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSGLIDLNTVNNDDVNGGEETASPDNSDLTESSPPPAAGLLCMELWHACAGPLISLPKKGSAVVYLPQGHLEHLSDYPAVAYNLPPHVFCRVVDVKLHAEVATDEVYAQVSLVPDNLIEQKWRNGEVEVDNEEVDTEGDGKSSTPPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVARDLHGITWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRSGDGELRLGIRRAAQAKFGSPSSALGPFSQRLNVSGILDVVNAISTRGIFNVCYNPRDSLSKFVVPYRKFSRSLLHSFAPGVRFKLHFETEDAAERRYTGLVIGVGDVDPVRWPGSKWRSLLVRWDDPDDTRHNRVSPWEIEPTGTVTGPINVVVPAAKRTRMEDFPVHGDRTVVLDIRNPSRLPVLQGQEVFPVNKPYDGTDIDPQRAVYFPGFDSSGISTRGRNIRALLGDASKSQESIGGFAESCRFNKVLQGQETYLSPPYRRGIHATNQAPQPHRSGIIVGIQVPSHSTRWSTPIVPNHHSPMQLQQPSSPLVHMSSPPSVLMFQQASSPAPSFHKQSGFSHLLSPQSSCRIFGFPLSEGRSTASGKERDPFHSPPSHGETTTPLSGNEAQVLLKPQAISSAMGSSYSKASREVLLDIAL >itb10g19860.t2 pep chromosome:ASM357664v1:10:25538989:25543624:1 gene:itb10g19860 transcript:itb10g19860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSGLIDLNTVNNDDVNGGEETASPDNSDLTESSPPPAAGLLCMELWHACAGPLISLPKKGSAVVYLPQGHLEHLSDYPAVAYNLPPHVFCRVVDVKLHIEQKWRNGEVEVDNEEVDTEGDGKSSTPPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVARDLHGITWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRSGDGELRLGIRRAAQAKFGSPSSALGPFSQRLNVSGILDVVNAISTRGIFNVCYNPRDSLSKFVVPYRKFSRSLLHSFAPGVRFKLHFETEDAAERRYTGLVIGVGDVDPVRWPGSKWRSLLVRWDDPDDTRHNRVSPWEIEPTGTVTGPINVVVPAAKRTRMEDFPVHGDRTVVLDIRNPSRLPVLQGQEVFPVNKPYDGTDIDPQRAVYFPGFDSSGISTRGRNIRALLGDASKSQESIGGFAESCRFNKVLQGQETYLSPPYRRGIHATNQAPQPHRSGIIVGIQVPSHSTRWSTPIVPNHHSPMQLQQPSSPLVHMSSPPSVLMFQQASSPAPSFHKQSGFSHLLSPQSSCRIFGFPLSEGRSTASGKERDPFHSPPSHGETTTPLSGNEAQVLLKPQAISSAMGSSYSKASREVLLDIAL >itb02g22000.t1 pep chromosome:ASM357664v1:2:21013304:21018985:-1 gene:itb02g22000 transcript:itb02g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEVSIKGYAEQNDVGARGVSCAGKVDAETALYTELWRACAGPLVTVPREGELVYYFPQGHIEQVEASTNQVADQQMPMYNLPSKILCRVVNVHLKAEPDTDEVYAQVTLMPVPDHDENAVKKENMPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRHQGNAPSSVISSHSMHLGVLATAWHAIQTKTIFTVYYKPRTSPAEFIIPYDQYMESEKSNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDGDPQRWQESKWRCLKVRWDETSSVPRPDRVSPWNIEPALTPPALNPLPVPRPKRPRSNNLPSSPDSSVLTREGSSKLCADPSPASGFSRVLHSQELSTLRGTFAESNESNSSEKPMVWPPSLEDEKIDVLSESRRCGSDKWLPLGRPEPSFTDLLSGFGTQMNSSCGFSLQPGDQTSATVSLTKRQKQYQEGSLLGKPWSIVPSGLSLNLMDSGIKPHGQGSDASYQARGDSRYGGHIECSMPPGHNVDNQQGSWLGRQPVPYLQMPAHARERMLNPMLMQQHEAMKPKEGNCKLFGIPLISNSPTTDTEILHKNTTIESGHLHIGIQSHHSHVVESDQRLEQSNGSKVVDDGVTGNEEEKLFHIQPADKDRERKVHSGSTRSCTKVHKQGTALGRSVDLTKFNNYDELLAELDKLFDFNGELKAMNKNWMVVYTDDEGDMMLVGDDPWQEFCGMVRKIYIYTKEEVQRMNPGTLNSKDEDTSSVAEGLDTREVKNSQIPSACSAEEC >itb04g00200.t1 pep chromosome:ASM357664v1:4:139957:141521:1 gene:itb04g00200 transcript:itb04g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAILQAQAQALLLFLISSLLIHAMAGDCQSSSCSEGGVSVRFPFGLENEQSFMSCAYNPLFSLKCSTSNSNSSSSSQQRSPVPVVFNLPCSGDFFVRKINYLKQEIQLYDPSNCLPKRFLKLDLSFSPFKALNYSNYTFLRCPKHVVKQPSSSVIDCLSNSTVSVLATSSMSVVRAMNMCEPFAANLSVPVSQSEHWFSSHSDFRLRWSAPDCRWCEAQGGVCAFAPFADYTIQDLGCSFDNATTPSGTKSFMKKGTRILWIIGVCIILPGVAFMSCMWCLKISGDYISRNWRRLYYRNRRSRSRGGGGSEMATTTVPRPLFTAEARARRNRCAICLQDYQQTVQGHSWLMNSRCPACYWDSSPEMEMETGWTSPIPQPTMSSSSSSSLRNSMAPTSTSTSTTHQTLLYTPKVFEDSERLPRPGEISCAICRDKYVAQERLGLVNGCQHSFHAHCLQSWLDTSPTCPLCRISLSSIPTSF >itb02g16130.t1 pep chromosome:ASM357664v1:2:11899539:11902032:-1 gene:itb02g16130 transcript:itb02g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERLAAPAVEKGTTPAAPSPNGSGLGIDKKSKGKVPKRVHKAEREKMKREHLNDLFLSLANALEMPEQINGKASILNGAIQSVKELLAQIEQLRRGNATLLSESQYVSMEKTELQDENCALVDQIGKLQSEIKERRVELSLDLNLAPPECQQPSTSETAFQITNPVYVVPQVYPLPGAAQPAPMPPPVSKPLPRYPTLADAWPSQILEKQGDLGL >itb02g16130.t2 pep chromosome:ASM357664v1:2:11899539:11901947:-1 gene:itb02g16130 transcript:itb02g16130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESERLAAPAVEKGTTPAAPSPNGSGLGIDKKSKGKVPKRVHKAEREKMKREHLNDLFLSLANALEMPEQINGKASILNGAIQSVKELLAQIEQLRRGNATLLSESQYVSMEKTELQDENCALVDQIGKLQSEIKERRVELSLDLNLAPPECQQPSTSETAFQITNPVYVVPQVYPLPGAAQPAPMPPPVSKPLPRYPTLADAWPSQILEKQGDLGL >itb06g13440.t1 pep chromosome:ASM357664v1:6:18157364:18158809:1 gene:itb06g13440 transcript:itb06g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGYKPDLTQATDLVYALCQHNKLGKASRVIEMMIRSGSIPDAHSFTLLVNFLCKKGNVSHAMQLVEKMEEKGYAVDNVTYNCLLRGLCKRGKLTLSLQFIDRLMEKGLVPDSYTYTVWLQATYMEKGVDEAMVLLENIVANGGKPSLANYNVLLTGLCKEGRVDEAIQFFRKFPSKGFSPDIVSYNILLGPLCFEGRWEEAYQLLADMAGEDISPNILTYNNLIGSLALHGRISNALDILDEMLSRNNVKPTAASYNPIIARLCKEQKVSAVCECLNKMIIQRCDPDERTYNAFAVLYEEGMGQEAFRILEFLRVKQNRPFHDFYRSVISSFCRKGNTYPAFHLLYDLIMSGFTPNSNIYSSLIRGLCAEGMLDTAIEIINILEANYYRLDVKNFNALILGLCKSQRTDLSLAIYEEMIEKGYMPNEITYIIIVEGLAHEEELELAGLVLKELRMREAISMTTMQRFLMQYDLEGLST >itb09g01580.t1 pep chromosome:ASM357664v1:9:959130:962036:-1 gene:itb09g01580 transcript:itb09g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGKLENNGVSGHIPAFDPPKKPLRNKYAIACSLLASLASILLGYDIGVMSGAIIYIKDNLKISDVQVELLVGSLAVFSLVGSAAAGRTSDWIGRRYTMVVASVIFFAGALLMGFATNYAFLMVGRFVAGVGVGYALMVAPVYTAEVSPASSRGFLTSFPEVFINAGILLGYVSNFAFSKLPTHLSWRFMLGVGAIPSVFLGLGVLAMPESPRWLVMQGRLGEARQVLNKTSDSLEEAQLRLSDIKEVAGIPENVNDDVVPVTERRRGAGEGVWRELFVSPTMAVRHILLTGLGIHFFQQATGIDTIVLYSPRIFEKAGITSDHKKLLCTVAVGFVKTLFILIATFTLDRFGRRKLLLSSVGGMIASMLLLAIALTIIDHSAHKVPWAVALSIAMVLAYVAFFSIGMGPITWVYSSEIFPLRLRATGCSMGVAANRVTSGVVSMTFLSLYKAMTIGGAFFLYTGIAVVAFIFFYTLMPETRGKTLEEMETLFGTFFKWRSTLREIEAKKQKMINNQLQMSCTDAKIAS >itb05g23070.t1 pep chromosome:ASM357664v1:5:28285511:28286893:1 gene:itb05g23070 transcript:itb05g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSRFTWWWWGGKDKEPAPSGSSVQDWGFGFREQGDSLKFNPVRGPGPNVTSPSRKVKRKWKSREERRRVDKEYDMVLVPSDGACLTGSESDDSDWSIGWLEPHAPGFQSDDEAEDSFGVLVPCYRNGCKALEVEEESSNRFSSAIKSLPIEYSTDAKEYMEQWLSSLNNF >itb03g12380.t1 pep chromosome:ASM357664v1:3:11520536:11524194:1 gene:itb03g12380 transcript:itb03g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MGVSKEQVESSLTAKLTPTHLEVIDTSGGCGASFKVEIVSAEFEGKRLLERHRIVNAALAEEMKEIHALSITKALTPEQWKQQQDAAEKPQAAT >itb13g24190.t1 pep chromosome:ASM357664v1:13:29924114:29928089:1 gene:itb13g24190 transcript:itb13g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVELEAIEGLRWSWNSWPVSKSEASGLVVPLAIMATPLMAFNELPVLPYDPLICSDCGAVLNPYARVDYTTRIWACPFCYRKNPFPKSYLAISENNIPAELFPTYSTVEYQLGKKGLAMGHNNNHSAPNLVPGFGNGSPRSPGASFSSLPSFSGSSSSVGPGADWTGPAFVFVVDACTPDEELRVVKNELLHVIAQLPDYALVGLVVFDSMVRVYDLSFADCFRVVLFHGERELSSQETKQLLGIHQVKYQMGMMPSVQKEGFLVRLSDGEFNITAAIEDIQSSPNVRPGHRPVRATGVAVSVAVGLLEGYLVNTGSRITIFTSGPATIGPGKVVDSDFRNAIRTHRDVDNGHAAYYRKSCGFYKKISERLSDSSIVLDLFACSLDQVGAAELKEPVECSGGFMMLGESFDSDQFKKCLRHLYSRDEFGNLKMCFDATLEIVTTKDVRICGALGPCVSLHKKNGSVSDKEIGQGGTHIWKLGTLTNKTCIAFYFEVGGEQKAQPSSAFFIQFITRYRCGNMGFRKRVTTAARRWAGNHSAEIVAGFDQEAAASLMARLAIHRLGSNFAPDVIRWLDKMLIRFASKFGDYVQEDPSSFRLSSTFSLYPQFMYYLRRSQFIEVFNSTPDETAFFRLMINREGVVGSLIMVQPTLFQYSFDGPPVPVLLDVCSISPDVILLFDSYFHVVIHYGSKIAQWRKLGYDKDPSHESLRKLLEAPEVDAEQLVAERFPVPRLIKCDQHGSQARFLLAKLNPSVTHNSTHTDGKDVIFTDDISLQVFIEHLQSLAVQG >itb08g05120.t1 pep chromosome:ASM357664v1:8:4163393:4166200:1 gene:itb08g05120 transcript:itb08g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSAEMKFLGKMLVPMRKAWTKFAYRLHFRRTGLVKLHRDVKTCEYEDVHILWEMVKRYEKEMATSSASCRGRQRWDMLYCAPFQSRSM >itb14g04550.t1 pep chromosome:ASM357664v1:14:4054953:4064953:-1 gene:itb14g04550 transcript:itb14g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTTPQLLWRLISFRHPSPSNSIFIHRFSLRPFQMGKADKSSAANNSAPEHPKDDAYLQSVIPKRISLFESIKSQQQLQRLSLSPDPIKIELPNGAVKEGKKWNTTPLDIAKELSKSLASNALISKVNGVLWDMSRPLEGDCKLELFTFDSDEGRDTFWHSSAHILGQSVEMKYGCKLCIGPCTTRGEGFYYDAFYGDLGLNEDHFKQIEAQAAKAASEKQPFERIEVTRDQALEMFSDNKFKVEIIKDLPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFGCTKASSAYWRGDKDRESLQRVYGISYPDQKRLKEYLAMLEEAKKYDHRELTKKQELFFFHPLSPGSCFFLPHGARVCNKLLEFIRGQYWKRGYEEVWSPNVYNMQLWETSGHAANYKENMFVFEIDKQEFGLKPMNCPGHCLIFDHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVKGGLEFINHVYEIFGFTYDLKLSTRPEKYLGDLETWEKAEAALTDALNEFGKPWEINEGDGAFYGPKIDISVCDAMKRKFQCATLQLDFQLPQRFNLSYSAEDESKRERPVMIHRAILGSVERMFAILLEHFKGKWPFWLSPRQAMVCPVSDKSQAYGMELRDRIHEAGFHVDVDTSDRTIQKKVREAQVAQYNYILVVGEKEATTGEVSVRVRDIPDHKVMTVDELLAHFKDLMASYK >itb06g04190.t1 pep chromosome:ASM357664v1:6:6766525:6767098:1 gene:itb06g04190 transcript:itb06g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAYYVYTCGLWTATGDLESRGRTGGVGCGKRQVGNQRERAEWAVGGGAAGCCCDCGRQRCWLLWAEASARWRWANHSPEMKIGDLAN >itb11g22300.t1 pep chromosome:ASM357664v1:11:24191901:24194193:1 gene:itb11g22300 transcript:itb11g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLLSCVTGASGNGSQDQLAERMRKHLKGQRYLIVIDDIWNTTAWDSVQRCFPDDNNGSRILLTSRLKVIAEHTCSGNTLINMPFLEADESWNLYCKVFGKTGFFQVFEQIGRDIVEKCKGLPLAITLVASLLSKTEEKVEKWKNVAESVIGDSNEACSRVLYLSYNQLPHHLKACFLYFGIFEEDYEISVKKLVRLWAAEGFLSAVKHVNMEKVAMECLQNLVDRSLVIVSKQSYNGEMKTIKIHDLLRDLCLKEAERDYSDLLYILVSASGMIRDLMDR >itb07g17020.t1 pep chromosome:ASM357664v1:7:21069278:21072356:-1 gene:itb07g17020 transcript:itb07g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAISSPVFSSSPSRLSVSSSLLCKAAAAEALTLTRTLSPSSAAAPSSSSPLSPLRVHRLSKPPPSGLIQTCSDCSPSSSSSTSPTDLKRKRPARLNIPIVPMSFGPATPSSVMEDLVEFEGDGYSVCCKRGRRGGAMEDRHSAMLNLHGDPKQGIFGVFDGHGGAKAAEYAAQKLSKNILDEIEKSENEEEIKEAVKHGYLKTDNEFVEQDLRGGCCCVTALMRNGNLVVSNAGDCRAVLSRGGVAEALTSDHRPSRPDEKARIEALGGYVDCCRSGVWRIQGSLAVSRGIGDRSLKQWITAEPETRILTLDSQQDFLILASDGLWDKVSTQEAVDVARAFCVDKSQLLSGCKKLIDLSVSRGSIDDVSVMLIPLAKFS >itb09g29890.t1 pep chromosome:ASM357664v1:9:30602989:30604824:1 gene:itb09g29890 transcript:itb09g29890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGMCSSCSALLGLLLLLGSFADGKDATETDISCLKSIKDSLEDPLGNLRSWKFNNNFQGFICSFEGIDCWNSKENKVLSIGLSHMGLKGQFPLGVAKCSKLTDLDLSNNNLYGNIPSDVPKSLPYIATLDLSSNDFDGEIPTSFGDFKYLNVLKLDNNLFTGQIPSEIEKLSLLRIFSVANNMLSGPVPDFHDHDRPVFPPQSYANNEGLCGVPLEPCRHQHYLRSFISGFAEGWAIFTVLAVVISSFCMHTTKQKQALANRLIRHIRIKQYQIDMLEKITSKMNLEELSEATCNFAETSLVGTGRMGETYKATLPNGCFLAIKRLHHSDSNGIANQFLTELLTLGRIRDRNFVPLLGFCWEMNTKLLLYSFMPNGNLHALLQSRILEWPKRLKIAVGIARGLAWLHHNNMAHLGLTTKCILLDEDFEPRVSNFGQAKFVNPFIESSVWKNLVRSTTQIPESDCYDKDVHDLGTLYLELVEQRDSNHFPENNIGSSTSRHQHLIGTGFDDEILKFLEIVNKCLVYDPDERPTALEVYLNLRNILEGSETNPNHSDRSS >itb02g20460.t1 pep chromosome:ASM357664v1:2:18397583:18398127:1 gene:itb02g20460 transcript:itb02g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPQLKDYLVNSAAAKKVYELCKVTMPKC >itb15g00400.t1 pep chromosome:ASM357664v1:15:251387:253329:1 gene:itb15g00400 transcript:itb15g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVNAASLLGVAQHPFPSLGLTSSSPRIPSSNSFKSPLLIHGAKTRPVFPPVFALKNIYDSEVAVDIVVEDEEDEAETVTEKPRTETLLYSFTPLPLLFVAALPGAGAVRSVFGPFVELVKSLNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRFSNDVEERAKAKDLHPKLLAGMFFFFALGATGGITSLLTSDKPILESPHAVTGLIGLSLLTIQTLLPALFEGNPGLRNVHGILGSGIMTLFLVHFALGLQLGLSY >itb04g30880.t2 pep chromosome:ASM357664v1:4:33870610:33872275:-1 gene:itb04g30880 transcript:itb04g30880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQLNLIAK >itb04g30880.t3 pep chromosome:ASM357664v1:4:33870617:33872196:-1 gene:itb04g30880 transcript:itb04g30880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQLNLIAK >itb04g30880.t1 pep chromosome:ASM357664v1:4:33870697:33872176:-1 gene:itb04g30880 transcript:itb04g30880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQLNLIAK >itb07g20170.t1 pep chromosome:ASM357664v1:7:24595919:24602492:1 gene:itb07g20170 transcript:itb07g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLANALAMALAINATAKSSFTDSQDIAFGNPWWFVYAGVSCFLVLFAGIMSGLTLGLMSLGLVDLEILQRSGTSSEKKQAAIILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFHPVVAVVLSVTFVLAFGEIIPQAVCSRYGLAVGANFVWLVRILMIICFPIAYPIGKVLDLVLGHHDALFRRAQLKALVSIHSQEAGKGGELTHDEATIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKVNRKNKSPPILHEKEKIRESKLGNEDSQLTAPLLKKHDQILNSIVINVDKDLLLQTASKEKSLQQNGVVTNNFTDLSEDIDDGEVIGIITLEDVFEELLQEEIVDETDVYIDVHKRIRVAAAAAASSVARAPSARRLTGQKASGGQGKQGVKAKKSVEDDSNR >itb07g20170.t2 pep chromosome:ASM357664v1:7:24597242:24602492:1 gene:itb07g20170 transcript:itb07g20170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQIIPQAVCSRYGLAVGANFVWLVRILMIICFPIAYPIGKVLDLVLGHHDALFRRAQLKALVSIHSQEAGKGGELTHDEATIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKVNRKNKSPPILHEKEKIRESKLGNEDSQLTAPLLKKHDQILNSIVINVDKDLLLQTASKEKSLQQNGVVTNNFTDLSEDIDDGEVIGIITLEDVFEELLQEEIVDETDVYIDVHKRIRVAAAAAASSVARAPSARRLTGQKASGGQGKQGVKAKKSVEDDSNR >itb02g12560.t1 pep chromosome:ASM357664v1:2:8658837:8661280:1 gene:itb02g12560 transcript:itb02g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNMRTEKIAAVDGCSSTFGGGGRELHVLAVDDSYVDRKVIEKMLKISCCKVTAVDSGSRALQYLGLDGEESSVATDGLKVNLIMTDYSMPGMTGYELLKKIKGSSALRQIPVVVMSSEKILARIDSCLEEGAKEFLMKPVKLSDVKRVVDFILRGEEDGKETESTTDNTPLSPESYLANIARISLDASNRT >itb02g12560.t2 pep chromosome:ASM357664v1:2:8659111:8661280:1 gene:itb02g12560 transcript:itb02g12560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNMRTEKIAAVDGCSSTFGGGGRELHVLAVDDSYVDRKVIEKMLKISCCKVTAVDSGSRALQYLGLDGEESSVATDGLKVNLIMTDYSMPGMTGYELLKKIKGSSALRQIPVVVMSSEKILARIDSCLEEGAKEFLMKPVKLSDVKRVVDFILRGEEDGKETESTTDNTPLSPESYLANIARISLDASNRT >itb04g31930.t2 pep chromosome:ASM357664v1:4:34638888:34648876:1 gene:itb04g31930 transcript:itb04g31930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEIPQYFLCPISLQIMKDPVTVVTGITYDRESITQWLLAAAEEPSCPVTKQPLAARDAAAGELTPNHTLRRLIQSWCIANSHKGIDLLPTPKSPLTKSRVHRLIRDLNNPKTFMKSLNQLEELAEEEKNRKCIEEAGVAKSMVLFVVKRFKEGEVILAGLEQALRIFCLVWTPSEENKSIVNQNHSGLIPLILQIMKTKTELDHLKIQAMAALKAITEVSNSSSLEGLTQQFFSKIVSLITQQTTIQKQALHVLIHASQLGKNRLKIIESGAIFDLIELELSGPGKRISELAFCLLANLCSLAEGRQELLKHAGGIAVVSKRVLNVSPATDNWAVQILAVISRCSGTKDVVLEMLRVGAVSKLCMVLQADCEVRLKKKAREILRAHSSVWSDSPSIPLDHKQQAGFRRRLRPSLPGNLLIQSHRFSGERVVATGKRAVLQSCSDSNFADWAVAVASSPATHSSAAPAFGDLSLGFNAAPNAAVDGGAASGGHVGLWSSSSARQMQQQISHGVPPDMGMFVVAPAASFLHHFQPACISFDGSATVSGGGLQLWQSQDTAAPAPAPDISYTKKLGEHPHGSPSSNSQSFFQLHGGAAADGGGAAVSTCQDCGNQAKKDCIHRRCRTCCKSRGLDCSTHVKSTWVPAARRRERQQVSQATSPAAVSCSQSVSSGVKKPRLVLGNNSQTSHTSTSNNTATTPRSFDTGDSSGGGSMPKQVTAPAEFRCVRVSPVDGGEDDEFAYQAVVRIGGHVFKGFLYDQGLENDGDDHAGNNAQHPGLFPNISDLNLGARGGNNNVILGGTTSSAPPILAPSSSEPHDIFAAGAGGIIGMGGGSNFGIGNPF >itb04g31930.t1 pep chromosome:ASM357664v1:4:34638888:34640168:1 gene:itb04g31930 transcript:itb04g31930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEIPQYFLCPISLQIMKDPVTVVTGITYDRESITQWLLAAAEEPSCPVTKQPLAARDAAAGELTPNHTLRRLIQSWCIANSHKGIDLLPTPKSPLTKSRVHRLIRDLNNPKTFMKSLNQLEELAEEEKNRKCIEEAGVAKSMVLFVVKRFKEGEVILAGLEQALRIFCLVWTPSEENKSIVNQNHSGLIPLILQIMKTKTELDHLKIQAMAALKAITEVSNSSSLEGLTQQFFSKIVSLITQQTTIQKQALHVLIHASQLGKNRLKIIESGAIFDLIELELSGPGKRISELAFCLLANLCSLAEGRQELLKHAGGIAVVSKRVLNVSPATDNWAVQILAVISRCSGTKDVVLEMLRVGAVSKLCMVLQADCEVRLKKKAREILRAHSSVWSDSPCIQEKYDGTIEGLNRPFSNTNVFVYVHRR >itb01g30860.t1 pep chromosome:ASM357664v1:1:34712269:34716853:1 gene:itb01g30860 transcript:itb01g30860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVAAILFTLAAVLICIEVCAGATFSFRLIHRFSDEAGSVWAAKGRQGPWPERDSVERARLLLSSDFKHQRLRLGSQKQLLVPSEGSQTFDYGNDWSWLHYAWIDIGTPNVSFLVALDTGSDLLWVPCDCVQCAPLSSSYYTVLDRDLNEYSPARSSTSKQLPCSHQLCDLGPDCKSPKEHCPYMVDYLSENTSSSGFLFEDQLHLILSGEHSHQDSALAPIIIGCGSKQSGSYLDGAAPDGVLGLGPGKISVPSLLANSGLVPHSFSLCFDKSHSGRIFFGDQGPGNQRFTPFLPLEGNYNKYIVEVEHYCVESSCLKQSGFRAQVDSGSSFTLLPYETYKKVVNKFDELMNATRSSVDVFHYCYKARSQGLPNIPSMKLILSANQSFVIQNPMFPILNYQGVQYYCLGVLPIEGMYGLIGQNFMTGYRMVFDWEKLKLGWSASNCKDLDDNDKVPVTPTPSGLSASPLPTNEQQRTPNGHAVAPALAGRAPPRPSAASPCTIHCQHCTLILLMLLQSFVWLCYLV >itb01g30860.t2 pep chromosome:ASM357664v1:1:34712269:34716853:1 gene:itb01g30860 transcript:itb01g30860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVAAILFTLAAVLICIEVCAGATFSFRLIHRFSDEAGSVWAAKGRQGPWPERDSVERARLLLSSDFKHQRLRLGSQKQLLVPSEGSQTFDYGNDWSWCSLLFGASIMQDRDLNEYSPARSSTSKQLPCSHQLCDLGPDCKSPKEHCPYMVDYLSENTSSSGFLFEDQLHLILSGEHSHQDSALAPIIIGCGSKQSGSYLDGAAPDGVLGLGPGKISVPSLLANSGLVPHSFSLCFDKSHSGRIFFGDQGPGNQRFTPFLPLEGNYNKYIVEVEHYCVESSCLKQSGFRAQVDSGSSFTLLPYETYKKVVNKFDELMNATRSSVDVFHYCYKARSQGLPNIPSMKLILSANQSFVIQNPMFPILNYQGVQYYCLGVLPIEGMYGLIGQNFMTGYRMVFDWEKLKLGWSASNCKDLDDNDKVPVTPTPSGLSASPLPTNEQQRTPNGHAVAPALAGRAPPRPSAASPCTIHCQHCTLILLMLLQSFVWLCYLV >itb01g30860.t3 pep chromosome:ASM357664v1:1:34712270:34716853:1 gene:itb01g30860 transcript:itb01g30860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDLNEYSPARSSTSKQLPCSHQLCDLGPDCKSPKEHCPYMVDYLSENTSSSGFLFEDQLHLILSGEHSHQDSALAPIIIGCGSKQSGSYLDGAAPDGVLGLGPGKISVPSLLANSGLVPHSFSLCFDKSHSGRIFFGDQGPGNQRFTPFLPLEGNYNKYIVEVEHYCVESSCLKQSGFRAQVDSGSSFTLLPYETYKKVVNKFDELMNATRSSVDVFHYCYKARSQGLPNIPSMKLILSANQSFVIQNPMFPILNYQGVQYYCLGVLPIEGMYGLIGQNFMTGYRMVFDWEKLKLGWSASNCKDLDDNDKVPVTPTPSGLSASPLPTNEQQRTPNGHAVAPALAGRAPPRPSAASPCTIHCQHCTLILLMLLQSFVWLCYLV >itb03g29320.t1 pep chromosome:ASM357664v1:3:30138474:30141651:-1 gene:itb03g29320 transcript:itb03g29320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGVSSKVDIASLKETLCAQQKLLQSLYSELDVEREASASAASEALSMILRLQGEKATVQMEAEQYKRLAEEKMCHAEEALAIFEDLIFQKEMEIAALDYQVQAYKYKLMSMGCADPGGTEIKFPEHLLQLNETVMGDGGLQSLSRRNSFPVFPLKLRRSVNGTENSASPEVEFSSKLVDEREQICHEMMMMNEPSSDVEKKTETSAAGGINSYWEQIRKLDERVKLIAGVGFGAAGHTNLRRDTRSPSPFSSRRGSTFDLARLGGGGDVDQSSKFPGSTSENENNTDFPCSPNVLDVFEVPQTDDKDTIDEIPMPPIKNEGKRTSQQDCDRRLEKPDSFPKESLNLSEKDRRDLLKRLLAFPPHQENNSRRLSQESSATVSINLENVQPTTASVNADDSQTEELLPQPDRPSEIIEARRESSSSSSSSSSSDREVVMLNLLREIKEQLNSLHTEVTNLKTKKSSPSEADELALVSLKEAMFYFWL >itb07g17780.t1 pep chromosome:ASM357664v1:7:22060543:22062568:-1 gene:itb07g17780 transcript:itb07g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTALNLGSFAAGAVNNFPAHNSHRLSSPLHGFQSLPLSVPPHAVLKQSSLGWKSRPCVRTAVAAVDSSDPAEKDKQESERKKYYFVVANAKFMLDEEEHFQEQLFERLRLFGERDKEQDFWLVIEPKFLDKFPNITKKLKRPAVALVSTNGPWITFMKLRLDRVLQDCFEADSLEEALASNPAKLEFEKPANWTAPYPKYNFGWWEPFLPPGSQAQKV >itb03g17210.t1 pep chromosome:ASM357664v1:3:15902946:15905406:1 gene:itb03g17210 transcript:itb03g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKSSKIDSENTASNFHAAFISSSSLHNISRGKLGLAFKVLDKMKGDENYKPDVVTYTVLMDAMLVEGDINGAMELLDEMLSRGLEPNLYTYNAILKGLCGKGMMDQAYKFIASLPARGCKPSVISYNILLRASLCLGDWDGGEKLVEEMLSTGCEPDVVTYSILMISLCRDGKLNKAIDLLKIMVEKGLTPNIFIYNPLIRASCKEGRLDLAIDLLNDMISNGCSRIMNYNTILSAMCKSGNADLAIGVFEKLCESGCPLDVSAFNSMMSALWNNGERTKALNVVPLMIDQGIDPNEITYSTLVSCLSKYSMVDEAMVLLKDMESCSIPPTVNIYNSVLLALCKSQRSDEAIGILVEMADKGCQPNERTYIILINGIGLQGWRDEAIKLGATLFRMNVISKKSLRGLKKSFSILGTSK >itb09g11420.t1 pep chromosome:ASM357664v1:9:7116575:7118630:-1 gene:itb09g11420 transcript:itb09g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPETASFDPLASHGGADTGQAAVVYLPPGFRFHPTDEEIIVDYLKKKVTDIAFSSVAIGEVDLNKCEPWDLPRKAKMMGKKEWFFFWQKDRKYPTGTRTNRATESGYWKATGKDKEIFQSGRGSSSSGSIVGMKKTLVFYKGRAPKGQKTNWIMHEYRLHGTQFYNTTREEWVVCRVFHKQTTGVVYMRRSPPRNDAVSRIDSISIADHLLQSPSKLPPLTDFPDYSDDKPPAPPLLPCNLTLQNPPSAVFYPPNPNSDTATAALKAESVSQDAAGVVSPDVTPTEISWELPDGGGALGGLYDDSMADLDRYYSFLNYY >itb04g29460.t1 pep chromosome:ASM357664v1:4:32818313:32822651:1 gene:itb04g29460 transcript:itb04g29460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFIRGLGVARIDALDKHDASITDWIYFSDRRLRKDQIIVYPRTSCISAHVAKPSISVPKPNFLNSEFQQDNNAAAILPFRVFFVFYCFLGRTTHSPRSRFQATHLSDSARSVTDNNTTWGTYGDIFLSLARRSWFLASSDRHRHLISFSKTQRGRGRGRGGYGGGFRIAKQEPFELFPKINDLDLGNASNVTERLSLAVWYTKLQKYWNSSPYFLGDESDNSKKTKSMDIERFSDRNSDKAKKRLPISHFITVDPAYFPGELAKGERAGKHAAKRAYILLTMFRI >itb01g15980.t1 pep chromosome:ASM357664v1:1:19488618:19497244:-1 gene:itb01g15980 transcript:itb01g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MGDSSREDEKNTLKILVATDCHLGYLEKDEIRRHDSFQSFEEICSIAEKNQVDFLLLGGDLFHENKPSRTTLVKAIEILRRYCLNDQPVQFQVVSDQTINFANVFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMDLGGSGVGQISLYPILIRKGSTSVALYGLGNIRDERLNRMFQTPHAVQWMRPEAQEECQLSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLKSVRPFEYTEIVLKDEPDIDPNDQNSILEHLDNVVRKLIERSIAISGSENKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKSSNRGRSAVKIEESERLRPEELNQQNIEALVAESNLKMEILPVNDLDVALHSFVNKDDKMAFYSCVQYNLKETRNKITRDSDTLKFEEEDIILKVGECLEERVKERAVQNKDDQPFSFSGQSSEIRSKSIRGIESAVSFSDDEDTTPFSASKSTANKGKKELSQSFRSSHDASEVGKTSRGRGRGRGRGRGRASSNLKQTTLDMGFRHSQISSSVRSIAEDEENVDSDSSDEKAENEINDVDDSLDDETLQGKGRKRAAPRGKGRGSTSSAKRGKKSDNAPSIQRMLMNLDDEDDDDEVPKKSNKPPPRVTRNYGALRR >itb11g22340.t1 pep chromosome:ASM357664v1:11:24222778:24227623:1 gene:itb11g22340 transcript:itb11g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKVLETLEGDSVEFKMGESQSCHDETLEQVSVLTTLSVECTGSELGPEKANRSNFGVNDQSVEAPNPGKESDFGYCNDGLESMGAEIDMESHEGGAVAVENETGGGASLVWGTREVGVGLYGNGMDGSGVSLIETGHDGNKSDEQVGVEAIRMGENDPAARVDGGISQIGEEGISLIVEVFGPLNGTCQRDNTFCETEHMLEEGASECTPSSTRDEERVANPAEEDTTDNQEHKFCVGDLVWAKTKTEVWWPGMVSDPTAASKDAAKSDERDGFLVKYFGSIISVRCSASRLKPFIEYFEQMSQQNKSRSFVGAVDKALLEIGQRIKQEMSCSCSLKETKTTNATPVHPISKDIKRGGLGFFSASVFEPEKFMESIRYRALDISMPGSVEFAVVKNCLSAFYGSLGHKQLPMYKLRPRNDANGGNDAKDGALHGLGLERTGEELNKLFSDSNLPLGCNMNERETKISAEKVNKTPKGKLEKSFELRERKKSKYLSYPYVNSWEKKDSAARGGTETEDPKGDSNAAVDTKNTSEQPEVSRPSGNSSGKNPRKKSRKSRTFKSIYGKVENIDTSSTEMLQELQLTALECFYPSESKDSSSVLNFFYGFRKHSFLNHDFTSEDICNHKEGIMNKETLGHQEIDAAKGSEGDKLQLAPSSVEPKRRRRKQKEALNQTCPDNAEKGKVRRKRKKMETASLGHPEIRVIGGLPDLNGNSMSLSVENMEVTGPDTSKGKLEPKRAKNKEVFVSEASTATDTKSSEVQNVNRSTKFIPVLKDVHVMGTFRVENNLHLSGLSVAVKPEPKKRKRKEKASDVQNSPSAIPDLNGNATNHSSTAKGLADPSAVTPQGQPQQKRTESDVGGATGSMGNTLLLNFTPGHPLPSKESLNEAFIRFGPVVEPGTQFVSGSCAQVVFAQSCDAEVAYKSLETSSPFGPALASYHLNRRPAATNSTGKGLADLSAITSQEKPRRRRRRRNTPNAGITTGNILILNFTPGHPLPSKESLNDTFIKFGPVVEAETKFSSDTTAQIVFARSSDAEGACQSLGKSSPFGPALASYHLANTSQEKPRRRKMRRTTPNVVRTTGNTLILNFTPGHPLPSTESLNDTFIKFGPVVEAETKFLSDTIAQVVFAKSSDAEMACQSLGKSSPFGPALASYHLHCRPGPAADNSKMPTTLLPMDAFKFPMLPSGKAPTNGEAPDLMYIKQNLEMMTAMLEKAGDNISPDMKAKLEGEVKGFLKKVSAMVGTSSSSS >itb04g24030.t1 pep chromosome:ASM357664v1:4:28869288:28870597:-1 gene:itb04g24030 transcript:itb04g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVHGSFRSPAVLRVVACLKEKELDYEFVNVNMQTGDHKQPQFLALNPFGQVPAFEDGDLKLFESRAITQYIAHTYVDKGTQLIVPDHKKMAIMSTWMEVEAQKFDPAATKLGFEIVIKPMRGLTTDDAVVAQYEEQLGKILDVYEARLKESKYLGGDCFTLVDLHHIPLINYLMATRVKALFDARPTVSAWVADILARPAWSKVQELLEQK >itb04g04110.t1 pep chromosome:ASM357664v1:4:2542084:2544834:-1 gene:itb04g04110 transcript:itb04g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05590) UniProtKB/Swiss-Prot;Acc:Q9SYK0] MGMGPAAMAELFITRISLFPILFFLSSYAAELPINVWPKPVSFDWPSPGAVLLSPNFTVVSPGHPYLKPAVDRYTNAVKTEHHRPLITPALNLTSYTPLKLLNITVNDVDAPLAHGVNESYTLSIPYGGSAYLSAETAWGAMRGLETFSQLVYGGGGEEPRVAAGLRISDAPIFPYRGVLLDTSRNFYGVEDLLRLIRAISMNKMNVLHWHISDSHSFPLVLPSEPELARRGAYAEEMTYSPEDVKKVVEFGLQYGVRVVPEIDMPGHTGSWAEAYPEIITCANMFWVPPGTSAPLAAEPGTGQLNPLNQTTYKVVKNVIHDTIAMFPDSFFHAGADEINSNCWNTDPSIQNFVSNNGTLSQLLETFINSTLPYIVSLNRTVVYWEDVLLSPSISVGSSLLPRENVVLQTWNNGPNNTKRLVEAGYRVIVSSADYYYLDCGHGSFLGNDSQYDQPPGTDQGNGGSWCGPFKTWQLIYNYDITYGLNDEEAKLVLGGEVALWSEQADGTVLDARVWPRTSAMAEALWSGNRDETGKKRYAEATDRLNEWRYRMVGRGIGAEPIQPLWCAKNPGMCNAVFPFSE >itb10g24370.t1 pep chromosome:ASM357664v1:10:28235213:28236140:1 gene:itb10g24370 transcript:itb10g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREAPPRLLKHKTWSPNMYREETWVRRQGNNNRLGRDRCKSVTDDDLDELRGCIDLGFGFHPEPNDLDPKLAETFPALELFCAVNKQYNESLSRSSSMSNMALSDNDTTTTTPLAGSPAPSSMFDLGEDPETVKAKLKQWAQVVACSVRQSSRIP >itb02g09660.t1 pep chromosome:ASM357664v1:2:6194705:6196132:1 gene:itb02g09660 transcript:itb02g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLTVYRPRIHQSLLFSSTFVQRSNLSSPEIQHHDNFNPIKHKDWLSPTQVIKIFQNLKDPNSALNLWTQMSKRKDYTPNEALYSAVINKLAEAKNIDAIETLMERIKLERKCRLSDAFFHNVIRIYGNVGGRINKAIETLFDMPNYKCWPSVKTFNFVLNLLVNTKQFEVIHRVYTGAANLGVEIDACCLNIIVKGLCNCGKLEGALQVLDEFPKQNCAPNVRTFSTIMRALCDRGRVDEAFGLLERMERERVEADTIMYNILISGLRKQGRVEEGIEVFNKLMHKGCDPNDGTYQEVLYGLLDAKRFIDAKDFMGVMIDKSVNPSFESYKLMVHGLCDQNLSVHLDWVLKQMVRHGFVPRMGMWKKMVECFLHSKDCCNYVIMSFEDIIADQSP >itb02g12100.t1 pep chromosome:ASM357664v1:2:8210349:8214514:-1 gene:itb02g12100 transcript:itb02g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWVLIFSAILRATLFSRISLALNPDGVALLELKATLNDTRNVLSNWRDSDATPCTWTGISCHQIEQRVISINLPYMQLGGIISPSIGKLDRLQRLALHQNNLHGVIPNEIGGCTELRAVYLRANYLQGGIPSNIGNLSMLNILDLSSNSLRGAIPSSLGKLKLLRYLNLSTNFFSGEIPDVGALSTFGSKSFIGNLDLCGQQVLKPCKTSMGFPAVLPHAESDEASVPVKKPSHYIRAVVIGAMSTLGVVFIVLLVFLWVWSVSKKERAAKRYTEVKKQVYQEPSAKLITFHGDLPYPSCELIEKIESLDEEDVVGAGGFGTVYRMVMNDCGTFAVKRIDRNREGSDQVFERELEVLGSVKHINLVNLRGYCSLPSAKLLIYDYLAMGSLDDFLHGRPQHVDEDRPLNWNARLRISLGSARGLAYLHHDCSPRIVHRDIKSSNILLDENLEPHVADFGLAKLLVDEDGHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLLKENRIEDVVDKRCTDADIETVEAILEIAARCTDANPDERPSMQQVLQFLEQEVMSPCPSEFYESHSDYS >itb09g24990.t2 pep chromosome:ASM357664v1:9:24900731:24905120:1 gene:itb09g24990 transcript:itb09g24990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEKRPDDTSSSSTSCFAHFWSSARRSKPLAPPSDAAVRTVSGEGLVRRLGLFDLILLGIGASIGAGIFVVTGTVAHDAGPGVTISFLIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVINALELIPFFKDSIPNWVGHGSEEYLGAFSFNLLAPIVLIVLTIVLCRGVGESSMLNSIMTVTKVVIVIIVIIVGTFEVDVSNWTPYAPNGFKAILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIVLYIAVCLVITGMVPYKLLGGDAPLAEAFNDKGLKYVSVLISIGAIAGLTTTLMVGLYVQSRLYLGLGRDGLLPLIFAKVHPTRHTPVHSQVWVGIVAIILAGLFNVELLSHILSVGSLLVWYPSV >itb09g24990.t3 pep chromosome:ASM357664v1:9:24900731:24905120:1 gene:itb09g24990 transcript:itb09g24990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEKRPDDTSSSSTSCFAHFWSSARRSKPLAPPSDAAVRTVSGEGLVRRLGLFDLILLGIGASIGAGIFVVTGTVAHDAGPGVTISFLIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVINALELIPFFKDSIPNWVGHGSEEYLGAFSFNLLAPIVLIVLTIVLCRGVGESSMLNSIMTVTKVVIVIIVIIVGTFEVDVSNWTPYAPNGFKAILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIVLYIAVCLVITGMVPYKLLGGDAPLAEAFNDKGLKYVSVLISIGAIAGLTTTLMVGLYVQSRLYLGLGRDGLLPLIFAKVHPTRHTPVHSQVWVGIVAIILAGLFNVELLSHILSVGSLTGYSVVAACVVSLRLKDKPVNQVSAGRVSNRDEGIICLILVAFCGFAAGALYRFGASFAFMIVAAVIAIIAAAALHFRQVYTNPPGFSCPGVPVVPAVCIFLNIFLFAQLHYEAWVRFIVLCIITVGCYALYGQYHSNPLGASSLVTYHRAPSDEANA >itb09g24990.t1 pep chromosome:ASM357664v1:9:24900731:24905078:1 gene:itb09g24990 transcript:itb09g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEKRPDDTSSSSTSCFAHFWSSARRSKPLAPPSDAAVRTVSGEGLVRRLGLFDLILLGIGASIGAGIFVVTGTVAHDAGPGVTISFLIAGLSCVLNALCYAELASRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVINALELIPFFKDSIPNWVGHGSEEYLGAFSFNLLAPIVLIVLTIVLCRGVGESSMLNSIMTVTKVVIVIIVIIVGTFEVDVSNWTPYAPNGFKAILTGATVVFFAYVGFDAVANSAEESKRPQRDLPLGIVGSLLVCIVLYIAVCLVITGMVPYKLLGGDAPLAEAFNDKGLKYVSVLISIGAIAGLTTTLMVGLYVQSRLYLGLGRDGLLPLIFAKVHPTRHTPVHSQVWVGIVAIILAGLFNVELLSHILSVGSLDILLLQLVWYPSV >itb04g24100.t2 pep chromosome:ASM357664v1:4:28895291:28896262:1 gene:itb04g24100 transcript:itb04g24100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVVDTILFTGVGSGKVWEMRSLPLDRLSSASSGHLSEENHGSDPMDVGNVEAAYGDADNDITSISNDEIPNGPVSLITEEKTTQSITELKPRFSTQIVSISDHTNLAVCSNRGLSHKTENRYIKDEWLKEGKLDSQSSLPDAPPSQNFSSDTCFSSSQREIHVRNLLENSLAFEQSHKNSILDNPEDDPGNNPDSVSAANKSSSPCETEQHSEMLESINTGAKLSSSPCETEQHSESNNAPVISCQRRSSRYKPSARALEAIAYGFMEAKTKRKRTNSSTSTSSSQRVRKSKHLVDENVTGDPCKKEANDNNDGKQLKKT >itb04g24100.t3 pep chromosome:ASM357664v1:4:28893283:28896262:1 gene:itb04g24100 transcript:itb04g24100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLANKSSKRFPSKKSSGTDSVCGDHKVFPRVGAEYQAEIPLILECDRRKLKKTSFNGGIEVDTSDHVVESNQDDTIDNGKTAGLLNLPSRLRGKSVDIDSGSLQERKAHLSNCDGFHLVPGLPNKGWKQIEHSSFLLGLYIFGKNLAIIKRFVGSKDMGDILSYYYGKFYKSKDYQRWSDSRKLRGRRCISCQRLFMGGRQHELLSRLSSRVSEECLRMLIKASKLLGDGNITLEEYVFSLRDKVGIENLVEAVAIGKGKRDLTGTSMDYTKAIQSLPSLVFLVLDVSKFSRSLAKGTHFFNSISDVLKKVASEPSLLEQEVNAYNDEEQEEKRVINPEVSQEQNNSASSQHSMEFTVVDTILFTGVGSGKVWEMRSLPLDRLSSASSGHLSEENHGSDPMDVGNVEAAYGDADNDITSISNDEIPNGPVSLITEEKTTQSITELKPRFSTQIVSISDHTNLAVCSNRGLSHKTENRYIKDEWLKEGKLDSQSSLPDAPPSQNFSSDTCFSSSQREIHVRNLLENSLAFEQSHKNSILDNPEDDPGNNPDSVSAANKSSSPCETEQHSEMLESINTGAKLSSSPCETEQHSESNNAPVISCQRRSSRYKPSARALEAIAYGFMEAKTKRKRTNSSTSTSSSQRVRKSKHLVDENVTGDPCKKEANDNNDGKQLKKT >itb12g04960.t1 pep chromosome:ASM357664v1:12:3287097:3287855:-1 gene:itb12g04960 transcript:itb12g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVCLLDEFSSTHSFKKANIHLQPKNRKPTTRLNPHNFHHFIPSPSSRFQNYLFPSSPPTSSSPAAPERTSKILNQNNLSSFDASITYETNLKHLCFYLRNSSLAKPSIKPSFRTPSS >itb02g06830.t1 pep chromosome:ASM357664v1:2:4281891:4283097:-1 gene:itb02g06830 transcript:itb02g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDNSSTCGEACVESPEVEVTSQDSNNKLVFSVDEEALIVRMYNLVGERWSLIAGRIPGRSAEEIEKYWNSTHSTSL >itb07g06230.t1 pep chromosome:ASM357664v1:7:4389710:4394835:1 gene:itb07g06230 transcript:itb07g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKIHSQSHHHHTVAMRLGEQLSSKRKYTLICRNRLPQLLGCWILLIFFVSSWIFNNMDATHKEKRKEALVSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKSPSAIDKETFAEYTARTAFERPLLSGVAYAERVLNSHRGSFEDQHGWTIRTMDKEPSPIRDEYAPVILAQETVSYLESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSNHLGVVLTFPVYKSMLQANPSQQDRIEATAGYLGGAFDVESLVENLLGQLAGNQAIVVNVYDITNSSDPLVMYGQPGEEGDLSLTHVSKLDFGDPFRKHEMICRYLQKAPTAWAAVTTAFFIFVIGFLVGYMIYGAGIHIIKVKDDCHKMEALKVEAEAADIAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQACGKALITLINEVLDRAKIEAGKLELEIVPFDLRSILDDVLSLFSEKSRKKGVELAVFVSDKVPEIVFGDPGRFRQVITNLVGNSVKFTERGHVFVQVSLAEEAKAKSEACLNGGSERFIPSSGYHCETLSGYEVADNRNTWDSFKHVIPDEPLYYRAANKLMTDDASQNVTLMVSVEDTGIGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPDVGSTFSFTVNFQRHETNGSVDLKKGLSDDLPMSFKGLRAIVVDGKPVRASVTKYHLKRLGILVEVVNSIKKAVAVLGKNGSLISKGQLQPDMILVEKDVWISEDGGGLNLQIPNLKPNGHTYKVPKMILLAVDISGAEFEKAKAAGFADTIIMKPLRASMVGACLHQVLGMGKKTQGKDACNKSTLRGLLCGKRILVVDDNRVNRRVAAGALKKFGADVECAESGAAALALLQLPHNFDACFMDIQMPEMDGFEATRRIRKMENEANERVNGGLEGEGRHKWHVPILAMTADVIHATLDKCLKIGMDGYVSKPFEEENLYKAVAKFFESKPMPDV >itb01g03540.t1 pep chromosome:ASM357664v1:1:2321376:2324802:-1 gene:itb01g03540 transcript:itb01g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMEGEIKSFMVVWSTVLGCLCYCHAIDKLLLPKPTITRALSLIPVACLFMVLPLNLHSINLGATSSFFIAWLASFKVLLFAFGDGPLASSPPLPLSSFVLVACLPIKFQSLQESSSSQPKKARRSSVNHLTKLMLLLILFRVYKYQKYIHRNLLLFCYCLHIYFCLELMWNLIVSNILRPTVYAPVKSATGRVLSRKWAVVTAVMATFVVSGVMHELVFYNIGRVRPSGEVLGFFVLHGACLGVEIGVKKWLKGRFWVPGFVSGPLAVCFVILTSFWLFFPPFLKNKADVKVCSEFLAFVETVKHHTLVSPNNITCPFF >itb08g05590.t1 pep chromosome:ASM357664v1:8:4616723:4618794:-1 gene:itb08g05590 transcript:itb08g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPLLISLTFLVSILSISFALDTISSTQFLKDGHTIVSSGGMFEMGFFSPANSHNRYVGIWYNQIPTRTVVWVANRDTPLTNTSSVVLKIVDPGRLALVDDANTSNIWYTNTSRLVQNPIAKLLDSGNLVVIDASDDKAENLVWQSFDHPTDTLLPGMKLGTNFVTGLETTVSSWKSENNPGTGEYKLWLDPVGYPPLIIRKGIKEVFRSGPWNGVRWSGLSGMVKRRNIAEIFVIINMKEASVSFKVYNNRINSSILLRMVLSNSGSADLCIWAGGGWNLIRKAGTDVCDKYGSCGTYGRCERAWGRGDFPGGCVRRTLLKNCQNGSSSSSDGFLKYSGVKLPDTRFSAFNTSMNLQECRQVCLKNCSCMAYSSLDISNGENGCLLWFRDLIDISAVPSDGFDQDLYIRMASSELGNNLLLIFSYSA >itb07g02640.t1 pep chromosome:ASM357664v1:7:1688235:1689197:-1 gene:itb07g02640 transcript:itb07g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSTHPTPLLLTISILIFSLAFPVTGDDKHVFGKPIPRKTLGLKKEKLSHFRFYWHDIVSGRNPSSVTVVSPPRNSSTGFGVVNMIDNPLTLRPELSSKMVGKAQGLYASAAQQEVGLLMVMNFAFVEGKYNGSAFTVVGRNAVFHAVREMAVIGGSGLFRFARGYVQARTHSFDLESGDATVQYDAYVMHY >itb08g04920.t1 pep chromosome:ASM357664v1:8:4034546:4036801:-1 gene:itb08g04920 transcript:itb08g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFGWSLCTIIFIVSISWLLIKPRKNNSPPTPFALPILGHLHLLQPPPHRALQALSQKHGAVVHLRFGSRAIVVVSSPSAAEQCFTTNDIIFANRPKSLAGKHLGYNHSTLGFSPYGNHWRNLRRIAAAHVFSAASVNRSAAARAEEIRFQAKKLAAAGHGGGEFRKVNLNALFLDLVYSAMMRIVAGKRWSEPADMFGPPTVMSLCDYFPVLRWVGFQGTEKKLVKMKKQRDYFLQGLIDESRKTIEMNKKKKKKKTIIEALLLLQEAEPETYTDEVVKGVIMVMFTAGTHSTSLTMEWAMAALLNRPDILEKAKNEIQMQVGCSRLVEDSDLSRLLYLHCIINETLRLFPAGPLLVPHFSSQHCTISGYEVPKDTTLIVNAWAIHRDSKVWENATEFEPERWFKESEAATGFKFVPFGMGRRACPGSGLAIRLMSLVLGTLIQCFEWRRVGRALVNMEEGSSGLSLQRLQPLEAMYRPRQSMATLLSQL >itb04g30150.t1 pep chromosome:ASM357664v1:4:33345128:33350259:-1 gene:itb04g30150 transcript:itb04g30150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:Projected from Arabidopsis thaliana (AT5G60640) UniProtKB/TrEMBL;Acc:A0A178UQB2] MPRQLILLTLACLLLFSLLASPSLAKTAAAADDDDEDLTFLESDDENDGAAKHTHQSDPHNFGSDDEAEDDDEDFENYDDFEVPQGFGREEEEDDGPKVDDKDVVVLTDRNLSDFVDSNKYVMVEFYAPWCGHCKALAPEYAAAATELKTENVVLAKVDATEENGLAENYEVQGFPTILFFVDGEHKPYNGQRTKDAIVTWIKKKVGPGVYNITSTEDAERILTAENRVVLGFLDSLVGPSSEEFAAASKLEDNINFFQTDDPNIAKLFHIEPSAKRPSLVLLKKEAEKVTHYDGIFTKSAILGFISANKLPLVTTFTRESAPSIFDSPIKKQVMLFTTSNDTEKFFPVFQDAARYFKGKLIFVYIKIDDEDVGRPVANYFGVEGDTAKIIGFSGLEDAKKYIFDGEITVEKIKEFAANVVEDKLKPFYKSDPIPETNEGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIESLVIAKMDGTTNEHPKAKAEGFPTILFFPAGNKSSDPIAVDSARTVVAFYKFIKKHAAIPFKLQKPSTSTSSEKTEVKEDIKSSSGKVKDEL >itb04g30150.t2 pep chromosome:ASM357664v1:4:33345210:33350259:-1 gene:itb04g30150 transcript:itb04g30150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:Projected from Arabidopsis thaliana (AT5G60640) UniProtKB/TrEMBL;Acc:A0A178UQB2] MPRQLILLTLACLLLFSLLASPSLAKTAAAADDDDEDLTFLESDDENDGAAKHTHQSDPHNFGSDDEAEDDDEDFENYDDFEVPQGFGREEEEDDGPKVDDKDVVVLTDRNLSDFVDSNKYVMVEFYAPWCGHCKALAPEYAAAATELKTENVVLAKVDATEENGLAENYEVQGFPTILFFVDGEHKPYNGQRTKLTRSATCRDAIVTWIKKKVGPGVYNITSTEDAERILTAENRVVLGFLDSLVGPSSEEFAAASKLEDNINFFQTDDPNIAKLFHIEPSAKRPSLVLLKKEAEKVTHYDGIFTKSAILGFISANKLPLVTTFTRESAPSIFDSPIKKQVMLFTTSNDTEKFFPVFQDAARYFKGKLIFVYIKIDDEDVGRPVANYFGVEGDTAKIIGFSGLEDAKKYIFDGEITVEKIKEFAANVVEDKLKPFYKSDPIPETNEGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIESLVIAKMDGTTNEHPKAKAEGFPTILFFPAGNKSSDPIAVDSARTVVAFYKFIKKHAAIPFKLQKPSTSTSSEKTEVKEDIKSSSGKVKDEL >itb12g22850.t1 pep chromosome:ASM357664v1:12:24797965:24799298:1 gene:itb12g22850 transcript:itb12g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHWEKLNLALRLLSFASLVVSIIFSLTSTSGDDNNNNCDNNYFPCNNNYNYFPYNFDVKIKLTFKDFYAYRYTLSVNTIGTVYSFIQFVLAIVGKSDDKSSDGLVKANPYLDQVMAILLGTGAAAGFGLTLDLKHLRCASTITRSFLNKMTIASTFCAGGFGFTAASSFVSLKIYEEEMDVCC >itb10g19780.t3 pep chromosome:ASM357664v1:10:25489529:25491699:1 gene:itb10g19780 transcript:itb10g19780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSKAKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNAIGEAICNICQESFSTTVTALTEPIDM >itb10g19780.t1 pep chromosome:ASM357664v1:10:25489608:25491699:1 gene:itb10g19780 transcript:itb10g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSKAKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNAIGEAICNICQESFSTTVTALTEPIDIYSEWIDECERVNNVEDDDA >itb10g19780.t2 pep chromosome:ASM357664v1:10:25489442:25491812:1 gene:itb10g19780 transcript:itb10g19780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSKAKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNAIGEAICNICQESFSTTVTALTEPIDIYSEWIDECERVNNVEDDDA >itb15g00570.t1 pep chromosome:ASM357664v1:15:334708:336733:-1 gene:itb15g00570 transcript:itb15g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLQQMVPRQFLDEDGARAEMGCGNYGCSHYMRRCKIRAPCCDEIFDCRHCHNEAKNSIEVDPLKRHDIPRHEIKRVICSLCNTEQDVQQRCVQCGVSMGKYYCSTCNFFDDDVSKNQYHCDKCGICRTGGKENFFHCNRCGCCYSNLMKDSHICIEKAMHHNCPVCFEFVFDTTKNITVLPCGHTMHLECVMEMERHYQYSCPVCSKSFCDMSRVWEKLDEEVASTPMPEMYQNKMVSILCNDCGNSSETKFHVLAHKCPNCKSYNTRQTRGGATSCSSRVTEVVRG >itb02g22550.t1 pep chromosome:ASM357664v1:2:22025031:22030175:-1 gene:itb02g22550 transcript:itb02g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVFTWRYGGREVYLYGSYNGWGDGTVMNSMNGSTMALSAAIDLPPGYHQYKFLVDGTWQVDEEQLRVIDEQGVINNLIFVEEPSVNAQRLPPEAVRGTLDLDSIRDMQLEASSSGVLPNGRILQLPEDRTDASRHRLFVHLSSFKAHDLMPNSGKALALDTEVAVKQAFHIMYEQGLPVMPLWDEQNSRISGMLTASDFILILLELHQFRALLTDEELEMHTISSWKNEKLRYQRDVAGALLPLQRRELIQVGPDDSLTDVALTILRNEISSVPVIHSPEDGSCPQLLHIACLAGILKHICRHFRHCLQYLPLLQQSICNLPVGTWTREFGWANNRTLLTLHASSSLGCALNLLIKARISSIPIVNDNGVLINIYSRSDITSLANGSVYAHIQPDQTMLSQVLEVLNESGHDRYRVCTRFAPLYTVMEVLSDPAVRRIVVIDSSTRRVEGIVTLRDVFNLILS >itb02g22550.t2 pep chromosome:ASM357664v1:2:22025036:22030175:-1 gene:itb02g22550 transcript:itb02g22550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTVFTWRYGGREVYLYGSYNGWGDGTVMNSMNGSTMALSAAIDLPPGYHQYKFLVDGTWQVDEEQLRVIDEQGVINNLIFVEEPSVNAQRLPPEAVRGTLDLDSIRDMQLEASSSGVLPNGRILQLPEDRTDASRHRLFVHLSSFKAHDLMPNSGKALALDTEVAVKQAFHIMYEQGLPVMPLWDEQNSRISGMLTASDFILILLELHQFRALLTDEELEMHTISSWKNEKLRYQRDVAGALLPLQRRELIQVGPDDSLTDVALTILRNEISSVPVIHSPEDGSCPQLLHIACLAGILKHICRHFRHCLQYLPLLQQSICNLPVGTWTREFGWANNRTLLTLHASSSLGCALNLLIKARISSIPIVNDNGVLINIYSRSDITSLANGSVYAHIQPDQTMLSQVYSIQIFGSLLLSNYFSP >itb11g14030.t2 pep chromosome:ASM357664v1:11:11079819:11085339:1 gene:itb11g14030 transcript:itb11g14030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHGHGLGSERAPLLNKQAGGKRHDSLENGQLTDLEHGDGAPAPNVGFGRVLSLAKPEAGKLVLATIALLVASTSSILVPKFGGTIIDIVSRDIQTDEQKSEALGAVKSTILEIFLVVVIGSICTALRAWLFSSASERVVARLRKNLFSHLIHQEIAFFDVTRTGELLSRLSEDTQIIKSAATTNLSEALRNFSTAFIGLGFMFTTSWKLTLLALVVVPPISIAVRKFGRYMRELSHKTQAAAAVASSIAEETFGAIRTVRSFAQEDYEVSRYSGKVEETLNLGLTQAKLVGLFFGSLNAASTLSVIIVVLYGATLAINGSMTAGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLGDQDAEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPAQGKILLNGVPLVEISHQHLHQKVSIVSQEPVLFNCSIEENIAYGLDGKASMSDIENAAVSFNPVHS >itb11g14030.t1 pep chromosome:ASM357664v1:11:11079819:11085339:1 gene:itb11g14030 transcript:itb11g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHGHGLGSERAPLLNKQAGGKRHDSLENGQLTDLEHGDGAPAPNVGFGRVLSLAKPEAGKLVLATIALLVASTSSILVPKFGGTIIDIVSRDIQTDEQKSEALGAVKSTILEIFLVVVIGSICTALRAWLFSSASERVVARLRKNLFSHLIHQEIAFFDVTRTGELLSRLSEDTQIIKSAATTNLSEALRNFSTAFIGLGFMFTTSWKLTLLALVVVPPISIAVRKFGRYMRELSHKTQAAAAVASSIAEETFGAIRTVRSFAQEDYEVSRYSGKVEETLNLGLTQAKLVGLFFGSLNAASTLSVIIVVLYGATLAINGSMTAGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLGDQDAEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPAQGKILLNGVPLVEISHQHLHQKVSIVSQEPVLFNCSIEENIAYGLDGKASMSDIENAAVSFNPVHS >itb11g14030.t3 pep chromosome:ASM357664v1:11:11079819:11085321:1 gene:itb11g14030 transcript:itb11g14030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHGHGLGSERAPLLNKQAGGKRHDSLENGQLTDLEHGDGAPAPNVGFGRVLSLAKPEAGKLVLATIALLVASTSSILVPKFGGTIIDIVSRDIQTDEQKSEALGAVKSTILEIFLVVVIGSICTALRAWLFSSASERVVARLRKNLFSHLIHQEIAFFDVTRTGELLSRLSEDTQIIKSAATTNLSEALRNFSTAFIGLGFMFTTSWKLTLLALVVVPPISIAVRKFGRYMRELSHKTQAAAAVASSIAEETFGAIRTVRSFAQEDYEVSRYSGKVEETLNLGLTQAKLVGLFFGSLNAASTLSVIIVVLYGATLAINGSMTAGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLGDQDAEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPAQGKILLNGVPLVEISHQHLHQKVSIVSQEPVLFNCSIEENIAYGLDGKASMSDIENAAKMANAHEFISNFPEKYQTHVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSANTVAVVSDGQIVESGSHDELLSKDGIYTALVRRQLQAPKD >itb11g14030.t4 pep chromosome:ASM357664v1:11:11079819:11085321:1 gene:itb11g14030 transcript:itb11g14030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHGHGLGSERAPLLNKQAGGKRHDSLENGQLTDLEHGDGAPAPNVGFGRVLSLAKPEAGKLVLATIALLVASTSSILVPKFGGTIIDIVSRDIQTDEQKSEALGAVKSTILEIFLVVVIGSICTALRAWLFSSASERVVARLRKNLFSHLIHQEIAFFDVTRTGELLSRLSEDTQIIKSAATTNLSEALRNFSTAFIGLGFMFTTSWKLTLLALVVVPPISIAVRKFGRYMRELSHKTQAAAAVASSIAEETFGAIRTVRSFAQEDYEVSRYSGKVEETLNLGLTQAKLVGLFFGSLNAASTLSVIIVVLYGATLAINGSMTAGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLGDQDAEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPAQGKILLNGVPLVEISHQHLHQKVSIVSQEPVLFNCSIEENIAYGLDGKASMSDIENAAKMANAHEFISNFPEKYQTHVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQVYSPQNTDLYVVGDPHCNNLGVVAGCHGFSNEREDSTCDSS >itb07g08830.t2 pep chromosome:ASM357664v1:7:7414290:7417555:1 gene:itb07g08830 transcript:itb07g08830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDQVHEPKYNCLLFDVDDTLYPYSSGVSAQCTRNINEYMIQTLGIEEIKVPEMCVQLYKDYGTTMAGLRAMDYDFDYDDYHSYVHGRLPYDILKPDPVLRNLLQSLPLRKVIFSNANDAHVAKVLNILGLEDCFEEIITFESLNPKHNSNESERDSGKLKKYEQDSTSLTLKHPTMLTVYRDFMPSLPRNPEDTNCLQTI >itb07g08830.t1 pep chromosome:ASM357664v1:7:7414252:7417633:1 gene:itb07g08830 transcript:itb07g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDQVHEPKYNCLLFDVDDTLYPYSSGVSAQCTRNINEYMIQTLGIEEIKVPEMCVQLYKDYGTTMAGLRAMDYDFDYDDYHSYVHGRLPYDILKPDPVLRNLLQSLPLRKVIFSNANDAHVAKVLNILGLEDCFEEIITFESLNPKHNSNESERDSEIPKTPIVCKPFEEAFEQAFKIAGIEPKKTLFFDDSIRNLQTAKHMGLGTVWVGAANSTVGIDYALESIHNMKEAIPEIWEAVHNSDVHYPEKTPIAVIS >itb05g02360.t2 pep chromosome:ASM357664v1:5:1879648:1882526:-1 gene:itb05g02360 transcript:itb05g02360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDVSENDGKVLESNSNTALHISSSQRGLVSDGNPQKRFEGGSISIPKRLQFLKFGNLASPSAKFQQIAEERDEISRAVPSSGSRHLRERLHRLFSRKIDWMSLKKLCKEWIRNPLNMALLVWIVCVAVSGAILFLVMTGMLNHALPKKSERDAWFEVNNQILNALFTLMCLYQHPKRLYHLVLLIRWKPEDISRLRKEYCKNGTYKPNEWAHMMVVVSLLNLNCFAQYALCGLNWGYKRSDRPAIGVGLCISVAIGAPAFAGVYAMLSPLGKEYSAEGDEEAQVQLTIAESSRMGQLRRKSLEKKFSFASDEGRNLEASPRWSGGVLDFWNDISLAYLSLFCTFCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAGTIDNDTVRGALGVTGIFLCAFGLLYGGFWRIQMRKRYNLPSYNSCCGKSSVADCALWLCCCWCSLAQEVRTGNAYDIVEDKFYKKRHDNNGEQPPISPLPREDGAFRSSPSPPPDQKPRSTTPSPSRFAMENRSPGRHFPSIDNEPNSGGSHDPMAPPSPPIIQREDV >itb05g02360.t1 pep chromosome:ASM357664v1:5:1879648:1882652:-1 gene:itb05g02360 transcript:itb05g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDVSENDGKVLESNSNTALHISSSQRGLVSDGNPQKRFEGGSISIPKRLQFLKFGNLASPSAKFQQIAEERDEISRAVPSSGSRHLRERLHRLFSRKIDWMSLKKLCKEWIRNPLNMALLVWIVCVAVSGAILFLVMTGMLNHALPKKSERDAWFEVNNQILNALFTLMCLYQHPKRLYHLVLLIRWKPEDISRLRKEYCKNGTYKPNEWAHMMVVVSLLNLNCFAQYALCGLNWGYKRSDRPAIGVGLCISVAIGAPAFAGVYAMLSPLGKEYSAEGDEEAQVQLTIAESSRMGQLRRKSLEKKFSFASDEGRNLEASPRWSGGVLDFWNDISLAYLSLFCTFCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAGTIDNDTVRGALGVTGIFLCAFGLLYGGFWRIQMRKRYNLPSYNSCCGKSSVADCALWLCCCWCSLAQEVRTGNAYDIVEDKFYKKRHDNNGEQPPISPLPREDGAFRSSPSPPPDQKPRSTTPSPSRFAMENRSPGRHFPSIDNEPNSGGSHDPMAPPSPPIIQREDV >itb15g01550.t1 pep chromosome:ASM357664v1:15:924521:927306:-1 gene:itb15g01550 transcript:itb15g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHRQGKSMHPSTNMLMPPERHLFMQEATPKSVLKQMGIQGLTLYHLKSHLQKYRLSKNLHGHANSGSNKAMSSRMDAVTGSDKIPEANGNHMNSANIGPLANTNLPISEAIQIQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQDLGKMGLEAAKAQLSELVSKVSNQCLNSAFSGIKELPGFCQQQAQATQPTDCSLDSCLTSSEGSLRDHEMRNSQMGLRSLNFGAHMESTDLYNETRLKQTEFRWCDDIWENRKYLSKMDEETKNNGLSVSTGLQGGKWNGNSNYSDRRFTGTDADVKLFAQAVDRSDTSKPEKQKTSQEYKLPYFGPKLDLNTDDKTDVASSCKQLDLNGFSWN >itb15g01550.t3 pep chromosome:ASM357664v1:15:924591:926288:-1 gene:itb15g01550 transcript:itb15g01550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTGSDKIPEANGNHMNSANIGPLANTNLPISEAIQIQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQDLGKMGLEAAKAQLSELVSKVSNQCLNSAFSGIKELPGFCQQQAQATQPTDCSLDSCLTSSEGSLRDHEMRNSQMGLRSLNFGAHMESTDLYNETRLKQTEFRWCDDIWENRKYLSKMDEETKNNGLSVSTGLQGGKWNGNSNYSDRRFTGTDADVKLFAQAVDRSDTSKPEKQKTSQEYKLPYFGPKLDLNTDDKTDVASSCKQLDLNGFSWN >itb15g01550.t2 pep chromosome:ASM357664v1:15:924521:927306:-1 gene:itb15g01550 transcript:itb15g01550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHRQGKSMHPSTNMLMPPERHLFMQGENGHCDSGLVLSTDAKPRLKWTQDLHERFVEAVHQLGGADKATPKSVLKQMGIQGLTLYHLKSHLQKYRLSKNLHGHANSGSNKAMSSRMDAVTGSDKIPEANGNHMNSANIGPLANTNLPISEAIQIQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQDLGKMGLEAAKAQLSELVSKVSNQCLNSAFSGIKELPGFCQQQAQATQPTDCSLDSCLTSSEGSLRDHEMRNSQMGLRSLNFGAHMESTDLYNETRLKQTEFRWCDDIWENRKYLSKMDEETKNNGLSVSTGLQGGKWNGNSNYSDRRFTGTDADVKLFAQAVDRSDTSKPEKQKTSQEYKLPYFGPKLDLNTDDKTDVASSCKQLDLNGFSWN >itb07g05970.t1 pep chromosome:ASM357664v1:7:4138344:4143182:1 gene:itb07g05970 transcript:itb07g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFPNEDNHPLNSNPFEVTPEMEKFLCERLMDKNQPIAERFRALFSLRNLRGTAPRTALILATRDPSNLLAHEAAFALGQMQDADAIPALVAVLNDLSLHPIVRHEAAEALGAIGLESNLPLLKESLASDPAQEVRETCELALSRIKELDDAKSNGDTSSLEPSPFLSVDPAAPASCSSVPHLREVLLSEEKGMYERYAALFALRNNGGEEAISAIIESLGANSALLRHEVAYVLGQLQNKKASDALSMTLRNTNEHPMVRHEAAEALGSIADDQCIALLEEFAKDTEPIVSQSCEVALSMLEYERSGKSFEFLFMPAPQTEQVL >itb07g05970.t2 pep chromosome:ASM357664v1:7:4138774:4143182:1 gene:itb07g05970 transcript:itb07g05970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLCERLMDKNQPIAERFRALFSLRNLRGTAPRTALILATRDPSNLLAHEAAFALGQMQDADAIPALVAVLNDLSLHPIVRHEAAEALGAIGLESNLPLLKESLASDPAQEVRETCELALSRIKELDDAKSNGDTSSLEPSPFLSVDPAAPASCSSVPHLREVLLSEEKGMYERYAALFALRNNGGEEAISAIIESLGANSALLRHEVAYVLGQLQNKKASDALSMTLRNTNEHPMVRHEAAEALGSIADDQCIALLEEFAKDTEPIVSQSCEVALSMLEYERSGKSFEFLFMPAPQTEQVL >itb07g05970.t3 pep chromosome:ASM357664v1:7:4138380:4140801:1 gene:itb07g05970 transcript:itb07g05970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFPNEDNHPLNSNPFEVTPEMEKFLCERLMDKNQPIAERFRALFSLRNLRGTAPRTALILATRDPSNLLAHEAAFALGQMQDADAIPALVAVLNDLSLHPIVRHEAAEALGAIGLESNLPLLKESLASDPAQEVRETCELALSRIKELDDAKSNGDTSSLEPSPFLSVDPAAPASCSSVPHLREVLLSEEKGMYERYAALFALRNNGGEEAISAIIESLGANSALLRHEVCFLWLPFS >itb01g28970.t1 pep chromosome:ASM357664v1:1:33275084:33278740:-1 gene:itb01g28970 transcript:itb01g28970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSFSSRRFSLVFLIILLLGDGSYGSSVGSRKSGSSVFSLFNLKDKSRFWSESVIRTDLNDLESSGPDKMDILNYTKAGSIANYLKLLEIDSIYLPIPVNFIFIGFEGKGNLEFKLQPEEMERWFTKIDHIFDHTRIPQIGEVLTPFYKISIDKEQRHHLPLISHLSYNFSVHAIQMGEKVTSIFERAIDVFGRKDDVLNTRDDGDNLWQVDVDMMEVVFNSLVEYLQLEDAYNIFILNPKRAEKRVKYGYRFVFGIVGPLIFLFQFTFLRPKDFLLYVG >itb15g21730.t1 pep chromosome:ASM357664v1:15:24397939:24399254:-1 gene:itb15g21730 transcript:itb15g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPRTICFLLHIILLFTLPWETTSSERTQGRALVEWKNTLSNTDDFLRSWSIANLDNICWNWTGINCTNAGAVNEIKLENLNLSGTLKSFDFISFPNLTRFSLYNNTFTGSIPYAIANLSLLVNLDLGWNHFVNAIPTEIGRLTKLEFLNLAVNNLNGTIPSQIRHLQHLQLLSLYSNSLTGQIPEEIFSNLSRLQYFNCGRNQFQGPLPSNLFKLSRIEVIKLGETHFFHSIPPTIGNLSSLRLLDLGRNMLQGNIPGTVCNLNHLEVIDLYENSLDGVIPQCLGNITSLRYLSLNSNILRGGIPATLCNLNSLEASWHHTSNSETWECHKSNNKFQFNFWNRVRVVRFEFLEIS >itb04g12330.t1 pep chromosome:ASM357664v1:4:12054913:12058784:1 gene:itb04g12330 transcript:itb04g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGEGAKVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPDADMISLIHHAVDSGVTFLDTSDVYGPHTNEILVGKALKGGVREKVELATKFGISFADGKRDICGDPAYVRAACEASLKRLDVDCIDLYYQHRIDTRLPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPISAVQLEWSLWSRDVEEDVIPTCRELGIGIVAYSPLGRGFLSSGPKLLEDFTNGDFRKYLPRFQAENLEHNKKLYERIVEIAETKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLIQNIGALSVKLTPEDMAELENIGSADAVKGDRYTPDMRTWKDSDTPPLSTWKAV >itb04g01530.t1 pep chromosome:ASM357664v1:4:856507:860804:1 gene:itb04g01530 transcript:itb04g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQHIAIFTTASIPWLTGTAVNPLFRAVYLAKDGTRKVTLVVPWLSLNDQEHLFPNNITFNTPSEQEQYVLRWVEERTGFTSNFSVHFYPGKFSLEKRSILGSGDITIAIPDEEADIAVLEEPEHLTWYHHGKRWKTKFRLVVGIVHTNYPEYVKRERNGLEALLLKYVNTWVVNIYCHRVIRLSAATQELPRSVICNVHGVNPRFLDIGVKKKEEQQNGNQAFTKGAYYIGKMLWSKGYKELLKLLRNHQKELAGLEIDLYGSGEDSAQIQEAAKKLELTIKVHPGRDHADPIFHDYKVLLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFMQFPNCRTYDDGNGFVKATREALSEQPAPLSDEQGHELSWEAATERFLKAAELENIPKRKLSKKSSKPTLSTSMSLKDNLEDASAFIHNVGTGFLNSPPNEEQCKELGLALPSKKKGPFSSKRI >itb04g01530.t2 pep chromosome:ASM357664v1:4:856507:860804:1 gene:itb04g01530 transcript:itb04g01530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQHIAIFTTASIPWLTGTAVNPLFRAVYLAKDGTRKVTLVVPWLSLNDQEHLFPNNITFNTPSEQEQYVLRWVEERTGFTSNFSVHFYPGKFSLEKRSILGSGDITIAIPDEEADIAVLEEPEHLTWYHHGKRWKTKFRLVVGIVHTNYPEYVKRERNGLEALLLKYVNTWVVNIYCHRVIRLSAATQELPRSVICNVHGVNPRFLDIGVKKKEEQQNGNQAFTKGAYYIGKMLWSKGYKELLKLLRNHQKELAGLEIDLYGSGEDSAQIQEAAKKLELTIKVHPGRDHADPIFHDYKVLLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFMQFPNCRTYDDGNGFVKATREALSEQPAPLSDEQGHELSWEAATERFLKAAELENIPKRKLSKKSSKPTLSTSMSLKDNLEDASAFIHNVGTGFLNSPPNEEQCKELGLALPSKKKGPFSSKRI >itb12g11030.t2 pep chromosome:ASM357664v1:12:9164392:9167463:1 gene:itb12g11030 transcript:itb12g11030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B1 [Source:Projected from Arabidopsis thaliana (AT3G51880) TAIR;Acc:AT3G51880] MKNAKGKAASRKETKAALKPVVDDRKAGKRKAAQKADKGKAKEKKAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVKAVSAVGKAGGEKWKSMTAAEKAPFEAKAAARKADYEKLMNAYNKKQESSADEADEESEKSKSEVHDEDEESGQEEDDEEEEDDDEDDD >itb12g11030.t1 pep chromosome:ASM357664v1:12:9164376:9167504:1 gene:itb12g11030 transcript:itb12g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B1 [Source:Projected from Arabidopsis thaliana (AT3G51880) TAIR;Acc:AT3G51880] MKNAKGKAASRKETKAALKPVVDDRKAGKRKAAQKADKGKAKEKKAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVKAVSAVGKAGGEKWKSMTAAEKAPFEAKAAARKADYEKLMNAYNKKQESSADEADEESEKSKSEVHDEDEESGQEEDDEEEEDDDEDDD >itb15g04870.t1 pep chromosome:ASM357664v1:15:3155389:3156119:-1 gene:itb15g04870 transcript:itb15g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYWYCLSKKHQMSVVCVCLLLLLMLLQFKAPCYADNGTVKFYRFKPNSAASSASASAVKSHHGMKMKKKRNIPSLGLGGDDIFGGDKRKVRTGPNPLHNR >itb15g09100.t2 pep chromosome:ASM357664v1:15:6471425:6476892:-1 gene:itb15g09100 transcript:itb15g09100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQRIMEFPRRNMDKRSRKRPRLTWDVPPAIPLPAKVLPPIYCRQDFANGAVFNYAYSSIYYKGIPNTGSPPWRADDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECLDNERKELVAIKVVRSIHKYRDAAMIEIDVLQKLARHDIGGKRCVQIRNWFDYRNHICIVFEKLGPSLYDYLRKNSYRSFPIDLVREFGRQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKFLSRPAKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIMRADRRAEKYFKRGGARLDWPEGAASGDSMRAVWKLPRLQNLIMQHVDHSAGDLIDLLQGLMRYEPAERLKAREALRHPFFTRDLRSCR >itb15g09100.t1 pep chromosome:ASM357664v1:15:6471246:6476918:-1 gene:itb15g09100 transcript:itb15g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] METQRIMEFPRRNMDKRSRKRPRLTWDVPPAIPLPAKVLPPIYCRQDFANGAVFNYAYSSIYYKGIPNTGSPPWRADDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECLDNERKELVAIKVVRSIHKYRDAAMIEIDVLQKLARHDIGGKRCVQIRNWFDYRNHICIVFEKLGPSLYDYLRKNSYRSFPIDLVREFGRQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKFLSRPAKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIMRADRRAEKYFKRGGARLDWPEGAASGDSMRAVWKLPRLQNLIMQHVDHSAGDLIDLLQGLMRYEPAERLKAREALRHPFFTRDLRRCGL >itb10g08690.t1 pep chromosome:ASM357664v1:10:11131298:11131594:1 gene:itb10g08690 transcript:itb10g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat domain-containing protein PYG7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22700) UniProtKB/Swiss-Prot;Acc:B9DHG0] MFWQVYNALGVSYVREDKIDKGIAQLFETAVKLQPGYVTAWNNLGDAYEKNKDMKNALKAFEEVLLFDPNNKVARPRRDALKDQVTTYKGVSVKSKKK >itb11g06670.t1 pep chromosome:ASM357664v1:11:4013277:4018727:1 gene:itb11g06670 transcript:itb11g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGLSTVLWFIRDGSCCAAKEKSGVLIASLALSPAFTALLLSVANHRSVLCLSTSPVAGFAFNHLPSPAPSLTLSLYAEHEHLHFLLVIRYFSKFLKVIAIFALQLRCSRNSQARYSHPKPFHFQSAVEVGTIGLVSLHENMIGVRRMVHGFWRQVHTKTWEGPSENLKKKVAEIERKRKSKSPRKTQVFIQVPDSMEWLDTPTMPMIIIAVGTAVVAKLLMTYDDSKSQETIEEKIKNAPEGQGTVRMLTREEWDAIQEIRPRTPFESKLARPNARIRTGEPIHLEDVKDWSVDVLSDALTRAEECVKHGSS >itb04g29180.t3 pep chromosome:ASM357664v1:4:32654596:32657724:1 gene:itb04g29180 transcript:itb04g29180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEGGWPLGLQPLNLRVGVVRNRDYLSGSTSFNTLLSESPASSTDSSSDLDSESTGSFFHDRSTTLGSLIGVSRIVNLSRRSTRARPSSVEANQAVQKNYTRRSGAAWCFSLCPRNSTDAESAIRSNTASLGHFLAVERRAANGHRRSHHSPLIYGPDEYALVQHDRESNSLFANDRIAPPQLSPWAGRAQGNRSLDTEQDQGVPLVLFPCICG >itb04g29180.t2 pep chromosome:ASM357664v1:4:32654596:32657724:1 gene:itb04g29180 transcript:itb04g29180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEGGWPLGLQPLNLRVGVVRNRDYLSGSTSFNTLLSESPASSTDSSSDLDSESTGSFFHDRSTTLGSLIGVSRIVNLSRRSTRARPSSVEANQAVQKNYTRRSGAAWCFSLCPRNSTDAESAIRSNTASLGHFLAVERRAANGHRRSHHSPLIYGPDEYALVQHDRESNSLFANDRIAPPQLSPWAGRAQGNRSLDTEQDQGVPLVLFPCICG >itb04g29180.t1 pep chromosome:ASM357664v1:4:32654686:32657206:1 gene:itb04g29180 transcript:itb04g29180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEGGWPLGLQPLNLRVGVVRNRDYLSGSTSFNTLLSESPASSTDSSSDLDSESTGSFFHDRSTTLGSLIGVSRIVNLSRRSTRARPSSVEANQAVQKNYTRRSGAAWCFSLCPRNSTDAESAIRSNTASLGHFLAVERRAANGHRRSHHSPLIYGPDEYALVQHDRESNSLFANDRIAPPQLSPWAGRAQGNRSLDTEQDQGVPLVLFPCICG >itb11g08510.t2 pep chromosome:ASM357664v1:11:5599188:5601812:-1 gene:itb11g08510 transcript:itb11g08510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKNVPESVLKKQKRQEEWALAKKQEIDAIKKKNAENRKIIFNRAKQYAKEYEEQQKELIQLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPQTKKILQLLRLRQIFNGVFLKVNKATINMLHRVEPYVTYGYPNLKSVKELIYKRGYGKVNKQRIPLTENSIIEQTLGKHNIICVEDLVHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >itb11g08510.t1 pep chromosome:ASM357664v1:11:5599188:5601812:-1 gene:itb11g08510 transcript:itb11g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKNVPESVLKKQKRQEEWALAKKQEIDAIKKKNAENRKIIFNRAKQYAKEYEEQQKELIQLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPQTKKILQLLRLRQIFNGVFLKVNKATINMLHRVEPYVTYGYPNLKSVKELIYKRGYGKVNKQRIPLTENSIIEQTLGKHNIICVEDLVHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >itb01g24200.t1 pep chromosome:ASM357664v1:1:29901601:29909361:-1 gene:itb01g24200 transcript:itb01g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAAMDFRSPITPSGVSPAIPVSQTNLGHPPATGSLNLASSTNGGFNCSNVSEKVTRSSRPKPRLVKIRKQSKMSESIGDGLGFDSFKLDPESLCRADRPAAASTHNVNGSFVFRGGSDDSGSVMNQGSKERSENVKQMGGNTFGNFHFVFSGNKSDSSSVNVEPAFGANQSKPISVSSAGIGGTVPEATRSSSFSNLKFENLANLHSNLEQKVSNNGERHSGTFEFGKFGGVASVFTANGSALQPNNLVSNEHSKSINPNNSFGANMSTSGLDFSFSNGEFGSNQKCSLKNLSSGKKESSVGGGTSVPEMNETAAFAFGSDYSFSTKNDFTGFVFGANLNNSSSFANTKAKEPFGKFQGGKADSEVESQKGSSSKVFVFRGNSEIGNLKCEESGKFDEDKHNSMNSKNAHIQDNHKFVFSSQGALDTDFHKSNVSKLSDEFNSMSFGADNNLCGKRHDNIQNVFVFGSNQTTFGFSTECGSAGMNFNNQHKNMNSRVPGNDDAVGKVEKTNVTGTGKSCTSGIDGKRASSLGVSTQNVAELLDKNTEFVNCSEQINSWRSSAGIYGKENKPINLNDKISDGSQFCFQRDNGVDRNAFSIPSFSPEGTGIQLDGSSAVSPSVSKDEKNDEASFTSLPFGIGNIFTEFKASSSSEAFSFNVDLFSGSSKKIVYESSKSVRDKMSKKKKTLRQRNLMKKQVEPQNNESPDCASPMDFSPYQDTNSAPFAETSVAKKKCPGPSDNNLDVDSGNHLGDQKNDAFSTVPSSVDGLSRIRRQYRKKYKTKVCNRSNQINQQKPVSASPAFQFPPLGNNHSSEVQRGATSSAQENGVHLFNTDEGNAKQEIPEYEACERWRIRGNQVYKGGDLYKAEDFYGKGIKSVSQSNIPECCIKPLLLCYSNRAATRMSLCRMREAINDCANAAALDPTFLKVKLRAGNCYLLLGEVQEAVQYYNSCLESRDVCLDRRITIEAAEGLQKAEKVAENLRQSAELLQQKTFDAARSALEIIAKALSISCYSEKLLEMKGEGLCKLRMYDEAIKLCEQTLDFAEKNFAYHNMENMNNSVKLWRWRIMSKSYYHLGKLEAALHLIEKQEQVFVKDGCGNSSQESSIPLGTTIRELLTRKKAGNEAFQSGKFAEALDHYNTAISCSVESLPFAAICFCNRAAAHQSLGQIIDAIADCSVAMALDENYTKAASRRATLHEMIRDYEHAVTDLQRLISLLENQEQEMDHQAGSQDRSNGSNLKELRKARQRLSSVEEKAKSEIPLDLYLILGIKASDPESDIKKAYKKAALKHHPDKAAQFLARSESMDDGQLWKDTCEKVQKDADRLFKMIGEAYAVLSDSDKRSKYNYEEEIRAARKQNKCNYTRDRSSDSNNSPSQRWSEFYSPQFRRSSSRRYGQESWRTHGNSHSDW >itb07g00740.t1 pep chromosome:ASM357664v1:7:463402:466558:-1 gene:itb07g00740 transcript:itb07g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MASAKATPSSPAPAAGDLIHVFWHEGMLSHDAGKGMFDTGIDPGFLDVLENHPENADRVRNMVSILKRGPISPFISWHHGRPALLSELLSFHTQEYVNELIEADRSGGKEICSGTFLNPGSWDAALLAAGTTLSAMKHILDGNGSIAYALVRPPGHHAQPARADGYCFLNNAGLALQLALDSGHERVAVIDIDVHYGNGTAEGFYRSDRVLTISLHMNHGSWGPSHPQDGTVDELGEGKGFGYNLNVPLPNGTGDRGYGYAMTHIVVPAVQKFEPDMIVFVVGLDSSAFDPNGRQCLTMEGYRELGRAVRAIADEYSNGKLLIVQEGGYHITYSAYCLHATLEGVLNVSAEPLLPDPIAHYPEDEWFSIKDIEAIRKYLNGTAPFLKDDAWL >itb03g10320.t1 pep chromosome:ASM357664v1:3:8160938:8162540:-1 gene:itb03g10320 transcript:itb03g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSRDVDHPDAIEMFGAQAHIYKHAFNYANSMVLAAAIQLNIPDIIHRHGKPITIPELVSALKLPPQRSNAVYRIMRLLTHNGFFDSTKLNEEEDGYALTASSKLLLKTQIPNLSPFARLMVDPVMVAPWQVLGDWFSRNDESESTPFEAAHGAPMWEFCDKDSRFNNAFNQAMASDSQMMSLVVKDCGQVFEGVTTLVDVGGGTGTTAKFILEAFPRLKCTVFDLPHVVAHQPQSENLRFLGGNMFHSIPSADAIMFKHVMHNWNDERCVKILKKCREAISNEKDGVKGKVIIIDMVLGAEKENEADATEVKLMFDVLMMVFLTGKERTEKEWERLFIESGFTHYKITPVFGLRSLIEVFP >itb08g08690.t1 pep chromosome:ASM357664v1:8:7683060:7688896:1 gene:itb08g08690 transcript:itb08g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGNIESGLRRSASYARSSSSHSLRRSSRNLSFSIPPQPESDDGSESVSEAGDIGDRALCSGSGRLFFSVENAAESGMVVPIAEEGIDPIATNNVSPPVSPSIADIALKDCRKEVPWWLEYFSSLLFLAVFGILGVLLRYALQKLFGPGNIGATSDRSYMYLDLPSNMVGSFLMGWFGVVFKGQISEISDQLAIGLSTGFLGSLTTFSGWNQKMLDLSLEGRWGFAVLGILIGLFLVAYSIIFGIETAKGFQWILRETSTSKNPSSESSWKMNNFKRQITVSLALLLILAALWSMCIALEANEFKRGNSESQLWLACLVGPFGVWIRWFLARLNGRGLGKSGSLKWVPFGTLIANVSAACIMAALATLKKAVKTKDCDTVVAGIQFGLLGCLSTVSTFIAEFHAMRESKYPWRAYAYTMATILISFTLGTLLYSVPVWTKGY >itb01g09070.t1 pep chromosome:ASM357664v1:1:7301920:7303684:-1 gene:itb01g09070 transcript:itb01g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFIPASGMGHLVSAVGTAKLLLQARPQLSVTVLIMKLPFAPDSNINSYIDSLLADDDDKDNSRLKLIPLPDALKGHTDMASIFRVFLDSQKTKVRECVNEMLASVGVRQRLAGFVVDMILCSTMMDVADEFGVPTYVFYPSGAAMLGLHLHLQSLNDDHALEFKDSDPHLNISTYSKPFPLNLLPYFLLDNTNGCLDGARRIRRAKGVIVNTFFDLERHRRHALESLSKDRCVPPVYPVGPILNLNSHYNKNRESEKQIFPLSALLYPRSRSLMKWLDDQPVSSVVFLCFGSGGTFPETQVKEIAYALESSGQRFLWALRKPPCPGSLVPTEYTNPEEVLPEGFLERTQNIGKIIGWAPQSEVLAHPSVGGFMSHCGWNSILESIWFGIPMATWPMCADQHGNAFQLVREIGMAVEVTMDYKIDSKDPKTNFLIVPEIVNAKEIEFGITSLMDHSTSNSIRTKANNGGWWLIL >itb13g03600.t1 pep chromosome:ASM357664v1:13:3451738:3453679:-1 gene:itb13g03600 transcript:itb13g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVSLLFFFVLPSSGSTGGSKLPTGDLNLLEFPLNLEYLEAEFFLWGAFGYGLDKLAPNLSSNGPSPVGAKAAKLSPLVKDIIAQFGYQEVGHLRAIKKTVPGFPRPLLNLSAEAFASVVNDAFGKALKPAFDPYANDINYLIASYLVPYVGLTGYVGANAKLRSQTAKRLVAGLLGVESGQDAVLRTLLYEHAKEEVAPYGITVADFTNRFSDLRNNLGNKGFIKDEGLIVKEREGAEGKFSGNILAGDEYSVAYDRSPEEILRIVYGSGEERKPGGFFPKGAHGKIAESYLLVQFLSPYHYAWIILQTNLINISLPNLWIDRPRPYCRGLLVKPPWPKPYGQGLALGWSRSSTGLNLGAPGLLQSSAPCSVFSDRSIPFLMYSIHH >itb09g11380.t2 pep chromosome:ASM357664v1:9:7086810:7087943:1 gene:itb09g11380 transcript:itb09g11380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALNDAGIGKTTKATVPFNADVYLSPPYAPVPSAGMFRSDIADQVVKIVEVLDKNDAPFMINVYPFLSLYYTKDVFPVDFAFFDGAKKPLYDSYNKKTYTNVFDANYDTAVAALARENHAKVKVMVGEIGWPTDGDSFANASMAAKFYNGFIKHVASKKGTPVRPGTDIDVYMFGLYDEDEKSTLPGNFERHWGILGYDGQTKFDLDLSGGNGKKKIMTGVPEIEYLPKKWCALKSNVKLTNLKSNTDYACDRADCTTMSDGSSCNGLSDTEKVSYTFNAYFQVQDQAEGSCDFQGLAEVTTKNLSTASCSFNIGIKLPADSSAASAGGDKAPSSGKDSPGTKSSSSHSPSGACSLLLRRGVLLLLQFLFLIRIG >itb09g11380.t1 pep chromosome:ASM357664v1:9:7085758:7087943:1 gene:itb09g11380 transcript:itb09g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCRQLSARWTALMVGAAAWVLMNGGAGVEAEVGVNWGDISSHPLPPKSVVKMLKANGIKKVKLFNSPEPILNALAGTGIEVMIGTPNALLKDLVNYDVAKAFVKENVTRYNPTSPDGVKITLVGVGNEPFLKFYAKQGLFNVTYPALANMQKALNDAGIGKTTKATVPFNADVYLSPPYAPVPSAGMFRSDIADQVVKIVEVLDKNDAPFMINVYPFLSLYYTKDVFPVDFAFFDGAKKPLYDSYNKKTYTNVFDANYDTAVAALARENHAKVKVMVGEIGWPTDGDSFANASMAAKFYNGFIKHVASKKGTPVRPGTDIDVYMFGLYDEDEKSTLPGNFERHWGILGYDGQTKFDLDLSGGNGKKKIMTGVPEIEYLPKKWCALKSNVKLTNLKSNTDYACDRADCTTMSDGSSCNGLSDTEKVSYTFNAYFQVQDQAEGSCDFQGLAEVTTKNLSTASCSFNIGIKLPADSSAASAGGDKAPSSGKDSPGTKSSSSHSPSGACSLLLRRGVLLLLQFLFLIRIG >itb01g04680.t1 pep chromosome:ASM357664v1:1:3177501:3184580:-1 gene:itb01g04680 transcript:itb01g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSRLHKGCAFFTFSWSLLLCFSPFCLAANQIGPGKVIRDGDTIVSGRKKFALGFFSPPGTSKRYVGIWYNGIPSKSESVIWVANRENPIEDKNGALTILNDGNLAVLDGENNVVWSSGVFVPSKESFAVLFDSGNLVLRRPDHGNGLRSRLVLWESFSHPTDTFLPEMKVYLNASVIEQKILSSWTNSRNPFPGKFSLGIDTRKPPQIVVWEGGKRRWRSGHWNGMEFLGVPSMRADYLYGFKLYNENDGRKVYFTYTLSNASHLVHFKIVWNGNEAKERWEESAKRWKTIQMQPSSTCDLYNQCGKFARCDASSSSICKCIKGFVPSDPRQWSLGNWSGGCTRRIELQCQRNGSGLGSGGRKEGFLKVDNVKLPDFAEVEESAGSGAACERKCKEDCSCTAYAFVSGIPCMIWRRDLVDMQQFGDGGSTLFIRVVHEFGDRTRNALIVTVSVAVALIFLGILPCGQEIAVKRLSRKSVQGVQEFTNELTLIAKLQHRNLVKLLGCCVEGGEKMIVYEFMSNKSLDSFLFDSSKRPELDWSKCFKIIEGVARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQNEGNTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIISGRRNTSFRSGEHLGIIGYAWDLWDDSKPMNLMHPSLSDSCVPEEVLRCIHLALLCVQDLAVHRPNMSSVVLFLETDNLTLPLPRPPTYTSMRRVPETEVWNQTGDIPSFNNITIKQTLTQSQRLSVNQSLVSAGGMFELGFFSPGNSRKLYVGLWYKNVPARSILWVANRENPLVANDSASSLEIGRDGNLRLVDGEQTTVWSTNVSIHSSSNTTAVLTDIGELILKESSTGLLLWNSFDYPTDTIIPGMNLGYDVRAGAKQELSSWESENDPSRGKFRVGLSQETPPQAFTWINNSTPYWRSGPWNGWKFIGIPEQYTGYSNGMNLIQDNNLGTAYLSFNTFNRSYVSIGTIRPSGLLQINLWDDKTNAWDLNWEAPSHLCDVYGTCGPFSVCDKTKSPFCECLRGFIPKSREEWSKGNWTSGCMRQTELLCEQRRSGIAPNESKNDTFFKLTEMKLPDHFVYLYDKGMAGCREWCLENCSCVAYAFPQGIRCMVWTSSLIDVLQFSYAGEDLYLRLANSEFGDDKRKIILIVSLATVASAILLGILIYMICRRRADQEANGQEVAVKRLSAHSGQGVEEFKNEILLISKLQHRNLVRLLGCSIHGEEKLLVYEHMKNKSLDSFLFGGLFSEKSDVYSFGVLLLEIVSGRRNCGFNSHEEHISLLSYAWQLWIESREVDLIDESISNSCSFTESLRCIRIGLLCVQDHASDRPTMSNVVLMLCSETDIPRPKQPTFTIQTLLGSDSRTDRNNAQSRNEISVSVIEGR >itb13g07120.t1 pep chromosome:ASM357664v1:13:8807976:8808299:-1 gene:itb13g07120 transcript:itb13g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANIANFIAPQAFGGGRGSERWQMPTTDIVGAGCCSSDVAGCHGERWRPQTRVVLLLLLPYFSVPRVVTPCSRVEYAVGAPLSLCSSTEQAQRDAVAGEEERPGCL >itb04g21630.t1 pep chromosome:ASM357664v1:4:26731870:26737241:-1 gene:itb04g21630 transcript:itb04g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISNFTAGRHALIGAALPESFPKVGSEKHSRLKMRISRSLDKAALRHSRKAFNGSSIRMTLVDERLAHRKVVMPSEVLAYELVQGAKVRWSYIMDKSLPEPPTAVLLHGILGSRKNWGSFARRLAKEFPKWQFLLVDLRCHGDSTSIKKKGPHTVASAALDVLKLLGHLRLTPRVVVGHSFGGKVALSMVDQVAKPLARPVRVWVLDATPGKVRAGGDGDDHPAELITFLSALPKEVSSKQDVVEALTKQGFSKDVAQWVVTNLRQTNPSGTSPASFSWVFDLKGIDEMYQSYEETNMWKVVEDVPRGVHVSFLKAERSLHRWALDDIQRIHSAEEQASKEGGGVEMHVLEDAGHWVHADNPDGLFKILSFSFQGF >itb09g04110.t1 pep chromosome:ASM357664v1:9:2285896:2288273:-1 gene:itb09g04110 transcript:itb09g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSNNNNNNNESPHDYMDIDLSLKLNESPQDSPQPVGESSSQPNKGDSPSSSKNSKTEEITVLQTEMKRMKEENKVLREAVEHTMKDFLDLQTKLALFVQQNDHKKDVISNFLWVNGEEGKISQELNRTSSSSSPTAQLEHNNNNNNSFSDTELGLSLTLQTAAAADDHEKGRDQKSPPSPPPSIHGNIHHGSSFSAGLSAKNPPISQHNVRKPRVSVRARCESATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCIEDMSILITTYEGTHNHPLPVGATAMAASTASTAASFMFLDSSNPISNNNLGIPQNQPFLNSQNYHHNMIPTLIRNINPNSAMAAPYHHDPAASSKLGLVLDLTKDNGVSSSASSSTSLPKLQGQMGQYSSWMMQRLAGNFDGHLQPGNTAENDKQKLVENVSAIAADPKFRVAVAAAISSFISKDKPPS >itb03g01390.t1 pep chromosome:ASM357664v1:3:775572:780700:-1 gene:itb03g01390 transcript:itb03g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRGKKSKVADVAPEEDSRHIDQDLILSIEKLQEVQDELEKVNEQASDEVLEVEQKYNEIRRPVYDKRNEVIKSIPDFWLTAFLSHPALGDLLSEEDQKIFKYFDSLNVEDFKDVTSGYSITFNFKPNPYFEDAKLVKTYTFLEEGTTQITGTDIKWKEGMGIANGGDHEKKGNKRPPSEESFFTWFTETQQKDIAEGFTDEVADIIKEDLWPNPLKYFNNEADEEDSDGDEDDEEGKDGEDGDEEEDDED >itb03g01390.t2 pep chromosome:ASM357664v1:3:775572:780695:-1 gene:itb03g01390 transcript:itb03g01390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADRGKKSKVADVAPEEDSRHIDQDLILSIEKLQEVQDELEKVNEQASDEVLEVEQKYNEIRRPVYDKRNEVIKSIPDFWLTAFLSHPALGDLLSEEDQKIFKYFDSLNVEDFKDVTSGYSITFNFKPNPYFEDAKLVKTYTFLEEGTTQITGTDIKWKEGMGIANGGDHEKKGNKRPPSEESSFFTWFTETQQKDIAEGFTDEVADIIKEDLWPNPLKYFNNEADEEDSDGDEDDEEGKDGEDGDEEEDDED >itb12g00990.t1 pep chromosome:ASM357664v1:12:703452:706690:-1 gene:itb12g00990 transcript:itb12g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGPCPSKYPIAQVQSFHNSLGISLSLGSSVSAFSIGDTPVSPQTTLSARTDLSPHILCFAEQPKAQDQRLAGGKWWSDRNGILMIKANKFLVLFNRRIYRRFISSQSIPVITSPVPTQENIAHLILDQKSAVEALQTFRWASNLPNFTHSQSTYRALIHKLCLFRRFEIVYQLLDEMRSSTCLPPDEGIFITIARGLGRARRIREVIKVLDLVSRFDLKPSLKLMNSILDVLVKEDIDIAREFYRKKMMECGVEGNDYTYGILMKGLCATNRIGDGFKLLQVLKTRGMKPNIVIYNTLIHALCENGKVGRARSLMREIAEPSDVTFNILISAYCKEDNSVQAMVMLEKSFSKGFIPDVITVTKVVGTLCKKGHLPEAVEVLERVEERGGEIDVVAYNTLINGYCRLGKVKAGQRLLKEMEMKGYLPNAETYNALISGLCECGMLDMALDMFNEMQKAGIKWDFATFDTVIHGLSSVGRVQDGFKILALMEDSKEGCRGHISPYNGIIYGLYRENRLEEAFEFLKKMESLFPRAVDRSLRILSFCKDGSMEEAKNIYAQMIGEGGIPSAVVYAALIHTFCQKEQIREALELMNEMVSHGYSPVASTLNALIRGLCRQGKIQNASRLLEDMVGRGGDCFPDIESYSPFIEGFCSKGDFHKAFIIFLQMVERGIVPNSSSWNTLILCLCQENGWLEDQNLLRLGNQLQSIIET >itb10g21090.t2 pep chromosome:ASM357664v1:10:26432141:26438409:1 gene:itb10g21090 transcript:itb10g21090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMYESHHHLLDMSSHKNPENELDNIIRDEEYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKDLGRRLGLEPLQVKFWFQNKRTQMKAQHERSENSQLRAENEKLRADNIRYQEALGNATCPNCGGPAAIGEMSFDEQQLRIENVRLREEIERITQIAAKFVGKPMLSYPNLPPPGATRSLDLGVGNFGHQPGLSGEMYSAGDLLRSVSGPTDADNKPMIIELAVAAMEELIRMAQTGEPLWVPSPDNSVKVLCEEEYVRTFPRGIGPKPLGLKSEASRESAVVIMSHVNLVEILMDVNQWSNVFAGIVSRAMTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDTLRPSPISRCRRRPSGCLIQEMPNGYSRVTWIEHVEVDDRAVHNIYRPLVNSGLAFGAKRWIATLDRQCERLASAMANNIPAVDGGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPPGNGGLNPEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKGAVACDSA >itb10g21090.t3 pep chromosome:ASM357664v1:10:26432032:26438409:1 gene:itb10g21090 transcript:itb10g21090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMYESHHHLLDMSSHKNPENELDNIIRDEEYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKDLGRRLGLEPLQVKFWFQNKRTQMKAQHERSENSQLRAENEKLRADNIRYQEALGNATCPNCGGPAAIGEMSFDEQQLRIENVRLREEIERITQIAAKFVGKPMLSYPNLPPPGATRSLDLGVGNFGHQPGLSGEMYSAGDLLRSVSGPTDADNKPMIIELAVAAMEELIRMAQTGEPLWVPSPDNSVKVLCEEEYVRTFPRGIGPKPLGLKSEASRESAVVIMSHVNLVEILMDVNQWSNVFAGIVSRAMTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDTLRPSPISRCRRRPSGCLIQEMPNGYSRVTWIEHVEVDDRAVHNIYRPLVNSGLAFGAKRWIATLDRQCERLASAMANNIPAVDGGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPPGNGGLNPEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKGAVACDSA >itb10g21090.t5 pep chromosome:ASM357664v1:10:26432395:26438424:1 gene:itb10g21090 transcript:itb10g21090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMYESHHHLLDMSSHKNPENELDNIIRDEEYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKDLGRRLGLEPLQVKFWFQNKRTQMKAQHERSENSQLRAENEKLRADNIRYQEALGNATCPNCGGPAAIGEMSFDEQQLRIENVRLREEIERITQIAAKFVGKPMLSYPNLPPPGATRSLDLGVGNFGHQPGLSGEMYSAGDLLRSVSGPTDADNKPMIIELAVAAMEELIRMAQTGEPLWVPSPDNSVKVLCEEEYVRTFPRGIGPKPLGLKSEASRESAVVIMSHVNLVEILMDVNQWSNVFAGIVSRAMTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDTLRPSPISRCRRRPSGCLIQEMPNGYSRVTWIEHVEVDDRAVHNIYRPLVNSGLAFGAKRWIATLDRQCERLASAMANNIPAVDGGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPPGNGGLNPEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKGAVACDSA >itb10g21090.t6 pep chromosome:ASM357664v1:10:26432932:26438390:1 gene:itb10g21090 transcript:itb10g21090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMYESHHHLLDMSSHKNPENELDNIIRDEEYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKDLGRRLGLEPLQVKFWFQNKRTQMKAQHERSENSQLRAENEKLRADNIRYQEALGNATCPNCGGPAAIGEMSFDEQQLRIENVRLREEIERITQIAAKFVGKPMLSYPNLPPPGATRSLDLGVGNFGHQPGLSGEMYSAGDLLRSVSGPTDADNKPMIIELAVAAMEELIRMAQTGEPLWVPSPDNSVKVLCEEEYVRTFPRGIGPKPLGLKSEASRESAVVIMSHVNLVEILMDVNQWSNVFAGIVSRAMTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDTLRPSPISRCRRRPSGCLIQEMPNGYSRVTWIEHVEVDDRAVHNIYRPLVNSGLAFGAKRWIATLDRQCERLASAMANNIPAVDGGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPPGNGGLNPEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKGAVACDSA >itb10g21090.t1 pep chromosome:ASM357664v1:10:26432032:26438424:1 gene:itb10g21090 transcript:itb10g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMYESHHHLLDMSSHKNPENELDNIIRDEEYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKDLGRRLGLEPLQVKFWFQNKRTQMKAQHERSENSQLRAENEKLRADNIRYQEALGNATCPNCGGPAAIGEMSFDEQQLRIENVRLREEIERITQIAAKFVGKPMLSYPNLPPPGATRSLDLGVGNFGHQPGLSGEMYSAGDLLRSVSGPTDADNKPMIIELAVAAMEELIRMAQTGEPLWVPSPDNSVKVLCEEEYVRTFPRGIGPKPLGLKSEASRESAVVIMSHVNLVEILMDVNQWSNVFAGIVSRAMTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDTLRPSPISRCRRRPSGCLIQEMPNGYSRVTWIEHVEVDDRAVHNIYRPLVNSGLAFGAKRWIATLDRQCERLASAMANNIPAVDGGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPPGNGGLNPEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKGAVACDSA >itb10g21090.t4 pep chromosome:ASM357664v1:10:26432395:26438390:1 gene:itb10g21090 transcript:itb10g21090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMYESHHHLLDMSSHKNPENELDNIIRDEEYESKSGTDIMEAPSGDDQDPNQRPNKKKRYHRHTQHQIQEMESFFKECPHPDDKQRKDLGRRLGLEPLQVKFWFQNKRTQMKAQHERSENSQLRAENEKLRADNIRYQEALGNATCPNCGGPAAIGEMSFDEQQLRIENVRLREEIERITQIAAKFVGKPMLSYPNLPPPGATRSLDLGVGNFGHQPGLSGEMYSAGDLLRSVSGPTDADNKPMIIELAVAAMEELIRMAQTGEPLWVPSPDNSVKVLCEEEYVRTFPRGIGPKPLGLKSEASRESAVVIMSHVNLVEILMDVNQWSNVFAGIVSRAMTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRENYFVRYCKQHSDGTWAVVDVSLDTLRPSPISRCRRRPSGCLIQEMPNGYSRVTWIEHVEVDDRAVHNIYRPLVNSGLAFGAKRWIATLDRQCERLASAMANNIPAVDGGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSANSSQSNMLILQESCTDSTGSFVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPPGNGGLNPEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKGAVACDSA >itb02g23810.t2 pep chromosome:ASM357664v1:2:24073483:24074322:-1 gene:itb02g23810 transcript:itb02g23810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCQLIFPIHSHPFPFTADQINRGAADADNRNGELRTTPFRKNAMLKALEVVERDSMAIAKSFTSLFASLCTALYEFLLNCFIENTTGDTGEKMKHLIKIAMELTNSDLAMTAQLTELLKTLEIPGLSRRLLEETETIDSSDE >itb02g23810.t1 pep chromosome:ASM357664v1:2:24073483:24076470:-1 gene:itb02g23810 transcript:itb02g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDCLSVCISAGKWNLETAHGLLKSEHLGNSHVKGAADADNRNGELRTTPFRKNAMLKALEVVERDSMAIAKSFTSLFASLCTALYEFLLNCFIENTTGDTGEKMKHLIKIAMELTNSDLAMTAQLTELLKTLEIPGLSRRLLEETETIDSSDE >itb06g23240.t1 pep chromosome:ASM357664v1:6:25290669:25292678:1 gene:itb06g23240 transcript:itb06g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSELDKPHAVCIPYPAQGHINPMLKLAKILHHKGFHITFVNTEFNRRRLQRTRGPESLRGLPSFRFETIPDGLPPSDADSTQDIPSLCESTSATCLGPFKDLLARLNNTAVSNAPPVSCIVSDGVMSFTVAAAEELGIPEVLFWTTSACGFLGYMHYTLLCEKGYFPFKDESYLTNGYLETELDWVKGMKGIRLRDLPSFFRTTNPDEYMFKFLVQETGRAGRASAVILNTFDALEHEALMALKSMLPAVYTVGPLQFLQTEVKDSNVRALATNLWKEDTSCLEWLDTKAPNSVVYVNYGSITVMTPDQLSEFAWGLANSKKPFLWIVRPDLVTGEGAILPPEFVEETKDRGMLSSWCPQEEVLAHPAIGGFLTHNGWNSTLESICSGVPMLCWPFFAEQQTNCHYACSKWGIGREIDSNVKRDEVEKLVRELMEGEKGGEMKKKAIEWKKLAEEAATSSIGSSHINIEKLINLHLLPPKY >itb03g17280.t1 pep chromosome:ASM357664v1:3:15935795:15940143:-1 gene:itb03g17280 transcript:itb03g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTVDLRSDTVTKPTEAMRAAMASAEVDDDVLGYDPTAQRLEAEIAKIMGKEAGLFVPSGTMGNLISVLTHCPIRGSEIILGDYSHIHIYENGGISTIGGVHPRTIKNNDDGTMDLDQIEAAIRDPRFEICFPTTRLICLENSHAHSGGRCLSAEYTDKVGELAKKHGLKLHIDGARIFNASAALGVPPSRLVQAADSVSVCLSKGLGAPVGSVIVGSKTFIDRARIMRKTLGGGMRQLGVLCAAALVGLQEHVGKLEGDHKKAKTLAEGLNKISGLEVDMATVETNIVFCDILKEANTTEMELCKRLAEHGVLVLPEGPKRIRFVIHHQISDTDVQYALSRVQHAVAGVCVAGVANGSGDH >itb12g15130.t1 pep chromosome:ASM357664v1:12:15549836:15550953:1 gene:itb12g15130 transcript:itb12g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGVINEENIPESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFQLILSGELDGLPEQAFYLVGNIDEATAKAMNLKT >itb01g35170.t1 pep chromosome:ASM357664v1:1:37514402:37515530:-1 gene:itb01g35170 transcript:itb01g35170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISKAFVASILLLFLTAHVAQAYETTTAVHGGAAGGRSLLGTIDCGASCDARCKLSSRPRLCKRACGTCCARCGCVPPGTSGNQDVCPCYASQTTHGGRRKCP >itb04g24870.t1 pep chromosome:ASM357664v1:4:29599149:29600360:-1 gene:itb04g24870 transcript:itb04g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLNLCFSKSKVESAPSKSTLQETRDHHSRPFLNTTFIKNFNSLYDLTLECTPNSKSFAAASAAVRGGAGAGSGGFSSTTDEEEAELSSSESNAAGETAATPDLATVLASRRFFFSSPGRSNSIVDSSSASSSIASTSSSSPTANQRDPDALVDGSVAIPTISPDPFLDFRRSMQEMVEARQLMDVRANWDHLHELLMCYLTLNPKSAHKFIVGAFADLLVSLMPPPSPGPPSDHLRKPEPSSTRGNKCKIISRNFM >itb14g16740.t1 pep chromosome:ASM357664v1:14:20081381:20082104:-1 gene:itb14g16740 transcript:itb14g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb01g32120.t2 pep chromosome:ASM357664v1:1:35698938:35703354:1 gene:itb01g32120 transcript:itb01g32120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRAPSQEEQEASAIKAAKLRSLQTQFIHFHHNKIYDNEALELSAKLLEANPEHYTAWNYRKLAVDRRLNQSETENNVESIKAILDEELRLVENALRSNYKSYGAWYHRKWVLSKGHSSTDRELRLLAKFQKADSRNFHAWNYRRFITALKNIPDEEELQYTTDMIYDNFSNYSAWHNRSALLIRLLKENVKGYSPKENVLTEELEFVRNALFTDPDDQSGWFYHLWLLDQTVKLTTLLVSSWPPHGSSLHLTRDGLLDNFILSPLAGSKSNARTLPLVLYFNEAVKNINPSTVKVECEGNLNTDLAWRPLSGNDIECAQAWLTYLNFPDRVQSLESYHVKVNISHSQGIVSLSGSVYCGAAAQLMFTVHVPPYNSEHIEMENEKRISWKEENFCTYETVSPESVLVKSSYQMRMPIDDEARNLDWNIQTLDNEIAHCRELLLSVNCKIGKLTLARLLMAREQLMSYNDSNAGNHYGEVLQFYNDLMELDPPHIQYYKDEYSSVLLRQLFSNQESLLERCHQYREPSSSIIYNYSCLRLNNLSLSRVGCVEKLLWVQILDLSCNQLRSIEGMEAMQLLSCLNLSKNSLCSFTALDPLRQLRSLKVLDISYNEIGAHSIDTRRYLCSSPLCHSSGSAWNIEQFSTDAVNATDYWEAFLVFKHLNLIQLDIAGNAVSDEKLKLLLSELMPSLKWLDGQKLR >itb01g32120.t1 pep chromosome:ASM357664v1:1:35698938:35703633:1 gene:itb01g32120 transcript:itb01g32120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRAPSQEEQEASAIKAAKLRSLQTQFIHFHHNKIYDNEALELSAKLLEANPEHYTAWNYRKLAVDRRLNQSETENNVESIKAILDEELRLVENALRSNYKSYGAWYHRKWVLSKGHSSTDRELRLLAKFQKADSRNFHAWNYRRFITALKNIPDEEELQYTTDMIYDNFSNYSAWHNRSALLIRLLKENVKGYSPKENVLTEELEFVRNALFTDPDDQSGWFYHLWLLDQTVKLTTLLVSSWPPHGSSLHLTRDGLLDNFILSPLAGSKSNARTLPLVLYFNEAVKNINPSTVKVECEGNLNTDLAWRPLSGNDIECAQAWLTYLNFPDRVQSLESYHVKVNISHSQGIVSLSGSVYCGAAAQLMFTVHVPPYNSEHIEMENEKRISWKEENFCTYETVSPESVLVKSSYQMRMPIDDEARNLDWNIQTLDNEIAHCRELLLSVNCKIGKLTLARLLMAREQLMSYNDSNAGNHYGEVLQFYNDLMELDPPHIQYYKDEYSSVLLRQLFSNQESLLERCHQYREPSSSIIYNYSCLRLNNLSLSRVGCVEKLLWVQILDLSCNQLRSIEGMEAMQLLSCLNLSKNSLCSFTALDPLRQLRSLKVLDISYNEIGAHSIDTRRYLCSSPLCHSSGSAWNIEQFSTDAVNATDYWEAFLVFKHLNLIQLDIAGNAVSDEKLKLLLSELMPSLKWLDGQKLR >itb03g13130.t1 pep chromosome:ASM357664v1:3:13135414:13145143:-1 gene:itb03g13130 transcript:itb03g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITPNALNPFDPSTHLPKPHLRPPKTLFSNPPLPPPFPRHAVFASNLRRRQRAKLCAKPTALRITACKASSSTSTSSNAVGEDAESAFEKLKEAERERINSLEEFERKANVQLERQLVLASEWSRKLLTMRGKLRGTEWDPENSHRISYSDFQRLLNSNNVQFMEYSNYGQTVSVILPYYKDGKMEDLNEDERKEVVFRRHIVDRMPVDCWNDVWRKLHQQLVNVDVYNVKTVPFEVYSTVAVAVVWSMRLALAVALYIWIDNKMRPIYGKLIPCDLGPPSKKKNIPIENRSLGSLGKSRAKFISAEEKTGVTFDDFAGQEYIKRELQEIVRILKNEEEFRDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAASGTDFVEMFVGVAASRVKDLFSSARSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTELDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKETLLQEIAEKTEDFTGAELQNILNEAGILTTRKDSDYIGREELLEALKRQMGTFETGQEDSTEVPEELKLRLAYREAAVAVVACYIPDPYRPFTETDVNSIRNQPNMQYTEKAGRVFKKKSDYVNAIVRACAPRVIEEEMFGVDNLCWISANATLEASRLAEVLILQTGMTALGKAYYRYQRDLVPNLAAKVEVLRDEYIRFAVEKCTSILKENQAAVEAITDVLLEKGEIKADEIWSIYKNYPRVPQHYSSLHTTTAVCTALLLSAAQHY >itb02g10860.t1 pep chromosome:ASM357664v1:2:7078031:7078498:1 gene:itb02g10860 transcript:itb02g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANFRGTHRWKKRSSVQSPRSALLTLLIFSSFIILFFYFRRFVSPTQLPLSRNSPSFLLQRCGSSSAGNFIWYAPHSGFSNQLSEFKNALLMASILNRTLVVPPILDHHAVALGSCPKFRVLSPPDLRFAVWNHSIELLRDCRQVKIFRHSGS >itb14g05860.t1 pep chromosome:ASM357664v1:14:5096450:5099948:-1 gene:itb14g05860 transcript:itb14g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVEEREWLGDDKRDGGGEAEDKKLTATEYIFRNTEVLSWKEQLTVRSMVTSLVLSVVFNFIVCKLSLTTGVIPSLNVAAGLLAFSMIKFWTTALHRMGFIVQPFTRQENTVVQTCVVASSGIAFSSGTASYMLGMIPRVADQASIGNTPNNVKELSIGWMMAFLFIVSFVGLFSIVLFRRMMVLKFKLTYPSGTATAYLINSFHTPKGARLAKKQVAVLFKTFSFSFVFAAFQWFFAGGDDCGFASFPTFGLQAYDQRFYFDFSSTYVGVGMLCPAMVNISLLFGAVISWGIMWPLIEAKKGIWYSADIPASSLHGIQGYRVFLAIAMILGDGLFHFVFMIITTTMSFMKRSSSESRSGEGEDYDESIRTEYFEKDSIPKWVGVGGYAALAIVSIIVVPLIFHPLKWYHILVAYAIAPVLAFCNAYGCGLTDWSLASNYGKVAILVFSSWVGLNNGGVLAGLASCGVMMSIVSTASDLMQDFKTGYLTLASPRAMFFSQVIGTAMGCVMTPLVFWIFYKAYPLGDPNGSYPAPYALMYRGIALLGVEGFGSLPKHCLALAIGFFLAAMVIDVLIELLRKYETNYRIYRFIPNPMCMAIPFYLGGYFAIDMCVGSLILFLWRRANKQKAKDFAPAVASGLVCGESLWGIPAAILALAGAKAPLCMKFVKS >itb01g24220.t2 pep chromosome:ASM357664v1:1:29914453:29919416:-1 gene:itb01g24220 transcript:itb01g24220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNCEMVNFGPQGSENEENGLGNYCFELINPKDADGKTKCLVQEVLNIYVEELPAMKYAANTGKQSLFLDKCVSSGKYCTLILRIKQGEHHGEVVAATTYQIISADTQYAEIPLAAVRSQYQHKGIGRILYMELRRRLQNVGIRTVFCWGDKESEGFWLKQGFVVIGQVDAKGKARRLPIRADIRRALCFPGGSTLLVSHLNKESLTNSPENLMMTVAPNSPDKLPISQKQDKNVPEGNHFSNGVNQNISTDDTQHYDTVETINCGNVANCTGVNECEFEPYPERCSCSAPGTKKRMWEASFTSLNSKKVKGGYVADDCHLRSNNFLLENNGKTTMHKSGGSLAASESAFLGHDHHKDPLTCSSKYSEEYRALDVTPISNSNLGIPPLGKHFRIMLMNIADDNKKANLTKIIENLGGLVTSDGSECTHVVTGKVRKTLNFCKALCSGSWIISPSWLKESFRKGRFVDEMPFILKDEDYELKYTTELKSALLRAKAHPQSLLNGYDICLAAHVQPPASMLSAVVKSAGGNVLGGLEEVNDAKKTVFVACEEDMDEALSAAEKGIRTFCSEWFMNCIMKQQLDLGASQFAESL >itb01g24220.t1 pep chromosome:ASM357664v1:1:29914453:29919416:-1 gene:itb01g24220 transcript:itb01g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNCEMVNFGPQGSENEENGLGNYCFELINPKDADGKTKCLVQEVLNIYVEELPAMKYAANTGKQSLFLDKCVSSGKYCTLILRIKQGEHHGEVVAATTYQIISADTQYAEIPLAAVRSQYQHKGIGRILYMELRRRLQNVGIRTVFCWGDKESEGFWLKQGFVVIGQVDAKGKARRLPIRADIRRALCFPGGSTLLVSHLNKESLTNSPENLMMTVAPNSPDKLPISQKQDKNVPEGNHFSNGVNQNISTDDTQHYVADTVETINCGNVANCTGVNECEFEPYPERCSCSAPGTKKRMWEASFTSLNSKKVKGGYVADDCHLRSNNFLLENNGKTTMHKSGGSLAASESAFLGHDHHKDPLTCSSKYSEEYRALDVTPISNSNLGIPPLGKHFRIMLMNIADDNKKANLTKIIENLGGLVTSDGSECTHVVTGKVRKTLNFCKALCSGSWIISPSWLKESFRKGRFVDEMPFILKDEDYELKYTTELKSALLRAKAHPQSLLNGYDICLAAHVQPPASMLSAVVKSAGGNVLGGLEEVNDAKKTVFVACEEDMDEALSAAEKGIRTFCSEWFMNCIMKQQLDLGASQFAESL >itb06g11580.t1 pep chromosome:ASM357664v1:6:16132229:16134434:-1 gene:itb06g11580 transcript:itb06g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHETGNQKHDEEDKVVHCLILPFPAQGHINPMLQFSKRLEAKGVKITIAVTKFLLTTLQDLSSSHLSIETISDGFDEGCSSQTRSSQAYIDKFREVGSETLAQVLRRLRVGRFPVSCVVYDAFLPWVVDVAVEEGVSGACFFTQSCAVDGIYYYCQQGRIKVPLGGDEVVEIPGLPCLEPKDMPSFIYSPESSYPFALEMLMNQFCNIQKADWILVNTFYELERQVIDWMRKLWVVGAIGPTVPSKYLDNRLPDDKEYGLSVFKPMTEVCMKWLDDRQDGSVVYVSFGSMVHLQEEQMEELAWALRRSNRPFLWVVRSEEANKLPKNFLEEKTRGLVVSWCPQLQVLAHSAVGCFLTHCGWNSTLEAISLGVPMIAIPQWSDQATNSKLVMDKWKTGVRAKTDENGVVKREEIEECIGRVMEEEEMRANTRKWKQVCKEAMEEGGTSDRDIQDFISCLAAKSMTK >itb15g20570.t1 pep chromosome:ASM357664v1:15:23241907:23242236:-1 gene:itb15g20570 transcript:itb15g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRSKSFADGCRNDMYQMERYSGYQYADFRSPPPLPLALPPPPQLKKGKSRSGSTSRSWSMNDPEFQRKKRVASYKVYSVEGKVKGSFKRSFRWLREKYSHVVYGWW >itb05g07570.t1 pep chromosome:ASM357664v1:5:9868997:9869371:-1 gene:itb05g07570 transcript:itb05g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNQLIRHGREKTAHGPYSSFGSMSQKQGVCPRVSTRTPKKPNSAPRKIAKVRLSNRHDIFAHIPGEGHNLQEHSMVLIRGGRVKDSPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSR >itb10g21650.t1 pep chromosome:ASM357664v1:10:26779841:26781058:-1 gene:itb10g21650 transcript:itb10g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMKSVMKHWMEGEITIFIKIWLSIYFSLSYCFFIAKMAPIGLPRLLLFLPVISLFLLLPLALHSVHLCGITAFFISWLANFKLLMLAFNHGPLPSLSLPHFLVIACLPIKIHQKHTDTSVSSAQQDSDQHRQLSWFVNETEEKVQKHQTLIFSPNELFREKPPVEVSENRQKSAVSYAVKAVLMGLIIRILYEYSDSINSTVILIIYGFHIYLFLDIFLAFVAALARAVLGLELEPTFNEPYLSASLQDFWGSRWNLMVNRILRPAVYSPFLDASAKYLGRKWATYPAVMATFMVSGLMHELVYFYLGRVKPTWEITWFFLLHGACVAVEIAMKKTLRDRCRLPGILGTILTLGFVMLTGYWLFLPQLLRCEAFVKGVAEYAALGAFVKDVRMALTLGTRSS >itb09g13070.t2 pep chromosome:ASM357664v1:9:8394422:8404742:1 gene:itb09g13070 transcript:itb09g13070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITIYLFVYLFFKYTSVYHLISFFQSLKIRAAKQSNQAIILLNWLFQDEILFQALAKNLAGIISRRDDHYIALGWCILARSLLEFDSIMGKLTTNGIREKYDALKKTFCSCISHLLAIVCNGSTLQGGFELPTRLAVAAADVILSVLVSLTRKDLSSDFSDHKPKSFSPSKSNSSIMLLSSAVNEKKPNNTSKSSQSADMEMMFLLWDHLDELIILVEKLIAWNRKGRPLHAKGLEQVCRWLQETKKNYDCFPNDADSKMRKTGSLLLYSCWKHYGLLLHLEDYKISGQYRELLQQYLSGIQYFAESRSEVPSENKESGIETLKFFINCLSLLLGRLVGTQFENAISEYGSQISQALISQLQSADDEVIEGATCILRVVIFKTNKRLTKSAETKEMDTSLPMLLHLLDERDGAAKVVIKLVAEYCSICLDIRCFKEVLKRLVTGTLSQRRNALDFISDIIHISLESDDALPQSMWKDIANHLLDFLQEEDVICKQASSLIPFIDPSLVLPSLVHLIYSPNERVQSSAGTAFISLLKNYKQNPEVVCILIDCLSNLSQDMNLKMTGAVTKEGSQLDIDRVLMLLPQWSKTVEHWDLLIGPLINKLFVEPSNAVIVRFLSFISEHLAEAAELVFQQLLSYTRRQEDFNEGFCTSNATAKRQLSIFSRLCPLLVIRLLPLRVFDNLDSSHVYGELPSKLATRDTEGFRMDINECITALLIHRALNEFEFEDVRKLAAELCGRIHPKVLVPIISFQLENATDMKHLLKIKACLFAMCTSLRVRGMESYKHLEVFRIRKTLEIILLWPSMDEDEVSKAQHGCIDCLALMLCTELQAPKAFGKSISGDAGVSSICNYVTQQLTCDEPDTLPLKLGTEEKETAHRSFRLCMANVLISACQKIPDSDKKALVSKILPRVIRSVKVITDSDIRSACIQVLFSMVYHLKSAVLPYSSDILEASIRSLREESDKERMGGAKLLASLMGSEEAVIESISVGLLEARALLHSLSQSDPSLDVRSMSQKLLACMTSP >itb09g13070.t4 pep chromosome:ASM357664v1:9:8393163:8403653:1 gene:itb09g13070 transcript:itb09g13070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEAQQKQLIWEASQSSSSSLISESNSLVSATVGRVMNTLLTAKPKKLQLAISSLQPSPKIAPLGVSLEQSLWFLHKYVEDAREKEESLDQVLVPMIEHSLKIRAAKQSNQAIILLNWLFQDEILFQALAKNLAGIISRRDDHYIALGWCILARSLLEFDSIMGKLTTNGIREKYDALKKTFCSCISHLLAIVCNGSTLQGGFELPTRLAVAAADVILSVLVSLTRKDLSSDFSDHKPKSFSPSKSNSSIMLLSSAVNEKKPNNTSKSSQSADMEMMFLLWDHLDELIILVEKLIAWNRKGRPLHAKGLEQVCRWLQETKKNYDCFPNDADSKMRKTGSLLLYSCWKHYGLLLHLEDYKISGQYRELLQQYLSGIQYFAESRSEVPSENKESGIETLKFFINCLSLLLGRLVGTQFENAISEYGSQISQALISQLQSADDEVIEGATCILRVVIFKTNKRLTKSAETKEMDTSLPMLLHLLDERDGAAKVVIKLVAEYCSICLDIRCFKEVLKRLVTGTLSQRRNALDFISDIIHISLESDDALPQSMWKDIANHLLDFLQEEDVICKQASSLIPFIDPSLVLPSLVHLIYSPNERVQSSAGTAFISLLKNYKQNPEVVCILIDCLSNLSQDMNLKMTGAVTKEGSQLDIDRVLMLLPQWSKTVEHWDLLIGPLINKLFVEPSNAVIVRFLSFISEHLAEAAELVFQQLLSYTRRQEDSFNEGFCTSNATAKRQLSIFSRLCPLLVIRLLPLRVFDNLDSSHVYGELPSKLATRDTEGFRMDINECITALLIHRALNEFEFEDVRKLAAELCGRIHPKVLVPIISFQLENATDMKHLLKIKACLFAMCTSLRVRGMESYKHLEVFRIRKTLEIILLWPSMDEDEVSKAQHGCIDCLALMLCTELQAPKAFGKSISGKACDTLTSISLGQSFVCYLQAIINE >itb09g13070.t1 pep chromosome:ASM357664v1:9:8393100:8404742:1 gene:itb09g13070 transcript:itb09g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEAQQKQLIWEASQSSSSSLISESNSLVSATVGRVMNTLLTAKPKKLQLAISSLQPSPKIAPLGVSLEQSLWFLHKYVEDAREKEESLDQVLVPMIEHSLKIRAAKQSNQAIILLNWLFQDEILFQALAKNLAGIISRRDDHYIALGWCILARSLLEFDSIMGKLTTNGIREKYDALKKTFCSCISHLLAIVCNGSTLQGGFELPTRLAVAAADVILSVLVSLTRKDLSSDFSDHKPKSFSPSKSNSSIMLLSSAVNEKKPNNTSKSSQSADMEMMFLLWDHLDELIILVEKLIAWNRKGRPLHAKGLEQVCRWLQETKKNYDCFPNDADSKMRKTGSLLLYSCWKHYGLLLHLEDYKISGQYRELLQQYLSGIQYFAESRSEVPSENKESGIETLKFFINCLSLLLGRLVGTQFENAISEYGSQISQALISQLQSADDEVIEGATCILRVVIFKTNKRLTKSAETKEMDTSLPMLLHLLDERDGAAKVVIKLVAEYCSICLDIRCFKEVLKRLVTGTLSQRRNALDFISDIIHISLESDDALPQSMWKDIANHLLDFLQEEDVICKQASSLIPFIDPSLVLPSLVHLIYSPNERVQSSAGTAFISLLKNYKQNPEVVCILIDCLSNLSQDMNLKMTGAVTKEGSQLDIDRVLMLLPQWSKTVEHWDLLIGPLINKLFVEPSNAVIVRFLSFISEHLAEAAELVFQQLLSYTRRQEDFNEGFCTSNATAKRQLSIFSRLCPLLVIRLLPLRVFDNLDSSHVYGELPSKLATRDTEGFRMDINECITALLIHRALNEFEFEDVRKLAAELCGRIHPKVLVPIISFQLENATDMKHLLKIKACLFAMCTSLRVRGMESYKHLEVFRIRKTLEIILLWPSMDEDEVSKAQHGCIDCLALMLCTELQAPKAFGKSISGDAGVSSICNYVTQQLTCDEPDTLPLKLGTEEKETAHRSFRLCMANVLISACQKIPDSDKKALVSKILPRVIRSVKVITDSDIRSACIQVLFSMVYHLKSAVLPYSSDILEASIRSLREESDKERMGGAKLLASLMGSEEAVIESISVGLLEARALLHSLSQSDPSLDVRSMSQKLLACMTSP >itb09g13070.t3 pep chromosome:ASM357664v1:9:8393163:8404734:1 gene:itb09g13070 transcript:itb09g13070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEAQQKQLIWEASQSSSSSLISESNSLVSATVGRVMNTLLTAKPKKLQLAISSLQPSPKIAPLGVSLEQSLWFLHKYVEDAREKEESLDQVLVPMIEHSLKIRAAKQSNQAIILLNWLFQDEILFQALAKNLAGIISRRDDHYIALGWCILARSLLEFDSIMGKLTTNGIREKYDALKKTFCSCISHLLAIVCNGSTLQGGFELPTRLAVAAADVILSVLVSLTRKDLSSDFSDHKPKSFSPSKSNSSIMLLSSAVNEKKPNNTSKSSQSADMEMMFLLWDHLDELIILVEKLIAWNRKGRPLHAKGLEQVCRWLQETKKNYDCFPNDADSKMRKTGSLLLYSCWKHYGLLLHLEDYKISGQYRELLQQYLSGIQYFAESRSEVPSENKESGIETLKFFINCLSLLLGRLVGTQFENAISEYGSQISQALISQLQSADDEVIEGATCILRVVIFKTNKRLTKSAETKEMDTSLPMLLHLLDERDGAAKVVIKLVAEYCSICLDIRCFKEVLKRLVTGTLSQRRNALDFISDIIHISLESDDALPQSMWKDIANHLLDFLQEEDVICKQASSLIPFIDPSLVLPSLVHLIYSPNERVQSSAGTAFISLLKNYKQNPEVVCILIDCLSNLSQDMNLKMTGAVTKEGSQLDIDRVLMLLPQWSKTVEHWDLLIGPLINKLFVEPSNAVIVRFLSFISEHLAEAAELVFQQLLSYTRRQEDSFNEGFCTSNATAKRQLSIFSRLCPLLVIRLLPLRVFDNLDSSHVYGELPSKLATRDTEGFRMDINECITALLIHRALNEFEFEDVRKLAAELCGRIHPKVLVPIISFQLENATDMKHLLKIKACLFAMCTSLRVRGMESYKHLEVFRIRKTLEIILLWPSMDEDEVSKAQHGCIDCLALMLCTELQAPKAFGKSISGDAGVSSICNYVTQQLTCDEPDTLPLKLGTEEKETAHRSFRLCMANVLISACQKIPDSDKKALVSKILPRVIRSVKVITDSDIRSACIQVLFSMVYHLKSAVLPYSSDILEASIRSLREESDKERMGGAKLLASLMGSEEAVIESISVGLLEARALLHSLSQSDPSLDVRSMSQKLLACMTSP >itb13g22960.t1 pep chromosome:ASM357664v1:13:29091943:29094646:-1 gene:itb13g22960 transcript:itb13g22960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MIIGLTPKSRLRLRHLPRILLLSPKCLSSSPLPLQNPPHQHLHFSFRAPTSSRPRKPDYEFSLVSALKSCSALSSIPQGRQLHCLVLKSGLDSNIFILNSLMSFYAKCELIRDAMKIFDSFPWLDPVSCNIMMSGYAKLGRVEDACEVFDKMPGRNCVSYTTIIMVLVQKGSFSKAIHVFREMRCLGMVPNEVALGSMISASLHCGGVQSGRMLHGLVLKLGLHGFVHISTNLLHVYCVSGCVRDASVLFNEMPERNVVSWNVMLNGYAKAKLVHLLRELFEKMVDRDVVSWGTVIDGYIQVGRLSEALVLYCQMLRTGLKPNDIMIVDLISACSRAMAILEGKQFHGVALRMGFDSHDFIQATIIHLYAACGETDLARIQFEVGSKEHPACWNALIAGLIRNGKVDEGRSLFDQMPERDVFSWSSMISGYSQTEQPSLALELFHEMVESGIKPNEVTMVSVFSAIATLGTFKEGRWAHEYILKNSIPVNDNLSAAIIDMYAKCGSISTALDLFNQIRERATDVSPWNAIICGLAMHGHAELSLDIFSDMERRNIKPNSITFIGVLSACCHAGLVEVGEKHFKRMKEFYTIEPSIKHYGCMVDLLGRAGRLYEAETLIQSMPMEADAVIWGTLLAASKTHGNTEVGKRAAENLARVEPSHGPSRVLLCNIYADAGKWEDAFLVRQEMQTQRLTRATAYSGVV >itb01g08780.t1 pep chromosome:ASM357664v1:1:7030797:7031713:-1 gene:itb01g08780 transcript:itb01g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVVNMESARGFNQMPLQYDWRLKVRTYLPDPRSTVISSILFMPLPGEGRIEPTIIRTMAWFSAAVSGGIPIVFVNIQTEQINPLEGRNATRKQNSFSVFQFVQGVRLWFLPGVAEVPIELTPESGESRFGLDIRRTEEGFFCVYSVTSGTAAGRAGLGQLFEQANRTGHLLVISRLEGKSLMPSTVSSDGLIYCCDNAEIKETLSSAMERMDAVQLHFMSWPNQRSNSSPPPAFGAAALLPPS >itb07g03680.t1 pep chromosome:ASM357664v1:7:2475856:2479645:1 gene:itb07g03680 transcript:itb07g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCAKGSATKDDAVDNEGGRRGESNRSSVQAAAPSGGEVVRAVACTSEGCVLPKRKPGPQSGLHHRKGSTKDSGVKGVLLSSVPGIVSMPHAAKGEQSAAGWPYWLSSVAAEAIQGWVPRSSESYMEIEQIGQGTYSTVFRARDITNDEIVALKKVKFVNVDPESVRFMAREICILRRLDHPNVLKLKAMAISRISGHLSLVFGYMEHDLSGLATAHGSKFTEPQIKCYMQQLLLGLEHCHGRGVLHRDIKGANLLVDYNGVLKIGDFGLANYFQPDQKQQPLTSRVVTLWYRAPELLLGATAYGVAIDMWSVGCIIAELFAGKPIMPGTTEVEQMHKIFKLCGSPSEEYWQNSRLPHATTFRPQKPYRRRITETFKDVPRSALALIDTLLSVEPDKRGTAASALNSKFFTTKPLPSDPSTLPKYPPSKEFDARIKDEARSKKCGSVKGGEFLRKTSRGSKITPMKLASQERQRQSNKSISIRYGEDGGEGFPIEQPPRKYRNGISHSISVIHPNAASNYTWNQKPQGGSGQTSQMAPHVSLLSRDGRRSSRDSKGTRNRILYSGPLVPGAGSMEEMLKEHERHIQQAVRKARIDKVQRK >itb06g08040.t1 pep chromosome:ASM357664v1:6:11959678:11960010:1 gene:itb06g08040 transcript:itb06g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPESPIGNGAVAARRRSRLSEKNTQRRHRMPPPSVLYATPTTAACNSNSRRRRRYQENQRRPDSEESGLWRGTVAPAQSQRLSSSLLVSSPLSGGHRKRDESETENGP >itb03g12890.t1 pep chromosome:ASM357664v1:3:12739545:12744639:1 gene:itb03g12890 transcript:itb03g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRKLNRPTGHRLSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQLGKEGTLCAARRAAGFVRGDDVLHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQLPQRPAMDPWTKSRLSRQFAPPKEEKSTDSEN >itb09g02840.t1 pep chromosome:ASM357664v1:9:1589263:1591913:-1 gene:itb09g02840 transcript:itb09g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGIEVRVTPVRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFEENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDTAVRHILMRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEEEEYARAPIMAPPTDIEVPAVI >itb11g07920.t1 pep chromosome:ASM357664v1:11:5006915:5009333:-1 gene:itb11g07920 transcript:itb11g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVMVKLKWGMEYKGFLVSVDSYMNLQLANAEEYIDGQSTGTLGEILIRCNNVLYLRGVPEDEEVEDAAE >itb03g15490.t1 pep chromosome:ASM357664v1:3:14825264:14829812:1 gene:itb03g15490 transcript:itb03g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAEESLTKQRMGSLRSSMIKEKDEELGLFLEMRRREKERNDLLLLQNSDEFDAPLGSNTGSSPVFNIPAAMPARKTVTDDFLNSENDKNDYDWLLTPPGTPLFPSLETESQRRVANKLGTPKARPTALKSRLANPPEPTARSNLMSRQPASSPGNTSSSGLCRPSSAGGSRPSTPTGRPTLSTSRSTSVAKPTPNTASKGMSTAGASRATPTLTTSRPSRSATPTSRATLPSIKSTVPPRSSTPNSRSTARPLTPTTRPSIPGSKSTSRASTPTRRPVTSTAIHTSAPPARSPSSSITKSSSTAARNPAPPRASSPTVKPRPWNPSDMPGFSLDAPPNLRTSISDRPPSATRGRPGAPIVRSSSIEPVGNGRVRRQSCSPSRGRPPNGVLHNSGSSVPVPAMSRLHAKANDNVSPVVMGNKMVERVINMRKLAPPRLDNRSSPNSNLSAKSSSPDSAGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGPSRSRTVSVSDSPLATSSNASSEVSVNNNAVCIDGHGGECEISSDKGARSPASVHSSR >itb03g15490.t2 pep chromosome:ASM357664v1:3:14826608:14829806:1 gene:itb03g15490 transcript:itb03g15490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPASSPGNTSSSGLCRPSSAGGSRPSTPTGRPTLSTSRSTSVAKPTPNTASKGMSTAGASRATPTLTTSRPSRSATPTSRATLPSIKSTVPPRSSTPNSRSTARPLTPTTRPSIPGSKSTSRASTPTRRPVTSTAIHTSAPPARSPSSSITKSSSTAARNPAPPRASSPTVKPRPWNPSDMPGFSLDAPPNLRTSISDRPPSATRGRPGAPIVRSSSIEPVGNGRVRRQSCSPSRGRPPNGVLHNSGSSVPVPAMSRLHAKANDNVSPVVMGNKMVERVINMRKLAPPRLDNRSSPNSNLSAKSSSPDSAGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGPSRSRTVSVSDSPLATSSNASSEVSVNNNAVCIDGHGGECEISSDKGARSPASVHSSR >itb04g22190.t1 pep chromosome:ASM357664v1:4:27344539:27345449:-1 gene:itb04g22190 transcript:itb04g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNSTATMTMDAGRKIVGAGMSAMETFEKLEKVGEGTYGKVYRVRERATGKIVALKKTRLHEDEEGVPPTTLRLHEDEEGVPPTTLREISLEEGVPPTTLREISLLRMLSRDPHIVRLLGTPNEQVWPGVSKLVNWHEYPQ >itb10g21840.t1 pep chromosome:ASM357664v1:10:26894336:26899882:1 gene:itb10g21840 transcript:itb10g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVVANQFNKGAYPASPHDPLYKELWHACAGPLVTLPREGERVYYFPQGHMEQLEASTHQGLDQQLPSFNLPAKILCKVMNVFLRAEPETDEVYAQVTLLPEPEQSEVTSPDPPLPEPEQCNVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPWQELVSTDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSAKKLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAINTGTLFSVFYRPRTSRSEFLVSVNKYFEAQNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVEDNPSSRWPDSKWRSLKVQWDEPSSIVRPDRVSPWEIEALVTSTPQILQPPQRNKRARPANLPPSISEFSALGTWKSPVDSPSSFPYCDTSRGTDLFPSPKLSSGSKVNNLAYSENGSLPPVSSRSMCCSNQPETDSTAPVSEKKQANGYRLFGIQLMDHSTVRDTSLTAPQPMVEDCPVLCLDSESDQHSEPSNPTRSEVPEVSFEHEKSSLRSPNESHSKQIRSCTKVHMQGKAVGRAVDLTRFEGYEDLLRKFEEMFEIKGELCGSIKKWQVVYTDDEDDVMMVGDDPWHEFCNMVRKINIYTIEEAKMLSPKIKLPVNEVKSSKPVSDSSVGTEELV >itb12g15070.t1 pep chromosome:ASM357664v1:12:15486132:15486911:1 gene:itb12g15070 transcript:itb12g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDNFCQLVGRLDNSAISATGAKLAFKSNEEIAVEVKTVPLDDVILESEPVLLLKIDVQGWEYHVLKGASKLLSRKKSEAPYLIYEEDERLLRASNSSAKEIRDFLQTVGYHNCTKHGTDAHCTKTD >itb07g00100.t1 pep chromosome:ASM357664v1:7:82735:87045:-1 gene:itb07g00100 transcript:itb07g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLKMAVPFALLLLLFATAKAESPYRFFSWNVTYGTIYPLGKPQQGILINGQFPGPDIHSVTNDNLYINVFNSLDEPFLISWNGVQNRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPLIPVPFDEPAGDWTVLIGDWYQKNHTDLKKILDRGHKLPFPDGILINGRGPSGASFTVEPGKTYRLRISNVGLQNSLNFRIQGHKMKLVEVEGTHTMQTTFSSLDVHVGQSYSVLFTADQTPQDYYIVASSRFSTKILTTTAVLHYSNSNRPVSGPPPGGPTIQIDWSINQARAIRTNLTASGPRPNPQGSYHYGMINTTKTIRVASSAGQVNGKQRYALNSVSFVPADTPLKLADYFNIGGVFRVGSISSAPTGGGVYLDTSVMGADYRTFIEIVFQNDEDIVQSYHINGYQFWVVGMDGGQWTADSRNQYNLRDAVARSTTQVYPKSWTAIYVALDNVGMWNVRSEFWARQYLGQQFYLRVYTTSTSLRDEYPIPINALLCGRAVGHHKRPL >itb07g24320.t1 pep chromosome:ASM357664v1:7:28552044:28556342:1 gene:itb07g24320 transcript:itb07g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVGRRRGNGVVSGSVWDNRIKLYDGKGGIKALINTSQTEQSQNSPEIMNQIPLQEDKKLAMATKPRSPTVVAASGKRKSWKSESLEGNPLQSPRKRSELCKNIEDLSKKTRSVVQKQKGPELRNVKSESSKLLNDDNGNGKLRTSNSLQLVKAKSASPKDLDDGRSSRKELKFEENKEQETKNCEGEVKQFEENKVVLEGENENKPNGMISRARSEEEEEEEIEVCEEKVITSNMELALIISPPKVEETENLNDQEDEEEEECGIDEAEEEEDESETKTENKSVVVVKKMSVSEQYKPNKIVTEEKKKIVHSYDRSVPLSPIVKKQPPPINGHARFHPTPSKPKQVPVSEDSQSFSRPQSRLQSLVDLVMWRDASKSALVFGVGTFLIISSSYTQDIKVSLVSVVSYMSLLYLAVIFLFRSLIHRGGSAVGERSEYVVGEEEAIWVLRLILPSINEFLLKIRALFSGDPATTMKMAVLLFVLAQCGSSITIWKMAKLGFFGVFIVPKVCSSYSTQLTAYGKFWVRRFRDAWESCTHKKAVAFGIFTLVWNLSTFMARIWAAFMLYVAFRYYQESMVREGWDGESTNAKAEEVEAKIGQRQVGRMSTFMDTTKQKRPF >itb14g04390.t1 pep chromosome:ASM357664v1:14:3944613:3948913:1 gene:itb14g04390 transcript:itb14g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISCSDCFSDLLCREDSDVVITGDGENLPECSNSEYESLPPTAELDESIAGLIENEQNFVPGIDYAERLQSQPIDAAAREDAIAWILKVHRYYGFQPLTAYLAVNYFDRFLYQHRLPQTKGWAIQLLSVACLSLAAKMEEPLVPLLLDLQVDSEKYIFEAKAVRRMELLVLSVLDWRLRSITPFSFLDFFACKIDSIGTFKRSLISRATTIILSNIQEACLVEYRPSCIAAAATLCAANDLPDFSSVNAEHAVSWCHGLPKENIISCYQLMQKCAIDIRPRRFPKVLPKVRVMARASVACSDLSSSPSFSTYDKRRKLGDSLWTNDDRESHSE >itb14g04390.t2 pep chromosome:ASM357664v1:14:3944613:3948913:1 gene:itb14g04390 transcript:itb14g04390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISCSDCFSDLLCREDSDVVITGDGENLPECSNSEYESLPPTAELDESIAGLIENEQNFVPGIDYAERLQSQPIDAAAREDAIAWILKVHRYYGFQPLTAYLAVNYFDRFLYQHRLPQTKGWAIQLLSVACLSLAAKMEEPLVPLLLDLQVDSEKYIFEAKAVRRMELLVLSVLDWRLRSITPFSFLDFFACKIDSIGTFKRSLISRATTIILSNIQEACLVEYRPSCIAAAATLCAANDLPDFSSVNAEHAVSWCHGLPKVINNIL >itb02g18390.t6 pep chromosome:ASM357664v1:2:14777863:14783509:-1 gene:itb02g18390 transcript:itb02g18390.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGRGRGVSVSRGMLPLLALHAVAEYSRLDRKPPVTAGLVAANTIIYLRPNFLDHIIPYIDEVWFNPHLVLKYKDLKRFFLSAFYHINESHLVYNMLSLLWKGIQLERSMGSAKFASMVAALVPMSQGITLLLAKFLVLFFDYDSPYYKEYAVGFSGVLFAMKVVLNAQSGDYTYVHGLMVPARYAAWAELILIQMFVPSVSFLGHLGGILAGLVYLHLKGSYSGSNPLIKIVRSFVSAVSLPLKFLWGSGWVQRNTSDVWRCQACTYDNPGILNICEMCGTDRFEYSSLHSPSGENQDIPLEELRRRRVERFGGL >itb02g18390.t5 pep chromosome:ASM357664v1:2:14777207:14783445:-1 gene:itb02g18390 transcript:itb02g18390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGRGRGVSVSRGMLPLLALHAVAEYSRLDRKPPVTAGLVAANTIIYLRPNFLDHIIPYIDEVWFNPHLVLKYKDLKRFFLSAFYHINESHLVYNMLSLLWKGIQLERSMGSAKFASMVAALVPMSQGITLLLAKFLVLFFDYDSPYYKEYAVGFSGVLFAMKVVLNAQSGDYTYVHGLMVPARYAAWAELILIQMFVPSVSFLGHLGGILAGLVYLHLKGSYSGSNPLIKIVRSFVSAVSLPLKFLWGSGWVQRNTSDVWRCQACTYDNPGILNICEMCGTDRFEYSSLHSPSGENQDIPLEELRRRRVERFGGL >itb02g18390.t2 pep chromosome:ASM357664v1:2:14777207:14783427:-1 gene:itb02g18390 transcript:itb02g18390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGRGRGVSVSRGMLPLLALHAVAEYSRLDRKPPVTAGLVAANTIIYLRPNFLDHIIPYIDEVWFNPHLVLKYKDLKRFFLSAFYHINESHLVYNMLSLLWKGIQLERSMGSAKFASMVAALVPMSQGITLLLAKFLVLFFDYDSPYYKEYAVGFSGVLFAMKVVLNAQSGDYTYVHGLMVPARYAAWAELILIQMFVPSVSFLGHLGGILAGLVYLHLKGSYSGSNPLIKIVRSFVSAVSLPLKFLWGSGWVQRNTSDVWRCQACTYDNPGILNICEMCGTDRFEYSSLHSPSGENQDIPLEELRRRRVERFGGL >itb02g18390.t4 pep chromosome:ASM357664v1:2:14777289:14783445:-1 gene:itb02g18390 transcript:itb02g18390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGRGRGVSVSRGMLPLLALHAVAEYSRLDRKPPVTAGLVAANTIIYLRPNFLDHIIPYIDEVWFNPHLVLKYKDLKRFFLSAFYHINESHLVYNMLSLLWKGIQLERSMGSAKFASMVAALVPMSQGITLLLAKFLVLFFDYDSPYYKEYAVGFSGVLFAMKVVLNAQSGDYTYVHGLMVPARYAAWAELILIQMFVPSVSFLGHLGGILAGLVYLHLKGSYSGSNPLIKIVRSFVSAVSLPLKFLWGSGWVQRNTSDVWRCQACTYDNPGILNICEMCGTDRFEYSSLHSPSGENQDIPLEELRRRRVERFGGL >itb02g18390.t1 pep chromosome:ASM357664v1:2:14777207:14783509:-1 gene:itb02g18390 transcript:itb02g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGRGRGVSVSRGMLPLLALHAVAEYSRLDRKPPVTAGLVAANTIIYLRPNFLDHIIPYIDEVWFNPHLVLKYKDLKRFFLSAFYHINESHLVYNMLSLLWKGIQLERSMGSAKFASMVAALVPMSQGITLLLAKFLVLFFDYDSPYYKEYAVGFSGVLFAMKVVLNAQSGDYTYVHGLMVPARYAAWAELILIQMFVPSVSFLGHLGGILAGLVYLHLKGSYSGSNPLIKIVRSFVSAVSLPLKFLWGSGWVQRNTSDVWRCQACTYDNPGILNICEMCGTDRFEYSSLHSPSGENQDIPLEELRRRRVERFGGL >itb02g18390.t3 pep chromosome:ASM357664v1:2:14777709:14783509:-1 gene:itb02g18390 transcript:itb02g18390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MDRGRGRGVSVSRGMLPLLALHAVAEYSRLDRKPPVTAGLVAANTIIYLRPNFLDHIIPYIDEVWFNPHLVLKYKDLKRFFLSAFYHINESHLVYNMLSLLWKGIQLERSMGSAKFASMVAALVPMSQGITLLLAKFLVLFFDYDSPYYKEYAVGFSGVLFAMKVVLNAQSGDYTYVHGLMVPARYAAWAELILIQMFVPSVSFLGHLGGILAGLVYLHLKGSYSGSNPLIKIVRSFVSAVSLPLKFLWGSGWVQRNTSDVWRCQACTYDNPGILNICEMCGTDRFEYSSLHSPSGENQDIPLEELRRRRVERFGGL >itb06g10670.t2 pep chromosome:ASM357664v1:6:15162997:15174692:1 gene:itb06g10670 transcript:itb06g10670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTIEKQLGHLQKASTESVPKEDMVEIIIDIGEFPPIPVGRAWALGTGPWPEPPSRRYGNGAKRQQDGDTVFFPRTRIDGEVIGDSKMIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYHPTENYNVYGYLTNTKSRTSQPSDAGTRDLDDRRGDDDLNLRQLASISGDGDNNNSSPHFSAVTAPFNALEYILESDPLIDEVGFIHPSQFDVLNEDVGSTSLSAESISQSADTTNISGKVFWSRDHKLGISTAALLPLYKAAKHAFMDSLRQYKMHTGLTEVRDECDEVNVPKCPSSSLTVLEKEVMKHSKAILLLSCDFGTAWNFRKKLVSEQQEYSMFMEELLLSDLVLSYSPKSERAWSHRRWVIKVIAGKCSNLQEIVDRESEFVKKLAERSKMNYRAWNHRCWLVAYMPVGQMFHELNKSREWAVLNVADNSCFHYRARLMLRILEAFLNKDENGFSGEELDEMWKDELDWIEKLIKIYVGREALWLHRRFLSSCWIKHFASTNHRKPRHSSKKSSGTCDIDRFIDNELQLFNSCATIIDSHFDDYQAQATYAATYIMWLKKQIQVESLGIEFQRKLQVSGLKMLLNNACPEKAFLWDCLLQLCGSE >itb06g10670.t1 pep chromosome:ASM357664v1:6:15162997:15174692:1 gene:itb06g10670 transcript:itb06g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTIEKQLGHLQKASTESVPKEDMVEIIIDIGEFPPIPVGRAWALGTGPWPEPPSRRYGNGAKRQQDGDTVFFPRTRIDGEVIGDSKMIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYHPTENYNVYGYLTNTKSRTSQPSDAGTRDLDDRRGDDDLNLRQLASISGDGDNNNSSPHFSAVTAPFNALEYILESDPLIDEVGFIHPSQFDVLNEDVGSTSLSAESISQSADTTNISGKVFWSRDHKLGISTAALLPLYKAAKHAFMDSLRQYKMHTGLTEVRDECDEVNVPKCPSSSLTVLEKEVMKHSKAILLLSCDFGTAWNFRKKLVSEQQEYSMFMEELLLSDLVLSYSPKSERAWSHRRWVIKVIAGKCSNLQEIVDRESEFVKKLAERSKMNYRAWNHRCWLVAYMPVGQVLSAHNFLQMFHELNKSREWAVLNVADNSCFHYRARLMLRILEAFLNKDENGFSGEELDEMWKDELDWIEKLIKIYVGREALWLHRRFLSSCWIKHFASTNHRKPRHSSKKSSGTCDIDRFIDNELQLFNSCATIIDSHFDDYQAQATYAATYIMWLKKQIQVESLGIEFQRKLQVSGLKMLLNNACPEKAFLWDCLLQLCGSE >itb12g22390.t1 pep chromosome:ASM357664v1:12:24501333:24502138:-1 gene:itb12g22390 transcript:itb12g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCFPTSTSLFCFSKAFSKAKAGNPLKVFAQLLKFGFGSDHFVQNSLVSTFVVCPYIELSRKVFVEMQKRDVISYTALIDGFKRNSRSAEALEFFLEMKKTGVRVDEGKELSASPKQRFNRKS >itb01g35880.t1 pep chromosome:ASM357664v1:1:37843795:37844070:-1 gene:itb01g35880 transcript:itb01g35880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSAMFVVLFALALLLTPTTIMAQQCGRQARGRLCANGRCCSQWGYCGSTSAYCGAGCQSQCRPTTASAADTTITANQSTAKSDPAGGAN >itb02g03580.t1 pep chromosome:ASM357664v1:2:2117774:2118172:1 gene:itb02g03580 transcript:itb02g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPKPSSNKSFIHAPAPTTASAAASRAPASHRKFCFRKRGKLPTARLGGKKPRRGFFLVKLFRRARLRWLRLHYSTMVKKIKDYYQRLIKDIVDGGGAIDSFHQRLIMETSFAVPVMGLSLNSFPSHYGK >itb01g10810.t1 pep chromosome:ASM357664v1:1:9411679:9412919:1 gene:itb01g10810 transcript:itb01g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLRKRRMTMMINLSAYTPPRILPFAPQLILTLPPSISCHPKLQKYPPTLAHFRFPLSFNFHVPLPHLFRLSEASARYPEALKGFW >itb06g05180.t1 pep chromosome:ASM357664v1:6:7939776:7944275:-1 gene:itb06g05180 transcript:itb06g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMALKASLPLLLLFILILSCAAYARLIVDRSSDLVSDGVHSAGEGSGILRLGLFAPEEETCEQSYGFLPCTTTALGNLFLIIVYGYLMFLAATYLSSGSELLLEILGPGLIGGLFLPILGALPDAMLILVSGLSGSVAEAQSQVSVGMGLLAGSTVMLLTVIWGTCVVVGKCDIQNAVAVDLKDTKAFSLTGSGVTTDVWTSYAAIIMAISVIPFVVVQLPQVLHSSSARHLAVLIALILSISLLIAYCVYQVFQPWIQRRRIDYSKQKHVISGFLKHLRMRALGKLCNEQGTPDTGVLRKIFQTIDSNGDGQLSHSELKAFLVGARLDEINLDENDAVDKLMKDFDTSNNQEIEFEEFVAGVDKWLDEVQISRNVPVTLKHLEDFHEQTRREHYLLGAGDQNEEADEGVENPKWTSIKAVLFLLLGTAIAAAFADPLVDAVDNFSTATSIPTFFISFIALPLATNSSEAVSAIIFASRKKLRSASLTFSELYGAVTMNNVLCLSVFLALVYARGLTWDFSSEVLVILIVCIVMGVFGSIRSTFPLWTSFIAFLLYPLSIALVYVLDYVFGWS >itb07g22000.t1 pep chromosome:ASM357664v1:7:26480856:26481472:1 gene:itb07g22000 transcript:itb07g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQIVVALATILFVSLSGLASSAPSPSPSSSPPSSSPSSSSSPSGAPSNAAPNGAPGPAPTASSPASSAPIASTPSPSSSSSPSPSESPAATPDNGPAAAPENGPAASPEDSAPASAPSPEDPSSPPSPTGPADAPDDADSPADAPEEDDYGSEDDAAFRVSTSPVFGVAAVAAAGLFFF >itb07g24450.t1 pep chromosome:ASM357664v1:7:28726635:28742959:1 gene:itb07g24450 transcript:itb07g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) UniProtKB/Swiss-Prot;Acc:P84634] MDVGDLSNGTASEPPKGSTSRLTPLIIDELSGLSLGDDEEAPVEESKPVKDPRRIARKYQINLCEKALEENVIVYLGTGCGKTHIAVLLMHEMGHLIRKPKKNVCVFLAPTVALVEQQAKVIEESVDFKVATYCGNLKHLKNHHDWEKELEHYEVLVMTPQILLQNLSHCFIRMEKIALLIFDECHYAQTESNHPYAEIMKIFYNSDSEKLPRIFGMTASPKLGKGASIQGLENVLRAKVYSVEDKDELDQFVASPKVNVYYYRSTTDGPVMIYSNKLERLKHQFVTDLHKQSGDQTILRNTKKSLQRCHSHLIFCLENLGLWGALQASRILSKGDNYESYELFEPERNGSVNSLCDNYLIPAAAIFASGCNRDGVTNDLELLEEPFFSKKLLLLIQILSNFRVRPDMKCIIFVNRIVTARSLSCILQNLKLLTSWKCGFLAGVHSGLKSMSRKNTNTILDKFRSGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPISEYAFMVNSDNLRELQLINHFKRDEAQMNEEILTRKSSGAFSDFNEKTYKVDATGATISSASSISLLHRYCSKLPHDEFFIPKPQFYYIDDTEGTICRIILPANAAIHQIESSPHSSIEAARKDACLKACKTLHELGALSDYLLIDPDDRDDSMQDFSDSEDSDDEGSRKELHEMLVPDAFKESWSETESPVCLHSYYIKFSPNPVDRDYKKFGLFVKAALPRDAESMRLDIHLARGRSIMTVLFPHGVVKFDANEIKLAEQFQQMFLKAILDRKELIPEFVSLERKGPRDSSSTFFLLLPVNLHKDNNFFVDWKLVRRCLSSPIFKTPEDVKDDGISQFHIQLQLANGPKSRSDVVNSLVYMPCKEKEAFFFIADIVVNKDGYSLFKDSRDHVTHYADQYGIHLLYPEQPLLKAKPLFCPDNLLRKKGNTEMREKEEYFVELPPELCQLKIIGFSKDIGSSLSILPSFMHRLESLLIAIELRKQLAAAFPEGGEVTLDHVLEALTTEKCLEHFSLERLEVLGDAFLKFAVGRHIFLLNNALDEGQLTKKRSKIVCNSNLHKLAIKSGLQVYIRDHTFEPDQFYALGRPCPVICSKQTEDSIHMLSNSSTMTNGANSEVRCSKSHRWLMKKTIADVVEALIGAFIVDGGFKAATAFLKWIGIQVDFSASQVINVCSASTRFMALADKIDLTGLEKLLDHDFVHKGLLIQAFIHPSYNHHGGGCYQRLEFLGDAVLDYLITSYIYSVYPKLKPGQFTDLRSASVNNKTFADIAVCRSLYRHIICDAPNLRESITSYTNFMGKSASEKGEIEKPYCPKVLGDLVESCMGAILLDTGFDLNYVWEIMLSLVDPTSSFSKLQLNSVRELHEFCQSYGWDLKFHKIKNDGQSGIEAEVIGKDVSTSASATNRPIKTAKEMAAEHTLKLLRAKGYKSKIKPLEEVLKTAVKMEPKLVGYNEVPCLVTAEFGNLTLQQISESSCNINTRPLTEVLSKNFHAKAKPMRNFVFSDETEGHSRQAIGCNDGSADSHVTGID >itb06g15290.t1 pep chromosome:ASM357664v1:6:19652668:19655983:1 gene:itb06g15290 transcript:itb06g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQFIAYGVDLSFSSFPQTSSLPATLFDSLKFDSTSSPNSPFSNCFDPQTTTTLSDSQELYSSTENLSGASPSSNSSLDYNSYPQRCSPASDCLPESLVLPSGEYTFLRNVNHNEKMKHVLWQLESALMGPDGVGATNSDPSAGENTQKQTSSQGSRSWSQEAQGSGRYESQQSSFGRSGEGIHSEKRHKTMLDFPAQGAPPPVNIKQLLIECARALDENKLLDFDRLIEVARCAVSITGDPIQRLGAYMIEGLVARKEASGTNIYRTLKCKEPAGDDLLSYMHILYEICPYLKFGYMAANGAIAEACRNEDRIHIIDFQICQGTQWMTLLQALAARPGGAPYVRITGIDDPLSKHARGDGLVTVGKRLAAISEKFNILVEFNPVPVFAPQVTREMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLSPKVVTLVEQESNTNTAPFFSRFIEALEYYSAMFESLDVVLPRDRKERINVEQHCLARDIVNVIACEGKERVERHELLGKWKSRFTMAGFQQYPLSSYVNSVIKGLLKCYSEHYTLLEKDGAMLLGWKERNLISASAWH >itb03g27820.t3 pep chromosome:ASM357664v1:3:28031688:28038328:1 gene:itb03g27820 transcript:itb03g27820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MASHAAVARSSSYASATATRLFNFNTPISGTFPKTQSSILMQLRSSRKSKNIRSESISSASSGSIAVRGESFRVNANAGGGNGSTAEANGIDGSKPVTFKWPDNKRPRVCILGGGFGGLYTALRLESLVWSDDKKPQVILVDQSERFVFKPMLYELLSGEVDMWEIAPLFSQLLANTSVQFFKDRVKCLYPRDHLLTNVPAPSGPGGTVHLESGLAIEYDWLVLALGAEARLDVVPGAAEYALPFYTLEDALSADEKLRDLERKNFGKDSPISVTVVGCGYGGVELAATISERLRDRGIVQAINVEKTILPSAPEGNRETALRVLSSRKVQLLLGYFVRCIRKAVKGEVDHDDPANTVSVHATTAHHSESVILELQPSERGLQGQIIESDLVLWTVGSKPLLPELEHGDYPYELPLNGRGQAETDETLQVKGHPRIFAVGDASALRDKNGKLLPATAQVAFQQSDFAGWNLWAAINGRPLLPFRFQNLGEMMTLGKYDAAVSPSFVDGVTLDGVVGHTGIHSYILLLLAFFSTLFALL >itb03g27820.t2 pep chromosome:ASM357664v1:3:28031688:28038650:1 gene:itb03g27820 transcript:itb03g27820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MASHAAVARSSSYASATATRLFNFNTPISGTFPKTQSSILMQLRSSRKSKNIRSESISSASSGSIAVRGESFRVNANAGGGNGSTAEANGIDGSKPVTFKWPDNKRPRVCILGGGFGGLYTALRLESLVWSDDKKPQVILVDQSERFVFKPMLYELLSGEVDMWEIAPLFSQLLANTSVQFFKDRVKCLYPRDHLLTNVPAPSGPGGTVHLESGLAIEYDWLVLALGAEARLDVVPGAAEYALPFYTLEDALSADEKLRDLERKNFGKDSPISVTVVGCGYGGVELAATISERLRDRGIVQAINVEKTILPSAPEGNRETALRVLSSRKVQLLLGYFVRCIRKAVKGEVDHDDPANTVSVHATTAHHSESVILELQPSERGLQGQIIESDLVLWTVGSKPLLPELEHGDYPYELPLNGRGQAETDETLQVKGHPRIFAVGDASALRDKNGKLLPATAQVAFQQSDFAGWNLWAAINGRPLLPFRFQNLGEMMTLGKYDAAVSPSFVDGVTLDGVVGHTARKIAYLIRLPTNEHRVKVGVSWLAKSTVDSVASLQNMLSKVLSGN >itb03g27820.t1 pep chromosome:ASM357664v1:3:28031703:28038671:1 gene:itb03g27820 transcript:itb03g27820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MASHAAVARSSSYASATATRLFNFNTRTFPKTQSSILMQLRSSRKSKNIRSESISSASSGSIAVRGESFRVNANAGGGNGSTAEANGIDGSKPVTFKWPDNKRPRVCILGGGFGGLYTALRLESLVWSDDKKPQVILVDQSERFVFKPMLYELLSGEVDMWEIAPLFSQLLANTSVQFFKDRVKCLYPRDHLLTNVPAPSGPGGTVHLESGLAIEYDWLVLALGAEARLDVVPGAAEYALPFYTLEDALSADEKLRDLERKNFGKDSPISVTVVGCGYGGVELAATISERLRDRGIVQAINVEKTILPSAPEGNRETALRVLSSRKVQLLLGYFVRCIRKAVKGEVDHDDPANTVSVHATTAHHSESVILELQPSERGLQGQIIESDLVLWTVGSKPLLPELEHGDYPYELPLNGRGQAETDETLQVKGHPRIFAVGDASALRDKNGKLLPATAQVAFQQSDFAGWNLWAAINGRPLLPFRFQNLGEMMTLGKYDAAVSPSFVDGVTLDGVVGHTARKIAYLIRLPTNEHRVKVGVSWLAKSTVDSVASLQNMLSKVLSGN >itb15g01970.t1 pep chromosome:ASM357664v1:15:1183392:1184409:1 gene:itb15g01970 transcript:itb15g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGISKLGTALAVIFVVLLAALIAQLVFVLWRRRAFRRRIPPPESGIGDVESQSQSSSFFSVPSKELFLFLCLKTDTRLARIEPSSTPSLHNSAGSTPEMELVDLLKLQGGLYGPSKILFTIKEEEKEDLESVCSSVEKGPRRKTNSKRRSLEDFFEIDGDGDSPEISPEVVSTAAGDCHLDIETTPFSTPCTSPTYFTPSASPAREEGDRGVRTV >itb11g00020.t2 pep chromosome:ASM357664v1:11:2844:7012:1 gene:itb11g00020 transcript:itb11g00020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPGIVGLLEPFRDESKIVQLAFALGWDSSRCFARDKIWILWSRDWEVQVVDVREQMVTVRVSVGGMETEAWVTFVYASCNNVARRQLWEGLEELAEGEIGKGPWAVMGDFNCILAPEEKKGGLRYNIEKSREFQHCIDVADLREVTYYGNTYTWWNGRNGEQAIWKRLDRCLVNEKWENKMQTHVQHLSKGTSDHSPLLINLELSIRIGKRQFSFLNVWCEHEQFMEVVKRTWEMGVEGNAMYTFMTKLKRLKAVLKNWNWEVFGDIFANLKKLEGRVREVECEMQNNPTDENMIEYRRVQAELQKHMRIEERYWQQKAHIVSKCPCCRRPGYCSLQHMFCTGETAAQVWDYFAKTLGMRIQRRGVHQLCNEWWRINPKNRMINFLSQRLPTVIIWELWVHYNQCKYGGESPSGARVIYKVTKDMVECIMRKWPSWDPFPPSWPYILKRAEGFKCRRIVKEASWCRPPKGWIKANIAVKKGSCAYFVRNSRGEFGLAGVHTGTGNLVNQVMDELLKWGKKMGIGQMEIEMEELQEMELWNDIRMRWRKCNEKVNCVVRCLLDRCMGQNIVFRRVGALPKHFLLLLSLEGYPHFTSIPGCDLAPLSDDCRTWDPGGEAAEEEAAEKRYMTLLMLCFGN >itb03g25990.t1 pep chromosome:ASM357664v1:3:25398515:25402625:-1 gene:itb03g25990 transcript:itb03g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKMAASASAKSSQQTRMSSGRAKTSGWAAFVQKERQKQQGLEPNLDEDPFPPVTVPNTLSTHPSYKAKNTGTLWEKPYSTVLLPSPNFASLEQSKGSNSKQLSVGNFNSSQGSNVFKKGDNVDLYQKIKELHSWADEDLIKDVMAGVNYDFDQAIMQLEAIVSPDQTHVLHKDLETEKPVTASFVQKTKEEKDKKCEEFNEDASFKGDINVEDLSRTLNKCLDISNKDLNNASSASGDKLPGNASLGIFRFVPIEPEFWEEDDIYLIQRKDAMRMMRSAVRHSKAANDAYLRGDHLTAQHFSLKAQEEWLAAGKLNSKAAKEILSIRNSKNDDWTLDLHGLHAMEAVEALQEHLKKTESQVSLNHSVYPREVKVKAGFESTASLGYSNCIEAEGSTKRQQPSKQRPSMLQVITGKGNHSQGEAAIPIAIRSFLTENGYRYDDARPGMIAVWPKFRPQ >itb02g15120.t1 pep chromosome:ASM357664v1:2:10981190:10985299:-1 gene:itb02g15120 transcript:itb02g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore potassium channel 1 [Source:Projected from Arabidopsis thaliana (AT5G55630) UniProtKB/Swiss-Prot;Acc:Q8LBL1] MEPVAHAGCILKVDVQCDSCKLNVLEVLSSINGVYSVSIDAEEGVARVSGEVDPNRLLTALARSGRHAEVKTVNLRHPLLNRGYGASSYENGYGYGAIDDPYMYAGRRGLPEYSMCGGGGGYEAQYHGNVNYPIRGYGGHAAAAAAANPFYDVSSYQRYAAQAMASPFRYGTVEPLLDTLPLSIPGVNPRRKRFRRCKSAPVEELVPEERNGTTGAKSIQRSGSFLDQIHPSFKNVMLYLLIYLGIATLCFYIVKDRIKGVKTDGVLDSVYFVVVTMTTVGYGDLVPNSAPTKLLACVFVFSGMAMGCLVLSKAADYLVEKQERLLIKALHMRQRVGATEIMKEFETNRVRYKCILTTAFLVVLVVVGTVFLARVEKLGVVDAFYCVCSTITTLGYGDRSFTTKGGRVFAIFWILLGTVCVAQFFLYIAEYNTENRQKDLVKWVLSRRMTNLDLEEADLDDDGSVGMAEFVIYKLKEMGKITQADISLVLEEFEALDVDQSGTLTSSDLTLAQS >itb03g01240.t1 pep chromosome:ASM357664v1:3:675045:677146:1 gene:itb03g01240 transcript:itb03g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMAAMKSEGVERCGRRRSIREAEDNEAANTGDSRRIKRRRRDAESCDEIQTQKQQEVVETTTTTTLAAPPTVKRSSRFRGVSRHRWTGRYEAHLWDKLSWNATQKKKGKQGAYDEEEAAARAYDLAAVKYWGTSTCTNFPISEYEKEIEFMQTVTKEEYLATLRRKSSGFSRGASKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGMNAVTNFDLSTYIRWLKPGVNSPLLDISQESKPNAVPEALLPSNFHSAMANISQTEPYRADDNNDQVFEAKLPINRCRNSSSPTALSLLLRSSIFRELLEKNSNISDEEDDRKTVYGDQQNDDQVSNGDEYAAADIYDATADIPFLLSSDGHGGFELQGQLHFNCDSSANVVGTIL >itb04g00450.t1 pep chromosome:ASM357664v1:4:271908:273065:-1 gene:itb04g00450 transcript:itb04g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGNPVKYRVEKTVTLKQFKGGLCHNMPKVVRISVTDDDATDSEDEGEFRGGFRRIMKKHVSEVRLERGGFVEDRNEKGGNRFKKVKTGGKKMGQVVEDQQKCWNGSVRKFRGVRQRPWGKWAAEIRDPIRKTRIWLGTFNTAEEAAYVYDSAAIELRGSDALTNFLAPPPRSAVSDYDSTGESEVVPSPTSVLRTRTATATAAAEKRNGNEDNQLGVEPPTTTENGTMNLLTSTDCCLNGVLDFWSSSSLLTDYYHYHYGDDKILPEVKMEGSLDDISLDFQACTWDVNDLLNGPA >itb11g10320.t1 pep chromosome:ASM357664v1:11:7097631:7100988:-1 gene:itb11g10320 transcript:itb11g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRDSQWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKLCSASSCIS >itb11g10320.t3 pep chromosome:ASM357664v1:11:7097631:7100211:-1 gene:itb11g10320 transcript:itb11g10320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGHNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSQWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLESQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb11g10320.t4 pep chromosome:ASM357664v1:11:7097631:7100211:-1 gene:itb11g10320 transcript:itb11g10320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPTKFEEIPLENIKPLQNLNCDCMMYPNVIRAEDRIYLLSLPDYFGHNFDMIGHAFHFQYFDPNKNLFKTLPPPPVLRDYNLMNMVSLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSQWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKLCSASSCIS >itb11g10320.t2 pep chromosome:ASM357664v1:11:7097631:7100988:-1 gene:itb11g10320 transcript:itb11g10320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRDSQWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLESQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb05g09980.t1 pep chromosome:ASM357664v1:5:14685741:14687137:-1 gene:itb05g09980 transcript:itb05g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFGKKPKATHSNTSASGVSNDDDDFVAPPLASLSRQQHPVGNALDFVLTTEEATRFPQVKTRAPSTVLVDALKNLSSQQKFDIRELGFGALLELKSSPPPLPSPHPSSASLPALALLASASVRQPLPFGLC >itb04g07750.t1 pep chromosome:ASM357664v1:4:5349576:5353799:1 gene:itb04g07750 transcript:itb04g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNIPQYQTKMKLSYNPIAATAPFLLLFFFFFFFFFNLSQHYAEAQPNTTGYPCNINQTYPCQTYAYYTATAPDFLDLAAIGDLFSVSRRMIAEASNISAANATLQANQSLFIPITCSCNNVNSTFGSISYAPLDYTILSGDTFYRVSTLKYGNLTSFESVMVVNPNAVPTTLQPGDHIKFPIFCKCPNSTDRRNLLITYPVQISDTPATIAAKFGTTPQALIDVNGNNIGPNSTIFVPVSRLPNITQPANSAPAPPPAVIVRNRDRKGAVIALAVLLGVCVALLVGVIGFCVYREKGRGKERGEYADVARRESEFGKIKESSVKDKEMEVNLMADVSDCLDKYKVYTMEQLWEATDGFNDNCLIQGSVYKGSIAGDMLAIKKLKWNAREELKILQKVNHGSLVKLEGFCIDPKEANCYLVYEYVENGSLHSWIHGDDRNDKLSWKTRLSIATDVANGLQYIHEHTRPRVVHKDIKSSNILLDAAMRAKIANFGLAKSGCNAITMHIVGTQGYISPEYLADGVVSTKMDVFAFGVVLLELITGQEAMDGEGRALWGKVAGAGVLKGSEERKAAQVREWMDPCLARESCSMESVINVMAVAVACLNNDPSKRPTMVDIVYALSKSNDLFFDVSDDGLSSSGPVTAR >itb03g21930.t1 pep chromosome:ASM357664v1:3:19858385:19860075:-1 gene:itb03g21930 transcript:itb03g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLPPTKSPKPVQQLAAEACKQVPENYIQSKDADIQASCPLLDLASIDLSLLSSSTPSPAREKELTKLRSTLLSVGEIGKSFFALSMQEKQKYGRTADDTEGYGNDSVLSEHQILDWNDRLYLTVSPEEQIKYNLWPANPENFREVLQEYIKKLIALNELLLKAMALSLNLEENSFVNQFGDRASVVARFNFYPPCSSPDLVLGVKQHADGGVFTFLLQDKDVEGLQVQKDGQWFRVPIVPYAIVVNVGDQAEIMTNGVLKSPVHRVVTNTERERNTLAVFFEPDSNSEIGPVEKLITEERPRLYRNIRNFGGLFFQNYQQGKRPLDVVRI >itb07g17900.t2 pep chromosome:ASM357664v1:7:22223845:22228437:-1 gene:itb07g17900 transcript:itb07g17900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFGVLDTSSAPTPGVFSDPLEGITKPGKSGNAKVDSSSVWGSAFDELDPLNSFGRSVPPFSPERNKKRNNESPTWDRSGKGERQTSTVRENIESSFRNSESHPQKTIHGDSFPGSLFDAPATDSQKSFSQEAASSPYPQYASVNRDENSSQVDMAPRSEEQVQASEDIWITVSEVPLVTQPTSAPPPSRPPPPIPRQTSKSGTGFFAAHPWRGDDYSSAPSYSEYSQSPKTARAASKSSPVSQLDEFEEFAMGRAQNTVDGTASALSGEEMNANSVAAASAAAMKEAMDRAEAKFRRAKEVREREYAKAARTNVQLDKDEQDFHEMQDREFKEDPERLERERRQRVKEEEEREQRRLEREREKAREIERERLRQAVDRATREARERAAAEARERAAADARTKAERAVVEKAAAEARERAERAAVQRAQAEARERAAAEARERANAEAREREVREKAAAAKAEAEARRRAERAAVERAAAEARERAAAEARERAAAAASRVNQQKNDNDLESFFSMGRASSAPRPRASPPDTTFDMQFQNKGGSEGAKATSSFGGATSNMRKASSTANIVDDLSSIFGAAPSSGEFQEVEGESEDRRRARLERHQRTQERAAKALEEKNQRDLQVQREQEERHRIAETLDTEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >itb07g17900.t1 pep chromosome:ASM357664v1:7:22223845:22230250:-1 gene:itb07g17900 transcript:itb07g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDVLARDFGLRPGGKSVPMRSDGGDRRSASARSSPFLDDREGPVFNDVFGGPPKYTNSNTNNKASTMMNDFDYNSIFKSGNDSKNNNSKTSSLPVYDKPVYDDDIFDGMPGGLKSKSVSSSTARFDDDAFASVASQPKKNHNTDQFDDLLGNFGKNEKVTEVKSSKSSNSRGFDDLLAGFGSGNPASTNRPFTESNQPSKLSGNSNRTSSTMDDPFGVLDTSSAPTPGVFSDPLEGITKPGKSGNAKVDSSSVWGSAFDELDPLNSFGRSVPPFSPERNKKRNNESPTWDRSGKGERQTSTVRENIESSFRNSESHPQKTIHGDSFPGSLFDAPATDSQKSFSQEAASSPYPQYASVNRDENSSQVDMAPRSEEQVQASEDIWITVSEVPLVTQPTSAPPPSRPPPPIPRQTSKSGTGFFAAHPWRGDDYSSAPSYSEYSQSPKTARAASKSSPVSQLDEFEEFAMGRAQNTVDGTASALSGEEMNANSVAAASAAAMKEAMDRAEAKFRRAKEVREREYAKAARTNVQLDKDEQDFHEMQDREFKEDPERLERERRQRVKEEEEREQRRLEREREKAREIERERLRQAVDRATREARERAAAEARERAAADARTKAERAVVEKAAAEARERAERAAVQRAQAEARERAAAEARERANAEAREREVREKAAAAKAEAEARRRAERAAVERAAAEARERAAAEARERAAAAASRVNQQKNDNDLESFFSMGRASSAPRPRASPPDTTFDMQFQNKGGSEGAKATSSFGGATSNMRKASSTANIVDDLSSIFGAAPSSGEFQEVEGESEDRRRARLERHQRTQERAAKALEEKNQRDLQVQREQEERHRIAETLDTEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >itb06g01340.t1 pep chromosome:ASM357664v1:6:2582526:2584596:1 gene:itb06g01340 transcript:itb06g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYSVLMVEKTATDEDLKKAYRKVAMKWHPDKNPTNKLEAEAMFKQISEAYEVLSNPQRRQVYDEDGEEGLKDMPPAGCSNPPRNAEDIFAEFFGSSPFGFGSGFRAGGSDGNSSMPKKPPPVESKLPCTLEELYSGSTRKMKISRTVVDANGRIVQESEILSIDVKPGWRKGTKITFLDKGNEQPSQLAADLVFVIDEKPHQVYKRDGNDLMMKYTVTLAEAIGGTTLNLTTLDARILSIPLTQMVKPGYEFVLQGEGMPIAKEPWNRGDLKIKFEVTFPTQLTPQHRTALKQALGGAECSS >itb06g01340.t2 pep chromosome:ASM357664v1:6:2583051:2584596:1 gene:itb06g01340 transcript:itb06g01340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSNPQRRQVYDEDGEEGLKDMPPAGCSNPPRNAEDIFAEFFGSSPFGFGSGFRAGGSDGNSSMPKKPPPVESKLPCTLEELYSGSTRKMKISRTVVDANGRIVQESEILSIDVKPGWRKGTKITFLDKGNEQPSQLAADLVFVIDEKPHQVYKRDGNDLMMKYTVTLAEAIGGTTLNLTTLDARILSIPLTQMVKPGYEFVLQGEGMPIAKEPWNRGDLKIKFEVTFPTQLTPQHRTALKQALGGAECSS >itb02g11450.t2 pep chromosome:ASM357664v1:2:7646255:7648838:1 gene:itb02g11450 transcript:itb02g11450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVSEAGDSCSLAAATAPPPPIPQNRRPRVREVSSRFMSPLISSSSSASSNSSAATPTHADHQRPKSAYRRHPHQQSLHEPLSSRPAEDENIPDIMRNSTGSQILSKAALLSTVQRKQQKAKVLKENGGARLEQQQVVDVPQSKRVSSRPDTPNVTGADRIVPSRYRQTPQTLHRPSPNHGAGGGGALVSAAAKLLQEATSAPGSPRSVSNDSDTDDKVIGPRKLSKVSTAKDDADSSDNESIHGKSYPNSPVCVSSKMRTQSGMRSSLPEVDRWLLERNCNSVAKSSGDCDSHKLTIPTCARSLNLTRSSSDLPYTSSPWISLKASDMPGTAPCRSSVKNLCLPPQPTSTKLGLDAKKGRKVPNQQEDVHSLKLLHNHYLQWRYANAKAEVSMQSQTLESERKLYSLGCKIKDLRDTVSRKRNELEILQRIKTISTILETQLPYLEEWSSIENEYSTSLSEAASALQNSSLRLPVSGVRVDVKELAESFNSATKVMETVAFHIQSFVPKAEEIDSLISGLARVSGGERSLIEECGDLLLKVHASQIIECSLKGHMVQLHRTNYKPLGMM >itb02g11450.t1 pep chromosome:ASM357664v1:2:7646275:7649286:1 gene:itb02g11450 transcript:itb02g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVSEAGDSCSLAAATAPPPPIPQNRRPRVREVSSRFMSPLISSSSSASSNSSAATPTHADHQRPKSAYRRHPHQQSLHEPLSSRPAEDENIPDIMRNSTGSQILSKAALLSTVQRKQQKAKVLKENGGARLEQQQVVDVPQSKRVSSRPDTPNVTGADRIVPSRYRQTPQTLHRPSPNHGAGGGGALVSAAAKLLQEATSAPGSPRSVSNDSDTDDKVIGPRKLSKVSTAKDDADSSDNESIHGKSYPNSPVCVSSKMRTQSGMRSSLPEVDRWLLERNCNSVAKSSGDCDSHKLTIPTCARSLNLTRSSSDLPYTSSPWISLKASDMPGTAPCRSSVKNLCLPPQPTSTKLGLDAKKGRKVPNQQEDVHSLKLLHNHYLQWRYANAKAEVSMQSQTLESERKLYSLGCKIKDLRDTVSRKRNELEILQRIKTISTILETQLPYLEEWSSIENEYSTSLSEAASALQNSSLRLPVSGVRVDVKELAESFNSATKVMETVAFHIQSFVPKAEEIDSLISGLARVSGGERSLIEECGDLLLKVHASQIIECSLKGHMVQLHRTNYKPLGMM >itb09g27220.t1 pep chromosome:ASM357664v1:9:27634606:27635179:-1 gene:itb09g27220 transcript:itb09g27220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGIRRSSSRTNRESEVPKGHFAVYVGESEKKRFVIPVSYLKDPSFQDLLCQAEDEFGFDHPMGGLTIPCLEDTFLDIISNLRS >itb04g12980.t1 pep chromosome:ASM357664v1:4:12938440:12938691:1 gene:itb04g12980 transcript:itb04g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANIVGKSKKELYDIMCEMKKLMEQNEEQARQILIENPTLARDLFHAQIMLGMVQPSPSHVHQSAPAGPNEKAAPTSEKKA >itb01g34670.t1 pep chromosome:ASM357664v1:1:37233649:37236766:1 gene:itb01g34670 transcript:itb01g34670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKAIYTLGSLIRGSGQALDRIGSSLQGSYYISEQLSRHRTLMDLFDKSPVVDKDAFVAPGASVIGNVQVGRNSSIWYGCVLRGDVNRIRVGAGTNIQDNSLVHVAKLNAGGRDLPTIIGDNVTIGHSAVIHACTIDDEAFVGMGAILLDGVHLGKHAMVAAGALVRQNTKIPSGEIWAGNPAKFLRKLTEEEVAFISQSATTYTNLARVHAAENSKSFDEIEFEHMLRKKYARRDEDYDSMIGVVRETPPELVLPDNILPDKAKSSNEVYVR >itb10g18790.t1 pep chromosome:ASM357664v1:10:24622503:24623528:-1 gene:itb10g18790 transcript:itb10g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEIQKLQEKYGVSTKDK >itb14g17470.t1 pep chromosome:ASM357664v1:14:20698008:20702455:1 gene:itb14g17470 transcript:itb14g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MSTEVKLESIIYHDAKEPDYGPLNGKSAFGERFNVLHKTHAASDRFYKDNRASSDGVNPIFNGEGKREHGSQRKSGPFVSGTAYCLSSCAMILMNKVVLSSYSFSAGISLMFYQNFISTAVIVILGFSGAVSLEKLNWKLIKVWIPVNLIFIGMLISGMYSLKYINVAMVTILKNVTNILTAIGEYYVFRKRQKQQVWTAMFLMIISAISGGITDLSFDSTGYAWQSINCVLTASYSLTLRRIMDRAKQLTKSGSLNEASMVLLNNALSLPFAIGLILLFNEWEYVVNAEVVRMPMFWVAATASGVLGLAISFTSMWFLNQTGPTTYSLVGSLNKIPISISGLVLFKVPLSLPNLFSILFGLLAGVLFARAKMS >itb04g30330.t1 pep chromosome:ASM357664v1:4:33461698:33464731:-1 gene:itb04g30330 transcript:itb04g30330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQVGVIGALFLSVASSVSIVICNKALMSNLGFKFATTLTSWHLMVTYCTLHVALRLNFFENKPVDFKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKQFSQNIKLSLFVLLIGVGIASITDLQLNFVGTILSVLAIITTCVGQILTNTIQKRLNISSTQLLYQSAPFQAAVLFVTGPVVDQFLTKQNVFAYKYSPIVLAFIVLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTSRNIIGILVAIVGMGLYSYFCTHESKRKQVADISSMSQVKDKDDTAPLLAGKNMGHQDKDNHEGNKKDSLV >itb04g30330.t2 pep chromosome:ASM357664v1:4:33461698:33464605:-1 gene:itb04g30330 transcript:itb04g30330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQVGVIGALFLSVASSVSIVICNKALMSNLGFKFATTLTSWHLMVTYCTLHVALRLNFFENKPVDFKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKQFSQNIKLSLFVLLIGVGIASITDLQLNFVGTILSVLAIITTCVGQILTNTIQKRLNISSTQLLYQSAPFQAAVLFVTGPVVDQFLTKQNVFAYKYSPIVLAFIVLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTSRNIIGILVAIVGMGLYSYFCTHESKRKQVADISSMSQVKDKDDTAPLLAGKNMGHQDKDNHEGNKKDSLV >itb01g25830.t1 pep chromosome:ASM357664v1:1:31147304:31149247:-1 gene:itb01g25830 transcript:itb01g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQDLKFMCKLCKKKYPCGKSLGGHMRSHVNVIPTCSSSELDEQRGEMKKIASLKMQARFELGGNSGYGLRENPKKTWRATDSNLSVPQERVCKQCGKVFQSIKALCGHMACHSEKERGGGGLKDENSWTSESKKVVVMDSNSDTEAEDLRNKSSARRKRYKKIVHTCSFTLPNDAVVNSGSDIFEQDQQEVAKCLMMLSRDSGNWNGVSSFMESSDNNSVVLETKSSSIDMKTPKMDGSNRHESPKTRKMVGVLDAEVIESENSDSMYFLGENKTAESDVSVDGFHRNRDEKCFESKISSVVTRRDGSTAEKDHLKVMNRIKGYIKSRAGSKDYDYRDFGVASNSGKHDSRRKSKDSSYDPEYKTGSYKKVKLSFSDTKKKKKYECLNCKKIFSSYQALGGHRPCHKRTLESRYETGENRLDVDTTYNNINGGNHREFLGSRKATDEGSPCYLGTKIKAKKAKGHECPFCHRVFKSGQALGGHKRSHFIDGAEENRHQRTQVIKAAIPGLLDLNVVPFETANDVQFMW >itb14g05980.t1 pep chromosome:ASM357664v1:14:5226345:5229432:-1 gene:itb14g05980 transcript:itb14g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MHRNICLPISYGHASHIHFSLFSLSHCKMQMLSPTSALPIRRSSLIFPSTFPNTQRFPFPNPLNWKSLSCKAASSSSSSSLGDLDLYDLLGIDSSSDQAQIKLAYRALQKRCHPDIAGPPGHDMAIVLNEAYAVLSDPNSRFVYDKEQSKFADLRGYTGKPIYSAWVGPESENRAVIVDEVRCVGCLKCALFAEKTFAVESVYGRARVVAQWADPEYKIQEAMGACPVDCISVVERSDLAALEFLMSKKPRGNVRIGAGNTVGARTSSIFDDLEKFQARFHDANTNKSSKAHSNVSDEERDAQNSAIQAIRAMSNWLYWQLPRSGITQLQQSLVLIGEKPNEPNIEKLKAAAAARKQGKLTISATHIYSDEYWIPSNLVLPEPTKMNTPGNKPSPQVSRSKKHKETSEQDSLAKKHDGSRPWSMAIPVVTGTIGAVTVWLQIPGGGEELAIPRGLNEHLGGSLALDIINSSGLQVILAGVTWYLIGLYITELVEALLSVTKGHSK >itb10g09690.t1 pep chromosome:ASM357664v1:10:13101023:13106817:1 gene:itb10g09690 transcript:itb10g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MDKDKSPALGGGLLPPSGRYSHFSQLGNGFSGKPEPSGSFNLPPLGPSASASESGHFGIGVPSESNRFSQDISQMPDNPPKKLGHRRAHSEIITLPDDISFDSDLGVVGGLDGPSLSDETEEDLFSMYLDMDKFNSSSATSPLQVGGSSSSVATAPGSSQAPALVTPIAENATSAVSEKPRVRHQHSQSMDGSTTIKPEMLTSGTDEPSAAESKKAISAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQGMANGGPMMMNFGPSFGAGQQFYPNNHAMHTLLTAHQLQQLQLHSQKPQQPPNFQQHQLHPFQLQPQQQPPPLQPQEQHLHQAGDPKLRSSLSASALTEHASDSCTSMKD >itb10g09690.t2 pep chromosome:ASM357664v1:10:13101023:13106817:1 gene:itb10g09690 transcript:itb10g09690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MDKDKSPALGGGLLPPSGRYSHFSQLGNGFSGKPEPSGSFNLPPLGPSASASESGHFGIGVPSESNRFSQDISQMPDNPPKKLGHRRAHSEIITLPDDISFDSDLGVVGGLDGPSLSDETEEDLFSMYLDMDKFNSSSATSPLQVGGSSSSVATAPGSSQAPALVTPIAENATSAVSEKPRVRHQHSQSMDGSTTIKPEMLTSGTDEPSAAESKKAISAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQGMANGGPMMMNFGPSFGAGQQFYPNNHAMHTLLTAHQLQQLQLHSQKPQQPPNFQQHQLHPFQLQPQQQPPPLQPQEQHLHQAGDPKLRSSLSASALTEHASDSCTSMKD >itb15g19710.t1 pep chromosome:ASM357664v1:15:22191814:22197064:1 gene:itb15g19710 transcript:itb15g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNMVSYSSDPSVVGTCPAPSPSWQLFIRYLQGYSLSSSKPTYTHILLASSIGLFIAAAMHYRLRKIRDQKIMPTIKQLPGSGQILKLERFPHYVARQMGFGDKKECPTLCKLAADYIIKSGGCEEEIYNFFANEPGADSLFIKLVEELERLILSYFAFHWSQASNMITQVLVSADQASEAKKKLKNIVMEATREQRFERVTKNLKVARVFHTLVEEMKAIGLVSADDSTCTDVMVPMAHKDRSPVLLFMGGGMGAGKSTVLKDILKEPFWVEAGGNAVVIEADAFKESDVIYKALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRRRYRMGHGYKVEADGSVTENYWQIDNEEEDLYLIDGSRKRRPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVNSQLKSHKRFANAFTTYCNLVDVARLYSTNDLEGPTKLIGWKDKDRTLLVDPDEIDVLKVVGRLNEDAESIYDLYKHPNPAYQKGSVWKDIVLSPSRSNIQKELKYSIQKIENLGASKKKIEGKL >itb06g01690.t1 pep chromosome:ASM357664v1:6:2994967:2995350:1 gene:itb06g01690 transcript:itb06g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGNGKQLTSLLLLCIITTAVMPPWAEAQISCSDIINSLLPCMNYVLVGGSIPEECCKAMKSIVNNLKTKLDRQSACECMKAGVSRLTPDQLIRVQAIPGYCKVGVPFKISPDVDCSAVQKGIN >itb04g06150.t1 pep chromosome:ASM357664v1:4:4001094:4002964:1 gene:itb04g06150 transcript:itb04g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLILLLSLSILYLAAGEIKTLKITSDSRPMILFERFGFTHSGHAAITVSSVAVFSTLAAPEPSHLGFFLLSQESLIQVLLELQQNPQFCVLDSKYINLLFTFRDLSPPPISSFNHSYPITAPNEYSLFFANCAPESKVSMEVRTELYNLDGRVKDYLSAGLTQIPALYFVFSLVYFGFLGFWVYICYTNKTSVHRIHLLMAGLLIMKALNLICAAEDKHYVKVSGTAHGWDVLFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEREKKVLMIVIPLQVLANVASVVIGETGPFIKDWVTWNQVFLIVDIVCCCAIIFPIVWSIRGLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVANAAEEIANLAFYITMFYMFMPVEKNQYFALDDEEEEAAELALRDEEFEL >itb04g22540.t1 pep chromosome:ASM357664v1:4:27811223:27814686:1 gene:itb04g22540 transcript:itb04g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSSRHCRKGRRTRVVALALFFLYFCGCLVSLSCAARLSASMQKLEVQKHLKRLNKTPVKTIESPDGDIIDCVHISKQPAFDHPFLKDHKIQMRPSYHPEGLYDVNKESMGPKERTGSIAQLWHMNGRCPDDTIPVRRTKEDDVLRASSVKRYGKKKSRSFPKPMGSDPDLINQSGHQHAIAYVEGERYYGAKATINVWEPQVQQPNEFSLSQLWILAGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQTNSEIAMGASISPVSDYQNSQYDINILIWKDPKGGNWWMQFGNDYVLGYWPSFLFSNLGDSASMIEWGGEVVNTEADGKHTSTKMGSGHFPEEGFGKAGYFRNIQVVDSSNNLKSPKGLGTYTEQSNCYDVQTGSNGDWGHYFYFGGPGRNPNCP >itb01g33700.t1 pep chromosome:ASM357664v1:1:36701315:36703741:-1 gene:itb01g33700 transcript:itb01g33700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAAMAKVSLFFTFSFLFLLAACIQRYEAKGFSSLSRERLLLSRLKHTNVVDNIVTTELSSGKSNIPVYIGPQDGLKDSDKIETLPGQPSGVDFAQYAGHVTVDPVTGKALFYYFTESPKNPSSKPLVLWLNGGPGCSSLGGGAFAELGPFRPAKDGKTLKSNPYAWNNVANIIFLESPIGVGFSYTNTSSDYDNVGDESTTQDSYTFLVNWLERFPEYKTRDFYITGESYAGHYIPELAQLILHNNQNTNQSRINLKGIAIGNAYVDYEANMQGTSDYNWNHALISNELYKKILSTCNFSNPSAASEECINLVNIQMGIESGNIDSYDIYAPLCGSDSTSYSISGYDPCTGDYTDTYLNTLQVQAALHANITATPHPWSSCNDTLFHAWKIPPTVLPVITDLMGSGIRIWLYSGDTDSVVPITDTRLALYKLGVTIKTPWYPWYLPGEEVGGYVEEYESITLVTVRGAGHFVPSYQPARALVLFSSFINGTLPPPDTEN >itb05g04060.t1 pep chromosome:ASM357664v1:5:3552716:3553117:1 gene:itb05g04060 transcript:itb05g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATXKKKTETRGRTKAAIVATTTPPAPFTSLSRMEATTGHHEPPSLSLSPEHLTFTFFSPPSASPLFFPSTAEVAVTSSDGDTTTISNETADPVGPLPSSTTNSTSSKDFGDIVEGDLRRRCVAAFVLPLLA >itb11g03660.t1 pep chromosome:ASM357664v1:11:1992330:1994226:1 gene:itb11g03660 transcript:itb11g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLMEDKRLNLNQPLLSVRRNSLIVGKDDKIRSHNDSFGRKRSVPQPPCYKSELKSGPVSNPGVVPFFWEQIPGKPKDEVKPEADHARERPVPKLPPGRTSVANLWNSDNGPGSFNSSKAVVEDDDDDNYESADEEDVFLDALDTLSRTQSSVFLNCSVSGLDEPEGRPSTDPQTRDFMINRFLPAAKAMASEMVSEIPQYVPKKMQTEVQEQPRQLNKVVKPDRQPQKLYAHSSARHYYQPRNYEEESDDDDYSHEDIPVKACGLLPSFCFRGSLGFLNPVPGMSVRTRVPKPPGSRMQARSSYAGLCSGVETGESEKKLTLKSNNNQRLEESSLYKLQNSDLSVNHNKPTETNASIDALGLPKKACKSFKELMAAEDSSVQEDSDNRVAEKTVYVDTVHKLESPVPKSSSPEAKGTIEILVTRVKKHLVDHFQDDAEKLTSVDEEAKTMPIVQETSNFNSLSAADELNSKSGGKPGNDDGQEQYYEETITSVKAANNEVDEGLLKKDRTAKPENPHGEFKVFPVPPPLPKSPSDSWLFRTLPSLSSKNSTFHPKQGTGNPRNQAPKWETIVKTTKWQGQHLRNSQELMPFRPIPEAL >itb07g12320.t1 pep chromosome:ASM357664v1:7:14009748:14010579:1 gene:itb07g12320 transcript:itb07g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQMVMRILSLSYQNLPPRRGDVKMRIFKSLLNSFASDSRKKKEGCGGLKQVSEEGVGLTQLSATPGPSGYHSDPIHDSDLWHPAPRLRFLYINVHE >itb11g09970.t3 pep chromosome:ASM357664v1:11:6793571:6798740:-1 gene:itb11g09970 transcript:itb11g09970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYLLRTDLALLAKKTCVISKSLPYLSGCSYYTGFNYNSVMDLKKASRIVKEQKKQKVVTQVWRQVSTRSSSCEESMVKDTSSNVEDCHFVSDQEKHDIRSQVEEGHHTISSTVSEIVSEGVEAEAVNEAAASNPNTFQACIERSNVEGQITPSVDEQPISVAEKHSISIETGTSLMRFIKGKGGVTQKKIEEDLGVEIIFPLSKKEASITIEGDSAESVTKAADRVKLVIDEAVKSPNLDYSHFVSLPLAIHTELVNKLFNFQTSILGATEVNQDGHLESSSNEGTSDEGENLNKAPKVVVELKTENDNEHVEVDITQIPLVSYAPKASKSSATEVKPSKLSGIEKSTFNNPKTFHLTVLMLKLWNKALVEKAAEVLQSVSSKVIDALENRPVFVRLKGLECMKGSLANARVLYAPVEEIGSEGRLSRACQVIIDAFIEAGLVLEKDKEQKLKLHATVMNARHTKGCCNYRLIHLLSN >itb11g09970.t6 pep chromosome:ASM357664v1:11:6793570:6798790:-1 gene:itb11g09970 transcript:itb11g09970.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRFLFRTDLALLAKKTCVISKSLPYLSGCSYYTGFNYNSVMDLKKASRIVKEQKKQKVVTQVWRQVSTRSSSCEESMVKDTSSNVEDCHFVSDQEKHDIRSQVEEGHHTISSTVSEIVSEGVEAEAVNEAAASNPNTFQACIERSNVEGQITPSVDEQPISVAEKHSISIETGTSLMRFIKGKGGVTQKKIEEDLGVEIIFPLSKKEASITIEGDSAESVTKAADRVKLVIDEAVKSPNLDYSHFVSLPLAIHTELVNKLFNFQTSILGATEVNQDGHLESSSNEGTSDEGENLNKAPKVVVELKTENDNEHVEVDITQIPLVSYAPKASKSSATEVKPSKLSGIEKSTFNNPKTFHLTVLMLKLWNKALVEKAAEVLQSVSSKVIDALENRPVFVRLKGLECMKGSLANARVLYAPVEEIGSEGRLSRACQVIIDAFIEAGLVLEKDKEQKLKVTFFELLYSS >itb11g09970.t2 pep chromosome:ASM357664v1:11:6793166:6798849:-1 gene:itb11g09970 transcript:itb11g09970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYLLRTDLALLAKKTCVISKSLPYLSGCSYYTGFNYNSVMDLKKASRIVKEQKKQKVVTQVWRQVSTRSSSCEESMVKDTSSNVEDCHFVSDQEKHDIRSQVEEGHHTISSTVSEIVSEGVEAEAVNEAAASNPNTFQACIERSNVEGQITPSVDEQPISVAEKHSISIETGTSLMRFIKGKGGVTQKKIEEDLGVEIIFPLSKKEASITIEGDSAESVTKAADRVKLVIDEAVKSPNLDYSHFVSLPLAIHTELVNKLFNFQTSILGATEVNQDGHLESSSNEGTSDEGENLNKAPKVVVELKTENDNEHVEVDITQIPLVSYAPKASKSSATEVKPSKLSGIEKSTFNNPKTFHLTVLMLKLWNKALVEKAAEVLQSVSSKVIDALENRPVFVRLKGLECMKGSLANARVLYAPVEEIGSEGRLSRACQVIIDAFIEAGLVLEKDKEQKLKLHATVMNARHTKGKRSRKNRSFDARTIIKCYGSEEWGEYLIREAHLSQRFAYDENGYYRCCASIPFPSDSQLD >itb11g09970.t4 pep chromosome:ASM357664v1:11:6793166:6798633:-1 gene:itb11g09970 transcript:itb11g09970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYLLRTDLALLAKKTCVISKSLPYLSGCSYYTGFNYNSVMDLKKASRIVKEQKKQKVVTQVWRQVSTRSSSCEESMVKDTSSNVEDCHFVSDQEKHDIRSQVEEGHHTISSTVSEIVSEGVEAEAVNEAAASNPNTFQACIERSNVEGQITPSVDEQPISVAEKHSISIETGTSLMRFIKGKGGVTQKKIEEDLGVEIIFPLSKKEASITIEGDSAESVTKAADRVKLVIDEAVKSPNLDYSHFVSLPLAIHTELVNKLFNFQTSILGATEVNQDGHLESSSNEGTSDEGENLNKAPKVVVELKTENDNEHVEVDITQIPLVSYAPKASKSSATEVKPSKLSGIEKSTFNNPKTFHLTVLMLKLWNKALVEKAAEVLQSVSSKVIDALENRPVFVRLKGLECMKGSLANARVLYAPVEEIGSEGRLSRACQVIIDAFIEAGLVLEKDKEQKLKLHATVMNARHTKGKRSRKNRSFDARTIIKCYGSEEWGEYLIREAHLSQRFAYDENGYYRCCASIPFPSDSQLD >itb11g09970.t1 pep chromosome:ASM357664v1:11:6793015:6798849:-1 gene:itb11g09970 transcript:itb11g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRFLFRTDLALLAKKTCVISKSLPYLSGCSYYTGFNYNSVMDLKKASRIVKEQKKQKVVTQVWRQVSTRSSSCEESMVKDTSSNVEDCHFVSDQEKHDIRSQVEEGHHTISSTVSEIVSEGVEAEAVNEAAASNPNTFQACIERSNVEGQITPSVDEQPISVAEKHSISIETGTSLMRFIKGKGGVTQKKIEEDLGVEIIFPLSKKEASITIEGDSAESVTKAADRVKLVIDEAVKSPNLDYSHFVSLPLAIHTELVNKLFNFQTSILGATEVNQDGHLESSSNEGTSDEGENLNKAPKVVVELKTENDNEHVEVDITQIPLVSYAPKASKSSATEVKPSKLSGIEKSTFNNPKTFHLTVLMLKLWNKALVEKAAEVLQSVSSKVIDALENRPVFVRLKGLECMKGSLANARVLYAPVEEIGSEGRLSRACQVIIDAFIEAGLVLEKDKEQKLKLHATVMNARHTKGKRSRKNRSFDARTIIKCYGSEEWGEYLIREAHLSQRFAYDENGYYRCCASIPFPSDSQLD >itb11g09970.t5 pep chromosome:ASM357664v1:11:6793166:6798849:-1 gene:itb11g09970 transcript:itb11g09970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRFLFRTDLALLAKKTCVISKSLPYLSGCSYYTGFNYNSVMDLKKASRIVKEQKKQKVVTQVWRQVSTRSSSCEESMVKDTSSNVEDCHFVSDQEKHDIRSQVEEGHHTISSTVSEIVSEGVEAEAVNEAAASNPNTFQACIERSNVEGQITPSVDEQPISVAEKHSISIETGTSLMRFIKGKGGVTQKKIEEDLGVEIIFPLSKKEASITIEGDSAESVTKAADRVKLVIDEAVKSPNLDYSHFVSLPLAIHTELVNKLFNFQTSILGATEVNQDGHLESSSNEGTSDEGENLNKAPKVVVELKTENDNEHVEVDITQIPLVSYAPKASKSSATEVKPSKLSGIEKSTFNNPKTFHLTVLMLKLWNKALVEKAAEVLQSVSSKVIDALENRPVFVRLKGLECMKGSLANARVLYAPVEEIGSEGRLSRACQVIIDAFIEAGLVLEKDKEQKLKLHATVMNARHTKGCCNYRLIHLLSN >itb05g25860.t1 pep chromosome:ASM357664v1:5:30031919:30035036:-1 gene:itb05g25860 transcript:itb05g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIYEHLKSQPPWLLLLLSLGLFKLLAYSLTILKWVRANFLRPAKNLKNYGSWALVTGATDGIGKAFAFQLARKGLNVVLVGRNPEKLRDVSGGITAKYGQIEVKSVVVDFSGDLDDGVRRIREAIEGIEVGVLVNNVGVCYPYPRFFHEVDDKLLGEVIRVNVGGTTKVTQAVLPGMLRRKRGAIVNIGSGSAIVIPSAPLHAVYAATKAYIDQFSRSLYVEYGKSGIDVQCQVPLYVATKMISVRKSSFFIASTDEYAKAALRWIGYEARCTPYWPHSLQWALVYFLPESAVDAWRLRDCLGFRKKGKLKDSRKKQELMMQLASSASKN >itb04g32120.t3 pep chromosome:ASM357664v1:4:34815399:34819269:-1 gene:itb04g32120 transcript:itb04g32120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTLDYNVQVLEYDANNIKALYRRGQAYKELGHLEDAVSDLAKAHEVSPDDETIENVLRDVKERLAKQGSGHASRKLVIEEITEEEPIVSSKKPENSTAESFMLQPQGTKQEASSSEHLHEKAIHGGPPSSSSECLQALKDDPESIRSFQNFVSRADPATLAALSGSNAEGIPPDMVKTASNIIGKMSPEELQRMVQMASTFQGETPFTKRGSSNSTSDSFGPGPGPVPPNMSPDMMKMATDIMGKMSPDDLQKMFQMASSFKEKNPATAASVSNSNEQSRAQENRENFKVEDIGTSSSSQGLLNSRNGSNSGLSSSTADLQEQMRNQMNDPAMREMFSSMVKNMSPEMMANMSKQFGLNLSQEDAEKAQQAMSSLSPDDLERMMKWVDRIQRGVEGAKKTKNWLLGKPGMILAILMLLLAILLHWLGFIGH >itb04g32120.t1 pep chromosome:ASM357664v1:4:34815399:34820827:-1 gene:itb04g32120 transcript:itb04g32120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGMMDPEMFRIAQEQMSRMSPAELARIQQQMMSNPELIRMASESMKNLRPEDLRNAAEQLKHTRPEEMAEIGEKMANASPEELAAMRSRLDAQINYELNAAEMLKKQGNDLHNQGKFTDASQKYLLAKKNLKDIPASKGRNLLMACSLNLMSCFLKTGQYDDCIREGTEVLEYDANNIKALYRRGQAYKELGHLEDAVSDLAKAHEVSPDDETIENVLRDVKERLAKQGSGHASRKLVIEEITEEEPIVSSKKPENSTAESFMLQPQGTKQEASSSEHLHEKAIHGGPPSSSSECLQALKDDPESIRSFQNFVSRADPATLAALSGSNAEGIPPDMVKTASNIIGKMSPEELQRMVQMASTFQGETPFTKRGSSNSTSDSFGPGPGPVPPNMSPDMMKMATDIMGKMSPDDLQKMFQMASSFKEKNPATAASVSNSNEQSRAQENRENFKVEDIGTSSSSQGLLNSRNGSNSGLSSSTADLQEQMRNQMNDPAMREMFSSMVKNMSPEMMANMSKQFGLNLSQEDAEKAQQAMSSLSPDDLERMMKWVDRIQRGVEGAKKTKNWLLGKPGMILAILMLLLAILLHWLGFIGH >itb04g32120.t2 pep chromosome:ASM357664v1:4:34816337:34820827:-1 gene:itb04g32120 transcript:itb04g32120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGMMDPEMFRIAQEQMSRMSPAELARIQQQMMSNPELIRMASESMKNLRPEDLRNAAEQLKHTRPEEMAEIGEKMANASPEELAAMRSRLDAQINYELNAAEMLKKQGNDLHNQGKFTDASQKYLLAKKNLKDIPASKGRNLLMACSLNLMSCFLKTGQYDDCIREGTEVLEYDANNIKALYRRGQAYKELGHLEDAVSDLAKAHEVSPDDETIENVLRDVKERLAKQGSGHASRKLVIEEITEEEPIVSSKKPENSTAESFMLQPQGTKQEASSSEHLHEKAIHGGPPSSSSECLQALKDDPESIRSFQNFVSRADPATLAALSGSNAEGIPPDMVKTASNIIGKMSPEELQRMVQMASTFQGETPFTKRGSSNSTSDSFGPGPGPVPPNMSPDMMKMATDIMGKMSPDDLQKMFQMASSFKEKNPATAASVSNSNEQSRAQENRENFKVEDIGTSSSSQGLLNSRNGSNSGLSSSTADLQEQMRNQMNDPAMREMFSSMVKNMSPEMMANMSKQFGLNLSQEDAEKAQQAMSSLSPDDLERMVLSC >itb01g18270.t1 pep chromosome:ASM357664v1:1:23252400:23256608:-1 gene:itb01g18270 transcript:itb01g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISSTVPAVALLAALLCAAAFQISVAITDGLVVNGNFEAPVKKTELNGTVVLKADAVPGWITTGFVEYILAGQTQGDMLLVVPEGYAAVRLGNEASIKQKLNVTKDMYYSITFCAARTCAQEEKLNVSVAPDSGVLPIQTLYSSNGWDCYAWAFQADYNEIEITIHNPGVEEDPACGPLIDSVAIRTLYPPRPTNANLVKNADFEEGPYLFANVTTGVLCPPFIEDDHSPIPAWTVDSLKAVKYIDAEHFSVPHGRRAVELVAGKESAIAQITRTIVGKIYDLTFLVGDASNSCEGSMIVEAFAGQSTLKVPYESKGKGGFKPAKLRFKATANRTRIMFFSTYYHTRSDDFVSLCGPVVDHVRLLSVRHPNLSLELTQN >itb13g01150.t1 pep chromosome:ASM357664v1:13:1082780:1085461:1 gene:itb13g01150 transcript:itb13g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAFGGGGRAGRGRAEQYQGRVTCYVVVACMVAAVGGSLFGYDVGISGGVTSMDGFLEKFFPSVYRNKMHVHENNYCKYNSQSLAAFTSSLYLAGLVASLVASPVTRKYGRRASIISGGLCFLIGAALDASSVNLTMLIFGRIMLGFGIGFGNQAVPMYLSEMAPTHLRGGLNMMFQLATTLGIFTANMINYGTEKIKPNGWRISLGLAAVPALVMTAGGILLPETPNSLVERGSPEKGRQVLEKLRGTTNVGAELQDIIEASELAKSIKSPFRNMLERKNRPQLIMAVFMPAFQILTGINAILFYAPVLFQTMGFGSKASLYSSALTGAVLVASTFISIATVDRWGRRALLIAGGIIVAVILGVKLGNEKELSRSYSAAVVTVVCLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLLTFAIGQSFLSLLCAFKYGIFVFFAGWVAAMTLFVCLFLPETKGVPIEETIFLWRKHWFWKKIIPA >itb10g14290.t1 pep chromosome:ASM357664v1:10:20640942:20642212:1 gene:itb10g14290 transcript:itb10g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALSQVIGNNNTTATSQPNPTLHGNSLLPQTQQPNIQQQEAGGQRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAALAYDEAALRFKGNKAKLNFPERVQGKTDFGYLAATTLQQQQQQQHQFHATAASVLSPSHHHFSLPQYHHHHYAAAAQAQHYATLHGQGPTTNDNNNYLNLFPNNYEVSMFRPFVSQQQFPFSQFPYGTTSSSASGTDAPEDNWEEFDIKNVTKSSHP >itb04g14780.t1 pep chromosome:ASM357664v1:4:15465644:15471664:-1 gene:itb04g14780 transcript:itb04g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTTPQSLKPIASKPNFPSFPVHKPLHRSLGITPQSFPKIKLSLRVESSLTANKHNEVGVDEEMEKIKRLQNGSDVRGVALQGEKGRSVDLTPPAVEAIAESFGEWVISGLESERGGAVVEGVRVSLGMDPRVSGKSLSAAVFAGLGRAGCLVFDMGLATTPACFMSTVLPPFQYDASIMMTASHLPYTRNGLKFFTKKGGLTSTEVEAICDKAARKYANRMSKVSTALRALPSRVDFMSAYAKHLRDIIKERINHPTHYDTPLQGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLNPDGMFPNHIPNPEDKTAMAMTRAAVLHNAADLGIVFDTDVDRSGVVDSSGNPINGDRLIALMSAIVLKEHPGTTVVTDARTSMGLTRFITGRGGQHCLYRVGYRNVIDKGVHLNQDGVETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVKMKLEGSEEGIGSLIKDLEEPLESAELRMDVLSEPRHAKAKAAEAIESFRHYIEKGNLPGWELDSCGDCWVSEGCLVDTNDHPAAIDAFMYRAKVSNEENGEHGWVHLRQSIHNPNIAVNLQSNIPGGCQSMARDLRDKFLVAAELAKFLDISQIDEFARNGNLN >itb07g01490.t1 pep chromosome:ASM357664v1:7:899446:901884:-1 gene:itb07g01490 transcript:itb07g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFNRCHARLSRFASSLSCRSSLHILPQYQWSHRPDILSVCASAQSLRQIQQTHAQAVLHGVLPSSVSVCAALILRYALFEWSSETVQALFSRSVAFALSPFLYNTFIRAQGMLGIYDQNGFMVYNEMLRSGVMPDDHTFPFVLKLCSEFLEVRKGLEAHGTLLKVGFDSDVYVNNTLLTFYGNCGDLLAAEKVFGEMPEKDLVSWNSIIRAFSDNSCCFDAIRVFRDMLFWSEVKPNAVSVVSTLPVCAALEYGKMSSGIHCYVLKVGLDSQLSIGNALIDAYGKCREVEASKQVFDEMIERNDVSWNASIVILGYNGCYEQALSSFRLMIEKAVDFNATTISSVLPILAELGYFCKGREVHGLCVRMGLDRDVFVSNSLIDMYSKSGRSTEASNVFYNMNMRNAISWNAMIANFAQNSLELEAIGLVRKMQAQGENLTSVTLTNVLPACARLGCVRPGKEIHAKSLRSGFASDLFVSNALIDMYAKCSCLDLAQNVFEISLRDEVSYNILIVGYSLTDDWQESLALFSEMSRLGMEHDTVSFVGALSACSNVSAIKQGKEIHGYAVRRLFHSHQFVSNSLLDLYTKCGRIDISRTIFDRMQCRDVASWNTMILGYGMLGELQTAIDLFEAMKDDGVEYDSVSFIAVLSACSHGGLIEKGRRYFDDMFAHNIKPTQTHYACMVDLLGRNGLVDEAVHLVQSLPIEADANIWGALLGACRLHGKLELGCWAADHLLKLKPKHSGYYALLSNMYAEAGRWKEADRVRELMKMKGVKKMPGCSWVQMRDGVYAFIVGERFEQLDSYSWLEKSD >itb01g07500.t1 pep chromosome:ASM357664v1:1:5925872:5928300:-1 gene:itb01g07500 transcript:itb01g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRTLIIDCKRIALNSFPQMLQNLKLLRVLVLERLPDGMEELPNEVGDLIHLRYLDLFVNWKIRHLPDSLGRLHNLQTLDLSSSSVESLPKSVSKLKQLRHLIGSYELQVPDIVFTFSHLQTLSGILINTIQARELVNIPQLTELNIIFKEGEECWRAICDSVKKMTNLHSLTLRSDDELQEVINFSLPLSLEYLELSSFGKLLSEERKLELLKDVADCPPYANPQDSRQLLPSIVELLKVMQ >itb04g31100.t1 pep chromosome:ASM357664v1:4:34060499:34061139:1 gene:itb04g31100 transcript:itb04g31100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDENVVMDQDLPKDTVEEMEVDKDANSMEIEGEQTTNDDSKEEIELRTPESEKNKIPAIEDCPPPPEKRRKTRFGKAGESPEPATEEEMEFMDPEMGGQDDRFSLKKRLFVDPPTVNDKKE >itb01g27440.t1 pep chromosome:ASM357664v1:1:32251257:32252699:-1 gene:itb01g27440 transcript:itb01g27440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCSNESLIHVFLVSFPGQGHVNPLLRLGKRLASKGMFVTFCAPECIGRDMRRVNDNISDRPTPYGAGSIRFEFFDGWEYGQTGAENRLELELQTLELVGRRELPSILQKQARPVSCLINNSFIPWVCDVAESLGIPNAVLWVQSCASFSAYYHFNFDLVPFPTDGSPEMEVEIPGMPVLKHDEVPGFLHPRGARFHALRTTILNQFKKMSVPFCFLVDSFEELEADIIGHMSGICTVKTVGPLLFKDPEVEESNEVRADLVSSDKNSIIEWLDSKPVSSVVYISSGSIALPSQEQVNEIAQALIKARVCFLWIMKSQAKYYEPVVLPMGFLEKVGDHGKVVEWCPQERVLAHPSIACFVTHCGWNSTIEAIAYGVPVLTFPYFGDQVLNAKFLVDEFKMGLRLSRGVFENRTISCDEIEQCLTQVMSSPNMGEIKENALKWKKKAEEAVADGGSSDRNIEQFVNEIMRRSRNEKYVK >itb08g05060.t1 pep chromosome:ASM357664v1:8:4130396:4134175:-1 gene:itb08g05060 transcript:itb08g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQQAVKVLAKSPTFARDPRHFQFETDINRLFLYTSHNRLGKGADEKDAEEIIDMASKASLDDQQKQVQENVHCQIETFCTCMDEILIPVSKSPVEPQSPSERSNPPRRSGLSFAVGGSSPLNNCPAVPETKALKRAEVSQRLKELIGYTLEVKPSKIPHEDAGKGLFLDGEAELGAVIAFYPGVVYSPAYYRYIPGYPRVDAQNSYLITRYDGSVINAKPWGAGGESREIWDGSCAPHATPIKQGAENGSDRVWRMLSKPLDGTSMGHKGEVLERRNPLAFAHFANHPAKDMAPNVMVCSYDFPLTEKDLRPYIPNISFGNGEVNMKKLGSFWFKSWKSNSSSGADVPILKSLVLVATRPICNEEVLLNYRLSNSKRRPSWYTPVDEEEDQRRWS >itb11g07620.t1 pep chromosome:ASM357664v1:11:4681502:4684416:-1 gene:itb11g07620 transcript:itb11g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTATAQLILTADLGSNLALSIYHLLAQTTLLSPLTGAKRRDPPFILTGLELRIDVNHELHWSLFAFLEFVCRVLRKLVERLGEMNCVNVNPCISAYAPIAPSRSAASATNPGFPVSLRLNKSSSIYTVAGLRKFSLRAAASTSRYQQCAPVCLFGGKKSGSGDQAAPKEALENFLGSFKKEQSIEDVLRQQIKKQEFFDDGGTGGGGLGGGGGGSGGGSGGSEDENTSDDELDELFQVTMATLGFIYTYIYILRGEEIGRYIRDVIRYYIFRGRKSIRLTKLLARLNKHWKIIKEKYANRLTDDEMDEVDGHLITHAIKKTPTWYYRPKQKAKKVEKRAIVDPVYGEEYYEDEDDDYYY >itb05g19560.t1 pep chromosome:ASM357664v1:5:25996571:25999407:-1 gene:itb05g19560 transcript:itb05g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASQSEAPRDCMRSLNTQRLASPSNAAALARSPSSPIAGYRKRSAEKLYGYKGDEAIAPSVPNLAWLRLCKCLEQDFLPYMSVVMPPLLQSAQLKHDVTITSADSDNEIDESDDDSMETITLGDKRIGINTSVLEEKATTCSPVICYVAMLMS >itb12g13120.t2 pep chromosome:ASM357664v1:12:11860997:11863010:1 gene:itb12g13120 transcript:itb12g13120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYTOCHROME KINASE SUBSTRATE 4 [Source:Projected from Arabidopsis thaliana (AT5G04190) UniProtKB/Swiss-Prot;Acc:Q9FYE2] MNSLHYTCSKKSSEQLRLRDVSFSSYLKQAGEDESEINVFDAKKYFSESTDWKELGNRSLFPAIRNQNGSCDLSEINRFSSVSSVDAGGFGRNVKATPTASSEASWNSQTGLLSNPPGAIAVSLKNNVSEGDLEKRRGSAAAKWFFCRSKCPCSGKKSVQVQEAIRNGGHNDQQKIVLEERNQNLGLKVEVAGAVAKMTIRASDIIEDQILPPKNSLEIFQPQVSRKSLDAVIQLSPQPRGGGVVDNRDRRGGNLGFPGSPISRDDDVGSDASSDLFEIESFSTQTTSYRRRDSLDDEGTFVNARRLAAYGGGGRRSLDEPATPSVAATENYAPSEVSIDWSVTTAEGVGMEDHAGGGGSRHWSWEYGGEGKCSKGSGLLSCRHEKAVSVGPQPQPVKLAVAPDLIGPQTEAPPGALILTASHVSGRPPKPNKPPLATSHSARLSLAFAA >itb12g13120.t1 pep chromosome:ASM357664v1:12:11860997:11863010:1 gene:itb12g13120 transcript:itb12g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYTOCHROME KINASE SUBSTRATE 4 [Source:Projected from Arabidopsis thaliana (AT5G04190) UniProtKB/Swiss-Prot;Acc:Q9FYE2] MNSLHYTCSKKSSEQLRLRDVSFSSYLKQAGEDESEINVFDAKKYFSESTDWKELGNRSLFPAIRNQNGSCDLSEINRFSSVSSVDAGGFGRNVKATPTASSEASWNSQTGLLSNPPGAIAVSLKNNVSEGDLEKRRGSAAAKWFFCRSKCPCSGKKSVQVQEAIRNGGHNDQQKIVLEERNQNLGLKVEVSPPETGHGSPLASTGGFSFPILNPSSQVAGAVAKMTIRASDIIEDQILPPKNSLEIFQPQVSRKSLDAVIQLSPQPRGGGVVDNRDRRGGNLGFPGSPISRDDDVGSDASSDLFEIESFSTQTTSYRRRDSLDDEGTFVNARRLAAYGGGGRRSLDEPATPSVAATENYAPSEVSIDWSVTTAEGVGMEDHAGGGGSRHWSWEYGGEGKCSKGSGLLSCRHEKAVSVGPQPQPVKLAVAPDLIGPQTEAPPGALILTASHVSGRPPKPNKPPLATSHSARLSLAFAA >itb14g12760.t1 pep chromosome:ASM357664v1:14:14496829:14498007:-1 gene:itb14g12760 transcript:itb14g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHNIMLVLIFLLLICKFEYCYSNDAASCVEGERIALHQFKESLIDISNRLSSWNGFDCCEWEGISCSSTTGHVLKLDLHNPTPYPDDIENYYDRLPSNYSSNILGGEINYSLINLTHLNYLDLSLNNFSGIRIPEFFGSFKNLRYLNISSSGFVGNIPTHLGNLSSLEYLHLGGAVDGAISNDLATDNLDWLTSLSSLKSLDMSKILIQHSEDWLCTINKLLFLSSLNLANCFISTTSPLSHVNSTSLISLDLSFNSLDSAYFPCLSNLTRLEHLKLSHNSLNSSMLEIFEPLASLKVLDLSVNTFTGTLVPLCKFHKLIFMDLNSNNFQGSIPNCLGNLTSLTSLLLSDNSFTGSIPNTIGSLCRLQVFDFSMNKLTDFIAVLSDCL >itb15g15540.t1 pep chromosome:ASM357664v1:15:14484128:14487894:-1 gene:itb15g15540 transcript:itb15g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHHCRRRYEKLDCEEEVEGEGRRARPRWVVRRVRGLRLSGSRSKKKLKYWKAFCVVILPRRIARVYGEIAKRVKIMENVCPAIVFSNEDQVSFPPLFPPLSPYLNPLLFFFSSFLPLFSHISLLSLPLFGYHHHSTSSIQAQASTSCHARDHCLILHQAVPVSFSTAIRCPS >itb01g01940.t1 pep chromosome:ASM357664v1:1:1131815:1132724:-1 gene:itb01g01940 transcript:itb01g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLLALSLCLLSHPTSAKLKPIRLGTDDLPIASTGAPVVDADGDALQPGGAYNITSITWGAGAGEVKLEWRDSNTKCPSDVVSWLYADPVVFTPADPKATAVLESSFLSFKFNVVTNFLCSGNLYWGVQHDAVSGMDFVRSDEFVENQSDRFKIERVESIMPIYIITYCPSGTDKCYYVGREFDESIKSTRLAISDFPFMVLFKKTSLASAK >itb06g14620.t1 pep chromosome:ASM357664v1:6:19147852:19148292:-1 gene:itb06g14620 transcript:itb06g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIKVVIAIAITVVISTALTMNSINDDNVDISEQKPPTTATATAARRASRFLAEQKNPRAADHCKNDDEICYVVEGNNSTCCNNKCMDLGYDRHNCGACKKKCKFTHSCCRGECVNLAYDKRHCGECNNRCMPGGFCIYGLCNYA >itb12g03570.t1 pep chromosome:ASM357664v1:12:2349544:2350131:-1 gene:itb12g03570 transcript:itb12g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTEHIICRKPDSSSSVFDGECHHELARGPRTDVMIVKYFVDPKTFQKTKFVYPNNQDSMEVMKAFFDSENLPKEFGGKATLKYDDEEFSRLMTQEDVKTAKFWGI >itb04g02790.t2 pep chromosome:ASM357664v1:4:1711036:1717570:-1 gene:itb04g02790 transcript:itb04g02790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTRSSASRLLYTICSSSKTALLKPQCPAPTPIAALLAGNFQLRHFSAGSAAATRLKEEKEAVWRESLERVRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCSWKDYQVNIIDTPGHVDFTIEVERALRVLDGAVLVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHAAAVQVPIGLEDDFKGLIDLVHMKAYHFHGSSGEKVVTEDIPADMEAFVADKRRELIEAVSEVDEKLAESFLSDEPISNDDLEEAIRRATVAREFVPVFMGSAFKNKGVQPLLDGVLNYLPCPIEVGNYALDQNNSEEKVMLTGSPTGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFMINVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCATGDTFTDGSVRYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVEATVGKPRVNFRETITQRADFDYLHKKQSGGQGQYGRVTGYVEPLEPGSSTKFEFDNIIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENIRVVLTDGASHAVDSSELAFKLAAIYAFRQCYTAARPIILEPVMLVEIKVPTEFQGTVTGDINKRKGVIVGNDQEGDDSVITAHVPLNNMFGYSTSLRSMTQVNSQWSTKNIRQFPTTYKHNWLTRTRPPKEPNR >itb04g02790.t1 pep chromosome:ASM357664v1:4:1711036:1717570:-1 gene:itb04g02790 transcript:itb04g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTRSSASRLLYTICSSSKTALLKPQCPAPTPIAALLAGNFQLRHFSAGSAAATRLKEEKEAVWRESLERVRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCSWKDYQVNIIDTPGHVDFTIEVERALRVLDGAVLVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHAAAVQVPIGLEDDFKGLIDLVHMKAYHFHGSSGEKVVTEDIPADMEAFVADKRRELIEAVSEVDEKLAESFLSDEPISNDDLEEAIRRATVAREFVPVFMGSAFKNKGVQPLLDGVLNYLPCPIEVGNYALDQNNSEEKVMLTGSPTGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFMINVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCATGDTFTDGSVRYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVEATVGKPRVNFRETITQRADFDYLHKKQSGGQGQYGRVTGYVEPLEPGSSTKFEFDNIIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENIRVVLTDGASHAVDSSELAFKLAAIYAFRQCYTAARPIILEPVMLVEIKVPTEFQGTVTGDINKRKGVIVGNDQEGDDSVITAHVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSSVSHDVQTQLVNAYKATKGTE >itb09g15040.t1 pep chromosome:ASM357664v1:9:10325960:10327598:-1 gene:itb09g15040 transcript:itb09g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASLPSCGRADIAAISAIALVSCAGVNQKASALTILSQNSNSDPNKRQERRCITGHLEFLAGLALLHRYSSSSKLCEEPLPVPEERIHEAALFHPFAEASDTGLLLDVGRNPILFPLSWLCKQGVFSPWARKR >itb13g26230.t1 pep chromosome:ASM357664v1:13:31563933:31567026:-1 gene:itb13g26230 transcript:itb13g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNIPLSVLTSHDMHKGSRRSAGFHPSIWGHYFLPYSTQTKEANTQECQEHQQLKEKVKNMLVEAPQISPQKLELINEIQRLGVSYQFEKEIEATLQLIFRSYYESNIQEDDENDLYIVSLRFRLLRQHGYPVPCRVFEKFTGFDGKFKESDNVQAIINLYEASHLRVHGENILDEALIFSTLYLQSMQPNLTNHLKSQVNEALKRPIWKRLTRIEAKRYISIYEFDESHDVVLLKFAKLDFNMLQKEHQWEIGCLTRWWKELDFAKKLPFARDRLVECYLWALGVYFEKQYYLPRKFLTEVLAIATVIDDIFDVHGTPEELFLFNNAIQRWDASAINELPEYMRVCYIALLDIYAQMERELGPKGGAYQVNYAISEMKKLVGAYYEEAKWFHDGSPPNFEEYMKNAIASSGYMMVATSSLVGMSEDFATKEVFDWVTNEPLMVRASAIIARLMNDIAGHEVEQQRGDVDSTVECYMKQYGKSEEETVKELQEQITNAWKDIKQECLEPNFVPMPILIQIANLARVIDLLYKYGDIYTHSTTELKVVITSLLIDPVV >itb02g22160.t1 pep chromosome:ASM357664v1:2:21367217:21376954:-1 gene:itb02g22160 transcript:itb02g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCAISVKDDEFLYVRIFCCSSILGIPQKFLSFAFFVFLKFRQGRPKGFPPEIFSITLPIFSAAHIHRVPLILRFSSLGVSASHSASPTLCLVGLIFSLYLAASPVTVVHQGAFGSLQSALSSSKLLSHHTSVAIHRTVLKSISTFLVLPAWLEFIEMAARIIAQLIVMGSGMMVRAVAQAYRQALANASKTGAAQEVAQNIRRAGKTMTETEARQILGVAEKSTWEEVLQKYDNLFEQNAKNGSFYLQSKVHRAKECLESVYQKHAWGSLDALIDQLRAAYEENGGSPETNPFASGAIRVYLREVKEC >itb02g00930.t1 pep chromosome:ASM357664v1:2:522988:524531:-1 gene:itb02g00930 transcript:itb02g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREFFTGAGFLGAAAGESQYGCENRYLVEQKSAEHSLNANNFTVDELLDFSKHDEVTADDFFDSVAGRSSTVAGVDSCNSSVSGGQFSGSFTDAAFSASELCVPYDDLAELEWLSNFVEDSFSSDEVQNLQLILATKTSAAAADSSSSGNCKEIANNYSLPAFPSDVSVPGKARSKRSRAAPWDWSSRLQLLSPAKSQSESNNNNNASAPKASKTTPSKRRESTDTQGRKCLHCASEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSTKHSNSHRKVLELRRQKDLHLHHQQQLLSQASIFGGDAYLLHHQQTCGTDYTHII >itb01g27560.t1 pep chromosome:ASM357664v1:1:32314168:32315719:-1 gene:itb01g27560 transcript:itb01g27560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFVPTPSMGHLVSAVGTANLLLQRRPHLSITVLIMKMPLFPGSNINSYIDSLLADEKDMHPRLKLVLLPEDLDALKRHADKISIFHAFLDSQKNKVRDYCINEFQKSPAVSGRRLAGFVADIFCTDKIMDVADEFGVPTYVFYALGAAMLGLLFHFRSLKDDHGIDASDFLDSDPDLNIPAYFKPFPVKLLPNLPFFDFTTRIREAKGVIVNTFFDLEPYAIQSLSKDKRFPPVYPLGPILNLNRHMKNNQESAKQIFKWLDDQPDSSVVFLCFGSGGAFSGPQVKEIAYALERSGQRFLWALRKPPSPGSVLPTEYSNPEEVLPKGFLEKTKSIGKVIGWAPQTAVLAHPAVGGFVSHCGWNSTLESIWFGLPIATWPMSVDQQANAFQLVREIGTAVDIKMDYRVDSRDPNNNIPIVPEIVNAKEIEIGLTSLMDYSTPNSVRTKAKEFKEKSRKALEEGGSSINFVESFFDNVINNLK >itb09g22890.t1 pep chromosome:ASM357664v1:9:21840307:21841419:1 gene:itb09g22890 transcript:itb09g22890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSPPTLANLENEIATNTLHFLEVYDVVLKQAALVNRKLRSIDNLEVKPDIVLPGDLGVLSEAYDRCGEVCAERTDELVDGPNASHITPTARDRWEARHILEVL >itb12g06130.t1 pep chromosome:ASM357664v1:12:4562442:4562774:-1 gene:itb12g06130 transcript:itb12g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGVKVHGYWGSPYVYRVIWALRLKGVRYKYIEENLCRSKKSGLLLQYNPVYKKVPVLVHNGNPISESLVILEYVKETWPHNPLLPQDAAHRALARFWMKFADDKVI >itb12g26570.t1 pep chromosome:ASM357664v1:12:27406693:27410760:-1 gene:itb12g26570 transcript:itb12g26570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSKSARGTASVAVSQFRYFILNHMHAGRLGNIHWNSRSRARLQSFFANSPHNVNLFKLALVPGEFGDWRCEKSRNSQYCNPSNKRKIDISSSYGEPPEVWQPPGDGVAVRPGVKLGEVDEGDGPNSGSGPDDDGCWGGSNLGPNFPTPKEICRGLDKFVIGQEKAKKVLSVAVYNHYMRIYNDYAEKWTPGNSGSDKVEETEHEGVELEKSNILLLGPTGSGKTLLAKTLARLVNVPFVVADATTLTQAGYVGEDVESILHKLLMVADYNVQAAQQGIVYIDEVDKITKKAKSLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERCQDSSIGFGSPVRANMRTGGVTSATVTSSLLETVESSDLISYGLIPEFVGRFPVLVSLSALTENELVQVLTEPKNALGKQYKKMFRMNNVKLHFTEDALRSIARKAITKNTGARGLRSILENILMDAMYEIPDVRTGNDVIDAVIVDSKSVGADGQGSGAKILYGKGAFDRYLSRCKLKDSETTSEGWVADGLPEPEPEQLPSVAAV >itb05g25130.t1 pep chromosome:ASM357664v1:5:29689346:29690599:1 gene:itb05g25130 transcript:itb05g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTASPPSSPSGECPTRPCWFPEGVSFTLGSTRTDDISAQPLPPSAKDSKQTAQRNDTVAEGSSPESLSSKPLLKALVDYARLADSQPENAVKSLMNQRLCFPARRPDGARRVLFLRSSLQWPLKLAGKATDELRSKLQIRSSHCKPSNSRSY >itb07g06860.t1 pep chromosome:ASM357664v1:7:5049968:5052119:-1 gene:itb07g06860 transcript:itb07g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVKVARQLEPWRDLTGKVVMVTGASSGLGREFSLDLAKAGCRVIAAARRVDRLKSLCDEINGVGGSGEPRAVAIMLDISADGSAVEAAVQKAWAAFGRIDALINNAGIRGSRQTPLDLTEEEWNETIRTNLSGTWLVTKYVCLLMRNARLGGSVINISSISGLNRAQFMGSLAYSCSKSAVNTFSMAMALELGAYGIRVNSISPGGFKSEITMNLAGKHWLKNVADRTVPLRTFGTTDPTLTSLIRYLIHDSSQYVSGNIYIVDAGATLPGVPIFSSL >itb09g14210.t1 pep chromosome:ASM357664v1:9:9412269:9414900:1 gene:itb09g14210 transcript:itb09g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLILSYFAISLAKTTPTTFPFSTIINLQQKGFSSGYSTKTTDNNNQLYDTKYFTQILDHFTFTPQSYQTFQQRYLINDTYWGGAKKKAPIFVYMGGEADVELSAQNTGFMFDYAPNFNALLVFIEHRFYGKSFPGGDEEVKPNSTTLGYLSSTQALADYATLIIDLKKNLTATDSPVVVFGGSYPGMLAAWFRLKYPHVTIGALASSAPILYFDNITSPYAFNDIITQDFRSESENCYKVIKSSWQLIQETAKQAGGLESLMKSFRICESV >itb05g15550.t1 pep chromosome:ASM357664v1:5:22874066:22875349:-1 gene:itb05g15550 transcript:itb05g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVCSEDPSLGLSPRISFSHDISQAEIVPVEQYVRSSSSSSSMDFDFCVFRESFDLDSSSADELFFNGKILPIEIKRRMGGPPRKQDPPKAPQPPKTPPPPPPSASASQNQDKKENSGETKPETLESSSDGQKQSGSKVFWKFKRSSSLNCGSGYARTLCPLPLLSRSNSTGSSSPIPKRTLSRDSFNLKHQSHKSSSSCSASSTKHNLFSASNHKPPSKKFQASSSSYSSNGVRVNPVLNVPPANLFGLGSMFSHGKDKNKKK >itb15g02390.t1 pep chromosome:ASM357664v1:15:1454006:1459437:-1 gene:itb15g02390 transcript:itb15g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPHVVEDCSGILQLYSDGSIFRSKEEDINFPFPVHDDDSVHWKDSLFDRSHGLNLRIYKPRAAAAAAAISLPIVFFFHGGGFCVGSRTWPNCHSCCLRLCAGLQAVVVAPDYRLAPEHRLPAAMDDAFCAVKWVAAQALTDAWLKDGVDFDRAFVMGDSSGGNVAHHLAVRLGVGSPEMAPVRVRGYVMMAPFFGGTERTKSEAEGPPEPFLNLEILDRFWRLSLPFGGTPDHPLANPFGPASPCLEAVKLDPILVMVGSQEVLKDRVENYAMKLKQQKKEVDYVVFQGKQHGFFTNDPFSDVSTQVLQELQHFMMSKV >itb02g09560.t3 pep chromosome:ASM357664v1:2:6137730:6140534:1 gene:itb02g09560 transcript:itb02g09560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIEEQRVIEAKIRMRQQELQDEEERMLKRREMYSCSSSNGPSEEYCSTAGPSFATAIEGSEVVTSHEICGAPAIRPSLRPRQNREDEFDLDLEDLMVMEAIWLSIQENGRNRSQSYADAAASEQYTTEDRCAAMTSAGGSSPSPSGGLACAIAALAERQQMSGESSNSYGGDKSSCDEYQASGRFPNRAVDQEHQDYHPPLERHTRLSLESQIAIDCDDREWADHGSVVAEPGTSYAHSNEMDEVARQPLYPPEGEIENSFQHTSSAPIVPESFEEQMMLAMAVSLAEARARTSTPGVAWH >itb02g09560.t2 pep chromosome:ASM357664v1:2:6137730:6140534:1 gene:itb02g09560 transcript:itb02g09560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGMEQIEEQRVIEAKIRMRQQELQDEEERMLKRREMYSCSSSNGPSEEYCSTAGPSFATAIEGSEVVTSHEICGAPAIRPSLRPRQNREDEFDLDLEDLMVMEAIWLSIQENGRNRSQSYADAAASEQYTTEDRCAAMTSAGGSSPSPSGGLACAIAALAERQQMSGESSNSYGGDKSSCDEYQASGRFPNRAVDQEHQDYHPPLERHTRLSLESQIAIDCDDREWADHGSVVAEPGTSYAHSNEMDEVARQPLYPPEGEIENSFQHTSSAPIVPESFEEQMMLAMAVSLAEARARTSTPGVAWH >itb02g09560.t1 pep chromosome:ASM357664v1:2:6136181:6140770:1 gene:itb02g09560 transcript:itb02g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRRQVVDEKYTRPQGLYQHKDVDHKKLRKLILDSKLAPCYPGDDDCANTSDLEECPICFLYYPSLNRSRCCLKGICTECFLQMKTPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGMEQIEEQRVIEAKIRMRQQELQDEEERMLKRREMYSCSSSNGPSEEYCSTAGPSFATAIEGSEVVTSHEICGAPAIRPSLRPRQNREDEFDLDLEDLMVMEAIWLSIQENGRNRSQSYADAAASEQYTTEDRCAAMTSAGGSSPSPSGGLACAIAALAERQQMSGESSNSYGGDKSSCDEYQASGRFPNRAVDQEHQDYHPPLERHTRLSLESQIAIDCDDREWADHGSVVAEPGTSYAHSNEMDEVARQPLYPPEGEIENSFQHTSSAPIVPESFEEQMMLAMAVSLAEARARTSTPGVAWH >itb15g04090.t3 pep chromosome:ASM357664v1:15:2555150:2558382:1 gene:itb15g04090 transcript:itb15g04090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNPNSHGYGYSAPPPSQPYSVPPPSQSYSVPPPSQSYSVPPPSQAYSSAPYGVPPPSSAYGAPPPGQSPYAPVASPYSAPSAPYASDPSKPPKENKPQSSGHYGGGGGYPTPPPSAPYGSAPPFGSHAPSNFPPGTDPNVMACFQMADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFTAVFYSLQNWRVS >itb15g04090.t1 pep chromosome:ASM357664v1:15:2555150:2558382:1 gene:itb15g04090 transcript:itb15g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNPNSHGYGYSAPPPSQPYSVPPPSQSYSVPPPSQSYSVPPPSQAYSSAPYGVPPPSSAYGAPPPGQSPYAPVASPYSAPSAPYASDPSKPPKENKPQSSGHYGGGGGYPTPPPSAPYGSAPPFGSHAPSNFPPGTDPNVMACFQMADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFTAVFYSLQNWRAIFERFDRDRSGKIDTTELREALLSLGFAVSPAVLELLVTKFDKTGGHNKAIEYDNFIECCLTVKVSQATS >itb15g04090.t2 pep chromosome:ASM357664v1:15:2555150:2558382:1 gene:itb15g04090 transcript:itb15g04090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNPNSHGYGYSAPPPSQPYSVPPPSQSYSVPPPSQSYSVPPPSQAYSSAPYGVPPPSSAYGAPPPGQSPYAPVASPYSAPSAPYASDPSKPPKENKPQSSGHYGGGGGYPTPPPSAPYGSAPPFGSHAPSNFPPGTDPNVMACFQMADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFTAVFYSLQNWRAIFERFDRDRSGKIDTTELREALLSLGFAVSPAVLELLVTKFDKTGGHNKAIEYDNFIEYASSLTNALSIVYNLHPKYIFFTH >itb15g04090.t4 pep chromosome:ASM357664v1:15:2555150:2558382:1 gene:itb15g04090 transcript:itb15g04090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPNPNSHGYGYSAPPPSQPYSVPPPSQSYSVPPPSQSYSVPPPSQAYSSAPYGVPPPSSAYGAPPPGQSPYAPVASPYSAPSAPYASDPSKPPKENKPQSSGHYGGGGGYPTPPPSAPYGSAPPFGSHAPSNFPPGTDPNVMACFQMADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFTAVFYSLQNWRVS >itb01g04370.t1 pep chromosome:ASM357664v1:1:2953569:2954396:1 gene:itb01g04370 transcript:itb01g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIWFCLLMTLITKGTSDLLQFNCVNKTSYTPNSTYKTNLHSLLSDLYSNATRDNGFYHTTVDTVHGMFLCRGDVSTDVCGDCVGYARERILDLCPNEKTAIIWYDNCMLRYSEKSMLGIVDQSVWLAWRNDDNGTRPNAYMTLVGNLLDQIVTRASNGSGKKFAVLEANFRPFERVYALGQCTPDLSNVDCQICFRNVIAMLPRCCYGAVGGRAVFPSCNVRYELYPFYNLSAVAPPAPPLTNPPPPSLPPPPPPSMLANSASSKGKLDLAIL >itb15g10430.t1 pep chromosome:ASM357664v1:15:8221235:8223863:1 gene:itb15g10430 transcript:itb15g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGDSQTLQETPTWALAAVCGVFIAISIVIEQGIHKLGEWFKKKQKKAMMEALEKIKAELMLLGFISLLLTVSTTLVARICIPPHFAHTWLPCPHDSYNRHRLLLSFNVTGDVLHRRFLAGVDDEKDYCGSRMRRWKTWELETSSLEYELSYDPSRFRYVHQTSFVRRHSGLSTIPGIKWIV >itb13g22510.t1 pep chromosome:ASM357664v1:13:28822305:28825024:-1 gene:itb13g22510 transcript:itb13g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSNKLSFLSLSAHLCMAFASLQSVSVQDELSRQHYPTITVDQSGHGNYQTIQAAIDSVPLNNQNWIIIKVKSGTYSERVRIPKEKPYIYLKGSKKTNVIWDVHGSIQNATFTVEADNIVVESLTFTNSYNYPPETTKNPREVAVAGIFAGDMIAVYNCRFNGWQDTLWDTFGRHYYKDCTIAGAVDFIFGEARSIYEDCIIEVNAGALEYGWAGYITANARQSAEENTGFVFKNCQIVGNGKAFLGRPWRSYATVIFFRTNMENVIVPEGWTNTFAVINSDLAPSPSPAPAPPPNADPSKLTFVEYGSKGPGSHKKKRVPWLTKLSSKQLKWYTSLSYVNDNGWIEGQPYTSAVLP >itb02g06990.t4 pep chromosome:ASM357664v1:2:4353846:4356266:1 gene:itb02g06990 transcript:itb02g06990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 6 [Source:Projected from Arabidopsis thaliana (AT2G32290) UniProtKB/Swiss-Prot;Acc:Q8L762] MQRMATRGSKLPLENYVPVYVMLQLDIITVDNVFPNQNKILIQLKELRAAGVDGVMVDVWWGIVEGLGPKNYNWSAYRTLFKLVQKCGLKIQAIMSFHQCGGNIGDSVFIPLPKWVLAVGEKDPDIFYTNRGGNPDKEYLSLGVDNLPLFEGRTAVEVYSDFMKSFRETMSDFLESGAIIDIEVGLGPAGELRYPSYQENQGWKFPGIGEFQCYDKYLRADFKEAAIEAGHPDWDLPDDAGTYNDTPAKTGFFGPNGTYLTEKGKFFLTWYSNKILLHGDQILDEANKAFLGCRTKLAAKVSGIHWWYKDATHAAELTAGYYNLDGRDGYHPIARMLARHYGILNFTCLEMRNDEHPANAKSGPQELVQQVLSAGWKECIEVAGENALSRYDRPGYNQILLNSRPNGVNKNGPPKLKLSGMTYLRLSDELLHRSNFRIFKTFVNKMHADLDYCPEYVKPTPLKRSKPEISIDELVEATKPTKPFKWDGQTDMSVGGALADLVDNLLQNLFFRQS >itb02g06990.t2 pep chromosome:ASM357664v1:2:4353052:4356240:1 gene:itb02g06990 transcript:itb02g06990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 6 [Source:Projected from Arabidopsis thaliana (AT2G32290) UniProtKB/Swiss-Prot;Acc:Q8L762] MSSIVFSELNSFPNKNMLFKHCPFPPTMPERYNLLLWPNTSLSSRRTSILAGVTQSISTKASTTEERMATRGSKLPLENYVPVYVMLQLDIITVDNVFPNQNKILIQLKELRAAGVDGVMVDVWWGIVEGLGPKNYNWSAYRTLFKLVQKCGLKIQAIMSFHQCGGNIGDSVFIPLPKWVLAVGEKDPDIFYTNRGGNPDKEYLSLGVDNLPLFEGRTAVEVYSDFMKSFRETMSDFLESGAIIDIEVGLGPAGELRYPSYQENQGWKFPGIGEFQCYDKYLRADFKEAAIEAGHPDWDLPDDAGTYNDTPAKTGFFGPNGTYLTEKGKFFLTWYSNKILLHGDQILDEANKAFLGCRTKLAAKVSGIHWWYKDATHAAELTAGYYNLDGRDGYHPIARMLARHYGILNFTCLEMRNDEHPANAKSGPQELVQQVLSAGWKECIEVAGENALSRYDRPGYNQILLNSRPNGVNKNGPPKLKLSGMTYLRLSDELLHRSNFRIFKTFVNKMHADLDYCPEYVKPTPLKRSKPEISIDELVEATKPTKPFKWDGQTDMSVGGALADLVDNLLQNLFFRQS >itb02g06990.t3 pep chromosome:ASM357664v1:2:4353735:4356240:1 gene:itb02g06990 transcript:itb02g06990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 6 [Source:Projected from Arabidopsis thaliana (AT2G32290) UniProtKB/Swiss-Prot;Acc:Q8L762] MATRGSKLPLENYVPVYVMLQLDIITVDNVFPNQNKILIQLKELRAAGVDGVMVDVWWGIVEGLGPKNYNWSAYRTLFKLVQKCGLKIQAIMSFHQCGGNIGDSVFIPLPKWVLAVGEKDPDIFYTNRGGNPDKEYLSLGVDNLPLFEGRTAVEVYSDFMKSFRETMSDFLESGAIIDIEVGLGPAGELRYPSYQENQGWKFPGIGEFQCYDKYLRADFKEAAIEAGHPDWDLPDDAGTYNDTPAKTGFFGPNGTYLTEKGKFFLTWYSNKILLHGDQILDEANKAFLGCRTKLAAKVSGIHWWYKDATHAAELTAGYYNLDGRDGYHPIARMLARHYGILNFTCLEMRNDEHPANAKSGPQELVQQVLSAGWKECIEVAGENALSRYDRPGYNQILLNSRPNGVNKNGPPKLKLSGMTYLRLSDELLHRSNFRIFKTFVNKMHADLDYCPEYVKPTPLKRSKPEISIDELVEATKPTKPFKWDGQTDMSVGGALADLVDNLLQNLFFRQS >itb02g06990.t1 pep chromosome:ASM357664v1:2:4352633:4356240:1 gene:itb02g06990 transcript:itb02g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 6 [Source:Projected from Arabidopsis thaliana (AT2G32290) UniProtKB/Swiss-Prot;Acc:Q8L762] MATRGSKLPLENYVPVYVMLQLDIITVDNVFPNQNKILIQLKELRAAGVDGVMVDVWWGIVEGLGPKNYNWSAYRTLFKLVQKCGLKIQAIMSFHQCGGNIGDSVFIPLPKWVLAVGEKDPDIFYTNRGGNPDKEYLSLGVDNLPLFEGRTAVEVYSDFMKSFRETMSDFLESGAIIDIEVGLGPAGELRYPSYQENQGWKFPGIGEFQCYDKYLRADFKEAAIEAGHPDWDLPDDAGTYNDTPAKTGFFGPNGTYLTEKGKFFLTWYSNKILLHGDQILDEANKAFLGCRTKLAAKVSGIHWWYKDATHAAELTAGYYNLDGRDGYHPIARMLARHYGILNFTCLEMRNDEHPANAKSGPQELVQQVLSAGWKECIEVAGENALSRYDRPGYNQILLNSRPNGVNKNGPPKLKLSGMTYLRLSDELLHRSNFRIFKTFVNKMHADLDYCPEYVKPTPLKRSKPEISIDELVEATKPTKPFKWDGQTDMSVGGALADLVDNLLQNLFFRQS >itb02g04540.t1 pep chromosome:ASM357664v1:2:2692595:2696279:-1 gene:itb02g04540 transcript:itb02g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSAVLRLHHLPNYLSPHFTLHSPTISHHAPSLHCSAPVFYINSLKFFKSSRTVPFAVTESDSPKSLEPDPQALLQEVADSFVLPADFFSRLPRDLRLDLNDAAFDLSNGQVKTECGEDLGEALLNISRAWEQADTSTSTALMKTVPQLAGSLTGYQKSALGKRLLSAGRRFQSMGQYGQGEVQLIAEVMMRNGKLLSASPVSGGTDEEPKQQIRTFKFGELQVALTSEKAYIGAAIGAVFGVLSWGLSQGVASIPESSLQYANDNALLLAKSLRGSLLVIFYSSTALSAFATVGLVLLAGQLKSSDKE >itb02g04540.t3 pep chromosome:ASM357664v1:2:2692629:2696265:-1 gene:itb02g04540 transcript:itb02g04540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSAVLRLHHLPNYLSPHFTLHSPTISHHAPSLHCSAPVFYINSLKFFKSSRTVPFAVTESDSPKSLEPDPQALLQEVADSFVLPADFFSRLPRDLRLDLNDAAFDLSNGQVKTECGEDLGEALLNISRAWEQADTSTSTALMKTVPQLAGSLTGYQKSALGKRLLSAGRRFQSMGQYGQGEVQLIAEVMMRNGKLLSASPVSGGTDEEPKQQIRTFKFGELQVALTSEKAYIGAAIGAVFGVLSWGLSQGVASIPESSLQYANDNALLLAKPYPLLLQWDLFYLPDNSSLAIRNDPLAMENLTCYFYRADSYKKT >itb02g04540.t4 pep chromosome:ASM357664v1:2:2693773:2696279:-1 gene:itb02g04540 transcript:itb02g04540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSAVLRLHHLPNYLSPHFTLHSPTISHHAPSLHCSAPVFYINSLKFFKSSRTVPFAVTESDSPKSLEPDPQALLQEVADSFVLPADFFSRLPRDLRLDLNDAAFDLSNGQVKTECGEDLGEALLNISRAWEQADTSTSTALMKTVPQLAGSLTGYQKSALGKRLLSAGRRFQSMGQYGQGEVQLIAEVMMRNGKLLSASPVSGGTDEEPKQQIRTFKVVRVLLLKLG >itb02g04540.t5 pep chromosome:ASM357664v1:2:2694710:2696274:-1 gene:itb02g04540 transcript:itb02g04540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSAVLRLHHLPNYLSPHFTLHSPTISHHAPSLHCSAPVFYINSLKFFKSSRTVPFAVTESDSPKSLEPDPQALLQEVADSFVLPADFFSRLPRDLRLDLNDAAFDLSNGQVKTECGEDLGEALLNISRAWEQADTSTSTALMKTVPQLAGSLTGYQKSALGKRLLSAGRRFQSMGQYGQGEVQLVVYFNFINTFM >itb02g04540.t2 pep chromosome:ASM357664v1:2:2692595:2696279:-1 gene:itb02g04540 transcript:itb02g04540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSAVLRLHHLPNYLSPHFTLHSPTISHHAPSLHCSAPVFYINSLKFFKSSRTVPFAVTESDSPKSLEPDPQALLQEVADSFVLPADFFSRLPRDLRLDLNDAAFDLSNGQVKTECGEDLGEALLNISRAWEQADTSTSTALMKTVPQLAGSLTGYQKSALGKRLLSAGRRFQSMGQYGQGEVQLIAEVMMRNGKLLSASPVSGGTDEEPKQQIRTFKFGELQVALTSEKAYIGAAIGAVFGVLSWGLSQGVASIPESSLQYANDNALLLAKSLRGSLLVIFYSSTALSAFATVGLVLLAGQLKSSDK >itb02g00450.t1 pep chromosome:ASM357664v1:2:310727:311653:-1 gene:itb02g00450 transcript:itb02g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQLLHLCFFFSLILSFLPFIAYSLSSSNAFPLPPIISQDGKELKLGKPYYIFSTIFPKRDGLCLVDNVKCPEDIIQCPYFYETTSYLPVTFSTVNVTEDTVVREDTPYRIEFTIPSAATCPTTKYWYIKDVGDSLKEFVAIGPNTVAVEFQIQKVNTGYKIIYCVLLPIPPTPICYDIGFYEENGFNRLGFGEGIDAVEFFFSGNLSSISTTSFLSRAIRMF >itb11g15200.t1 pep chromosome:ASM357664v1:11:12640921:12642905:-1 gene:itb11g15200 transcript:itb11g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASPKPDDTMVFIRSMQKPQKRWQAACNAICFLVFLTKKKIAAKGISSAFSRLLTSRSFVSIAMEDKDHIPSFNIDKKTLGDMVSERDADSLSQHGGVKGMAAILEADEKAGINGNAGHHHHHPSICSALSLGFGIELHGLKDGWYDGGSIIIAIFLVLAVSSISNYKQSRQFHKLSNESRNINVEVVRDGHRQGISIFDIVVGDVVWLKTGDQIPADGLFLDGHCMKIDESSMTGESNHIEVDDTQNPFLVSGTKVIDGYGHMLVTSVGMNTSWGQMMSLITHDMNEETPLQHRLNKLTSLIGKVGLSVAVIVLVVMIIRYFAEINGSKISDTVGIVLTMIAAAVTIVVVAIPEGLPLAVTLNLAVSMKRMMIDNAMVRKLSACETMGSATTICTDKTGTLTLNQMQVTEFWIGKEQIQKGKSFEIIAPDVIELIQEGVALNTSGEVYMSSPATTLPQISGSPTESAILLWAASDLAMDFNGLKQGHEILRVEAFNSQKKRSGALVRKNHGKGVQFQTQWKGAAEMILDMCSKYHVKSGEIKDLDDEEREMFELIIRELASKSLRCVAFAYKVSSEKLEETELTLLGLIGLKDPCRPGAKEAVTSCREAGVNIKMTQETIYLPQKP >itb08g04980.t1 pep chromosome:ASM357664v1:8:4076899:4077381:-1 gene:itb08g04980 transcript:itb08g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYYVAVFLSILLLVLSKLFSNRRKNLPPAPLSLPIVGHLYLIKNSLHQALAALSAKHGPVLYLRFGCRPFLVVSSPAAVEDCFTKNNDIIMANRPRSMSGDNFSFDYKALPLAPYGDLWRVQRRLTVVELFSTLSLQRSAAIREDEIRTVIRSLFKL >itb12g09830.t1 pep chromosome:ASM357664v1:12:7828886:7830561:-1 gene:itb12g09830 transcript:itb12g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQENGWPLGLRHPHNLRIRRFNGSIVSFDTTASPTSISGFSSDLDTQSTGSFFRDNSITLGSLMGISNLVELSRRSSTRRTRQPLRSESSVVKSKKTCLFSLCYRLNSSDDEDMMKTAMAPSLGYLLEVERRASARFYQPNHQFSADSSSSHLSLSYLCGYFCH >itb11g01280.t1 pep chromosome:ASM357664v1:11:574845:578063:-1 gene:itb11g01280 transcript:itb11g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKACHNITTPPKFPPAVKLPQAAATTSQVSFPSRHVSRAISIPTTTITPPTPEILASPELLDDRVTAYWDYQFLFVSQRSEAAEPVALRVVEGAIPADFPSGTYYLTGPGLFTDDHGSTVHPLDGHGYLRTFGIDGETGKVTFMARYIETEAQAEERDPETGQWRFTHRGPFSVLKGENMVGNTKVMKNVANTSVLHWGGRLFCLWEGGDPYEIDSGSLDTVGKFKLIAGGHESTSAPSDLETGKFTADFWDVAAQILKPILYGVFKMPPKRFLSHYKIDARRNRLLIASCNAEDMLLPRSNFTFYELDANFKLLHQQEFNIPDHLMIHDWAFTDSNYILFGNRIKLDVPGSMTAVCGLSPMISALSVNPSKQTSPIYLLPRFPRSETDNVVQRDWKIPIEAPSQMWVLHVGNAFEDRDESGNVEIQIQASGCSYQWFNFQKMFGYDWQSGKLDPAMMNRGEGEDKLLPHLVQVSIRLDRNGDSQNVAVNELNEWGKAADFPAIHPDYSGRKSSCVYAATSSGSRQALPHFPFDTVVKLNTADKSTHTWSAGRRRFIGEPVFVPKGTKHDEDDGYLLVVEYAVATQRCYLVVLDAKQIGKSKAMVARAEVPRHLNFPLGFHGFWAPSK >itb02g20350.t1 pep chromosome:ASM357664v1:2:18200401:18201018:1 gene:itb02g20350 transcript:itb02g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNFMTLVVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPKLKDYLVNSAAAKKVYELCKVTMPKC >itb02g14160.t1 pep chromosome:ASM357664v1:2:10362594:10363172:-1 gene:itb02g14160 transcript:itb02g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSTRRVHRGRQRIPLSRIENDVHRTVTFSKRRFGLFKKASEISRLCGMEILLVVFSPNKEKVYTFGSPNVNAVLDKYVAENENRTIEGNVTEELLLSQKEADIRLTNLQINVLEAAIQHEMKVTEAAKGMPSISNLPLADLLSMKQQMEILRSNVFQILNPQPTTMPVQTQAMNTQSDDVNPSGATPF >itb01g16080.t2 pep chromosome:ASM357664v1:1:19958729:19964082:1 gene:itb01g16080 transcript:itb01g16080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMAFQTPHLEILGLTTVFGNVRTQDATRNALLLCEIAGCPGVPVAEGSYEPLKRGHPRIADFVHGSDGLGNTDLPPPKSKKIDKSASEFLVDKVSEYPGEVTILALGPLTNLALAVKRDSSFASKVKRVVILGGAFFALGNVNPAAEANIFGDPEAADVVFTSGANIDVVGINITTQVKFTDSDLDSLRQSKGRYAQFICDACKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFSFKKGVVRVETQGICVGHTLMDQGLKKWNSSNPWSGYSPISVAWTVDVDEVVNYVKNMLMKP >itb01g16080.t1 pep chromosome:ASM357664v1:1:19958729:19964149:1 gene:itb01g16080 transcript:itb01g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPDSDFPDGLLLPNNSFVKEREKIIIDTDPGIDDAMAILMAFQTPHLEILGLTTVFGNVRTQDATRNALLLCEIAGCPGVPVAEGSYEPLKRGHPRIADFVHGSDGLGNTDLPPPKSKKIDKSASEFLVDKVSEYPGEVTILALGPLTNLALAVKRDSSFASKVKRVVILGGAFFALGNVNPAAEANIFGDPEAADVVFTSGANIDVVGINITTQVKFTDSDLDSLRQSKGRYAQFICDACKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFSFKKGVVRVETQGICVGHTLMDQGLKKWNSSNPWSGYSPISVAWTVDVDEVVNYVKNMLMKP >itb07g05310.t1 pep chromosome:ASM357664v1:7:3614430:3618530:-1 gene:itb07g05310 transcript:itb07g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MSLSISSSSSSLFSPLIPLRSETNRRPCALSFWKSKANRGMQVVAGVKRIVYGKECRQGLLIGINKLADAVSVTLGPKGRNVVLSEGDTVKVINDGVTISRAIELLDTVENAGAMLIQEVATKTNSLAGDGTTTAIVLAREMIKAGLLAASYGANPVSLKRGMERTVKELVEVLKRSSFPVKGSDDIKAVASISSGNDEFIGNLIADAIEKIGSDGVIFIESSSSTETSIIVEEGMKIDKGYMSPHFITNKEKSTIEFENARVLVTDQKISTVKEIVPLLEKAIQLSVPLLIIAEDISLNVLETLVTNKMQGVLTVAVVKCPGILHGKKALLQDIALMTGADFLCGDLGLTLEGATSDQLGIARKITITNNSTTIVADPSTKAEIQARILQIKKDLEEADNKYLSEKLSERIAKLCGGVAVIKVGAHTELELEDRKLRIEDAKHATFAAMKEGIVPGGGATFVHLSKQIPAIKSSLQDPDEQIGADIVKQALLAPAKIIAANAGVDGEVVTQKILACDSKMGFNAMNGNYEDLIASGVIDPCRVLRCGLQNAVSVASTVLTTQAIMVEKTRKPKEKGIPKIPGITPY >itb14g05100.t1 pep chromosome:ASM357664v1:14:4520156:4523288:1 gene:itb14g05100 transcript:itb14g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHSLPLCLFLLFLCLPSPSPIVAVRDSPFTPKAYLIRYWNKQISNDLPRPWFLINMASPLTAAQYAVFSKIAKADRNSLATQLPQFCSSADLLCFPDLSPNLSKHDDNANFTSYEFQNFTNYGSHSSAGAVSFKNYTVDVNLPVDTFRRYSRDSSGHTDRFSNYGSGGNVVDQSFHTYGAGTAGGAGDFAKYAENGNEPNLRFTAYSDDTNGRKQDFKSYSENANFGNQNFTSYGKKGNGAAIGFTSYGNNSNVMDSLFKNYGESGNGDVDTFTKYAFDGNNPDNTFQNYGAKGNGPVDTFNTYRQESNVGADNFKSYGKKSNAAEVGFVNYGKSYNEGTDKFSGYSDSDRVTFNGYGVNNTFKDYAKTGVTFAGYVNTTAVTAAVEAAGGKMVNNNRWVEPGKFFREKMLKSGSVMPMPDIRDKMPKRSFLPRNIATELPFSAAEIGELEKIFHAVEGSSMAKILSNTLAECERASSPGETKRCVTSVEDMIDFATVVLGRNVVVRTTETTVGAKQNIMIGSVKGINGGKLTKSVSCHQSLFPYLVYYCHSVPKVRLYEADILDPKTKDKINHGVAICHIDTSSWGPTHGAFLALGSGPGKIEVCHWIFENDMTWTVAD >itb10g24470.t1 pep chromosome:ASM357664v1:10:28277622:28279450:-1 gene:itb10g24470 transcript:itb10g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKITHDFFPFVRIYEDGSVKRMLNHKPVPPTDNPTTGVRSKDVVIVPETNVWARLYLPRTTGDDDGRKFPLLIFIHGGAFCLGSASDDNYDNFLHSLTAEANTVTLSIEYRLAPEHKLPACYDDSWSAIEWAWTQMHAKDDGGQSGAEPWLKNHADFSRVFFAGDSAGATIAHSMMVRASDEFNLKISPKPVGMILLHPFFGNHEKNKFWEFLCPDTTGPEDPLFNPAAHPGLLSKLVCSKVLVCIAEWDLIRESGNTYYEALKNSEWRGELELEYSKKRTHVFFLGKRNCDQARSLMKKIVSFINNQP >itb04g09050.t1 pep chromosome:ASM357664v1:4:8369136:8373092:1 gene:itb04g09050 transcript:itb04g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMMMVICSVASGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSASFKSAFPAPDYAFNATRSTVPQADYVWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVMQVTIESEPEKISQEAEGNNQFGLFTKQFLQRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKAETMNALEEVYRIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYTHWTHKDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPKKTDAGYPPGIGVRNSLIVLGCVNFLGMLFTLLVPESKGKSLEEMSRENGEEEESGSEMRAQVRTVPV >itb04g09050.t3 pep chromosome:ASM357664v1:4:8370354:8373041:1 gene:itb04g09050 transcript:itb04g09050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMMMVICSVASGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSASFKSAFPAPDYAFNATRSTVPQADYVWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVMQVTIESEPEKISQEAEGNNQFGLFTKQFLQRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKAETMNALEEVYRIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYTHWTHKDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPKKTDAGYPPGIGVRNSLIVLGCVNFLGMLFTLLVPESKGKSLEEMSRENGEEEESGSEMRAQVRTVPV >itb04g09050.t2 pep chromosome:ASM357664v1:4:8371020:8373039:1 gene:itb04g09050 transcript:itb04g09050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMMMVICSVASGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSASFKSAFPAPDYAFNATRSTVPQADYVWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVMQVTIESEPEKISQEAEGNNQFGLFTKQFLQRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKAETMNALEEVYRIARAQTLIALCSTVPGYWFTVALIDKMGRFAIQLMGFFFMTVFMFALAIPYTHWTHKDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPKKTDAGYPPGIGVRNSLIVLGCVNFLGMLFTLLVPESKGKSLEEMSRENGEEEESGSEMRAQVRTVPV >itb10g20990.t1 pep chromosome:ASM357664v1:10:26356342:26357707:1 gene:itb10g20990 transcript:itb10g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHEQQSKSRVVKVDSMQSWEFHLNQACNHGCPVVAHFTAIWCIPSVAMNPFVEEMATAHENVVFLTVDVDDVKEVAAKYEVKAMPTFLLLKDGAPVDKLVGANPEEIRKRVESLAQSHPIPVV >itb09g16820.t1 pep chromosome:ASM357664v1:9:11944336:11947881:-1 gene:itb09g16820 transcript:itb09g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGNCTAAGGGSVESTSGDCGGDAVCGGGRGRVVAVVGVKLDTPSKELLTWALVKIAQPGDVVIALHVLNPISDKSEMLSLVKTFDSMVAAYEGFCNLKQVDLRFKVCRGSPARKVLASEANIYNAPNLIVGTSSTHHSIRSSVSVAKYCARKVEKSISVMAVNNGKIVFHKEATVSSGQGSHVYDVLELRVNNKTLTKSPLSLSPKTVSVEDSCIQFPLSSSGNEGTSLALVPVKTMDVPESNSGSTLLRRVLLQNIKSGKHSAKTLSMWQAVQKLQNQESFKHNYLDHKQNISDKEECQSSNLDVVAAPTESTSFQIPKELECLSEKCSSTCRLFGYQELLTATSSFVPENLIGKGGSSKVYKGCLPDGKELAVKIFKPSEVVVKQFLSEIETITTLHHKHIISLLGFCIEDNNILLVYDLLSRGSLEDNLHGAQNIRSSFGWHDRYKVALGVAQALDHLHNLTTGSIIHRDVKSSNILLSDDFEPKLSDFGLATSASFSSHDLDGTDIAGTFGYLAPEYFMHGKINEKIDVYAYGVVLLELLSGRKPIDNTNAKGQESLVMWAKQILENGKPTDLLDLSLIDTYEHDEFERMVLAATLCIKRAPKLRPPINIVVKLLQGEEEAINWARQRGGNGSEEVDVESEEEDAPANIQSFLNVALQNLDSDSLSSCSSDQHISVEDYLRGRYSRSSSFD >itb03g16930.t1 pep chromosome:ASM357664v1:3:15696433:15699631:1 gene:itb03g16930 transcript:itb03g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLEGEIEIEKDLGSPTANQNPLGEPSPTPSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQKGDLVAVKQILDDIDSQMVGTLSGDEFDNEVAEIRASVVNEVNELGETALFTAADKGHLEVVKELLKYSNKETLTKKNRSLFDPLHVAASQGHHGIVQVLLDHDPELSKTLGPSNATPLITAASRGHTAVVNELLAKDCTLVEISRSNGKNALHLAARQGHVDIVKALLDKDPQLARRTDKKGQTALHMAVKGVNCEVVKLLLDADAAIVMLPDKNGNTALHVATRKKRVEIVNELLRLPDMNVNALTRDHKTALDIAEDLALSEESSEIKGCLCRYGALRANELNQPRDELRKTVTQIKKDIHTQLEQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDNDGVAVVVSHASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFIASSYIVVGRKYEWAAILVTLVGGIIMAGVLGTMTYYVVKSRRNRSMRKREKHRSVSNSWMHSEFSNSDIERIYAL >itb03g23990.t1 pep chromosome:ASM357664v1:3:22467273:22469446:1 gene:itb03g23990 transcript:itb03g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIPPPSHDCTTATTTATASTTPLLIDDSFVGKSCLLLKFVRLVTVAALQFACTDDVATNAATAERLVRAAHENDANIILIQVRRSDLNCIFTMNALVEVVLALPFISRIRSWRLQWRS >itb03g17930.t1 pep chromosome:ASM357664v1:3:16329081:16333848:-1 gene:itb03g17930 transcript:itb03g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSEAVLQVLGSGAPGLFGSDQCFRASGRGHPSGSLLHVRNKRGLKYMKMLKCSNHLYLNINNQSFMGGCRRDLHGFGTGPLRRMCYNCQKADSVSGIAAENGNGAWFVDNTKGFDTAREDTPNVFGSDTVKQLEVENEDFVSNGSLQTNGTIQGIDNGNISSPFVDEAWELLKESVVYYCGNPVGTIAAKDPTTSNVSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPMDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLGAREMLTPEDGSADLIRALNNRLVALSFHIREYYWTDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATVDQSHAILDLVETKWADLVAGMPFKICYPALEGQEWQIITGCDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPDIAARAVEVAEKRLAQDNWPEYYDTKSARFIGKQARQFQTWSIAGYLVSKQLLSNPSAAKILITEEDSELANAFSCAISSNPRKKKRGPKSSQKTYII >itb03g17930.t2 pep chromosome:ASM357664v1:3:16329081:16333807:-1 gene:itb03g17930 transcript:itb03g17930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSEAVLQVLGSGAPGLFGSDQCFRASGRGHPSGSLLHVRNKRGLKYMKMLKCSNHLYLNINNQSFMGGCRRDLHGFGTGPLRRMCYNCQKADSVSGIAAENGNGAWFVDNTKGFDTAREDTPNVFGSDTVKQLEVENEDFVSNGSLQTNGTIQGIDNGNISSPFVDEAWELLKESVVYYCGNPVGTIAAKDPTTSNVSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPMDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLGAREMLTPEDGSADLIRALNNRLVALSFHIREYYWTDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATVDQSHAILDLVETKWADLVAGMPFKICYPALEGQEWQIITGCDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPDIAARAVEVAEKRLAQDNWPEYYDTKSARFIGKQARQFQTWSIAGYLVSKQLLSNPSAAKILITEEDSELANAFSCAISSNPRKKKRGPKSSQKTYII >itb02g25610.t1 pep chromosome:ASM357664v1:2:26375442:26379286:-1 gene:itb02g25610 transcript:itb02g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDSPLPSNVSMLNIGSRYSLSKFPKLSRCSSPSLASKPSTASLLCFRQLVATMAPHPAFTAAEMAVDEGLGYPKAYAKLCKDPSLSPPFTFVPSAPKATRAKELDEMFPIVDPNAKPAIKPKMFVSLLWKQLNHLGNAGFDPDMFRIDPYGNVLYYHADSASPLAWGIDHWFPISRGGLTVPRNLRILQWQVCKKKHNQLEFLIPWWDLQVGISINQFLSIFASSNSDFRHRAFSCLFSEGESEELNTSQTGIGCVAPAAVVLARRESRDTSSALKSLDINRRPRSCIPIIASKKLNSDLKENEEPGTGSNPYEAIVIVRDSLRQWEETAKMQAEIQKLDDEETKLKQKAEEAEMVSVEDLELILMKKRRHAEKCRKLAEAQTSYKAMLDKMIHDAMHQSVVYEEQVRLNQVASKSLMARLEAQRAICNSAEKELHYKYKQRDELEKQVRPDCEQPRKRSRLDDFLEENNVFYSPVRTQLQMEMDDALAEKDGNMMLCLPGRDSKDSVHKELRAFLMEEQKASLPARQLSAIEEQEAVYGISTEEPEEHGKAIVVEKNENRVEEILENLEMQDGTPCKFQFPDFKESEREEEDDEESRKQRGKGNVEKWVQMLLEENNAAHETAEIDKVCRTDEIIEKLNLKYPHIEIKSSSIQEPNQQLGVENESNTDEMKEPSLQQTSPDNAVCKDTFAKEALKHSQQTPSRKPPYRVWPRKSNVDESKEVRHSDAFDDEHERKSERGRKLTTRSESGGGAVRRIPSSPSLILSGMKKPSVTSGSDADEDRAAGNSNFIKSSIKTIIKAVKI >itb03g16220.t1 pep chromosome:ASM357664v1:3:15252314:15254481:1 gene:itb03g16220 transcript:itb03g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSTYWCYRCNRFVRVWSQGSVACPDCNSGFVEEIDNSNRSSALDSQRRRFPSSAMFMMRNLDRSSGSGSGSSPRLRRSRRNGWDRSPFNPVIVLRGPSDGGGEGGGGGRGFELYYDDEAGAGLRPLPASMSEFLLGSGFDRLLDQLTQIEANGIGGIENPPASKAAIESMPTIEIADSHISTESHCAVCTEPFEVGNDAREMPCKHLFHSDCILPWLSMRNSCPVCRHELPPDTRNPSDSIRPSSDPQNDEETVGLTIWRLPGGGFAVGRFSGTRRGGEREFPLVYTEMDGGFNNNGVPRRIPWGSRGSQSQQNGGFRRAFFNLFACFGRVGSSHSNSSLGSRITRRSSSSLSTRRRRGWSFGANDEPQRW >itb03g30390.t1 pep chromosome:ASM357664v1:3:32540711:32542377:1 gene:itb03g30390 transcript:itb03g30390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLALSGFFEKEDVSERKIRFKSNLSPKELLVRIENTVINMGFQVQRKNGKLKVTQKQHKVQKCPGILSVAAESYGNPMETLPCIDSYGASTVIGEVSDLNLHAEFGTLIRCSSMKMAMVWHEVWAVSF >itb10g22490.t1 pep chromosome:ASM357664v1:10:27321545:27324309:-1 gene:itb10g22490 transcript:itb10g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDASKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGVVGYVKTPRGLRCLNTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIEAQLEKMKKYACVIRVLVHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDYAYGFFEKHVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHAALTEFDRTEKDITPMGGFPHYGVVKDDYILIKGCCVGPKKRVVTLRQTLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKVYGRLKA >itb06g13000.t1 pep chromosome:ASM357664v1:6:17587309:17591524:-1 gene:itb06g13000 transcript:itb06g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERVKAFFNDRWLVFVASMWVQSCSGIGYLFGSISPVIKTAMGYNQRQVAMLGVAKDLGDAIGFLPGVLCEVMPIWVVLFIGVLQNFFGYGLVWLIVAHKIPELPLWLLCILIFVGTNGETYFNTGALVSSVQNFPKSRGPIVGILKGFAGLSGAILTQLYAMINFPDQSSLVFMVAVGPSIVITALMFFVRPVGGHRQARPSDHSSFLFTYSICLILAAYLLAVLLLQDLASVSQNLITVLTIVLIVLILLPIIIPTILVFFPGSRSQLEESLLTGSEKQEPSKSEQDTKEVIFSELEEEKPPEVDTLPPPERQKRIAHLQAKLYQAAAEGAVRIKRRKGPRRGEDFTLLQALVKADFWLIFISLVLASGSGLTVIDNLGQMSESLGYANTHVFVSMISIFNFLGRVAGGYFSENIVRNYAYPRPVAMAAVQIVMAFVLFFYSMGWPGAIYVLSVMIGLCYGAHWAIVPAAISELFGLKSFGALYNFLTLASPAGSLVFSGVIASGIYDYQAKQQQELTRGSNLLADDEVLTCTGNICYSLTCGIMSALCIIAFVLSLIVVYRTKRVYAQLYNKAGN >itb01g30500.t1 pep chromosome:ASM357664v1:1:34504851:34506915:-1 gene:itb01g30500 transcript:itb01g30500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVWCFLIPLFFLQAPHLGNGGTTSTYVRKGEPAEELSVESFPPPQGHNAPEQVHITQGDHEGRGVIISWVTPEEKHPNWVRYWKASVQGSNGKEAATPIKRRRSFAYSSSVYKFYTYTSGFIHHAVIKDLEFNTTYKYEVGNGNVNRQFSFTTPPKPGPDVPYTFGIIGDLGQTKASNQTLEHYLANPKGQAMLFIGDLSYANDHPNHNNVKWDTWGRFIEKSAAYQPWIWTAGNHELDYAPYLGETIPFKPYMERFHVPYTESQSTSPLWYSIKRASAYIIVLSSYSAYGKYTPQYSWLEQELPKVNRSETPWLFVLVHSPWYNSNNYHYMEGETMRVQFEPWFVKHKVDIVFAGHVHSYERSERVSNIAYNITNGKSYPKRDLSAPIYITIGDGGNIEGIADNFSIPQPDYSAYREASFGHAVLEIKNWSHAYYTWHRNQDDAVVAGDATWIYNRYWLPLDESRHH >itb06g25840.t2 pep chromosome:ASM357664v1:6:26724883:26729435:-1 gene:itb06g25840 transcript:itb06g25840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIGGRVEKVNGKELSYVEFAEKYMGRNQPVVLTGLMDDWRACRDWVTDGGKPNLQFFSTHFGTSKVQASTVAECGTREFTDQRRIEMTVAEFINCAQLSESTDGVQSFFYLKDWHFVKEYPEYVAYKTPLHFCDDWLNLYLDKYRMHNDPDTYNEGNEISCSDYRFVYIGSKGTWTPLHADVFRSYSWSANVCGRKKWYFLPPSQHHLVYDRNMKNSVYNIFADVNEVKFPGFKKAIWWECTQEQNELIFVPSGWYHQVENLEDTISINHNWFNAYNLSCVWDLLLRDYLEAQECIEDLKGCDDFEELCQRNLAANTGLNIYDFFVFMVRFALSNMVQLYNLTTEDKDCSSRSFQMGRHLVLNLKCIRSIALKMKSTAMDVKHGILTDRRKELEDELFGELCTCLGKTYGLIHEQSQVDHNIDKVLLKYLESNFHLSGCPVSDSEDLATLIDNGLMKLGGCFID >itb06g25840.t1 pep chromosome:ASM357664v1:6:26724883:26729548:-1 gene:itb06g25840 transcript:itb06g25840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIGGRVEKVNGKELSYVEFAEKYMGRNQPVVLTGLMDDWRACRDWVTDGGKPNLQFFSTHFGTSKVQVAECGTREFTDQRRIEMTVAEFINCAQLSESTDGVQSFFYLKDWHFVKEYPEYVAYKTPLHFCDDWLNLYLDKYRMHNDPDTYNEGNEISCSDYRFVYIGSKGTWTPLHADVFRSYSWSANVCGRKKWYFLPPSQHHLVYDRNMKNSVYNIFADVNEVKFPGFKKAIWWECTQEQNELIFVPSGWYHQVENLEDTISINHNWFNAYNLSCVWDLLLRDYLEAQECIEDLKGCDDFEELCQRNLAANTGLNIYDFFVFMVRFALSNMVQLYNLTTEDKDCSSRSFQMGRHLVLNLKCIRSIALKMKSTAMDVKHGILTDRRKELEDELFGELCTCLGKTYGLIHEQSQVDHNIDKVLLKYLESNFHLSGCPVSDSEDLATLIDNGLMKLGGCFID >itb02g10460.t2 pep chromosome:ASM357664v1:2:6753299:6761311:-1 gene:itb02g10460 transcript:itb02g10460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSQSTSVERKTQDHKVGNFRTKKKHKRLDAICDRAYTQSHSAIESKELDESSNAGGDELELRRSTRVRKAPVLLDSSPPPPKKRKKVDKRGGVNGVKREEDDDQFEMPCSTSRDLLEDTGGWRTRLRSRSTNASSVSRGKGESSPACRRKLVENFSKFKSKSKMEAEPFDDGEERQVRGELTIVKSKRPGRIKASNAFESEHLDIDLGGSTEDDEDLVIMEEMSQQMDEEDDKLIIEEDVPEEMNQEKENSLNKEEVLEEVNEEEDLPQNDESDKGVENGNTPSPTIYKEEDKVEMCLQSKQCVSSDNVESMEQDMPIEKHVCGSGDQRNALEVDFVIVDENVKDDANGDEDKQAKIGYLEKAKDEVDCSIFYQRKGKSSREPLANERTIDVSHVTRKRKIKEGRHCGLCGGGTDGKPPRKLVQDGVLSDNEAHSESSTSEEPNYDVWDGFGDEPSWLGRLLGPINDRFGIAGIWVHQQCAVWSPEVYFAGLGCMKNVRAALSRGRVLKCSRCGRPGATIGCRVDRCPRTYHLPCARATGCIFDHRKFLIACTDHRHLFQPHGSKYLHRLRKMKVKKMKLELRKTSNDALRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLLRISPVYIGGSNSDTEVQFQGWESIAGLQDVIQCMKEVVILPLLYPEFFSSLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVKDREAILSLHTKKWPKPVSGPLLKWIAKKTVGFAGADLQALCTQAVIVALKRSFPLHQYLSAASKKGPDVKCSPLPIFTVEEQDWLEALTHAPPPCSRREAGMTVNDVVSAPLHTFLFPCLSQPLCKLLVSLYLDERLYLPDRLSKAASLVKDVFISALDKKKALTGNWWLYIQDLLKEPDVYCKVEDHLSRASILVRDSNIGTSAILEENIDDDHSTFGHSKFHHMGARASLVQNISGKKSGFRLLVSGNPRCGQRHLASCLLHCFVGNTDIQKIDLATISQEGHGDIIQGLTWILMRCASVGNCMIYMPRLDLWAVETNYQVCEAQGVSLSVKPQSFEEKSLKEISNGDNYPVANMTEVESRRELREVSYVWNSFVEQVETLGVSTSLTILATSEMPFQELPFRIRQYFKGQKLDQSLSTPLGDSMPVMPQFSVQLDESFNVDLVVDLFAARLCNDLSQHFIQLIYHANHVHMVPQNGKDYDETMENIGSTCPDTKAGTAIEHEGKQCSINPITPIAPNNKHGKGKSNLLSAITTFGYQILRYPHFAELCWVTSKLKDGPSFDLNGHWKSWPFNSCILRPNNSVKEVSLSTSNMKSKENSGIVRGLIAIGLSAYTGKYAFLREVSSDVRKVLELLVAEINDKVQGGKDRYQFSRLLSQVAYLDDMFSSWLYMLQSLEVDTQRSGPEANSAVNCVRHLEESNPSKNTSLEGDETLHDARIVEGSAFELNAENDRHSGLEGEGDQDVSGTVVASQSMDMDPSTLVENVTSTIGLNTKNVEHSGVQVEGNSGDQDEVCVVAVGEDEASQLVDMERSPTVGHTSISLKNGVTLESSTATVSVSHEGEDCGSKIHSNGHAEQKSIDIQDEEDGPCGPDGRFGTEISVHRKVVFNLENGLSLPENNLPLEDDKRKPHDGVACCSNKATDLPKASGLVCFFGCCTGCLEKLHHLLREVLRYEWGLKGKECKLEDVYDFVAALSAKFHSSLRMWLVTENCSSFDKKGEAEFEHKDRVENSDKRLKMMECSRHSSVNREVDGCESSENGDGDGDGRAYIFRDGVLTNLERCKDGCFHCEIKKLCLHSLIEWIEGGERAIN >itb02g10460.t1 pep chromosome:ASM357664v1:2:6753299:6761350:-1 gene:itb02g10460 transcript:itb02g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSQSTSVERKTQDHKVGNFRTKKKHKRLDAICDRAYTQSHSAIESKELDESSNAGGDELELRRSTRVRKAPVLLDSSPPPPKKRKKVDKRGGVNGVKREEDDDQFEMPCSTSRDLLEDTGGWRTRLRSRSTNASSVSRGKGESSPACRRKLVENFSKFKSKSKMEAEPFDDGEERQVRGELTIVKSKRPGRIKASNAFESEHLDIDLGGSTEDDEDLVIMEEMSQQMDEEDDKLIIEEDVPEEMNQEKENSLNKEEVLEEVNEEEDLPQNDESDKGVENGNTPSPTIYKEEDKVEMCLQSKQCVSSDNVESMEQDMPIEKHVCGSGDQRNALEVDFVIVDENVKDDANGDEDKQAKIGYLEKAKDEVDCSIFYQRKGKSSREPLANERTIDVSHVTRKRKIKEGRHCGLCGGGTDGKPPRKLVQDGVLSDNEAHSESSTSEEPNYDVWDGFGDEPSWLGRLLGPINDRFGIAGIWVHQQCAVWSPEVYFAGLGCMKNVRAALSRGRVLKCSRCGRPGATIGCRVDRCPRTYHLPCARATGCIFDHRKFLIACTDHRHLFQPHGSKYLHRLRKMKVKKMKLELRKTSNDALRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLLRISPVYIGGSNSDTEVQFQGWESIAGLQDVIQCMKEVVILPLLYPEFFSSLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVKDREAILSLHTKKWPKPVSGPLLKWIAKKTVGFAGADLQALCTQAVIVALKRSFPLHQYLSAASKKGPDVKCSPLPIFTVEEQDWLEALTHAPPPCSRREAGMTVNDVVSAPLHTFLFPCLSQPLCKLLVSLYLDERLYLPDRLSKAASLVKDVFISALDKKKALTGNWWLYIQDLLKEPDVYCKVEDHLSRASILVRDSNIGTSAILEENIDDDHSTFGHSKFHHMGARASLVQNISGKKSGFRLLVSGNPRCGQRHLASCLLHCFVGNTDIQKIDLATISQEGHGDIIQGLTWILMRCASVGNCMIYMPRLDLWAVETNYQVCEAQGVSLSVKPQSFEEKSLKEISNGDNYPVANMTEVESRRELREVSYVWNSFVEQVETLGVSTSLTILATSEMPFQELPFRIRQYFKGQKLDQSLSTPLGDSMPVMPQFSVQLDESFNVDLVVDLFAARLCNDLSQHFIQLIYHANHVHMVPQNGKDYDETMENIGSTCPDTKAGTAIEHEGKQCSINPITPIAPNNKHGKGKSNLLSAITTFGYQILRYPHFAELCWVTSKLKDGPSFDLNGHWKSWPFNSCILRPNNSVKEVSLSTSNMKSKENSGIVRGLIAIGLSAYTGKYAFLREVSSDVRKVLELLVAEINDKVQGGKDRYQFSRLLSQVAYLDDMFSSWLYMLQSLEVDTQRSGPEANSAVNCVRHLEESNPSKNTSLEGDETLHDARIVEGSAFELNAENDRHSGLEGEGDQDVSGTVVASQSMDMDPSTLVENVTSTIGLNTKNVEHSGVQVEGNSGDQDEVCVVAVGEDEASQLVDMERSPTVGHTSISLKNGVTLESSTATVSVSHEGEDCGSKIHSNGHAEQKSIDIQDEEDGPCGPDGRFGTEISVHRKVVFNLENGLSLPENNLPLEDDKRKPHDGVACCSNKATDLPKASGLVCFFGCCTGCLEKLHHLLREVLRYEWGLKGKECKLEDVYDFVAALSAKFHSSLRMWLVTENCSSFDKKGEAEFEHKDRVENSDKRLKMMECSRHSSVNREVDGCESSENGDGDGDGRAYIFRDGVLTNLERCKDGCFHCEIKKLCLHSLIEWIEGGERAIN >itb06g24530.t2 pep chromosome:ASM357664v1:6:26071983:26075298:1 gene:itb06g24530 transcript:itb06g24530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFSLKGLSKITRNLPNPSETFTLPPHHHLFSHFNTSCSRPHSWTAPNLKSYHGLFSSSLPKNPVLRNALLKSGQSNAVAETQNWLLRFRLPRRNFRSDFSSFSNRYTRGSWFQGLTPDGVVIGLILSNVAVFLLWRVADTGFMLRNFTISVDNFTSGRLHTLVTSAFSQADQWHLISNMVGLYFFGSSIGRTFGSEYVLKLYLSGAVLGSVFFLVYHAFIAPSMQAQRSRIPTLDSSRVPGMGASGAVNAIMLLDIFLFPKKTLYFDFIIPVPAILLGIFLIGKDVLRILEVRSSCTRFLFMLY >itb06g24530.t1 pep chromosome:ASM357664v1:6:26071983:26075654:1 gene:itb06g24530 transcript:itb06g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFSLKGLSKITRNLPNPSETFTLPPHHHLFSHFNTSCSRPHSWTAPNLKSYHGLFSSSLPKNPVLRNALLKSGQSNAVAETQNWLLRFRLPRRNFRSDFSSFSNRYTRGSWFQGLTPDGVVIGLILSNVAVFLLWRVADTGFMLRNFTISVDNFTSGRLHTLVTSAFSQADQWHLISNMVGLYFFGSSIGRTFGSEYVLKLYLSGAVLGSVFFLVYHAFIAPSMQAQRSRIPTLDSSRVPGMGASGAVNAIMLLDIFLFPKKTLYFDFIIPVPAILLGIFLIGKDVLRILEGDHRVSGSAHLGGAFAAAIAWARMRRGRFRF >itb02g01230.t2 pep chromosome:ASM357664v1:2:673732:677768:-1 gene:itb02g01230 transcript:itb02g01230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYVQEQEMEIEALEAILMDDFKEIHPGESGLSTSSRCFQIAISPQEEEADEPTNSSVRLALIFSHTEKYPDEPPLLNVQSLKGIHSGDLKILKEKLEQEATENLGMAMIYTLVTSAKEWLSELFAQDGDDENAGEDEVAKDEVIVPHGEPVTVETFTAWRERYEAELALERAK >itb02g01230.t3 pep chromosome:ASM357664v1:2:673209:677828:-1 gene:itb02g01230 transcript:itb02g01230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYVQEQEMEIEALEAILMDDFKEIHPGESGLSTSSRCFQIAISPQEEEADEPTNSSVRLALIFSHTEKYPDEPPLLNVQSLKGIHSGDLKILKEKLEQEATENLGMAMIYTLVTSAKEWLSELFAQDGDDENAGEDEVAKDEVIVPHGEPVTVETFTAWRERYEAELALERAKLMPDSALSAPKEKRLSGRQWFESGRASSVFFIFFCFPPSNKPFSNYYIKPDPKK >itb02g01230.t1 pep chromosome:ASM357664v1:2:673209:677828:-1 gene:itb02g01230 transcript:itb02g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYVQEQEMEIEALEAILMDDFKEIHPGESGLSTSSRCFQIAISPQEEEADEPTNSSVRLALIFSHTEKYPDEPPLLNVQSLKGIHSGDLKILKEKLEQEATENLGMAMIYTLVTSAKEWLSELFAQDGDDENAGEDEVAKDEVIVPHGEPVTVETFTAWRERYEAELALERAKLMPDSALSAPKEKRLSGRQWFESGRASSKGATAVAEESDEGEEDIDFDDEDFEDDEDDMLEHYLAEKSVSSSHS >itb15g10740.t3 pep chromosome:ASM357664v1:15:8431708:8447874:-1 gene:itb15g10740 transcript:itb15g10740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPGGRGLSFSLMACFAAALFLQVFFSVSKVTAQTSNGERHSEGYCAMYDICGHRSDGKVLNCPFGSPSVKPDDLLSSKIQSLCPTITGNVCCTEAQFDTLRSQVQQVIPFLVGCPACLRNFLNLFCELTCSPNQSQFINVTSVLKVMSNFTVNGIDYVITDTFGEGLYESCKEVKFGTMNTRAIEFIGAGAKNFREWYTFIGRRAAPGAPGSPYAINFRSTPDSSEMKPMNVSTYSCGDTSLGCSCGDCPSSSVCSNVAPSPAQKKGSCSVRFGSLKARCIEVAVAIIYVILISVFLGWGFLHSRKERTPASRTKPLVNAPDAGVIHQVNGKKDENVSMQMLEDVPQISSGVQLSLVQGYLSKFYRKYGTWVARNPALVFCSSLIIVLVLCLGLFRFRVETRPEKLWVGHGSRAAEEKEFFDSHLAPFYRIEQLIIAVNPDMKNGKPSPIVTEDNIKLLFDIQRKVDAIKANYSGSVISLTDICLKPLGKDCATQSILQYFKMNPANFDDYGGIDHIQYCFEHYTSSEHCMSAFKAPLDPSTSLGGFSGKNYSEASAFIITYPVNNAIDKESNETKRALAWEKAFIQLLKDEILPMVQAKNLTLAFSSESSIEEELKRESTADAITILISYLVMFAYISLALGDTPQFSSCYISSKVLLGLSGVVLVMLSVLGSVGFFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQAVELPLEGRISNALGEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVYDFLRAEDNRIDCIPCVKVSGSNSDPDRGSHQRKPGLLVRYMKEIHAPILSLWGVKVLVISVFAALVMMSIALCTRIDPGLEQQIVLPRDSYLQGYFSNISEYLRIGPPLYFVVKNYNYSSESGQTNQLCSISHCNSDSLLNEITRESLKPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSFCPPDDQPPCSSSDGSSGLTGICKDCTTCFHHSDLANDRPTTAQFKEKLPWFLSALPSGDCAKGGNGAYTSNVDLKGYENGVIQASAFRSYHTPLNKQVDFVNSMRAARDFSSRVSDTLKMEVFPYAVFYMFFEQYLNIWKTALISLAIAIGAVFLVCLIITCSFWTSAMILLVLAMIVLDIMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHITHAFLVASGDRNQRMKEALTTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVILGFLHGLVLLPVREQAPFYF >itb15g10740.t1 pep chromosome:ASM357664v1:15:8431708:8447874:-1 gene:itb15g10740 transcript:itb15g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPGGRGLSFSLMACFAAALFLQVFFSVSKVTAQTSNGERHSEGYCAMYDICGHRSDGKVLNCPFGSPSVKPDDLLSSKIQSLCPTITGNVCCTEAQFDTLRSQVQQVIPFLVGCPACLRNFLNLFCELTCSPNQSQFINVTSVLKVMSNFTVNGIDYVITDTFGEGLYESCKEVKFGTMNTRAIEFIGAGAKNFREWYTFIGRRAAPGAPGSPYAINFRSTPDSSEMKPMNVSTYSCGDTSLGCSCGDCPSSSVCSNVAPSPAQKKGSCSVRFGSLKARCIEVAVAIIYVILISVFLGWGFLHSRKERTPASRTKPLVNAPDAGVIHQVNGKKDENVSMQMLEDVPQISSGVQLSLVQGYLSKFYRKYGTWVARNPALVFCSSLIIVLVLCLGLFRFRVETRPEKLWVGHGSRAAEEKEFFDSHLAPFYRIEQLIIAVNPDMKNGKPSPIVTEDNIKLLFDIQRKVDAIKANYSGSVISLTDICLKPLGKDCATQSILQYFKMNPANFDDYGGIDHIQYCFEHYTSSEHCMSAFKAPLDPSTSLGGFSGKNYSEASAFIITYPVNNAIDKESNETKRALAWEKAFIQLLKDEILPMVQAKNLTLAFSSESSIEEELKRESTADAITILISYLVMFAYISLALGDTPQFSSCYISSKVLLGLSGVVLVMLSVLGSVGFFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQAVELPLEGRISNALGEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVYDFLRAEDNRIDCIPCVKVSGSNSDPDRGSHQRKPGLLVRYMKEIHAPILSLWGVKVLVISVFAALVMMSIALCTRIDPGLEQQIVLPRDSYLQGYFSNISEYLRIGPPLYFVVKNYNYSSESGQTNQLCSISHCNSDSLLNEITRESLKPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSFCPPDDQPPCSSSDGSSGLTGICKDCTTCFHHSDLANDRPTTAQFKEKLPWFLSALPSGDCAKGGNGAYTSNVDLKGYENGVIQASAFRSYHTPLNKQVDFVNSMRAARDFSSRVSDTLKMEVFPYAVFYMFFEQYLNIWKTALISLAIAIGAVFLVCLIITCSFWTSAMILLVLAMIVLDIMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHITHAFLVASGDRNQRMKEALTTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVILGFLHGLVLLPVLLSMFGPPSRCVLVEKQESHPSTSSQI >itb15g10740.t2 pep chromosome:ASM357664v1:15:8431668:8447874:-1 gene:itb15g10740 transcript:itb15g10740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPGGRGLSFSLMACFAAALFLQVFFSVSKVTAQTSNGERHSEGYCAMYDICGHRSDGKVLNCPFGSPSVKPDDLLSSKIQSLCPTITGNVCCTEAQFDTLRSQVQQVIPFLVGCPACLRNFLNLFCELTCSPNQSQFINVTSVLKVMSNFTVNGIDYVITDTFGEGLYESCKEVKFGTMNTRAIEFIGAGAKNFREWYTFIGRRAAPGAPGSPYAINFRSTPDSSEMKPMNVSTYSCGDTSLGCSCGDCPSSSVCSNVAPSPAQKKGSCSVRFGSLKARCIEVAVAIIYVILISVFLGWGFLHSRKERTPASRTKPLVNAPDAGVIHQVNGKKDENVSMQMLEDVPQISSGVQLSLVQGYLSKFYRKYGTWVARNPALVFCSSLIIVLVLCLGLFRFRVETRPEKLWVGHGSRAAEEKEFFDSHLAPFYRIEQLIIAVNPDMKNGKPSPIVTEDNIKLLFDIQRKVDAIKANYSGSVISLTDICLKPLGKDCATQSILQYFKMNPANFDDYGGIDHIQYCFEHYTSSEHCMSAFKAPLDPSTSLGGFSGKNYSEASAFIITYPVNNAIDKESNETKRALAWEKAFIQLLKDEILPMVQAKNLTLAFSSESSIEEELKRESTADAITILISYLVMFAYISLALGDTPQFSSCYISSKVLLGLSGVVLVMLSVLGSVGFFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQAVELPLEGRISNALGEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVYDFLRAEDNRIDCIPCVKVSGSNSDPDRGSHQRKPGLLVRYMKEIHAPILSLWGVKVLVISVFAALVMMSIALCTRIDPGLEQQIVLPRDSYLQGYFSNISEYLRIGPPLYFVVKNYNYSSESGQTNQLCSISHCNSDSLLNEITRESLKPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSFCPPDDQPPCSSSDGSSGLTGICKDCTTCFHHSDLANDRPTTAQFKEKLPWFLSALPSGDCAKGGNGAYTSNVDLKGYENGVIQASAFRSYHTPLNKQVDFVNSMRAARDFSSRVSDTLKMEVFPYAVFYMFFEQYLNIWKTALISLAIAIGAVFLVCLIITCRLFYLIVLLCYIPHCSLKLPFTGLFLYLIYSFWTSAMILLVLAMIVLDIMGVMAILNIQLNAVSVVNLVMSVGIAVEFCVHITHAFLVASGDRNQRMKEALTTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVILGFLHGLVLLPVLLSMFGPPSRCVLVEKQESHPSTSSQI >itb12g01310.t1 pep chromosome:ASM357664v1:12:932717:933422:-1 gene:itb12g01310 transcript:itb12g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLLVVSLVLALAGYAAAQAEGPAAAAASGGAASGGKEEVSDVKNNAVVQNLGRKSVMEFNKHLHVKRNPENEAKRLVFTEVIKAEKQVVSGVKYYLTINVTTSDGQTKTFESEMWVKPDETHEMLAFAPAAAPAAAA >itb06g12710.t1 pep chromosome:ASM357664v1:6:17307982:17309727:-1 gene:itb06g12710 transcript:itb06g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKVTSCSKLRSFPSGANGPEMHRTVILPLLGGGGYRCSVKPASRGALRSKDREASAFAKTPLPYSQLGTGRPDDSTPSPAFRASPIVPPS >itb14g03600.t1 pep chromosome:ASM357664v1:14:3229008:3230450:1 gene:itb14g03600 transcript:itb14g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRSCFVSRDYHRERSWACMSFRLDANGKRPAEDDQEDGRVRKFPKQSDTRGRVDTSLTLGGNSSASEADQAGCDYEADDGMQMTVAQGGGDQHSDGVNSETGAQGGDMVADQAGDQHCDGVNSDTSCLIPGIGRDNSISCLIRCSRSAYGMAASVNRSFRSLIRSGELYKLRRMNGIIEHWVYFSCNMLEWEAFDPNLRRWMRLPTMNSNECFVFSDKESLAVGTELLVFGKEYMAQVIYRYSLLTNSWSTGMQMNEPRCLFGSACQGEIAIMAGGCDLQGKILSSAELYNSETGEWKLLPSMNKARKMCSAVFMDGKFYVIGGIGGPETKLLTSGEEYNLETETWTEIPNMCPPRTGETRGNALPTTSEAPPLIAVVNDQLYAADYAEMVVRKYDKDNRVWFTIGRLPERANSMNGWGLAFRACGDKLIVIGGPRASGPGFIEVNSWVPSEGPPEWHLLGRKQSGSFVYNCAVMGC >itb13g00450.t1 pep chromosome:ASM357664v1:13:345633:347917:1 gene:itb13g00450 transcript:itb13g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVPALVAALVATLLSLTFLYNLLFHQGSNQQKPPEAGGAWPIIGHLHLLTGNRRPFKVLTEMADTYGPIFQLRLGAHKVLVVSDSQIAKECFTTNDRALASRPEALATEIMGYNYAMFGLAPYGQYWRHVRKVVVLELLSNRRLDALRRVWESGVKSFTQDIYRSWVRDKNNESEDVIKLDMTEWFGKLIMGVIMQMLFGQRYEEEGSRAAVTVRRFIDLLGASVVGDYLPWLRWLDIGGHEKAMKETVKEMDSLMDGWIQEHKRKRNTKSKEEEDFMDGLLSNFHGDGDDKDIPENFEADTIVKATCMGMLSAATDTMITTLTWALSLVLNNYSVLKNIQVELDIYVGKERRVNQFDLNKLTYLRAVVKETLRLYPPAPLLLPHESTDDCVVNGYNIRKGTRILVNVSKIHRDPEIWSDPNTFRPERFLMNEHKEIDVRGNHFELIPFGSGRRICPGVSLSLQVVELSLASLIHGFDLKRISDELIDMTESAGIVNVKATPLYVFLSPSLPSHLYN >itb12g17060.t1 pep chromosome:ASM357664v1:12:18912200:18912687:-1 gene:itb12g17060 transcript:itb12g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGATTWSLLGPMTEEMEEVVSPRANDGGDGGSETAAPSLGVRPRVDGGVVYNGSAFAVNNGSSFQNLPTMEMQAEAEPKKRALSGHYSF >itb12g01090.t1 pep chromosome:ASM357664v1:12:757161:761646:1 gene:itb12g01090 transcript:itb12g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRNGGEEKKVEATSAKADAEPLPEPKSSGLEADPGQNPDPVADLGPQPENSSDANENHSEIGSGKSANAVNRSKKSVRWSQELVSESPIPQTMPSDEQGSNPYGGYSSASANNNSSSSNFKDTMETVKDVLGRWRKKVGEATKKAEDLAENTWQHLKTGPSFTDAALGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQDSFACYLSTSAGPVMGVLYVSTAKLAFCSDSPLSYKVGDKTEWSYYKVVIPLHQLKAVNPSTSRSNPAEKYIQVISVDTHEFWFMGFLNYNGSVQCLQDALDAHNMHSV >itb12g01090.t3 pep chromosome:ASM357664v1:12:757180:760574:1 gene:itb12g01090 transcript:itb12g01090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRNGGEEKKVEATSAKADAEPLPEPKSSGLEADPGQNPDPVADLGPQPENSSDANENHSEIGSGKSANAVNRSKKSVRWSQELVSESPIPQTMPSDEQGSNPYGGYSSASANNNSSSSNFKDTMETVKDVLGRWRKKVGEATKKAEDLAENTWQHLKTGPSFTDAALGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQDSFACYLSTSAGPVMGVLYVSTAKLAFCSDSPLSYKVGDKTEWSYYKVCFDLPLSI >itb12g01090.t2 pep chromosome:ASM357664v1:12:757161:761646:1 gene:itb12g01090 transcript:itb12g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRNGGEEKKVEATSAKADAEPLPEPKSSGLEADPGQNPDPVADLGPQPENSSDANENHSEIGSGKSANAVNRSKKSVRWSQELVSESPIPQTMPSDEQGSNPYGGYSSASANNNSSSSNFKDTMETVKDVLGRWRKKVGEATKKAEDLAENTWQHLKTGPSFTDAALGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQDSFACYLSTSAGPVMGVLYVSTAKLAFCSDSPLSYKVGDKTEWSYYKVVIPLHQLKAVNPSTSRSNPAEKYIQVISVDTHEFWFMGFLNYNGSVQCLQDALDAHNMHSV >itb11g07140.t1 pep chromosome:ASM357664v1:11:4329723:4343607:-1 gene:itb11g07140 transcript:itb11g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEDEGRVGGGHQEKHEDEETVARLEEFKKSIEAKMILRQSNFNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMDELRSVNLSKFVSEAVTAICDAKLRTVDIQATVQICSLLHQRYKDFSPSLVQGLMKVFFPGKSTDDLDSDRNSRAMKKRSTLKLLLELYFVGVVDDCSIFVNIIKDLTSTEHLKDRDATQTNLSLLASFARQGRYLLGLPLTDQDILEEFFKGLSVTTELKKFFRKAFQTYYDAAVELLQSEHASLRQMEHENAKILAAKGELSEENASSYEKLRKAYDQLYRGISALAEALDMQPPVMPEDGHTTRVTSVEDISSGGVKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGETEPKLSEQTPKAQEQPTELALDSDQGQSQTAAKDIAEGSADSSVTVEDKIDKVKDKDEKDKEKTKEGDKDKVKEKESERKVDSEKDKVKGLEGTNLDGLLQRLPNCVSRDLIDQLTVEFCYVNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNNLINKKDQMNIESKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHITLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEDYILKCFMKVHKGKYSQIHLIASLTASLSRYHEEFSIGVVDEVLEEIRVGLELNDFGMQQRRIAHMRFVGELYNYEMVDSSVIFDTLYLILVFGHGTTEQDTLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYILGKGVLPLDIEFDLQDLFAELRPNMTRYASIEEANAALLELDEHERTVSTEKANSEKHSDRDRSSSRATSDIPANGQSLTNGTEENGELHEEMMGDSESDSGSHTTEHPGRDDDDEFDEENRDDGSETEDEYDDGDGPASDEDDEVHVRSKVAEVDPLEEADFERELRALMQESLDSRKLELRTRPTINMAIPMNVFEGAAKDHHRGVEGESGDETLDEEAGGSKEVRVKVLVKRGNKQQTKQMLIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQAQSWMQSSSGSRASNRGGNSSWDHSRSGGRHRYLHHSGGGLYYGRRR >itb11g07140.t2 pep chromosome:ASM357664v1:11:4329723:4340128:-1 gene:itb11g07140 transcript:itb11g07140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEDEGRVGGGHQEKHEDEETVARLEEFKKSIEAKMILRQSNFNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMDELRSVNLSKFVSEAVTAICDAKLRTVDIQATVQICSLLHQRYKDFSPSLVQGLMKVFFPGKSTDDLDSDRNSRAMKKRSTLKLLLELYFVGVVDDCSIFVNIIKDLTSTEHLKDRDATQTNLSLLASFARQGRYLLGLPLTDQDILEEFFKGLSVTTELKKFFRKAFQTYYDAAVELLQSEHASLRQMEHENAKILAAKGELSEENASSYEKLRKAYDQLYRGISALAEALDMQPPVMPEDGHTTRVTSVEDISSGGVKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGETEPKLSEQTPKAQEQPTELALDSDQGQSQTAAKDIAEGSADSSVTVEDKIDKVKDKDEKDKEKTKEGDKDKVKEKESERKVDSEKDKVKGLEGTNLDGLLQRLPNCVSRDLIDQLTVEFCYVNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNNLINKKDQMNIESKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHITLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEDYILKCFMKVHKGKYSQIHLIASLTASLSRYHEEFSIGVVDEVLEEIRVGLELNDFGMQQRRIAHMRFVGELYNYEMVDSSVIFDTLYLILVFGHGTTEQDTLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYILGKGVLPLDIEFDLQDLFAELRPNMTRYASIEEANAALLELDEHERTVSTEKANSEKHSDRDRSSSRATSDIPANGQSLTNGTEENGELHEEMMGDSESDSGSHTTEHPGRDDDDEFDEENRDDGSETEDEYDDGDGPASDEDDEVHVRSKVAEVDPLEEADFERELRALMQESLDSRKLELRTRPTINMAIPMNVFEGAAKDHHRGVEGESGDETLDEEAGGSKEVRVKVLVKRGNKQQTKQMLIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQAQSWMQSSSGSRASNRGGNSSWDHSRSGGRHRYLHHSGGGLYYGRRR >itb09g01240.t1 pep chromosome:ASM357664v1:9:744153:750251:1 gene:itb09g01240 transcript:itb09g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPLPIQRLPKMEDGPTSTVSLPYSSNPKKRWSNWLPLFVAIVVVAEIGFLGRLDMAKNVDLVNSWADSFYQFTTSSLSSMSTVPAADDGFTARGGYAARITEERDPRPGLESCEEWLEKEDTVAYSRDFEKYPIFVHGGEQEWKFCAVGCTFGAQADRTPDAAFGMPQSGGTASILRSMESAEYYAENNIAMARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTQKALAAAFISNCGARNFRLQALEALEKESISIDSYGSCHRNKDGRVDKVDTLRQYKFSLAFENSNEEDYVTEKFSQSLVAAPPLPIQRLPKMEDGPTSTVSLPYSSNPKKRWSNWLPLFVAIVVVAEIGFLGRLDMAKNVDLVNSWADSFYQFTTSSLSSMSTVPAADDGFTARGGYAARITEERDPRPGLESCEEWLEKEDTVAYSRDFEKYPIFVHGGEQEWKFCAVGCTFGAQADRTPDAAFGMPQSGGTASILRSMESAEYYAENNIAMARRRGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTQKALAAAFISNCGARNFRLQALEALEKESISIDSYGSCHRNKDGRVDKVDTLRQYKFSLAFENSNEEDYVTEKFSQSLVAALWASLQTCGKYGG >itb11g12440.t1 pep chromosome:ASM357664v1:11:9300442:9302544:1 gene:itb11g12440 transcript:itb11g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKTKIRKDKDAEPTEFEETVAQAFFDLENTNQELKSDLKDLYINSAIQVDVSGSRKAVVINVPYRLRKAFRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKRGSAVQRPRSRTLTSVHEAMLEDVVVPAEIVGKRIRYRLDGSKIMKVFLDPKQKNDTEYKLETFSAVYRKLSGKDVVFEYPMTDA >itb11g12440.t2 pep chromosome:ASM357664v1:11:9300442:9302544:1 gene:itb11g12440 transcript:itb11g12440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKTKIRKDKDAEPTEFEETVAQAFFDLENTNQELKSDLKDLYINSAIQVDVSGSRKAVVINVPYRLRKAFRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKRGSAVQRPRSRTLTSVHEAMLEDVVVPAEIVGKRIRYRLDGSKIMKVFLDPKQKNDTEYKLETFSAVYRKLSGKDVVFEYPMTDA >itb11g12440.t3 pep chromosome:ASM357664v1:11:9300442:9302544:1 gene:itb11g12440 transcript:itb11g12440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKTKIRKDKDAEPTEFEETVAQAFFDLENTNQELKSDLKDLYINSAIQVDVSGSRKAVVINVPYRLRKAFRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKRGSAVQRPRSRTLTSVHEAMLEDVVVPAEIVGKRIRYRLDGSKIMKVFLDPKQKNDTEYKLETFSAVYRKLSGKDVVFEYPMTDA >itb09g26030.t2 pep chromosome:ASM357664v1:9:26158274:26163240:-1 gene:itb09g26030 transcript:itb09g26030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQQTLIDKSCEKKKRQQTTLWKAIVEERIAHINYHSEYNNPKIPSKLIIQYWKVLLFSFLGTTGTMTISIQLLSLMHGR >itb09g26030.t1 pep chromosome:ASM357664v1:9:26158274:26163240:-1 gene:itb09g26030 transcript:itb09g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQQTLIDKSCEKKKRQQTTLWKAIVEERIAHINYHSEYNNPKIPSKLIIQYWKVLLFSFLGTTGTMTISIQLLSLMHGR >itb09g26030.t3 pep chromosome:ASM357664v1:9:26161109:26163240:-1 gene:itb09g26030 transcript:itb09g26030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQQTLIDKSCEKKKRQQTTLWKAIVEERIAHINYHSEYNNPKIPSKLIIQYWKVLLFSFLGTTGTMTISIQLLSLMHGR >itb09g21490.t1 pep chromosome:ASM357664v1:9:19480382:19480969:-1 gene:itb09g21490 transcript:itb09g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDCHVLLVIFPGHGQINPCLQFAKRLVNFGVGVTFSTTLFAIRRISSHSAIPPGIDFAPFSDGYDDGYKGTADGFYQMYDSVKARGSEYVANLVKVKAAEGTPFTRIIFTTLMAWVGEVAWSLQVPATLLWIQPATVLNIYYYYFSGYQDYLFRSCSENGVVEFPGLPALAPTDFPSFMLSKTTKNVVLDWGI >itb06g07790.t1 pep chromosome:ASM357664v1:6:11547654:11553098:1 gene:itb06g07790 transcript:itb06g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYLLMITAELENLTNLQPQGGSDDVNFPFMFKLKCGCGEVTEKETCVSLNETVPLPTGRGNAHLVQKCKFCGRSGNLTMITGQGRPLTQEASQAGSFMPVMAFDCRGFEPLEFHFGGTWQAESIEGTKFEGIDLSEGEYADYDEKGECPVMISNPRAKFTVVK >itb05g00680.t1 pep chromosome:ASM357664v1:5:581114:584784:-1 gene:itb05g00680 transcript:itb05g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLLYSLAFTLLYVSLSTIYFITKANTSKPNVPPGSTGWPVVGENIDMVVSGPEKYISDRMKKYSSEVFKTSILGEKMAVFCGAGGNKFLFSNENTLLTTWWPQSVTKPLLCPTKAQNTILKISLLNRGFTHEILKPENLKRYVPTMDSMAKEHIAQEWAPSGEVKVYPLSKSYTFALACKLLLSIEGHKEVKKLSDPFILITSGMLSLPINFPGTAYNRAMKGGRMVRRQLMEVIKERKAESSLGKVEDEGRDLLARLLSATDEEGQHMNEEEICNSIIGLLVASYDTSSAAQTFVLKCLAEYPHIYDQVYKEQMEIAKSKGPNERLSWEDIQKMKYSWNVACETLRIYPPGQGAFREALTDFTYAGYTIPKGWKTFWSVYSTHKNPKYFPESEKFDPSRFEGSGPAPYTYVPFGGGPRMCPGKEYARLTVLVLMHNVVTNFKMEKLIPDEKIVYTISPVPLNSLPVRLIPHHK >itb05g15800.t5 pep chromosome:ASM357664v1:5:23144999:23149534:-1 gene:itb05g15800 transcript:itb05g15800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNVFPMAPGQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGHLVNVVIPRPNPNGSPTPGVGKVFLEYADVESGKKALSGLNGRKFGGNVVVAIFYPENKFSQGEYDG >itb05g15800.t1 pep chromosome:ASM357664v1:5:23144999:23151743:-1 gene:itb05g15800 transcript:itb05g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYDGNGEDVGNNRDNYGASPQPKAAAFYGGAEEHSDSKSQHSSRDYEKERESSRSKDKEREKGRDRDRDRDQDRGRDRDREKYSDRHHRDRNRDRGERRERERTRDREDDGNYRGRDRDRRRDYDRDREDRHKRRSRSRSKDRSKHISNSRSPSRSKSKRVSGFDMAPPSATLLPGATAAPGQVPGTSPTIPGMFSNVFPMAPGQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGHLVNVVIPRPNPNGSPTPGVGKVFLEYADVESGKKALSGLNGRKFGGNVVVAIFYPENKFSQGEYDG >itb05g15800.t7 pep chromosome:ASM357664v1:5:23144999:23149446:-1 gene:itb05g15800 transcript:itb05g15800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNVFPMAPGQQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGHLVNVVIPRPNPNGSPTPGVGKVFLEYADVESGKKALSGLNGRKFGGNVVVAIFYPENKFSQGEYDG >itb05g15800.t6 pep chromosome:ASM357664v1:5:23144999:23149534:-1 gene:itb05g15800 transcript:itb05g15800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNVFPMAPGQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGHLVNVVIPRPNPNGSPTPGVGKVFLEYADVESGKKALSGLNGRKFGGNVVVAIFYPENKFSQGEYDG >itb05g15800.t3 pep chromosome:ASM357664v1:5:23144999:23149534:-1 gene:itb05g15800 transcript:itb05g15800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNVFPMAPGQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGHLVNVVIPRPNPNGSPTPGVGKVFLEYADVESGKKALSGLNGRKFGGNVVVAIFYPENKFSQGEYDG >itb05g15800.t2 pep chromosome:ASM357664v1:5:23144999:23151743:-1 gene:itb05g15800 transcript:itb05g15800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYDGNGEDVGNNRDNYGASPQPKAAAFYGGAEEHSDSKSQHSSRDYEKERESSRSKDKEREKGRDRDRDRDQDRGRDRDREKYSDRHHRDRNRDRGERRERERTRDREDDGNYRGRDRDRRRDYDRDREDRHKRRSRSRSKDRSKHISNSRSPSRSKSKRVSGFDMAPPSATLLPGATAAPGQVPGTSPTIPGMFSNVFPMAPGQQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGHLVNVVIPRPNPNGSPTPGVGKVFLEYADVESGKKALSGLNGRKFGGNVVVAIFYPENKFSQGEYDG >itb05g15800.t4 pep chromosome:ASM357664v1:5:23145978:23151736:-1 gene:itb05g15800 transcript:itb05g15800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYDGNGEDVGNNRDNYGASPQPKAAAFYGGAEEHSDSKSQHSSRDYEKERESSRSKDKEREKGRDRDRDRDQDRGRDRDREKYSDRHHRDRNRDRGERRERERTRDREDDGNYRGRDRDRRRDYDRDREDRHKRRSRSRSKDRSKHISNSRSPSRSKSKRVSGFDMAPPSATLLPGATAAPGQVPGTSPTIPGMFSNVFPMAPGQFGALPMMPVQAMTQQATRHARRVYVGGLPPNANEQSIATFFSHVMSAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGGPVKIRRPSDYNPSLAATLGPSLPNPNLNLAAVGLAPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYRDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQDSILLHAQQQIAMQRLMLQQPGPPSTKIVCLTQMVDEEELKDDENYADLLEDIKMECGKFGM >itb02g02830.t1 pep chromosome:ASM357664v1:2:1656875:1657138:-1 gene:itb02g02830 transcript:itb02g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQSPIYIAIGFAELLAKYEATARAIGGEKTSGANEAEESAFNFMREKETVEDDQLRIEVHRHYSAAAGGATALPAAPPAARSFVS >itb01g33220.t1 pep chromosome:ASM357664v1:1:36414287:36418484:-1 gene:itb01g33220 transcript:itb01g33220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFLGMDFSCVFGSVSKGEFPEKDCLLPLISKLLGYAIVAASTTVKLPQILKILSHKSIRGLSVVAFELELLGYTIALGYCLHKGLPFSAFGEYVFLLIQALILVAIIYYFSQPLSTKRWMIALLYCGIAPTILAGKIDPILFEALFASQYAIFLFARIPQIWQNFKNKSTGQLSFLTFFMNFAGSMVRVFTSIQEKAPMSVAMGSVLGILLNGTILSQIILYAKPTPKKEKKTN >itb02g08150.t1 pep chromosome:ASM357664v1:2:5098773:5101253:-1 gene:itb02g08150 transcript:itb02g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKEALLSSNALTTQTPIPAGSNPPCPPPKKKRNLPGNPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKRVYVCPEKSCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTVFSRKDSFITHRAFCDALAEETARVTAASNMQNAAAVAALGSNINYHFIGAAAPAIGPGMAAPPHFGSIFKPTIIGNDENMNPVRQGQLPLWMAAAPGQTTTSSQGQEFQSFVGSENPMVTTPLSSSGSFQLNWNNLVGNNKISTSNSNSTNNNNGEEMDGNHQSQVMSVPIPSLFSTQHRPHHHHHQTPSANMSATALLQKAAQIGATTSDPAFLGSLGLQVNNNNSNSNNNNQVVVQDNNNGNDNKFSGLYAATSISMVSSHLGSEMESHVQMYPSKRRHIQQNEGGGGGQTRDFLGVGVQSICHPSSINGWI >itb06g13980.t1 pep chromosome:ASM357664v1:6:18614092:18614433:-1 gene:itb06g13980 transcript:itb06g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKKAKQAIDRSKWSLMLFHKLGFDEYEILDGLDEDNVIGSGLSRKVYKVVLSSGEVVVVKMIKKNLKLAGESSDIEKDSYQDNGFEAEIETLGKIRHKNIVKLFCSSLFLL >itb07g01920.t2 pep chromosome:ASM357664v1:7:1144162:1146921:1 gene:itb07g01920 transcript:itb07g01920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRATYRDTEDESNESSLNTTTTATVAADSPMTETPPIAAVNGGSAASEITAPPESENQNSGPERKSPAKLIKASETEEEEDEEIEEEEELEPPTKKHKPLSPVTPSSPAQPPDLERTEGEITDMPLDTPAVTTTTPTTKEPASKSSKKAKSKKKNNNVWTKPTSRKGKKKNKNNGNQNGNKKNGNEQEAEDKVMITPIPRFPDKNDDGPEMKICLSKAYKAEKVEVSEDRLSAGSTKGYRMVRATRGVVEGAWYFEIKVMKLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKIHKALREKYGEEGYGEDDVLGFYISLPDGQKYAPKPPRLVWYKGQRYMHSSDPKEDPPKIVPGSEISFFKNGICQGVAFKDLYGGRYYPAASMYTLPNQPNCVVKFNFGPDFECFPEDFGGRPLPTPMIEVPYHSFEGRVENGVSNDNKT >itb07g01920.t1 pep chromosome:ASM357664v1:7:1144154:1147601:1 gene:itb07g01920 transcript:itb07g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRATYRDTEDESNESSLNTTTTATVAADSPMTETPPIAAVNGGSAASEITAPPESENQNSGPERKSPAKLIKASETEEEEDEEIEEEEELEPPTKKHKPLSPVTPSSPAQPPDLERTEGEITDMPLDTPAVTTTTPTTKEPASKSSKKAKSKKKNNNVWTKPTSRKGKKKNKNNGNQNGNKKNGNEQEAEDKVMITPIPRFPDKNDDGPEMKICLSKAYKAEKVEVSEDRLSAGSTKGYRMVRATRGVVEGAWYFEIKVMKLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKIHKALREKYGEEGYGEDDVLGFYISLPDGQKYAPKPPRLVWYKGQRYMHSSDPKEDPPKIVPGSEISFFKNGICQGVAFKDLYGGRYYPAASMYTLPNQPNCVVKFNFGPDFECFPEDFGGRPLPTPMIEVPYHSFEGRVENGNQWHSVRMCPMLKVVNQQDCNNVEAAEMNS >itb08g09260.t1 pep chromosome:ASM357664v1:8:8505449:8505826:-1 gene:itb08g09260 transcript:itb08g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLXXXXXXXXXEKKGQILIVPRNGFQCCFHFPLFLGFSCLRGVCGNAELIALLEIKAALDPENKHLSSWTSDGDPCGGSFEGVACNELNKGGNISLQAEGSPENCRRRWRSSSACPGSTCITMN >itb11g21430.t1 pep chromosome:ASM357664v1:11:23127659:23127979:1 gene:itb11g21430 transcript:itb11g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb09g30190.t1 pep chromosome:ASM357664v1:9:30825657:30826422:1 gene:itb09g30190 transcript:itb09g30190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRFIDAIDEKHYLNGIKNAANVVWVGVELRKKWLYVDLERKAGQVKFAMEIIQTLAIEAESSRTPELVPSPPLLVEERGRGSRSNRGMTKISRLHGSVLGGRRRRWLVFCRRRCW >itb09g26820.t1 pep chromosome:ASM357664v1:9:27453822:27454586:-1 gene:itb09g26820 transcript:itb09g26820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLRRSSSRNNRESEVPKGHFAVYVGDSEKKRFVIPVSYLKDPSFQDLLSQAEEEFGFDHPTGGLTIPCMEDTFLDVISSLRS >itb03g05480.t1 pep chromosome:ASM357664v1:3:3823913:3827799:-1 gene:itb03g05480 transcript:itb03g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 [Source:Projected from Arabidopsis thaliana (AT5G63870) UniProtKB/Swiss-Prot;Acc:Q9FN02] MLSDSSAALPSSAPEAAAPPQPPSSDEDFFSSASVPSTSSSSEEEKLNNSTPSIPISWPQDGILTLDWVTDLMLTFDWASRNLPPSDLPTVLPVEVFDRLVLTASKILHKEPNCVRIDDGSGLGPDSRVVVVGDVHGQLHDVVFLLRDAGFPGEDRFFVFNGDYVDRGAWGLETFLLLLAWKVLMPQRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASIIAGRVYTAHGGVFRSIAVTPSKRAKGKKSRRINLNPDIATLSLGSFEELSKARRSVLDPPWEGSNLIPGDVLWSDPSMKNGLSPNKERGIGLLWGPDMTEEFLRKCNLKLIIRSHEGPDAREKRPGLGGMDAGYTIDHVVESGKLITLFSAPDYPQFQATEDRYRNKGAYIILEPPDFNTPNFHSFDAVTPRPKANPFYDFEDVIDSDEELDLASMVTS >itb02g05300.t1 pep chromosome:ASM357664v1:2:3179818:3186897:1 gene:itb02g05300 transcript:itb02g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETQASHEVPVAKAAEEIDSNGVHTKAANGDLNPVDNVEKEEEKTVLDGEIIKVEKETSLQSVVEKSREFLEAQEKVKELELELEQITEHLKNSESQNTMLKEELLHTKEKLGESGIKYEELELNHKKLQEQIADAEGRYSSQLNTLQDALQAKDATHAELDSVKEAFDRLSLELESSRKKMEELEQGLQISASEAQKFEELHKQSGSLAESETKKAMEFERLLEVATKSTKEMEEQVASLQGELKVLCEKISESEKIEEALKSTTAELSEVQGELSASKSEVQALEAKLAAEEAVRNELTQELEMRKASESQIMENMSALEKTCSSAKEDLQARTLEIDEIKLKLQEEVAAKEEAEAKAKSQEAELAMMQDKLSKLSEEKEAAEAALSDLANKAADMKELCNDLEAKLQSSDENFSKTNSLLSQALENNVELEQKFKALEELHQESQHATTTAHQKILELEEMLQASNAAAEDVKTQLRELETRFIAAEQRNMEVEHQLNLVELKRSDAERELEEFSVKISELNASLEKAVEEKSILNAQIQEHQDKIAHMESDSIKLAARNSELEEELKGIQAKCEEHEGRANINDQRSRELEDLMQISHTKAEESDKKASELELLLATEKHRIQELEEQISSLENKCGDAEAESKKFSEKASELEEELTAYQNKASSFEIALNSATEKEKELSECLTMAAEEKKNLEDAYKNSTERLAESENLLEVLRNELNDTQQKLESIENDLKAAGMKESEVMEKLKSAEEQLEEQGKVLEQATARSVELESLHAALARDSELTLQDATAKLASRDSEVQSLNEKLNTLEDQVKSYEEQIAEATERSTAVKDELEQVLLKLSLSESTNEDFKNKILEAESKSAEVLSENELLTETTNQLNRRIADLEEQLNSVSAEKEATIQQLASHTSTITELTEKHTRAFEAHAVTEARVSETEVKLEEALQKFCQKDLEAKDLAEKLHSLQDLVKRYEDQVHETSALVENQKVELEQSISNLRDQERIVEELKSASSKLEEERKGLLIENSEISEKVASYELKLNDLETKSSAVIAEKNEAVEELKKVIEELTEQLSSAGQNLEEERKGLSEKVASYELKLNDLETKSSAVIAEKNATVEELKKVIEDLTEQLSSEGQKLQTQLSSALEENKQLHEKHQHSEKELLGQIAHLEAQLQEHKSSKDALQSKVEVLTADICQKSEVQNLVKELEEQLASVKVQVKEQKEAESQKEMKLEATFKELQAKSNAVTLLESKAKELEHKLQLAEAQLKEKEEKLEVKSRDTGSMVSGVSNRKKKSAGASSGQAVSSDSQTVQVHGTDAASPMKTLKHILGVALVSVITGIILGKRY >itb02g05300.t2 pep chromosome:ASM357664v1:2:3181197:3186897:1 gene:itb02g05300 transcript:itb02g05300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETQASHEVPVAKAAEEIDSNGVHTKAANGDLNPVDNVEKEEEKTVLDGEIIKVEKETSLQSVVEKSREFLEAQEKVKELELELEQITEHLKNSESQNTMLKEELLHTKEKLGESGIKYEELELNHKKLQEQIADAEGRYSSQLNTLQDALQAKDATHAELDSVKEAFDRLSLELESSRKKMEELEQGLQISASEAQKFEELHKQSGSLAESETKKAMEFERLLEVATKSTKEMEEQVASLQGELKVLCEKISESEKIEEALKSTTAELSEVQGELSASKSEVQALEAKLAAEEAVRNELTQELEMRKASESQIMENMSALEKTCSSAKEDLQARTLEIDEIKLKLQEEVAAKEEAEAKAKSQEAELAMMQDKLSKLSEEKEAAEAALSDLANKAADMKELCNDLEAKLQSSDENFSKTNSLLSQALENNVELEQKFKALEELHQESQHATTTAHQKILELEEMLQASNAAAEDVKTQLRELETRFIAAEQRNMEVEHQLNLVELKRSDAERELEEFSVKISELNASLEKAVEEKSILNAQIQEHQDKIAHMESDSIKLAARNSELEEELKGIQAKCEEHEGRANINDQRSRELEDLMQISHTKAEESDKKASELELLLATEKHRIQELEEQISSLENKCGDAEAESKKFSEKASELEEELTAYQNKASSFEIALNSATEKEKELSECLTMAAEEKKNLEDAYKNSTERLAESENLLEVLRNELNDTQQKLESIENDLKAAGMKESEVMEKLKSAEEQLEEQGKVLEQATARSVELESLHAALARDSELTLQDATAKLASRDSEVQSLNEKLNTLEDQVKSYEEQIAEATERSTAVKDELEQVLLKLSLSESTNEDFKNKILEAESKSAEVLSENELLTETTNQLNRRIADLEEQLNSVSAEKEATIQQLASHTSTITELTEKHTRAFEAHAVTEARVSETEVKLEEALQKFCQKDLEAKDLAEKLHSLQDLVKRYEDQVHETSALVENQKVELEQSISNLRDQERIVEELKSASSKLEEERKGLLIENSEISEKVASYELKLNDLETKSSAVIAEKNEAVEELKKVIEELTEQLSSAGQNLEEERKGLSEKVASYELKLNDLETKSSAVIAEKNATVEELKKVIEDLTEQLSSEGQKLQTQLSSALEENKQLHEKHQHSEKELLGQIAHLEAQLQEHKSSKDALQSKVEVLTADICQKSEVQNLVKELEEQLASVKVQVKEQKEAESQKEMKLEATFKELQAKSNAVTLLESKAKELEHKLQLAEAQLKEKEEKLEVKSRDTGSMVSGVSNRKKKSAGASSGQAVSSDSQTVQVHGTDAASPMKTLKHILGVALVSVITGIILGKRY >itb13g25930.t1 pep chromosome:ASM357664v1:13:31320182:31325144:1 gene:itb13g25930 transcript:itb13g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDTDNESTHRKPGLLKDQIRLVKRKDCDRYEIVHIPDNLSFEKGFFIVIRACQLLAQKNDGLVLVGLAGPSGAGKTVFTEKIQNFMPSVAVISMDNYNDASRIVDGNYDDPRLTDYDMLLKNIHDLKAGKPAEVPIYDFKSSSRIGYRTLEVPSSQIVILEGIYALNEKLRPLIDLRVSVNGGVHFDLVKRVIRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSSRNVTVEQIKSVLSEEHTESVEETYDIYLLPPGEDPESCQSYLRMRNKEGKYNLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIAAILKRNSHTFCDEKACVKIDWLEQLKRQYVQIQGRDRLVVKRVADQMGLEGSYIPRTYIEQIQLEKLVNEVKALPDDLKTKLSIDEDFVSSPKETLFRASAESVALRKRNIGSGISHSYSTNRDVNLPKISLFSSNNRKFDDRISVSNQGAIEQLSEQISTLNDRMDDFTSRMEELSSKLSSKKTSPTARNTTLQPEACNGSVPTSHFISSLENGSLTGSIMPSPSSSSHLAKDSALLEELSNIGRGQRQMMHLLDNLCNLLRETSEERPCRTKTNQQQRDMVDFVPTKVSLICTTLGLGGLGILMLRSFLSRK >itb04g02670.t1 pep chromosome:ASM357664v1:4:1608053:1608418:-1 gene:itb04g02670 transcript:itb04g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFILLLISFSIFPFVIHGAKEDQKSYTLIQKTCEFCSQNFSTPYDFCTSALQSRPASECQTLRRIGMAYINLVMANVTDTVRHIKAVLNSGKVRPELRRAVSAPSSTTALPSPEWRRR >itb01g34340.t2 pep chromosome:ASM357664v1:1:37095597:37098340:1 gene:itb01g34340 transcript:itb01g34340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQREIAENANGKPPVKKQSPGELRLHKDISELNLPKTCNMSFPNGKDDLMNFDVSIRPDEGYYLGGTFLFSFKISHIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTDPNHEDPLNSDAAAVLRDNPKLFESNVRRAMAGGYVGQTFFPSCA >itb01g34340.t1 pep chromosome:ASM357664v1:1:37095500:37098422:1 gene:itb01g34340 transcript:itb01g34340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQREIAENANGKPPVKKQSPGELRLHKDISELNLPKTCNMSFPNGKDDLMNFDVSIRPDEGYYLGGTFLFSFKISHIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTDPNHEDPLNSDAAAVLRDNPKLFESNVRRAMAGGYVGQTFFPSCA >itb06g24100.t1 pep chromosome:ASM357664v1:6:25799984:25800364:1 gene:itb06g24100 transcript:itb06g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKFIPLILAVLPWVLVTAAAISSDAKLAGYGVTGRLNDEASVMAECWDAMVQLKSCTNEIILFFFNGEAYLGQECCRAIRTILYHCWPSMLTSIGYTAEEVDVLRDYCGATAPVPAVVGVPVA >itb07g01620.t1 pep chromosome:ASM357664v1:7:986396:987070:-1 gene:itb07g01620 transcript:itb07g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTITNMRGIPLRNPSVGRLHIHNIIRSMEASSPAGSEPSTLADFSIEFQFNPRSDLSSSANALSFASDILPDVYYRANSEIADQIAAFAYNHVSSRGWFYGLSMSVVMETIHVIDEDRRSEGERDDDELLDSSEDYEEDGMMIEEGFEEVNGERLLGMLRSGEVSGDEEGECCCICLEGFIRGAVITSLSPCSHRFHHSCIVQWLHKNQHAQKTNMSSLP >itb09g13520.t3 pep chromosome:ASM357664v1:9:8846405:8851254:-1 gene:itb09g13520 transcript:itb09g13520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIAAVRQALCAIKIIKEDNVPCKIEEGLYLGSVGAANDKTRLKSLNITHILTVAHALPPAHPNDFTYKVIAVLDREDVEISQYFDECFTFIEEGKRVGGVLVHCYMGRSRSMGMSEALELVKSKRPLACPNAGFMLLLQNYEKFLIEEAKSKYEGKKL >itb09g13520.t1 pep chromosome:ASM357664v1:9:8846395:8851254:-1 gene:itb09g13520 transcript:itb09g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIAAVRQALCAIKIIKEDNVPCKIEEGLYLGSVGAANDKTRLKSLNITHILTVAHALPPAHPNDFTYKVIAVLDREDVEISQYFDECFTFIEEGKRVGGVLVHCYMGRSRSVTVVVSYLMKKHSMGMSEALELVKSKRPLACPNAGFMLLLQNYEKFLIEEAKSKYEGKKL >itb09g13520.t4 pep chromosome:ASM357664v1:9:8846395:8851164:-1 gene:itb09g13520 transcript:itb09g13520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIAAVRQALCAIKIIKEDNVPCKIEEGLYLGSVGAANDKTRLKSLNITHILTVAHALPPAHPNDFTYKVIAVLDREDVEISQYFDECFTFIEEGKRVGGVLVHCYMGRSRSVTVVVSYLMKKHSMGMSEALELVKSKRPLACPNAGFMLLLQNYEKFLIEEAKSKYEGKKL >itb09g13520.t2 pep chromosome:ASM357664v1:9:8846654:8851254:-1 gene:itb09g13520 transcript:itb09g13520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIAAVRQALCAIKIIKEDNVPCKIEEGLYLGSVGAANDKTRLKSLNITHILTVAHALPPAHPNDFTYKVIAVLDREDVEISQYFDECFTFIEEGKRVGGVLVHCYMGRSRSVTVVVSYLMKKHSMGMSEALELVKSKRPLACPNAGFMLLLQNYEKFLIEEAKSKYEGKKL >itb07g13340.t1 pep chromosome:ASM357664v1:7:15503252:15519716:-1 gene:itb07g13340 transcript:itb07g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKTRSGKKINVDKANGNGSSSSGMGKMDHLGLRKSAQEIPSRTSKSKLLEKQPPPTPCTQIKSRRPEKPNTLSPLRTPDRDKSHILSCSLVSKLSKEELNLSATKKEKSVKQVTMESEKVSTSGNQNICLKRKRIDGRSFKSMFKMQRRRDAIPAIDEVSLANESPRLISDSKLVADHAAQADEVDSLSSSEGLNTGNLPEGCSTATKNLPDLIGSAPTSGGFNSQNGEHSIQRKAADRQIVCGLVYMTTQSTLAEHDKHSFTGSCGVCLKRKRLDHDSPKVDLCTCVAMPKMDFGNKANHKLPPPLLPELPPPYTATDGHHQPLPGSFVGHHCLPQLPSPEKIEDRVGVESTVILGSAERHCAGQSEGANMDSQVDNHTNVCAVCKQGGKSLWVISYVFAVRLCYGEGCRRCYHLSCLGPNLDDIPSGIWHCLWCVKKKIKYGIHSVSKGIESIWDSREVEEVSGTQRQKQYLVKYQGLAHVHNHWLPEAQEYEICQGKQDMMFSQLTASDNRLELSKFIEYWLPVQVSNLQLEQYCNTLLANINALCSHSKKSDPVGVLNEVLLAVRKTVVPLNKGLSPSEILDVGIKASGKLHLLDKMLSEMKSQHLRVVVFYQSIGPVATLGNILDDFLRGRFGDNSYERVETSLNTSKRQAALNRFRKEESGLFVLLLEKRICNTSIKLSSVDGVIIYDGDSNPQNDLRLLQKLSFVSQSKPIRVFRLYSCYTVEEQALVITKQNPNADNLQSLNRNVKNTLMWGASHLFSRLDEYHASDSQASALDISCGQLLLNDVVKEFCAIISQNSETDDIHNSVISKVLKSSGPCITDIPLFGEQKFKYTCGEEPQVFWKKLLEGRNPQWRHISGPTPRNRKRVQYFEDSSCSLEVSNDDAGKKRRKTVNSSVDAISTSPVSEGSQPAALNEGPSTIKAANQSLPTSTACQNSAHTGDHASNSSCSLSHEVNVEPVERVALPDEQKSLHIYLKTEMAKVFEVLKLSDGIKHVVGIFLDFIMENHRVSREPATLLQALEISLCRVAASLLKQKLNENEMLMLTKEHLGFECTEDEATNVYRKLRSLKKAFLQHLEKNEITSRHSEPALIFVTGGLSKGMENVTKENVVAQQTTTLKDKVVESETEKMIKKVQSKCNMRMSRLKEKQQEEIENFKKDCEEKRAAIKKHYRVQFAIVRAVYCETPLGKNNLKNLNNRLSREIEELNCHKDLKMKEFEQKHNKEINEEIQNTAFWVSKAELCSTEDTNLHLYASDSRDVDEYLQESAGNNISDSRDGTGDQDIADTDNNSISNSREDNGNLQDIEDDNREISDSRDDVRNLQDIADVNDNPTNVASRDDIGNLEDNVDINNHNNNNSNPANVASADDIGHILDNADFNDPPGAASVSECHLEANEPINILNESESSMAPSSVPTTTTDVSSATVSEKPMVTISPAEALVSSLNQPNEVGNIDDHCEDTVPVVPLTSEKHTIDESSLGEHANGFSIEVHECARTEDVIPNHPSEVHGIAHNGVLRHEHPAGASITTMVKNCTPANNTDFLNNDGNENAIAHKSIDGNSTSQEQLLVALPSLQAGACSDDNGLLLQTQDQVPQDQCSPHLASNELQFNQGQLSEFEAGSPRVDDARQVTESNCEAILIENSEPQQLPSGGNQPPPDEVAYESPNHESNDFHSSETSPQLAEVETAAEPLCNADSQGRVSSELHASIHLPNMTLDCSPTDSAVSRIDCQPSGELHCSSENTQTLPHEVRITSELPNQAILQPLNLTSIQGPNDMRMHHAHFMPALNSPIRMLVNPLSKELERIHREIEQAVKSHEDWKLQQRSDCEKEIKEMIERIRNKYETKIMEGENAFFLRKNELEGNQNKVLMNKILAEAFRSKCLDPRPTVLPQMQQAVPSSYMQQQHYLQHASMQQPTLRSPVGLSSAGQQTTNLACMSMRPPQSIAGFSSAGQPMVVQQTSVQSLQGANHSTELSREYRPPPMSRSIPTAAVSSSSPSAASQPLSSLQSIPRSAAPSAVPARPLVINDISPSAGNLRLAGDIRAPAPHLHQFRPTSVSAASPSPLPTAMPGCNVAANVPSSSSSSSFTHVAPQHLTPSPSLPRVAPQVQIRSHSVPRGVTSQHQTPSSSRPWVSPHRPWVAAPHQTSSSSLPLVAPQQPQIVSSNLSHQKVPVPAPPQLQPPTVNKNSEPNNRVLTTGNSPLSALLLRDLDSQPWPRPSNYLPPLPEICATFDSIDLSDFGTTGNAAGTSAAEPNAVADVVCLSDDD >itb06g12630.t2 pep chromosome:ASM357664v1:6:17278353:17281078:-1 gene:itb06g12630 transcript:itb06g12630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPSLLESFKTKKVLHSSSKPNPSPEIKSPDQDPWSSKTPEKPVNAPRRSRNRSSALSLKEVRQAALKLRKSDPKPPAQAAGQIGSQPESPPAKPNKSEGSAKLPEKYEILGEFFNSLDSSIRLLRMKGSKTTFTNISPKIECLTDRRFSHGHLAQLKFILPEAIEVTKILVHDECTSCMKPDLRITLNASAVGDNGKVKSGSGNLELRKVFRARLLDFFKSHPEGDDIPEEELPHPFNQSKPPVPSGSSFMGGEARNEAVSEIGGIVVNLSKPPASTSHLSRSFGRSFSQRSTLCRVEDIKQEQTVAVNLSPERKPSKPCAGCSPNAVAVSASLLPSHPNATPVKKDLHLSKVEEGSFLTAEGTPSKLASTPAKPMSSTPALRPPKRCYMTPEDNDSAKSPNKLVRRPSRGRLIFDTPVKNAGSETERLSAHDDIYDLPEDLLQSIREKERKALEEKDPAISQAKWRKQMLAGLPKLFDMIYFLFQSIRRSVITKEELMHKIISSHLGTVDTREIEEQLRLLHELAPEWIYEKPASSGDLLIW >itb06g12630.t1 pep chromosome:ASM357664v1:6:17277905:17281078:-1 gene:itb06g12630 transcript:itb06g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPSLLESFKTKKVLHSSSKPNPSPEIKSPDQDPWSSKTPEKPVNAPRRSRNRSSALSLKEVRQAALKLRKSDPKPPAQAAGQIGSQPESPPAKPNKSEGSAKLPEKYEILGEFFNSLDSSIRLLRMKGSKTTFTNISPKIECLTDRRFSHGHLAQLKFILPEAIEVTKILVHDECTSCMKPDLRITLNASAVGDNGKVKSGSGNLELRKVFRARLLDFFKSHPEGDDIPEEELPHPFNQSKPPVPSGSSFMGGEARNEAVSEIGGIVVNLSKPPASTSHLSRSFGRSFSQRSTLCRVEDIKQEQTVAVNLSPERKPSKPCAGCSPNAVAVSASLLPSHPNATPVKKDLHLSKVEEGSFLTAEGTPSKLASTPAKPMSSTPALRPPKRCYMTPEDNDSAKSPNKLVRRPSRGRLIFDTPVKNAGSETERLSAHDDIYDLPEDLLQSIREKERKALEEKDPAISQAKWRKQMLAGLPKLFDMIYFLFQSIRRSVITKEELMHKIISSHLGTVDTREIEEQLRLLHELAPEWIYEKPASSGDLLICVNKIPGPESIRSRLADAK >itb08g00640.t1 pep chromosome:ASM357664v1:8:487759:488859:1 gene:itb08g00640 transcript:itb08g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDANKMKGGGTGFPPPQTALCANGCGFSGTAATMGLCASCFRELRLKERQAALAKAAVEQLALYSRLQPDSPPPSKSAPVVTAEQSRRQAAPPPPTRCDACKKKVRVMGFECRCGRTFCGTHRYPEKHDCTFDFKAQGRDAIAKANPLVKADKIQRF >itb13g25360.t3 pep chromosome:ASM357664v1:13:30759485:30762907:-1 gene:itb13g25360 transcript:itb13g25360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVSYSKNMDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANKHGTLLEVVQVLTDLNLIITKAYICSDGGWFMDVFNVTNQEGNKIMDEAVLDYIMKSLGPDSCFASSMRRSVGVTSGTDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEETGGAVSDPKTLSMIKKLLCNVLKGSNKSRHAKTQITHGATHTDRRLHQLMFADRDYERASDDALNHPPDRPNVSVTNWHDRDYSVVTIRCKDRPKLLFDVICTLTDMEYVVFHGNVDAEGPEAYQEYCIRHIDGSPVKSDAERQRVIHCLEAAIERRVSEVSQYA >itb13g25360.t2 pep chromosome:ASM357664v1:13:30759380:30762144:-1 gene:itb13g25360 transcript:itb13g25360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANKHGTLLEVVQVLTDLNLIITKAYICSDGGWFMDVFNVTNQEGNKIMDEAVLDYIMKSLGPDSCFASSMRRSVGVTSGTDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEETGGAVSDPKTLSMIKKLLCNVLKGSNKSRHAKTQITHGATHTDRRLHQLMFADRDYERASDDALNHPPDRPNVSVTNWHDRDYSVVTIRCKDRPKLLFDVICTLTDMEYVVFHGNVDAEGPEAYQEYCIRHIDGSPVKSDAERQRVIHCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVATRGGKAVNTFYVRDASGYPVDARIIESVRQTIGQTILQVKGSPEDQNSAPQESPTRSLFGGIFKSRSFCNFGLVRSYS >itb13g25360.t1 pep chromosome:ASM357664v1:13:30759485:30762907:-1 gene:itb13g25360 transcript:itb13g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVSYSKNMDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANKHGTLLEVVQVLTDLNLIITKAYICSDGGWFMDVFNVTNQEGNKIMDEAVLDYIMKSLGPDSCFASSMRRSVGVTSGTDHTSIELIGSDRPGLLSEVSAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEETGGAVSDPKTLSMIKKLLCNVLKGSNKSRHAKTQITHGATHTDRRLHQLMFADRDYERASDDALNHPPDRPNVSVTNWHDRDYSVVTIRCKDRPKLLFDVICTLTDMEYVVFHGNVDAEGPEAYQEYCIRHIDGSPVKSDAERQRVIHCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVATRGGKAVNTFYVRDASGYPVDARIIESVRQTIGQTILQVKGSPEDQNSAPQESPTRSLFGGIFKSRSFCNFGLVRSYS >itb01g24520.t1 pep chromosome:ASM357664v1:1:30150285:30154168:-1 gene:itb01g24520 transcript:itb01g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVDYPNELRKNRVFITEMVFACIVTKCFGCNNVELVVPNSYGEEVEAKFRANAVNETGKSSDIIDDDNKTADEGDDRNERFENLENAAAAAANQTSNSGLEDADEVLSDGIDEECRNIVEVMRIKNVLDNHGDEKCEAVVVDSLRKLQSMTLSLETLKVTDIGKSVSPLKKKESKQIKQLARALIADWKVKVDEWVESIEAAEGTECTPESAKPPVEEEEGGLPSPPMDEGAFFTLSAMELTKFFDDFDEDGNLQISWDFNETHEDGRNASRGNQNVPKWSNAAPTEQPRAQEAVVGKPTMAAPQERYTEHPKAQEAVVKKPTMAAPQERFTEKPKEQEAVMRKPIMAVPQERYTEKPKAQEALVKKPIMAAPQERYNEKPKVQEALVKKPIMAASLERYTEQPKAQEALVKKPIMAAPQERYTEPPKGQEAIMKKPIMAAPQERYTEKPKEQEAIMRKPIMAAPQGRPTQKPKEQQTVMKKPIIMAAPQGRHTEQPKELQPVMKKPIIMAAPQGRHTEQPKAQQTVMRKPIMAAPQARYTEKPKQQEAVLMKAPLMVAPQDGYTEQPKAQQTLMKKPIMAAAPQARYTEKPKGQEAVMKAPLLMVAPQDVYTEQPKQQEALAKKPSNVAAQHRCTQQPKQQEAVLKKPSNAAAQDWYTPQPKEQEAVLKKPSNAVAQDWYTPQPKEQETVLKKPSNAAVDDWFTPQPKEQETAVKKQTFIKPNKPSANGSGPGRLTRPTMEHNKVNGNSGVRFQLKSDNRHPVSQQNKLNCPEEMTVQERVALAKRKLQERDKAMEDAKRRRISQSVEAHNIPKPSLVPKNTQVMKLGNYSKQGTNGRQ >itb04g23380.t2 pep chromosome:ASM357664v1:4:28460784:28465031:-1 gene:itb04g23380 transcript:itb04g23380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGKAFPSINHSPWFGVTLADFVMPFFLFVVGVSVSLVFKKVPSKLAATKKVLLRTVKLFILGVILQGGYFHGRGDLSYGVDIEKIRWMGVLQRISIGYLFSSILEIWLVNNISADSPMKFVRRYWLQALVAVSLSVLYMGLLYGLYVPDWEFKVDTASNSSVVPQYGSTTQTVHCNVRGSLDPPCNAVGFIDRLILGESHLYQRPVYKRTKECSINSPDYGPLPENAPGWCLAPFDPEGILSSLMAAITCLVGLHYGHILVQFKVHKQRIIFWSIFSFPLLIVGLVLVVVGVPLSKPLYTLSYMFTTAGASAIAFTIIYYIVDVVCLRKPMIIFQWMGMNALIVYALAACDIFPAALQGLYWRSTENNLVDGSERLLQGMLHSENWGTLAFVLLEILFWGIVAGFLHMKKLYIKL >itb04g23380.t1 pep chromosome:ASM357664v1:4:28460763:28465056:-1 gene:itb04g23380 transcript:itb04g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSITEVRDSDERTPLLGSSSPEAPIVGSVNSVQEIVVLPAEATPTHLSNSKERLVSLDVFRGLTVALMILVDDAGKAFPSINHSPWFGVTLADFVMPFFLFVVGVSVSLVFKKVPSKLAATKKVLLRTVKLFILGVILQGGYFHGRGDLSYGVDIEKIRWMGVLQRISIGYLFSSILEIWLVNNISADSPMKFVRRYWLQALVAVSLSVLYMGLLYGLYVPDWEFKVDTASNSSVVPQYGSTTQTVHCNVRGSLDPPCNAVGFIDRLILGESHLYQRPVYKRTKECSINSPDYGPLPENAPGWCLAPFDPEGILSSLMAAITCLVGLHYGHILVQFKVHKQRIIFWSIFSFPLLIVGLVLVVVGVPLSKPLYTLSYMFTTAGASAIAFTIIYYIVDVVCLRKPMIIFQWMGMNALIVYALAACDIFPAALQGLYWRSTENNLVDGSERLLQGMLHSENWGTLAFVLLEILFWGIVAGFLHMKKLYIKL >itb04g23380.t3 pep chromosome:ASM357664v1:4:28460784:28464188:-1 gene:itb04g23380 transcript:itb04g23380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPYLVQKVPSKLAATKKVLLRTVKLFILGVILQGGYFHGRGDLSYGVDIEKIRWMGVLQRISIGYLFSSILEIWLVNNISADSPMKFVRRYWLQALVAVSLSVLYMGLLYGLYVPDWEFKVDTASNSSVVPQYGSTTQTVHCNVRGSLDPPCNAVGFIDRLILGESHLYQRPVYKRTKECSINSPDYGPLPENAPGWCLAPFDPEGILSSLMAAITCLVGLHYGHILVQFKVHKQRIIFWSIFSFPLLIVGLVLVVVGVPLSKPLYTLSYMFTTAGASAIAFTIIYYIVDVVCLRKPMIIFQWMGMNALIVYALAACDIFPAALQGLYWRSTENNLVDGSERLLQGMLHSENWGTLAFVLLEILFWGIVAGFLHMKKLYIKL >itb04g21120.t1 pep chromosome:ASM357664v1:4:26210485:26211135:1 gene:itb04g21120 transcript:itb04g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPTSLNFIPLLCLVLITPSTFTKSIIAEEQISRINPFACSNTIKSCTSVLYHHNALDKEFISTHYNVSPSDIYPISRNNVNDTKQDYLVEVPCSCKDVNGTIGYFYKLQTNDDSFATVSVDTYSGKAWKVGGENVTMFLLCGCVGNSETSPLVTTYTVQPEDTLQSIAGLLSSQVGDILRLNPYLNLTQGLVDVGWVIYVPMNQNQGFCRHEL >itb03g08110.t2 pep chromosome:ASM357664v1:3:6080037:6084737:-1 gene:itb03g08110 transcript:itb03g08110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVKTLKGSHFPIEVKPDDTIADVKKTIETVQGSDVYPAAQLMLIHQGKVLKDTTTLEENKVAENNYVVVMLTKNKASSGEASSKPSVAATPATSTGQSTQTVTAPPAIASIVAPPQSAPESAPTPAPNPVSTVSVSDIYGQAASNLVAGSNLEATIQQILDMGGGSWDRDRVVRALRAAYNNPERAVDYLYSGIPEQAGAEAVAQATTTPGGQTVNSSTVPSQQTVPSSGPNANPLNLFPQGLPNVGSNASAGNLDFLRNSQQAMLQELGKQNPQLLQLIQEHRADFLRLINEPVEGEEGNIFGQMAGALPQAVTVTPEERESIERLEAMGFDQALVLQVFFACNKNEEQAANYLLDHMHEFDD >itb03g08110.t1 pep chromosome:ASM357664v1:3:6079926:6084738:-1 gene:itb03g08110 transcript:itb03g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVKTLKGSHFPIEVKPDDTIADVKKTIETVQGSDVYPAAQLMLIHQGKVLKDTTTLEENKVAENNYVVVMLTKNKASSGEASSKPSVAATPATSTGQSTQTVTAPPAIASIVAPPQSAPESAPTPAPNPVSTVSVSDIYGQAASNLVAGSNLEATIQQILDMGGGSWDRDRVVRALRAAYNNPERAVDYLYSGIPEQAGAEAVAQATTTPGGQTVNSSTVPSQQTVPSSGPNANPLNLFPQGLPNVGSNASAGNLDFLRNSQQFQALRAMVQANPQILPAMLQELGKQNPQLLQLIQEHRADFLRLINEPVEGEEGNIFGQMAGALPQAVTVTPEERESIERLEAMGFDQALVLQVFFACNKNEEQAANYLLDHMHEFDD >itb01g16750.t2 pep chromosome:ASM357664v1:1:21193153:21203143:1 gene:itb01g16750 transcript:itb01g16750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQNGIETVNVAWSRLPSAEEDGDDDEVGTSKKIQGSSVESLDYEVIENYAYRREQAKKEKLYVGYLIVMKWFFSLLIGIGTGLVAVFINMSVENFAGWKYSLTFQIIQNSYFVGFLVYTLINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDTHGILLFRTLIGKIFGSIGSVGSGLALGKEGPLVHIGACIASLLGQGGSTKYHLSLRWLQVLNNERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTLFISHWRRNYLHRKGIRVKIIEACVISVITSVISFGLPLFRRCTPCPDPDVNSSIECPRAPGMYGNYVNFHCQNSNEYNDLATIFFNTQDDAIRNLFSAKTVHEFSAQSLLTFLVMFYTLAVVTYGTAVPAGQFVPGIMIGSTYGRLVGMFVVSFYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLTFLPLIMLVLLISKAVGDVFNEGLYEEHARLRGIPLLESRPKYHMRYVTAKETSGNQKVIYFPRVVKVADIVSILRSNKHNGFPVLDHTRSGETLVIGLILRSHLLVLLQSKVDFQHSPLCSDVRGGSLPIRHNLCEFVKPVSSKGICINDIHLTQDDLEMYIDLSPFVNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPHASRVVGMITRKDLLLEDNDDSAVVELQSNSVRGLQGRKIQIMVGSSDTDTGQPLLDDDVHLN >itb01g16750.t1 pep chromosome:ASM357664v1:1:21193181:21203173:1 gene:itb01g16750 transcript:itb01g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQNGIETVNVAWSRLPSAEEDGDDDEVGTSKKIQGSSVESLDYEVIENYAYRREQAKKEKLYVGYLIVMKWFFSLLIGIGTGLVAVFINMSVENFAGWKYSLTFQIIQNSYFVGFLVYTLINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDTHGILLFRTLIGKIFGSIGSVGSGLALGKEGPLVHIGACIASLLGQGGSTKYHLSLRWLQVLNNERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTLFISHWRRNYLHRKGIRVKIIEACVISVITSVISFGLPLFRRCTPCPDPDVNSSIECPRAPGMYGNYVNFHCQNSNEYNDLATIFFNTQDDAIRNLFSAKTVHEFSAQSLLTFLVMFYTLAVVTYGTAVPAGQFVPGIMIGSTYGRLVGMFVVSFYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLTFLPLIMLVLLISKAVGDVFNEGLYEEHARLRGIPLLESRPKYHMRYVTAKETSGNQKVIYFPRVVKVADIVSILRSNKHNGFPVLDHTRSGETLVIGLILRSHLLVLLQSKVDFQHSPLCSDVRGGSLPIRHNLCEFVKPVSSKGICINDIHLTQDDLEMYIDLSPFVNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPHASRVVGMITRKDLLLEDNDDSAVVELQSNSRFAR >itb01g16750.t3 pep chromosome:ASM357664v1:1:21193181:21202698:1 gene:itb01g16750 transcript:itb01g16750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQNGIETVNVAWSRLPSAEEDGDDDEVGTSKKIQGSSVESLDYEVIENYAYRREQAKKEKLYVGYLIVMKWFFSLLIGIGTGLVAVFINMSVENFAGWKYSLTFQIIQNSYFVGFLVYTLINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDTHGILLFRTLIGKIFGSIGSVGSGLALGKEGPLVHIGACIASLLGQGGSTKYHLSLRWLQVLNNERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISGGQEDYSFEELLPMAVIGVIGGLLGALFNQLTLFISHWRRNYLHRKGIRVKIIEACVISVITSVISFGLPLFRRCTPCPDPDVNSSIECPRAPGMYGNYVNFHCQNSNEYNDLATIFFNTQDDAIRNLFSAKTVHEFSAQSLLTFLVMFYTLAVVTYGTAVPAGQFVPGIMIGSTYGRLVGMFVVSFYRKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEISNNLTFLPLIMLVLLISKAVGDVFNEGLYEEHARLRGIPLLESRPKYHMRYVTAKETSGNQKVIYFPRVVKVADIVSILRSNKHNGFPVLDHTRSGETLVIGLILRSHLLVLLQSKVDFQHSPLCSDVRGGSLPIRHNLCEFVKPVSSKGICINDIHLTQDDLEMYIDLSPFVNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPHASRVVGMITRKDLLLEVNYTWSCV >itb10g03820.t1 pep chromosome:ASM357664v1:10:3568775:3569288:1 gene:itb10g03820 transcript:itb10g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVCFTPRKKFRKLLARALGKPLNQEFIQPLNENAMRLNEAEETIELRDSLHRLHRKLLLLENKDSASEESLDESVIGDLDGLVPVSTIERLKTALKSERKALHAVYTELEEEISASAVVANQTMAMINRLQEEKGAMQM >itb07g04880.t1 pep chromosome:ASM357664v1:7:3302791:3303891:-1 gene:itb07g04880 transcript:itb07g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIGDRFQPKDYELLTILLRFVIGMGCHRTNIRHEDLYGDKDPWEIFRWDCNEKHQYFFTQLKRKSKTMKGSRINRTVGKSAGTWHGQDKAKPIIDKKTGALLGYKRSFLYQNKLEPEQDRQWLLKEFYLNDAVTATYPNLEDTKDFVLGRLHRKKRAGSEPHQEIKDVPVETILGILLEGAANSTATAMENLPTIEEKIDINPTEEEGILAPVLQESMQGENNNGADDSYCYINPTEEEGVLAPVLQESMQGENNNNGVDDSYCYINPTEQQILAPVLQESVQPVLQESVQGENYNNGGDDDMDLMFDLDILFDDPELLAIQQRLEDVGNYMGLTEEILQEPAGPLLGATINADQGGGCLQSY >itb10g22770.t1 pep chromosome:ASM357664v1:10:27502078:27503431:-1 gene:itb10g22770 transcript:itb10g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGFNKTAILFRVLLVAIGATLVLGQGTKVGFYATSCPTAESIVKATVKSHFQSDPKVAPGLLRMHFHDCFVQGCDGSILIDGSGTEKTAVFNQGLRGYEVVDDAKKQLEAACPGVVSCADILALAARDSVVLTSGLTWAVPTGRRDGRVSSAADAANLPGFTDSVDVQKQKFSDKGLSAQDLVTLVGGHTIGTTACQFFSYRLYNTSSATIDPSIDPSFLPTLQSLCPQGGDGTKRVSLDDGSETKFDTSFFSNLQKGHGILESDQKLWTDSSTKTFVQRFLGIRGLLGLTFSVEFGKSMVKMSNIGVKTGSDGEIRKVCSAFN >itb09g02910.t1 pep chromosome:ASM357664v1:9:1650780:1653694:-1 gene:itb09g02910 transcript:itb09g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLKILVLLLLLSATTFSHTVVDTLPGFPGKLPFKLQTGYIGVGKFQEVQLFYYFIESEKSPESDPLLLWLTGGPGCSALSGILYEIGPFTINYANSTGEIPSLELNQYAWTKVANIIFLDQPVGTGFSYAKTPEANYMSNDTLSAQLAYDFLIQWLLDNPKFLSNPLYIAGDSYTGIIIPQIVRKIYDGLESGIEPRLNMKGYVEGNPTTDKYADLNNRVVYAHRMGLLSDNLYESTKVSCNGDYMDEHPQNAACQYNLQRVSMCTEKINPLQILEPICSNENLLSLNGNSMGENLTSLLLLQKNWCRADNYLYSYIWANNKIVQKTLHVREETIREWVRCNESLVYEFDGERTEAYIYDVQSTVDYHKSFTNKSCRVLIYSGDHDMIVPHLSTEEWIESLEVGVEDEWRPWFADNQVAGYTMKYSQNEYELTYATVKGAGHTAPEYKPQQCLSMFQRWLSNYPL >itb06g21940.t1 pep chromosome:ASM357664v1:6:24428897:24431676:-1 gene:itb06g21940 transcript:itb06g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFDRWEKDPFFAAAEEVQESADRMETIYRTWIHVAKDKSNAWDAEELRRDLKTALGTTKWQLEEFDRAVKSSYTNRSGDDAEHRHMEFVVAIDCEIKKVAGSLAESDVSQGKPTSPWVRLDKGERDELALFLTGPAGLALENDEQQQTGKLGEDGKQSMPGCLRNSSSQTDKGLAEANEDKLGHRRTASASADIGAWKIAVSDDVSTMQPQPPPRKIPSFSGFMNTMESAAKLKFAKNGYRKFKNSDCHHEAAEGSLPQTQPSSRGSNTCYERSKSCLNGCDKCYDKQLYGWHGTVQRQFQRSQYYMLYSRPVRVVFLIILLCLIGFLALRM >itb06g21940.t2 pep chromosome:ASM357664v1:6:24428897:24431676:-1 gene:itb06g21940 transcript:itb06g21940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFDRWEKDPFFAAAEEVQESADRMETIYRTWIHVAKDKSNAWDAEELRRDLKTALGTTKWQLEEFDRAVKSSYTNRSGDDAEHRHMEFVVAIDCEIKKVAGSLAESDVSQGKPTSPWVRLDKGERDELALFLTGPAGLALENDEQQQTGKLGEDGKQSMPGCLRNSSSQTDKGLAEANEDKLGHRRTASASADIGAWKIAVSDDVSTMQPQPPPRKIPSFSGFMNTMESAAKLKFAKNGYRKFKNSDCHHEAAEGSLPQTQPSSRQHML >itb04g22140.t4 pep chromosome:ASM357664v1:4:27311278:27314097:1 gene:itb04g22140 transcript:itb04g22140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALQQRRALEEVIWGRNFFYEVSLYLVVAIWGLVFLSNSWISHGDLFKGEKPSDTISSEQSAEASLNVMTGKENILSESKISLENINSINGIEEQVYAGKSDTANSESEVSKSDRFSHTIPVGLDEFRSKAFNTKAQEVSGQAGSVTHRMEPGGEEYNYASASKGAKVLAYNKEAKGASNILSRDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSPVYPTDTWVKLGNFTAGNVKHARRFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVLEVYGVDAVERLLEDLISISDKLFSSDQTNKQRVVETPQPSPEVERNKNTDGNSETDPVLESSDTKRRLLTNGAPDQTEEIRPQHINRMPGDSVLKILMKKVQSLDINLSVLERYLEELNSRYGKVLSDFDNDIVMKDALLQQTTSDIKSLSESNVAMSKEINDLMSWKSITSMQLENIIRDNANLRLKVEEVRKNQVHMESKGIVILIVCLLFGILAVVRMFIHMVFAIFRSENSRKFCAIDSSWFFLLMSCITTVIILSL >itb04g22140.t2 pep chromosome:ASM357664v1:4:27310571:27314148:1 gene:itb04g22140 transcript:itb04g22140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALQQRRALEEVIWGRNFFYEVSLYLVVAIWGLVFLSNSWISHGDLFKGESGEASIRTLDEDKLGFDAGSNAVFPAGEKPSDTISSEQSAEASLNVMTGKENILSESKISLENINSINGIEEQVYAGKSDTANSESEVSKSDRFSHTIPVGLDEFRSKAFNTKAQEVSGQAGSVTHRMEPGGEEYNYASASKGAKVLAYNKEAKGASNILSRDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSPVYPTDTWVKLGNFTAGNVKHARRFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVLEVYGVDAVERLLEDLISISDKLFSSDQTNKQRVVETPQPSPEVERNKNTDGNSETDPVLESSDTKRRLLTNGAPDQTEEIRPQHINRMPGDSVLKILMKKVQSLDINLSVLERYLEELNSRYGKVLSDFDNDIVMKDALLQQTTSDIKSLSESNVAMSKEINDLMSWKSITSMQLENIIRDNANLRLKVEEVRKNQVHMESKGIVILIVCLLFGILAVVRMFIHMVFAIFRSENSRKFCAIDSSWFFLLMSCITTVIILSL >itb04g22140.t1 pep chromosome:ASM357664v1:4:27310571:27314148:1 gene:itb04g22140 transcript:itb04g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALQQRRALEEVIWGRNFFYEVSLYLVVAIWGLVFLSNSWISHGDLFKGEKPSDTISSEQSAEASLNVMTGKENILSESKISLENINSINGIEEQVYAGKSDTANSESEVSKSDRFSHTIPVGLDEFRSKAFNTKAQEVSGQAGSVTHRMEPGGEEYNYASASKGAKVLAYNKEAKGASNILSRDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSPVYPTDTWVKLGNFTAGNVKHARRFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVLEVYGVDAVERLLEDLISISDKLFSSDQTNKQRVVETPQPSPEVERNKNTDGNSETDPVLESSDTKRRLLTNGAPDQTEEIRPQHINRMPGDSVLKILMKKVQSLDINLSVLERYLEELNSRYGKVLSDFDNDIVMKDALLQQTTSDIKSLSESNVAMSKEINDLMSWKSITSMQLENIIRDNANLRLKVEEVRKNQVHMESKGIVILIVCLLFGILAVVRMFIHMVFAIFRSENSRKFCAIDSSWFFLLMSCITTVIILSL >itb04g22140.t3 pep chromosome:ASM357664v1:4:27311278:27314097:1 gene:itb04g22140 transcript:itb04g22140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALQQRRALEEVIWGRNFFYEVSLYLVVAIWGLVFLSNSWISHGDLFKGESGEASIRTLDEDKLGFDAGSNAVFPAGEKPSDTISSEQSAEASLNVMTGKENILSESKISLENINSINGIEEQVYAGKSDTANSESEVSKSDRFSHTIPVGLDEFRSKAFNTKAQEVSGQAGSVTHRMEPGGEEYNYASASKGAKVLAYNKEAKGASNILSRDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSPVYPTDTWVKLGNFTAGNVKHARRFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVLEVYGVDAVERLLEDLISISDKLFSSDQTNKQRVVETPQPSPEVERNKNTDGNSETDPVLESSDTKRRLLTNGAPDQTEEIRPQHINRMPGDSVLKILMKKVQSLDINLSVLERYLEELNSRYGKVLSDFDNDIVMKDALLQQTTSDIKSLSESNVAMSKEINDLMSWKSITSMQLENIIRDNANLRLKVEEVRKNQVHMESKGIVILIVCLLFGILAVVRMFIHMVFAIFRSENSRKFCAIDSSWFFLLMSCITTVIILSL >itb10g07950.t1 pep chromosome:ASM357664v1:10:9691291:9692507:1 gene:itb10g07950 transcript:itb10g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPSTTGTAVVIVMLVTTLLMVLIMLLVWHCHWILVVIFTCLSLVVECTYFSATLFKVDQGGWVPLVIAAAFLVIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELAGGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPDDERFLVKRIGPKNFRMFRCVARYGYKDLHKKDDEFEKKLFDNVFVFVRLESMMEGCSDSDEYSSLYGGQQTLLKMNNSNTIHTDLTISSVDTIVPVKSPQNTTSSSGCKSIQTEMDELEFLNKCRDAGVVHILGNTVIRASRESRFYKKIAINYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >itb01g01570.t1 pep chromosome:ASM357664v1:1:913864:918335:-1 gene:itb01g01570 transcript:itb01g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDKNDYYGGESSSLNLIQLWKRFRGNDQPPEQLGASKEYNVDMIPKFMMANGTLVRVLIHTDVTKYLTFKAVDGSFVYNKGKIYKVPATDVEALKSSLMGLFEKRRARKFFLYVQEFDESDPKTHQGMDLDKITAKEFITKYELEDDTIDFIGHSLALHTDDNYLAQPAIEFVKKVKLYAESLARFQSGSPYIYPMYGLGELPQAFARLSAVYGGTYMLNKPQCKVEFDDSGKAYGVTSEGETAKCKKVVCDPTYLTDKVHKVGKVARAVCIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYTHNVAPKGKYIAFVSTEAETDNPETELKPGVDLLGPVDEIFYETYDRFVPTNNCTADNCFISDSYDASTHFESTVRNVLEMYTKITGKAVDLSVDLSAASAGGEDK >itb09g25570.t1 pep chromosome:ASM357664v1:9:25653536:25661391:-1 gene:itb09g25570 transcript:itb09g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVLGFLEKNEEILDSGKFSEEKGISHDEMDIKRERWVLTEEGKIYATAGSPEVQLFLAITPEGITQEELQKKLDPAIFKVGWPQAMKNKWVEKGKSNVSRKVQNVDDTVKDLLMQIQNGEAVDPKDIDGLKRRKLIAQQSWKGYSVRKGPKYAPKRIKEATDLTRENLHSGDWKNLKEYNFSAKGQPVEGKEYNFSAKGQPVEGGHLHPLLKVRRQVQMIFLQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLKEPSTTRELPEDYVELVKKVHESGGYGSRGYGYDWKRDEANKNLLRTHTTAVSSRMLYKLAQNKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLSLGDLIGVLHDFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRELFGHKVDLGLIKKNPICRLGLN >itb01g25530.t1 pep chromosome:ASM357664v1:1:30945217:30946624:-1 gene:itb01g25530 transcript:itb01g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSSTIIPFHPQISINKTHPPRQWRSSSLPKTQAKLPEQHHQNRRNLVTALVATSLTLGLNLTPLAFAQNWGTRSFLRERFFEPGLSPEDAVARIRQTAEGLHGIENMLEKMSWKYVLFYLRQKAAYLSQDMKTAMSMVPQGRQASYVNTANQLVDNISEFDYYVRTPKVFESMVYYKKTLKSIDELVALLA >itb02g21900.t1 pep chromosome:ASM357664v1:2:20848392:20854420:1 gene:itb02g21900 transcript:itb02g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQCKENQALFSLQKEEEEKDFDLGSEEPETPFPFNITSRVLYMLGDITVGPAYRFTEWLELVRKRSGKIRSSGFPRRPHRADIMLSSPEESSADLKDPPPSEQAAEVSLWGRLGNAAMMDIESNTFSWSMLSSLHHTEHSSSTEQSEDEMNKALEVTVNSGGVVFFALFSQPQIDESSLKEAAAVIKISSSRMATQSERLGYEFAKWLGVRTPQARVIHNSSPEWLQIKEAAEKAKDVAISEGDEIAEMTCSELLEALELSRCLLLMNYIHGSPLLESSNVFDSQQDAEKTAAALGRVMVLDLVIRNEDRLPCRHLRWRGNSANLLLADKMAAANMDAQEVVFNSLINRCRPAVIKAFQKERRASSIDGNLTPPRGSGLVSQRSDLSDVTESPKSSNLSVKSLNLDESTSSDFHIVAIDSGVPRRPPAGKRANDQEIYPELVELIINCSEYSSNILHEITGGKLGSPTDDSEMRVDSHLANMTSIVHDFRNGFRAALRELQGFHIFLLTLHQKLENLLRVLITIIDRASSGDLEKEELVVPESPSLAGGFCGHLPSPPSRERLVTDNNLDSKNNLNSNDSDLQRSTPRPSSSGFKESSDGSSPVLRESWQGKFRGSGEPLHSLRLASKLRDFNKSAKVDAELNKELEQWNEMLKNDAIKLCLENNFNTGFFEGSDNNYVVDAYELKVRLEHILERIVLITNAANTEKPSLVLDSLFIGGALAARSVYTLQHLGITHILCLCTNEIGQSDSQFPDVFEYKNFSICDNEDSNISDLFEEAHDFIDHVEKIGGKVLVHCFEGKSRSAAVVLAYLMLRKNFSLLRAWNALKRVHRRAQPNDGFARILLELDKKLHGKVSMEWQQRRPTMKVCSICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALNTLKLNRGASVSPPPPPRNSHSNIH >itb02g21900.t2 pep chromosome:ASM357664v1:2:20848572:20854347:1 gene:itb02g21900 transcript:itb02g21900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQKEEEEKDFDLGSEEPETPFPFNITSRVLYMLGDITVGPAYRFTEWLELVRKRSGKIRSSGFPRRPHRADIMLSSPEESSADLKDPPPSEQAAEVSLWGRLGNAAMMDIESNTFSWSMLSSLHHTEHSSSTEQSEDEMNKALEVTVNSGGVVFFALFSQPQIDESSLKEAAAVIKISSSRMATQSERLGYEFAKWLGVRTPQARVIHNSSPEWLQIKEAAEKAKDVAISEGDEIAEMTCSELLEALELSRCLLLMNYIHGSPLLESSNVFDSQQDAEKTAAALGRVMVLDLVIRNEDRLPCRHLRWRGNSANLLLADKMAAANMDAQEVVFNSLINRCRPAVIKAFQKERRASSIDGNLTPPRGSGLVSQRSDLSDVTESPKSSNLSVKSLNLDESTSSDFHIVAIDSGVPRRPPAGKRANDQEIYPELVELIINCSEYSSNILHEITGGKLGSPTDDSEMRVDSHLANMTSIVHDFRNGFRAALRELQGFHIFLLTLHQKLENLLRVLITIIDRASSGDLEKEELVVPESPSLAGGFCGHLPSPPSRERLVTDNNLDSKNNLNSNDSDLQRSTPRPSSSGFKESSDGSSPVLRESWQGKFRGSGEPLHSLRLASKLRDFNKSAKVDAELNKELEQWNEMLKNDAIKLCLENNFNTGFFEGSDNNYVVDAYELKVRLEHILERIVLITNAANTEKPSLVLDSLFIGGALAARSVYTLQHLGITHILCLCTNEIGQSDSQFPDVFEYKNFSICDNEDSNISDLFEEAHDFIDHVEKIGGKVLVHCFEGKSRSAAVVLAYLMLRKNFSLLRAWNALKRVHRRAQPNDGFARILLELDKKLHGKVSMEWQQRRPTMKVCSICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALNTLKLNRGASVSPPPPPRNSHSNIH >itb13g20470.t1 pep chromosome:ASM357664v1:13:27293058:27293417:-1 gene:itb13g20470 transcript:itb13g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MXELCGEDRVGLLSDVTRIFRENGLSVSRAEVTTRGKQAVNAFYVTDASGCPVKSETIEAVRKEIGQTILRVKDDMYANSPPPQQQTGRFSLGNLFKSRSEKFLYNLGLIKSCSSSAVC >itb01g23080.t1 pep chromosome:ASM357664v1:1:29142304:29154768:-1 gene:itb01g23080 transcript:itb01g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYCSDCKKNTEVVFDHAAGDTVCSECGLVLESRSIDETSEWRTFADDSGDHDPNRVGGPVNPLLGDLGLSTVISKGPNSNGDASVARLQNRGGDPDRALVMAFKAIANMADWSVPRKPPSQKRATVKPIKPEAVVVISPDTKEEVKEKNSLRRKAAAEDSSRKTYTATLTARSKAACGLNIKLPKEKIEDIDAGDVYNELAVVEYAEDIYKFYKEAEDPAEADKPLKIQRELDETINILVSDVLLGKGNQEFAEDMAITQHSRNRRIKGGTLIVCPMALLGQWKDELEAHSKPDSISVFVHYVHYVGTLLDGSQFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGEKALFTIPPEMAYGESGSPPTIPPNATLQFEVELLSWMSVKDICKDGGIFKKILVEGEGWQKPKDVDEVFVKYDARLEDGTVVSKADRVEFTVEDGYFCPALSKSVKTMKKGEKALLTVKPQLTNDRKVLKKTIKEGEGYQLPNDSAVVKVKLMGKLQDGTVFVKKGYDEEPFEFTIDEEQVIDGLDKAVKTMKKGEIAVVTIQPEYAFGSSESPQELAVVPGNSTVYYEVEMVSFIKDKESWEMNTQEKIEAAKAKKEQGNVLFKAGKYERASKRYEKVKANIYFFNAIEYKVHSYNRNGLCVLGFMDDHYPVRSAFSLLNQDPAEADKMLKIQRELDGTKIFLVKALAMSNVLKWTFLLNVFSFLAVKLMGKLQDGTVFVKKGYDEEPFEFTIDEEQVIDGLDKAVKTMKKGEIAVVTIQPEYAFGSSESPQELAVVPGNSTVYYEVEMVSFIKDKESWEMNTQEKIEAAKAKKEQGNVLFKAGKYERASKRYEKVKANIYFFNAIEYKVHSYNRNGLCVLGFMDDHYPVRSAFSLLNQDPAEADKMLKIQRELDGTKIFLVGFNSQPSKLVGSRGFINRYFDFDNWQRVTAIVTTNLNKIIDVNFYPVETAKNSNCVAGSLGLVSKADTFILLGMAFYSPEAEDLNTEIFETIYYHALKASSDLAAKEGPL >itb07g21420.t1 pep chromosome:ASM357664v1:7:25808500:25809754:1 gene:itb07g21420 transcript:itb07g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDLGTLSGTTATAPGTGTGFSTTNTENLADWLPPVHADESEKRKKKKRSSSFGFLRAASMIFRTKSIEKQQKKERVSDEDGKQGRSNNWKNIVGSMRPLTLQQKSPAVSPSPTVEDLQALVPQGGLESPAPSYVSSSGSSSRYASAANLQELDSCDDVDDPDEVFDAITGDDMIDAKAEQFINQFYQQMRLQTAPKQY >itb02g08330.t1 pep chromosome:ASM357664v1:2:5245673:5247898:-1 gene:itb02g08330 transcript:itb02g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEATTYHMAMYPWFGVGHLTAFFRLANKLANKGHRISFFIPKNTQSKLASFNLHPHLISFVPITVPSIPGLPPGAETTSDVPFSSTHLLMEAMDKTQSDIEIILKNLQVDVVFFDFTHWLPSLARKIGIKSVFYSTISPLMHGFALSPERRVAGKQLTEADMMKAPASFPDPSIKLHAHEARGFTARTVMKFGGDITFFDRIFIAVSESDGLAYSTCREIEGQFCDYIETQFKKPVLLAGPALPVPSKSTMEQKWSDWLGKFKEGSVIYCAFGSECTLRKEQFQELLWGLELTGMPFFAALKPPFETDSIEAAIPEELREKIQGKGIVHGGWVQQQLFLQHPSVGCFVSHCGWASLSEALVNDCQIVLLPQVGDQIINARIMSVSLKVGVEVEKGEEDGVFSRESVCKAVKVVMDEKSEIGRELRGNHDKLRGFLLNADLDSKYMDSFNQKLQDLLG >itb05g11890.t3 pep chromosome:ASM357664v1:5:18115479:18126518:-1 gene:itb05g11890 transcript:itb05g11890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHFNVNDDEGTSHSDRRTSLDDFEACSKLKDLNLDVRKKFNGTRVGSSEENIIGREVVSCIPIHEVIFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEDPKVMLEELEKAIARSEGSWSGSSHSQSSVEKAISAQAKFEDWEIDRRLLKIGKKIASGSCGDLYRGVYLGLDVAVKVLRSEHLNDSLKKEFAQEVAILRQVQHKNVVRFIGACSKPPLLCIVTEYMSGGSLYDYLHRYHNTLKLSQLLRFAIDVCKGMEYLHQNNIIHRDLKTANLLIDEDKVVKVADFGVARFQSKEGVMTAETGTYRWMAPEVINHQAYDQRADVFSFAIVLWELATAKVSISLRKKTPAVLFER >itb05g11890.t1 pep chromosome:ASM357664v1:5:18115479:18126537:-1 gene:itb05g11890 transcript:itb05g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHFNVNDDEGTSHSDRRTSLDDFEACSKLKDLNLDVRKKFNGTRVGSSEENIIGREVVSCIPIHEVIFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEDPKVMLEELEKAIARSEGSWSGSSHSQSSVEKAISAQAKFEDWEIDRRLLKIGKKIASGSCGDLYRGVYLGLDVAVKVLRSEHLNDSLKKEFAQEVAILRQVQHKNVVRFIGACSKPPLLCIVTEYMSGGSLYDYLHRYHNTLKLSQLLRFAIDVCKGMEYLHQNNIIHRDLKTANLLIDEDKVVKVADFGVARFQSKEGVMTAETGTYRWMAPEVINHQAYDQRADVFSFAIVLWELATAKVPYNTMTPLQAAVGVRQGLRPEIPTDSHPKLVELMQRSWKEGPSDRPSFSDLRVQLEELLKDVNVEEDATEAAKGS >itb05g11890.t2 pep chromosome:ASM357664v1:5:18115479:18126518:-1 gene:itb05g11890 transcript:itb05g11890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHFNVNDDEGTSHSDRRTSLDDFEACSKLKDLNLDVRKKFNGTRVGSSEENIIGREVVSCIPIHEVIFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEDPKVMLEELEKAIARSEGSWSGSSHSQSSVEKAISAQAKFEDWEIDRRLLKIGKKIASGSCGDLYRGVYLGLDVAVKVLRSEHLNDSLKKEFAQEVAILRQVQHKNVVRFIGACSKPPLLCIVTEYMSGGSLYDYLHRYHNTLKLSQLLRFAIDVCKGMEYLHQNNIIHRDLKTANLLIDEDKVVKVADFGVARFQSKEGVMTAETGTYRWMAPEVINHQAYDQRADVFSFAIVLWELATAKVPYNTMTPLQAAVGVRQGLRPEIPTDSHPKLVELMQRSWKEGPSDRPSFSDLRVQLEELLKDVNVEEDATEAAKGS >itb14g20170.t1 pep chromosome:ASM357664v1:14:22644532:22647269:1 gene:itb14g20170 transcript:itb14g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQPYRKSDVEAGGRQLYPTMLENPQLRWSFIRKVYSIVSFQLLLTVAVASVVVTVHPIKHFFASTGAGLAVYIVLIITPFIVLCPLYYYHQRHPYNYFLLGIFTVAISFAVGLTCAFTKGRIILESAVLTAAVVISLTLYTFWAAKRGHDFNFLGPFLFGAVMVLMLFALIQIFFPLGRISLMIYGGLASLIFCGYIIYDTDNLIKRYSYDEYIWASVSLYLDVINLFLSLLTLLRAADS >itb04g24520.t1 pep chromosome:ASM357664v1:4:29321951:29324140:1 gene:itb04g24520 transcript:itb04g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERAKEAGGDSKNGDSNDEVFPGVNDSKGKTKVEFPSSSSSLSSTELRSCDELNERSDESDAKEGPEETTPLSEWEDPMAVELEKLLIPNIKKATLTAMKKIVECGFTEEQAEWAVLNSGSYQGYFDIISNIFTGAWVVLTCSNKDGLDFSIPLFDGIESLAAYILLEMVCVLKEVKPFLSVAEAMWLLLICDLNVLEAVEHGTVNTNPVLCSCCTSKHSECKNDTPRAASRDQGGVKREWECTICLTKATSVAFLPCAHQVLCKDCNTLHENQGMKDCPSCRTPIVQRIHARFPPRRPH >itb04g22870.t1 pep chromosome:ASM357664v1:4:28118137:28128255:-1 gene:itb04g22870 transcript:itb04g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MALAVAHPPPSAYCRCDEASHLSRTALRFYHHQKKTDSCSWLRAGALHLAGTKPVELRAGWMRRPRSRPIVASLGGLFGGIFKSTDSGESTRQLYASTLALINASDSQMSSLSDSQLRDMTATLQQRARSGESLDSLLPEAFSVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALTGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYLCDITYTVDELVLRRFNYCVIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKIAAAFEQDIHYTVDEKQKNVLLMEQGYADAEEILDVKDLYDPREQWASYLLNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESSEFESIYNLKVTIVPTNKPMIRKDESDVVFRATTGKWRAVVVEISRMHKIGRPVLVGTTSVEQSDALSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPAEGVFVSVKKPPPKKTWTVKDSLFPCELSKESSKLAEEAVQLAVKTWGLRSLTELEAEERLSYSCEKGPVKDEVISNLRNSFLDIMGEYKYYTEEEKRKVISAGGLHVIGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRIYTERRMALEADDLQPLLIEYAELTMDDILEANVSPDSPRDTWDFEKLIAKVQQYCYLLSDLTPTLLADKCSNYEEMREYLRLRGREAYQQKRDIVEKQAPGLMKEAEKFLILNNIDRLWKEHLQALKFVQQAVGLRGYAQKDPLIEYKLEGYNLFIEMMAQIRRNVIYSVYQFQPVLVKEQDQKRQNTENVNANGRGGNEKKSRDEVANNLTA >itb01g11370.t1 pep chromosome:ASM357664v1:1:10222880:10244117:1 gene:itb01g11370 transcript:itb01g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSCRLESSFIGFPLTRNLNGRKRGSLGYRNVVRIPKRDTYKFKCSKKDDWISRGVNFTHYFGRNVQLLWKNFALRSGGLMYSVKEPLVLSKSLVKSMVPIWQERLLLLRSPAFYVVVSGFFLLFWYSLRKAKSYAETKLLPSVCASLSDYIQRDIDLGKVRGISPLSITLESCSIGPHSEEFSCGEVPSVKIRILPFASLRNRKIVIDAVLSNPSVLVAQKKDFTFLGIPFSEGSLQRHLSDEEGIDYRTKTRRIAREESAARWARERDNAAREAAEKGYVVREWISCLSEGDFTKDGVNPLEKLATTESFFCMDEKLHWRARHAVDTGGEYDMKHADLEKAFGVKIPPSGATFWSRIIPPSVKHKFKKANGKELSAASVAAKRRILERSASAARIYFQALSNGNCGNCTQSSGIFESKSDVNSLVKGDGGTTAVSEEGVTSADYAEGIKGADNTKLELFMHDSTSKELRQNLKSEDICGKILGGYLLLGEKLDSGCIGSIRILRDPFLFTLSRLCKTTNLSEKLSVMDVTRLRETSRRDINCEELGVHIINRDSSAIWESNWSTDLVQESHSGPLGSQGDQEVGLFSLKSVLNNMREAVCLLITNPLNRPNLEMAPRMEDISELAEDIEEDVVGIEKSNPVILDSVHFRGGTLMLLAYGDTEPREMENATGHVKFQNHYDRVHVQVSGGCKMWRSEMTSEDGGWLSSDVYVDIPEQKWHANLKLVNFFIPLFERILEIPITWSEGRASGEVHICMSRGENFPNLHGQLDVTKLAFQIYDSPSWFSDMSANLCFRAQRIFLHNTSGWFGDVPLEASGDFGIDPEEGEFHLMCRVPSVEVNALMKTFKMKPLLFPIAGSVTAAFNCQGPLDTPIFVGSAVSRKIAHLANELPVSIAYETVIKNKEAGAVAAMDCVPFSYISANFTFNTDNCVADLYGIRASLVDGGEICGAGNAWICPEGEIDDTAMDVNFSGNLSFDNIMDRYVPDFLQVMPLRLGVLNGETKLSGSLLKPRFDIKWNAPKAQGSLTDARGDIILTHDYITVNSSSIAFELYSKVLTSYPDKYWLIPRDYYEKTALPIVVEGLELDLRMRNFEFFSSVSSYAFDSPRPVHLKATGRIKFRGKVVNSLSATDEQVLHSDMESEYAVIKDNNGSHILSGDVSISGLKLNQLMLAPQLAGVLSMTNEGLKLDATGRPDESLAVEIIGPFQPLSEENIIGKLFSFSLQKGHLKANVCYQPLHSANLEIRNLPLDELELASLRGTIQRAEVQLNFQKRRGHGLLSVLRPKFSGMLGEALDVAARWSGDVITIEKTILEQSNSKYELQGEYVLPGSRDRNSSEKEGGSLFHRAMTGHLGSVISSMGRWRMRLEVPKAEIAEMLPLARLLSRSPDPAVQSRSKDLFIQSLQSVGLYAESLQKLLEEIRVLFTPLDEVVLEDFNLPGLAELKGCWRGSLDASGGGNGDTMAEFDFHGEEWEWGTYKTQRVLAAGAYSNNDGLRLERILIQRDNATIHADGTLLAPKTNLHFAVLNFPVSLVPTLVQVIETTATEAVHSLRQFLAPIKGILHMEGDLRGSLAKPECDVQVRLLDGTIGGIDLGRAEIVASLTPTCRFLFNAKFEPTIQNGHVHIQGSIPVTFVQNNDLEEDNTESDKNEVMWKRSWGTGRSKGPTDEGSDRRGPRERNEEGWDIQMTENLKDLSWNVLDTGEVRIDADIKDAGMMLLTALSPYANWLQGSAEVMLQVRGTVEQPVLDGSASFHRATISSPVLRKPLTNFGGSVLVNSNRLRISSMEGRVNRKGKLSVKGNLPLRTGEASASDKIDLKCEVLEVRAKNIFSGQVDTQMQIRGSVLQPNISGKIKLSHGEAYLPHDKGSGTTPFNRGTTDHRTPPPDGFDHLVVSKYVSHFLNLKPAASSIQFHQSSGKHAEADKEMVQIDSNPKFDIRLSELKLVLGPELRIVYPLILNFAVSGELELNGIAHPKWIKPRGILTFENGNVNLVATQARLKRDHLNIAKFEPENGLDPMMDLALVGSEWQFRIQSRASKWQDKIVVTSTRSVEQDVLSPYEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGHARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRLLLQSAPYKRLLFEYSTTSQD >itb01g11370.t2 pep chromosome:ASM357664v1:1:10223525:10243996:1 gene:itb01g11370 transcript:itb01g11370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MREAVCLLITNPLNRPNLEMAPRMEDISELAEDIEEDVVGIEKSNPVILDSVHFRGGTLMLLAYGDTEPREMENATGHVKFQNHYDRVHVQVSGGCKMWRSEMTSEDGGWLSSDVYVDIPEQKWHANLKLVNFFIPLFERILEIPITWSEGRASGEVHICMSRGENFPNLHGQLDVTKLAFQIYDSPSWFSDMSANLCFRAQRIFLHNTSGWFGDVPLEASGDFGIDPEEGEFHLMCRVPSVEVNALMKTFKMKPLLFPIAGSVTAAFNCQGPLDTPIFVGSAVSRKIAHLANELPVSIAYETVIKNKEAGAVAAMDCVPFSYISANFTFNTDNCVADLYGIRASLVDGGEICGAGNAWICPEGEIDDTAMDVNFSGNLSFDNIMDRYVPDFLQVMPLRLGVLNGETKLSGSLLKPRFDIKWNAPKAQGSLTDARGDIILTHDYITVNSSSIAFELYSKVLTSYPDKYWLIPRDYYEKTALPIVVEGLELDLRMRNFEFFSSVSSYAFDSPRPVHLKATGRIKFRGKVVNSLSATDEQVLHSDMESEYAVIKDNNGSHILSGDVSISGLKLNQLMLAPQLAGVLSMTNEGLKLDATGRPDESLAVEIIGPFQPLSEENIIGKLFSFSLQKGHLKANVCYQPLHSANLEIRNLPLDELELASLRGTIQRAEVQLNFQKRRGHGLLSVLRPKFSGMLGEALDVAARWSGDVITIEKTILEQSNSKYELQGEYVLPGSRDRNSSEKEGGSLFHRAMTGHLGSVISSMGRWRMRLEVPKAEIAEMLPLARLLSRSPDPAVQSRSKDLFIQSLQSVGLYAESLQKLLEEIRVLFTPLDEVVLEDFNLPGLAELKGCWRGSLDASGGGNGDTMAEFDFHGEEWEWGTYKTQRVLAAGAYSNNDGLRLERILIQRDNATIHADGTLLAPKTNLHFAVLNFPVSLVPTLVQVIETTATEAVHSLRQFLAPIKGILHMEGDLRGSLAKPECDVQVRLLDGTIGGIDLGRAEIVASLTPTCRFLFNAKFEPTIQNGHVHIQGSIPVTFVQNNDLEEDNTESDKNEVMWKRSWGTGRSKGPTDEGSDRRGPRERNEEGWDIQMTENLKDLSWNVLDTGEVRIDADIKDAGMMLLTALSPYANWLQGSAEVMLQVRGTVEQPVLDGSASFHRATISSPVLRKPLTNFGGSVLVNSNRLRISSMEGRVNRKGKLSVKGNLPLRTGEASASDKIDLKCEVLEVRAKNIFSGQVDTQMQIRGSVLQPNISGKIKLSHGEAYLPHDKGSGTTPFNRGTTDHRTPPPDGFDHLVVSKYVSHFLNLKPAASSIQFHQSSGKHAEADKEMVQIDSNPKFDIRLSELKLVLGPELRIVYPLILNFAVSGELELNGIAHPKWIKPRGILTFENGNVNLVATQARLKRDHLNIAKFEPENGLDPMMDLALVGSEWQFRIQSRASKWQDKIVVTSTRSVEQDVLSPYEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGHARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRLLLQSAPYKRLLFEYSTTSQD >itb02g14430.t1 pep chromosome:ASM357664v1:2:10515997:10525182:-1 gene:itb02g14430 transcript:itb02g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRDLVTGGAACAVPGSSSSNPFGALANTLIGSSSKTQERLKEIPTSVTTPSDGNFGVGVQEPLATLPGSEIDHPFQPNLQGSEFLQGFRTADQNSFADVWDGIQRPQLPHSNFPLDNSQLQPELNGPPQRVLSNFLHSFVNSSHGGIPFRPASLPALGLSQGEKQCIRDRSTIMARHFFADKNEDFINAQVNALLCSLDIDADIRARGPMPGRLPELEDYWNESQGIKTMPQVADSWAAEFGQRHMNPDTNAWVRSFEQQNGVNGWASEFEHEQSQLGMIDQMRGANIPSLAAMEQTRMLAHTLAQNRDPKFQNSRFLQFVSKMSRGEITIEDNQIKPAASAPGDWATEYEEQYSGVQTWADQYEREGLSHGPNGWANEFAAEQGQHGSVNDEWVDEFSKLNVDDWADEFGRQVAEGALGETSADNWVDAYDEYVNEQTALKQRSETSRGIYEFSDLNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMRAHEADPTNLEVLLALGVSHTNELEQAAALKYLYSWLRHHPKYGSIAPADQPESLYYADVARLFNDAAQMSPDDADVYIVLGVLYNLSREYDKAIESFKIALKLKPRDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRTLAMNPKADNAWQYLRISLSCASRNDMIEACDSRNLDVLQKEFPL >itb12g09200.t1 pep chromosome:ASM357664v1:12:7242901:7243248:1 gene:itb12g09200 transcript:itb12g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKLKLSYNGRSSSSTSLLDSSWSVNNLTNVCNWVGIICNRGGSVSELNLPHGNIIGTLEPFCFSLLPNLTRINLNNNSLSGVIPSAINGLLKLTFLDLSNNNFTGAIPVEVG >itb03g02700.t1 pep chromosome:ASM357664v1:3:1538831:1540852:1 gene:itb03g02700 transcript:itb03g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISGRATALLLLIFIWPVIAAENGGSEDTTKLQFREAPKFYNSPICPSLNWVTAAAAGGGGGLSGRLCFENAVHVAMTLDSAYLRGSMAAIFSVLQHSSCPENIVFHFAAAASADAAASLNHTIAKSFPYLRFGIYRFDDSAVAGLISTSIRAALDCPLNYARNYLADLLPPCVRHVVYLDSDLVLVDDVAKLAATPLHNDAVLAAPEYCNANFSAYFTPTFWSNPSLSLTFANRKACYFNTGVMLIDLRRWRAGDYTTKIVEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDVLWAPYDLLQTPYVLES >itb15g13200.t1 pep chromosome:ASM357664v1:15:11168446:11172641:1 gene:itb15g13200 transcript:itb15g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVEDSNFEDDQLASMSTEDIVRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEFDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVIHEDFNEGIIQVQAKKKASLNYYA >itb14g12180.t2 pep chromosome:ASM357664v1:14:13789423:13792871:1 gene:itb14g12180 transcript:itb14g12180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISNPYNDKAVGFKKEAETIINQLIYGSKEREVVLITGMGGLGKTTLARRLYKEKIVANHFDSNAWGTISQEYDYKDLLNKIYSQVCGREIEIDNVAEELRKSLMGRRYLIVLDDIWSVKAWEELNRVFPSCDNGSRIVLTSRQERVVSDAKHICLPFFTTDESWELLQVKLFKGSRCPEELENVGKEISKKCGGLPLVVCLIAGLLERVEKSEQMWHEFLLTINSCAEFRDGIRSKDAIKLSYHHLSYNLKHCLLYFAAFPEDKRIEVSYLIKLWISEGFIDIKEEERVEDTAKYCLNHLVGSNLVMVSERKYDGGILSCVVHDLVRDFCLAKVKEENFLHIIKMEDKLNSTLEFTPHRISFHRYGDNLIPNELVPWNSSIHTLLGYPKVHRNNGAKVYNVSWVGKKFEHLTILDIEFIGVDKLILSEINSLIHLRYLALYLCGCGSVSPLSLKNLEGLIKLTSYKDLHLPKYFWNMKSLRHMTIHHYNCDSCPTVPTPVNETISGLEVLQTLDLKTSLSTRDEHLLRKLPHLKYLSCLVSSSYSFAEIDILHHLESLRLYNSCDDKPYIHENPHLLNDLKLSKFPSGIKKINLEGITLSSSAISIIAQLSNLEALILACCKFEEGLEWNVDEETQFHKLKYLQLDHLDIRIWNICSVESFPCLEQVILDYCMELREVPYTLADISTLKLLSVRSCHNSIESSAKKIEEDVRDIGNEQLIVEIISSEMCRLLIFNSL >itb14g12180.t1 pep chromosome:ASM357664v1:14:13789423:13792871:1 gene:itb14g12180 transcript:itb14g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNISNPYNDKAVGFKKEAETIINQLIYGSKEREVVLITGMGGLGKTTLARRLYKEKIVANHFDSNAWGTISQEYDYKDLLNKIYSQVCGREIEIDNVAEELRKSLMGRRYLIVLDDIWSVKAWEELNRVFPSCDNGSRIVLTSRQERVVSDAKHICLPFFTTDESWELLQVKLFKGSRCPEELENVGKEISKKCGGLPLVVCLIAGLLERVEKSEQMWHEFLLTINSCAEFRDGIRSKDAIKLSYHHLSYNLKHCLLYFAAFPEDKRIEVSYLIKLWISEGFIDIKEEERVEDTAKYCLNHLVGSNLVMVSERKYDGGILSCVVHDLVRDFCLAKVKEENFLHIIKMEDKLNSTLEFTPHRISFHRYGDNLIPNELVPWNSSIHTLLGYPKVHRNNGAKVYNVSWVGKKFEHLTILDIEFIGVDKLILSEINSLIHLRYLALYLCGCGSVSPLSLKNLEGLIKLTSYKDLHLPKYFWNMKSLRHMTIHHYNCDSCPTVPTPVNETISGLEVLQTLDLKTSLSTRDEHLLRKLPHLKYLSCLVSSSYSFAEIDILHHLESLRLYNSCDDKPYIHENPHLLNDLKLSKFPSGIKKINLEGITLSSSAISIIAQLSNLEALILACCKFEEGLEWNVDEETQFHKLKYLQLDHLDIRIWNICSVESFPCLEQVILDYCMELREVPYTLADISTLKLLSVRSCHNSIESSAKKIEEDVRDIGNEQLIVEIISSEMCRLLIFNSL >itb08g14360.t1 pep chromosome:ASM357664v1:8:16146173:16152150:-1 gene:itb08g14360 transcript:itb08g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPSEQALAELFGGKNNGGDKDIISSGDLDQHPSLFLINQDIMHGLSSFNPLSDHANFWSKKTTPSHGPGDAQSSTCVGFRNSSIKPNGSDNQMTGATSGSSHELYEDDDMEAEGGDACEQSGVNNTDVKRKNRESARRSRKRKQEQLQELENQVDQLRGENASLFKQLTDAAQQYKDSSTNNRVLKSDVEALRAKVKLAEDMVTRGSVNSSLSHLIQNCLTAPATLGNNNVCRLDNMCSTIISVPEGLQRLPLIQQGGPTPTMRLQNNVDSYTDSNLKNNNNGVMSEVVSCVSDMWSPLASYDQK >itb08g14360.t2 pep chromosome:ASM357664v1:8:16146173:16152150:-1 gene:itb08g14360 transcript:itb08g14360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPSEQALAELFGGKNNGGDKDIISSGDLDQHPSLFLINQDIMHGLSSFNPLSDHANFWSKKTTPSHGPGDAQSSTCVGFRNSSIKPNGSDNQMTGATSGSSHELYEDDDMEAEGGDACEQSGVNNTDVKRKNRMASNRESARRSRKRKQEQLQELENQVDQLRGENASLFKQLTDAAQQYKDSSTNNRVLKSDVEALRAKVKLAEDMVTRGSVNSSLSHLIQNCLTAPATLGNNNVCRLDNMCSTIISVPEGLQRLPLIQQGGPTPTMRLQNNVDSYTDSNLKNNNNGVMSEVVSCVSDMWSPLASYDQK >itb01g11860.t1 pep chromosome:ASM357664v1:1:11233906:11235307:1 gene:itb01g11860 transcript:itb01g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPADRVAPWVDLPRDLTANILQRLNVEDIFQSAQVCSAWWRICQDPSMWRYVDMWDVVAEPGKRRDWHKICIEVVNRSEGQLISIKLGHFASHRLLLYIAIRGPDNGFSKAVNGFPLLEELQLEHCSISKRGIVAAGQSCPFLNSFSFVKASVCGYFNGSSDEEAVAIAESMHGLKHLTLVGNVMTDKGVEAILDGCPSLQSLNLDHCNNVRLEGELGKRCSQQIKDLMNHTQKSLARFCGDLFYQLCPR >itb08g08540.t1 pep chromosome:ASM357664v1:8:7499780:7500725:-1 gene:itb08g08540 transcript:itb08g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMPREAGEKRDEIIEETAEVKREGENDGKKKLRIKIVVTKDELDWLVFQLKFREGKSLEDVLGELERGRGKASSWKPSLQSISESPAEVHAYA >itb09g02310.t1 pep chromosome:ASM357664v1:9:1348297:1350652:-1 gene:itb09g02310 transcript:itb09g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSWLSMIVLMMMLMGMAVEATPPGIEYHPSNSHCTDDEIKQCKNLPHVCPKFCPNGCITECRSCKPICVDGPAPPPADSTPPRKSDGNANNPPKPPSSSPSPTPKPPTPSPPQSPKPPAPSPKPQTPSPKPPTPSPKPPPSPSPKPPTPSPKPQTPSPSPSPKPPTPSPPPSPKPQTPSPSPSPKPPTPSTPPYPKPQTPSPSPKPPTPSPKPQTPSPSPKPPTPSPKPQTPSPSPKPPTPSPKPQTPSPSPEWPTPSTTSPKKVRCKLSTYPTCYAVEHQCPAACTGGCQVDCVSCKPVCKCDMPGAVCQDPRFIGADGLTFYFHGKKDRDFCLVTDPNLHINAHFIGRQNPKMNRDFTWVQSIAVLYNNHTVFIGAQKTATWNDAVDRLSLSFDGEPILLPDTEGATWLSKSSPASKITRTGDTNDVTLEIENTATITARVHNYGITGEDCFAHLELGFKFLSLSSDEVDGVLGQTYRRDYVSRVKMGVVMPVIGGEKEFASSGLFXNITAGERGRLRRFWSCPA >itb14g20630.t1 pep chromosome:ASM357664v1:14:22940133:22947746:-1 gene:itb14g20630 transcript:itb14g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 33B [Source:Projected from Arabidopsis thaliana (AT2G48110) UniProtKB/Swiss-Prot;Acc:F4IN69] MAVVTVQPSHWWDTALELTKLAQERGTDPLVYAMQLSSTLNAAGVAVPSTDVAALLVSHICWANNVPITWKFLEKALTIRVVPPLYVLALLFSRVIPNRRSYPVAYRLYMELLKRYVFSLTSMINGPNHQKIMASINEALNLSQIFGLPECEAGLIMVEFVFAIVWGLVDASLDDEGLLELTTEKESRWLVRTQDMEIDNRDTFDAKKAEQHELLFKKNTIMATEILGEFFRNKVTSRILYLVRVNMPAHWESFIQHLRLLASNSTALRNSKTISPEALLQLTSDTNAFLPIECKTSTLHRFNAVVTSSLVSSASQCHGTSPSAYWLPIDLFLEDAMDGTQVAATSAAETLTVLVKALQAVYRTTWQDTFLGLWIAALRLVQRERHSSEGPVPRLDTCLCMLLSITPLAIVNIIEEEEKELNLANQGKESVGERRQDLVSSLKQLDDYEGLLTPPLQVASVANQAAAKAMMFLSGLTIPSGYFDGMSMNDMPMNCAGNLRHLVVEACIARNILDTSAYFWPGYVKGRCNQIPCGISGQIPGWSSLMKGSPITPSVISVLVSTPASSLAEIEKIYEIAVSGSDDEKISAATVLCGSSLTRGWNIQEHIVLFITRLLSPPVPKDYTGSESHLIGYAPYLNVLLVGISSVDCIQIFSLHGLVPQLAGALMPICEIFGSCAPNISCTLTTGEEISSHAVFSNAFTLLLKLWRFDQPPIEHVMGELRPVGSNLTPEYLLLVRNCQLSANENTQNQIKCKRFSRLLEPWFREPIFMDSFPKLKCWYRQNQTCIASTLSGLVPGTPVHQVFEALLNFMFRKISRGAQPTTSGSSNSSGSGEDLSMRLKIPAWDILEAIPFVLDAALTACAHGRLSPRELATGLKDLADFFPASLATIVSYFSSEVTRGLWKPASMNGTDWPSPAANLALVEQQIKKILAATGVNVPSLAVGGTSPSTLPLPLAALVSLTITYKLDRATDRFLNLVGPALSNLATGCPWPCMPVIASLWAQKVKRWSDFLVFSASRTVFHHNSDAVVQLLRVCFRATLGLNTSITASSGGVGALLGHGFGSHFSDGISPVAPGIMYLRVHRAVRNVLFMAEEIVSLLMCFVRDIANSGLPPENLEKLKKTKYGMRYGQVSLAAAITRVKLAASLGASLVWITGGLGLVQSLVKETLPSWFISVHASEPQTGGSGGMVEMLRGYALAFFAVLSLTFAMGVDSTAMASRRAKVLATHMEFLASALEGKITLGCNGATWRAYVLGFVIMMVNCTPNWMTEVDVGVVKQLSRGLKQWNEEELALGLLGVSGVRGMGAAAEMIIESGV >itb07g03420.t1 pep chromosome:ASM357664v1:7:2289008:2292214:-1 gene:itb07g03420 transcript:itb07g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEMMAIAAVGNNLITYVFNDMHFPLSKSANIVTNFIGTVFFLSLFGGFLSDSYLGSFWTMLIFGFIELSGFVLLAVQAHLPQLRPEKCDMGLSRGQCPEAKGYKAMIFYLALYLVALGSGCLKPNIISLGADQFRKDHPKQSKKLSTYFNCAYFAFCSGELIALTVLVWVQTHSGMDLGFGVSAAAMAAGLVSLISGSFWYRNKPPRGSIFTPIAQVFVAAITKRKQICPSNSEMLHGSRSINMASKSSSLIHTDKLRFLDKACIKIQNGAESPWRLCTVTQVEQVKILISVVPIFACTIIFNTILAQLQTFSVQQGSVMNTRLGAKSFQIPPASLQAIPYIMLIFLVPLYEMVFVPIARRFTLKDSGISPLQRVGIGLFIATFSMVSAAAVESKRRNSAATLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKITSTEQKGGWLSDNDLNRDRLDLFYWLLAALSLINFFNYLFCSRWYSYNPSVSPTLNQSQPHICDLETANSNSL >itb07g03420.t2 pep chromosome:ASM357664v1:7:2289629:2292173:-1 gene:itb07g03420 transcript:itb07g03420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGTKKVGFRGESEDSQEVSVDWKGRPCRDDKHGGMAAAVFVLGLMSFEMMAIAAVGNNLITYVFNDMHFPLSKSANIVTNFIGTVFFLSLFGGFLSDSYLGSFWTMLIFGFIELSGFVLLAVQAHLPQLRPEKCDMGLSRGQCPEAKGYKAMIFYLALYLVALGSGCLKPNIISLGADQFRKDHPKQSKKLSTYFNCAYFAFCSGELIALTVLVWVQTHSGMDLGFGVSAAAMAAGLVSLISGSFWYRNKPPRGSIFTPIAQVFVAAITKRKQICPSNSEMLHGSRSINMASKSSSLIHTDKLRFLDKACIKIQNGAESPWRLCTVTQVEQVKILISVVPIFACTIIFNTILAQLQTFSVQQGSVMNTRLGAKSFQIPPASLQAIPYIMLIFLVPLYEMVFVPIARRFTLKDSGISPLQRVGIGLFIATFSMVSAAAVESKRRNSAATLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKITSTEQKGGWLSDNDLNRDRLDLFYWLLAALSLINFFNYLFCSRWYSYNPSVSPTLNQSQPHICDLETANSNSL >itb07g19600.t1 pep chromosome:ASM357664v1:7:24078269:24081258:-1 gene:itb07g19600 transcript:itb07g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEANKAAVHSCHRIISLLSQPQDENHYGKLLIESGDAVHKFKRVVSLLNSKLGHARVRKGNRTHTPLSPKFLLENPVTGNDDRPKALHLLPINSLEILNQERSSTVNSALTLGNPLHGKSPIQLPHYTSMTNYRFPQQQQRYELQQQQHRHGEMICRQSSNSGISLNFDSTTCTPTLSTTRSFISSLSVDGSVANMDGSAFHLIGASCSGDQSTFQRKKRCSGRGEDGSAKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPQDEYCWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHPRLASQSTNT >itb01g19350.t1 pep chromosome:ASM357664v1:1:25402912:25405367:1 gene:itb01g19350 transcript:itb01g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVRISAQQFPVHKLGDSEMTLTPKFRIASMDSSLQDPSLDTELALRGEPLIPGLPDDVALNCLLRAPVESHEACRAVCKRWYLLFGNKERFFSRRKELSFHDPWVFVFAFDKCTGKIEWKVFDLVNLSWHAIPAMPCTVKVCPHGFRCIAVPHKGVMFVCGGSVADVDCPLNLVLKYEVQSNRWTVMKSMITPRSFFASGLINGMVYVAGGNSTDLFELGSAEVLDPNNGTWRPIANMKTNMASYDAAVLDGKLLVTEGWFWPFYVGPRGQVYDPRTDSWESMASGLREGWTGSSVVIDENLFVVPEHERTTVKVYDKETDTWNKVNGPPLPQQICKPFCVNCCSNKIIVIGRNLHVAVGLITRQQRGGEESPRKGFCVEWQVVDAPQSLCHLMPSSAQVLFA >itb13g17920.t1 pep chromosome:ASM357664v1:13:24880775:24882129:1 gene:itb13g17920 transcript:itb13g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGCFLACFGYAKKRKRRKPGSKVQSTDQVAAGSYVPLDFEKLDASDSDTSARRKEEGNAKMKKKKVRFNLNVKTYEPLQYEEIEDYLSEGEEKTKWEYSKEEATKAMLRSYPSNYRYYNCVDEEEEGEIELDESDLEFDEIEDFDDEEDCHVPVKTGNRDPLSGDNEGGRYARSSVLNPVENVVQWKAIKARERVELKNEKENMRIIANL >itb04g12840.t1 pep chromosome:ASM357664v1:4:12570613:12577226:1 gene:itb04g12840 transcript:itb04g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLSLMQSSQVSLFGNKTLMQSSQGFACVFFSATLLYAFLLASHRPQSIPLPRHSHSPVHHGATGPPPPTASLPPVRLCLTASALDSRLESSTPATAHQPNTPVIFPLPPSNCKNDAAPETTSQSVSHSGVSKPETTSQTVTGVAARNDVTIRSPSSPPVSSISVADSQSVHTPQPD >itb10g03310.t1 pep chromosome:ASM357664v1:10:3019609:3020794:1 gene:itb10g03310 transcript:itb10g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTPERPNDELQFLGSNAKVSGLKPECSTSRSMGKGKVSEDGTKKPDGSTFMCEICSDEKQRIEVFRIMGCNHSYCSDCASKYVAAKLQDSTFRICCPVSGCGGVIEPENCRFILPKTVFDRWGDALCEAMILSLEKFYCPYKDCSALLIDENLEIVESECPECRRLFCAKCKVPWHSEISCSEFQKFHENERPMEGVKGCWSLRIAYQFCPGRCLMGGWTPYARLLASEKFYCPFKDCSALLIDEKVEVAECECPECRRLFCAKCKVPWHSEISCSEFQKLNKNERQREDIQLMNFAAGRQWKRCPNCGIYVERVSGCGFMVCRLDTPMLLLL >itb15g19460.t1 pep chromosome:ASM357664v1:15:21811635:21814331:1 gene:itb15g19460 transcript:itb15g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLGFFY >itb04g26000.t1 pep chromosome:ASM357664v1:4:30392183:30397707:-1 gene:itb04g26000 transcript:itb04g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MEDSVTKLGEKRLIMKHELVRVIIQCLYSLGYGKSAACLESESGLSCKSAEFETIESQVLDAKWDECIVSINGLKGLTDETRASALFLVLKQCLLECLKNGDDSAALEMLRKQIAGLKVGKENIHRLAYEIVSPKDSGLDNFDNGLIPNLRKELVIELENVLPPPITLPQRRLEYLVEMAVSSQIDSCIYHSFSAVSLYEDHHCDRAQFPTKTIQILSNHGNEVWYVQFSNNGEYLASSSSDCSAIIWKVLPDGKVAQKYTLLGHKKPVSFVAWSPDDTMLLTCGNLEVVKLWDVETGTFKRTYGNDGFIVSSCAWFPDSRRIVCGSSDPENGIYMWDCEGNEIKVWKGMRMPKVLDLAVTPDGENLISIFADKEIRILNVGTNAERVIAEEHPITSLSVSGDGKFFIVNLNSQEIHMWDVAGTWLKPSKYNGHKQHKYVIRSCFGGLDSTFIASGSEDSMVYIWNRKSCNPLEILSGHLMTVNCVSWNPTRHQMLASASDDQTIRIWGPSLSKQAAKG >itb04g26000.t3 pep chromosome:ASM357664v1:4:30392395:30397359:-1 gene:itb04g26000 transcript:itb04g26000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MEDSVTKLGEKRLIMKHELVRVIIQCLYSLGYGKSAACLESESGLSCKSAEFETIESQVLDAKWDECIVSINGLKGLTDETRASALFLVLKQCLLECLKNGDDSAALEMLRKQIAGLKVGKENIHRLAYEIVSPKDSGLDNFDNGLIPNLRKELVIELENVLPPPITLPQRRLEYLVEMAVSSQIDSCIYHSFSAVSLYEDHHCDRAQFPTKTIQILSNHGNEVWYVQFSNNGEYLASSSSDCSAIIWKVLPDGKVAQKYTLLGHKKPVSFVAWSPDDTMLLTCGNLEVVKLWDVETGTFKRTYGNDGFIVSSCAWFPDSRRIVCGSSDPENGIYMWDCEGNEIKVWKGMRMPKVLDLAVTPDGENLISIFADKEIRILNVGTNAERVIAEEHPITSLSVSGDGKFFIVNLNSQEIHMWDVAGTWLKPSKYNGHKQHKYVIRSCFGGLDSTFIASGSEDSMVYIWNRKSCNPLEILSGHLMTVNCVSWNPTRHQMLASASDDQTIRIWGPSLSKQAAKG >itb04g26000.t2 pep chromosome:ASM357664v1:4:30392444:30397648:-1 gene:itb04g26000 transcript:itb04g26000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MEDSVTKLGEKRLIMKHELVRVIIQCLYSLGYGKSAACLESESGLSCKSAEFETIESQVLDAKWDECIVSINGLKGLTDETRASALFLVLKQCLLECLKNGDDSAALEMLRKQIAGLKVGKENIHRLAYEIVSPKDSGLDNFDNGLIPNLRKELVIELENVLPPPITLPQRRLEYLVEMAVSSQIDSCIYHSFSAVSLYEDHHCDRAQFPTKTIQILSNHGNEVWYVQFSNNGEYLASSSSDCSAIIWKVLPDGKVAQKYTLLGHKKPVSFVAWSPDDTMLLTCGNLEVVKLWDVETGTFKRTYGNDGFIVSSCAWFPDSRRIVCGSSDPENGIYMWDCEGNEIKVWKGMRMPKVLDLAVTPDGENLISIFADKEIRILNVGTNAERVIAEEHPITSLSVSGDGKFFIVNLNSQEIHMWDVAGTWLKPSKYNGHKQHKYVIRSCFGGLDSTFIASGSEDSMVYIWNRKSCNPLEILSGHLMTVNCVSWNPTRHQMLASASDDQTIRIWGPSLSKQAAKG >itb14g15280.t1 pep chromosome:ASM357664v1:14:18583466:18586820:-1 gene:itb14g15280 transcript:itb14g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFFVFFCVSSVFFNSCFGESEPEPPTLQQNNKVYIVYMGAAAPSNNGAMRKDQAQLINSLLKRNRNALVYTYTNGFSGFSARLTAEEAGSIAQNPGVVSVFLDPILQLQTTRSWDFLDSISYEKISPTSLHKSAAAAQPLSSGEADTIIGILDSGIWPESPSFNDDGMGPIPAKWKGKCEDGDDFNSSNCNRKIIGARYYPDSLQIPSARDNEGHGTHVASTAAGSLVDDASYHDQASGTGRGGSPTSRIAMYRVCEYFGCAGSAILKAFDDGIKDGVDVLSLSLGPGGGLKPDFSTDVVAIGAFHAVERGIVVVCSAGNSGPRRSTVVNEAPWIFTVAASTVDRDFQSQIVLGDNTVIKGGGIYIGKLKKTPVYPLATGALVKSERATESDARDCLPLSLDPEKAKGKIILCETRNPISYFDDRINEVKNAGGVAVILIFVNPEDRMMALKFGGFPGSAILEKEANQVFSYISSTKNPVATILPTVTVTGIKPAPAVADFSSRGPSLTSVNLLKPDICAPGVDILAAWPDNIDGDEIIPVKSHPGYNLESGTSMSCPHISGIVATVKAQNPNFSVSAIRSAVMTTATQTNNLNAPITTTDGAIATPYDIGAGELNPTAALNPGLVYQTEIADYLQFLCATGYNTSQIRLISSTVPKGFKCPKKLTEDMISDINYPSIAVSNLKDGEPKAITRTVSNVGPEESVYTATIEALADIEVTVTPNKLVFTKQEKKLSYTVTFTASSSLKTDTFGAITWTSGTHRVRSPIIVSVV >itb07g19360.t1 pep chromosome:ASM357664v1:7:23760422:23767216:-1 gene:itb07g19360 transcript:itb07g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEDRVLFSSLGVTSANPADIERNIFGQTENGFDASNEAARSMEVEATEHNATSSTKKTDMCNKLRAVEVEIQAVKSGFERLENFRRNDEQVSDREDGHEKRDIETEQSTTQAPLNDLDLQRALAADRLKSLLKTKAQLKKDISDLSNDSTQDTLSVLRDIVKEQPKPKQRLKEAKSPSKNKKKRIKTASLDDDDDDFDAVLNAASSGFVETERDQLVRKGILTPFHKLKGFERQIQEPGQSSRHSLQDNSDLVSTSLANAIQSISEAAQSRPTTKLLDSSLLPKLEPPTYPFQTPRKHVKISQSAENGRNDKKRKRRPIPGKKWRAKVSRENRDEGPDVEISSYEDEKDDEDMDDERQPYVMLEGGLKIPETIFDKLFDYQKVGVQWLWELHCQKAGGIIGDEMGLGKTVQVLAFLGSLHFSNAYKPSIIVCPLTLLQQWKREAKKWYPSFRVEILHDSVSVNDLPDKKKGSKSHESDSESEESLDVDVEKNLSFRNTKKWDTLINHVLGSDSGLLITTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNTDVTLVCKQLQTVHRIIMTGSPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISIGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSVQRSIYQSFLASTDVEQIFDGSRNALYGIDVMRKICNHPDLLEREHSCRDPDYGNPVRSGKMKVVAEVLKLWKDQGHRVLFFSQTQQMLDILENFLVTGGYTYRRMDGATPVKQRMALIDEFNNTDEVFIFILTTRVGGLGTNLTGANRVIIFDPDWNPSNDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHSLTNKILKNPQQRRLFKARDLKDLFVLNDDGENGSTESSNIFSQLSEDVNITGPQHPDQEKDKSIKTKPADHGTAMERDNNSGSNGEEKADNNNGDVDEDTSILRSLFDAHGIHSAMDHDAIVNAHDEEKLKLEEQASRVAQRAAEALRQSRMLRSQESVAIPTWTGRSGTAGAPSSVKKKFGSTLNPQLVTSSKPLEESSMISSRRNGIAAGASAGKALSSAELLAKIRGTQERAISDGLEHQLNLGSSSNSRTRPAENGASRPSHGSAGMQPEVLIRQICTFIQRKGGSTSSASIVEHFRSRVSSKDLALFKNLLKEIATLKKTPNGSFWILKPEYHEE >itb07g19360.t3 pep chromosome:ASM357664v1:7:23760422:23767006:-1 gene:itb07g19360 transcript:itb07g19360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEDRVLFSSLGVTSANPADIERNIFGQTENGFDASNEAARSMEVEATEHNATSSTKKTDMCNKLRAVEVEIQAVKSGFERLENFRRNDEQVSDREDGHEKRDIETEQSTTQAPLNDLDLQRALAADRLKSLLKTKAQLKKDISDLSNDSTQDTLSVLRDIVKEQPKPKQRLKEAKSPSKNKKKRIKTASLDDDDDDFDAVLNAASSGFVETERDQLVRKGILTPFHKLKGFERQIQEPGQSSRHSLQDNSDLVSTSLANAIQSISEAAQSRPTTKLLDSSLLPKLEPPTYPFQTPRKHVKISQSAENGRNDKKRKRRPIPGKKWRAKVSRENRDEGPDVEISSYEDEKDDEDMDDERQPYVMLEGGLKIPETIFDKLFDYQKVGVQWLWELHCQKAGGIIGDEMGLGKTVQVLAFLGSLHFSNAYKPSIIVCPLTLLQQWKREAKKWYPSFRVEILHDSVSVNDLPDKKKGSKSHESDSESEESLDVDVEKNLSFRNTKKWDTLINHVLGSDSGLLITTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNTDVTLVCKQLQTVHRIIMTGSPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISIGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSVQRSIYQSFLASTDVEQIFDGSRNALYGIDVMRKICNHPDLLEREHSCRDPDYGNPVRSGKMKVVAEVLKLWKDQGHRVLFFSQTQQMLDILENFLVTGGYTYRRMDGATPVKQRMALIDEFNNTDEVFIFILTTRVGGLGTNLTGANRVIIFDPDWNPSNDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHSLTNKILKNPQQRRLFKARDLKDLFVLNDDGENGSTESSNIFSQLSEDVNITGPQHPDQEKDKSIKTKPADHGTAMERDNNSGSNGEEKADNNNGDVDEDTSILRSLFDAHGIHSAMDHDAIVNAHDEEKLKLEEQASRVAQRAAEALRQSRMLRSQESVAIPTWTGRSGTAGAPSSVKKKFGSTLNPQLVTSSKPLEESSMISSRRNGIAAGASAGKALSSAELLAKIRGTQERAISDGLEHQLNLGSSSNSRTRPAENGASRPSHGSAGMQPEVLIRQICTFIQRKGGSTSSASIVEHFRSRVSSKDLALFKNLLKEIATLKKTPNGSFWILKPEYHEE >itb07g19360.t2 pep chromosome:ASM357664v1:7:23760422:23767216:-1 gene:itb07g19360 transcript:itb07g19360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEDRVLFSSLGVTSANPADIERNIFGQTENGFDASNEAARSMEVEATEHNATSSTKKTDMCNKLRAVEVEIQAVKSGFERLENFRRNDEQVSDREDGHEKRDIETEQSTTQAPLNDLDLQRALAADRLKSLLKTKAQLKKDISDLSNDSTQDTLSVLRDIVKEQPKPKQRLKEAKSPSKNKKKRIKTASLDDDDDDFDAVLNAASSGFVETERDQLVRKGILTPFHKLKGFERQIQEPGQSSRHSLQDNSDLVSTSLANAIQSISEAAQSRPTTKLLDSSLLPKLEPPTYPFQTPRKHVKISQSAENGRNDKKRKRRPIPGKKWRAKVSRENRDEGPDVEISSYEDEKDDEDMDDERQPYVMLEGGLKIPETIFDKLFDYQKVGVQWLWELHCQKAGGIIGDEMGLGKTVQVLAFLGSLHFSNAYKPSIIVCPLTLLQQWKREAKKWYPSFRVEILHDSVSVNDLPDKKKGSKSHESDSESEESLDVDVEKNLSFRNTKKWDTLINHVLGSDSGLLITTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNTDVTLVCKQLQTVHRIIMTGSPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISIGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTSVQRSIYQSFLASTDVEQIFDGSRNALYGIDVMRKICNHPDLLEREHSCRDPDYGNPVRSGKMKVVAEVLKLWKDQGHRVLFFSQTQQMLDILENFLVTGGYTYRRMDGATPVKQRMALIDEFNNTDEVFIFILTTRVGGLGTNLTGANRVIIFDPDWNPSNDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHSLTNKILKNPQQRRLFKARDLKDLFVLNDDGENGSTESSNIFSQLSEDVNITGPQHPDQEKDKSIKTKPADHGTAMERDNNSGSNGEEKADNNNGDVDEDTSILRSLFDAHGIHSAMDHDAIVNAHDEEKLKLEEQASRVAQRAAEALRQSRMLRSQESVAIPTWTGRSGTAGAPSSVKKKFGSTLNPQLVTSSKPLEESSMISSRRNGIAAGASAGKALSSAELLAKIRGTQERAISDGLEHQLNLGSSSNSRTRPAENGASRPSHGSAGMQPEVLIRQICTFIQRKGGSTSSASIVEHFRSRVSSKDLALFKNLLKEIATLKKTPNGSFWILKPEYHEE >itb05g18690.t1 pep chromosome:ASM357664v1:5:25398868:25401714:-1 gene:itb05g18690 transcript:itb05g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIGGDKSLIRCSFSPQVHSAESRCGKVGFVKFPVVELGSGKGFLRSGIIGGEVKSGCLGPIWTLEASRTSNVNPRAEKSHHSGCDSHEVLINECDGFSGKRPQMSTIGNSSNIVWHKCTVEKVDRQELLQQKGCVIWITGLSGSGKSTLACALSGGLHARGKLTYVLDGDNLRHGLNSDLSFGANDRAENIRRVGEVAKLFADAGIICIASLISPYRKERDACRALLPEGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNSEIVLRQSQGFCSSPGDLAKIVISYLEDKGYLKA >itb05g18690.t2 pep chromosome:ASM357664v1:5:25398919:25401714:-1 gene:itb05g18690 transcript:itb05g18690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIGGDKSLIRCSFSPQVHSAESRCGKVGFVKFPVVELGSGKGFLRSGIIGGEVKSGCLGPIWTLEASRTSNVNPRAEKSHHSGCDSHEVLINECDGFSGKRPQMSTIGNSSNIVWHKCTVEKVDRQELLQQKGCVIWITGLSGSGKSTLACALSGGLHARGKLTYVLDGDNLRHGLNSDLSFGANDRAENIRRVGEVAKLFADAGIICIASLISPYRKERDACRALLPEGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNSEFCVKARDSAVLLVIWLRL >itb06g10660.t1 pep chromosome:ASM357664v1:6:15138188:15139175:-1 gene:itb06g10660 transcript:itb06g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGVVEDISSQNSNNEDEKEEHDMKPSCDDDGSPELNLLDSLETTAGGSSSDQGSPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKKGQRLGPYFMAAAMAFGHSNHHQQYYSTMASSSRSLPLHNPLGIHPHSMILKPSTIHSLHERRSRPIISQQPAVGKLSVPNFHISPPTNMERSSTTLLSPQESATGGGFWAASSSNHLKNNSSRGDLPNLDLSLSL >itb15g01580.t1 pep chromosome:ASM357664v1:15:949330:951110:1 gene:itb15g01580 transcript:itb15g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKLFPKDHDFPFAGDGGCATTHVVSLTSSTYGVLKLDKYSQPPQTPPQCNKECVVEVKKPSPARENPAEVINAWELMEGLDETEMPAAKKSPKARSFMDHRRSPLKFLNQLASPGKLRRSAGKENKPGRAEFSPKTIPKAYNLQESPWRVSPRLRSLKKGSPKSPNELKCDNMRADSMAVCSRRSLNPLFNPDLGEKLSSEEEEQIKKMISSTPISRKSRKSQEAIDKILEKFDKKCPPAGENSVVIYTTTLRGIRKTFEDCNTARSILESHHVRTFERDVSMHSGFKEELRGLMGTMVVKVPLVFVKGRMIGGADEVVKLEEEGKLGILLSGIPEAGAGCDGCVGVRFVLCPNCNGSCKVLGEDLKNTVKCGECNENGLIQCPICC >itb14g20190.t1 pep chromosome:ASM357664v1:14:22654208:22658912:-1 gene:itb14g20190 transcript:itb14g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYEILEQIGKGSFGSALLVRHRQEKKKYVLKKIRLARQTERTRRSAHQEMELIAKARNPYIVEYKDSWVEKGCYVCIILGYCEGGDMGEALKRANGELFSEEKLCKWLVQLLIALDYLHTNHILHRDVKCSNIFLTKDHDIRLGDFGLAKVLSSGDLASSVVGTPCYMCPELLADIPYGSKSDIWSLGCCMYEMAAHRPAFTAFDMQGLINKINKCIMAPLPTTYSGAFRGIVKSMLRKNPEHRPNAADLLRHPHLQPYVPRIHRALESTRQSTRPVNSTGFDNVKRTRFVEPEISSDRALKPGVSESELDFPCLSLKAQDDMISSNKNLSKPSVESPSSIPKFSESPSLISSNKTSAAPRKESPPLKISTPGSTRELLPVSHTPVSKRSQSARRSSPRVSNKPAKLEPRRKHNVISLLCSVDSLDVSVNAPRMDKMVEFPISTPKTPSTTSSDSGGDRLITKEMCIVPKPDGNGRECSKGNHRTGELYSDSCRQCCRFDTSSFQQRAEALEGVLEFSAQLMQQERFEELAVLLKPFGPEKVSPRETAIWLTKSLKNTAQPCIM >itb04g12520.t1 pep chromosome:ASM357664v1:4:12245164:12247481:-1 gene:itb04g12520 transcript:itb04g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLSRRILVSLLLYQLVFVLLVSLCIRKDIITMSSGSDHSGFDNPRLVVKKVLAKQQSEGDGARVRRSIGRPELKNLDPFLMLDEFEVSLPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPVGEGTQKGLQLWINLASKDKMIEPNYQELLSADIPNAENDGVEVKIIAGEAMGVKSPVYTRTPTMYLDFTLNPSSQYHQSIPESWNAFVYIVEGEGVFGVPNSQPASAHHCLVLGPGEGLSVWNKGSSPLRFVLLGGQPLNEPVVQYGPFVMNTQAEIEQTFQDYHYYKNGFEKARHWRSHSGH >itb07g18480.t1 pep chromosome:ASM357664v1:7:22947144:22950478:-1 gene:itb07g18480 transcript:itb07g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVDEHFNVEVENGVKFGGCVEERGESCAIEDSVRVLVQCLGEDINREGLRKTPFRVAKALREGTTGYKQKVNDIIHGALFPEAGLESGIGQAGGAGGIVIVRDLDLFSYCESCLLPFQVKCHVGYVPAGQRVVGLSKLSRVADIFAKRLQDPQRLADEVCAALQHGIKPTGVALVLQCSHLRFPNVESAFIDTNRQGWVKSLVASGSGVFEDEKADIWSDFMSLLKFRGIDVGSVRARHTDQSWCPSQFSCKMNGPNSTIMTNAVVSILRSLGEDPFRKELVGTPSRFLKWFMKFKNSNLEMKLNGFVRTRIDPLSSNGEVSPEELCSEVNLPFWSQCEHHLLPFHGVVHIGYYCPKGGNPIGKSLLQSIVHFYGFKLQVQERLTRQIAETVASVLGEDVMVVVEANHTCMISRGIEKFGSNTATIAVLGRFSRDHALRAKLLQSIPNYCCAGR >itb04g17210.t1 pep chromosome:ASM357664v1:4:19883244:19884783:1 gene:itb04g17210 transcript:itb04g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRNQTRSPLKVLIFPWLAYGHVTPYFELAKKLSQKNFKIYFHSTPAILDNIKRNNPVLDDNIELVELRLPSGDDLPPHNHTTKGLPKYLLGSLFQAFQAARSTFPDILDYCSPDLVIFDGFQPWVPDVASSRNIPAVNYLIIGSSAFSYFYHTTYTYKGTSEPFPFPAIYSRDHERKSLSVPWESGFIFKGIEKSSEIVLVNSCEEIDGKYIGYLSELSKKKMVPIGPLIHIVEGDDAKIMEWLDKKEELSTLYVSFGSEYYLSPDDMEELAYGLELSGVNFIWVIRFPEGEQRSINEALPRGFLGRVKERGLMVENWAPQAKILRHNNVGVFLSHCGWNSVLESVHFEVPIIALPMHLDQPAHARMAVELGTALEIQRDENGKLNREEVAKVIKNVLVEGNVKELREKVKQVNKKIKMKGEKQIDDAVEVLTNLCIQYKQQRNDHFG >itb12g12960.t1 pep chromosome:ASM357664v1:12:11663595:11665469:-1 gene:itb12g12960 transcript:itb12g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDACESAAAILFCAADEAALCRACDEKVHMCNKLASRHVRVGLADPSKVQRCDICENAPAFFYCEIDGSSLCLQCDMMVHVGGKRTHGRYLLIRQRIEFPGDKSGPLDEPGLQPTEKAEPRREPNHPFKLMMKENKPTNRDSAVTMLDKNADVGSKMENKLIDLNTRPHRMQGQASANQEPGIDGLSDNNHEPGCVIPIGPLKRETEK >itb05g12700.t1 pep chromosome:ASM357664v1:5:19373648:19377340:1 gene:itb05g12700 transcript:itb05g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYYRLGNLDNCSDKWSALYDCLTLKTKRQAEVEEILEKREKTKPHIWSFRTPEEAASQWQKLYGHMHICEDE >itb06g05900.t1 pep chromosome:ASM357664v1:6:8601717:8602483:1 gene:itb06g05900 transcript:itb06g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEKYRQYQRVFDYFDENGDGKVSAAELQQRMGGAVCLEEEETEGWGEEGVSFEEFVRIVEEGSEEEKDRELKEAFRMYEMEGRGGITAESLQRMLGKLGEERSIRECKNMIACYDLNGDGLLCFYEFKVMMTSSF >itb11g00750.t1 pep chromosome:ASM357664v1:11:336194:340261:-1 gene:itb11g00750 transcript:itb11g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSPEGSVQNVLDQKTLKWVFVGGKGGVGKTTCSSILGVLFSQVRPSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFSNLYAMEVDPNVEDEEAGSSAGMDGFLSDLANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPATLEKGLVKMMSLKSKFGGLLSQMGRLFGVGDEFGEDAILGRLEGMKDLIQQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTRFEIDTHNIIINQVIFDEEAVESKLLNARMRMQQKYLDQFYMLYDDFNITKLPLLPQEVCGVDALKGFSSNFKTPYRTALARGSLEEVEQRVSLLKEQLKAAEAELEPLRKGKQKASP >itb11g00750.t2 pep chromosome:ASM357664v1:11:336931:340298:-1 gene:itb11g00750 transcript:itb11g00750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSPEGSVQNVLDQKTLKWVFVGGKGGVGKTTCSSILGVLFSQVRPSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFSNLYAMEVDPNVEDEEAGSSAGMDGFLSDLANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPATLEKGLVKMMSLKSKFGGLLSQMGRLFGVGDEFGEDAILGRLEGMKDLIQQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTRFEIDTHNIIINQVIFDEEAVESKLLNARMRMQQKYLDQFYMLYDDFNITKLPLLPQEVCGVDALKGFSSNFKTPYRTALARGSLEEVEQRVSLLKEQLKAAEAELEPLRKGKQKV >itb08g03140.t1 pep chromosome:ASM357664v1:8:2622120:2624536:-1 gene:itb08g03140 transcript:itb08g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSALAAMCRAAGGKSSNYRIVQASLYSSSGISDARSRWFSCNKLEDQSSSTAARAVAGTMLFSVAATALSDEVHAKEPINPKFRPNDVVLYQYEACPFCDKVKAFLDYCDIPYKIVEVNPISKNEIKWSDYKKVPILMVDGEQLVDSSDIIDKLSQKVRPKITAKSTFDVEEENKWRKWVDNHLVHVLSPNIYRNASEALESFDYITSKGNFTFYERTVAKYAGATAMYFVSKKLKKKYNITDERAALYEAAETWVDALNGRDFLGGSKPNLADLAVFGVLRPIRYLKSGQDMVENTRIGDWYSRMERAVGEPPIILA >itb05g03240.t3 pep chromosome:ASM357664v1:5:2683804:2689782:-1 gene:itb05g03240 transcript:itb05g03240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNQDSCSRSINETVNGSHNFTIRGYSLAKGMGPGKYISSDTFSVGGYDWAVYFYPDGKNVEDSSIYVSVFIALASEGTDVRALFELTLMDHSGRGKHKVHSHFDRALESGPYTLKYKGSMWGYKRFFKRATLEASDYIKDDCLSMHCTVGVVRTRVEGPKQYSISIPASDMGLNLKYLLDSEVGSDITFQVGEETFKAHKLILAARSPVFKAQFFGLIGNPNTDKVELEDIEPSIFKAMLQFLYTDQLPNLHEIIGSTSACTSTIMMQHLLAAADRFGLDRLKQLCEAKLCEEVNVDTVATTLSLADQHRCLQLKTICLKFAATNLGV >itb05g03240.t4 pep chromosome:ASM357664v1:5:2684104:2689782:-1 gene:itb05g03240 transcript:itb05g03240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNQDSCSRSINETVNGSHNFTIRGYSLAKGMGPGKYISSDTFSVGGYDWAVYFYPDGKNVEDSSIYVSVFIALASEGTDVRALFELTLMDHSGRGKHKVHSHFDRALESGPYTLKYKGSMWGYKRFFKRATLEASDYIKDDCLSMHCTVGVVRTRVEGPKQYSISIPASDMGLNLKYLLDSEVGSDITFQVGEETFKAHKLILAARSPVFKAQFFGLIGNPNTDKVELEDIEPSIFKAMLQFLYTDQLPNLHEIIGSTSACTSTIMMQHLLAAADRFGLDRLKQLCEAKLCEEVNVDTVATTLSLADQHRCLQLKTICLKFAATNLGV >itb05g03240.t2 pep chromosome:ASM357664v1:5:2683731:2689855:-1 gene:itb05g03240 transcript:itb05g03240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNQDSCSRSINETVNGSHNFTIRGYSLAKGMGPGKYISSDTFSVGGYDWAVYFYPDGKNVEDSSIYVSVFIALASEGTDVRALFELTLMDHSGRGKHKVHSHFDRALESGPYTLKYKGSMWGYKRFFKRATLEASDYIKDDCLSMHCTVGVVRTRVEGPKQYSISIPASDMGLNLKYLLDSEVGSDITFQVGEETFKAHKLILAARSPVFKAQFFGLIGNPNTDKVELEDIEPSIFKAMLQFLYTDQLPNLHEIIGSTSACTSTIMMQHLLAAADRFGLDRLKQLCEAKLCEEVNVDTVATTLSLADQHRCLQLKTICLKFAATNLGVVMQSEGFKHLEESCPSLLSELLETVASIDEKASLISSRKRSSSSIFGVDLVPDGAAAEPFNPNMRCLRRRM >itb05g03240.t1 pep chromosome:ASM357664v1:5:2683731:2689855:-1 gene:itb05g03240 transcript:itb05g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNQDSCSRSINETVNGSHNFTIRGYSLAKGMGPGKYISSDTFSVGGYDWAVYFYPDGKNVEDSSIYVSVFIALASEGTDVRALFELTLMDHSGRGKHKVHSHFDRALESGPYTLKYKGSMWGYKRFFKRATLEASDYIKDDCLSMHCTVGVVRTRVEGPKQYSISIPASDMGLNLKYLLDSEVGSDITFQVGEETFKAHKLILAARSPVFKAQFFGLIGNPNTDKVELEDIEPSIFKAMLQFLYTDQLPNLHEIIGSTSACTSTIMMQHLLAAADRFGLDRLKQLCEAKLCEEVNVDTVATTLSLADQHRCLQLKTICLKFAATNLGVVMQSEGFKHLEESCPSLLSELLETVASIDEKGNLASNPDYSTLKQLIESS >itb11g11590.t1 pep chromosome:ASM357664v1:11:8488365:8489220:1 gene:itb11g11590 transcript:itb11g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQEEREKDQEVTGEDRRGGVLLDLSLSRREGSDKTPPPPTAADEFRGVKGSSETTEPRVFACNYCRRKFYSSQALGGHQNAHKRERTMAKRGQKMLAAAAAFGYDAPMHRYPSMASLPLHGSYNRSLGIQAHSMIHKPAGGYFGAPAAGAGPQPIYGFNGWPRRPLNQQPAVGRLPTECLGSSSNSGAARFDSEAKFPPLVDGGIRWDSVGRGGGASHIKINNQDELNKLDLSLKL >itb14g01490.t3 pep chromosome:ASM357664v1:14:1136371:1140987:-1 gene:itb14g01490 transcript:itb14g01490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MGALRTCGGGSAPPHPTSHLKSSIVPRQIYVSFCNGVSHPIPPFSYGPVYAYCRTVSYSRLRLRLRASGPGHFGGSDDQNPTKPQTMLEGEAEKFDHRKGSVAMLESMITEGNIAIISACFVGLFTGICVVLFNIAVHEIRDFCWDGIADQGASWLREEPKEVIWGRVILVPACGGLVVSLLNFLRTTLDVSIKGDSRSQVKSLVQSFLKTVAACVTLGTGNSLGPEGPSVEIGNSIAKGVGPFFDKGAQRKLSLRAAGSAAGISSGFNAAVAGCFFAVESVLWPSPSESSLSLTNTTSMVILSAVLASVVSEIGLGSEPAFSIPDYDFHSPTELPLYLLLGVLCGLVSVALSRCTSLMLLAVNNIQQTIDPPKSVFPIVGGFSVGLIALAYPEILYWGFQNVDILLESRPLVKSLSTDLLLQLVVVKIVATSLCRATGLVGGYYAPSLFIGAATGMAYAKILGFISQANPILHISLDVASPQSYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGMSSWITSGQITRMTKEDVKEMKDDKPYTAQPQETPSVPPIPSFTGPARVEQSRESDLCELESSLCLNEYDDDIGEWATKILVSQAMRTDYITVLMSTLLMEVVSLMLAEKQSCALIVDDNNFLLGLLTLDDIQQYGKAQRSKTKRHEVTSFCNTDTVRRGDVSE >itb14g01490.t1 pep chromosome:ASM357664v1:14:1136371:1140987:-1 gene:itb14g01490 transcript:itb14g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MGALRTCGGGSAPPHPTSHLKSSIVPRQIYVSFCNGVSHPIPPFSYGPVYAYCRTVSYSRLRLRLRASGPGHFGGSDDQNPTKPQTMLEGEAEKFDHRKGSVAMLESMITEGNIAIISACFVGLFTGICVVLFNIAVHEIRDFCWDGIADQGASWLREEPKEVIWGRVILVPACGGLVVSLLNFLRTTLDVSIKGDSRSQVKSLVQSFLKTVAACVTLGTGNSLGPEGPSVEIGNSIAKGVGPFFDKGAQRKLSLRAAGSAAGISSGFNAAVAGCFFAVESVLWPSPSESSLSLTNTTSMVILSAVLASVVSEIGLGSEPAFSIPDYDFHSPTELPLYLLLGVLCGLVSVALSRCTSLMLLAVNNIQQTIDPPKSVFPIVGGFSVGLIALAYPEILYWGFQNVDILLESRPLVKSLSTDLLLQLVVVKIVATSLCRATGLVGGYYAPSLFIGAATGMAYAKILGFISQANPILHISLDVASPQSYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGMSSWITSGQITRMTKEDVKEMKDDKPYTAQPQETPSVPPIPSFTGPARVEQSRESDLCELESSLCLNEYDDDIGEWATKILVSQAMRTDYITVLMSTLLMEVVSLMLAEKQSCALIVDDNNFLLGLLTLDDIQQYGKAQRSKTKRHEDLIVSQLCSSTENGCRVLWTVTPNTSLLSAQTLMDRHGFNQLPVILEHVEDKGGHPVGILDRECIVLACSALEARECLCSSPTPEMQKY >itb14g01490.t2 pep chromosome:ASM357664v1:14:1136371:1140987:-1 gene:itb14g01490 transcript:itb14g01490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MGALRTCGGGSAPPHPTSHLKSSIVPRQIYVSFCNGVSHPIPPFSYGPVYAYCRTVSYSRLRLRLRASGPGHFGGSDDQNPTKPQTMLEGEAEKFDHRKGSVAMLESMITEGNIAIISACFVGLFTGICVVLFNIAVHEIRDFCWDGIADQGASWLREEPKEVIWGRVILVPACGGLVVSLLNFLRTTLDVSIKGDSRSQVKSLVQSFLKTVAACVTLGTGNSLGPEGPSVEIGNSIAKGVGPFFDKGAQRKLSLRAAGSAAGISSGFNAAVAGCFFAVESVLWPSPSESSLSLTNTTSMVILSAVLASVVSEIGLGSEPAFSIPDYDFHSPTELPLYLLLGVLCGLVSVALSRCTSLMLLAVNNIQQTIDPPKSVFPIVGGFSVGLIALAYPEILYWGFQNVDILLESRPLVKSLSTDLLLQLVVVKIVATSLCRATGLVGGYYAPSLFIGAATGMAYAKILGFISQANPILHISLDVASPQSYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGMSSWITSGQITRMTKEDVKEMKDDKPYTAQPQETPSVPPIPSFTGPARVEQSRESDLCELESSLCLNEYDDDIGEWATKILVSQAMRTDYITVLMSTLLMEVVSLMLAEKQSCALIVDDNNFLLGLLTLDDIQQYGKAQRSKTKRHEDLIVSQLCSSTENGCRVLWTVTPNTSLLSAQTLMDRHGFNQLPVILEHVEDKGGHPVGILDRECIVLACRF >itb15g04080.t2 pep chromosome:ASM357664v1:15:2541581:2552458:-1 gene:itb15g04080 transcript:itb15g04080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MDANKRLSYSNLFNLESLVNFQLPRDEDDDFDYYGNSSQDESRGSQGAIGERSNGMLSGRELKKKRRTAYSSEEDENRNYSTYISEEKYRAMLGEHIHKYKRRLGTSPANIASTRNVMPSAKNSLGLKDPELKSDQRGGLLKHDSASDFLSKNNSQKQGNYLQSDVPKFVVDRSNYEPAFLDIGGGKTYSIPPPYEKLSATLNLPTVADIQVDEIYLQGTLDLETLAAMMASDNRLGPRSRGGMGDPIQQYESLQARLKSQLTSNSVPKFSLQVSEAALEASSIPEGAAGSIRRSILSEGGVLQVFYVKVLEKGDTYEIIERSLPKKPKVEKDPAVVEREEMEKIGKYWVNMVRKDIPKHHRLFTNFHKKQFTDAKRFSETCQREVKLKVGRSLKVMRGAGIRTRKLTRDMLVFWKRVDKEMAEVRKREEKEAAEALKREQELREARRQQQRLNFLLSQTELYSHFMQNKSSSQPTEALNIDSGRADDQEMLLSSAEAQPGEEEDPEEAELRMEALKAAQDAVSKQKRMTSAFDSECLKLRLASETENSLPDASVTGSSNIDLLHPSTMPVASTVQTPELFKGTLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEIGRFCPDLKTLPYWGGLQERTVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSSRGHLNEKKIMNLMNIVIQLRKYKMEDITEFQLSKSLAFDCHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSSRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGSSYFYFGQIQNSLLPPPFGELEDVYYSGGRSPVTYQMPKLVFQEIQNSNSICSTLGHGISRELFEKYFNIFSPENIHSSILGQTQKSDDYYVKSGTFGFTRMIDVSPMETSFLATCSLLEKLLFSIIRCDRLYLDEMLDLLMESEDGDLNYNHIGRDKVRAVTRMLLLPSKSDTNLFKWRSETGRGDAPFEALVMPHQDRLLSNIDLLHSIYSFIPRARSPPIHANCSDRNFAYKMVEELHNPWIKRLFVGFARTSDHNGPRKPASPHPLIQEIDSELPVSQPALQLTYKIFGSCPPMQPFDPAKMLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKEVTVYRLICKETVEEKILLRASQKNTVQQLVMTGEHVQGDLMAPEDVVSLLLDDAQLEQKLKEIPLQAKERQKKKGGTKGIRVDADGSVTLEDFADNANGFESTADPADKGKSSKKRKSTADKQAPSKSRPQKVPKNVEYSSPNSIAMDDEMDDLPNDTETRPQRPKRLKRPTKSVNENLEPAFTATPIAGQDGNQKPPLPDPSSGGWRTAVEEESSRPVN >itb15g04080.t3 pep chromosome:ASM357664v1:15:2541581:2552458:-1 gene:itb15g04080 transcript:itb15g04080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MDANKRLSYSNLFNLESLVNFQLPRDEDDDFDYYGNSSQDESRGSQGAIGERSNGMLSGRELKKKRRTAYSSEEDENRNYSTYISEEKYRAMLGEHIHKYKRRLGTSPANIASTRNVMPSAKNSLGLKDPELKSDQRGGLLKHDSASDFLSKNNSQKQGNYLQSDVPKFVVDRSNYEPAFLDIGGGKTYSIPPPYEKLSATLNLPTVADIQVDEIYLQGTLDLETLAAMMASDNRLGPRSRGGMGDPIQQYESLQARLKSQLTSNSVPKFSLQVSEAALEASSIPEGAAGSIRRSILSEGGVLQVFYVKVLEKGDTYEIIERSLPKKPKVEKDPAVVEREEMEKIGKYWVNMVRKDIPKHHRLFTNFHKKQFTDAKRFSETCQREVKLKVGRSLKVMRGAGIRTRKLTRDMLVFWKRVDKEMAEVRKREEKEAAEALKREQELREARRQQQRLNFLLSQTELYSHFMQNKSSSQPTEALNIDSGRADDQEMLLSSAEAQPGEEEDPEEAELRMEALKAAQDAVSKQKRMTSAFDSECLKLRLASETENSLPDASVTGSSNIDLLHPSTMPVASTVQTPELFKGTLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEIGRFCPDLKTLPYWGGLQERTVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSSRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGSSYFYFGQIQNSLLPPPFGELEDVYYSGGRSPVTYQMPKLVFQEIQNSNSICSTLGHGISRELFEKYFNIFSPENIHSSILGQTQKSDDYYVKSGTFGFTRMIDVSPMETSFLATCSLLEKLLFSIIRCDRLYLDEMLDLLMESEDGDLNYNHIGRDKVRAVTRMLLLPSKSDTNLFKWRSETGRGDAPFEALVMPHQDRLLSNIDLLHSIYSFIPRARSPPIHANCSDRNFAYKMVEELHNPWIKRLFVGFARTSDHNGPRKPASPHPLIQEIDSELPVSQPALQLTYKIFGSCPPMQPFDPAKMLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKEVTVYRLICKETVEEKILLRASQKNTVQQLVMTGEHVQGDLMAPEDVVSLLLDDAQLEQKLKEIPLQAKERQKKKGGTKGIRVDADGSVTLEDFADNANGFESTADPADKGKSSKKRKSTADKQAPSKSRPQKVPKNVEYSSPNSIAMDDEMDDLPNDTETRPQRPKRLKRPTKSVNENLEPAFTATPIAGQDGNQKPPLPDPSSGGWRTAVEEESSRPVN >itb15g04080.t1 pep chromosome:ASM357664v1:15:2541210:2552810:-1 gene:itb15g04080 transcript:itb15g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MDANKRLSYSNLFNLESLVNFQLPRDEDDDFDYYGNSSQDESRGSQGAIGERSNGMLSGRELKKKRRTAYSSEEDENRNYSTYISEEKYRAMLGEHIHKYKRRLGTSPANIASTRNVMPSAKNSLGLKDPELKSDQRGGLLKHDSASDFLSKNNSQKQGNYLQSDVPKFVVDRSNYEPAFLDIGGGKTYSIPPPYEKLSATLNLPTVADIQVDEIYLQGTLDLETLAAMMASDNRLGPRSRGGMGDPIQQYESLQARLKSQLTSNSVPKFSLQVSEAALEASSIPEGAAGSIRRSILSEGGVLQVFYVKVLEKGDTYEIIERSLPKKPKVEKDPAVVEREEMEKIGKYWVNMVRKDIPKHHRLFTNFHKKQFTDAKRFSETCQREVKLKVGRSLKVMRGAGIRTRKLTRDMLVFWKRVDKEMAEVRKREEKEAAEALKREQELREARRQQQRLNFLLSQTELYSHFMQNKSSSQPTEALNIDSGRADDQEMLLSSAEAQPGEEEDPEEAELRMEALKAAQDAVSKQKRMTSAFDSECLKLRLASETENSLPDASVTGSSNIDLLHPSTMPVASTVQTPELFKGTLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEIGRFCPDLKTLPYWGGLQERTVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSSRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGSSYFYFGQIQNSLLPPPFGELEDVYYSGGRSPVTYQMPKLVFQEIQNSNSICSTLGHGISRELFEKYFNIFSPENIHSSILGQTQKSDDYYVKSGTFGFTRMIDVSPMETSFLATCSLLEKLLFSIIRCDRLYLDEMLDLLMESEDGDLNYNHIGRDKVRAVTRMLLLPSKSDTNLFKWRSETGRGDAPFEALVMPHQDRLLSNIDLLHSIYSFIPRARSPPIHANCSDRNFAYKMVEELHNPWIKRLFVGFARTSDHNGPRKPASPHPLIQEIDSELPVSQPALQLTYKIFGSCPPMQPFDPAKMLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKEVTVYRLICKETVEEKILLRASQKNTVQQLVMTGEHVQGDLMAPEDVVSLLLDDAQLEQKLKEIPLQAKERQKKKGGTKGIRVDADGSVTLEDFADNANGFESTADPADKGKSSKKRKSTADKQAPSKSRPQKVPKNVEYSSPNSIAMDDEMDDLPNDTETRPQRPKRLKRPTKSVNENLEPAFTATPIAGQDGNQKPPLPDPSSGGWRTAVEEESSRPVN >itb05g28290.t2 pep chromosome:ASM357664v1:5:31677986:31688028:1 gene:itb05g28290 transcript:itb05g28290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDEPRKHQVSLRGASVKEISRDALLEKVSHQRELRSYIRKSTAAAVFIQRVWRRYSATKLIALQLQNQWEILMDNLAVPLTKIQISGSILRPFIFFMSFLLNRGAKKQKSDKDCMKTCFRVVLESINSTNLDENFCSMAFGNVEERRVWTYQSKKLISLCLLVLAEFDNSRLGGEDIFVTSLALRLAVVLTDLKGWKCIINANIQAANMSIRDLVHFMGSKKSCLYSSVRRYICKLQTPVSSQVTSSGQADDRFLIIASATTLALRPFHVAGLVVNTNCLEEVQNAAEQFCIFLLTIPWFAQKLPAVLIPALRHMSVLSACLRVLLMSREGILKEMSCAVKMTTTHQNRVMPQVGWALANIIYLATMCEKNALDSGKFAPGLDHASYVHVVIALAENLLTWLEKVGWLRKDQEVLDDCHTSAESLDNFLHEAETTAGSLKMSYSDLLKPVCQQGHLMKLVSFNKDTFVKKDDNLPAISMESPRCCELLDVAYYYSCMLRIYSALNTVHGALPVLNMLSFTPGFLSNLWGALEKNLFPGKDYVAESASNQNKVGESNFHEVSAGKQKHFAKDSGSKWVDVIQKITGKSQTESKTANMADGISGSNSIDEHCSDIWDIEPLRKGPDGISKDTNCLLHLFCASYSHLLLVLDDIEFYERQVPFVLEQQRKIASVLNTLVYNALSLNIGAQNRPLMDFAVKCLHLLYERDCRHQFCPPALWLLPGRINRPPIAVAARTHEVLAATTNDVSASLTIDSVITTTPHVFPFEERVEMFREFINMDKVSRRMAGEVVGPGPHSVEIVIRRGHVFEDGFQQINCLGSRLKSNIHVSFVNESGLPEAGLDYGGLSKEFLTEIAKAAFSPECGLFIQTSTSDRLLIPNSAARFLENGFQMIEFLGKIVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPVLYRNLMYVKHYEGDVRELSLDFTVTEESLGKRHVIELKPGGKDASVTNDNKLQYIYAIADYKLNRQILPFSNAFYRGLTEVISPSWLKLFNASEFNQLLSGGNHDIDVDDLRKNTRYTGGYSESSRAVKLFWEVFANFEARERCLLLKFVTSCSRAPLLGFKYLQPTFTIHKVSCDVPLLAVLGGQDVDRLPSASTCYNTLKLPTYKRSSTLRAKLLYAINSNAGFELS >itb05g28290.t1 pep chromosome:ASM357664v1:5:31677986:31688028:1 gene:itb05g28290 transcript:itb05g28290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDEPRKHQVSLRGASVKEISRDALLEKVSHQRELRSYIRKSTAAAVFIQRVWRRYSATKLIALQLQNQWEILMDNLAVPLTKIQISGSILRPFIFFMSFLLNRGAKKQKSDKDCMKTCFRVVLESINSTNLDENFCSMAFGNVEERRVWTYQSKKLISLCLLVLAEFDNSRLGGEDIFVTSLALRLAVVLTDLKGWKCIINANIQAANMSIRDLVHFMGSKKSCLYSSVRRYICKLQTPVSSQVTSSGQADDRFLIIASATTLALRPFHVAGLVVNTNCLEEVQNAAEQFCIFLLTIPWFAQKLPAVLIPALRHMSVLSACLRVLLMSREGILKEMSCAVKMTTTHQNRVMPQVGWALANIIYLATMCEKNALDSGKFAPGLDHASYVHVVIALAENLLTWLEKVGWLRKDQEVLDDCHTSAESLDNFLHEAETTAGSLKMSYSDLLKPVCQQGHLMKLVSFNKDTFVKKDDNLPAISMESPRCCELLDVAYYYSCMLRIYSALNTVHGALPVLNMLSFTPGFLSNLWGALEKNLFPGKDYVAESASNQNKVGESNFHEVSAGKQKHFAKDSGSKWVDVIQKITGKSQTESKTANMADGISGSNSIDEHCSDIWDIEPLRKGPDGISKDTNCLLHLFCASYSHLLLVLDDIEFYERQVPFVLEQQRKIASVLNTLVYNALSLNIGAQNRPLMDFAVKCLHLLYERDCRHQFCPPALWLLPGRINRPPIAVAARTHEVLAATTNDVSASLTIDSVITTTPHVFPFEERVEMFREFINMDKVSRRMAGEVVGPGPHSVEIVIRRGHVFEDGFQQINCLGSRLKSNIHVSFVNESGLPEAGLDYGGLSKEFLTEIAKAAFSPECGLFIQTSTSDRLLIPNSAARFLENGFQMIEFLGKIVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPVLYRNLMYVKHYEGDVRELSLDFTVTEESLGKRHVIELKPGGKDASVTNDNKLQYIYAIADYKLNRQILPFSNAFYRGLTEVISPSWLKLFNASEFNQLLSGGNHDIDVDDLRKNTRYTGGYSESSRAVKLFWEVFANFEARERCLLLKFVTSCSRAPLLGFKYLQPTFTIHKVPSQRGGREKKLSEKNKKEILVNAPGWVLLVHLMRTRSCFLIIW >itb05g28290.t4 pep chromosome:ASM357664v1:5:31678057:31680908:1 gene:itb05g28290 transcript:itb05g28290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDEPRKHQVSLRGASVKEISRDALLEKVSHQRELRSYIRKSTAAAVFIQRVWRRYSATKLIALQLQNQWEILMDNLAVPLTKIQISGSILRPFIFFMSFLLNRGAKKQKSDKDCMKTCFRVVLESINSTNLDENFCSMAFGNVEERRVWTYQSKKLISLCLLVLAEFDNSRLGGEDIFVTSLALRLAVVLTDLKGWKCIINANIQAANMSIRDLVHFMGSKKSCLYSSVRRYICKLQTPVSSQVTSSGQADDRFLIIASATTLALRPFHVAGLVVNTNCLEEVQNAAEQFCIFLLTIPWFAQKLPAVLIPALRHMSVLSACLRVLLVMADVKGGNLKRNVLCC >itb05g28290.t3 pep chromosome:ASM357664v1:5:31677986:31686964:1 gene:itb05g28290 transcript:itb05g28290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDEPRKHQVSLRGASVKEISRDALLEKVSHQRELRSYIRKSTAAAVFIQRVWRRYSATKLIALQLQNQWEILMDNLAVPLTKIQISGSILRPFIFFMSFLLNRGAKKQKSDKDCMKTCFRVVLESINSTNLDENFCSMAFGNVEERRVWTYQSKKLISLCLLVLAEFDNSRLGGEDIFVTSLALRLAVVLTDLKGWKCIINANIQAANMSIRDLVHFMGSKKSCLYSSVRRYICKLQTPVSSQVTSSGQADDRFLIIASATTLALRPFHVAGLVVNTNCLEEVQNAAEQFCIFLLTIPWFAQKLPAVLIPALRHMSVLSACLRVLLMSREGILKEMSCAVKMTTTHQNRVMPQVGWALANIIYLATMCEKNALDSGKFAPGLDHASYVHVVIALAENLLTWLEKVGWLRKDQEVLDDCHTSAESLDNFLHEAETTAGSLKMSYSDLLKPVCQQGHLMKLVSFNKDTFVKKDDNLPAISMESPRCCELLDVAYYYSCMLRIYSALNTVHGALPVLNMLSFTPGFLSNLWGALEKNLFPGKDYVAESASNQNKVGESNFHEVSAGKQKHFAKDSGSKWVDVIQKITGKSQTESKTANMADGISGSNSIDEHCSDIWDIEPLRKGPDGISKDTNCLLHLFCASYSHLLLVLDDIEFYERQVPFVLEQQRKIASVLNTLVYNALSLNIGAQNRPLMDFAVKCLHLLYERDCRHQFCPPALWLLPGRINRPPIAVAARTHEVLAATTNDVSASLTIDSVITTTPHVFPFEERVEMFREFINMDKVSRRMAGEVVGPGPHSVEIVIRRGHVFEDGFQQINCLGSRLKSNIHVSFVNESGLPEAGLDYGGLSKEFLTEIAKAAFSPECGLFIQTSTSDRLLIPNSAARFLENGFQMIEFLGKIVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPVLYRNLMYVKHYEGDVRELSLDFTVTEESLGKRHVIELKPGGKDASVTNDNKLQYIYAIADYKLNRQILPFSNAFYRGLTEVISPSWLKLFNASEFNQLLSGGNHDIDVDDLRKNTRYTGGYSESSRAVKLFWEVFANFEARERCLLLKFVTSCSRAPLLGFKYLQPTFTIHKVSVRFLHYQPTKERAKLEI >itb14g18470.t2 pep chromosome:ASM357664v1:14:21481376:21484963:1 gene:itb14g18470 transcript:itb14g18470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQSVVTLMDSTTSKIQQLQKAFAELESHRAVTLNMKWKQLEEHFHGLEKSLKRRFTELEDQEKEFESKIVQSKEMLENREAAVVAKEQASLVRLQEKRDAAISAITIALDKHRNPFSAGPTVNFEDQVDSCVVEEKSADATTIVLNVGDYGKLYETGNVEVKSYPELVKLCKEMDSDGLHKFISDNRKNLAAIREEIPLALTAAADPASLVLDSLKGFYNLEMSNLEAKKDANLLGIRRTCIMLMECLNMLLTNVKMGSLLSSILENVKDRAKAIAEEWKPKLDELDADANHGNSLEAHAFLQLVDTFGINSDFDQEGLIKLIPMVSRRRQTADLCRSLGLSDKMPGVINVLVNNGRHIDAVNLAFAFELTVQFPPVSLLKSYLNEASKASPSKSGNTSNSQNDIINEKELNALKAVIKCIEDHKLDEQYPVDPLQKRVHHLEKAKADSKRGTEVAKPQPKRPRANGFVNGPRATNIATDKNIYPPRMTMTDRYPQYVYDRPPYTYTGPTDTHVPQFLGTPAYGLSGHGNFFGNGYHYQAAYLH >itb14g18470.t1 pep chromosome:ASM357664v1:14:21481376:21484963:1 gene:itb14g18470 transcript:itb14g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQSVVTLMDSTTSKIQQLQKAFAELESHRAVTLNMKWKQLEEHFHGLEKSLKRRFTELEDQEKEFESKIVQSKEMLENREAAVVAKEQASLVRLQEKRDAAISAITIALDKHRNPFSAGPTVNFEDQVDSCVVEEKSADATTIVLNVGDYGKLYETGNVEVKSYPELVKLCKEMDSDGLHKFISDNRKNLAAIREEIPLALTAAADPASLVLDSLKGFYNLEMSNLEAKKDANLLGIRRTCIMLMECLNMLLTNVKMGSLLSSILENVKDRAKAIAEEWKPKLDELDADANHGNSLEAHAFLQLVDTFGINSDFDQEGLIKLIPMVSRRRQTADLCRSLGLSDKMPGVINVLVNNGRHIDAVNLAFAFELTVQFPPVSLLKSYLNEASKASPSKSGNTSNSQNDIINEKELNALKAVIKCIEDHKLDEQYPVDPLQKRVHHLEKAKADSKRGTEVAKPQPKRPRANGFVNGPRATNIATDKNIYPPRMTMTDRYPQYVYDRPPYTYTGPTDTHVPQFLGTPAYGLSGHGNFFGNGYHYQAAYLH >itb06g04470.t1 pep chromosome:ASM357664v1:6:7083867:7087033:-1 gene:itb06g04470 transcript:itb06g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIARRVLRRGFSGRSSSDASARVFHRSFAASSNLIRATLFPGDGIGPEIAESVKQVFKEADVPIEWEEHYVGKEVDPRTQSFLTWESLESVRRNKIGLKGPMATPIGKGHRSLNLTLRKELNLFANVRPCYSLPGYKTRYDDVDLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAQTHGRERVSAIHKANIMQKTDGLFLKCCREVAQKYPDIKYEEVVIDNCCMMLVKNPSLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSSVMMLRHLNLHDKADRIQNAILTTIAEGKFRTGDLGGSSSTTEFTNAICDHL >itb07g06210.t1 pep chromosome:ASM357664v1:7:4353920:4357125:-1 gene:itb07g06210 transcript:itb07g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPNLNLQCEDESEVTSQVASNLSANEISPGPSYESTNTSSCLTNSVNPPVTLDLTLGFNASSDGEFKGTTASEASGEVVPHPPQGPLSRVFSCNFCRRKFYSSQALGGHQNAHKRERTLAKRAMRMGMLSDRYASLASLPLHGSAFRSLGVEAHASMHQRGVQQPNSPFHGARGGARFEQGYFGVPVFVEDDEVEMFWPGSFRQVDGNNTGFHPGQSSNINFVAMPPPKLPSTDPSSPFPDLNLKL >itb06g14510.t1 pep chromosome:ASM357664v1:6:19062516:19064957:-1 gene:itb06g14510 transcript:itb06g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGYISERVKRANEEFTIAHESLDVISATEFEREQVRILREKALFLMEAERMYFSQKLNTKHLLESDRSSRYFHNLVNKRNSAKVIPSILDNNGVPTTSLEQVGELLVKYYSNMIGKAKERVQTVDSYFSQGPGGLSSSEVFEIIRPRAHKSFVFKTIWRGFIPPKFAFTSWLCLRNRLPTKDNLKFLDIEDKCTLCGKEPENVNHLFFSCDFSKQVWEEVRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb13g18470.t1 pep chromosome:ASM357664v1:13:25426233:25432654:1 gene:itb13g18470 transcript:itb13g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRWVDLGGLVNRPAVMETFVDILLCVVPIWMAVMIGLMIGWSWRPRWTGLVFLGLRSKLNRLVWTAPPGFGARRLWFALTAISAYSVGRRLWNNFRGRSQKPSATDDAEGATLVVPPSSLVTDSGGDDSIKDLTGTAERKDVVTEDDLQHFLHLLDGRDGKMAWQSMMERSTSNMTYQAWRHESETGPNGMLLRSKTVFEDATPELVRDFFWDDEFRPRWDPMLAYVKILHECPHTGFMILHWIKKFPFFCSDREYIIGKRIWESGRAYYCVTKGVQYPALPRMDKPRRVDLYFSSWVIRPVESRKGDGQFTACEVTLIHYEDMGIPKDVAKLGVRHGMWGTVKKLHSGFRAYQNARKSDTSAASRCALMAQITTKVSVDEESSSSMEPVSSDEARTQISAVQQRNNGSGIDWRILVIGGTVAVMCGLHTGLIGKTLLFGAGQRMARRRQNRR >itb01g01780.t1 pep chromosome:ASM357664v1:1:1072751:1073644:-1 gene:itb01g01780 transcript:itb01g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEKPAQAQLLKAGKRLLKGLVVVANSAHGEVGWSRPCKCMYPPFLPPATEVESRGAGMHGGAVGSFRWSQALAFLQQLHAIIMAWMTTQRLLLDVEKQESVSPPMQSQHKSKRGNLPHLRSQQLHLTMQSD >itb06g13650.t3 pep chromosome:ASM357664v1:6:18355469:18358337:1 gene:itb06g13650 transcript:itb06g13650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MATLLRKVWESVSARSASASAPGSSPYSSWSSSDGFHQLACIRASSMGDFDRIPIDIFIVIVKLLGPKEAAKLTAVCKSWKFIVSDNRLWIYFLQNQQDPWDSIFFAETHLRSGFSLWTFANPMADLSFMHIYGQRAMVPGTMIVDGGSGYCKYGWSKYNRPSGRSATFLEFGNIESPMYSRLRHFFSTIYSRMQVKTSTQPIIVSIPICHYDDAESDKSARKQLEEAILSALFDMNVPAVCAMNQAVLALFAARRTSGIVVNVGFHQTSVVPSKIFLLKTEICGAICCC >itb06g13650.t2 pep chromosome:ASM357664v1:6:18355465:18360337:1 gene:itb06g13650 transcript:itb06g13650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MATLLRKVWESVSARSASASAPGSSPYSSWSSSDGFHQLACIRASSMGDFDRIPIDIFIVIVKLLGPKEAAKLTAVCKSWKFIVSDNRLWIYFLQNQQDPWDSIFFAETHLRSGFSLWTFANPMADLSFMHIYGQRAMVPGTMIVDGGSGYCKYGWSKYNRPSGRSATFLEFGNIESPMYSRLRHFFSTIYSRMQVKTSTQPIIVSIPICHYDDAESDKSARKQLEEAILSALFDMNVPAVCAMNQAVLALFAARRTSGIVVNVGFHQTSVVPILHGKVMHNVGVETIGVGALKLTSFLKEQMQQKNIYFGSLYTVRTLKENLCYVAPDYEAELSKDTKASFQVPSEGFFTLDKERFQTGEILFQPRIAGMYVYTILVYKLGTIVPFLFVLNVL >itb06g13650.t1 pep chromosome:ASM357664v1:6:18355463:18360340:1 gene:itb06g13650 transcript:itb06g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MATLLRKVWESVSARSASASAPGSSPYSSWSSSDGFHQLACIRASSMGDFDRIPIDIFIVIVKLLGPKEAAKLTAVCKSWKFIVSDNRLWIYFLQNQQDPWDSIFFAETHLRSGFSLWTFANPMADLSFMHIYGQRAMVPGTMIVDGGSGYCKYGWSKYNRPSGRSATFLEFGNIESPMYSRLRHFFSTIYSRMQVKTSTQPIIVSIPICHYDDAESDKSARKQLEEAILSALFDMNVPAVCAMNQAVLALFAARRTSGIVVNVGFHQTSVVPILHGKVMHNVGVETIGVGALKLTSFLKEQMQQKNIYFGSLYTVRTLKENLCYVAPDYEAELSKDTKASFQVPSEGFFTLDKERFQTGEILFQPRIAGMRAMGLHNAVALCMEHCQEAELNTDDSWYKTIVLAGGSACLPGLAERLEKEVRALLPPSMSFGVRVLPPPCGVDSAWHGAKLISNLSTFPTSWCVTRKQFRQRSRSKLIW >itb10g06610.t1 pep chromosome:ASM357664v1:10:7452073:7453749:1 gene:itb10g06610 transcript:itb10g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENGNGNGVEKATQKPRDHWGIAGLVVRVLALFGTVSATVVMAMNKEKKTIVVATIGTTPIQATLTAKFQHTPAFVFFVIANGLGSLHNLLMLVTEVIGPKYDFKFKGIRLLLVALLDTVNVALVSGGMSAAAFMGQLGRDGNSHARWSRICDKFNTFCDHGTGAIIASFIALLLMIITTLITLIKLRNHSTSTLT >itb01g10170.t1 pep chromosome:ASM357664v1:1:8454762:8458822:-1 gene:itb01g10170 transcript:itb01g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQEEDRVLLERGLLQQDESSRIFTGDGSVDRKGDPALKTTTGNWRACPFILGTECCERLAYYGIATNLVSYLTKKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIAVFSSIYFIGMCTLTLSASIPALKPVECVGSLCPTATPAQYAIFFIGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVKKGSFFNWFYFSINIGALVSSSLIVWIQDNAGWGLGFGIPALFMGLAIASFFAGTPLYRFQKPGGSPLTRMCQVLVASIHKWNVTAPVDSSLLYETPDNVSAIEGSRKLMHTDELRCLDKAAVISDAELKTGDYSNAWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGTMMDTSIGSFNIPAASLSSFDVISVIVWVPIYDAILVPIARKLTGKERGFSELQRMGIGLFLSILCMSAAALVEIKRLQIARDLDLVDKAVAVPISIFWQIPQYFLLGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTALGNYLSSLILTLVTYFTTQGGNPGWIPDNLNCGHLDYFFWLLAFLSFLNMVIYIFCAKIYKSKKAS >itb02g00500.t1 pep chromosome:ASM357664v1:2:336150:336797:-1 gene:itb02g00500 transcript:itb02g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHYQLLPYVLFSLSFLPFIAFSIRQFPLPAVIGLDGKEVKLGESYYVLTSLFPPGLCLVDNVKCPTDIIQCPPYYDDPLGLPVTFSPVNSTEDTVVREDTPYRIELSAAGNCSNGSFWYLKDDEYGTDRHFVAVGPDTVAVEFIFHKLVLGYKIIRCVVFPIPTVPICFGVGFVPTFGFNRLGIGSDVQPVDFFFAKATTNSTAPSPTASAS >itb05g13290.t1 pep chromosome:ASM357664v1:5:20165387:20165629:1 gene:itb05g13290 transcript:itb05g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSAALAEVYVAKKIYKESMNTTTGNKTARRDQIRKKTTATATSSYSYGGCFPLFFKKVHPNAAVSPDSAVTPAAYNN >itb01g20230.t1 pep chromosome:ASM357664v1:1:26490503:26507066:-1 gene:itb01g20230 transcript:itb01g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVAEPIGGDEGASTSYRSGSDRPFITRSITYWPEHAPEPFDSEKLPVTLASDIQRFLRVANQIQLEEPRVAYLCRFHAFEVAHNLDRNSNGRGVRQFKTALLQRLEHDEETTIRKRKERSDLRELRRVYHKFKNYIIKHVGDSNLKNREKLTKARAIASVLFEVLSIVSSAAGFKALADNESIDTKSELFVPYNILPLDQGGVHHPIMQLPEIKAAVAAIRNIRGLPFLEECGRRLAYMDLFDWLQFCFGFQKGNVDNQREHLILLIANINIRLAHKQTLVPKSGDLAIDELMKRFFKNYSDWCKFLGRNSSIRLPFLKQEALQYKLLYIGLYLLIWGEAANLRLMPECLCYIFHNMAYELHSMLIDAVSMTTGEKFMPAYGGGYESFLNNVVSPIYEVISKEAEKNKNGTTDHSTWRNYDDLNEFFWSPDCFQIGWPMRLDHDFFCVKSVPDQKVEKVRSPVGNVEDKKNDANEAENEDEEMGLKEEEPRKPKWLGKTNFVEIRSFWQIFRSFDRLWNFLILSLQAMIIMASHDLESPLQVFDAAILEDVMSIFITSAILKLVHAILDIVFTWKARSTMDSAQTTKCLLKVVVAMIWTTILPIFYANSRRKYTCYSTDGGSWLGEWCYSSYMVAVAFYLMTNAFDTILFLVPAVGKYIETSNFRVCTLLSWWTQPRLFVGRGMQESQLSILKYTLFWVLLLLSKLTFSYIFEIKPLISPTRQIMTIGVKGYDWHELFPKVKNNCGAIAAIWAPIIFVYFMDAQIWYSVYCSVFGGVYGILRHLGEIRTLGMLRSRFHTLPSAFNKYLVPPQAKDSPNGIKRLLFHQRFQKASGNEKNGVVNFALVWNQIIYSFREEDLISNREVDLMKIPVSSELFLGRVRWPVFLLANQLSTALCIARDFVGKDAQLLKKIKKDTCMYLVVTECYELLKDILEILVVGDLERRIVSSIFIEIEESIGRSTFIKDLKISELPQLHEKCIELVELLVEGNEDHHSTVVLVLQDIFELVTSDLMRNGSRVLASLQAEQEKEPTEIFSSPIEPLLFASKRCIHFPLPDTDSIMEKIKRFLLLLTIKDKALDVPKNLEARRRISFFATSLFMDMPSAPKVRNMLSFSILTPHYMEEVKFSSKELRSRKQGVSINFYMKKIYPDEWENFSERIGMEISNESNDDLYEEDLRKWASFRGQTLSRTVRGMMYYREAIKLQAFLDMAENDDILRGYDNDRLAAQLEALADMKFTHVVSCQMFGSQKTSGDPQAQDILDLMKMYPSLRVAYVEEKEEGKSQKTYSSILVKAINGFDQEVYRIKLPGSPNIGEGKPENQNHAIIFTRGEALQAIDMNQDNYMEEALKMRNILQEFLNNRGHRPPTIIGMREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDLFDRIFHLTRGGISKASKTINLSEDVFAGFNTTLRRGCVTYLEYMQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIYRLGHRFDFFRMLSCYFTTIGFYFNSLISVITIYVFLYGQLYLVLSGLQKALLIEAKVQNLKSLETALASQSFIQLGLLTGLPMVIEIGLERGFLNALKDFVLMQLQLAAVFFTFSYGTKSHYFGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFIKGFELLLLLIVYDLFRRAYENTMVYVLITYAVWFMSMTWLLAPFLFNPSGFDWGKIVDDWKDWNKWIQQQGGIGIQQDKSWQSWWYDEQAHLRHSGLLSRLIEILLSLRFFIYQYGLVYHLDISGDNKNFIVYLLSWVVIVMIFLLVKAVSIGRHFLSANYHLAFRLFKALLFLGVVSTIITLSIICDLSVRDLIVCCLAFLPTGWGLIMVAQAVRPMIEGTGLWHFTQVFAQAYDYGMGVVIFAPLACLAWMPIISAFQTRFLFNEAFNRSLQIDSILYGKKKRK >itb01g20230.t2 pep chromosome:ASM357664v1:1:26490501:26507066:-1 gene:itb01g20230 transcript:itb01g20230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVAEPIGGDEGASTSYRSGSDRPFITRSITYWPEHAPEPFDSEKLPVTLASDIQRFLRVANQIQLEEPRVAYLCRFHAFEVAHNLDRNSNGRGVRQFKTALLQRLEHDEETTIRKRKERSDLRELRRVYHKFKNYIIKHVGDSNLKNREKLTKARAIASVLFEVLSIVSSAAGFKALADNESIDTKSELFVPYNILPLDQGGVHHPIMQLPEIKAAVAAIRNIRGLPFLEECGRRLAYMDLFDWLQFCFGFQKGNVDNQREHLILLIANINIRLAHKQTLVPKSGDLAIDELMKRFFKNYSDWCKFLGRNSSIRLPFLKQEALQYKLLYIGLYLLIWGEAANLRLMPECLCYIFHNMAYELHSMLIDAVSMTTGEKFMPAYGGGYESFLNNVVSPIYEVISKEAEKNKNGTTDHSTWRNYDDLNEFFWSPDCFQIGWPMRLDHDFFCVKSVPDQKVEKVRSPVGNVEDKKNDANEAENEDEEMGLKEEEPRKPKWLGKTNFVEIRSFWQIFRSFDRLWNFLILSLQAMIIMASHDLESPLQVFDAAILEDVMSIFITSAILKLVHAILDIVFTWKARSTMDSAQTTKCLLKVVVAMIWTTILPIFYANSRRKYTCYSTDGGSWLGEWCYSSYMVAVAFYLMTNAFDTILFLVPAVGKYIETSNFRVCTLLSWWTQPRLFVGRGMQESQLSILKYTLFWVLLLLSKLTFSYIFEIKPLISPTRQIMTIGVKGYDWHELFPKVKNNCGAIAAIWAPIIFVYFMDAQIWYSVYCSVFGGVYGILRHLGEIRTLGMLRSRFHTLPSAFNKYLVPPQAKDSPNGIKRLLFHQRFQKASGNEKNGVVNFALVWNQIIYSFREEDLISNREVDLMKIPVSSELFLGRVRWPVFLLANQLSTALCIARDFVGKDAQLLKKIKKDTCMYLVVTECYELLKDILEILVVGDLERRIVSSIFIEIEESIGRSTFIKDLKISELPQLHEKCIELVELLVEGNEDHHSTVVLVLQDIFELVTSDLMRNGSRVLASLQAEQEKEPTEIFSSPIEPLLFASKRCIHFPLPDTDSIMEKIKRFLLLLTIKDKALDVPKNLEARRRISFFATSLFMDMPSAPKVRNMLSFSILTPHYMEEVKFSSKELRSRKQGVSINFYMKKIYPDEWENFSERIGMEISNESNDDLYEEDLRKWASFRGQTLSRTVRGMMYYREAIKLQAFLDMAENDDILRGYDNDRLAAQLEALADMKFTHVVSCQMFGSQKTSGDPQAQDILDLMKMYPSLRVAYVEEKEEGKSQKTYSSILVKAINGFDQEVYRIKLPGSPNIGEGKPENQNHAIIFTRGEALQAIDMNQDNYMEEALKMRNILQEFLNNRGHRPPTIIGMREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDLFDRIFHLTRGGISKASKTINLSEDVFAGFNTTLRRGCVTYLEYMQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIYRLGHRFDFFRMLSCYFTTIGFYFNSLISVITIYVFLYGQLYLVLSGLQKALLIEAKVQNLKSLETALASQSFIQLGLLTGLPMVIEIGLERGFLNALKDFVLMQLQLAAVFFTFSYGTKSHYFGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFIKGFELLLLLIVYDLFRRAYENTMVYVLITYAVWFMSMTWLLAPFLFNPSGFDWGKIVDDWKDWNKWIQQQGGIGIQQDKSWQSWWYDEQAHLRHSGLLSRLIEILLSLRFFIYQYGLVYHLDISGDNKNFIVYLLSWVVIVMIFLLVKAVSIGRHFLSANYHLAFRLFKALLFLGVVSTIITLSIICDLSVRDLIVCCLAFLPTGWGLIMVTLQ >itb13g18550.t2 pep chromosome:ASM357664v1:13:25510485:25514660:-1 gene:itb13g18550 transcript:itb13g18550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGYGKRRVSERSSRRPKSLGPEKKSKPKSKAVSLKNQIRSVERMLRKDLPLEVREAQEKKLEDFKKQQEIHNRLAVERKIFLRNRKIKFFDRRKIERRIRRLEKQQRTSSGQAQETQIAEQLAKLKEDLEYVRFFPKTEKYVSLFTGGDDEGIIEKRTELRKQIKANLVAAAASGKDLEETGSEDDGILDLSDDDFFVSGSSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQKQISARALMPPPRPSSKSFSSSLHNKSRFGGSSSKKSSYRGREVSSSSNTSDSINRSSFRKGHSLDSLAGNSGNQSSNSDAHKPRRKRRPKKKKQQT >itb13g18550.t1 pep chromosome:ASM357664v1:13:25510485:25514660:-1 gene:itb13g18550 transcript:itb13g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGYGKRRVSERSSRRPKSLGPEKKSKPKSKAVSLKNQIRSVERMLRKDLPLEVREAQEKKLEDFKKQQEIHNRLAVERKIFLRNRKIKFFDRRKIERRIRRLEKQQRTSSGQAQETQIAEQLAKLKEDLEYVRFFPKTEKYVSLFTGGDDEGIIEKRTELRKQIKANLVAAAASGKDLEETGSEDDGILDLSDDDFFVSGSSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQISARALMPPPRPSSKSFSSSLHNKSRFGGSSSKKSSYRGREVSSSSNTSDSINRSSFRKGHSLDSLAGNSGNQSSNSDAHKPRRKRRPKKKKQQT >itb13g18550.t3 pep chromosome:ASM357664v1:13:25510665:25514660:-1 gene:itb13g18550 transcript:itb13g18550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGGYGKRRVSERSSRRPKSLGPEKKSKPKSKAVSLKNQIRSVERMLRKDLPLEVREAQEKKLEDFKKQQEIHNRLAVERKIFLRNRKIKFFDRRKIERRIRRLEKQQRTSSGQAQETQIAEQLAKLKEDLEYVRFFPKTEKYVSLFTGGDDEGIIEKRTELRKQIKANLVAAAASGKDLEETGSEDDGILDLSDDDFFVSGSSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQVIH >itb10g01990.t1 pep chromosome:ASM357664v1:10:1627067:1629201:-1 gene:itb10g01990 transcript:itb10g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKLFSLLPLLSIAVILALHTPMATAQLRAFCANFATFHPNSRYEKNLNTLLGNLSSNTPRNGYSSGFAGKCTDRVYGLALCRGDTTTEECKTCVADATTRIRQLCPNNNGGIVWYDNCLLKYSEDDFFGEIDNVNKFYMQNPVEAKDPKAFMQKTKELLTRLADSAALLKSSYAAESQDIGGSVTEYAMTQCTRDLSGEDCKKCLYDSIAELPQCCGTARVGGRVIGGSCYFRYETYPFYNGAH >itb04g05900.t1 pep chromosome:ASM357664v1:4:3841423:3848285:1 gene:itb04g05900 transcript:itb04g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRVVVSALLVALLAIASLPLLNLNDDYSNSAKVLKWRKSFADLVVRNATIYTSDASLPFADSMAIRAGRILRLGTYSSIKDLAGSGTKELDVEGKVVVPGFIDSHVHLIFGGLQMARVELRGVNRKELFVSKVKEAVTNMKSGSWLLGGGWNNDLWGGDFPMASWIDDITPHNPVWLSRMDGHMGLANSLALKFAGISHDTRDTDGGAIVKNSDGEPTGLLIDSAMKLVFSCIPEPSTDERRNALLTASNLALMRGVTTVVDFGRYFPGSSTELSWEDLSDVYQWADLSGNMVIRVCLFFPLETWARLEGFVKKVGRSLSQWVHLGGVKAFADGSLGSNSALFHEPYVDEPHNYGLQVTNMDQLYNLTLSADKANLQVAIHAIGDRANDLILDMYASVASENQMKDQRFRIEHAQHLAPRTVARFGEQAVVASVQPDHLLDDADSAIKKLGLKRAERGSYLFKSLLANNAQLAFGSDWPVADINPLRSIATAMKRIPPGQQNAWIPSECMSLKEALNAYTISAARACFLDKDVGSLSPGKLADFVVLSTDSWDSFASDGSASVQATYVGGIQAYPKKIKADYEMELER >itb04g05900.t3 pep chromosome:ASM357664v1:4:3844915:3848136:1 gene:itb04g05900 transcript:itb04g05900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHMGLANSLALKFAGISHDTRDTDGGAIVKNSDGEPTGLLIDSAMKLVFSCIPEPSTDERRNALLTASNLALMRGVTTVVDFGRYFPGSSTELSWEDLSDVYQWADLSGNMVIRVCLFFPLETWARLEGFVKKVGRSLSQWVHLGGVKAFADGSLGSNSALFHEPYVDEPHNYGLQVTNMDQLYNLTLSADKANLQVAIHAIGDRANDLILDMYASVASENQMKDQRFRIEHAQHLAPRTVARFGEQAVVASVQPDHLLDDADSAIKKLGLKRAERGSYLFKSLLANNAQLAFGSDWPVADINPLRSIATAMKRIPPGQQNAWIPSECMSLKEALNAYTISAARACFLDKDVGSLSPGKLADFVVLSTDSWDSFASDGSASVQATYVGGIQAYPKKIKADYEMELER >itb04g05900.t2 pep chromosome:ASM357664v1:4:3844126:3848285:1 gene:itb04g05900 transcript:itb04g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVELRGVNRKELFVSKVKEAVTNMKSGSWLLGGGWNNDLWGGDFPMASWIDDITPHNPVWLSRMDGHMGLANSLALKFAGISHDTRDTDGGAIVKNSDGEPTGLLIDSAMKLVFSCIPEPSTDERRNALLTASNLALMRGVTTVVDFGRYFPGSSTELSWEDLSDVYQWADLSGNMVIRVCLFFPLETWARLEGFVKKVGRSLSQWVHLGGVKAFADGSLGSNSALFHEPYVDEPHNYGLQVTNMDQLYNLTLSADKANLQVAIHAIGDRANDLILDMYASVASENQMKDQRFRIEHAQHLAPRTVARFGEQAVVASVQPDHLLDDADSAIKKLGLKRAERGSYLFKSLLANNAQLAFGSDWPVADINPLRSIATAMKRIPPGQQNAWIPSECMSLKEALNAYTISAARACFLDKDVGSLSPGKLADFVVLSTDSWDSFASDGSASVQATYVGGIQAYPKKIKADYEMELER >itb15g11250.t1 pep chromosome:ASM357664v1:15:9075613:9089686:-1 gene:itb15g11250 transcript:itb15g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTMIEKAGEEMAEYVVLSCRYWSAKGEFPGLCSELKGMSLDIQIINASLDQAYNNNSIASLDVLMLKTFQTIVNEARDVAARCFFPKYFRTLTNASGVQSVRSKINMFRQQHQNDLHSLTKICWTNVLLPTVQIQRSIGAIEEVELAVNMLVQTVGDNVNYIMKSEIEDMTFQIKTFTESLVVACKSPLANENRVLRLIVKKFGTHVNEARDAVANYFAQEKKHGLAKAFDKIRLCGKLNDVVSEIQSIKEKVKTICEDHKAHLQHLQEDYNKRSDLPPPKVRANLRENKIVGFNDDLKTIKTRLMEASKDFFVIPIVGNAGTGKTTFALKIFEDAEIQKYFTHCVWVHVSRGFHRKQKFIEILHQISKQTDDFSIALEDVLEAKIKEILEDKRYFIVLDDVREKYDWDSLKVAFPTNLKGSRVLVTTWSGNAVDCTWKSHSLGKLSNEDGWLLIKNNFFGTEGCCDTLIEELGIKIAEKCNGLPHALVLVIGILRNCITSVDWQRVADNPLLEINGEDQSYHALVKLSYDDLRDEGLKKCFLYFAYFPMGHEIVAWKLICLWIAERFIPIEDDYSLEAEVEASKYLNVLVNRNLVMVKKRSADGQIKTCCIHDTLHEFCRSEAEREELFHVMDEGQRLNERIYLERLCSYYTMNIFDVENNNPSDSFSNLFNKRMGPRQNGEYVGSLLLSSSQKSEIPSMPEQLETIIKTFDYLRVLNIESLKFSSLPNVLYSKLLIRYLAITADISSLPKSFKDFLWLETLVIKTTERALQINGGIWNMEYLRHVRTNSSTQLPSPPKRGKHSRKHTDILTLSTISPGSCTSKIFNKTPKLQKLGVRGNLSKLLEKKKNACLFNNIQMLERLENLKLHGNSEKVELKVPMVDKFPRRLRKLTLSGTLFQWSDMTVLGSLEKLKVLKLDDNAFSGEDWDVRNDVIFKGLNYLRIGKTNLKTWTAVELEKSFPVLETLVLRNCISLQNIPQDFANVDSLELMELFGVSERVADFAREICEKRHGKTNVKINGFNLFITPLPSQATEHNQAYGVENVNTNGFEHPSTTTLSKEIVHKQSNGEENVNFSGFDHHNTSTSSQGIVHKQSNGEENVNNSGFDHLSTSTLSHEINAEKMHVMEES >itb13g06770.t1 pep chromosome:ASM357664v1:13:8200700:8201671:1 gene:itb13g06770 transcript:itb13g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWRPTMVKTSLRPVRMYCDASLQIAGESKRLFSAQVWFCFSVTKFRLPIPQCRCFNKASMTSGLASSGLVSCPGGSHSPGGISTDSET >itb10g06690.t1 pep chromosome:ASM357664v1:10:7523844:7531901:1 gene:itb10g06690 transcript:itb10g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSDHQKLLSKADKLTKLSFTRWTADWKSATSLYEQAANGFRLAKKYEQAKTAFEKASKGQEMLSSPWDAAKLMESAAAMAKELGNWREVADFYRRAYELYSECGRAQPASDALAKGARALEDAAPDEAVQLYTEACTALEEDGKDQMAFDLYRDASRVYLKLEKYADAATILLRWALAADKCNATHSQCKAYLSAIIVYLYAHDFKEAEKCYNDCCQALEDAAPDEAVQLYTEACTALEEDGKDQMAFDLYRDASRVYLKLEKYADAATILLRWALAADKCNATHSQCKAYLSAIIVYLYAHDFKEAEKCYNDCCQEGDEEEIKRVGQSSIVTXDEEEIKRVGQSSIVTNLDHTIVRLARKLPTGDVSTFRSGTTRDNEEQLDEDDLT >itb05g19730.t1 pep chromosome:ASM357664v1:5:26092493:26098123:1 gene:itb05g19730 transcript:itb05g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQENSALFPIFILSVIGLPLVPYTVLKIFRAATRSTRNIHCECSVCTRSGKYRKSNSQRISSFLSCSNVTLILLWLIVGLVAYSVKQSRSEIQVFEPFSILGLEPGASDSAIKKAYRRLSIQYHPDKNPDPDANKYFVEYISKAYQALTDPISRENFEKYGHPDGRQGFQVGIALPEFLLAGASGGVLLIWILGGMILLPLIIGVIYLSRSSQYSGNVRRETLSTYFNSVKPSLAPSKIMEVFIKAAEYMEIPVRRSDDEPLQKLFSVVKGELNLDGKNAKQEQAKYWKQHPSLIKTELLIQAHLTRKAETLSPDLQRDYKHVLQLAPRLLEELFVMANLPRTSKGHGWLRPAIGVVELSQCIVQAVPLSARKATRGSSEGVAPFLQLPHFSETVIEKIAQKAHTFQDFRDMTSQERAELLTEVAGLSAAEVQDVEKVLELMPRVTVDVSCETEGEEGIQEGDIVTVQAWVMLKRANGLIGALPHNPYYPFPKEENFWFLLADANSNNVWLSQKVNFTDEAGAVTAASKIVEDKMEALGADAKEISAAVKEATERVKSGSRLVMGKIQAPAEGNYNLTSYLLCDSWLGCDNTVSVKVKVLRRNRTGTRVGRVAEGEQNIPDDIEDEDATDEDEYDEEEEVESEYSEEDEEEEDDTQVTDKKRKEFDRQVTDKKGKKEVERQVTGKKGKEEVDRQITGKKGKANGTTRRKNR >itb06g08840.t1 pep chromosome:ASM357664v1:6:12982450:12990280:-1 gene:itb06g08840 transcript:itb06g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKYEQFKGQPRLPQFAVPKRYDIRLKPDLVACKFAGSVDISVDVVSDTKFLVLNAAELTVNPKSVVFKAQHKILEAVEVELLAEDEIMVAEFGEALPTGLGVFSIAAFEGTLNDRMKGFYRSTYLHNGEKKNMAVTQFEPADARRCFPCWDEPSFKATFKMTLEVPSELVALSNMPVIEDKSNGDTKIVCYQESPIMSTYLVAIVVGLFDYVEDHTPDGIPVRVYCQVGKANQGKFALDVAVKTLGIYKEYFEVPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADGLFPEWSIWTQFLDESTEGLRLDGLAESHPIEVDINHASEIDEIFDAISYRKGASLIRMLQSYLGAERFQRGLAAYIKKYACSNAKTEDLWSVLQEVSGEPVNSLMNSWTKQMGYPVVSVKINDHKLEFEQSQFLLSGTHGDGQWIVPVTLCCSSYDARKSFLLQTKSEALDINELFSSQSKSDSWIKVNVEQTGFYRVKYDENLSARLRHAIENKFLSTNDRYGILDDSFALSMACHQPLSSLLALMSAYREELNYTVLSNLISISYKVARIVADAAPELLNDIKLFFINLFQYSAERLGWDPKQGEGHLDAMLRGELLNALAVFGHDATINEANRRFHIFLDDRNTPVLPPDLRKAVYVAVMQNVNKSNRSGFEALLRVYRETDLSQEKTRILGSLSSSRDPEIILEVLNFMLSSEVRSQDAVFGLAVSFEGREVAWNWFKDNWDNLCKIYGPGFLVTRFVTAVVSPFSSYEKAKEVEEFFATRTRPFIARSLKQSLERVDINAKWVKSIRIEKHLADAVRELAYRKY >itb05g21490.t2 pep chromosome:ASM357664v1:5:27222338:27228080:-1 gene:itb05g21490 transcript:itb05g21490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKRKPFALLEKPEDLKPNELVFQVRFTKEIFRDYGDYLKRMHLYRQRIWSCKLSGKNYLTYEEALLSEKEAGEEVQLFPKELLAPVLRDVQFSMLSLNDLVNAIAVKLHGRLSVDTNLYGRKNSCIYTCRIVRIVEEDEKTQYEVEWMDKYDDISECTLVNEEDLIRKKLPYSRGVLKLLIRESTYRSIPWVLHDKLAKEHGIPTHPPPELESEISLKDGLVVVNKKRKRSECSQTDMDVEENELLDPKRKNCSRNSHEPLSNGKSVYEENGNLELNAVRYPIDDLLVQPSESDKYLTARPSPSRDFNAPMHCVGDLLMVWDFCTSFGRLLQLSPFSLEDFENAVCHSDSNVILIMEAHSALLRLLMKDNVRYFFTIKKKKRKTKITLVTWAEYLCDFLEMISIAELSAHISTIRRGHYGLLDVGVKLKILGELVAQAFMSDLFKEKLDEDIEKRQALSAARRDEALEEGRKRREEKERSKTQSVEKVARNGHIDSMNPVNSNHIRENGHVSNEGKEKWASCLKHSSDDSDGDAEMVNEKDAAENICNSSKKAALKNGMMELMQNKTKDQKQRAAHKLRKNQIKETIEKRSKEQRKEYLDREIEKRVIRTSPLGKDRDHNRYWFFRREAKIFVESSDSMEWGYYSSKEEVDALLGSLNVKGVRERALKKQLEKFYDKIILEIQKKLKDATQESATEESVRRRSTRVRAPPGENPALVFLKYVNKWKKE >itb05g21490.t3 pep chromosome:ASM357664v1:5:27222338:27228080:-1 gene:itb05g21490 transcript:itb05g21490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKRKPFALLEKPEDLKPNELVFQVRFTKEIFRDYGDYLKRMHLYRQRIWSCKLSGKNYLTYEEALLSEKEAGEEVQLFPKELLAPVLRDVQFSMLSLNDLVNAIAVKLHGRLSVDTNLYGRKNSCIYTCRIVRIVEEDEKTQYEVEWMDKYDDISECTLVNEEDLIRKKLPYSRGVLKLLIRESTYRSIPWVLHDKLAKEHGIPTHPPPELESEISLKDGLVVVNKKRKRSECSQTDMDVEENELLDPKRKNCSRNSHEPLSNGKSVYEENGNLELNAVRYPIDDLLVQPSESDKYLTARPSPSRDFNAPMHCVGDLLMVWDFCTSFGRLLQLSPFSLEDFENAVCHSDSNVILIMEAHSALLRLLMKDNVRYFFTIKKKKRKTKITLVTWAEYLCDFLEMISIAELSAHISTIRRGHYGLLDVGVKLKILGELVAQAFMSDLFKEKLDEDIEKRQALSAARRDEALEEGRKRREEKERSKTQSVEKVARNGHIDSMNPVNSNHIRENGHVSNEGKEKWASCLKHSSDDSDGDAEMVNEKDAAENICNSSKKAALKNGMMELMQNKTKDQKQRAAHKLRKNQIKETIEKRKRIS >itb05g21490.t1 pep chromosome:ASM357664v1:5:27222162:27228080:-1 gene:itb05g21490 transcript:itb05g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKRKPFALLEKPEDLKPNELVFQVRFTKEIFRDYGDYLKRMHLYRQRIWSCKLSGKNYLTYEEALLSEKEAGEEVQLFPKELLAPVLRDVQFSMLSLNDLVNAIAVKLHGRLSVDTNLYGRKNSCIYTCRIVRIVEEDEKTQYEVEWMDKYDDISECTLVNEEDLIRKKLPYSRGVLKLLIRESTYRSIPWVLHDKLAKEHGIPTHPPPELESEISLKDGLVVVNKKRKRSECSQTDMDVEENELLDPKRKNCSRNSHEPLSNGKSVYEENGNLELNAVRYPIDDLLVQPSESDKYLTARPSPSRDFNAPMHCVGDLLMVWDFCTSFGRLLQLSPFSLEDFENAVCHSDSNVILIMEAHSALLRLLMKDNVRYFFTIKKKKRKTKITLVTWAEYLCDFLEMISIAELSAHISTIRRGHYGLLDVGVKLKILGELVAQAFMSDLFKEKLDEDIEKRQALSAARRDEALEEGRKRREEKERSKTQSVEKVARNGHIDSMNPVNSNHIRENGHVSNEGKEKWASCLKHSSDDSDGDAEMVNEKDAAENICNSSKKAALKNGMMELMQNKTKDQKQRAAHKLRKNQIKETIEKRSKEQRKEYLDREIEKRVIRTSPLGKDRDHNRYWFFRREAKIFVESSDSMEWGYYSSKEEVDALLGSLNVKGVRERALKKQLEKFYDKIILEIQKKLKDATQESATEESVRRRSTRVRAPPGENPALVFLKYVNKWKKE >itb06g13490.t1 pep chromosome:ASM357664v1:6:18171650:18172057:1 gene:itb06g13490 transcript:itb06g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIFTCSCIFILALISVTNPLKCYAARNLLQANNNPVVPGIPTIPGMPTIPITGIPTIPGMPAIPAIQATNPAVPGMPTIPRAAASPMPSIPTQPTFPTIPGIPKFAMPPMPSFPSSFPKIPFPFFSPPPSKN >itb01g08230.t1 pep chromosome:ASM357664v1:1:6633612:6641509:1 gene:itb01g08230 transcript:itb01g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVSVSDGKVSRRSMRRNVNVKNYSENVMDELIEGHLGGSKKKRIRTKEQLERETEKEAMIALSLGFPIDALLEEEIKAGVVTELDGKEQNDYIVVRNHILTRWRHNVRTWISKGQIRETVSNEYEHIMSAAYDFLLYNGYINFGVSPSIVSQIPEEATEGSVIIVGAGLAGLAAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGQNGNYAAVDLGGSVITGIDANPLGVLARQLSIPLHKVRDRCPLYQPDGAPVDETVDSKVELIFNKLLDKVTELRKIMGGFANDISLGSVLETLRQLYAVARTAEERQLLDWHFANLEYANAGCLSNLSASHWDQDDPYEMGGDHCLLAGGNWRLIKALCKGVPIFYGKTVQTIRYGDGVEVIAGGQVFQADMILCTVPLGVLKRKSIRFEPELPDKKLAAIERLGFGLLNKVAMVFPFVFWGEDLDTFGRLNQYSHRRGEFFLFYSYHTVSGGPVLVALVAGDAAQFFESTEPSTLVHHVMSILKGIYGPKGINVPDPVQSICTRWGNDPFSFGSYSHVRVRSSGSDYDALAESVDGRLFFAGEATIRQHPATMHGAYLSGLREASRICQAMKELQNNPRKITTKNAGASKEVLTELFKNPDLAFGQFSCVFDPLTDDPKSLGLMEVTFSDTCKEFSEVENIHQPPSEQPLQLFTVLSREQAHEVHLVAGGNDCKLLYLFKNLGLKLLGADSLGALGNTIATNIANVRKGKGRYRKRGRPRKAGVTNIHLPSV >itb01g08230.t2 pep chromosome:ASM357664v1:1:6633612:6641509:1 gene:itb01g08230 transcript:itb01g08230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METPVSVSDGKVSRRSMRRNVNVKNYSENVMDELIEGHLGGSKKKRIRTKEQLERETEKEAMIALSLGFPIDALLEEEIKAGVVTELDGKEQNDYIVVRNHILTRWRHNVRTWISKGQIRETVSNEYEHIMSAAYDFLLYNGYINFGVSPSIVSQIPEEATEGSVIIVGAGLAGLAAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGQNGNYAAVDLGGSVITGIDANPLGVLARQLSIPLHKVRDRCPLYQPDGAPVDETVDSKVELIFNKLLDKVTELRKIMGGFANDISLGSVLETLRQLYAVARTAEERQLLDWHFANLEYANAGCLSNLSASHWDQDDPYEMGGDHCLLAGGNWRLIKALCKGVPIFYGKTVQTIRYGDGVEVIAGGQVFQADMILCTVPLGVLKRKSIRFEPELPDKKLAAIERLGFGLLNKVAMVFPFVFWGEDLDTFGRLNQYSHRRGEFFLFYSYHTVSGGPVLVALVAGDAAQFFESTEPSTLVHHVMSILKGIYGPKGINVPDPVQSICTRWGNDPFSFGSYSHVRVRSSGSDYDALAESVDGRLFFAGEATIRQHPATMHGAYLSGLREASRICQAMKELQNNPRKITTKNAGASKEVLTELFKNPDLAFGQFSCVFDPLTDDPKSLGLMEVTFSDTCKEFSEVENIHQPPSEQPLQLFTVLSREQAHEVHLVAGGNDCKLLYLFKNLGLKLLGADSLGALGNTIATNIANVRKGKGRYRKRGRPRKAGVTNIHLPSV >itb08g11880.t1 pep chromosome:ASM357664v1:8:11893881:11894273:-1 gene:itb08g11880 transcript:itb08g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQTTDSGWGNYHANPRNSSSSSSDPLERVVRLASGSAVVIFSVSTCCMCHAVKRLFCGMGVNPTVYELDQDPKGKEMEKALSRLLGNSPPVPVVFIGGKLVGTMDRVMASHINGTLVPLLKEAGALWL >itb05g15880.t1 pep chromosome:ASM357664v1:5:23210198:23211923:-1 gene:itb05g15880 transcript:itb05g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNNQCMLHIGILSSPGIGHIIPALVLGNRLAAVHNVKVTVFVITTANSPTERQLLESSRLNIVQIPPVDISALLDANTKPVTQLCLLVRAALPGVRSALWATNQCRRLDALVGDFFCTEALPVADELNVPKFIYVPTNAWFTALTVYCPVLDKEIVGQYVEQEKGLEIPGCKPVRPEDVVDPMLDRNDEQYREYLRVGGGFSMADGILMNIWEDVDPVSLKALRENETLSKLVGRSPVYAIGPLTRNIEERDGDGMIMQWLDKQPRESVLYVSFGSGGTLSAEQITELAWGLELSEQRFIWVVRPPSKRGPDDAFFTTGQGADGTPNYLPEGFNTRTQKQGLVVPMWTEQALILKHPSTGGFLSHCGWNSTLESIKNGVPIIAWPLYSEQRQNASLLTEELGVAIRPKKLPTKGIVGREEVKTLVKTMLQSNEGKEMRERVVKLRMSAEKAISIGGSSYNSMCELLNTIEKRRSEI >itb06g07380.t1 pep chromosome:ASM357664v1:6:10891743:10893725:-1 gene:itb06g07380 transcript:itb06g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLSATAIAVVLLFIVAEGASPWVDVPKSKTVSCKNKYYPDCNHQKHTCPADCPYTCEVDCVTCSPVCNCNKPGAVCQDPRFIGGDGITFYFHGKKDRDFCLVTDPNLHINAHFIGRRNAGMKRDFTWVQSLGILFDNHQLFIGAKNTPTWNDADDRLDLAFDGHPLFLADGQGAKWEPAAAPGVSITRTGDANSVVIAVEGNFRIKARVVPITEKESRVHNYGITEEDCFAHLDLSFKFESLSGEVNGVLGQTYGSKYESRVKMGVVMPVLGGEKQFSSSGIFSTDCGVSRFTGRNQAQNNIVEYASLECASGIHGRGVVCKR >itb03g04380.t1 pep chromosome:ASM357664v1:3:2754514:2764315:-1 gene:itb03g04380 transcript:itb03g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDLRRGKPTNHKVFGGEMAVLAGDALLSLAFEHAAAKTTTEKVSQSRLVRAIGELAAAVGAEGLVAGQVIEGKAVDLKELEYIHIHKTSKLLEASVVCGAIIGGGSVVEVERLRSYARCVGLLFKVVDDILDVTKSSELGKTAGKDLSSEKATEGKAVDLKELEYIHIHKTSKLLEASVVCGAIIGGGSVVEVERLRSYARCVGLLFKVVDDILDVTKSSELGKTAGKDLSSEKATLSEADGS >itb03g16130.t1 pep chromosome:ASM357664v1:3:15207139:15212727:1 gene:itb03g16130 transcript:itb03g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRVCSGHEGLNPFKSFVLDNKSDRLRWRLKFGVFVLFAVSALLVIYSAFTSETRWLFRCAECRDFPAAKIAPAPGCALPEQNVTDVSHIVFGIGGSIKTWKNRKHYSELWWKPNVTRGFVWLDSEPDPAEPWPETSPPYRISSDWKKFKFIHSQSAVRLSRIVVDSFREGLPDARWFVMGDDDTVFFPENLAAVLEKYDHREMYYIGGNSESVEQDALHAYDMAFGGGGFAISYPLAAELVKIMDGCLNRYFYFYGSDQRVWACVGELGVSLTRELGFHQIDIRGDPFGLLAAHPVAPLVSLHHLDDVNPLFPNQTQLDSLRAITEAYKMNPARIMQQSFCYWKKKWSVSVSWGYAVQIYPQVLTPKELEMPLQTFHTWRSWSNGPFIFNVRPVSPNPCQQPVVFYLDSIEGARKGEVVMTTYKKFVGKVQNKCPTGVVGIEKVVVSAAKMDHNEWKQIPRRQCCEVSKGFWSSTMNVKIRKCKHRLSFLEVNT >itb03g16130.t2 pep chromosome:ASM357664v1:3:15207195:15212214:1 gene:itb03g16130 transcript:itb03g16130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTRVCSGHEGLNPFKSFVLDNKSDRLRWRLKFGVFVLFAVSALLVIYSAFTSETRWLFRCAECRDFPAAKIAPAPGCALPEQNVTDVSHIVFGIGGSIKTWKNRKHYSELWWKPNVTRGFVWLDSEPDPAEPWPETSPPYRISSDWKKFKFIHSQSAVRLSRIVVDSFREGLPDARWFVMGDDDTVFFPENLAAVLEKYDHREMYYIGGNSESVEQDALHAYDMAFGGGGFAISYPLAAELVKIMDGCLNRYFYFYGSDQRVWACVGELGVSLTRELGFHQIDIRGDPFGLLAAHPVAPLVSLHHLDDVNPLFPNQTQLDSLRAITEAYKMNPARIMQQSFCYWKKKWSVSVSWGYAVQIYPQVLTPKELEMPLQTFHTWRSWSNGPFIFNVRPVSPNPCQQPVVFYLDSIEGARKGEVVMTTYKKFVGKVQNKCPTGVVGIEKVVVSAAKMDHNEWKQVRLFHSCLSITLSVA >itb10g01240.t1 pep chromosome:ASM357664v1:10:907570:913144:-1 gene:itb10g01240 transcript:itb10g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIVSGVVTSVVEQVITIIKDQVVQELRAALGVEKEIKNLSSKLNKIRAVLNDAERRSFKEESVKLWVEEIKNLCYDVEDVVDEWSTKTRRQQMERSSQVAGNCSFFLPSCFHFKRIVMHRDIAKKIKELDSRLDRITREKDQFNFLAVANTSASLHPDHKSKPVSTPFDVDATEIQGRESDASGLISKLLENNNNNNGSPVVISIVGAGGIGKTTLAQLVYGHQQIKTHFDERVWVCVSHPFDQIKIAKAIVESTTKSSTDLSQLPMLLEKIQSTLSQERFLLVLDDVWTEEDAMWAPLDDVWTEEDAMWAPFNDVWTEEDAMWAPFKNCLKVGLPGWAPFKNCLKVGLPGSRILVTSRSERVARMMASVYLHQVDLISDSDAWLLLSKIAFSEGRDDAYSKKLEEIGKQIAQKCKGLPLAVKVMGSLLRNKDTEDKVMGSLLRNKDTEEEWQTVLSQLDTKFSNVEGVETDLFPHLRLSYDDLTPQMKRCFSYCAVFPKDYRIDVDELAVFPKDYRIDVDELIRIWMAQGYLTTTNGSDHNNQMEQKGREIFNNLAMRSLFQDFMKHRWVSNIIISCKIHDIVHDFAEFLAKNECYSVVWQEDKVKIENLRHLSLQKTRRPMDLASNYGVLGKLRTFIAKDLSPEQLTANMFNGLKSVRVLGLHNCMLSKLPKEIGNLFHLRYIDLRWSNVKELPDSICSLDNLQTLNLQGCICLSRLPEGIGNLHHLSVIDLSRTNVEELPDSICSLDNLQTLNLQGCMCLSRLPEGIGNLHHLSKIDLSSSKVEELPDSICSLDNLQTLNLQVCKCLSRLPEGIGNLHKLRKIDLHWSKVEELPDSICSLDNLEILNLQGCECLSRLPEGNLQGCECLSRLPEGIGNLHKLLSRLPEGIGNLHKLWKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLRKIDLSRSKVEELPDSICSLDNLEYLNLQRCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGYECLSRLPEGIGNLHKLREIDLSRSKVEELPDSICSLDSLETLDLKGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPDSICSLDNLEILNLQGCECLSRLPEGIGNLHKLREIDLSWSKLEELPDSICSLDSLQTLNLEGCECLSRLPEGIGNLRHLSKIDLSLSKLEELPDSICSLDSLETLDLKGCECLSRLPEGIGNLRHLSEIDLSRTKVEELPDSICSLDNLQILNLRGCECLSRLPEGIGNLCYLIEIDLSRSKVEELPDSICSLANLKILDLEGCECLSRLPEGIGNLHKLRKIDLSRTKVEELPEKIDLSRTKVEELPDSICSLGTKVEELPDSICSLDTKVEELPDSICSLDSLETLDLKGCECLSRLPEGIGNLRHLSKIDLSLSKLEELPDSICSLDSLETLDLKGCECLSRLPEGIGNLHQLRLIDLRGCKVELPDSIYSLSKLKIRVGELGSDLSESEYCSE >itb04g04770.t1 pep chromosome:ASM357664v1:4:2939773:2943894:-1 gene:itb04g04770 transcript:itb04g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEFSHDWKAPPNNICTYYQKGICAYGSRCRYEHVKVSRLQSSAPSSSIPSHQNLLSTSGSLTVASATVVNGSGPVPGITTEHFALEGLIHTPDKPAWEQKSGPHDLLEIDDIVELKSLNPADQPICSFAAAGSCPRGDNCPYIHGDICPTCGKQCLHPFRPQEREEHMKTCEKRQNHLEALKHSQEIECSVCLERVLSKPTAAERKFGILSECDHPFCISCIRNWRSSSPTSGLDVNSALRACPICRKLSYFVIPSVIWYSSKEEKNEIVDNYKAKLSSIDCKHFDFGNGTCPFGTSCFYKHAYRDGRLEEVVLRHLDSEDGSTVIAKNIRLSDFLSNLQIR >itb11g03200.t3 pep chromosome:ASM357664v1:11:1660452:1664247:-1 gene:itb11g03200 transcript:itb11g03200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKTRRRGRAAVVVLGDIGRSPRMQYHALSLARQAHLEVDIVAYGGSEPHSAVLEHPSIHIHRMKQWPSIPQTLPKILRPFMLMLKPLVQFLMLFWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWIRRSAFIIDWHNFGYTLLSLSLGRNSRFVTLYHWIEKHYGRMAHGSLCVTKAMQHELAQNWGINATVLYDQPPEFFQPASLEEKHKLFCRIGKSLSQPYGRQDCLSYGILGTDSVDSNLTPFTTQAEKDIYLKQNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAAILNEDDSSGEELIWEEIRNEKQFLYPRLLFIITGKGPEKEKYEQKIRKLNLKRVAFRTMWLPAEDYPLLLGSADLGVCLHTSSSGLDLPMKVSIPNS >itb11g03200.t1 pep chromosome:ASM357664v1:11:1660452:1664309:-1 gene:itb11g03200 transcript:itb11g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKTRRRGRAAVVVLGDIGRSPRMQYHALSLARQAHLEVDIVAYGGSEPHSAVLEHPSIHIHRMKQWPSIPQTLPKILRPFMLMLKPLVQFLMLFWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWIRRSAFIIDWHNFGYTLLSLSLGRNSRFVTLYHWIEKHYGRMAHGSLCVTKAMQHELAQNWGINATVLYDQPPEFFQPASLEEKHKLFCRIGKSLSQPYGRQDCLSYGILGTDSVDSNLTPFTTQAEKDIYLKQNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAAILNEDDSSGEELIWEEIRNEKQFLYPRLLFIITGKGPEKEKYEQKIRKLNLKRVAFRTMWLPAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVKVEQNGLLFSSSSELADELMMLFKGFPDECDILKSLRKGVLETRSSAKWDSGWEENANPLISQESGILSPSGLFVTHYIKLGFLFFIFSLRNNAFACLCLSFS >itb11g03200.t2 pep chromosome:ASM357664v1:11:1660452:1664270:-1 gene:itb11g03200 transcript:itb11g03200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTKTRRRGRAAVVVLGDIGRSPRMQYHALSLARQAHLEVDIVAYGGSEPHSAVLEHPSIHIHRMKQWPSIPQTLPKILRPFMLMLKPLVQFLMLFWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWIRRSAFIIDWHNFGYTLLSLSLGRNSRFVTLYHWIEKHYGRMAHGSLCVTKAMQHELAQNWGINLLNSFNQHPLRRNIRIGKSLSQPYGRQDCLSYGILGTDSVDSNLTPFTTQAEKDIYLKQNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAAILNEDDSSGEELIWEEIRNEKQFLYPRLLFIITGKGPEKEKYEQKIRKLNLKRVAFRTMWLPAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVKVEQNGLLFSSSSELADELMMLFKGFPDECDILKSLRKGVLETRSSAKWDSGWEENANPLISQESGILSPSGLFVTHYIKLGFLFFIFSLRNNAFACLCLSFS >itb03g12030.t2 pep chromosome:ASM357664v1:3:10888845:10894078:-1 gene:itb03g12030 transcript:itb03g12030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSPEHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVKDMYYHVNERSGLKAPLIADDVYEIIMKNASCLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNGGTPRPQLSSCFLICMKEDSIDGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGEEFNKLYTQYETEGKAKKVVLAQNLWFEILKSQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPDETAVCNLASIALPRFVKEKGVPNESQPSKLVGSRGSKNRYFDFDKLAEVTAVVTSNLNKIIDINYYPVETAKRSNLRHRPIGIGIQGLADLFILLGMAFDSPEAQQLNKEIFETIYYHALKSSSELAAKESPYETYEGSPVSKGILQPDMWGVTPSARWDWDALRTMIEKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNQIIYEDGSVQKIAEIPDELKRIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNVHMDQPNFGKLTSLHFYAWSRGLKTGMYYLRTRAAADAIKFTVDTSIIKVVIV >itb03g12030.t3 pep chromosome:ASM357664v1:3:10889300:10894078:-1 gene:itb03g12030 transcript:itb03g12030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSPEHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVKDMYYHVNERSGLKAPLIADDVYEIIMKNASCLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNGGTPRPQLSSCFLICMKEDSIDGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGEEFNKLYTQYETEGKAKKVVLAQNLWFEILKSQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPDETAVCNLASIALPRFVKEKGVPNESQPSKLVGSRGSKNRYFDFDKLAEVTAVVTSNLNKIIDINYYPVETAKRSNLRHRPIGIGIQGLADLFILLGMAFDSPEAQQLNKEIFETIYYHALKSSSELAAKESPYETYEGSPVSKGILQPDMWGVTPSARWDWDALRTMIEKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNQIIYEDGSVQKIAEIPDELKRIYKYGFTLSLFIRHPPHPQIHTNKI >itb03g12030.t1 pep chromosome:ASM357664v1:3:10888562:10894078:-1 gene:itb03g12030 transcript:itb03g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLSYGLSPEHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVKDMYYHVNERSGLKAPLIADDVYEIIMKNASCLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNGGTPRPQLSSCFLICMKEDSIDGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGEEFNKLYTQYETEGKAKKVVLAQNLWFEILKSQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPDETAVCNLASIALPRFVKEKGVPNESQPSKLVGSRGSKNRYFDFDKLAEVTAVVTSNLNKIIDINYYPVETAKRSNLRHRPIGIGIQGLADLFILLGMAFDSPEAQQLNKEIFETIYYHALKSSSELAAKESPYETYEGSPVSKGILQPDMWGVTPSARWDWDALRTMIEKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNQIIYEDGSVQKIAEIPDELKRIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNVHMDQPNFGKLTSLHFYAWSRGLKTGMYYLRTRAAADAIKFTVDTSIIKEKSKAGVDDETKMAQMVCSLTNREECMSCGS >itb12g12920.t2 pep chromosome:ASM357664v1:12:11627388:11629438:-1 gene:itb12g12920 transcript:itb12g12920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTKMIKSSDDSEAKDSAQKLKGIEISVPIVYGNVAFWLGKKASEYQSHKWTLYIRGATNEDLGVVIKRAVFQLHTSFNNPTRVVDAPPFELSECGWGEFEIAITLYFHNDVCDKPLHLYHHLKLYPEDESGPLSTKKPIVVESYDEIVLSKPSEAFLARVHNHPAVGVPRLPADLALPPAAPLEDVDRRKRGDTKDHPLSQWFTNFSEADELLKLTAARQQVSYLELIRSF >itb12g12920.t1 pep chromosome:ASM357664v1:12:11627388:11629438:-1 gene:itb12g12920 transcript:itb12g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTKMIKSSDDSEAKDSAQKLKGIEISVPIVYGNVAFWLGKKASEYQSHKWTLYIRGATNEDLGVVIKRAVFQLHTSFNNPTRVVDAPPFELSECGWGEFEIAITLYFHNDVCDKPLHLYHHLKLYPEDESGPLSTKKPIVVESYDEIVLSKPSEAFLARVHNHPAVGVPRLPADLALPPADVDRRKRGDTKDHPLSQWFTNFSEADELLKLTAARQQVSYLELIRSF >itb12g12920.t3 pep chromosome:ASM357664v1:12:11626897:11629183:-1 gene:itb12g12920 transcript:itb12g12920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTKMIKSSDDSEAKDSAQKLKGIEISVPIVYGNVAFWLGKKASEYQSHKWTLYIRGATNEDLGVVIKRAVFQLHTSFNNPTRVVDAPPFELSECGWGEFEIAITLYFHNDVCDKPLHLYHHLKLYPEDESGPLSTKKPIVVESYDEIVLSKPSEAFLARVHNHPAVGVPRLPADLALPPAAPLEDVDRRKRGDTKDHPLSQWFTNFSEADELLKLTAARQQVQGHIARLRRQLSMVGGQHQPLKPATEF >itb02g03090.t1 pep chromosome:ASM357664v1:2:1775009:1779939:1 gene:itb02g03090 transcript:itb02g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKMGRTEEKIDLECDEEIPFSSSPARSKMETLHYETSHNHVGSPRSSSSLRFIKKMHRISILRSIYIVIIKAKINVLLPFGPLAILLHYVTGKHAWVFFFSLLGITPLAERLGYATEQLALYTGPTVGGLLNATFGNATELIISLFALKNGMMRVVKQSLLGSILSNMLLVLGCAFFCGGIVHYHKVQVFNKSTALVSSGLLLMAVMGLVFPTVLHFTHTEVHFGKSELALSRFSSCVMLVAYASYLFFQLRSESNLSGPTDEVGENNAEDSDEEEIPEIQQWEAIGWLAILTLWISVLSGYLVDAIEGASESMNMPVSFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFLVPFCVVVGWFMGKDMDLNFQLFETATLFIAVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHNDQSSDQV >itb13g08510.t1 pep chromosome:ASM357664v1:13:10789233:10792341:-1 gene:itb13g08510 transcript:itb13g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLTHYAASNGSAGSTTPHNGIAHDSPGDTPDHPSAASAAAADGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEPVDTPMESQDFLSTNHLEAEPQSNNNALPPPPNLDEECESMGSAASANSNDGETMHPNPESSQYSYPVVYPAAAAAYVTPIYPLPIPYWPGYCPEPAKAEKHEVFKPTAVHTKSPINVDELVGMSKLSLGESLADVKPSVSLKLVEGSTRQSAFHAKPAAASGNSSISSSHNPIHAV >itb02g18770.t2 pep chromosome:ASM357664v1:2:15293811:15302332:-1 gene:itb02g18770 transcript:itb02g18770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MSSAAKWLMLSLLLRIYLFRRPTRPTSVTPVVIISLSLPGNPEYPFRGGRRAIMLSLLLLCSRETNTRAPVFVPPVSAPRNSKIFLRHYAAVPLASTFALTSNDLNRDCLINSESAKILEMTHLNNDETGNDSRRKQLLCEELKGRDGEEGTVASSDNTSHDVKNSEDVSGISARHSVGISNSENEPIVIPKNGEQQIDMTLEDIYNGHYDFNDEDDDSDWDPLEKEVEVVKWFCVNCTMVNLSDVVHCDICGEHKDSGILRFGYFASPFLPKGDITENELEATERSKDSRLKGSSSDSTVVGFDERMLLHAEVEMKSHPHPERPDRLRAIAASLASAGIFPGKCYPISAREITREELLMVHALENVEAVELTSRLHASYFTPDTYANEYSARAARLAAGLCADLASTIISGGAKNGFALVRPPGHHAGVKQAMGFCLHNNAAVAAFAAHSAGAKKVLIVDWDVHHGNGTQEIFDRSKSVLYISLHRHEDGRFYPGTGAAEEVGSMGAAGYCVNIPWSRGGVGDNDYVFAFQHIVLPIALEFAPDFTIISAGFDAARGDPLGCCDVTPAGYALMTQMLTDLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPEANPDCTVPSKSGLRSVMEVMKIQMNFWPTLESNFNKILSRWGLYAFQNTRKPTKKKRRAVVPIWWRWGRKRFLYHLLTKRLHLKSKRY >itb02g18770.t1 pep chromosome:ASM357664v1:2:15293811:15302332:-1 gene:itb02g18770 transcript:itb02g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MSSAAKWLMLSLLLRIYLFRRPTRPTSVTPVVIISLSLPGNPEYPFRGGRRAIMLSLLLLCSRETNTRAPVFVPPVSAPRNSKIFLRHYAAVPLASTFALTSNDLNRDCLINSESAKILEMTHLNNDETGNDSRRKQLLCEELKGRDGEEGTVASSDNTSHDVKNSEDVSGISARHSKNGEQQIDMTLEDIYNGHYDFNDEDDDSDWDPLEKEVEVVKWFCVNCTMVNLSDVVHCDICGEHKDSGILRFGYFASPFLPKGDITENELEATERSKDSRLKGSSSDSTVVGFDERMLLHAEVEMKSHPHPERPDRLRAIAASLASAGIFPGKCYPISAREITREELLMVHALENVEAVELTSRLHASYFTPDTYANEYSARAARLAAGLCADLASTIISGGAKNGFALVRPPGHHAGVKQAMGFCLHNNAAVAAFAAHSAGAKKVLIVDWDVHHGNGTQEIFDRSKSVLYISLHRHEDGRFYPGTGAAEEVGSMGAAGYCVNIPWSRGGVGDNDYVFAFQHIVLPIALEFAPDFTIISAGFDAARGDPLGCCDVTPAGYALMTQMLTDLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPEANPDCTVPSKSGLRSVMEVMKIQMNFWPTLESNFNKILSRWGLYAFQNTRKPTKKKRRAVVPIWWRWGRKRFLYHLLTKRLHLKSKRY >itb03g21140.t1 pep chromosome:ASM357664v1:3:18942007:18943562:1 gene:itb03g21140 transcript:itb03g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSDCWLLSLPILLILATSSSPTSQATTLRNKNGVKTATYLSPKVEVEPGMVSIEYYYKIDFPKGHIAIKGFDAEVVDEAGNSVPLHEAYLHHWTVVRSYIRQGVENPSLGTNQSDYIVVGNSGICATLPQHFGLGAETRKTDSRIPNPYGIEVGNPTDIPEGYNEGWTLNVHIIDTRGVEDRMGCTECRCDLYNLTMDVQKLFKERGYIGGLFCCGDKMRCKLKQGYNSGTKRSLYLKYTVRYVDWDSSIVPLKIYLLDAVDPWKMSDDSKGVNKLHPCQVEYSVESCSSAVANDKCVHTQSASVVLPSGGDVIYAVAHQHAGGVGSTLYGKDGRIICASVPIYGTGKEAGNEAGYIVGMKTCYPRPGSVMIAEGEMITIVSNYSNSQSHTGVMGFFYLAVAEPLKKPNSIMHAQNKVTIFYNFNSISYISTPLI >itb05g20330.t2 pep chromosome:ASM357664v1:5:26463617:26467359:1 gene:itb05g20330 transcript:itb05g20330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNADREDGAVLGMEIEGEEERGKEMEDLKRIPPWKKQITVRGVIASALIGIIYSVIVTKLNLTTGLVPNLNVSAALLAYVLIQFWCKLLQKANLVSTPFTKQENTVIQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTVGNVPGSHKEPKLDWIIGFLFVSSFVGLLALVPLRKIMIIDYKLAYPSGTATAVLINGFHTPKGDNEAKKQVRGFVKFFSFSFLWSFFQWFYSGGAKCGFSHFPTLGLQAWKHSFYFDFSMTYVGAGMICSHLVNLSLLVGAIASWGIMWPLIGERKGSWFPATLPPDSMKSLNGYKVFISIALILGDGLYNFLRTVFYTSRSIFAAMNKKNSRTFPETKDEPLENLQRNEFFIRESIPLWVACVGYLVFVVISTVIIPIMFPELKWYFVLVAYVLAPALSFCNAYGAGLTDMNMAYNYGKVALFILAALSGRENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQALGTAMGCIVAPLSFFLFYKTFDVGNPDGEYKAPYALIYRNMAILGVEGFSTLPQHCLQLCYGFFAFAMVANLLKDVSPKNVGKWIPLPMAMAVPFLVGASFAIDMCMGSLVVYTWHRLNSKKATLMVPAVASGLICGDGLWILPQSILALAKIKAPICMTFLPANAS >itb05g20330.t1 pep chromosome:ASM357664v1:5:26463617:26467359:1 gene:itb05g20330 transcript:itb05g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNADREDGAVLGMEIEGEEERGKEMEDLKRIPPWKKQITVRGVIASALIGIIYSVIVTKLNLTTGLVPNLNVSAALLAYVLIQFWCKLLQKANLVSTPFTKQENTVIQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTVGNVPGSHKEPKLDWIIGFLFVSSFVGLLALVPLRKIMIIDYKLAYPSGTATAVLINGFHTPKGDNEAKKQVRGFVKFFSFSFLWSFFQWFYSGGAKCGFSHFPTLGLQAWKHSFYFDFSMTYVGAGMICSHLVNLSLLVGAIASWGIMWPLIGERKGSWFPATLPPDSMKSLNGYKVFISIALILGDGLYNFLRTVFYTSRSIFAAMNKKNSRTSVPETKDEPLENLQRNEFFIRESIPLWVACVGYLVFVVISTVIIPIMFPELKWYFVLVAYVLAPALSFCNAYGAGLTDMNMAYNYGKVALFILAALSGRENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQALGTAMGCIVAPLSFFLFYKTFDVGNPDGEYKAPYALIYRNMAILGVEGFSTLPQHCLQLCYGFFAFAMVANLLKDVSPKNVGKWIPLPMAMAVPFLVGASFAIDMCMGSLVVYTWHRLNSKKATLMVPAVASGLICGDGLWILPQSILALAKIKAPICMTFLPANAS >itb01g16800.t1 pep chromosome:ASM357664v1:1:21272435:21276499:-1 gene:itb01g16800 transcript:itb01g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAAFPGGSEMRNGNGQRKSCWYEEEIDTDLRWCFALNSILHTGASQYQDIQLLDTKPFGKALVIDGKLQSAEIDEFIYHECLVHPPLLHHPNPKSVFIMGGGEGSTARELLRHNTINKVVMCDIDQEVVDFCKSYLVVNREAFSDPRLHLVINDARAELESREEGYDVIIGDLADPIEGGPCYQLYTQSFYQTTVRPKLNQGGIFVTQAGPAGIFSHTEVFSCIYNTLKQVFKYVVPYSAHIPSYADTWGWVMASDSPFEASVDELDRRMKQTVKGENRYLDGNTFSSASTLSKAVRISLENETHVYTEGSARFIYGYGTACTHNQA >itb06g00230.t1 pep chromosome:ASM357664v1:6:647777:651149:-1 gene:itb06g00230 transcript:itb06g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINYLPKLHFQFSSLAPKPKLLFTSTLSSKPISGPVVHFSTATLLQNPQLNSSDETVPVKISLEKLFVPPETDVSSETTPLGARILKGSNIVLSKYAGDAQVGQAEFVKSSVNTEDCPGDGLPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFRINGSWYLVDLPGYGYASAPQELRTDWDKFTKDYFLNRSTLVSVFLLIDASIPAKQIDLEYASWLGQNQIPMTLIFTKCDKRKKAKNGGKRPEENVQDFQELIQNFFQTTPPWIMTSSVTHQGRDEILLHMSQLRNYWLKH >itb02g08580.t1 pep chromosome:ASM357664v1:2:5407398:5413628:-1 gene:itb02g08580 transcript:itb02g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLEKMASIDAQLRLLAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELSAEYEGKRDTQKLEELGRVITSLDAGDSIVVTKAFSNMLNLANLAEEVQIAYRRRSKLKKCDLSDEASATTESDIEETLRRLVGQLNKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLTQLYAKDITPDDKHELDEALQREIQAAFRTDEIKRSPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANVYFSQIEDLMFELSMWRCNDELRARADELHRTSKRDVKHYIEFWKQIPPNEPYRVVLSDVRDKLYSTRERARQLLANGYSDIPEETTFTNVEQFLEPLELCYRSLCACGDHPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITRHLDIGSYKEWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVKNPLRVVPLFEKLADLEAAPAAVARLFSVDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRYTAATLEHGMHPPVAPKAEWRALLDEMSVIATKEYRSVVFQNPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKHVIEKDIRNLQMLKDMYREWPFFRVTIDLVEMVFAKGDPGIAALYDNLLVSKDLWPLGEELRAKYEESKSFLLQVAGHKDLLEGDPYLRQRLRLRDSYITTLNVCQAYTLKRIRDPSYNVKVRPHLSKEIMESSKPAAELVMLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >itb15g17500.t5 pep chromosome:ASM357664v1:15:18164101:18168140:1 gene:itb15g17500 transcript:itb15g17500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPGKLFVGGISWDTNEGRLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFEDPSVAESVVREKHMIDGRTVEAKKAVPRDDQHMNRNSVNIPSFPGSIRTRKIFVGGLASSVSESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKMFHELNGKMVEVKRAVPKELSPGPNRSPLSGYNSGLNRVNSFPNGYTLGHSLSSLGGYGVRMDRFSPTVVGRSGYSLFNLSNYCTRPTLNSPLNSNFAETGNLSSNIGYGGALNSFSGGLPNRYNTLIGNASGGIGSGSVLKSASWNLWDSENLSYSTNSANFVGSGSGNSGLAAPFGGLGTIWGTSSISSQTGRNGSFDSDNFTYNNGGSNFASGGGYAQNSGNGPSAISSYAATENVYSRDLGNLYGTGNCSFFEDPTPHSSSPGLEVSGSFGYGLESISSDVMPNNSRGCVGGYTVTNRSNGGIAA >itb15g17500.t6 pep chromosome:ASM357664v1:15:18163614:18168151:1 gene:itb15g17500 transcript:itb15g17500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMAMEPGKLFVGGISWDTNEGRLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFEDPSVAESVVREKHMIDGRTVEAKKAVPRDDQHMNRNSVNIPSFPGSIRTRKIFVGGLASSVSESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKMFHELNGKMVEVKRAVPKELSPGPNRSPLSGYNSGLNRVNSFPNGYTLGHSLSSLGGYGVRMDRFSPTVVGRSGYSLFNLSNYCTRPTLNSPLNSNFAETGNLSSNIGYGGALNSFSGGLPNRYNTLIGNASGGIGSGSVLKSASWNLWDSENLSYSTNSANFVGSGSGNSGLAAPFGGLGTIWGTSSISSQTGRNGSFDSDNFTYNNGGSNFASGGGYAQNSGNGPSAISSYAATENVYSRDLGNLYGTGNCSFFEDPTPHSSSPGLEVSGSFGYGLESISSDVMPNNSRGCVGGYTVTNRSNGGIAA >itb15g17500.t3 pep chromosome:ASM357664v1:15:18163373:18168153:1 gene:itb15g17500 transcript:itb15g17500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPGKLFVGGISWDTNEGRLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFEDPSVAESVVREKHMIDGRTVEAKKAVPRDDQHMNRNSVNIPSFPGSIRTRKIFVGGLASSVSESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKMFHELNGKMVEVKRAVPKELSPGPNRSPLSGYNSGLNRVNSFPNGYTLGHSLSSLGGYGVRMDRFSPTVVGRSGYSLFNLSNYCTRPTLNSPLNSNFAETGNLSSNIGYGGALNSFSGGLPNRYNTLIGNASGGIGSGSVLKSASWNLWDSENLSYSTNSANFVGSGSGNSGLAAPFGGLGTIWGTSSISSQTGRNGSFDSDNFTYNNGGSNFASGGGYAQNSGNGPSAISSYAATENVYSRDLGNLYGTGNCSFFEDPTPHSSSPGLEVSGSFGYGLESISSDVMPNNSRGCVGGYTVTNRSNGGIAA >itb15g17500.t2 pep chromosome:ASM357664v1:15:18163258:18168153:1 gene:itb15g17500 transcript:itb15g17500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPGKLFVGGISWDTNEGRLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFEDPSVAESVVREKHMIDGRTVEAKKAVPRDDQHMNRNSVNIPSFPGSIRTRKIFVGGLASSVSESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKMFHELNGKMVEVKRAVPKELSPGPNRSPLSGYNSGLNRVNSFPNGYTLGHSLSSLGGYGVRMDRFSPTVVGRSGYSLFNLSNYCTRPTLNSPLNSNFAETGNLSSNIGYGGALNSFSGGLPNRYNTLIGNASGGIGSGSVLKSASWNLWDSENLSYSTNSANFVGSGSGNSGLAAPFGGLGTIWGTSSISSQTGRNGSFDSDNFTYNNGGSNFASGGGYAQNSGNGPSAISSYAATENVYSRDLGNLYGTGNCSFFEDPTPHSSSPGLEVSGSFGYGLESISSDVMPNNSRGCVGGYTVTNRSNGGIAA >itb15g17500.t4 pep chromosome:ASM357664v1:15:18163373:18168153:1 gene:itb15g17500 transcript:itb15g17500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPGKLFVGGISWDTNEGRLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFEDPSVAESVVREKHMIDGRTVEAKKAVPRDDQHMNRNSVNIPSFPGSIRTRKIFVGGLASSVSESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKMFHELNGKMVEVKRAVPKELSPGPNRSPLSGYNSGLNRVNSFPNGYTLGHSLSSLGGYGVRMDRFSPTVVGRSGYSLFNLSNYCTRPTLNSPLNSNFAETGNLSSNIGYGGALNSFSGGLPNRYNTLIGNASGGIGSGSVLKSASWNLWDSENLSYSTNSANFVGSGSGNSGLAAPFGGLGTIWGTSSISSQTGRNGSFDSDNFTYNNGGSNFASGGGYAQNSGNGPSAISSYAATENVYSRDLGNLYGTGNCSFFEDPTPHSSSPGLEVSGSFGYGLESISSDVMPNNSRGCVGGYTVTNRSNGGIAA >itb15g17500.t1 pep chromosome:ASM357664v1:15:18163258:18168153:1 gene:itb15g17500 transcript:itb15g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPGKLFVGGISWDTNEGRLKEYFQTFGEVVEAVIMKDRATGRARGFGFVVFEDPSVAESVVREKHMIDGRTVEAKKAVPRDDQHMNRNSVNIPSFPGSIRTRKIFVGGLASSVSESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKMFHELNGKMVEVKRAVPKELSPGPNRSPLSGYNSGLNRVNSFPNGYTLGHSLSSLGGYGVRMDRFSPTVVGRSGYSLFNLSNYCTRPTLNSPLNSNFAETGNLSSNIGYGGALNSFSGGLPNRYNTLIGNASGGIGSGSVLKSASWNLWDSENLSYSTNSANFVGSGSGNSGLAAPFGGLGTIWGTSSISSQTGRNGSFDSDNFTYNNGGSNFASGGGYAQNSGNGPSAISSYAATENVYSRDLGNLYGTGNCSFFEDPTPHSSSPGLEVSGSFGYGLESISSDVMPNNSRGCVGGYTVTNRSNGGIAA >itb07g20570.t1 pep chromosome:ASM357664v1:7:24966334:24968478:1 gene:itb07g20570 transcript:itb07g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDEITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLSNGKVKYLVHSPESLNKLLAKLGLTQPTVET >itb04g33640.t1 pep chromosome:ASM357664v1:4:35817838:35819208:1 gene:itb04g33640 transcript:itb04g33640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQREKEETELKLPKTISVCVPTIPVSPQLSGGADDQTQAASSSAGSSARSAESSDPKVSDADDSRSGAARSPERSDPIVSPPKRPEAEAEAANRPLKRPREVNRCSGDGCRRKVGLIGFRCRCGEVFCSEHRYSDRHDCTFDYKAAGREAIARENPVVRAAKILKV >itb05g18510.t1 pep chromosome:ASM357664v1:5:25279359:25280822:-1 gene:itb05g18510 transcript:itb05g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIYGTLLLKCLQYGSNDRKNCSLVRRRWYDVESLSRHQLTVDVSVGVGADVTPNIPAIFSRYPSVTDLYVRSRRESQRVSDVTLFRIASRCRNITRLKVRRCQGVTGLGLAALVRGCERLRKFSCDIVTFGSRGMDVFLNHCSSLEKLTVRSLCGTNLSDGGGVVPELVGAGAAASSLKSVSLKYLYYGQRFALLIAESKNLKTLKILRCFGPWDTCLEIITNLNKSLVSIHLESLEVTNVGLAAVSKCPNLETLHLRMTPDWEMPVGEEGLIAIAKNSKNLKELVLDGMETSIASLSAIASGCVKLERLVVAHSESIGDPEVCCIAKKCLGLKKLCINGCLRVSDEGIEAFGPGCPNLVELSMKNCRDVSGEVADWLRERRPSLAVKLDIEADEIENEEEWDWVFSD >itb06g24610.t1 pep chromosome:ASM357664v1:6:26098314:26102049:-1 gene:itb06g24610 transcript:itb06g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRRQGMRLVATPVMHPNNLNALRPSFSPSEEIGSSGVRYISTQVVRNRMKSVRNIQKITKAMKMVAASKLRAIQTRAENSRGLWQPFTALLGDTPSVDVKKNVIVTISSDKGLCGGINSTSVKTSRNIHKLNSGPEKENKYVILGEKAKAQLVRDSKNDIELIMTELQKNPLNYTQVSVLADDILKNVEFDALRIVFNKFQSVVSFVPTMATVLSPEVVERESESGGKLADLDSYEIEGAEAKSEILQNLSEFQFSCILFNSVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >itb06g07080.t2 pep chromosome:ASM357664v1:6:10286070:10292747:1 gene:itb06g07080 transcript:itb06g07080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGDKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKMENRQTNHPQLLYEAKLYNILQGGGGIPNIKWCGVDGNDNVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPTTNRHIPYRDNKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYMHYCHSLSFDQRPDYGFLKRLFRELFTREGYESDYVFDWTILKYQQSQKSKAQLQSPHPQPISAVTSTRALPKDLNTRQVETTAQSGSTSFARPAGHIPFKPRAVHNLNSDNLTTKNIASNAPAPSISLADAFKSSPPRQEDSTEAGNIARGQSSRAGASSSRLPFLHRISSAK >itb06g07080.t1 pep chromosome:ASM357664v1:6:10286064:10293112:1 gene:itb06g07080 transcript:itb06g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGDKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKMENRQTNHPQLLYEAKLYNILQGGGGIPNIKWCGVDGNDNVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPTTNRHIPYRDNKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYMHYCHSLSFDQRPDYGFLKRLFRELFTREGYESDYVFDWTILKYQQSQKSKAQLQSPHPQPISAVTSTRALPKDLNTRQVETTAQSGSTSFARPAGHIPFKPRAVHNLNSDNLTTKNIASNAPAPSISLADAFKSSPPRQEDSTEAGNIARGQSSRAGASSSRLPFLHRISSAK >itb09g04890.t1 pep chromosome:ASM357664v1:9:2774167:2774523:-1 gene:itb09g04890 transcript:itb09g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSRSASLMFFSLVVATAIVSAAHGGRQELLPGGWSPIPNLNDPKVVEIANFAIDTHNKEATTTLKLESVVKGESQIVEGTNFKLDIIANDGNTYEAVVWDKPWLKFRQLTSFTQL >itb06g25100.t1 pep chromosome:ASM357664v1:6:26337530:26338927:1 gene:itb06g25100 transcript:itb06g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGGAVRERIVRAFLVEEQKIVKKVLKIQKAKEKQAGKS >itb02g13340.t1 pep chromosome:ASM357664v1:2:9365982:9371660:1 gene:itb02g13340 transcript:itb02g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFISCAETKPFSFFQFQTSKSNYNLSSLKLQGGVAMRRKDSGMRVKCSSQAAAAPEPAWPGRAVAEESRKTWDGPKPISIIGSTGSVGTQCLEVVTENPEKYRVVALAAGSNVTLLADQVKTFKPKLVSIRDESLVDELKEALSDLDEKPEIIPGEQGLIEVARHPDIVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKDAKVADALKHPIWSMGKKITVDSATLFNKGLEVIEAHYLFGTDYDNIEIVIHPQSIIHSMVETQDSSVLAQLGLPDFRLPLLYTLSWPDRIYCSEITWPRLHLSEIGSLTFKSPDNVKYPSMNLAYAAGRAGGTMTGVLSAANEKAVELFINQRIGYLDIFKIVEETCSKHRDEMVECPSLDEIIHYDLWARDYAATLQTSTPLNSSPLPALV >itb11g23430.t1 pep chromosome:ASM357664v1:11:25441506:25446228:-1 gene:itb11g23430 transcript:itb11g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MAAATPKGDSGEDSSTANLSLNFGTPEALEHVRKLTDVGAMTRLLHECIAYQRAIDLELETVLSQRSDLDKQLSGLQKSAQVLDIVKADSDHLLSNVGSTSLLADQVSAKVRQLDLAQSRVNDTLLRIDAIVHRSNCLDGVQKALDSEDFESAANYIQSFLQIDAKYRDSSGSEQREQLLASKKQLEAIVRRRLAAAVDQRDHPTVLRFIRLYSPLGLEEEGLQVYVGYLKKVIAMRSRLEFEQLVDSMSDLNQQAQPPNQNPLNFVASLTNLFKDIVLAIEENDEILRSLCGEDAIVYAICELQEECDSRGSMILKKYMEYRKLAILTSEINSYKSNLLSVGVEGPDPREIEIYLEEILSLAQLGEDYTEYMVSKISGLSSVDPELGPRATKAFRSGTFSKIVQDITGYYVILEGYFMVENVRKAIKIDEHVLDSLTTSMVDDVFYVLQSCCQRSISTSNINSVIAVLSSAVSLLGGEYSEALQQKTREPNLGAKLFLGGVGVQKTGTEIATALNNMDVSSEYVLKLRHEIEEQCTEAFPAPAHRERVKSCVSELNEMSNSFKKALNVGMEQLVSTVTPRIRPVLDNVATISYEISEAEYADNEVNDPWVQRLLHAVESNVAWLQPLMTANNYDTFIHLVIDFIVKRLEVIMLQKRFSQLGGLQLDRDVRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >itb04g30100.t1 pep chromosome:ASM357664v1:4:33307341:33314111:1 gene:itb04g30100 transcript:itb04g30100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGKGAVVVVALLAAMTAAFAATVTYDHRALVIDGKRRVLVSGSIHYPRSTSDMWSDLIQKSKDGGLDVIETYVFWDLHEPTRNQYDFEGRKDLVKFVKLVGEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIEFRTDNQLFKSEMQRFVTKIVDMMKQEKLYASQGGPIILSQIENEYGNVASSYGPRAKSYIKWAANMATSLDTGVPWVMCQQADAPDTIINTCNGFYCDNFSPNSANKPKMWTENWSGWFLAFGRPVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSTGGPFISTSYDYDAPLDEYGLLRQPKYGHLKDLHKAIKLCEEAMVATDPTTTSLGSNLEATVYKAGSGLCSAFLANFGTQSDATVSFNGNSYHLPAWSVSILPDCKNVALNTAKINSVETITRFVSQSSKASTSQVSLSDWSWFNEPLGISSNNAFSVSRLLEQINTTADKSDYLWYSLSIDIEGDEPFLQDGSRATLHVQSLGHALHAFINGNFTGSGKGSSSSAKVGMDVPVNLVHGRNKIDLLSLTVGLQNYGGHYDVRGAGVTGPVQFKGPDGSSATDLSSHQWTYQVGLKGEEFGLYNGVSSLWTSESSLPTNQPLTWYKGNFDAPDGDTPLAIDFTGMGKGEAWVNGESIGRYWPTNTASDGACTDSCNYKGPYHETKCLRNCGKPSQTFYHVPRSWLKPSGNVLVLFEEEGGDPRQISFATREMASVCSRVSESHPSPVDMWTTDEDAREQAGPTMSLECPLPNQLISSINFASFGTPHGRCGSYSHGQCSSANALSIVQKACIGSRNCSLGVSINTFGDPCVGVTKNLAVEAVCT >itb04g30100.t3 pep chromosome:ASM357664v1:4:33307341:33314111:1 gene:itb04g30100 transcript:itb04g30100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGKGAVVVVALLAAMTAAFAATVTYDHRALVIDGKRRVLVSGSIHYPRSTSDMWSDLIQKSKDGGLDVIETYVFWDLHEPTRNQYDFEGRKDLVKFVKLVGEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIEFRTDNQLFKSEMQRFVTKIVDMMKQEKLYASQGGPIILSQIENEYGNVASSYGPRAKSYIKWAANMATSLDTGVPWVMCQQADAPDTIINTCNGFYCDNFSPNSANKPKMWTENWSGWFLAFGRPVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSTGGPFISTSYDYDAPLDEYGLLRQPKYGHLKDLHKAIKLCEEAMVATDPTTTSLGSNLEATVYKAGSGLCSAFLANFGTQSDATVSFNGNSYHLPAWSVSILPDCKNVALNTAKINSVETITRFVSQSSKASTSQVSLSDWSWFNEPLGISSNNAFSVSRLLEQINTTADKSDYLWYSLSIDIEGDEPFLQDGSRATLHVQSLGHALHAFINGNFTGSGKGSSSSAKVGMDVPVNLVHGRNKIDLLSLTVGLQNYGGHYDVRGAGVTGPVQFKGPDGSSATDLSSHQWTYQVGLKGEEFGLYNGVSSLWTSESSLPTNQPLTWYKGNFDAPDGDTPLAIDFTGMGKGEAWVNGESIGRYWPTNTASDGACTDSCNYKGPYHETKCLRNCGKPSQTFYHVPRSWLKPSGNVLVLFEEEGGDPRQISFATREMASVCSRVSESHPSPVDMWTTDEDAREQAGPTMSLECPLPNQLISSINFASFGTPHGRCGSYSHGQCSSANALSIVQKACIGSRNCSLGVSINTFGDPCVGVTKNLAVEAVCT >itb04g30100.t2 pep chromosome:ASM357664v1:4:33307391:33312800:1 gene:itb04g30100 transcript:itb04g30100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGKGAVVVVALLAAMTAAFAATVTYDHRALVIDGKRRVLVSGSIHYPRSTSDMWSDLIQKSKDGGLDVIETYVFWDLHEPTRNQYDFEGRKDLVKFVKLVGEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIEFRTDNQLFKSEMQRFVTKIVDMMKQEKLYASQGGPIILSQIENEYGNVASSYGPRAKSYIKWAANMATSLDTGVPWVMCQQADAPDTIINTCNGFYCDNFSPNSANKPKMWTENWSGWFLAFGRPVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSTGGPFISTSYDYDAPLDEYGLLRQPKYGHLKDLHKAIKLCEEAMVATDPTTTSLGSNLEATVYKAGSGLCSAFLANFGTQSDATVSFNGNSYHLPAWSVSILPDCKNVALNTAKINSVETITRFVSQSSKASTSQVSLSDWSWFNEPLGISSNNAFSVSRLLEQINTTADKSDYLWYSLSIDIEGDEPFLQDGSRATLHVQSLGHALHAFINGNFTGSGKGSSSSAKVGMDVPVNLVHGRNKIDLLSLTVGLQNYGGHYDVRGAGVTGPVQFKGPDGSSATDLSSHQWTYQVGLKGEEFGLYNGVSSLWTSESSLPTNQPLTWYKGNFDAPDGDTPLAIDFTGMGKGEAWVNGESIGRYWPTNTASDGACTDSCNYKGPYHETKCLRNCGKPSQTFYHVPRSWLKPSGNVLVLFEEEGGDPRQISFATREMASVCSRVSESHPSPVDMWTTDEDAREQAGPTMSLECPLPNQLISSINFASFGTPHGRCGSYSHGQCSSANALSIVQKACIGSRNCSLGVSINTFGDPCVGVTKNLAVEAVCT >itb03g24150.t1 pep chromosome:ASM357664v1:3:22736636:22739581:-1 gene:itb03g24150 transcript:itb03g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSMVLRKRTHATNPFLLGLLPRKRSRSKVEEEEEEGEDYGDVCCEKCGSGSRGSELLLCDKCDRGFHLFCLRPILAAVPKGSWFCPSCSKLKNVTEFPLIQTKIVDFFRIQRSLDATDNLSEGCHKKRRRISSLAASKKRRKLLPFNPTEDPTRRLEQMASLATALTASGAEFSNELTYMPGMAPRKANCAALEREGMQVMSKEDAETLNLCKKMMERGEWPPLLVVFDPKEGFTVEADRSIRDLTIITEYVGDVDFLKNRENDDGDSMMTLLCTAEASKCLVICPDKRSNIARFINGINNHTPGGRKKQNVKCVRFNVNGESRVLLIASRDIKKGERLYYDYNGYENEYPTEHFV >itb09g03560.t1 pep chromosome:ASM357664v1:9:1968702:1971048:1 gene:itb09g03560 transcript:itb09g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARRYGGGGKRRVKLKNHGFHGVGIALYPESLLIIKLPDYRLLWIMSRSLFLAMVLITLPSIGSILRGSSNVVDDAVVYSEEGDFTMLPILFRDLADEGVLKKGQKGLIVSSGYGDLVDDLDLEFLNDNEVEWVIGSDSGPPNSIPDESFDFAIGSSARNTKFIDRILKPGGIMIIPLSNDPSDELLQPRNYGIVYLRRFESTMVAMRKHGLAADGVANSRKKQIICGTTPETKKAALKHLEDALLEPPRKALAKSSMRKMKFLPDLMGDSLQNYPRRVFISDDKNGAEKWFRDNYPPAANNQEFDFYNIDVKVEEDSEETKTGEGSLGLSNWLRNTVKDADYVVMKAEAEVVEEMVRERTICLVDELFLECKSQWEENEGGEENQSQRAYWQCLELHGRLREEGVAVHQWWS >itb13g17410.t1 pep chromosome:ASM357664v1:13:24432525:24433274:1 gene:itb13g17410 transcript:itb13g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPEDCISQIVSFTSPVDAAVYSVISKGFKASSESDVVWIKFLPSNIEDYISRSSSFIPVFPTKKALFLFLSNSPILLDGGKMSFSLDKKIGKKYFMVAPRVLTFTGVNDPIHFITVHEEISRFAEIGVLHLSENRRDICGRISCRMLSEEIQYTSYLVYKPVLFGYFTPPVKGVCRFVENESREEA >itb11g22120.t1 pep chromosome:ASM357664v1:11:23936488:23939417:1 gene:itb11g22120 transcript:itb11g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLSNRVLGLGSQRIAAERAVGLPRLYHERVVDYYNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDDETGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKRASTLPSDATTA >itb04g21990.t1 pep chromosome:ASM357664v1:4:27148756:27151749:1 gene:itb04g21990 transcript:itb04g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEAAELQDWEVLLPNPVPADSGFVASAENPNAFEEIGADSEGMVHANYFSIDSAERYVGTVAAANGSEAGSEASDNPSWIDPGLETGYPRKDSGESWPDSGSDRSDDRKLEEFEGKGEMGILQKEKIGGVFDGIEEIGGGNEKKFWSDSGGIEMGGLKIEQFEESKELGVEGNAKLDETESIGSNNVDGSEPRKGEEVKRSVVWWKVPFELLKYCVFRANPVWTFSVAAAVMGFVILGRRLVNMKKKTRNLELKVTMDDKKVSQFMSRAARLNEAFSVVKRAPVIRPQLPAAGVIPWPVMALI >itb04g21990.t2 pep chromosome:ASM357664v1:4:27148756:27151691:1 gene:itb04g21990 transcript:itb04g21990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEAAELQDWEVLLPNPVPADSGFVASAENPNAFEEIGADSEGMVHANYFSIDSAERYVGTVAAANGSEAGSEASDNPSWIDPGLETGYPRKDSGESWPDSGSDRSDDRKLEEFEGKGEMGILQKEKIGGVFDGIEEIGGGNEKKFWSDSGGIEMGGLKIEQFEESKELGVEGNAKLDETESIGSNNVDGSEPRKGEEVKRSVVWWKVPFELLKYCVFRANPVWTFSVAAAVMGFVILGRRLVNMKKKTRNLELKVTMDDKVSQFMSRAARLNEAFSVVKRAPVIRPQLPAAGVIPWPVMALI >itb12g08080.t1 pep chromosome:ASM357664v1:12:6250898:6255377:1 gene:itb12g08080 transcript:itb12g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTQAPSDLQNYNSKTVDERSAKEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGVAVMVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVDIVYMVTGGKSLYKFHELICKHRKNGHHCKDIKLTYFIMIFASAHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVKKGVQEGVEYGYRAHTTPGKVFNFFASLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVAFIGYWMFGNSVEDNILITLENPTWLIAMANMFVVVHVIGSYQIYAMPVFDMIETVLVKKLMFRPTWYLRFFTRNVYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKKFSLSWIANWICIILGVLLMVIAPIGGLRQIIIQAKTYKFYS >itb12g08080.t2 pep chromosome:ASM357664v1:12:6250898:6255377:1 gene:itb12g08080 transcript:itb12g08080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTQAPSDLQNYNSKTVDERSAKEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGVAVMVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVDIVYMVTGGKSLYKFHELICKHRKNGHHCKDIKLTYFIMIFASAHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVKKGVQEGVEYGYRAHTTPGKVFNFFASLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVAFIGYWMFGNSVEDNILITLENPTWLIAMANMFVVVHVIGSYQIYAMPVFDMIETVLVKKLMFRPTWYLRFFTRNVYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKKFSLSWIANWV >itb09g05740.t1 pep chromosome:ASM357664v1:9:3282988:3283500:1 gene:itb09g05740 transcript:itb09g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGESSDPPFEEAYKKLFDEFNYAPRLSSEVDEVIAVDERELPLIDLEELSRGEMAREECKNKIARASQEWGFFQVVNHGIPREILETMRKEEVKLFKRPFKEKAMADKEFNFSEGSYRWGSPSASRVRELSWSEAFHVQLSDIYADGGNHHLRYLTSLLLPLAAMHA >itb06g03910.t1 pep chromosome:ASM357664v1:6:6384175:6390850:-1 gene:itb06g03910 transcript:itb06g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G47320) UniProtKB/Swiss-Prot;Acc:Q94A16] MARIKPQALLLQSKKKKGPSRISATTIIIYILVAAVMVFFLFATYRHWSRRSMLQTDVGEGEALFAGQRKSDVAKYALISTTKGLVTVELYKDGSPEVVEEFIDLCTKGHFNGMQFNRVIKNFVIHGSKEERPETTEDWTSRVKNYAHLDTSLKHEAFMLGTSKAKHDGRGFDIFITTAPIPDLNDKINVFGRVIKGEDVVQEIEEVDTDNHYRPKAAVKIKGITLKQNL >itb10g04520.t1 pep chromosome:ASM357664v1:10:4283799:4286266:-1 gene:itb10g04520 transcript:itb10g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASLTDDLPQASTVRKKFLELILGTVDFVVGVGLCLFASWVSGLLCLENTQITRGTILYDAHLSHICSCSVLQWLYSILGFSSVEVLGASVATLAFATIGFLDDLVTLKNNKLTTLVYLLGLEFSWR >itb02g06690.t1 pep chromosome:ASM357664v1:2:4191881:4199348:1 gene:itb02g06690 transcript:itb02g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSYSSYSAATSLLRGSSSALCRSRLFSSASPLYRTYQSPSFRQQPYRSLSFSSALRSIRSSVPRWSHGVDWKSPAIPASQIRIASPVLSDFHRKIATMASENPFKGILTCLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVKKEDVDKIIDWENSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGSDSDKINPLVPVDLVIDHSVQVDVARSENAVQANMQLEFQRNKERFAFLKWGSNAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLAGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGDGVGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQERVYSSSLQLDLEDVEPCLSGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKEAQEKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKASELGLHVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSSAISDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETQPIGVGKDGKDVYFKDIWPSTEEIAEAVQSSVLPDMFKSTYEAITKGNPMWNQLSVPEAKLYSWEPSSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAMRYKSAGQDTVILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTIDLPSKISEIRPGQDVTVQTDTGKCFTCIARFDTEVELAYFNHGGILPYVIRQLTKQ >itb02g06690.t2 pep chromosome:ASM357664v1:2:4192883:4199348:1 gene:itb02g06690 transcript:itb02g06690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASENPFKGILTCLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVKKEDVDKIIDWENSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGSDSDKINPLVPVDLVIDHSVQVDVARSENAVQANMQLEFQRNKERFAFLKWGSNAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLAGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGDGVGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQERVYSSSLQLDLEDVEPCLSGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKEAQEKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKASELGLHVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSSAISDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETQPIGVGKDGKDVYFKDIWPSTEEIAEAVQSSVLPDMFKSTYEAITKGNPMWNQLSVPEAKLYSWEPSSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAMRYKSAGQDTVILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTIDLPSKISEIRPGQDVTVQTDTGKCFTCIARFDTEVELAYFNHGGILPYVIRQLTKQ >itb12g00720.t1 pep chromosome:ASM357664v1:12:519124:520243:-1 gene:itb12g00720 transcript:itb12g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRNRPPFRRTTSMTGITVDVGSGVEDGQPPAVEGQATAVPNGGYDHRSMAMVSPRYYGGRTTTSGDIETPSFLTSCSLCNRRLAPCRDIFMYRGDTAFCSEECREQKMKQDEKKEKSNNNNNMQIENKGGNHHHPTQISNHLGNN >itb04g22630.t1 pep chromosome:ASM357664v1:4:27877834:27881971:-1 gene:itb04g22630 transcript:itb04g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTGQPLEKPICCATQWMSSPPAPTPPRKPPNFSSALPAAADSQKYALRTAATPASPPAADHRSPPPTAHWSTARYPPPAGRRLTPTACRSPESPPVVATFQSPDHLKPLLYQNSKRLRLPLFQAPAGSSAVSSG >itb15g14120.t1 pep chromosome:ASM357664v1:15:12278316:12281373:1 gene:itb15g14120 transcript:itb15g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMASRSSCAYLFRTTAARRWLSTEAKTWPAESAAATISTPKEEGAVGGHGIANIKTAAKNKGNIIEALDKAVNKGIPIQKWRIIKPINQFRRANNYQAALQLSEWLESKTSLINDADRAVRIELLAKAKNLDSAEEYFNGLQDSEKTIRTYGALLNCYCAKKHLEKASELFEKMKEVNAISTLNYNNMISLYLQTGQPVKVVALVQEMEQNKIDRDSYTCNQLINCYGMLKDIDAAEGVLEKMKADNIKIDSFTYGNLATVFVNAGLLDKAQSVLEKMEEMKNGREEFCTLITMNAQISNLPGVYRVWESLKSAFPNPSNTSYLIMLLALSKLGDLENLEKYFREWESEYKFYDVRISNVLLELYLKRDMIGEAELLYNSVLKRGAQPSLRTLELLTSFHVQNSQFDLALKYLEMGASKAAKSKKKWVLPNETVKGFLKYFEENREADTTEKYYESLKTVSCPDSTLYDYMLSFNIIDQKAQA >itb05g21860.t2 pep chromosome:ASM357664v1:5:27480884:27485954:-1 gene:itb05g21860 transcript:itb05g21860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAFTRPFTCSPSLCFSKPQIHTSPLLIRFHGPPPPNHLVIRCCSSDLGPSPDSFNGRKHQFSSMAPFGVRMDDDALSKPSFKWRRVLLKVSGEALAGDQAQNIDPKITMAIAREVATVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPKQNPNAHLQDCLSYHDVTSKDLNVMDMTAITLCQENNIPSMFLPVPLLKNSPNISLH >itb05g21860.t1 pep chromosome:ASM357664v1:5:27480419:27485980:-1 gene:itb05g21860 transcript:itb05g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAFTRPFTCSPSLCFSKPQIHTSPLLIRFHGPPPPNHLVIRCCSSDLGPSPDSFNGRKHQFSSMAPFGVRMDDDALSKPSFKWRRVLLKVSGEALAGDQAQNIDPKITMAIAREVATVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPKQNPNAHLQDCLSYHDVTSKDLNVMDMTAITLCQENNIPIVVFNLNKPGNIAKAIKGERVGTLIGDTWNSEAAMA >itb06g25750.t1 pep chromosome:ASM357664v1:6:26660631:26664137:-1 gene:itb06g25750 transcript:itb06g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEGNSGNALLPPLVEGSLQYDVNTLPQLQLFGEIPIGCSINPVSYVANECPPAPVHPTKRVRDAEPNFSQQKLRISLNNNFCQDEVGQTGNIWKSNPVSTGLKLSYGEEERNSSITSAAENNKAILPAFLSLGNNVKYEIDRQREDLDRHIKVQGDNLCKGLKELSQRHTISFLNALEKGVSRKLHEKEVEIENMNRKNKELGEKIKQVAMEAQSWHYRAKYNESVVNALKNNIQQLAAQGITQVKEGCGECEVDDAASTTNHQDVASACASGVPSSKQQQQLSCCRACKNKQVSVLLLSCRHLCLCTDCEEFIDICPVCQAVKTASVQVYMA >itb06g25750.t2 pep chromosome:ASM357664v1:6:26660701:26664137:-1 gene:itb06g25750 transcript:itb06g25750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEGNSGNALLPPLVEGSLQYDVNTLPQLQLFGEIWLSGAVPIGCSINPVSYVANECPPAPVHPTKRVRDAEPNFSQQKLRISLNNNFCQDEVGQTGNIWKSNPVSTGLKLSYGEEERNSSITSAAENNKAILPAFLSLGNNVKYEIDRQREDLDRHIKVQGDNLCKGLKELSQRHTISFLNALEKGVSRKLHEKEVEIENMNRKNKELGEKIKQVAMEAQSWHYRAKYNESVVNALKNNIQQLAAQGITQVKEGCGECEVDDAASTTNHQDVASACASGVPSSKQQQQLSCCRACKNKQVSVLLLSCRHLCLCTDCEEFIDICPVCQAVKTASVQVYMA >itb11g03860.t1 pep chromosome:ASM357664v1:11:2076579:2080668:-1 gene:itb11g03860 transcript:itb11g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSFMAEALILLCVLNHVQGKRPVRHKAEQPAAITNGCDLFQGSWVRDEESNPLYNSSQCPFIEKQFDCEKNGRTDTDFLKYTWQPNLCTLPRFDAREFMLKMKGKKVMFIGDSLSLNQWQSLTCMLHVGEPDAKFSLHRSGAVSNFTFPKYDLSLFLFRAAFLVDLVTENEKRVLKLNSISNGTQWKEMDVLIFDSWHWWLHTGRKQNWDIVEDGNGTYPDGDRMAMYEKALNTWAKWVETEVDTRKTAVFFQGVSPDHDLVESSKSETCTGLTEPTTVTGEAHPGELVLERVLRTVSKPVYLLNITSMSQFRQDGHPSVFGAGGHRVLDCTHWCLPGVPDTWNQLLSTALLTQS >itb11g07530.t1 pep chromosome:ASM357664v1:11:4616339:4619665:-1 gene:itb11g07530 transcript:itb11g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFVDEIDCGSFFDHIDDLIDFPPENECAGVGAGDCANFPSIWDEPLPDSDPIFSAAHSHSASDLSAELSVPYEDIVQLEWLSAFVEESFSDGGLTLGKENYPIIPKPESDKKFQAASSPISVLESSSSSSSSSNSSCSGGSGGKVMPLSPSQRGAQRARSKRPRPVAFNPRPVMQLIETPQPESFADSPVKKVPRPPPAGAPEQKKKKKIKLSMPALPSPGGPDQDQPGAQPVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPALHSNSHKKVVEMRTKAVTSLEQSPVSSLVAPTVTAPPEIN >itb11g07530.t2 pep chromosome:ASM357664v1:11:4616339:4619232:-1 gene:itb11g07530 transcript:itb11g07530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVYGMDSNFVDEIDCGSFFDHIDDLIDFPPENECAGVGAGDCANFPSIWDEPLPDSDPIFSAAHSHSASDLSAELSVPYEDIVQLEWLSAFVEESFSDGGLTLGKENYPIIPKPESDKKFQAASSPISVLESSSSSSSSSNSSCSGGSGGKVMPLSPSQRGAQRARSKRPRPVAFNPRPVMQLIETPQPESFADSPVKKVPRPPPAGAPEQKKKKKIKLSMPALPSPGGPDQDQPGAQPVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPALHSNSHKKVVEMRTKAVTSLEQSPVSSLVAPTVTAPPEIN >itb11g07530.t3 pep chromosome:ASM357664v1:11:4616339:4619232:-1 gene:itb11g07530 transcript:itb11g07530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVHLSGMDSNFVDEIDCGSFFDHIDDLIDFPPENECAGVGAGDCANFPSIWDEPLPDSDPIFSAAHSHSASDLSAELSVPYEDIVQLEWLSAFVEESFSDGGLTLGKENYPIIPKPESDKKFQAASSPISVLESSSSSSSSSNSSCSGGSGGKVMPLSPSQRGAQRARSKRPRPVAFNPRPVMQLIETPQPESFADSPVKKVPRPPPAGAPEQKKKKKIKLSMPALPSPGGPDQDQPGAQPVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPALHSNSHKKVVEMRTKAVTSLEQSPVSSLVAPTVTAPPEIN >itb09g18400.t1 pep chromosome:ASM357664v1:9:14147271:14148107:-1 gene:itb09g18400 transcript:itb09g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNAAMKRGNSKRRARRNESVKVVYISTPMKVTTSASKFKSLVQQLTGRHSDIYRIMETNGTTEFETFPDDNHLDDGRLSLTDSGRESSAATTTTSESLTELLDDHAAFSTEVDEMFPIDLFLDLNYSDLEADVLCSSYAL >itb06g02120.t1 pep chromosome:ASM357664v1:6:3561459:3563285:1 gene:itb06g02120 transcript:itb06g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLPTAQPSSAAAGVPTTSPEVVKSVMASGLSAEENQMVDSISDCARFPEVASQHCGNSREKCDRPDRSHLLKESCPFVRFTASEWDDKVRSEDKLLLVGRFARQRPSLDLIRARMKQILRLEGEVQIGSLDRRSILLRFDLEDDCKRSWMRNQVVIEDSATSRRSRPSVAKIRLETDVLRPIIDRIWIEFVNEEGELKGFWQSILREQVPAYCICCNRFGHMAEVCWKGRASEGGVVEELVMPVERREDCATVEVSAVVGEAVGADSAILVVLREETEQREAVVSPPVGPGQVGDPLESGPDEATLAQMADEIIYEAAMGHLERIAENVMQEKGDGPVQANPSSPSISFSAWAKGMKEKLGSEKGKVIDEAKDEVMGFFVKAHIELGQEKYDEMEAQLLDQAAILFDNKMKDVDRSPVTPSSGRIGGVDGKGSTSKEVANEMRKKKFDVEEWAIDLNKVWEEDEVMWSVMDDMFEELKKILETHGGIKKYDIVGAREAAKILRRKIESSSLKEKYGPTSNLGRDLKNQISIGYMLCKWAGDYYDNSDSEEN >itb15g12140.t3 pep chromosome:ASM357664v1:15:10072180:10076934:1 gene:itb15g12140 transcript:itb15g12140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLLKNTTMVCAPLMAPSVEELINGMYKAKAQGADLVEIRLDSIKDFNPQKDLEILLTNKPLPVLIVYRPIWEGYNLEGDDDKQLETLRLATELGADYVDFELKIAYDFKKEDRKNCRGSSKMIVSCYVENLISSKEDLSDVVARIQSTEADIIKVVTDATDITELSKLFQLLSHCQVPVIAYSIGERGLISQLLGPKFGGVLVYGSIEGNPVPGVPTIDHLRNAYKIEDMDADTKVFGLISKPVSHSKGPLLHNPTFRHVGYNGIYVPMLVDDLKEFFRVYSSPDFAGYSVGIPYKEAVVDFCDEVHPLAQSIGAVNTIVRRPSDGKLIGYNTDCEASITAIEDALTLKVNGWTNGSAFHSSPLSGKLFVLVGAGGAGRALAFGAKTRGARIIIFDIDLSRAKALAEAVSGEAQPFENLPSFQPDSGAILANATPIGMHPNTDRIPVAEATLRDYRVVFDAVYNPRMTTLLKAAEAAGAITVSGVEMFLRQAMGQFNLFTGDKGK >itb15g12140.t4 pep chromosome:ASM357664v1:15:10072228:10077338:1 gene:itb15g12140 transcript:itb15g12140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLLKNTTMVCAPLMAPSVEELINGMYKAKAQGADLVEIRLDSIKDFNPQKDLEILLTNKPLPVLIVYRPIWEGYNLEGDDDKQLETLRLATELGADYVDFELKIAYDFKKEDRKNCRGSSKMIVSCYVENLISSKEDLSDVVARIQSTEADIIKVVTDATDITELSKLFQLLSHCQVPVIAYSIGERGLISQLLGPKFGGVLVYGSIEGNPVPGVPTIDHLRNAYKIEDMDADTKVFGLISKPVSHSKGPLLHNPTFRHVGYNGIYVPMLVDDLKEFFRVYSSPDFAGYSVGIPYKEAVVDFCDEVHPLAQSIGAVNTIVRRPSDGKLIGYNTDCEASITAIEDALTLKVNGWTNGSAFHSSPLSGKLFVLVGAGGAGRALAFGAKTRGARIIIFDIDLSNTISILRFVGKLSRLVSMCH >itb15g12140.t2 pep chromosome:ASM357664v1:15:10072151:10077368:1 gene:itb15g12140 transcript:itb15g12140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLLKNTTMVCAPLMAPSVEELINGMYKAKAQGADLVEIRLDSIKDFNPQKDLEILLTNKPLPVLIVYRPIWEGYNLEGDDDKQLETLRLATELGADYVDFELKIAYDFKKEDRKNCRGSSKMIVSCYVENLISSKEDLSDVVARIQSTEADIIKVVTDATDITELSKLFQLLSHCQVPVIAYSIGERGLISQLLGPKFGGVLVYGSIEGNPVPGVPTIDHLRNAYKIEDMDADTKVFGLISKPVSHSKGPLLHNPTFRHVGYNGIYVPMLVDDLKEFFRVYSSPDFAGYSVGIPYKEAVVDFCDEVHPLAQSIGAVNTIVRRPSDGKLIGYNTDCEASITAIEDALTLKVNGWTNGSAFHSSPLSGKLFVLVGAGGAGRALAFGAKTRGARIIIFDIDLSNTISILRFVGKLSRLVSMCH >itb15g12140.t1 pep chromosome:ASM357664v1:15:10072151:10077368:1 gene:itb15g12140 transcript:itb15g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLLKNTTMVCAPLMAPSVEELINGMYKAKAQGADLVEIRLDSIKDFNPQKDLEILLTNKPLPVLIVYRPIWEGYNLEGDDDKQLETLRLATELGADYVDFELKIAYDFKKEDRKNCRGSSKMIVSCYVENLISSKEDLSDVVARIQSTEADIIKVVTDATDITELSKLFQLLSHCQVPVIAYSIGERGLISQLLGPKFGGVLVYGSIEGNPVPGVPTIDHLRNAYKIEDMDADTKVFGLISKPVSHSKGPLLHNPTFRHVGYNGIYVPMLVDDLKEFFRVYSSPDFAGYSVGIPYKEAVVDFCDEVHPLAQSIGAVNTIVRRPSDGKLIGYNTDCEASITAIEDALTLKVNGWTNGSAFHSSPLSGKLFVLVGAGGAGRALAFGAKTRGARIIIFDIDLSRAKALAEAVSGEAQPFENLPSFQPDSGAILANATPIGMHPNTDRIPVAEATLRDYRVVFDAVYNPRMTTLLKAAEAAGAITVSGVEMFLRQAMGQFNLFTGDKAPEEFMREIIMAKF >itb14g06040.t1 pep chromosome:ASM357664v1:14:5242579:5248134:1 gene:itb14g06040 transcript:itb14g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIHFSKHSDMYRNLGKHRLIYRARISNYVKTGLVEEAIQVFNKMIGSECRVFSIDYNRFIGVLIKNSRFDLAEQYYNEMKPNGFSLNSLTYSRFISGLCRVRKFKLIHQLLDDMDRLGVIPDIWAYNMYLNLLCSENFLDLALQMLRIMGEKGREPDVVSFTIVINGLCRTGRFDNAVEIWDMMIRKGHIPDNKACRAVVLGLCESGKVDMAYELTLGVMRSRVRFDIEIYNILIDGFCRVGRIDKAQAIKTFMRRNGCEPDLVTSNIFLNYSCNELMLGEAERMIERMEIGGMKPDHYSYNQLLKGLCRARKVDKAYTLMITKMEPKGLVDVVSYNTIVRALCQTGHSKRAYKLLGEMRQKGITPDVVTFTILIKAFLGEGNSNVAKMILDQMTQMHLFPDRVLYTTIIDHHCKTGRIGSAQSTFHDMVEQGVTPDVVSYNALVYGLCKASRASEAMCLYEDLQKRGLYPDEVTFKLIIQGLIQEKKLSWACAIWDQMMEKGFTLDSDLSETLINAMNRKDVSSKDVSKPEA >itb14g06040.t2 pep chromosome:ASM357664v1:14:5242579:5247833:1 gene:itb14g06040 transcript:itb14g06040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICIHFSKHSDMYRNLGKHRLIYRARISNYVKTGLVEEAIQVFNKMIGSECRVFSIDYNRFIGVLIKNSRFDLAEQYYNEMKPNGFSLNSLTYSRFISGLCRVRKFKLIHQLLDDMDRLGVIPDIWAYNMYLNLLCSENFLDLALQMLRIMGEKGREPDVVSFTIVINGLCRTGRFDNAVEIWDMMIRKGHIPDNKACRAVVLGLCESGKVDMAYELTLGVMRSRVRFDIEIYNILIDGFCRVGRIDKAQAIKTFMRRNGCEPDLVTSNIFLNYSCNELMLGEAERMIERMEIGGMKPDHYSYNQLLKGLCRARKVDKAYTLMITKMEPKGLVDVVSYNTIVRALCQTGHSKRAYKLLGEMRQKGITPDVVTFTILIKAFLGEGNSNVAKMILDQMTQMHLFPDRVLYTTIIDHHCKTGRIGSAQSTFHDMVEQGVTPDVVSYNALVYGLCKASRASEAMCLYEDLQKRGLYPDEVTFKLIIQGLIQEKKLSWACAIWDQMMEKGFTLDSDLSETLINAMNRKDVSSKDVSKPEA >itb03g23800.t1 pep chromosome:ASM357664v1:3:22049916:22052553:1 gene:itb03g23800 transcript:itb03g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFHFYFHFLILALILAIVAAEDECEPSSCGPTPPVIRFPFRLKGRQPDHCGYPAGFQVSCNNHNETELDLQYPARASTNNIVIPISVKSVVLEIDYKSQTMRVSIVNASCFPREVPAVNSSSGAYPFESNGQYSNPYPHYIFFNCSSGSEDSGSGYSIPCLSDPGYRVYAFDSSTEATRWPLSCVKMYNISDVPYSILSPPDYVANPGESLKWSTPFCKNCEDLGKYCRLGKNSKNVTECYDPTPDKDIAGSMKKGMIAGITIGVLLISGVVGYTLYRIISLKKRKHKDQEMVEKFLEDYNALRPTRYSYADIKRITDKFKKKLGKGGYGMVYKGRISSYIPVAVKVLDNTKGNGEDFINEVGTIGRIHHINVVRLLGYCADGANRALVYEFLPNGSLQDVISSRKGQSLGWDKLEQIALGIARGIDYLHQGCNQRILHFDIKPHNILLDEELNPKVADFGLAKLCSKEKSVVSMTAARGTIGYISPEVFSRNFGNVSYKADVYSFGMLLLNLVGGRNPISVPTDEQESSQLYFPQWAYDQLEKGKEISIHIENEIDRKIVKKVTVVGLWCVQWNPADRPSMKVVIQMLEGENVPAMPPNPFDSPEPIAKAIVEGSLFDSEIETSY >itb05g05170.t1 pep chromosome:ASM357664v1:5:4925864:4928857:1 gene:itb05g05170 transcript:itb05g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENGVQRWVVDISEWDPTPHGFSFAMSFLPNHEHSSITRFVKIEDRKRALVSRLLQYALVHQVLGIPYNEICIRRTVEGKPYLVYGGTVLDFPNFNFNASHQGDYVAIASEPICLVGLDIVDHYIPEKESVREFIQSFSSYFSRLEWNAILNAGSDDQMLGEFYRYWSLKEAFVKAMGEGVGHRLDNVEFHHTSWENILVRVDGKELKDWRFWLFELGKNHVASIARGHPMSATATYKKTQRRAVFDEKEYNRGLHLPNAGFLLRKVDELFPYRCGVVRMPIGLLQRGDASENEGEVKGSH >itb09g04090.t1 pep chromosome:ASM357664v1:9:2273800:2276985:-1 gene:itb09g04090 transcript:itb09g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSESTNGRIPHPPLQGHHHYYPPPHSSSSSSSKASFKGCCCCLFLLFTFMALLVIAVILVIVLALKPKKPQFDLQQVGVQYVGIIPNSAAAAAAASASVSLNIRMVFAAANDNKVGIKYDESRFTVMYRGIPLGRGIVPAFYQPAHSIRRVETTIVVDRVSLLQTDADALVRDAMVNDRVELRVLGDVGAKVRILGFTSPGVEVSVDCTIAISPRKQALTSKQCGFDGLSV >itb06g22210.t2 pep chromosome:ASM357664v1:6:24592207:24595212:1 gene:itb06g22210 transcript:itb06g22210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNGEMGLHLFQCGSDWDPLVSMDQSLHYPVLLDDDQPINRNSHLLHYPSNSYLADMLPKITAFENEGFSEMISSFGASDFHPNYVAAIQNSQEDCWNLEEVRKRKSSEPHSPSHKNVEGGLLKNTALDNSECLKQEDEKKQKPENNPSLMAKLAGKQVKNDGSSNGKPHNENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVKSLQQQVEFLSMKLATVNPEINLEIQQAMTKEIFATQGSNVPRREFIHGISSSQTFPGFLQGDFANIPNTIPPICPSPQNVSSIDLHSILPTTLDSSSSMSIMDPNSKTPQLISSAIIYIFCITSSYPLHFSVFLPSLKCSLSCLAGK >itb06g22210.t3 pep chromosome:ASM357664v1:6:24592161:24594482:1 gene:itb06g22210 transcript:itb06g22210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNGEMGLHLFQCGSDWDPLVSMDQSLHYPVLLDDDQPINRNSHLLHYPSNSYLADMLPKITAFENEGFSEMISSFGASDFHPNYVAAIQNSQEDCWNLEEVRKRKSSEPHSPSHKNVEGGLLKNTALDNSECLKQEDEKKQKPENNPSLMAKLAGKQVKNDGSSNGKPHNENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVKSLQQQVEFLSMKLATVNPEINLEIQQAMTKEIFATQGSNVPRREFIHGISSSQTFPGFLQGDFANIPNTIPPICPSPQNVSSIDLHSILPTTLDSSSSMSIMDPNRK >itb06g22210.t4 pep chromosome:ASM357664v1:6:24592201:24594494:1 gene:itb06g22210 transcript:itb06g22210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNGEMGLHLFQCGSDWDPLVSMDQSLHYPVLLDDDQPINRNSHLLHYPSNSYLADMLPKITAFENEGFSEMISSFGASDFHPNYVAAIQNSQEDCWNLEEVRKRKSSEPHSPSHKNVEGGLLKNTALDNSECLKQEDEKKQKPENNPSLMAKLAGKQVKNDGSSNGKPHNENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVKSLQQQVEFLSMKLATVNPEINLEIQQAMTKEIFATQGSNVPRREFIHGISSSQTFPGFLQGDFANIPNTIPPICPSPQNVSSIDLHSILPTTLDSSSSMSIMDPNTGK >itb06g22210.t5 pep chromosome:ASM357664v1:6:24592207:24594494:1 gene:itb06g22210 transcript:itb06g22210.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNGEMGLHLFQCGSDWDPLVSMDQSLHYPVLLDDDQPINRNSHLLHYPSNSYLADMLPKITAFENEGFSEMISSFGASDFHPNYVAAIQNSQEDCWNLEEVRKRKSSEPHSPSHKNVEGGLLKNTALDNSECLKQEDEKKQKPENNPSLMAKLAGKQVKNDGSSNGKPHNENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVKSLQQQVEFLSMKLATVNPEINLEIQQAMTKEIFATQGSNVPRREFIHGISSSQTFPGFLQGDFANIPNTIPPICPSPQNVSSIDLHSILPTTLDSSSSMSIMDPNSKTPQLISSAIIYIFCITSSYPLHFSVFLPSLKCSLSCLAGK >itb06g22210.t1 pep chromosome:ASM357664v1:6:24592207:24595212:1 gene:itb06g22210 transcript:itb06g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNGEMGLHLFQCGSDWDPLVSMDQSLHYPVLLDDDQPINRNSHLLHYPSNSYLADMLPKITAFENEGFSEMISSFGASDFHPNYVAAIQNSQEDCWNLEEVRKRKSSEPHSPSHKNVEGGLLKNTALDNSECLKQEDEKKQKPENNPSLMAKLAGKQVKNDGSSNGKPHNENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVKSLQQQVEFLSMKLATVNPEINLEIQQAMTKEIFATQGSNVPRREFIHGISSSQTFPGFLQGDFANIPNTIPPICPSPQNVSSIDLHSILPTTLDSSSSMSIMDPNTGK >itb06g22210.t6 pep chromosome:ASM357664v1:6:24592201:24593935:1 gene:itb06g22210 transcript:itb06g22210.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNGEMGLHLFQCGSDWDPLVSMDQSLHYPVLLDDDQPINRNSHLLHYPSNSYLADMLPKITAFENEGFSEMISSFGASDFHPNYVAAIQNSQEDCWNLEEVRKRKSSEPHSPSHKNVEGGLLKNTALDNSECLKQEDEKKQKPENNPSLMAKLAGKQVKNDGSSNGKPHNENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVKSLQQQVEFLSMKLATVNPEINLEIQQAMTKEIFATQGSNVPRREFIHGISSSQTFPGFLQGDFANIPNTIPPICPSPQVNHSCISLYILVELQTILTRLDTFPERIEHRSSQYSPDDIRF >itb13g16100.t1 pep chromosome:ASM357664v1:13:23030105:23032483:-1 gene:itb13g16100 transcript:itb13g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSSRSSSSTTIDSDDDSSLRLVSKPITFGLTIPSDTSRLAVVRVDRRRRAVAEDVADAEARSPQFAEKPRSPHFAVRREAEVSLPEFQFALRRRLGLGRTVSRRRRDAGMPSARPQFADAEERRRNRRKGQRRS >itb04g32500.t1 pep chromosome:ASM357664v1:4:35024092:35025922:-1 gene:itb04g32500 transcript:itb04g32500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQSDVFNFVMKSGNGVKGLLAKGIETLPRECVQPLEERIDRAEQVSDEESIPVIDFSDPEAAEAKIQEAAENWGFFQVINHGIPLQVLDNVIEAAHVFFELPAEEKMKYLRENSSSSAVEFFHHAIGGDDENSKVLEWRDSLHHECIPGDDGKFWPPETRDQVLEFSKWAKPLARKLVEMLVRGLGVKAIDQTLEPVLMGKIAVVLNYYPPCPPNPNLTIGCCRHCDICTITMLLQDDTGGLYVRGRDSDKWVHVAPVRGALAVNIGNSLQFMSNGRYKSVEHCAAVDSCKTRVSVPMFVNPSFDSVVEPLPETLDAGEKPAYKAFRFSDYWDYFMTTRPSGKAFIEYARI >itb15g07370.t1 pep chromosome:ASM357664v1:15:5037124:5038856:-1 gene:itb15g07370 transcript:itb15g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHHPPHHHPHQLHPQVSHLQYGGAVSVDASVGGGSGVGDRFPQWSIQETRDFLIIRAELDPTFMQTKRNKLLWEIIASKMKEKGYNRSAEQCKCKWKNLVTRYKGCETMEPEGMRQQFPYYNELQTIFNARMQRMLWMEAEGGSSTGGSKKKAAMALSSDEEEENEESEGEAKSGRKKRKVKGGGGSGSGVANSLREILEEFMKQQMQMEAQWMKAVEAREEERRVKEMEWRRTMEALENERVMMERRWREREEQRRAREEARAEKRDTLITALLNKLRREDL >itb04g06770.t1 pep chromosome:ASM357664v1:4:4473869:4475383:-1 gene:itb04g06770 transcript:itb04g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRISCPCLYPAVAAITNHISFATICTAAQHEDPFKAHPSYHHLSAIKTKGDLLQSYTVTPPIQPWPRYLTHKRLISLISRQHDPNLALHIFYHAGKYHPGFSHNYHTYHSIILKLCRARAFDSVETLLAELRQTNITCSENLFLTVIKYYGIASKPKQAIKTFLKIKGFGVERSVKSFNTLLNALVNNKEYDLVHGLFKICRNKLDIVPNVFTCNILLKALCKKGDTDGAVRILDEMPAMGIVPNVVSYTTILGGYVLRGDMVGAKRMFNETLDRGWLPDAITYTILMDGFVKTGQLMNAVKVMDEMEENGVKPNDVTYAVMIEALCEGKKSGEAVSLLNDMLENRYVPSATLCCRVIDAMCEAGKVQEACDLWKKLLIKNCTPDNAISSTLIHWLCKEGKIWEAKKLFDELEKGSVPSVLMYNTLIAGMCEKGEILEAGRLWDDMVEKGYTPNAFTYNMLYKGFCKVGNAQEGIRVLQEMEMMDEKTKNLKISKEKVSRI >itb07g13810.t1 pep chromosome:ASM357664v1:7:16145853:16147978:1 gene:itb07g13810 transcript:itb07g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFVLVFVLVCSPGQANGADNNSMAKRRAEKMIRQLNLFPLHDLNRGPTHSPAGADSPRLVEKKLKLNLLGDSGASVEDLGHHAGYYRLPNTVDARMFYFFFESRSNKSDPVVIWLTGGPGCSSSLALFYENGPFYIADNQSLIWNDYGWDKASNLIYVDQPTGTGFSYSSSEKDIRTDENGVSNDLYAFLQEFFKAHPEYAKNDFFVTGESYAGHYVPALGSRINRGNKKKEGIPINLKGIAIGNGLTNSEIQYPAYPDFALDNNLISKSDHDDLMKLVPDCQQAVRQCNSVGGDACDEANSRCLAAIYDQIVFNMGDKNYYDIRRKCDGGSSLCYNFSNVETLLNTQSVKDALGVGEGIEFVSCSTEVYQAMASDWFKNLAVGIPSMLEDGINLLIYAGEYDFICNWLGNWRWVQALQWSGKRGYGAAPNVTFSVDGKEKGIQKSFGPLTFLKVHDAGHMVPMDQPEASLEMLRRWMHGQLSKPRTVIFPGNFMYPL >itb12g25320.t1 pep chromosome:ASM357664v1:12:26574181:26578838:1 gene:itb12g25320 transcript:itb12g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNANPDRTSGATAKPLLLPEIGPDGIARESPVIAYTEKIIEAEQLQLRKYIEENYSKIRDVERELSNLSMEMKLTAGPKKAALEHMRRKIELATERIQAAKLKEEQARKAWEVATKAVKDEEAIKQKLCEDLNNLVQESNNIQFARLEELKRRLEALNPSRASTSIPYDQNTAGTPQISVTQDSSVVPNSLQSSSESSTNTPKEGSSGNVTSTNSHNQQQPSNDNDGRAKRKTIVHGRGRGIGAVTKSKNSAAPGWTGAGFE >itb04g14260.t1 pep chromosome:ASM357664v1:4:14718680:14723487:1 gene:itb04g14260 transcript:itb04g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNVAGGAGEGNSKCDKGKGKMVMEDNSDGDKGKGKMIKTSEDRTTSQFYPRGERRRRRKKSSTSTGNPKGMAEMKKNSRGETTVYRSRIGRSQTGNKGNWESPQERPWKPSGDAADESVDTQSVLKSLPAMPPTRRTVPSAKSVDTQSILKRWEDGLADRVEYDSAGNIETEIINKSPFVQIPLGVTEDRLIGSVDVKESVKSGTTVFQPGLLAEAH >itb14g16250.t1 pep chromosome:ASM357664v1:14:19574076:19577822:1 gene:itb14g16250 transcript:itb14g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G07630) UniProtKB/Swiss-Prot;Acc:Q9SSE7] MAATTATVAAAVAARSSSSLRFDLEPASNSKPFLHSYSSLKPILKRRRHVSIRAARNGGENQAGDAYGGEVKRTEPIELGKLVEEYPFQFTSKDLPNPLPRPLTSADLSNMASQGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVESWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAIQHCLLASPGVKVKDLGRVLSHPQALAQCENTLTELGLVREAVDDTAGAAKYVAFHKLKDTGAVASLTAAKIYGLDVLAQNIQDDSDNVTRFLMLAREPIIPGINKPFKTSIVFSLEEGPGILFKALAVFAMRNINLTKIESRPLQKQALQLLGYSADGFPKYFPYLFYVDFEASMADERAQNALGHLKEFATFLRVLGSYPADCGMP >itb07g22990.t1 pep chromosome:ASM357664v1:7:27461464:27462390:1 gene:itb07g22990 transcript:itb07g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSASRLLSPAATTITSHNHRYLSAIPHPPFTPHASKSPRPITKLHVSSKPSSLSAAAAAPSSKSSQETVFFDGGAHYGDLVANLLLGFTLLWLPLTLAAVFRAFSLRYRFTNLRVTVISGQDRSDFSYKVIKDVQVVPRFIGEWGDIVITLKDGTKVDLRSVPKFREIAKYCLSMAEQPVDLKESGPKGF >itb12g13660.t1 pep chromosome:ASM357664v1:12:12668731:12672086:1 gene:itb12g13660 transcript:itb12g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVEAAASAGGLLEPTGEAAASVRRVGFGVGKGGIRKKRCRSTKAAKRPCDVKREVPSSLQLQRLFLACRQVFKGPGTVPSQSDVDKLCQILDGMMPEDVGLSSNLQFFNPNGVVEGNPRVTNTTIYSCDNFSLCIFFLPTTAVIPLHNHPGMTVFSKLLLGSMHIKAYDWVDEVSAPLAIGDSNKDGQAMRLARLKANQVFTAPCSTSVLYPTSGGNIHEFKAITPCAVLDVLGPPYSEDDGRDCSHYRDIPYDALSSGKVSEAEEDSKLFGWLEEIEIPEESKMYVIQYKGPQVVE >itb04g14300.t1 pep chromosome:ASM357664v1:4:14737215:14738303:-1 gene:itb04g14300 transcript:itb04g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKSFSLTAALLVGLFSFSVAQILTYPPPPPQSQSLANAVDTLSDSGYFAMSLTIELIAETLIHSTSSSADDTIAALTIFSPPDSVFAAVGQPSLRHLVLHFSPLALSISALRSLPLGSEIPNLSPSSSLYITASSSSDDHYQISINGVNISTSPIFDDGSVIVFTVDDFFAANFTPPVPAPINPSSVNIFDCKLRPFSMLNEASAVLKSRGYSIMASFLDLQLFGFMSTSPIKLTVFAPVDGALIQFSGDVMVYQSVLMRHVLPCALNWTELNEIGQGSGAAFQDYVKQFSMNVRSANSEVFVNGIRISIPDMYHNDWVVIHGLNEMIPLPDEFEIRKEFTLINRSYMEVSPSSDHREF >itb05g12500.t1 pep chromosome:ASM357664v1:5:19063816:19073332:1 gene:itb05g12500 transcript:itb05g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLGIALKSLFSVLGCVMTATLIYGFITDGQPFRIQLITPWMAAALIDFFINTFAIGAWVVYKESNWISAVLWVVLIMCWGSAPLCGYILLQFFKLSSKESMQDPVFYVLLRHGKMNKPERQNKLCVAVAKVVFIALGCLMLGTLIYTFVTNGSPFRKEVFTPWLSVTLIDLCFIDVILSVWIAYKETSWISTSCWILLLICLGSIGTCAYIVLQLFQLSPQDPAYYILFNRYGRAEEGYEGILQEDHVDDC >itb05g12500.t2 pep chromosome:ASM357664v1:5:19063816:19073332:1 gene:itb05g12500 transcript:itb05g12500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLGIALKSLFSVLGCVMTATLIYGFITDGQPFRIQLITPWMAAALIDFFINTFAIGAWVVYKESNWISAVLWVVLIMCWGSAPLCGYILLQFFKLSSKESMQDPVFYVLLRHGKMNKPERQNKLCVAVAKVVFIALGCLMLGTLIYTFVTNGSPFRKEVFTPWLSVTLIDLCFIDVILSVWIAYKETSWISTSCWILLLICLGSIGTCAYIVLQLFQLSPQDPAYYILFNRAEEGYEGILQEDHVDDC >itb13g02920.t1 pep chromosome:ASM357664v1:13:2865049:2866770:1 gene:itb13g02920 transcript:itb13g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPFQGLNFIVDGLGDETFEDVSDDDEDDQFESVCAICDNGGEMICRVVFNHVFREQNQPADALAKLALRGRSGLRIYNSTPHGLQDAVLDDQRGVCFNWRVHRSWDDVHFH >itb04g34180.t2 pep chromosome:ASM357664v1:4:36219041:36226303:1 gene:itb04g34180 transcript:itb04g34180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKREASTPRRRSKRGRPEPAEEEEEGLGGVPAVEEEDGSASVILARCSGDEPPRRKVQRDAVANEDENVFIGEPVPEVEARQRWPHRYQQPKEQTNERSQRLNSQDELEDIILAKCHFTRLKVDDQIYCLEDDAYVKAAENEENYICKIVEMFEAVDGTRYFTAQWFYRAKDTVIKTHDNFIDRRRVFLSELKDDNPIDCLVQKLNLHCLTPNANSDFTSVQVECDFYYDMMYLLPYSTFISLPPDITAEAVSESDSTISCENDTAESKGRALEKILLDLYSGCGAMSTGLCLGADNYGVKLVTKWAVDFNKHACDSLRLNHPETQVRNEPADDFLCLLREWERLCVSCSLIKSNSLPHPCLNLANHNNGESYNSHEEDDEEPRSDTDDEIYDVELILDVCFGDLNETGKSGLYFKIRWKGYDAAADTWEPIEGLSDCQHKLKEFVENGFKAKILPLPGDIDVICGGPPCQGISGFNRFRKTENPLEDPKNKQLEVFMDIVKFLKPRFVLMENVVDIVKFSHGFLGRYALGRLVGMNYQARLGIMAAGAYGLPQFRMRVFMWGALPGEKLPQYPLPTHNVVLRGSVPTEFESNTVAYDEGHGTRVKKALFLGDAISDLPPVENDESRDEREYVDEPKSGFQEFIRLGRDGMPGCILYDHCPLQLNEDDYTRVCNIPKLKGANFRDLTGVLVRDNKVEWDPKVERVYLPSGKPLVPDYAMTFSGGTSSEPFYILSRIGFLQSVKMHGCKVSLTTTNFVDQLKKDTYKWGMQLLCQWQEP >itb04g34180.t1 pep chromosome:ASM357664v1:4:36218994:36226303:1 gene:itb04g34180 transcript:itb04g34180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKREASTPRRRSKRGRPEPAEEEEEGLGGVPAVEEEDGSASVILARCSGDEPPRRKVQRDAVANEDENVFIGEPVPEVEARQRWPHRYQQPKEQTNERSQRLNSQDELEDIILAKCHFTRLKVDDQIYCLEDDAYVKAAENEENYICKIVEMFEAVDGTRYFTAQWFYRAKDTVIKTHDNFIDRRRVFLSELKDDNPIDCLVQKLNLHCLTPNANSDFTSVQVECDFYYDMMYLLPYSTFISLPPDITAEAVSESDSTISCENDTAESKGRALEKILLDLYSGCGAMSTGLCLGADNYGVKLVTKWAVDFNKHACDSLRLNHPETQVRNEPADDFLCLLREWERLCVSCSLIKSNSLPHPCLNLANHNNGESYNSHEEDDEEPRSDTDDEIYDVELILDVCFGDLNETGKSGLYFKIRWKGYDAAADTWEPIEGLSDCQHKLKEFVENGFKAKILPLPGDIDVICGGPPCQGISGFNRFRKTENPLEDPKNKQLEVFMDIVKFLKPRFVLMENVVDIVKFSHGFLGRYALGRLVGMNYQARLGIMAAGAYGLPQFRMRVFMWGALPGEKLPQYPLPTHNVVLRGSVPTEFESNTVAYDEGHGTRVKKALFLGDAISDLPPVENDESRDEREYVDEPKSGFQEFIRLGRDGMPGCILYDHCPLQLNEDDYTRVCNIPKLKGANFRDLTGVLVRDNKVEWDPKVERVYLPSGKPLVPDYAMTFSGGTSSEPFARLWWDETVPTVVTRAQPHNRAILHPEQDRVLTVRENARLQGFPDYYKLCGPIKERYIQVGNAVAVPVARALGYSLAMAFKGQSGTQPLLRLPEGFPEAFVPANQTLSEASR >itb14g20290.t1 pep chromosome:ASM357664v1:14:22716178:22717495:-1 gene:itb14g20290 transcript:itb14g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQKFVAVFLVSMFVLVVHTDASVSPVYRKCFDSCFPACISKAKDTKDIIRCEKGCDIDCAVKDFKAKLNAIKARSGSNKHKQ >itb15g01020.t1 pep chromosome:ASM357664v1:15:568105:570684:-1 gene:itb15g01020 transcript:itb15g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMMVAETTSDSWLTNILGPPRKGSVLEPKRSKIGVLAFEVATMMSKVINLWQLVSDAQIARLREDITNSPGIKRLVSEDDEYLMDLALAEIIENLECLAKSVVRLGRRCTDPVYQNLDQVFDASFEMDLSSSVWQYRLKKMERKVKKMERFVAATNQLYQEIEVLGEHEQTLRRLKSGSNPSQLQLLEFQPRVMLQRQEIKNLQEMSPWVRTYDYIVRLLFRSIFTIIMRIKFALGINLIGNGEGSNQFEDFNNNSFAHNRSVPVMLQSSVYPSENNMSRVYSGPLGKSFSNLGLDGDKNQSNNRELLTRQSSVRRGKPSQMRSRPLASIGSLGVCMKAGSYSPAIDCYAPSNSGISRSDRSSLGVAGAFDEMNALASSCKGAKTTLFNFKRKLLVAPPSTLGFTALALHYANIIILIEKLASSPQLISLDARDNLYNMLPASVKTTLRALLRPFSKKSASSIYDPALAADWGLALPRILEWLSPLAQNTVRWHSERNVEKHQMTSRAHSQMTSRTNVLLVQTLYFGSKAKTEATIIELLLGLNYLSQYVQS >itb04g26670.t1 pep chromosome:ASM357664v1:4:30928660:30930778:-1 gene:itb04g26670 transcript:itb04g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLLLYCLAGFILFVLLYLRIINPNNNNKNLLLPPVAAGARPIIGHIPILNNQKQILARTLGDMADKHGPVFTVRSGITPIAMISSWEAAKDCFTTHDKDASAKPENAVGKYLGYNYAMFSSSTDNAYWRVMRKFVVSELLSNVKLDKLKNLRKSELETSIKELYLFVTASSSETVVVVMDEWFGQLTLNTSCRIVARRRYKFKVGGNVGGGDFEEYKEAQNIIKVFRDFQYLSGLFVLHDAFPLRVFKWIDFQGHVSAMKRTNRKIDKILQAWIDQHIERRRPENAQPVAGDDDDQDLIDLMLSTIDKEFVKGLSHTHQMTIKGLIESMIVDGSDTTATLMTWVISLLVKHSDVMKCCREEIDTQVGTERWVEDFDIKNLEYLQAVIKETLRLYPPVPLLTPRMTSKHCKIAGYDLPTGTQFNVNLWKIMRDPSVWRNPEEFKPERFMKGEAEVDSFLRRFEYGPFGYGRRTCVGMTYALQISHLTIARLVQGFNFSTPNNVELDMTEGFGVTLPRATPLQLVLTPRLHPKFYGR >itb01g21040.t2 pep chromosome:ASM357664v1:1:27235791:27239572:1 gene:itb01g21040 transcript:itb01g21040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSLNAELSEKTSLFGMKLWVVIGICFGVFLVGILCLLSVWVTFRRKSRKTAEKNSPCEIPNVSKEIMVDRVCAPSDNDHPESLFLTIRDKSSERNSEKMVVHLGKSKSSEADMISQCSSLYNNEIGCSSLSGEDGGSGNVRKQSTHGLSMASPLIGLPELSHLGWGHWFTLRDLEFATNNFSSENVVGEGGYGVVYKATLINGTVVAVKKLLNNLGQAEKEFRSEVEAIGHVRHKNLVRLLGFCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGALTWEARIKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDLFNAKVSDFGLAKLLDSEESHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLETVTGRDPVDYHRPASEVNLVEWLKAMVVNRRAEEAVDPDLEVKPSTRVLKRVLLVALRCVDADSKRRPKMSHVVQMLDTDEFLHREDRRKSRTASMEIEPVKESCSSVDAESRVKQSDTSETIHNRN >itb01g21040.t1 pep chromosome:ASM357664v1:1:27235791:27239860:1 gene:itb01g21040 transcript:itb01g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSLNAELSEKTSLFGMKLWVVIGICFGVFLVGILCLLSVWVTFRRKSRKTAEKNSPCEIPNVSKEIMVDRVCAPSDNDHPESLFLTIRDKSSERNSEKMVVHLGKSKSSEADMISQCSSLYNNEIGCSSLSGEDGGSGNVRKQSTHGLSMASPLIGLPELSHLGWGHWFTLRDLEFATNNFSSENVVGEGGYGVVYKATLINGTVVAVKKLLNNLGQAEKEFRSEVEAIGHVRHKNLVRLLGFCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGALTWEARIKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDLFNAKVSDFGLAKLLDSEESHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLETVTGRDPVDYHRPASEVNLVEWLKAMVVNRRAEEAVDPDLEVKPSTRVLKRVLLVALRCVDADSKRRPKMSHVVQMLDTDEFLHREDRRKSRTASMEIEPVKESCSSVDAESRVKQSDTSETIHNRN >itb14g07500.t3 pep chromosome:ASM357664v1:14:6928984:6934945:-1 gene:itb14g07500 transcript:itb14g07500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFFSWSATLIPPLNLPLSRGGGSAKFIANLHRKRRFKPCNIRSIGHKASASAFTYRNFVHYALEETKLHTHLSPSPLQEKFSSDMDMGEKANFEMLSFEAPKIRLLRSLYIEGSDEMQVLDFAVFPRIEYDLPIFCANFFTTAKMNIIVLDLNPLHDVINQIDYKEKYYKHLIPLGLKYSQLLPWGGKLTSESLRFFSPIVLWTKFSSGPHNHSILYSAFKDYYKAWLNLIDRAVEDTDASQIICNREAQHRYLTWRAEKDPGHGLLKRLIGETLAKELTMNFLFNGTGELGSKSFLDYFPEYKREDGSINEKRSMVGKSFENRPWDAEGNFIGDTTPQHNSSLTNLDH >itb14g07500.t5 pep chromosome:ASM357664v1:14:6928984:6933355:-1 gene:itb14g07500 transcript:itb14g07500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIQLVFHFVLSWVINQGPLLSKQEKFSSDMDMGEKANFEMLSFEAPKIRLLRSLYIEGSDEMQVLDFAVFPRIEYDLPIFCANFFTTAKMNIIVLDLNPLHDVINQIDYKEKYYKHLIPLGLKYSQLLPWGGKLTSESLRFFSPIVLWTKFSSGPHNHSILYSAFKDYYKAWLNLIDRAVEDTDASQIICNREAQHRYLTWRAEKDPGHGLLKRLIGETLAKELTMNFLFNGTGELGSKSFLDYFPEYKREDGSINEKRSMVGKSFENRPWDAEGNFIGDTTPQHNSSLTNLDH >itb14g07500.t1 pep chromosome:ASM357664v1:14:6928984:6935096:-1 gene:itb14g07500 transcript:itb14g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFFSWSATLIPPLNLPLSRGGGSAKFIANLHRKRRFKPCNIRSIGHKASASAFTYRNFVHYALEETKLHTHLSPSPLQEKFSSDMDMGEKANFEMLSFEAPKIRLLRSLYIEGSDEMQVLDFAVFPRIEYDLPIFCANFFTTAKMNIIVLDLNPLHDVINQIDYKEKYYKHLIPLGLKYSQLLPWGGKLTSESLRFFSPIVLWTKFSSGPHNHSILYSAFKDYYKAWLNLIDRAVEDTDASQIICNREAQHRYLTWRAEKDPGHGLLKRLIGETLAKELTMNFLFNGTGELGSKSFLDYFPEYKREDGSINEKRSMVGKSFENRPWDAEGNFIGDTTPQHNSSLTNLDH >itb14g07500.t4 pep chromosome:ASM357664v1:14:6928984:6934433:-1 gene:itb14g07500 transcript:itb14g07500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFFSWSATLIPPLNLPLSRGGGSAKFIANLHRKRRFKPCNIRSIGHKASASAFTYRNFVHYALEETKLHTHLSPSPLQEKFSSDMDMGEKANFEMLSFEAPKIRLLRSLYIEGSDEMQVLDFAVFPRIEYDLPIFCANFFTTAKMNIIVLDLNPLHDVINQIDYKEKYYKHLIPLGLKYSQLLPWGGKLTSESLRFFSPIVLWTKFSSGPHNHSILYSAFKDYYKAWLNLIDRAVEDTDASQIICNREAQHRYLTWRAEKDPGHGLLKRLIGETLAKELTMNFLFNGTGELGSKSFLDYFPEYKREDGSINEKRSMVGKSFENRPWDAEGNFIGDTTPQHNSSLTNLDH >itb14g07500.t2 pep chromosome:ASM357664v1:14:6928984:6935096:-1 gene:itb14g07500 transcript:itb14g07500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFFSWSATLIPPLNLPLSRGGGSAKFIANLHRKRRFKPCNIRSIGHKASASAFTYRNFVHYALEETKLHTHLSPSPLQEKFSSDMDMGEKANFEMLSFEAPKIRLLRSLYIEGSDEMQVLDFAVFPRIEYDLPIFCANFFTTAKMNIIVLDLNPLHDVINQIDYKEKYYKHLIPLGLKYSQLLPWGGKLTSESLRFFSPIVLWTKFSSGPHNHSILYSAFKDYYKAWLNLIDRAVEDTDASQIICNREAQHRYLTWRAEKDPGHGLLKRLIGETLAKELTMNFLFNGTGELGSKSFLDYFPEYKREDGSINEKRSMVGKSFENRPWDAEGNFIGDTTPQHNSSLTNLDH >itb05g00180.t2 pep chromosome:ASM357664v1:5:145946:154204:-1 gene:itb05g00180 transcript:itb05g00180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQMMDPQDLSPSFFSEDLRFHDESQNGFWKSNSLHNFNGLKTDRTFRVGSVPSSHFENQIPMGCQMTKGVELPNSYLHKDWKVNCSIQKQAIGSERAASHSLPRTLDHNLGTASIFSTESTSYAMERDKINILGAQHENGLFSSSLSELFSRKLQLSTNNSLYGHSMGATASHYEEEEPLESLEELEAQTIGNLLPDDDDLFAGVTDGLDCVAQPNNRDDGEDLDLFSSVGGMDLGEDSSSSGQKNSDMLLGGSIASGAGKHPLGEHPSRTLFVRNINSNVEDSELQTLFEQYGDIRTLYTVCKHRGFVMISYYDIRAALNAMKALQNKPLRHRKLDIHFSIPKDYPPEKDVNQGTLAVFNLEPSVSNDEIHRIFCVYGEIKEIRETPNKSLHKLIEFYDSRAAEAALHALNGSYIAGKQIKLEPSHPAGARQLQPFSPEMERDESGLYLQQNGYPSSLTTAYPGAMATNINAWTSDVIDKQQFCRVGSNGHSIGLNDGVFGPTGNGSCTPSGRSYAWSTSPRHQPQGMMWPNSPSLVSGVCTARPQQMHAVSDAPNHMLNTLLPINNHHVGSAPSVNSSIWDRRHAYTGESPEVSVFHPGSLGSVQISGNSPHPLEFLPHNMFPRAGGNGMDSPVTSKSVGLPSPHQRCLMFPPRGQMISMMNSFDSPNERTRSRRNEGSSNQTDNKKQFELDIDRIVRGDDKRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMTDPSFIIPFYHALNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPNKSRGSTGEENNHEIHVSLSNGESSSHGESCGVVKDSN >itb05g00180.t4 pep chromosome:ASM357664v1:5:145996:154167:-1 gene:itb05g00180 transcript:itb05g00180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPQDLSPSFFSEDLRFHDESQNGFWKSNSLHNFNGLKTDRTFRVGSVPSSHFENQIPMGCQMTKGVELPNSYLHKDWKVNCSIQKQAIGSERAASHSLPRTLDHNLGTASIFSTESTSYAMERDKINILGAQHENGLFSSSLSELFSRKLQLSTNNSLYGHSMGATASHYEEEEPLESLEELEAQTIGNLLPDDDDLFAGVTDGLDCVAQPNNRDDGEDLDLFSSVGGMDLGEDSSSSGQKNSDMLLGGSIASGAGKHPLGEHPSRTLFVRNINSNVEDSELQTLFEQYGDIRTLYTVCKHRGFVMISYYDIRAALNAMKALQNKPLRHRKLDIHFSIPKDYPPEKDVNQGTLAVFNLEPSVSNDEIHRIFCVYGEIKEIRETPNKSLHKLIEFYDSRAAEAALHALNGSYIAGKQIKLEPSHPAGARQLQPFSPEMERDESGLYLQQNGYPSSLTTAYPGPCSLGGIPSSLENGTMFGSKSPSRAPLTQYLDNSLNHGMSLSPNSSLSSFVRLESVGKQSNLSETSHLLGQMNIEFRAKPNGRTCSLPEYHDGLSNGVLSNSPGAMATNINAWTSDVIDKQQFCRVGSNGHSIGLNDGVFGPTGNGSCTPSGRSYAWSTSPRHQPQGMMWPNSPSLVSGVCTARPQQMHAVSDAPNHMLNTLLPINNHHVGSAPSVNSSIWDRRHAYTGESPEVSVFHPGSLGSVQISGNSPHPLEFLPHNMFPRAGGNGMDSPVTSKSVGLPSPHQRCLMFPPRGQMISMMNSFDSPNERTRSRRNEGSSNQTDNKKQFELDIDRIVRGDDKRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMTDPSFIIPFYHALNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPNKSRGSTGEENNHEIHVSLSNGESSSHGESCGVVKDSN >itb05g00180.t1 pep chromosome:ASM357664v1:5:145847:154213:-1 gene:itb05g00180 transcript:itb05g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQMMDPQDLSPSFFSEDLRFHDESQNGFWKSNSLHNFNGLKTDRTFRVGSVPSSHFENQIPMGCQMTKGVELPNSYLHKDWKVNCSIQKQAIGSERAASHSLPRTLDHNLGTASIFSTESTSYAMERDKINILGAQHENGLFSSSLSELFSRKLQLSTNNSLYGHSMGATASHYEEEEPLESLEELEAQTIGNLLPDDDDLFAGVTDGLDCVAQPNNRDDGEDLDLFSSVGGMDLGEDSSSSGQKNSDMLLGGSIASGAGKHPLGEHPSRTLFVRNINSNVEDSELQTLFEQYGDIRTLYTVCKHRGFVMISYYDIRAALNAMKALQNKPLRHRKLDIHFSIPKDYPPEKDVNQGTLAVFNLEPSVSNDEIHRIFCVYGEIKEIRETPNKSLHKLIEFYDSRAAEAALHALNGSYIAGKQIKLEPSHPAGARQLQPFSPEMERDESGLYLQQNGYPSSLTTAYPGPCSLGGIPSSLENGTMFGSKSPSRAPLTQYLDNSLNHGMSLSPNSSLSSFVRLESVGKQSNLSETSHLLGQMNIEFRAKPNGRTCSLPEYHDGLSNGVLSNSPGAMATNINAWTSDVIDKQQFCRVGSNGHSIGLNDGVFGPTGNGSCTPSGRSYAWSTSPRHQPQGMMWPNSPSLVSGVCTARPQQMHAVSDAPNHMLNTLLPINNHHVGSAPSVNSSIWDRRHAYTGESPEVSVFHPGSLGSVQISGNSPHPLEFLPHNMFPRAGGNGMDSPVTSKSVGLPSPHQRCLMFPPRGQMISMMNSFDSPNERTRSRRNEGSSNQTDNKKQFELDIDRIVRGDDKRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMTDPSFIIPFYHALNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPNKSRGSTGEENNHEIHVSLSNGESSSHGESCGVVKDSN >itb05g00180.t6 pep chromosome:ASM357664v1:5:145996:154167:-1 gene:itb05g00180 transcript:itb05g00180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQMTKGVELPNSYLHKDWKVNCSIQKQAIGSERAASHSLPRTLDHNLGTASIFSTESTSYAMERDKINILGAQHENGLFSSSLSELFSRKLQLSTNNSLYGHSMGATASHYEEEEPLESLEELEAQTIGNLLPDDDDLFAGVTDGLDCVAQPNNRDDGEDLDLFSSVGGMDLGEDSSSSGQKNSDMLLGGSIASGAGKHPLGEHPSRTLFVRNINSNVEDSELQTLFEQYGDIRTLYTVCKHRGFVMISYYDIRAALNAMKALQNKPLRHRKLDIHFSIPKDYPPEKDVNQGTLAVFNLEPSVSNDEIHRIFCVYGEIKEIRETPNKSLHKLIEFYDSRAAEAALHALNGSYIAGKQIKLEPSHPAGARQLQPFSPEMERDESGLYLQQNGYPSSLTTAYPGPCSLGGIPSSLENGTMFGSKSPSRAPLTQYLDNSLNHGMSLSPNSSLSSFVRLESVGKQSNLSETSHLLGQMNIEFRAKPNGRTCSLPEYHDGLSNGVLSNSPGAMATNINAWTSDVIDKQQFCRVGSNGHSIGLNDGVFGPTGNGSCTPSGRSYAWSTSPRHQPQGMMWPNSPSLVSGVCTARPQQMHAVSDAPNHMLNTLLPINNHHVGSAPSVNSSIWDRRHAYTGESPEVSVFHPGSLGSVQISGNSPHPLEFLPHNMFPRAGGNGMDSPVTSKSVGLPSPHQRCLMFPPRGQMISMMNSFDSPNERTRSRRNEGSSNQTDNKKQFELDIDRIVRGDDKRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMTDPSFIIPFYHALNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPNKSRGSTGEENNHEIHVSLSNGESSSHGESCGVVKDSN >itb05g00180.t3 pep chromosome:ASM357664v1:5:145946:154204:-1 gene:itb05g00180 transcript:itb05g00180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQMMDPQDLSPSFFSEDLRFHDESQNGFWKSNSLHNFNGLKTDRTFRVGSVPSSHFENQIPMGCQMTKGVELPNSYLHKDWKVNCSIQKQAIGSERAASHSLPRTLDHNLGTASIFSTESTSYAMERDKINILGAQHENGLFSSSLSELFSRKLQLSTNNSLYGHSMGATASHYEEEEPLESLEELEAQTIGNLLPDDDDLFAGVTDGLDCVAQPNNRDDGEDLDLFSSVGGMDLGEDSSSSGQKNSDMLLGGSIASGAGKHPLGEHPSRTLFVRNINSNVEDSELQTLFEQYGDIRTLYTVCKHRGFVMISYYDIRAALNAMKALQNKPLRHRKLDIHFSIPKDYPPEKDVNQGTLAVFNLEPSVSNDEIHRIFCVYGEIKEIRETPNKSLHKLIEFYDSRAAEAALHALNGSYIAGKQIKLEPSHPAGARQLQPFSPEMERDESGLYLQQNGYPSSLTTAYPGPCSLGGIPSSLENGTMFGSKSPSRAPLTQYLDNSLNHGMSLSPNSSLSSFVRLESVGKQSNLSETSHLLGQMNIEFRAKPNGRTCSLPEYHDGLSNGVLSNSPGAMATNINAWTSDVIDKQQFCRVGSNGHSIGLNDGVFGPTGNGSCTPSGRSYAWSTSPRHQPQGMMWPNSPSLVSGVCTARPQQMHAVSDAPNHMLNTLLPINNHHVGSAPSVNSSIWDRRHAYTGESPEVSVFHPGSLGSVQISGNSPHPLEFLPHNMFPRAGGNGMDSPVTSKSVGLPSPHQRCLMFPPRGQMISMMNSFDSPNERTRSRRNEGSSNQTDNKKQFELDIDRIVRGDDKRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMTDPSFIIPFYHALNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPNKSRGSTGEENNHEIHVSLSNGESSSHGESCGVVKDSN >itb05g00180.t5 pep chromosome:ASM357664v1:5:145946:154198:-1 gene:itb05g00180 transcript:itb05g00180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQMMDPQDLSPSFFSEDLRFHDESQNGFWKSNSLHNFNGLKTDRTFRVGSVPSSHFENQIPMGCQMTKGVELPNSYLHKDWKVNCSIQKQAIGSERAASHSLPRTLDHNLGTASIFSTESTSYAMERDKINILGAQHENGLFSSSLSELFSRKLQLSTNNSLYGHSMGATASHYEEEEPLESLEELEAQTIGNLLPDDDDLFAGVTDGLDCVAQPNNRDDGEDLDLFSSVGGMDLGEDSSSSGQKNSDMLLGGSIASGAGKHPLGEHPSRTLFVRNINSNVEDSELQTLFEQYGDIRTLYTVCKHRGFVMISYYDIRAALNAMKALQNKPLRHRKLDIHFSIPKDYPPEKDVNQGTLAVFNLEPSVSNDEIHRIFCVYGEIKEIRETPNKSLHKLIEFYDSRAAEAALHALNGSYIAGKQIKLEPSHPAGARQLQPFSPEMERDESGLYLQQNGYPSSLTTAYPGAMATNINAWTSDVIDKQQFCRVGSNGHSIGLNDGVFGPTGNGSCTPSGRSYAWSTSPRHQPQGMMWPNSPSLVSGVCTARPQQMHAVSDAPNHMLNTLLPINNHHVGSAPSVNSSIWDRRHAYTGESPEVSVFHPGSLGSVQISGNSPHPLEFLPHNMFPRAGGNGMDSPVTSKSVGLPSPHQRCLMFPPRGQMISMMNSFDSPNERTRSRRNEGSSNQTDNKKQFELDIDRIVRGDDKRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMTDPSFIIPFYHALNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVNVRSRPNKSRGSTGEENNHEIHVSLSNGESSSHGESCGVVKDSN >itb05g18010.t1 pep chromosome:ASM357664v1:5:24856413:24856862:1 gene:itb05g18010 transcript:itb05g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSIAVAVLLAWTLVQGLCLLAKRSKSVGKRLPPGPVPLPIIGNLHLLGEQPHQSLARLAQKYGPVMNLKLGMINTVVISSSAMAKQALQKQDLAFSTHRAIPDALRANNHSQFSVVFLPVASFRSVIVATWHHNKFLICCFQLGCC >itb12g11040.t1 pep chromosome:ASM357664v1:12:9167869:9171204:-1 gene:itb12g11040 transcript:itb12g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHRLCFSLSPSDLKRSIPISRVPLHPLYVAQVRPYQVALAANNAINGTENGAVRFKESGEASDEEASLPAGLRQDLMPNHVAVIMDGNRRWARTRGLPVASGYEAGVQALRRLVKLCCKWEIRVLTVFAFSLDNWFRPKVEIDFLMGLFENGLNSLLEEFARAEIRISVIGDSTKLPKPLLRVLSNAVDSTKNNSRLHLIVAVSYSGQYDVVQACQSIAQKVKDGKIEPKDIDGFLIEQELQTSCTHFQYPDLLIRTSGELRISNFMLWQLAYTELFFSQSHWPDFGEAEFLEALYSFQQRQRRYGGQKS >itb06g21040.t1 pep chromosome:ASM357664v1:6:23793287:23794935:1 gene:itb06g21040 transcript:itb06g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQDSCFLSHSPFREVWTLYKATSSLPQFHRKILQLLSLSITFTFSLLRTVMALRKTLTKRLLNCKNAADAAVISSLQHSPAAAGNRLAPESAARLNFHRELLTSPDGKVGGFFRRFLHRRAIIQAAKPPEFASATVGEKLWPINISRDRLRLDGLVPPPPIPEDAIGGISVTDARKILRSYQLQKVRLRIREIPVNSVSYSDFVQICSDACNNREQGLEFAKMLDQSGSVIVLGDVVLLRPDKVAKSMEKMISESIAMPNDPRRNELEVMEKQKAMIDLKARSLVRGELYCGLGFLALQTLGFMRLTFWELSWDVMEPICFFVTTLHFGLAYSFFLRTSKEPSFEGYFQRRFKTKQQKLMKIHNFDVEKYNKLRNAFYHVGDDYHHVRGVPLTPA >itb02g09600.t1 pep chromosome:ASM357664v1:2:6177767:6178120:1 gene:itb02g09600 transcript:itb02g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLMVFIVICATAANFICCCILASRNKEAKNKRNAAGRDGNMVVLAGAGAAVAGAAAVTAVAASESNAAEEKRREADVQIDVGAIAEATGEVTVGCCCGGGGGDGSGCGGGCGGD >itb05g16390.t1 pep chromosome:ASM357664v1:5:23601579:23604611:-1 gene:itb05g16390 transcript:itb05g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDGKGNDVNGLVSVENPKSQSRLGRLFQRQCYPPHFFNKVVAEVIATYLLVFVTAGSAAISASDAHRVSQLGASVAGGLIVTVMIYAVGHISGAHMNPAVTFAFAAFRHFPWRQVPFYVAAQLTGATSAAFTLRVILNPITRIGTTTPSGDNYVQALITEIVVTFSMMFVTSAVATDTKAIGELAGIAVGSAVCITSIFAGPISGGSMNPARTIGPAIASNDYKGIWIYIVGPVCGTLLGAWCYSFIRLTDKPTHAVQPQHLPSFKLRKMKNNVEQTTADPEDPL >itb07g22930.t1 pep chromosome:ASM357664v1:7:27416278:27419343:1 gene:itb07g22930 transcript:itb07g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADGATEGSGGEASRGARGISIMDLILRVVAIVGSLGSAVAMGTTDQTLPFIIQSFRFEAQYDDFHTFKSILSSRHSIPCTQWQFLCKLACNMPTISRLLQENFCLFNWIICRHCYASSARPAVIHCPTQKPLEDC >itb04g14670.t1 pep chromosome:ASM357664v1:4:15349111:15356225:-1 gene:itb04g14670 transcript:itb04g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQSAVKPSKALEGVHGVHLVSHSPFILEEQKLHGDFHPSTCENLSIGTSNRLTVQRVWEQRPACLRPIRCCVNGDRTPAERMANVLTSLPFIALGIQAPRRNLNCKLYANSLIGVGIASSMYHASRGNLRKYLRWADYTMIATATVCLSRALRNENPKLLMAASAALLPIQPLMVSALHTGMMEVSFAKRAVQDPDLRMAHNVHKVSSLLGGALFIADDMFPETPYLHAGWHLAAAIGVSTCNKLLA >itb04g14670.t2 pep chromosome:ASM357664v1:4:15349111:15356182:-1 gene:itb04g14670 transcript:itb04g14670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQSAVKPSKALEGVHGVHLVSHSPFILEEQKLHGDFHPSTCENLSIGTSNRLTVQRVWEQRPACLRPIRCCVNGDRTPAERMANVLTSLPFIALGIQAPRRNLNCKLYANSLIGVGIASSMYHASRGNLRKYLRWADYTMIATATVCLSRALRNENPKLLMAASAALLPIQPLMVSALHTGMMEVSFAKRAVQDPDLRMAHNVHKVSSLLGGALFIADDMFPETPYLHAGWHLAAAIGVSTCNKLLA >itb02g03320.t1 pep chromosome:ASM357664v1:2:1911583:1912749:1 gene:itb02g03320 transcript:itb02g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYFHQFFHAFSFKKFSLFLSLFLLAITLTLGSTALILVFILKPHQPVFSLQAIRLDSYNLDVSNSTLFFSSVFSLTLIAGNPNKFGVGYGPTVLHLLYQSEDIGMVIVHGFYQPAHSQNLTFETEALFHCVNVSEILSGISRNSGNDPFQASIVGDVVAQVRLLHFHLPKVKLALECNISIDQGSFMYSVEALHNMKAVKNHTISLPINWQSFSKECSIAVYI >itb06g01410.t1 pep chromosome:ASM357664v1:6:2647763:2648982:-1 gene:itb06g01410 transcript:itb06g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYISTVFLSDVTFSLAHSLCLRLPHCMLDPNDALAPPLKFLGAHQPVTQNLTEEAYLFGEVISQ >itb09g04710.t1 pep chromosome:ASM357664v1:9:2633492:2635792:1 gene:itb09g04710 transcript:itb09g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKADLSHLRAVATEDAQSYAEREGLSFIETSALEATNVEKAFQMILSEIYRTISKKSLSSEEPAAAIKEGKTLVVDAQDVNAKKPCCSSS >itb12g07280.t1 pep chromosome:ASM357664v1:12:5432935:5433840:-1 gene:itb12g07280 transcript:itb12g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMIKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCISIYSARSDGSRDTKRIDQAHPVGVTAVSWAPPMVPGAIVGSGLLDLVRKLASGGCDNTVKVWKLCNGVWKMDSLPALQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb11g03030.t1 pep chromosome:ASM357664v1:11:1550236:1551457:-1 gene:itb11g03030 transcript:itb11g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKESSHRRHARDDGDRERQPLLGGAPDDRRPLPCCGCGTGWCMFISGFFLVAVPWYIAAFILICGKVGRREKPGYIASTIAAVGATGALIYGLYRLLPHLIPLIMALFGI >itb15g13220.t1 pep chromosome:ASM357664v1:15:11188973:11195219:-1 gene:itb15g13220 transcript:itb15g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRTIYVGNLPGDTREREVEDLFYKYGHIVDIDLKIPSRPPGYAFVEYEDPRDAEDAIRGRDGYSFDGHRLRVELAHGGRGSSSYDRKSTYSGRSRGGASRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVYRERDGMRGIVDYTNCDDMKYAIKKLDDTLFENQYSRAYIRVEKYDSKLSYTRSPSPYSRSRSYSRSRSPKRRSSESRSVSPRDKSRRSVSRSRSRSISPVRSISRSVSRSKSPASPAQGQKHAATSRDPSPSRSRSRSLSHPHSVSRDPSRSRSRSRSLSHPCSERAV >itb13g20600.t1 pep chromosome:ASM357664v1:13:27412906:27413221:1 gene:itb13g20600 transcript:itb13g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWQFCRLGTNLSTPNRADAPLSLTPESSASSLFGARFSGSGGRACVHGVNAGSHRGFVVGGGESRLNLDDRQRRGFV >itb01g28860.t1 pep chromosome:ASM357664v1:1:33185463:33190540:1 gene:itb01g28860 transcript:itb01g28860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEESGTGVVLEEGTSELGNGNVESSSSVQKDVEEKVDELTTGEPTEAAKKKKKKNKSKKKKEPPQQTETPSIPVVELFPSAEFPEGEIQQYKDDNLWRTTSEEKRELERLEKPIYNSIRQAAEVHRQVRKYVRQILKPGMLMIDICETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGRIVDCAFTVAFNPMFDPLLEASREATYTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGQYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDIKGSYVSQFEHTILLRPTCKEVVSRGDDY >itb02g06490.t1 pep chromosome:ASM357664v1:2:4038376:4041629:-1 gene:itb02g06490 transcript:itb02g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTTADPELEPPIITVNTVLSLLAVDYPAKKLACYVSDDGASPLTFYSLVQASNFAKLWVPFCKKYNIADEYSNICGKIVEASEESVSHELIDEFSVFANIDRRDHSAIIKVIWENKESVDNGDGVPHLIYISREKRPMHPHHFKAGAINVMSTGKEQWEMFGKSKIFSLSTTQILCGSLYPEIPIFPNSLEAAKEVASCGYESGTAWGQKVGLLYGSAAEDTKTGISIHGKGWKSAYCDPNPPGFLGSAPTSGPAALTQQKRWATGLLEILICKKSPIIWALFGRLHFRQFLAYLWIMSWPIRPFFEICYALLPAYCIINNSIPTSNQR >itb08g08920.t1 pep chromosome:ASM357664v1:8:8156899:8159544:1 gene:itb08g08920 transcript:itb08g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWATYMFTRYANMTVVQSFRGLGLTDAISGMASRNPNLLRLNLVDAWKAVVEEIGRHGIMMVLDNHVSKPMWCCADQDGNGFFGSVYFDPDEWLQGLAIVANIFKDTPMVIGMSLRNELRGPIQNVDVWYKYVEKGAKTINSANPNLLVIISGLSYDLDFRFLKQSPLKLKMMKKKKVVYEVHRYSFNEGQSKVWMGGPLNKVCHDITREMEEKAGFLVRGGKDDAAPLFVSEFGIDQMGESEADNRHLPCMLLYLADLDLD >itb08g08920.t2 pep chromosome:ASM357664v1:8:8156899:8158652:1 gene:itb08g08920 transcript:itb08g08920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWATYMFTRYANMTVVQSFRGLGLTDAISGMASRNPNLLRLNLVDAWKAVVEEIGRHGIMMVLDNHVSKPMWCCADQDGNGFFGSVYFDPDEWLQGLAIVANIFKDTPMVIGMSLRNELRGPIQNVDVWYKYVEKGAKTINSANPNLLVIISGLSYDLDFRFLKQSPLKLKMMKKKKVVYEVHRYSFNEGQSKVWMGGPLNKVCHDITREMEEKAGFLVRGGKDDAAPLFVSEFGIDQMGESEADNRHLPCMLLYLADLDLD >itb04g27450.t1 pep chromosome:ASM357664v1:4:31459559:31460484:-1 gene:itb04g27450 transcript:itb04g27450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTPLPTTNMTALNYTDDPKLDRAMVVVLASLLGALLLVVAVNAIVRCILRRIRRLSPPVPEPGAAALPPSTAAGLKEDVLEQIPVVVYKSEVDIFGTECPICLTEFNEGQNLRLLPRCSHGFHLKCIDLWLLSHSSCPTCRRPLLDDDDTP >itb12g19670.t2 pep chromosome:ASM357664v1:12:22073092:22076629:1 gene:itb12g19670 transcript:itb12g19670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLFGASQHLLNLSRSTTAALVAGSVSSILRAGARFSNTPHFPSFRTFAIMASSSSDEFVKGNVHPNGVAVITLDRPKALNAMNIDMDIRYKSFLDEWEADPKVKCVLIEGSTPRAFCAGMDIKGVVAEIQKDKTTALVPKKSLCVILPAGGDIKQITTKKQLSDIIEVFTAEYSLICKIFEYKKPYISFMDGITMGFGIGLSGHGRYRLITERTVLAMPENGIGLFPDVGFSYIAAHSPGEGAVGAYLGLTGNRISTPADALYVGLGTHYVPSSDLSALKGDLLAATLSEDPHNDIQKLLEKYSSSPDSEPRLKLLLPRIISTFGGNKAINDIMKELENHQESADTLVAEWAKDALQGLRKGAPFSLHLTQKYFSKVASARGCNNNILSKLTGVMKTEYRIALRSAVRNDFAEGVRAVLVDKDQNPKWNPSSLEEVNPSEVEAVFEPLSPEIGDLNV >itb12g19670.t1 pep chromosome:ASM357664v1:12:22073013:22076923:1 gene:itb12g19670 transcript:itb12g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLFGASQHLLNLSRSTTAALVAGSVSSILRAGARFSNTPHFPSFRTFAIMASSSSDEFVKGNVHPNGVAVITLDRPKALNAMNIDMDIRYKSFLDEWEADPKVKCVLIEGSTPRAFCAGGDIKQITTKKQLSDIIEVFTAEYSLICKIFEYKKPYISFMDGITMGFGIGLSGHGRYRLITERTVLAMPENGIGLFPDVGFSYIAAHSPGEGAVGAYLGLTGNRISTPADALYVGLGTHYVPSSDLSALKGDLLAATLSEDPHNDIQKLLEKYSSSPDSEPRLKLLLPRIISTFGGNKAINDIMKELENHQESADTLVAEWAKDALQGLRKGAPFSLHLTQKYFSKVASARGCNNNILSKLTGVMKTEYRIALRSAVRNDFAEGVRAVLVDKDQNPKWNPSSLEEVNPSEVEAVFEPLSPEIGDLNV >itb12g19670.t3 pep chromosome:ASM357664v1:12:22073013:22076923:1 gene:itb12g19670 transcript:itb12g19670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLFGASQHLLNLSRSTTAALVAGSVSSILRAGARFSNTPHFPSFRTFAIMASSSSDEFVKGNVHPNGVAVITLDRPKALNAMNIDMDIRYKSFLDEWEADPKVKCVLIEGSTPRAFCAGMDIKGVVAEIQKDKTTALVPKVFTAEYSLICKIFEYKKPYISFMDGITMGFGIGLSGHGRYRLITERTVLAMPENGIGLFPDVGFSYIAAHSPGEGAVGAYLGLTGNRISTPADALYVGLGTHYVPSSDLSALKGDLLAATLSEDPHNDIQKLLEKYSSSPDSEPRLKLLLPRIISTFGGNKAINDIMKELENHQESADTLVAEWAKDALQGLRKGAPFSLHLTQKYFSKVASARGCNNNILSKLTGVMKTEYRIALRSAVRNDFAEGVRAVLVDKDQNPKWNPSSLEEVNPSEVEAVFEPLSPEIGDLNV >itb06g23250.t1 pep chromosome:ASM357664v1:6:25298386:25300545:1 gene:itb06g23250 transcript:itb06g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSELDKPHAVCIPYPAQGHINPMLKLAKILHHKGFHITFVNTEFNHRRLERTRGPESLRGLPSFRFETIPDGLPVSDADVTQDIPSLCESTSATCLGPFKELLARLNDTAVSNAPPVSCIVSDGVMSFTVDAAEELGIPEVLFWTTSACGFLGYMHFTQLLDKGYTPLKDESYLTNGYLETELDWVKGMKGIRLRDLPSFLRTTNPDEYMLKFILQETERARRASAIVLNTFDALEHEALMALQSMLPPVYAVGPLQFLQTQVKDSNVRALATNLWKEDTSCLEWLDTKAPNSVVYVNYGSITVMTPDQLLEFAWGLANSKKPFLWIVRPDLVTGEAAIIPPEFLEETKDRGMLSSWCSQEQVLSHPAVGGFLTHNGWNSTLESTCSGVPMLCWPFFAEQQTNCYYACSKWGIGKEIDSNVKRDEVEKLMRELMEGEKGGEMKKKAMEWKKLAEEAATSSIGSSHINIDKLINLHLLPPKY >itb05g15350.t2 pep chromosome:ASM357664v1:5:22741696:22743940:1 gene:itb05g15350 transcript:itb05g15350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFPPTSAATHSLVDAPPFPPPPAFATKLRLMCSYGGHIVPRPQTNTLFYAGGETRIIAVDRLTTAFSLASLTAHLSRTLYNNRPFLLKYQLPDEGLDSLISVTTDEDLQNMLEEHDRIASSISPNPSRIRLFLFPVKPESLGSGFLDPKAESWFSDALSNTRIERRVQSTDSNLPRALIGLDFAGGFDSVSGESLVLETSSSFGSTSSFISMSSLPAFGVHGEDGTANLQDKKVRVPSSASIESDNSVGSVASQPKPAICQDSFAQVSGMAPSCCTVEPESPMANPSTMIQPQKMVQVSAYEFPQQSDGKLYKPGMQYVHGGPYYVPQYPTTTSQLSSCYPLYHVPVLPQQQQQSPYHVNQPYPIYLVPVVPNQSLNMPMQCSVNDATDIASILPPLHLHGAVIPPPVLHKETLAAKHVPEPAANMQSNLPVSAAPASAPSTKGQQSFVGPLEPKPVGATSIDTVNYCNEFDDDLSYSQIYKTQPPAPSFISQCQTITKGATVQLSESSMQQTPNDVTAQVSSLLQQS >itb05g15350.t1 pep chromosome:ASM357664v1:5:22741708:22743729:1 gene:itb05g15350 transcript:itb05g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFPPTSAATHSLVDAPPFPPPPAFATKLRLMCSYGGHIVPRPQTNTLFYAGGETRIIAVDRLTTAFSLASLTAHLSRTLYNNRPFLLKYQLPDEGLDSLISVTTDEDLQNMLEEHDRIASSISPNPSRIRLFLFPVKPESLGSGFLDPKAESWFSDALSNTRIERRVQSTDSNLPRALIGLDFAGGFDSVSGESLVLETSSSFGSTSSFISMSSLPAFGVHGEDGTANLQDKKVRVPSSASIESVGSVASQPKPAICQDSFAQVSGMAPSCCTVEPESPMANPSTMIQPQKMVQVSAYEFPQQSDGKLYKPGMQYVHGGPYYVPQYPTTTSQLSSCYPLYHVPVLPQQQQQSPYHVNQPYPIYLVPVVPNQSLNMPMQCSVNDATDIASILPPLHLHGAVIPPPVLHKETLAAKHVPEPAANMQSNLPVSAAPASAPSTKGQQSFVGPLEPKPVGATSIDTVNYCNEFDDDLSYSQIYKTQPPAPSFISQCQTITKGATVQLSESSMQQTPNDVTAQVSSLLQQS >itb15g16240.t1 pep chromosome:ASM357664v1:15:15668000:15670527:1 gene:itb15g16240 transcript:itb15g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGSNLKVVDKRVRLAELVLRCVICGLAIVGAVLIGTDSQVREIFSIRKKAKFTDMKALVFLVIANGLAAAYSLVQVVRCTVSMIRGSVLFNKPLAWAIFSGDQLMAYLSLGAVAAAAQSAVYAKIGQSELQWMRICNMYGKFCNQVGEGIASSLIVSISMIILSGISAFSLFRLYGENKSKCNGR >itb01g34440.t1 pep chromosome:ASM357664v1:1:37140800:37143511:-1 gene:itb01g34440 transcript:itb01g34440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTAITLVSSLLLFLLFFITKSIYSLLWVPLSIQRHFRNQGIGSPAFRPIYGNTAEARRKMIADAEAKPISSINHHDVLHRVAPHYYNWSTIYGKNFLYWFGPVPRLAVAEPGLVKQILQNTDGSFEKTKFNPLSKLLFGEGLVGLSGDKWALHRRITSRAFNLEYVKGWVPKMVASTRELIEKWEEKRGERDEFEVDMHRELHNLSADMISRTAFGSSFEEGKRIFELQEQQVSLVLQAIRSVYIPGFRFLPTKKNRTRWKLENETREAIRGLISQCSKSAENANSLLSLLMSPYKNQDGEDERLDTEEIINECKTFYFAGKETTANLLTWAFLLLALHQEWQEKAREEVAQTCRNKPPTAESLPDFKIASMIINETLRLYPPAVMLMRQTSKNVKLGSLDIPANTQFYLAMLAIHHDPEIWGEDSHEFNPMRFAESQKHPPAAASFFPFGLGPRICVGQNLALVEAKIVLAMIIQRYSFVVSPSYVHAPMQAMTLQPQYGAQILFTRISN >itb09g28980.t1 pep chromosome:ASM357664v1:9:29602261:29605748:-1 gene:itb09g28980 transcript:itb09g28980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMVLEVALKGDVASLKKLLEEDPLILERCMVSVYSDDTPLHVAAMLGYEDFANEILRRKPELAKELNSKQSSPLHLAAAMGHAGVVRALLLVDRGMLKGRDRDWLTPFHLAAVKGRVEVLKELMSDDDGEICSSELSEGMGMDGGKLGESILHMCVKHGQLEALKLLVEMIADRDGEAMKFLVQRSGIQVNNGKEIGEVVARRENSKEESSEKYKKKKESWVNGGSFIAGNNGISGHC >itb09g28980.t2 pep chromosome:ASM357664v1:9:29602261:29605748:-1 gene:itb09g28980 transcript:itb09g28980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMVLEVALKGDVASLKKLLEEDPLILERCMVSVYSDDTPLHVAAMLGYEDFANEILRRKPELAKELNSKQSSPLHLAAAMGHAGVVRALLLVDRGMLKGRDRDWLTPFHLAAVKGRVEVLKELMSDDDGEICSSELSEGMGMDGGKLGESILHMCVKHGQLEALKLLVEMIADRDGEAMKFLVQRSGIQVNNGKEIGEVVARRENSKEESSEKYKKKKESWVNGGSFIAGNNGISGHC >itb07g00390.t2 pep chromosome:ASM357664v1:7:274741:277779:1 gene:itb07g00390 transcript:itb07g00390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRLLGFMMGCFGYAGKPTEPKIESKAVVDDQPVVTSPRVRLSDGRFLAYRERGVPKIKSSYRIIIVHGFGSSKDMSFMASDELLDELGVYLLIYDRAGYGESDHNPKRTLKSEASDIEELADLMQLGPKYYVIGVSLGCYPAWSCLKRIPNRLAGVALVVPFVNYKWRSLPNNLTRDDYRKQLCQWVIWITRHARGLLHWWLTQSIFPSASVLDQNPQFFCEKDLEVLKNTPGYQLFTQDGLRSRKVFDSLCRDCNVAFGKWDFDPLELSNPYPKNESSVHIWQGYKDKVVPVELQRHVWERLPWIRYHEVPDGGHLLVYDTAVCEAILRSLLLGEDPLLYRPKLDS >itb07g00390.t3 pep chromosome:ASM357664v1:7:274741:277730:1 gene:itb07g00390 transcript:itb07g00390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRLLGFMMGCFGYAGKPTEPKIESKAVVDDQPVVTSPRVRLSDGRFLAYRERGVPKIKSSYRIIIVHGFGSSKDMSFMASDELLDELGVYLLIYDRAGYGESDHNPKRTLKSEASDIEELADLMQLGPKYYVIGVSLGCYPAWSCLKRIPNRLAGVALVVPFVNYKWRSLPNNLTRDDYRKQLCQWVIWITRHARGLLHWWLTQSIFPSASVLDQNPQFFCEKDLEVLKNTPGYQLFTQDGLRSRKVFDSLCRDCNVAFGKWDFDPLELSNPYPKNESSVHIWQGYKDKVVPVELQRHVWERLPWIRYHEVPDGGHLLVYDTAVCEAILRSLLLGEDPLLYRPKLDS >itb07g00390.t1 pep chromosome:ASM357664v1:7:274741:277730:1 gene:itb07g00390 transcript:itb07g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRLLGFMMGCFGYAGKPTEPKIESKAVVDDQPVVTSPRVRLSDGRFLAYRERGVPKIKSSYRIIIVHGFGSSKDMSFMASDELLDELGVYLLIYDRAGYGESDHNPKRTLKSEASDIEELADLMQLGPKYYVIGVSLGCYPAWSCLKRIPNRLAGVALVVPFVNYKWRSLPNNLTRDDYRKQLCQWVIWITRHARGLLHWWLTQSIFPSASVLDQNPQFFCEKDLEVLKNTPGYQLFTQVDNLKKKVLRLV >itb04g14420.t1 pep chromosome:ASM357664v1:4:15016851:15021240:1 gene:itb04g14420 transcript:itb04g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLKPPVGSLPSSYRLNGPSGNGSVSAMLRVNGKPSKSTTLQLKGGEEKFQAQETLPAVLRRDAMLLTAVGLALSLPMPAGALEIDVRSIFAGILEARREARRAKARFLKRKTEVDRKPKNESKAESPNAKIEVEGEENKVNVTGNDEKLKAKSDGEAAKNEGDEKEPRGDVPLLPPLLLPNLLNGTPQVAHAQ >itb10g25060.t1 pep chromosome:ASM357664v1:10:28546796:28547455:1 gene:itb10g25060 transcript:itb10g25060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNFRNIKAEKAKAIQRFRRMEMITAAFRFVEFCVFLGIICRVSAHFNVSAFKLSGEYFRGISVALISPRLVFVVGNVIFIVLFLQSGHSPEKHEKGDFYEEYMKQSGNDKNQSFYSNYNGEIKKQVKQTTACTYYAKERRMQRSQSENLKRLNCGAGEEAPGRELRRSATSVSRRKSEVATEEMSSEDFRRKVEDFIARQQRALREEEFSSAFGST >itb01g04630.t1 pep chromosome:ASM357664v1:1:3140163:3142984:1 gene:itb01g04630 transcript:itb01g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMVFTFFIFIISIHNNLSAAVDTLATNQTLSDDGGTMLVSASQTFVLGFFSPWSSRNRYLGIWVRNEPERTVVWVANNNNPISDFSGVLRLTPTGDLIISSTKNHQLLDNGNLVVKNGIRDSGFVWQSFDYPGDTLLAGMKIGWNLKTRTEWYLKSWRNQNDPSMGDFTFRIDILGLPTGILRRGSTVQFRTGTWDGRKLGQYSFVDLYMGVLKPIFVYNDETAYFMFQSVESSSISRLVVCETGQITISIWRRLKKNSGWFNIGPIQVDHCDPYGTCGNNSLCIMIPYSNCSSVYVPRNQQKGKTSKGFDCIISIGNHRAVPPGHNYLLDWAKRFNIIQGIARGIVYLHRDSCLRVIHRDLKASNILLDEDLNPKISDFGMAREFKWLYVT >itb05g03000.t1 pep chromosome:ASM357664v1:5:2411745:2414547:1 gene:itb05g03000 transcript:itb05g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIGHILIFPFPIQGHVNSMLKLAHLFDLSNFHVTFSVPSNIHARLLAHTDVNSGRFSPRFRLQSFPAGIYEGAIDSKEGFMELCDSLNRIAKPFLRECIAQKRNFSLPFTAFISDFQLSIALDVVGEECFNLPVYYFRTISACGFWAYFSLPDLFEAGELPIKENGIDSSPITKIKGMEDFLRVRDLPPFCRDEDLAVLFYTETRLAVHARGLILNTFEDLEGPILSQIRTKCPKVYTLGPLHAHLKATLASKSTHSNSLRQEDETCMAWLDSQEPKSVIYVSFGSISVITRQQLMELWYGLVNSGKKFLWVMRSDLVAGKDEETPIPLELEEGTKANGYIVGWAPQEAVLDHPAVGGFLTHSGWNSTLESIAAGVPMICWPFFADQQMNSRFVGEVWKLGLDMKDVCDRSSVEKLIRELMEKRKGEFLERAENMGKLAKQAISEGGSSYCNFDCLIQDIIKVNEDMRKPLKNVLAS >itb07g20040.t1 pep chromosome:ASM357664v1:7:24496918:24499184:-1 gene:itb07g20040 transcript:itb07g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPSSSTSSLLFSSPKFSSPSLFPLQSLSLSPSSLSSSFSISSSFTPHLTSPTRKTTLSPLRFSVRAAAQKKKVLIINTNSGGHAVIGFYFAKQLLGSGHEVTIMTVGEEASDKMKKPPFNRFSEIVEGGGKTVWGNPADVGKVLDGQVFDAVLDNNGKDLDTVKPVADWAKSCGVKQFLFISSAGIFKPTEEPPHIEGESVKADSGHATVEKYISEVFSLWASFRPQYMIGSGNNKDCEEWFFDRIVRGRAVPIPGSGMQLTNISHVRDLSSMLTLAVENPDAASGHIFNCVSERAITLDGMAKFCAQAAGKPLQIVHYDPKAVGIDAKKAFPFRNMHFYAEPRAAKAILGWEGTTNLPEDLKERFEEYVKIGRDKKEMKFELDDKILESLKVPVAAA >itb07g14190.t1 pep chromosome:ASM357664v1:7:16673125:16675848:-1 gene:itb07g14190 transcript:itb07g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMNDETTRGYFNSAQALADYAEILLYIKKEYSAHDCPIIVVGGSYGGMLASWFRLKYPHVSLGALASSAPILYFEDITPQNGYYSIVTKDFREVSESCYQTIKKSWSIIDKIASKPYGLSILRRKFKLCEDLNSSWELKDYLDWMYTGAAQYNSPPEYPVTVVCGGIDSAPKEAYILDRIHAGVVASEGNQPCYNVSVGETLASPGEDDDTWGWNWQTCSEMVFPIAKGNDSMFDPAPFNLQQNSQACIDTFGVPPRPHWVTTYYGGHDMKLILRNFGSNIIFSNGRKDPYSIAGVLENISDTILAVYTQNGSHCLDLNGERATDPNWLTEQRNKEIEIIQGWITKYYADLQTLKK >itb10g05020.t1 pep chromosome:ASM357664v1:10:5024047:5024481:1 gene:itb10g05020 transcript:itb10g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPNNTNPSIPNKRSSSCSPTTTSTAGTSGAPPPSPPPPLYFSQAMKKAKTHAVACSLDTNNNAVHFSSDPSLAANIEDPSLAADVPTSAATSGGVTANLSRKKATPPQPAKKLVIKLLKGSVFLVPGTSFNCLILVYHLLKF >itb11g18440.t1 pep chromosome:ASM357664v1:11:18774535:18781175:1 gene:itb11g18440 transcript:itb11g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTLTPTLHRNTLASTFVSLSPPLYKLTVPRLITQPRRAKIGILGKPNFTPIAAISDPHVLQIAETLEDSLASTSSPTPPLLQKLKDTSSETLLSTPWPSRKDEPFRFTDTSFLKSSEILPIQSPNLQLLSSLAVSGDTDLPIVSIIDGYIVNHSSQSSQLLPSGVFVGGLSDLKSQDILKRVSVHMSSFQGDLFWALNGVGTPDVVLIYVPEGCRVETTLHLKHFAVEGSDRESKTLPISNPRVLVMVEKGGEVGIVEEYVGGDGEKCYWTNSVVEVVIGEGGKVTHSYIQNQSLNAAHIKWTWVQQESSSIYKLIEVSTGGKLSRHNIHIQQVGSDTVTELSTFHLSVTDQTQDLHSKLVLDHPRGYSQQLHKCIVAHSSGQAVFDGNVQVNRNAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEEDQLFYLQARGIDIKSARKALVFSFAAEVVSRLPDDSIRKKVEDQIRTLLESTNPKP >itb12g10700.t1 pep chromosome:ASM357664v1:12:8827731:8829763:1 gene:itb12g10700 transcript:itb12g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSCPKPRYFLALFFLSLCFKFMSADPNLSFAFKNFGKDSNFDSLIALYGDTKVVNDSLSIEIDGSEMSPGAGRIMSKKPIKLVEGKPRKLVSFSTYFAFSLSRLSGDGLAFVMLPDGYPFNVFDGDGGSFGVLNGGNYKFLGVEFDILKDDKYGDVNGNHVGVDLSSLVSVKVGNVSSLNLELNSGEKLQSWIEYEASSKRLEVRLSKWGEIRPDYPLISCFVDLSQMWKEDEVFVGLSTSRLWNQSHKCSVYSWSFKLRAVPDWMHSQPLDPKALRVGKPEEQLSVPKRSDDCGLKILGALIFGTGCGALGTLMALFVWSVLRNRRPIVPEELTPEPKEYEYKKFQVVVDDKAIKDGKN >itb15g14250.t1 pep chromosome:ASM357664v1:15:12515199:12518400:-1 gene:itb15g14250 transcript:itb15g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQFFSLNRRFPIPIPSNAAVSPFAGFSSFSSKSNQYIVKVGIPEFLNGVGKGVETHAEKIESEIGDFQKLLVTRTLRLKRLGIPVKHRKLILKYTHKYRLGLWRPRAEPVKS >itb03g13370.t1 pep chromosome:ASM357664v1:3:13542201:13546713:-1 gene:itb03g13370 transcript:itb03g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDYVEAKMLLDCNPYLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSKNYCGQTALMQACRYGHWEIVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSSSYESVHAETIADRSESSHSKSKHDQGALAKFVNKSADGGITALHMAALNGYFECVQLLLDLHANVSAVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILIARGASRLTLNCNGWLPLHVARTWGRHWLEPLLDPNSDSIIPSFPSSNYLSLPLLSVLDIAREYGLQSSATPSDDADTCAVCLERTCSVAAEGCGHALCVRCALYLCSASNVPSELLGPPGSIPCPLCRHGIVSFVKLPGCSSKEIRLHISLSLCTPCMLHTDEQDDDHSTSSSAQNIRRNRVASVSSDIFCPATCNPFPSVAIPLCTCNEGPCPTFESRDNEAQEGSHHQSESTSEEQEKMGGVRLEKTTCSSMFWGRRSCSRENQCNAEINA >itb10g16080.t1 pep chromosome:ASM357664v1:10:22347473:22348019:-1 gene:itb10g16080 transcript:itb10g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREDEEEEGDHDREESDGGDRTAAGVINVWDCGSPLYDSFELVSVAHLIERNMRALPPPPPSGRSSAAEMDMRYRGLLARRSSGHRKRKSEESTPKVRKLKSGFYSFFATVGLIKK >itb06g14650.t1 pep chromosome:ASM357664v1:6:19162432:19163970:1 gene:itb06g14650 transcript:itb06g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIRGPTIGRGSSATVSLATAAVPGHHFAVKSTHLSSSGMLQREKDILSHFDSPYVVKCLGCDISYEHNNALYNLFLEYVPGGTLSDLAKRGRFSEAMVQFYAHQILLGIDFLHSMGFVHCDIKGQNLLVSGDYSVVKIGDLGCGRFLENGEESSSSAFSGTPAFMAPEVAKGEEQGFPADIWAFGCTVIEMATGSAPWQDMNDPVSALYRIANSGDVPEFPRWFSGEAKEFLGKCLDRDAKRRWTAAELLQHPFLRSISSNSVTFKEFVGEFVRNSPTSVMDQAVWNSVEISRSFCKSTATAGFPANRIGRLIIQAGGEGLPDWEEDGGWMTVRDNQEEELTDSEPILISILCPEDLESSIIVNEAPLFDSPDDDEISVNDRVNPRTNIVLSCENFRDAFVSWIQDFDDNIILKTSFSSKALCFLIQKHPQALIPFTPICPKYQVSDVVLDPRFFCISLYLQATKFTIA >itb04g33720.t1 pep chromosome:ASM357664v1:4:35888572:35889944:1 gene:itb04g33720 transcript:itb04g33720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPYSQLFMQVVFPAVRICGTNTWQARYPEPMLQFLDSWEKLLPPVVLHTILDNIILPKLSAAVDSWDPRRETIPIHSWIHPWLPLLGQKLETCYHTIRCRLESVLHAWHPSDMSAYYILSPWKTVFDASSWEKLMLRFIVPKLRAVMQEFQVNPANQNLDQFYWVRTWATTIPIHHMIPLMDIFFNKWQQVLYHWLCSSFHFEEVTKWFLGWMELLPPQLLANDHIQCRLFVGMDINDHIQCRLFVGMDMVNQAAKGMKVVQHMVNQAAKGMKVVQPDLGENISDLRALEKRQFETKAAAQVQQYASANLSGGLQGDGKDSGSKLSLKEIIEAHAQQNGLLFKPKPGRIHDGHQIYGFGSISIVIDSLNEKVFVPN >itb05g20860.t1 pep chromosome:ASM357664v1:5:26826282:26843022:-1 gene:itb05g20860 transcript:itb05g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTHRSTSILRKNPLFIYFSIRNSAKLRCIVDQVVPKFTVSSSLTSVLTSGNAIAAAAAAGSGTVHGAVTSAITQVAVTAVAIASGACLSTKVDCLWPKVDEQPGSLVLDGVDVTGCPIFNDPQVLKAIEFARKAHHGQVRRTGDPYLTHCLHTGKILALLVPSSGKRAVDTVVAGILHDVIDDTRESLVNIESEFDVDVAKLVASVSRLSYINQLLRRHRRLNTNEATLSHEEANKVRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPPAKAQSVAQETLAIWCSLASRLGLWALKAELEDLCFAVLQPQIFRCMRADLASMWSHSKLSNLRKLSARSSSLALKNRKNLAPLQESVESDKENISMKDTLQAVLPFDILLDKKKRIDFLNRLGTSSEMETKPKIVRDAGIALTSLVVCEEALERELFISTSYVPGMEVTVSSRLKSLYSIYCKMKRKDVAIDKVYDARALRVIVGDKDGKLHGQAVQCCYNLLNILHRLWSPIDGEFDDYIVNPKPSGYQSLHTAVQGPDNSPLEVQIRTQRMHECAEHGLAAHWLYKEAENKMPVKESVVDSETTTSSYLSTEIQDQGSVEDEVFHKYSSLKAGHPVLRVESDNLFAAVIVSVDKDGKELLVAVNVGLAASEAVADRRSSNQIKRWEAYARLYKKVSDEWWCQPGHGDWWTCLERYTLCRDGMYHKQDQFQRLLPTFIQVIELTEEEENLYWNIMSAVFEGKPVTVAVSNSSFKEKVSPIGDANSTLTNTGINNKVHLLRTMLQWEKQLRSEAGIPYKSTSSVLLGEVVVVCWPHGEIMRLMTGSTAADAARRAGHEGRSVSSLLSLSLSPLFPPSPFKRHFFNLLLLCEFLTKNMGVGVENYHVIELVGEGSFGKVYKGRRKYSGQTVAMKFIPKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALYYLHSNRIIHRDMKPQNILIGSGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALVRHIIKDPVKYPDNMSSNFRTFLKGLLNKVPQNRLTWPALLEHPFVKEIYEDADAGEIHAPEATAARGNGATCRGDGNIQSAGMNLATPESKNHVYAASGNGNVGSLHGDVCPNTPDVAIANSSPKIEPPGSNPYDAVQSGCQILDRLENNSRTVKGAKMIGQDNEALSAILLPLKSWCNRSADLCRDEDIVTSNQSLRILLNLVAAGAINSSGILDEIICELFAFTSSIIKLRSPDGIELVTKSFSVTKRFLDISGGIISGSYFRHFTTLVDLYSQVLKCLDDTSGRATFESTGCITVMLSRVAQALKVSSARLAPDVISNPSVVNETVKEVLVHAQSSVLTDLLCSCLATSGSSLIFGSSNLLRAACEACRALWALVDAFETLSLKEKGHLFPLNSLRTLSLHRLEIDDDKQGSLLVKDSTKIIDAVTKAFLRSKPIQVSLCYCLHQRVEVSLCATIQLIMRCCLHSGVVANVLCGLPSSLPVTTVVSGGGDGTIISEIFSILSFCKEASGEVPKFKLNNPGILVLHSCLLIAMIAQSLKLSGRNSALFMLTTSSKKQQSRISVLAHHFSSDAQLSCQPHCASAMLALASILSLETGSSVGTSISDLAVSLIPRTAKLCDYLKASPVEKDGINSDMFNQMFSYWHGLRDGCIGLLESRLKSGGPLAVQQSCGSGIPQILIDLLTSNLSEISSQGPDFSKDQIGLSPIGVVWSISSICLCLFGGVATFRQILLKMEHAKAISDLISDSHLKLVRNWSGPGGGKDGARDTINAVIDLLAFPFVAIQNIQNAQGLPSATASVNSGFLLNMGSPGARVCPEDKDMVKAIETHMGKYIQILLEVGVPGIILGCLEHMELKDIGKPIAFLAKMVGHRALAVQLLGKGLLDPRRMKRLLDGSCPREVIVDVLMIVSDLARMDKVFYEYIDAADIVEFLKEFLTHEDPNVRAKACSAIGNMCRHSSYFYDVLGKHQIISLLIDRCADSDKRTQKFACFAIGNAAYHSDLLYDELRRAIPQLSYLLLSAEEDKTKANAAGALSNLALLKLVADYSVLALNPSRRDSLHESPLKIALFSLAKMCAHPPCRHFLRSSELFPVIRQLQQSPESTIGNYASVIVRKAAEA >itb05g20780.t1 pep chromosome:ASM357664v1:5:26792600:26793037:1 gene:itb05g20780 transcript:itb05g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSMVAVPTNVIFLSSILGQDGPNPVHKCDWKCENEHVCGNMYRCRLTGLTHICDKNCNQRILYDNHSSLCRVSKQIFPFTPAEEQAVKGIRRKFDADSSPSDSCAFKRRRDATFHPSPFERSFSAVSPICSQVGDGMDMS >itb05g04550.t1 pep chromosome:ASM357664v1:5:4152867:4156168:-1 gene:itb05g04550 transcript:itb05g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAENYYSKDFEWEQLKQDVENDPSLLYHLTPYTNPAIGTQDLHPPPSSSLPKQDSEAWNTFHTRHSTGKFFKERRYLLKEFPEIASCDEYSIVLEVGCGNGSTALPILRGNEGIFLYGCDCSDEAVQRTKENINAANLASAEQRFYLFQCDFSASMFPQWLICNSCRESSLPERRAWSLDSNCNWKRYFPDPSCLVGNSCCIGGVDFLTLIFTLSALPIHRMPIAIHECLSVLRPGGLLLFRDYGLYDMTMLRFEPEQRVGFREYMRCDGTRSYFFCLESVRDLFCSAGFIEVELEYCCVKSVNRRNGKSMKRVWVHGKFQKP >itb05g04550.t2 pep chromosome:ASM357664v1:5:4152867:4156168:-1 gene:itb05g04550 transcript:itb05g04550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAENYYSKDFEWEQLKQDVENDPSLLYHLTPYTNPAIGTQDLHPPPSSSLPKQDSEAWNTFHTRHSTGKFFKERRYLLKEFPEIASCDEYSIVLEVGCGNGSTALPILRGNEGIFLYGCDCSDEAVQRTKENINAANLASAEQRFYLFQCDFSASMFPQWLICNSCRESSLPERRAWSLDSNCNWKRYFPDPSCLVGNSCCIGGVDFLTLVCAISVYNSIKSFTRKLLNFEYFLVYANVQIFTLSALPIHRMPIAIHECLSVLRPGGLLLFRDYGLYDMTMLRFEPEQRVGFREYMRCDGTRSYFFCLESVRDLFCSAGFIEVELEYCCVKSVNRRNGKSMKRVWVHGKFQKP >itb01g30300.t1 pep chromosome:ASM357664v1:1:34358311:34360969:-1 gene:itb01g30300 transcript:itb01g30300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSLAMSLDEVDRTMYSSFCSAANSLSQLYTQSMNQQKLSFQAGEREGLEKLYQWILRLQEGGSRVTTVDILNYLQSELNNYGEEQSMSPRPPFQNPHSQPMHVANSGFPVSLGSTGVPSVGQGIRPDHYDQQPKNYVFSNALSSPVRRSLQNYHIAQGDYYANEGPTANGIKHDEPNFQLHNRDSNGYNSNDASMDMHAESPVHEAPY >itb01g23200.t1 pep chromosome:ASM357664v1:1:29256899:29257668:1 gene:itb01g23200 transcript:itb01g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIRAMLAFIQFCIFFFIIGSSRFTSQFSKVSADYLRELSVIILTPRFVFVLGNAIVIIVVLKSGNSLDNNGSASTDNNLEHGRNHEYVYKEPRIRVSGPENKIRRSQSSENMGRVHRLKEAAAGKELRRQATFTCRKRDVAAATDEMSNEEFRRRVETFIARQQRSLREEEFSSLLVSCES >itb13g15850.t1 pep chromosome:ASM357664v1:13:22774533:22776999:1 gene:itb13g15850 transcript:itb13g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPPSQSCRRPAQVAQLDRGSKTQKTLPASASPVATLPMGSCSGNPQDPAQAVTFPSMHTRALGKLLVDANQLLTAGQ >itb04g03130.t1 pep chromosome:ASM357664v1:4:1929925:1932924:1 gene:itb04g03130 transcript:itb04g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVKWGGYHVITTLSSVALGPPQTCRSLIVNMRVCVCIYIYIYIYIYKQDLVNKKILVSRPMETQSFGEISWETDQIGRGDWRGEGRIKVVDRRKSSSSICCVITAGETSLVAAVVGEKIPAREVAGKYRPYVVGYHRHGLNKDL >itb11g09410.t1 pep chromosome:ASM357664v1:11:6277011:6277388:-1 gene:itb11g09410 transcript:itb11g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKNTELRHHNSNVDTGDVRSSPLLIMLWPTTTGAPSSPSPMNSDQSRRRTSPLPDLYAATTPRHRPRRPSWRQSQHLHRFHLSFPINDQQPHHHLRRTPSGASAEVQTTAPPPFHYYFSLSL >itb10g21810.t1 pep chromosome:ASM357664v1:10:26855612:26859262:1 gene:itb10g21810 transcript:itb10g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGEEVSIEELASNLSTYREQLQQVRALLNDDHGNSEYLDMEKELVEVIALTEELLATAKQNEDVGLGTGNSADASYDLGHLGSTSESTSFAETDKFPVGTKVQAVWSEDGEWYEGTIEAHTPNGYYVAYDGWGNKEEVDPANIRPIQGDVNPLLEAEKVAEATRQALKRKIAQAAVIDYQSKSLPAKLRIEPNDPEDVKAAKRKKIHAFKSKMRIEKLEVAQNKRQNAWQQFQSTKGQTKKVGFFSGRKRESIFKSPDDPTGKVGVTGSGKGLTEFQKREKHLHLKGANIEGSEE >itb15g23940.t2 pep chromosome:ASM357664v1:15:26849684:26852170:-1 gene:itb15g23940 transcript:itb15g23940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISFCLFLSFISFVLMRQWMKPKSGRNLPPGPRKLPLIGNMHNLVGKVPHVALRDLSKQYGKELMHLQLGEVCVVVVSSAEVAKLFLKTHDLDFASRPRILAGDEIFYDRSDLVFTPYGEYWRQMRKVCMTELLSARTVRSFSYIRQDESHHLLDRVRSSSGVGRPINIVDEITTFMTSVICRAAFGKTLKRTEDLVKVLNEIMILASSFCVADTFPSRKILHFLTGEKRRMVKVGQKTDEIMGDIIKEHRNNLGSGKTGSGESGSEDIVDVLIKLKDSDSLPMSITDDNIKAVIIDMFGGAVDTSMTTTVCAMVEMVKNPRVLAKAQAQVREVFKGKERVEESDVEKLAYLNLVIKETLRLHPPGFLLFRENSKESVVCGYTIPPRTRVLINAWAISRDPQYWEDPESFKPERFEDEPMDFTGSDFKYMPFGGGRRMCPGISFGLANVYTPLAHLLNHFDWELPHGTTPHTLKLTELPGLVMGVKNDIFFIAAPPPPTAA >itb15g23940.t1 pep chromosome:ASM357664v1:15:26849684:26852170:-1 gene:itb15g23940 transcript:itb15g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISFCLFLSFISFVLMRQWMKPKSGRNLPPGPRKLPLIGNMHNLVGKVPHVALRDLSKQYGKELMHLQLGEVCVVVVSSAEVAKLFLKTHDLDFASRPRILAGDEIFYDRSDLVFTPYGKTLKRTEDLVKVLNEIMILASSFCVADTFPSRKILHFLTGEKRRMVKVGQKTDEIMGDIIKEHRNNLGSGKTGSGESGSEDIVDVLIKLKDSDSLPMSITDDNIKAVIIDMFGGAVDTSMTTTVCAMVEMVKNPRVLAKAQAQVREVFKGKERVEESDVEKLAYLNLVIKETLRLHPPGFLLFRENSKESVVCGYTIPPRTRVLINAWAISRDPQYWEDPESFKPERFEDEPMDFTGSDFKYMPFGGGRRMCPGISFGLANVYTPLAHLLNHFDWELPHGTTPHTLKLTELPGLVMGVKNDIFFIAAPPPPTAA >itb12g03720.t1 pep chromosome:ASM357664v1:12:2432191:2435691:1 gene:itb12g03720 transcript:itb12g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDADKNDTPGSGEASVSSSENQAAPPPSKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDLKAHSKICGTKEYRCDCGTLFSRRDSFITHRAFCDVLAEESAKAQEEVVVAPSCEEEPKAASPPPPPQSPPAQQPSPPPPPPPPPVVPPATTIISSVPTVKTPELPGNTNANPNPNPNSSGSMPKQVVEETTVITSLTGSCSSSSSSSSKVSGSVFASLFASSTAPGSLQPQTSGFTDLFRPMATERVPEIGPPLSTEPISLCLAMSHGSSIFGQERRQYAPAPQPAMSATALLQKAAQMGAASSNSSLLRGLDIMSSTTSSSNPDHEFRRRQLIDTDGASLAAGLGLGLPCDGGSGLKELMLGTPSVFGPKYPTLDLLGLGMAVNGTPTSGLSALMTSIGGNLDIAGSFGSSDFTGKDTGRSS >itb10g00960.t1 pep chromosome:ASM357664v1:10:688029:690623:1 gene:itb10g00960 transcript:itb10g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAILKNPRIVVKLKRRASDLDRRLLFLILFPISLLIIASLSPFSAAVRSILGGFASPPSPSPPGNEKSAELRRSRIAVCLVGGARRFELTGPSIIQNILRVYPNSDLFLHSPLDSNAYKLSLLKAAPRIAAVKIFKPAPIDETEARTRVLTAAYSPNGIQGLLQYFNLVEGCLTMIQDQQLKSNFTYDWIIRTRVDGYWSGRLSEDHFIPGRYVVPSGSSYRGLNDRFGIGDFNTSTAALSRLSLIPQIDLAGYKLMNSECAFKAQLETQNVSYSIRHIPFCVVTNHRYEFPTSSPFYVPVAEIFSRSPLSGAKCKPCTPFCGGACVGSVMSGLEEGWGWSGWGNDTLRLCDANADWEEGWEKLFDEGVGKKLASARKRVKRLDFRRCVNDFGVMKGKTTSWVAPPLDQICQLGLQSI >itb13g19080.t1 pep chromosome:ASM357664v1:13:26085741:26087146:-1 gene:itb13g19080 transcript:itb13g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIDDEDEFLSLKLAIATQQPLGRERNKKKKRREDLVDILNYEEEVYSLLKIGEQMLNSTHKTSKENVGGHREGLHLIHLLLVAAAAVNDNNLTSAMANLSELCQNVSVLGDAIQRVSAYFAAALTARLLTQKSPFYHTIMKPPTPQEEFLAFTHLYKVSPLYQFAHFTANQAIIEAFENESQTNNNTNASLHVIDFDISYGFQWPSLIQSLSQTLLNRVSLRITGFATTLNELKETEARLLSFAKGFRNLSFEFHGLLKGSHLGNIVTRENETTAVNLSFHVNRLLTDNISETLKAVHSLRPSIVTVVEHDVCRKLPRSFLPRFMESLHYFAAMFDSLDDCLPIESHERLSIENHLGREIKSVMNFDDQRNESEIMEMWKGRGVLESGGFCEMELSSKNVMQAKLLLKIRSHSPSPSSDSSCSSSCVNGGFRVVERDDGKGISLAWQDRCLITASAWQCV >itb03g13600.t1 pep chromosome:ASM357664v1:3:13674112:13675782:1 gene:itb03g13600 transcript:itb03g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECMIPTPSPVHEAQETQIHPATALVFDSSVLRHESNIPTEFVWPDHEKPAVVPEFQVPVIDLSAFRSGDPVAIAEVCKLVNEACQKHGFFLVVNHGVDADLVSEAISVMDRFFDLPLSCKQKALRKLGEHCGYASSFTGRFSSKLPWKETLSLRFSDEKECSHIVEEYFQNKMGPDFADLGEIYQKYCNEMNKLALEITEVLGLGLGVKREHFSEFYQENDSVLRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDSVSGLQVFVDNEWRAVNPTPNAFVVNIGDTFMALSNGLYKSCLHRAVVNSEIPRRSIAFFLCPHKDKVVTPPPELVDSDHPRVYPDFKWPTFLEFTQKHYRSDIETLLSFASWLKENQAPLATQS >itb04g18220.t1 pep chromosome:ASM357664v1:4:21641434:21647483:1 gene:itb04g18220 transcript:itb04g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MAIQILFPRSLLIPIFAASLFLPLSLAEKSNMPKFAFAWLNNDGTFVAGEVATIKVIVLGSFEAGKYKYPFNPNITANDKTGNSSYISGVSSLFGTDVNSWAISFIPIMAGLFNVLITDDHFNVFDSSLHFRTTSGPFYPAAGIVSWLEGESDFVAGTKAEVVILPKDAFGNNISSADDNTLNSYNFNLSATSANGSIASFLKVTNKGWNKFGFLGLEFMVATAGSLILHIELNNQTLKGSPLPFKVFPGALDVSSCVVHWNIETQYFQLFSLMEGFIHQHDQYGNHVPGFYAFDAEIIECGTNLIMPVTDLRFTEAGVGYCNGMSSIVNGTGLNHSVAGEVARFSIYLKDAFEYPSLIELEVLQVQILHEVDPQPLQPSIHPIRMVNGSFSAGKLNLTEAHETEIAFTPPVDKHINSSGSMSFSVFDVSYTPERSGIYQIWIFCGNIPLNSGHPFRKVVSPGRVNASLSGVVKFTPKVSKLLKNNIIVQLVDSYTNPILLQQSKLKLEIASVNRSGFLTWMFVDNKDGSYTGSYLAMDTGTYEICASFDGNRFFPCPFGVAVYTICLWEDESIAFDALENDYFASDNATIYGFSKPSHGSLLQYGHLFRYTPYKGFTGNDSFSYTISDANGNLASGSVNISILSIPPQFVSYPSQLQATEDIISPRFGGFAGIEIIYSDSTENISITLSAKSGTVLLCPMLMQFWQPNQIVSSSMNKDEGKAKELTLSGSLEVVNFAIQSIQYYGNGNFNGIDTIRVSTINRNGKNDLDIPIFVEPINDPPFINVPSYVFLDQRVEEEVIFDMQKNMFEAFIGDPDLLNFPGNKSRFVVMLSMEVSSGLLSTNLPAELISTTELKLKSSYQWQPVQTFVTISKHFAVKAKGIRFRGTLDDCNSIMEQLVYHHGGENNCAVLTVTVNDMGNYGCYPDCAEMMSMPLYVEATVNIVRRRPMNAFLANGKVNVRFPSLKKEGRRRKRQRLNSQQFRNPMNAIVQAGMYLTMLLLASLAALLLPSCSQLGLPTSAKGLGKSRKWENLPTHFISLISQA >itb04g07890.t1 pep chromosome:ASM357664v1:4:5449184:5455202:1 gene:itb04g07890 transcript:itb04g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQHLLNPGPRGRRHRPRQRRRLRLERGDPPDLIIDDGGDATLLIHEGVKAEEEYAKNGTVPDPNSTNNAEYQIVLTIIRDSLKADPNKYTRMKDRLIGVSEETTSGVHRLHQMQANGTLLFPAININDSVTKRKFDNVYGCRHSVPDGLLRATDVMIAGKVAVICGYGDVGKGCAAALKQAGARVIVTEIDPICALQALVEGLQVLTLQDVVSEADIFVTTTGNKDVIMVTHMKKMKNNAIVCNVGHFDNEIDMHGLETYPGVKRITIKPQTDRFVFPETNRGVIVLGEGRLMNLGCASGHPSFVLSCSLTNQLIAQLELWKEKSSGKYENNKVYLLPKHLDEKVAALHLGKLGAKLTKLSPDQAAYISVPVQGPYKPPHYRRKETKPNASKETDTVNKETKTKTSDGEAKKDEEKGLVLMHYAHQPDFKHGLIKGSMRTQRRKIALVEGLQVLTLQDVVSEADIFVTTTGNKDVIMVTHMKKMKNNAIVCNVGHFDNEIDMHGLETYPGVKRITIKPQTDRFVFPETNRGVIVLGEGRLMNLGCASGHPSFVLSCSLTNQLIAQLELWKEKSSGKYENNKVYLLPKHLDEKVAALHLGKLGAKLTKLSPDQAAYISVPVQGPYKPPHYRY >itb08g15940.t1 pep chromosome:ASM357664v1:8:18117056:18121314:-1 gene:itb08g15940 transcript:itb08g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEVSDVSDGPVLSVINKRIRALKKKLNRISQMEDSLAKGKTLNKEQEETLRTKPAVLAGIDELEKLRQPLSAAVEEEISLSVQRHQVSAPDAPVAAAPSEESGKEPEGEVENKGESDAGVVIEDLLNLLYFGSMFDVKTLQNHFTEVMLSREHERGSCLSYDYMKDDDSIDLLGEGDLDLLSLLSGLLISRPANSSLPHKNALQKCIEHAKLWLENSTQPIEPDSNVTYAELRLKLNKIMASQYLTAAPVGLQAATMNYGAYQAPVHESVPPVDVPVQVQVHVEDIGVQYQQKEEESISSHGNETHNYQSSHVDQLHQGEVGEEDLSALPTETEAVQAELEGVQGDHLKDVKEQQNIPRRSYQNYRGGRGGGGRRGYSNGRGGGGRSRGAGGAYQNGRSHYSDQPSNYIPRNSHFRGRGGRGGHYSNHPPGAQVGNYDEEYY >itb09g18660.t1 pep chromosome:ASM357664v1:9:14598335:14600983:1 gene:itb09g18660 transcript:itb09g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLDDSSITSYFDKRAWTVASQHHNKRQMLLDLLDSKHDADKRSDGDLALQLYQSFKKCQRYLVVIDDIWSAEAWYAVKTCFPDDGNGSRVLLTTRFAEVANHICIKDNFSHQMQLLEQRESWQLFYEKTCKSRGAEFETIGRPVVEKCKGLPLAIILVAGLFSKLNTLDEWKNTANALSSSSATTLDDKECLRILLLSYNHLPHNLKACFLYLGVFPEDHEIKTNNLARLWLAKGLVKTFENESFDAMANRKLALYGISFEWEEINILSKLPRLDVLKLRWQSCIYKVPFHIEIDLELARITASNSS >itb10g05040.t1 pep chromosome:ASM357664v1:10:5038075:5041746:-1 gene:itb10g05040 transcript:itb10g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIQAIGAATTLSSPNSLDSKKFHISSRRSFPGRKGTFFVIRSDGRLSSGLNWNGKRAEQLVTSAVATKADGAAASTSSKPGHELLLFEALREGLDEEMERDRSVCVMGEDVGHYGGSYKVTKGLAEKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYVLSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLISAINENFHDYLDAPIMCLSSQDVPTPYAGVLENWTVVQPPQIVTAVEQLCQ >itb08g00720.t1 pep chromosome:ASM357664v1:8:543899:547582:-1 gene:itb08g00720 transcript:itb08g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLPAKHAAMHGGRPVCDHTNSEMVWKHGGGVMGAPAASYGGERAQKLTKVLLNVSFQNSLGPLRVVLSPENTVGDLITAAVEMYVKEKRRPLLPATHPGHYELHYSQFSLQNVWAWMIFFFMLVALIVMVVFQLMCLADLEFDYINPYDSASRINKVILPEFITHGVLCFLYLVTGHWIAALICVPYLYYNVRLYLERQHLIDVTEIFNQLNYEKKRRLWKLAYLVLLLFMSLFMLIYSALEDDDEHSQ >itb11g03460.t1 pep chromosome:ASM357664v1:11:1837929:1840624:1 gene:itb11g03460 transcript:itb11g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQFYHDHTGFNPFIGDDGDDHRNPSLYSSNLPHPEFDPSSPFVNYNVVSSSGFPTLLWSSSTRSEVVGPPSSDHHVQECSRKSSASVGQPPASSSSSEAAGGEEDSSKSSKNLEGVECEDGEDKSKKMNKGKKKEEKKQREPRFAFITKSEIDNLEDGYRWRKYGQKAVKNSPFPRSYYKCTTQKCPVKKRVERSHQDPTTVITTYEGQHNHHCPATLRGNAAAMFSSPPSFFPSSAPQPPPRDLFANQMYPAVAPHFPPPIMYDYQQSHGGHAPPQPQFDYGMFQEMVASLVQKQEHNNL >itb09g26600.t1 pep chromosome:ASM357664v1:9:27275733:27282417:1 gene:itb09g26600 transcript:itb09g26600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGLCLPDQKSVLLQIRTQITYNSSASTKLVLWDEGADCCRWPGLSCNAATGYITTLDLSDDESITGGFNVSLLYKLPSLSVIRLDWVNFSAPFPDFFTDFTNLTVLSLSYCNFSGTVPHKLFQVPTLQTIDLTYNFMLGGSLPDFPENGSLKSLTLWRTMFSGNLPESIGNLRLLSHIDLKDCHFSGPIPASIIKLSKLVELSLSFNSFSGPIPASLFFLPSLQTLSLSWNKLSGHITELRNVTSPLRYLDLSRNNLEGTIPLFFFHLQSLTSLDLSSNNFFGQPIDLQNVTSPLGYLDLSRNNLEGTIPLFFFHLQSLTSLDLSSNNFFGQPIDLQNVTSPLGYLDLSRNNVTSPLGYLDLSRNNLEGTIRYLDLSRNNLEGTIPLFFFHLQSLTSLDLSSNNFFGQPIDLQNVTSPLGYLDLSRNNVTSPLGYLDLSRNNLEGTIPLFFFHLQSLTSLALSSNKFFGQMIDLQNVTSPLEYLYLTDNNLDGTIPLLFFHLQNLTSLDLSSNKFFGQMIDLQNVTSPLQILDLSGNNLEGTIPLLFFHLQSITSLDLSSNKFFGQMIDLQNVTSPLQILDLSGNNLEGTIPLLFFHLQSITSLDLSSNKFFGQMIDLQNVTSPLQILDLSGNNLEGTIPLLFFHLQSLTSLDLSSNKFFGQMIDLQNVTSPLEYLYLTDNNLDGTIPSFLFQLQNLTVLDLSSNKFKDIVHLTNFRSQYIETLDFSNNNLVIETTISTSELPLLPQFIYLILASCNLQKIPDFLKSQSKLSWLDLSNNTISGEIPNWIWGIGNGQLYYLNLSHNSLTHMKEPMEYGSLTFLDLNSNMLSGQIPRPPPEAQYLDFSNNKFSVISLDQIPYLLSFFSIAKNRVSGKISTSWCRAANLEVLDLSHNALHGTIPSCLVQNNSILAVVNLRGNHLSASPIPESEEKESTHHVDIYISVAFGFVAVEGLIGVVRTLRFFLFVRKLKWLDGRRWCCLSFRRCLPNGKRRSCMSQAATDLQSKKPTMAEVGGGRRQCRGSGPGEGVANPAKRVREAPSVDCSSQLPNDDDDDFVEDPVVGVQRMR >itb09g01490.t1 pep chromosome:ASM357664v1:9:915379:921645:1 gene:itb09g01490 transcript:itb09g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVARQWRRVAAPCLAAVVFLLLVDSTFQQLVLNSRAERLALLQLRSSLGLRAKEWPIKADPCLGWVGVNCMNGRVVGINISGFKRTRIGSQNPRFSVDALQNFTRLISFNASFFMLPGSIPGWFGLRMSSLQVLDLTSCSIRGSLPTSLGNLSSLTQLHLSHNGLTGVIPSSLGQLSSLSVLNLSHNAFNGSIPQSFGFLRSLTLLDMSVNSLFGSIPPGIGTLSRLKFLNLSGNSLSSSIPPQIGDLSSLTDLDLSFNSLSGNVPPDLSGLRSLRRLVIENNMLSGLLPANLFAPLTQLQFLVLGHNNFTGAFPDALWSMPALQFLDASANNFTGSLPNLTSNANATTAVFNLSQNTFYGYLTTVILRFSFVDLSGNYFEGKVPDYVHSNVSLSRNCFQNVTSQRDFGECDSFYSGRGLKFDSGQPNATEPSPPPSRSKKSHRNVIILAAVLGGVVLIALIGILLLLMMICTRKRNTTNQRGTGVGPVPTGSSPPPPGLSLNLSSLGDSFTYQQILQATSDFNDENFIKHGHSGDLFRGLLEGGIPVVIKRIDLQSVKKETYMLEMDFFSKVSHPRIVPLLGHCLENENQKFLVYKYMPNGDLSSSLFKKNDSDDDSLQSLDWITRLKIAIGAAEVLSYLHHECTPPLVHRDVQSSSVLLDDKFEVRLGSLSEVCTQEADTHHNRISRLLRLQQTSDQSSSGSHSTTCTYDVYCFGKVLLELVTGRLGFSASDDSSMKEWLDRTLPYISIYDKELVTKIVDPSLIIDEDLLEEVWAMAIVARSCLNPKPSRRPLMRYILKALENPLKVVREDHTGSARLKATSSRGSWNAALFGSWRQSSSDVAAVPVVPKAEGTSGFKHSGTSGSQGSGQIGEGGNSSSTRRSKEIFPEPMDVQDVVVRPTE >itb09g01490.t2 pep chromosome:ASM357664v1:9:915379:918971:1 gene:itb09g01490 transcript:itb09g01490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVARQWRRVAAPCLAAVVFLLLVDSTFQQLVLNSRAERLALLQLRSSLGLRAKEWPIKADPCLGWVGVNCMNGRVVGINISGFKRTRIGSQNPRFSVDALQNFTRLISFNASFFMLPGSIPGWFGLRMSSLQVLDLTSCSIRGSLPTSLGNLSSLTQLHLSHNGLTGVIPSSLGQLSSLSVLNLSHNAFNGSIPQSFGFLRSLTLLDMSVNSLFGSIPPGIGTLSRLKFLNLSGNSLSSSIPPQIGDLSSLTDLDLSFNSLSGNVPPDLSGLRSLRRLVIENNMLSGLLPANLFAPLTQLQFLVLGHNNFTGAFPDALWSMPALQFLDASANNFTGSLPNLTSNANATTAVFNLSQNTFYGYLTTVILRFSFVDLSGNYFEGKVPDYVHSNVSLSRNCFQNVTSQRDFGECDSFYSGRGLKFDSGQPNATEPSPPPSRSKKSHRNVIILAAVLGGVVLIALIGILLLLMMICTRKRNTTNQRGTGVGPVPTGSSPPPPGLSLNLSSLGDSFTYQQILQATSDFNDENFIKHGHSGDLFRGLLEGGIPVVIKRIDLQSVKKETYMLEMDFFSKVSHPRIVPLLGHCLENENQKFLVYKYMPNGDLSSSLFKKNDSDDDSLQSLDWITRLKIAIGAAEVLSYLHHECTPPLVHRDVQSSSVLLDDKFEVRLGSLSEVCTQEADTHHNRISRLLRLQQTSDQSSSGIFCLKVSIC >itb09g01490.t3 pep chromosome:ASM357664v1:9:915379:921645:1 gene:itb09g01490 transcript:itb09g01490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVARQWRRVAAPCLAAVVFLLLVDSTFQQLVLNSRAERLALLQLRSSLGLRAKEWPIKADPCLGWVGVNCMNGRVVGINISGFKRTRIGSQNPRFSVDALQNFTRLISFNASFFMLPGSIPGWFGLRMSSLQVLDLTSCSIRGSLPTSLGNLSSLTQLHLSHNGLTGVIPSSLGQLSSLSVLNLSHNAFNGSIPQSFGFLRSLTLLDMSVNSLFGSIPPGIGTLSRLKFLNLSGNSLSSSIPPQIGDLSSLTDLDLSFNSLSGNVPPDLSGLRSLRRLVIENNMLSGLLPANLFAPLTQLQFLVLGHNNFTGAFPDALWSMPALQFLDASANNFTGSLPNLTSNANATTAVFNLSQNTFYGYLTTVILRFSFVDLSGNYFEGKVPDYVHSNVSLSRNCFQNVTSQRDFGECDSFYSGRGLKFDSGQPNATEPSPPPSRSKKSHRNVIILAAVLGGVVLIALIGILLLLMMICTRKRNTTNQRGTGVGPVPTGSSPPPPGLSLNLSSLGDSFTYQQILQATSDFNDENFIKHGHSGDLFRGLLEGGIPVVIKRIDLQSVKKETYMLEMDFFSKVSHPRIVPLLGHCLENENQKFLVYKYMPNGDLSSSLFKKNDSDDDSLQSLDWITRLKIAIGAAEVLSYLHHECTPPLVHRDVQSSSVLLDDKFEVRLGSLSEVCTQEADTHHNRISRLLRLQQTSDQSSSGSHSTTCTYDVYCFGKVLLELVTGRLGFSASDDSSMKEWLDRTLPYISIYDKELVTKIVDPSLIIDEDLLEEVWAMAIVARSCLNPKPSRRPLMRYILKALENPLKVVREDHTGSARLKATSSRGSWNAALFGSWRQSSSDVAAVPVVPKAEGTSGFKHSGTSGSQGSGQIGEGGNSSSTRRSKEIFPEPMDVQDVVVRPTE >itb06g16380.t3 pep chromosome:ASM357664v1:6:20537021:20539950:-1 gene:itb06g16380 transcript:itb06g16380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDTPKLYMDKPKKTLLKQQASSSSSMAAPLTSTGSRPTPPTYRPPKESFARRYKFLWPMLLTVNFSLGVMPTSELGYLCNQYFGEE >itb06g16380.t1 pep chromosome:ASM357664v1:6:20536851:20539950:-1 gene:itb06g16380 transcript:itb06g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDTPKLYMDKPKKTLLKQQASSSSSMAAPLTSTGSRPTPPTYRPPKESFARRYKFLWPMLLTVNFSLGAYILLMPKKEDDVTGKVESSQVPSESAVAANACTSPAAENK >itb06g16380.t4 pep chromosome:ASM357664v1:6:20538627:20539950:-1 gene:itb06g16380 transcript:itb06g16380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDTPKLYMDKPKKTLLKQQASSSSSMAAPLTSTGSRPTPPTYRPPKESFARRYKFLWPMLLTVNFSLGGSSSST >itb06g16380.t2 pep chromosome:ASM357664v1:6:20537653:20539950:-1 gene:itb06g16380 transcript:itb06g16380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDTPKLYMDKPKKTLLKQQASSSSSMAAPLTSTGSRPTPPTYRPPKESFARRYKFLWPMLLTVNFSLGVMPTSELGYLCNQYFGEE >itb06g15430.t1 pep chromosome:ASM357664v1:6:19782858:19784789:1 gene:itb06g15430 transcript:itb06g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASRNGCLFLFFLLSLLLPIKRSFSATDTITTALSLHDGGDETIVSSPNGTFELGFFSPDKTKNRYVGIWYKNISVRTYVWVANREAPLYNTTGILKVIKPGILVLVNGSNGVVWSTNSSRSVNDPIAQLLDSGNLVVRDADDGNPLNPENSVWQSFEYLTDTYLPGMNLGWDFVTGHETYLSSWKNHEDPAPGEFTYRVDRNGYPQNVLERRSVLQYRAGMWNGLRFTGSPNSRHSPFYRIGFVFNQKQAYFTNQLLGPVLTRAVLNQNGVLERWIWIDRTQDWILYLESPTDNCDTYKLCGAYGSCDIQTSPVCGCLDKFEPRHPEDWLRADWSGGCVRRTALNCTEGDKFLKYSGIKLPDTQKSWFNQTMTLEECKALCTKDCSCMAYSNIDIRNGGSGCLMWSDDLLDIRVIPMGGQDIYIKVAASEIVTQEGSNGKNGKIIIIILPLLIGIVLLSLILVVYCRRRKKGSELRSTGKQSNSYMF >itb01g10120.t1 pep chromosome:ASM357664v1:1:8416716:8419418:-1 gene:itb01g10120 transcript:itb01g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTADGRLPNGVVPLPDHFTPFPEPTDELFLNPTAAIHPPIFAPCKRRRSVRSRQGRNLTMFPEPEPSCGGDDASLSCNAGELGLLVQTTMNNGAATSECPVALTPASDVAVAGNGCPATEVPIELQMKSEIDAQFTNIISQARALEAELSFSSDDSDSSEGEKGPDGERGESKKQKFALFLEDMVRKVMDKQEQMHKQLIELMEKNEQERILREEDWKQQEIERAKRDAELRSQETSRSMALLSFLKNFLGEEIQVPSAQVSCPENDENSIHGQEAKHDSSNRRWPKSEVQALITVRLALDDKFQNGPKGSIWEEVAAGLATMGYTRTPRKCKEKWENINKYYKKMTKSVKSCPKLYKSCPYFRELDILYSKGLVAPVTENDVEDAKEMTLECGVNIG >itb03g09880.t2 pep chromosome:ASM357664v1:3:7667761:7674393:1 gene:itb03g09880 transcript:itb03g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFQASFFTQLELSVYKTRTRRRLAAHGNLQLYPARCAAAFVLTPRAAYLRRCYVSSCSAHNHAHDHNHAHRHHHHGDDESDEQLTKPQEVLLKFADAIRWSHLANFLREHLELCCSSAALFIAAAACPYVLPKPAVKPLQRAFAVIAFPLVGVSASLDALMDVAGGKINIHVLMALAGFASVFMGNPLEGGLLLAMFNLAHIAEEHFTRCSKVDVKELKENHPDFALLLDVNNESSPSFSDLRYQKVPVSDLKVGSFILVQAGESVPVDCEVFQGRSTITIEHLTGEVKPLAKNVGDSIPGGARNLDGMLIVKAKKTWKESMLSRIVQLTEEAQLSKPKLQRWLDKFGEQYSKAVVLLSIAVALVGPFVFKWPFISTSTCTGSIYRALGLMVAASPCALAAAPLAYVTAISACARKGILLKGGQVLDALASCHTIAFDKTGTLTTGEFMCKAIEPIHGHVRGDRKELASCCNPTCEKEALAVAAAMEKGTTHPIGRAVIDHSAGKDLPPISVESFENLPGRGIVATLSSIEAGIGGGQPLRASLGSVEYITSLYERGDELRKVQEAVRTSSYGKDFVHAALSVNDKKVTLFHFEDKPRHGVSDVIRTLQDDQKLRVMMLTGDHEASALRVAKAVGITEVHCSLKPEDKLYHVTSISRDKGGLIMVGDGINDAPALAAATVGIVLAERASAAAIAVADVLLLQDNISGVPFCVAKARQTTSLIKQNVALALSSIAVASLTSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPTGSWQDSILQLVNRLRSVIMVLRRHGNNSQSTIEAAVPS >itb03g09880.t1 pep chromosome:ASM357664v1:3:7667761:7674345:1 gene:itb03g09880 transcript:itb03g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFQASFFTQLELSVYKTRTRRRLAAHGNLQLYPARCAAAFVLTPRAAYLRRCYVSSCSAHNHAHDHNHAHRHHHHGDDESDEQLTKPQEVLLKFADAIRWSHLANFLREHLELCCSSAALFIAAAACPYVLPKPAVKPLQRAFAVIAFPLVGVSASLDALMDVAGGKINIHVLMALAGFASVFMGNPLEGGLLLAMFNLAHIAEEHFTRCSKVDVKELKENHPDFALLLDVNNESSPSFSDLRYQKVPVSDLKVGSFILVQAGESVPVDCEVFQGRSTITIEHLTGEVKPLAKNVGDSIPGGARNLDGMLIVKAKKTWKESMLSRIVQLTEEAQLSKPKLQRWLDKFGEQYSKAVVLLSIAVALVGPFVFKWPFISTSTCTGSIYRALGLMVAASPCALAAAPLAYVTAISACARKGILLKGGQVLDALASCHTIAFDKTGTLTTGEFMCKAIEPIHGHVRGDRKELASCCNPTCEKEALAVAAAMEKGTTHPIGRAVIDHSAGKDLPPISVESFENLPGRGIVATLSSIEAGIGGGQPLRASLGSVEYITSLYERGDELRKVQEAVRTSSYGKDFVHAALSVNDKKVTLFHFEDKPRHGVSDVIRTLQDDQKLRVMMLTGDHEASALRVAKAVGITEVHCSLKPEDKLYHVTSISRDKGGLIMVGDGINDAPALAAATVGIVLAERASAAAIAVADVLLLQDNISGVPFCVAKARQTTSLIKQNVALALSSIAVASLTSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPTGSWQDSILQLVNRLRSVIMVLRRHGNNSQSTIEAAVPS >itb03g09880.t3 pep chromosome:ASM357664v1:3:7667806:7674345:1 gene:itb03g09880 transcript:itb03g09880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFQASFFTQLELSVYKTRTRRRLAAHGNLQLYPARCAAAFVLTPRAAYLRRCYVSSCSAHNHAHDHNHAHRHHHHGDDESDEQLTKPQEVLLKFADAIRWSHLANFLREHLELCCSSAALFIAAAACPYVLPKPAVKPLQRAFAVIAFPLVGVSASLDALMDVAGGKINIHVLMALAGFASVFMGNPLEGGLLLAMFNLAHIAEEHFTRCSKVDVKELKENHPDFALLLDVNNESSPSFSDLRYQKVPVSDLKVGSFILVQAGESVPVDCEVFQGRSTITIEHLTGEVKPLAKNVGDSIPGGARNLDGMLIVKAKKTWKESMLSRIVQLTEEAQLSKPKLQRWLDKFGEQYSKAVVLLSIAVALVGPFVFKWPFISTSTCTGSIYRALGLMVAASPCALAAAPLAYVTAISACARKGILLKGGQVLDALASCHTIAFDKTGTLTTGEFMCKAIEPIHGHVRGDRKELASCCNPTCEKEALAVAAAMEKGTTHPIGRAVIDHSAGKDLPPISVESFENLPGRGIVATLSSIEAGIGGGQPLRASLGSVEYITSLYERGDELRKVQEAVRTSSYGKDFVHAALSVNDKKVTLFHFEDKPRHGVSDVIRTLQDDQKLRVMMLTGDHEASALRVAKAVGITEVHCSLKPEDKLYHVTSISRDKGGLIMVGDGINDAPALAAATVGIVLAERASAAAIAVADVLLLQDNISGVPFCVAKARQTTSLIKQNVALALSSIAVASLTSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPTGSWQDSILQLVNRLRSVIMVLRRHGNNSQSTIEAAVPS >itb02g01460.t1 pep chromosome:ASM357664v1:2:813999:819389:-1 gene:itb02g01460 transcript:itb02g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHHRFMMLKFCLVLLSVFSVQESAAGFYLLSWKVDSVLHHFKEAINELGYHVTGGARLVLLQDVPASPPTPISASRGGKRHSKHAPAPQQRQNRHAFPPIEGPSAHSKPAYVRHGKNQMDDSGTFPPVSSHGSHQARNKLNSHAPEPSWQENWPSISPFQSPSSVDWGSAAPLSSATVPSSHFTVPAPQPATSPTSPLTVNKITPPPFPVLALPPPPPNQDCASLKCTEPLTYMPPGSPCGCVLPIKVALRFSITLYAFFPLVPELSKEIASSISLNQSQVRILGANTVNQQLEKTIVLVNLVPADEKFSASAAFAIYDKFWKREVSLENSKFGSYEVAYVHYPGLPPSPPALPSSGVSVDDLPYPTNNNNGRTIKPLGVDVPRIRKNGIGKNMIIVIVLSSVTAFVVCIGVIWILWLKFGCCTYRPVQTPHVLISSQGKTSGAAGSMVFGSKTGSRSISFSSSLRAYAGTAKIFSTSDLERATDNFKASRILGEGGFGLVYSGVLDDGRKVAVKILKRDDRQGSREFMAEVEMLSRLHHRNLVKLIGLCPEEHCHCLVYELVPNGSVESHLHGVDKDKETSPLDWYARMKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARAALDEGNRHISTHVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTTMEGLEMLIDPTISSDIPFDSFIKFAAIASMCVQPEVSHRPFMGEVVQALKLICNEFDETREPVSRSCSHEDFSGYIGSKHGRQSHDFVEASQFYDPTFTAKVSLSASDLKSASTRLELSESESFRRQFNSAPLRMGRKRNFWQRLRSLSSGSMSEHSFSSVL >itb07g12780.t1 pep chromosome:ASM357664v1:7:14779396:14780964:-1 gene:itb07g12780 transcript:itb07g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSGGRQRPNILITGTPGTGKTTTASALAEALQLRHINIGDLVKLKNLHDGWDDELDCYVINEDLVCDELEDVMEQGGNIVDYHGCDFFPERWFDRVVVLQTENSALYDRLTKRGYTGSKLSNNMECEIFQVLLEEAKESYPEGIVSALRSDCIEDISRNVENLTNWVRSWSPSMS >itb08g13930.t1 pep chromosome:ASM357664v1:8:15436293:15439905:-1 gene:itb08g13930 transcript:itb08g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKLAFADQLSQYASPPRKKAVSGCGLGLAAAFIVASVLVLNFSVKTPFLAPVFQGLNSSWSFSFSGVRSCCNSSSSIEGRTGDFGDAHFGNFSEKLRNNGSFGSEELKNVSQIDKSVNFTTVITKGSALESNVSGSSLETGKNESIPIEGLYGLTDGVPQNSSTDNRGGLFVVENGTNAQNGSELLRNFYGDCDIYDGEWVRDDTKPYYLPGSCPYIDRDFDCYLNKRPDDKYVKWKWQPYACDIPSFNATDFLERLRGRSLVFVGDSLNRNMWESLVCMLRASIQDKKRVFEISGRSEFKKKGFYAFRFEDYNCSVDFVGSPFLVRESSFKGVNGSFDTLRLDLMDPTTSMYNDADVIIFNTGHWWTHEKTSRGEDYYQEGNHVHARLKVLEAYKRALYTWARWVDKNVDANRTEVIFRGYSSTHFRGGPWNSGGQCHNETEPIFNKKHLAKYPSKMKVLEHVLHDMRTPVMYMNISRLTDYRKEAHPSVYRPGGQSGGGVHAQDCSHWCLPGVPDTWNELLYASLLKSGRGPWGN >itb11g02650.t1 pep chromosome:ASM357664v1:11:1352651:1355191:1 gene:itb11g02650 transcript:itb11g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSVEEVRVPSLDSTSEPPHIFDGTTRLYINYQCPFAQRAWITRNYKGLQDKIKLVPLDLQNRPAWYKEKVYPENKVPSLEHNNKVIGESLDLIKYIDSNFEGPCLLPNDPEKQKFAEELIAYSDTFLKGVYGSFKGNPEKDAAGVFDHLENALGKYDGPFFLGEFSQVDIAYAPFIERFRPFILDVFKYDITSGRPRLATWIEELEKINAYKVTKCDIPKIIEFYKSRFLA >itb15g16030.t1 pep chromosome:ASM357664v1:15:15108206:15115324:-1 gene:itb15g16030 transcript:itb15g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVSTLNALKSSWGNLPPNWLGADPCGSNWEGIRCSNSRVVSITLSGIGLTGSEFGDLGSFTELQHLDLSNNIGLKGTLPSSIGNLKNLTTLILVSCSFFGQIPDSIGSLQQLVFISLTSNSFNGPIPHSIGNLSKLSWLDLSYNKLTGTIPVSNGPLPGLDKLINAKHFHLSQNQLSGTIPPKLFSPNMKLIHVILDHNQLSGEIPQTLGRVQTLEVVRLDSNTLNGSVPDNLNNLTSLNELYLSNNNLTGPLPDLSGMNALYYLDMSNNSFDASEIPPWFSNLLSLTTLHMVNTTLQGPIPVNVFSLPQLETVELSNNNLNGTLDIGSSYPKNLTVDLQNNSIVDFEQKTNYNMKMVLTGNPICKGNEAKENYCIVENNNNPFLSSDNCPNVNCGSGKIQSPNCKCSHPQTGTLHFFSYSFSNFENLTYYRTLNGSLMTAFLTSGLPVDSVSVTDPTIDVYSYLKFRVQIFPSGQDTFNRTGNSDVGSKKSSHTGIIVGVAVGASVFVVLLVCVAVYAFRQRSRANRATEKSNPFASWDRDKSGSVPQLKGARWLSFEEIQKCTHNFSDANSIGSGGYGKVYRGLLATRELVAIKRAQQGSLQGALEFKTEIELLSRIHHKNVVNLVGFCYEQGEQMLVYEYIPNGTLRESLSGKSGIQLNWMRRLNIALDAARGLAYLHELADPPIIHRDVKSNNILLDDNLNAKVADFGISKLLGDAGKGHVSTQVKGTMGYLDPEYYMTQQLTDRSDVYSYGVVLLELITARPPIERGKYIVRVVSEAMDDQKDSSKLDRVVDQILRPHRDPDGLLKFINLAMSCVRESAAERPSMGEVVREIENIIQMAGKVLTSSSSFEEGNQDIRNSNSYESYGWL >itb01g34350.t1 pep chromosome:ASM357664v1:1:37099969:37102100:1 gene:itb01g34350 transcript:itb01g34350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEGGGSAASLSGGGGEGGVGGGSSGRRKPSWRERENNRRRERRRRAIAAKIYAGLRAQGNYNLPKHCDNNEVLKALCTEAGWIVEPDGTTYRKGCKPIPMEIGGTSANITPSSSRNPSPPSSYFASPIPSYQASPLSSACPSPTRGNANISPHPFVFLHNSIPSSLPALRISNSAPVTPPLSSPTRVPKQTFNLEALAKDSMSAFNISFFASAPTSPTRGHRFPATIPECDESDTSTIDSGQWMSFQKYAPSVVPTSPTFNLMKPVAQQIPSSDAMFTEEGKSMEFDFEKVAMKAWEGERIHEVGLDDLELTLGSGNARS >itb03g03500.t1 pep chromosome:ASM357664v1:3:2039905:2045285:-1 gene:itb03g03500 transcript:itb03g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQISSQKKKTGVVGPKRFVQKLKKQNEIFRSYMHQDAHEFLNYLLNELVDILEKESNAVKGDQESSSPTEKIANGPKTVLVNGAQKKPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSIDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLNNTVEDADTEYSLFAVVVHIGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYERVSQGATS >itb04g11740.t1 pep chromosome:ASM357664v1:4:11388298:11391622:-1 gene:itb04g11740 transcript:itb04g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKALSLFFRLLLLINLLSVSFCDDVAVMSKLLAALSPTPSGWSTSTHPCTWDYVECQSGNVVIISLASQSISGELPSELTHLASLRSLSVRQNFLSGRLPSFANMSRLERLNLDSNEFSSIPQDFLLGLPNLRIFNVSDNHKLSPWQIPSYLTQSTPPLESFSASNASITGVIPNFFDSFPNLQNLELSYNNLTGSLPGSFGRSEIQNLWLNNQKQGLSGTIDVLSSMTQLSQVWLHANAFTGPIPDLSKCVNLFDLQLADNQLTGVVPVSITALPKLVNINLQNNNLQGPMPEFGNNVKINVFGNSFCIGAPGPCDSKVTALLAVAEGLGYPITLAQSWVGNDVCFDWAFISCEAPGNVITVNLQKHHFSGTISPALANLTSLRNLYLNDNNLTGPIPESLTTLRNLKVLEVSNNNLSGPIPVFPSSVNFSHGGNLFLGKNVSTGGGSPGSGRNSNGSSISAEIKIVVGVISFIVGMVMAFGSYKYSKKRLHKMMVSEKGTAASTEIKKRDIVDGGRNFSAHEDGNIAIPIQVLEKATNFFSEENVLGSGGYGVVYLGELDDGTKVAVKKMKDGATHTKGMNEFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQGTLGHHLFEWEKHGFDPLTWKQRVTIALDVARAIEYLHSLAHQSFIHRDIKSSNILLSDDMRAKVADFGLVRKAPNDKSSFETQVAGTFGYLAPEYATTGRATNKVDVYAFGVVLMEIITGKKAVDETLPDETCHLVTWFHKIIRKSHNLKNTIDRTFDLDDQTFESISKVAELAAHCTADKYFLRPTMEHVVNVLGPFANKWKPLRPEEIEKKYGGLDIHMSLPLAFEYSSIESLSFTEAKLNGHRLNQSAHF >itb07g06360.t1 pep chromosome:ASM357664v1:7:4508753:4516060:-1 gene:itb07g06360 transcript:itb07g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIQVFGFPELVSADVTKQCLEEHTGKETIFALQMKMTKGTNAKPFAIVQFKTEESAEQILDLANNRHKTLYYGESLLTAKKVETPIVEPRIYAHEIDTTPVCFGCQISEDRFCVLWRKANVGVKFGIGFKYVSLFLFYDNDQYKFLLSYEDIWRVTQHFPRGKNSKFLVIQLFAAPRIYKKTEPEDSVNTFYLEAPEDQWVRTRDFTQNCTIGQSTALCLQLPSNAYLPNFHHSFANYSETKTPFYLDDGSSFSSNSSLVPIVNPPSRLDLPFKIVFKISSLVQHGCIPGPALDEKFFQLLDPKRFEVERIEYALEKMQRLKDCCYDPAKLLTQEYNRFKQQRKKPARSMDEGIAYVRRVSVTPIRVYFFGPEANMSNRVLRQFREDIDRFLRVSFVDEDLEKMHSVDLCSRPSSAGDVSRTEIYKRILTTLENGIVIGDRKFEFLAFSSSQLRENSCWMFASRSGLTAADIRAWMGEFQNIKNVAKYAARLGQSFGSSRETSSVESYDLELIPDVELQKDDGTKYTFSDGIGKISAEFAKKVAEKCGLKKPPSAYQIRYGGYKGVVAVDPTSTRKLSLRKSMLKYESGNTKLDVLSWSKYQPCYLNRQIITLLSTLGISDEVFERKQREVVSQLDDMLTDPNKAQEFLELMPPGEHANVLIEMLRCGYKPNVEPFLSSMLQTVRGTKLQELRTKTRIFIPDGRSMMGCLDETGTLDYGEVFIQYSGAGRRHPHLTGVSRYNSDGIILGPVVVAKNPCYHPGDVRVLKAVDVRGLHHMVDCIVFPQKGNRPHPNECSGSDLDGDIYFVCWDPDLIPKRIVSPMDYTPAPVIQLDHDVTIQEIQKYFADYILNDNLGVISIAHVVFADKEPDMALSEPCLELARIFSVAVDFPKTGVPAEIPSHLRVKEYPDFLDKPGKPFYESKRVIGKLFRQVKDISANWSFISPFTLSVAKKSYDRAMEVDGFKDYIQEAFDYKTRFDEKLVSLMDYYGIKTEAELLTGRVNDPAARLYDRRRDGEAVILAVKSLRKEARSWFYDHHPRGGAGRGESSELRKKQRASAWYHVTYHHSFWGKYGEGRNHEHFISFPWCVYDVLIKIKMENPGSIWQSLNFTSFEWLCNIC >itb07g06360.t2 pep chromosome:ASM357664v1:7:4508753:4516060:-1 gene:itb07g06360 transcript:itb07g06360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTIQVFGFPELVSADVTKQCLEEHTGKETIFALQMKMTKGTNAKPFAIVQFKTEESAEQILDLANNRHKTLYYGESLLTAKKVETPIVEPRIYAHEIDTTPVCFGCQISEDRFCVLWRKANVGVKFGIGFKYVSLFLFYDNDQYKFLLSYEDIWRVTQHFPRGKNSKFLVIQLFAAPRIYKKTEPEDSVNTFYLEAPEDQWVRTRDFTQNCTIGQSTALCLQLPSNAYLPNFHHSFANYSETKTPFYLDDGSSFSSNSSLVPIVNPPSRLDLPFKIVFKISSLVQHGCIPGPALDEKFFQLLDPKRFEVERIEYALEKMQRLKDCCYDPAKLLTQEYNRFKQQRKKPARSMDEGIAYVRRVSVTPIRVYFFGPEANMSNRVLRQFREDIDRFLRVSFVDEDLEKMHSVDLCSRPSSAGDVSRTEIYKRILTTLENGIVIGDRKFEFLAFSSSQLRENSCWMFASRSGLTAADIRAWMGEFQNIKNVAKYAARLGQSFGSSRETSSVESYDLELIPDVELQKDDGTKYTFSDGIGKISAEFAKKVAEKCGLKKPPSAYQIRYGGYKGVVAVDPTSTRKLSLRKSMLKYESGNTKLDVLSWSKYQPCYLNRQIITLLSTLGISDEVFERKQREVVSQLDDMLTDPNKAQEFLELMPPGEHANVLIEMLRCGYKPNVEPFLSSMLQTVRGTKLQELRTKTRIFIPDGRSMMGCLDETGTLDYGEVFIQYSGAGRRHPHLTGVSRYNSDGIILGPVVVAKNPCYHPGDVRVLKAVDVRGLHHMVDCIVFPQKGNRPHPNECSGSDLDGDIYFVCWDPDLIPKRIVSPMDYTPAPVIQLDHDVTIQEIQKYFADYILNDNLGVISIAHVVFADKEPDMALSEPCLELARIFSVAVDFPKTGVPAEIPSHLRVKEYPDFLDKPGKPFYESKRVIGKLFRQVKDISANWSFISPFTLSVAKKSYDRAMEVDGFKDYIQEAFDYKTRFDEKLVSLMDYYGIKTEAELLTGRVNDPAARLYDRRRDGEAVILAVKSLRKEARSWFYDHHPRGGAGRGESSELRKKQRASAWYHVTYHHSFWGKYGEGRNHEHFISFPWCVYDVLIKIKMENPGSIWQSLNFTSFEWLCNIC >itb03g15200.t1 pep chromosome:ASM357664v1:3:14601211:14603196:-1 gene:itb03g15200 transcript:itb03g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLLYAIIANLLLRRAPTAAANITAVFAFGDSILDSGNNNHLVTAFRADHRPYGQDLPEKTPTGRFSDGKLTTDFIVSLLGLKEMLPPYLDPALNDTGLLTGASFASAGSGLDDLTARAVNVLTMGDQLKLFEKGLERIRRKVGAKRGRHHVVGNGLFVIAVGSNDVARSYYGLPFMKTFTTSGYHDFLLKNFESFILRLYKMGARKFGLSGLPPVGCLPIQMTLNLSPANFLKRVCVNQQNVDSQAYNTKLQALISRLQVRLKGSKIAYFDAFKPMMDMINNPQKYGERNLSYNDKLLL >itb14g00980.t1 pep chromosome:ASM357664v1:14:743889:744710:-1 gene:itb14g00980 transcript:itb14g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSTVHYWLVDHPVIRQYEWKQGQTLGASPLFVVVTLISYPSVTLFLHRFAVLPDLSTAAAFRHVAAVHSMILCLLSLAMAVGCTLATHHQMPSWRWVVCFPVDPATLSRGPVFFWGYVCYLSKILEFIDTLLILLGGSRSRRRLSFLHVFHHAGVVVAGYLILSTAQSMLPVALVTNAAVHVLMYAYYFLCALGHRPRWKKLVTDCQIIQFVFGLVMSGLMLYYHFTGLGCSGVRALFYNTAFVAVLLTMFLDFHSTSYSSKKRKDHDN >itb13g01320.t1 pep chromosome:ASM357664v1:13:1279800:1282299:-1 gene:itb13g01320 transcript:itb13g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLGEGEVFIVREEDVSSILVFPRGGGVITRRDRYVKSKLLTARRKKFEKQQHDVSLAEVEQLIREDLYGIVFHHLDDVATISQQNWCRYLIEELVSTHAMWMAGGNPRYGELEFPCVVSSDAMPEGGRLSADGGVDLRDAAQVLTGLKPHPSIPESTDEPCSQPTFTQFDDEFWGNADNIRPLAEVERVILEKPNLKDFSSFSLGLTQEFGRDAWGDVSNIARVVPRVGSTSVCPAPRKDNRTARTVMGSIHPGGVHSIASMPSLDLLDDVNFSKWVLEYGSDDLHEFLFSYSGRTVSRDDIRSLAPGAEVSFGVINA >itb13g22940.t1 pep chromosome:ASM357664v1:13:29086592:29087497:-1 gene:itb13g22940 transcript:itb13g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLYRRLCTLLATSNHNPFLLHRNLTNPFAIFERNPKSLSVPKQRFQPPSPATHRHISYTSRMSYARRSEAVPIPSPDTANKAEVIRALEAALGSPFSSDPLAPNPNPLIVVISGPSGVGKDAVIKRLREVKENIHFVVTATSREKRAGEEDGKDYYFVSKEEFVSMIERNELLEYALVYGDYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLRKILGNAAVFVFLVAESEEALVKRLIDRKTETRETLLVRIATAREEVRHMKSFDYVVVNREGELESSVKLVETIIDAEKARVAQRNATI >itb09g06670.t1 pep chromosome:ASM357664v1:9:3829994:3831824:-1 gene:itb09g06670 transcript:itb09g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGDNVRLVSTWYERGMKDEKIENAASGVKPNHRGKKVRGCFFEVSRKAPTPFRAASILFPAFFLPSFFLFVGSAFYPSGNLSALNLFTFNSEREESSFCSSTESPSSRSDLGVEEEV >itb01g31230.t1 pep chromosome:ASM357664v1:1:35056459:35057037:1 gene:itb01g31230 transcript:itb01g31230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWNFIAILFLALANFLSPTMAVKKDTNLNSLSPTMAVKKDTNLMLWGCSASTDRELCLSLLRSDPRSYDAKGYTELGKIIVEFALAKAEETLSFVKSLENKTTDKAVGTSLAVCRSLYDLIIRERIPSALETSTESEEDATRIMGEAVLDDENCEKEFSKNHVKSVLTKRNNDFSDIVYIAQSLWFLVWG >itb12g20390.t1 pep chromosome:ASM357664v1:12:22861073:22862752:-1 gene:itb12g20390 transcript:itb12g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIDEPCVVGLSKVAVSDTHGEDSPYFAGWKAYDEDPYDEIQNASGVIQMGLAENQVSFDLLEEYLDKNAEETTRKGISGFRENALFQDYHGLLSFRKAMASFMEQIRGGRARFDPERVVITAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVKIVPVHCDSSNNFQITKTALEAAYDEAESNGIKVRGILITNPSNPLGATIQRSILEQVLDFATAKNIHLVSDEIYSGSAFSSSEFISIAEILEARSYRDSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVKTARRMSSFTLISSQTQQLLATMLSDKMFTENYIKTNRERLRKRYEMIVEGLKSAGIACLKGNAGLFCWMNMSPLLDEPTRECELKVWKEILREVKLNISPGSSCHCTEAGWFRVCFANMSEQTLEIALKRIHDFMERRRRTHNE >itb01g22180.t1 pep chromosome:ASM357664v1:1:28114703:28118099:-1 gene:itb01g22180 transcript:itb01g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQDSLMSTKFHVLFLLIMMATLLGFGHGLNRIDQARRIMWLRRAKMQLHHGIPVETEPWSEEEMVSSFSDVGSMEDDLIEGGLPGQPSNVKFNQYAGYVNVDKMNGRSLFYYFAESADSPDTKPLILWLNGGPGCSSLGVGAFVELGPFGVNPDGKTLYSRRFAWNKVANTLFLESPAGVGFSYSNTSSDYDKSGDRRTAKDAYKFLVNWFKRFPHYKTRDFYIIGESYAGYYIPELADVIVKRNMMPKTTLKIPLKGIMIGNGIMNDDTDVRGGYDYLWSHALISDETHRGLIEHCVVNFSIKCEHFERAAGMEIGSIDFYNIYGPLCLDSESSRKVKRRLGFDPCEEDYVYSYLNLPKVQKALHANNTKLNYTWEVCSEVITYWKDRASTMFPIYRRLIASGQKILLFSGDVDSVVPVTSTRYSVNAMNLKVIKPWHPWEDGTKEVGGYKVVYEGLTFATVRGAGHEVPQFKPRSALALLNMFLATNHH >itb01g22180.t2 pep chromosome:ASM357664v1:1:28115134:28118099:-1 gene:itb01g22180 transcript:itb01g22180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQDSLMSTKFHVLFLLIMMATLLGFGHGLNRIDQARRIMWLRRAKMQLHHGIPVETEPWSEEEMVSSFSDVGSMEDDLIEGGLPGQPSNVKFNQYAGYVNVDKMNGRSLFYYFAESADSPDTKPLILWLNGGPGCSSLGVGAFVELGPFGVNPDGKTLYSRRFAWNKVANTLFLESPAGVGFSYSNTSSDYDKSGDRRTAKDAYKFLVNWFKRFPHYKTRDFYIIGESYAGYYIPELADVIVKRNMMPKTTLKIPLKGIMIGNGIMNDDTDVRGGYDYLWSHALISDETHRGLIEHCVVNFSIKCEHFERAAGMEIGSIDFYNIYGPLCLDSESSRKVKRRLGFDPCEEDYVYSYLNLPKVQKALHANNTKLNYTWEVCSEVITYWKDRASTMFPIYRRLIASGQKILLFSGDVDSVVPVTSTRYSVNAMNLKVIKPWHPWEDGTKEVGRA >itb02g04020.t2 pep chromosome:ASM357664v1:2:2396378:2401517:1 gene:itb02g04020 transcript:itb02g04020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKERTMEATPTWAIAVVCFVLIAISIAIEQLFHHLGALLLRKQKKALHESLDKIKTELMLMGFISLLLTIFQDPVSNICVPKSVGNSWHPCDKIKDPSKIKDPCKAKGKVQFASAYAIHQLHIFIFALAVTHVVYCITTWGLGKLKMRSWKDWEHETKTLDYQFEHDPERFRFARDTSFVQRHSHFWNKSSIFLWMACFFQQFFGSVAKVDYITLRHGFVMAHLPPQNRPNFDFQMYISLALEEDIKEVVEISPVLWLFAVLSFLTNTNGWYSYLWLPFIPLLIILVVGAKLQMIITNLGMRIEESGDVVKGAPVVETGDNLFWFNRPSFLLFLIHFVLFQVQFNSFPLITSFNTLNTRFEEFVNFLSLFLHCRMHSKLLSFSGAGTSLDYLLASTRMLQT >itb02g04020.t3 pep chromosome:ASM357664v1:2:2396378:2401517:1 gene:itb02g04020 transcript:itb02g04020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKERTMEATPTWAIAVVCFVLIAISIAIEQLFHHLGALLLRKQKKALHESLDKIKTELMLMGFISLLLTIFQDPVSNICVPKSVGNSWHPCDKIKDPSKIKDPCKAKGKVQFASAYAIHQLHIFIFALAVTHVVYCITTWGLGKLKMRSWKDWEHETKTLDYQFEHDPERFRFARDTSFVQRHSHFWNKSSIFLWMACFFQQFFGSVAKVDYITLRHGFVMAHLPPQNRPNFDFQMYISLALEEDIKEVVEISPVLWLFAVLSFLTNTNGWYSYLWLPFIPLLIILVVGAKLQMIITNLGMRIEESGDVVKGAPVVETGDNLFWFNRPSFLLFLIHFVLFQYKFGLPSCFHKNVADIAIRLSMGVIIQILCSYVTLPLYALVNQMGSCMKPVIFGDNVASALKNWRHAAKHRAKHGHGRRSEHASPLHGSPVHLLSDYQRNVADHDADDLVWANSGGTSGEPHRNLSQEKDPAAGAMEISMK >itb02g04020.t1 pep chromosome:ASM357664v1:2:2396378:2401517:1 gene:itb02g04020 transcript:itb02g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKERTMEATPTWAIAVVCFVLIAISIAIEQLFHHLGALLLRKQKKALHESLDKIKTELMLMGFISLLLTIFQDPVSNICVPKSVGNSWHPCDKIKDPSKIKDPCKAKGKVQFASAYAIHQLHIFIFALAVTHVVYCITTWGLGKLKMRSWKDWEHETKTLDYQFEHDPERFRFARDTSFVQRHSHFWNKSSIFLWMACFFQQFFGSVAKVDYITLRHGFVMAHLPPQNRPNFDFQMYISLALEEDIKEVVEISPVLWLFAVLSFLTNTNGWYSYLWLPFIPLLIILVVGAKLQMIITNLGMRIEESGDVVKGAPVVETGDNLFWFNRPSFLLFLIHFVLFQNAFQVAFFFWSWYKFGLPSCFHKNVADIAIRLSMGVIIQILCSYVTLPLYALVNQMGSCMKPVIFGDNVASALKNWRHAAKHRAKHGHGRRSEHASPLHGSPVHLLSDYQRNVADHDADDLVWANSGGTSGEPHRNLSQEKDPAAGAMEISMK >itb02g04020.t5 pep chromosome:ASM357664v1:2:2396378:2401517:1 gene:itb02g04020 transcript:itb02g04020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKERTMEATPTWAIAVVCFVLIAISIAIEQLFHHLGALLLRKQKKALHESLDKIKTELMLMGFISLLLTIFQDPVSNICVPKSVGNSWHPCDKIKDPSKIKDPCKAKGKVQFASAYAIHQLHIFIFALAVTHVVYCITTWGLGKLKMRSWKDWEHETKTLDYQFEHDPERFRFARDTSFVQRHSHFWNKSSIFLWMACFFQQFFGSVAKVDYITLRHGFVMAHLPPQNRPNFDFQMYISLALEEDIKEVVEISPVLWLFAVLSFLTNTNGWYSYLWLPFIPLLIILVVGAKLQMIITNLGMRIEESGDVVKGAPVVETGDNLFWFNRPSFLLFLIHFVLFQYKFGLPSCFHKNVADIAIRLSMG >itb02g04020.t4 pep chromosome:ASM357664v1:2:2396378:2401517:1 gene:itb02g04020 transcript:itb02g04020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKERTMEATPTWAIAVVCFVLIAISIAIEQLFHHLGALLLRKQKKALHESLDKIKTELMLMGFISLLLTIFQDPVSNICVPKSVGNSWHPCDKIKDPSKIKDPCKAKGKVQFASAYAIHQLHIFIFALAVTHVVYCITTWGLGKLKMRSWKDWEHETKTLDYQFEHDPERFRFARDTSFVQRHSHFWNKSSIFLWMACFFQQFFGSVAKVDYITLRHGFVMAHLPPQNRPNFDFQMYISLALEEDIKEVVEISPVLWLFAVLSFLTNTNGWYSYLWLPFIPLLIILVVGAKLQMIITNLGMRIEESGDVVKGAPVVETGDNLFWFNRPSFLLFLIHFVLFQNAFQVAFFFWSWYKFGLPSCFHKNVADIAIRLSMG >itb14g10590.t1 pep chromosome:ASM357664v1:14:12016421:12023449:1 gene:itb14g10590 transcript:itb14g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPDEYSSAKSEEDDAQKEVDQDAGALFVLKSKGSWWHCGYHLTTSIVAPPLLSLPFAFALLGWAAGIVCLVVGAAVTFYSYNLMSHVLEHNAQKGRRLLRFRDMATHIMGPRWGRYFVGPIQLMVCYGVVIGNTLLGGQCLKTIYLLWNPNGSIRLYEFVTIFGVVMLILAQMPSFHSLRYINLVSLILTLCYSACATVGSIYIGVSSKGPRRDYSLSGDNETRIFGIFNAVAIISTPYGNGMIPEIQATLAPPVKGKMFKGLCICYAVVLSTFFSVAISGYWAFGNQSEGLILSNFTQNGKNLVPKAFIFITNLFIIMQLFAVTVVYLQPTNEMLERMFGDPRSGEFSSRNVIPRVISRSLSVVVATTISAMLPFFGDINAVIGAFGFMPLDFVLPVVFFNLTFKPSKRSGVFWLNLSIAVVFSILGVIAAVAAVRQIILDAKTFRLFANV >itb11g10250.t1 pep chromosome:ASM357664v1:11:6982106:6982426:-1 gene:itb11g10250 transcript:itb11g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFDCAVWGRVVSDDECVVVAGVFFCGGGRVWSGDIGVLVRRGMGWGVGLHMGDLEYCGGGGMVISGGVCCFRAVTVCAARVGWQGRWFCSAFLFIYFLFGFTA >itb08g12190.t1 pep chromosome:ASM357664v1:8:12276785:12284275:-1 gene:itb08g12190 transcript:itb08g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGYNFSDLIREAQGRWLKPAEVLFILQNHENHQIAHEPPQKPGSGSLFLFNKRVLRFFRKDGHSWRRKRDGRTVGEAHERLKVGSAEALNCYYAHGEQNPNFQRRSYWMLDPAYEHIVLVHYRDISETQGRQNAGFMSQFSPVSSTSSQSPSPYSTQYAGSSVVVNESYEPYSSPGSVEISSHAATTSNGISQSSVREGVDEVSSSSAREMSLALRKLEEQLSLNDDSFKEIDPLYNDIDNSDGVQNILDDHRTFTRMPEDSNSLLLQQHSGYLSEHNHQIPGYEVDPWKEALEGDGNYLDSKLYGKYVYTDDKNMLLAREPVETPENMWFNFDGKEAQNHSITIPQQVEDFKYPLYSPAVNTYRTDPIQYTTLFNQGQIGISLEADLGLTIAQKQKFTIKEISPDWGYATAATKVVIIGSFLCDPSESEWVCMFGDVEVPVQIIQEGVIRCQAPPHLPGKVNLCITTGNRESCSEVREFEYRVESTSKINSTVPETQCTNKSPEELLLLIRFGQMLLSDGSNGNFDSSGLGNDYLEKVKAGEDSWSQVIEALLVGSSTTNLTIDWFLQELLKDKLQQWIFSKFQGKTNSSDCMLSRKEQGIIHMVAGLGFEWALHPILNAGVSVNFRDIAGWTALHWAARFGREKMVAALIASGASAGAVTDPTKQDPIGKTPAAIAANCGHKGLAGYLSEVALTSHLSSLTLEESELSKGSADVEAEKTIVSVSTTSATTNEDELSLKHTLAAVRNAAQAAARIQSAFRAHSFRKRQQKEVVSFAAGDEYYTLSNDIQGLSAASKLAFRSTRDYNSAALAIQKKYRGWKGRKDFLTFRQKVVKIQAHVRGYQVRKQYKVCWAVGVLEKVVLRWRRRGVGLRGFRHETDSIDETEDEDILKVFRKQKVDATIDEAVSRVLSMVESPEARQQYHRILEKYRQAKAELQGVETEATSSHDPSISSMENDDIYQYA >itb08g12190.t2 pep chromosome:ASM357664v1:8:12276785:12284275:-1 gene:itb08g12190 transcript:itb08g12190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGYNFSDLIREAQGRWLKPAEVLFILQNHENHQIAHEPPQKPGSGSLFLFNKRVLRFFRKDGHSWRRKRDGRTVGEAHERLKVGSAEALNCYYAHGEQNPNFQRRSYWMLDPAYEHIVLVHYRDISEGRQNAGFMSQFSPVSSTSSQSPSPYSTQYAGSSVVVNESYEPYSSPGSVEISSHAATTSNGISQSSVREGVDEVSSSSAREMSLALRKLEEQLSLNDDSFKEIDPLYNDIDNSDGVQNILDDHRTFTRMPEDSNSLLLQQHSGYLSEHNHQIPGYEVDPWKEALEGDGNYLDSKLYGKYVYTDDKNMLLAREPVETPENMWFNFDGKEAQNHSITIPQQVEDFKYPLYSPAVNTYRTDPIQYTTLFNQGQIGISLEADLGLTIAQKQKFTIKEISPDWGYATAATKVVIIGSFLCDPSESEWVCMFGDVEVPVQIIQEGVIRCQAPPHLPGKVNLCITTGNRESCSEVREFEYRVESTSKINSTVPETQCTNKSPEELLLLIRFGQMLLSDGSNGNFDSSGLGNDYLEKVKAGEDSWSQVIEALLVGSSTTNLTIDWFLQELLKDKLQQWIFSKFQGKTNSSDCMLSRKEQGIIHMVAGLGFEWALHPILNAGVSVNFRDIAGWTALHWAARFGREKMVAALIASGASAGAVTDPTKQDPIGKTPAAIAANCGHKGLAGYLSEVALTSHLSSLTLEESELSKGSADVEAEKTIVSVSTTSATTNEDELSLKHTLAAVRNAAQAAARIQSAFRAHSFRKRQQKEVVSFAAGDEYYTLSNDIQGLSAASKLAFRSTRDYNSAALAIQKKYRGWKGRKDFLTFRQKVVKIQAHVRGYQVRKQYKVCWAVGVLEKVVLRWRRRGVGLRGFRHETDSIDETEDEDILKVFRKQKVDATIDEAVSRVLSMVESPEARQQYHRILEKYRQAKAELQGVETEATSSHDPSISSMENDDIYQYA >itb01g12720.t1 pep chromosome:ASM357664v1:1:12321738:12323370:-1 gene:itb01g12720 transcript:itb01g12720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQVPPYFLCPISLEIMKDPVTISTGITYDRESIEKWVFSGKNTTCPVTKQAISGTDDELLTPNVTLRRVIQSWCTLHASHGVERFPTPKPPVTKSHILKLLRQAKSAPETQAECLQTLRSIASENAANKRCMENAGVAEFLAGIIKTSNADNNKETSTDDALYILHNLGLSEDGLKSILGHDAQFIESLTRVMQRGSYESRAYAVMLLKSTLEATTNPLLFHLTTTLNPSFFHQVVQILRDNFSRKASKSALHLLANVCQSGRNRIKAAEAGAATVLIELLLDTNEKRYCEWALSVLEQLCRCAEGRAELLQHAAGLAVVSRKLLRVSQVANERGLRILYSISKFSATPSVVQEMLQLGVGAKLCLVIQVDCGVGKNKERAAEILKLHAKEWKNSPCIPLDLIHDTIGVN >itb09g07880.t1 pep chromosome:ASM357664v1:9:4719535:4723807:1 gene:itb09g07880 transcript:itb09g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKVAMGLQKSPANPKPPKPDASPKPHTPSPSSAKQPQKGAVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRDREYRLKTELLEQKLLRESVAIVPVLENQISVRDSELERSHRKVECLEAENERLRQEVEVLHMELVKQNGRYEDKLKRMEAEILELKKANDELASAQRLVDVTNTTHKSVLTKCLRKCATQPSFSPTIDNVKGDSEKSKKEEGSVEMAMEISEKPRHSRSNSEEMTDTSESLMSLRSRVPRVPKPPPRPSSSLLSSCSSSSLRSSCSSPSYGSLTDSANRAMAEITHIPPPPPPPPMAQVKPPVAPPAPPPPPPPPAPPSAKVAAVAAPPPPPPPPTGLKPVPAKVRRVPEVVEFYHSLMRRDSRRESGGSCEAPAPTTATAKDMIGEIENRSAHLLAIKTDVETQGDFIRFLIKEVESAAFTDIEDVVLFVKWLDDELSYLVDERAVLKHFDWPEHKADAMREAAFGYCDLKKIESEASLFRDDPRQPCGPALKKMQALFEKLEHGVYNLSRMRESATGRYKLFQIPMNWMLDTGYASQIKLASVKLAMKYMKRVSAELEMVGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHEQCQNQQQQQHRTLCRSTAC >itb05g14170.t1 pep chromosome:ASM357664v1:5:21236899:21243645:1 gene:itb05g14170 transcript:itb05g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASPSEDGDNGEKFTDGDDEREEHSGAEAEASKLNNSSARFFTRNASSKYDFVKVKVWLGENANHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTISSLLAQRLNLPNVLKTEMVYELLRTSTDAPLSSTPVWARDFNSSDELITEFCRECRVVRKGLAGDLNKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSAAPSTEGGGSKSDTVVDKNEIQNHSSSSAEIDSSSNDKDCDSENVSLGKEVFTDELNKATGALESLLNETKDKAVKYSTADEKPALRRAKSGAEPIIVHIVLKMAEFDHGAMLEEWISTRTGGDKYPVQDKDKLRNNLKIIQDYLCSFESQGLTVVNTSAAAFPQALDWLHNYLLQRIEEGISSVPSGNSGQAASD >itb05g14170.t2 pep chromosome:ASM357664v1:5:21236961:21243645:1 gene:itb05g14170 transcript:itb05g14170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASPSEDGDNGEKFTDGDDEREEHSGAEAEASKLNNSSARFFTRNASSKYDFVKVKVWLGENANHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTISSLLAQRLNLPNVLKTEMVYELLRTSTDAPLSSTPVWARDFNSSDELITEFCRECRVVRKGLAGDLNKAMKDGKPIIIEGMHLDPSIYLMDEENKSPSAAPSTEGGGSKSDTVVDKNEIQNHSSSSAEIDSSSNDKDCDSENVSLGKEVFTDELNKATGALESLLNETKDKAVKYSTADEKPALRRAKSGAEPIIVHIVLKMAEFDHGAMLEEWISTRTGGDKYPVQDKDKLRNNLKIIQDYLCSFESQGLTVVNTSAAAFPQALDWLHNYLLQRIEEGISSVPSGNSGQAASD >itb04g22850.t2 pep chromosome:ASM357664v1:4:28111898:28115973:1 gene:itb04g22850 transcript:itb04g22850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MPWCTMTLAPLNHSAVATSIFPHLCPPSSFSLPSFNLKRRNSTGWRVRCSVATVSPSKVNDSGNPFPTFDCVVVGAGISGLCTAQALSTSYPNLLVTEARDRVGGNITTVERDGYLWEEGPNSFQPSEPVLRMVVDCGLKDDLVLGDPNAPRFVFWNGKLRPVPSKPADLPFFDLMSFPGKLRAGFGAIGFRPSPPQGREESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGRVWKLEENGGSIIGGTIKALKERSKMPKAPPDPRLPKPKGQTVGSFRKGLAMLPDSISSRLGSKVKLSWKLSSITKTENGGFNLIYDTPGGFVTLQTRSVVMTIPSYVASPILRPLSDAAADALAKFYYPPVAAVTISYPEKAIRDECLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNTEILAKSHNQLVEIVDRDIRKMLIKSDAEDPFVLGVRVWAQAIPQFLVGHLDTLGAAKTAIRDGGLEGVFLGGNFVSGVALGRCIEGAYEVAADVTEFLSKYVRK >itb04g22850.t1 pep chromosome:ASM357664v1:4:28111897:28115976:1 gene:itb04g22850 transcript:itb04g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MPWCTMTLAPLNHSAVATSIFPHLCPPSSFSLPSFNLKRRNSTGWRVRCSVATVSPSKVNDSGNPFPTFDCVVVGAGISGLCTAQALSTSYPNLLVTEARDRVGGNITTVERDGYLWEEGPNSFQPSEPVLRMVVDCGLKDDLVLGDPNAPRFVFWNGKLRPVPSKPADLPFFDLMSFPGKLRAGFGAIGFRPSPPGREESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGRVWKLEENGGSIIGGTIKALKERSKMPKAPPDPRLPKPKGQTVGSFRKGLAMLPDSISSRLGSKVKLSWKLSSITKTENGGFNLIYDTPGGFVTLQTRSVVMTIPSYVASPILRPLSDAAADALAKFYYPPVAAVTISYPEKAIRDECLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNTEILAKSHNQLVEIVDRDIRKMLIKSDAEDPFVLGVRVWAQAIPQFLVGHLDTLGAAKTAIRDGGLEGVFLGGNFVSGVALGRCIEGAYEVAADVTEFLSKYVRK >itb02g05230.t2 pep chromosome:ASM357664v1:2:3131838:3134513:1 gene:itb02g05230 transcript:itb02g05230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSKGISFALLFGLVAVLATNPFIHLSLMPLKLSLVCLKSRSHHHHHRHHHHHHDEEQIVKSVCDDFVPNFPTVDPNVTSLICVDRNGCCNFTTVQSAVDSVGNFSAKKSLIWINKGMYFEKVIIPKTKPNITFQGQGYTSTAIVWNSTANSSGGTFYSGSVQVFSANFIAKNISFMNVAPMPVPGAVGAQAVAIRIAGDQAAFFGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGKSLYENCQLISIANPVPPGVKSINGAVTAHGRNSKEEDSGFAFANCSLGGSGRIWLGRAWRPFSTVIFSNTYITDIVAPEGWNDFNDPTRDQTVFYAEYNCTGTGANTMMRVPYAQRLNDSQALPFLTTSFINGDQWLLPLLS >itb02g05230.t1 pep chromosome:ASM357664v1:2:3131838:3134513:1 gene:itb02g05230 transcript:itb02g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSKGISFALLFGLVAVLATNPFIHLSLMPLKLSLVCLKSRSHHHHHRHHHHHHDEEQIVKSVCDDFVPNFPTVDPNVTSLICVDRNGCCNFTTVQSAVDSVGNFSAKKSLIWINKGMYFEKVIIPKTKPNITFQGQGYTSTAIVWNSTANSSGGTFYSGSVQVFSANFIAKNISFMNVAPMPVPGAVGAQAVAIRIAGDQAAFFGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGKSLYENCQLISIANPVPPGVKSINGAVTAHGRNSKEEDSGFAFANCSLGGSGRIWLGRAWRPFSTVIFSNTYITDIVAPEGWNDFNDPTRDQHRSQYDDESAVRSKAK >itb04g22680.t1 pep chromosome:ASM357664v1:4:27909896:27911817:-1 gene:itb04g22680 transcript:itb04g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSTTIAGANVRFSRWSPDWRPWRDSPLIPVWIHLPNLPLHLFSFCMLKRICSPIGMALALDVATERHSRPNVATVRVEIDVRNPLLKRVWIVVGREGKGFWQRIEYEYMPSYCIGCNRIGHLLINYKNMVNVRAEEGTPVGNSPTDGAREVEEQIRGLDPGFGVHPLCTPPVCEMAPMSSRAVEAEIELQVQIENALRDQDEGLEITPGTEGLKGKLGHDEVQIQLIEEARQDVILFFEKSFEELGRGEYVRNSNELALKAASLLLSKLQGKKEDELVSNASSMKINKVLVTDGVESSIKDHEDDYVEKGDEVEFFEKGSEIREKGEKQCEYEGDSGNNEEFEIDEFESPADDDEVGSAGCGDGSASYDYGDEAADVEGGEGDFAAGNDEEWADDEAEYSINDEHGNEGVEEEGKVDPTEGRDECGKYDDGMIIEWQQVFWEDESSIALKGCIILREIVRASPVRGLLHPESELGYQLLMNEEVIGRSLCRWAWRKFRREWKENLMRLDVNYYCNGWFW >itb13g19520.t1 pep chromosome:ASM357664v1:13:26509728:26514094:-1 gene:itb13g19520 transcript:itb13g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAIFVFSCLLLSPAISATEPPKFTVDGTVLELDESNFDAAISTFDYIFVDFYAPWCGHCKRLAPELDKAAAILAEMKQPIVIAKVNADKHRRLGSKYDIDGYPTLKMFVHGVPTDFNGPRKSDLLVKFLKKYAAPDVTVLDSDSAVGDFIEKAGTFFPIFIGFGLNQSVISDLAIQYKKKAWFSVAKDFSDETMSLYDFDKVPALLALQPNFNEQSIFYGPFEEKFLEDYIKQSLLPLVLPINHDTLRLLKDDKRKIVLTIMEDETDEKSKGLIKNLKAAASANHDLVFAFVGFKQWEEFAESFDVNKKTPLPKMIVWDGDEEYFTVIGSETIGEDDQRSHITQFVGGYREGSVIQKQIKGPSFMGFIHSMIGVGSVTIIVFVVGVVMIIQSLKEEPLRVGTRDQTDSASYSASEPEARETQRAGEKED >itb15g04060.t1 pep chromosome:ASM357664v1:15:2532178:2534776:1 gene:itb15g04060 transcript:itb15g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALNSNSLSLLARSPRATASYGRVSNLIFRAFSNSAASNARPEPIPGHQITNYSGVKLEETVEPNSGKLRLDSWISSRIDGVSRARVQSSIRSGLVTVNGRIIDKVSHTVKRGDKVNCTIAELQPLTAEPEDMPLDIVYEDDHVLVVNKPAHMVVHPAPGNATGTLVNGILHHCSLPIASFPYQEVLSDGEAAFDDELSTFSSEQDYVGGDYSAIGEASIRPGIVHRLDKGTSGLLVVAKDEYSHAHLAEQFKKHSIKRVYVALTCGVPTPASGRIDIPIGRDPNNRLRMAAISGLSKNGKTRHAASRYKVIEILAGGGSALVEWRLETGRTHQVEDIIRHLSILKARRATNF >itb03g07380.t2 pep chromosome:ASM357664v1:3:5412413:5414145:1 gene:itb03g07380 transcript:itb03g07380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRKLLIPRTTIPIPKRYDLRTPEPEPERPFIPFSKLQERKLLETHLVSLLDVCNSLFQIKQVHAHVIRRGVEQCCYVLTKLIRTLAKINVPVEPYPRMVFDRVEDRNPFLWTAIIRGYAMEGPFGESVRLYGLMRREGFSPVSFTFTALLKACSDRFEVELGRQIHGQCVRIGGLCCDLYLGNTLIDMYVKCGRLECGRKVFDEMPLRDVISWTSLIFAYAKSGDMEDAVELFEELPFKDMVAWTTVVTGLAQNGKPMEAIEFFRRMQNAGVQTDEVILSGVISACAQLGSSKHADWVRGVAERSGFGPADHVVVGSALIDMYSKCGSVEDAYDVFEKMRERNVFSYSSMILGFAMHGHANSALNLFEQMLRTNVKPNDVTFLGVLLACSYSGLVEQAKWYFDLMEKKYGIEPAINHYSCMVDVLGRAGKLEQALELIKNMPINPNGGVWGALLGACRIHGNPDVAEIAANHLFELEPDGIGNYVLLSNIYASAGRWEDVLRVRKMIRSRSLRKNPSRSWIEGKDGAIHEFYAGDSIHPMSG >itb03g07380.t1 pep chromosome:ASM357664v1:3:5412413:5414508:1 gene:itb03g07380 transcript:itb03g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRKLLIPRTTIPIPKRYDLRTPEPEPERPFIPFSKLQERKLLETHLVSLLDVCNSLFQIKQVHAHVIRRGVEQCCYVLTKLIRTLAKINVPVEPYPRMVFDRVEDRNPFLWTAIIRGYAMEGPFGESVRLYGLMRREGFSPVSFTFTALLKACSDRFEVELGRQIHGQCVRIGGLCCDLYLGNTLIDMYVKCGRLECGRKVFDEMPLRDVISWTSLIFAYAKSGDMEDAVELFEELPFKDMVAWTTVVTGLAQNGKPMEAIEFFRRMQNAGVQTDEVILSGVISACAQLGSSKHADWVRGVAERSGFGPADHVVVGSALIDMYSKCGSVEDAYDVFEKMRERNVFSYSSMILGFAMHGHANSALNLFEQMLRTNVKPNDVTFLGVLLACSYSGLVEQAKWYFDLMEKKYGIEPAINHYSCMVDVLGRAGKLEQALELIKNMPINPNGGVWGALLGACRIHGNPDVAEIAANHLFELEPDGIGNYVLLSNIYASAGRWEDVLRVRKMIRSRSLRKNPSRSWIEGKDGAIHEFYAGDSIHPMSGSIKEALMDLIEQLRLRGYEPNLSCVPYDLKDEDKKRILMMHSEKLALAYGLLATDADCAIKIMKNLRICEDCHSFMCGASGVSGREIIIRDNNRFHHFRNGVCSCANFW >itb13g20720.t1 pep chromosome:ASM357664v1:13:27490072:27491762:-1 gene:itb13g20720 transcript:itb13g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKSVSPSSAPAPMLRVAEIEGKGRSLVASAALRAGEIVLRDSPLLLYSAFPFFPTSEPHLQPHNVYCSNCFRLIISQPPPSSCPACSDAFCTSGCRSVALSSSHSPWICQALRRLRDASYSPLVAQTLDRQVMARFLVAAYNLAALSPPDFRILLSLQGDPSAVSGDDAAFLHSLVSSLSPPTAPEFGLSVDLTASLLAKDKLNGFGLMEPFHPDKDRSVRAYGIYPRASFFNHDCLPNACRFDYVDADANSRTNTDFIVRLLHDVPEGREICLSYFPVNLKYSERQQRLKEDYGFACDCDRCKVEANWDDDEGEQEGEMSGSDSAMSGGEEEAMEEDGDEEMEADNGEFPHAYFFLRFMCSRDNCWGTLAPLPPSNASPSTLMECNVCGNVNSVEEPMDDGSGNQ >itb15g16390.t1 pep chromosome:ASM357664v1:15:15948251:15952098:-1 gene:itb15g16390 transcript:itb15g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFNFTDPSSCFKDSIFSSKSMFSWLCSPLHNHNDYSLFPRLSPLLCLTPSALNDTPVRQTCSRSFTPSGLHPRYLMRSRPPASSLASRSSVLTSSNGQTSPQLRPSEFSQSALCRLQLCFTDSPTHCNSKSPRLKFGLIGRDAVFDCPKTQVDSEGIITYRVKDLAVTDGGVRSAAMATATELCCNGDGDRALLRWRRRRSSAAITTTTEIQNLCNDVHELRILGCV >itb02g15830.t1 pep chromosome:ASM357664v1:2:11515784:11517731:-1 gene:itb02g15830 transcript:itb02g15830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGKYETGKLLGKGTFAKVYCATNTATGERVAVKVIKKEEVKTEAMMEQIEREISVMRLVRHPNIVELREVLATRTKIFIVMEYVNGGELFAKVAKGRLKEDAARKYFQQLISAVEFCHSCGVCHRDLKPENLLLDHNGNLKVTDFGLSVLLERLIGDGLLYTQCGTPAYIAPEILRNKGYDGTKSDIWSCGVILYVLLGGFLPFRDENLFRLYKKIFKADYKFPPWFPPTPRRLLSKILVSNPAKRISIREIMQDTWFSKGFKIPIGISVDEEEEIGGIMKSSSPSSPAILNAFEFISSISAVDLSSLFENKKKMESIFTSKCSATVIMAKIQVMGKKLGFKVGRVSGSALRLQGAAAAEGGRKGRLVVTAEVFKVAPEVAVVKFSKNSGDVVEYAKFCEEKLRHNLKEIISTWHGGDILGDTINHYGQETFGGEDEDLNAAKLKALN >itb11g22190.t2 pep chromosome:ASM357664v1:11:24036702:24039664:1 gene:itb11g22190 transcript:itb11g22190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAREVYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFTDCVNPASNDIPHKQRNDNLKASVRKQSRKQRIHNLSGSLRKHLKDQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLREVAEYASSGNSPLNMPFLDADESWNLYCKVFGKTEFLPVFKLIGRDIVMKCKGLPLAITLVASLLSKTEAAVEKWNNVAENVSRYVIGDSNDACSKILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLVRLWAAEGFLRAEDHCNMEELAMECLQDLIDRSLVFVSKQSYNGKMKKLKFFCTNLAWSDMRLIGMLPNLEVLKLIDAIDRKDRMWEPSEEGFRQLKRLSYTNAITCNISLVILSISIHWH >itb11g22190.t1 pep chromosome:ASM357664v1:11:24036702:24040479:1 gene:itb11g22190 transcript:itb11g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAREVYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFTDCVNPASNDIPHKQRNDNLKASVRKQSRKQRIHNLSGSLRKHLKDQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLREVAEYASSGNSPLNMPFLDADESWNLYCKVFGKTEFLPVFKLIGRDIVMKCKGLPLAITLVASLLSKTEAAVEKWNNVAENVSRYVIGDSNDACSKILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLVRLWAAEGFLRAEDHCNMEELAMECLQDLIDRSLVFVSKQSYNGKMKKLKFFCTNLAWSDMRLIGMLPNLEVLKLIDAIDRKDRMWEPSEEGFRQLKRLLLIRVLGWNIRSCDD >itb11g22190.t3 pep chromosome:ASM357664v1:11:24036702:24038574:1 gene:itb11g22190 transcript:itb11g22190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAREVYKDPSITSYFYKKAWVTVSQEYDVEQMLQCFTDCVNPASNDIPHKQRNDNLKASVRKQSRKQRIHNLSGSLRKHLKDQRYLIVMDDIWSTTAWDSVQRCFPDDNNGSRILLTSRLREVAEYASSGNSPLNMPFLDADESWNLYCKVFGKTEFLPVFKLIGRDIVMKCKGLPLAITLVASLLSKTEAAVEKWNNVAENVSRYVIGDSNDACSKILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLVRLWAAEGFLRAEDHCNMEELAMECLQDLIDRSLVFVSKQSYNGKMKKMLLIGKTECGSHLRKGSVN >itb13g05470.t2 pep chromosome:ASM357664v1:13:6550585:6551520:-1 gene:itb13g05470 transcript:itb13g05470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPPKLLRVLVFDTSISLQRVPVQLGGLVFLRYLSITQWFEDLDDVVSNNPNLETLIVSSNGAPTIHLPSSIWKPPNLRHLELGNSYMVDPPSADKKNLQALSWVVRPIHCRKKVYSKFPTIKKLKIFLKDDIEPSHITGCCSNPIILDHFNCFEGLENLSISVSIGCYAALPEHCMYPSGLKKLKLIGTNISERDLNVIAMLPQLMVLKLENAFHGTEWNVVALEGFPQLIFLLLEAKELKQWVVSCRVHFPKLRHLVLRSCNCLEQMPKDFRGEELESIELKGCHSSLVASAKQLQQKRSLKDFEVRS >itb13g05470.t1 pep chromosome:ASM357664v1:13:6550585:6553762:-1 gene:itb13g05470 transcript:itb13g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVALTSLIKTIEIEFLGQTPRGRVSLNDDDRAGIESFLENLSSLQALLLKESRGDGEDDALKLRDLEIEIRDFALKAEDDIELQLSNILLAHQHGEKAAAFHQLLLHQTLQEAAKYATELLESSIMDSATVALTVLITKTNHYRWQHFPKTVYLCDQTAGALAYFSHRLSTVRACLLRERNSNNSAAAIKTFETKITNLALKTKDDLQIQLKNFLLAIHTVHQQKASHKLCHTLNEAGDSVEELLNITKSRSSSNEVDDKANQTQPSNTWLKHASAANVESHGSTSHGFLKPEGRMVGRHRDCTFIKNQLFSARSQVQIISIVGMVGIGKTTVARNVYEDPSVASYFDVRCWVTIPPPQHYNKSGMRSQLLQSITPVEDPNVIKKGSTPQELAMQVRKCFDGKKYLIVFDNITSKQTWRQAWTDIIGLVSNGLVGNCVLLTTRHLDLCSNIHKMTLLTTRQNFNLNAHTHKMTLLDPKESWELFCNILSIDEEHLAPKFEKIRNHVVEKCDGLPQLILEVAKRLSKCNNIQQGWIKIEKELESLGLLDRNALSVSYNMLPPHLKVCFLYFGVFPKRKKILVRMLIRLWIAEGFVKPLKYKELEDDQAYEYLQQLTNRSLLLIEDRSCTGKIKTCRMHSALHSFCVGEAQKGGILCAVNTQQHSGLSLKSFTNSCRWLSSYSHVFDYYVLFGTNIPRSIFFFLENPEMFVPPKLLRVLVFDTSISLQRVPVQLGGLVFLRYLSITQWFEDLDDVVSNNPNLETLIVSSNGAPTIHLPSSIWKPPNLRHLELGNSYMVDPPSADKKNLQALSWVVRPIHCRKKVYSKFPTIKKLKIFLKDDIEPSHITGCCSNPIILDHFNCFEGLENLSISVSIGCYAALPEHCMYPSGLKKLKLIGTNISERDLNVIAMLPQLMVLKLENAFHGTEWNVVALEGFPQLIFLLLEAKELKQWVVSCRVHFPKLRHLVLRSCNCLEQMPKDFRGEELESIELKGCHSSLVASAKQLQQKRSLKDFEVRS >itb09g09460.t1 pep chromosome:ASM357664v1:9:5705937:5707946:-1 gene:itb09g09460 transcript:itb09g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRLMNLFVLVLNSLVWSCSGEMLALPLKTQEIPPGSLPRAPRKLPFTHNVTLTVALTVGSPPQNVTMVLDTGSELSWLRCNETQIKQPVFYPNRSWSYAPVPCSSPTCTTRTQDFSIPASCDSRNFCHAVLSYADASSSEGNLAMDTFSIAGTNFTGTIFGCMNSGFSSNSDEDSKTTGLMGMNRGSLSFVSQMGFDKFSYCISSSDFSGVLLLGDSNFTWVIPLNYTPLVQINIPLPYFDRVAYTVHLEGIKVSDKLLPIPKSVFEPDHTGAGQTMVDSGTQFTFLLGEAYTALREEFLNQTRGILRVLDDPNYVFQGAMDLCYIVPFNQTRLPPLPSVTLLFQGAEIKVSGDGLLYRVRGEARGNNSVHCFTFGNAELLGIEAYLIGHHHQQNVWVEFDLARSRIGFAQVRCDLAGQQFNV >itb15g19220.t1 pep chromosome:ASM357664v1:15:21399898:21404349:1 gene:itb15g19220 transcript:itb15g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKAGLFLIAAVVVIWVTSAEVTQGIFTDYKQPFAVTYLGASLLIIYLPVAFLKDCICGYLNRRRTKSDKSIESNDASHASISSPLKYVGEKVFEIEIQGSLNRKDSDLNLSGEQEGVPLISSSAGDVNHIKQEKQVTTREIARYGFYIAPIWFVTEYLSNAALAYTSVASTTVLSATSGLFTLFFGVLLGQDSLNMAKVVAVFVSLAGVVMTTLGKTWATDETELTPSLSGKRSLIGDCFGLLSATTYGLFTVLLKKFAGEEGERVDMQKLFGYMGLFTLVALWWLVWPLTALGIEPKFTIPHSAKMDEVVIANGLVGSVISDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSVQVFAGFVIANLSERFSKILGL >itb10g10290.t1 pep chromosome:ASM357664v1:10:14694972:14695587:1 gene:itb10g10290 transcript:itb10g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDLIPKYRNSRFRFEISVFRQSDILTSQLTPLVFSLLVNLVFSVLVNLVFFRLHWGVHVDRRPRDPRLPSSTVLLFHNPHTTITTLFHTSTPWYLDFHCCSLYDSTLHANSSDPFPASAQSVETREPETSSVWQSRTVVNL >itb06g18930.t1 pep chromosome:ASM357664v1:6:22445213:22448374:1 gene:itb06g18930 transcript:itb06g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAELDIPDTEISSQSQRPRPRSMEDDDEESTPEIVRTMKVVGDGDDNSVKGNNNYSYSSYNDKNDDDVVYVGNEEKPSKGEIFSWYFYGLCSYFIHTLLIPIVFPLIVSHTVPPPQQLWSPIHRDGGCSVKELQIYTTVTNRIIKIGGANFSPLDWISFSWGIGLILVAPLLGILSVHLDFGRNQQIVAAAATGAGALFCLPAGFFRTRWIFPPYIAVIVAANTISNSSHARHLGLMVRGLVGNSIRQRHFPDRRSVASWLSLHATAAGCLGAAAISAFTYHMLRNSDGFTSLWVVSIFSGLIWVLGMAHIFGSNRPGNTPSPNDGPTQKAHMISIFKYPHAAGSLAGVFLSSFVTMCIFAGAVLYAIGDLCVEAPILLYLWLTYFIFPIISLPLTHPIQQLMKLDAVKMQLLGFLLSVLTSGFGFYYRRAKWSSGHLLLFAAIQSTATGFLHAFGRVLLLDCSPAGKEGAFSVWFSWVRALGSCAGYALASAFPGNISKSFGTAFCAACLGKIILIFGNISSFGGAKAAGNVREYSEKGSPVAAVVEDDNVKVHAGGKTEV >itb03g27630.t1 pep chromosome:ASM357664v1:3:27453757:27456233:-1 gene:itb03g27630 transcript:itb03g27630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAADSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSFAYTGPAALVWGWLVVSFFTCFVGIAMAEICSSFPTTGSLYFWAAHLAGQKWGPFASWCCAWLETIGLVAGIGTQAYAGTQTLQSIILLCTGTNKDGGYLAPRWLFLCIYIGLTLIWAFLNTFALEVIAFIDIISIWWQVCGGLVIVIMLPLVAPTTQSASYVFTAFELGSDSTGVSSKVYATVLAFLVSQYSLYGYDAAAHLTEETKGADRNGPIAILSSIGIISVFGWAYILALTFSIQDPSYLYDKSNETAGVFVPAQILYDAFHGRYHSSTGAIILLFIIWGSFFFGGLSITTSAARVVYALSRDQGIPFSSIWRKVHSKRKVPANAVWLCAAICILLGLPILQGSIVFTAITSICTIGWVGGYAVPIFARIVMAEQDFKPGPFYLGKARRPVCLVAFLWICYTCCVFLLPTSYPITWDTFNYAPVALGIGLGLILLWWVVDARKWFKGPVRNIQNGKV >itb01g23860.t1 pep chromosome:ASM357664v1:1:29726678:29729709:-1 gene:itb01g23860 transcript:itb01g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGGELEDYSGPPANQSTVPPNKAGYPYRGTGGASDREPRNSGSARNGAPQKVLPIEIPAMQLDELNKLTGNFGQKALVGEGSYGRVFSATLSSGEQVAIKKLDTTSPEPDSDFAAQLSTVSRLKNDHFVTLLGYCLEADNRILVYEFATSGSLHDVLHGRKGVQGAEPGPVLTWNQRVKCAYGAARGLEFLHEKVQPPIVHRDIRSSNVLLFDDFTAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKMAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPATGPETRA >itb02g16070.t1 pep chromosome:ASM357664v1:2:11818575:11822633:1 gene:itb02g16070 transcript:itb02g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MDSVIHMLPPPTSLPPSVISFLNAKLSTREDLEQVPHLVSELGNQCNALDQCLFDLNKQLENYLIDYASDSERINGLLGDINAKVKDLQSSSHTAASSSDGGPDKVLGEELPALAKEVARVETVRKYAETALKLDTLVGDIEDAVSSIVNRTLRRHPSSESSEDMQVLAIRTLKQTEDALRLISKSQPQWTRLVSAVDHRVDRALAIFRPQAIADHRSLLTSLGWPPPLSSINSSSSETKKSTNVHNPLFTMRGDLKQKYCESFVTLCSLQELQRQRKSRQLEGHSREVALHQPLWTIEELVNPISVACQQHFSKWVDKPEFIFALVYKVTQDFVDSMDELLQPLVDEAMLSGYSCREEWIAAMVTSLCMYLVKEVFPQYISQLDEESVGGIKSHARTSWLILIDLMIAFDKKVQSLVSQSGISLSLHEDGLRKISSLSVFGDRPDWLDLWAEIELDDALDKLKPDIVDERNWSSDVQGAALQSGQGDTKTPAIAGAFLRRLSAVIDRCRSLPTVSLRSKFLKLIGAPLVKKFFDCILLRSQEAEGLTALTDDDALTKVVRCINASRYFATVLEEWCEDVFFLEMGLDHVDELETLTENRTSGEELVATGVFDGEIKKLEEFRIEWAEKLSTVVLRGFDVCCRDYMRNKKQWQEKDEGHIVSQSLVSALDYLQGKMSVLAEGLNEIDFVGVWRSLASRLDNLMFNGILLSNAKFSDVGVERLENDVGVMFAVFRPWCFRPEGFFPKLSEGLKLLKMTKRQHQNCLTGGEGTLKENGIRHLTVAEVEKLSKNRV >itb02g16070.t2 pep chromosome:ASM357664v1:2:11818579:11822633:1 gene:itb02g16070 transcript:itb02g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MGNRSSFIIKSVILSFFYFSCYLQDMQVLAIRTLKQTEDALRLISKSQPQWTRLVSAVDHRVDRALAIFRPQAIADHRSLLTSLGWPPPLSSINSSSSETKKSTNVHNPLFTMRGDLKQKYCESFVTLCSLQELQRQRKSRQLEGHSREVALHQPLWTIEELVNPISVACQQHFSKWVDKPEFIFALVYKVTQDFVDSMDELLQPLVDEAMLSGYSCREEWIAAMVTSLCMYLVKEVFPQYISQLDEESVGGIKSHARTSWLILIDLMIAFDKKVQSLVSQSGISLSLHEDGLRKISSLSVFGDRPDWLDLWAEIELDDALDKLKPDIVDERNWSSDVQGAALQSGQGDTKTPAIAGAFLRRLSAVIDRCRSLPTVSLRSKFLKLIGAPLVKKFFDCILLRSQEAEGLTALTDDDALTKVVRCINASRYFATVLEEWCEDVFFLEMGLDHVDELETLTENRTSGEELVATGVFDGEIKKLEEFRIEWAEKLSTVVLRGFDVCCRDYMRNKKQWQEKDEGHIVSQSLVSALDYLQGKMSVLAEGLNEIDFVGVWRSLASRLDNLMFNGILLSNAKFSDVGVERLENDVGVMFAVFRPWCFRPEGFFPKLSEGLKLLKMTKRQHQNCLTGGEGTLKENGIRHLTVAEVEKLSKNRV >itb00g01240.t1 pep chromosome:ASM357664v1:16:7308532:7310756:-1 gene:itb00g01240 transcript:itb00g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLPKVGKAVQTVPVQVHPGAWIGATGAGIVSAPRSPLRDAALARDRLPEPSDSVHLVGKGFELQSVPFYAFCTIEIVASG >itb03g21430.t1 pep chromosome:ASM357664v1:3:19277091:19277513:1 gene:itb03g21430 transcript:itb03g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGATILNFVQDEKVFDEFVHERFDNLDSNRDGVLSYAELLKELRSLRVLEMHFGVDVETNPTELNRVYDSMFVQFDRDSSGEVDVKEFKEEMKNMMVAMANDIGFLPVQMLLEENSFLKKAVEKETTKIIANAA >itb04g09750.t1 pep chromosome:ASM357664v1:4:9011569:9013733:1 gene:itb04g09750 transcript:itb04g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPTHSQYLNFPISSSPRFLHFRNPSALSFSRKLLFPKKITGVWRNSSLQVKASSKASSDVESLSTVEEEKGSERPPFDINLAVILAGFAFEAYTTPPENVGKREVDAANCQTAFLSESFVREIYDGQLFVKLKKGVDLPAMDPWGTSDPYVVIQLDSQVVKSKVKWG >itb07g22500.t1 pep chromosome:ASM357664v1:7:26965617:26967769:1 gene:itb07g22500 transcript:itb07g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPCCEKVGLKKGPWTPEEDKQLLAYIEQYGHGSWQALPEKAGLQRCGKSCRLRWTNYLRPDIKRGNFSLQEEQSVIQLHAFLGNRWSAIASHLPKRTDNEIKNYWNTHLKKKLSKMGIDPMTHRPKINSSFGSAANLNHMAQWETARLEAEARHSKFISSAQNSSFRLPTNNPPPPPKVPPTLDVLKAWQETWTKPPRTRVLSNVDGGAFVPNATPHQSPTTLNFSDQNLCYMETPYVHESNIGNPNPTRDDIIPHVAMDPLSELPTFIHGFPELSPETLTGYLDDDNVVGNYGTANVEDNSHYWNSIDP >itb13g05930.t1 pep chromosome:ASM357664v1:13:7009617:7013987:-1 gene:itb13g05930 transcript:itb13g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETTASQQPPLLLIHRIPQIEPSFFPFLKSGRYRILDQLDESDPSFPTLSKSARVMLCLGPSKVTAETLDRYPSLECIVGSSAGYDHFDLAECRRRGIRVTTGGDSFSDDVADFAVGLLIDVLRRVSASDRFVRAGSWPKKGEFPVGSKVGGKRVGIVGLGSIGLRISRRLEAFGCTIAYTSKNIKPNIPFCFYENINHLASNSDILIVCCALTQETHHIVDKNVMLALGEDGIIINVGRGPLVDEKELVRLLVSGEIGGAGLDVYEHEPNVPRELFGLDNVVLSSHVSFATPEGIAQLHALVATNVESFFLNKALQAEIQLQ >itb07g10850.t1 pep chromosome:ASM357664v1:7:12117540:12117935:1 gene:itb07g10850 transcript:itb07g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSASTLLTPFFKTAGAFSTNSLASFNPRSVKDLTSLIILIFATESNPPYSFKSNTVFSALAPALAPPLPFNPPGLKPIPSIIIVISGISSTPIFFLSISVKSETSSSVRPEISSTIPNTASYGFGAKVV >itb15g04700.t1 pep chromosome:ASM357664v1:15:3025306:3025728:-1 gene:itb15g04700 transcript:itb15g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSAQKKKKAPKQPKAAAAANGAAARKQLKVVYISNPMKVETSPSEFRALVQELTGQDADVPGPGPFKFPPGPGVGAAAAGAPNGNKAAAEEHSGEAGLQHDVAESSDLSSETAPPDYVPQLIEDFPGIMPSNMWYG >itb09g03140.t1 pep chromosome:ASM357664v1:9:1770519:1773534:1 gene:itb09g03140 transcript:itb09g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIVFGLVSFSIAIVFLVCLWRMLNWWAWFRPRKLQKILRQQGLKGTDYKFIFGDLKKISKSVEDAKSKPINLSDDISPRAFPFFIDAIQKNGENSFVWLGPDPLVFIKDPELVKNVFTNHTIFQKPPTNPLSKLLAQGLMFCEEDKWVKHRKIINPGFQMEKLKHMIPAFYLSCSEMLSEWEKNVGDQGLAELDVWPYLQQLASDVISRTAFGSSYEEGNKIFELQTEQVGYALEVLSSIYIPGWRFLPTKRNRRMKQIEKQVHASIKDIIDKRVKAMREGEASSDDLLGILLESNFEEIEQHGNKNFGMTTREVIEECKLFYLAGQETTSVLLVWTMVLLSMHQEWQARAREEVFKLFGKDRPDFDGLNRLKIVTMILNESLRLYPPVTLLRRLTKKQTEIGDITFPLGVQIAIPIVMYHYDEKIWGDDAKEFKPQRFSEGVSRVTKGQLAFLPFSGGPRICIGQNFAMLEAKMTMTMILQRFSFELSPSYTHAPTTIITLQPQFGAPLLLRKM >itb09g03140.t2 pep chromosome:ASM357664v1:9:1770543:1773455:1 gene:itb09g03140 transcript:itb09g03140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIVFGLVSFSIAIVFLVCLWRMLNWWAWFRPRKLQKILRQQGLKGTDYKFIFGDLKKISKSVEDAKSKPINLSDDISPRAFPFFIDAIQKNAFYLSCSEMLSEWEKNVGDQGLAELDVWPYLQQLASDVISRTAFGSSYEEGNKIFELQTEQVGYALEVLSSIYIPGWRFLPTKRNRRMKQIEKQVHASIKDIIDKRVKAMREGEASSDDLLGILLESNFEEIEQHGNKNFGMTTREVIEECKLFYLAGQETTSVLLVWTMVLLSMHQEWQARAREEVFKLFGKDRPDFDGLNRLKIVTMILNESLRLYPPVTLLRRLTKKQTEIGDITFPLGVQIAIPIVMYHYDEKIWGDDAKEFKPQRFSEGVSRVTKGQLAFLPFSGGPRICIGQNFAMLEAKMTMTMILQRFSFELSPSYTHAPTTIITLQPQFGAPLLLRKM >itb09g00510.t1 pep chromosome:ASM357664v1:9:400659:402338:1 gene:itb09g00510 transcript:itb09g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPTTHRPVDDPKEKVTTISFGPAKEDDVNVVDEKKMMMIRQDSLPTTTTTTVKQESTSPVRDDDERCPDLNLELKISPPYHPNQQQPPLALTPGSLVNVNNTAVCFACSLGIQNSKDCSCSTNANAITSIAGYDFLGLRKNTLLDYRNLETMMN >itb04g14850.t2 pep chromosome:ASM357664v1:4:15590959:15595157:-1 gene:itb04g14850 transcript:itb04g14850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMELVEHCSTQGLLSWFAQMSGRFSRTIYVGNLPLDIREWEIEDLFYKYGRIQDIELKIPPRPPGYCFVEFENSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSVDRRGGYGGRSSSRYGISKHSEYRVIVTGLPSSASWQDLKDHMRKAGDVCFAEVSTDREGTFGLVDYTNYDDMKYAIRKLDDSVFKNPWTSTYIRVREYKSSPSRSHSRSRSRSRSPRRSRSKSAGRSVSRSPPPRSRSASPVKSSRPLSKSRSRSRSKSRSKSASPRRARSNSG >itb04g14850.t3 pep chromosome:ASM357664v1:4:15590922:15595086:-1 gene:itb04g14850 transcript:itb04g14850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPLDIREWEIEDLFYKYGRIQDIELKIPPRPPGYCFVEFENSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSVDRRGGYGGRSSSRYGISKHSEYRVIVTGLPSSASWQDLKDHMRKAGDVCFAEVSTDREGTFGLVDYTNYDDMKYAIRKLDDSVFKNPWTSTYIRVREYKSSPSRSHSRSRSRSRSPRRSRSKSAGRSVSRSPPPRSRSASPVKSSRPLSKSRSRSRSKSRSKSASPRRARSNSG >itb04g14850.t1 pep chromosome:ASM357664v1:4:15590922:15595157:-1 gene:itb04g14850 transcript:itb04g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPLDIREWEIEDLFYKYGRIQDIELKIPPRPPGYCFVEFENSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSVDRRGGYGGRSSSRYGISKHSEYRVIVTGLPSSASWQDLKDHMRKAGDVCFAEVSTDREGTFGLVDYTNYDDMKYAIRKLDDSVFKNPWTSTYIRVREYKSSPSRSHSRSRSRSRSPRRSRSKSAGRSVSRSPPPRSRSASPVKSSRPLSKSRSRSRSKSRSKSASPRRARSNSG >itb04g14850.t4 pep chromosome:ASM357664v1:4:15590962:15595157:-1 gene:itb04g14850 transcript:itb04g14850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILATVITDTPLLYFRMSGRFSRTIYVGNLPLDIREWEIEDLFYKYGRIQDIELKIPPRPPGYCFVEFENSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSVDRRGGYGGRSSSRYGISKHSEYRVIVTGLPSSASWQDLKDHMRKAGDVCFAEVSTDREGTFGLVDYTNYDDMKYAIRKLDDSVFKNPWTSTYIRVREYKSSPSRSHSRSRSRSRSPRRSRSKSAGRSVSRSPPPRSRSASPVKSSRPLSKSRSRSRSKSRSKSASPRRARSNSG >itb12g01510.t1 pep chromosome:ASM357664v1:12:1032489:1033981:-1 gene:itb12g01510 transcript:itb12g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT5G16600) UniProtKB/TrEMBL;Acc:Q9SPG7] MGRKPCCDKVGLKKGPWTTEEDKKLINFILKNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDYEEKMVIDLHAQLGNRWSKIASYLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHRPLSSATIDDVNKETAKQRESGQEMDQREVVETPLMDQSTITDAFEEDNKNMETSPTLVDPLHQVNNNNGFFSSPDNNEILATSLSSSSSSSTSSLNSHGGFVSSVEDNNNNNNNVGSLFEDLDFLPGFDWHNDYINDVGLWVEDDDFFKELCFVDMFSH >itb15g18190.t1 pep chromosome:ASM357664v1:15:19639531:19640884:-1 gene:itb15g18190 transcript:itb15g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGESLHLLPCNSCWITTTLSAVNRNRRRTSLPSRRSRESHRKHKRLAIAAAASMVIDTGSVQLQQASPETQTPASDSEQFLPRRLILLRHAHSSWENRSLRDHDRPLSKAGQLAAAKVSHELWRLGWIPGLILCSDAVRTRETLKIMQEQVPAFLEAEVHFLSSFYSVAAMDGQTAEHLRQAICKYSKDDILTVM >itb09g25090.t1 pep chromosome:ASM357664v1:9:25022499:25030669:1 gene:itb09g25090 transcript:itb09g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGGTASAAASTSTTAISRFVKCVAVGDGAVGKTCLLISYTNNTFPTDYVPTVFDNFGVNVMVDGKCVNLALWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSFENISKKWVPELRHYAPSVPIVLVGTKLDLREDKQFKRDYPGACTISTEQGEELRKQMGAVAYVECSAKTQQNVKAVFDAAIKAVLQPSKSKKQRRKYKACRIL >itb09g25090.t2 pep chromosome:ASM357664v1:9:25022499:25025768:1 gene:itb09g25090 transcript:itb09g25090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGGTASAAASTSTTAISRFVKCVAVGDGAVGKTCLLISYTNNTFPTDYVPTVFDNFGVNVMVDGKCVNLALWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSFENISKKWVPELRHYAPSVPIVLVGTKLDLREDKQFKRDYPGACTISTEQGEELRKQMGAVAYVECSAKTQQVINNLPSLIY >itb01g03270.t2 pep chromosome:ASM357664v1:1:2157336:2161949:-1 gene:itb01g03270 transcript:itb01g03270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKASATAAAAATELRRVLWVWWKLVITLSLALCVLAFLRLQRYSPSDSELAFASANSVSISRRSRAPDGDFRGNPKIAFLFLAKKNLPLDFLWGSFFENADIANFSIYIHSEPGFVFDESTTRSTFFYNRQLKNSVKVAWGESSMIEAERLLFVAALDDPRNQRFVLLSDSCVPLHNFGYIYKYLVNSPRSFVDSFIDKKDGRYNPMMLPYIPKSKWRKGSQWITLIRKHAEVVADDEAIFPVFKKLCKKPQKQNNCISDEHYVQTLLEMLGLVRELERRTVTHTVWNCSEFETEKNWHPVTFYYRDARPENIKRIKGINNVYYETEFRTEWCRNNHTLVPCFLFARKFSRAAAMRLLSDEVVSQFDPSTAMH >itb01g03270.t3 pep chromosome:ASM357664v1:1:2157336:2161949:-1 gene:itb01g03270 transcript:itb01g03270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKASATAAAAATELRRVLWVWWKLVITLSLALCVLAFLRLQRYSPSDSELAFASANSVSISRRSRAPDGDFRGNPKIAFLFLAKKNLPLDFLWGSFFENADIANFSIYIHSEPGFVFDESTTRSTFFYNRQLKNSVKVAWGESSMIEAERLLFVAALDDPRNQRFVLLSDSCVPLHNFGYIYKYLVNSPRSFVDSFIDKKDGRYNPMMLPYIPKSKWRKGSQWITLIRKHAEVVADDEAIFPVFKKLCKRGPPIEASREKLNLKPQKQNNCISDEHYVQTLLEVSNTEIFI >itb01g03270.t4 pep chromosome:ASM357664v1:1:2159083:2161949:-1 gene:itb01g03270 transcript:itb01g03270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKASATAAAAATELRRVLWVWWKLVITLSLALCVLAFLRLQRYSPSDSELAFASANSVSISRRSRAPDGDFRGNPKIAFLFLAKKNLPLDFLWGSFFENADIANFSIYIHSEPGFVFDESTTRSTFFYNRQLKNSVKVAWGESSMIEAERLLFVAALDDPRNQRFVLLSDSCVPLHNFGYIYKYLVNSPRSFVDSFIDKKDGRYNPMMLPYIPKSKWRKGSQVNYLSLVVQM >itb01g03270.t1 pep chromosome:ASM357664v1:1:2157336:2161949:-1 gene:itb01g03270 transcript:itb01g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKASATAAAAATELRRVLWVWWKLVITLSLALCVLAFLRLQRYSPSDSELAFASANSVSISRRSRAPDGDFRGNPKIAFLFLAKKNLPLDFLWGSFFENADIANFSIYIHSEPGFVFDESTTRSTFFYNRQLKNSVKVAWGESSMIEAERLLFVAALDDPRNQRFVLLSDSCVPLHNFGYIYKYLVNSPRSFVDSFIDKKDGRYNPMMLPYIPKSKWRKGSQWITLIRKHAEVVADDEAIFPVFKKLCKRGPPIEASREKLNLKPQKQNNCISDEHYVQTLLEMLGLVRELERRTVTHTVWNCSEFETEKNWHPVTFYYRDARPENIKRIKGINNVYYETEFRTEWCRNNHTLVPCFLFARKFSRAAAMRLLSDEVVSQFDPSTAMH >itb04g25430.t1 pep chromosome:ASM357664v1:4:30032330:30033676:-1 gene:itb04g25430 transcript:itb04g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTSGSPCGACKFLRRKCVKGCVFAPYFCHEQGASHFAAIHKVFGASNASKLLSHLPVGDRAEAAITISYEAQARLQDPIYGCVSHIFALQQQVINLRAQLASLKEQAAQTFCNSSANPNHDRSWGRQACYSQENVQGWFGSGTLESVPQFPSNNVGEMGFYSNGNLNHHHLNPICNQTSILPEENMSYATSFEEGSSSMDSLDIQTDNKPWPAAYHDDRDDLQSVAFRYLQHH >itb10g04140.t2 pep chromosome:ASM357664v1:10:3921999:3930390:1 gene:itb10g04140 transcript:itb10g04140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKMKAKSTTSCPREKNGLHICQKSSVIYKNPLSQVKIAQNGELVDFIQNHHDVSFCSRAFLDDIREDRAQGDELHNAEDPPLEKKQLPLSDSATLSSMDSIPTTCTSSMETIFSPILESIDVHNESNVPSDGGSNDLYLPLLETEDSDSSGSSCEHHTCNVSDFYISDMIVSGLPTDDGLTYDDGSATMFFSDYKCEEPNMLTNMSEEYMVFPFLEHTAEAGHDNDNRTCRENITDSDQSSLYLAIHQLRSSDQESAVFTHSESDQSDCFDPHMYIRNMPDGPDVAKPAIVPNRLETKKPVTLVLDLDETLVHSTLEECDNADFTFPVFFNMKEHIVYVRQRPHLRSFLERVSDLFEIVIFTASQSIYAKQLLDILDPDGKYISRRAYRESCIFSDGSYTKDLTVLGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLEILADADDVRPIIAEKFGQMEIPNVLATKWTSIRYAILVF >itb10g04140.t3 pep chromosome:ASM357664v1:10:3922012:3930268:1 gene:itb10g04140 transcript:itb10g04140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKMKAKSTTSCPREKNGLHICQKSSVIYKNPLSQVKIAQNGELVDFIQNHHDVSFCSRAFLDDIREDRAQGDELHNAEDPPLEKKQLPLSDSATLSSMDSIPTTCTSSMETIFSPILESIDVHNESNVPSDGGSNDLYLPLLETEDSDSSGSSCEHHTCNVSDFYISDMIVSGLPTDDGLTYDDGSATMFFSDYKCEEPNMLTNMSEEYMVFPFLEHTAEAGHDNDNRTCRENITDSDQSSLYLAIHQLRSSDQESAVFTHSESDQSDCFDPHMYIRNMPDGPDVAKPAIVPNRLETKKPVTLVLDLDETLVHSTLEECDNADFTFPVFFNMKEHIVYVRQRPHLRSFLERVSDLFEIVIFTASQSIYAKQLLDILDPDGKYISRRAYRESCIFSDGSYTKDLTVLGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLEILADADDVRPIIAEKFGQMEIPNVLATKWTSIRPTTYLSLV >itb10g04140.t1 pep chromosome:ASM357664v1:10:3921999:3930390:1 gene:itb10g04140 transcript:itb10g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKMKAKSTTSCPREKNGLHICQKSSVIYKNPLSQVKIAQNGELVDFIQNHHDVSFCSRAFLDDIREDRAQGDELHNAEDPPLEKKQLPLSDSATLSSMDSIPTTCTSSMETIFSPILESIDVHNESNVPSDGGSNDLYLPLLETEDSDSSGSSCEHHTCNVSDFYISDMIVSGLPTDDGLTYDDGSATMFFSDYKCEEPNMLTNMSEEYMVFPFLEHTAEAGHDNDNRTCRENITDSDQSSLYLAIHQLRSSDQESAVFTHSESDQSDCFDPHMYIRNMPDGPDVAKPAIVPNRLETKKPVTLVLDLDETLVHSTLEECDNADFTFPVFFNMKEHIVYVRQRPHLRSFLERVSDLFEIVIFTASQSIYAKQLLDILDPDGKYISRRAYRESCIFSDGSYTKDLTVLGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLEILADADDVRPIIAEKFGQMEIPNVLATKWTSIRSRENSIHGRCFGIIAFPATFSRSMYLLYV >itb09g22590.t1 pep chromosome:ASM357664v1:9:20978356:20982651:1 gene:itb09g22590 transcript:itb09g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNSILEVSSATSSECTVNCEDLAWNFLTPLLTASFILLILHFLNEFMELSCGAGDFEYEDPQRQEAARKTVPTDKLEEKALISLAREGNFEPTKNEQDHAFLLQLLFWFKQSFRWVNEPPCDSCGNETRNEGMGTANPSELQYGASRVELYRSWKQGRGAVGSGPIASHSIVELLVMNHAW >itb15g01560.t2 pep chromosome:ASM357664v1:15:934005:935687:1 gene:itb15g01560 transcript:itb15g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFVLAVSAFLTIFNPFAPAASVLHGEHYHHHGMALNFSYSGTTGPARWGALNPAFSACSNGKSQSPINILRHKAIINKKLKPLEMKYSRSVNATLMDNGFNVGISYGEDAGALVLEGKIYRLKQMHWHAPSEHQIDGFHFAAELHLVHIAPDNSVAVLAVLLKLGHPDPLLAKIQKQLTELPRELLRQEKPRISLGNLNTHELVKFSHSHKYYRYVGSLTTPPCTEDIIWTVIGKVYQDYSST >itb15g01560.t1 pep chromosome:ASM357664v1:15:933867:935997:1 gene:itb15g01560 transcript:itb15g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFVLAVSAFLTIFNPFAPAASVLHGEHYHHHGMALNFSYSGTTGPARWGALNPAFSACSNGKSQSPINILRHKAIINKKLKPLEMKYSRSVNATLMDNGFNVGISYGEDAGALVLEGKIYRLKQMHWHAPSEHQIDGFHFAAELHLVHIAPDNSVAVLAVLLKLGHPDPLLAKIQKQLTELPRELLRQEKPRISLGNLNTHELVKFSHSHKYYRYVGSLTTPPCTEDIIWTVIGKIHSVSREQVVALRAPLDSTCKRNSRPIQPLDGRHVEFYER >itb03g17240.t1 pep chromosome:ASM357664v1:3:15912519:15915941:-1 gene:itb03g17240 transcript:itb03g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPLNPAATGSSFSQYNYLNGTYFPTPFHLQQPPQPYIGAAFPPVQTSAPSVVPAVYPAPVPLPGTPLAQYQQAQQLFQRVAQTITPEALQNVKAALASSEIEHKAEAKKKAVPRKAAGQTWEDPTLADWPENDHRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKIKGYGFVSFSNPSDLAAALKEMNGKYVGNRPIKLRKSRWQDRIDYEALERQKNQSQKKAKLPKKSVLHK >itb03g17240.t2 pep chromosome:ASM357664v1:3:15913011:15915941:-1 gene:itb03g17240 transcript:itb03g17240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPLNPAATGSSFSQYNYLNGTYFPTPFHLQQPPQPYIGAAFPPVQTSAPSVVPAVYPAPVPLPGTPLAQYQQAQQLFQRVAQTITPEALQNVKAALASSEIEHKAEAKKKAVPRKAAGQTWEDPTLADWPENDHRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVSLLEHHLYFLTTVAL >itb03g22680.t2 pep chromosome:ASM357664v1:3:20710933:20717938:1 gene:itb03g22680 transcript:itb03g22680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDLVLFTGDFGNENVDLVKSIADLEITKAVILGNHDAWNTSQFSGKEKDGVQLQLECLGDEHVGFRRMDLHTLKLSIIGGRPFSCGGSQLFRKKLLIARYAVHDMNRSAEKIYENAVGAPQDHSVIFLAHNGPTGLGSAVDDICGKDWWPEGGDHGDPDLAQAISRLKETAQLHAPLVVFGHMHKDLAYGGHRKMLVVGEDNTIYLNGAIVPRVKRVEKDNCTLRAFTIADILDGRVEKITETWVSVAGNNTTLEKEQLMFSR >itb03g22680.t1 pep chromosome:ASM357664v1:3:20710933:20717938:1 gene:itb03g22680 transcript:itb03g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTAVVHTCRNTASILKSTTRIRSPAVRYCKSAVNLRLKPLKRNSRHFSMASSVRIVVVGDVHDDWDLEEDRKALQYLKPDLVLFTGDFGNENVDLVKSIADLEITKAVILGNHDAWNTSQFSGKEKDGVQLQLECLGDEHVGFRRMDLHTLKLSIIGGRPFSCGGSQLFRKKLLIARYAVHDMNRSAEKIYENAVGAPQDHSVIFLAHNGPTGLGSAVDDICGKDWWPEGGDHGDPDLAQAISRLKETAQLHAPLVVFGHMHKDLAYGGHRKMLVVGEDNTIYLNGAIVPRVKRVEKDNCTLRAFTIADILDGRVEKITETWVSVAGNNTTLEKEQLMFSR >itb13g03050.t1 pep chromosome:ASM357664v1:13:3022454:3023306:1 gene:itb13g03050 transcript:itb13g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNVSLASLDQKLAMAKRCSREGVVAGAKAAVAATIATAIPTVASVRMLPWARANLNPTAQALIVSTAAGMAYFIVADKTVLATARRNSFNTTIDA >itb04g02940.t1 pep chromosome:ASM357664v1:4:1818650:1823119:1 gene:itb04g02940 transcript:itb04g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDSKIWGSGNAVKEPKGNHLKCSNISNDDFTFIMNTTFAQQNEVVDASENTEVNVTERVNLDRIRYGLVEAGCQDTTESSSSFEESISGVDESDLCGDVTSAVAFGEVGDLLSMRGKKVTSHWSSFIKPIEWRCKWVELQLWKLKSLAQKYEKELAQYSDKKQFEFKNFGSEDTNSKSIPFPFTQQRNKVLERKRRRRNEDKLDIAQYMSKHNLFSFYENRTSATDGAFRNDDRFSPAMVSTEKLKSGNELKIDEELVQLMHMDGNNSMEQILRKIDGLQSQVSGLKARLGKVMRENPVKFTCADNPGLDVPSNALTKSRNLTALSTGNEDRMIEGSPIASQLLAEYSKDGVMIERSPIPIATQLLAEYSKDSVIPCKDGVTASTDQSLVVGTRVNNEDVFMIYDSRMKERMRSFQEVEIPPEGKVRVIEGGQGQRRAIPPALMEPFDDQPARGLLSITDKTGRGRSISSARSERS >itb09g16270.t1 pep chromosome:ASM357664v1:9:11480391:11480627:-1 gene:itb09g16270 transcript:itb09g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDF >itb10g20150.t1 pep chromosome:ASM357664v1:10:25799701:25805773:1 gene:itb10g20150 transcript:itb10g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGMEINPKTFVEEEDEDDDDEGPPPGFDSIAIRSQLENMDVEDGGGEEDEDDVNDDDDDDDGPPPGFAELHRPATPPLSTTNHSDTQRLRNQGLSDVEIGKEQNETKYEEDRPPLGWQSTSPKQPHQSMTSSELVSGTQMRSIEEDDDGPPPGWQWNPQQQPLPESIPPPGLQSAPSSNIQMGITQEDDDGPPPGWQLISSQQKPPQTTPPLLPQSVAPSDIEKENKGGNKWEIEWRQLGSESSPMPEHSSTPTRHSSVASSDIEMATKQQSIKNEQKKLCTDRGPTQRLVTRLPPAALQISSEVGQLVCGSCRQLLSYPRGSKWVQCLACQTVNLALEGHQIGQVKCGGCTVLLMYPYGAPSVKCSSCHFVTRIGAHNRRPHLAAQQARRQRPSHQAH >itb10g20150.t2 pep chromosome:ASM357664v1:10:25799701:25805692:1 gene:itb10g20150 transcript:itb10g20150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSELVSGTQMRSIEEDDDGPPPGWQWNPQQQPLPESIPPPGLQSAPSSNIQMGITQEDDDGPPPGWQLISSQQKPPQTTPPLLPQSVAPSDIEKENKGGNKWEIEWRQLGSESSPMPEHSSTPTRHSSVASSDIEMATKQQSIKNEQKKLCTDRGPTQRLVTRLPPAALQISSEVGQLVCGSCRQLLSYPRGSKWVQCLACQTVNLALEGHQIGQVKCGGCTVLLMYPYGAPSVKCSSCHFVTRIGAHNRRPHLAAQQARRQRPSHQAH >itb10g20150.t3 pep chromosome:ASM357664v1:10:25799701:25805692:1 gene:itb10g20150 transcript:itb10g20150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGMEINPKTFVEEEDEDDDDEGPPPGFDSIAIRSQLENMDVEDGGGEEDEDDVNDDDDDDDGPPPGFAELHRPATPPLSTTNHSDTQRLRNQGLSDVEIGKEQNETKYEEDRPPLGWQSTSPKQPHQSMTSSELVSGTQMRSIEEDDDGPPPGWQWNPQQQPLPESIPPPGLQSAPSSNIQMGITQEDDDGPPPGWQLISSQQKPPQTTPPLLPQSVAPSDIEMATKQQSIKNEQKKLCTDRGPTQRLVTRLPPAALQISSEVGQLVCGSCRQLLSYPRGSKWVQCLACQTVNLALEGHQIGQVKCGGCTVLLMYPYGAPSVKCSSCHFVTRIGAHNRRPHLAAQQARRQRPSHQAH >itb04g00970.t1 pep chromosome:ASM357664v1:4:520942:523598:-1 gene:itb04g00970 transcript:itb04g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMNFIKARKSSMLQYSCKLFTTQTLPGSASPSFAQRIRDLPKNTPGTNVKTEVSQLIGKTPLVYLNKVTEGCGAYVAAKQEMMQPTASIKDRPALAMILDAEKRGLISPEQTTLIEPTSGNMGISMAFMAAVKGYKMVLTMPSYTSIERRVTMRVFGADLVLTDPTKGMGGTVKKAYSLLESTPNAVMLQQFSNPVNTQIHYETTGPEIWEDTQGNVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGIEPTESNILNGGKPGPHHITGNGVGFKPDILDMDVMEEVLMVSSEEAVNMARELALKEGLMVGISSGANTVAALRLASRPENKGKLIVTVHPSFGERYLSSVLYQELRAEAEAMQPVPVD >itb04g00970.t2 pep chromosome:ASM357664v1:4:520942:522996:-1 gene:itb04g00970 transcript:itb04g00970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPTASIKDRPALAMILDAEKRGLISPEQTTLIEPTSGNMGISMAFMAAVKGYKMVLTMPSYTSIERRVTMRVFGADLVLTDPTKGMGGTVKKAYSLLESTPNAVMLQQFSNPVNTQIHYETTGPEIWEDTQGNVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGIEPTESNILNGGKPGPHHITGNGVGFKPDILDMDVMEEVLMVSSEEAVNMARELALKEGLMVGISSGANTVAALRLASRPENKGKLIVTVHPSFGERYLSSVLYQELRAEAEAMQPVPVD >itb01g04270.t1 pep chromosome:ASM357664v1:1:2902496:2904488:-1 gene:itb01g04270 transcript:itb01g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGSMLEQILTRASSSGSNKKFAVQVANFSVFETVYALGQCTPDLSNVDCQICFRKIIAILPGFCYGAQGGRVAYPSCYVRYELFPFYNLSAIAPPAPPPIHPPSPSPPSTNMLPNSATSKGNKGKSSAKVIIIIIAAASVVSVTAILLSALCFYFLKMKRATKSNSDVKETTSGKVSQWARGSSEEVVEDFNTGSRRIQE >itb07g03270.t1 pep chromosome:ASM357664v1:7:2211455:2215030:-1 gene:itb07g03270 transcript:itb07g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLELITKASAETNQHEPDLSYPIILNPEPGMLELKTQGHDAKDDFLVKRASEWTISKTDSEIIELGQSFFKKLEKELRKPNTFKQDEFLKILRSYLENIAGKLGTGDNINQSDISYVGKLVGKSGLFMGRDVRGLVLEACIVVECWEVLESLIVNGLVEHSCFSSLVSNLIEKKRSDLIVLCVKHLSDLQANDVMCILKYFLSLPRDGYTSIVSVRKEWESQALLAIQRLKECDGQALLAIEKVKDKNLRKRLMLVRDASLLFMIAYDGFSVTELCLHYLLSSRNHDEVILAACISKLNGSEMMNLVRYLRKWLQKYERFPSVSSCPEASSVLGLKACDWIPKVEDIVICLGLVLDEHFSTLVLHPEFREELKSLNEIVNSLAAEARLCGTISTLTQALREKIKDAKSETNNAEGTKFEDKDISSLNDIFVVTRTRLSKTMKLL >itb07g03270.t2 pep chromosome:ASM357664v1:7:2211403:2215176:-1 gene:itb07g03270 transcript:itb07g03270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLELITKASAETNQHEPDLSYPIILNPEPGMLELKTQGHDAKDDFLVKRASEWTISKTDSEIIELGQSFFKKLEKELRKPNTFKQDEFLKILRSYLENIAGKLGTGDNINQSDISYVGKLVGKSGLFMGRDVRGLVLEACIVVECWEVLESLIVNGLVEHSCFSSLVSNLIEKKRSDLIVLCVKHLSDLQANDVMCILKYFLSLPRDGYTSIVSVRKEWESQALLAIQRLKECDGQALLAIEKVKDKNLRKRLMLVRDASLLFMIAYDGFSVTELCLHYLLSSRNHDEVILAACISKLNGSEMMNLVRYLRKWLQKYERFPSVSSCPEASSVLGLKACDWIPKVEDIVICLGLVLDEHFSTLVLHPEFREELKSLNEIVNSLAAEARLCGTISTLTQALREKIKDAKSETNNAEGTKFEDKDISSLNDIFVVTRTRLSKTMKLL >itb07g03270.t3 pep chromosome:ASM357664v1:7:2211879:2215030:-1 gene:itb07g03270 transcript:itb07g03270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLELITKASAETNQHEPDLSYPIILNPEPGMLELKTQGHDAKDDFLVKRASEWTISKTDSEIIELGQSFFKKLEKELRKPNTFKQDEFLKILRSYLENIAGKLGTGDNINQSDISYVGKLVGKSGLFMGRDVRGLVLEACIVVECWEVLESLIVNGLVEHSCFSSLVSNLIEKKRSDLIVLCVKHLSDLQANDVMCILKYFLSLPRDGYTSIVSVRKEWESQALLAIQRLKECDGQALLAIEKVKDKNLRKRLMLVRDASLLFMIAYDGFSVTELCLHYLLSSRNHDEVILAACISKLNGSEMMNLVRYLRKWLQKYERFPSVSSCPEASSVLGLKACDWIPKVEDIVICLGLVLDEHFSTLVLHPEFREELKSLNEIVNSLAAEARLCGTISTLTQALREKIKDAKSETNNAEGTKFEDKVRRKY >itb07g03270.t4 pep chromosome:ASM357664v1:7:2211405:2215176:-1 gene:itb07g03270 transcript:itb07g03270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLELITKASAETNQHEPDLSYPIILNPEPGMLELKTQGHDAKDDFLVKRASEWTISKTDSEIIELGQSFFKKLEKELRKPNTFKQDEFLKILRSYLENIAGKLGTGDNINQSDISYVGKLVGKSGLFMGRDVRGLVLEACIVVECWEVLESLIVNGLVEHSCFSSLVSNLIEKKRSDLIVLCVKHLSDLQANDVMCILKYFLSLPRDGYTSIVSVRKEWESQALLAIQRLKECDGQALLAIEKVKDKNLRKRLMLVRDASLLFMIAYDGFSVTELCLHYLLSSRNHDEVILAACISKLNGSEMMNLVRYLRKWLQKYERFPSVSSCPEASSVLGLKACDWIPKVEDIVICLGLVLDEHFSTLVLHPEFREELKSLNEIVNSLAAEARLCGTISTLTQALREKIKDAKSETNNAEGTKFEDKVRRKY >itb13g23110.t2 pep chromosome:ASM357664v1:13:29218587:29222771:-1 gene:itb13g23110 transcript:itb13g23110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSQTYHTKGGPLRGQYRFSHGRNHANNHNATLAPNTYNNTKYFDYSFTHQGDFDHPNDIRKNFMEYGYNYNSNCVKPEGEPSAKRRKCLSSSWGSSASYHQQLHACNNVPPRQPSTHNNMHPRNQGAYDSVTSICNNNSSIAISTASTSNRSFSNGHASNNYKRGRSEFEDDDNEVVFMSREEIERCSPSRKDGIDAVREMHLRYSYCAFLQTLGARLELPQTTIGTAMVLCHRFFVRRSHACHDRFLVATAALFLAAKSEETPCPLNNVLRSASEIFHKQDFSYLSYALPVDWFELYRERITEAEQLILTTLNFELNVQHPYTSLTSTLEKLGFSQTVLVNLALNLVSEGVFRRIILVACMILLAGG >itb13g23110.t1 pep chromosome:ASM357664v1:13:29215347:29222771:-1 gene:itb13g23110 transcript:itb13g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSQTYHTKGGPLRGQYRFSHGRNHANNHNATLAPNTYNNTKYFDYSFTHQGDFDHPNDIRKNFMEYGYNYNSNCVKPEGEPSAKRRKCLSSSWGSSASYHQQLHACNNVPPRQPSTHNNMHPRNQGAYDSVTSICNNNSSIAISTASTSNRSFSNGHASNNYKRGRSEFEDDDNEVVFMSREEIERCSPSRKDGIDAVREMHLRYSYCAFLQTLGARLELPQTTIGTAMVLCHRFFVRRSHACHDRFLVATAALFLAAKSEETPCPLNNVLRSASEIFHKQDFSYLSYALPVDWFELYRERITEAEQLILTTLNFELNVQHPYTSLTSTLEKLGFSQTVLVNLALNLVSEGLRSSLWLQFKPHQIAAGAAYLAAKFLNMNMASGHKIWQEFQTPPPVLKDVAQQLMELF >itb13g23110.t3 pep chromosome:ASM357664v1:13:29215347:29217356:-1 gene:itb13g23110 transcript:itb13g23110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLITYLLYSWYSIDILQKILGFIHLHCCSVSWLRSSLWLQFKPHQIAAGAAYLAAKFLNMNMASGHKIWQEFQTPPPVLKDVAQQLMELF >itb13g23110.t4 pep chromosome:ASM357664v1:13:29215347:29217356:-1 gene:itb13g23110 transcript:itb13g23110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLITYLLYSWYSIDILQKILGFIHLHCCSVSWLRSSLWLQFKPHQIAAGAAYLAAKFLNMNMASGHKIWQEFQTPPPVLKDVAQQLMELF >itb15g08130.t1 pep chromosome:ASM357664v1:15:5705195:5706207:-1 gene:itb15g08130 transcript:itb15g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGSWQSPFTARVEVALKLKGVEYEFIQEDLSNKSPLLLKSNPVHKQIPVLLHNGNPIAESLVILEYIDDTFPGTPILPKDPYERALARFWAKFFDEKFSPAARKVRYTKGEEQEKAKEEVGELLKVLDNELKKKKFLGGETIGLADIAGNFVALWVGVLEEVLEVNLGVTEEKFPHFCRWKQDFLNCNVIKETFPPRDKLVAHYSERIKPAAASASE >itb09g18550.t1 pep chromosome:ASM357664v1:9:14467884:14471293:-1 gene:itb09g18550 transcript:itb09g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNVEMGAFLVLLFLISLSGAVRKEGMVTQKLEVQKHLNRLNKPAIKSIKSPDGDIIDCVHVSHQPAFQHPSFKNHTIQMRPNYHPEGLFKDGKFSSTLKSGGDGSNTIPQLWHLSGKCPEGTIPIRRTKEEDLLRASSIKSYGKKHLKSVPKPRSAEPDLISQNGHQHAIAYVEGGQYYGAKATINVWEPKIQQSNEFSLSQLWILGGDFNSDLNSIEAGWQVSPDLYGDHNTRLFTYWTSDAYQATGCYNLLCSGFIQINNDIAMGATIFPLSSYQGSQYDISILVWKDQKEGNWWMQFGNNYVLGYWPGFLFSNLQDSASMVEWGGEVVNSENDGMHTTTQMGSGHFPGEGFGKASYFRNIQVVDGSNNLRPPQDLGIYTEDDNCYTVQLGNNGDWGNYFYYGGPGRNEKCP >itb02g00780.t1 pep chromosome:ASM357664v1:2:443447:444627:1 gene:itb02g00780 transcript:itb02g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALVEDTDMPGKMQIQAMACASQALDLYDVLDCKSIAAHIKKEFDKAYGGGWQCVVGSHFSCFFTHTKGTFIYFTLETLNFLIFKGSSSPPTSP >itb07g21840.t1 pep chromosome:ASM357664v1:7:26377258:26378387:1 gene:itb07g21840 transcript:itb07g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSLVELDISNCISLVELPDMESLSSLEILGIRNCISLVELPNMESLSSLKCLNIRNCNALTIPDNYLHEEDFPIVLRSLSSSLNEIDLMGRYYLQSLLLNLCHHSNLKYLCLDDLQNLRLLPQLPPNLEILSAKNCVSLEKIADLSNLKRLHELDIQNCKSLVELSGLESLESLTVLGIANCIGLRIPSIEKWFKAHSKGDSVDISLCANYGSVLCIFRKPMGDVQLQIMHSVIDPCSEIEGCNGIRLSARIKSSGAWFIEQQKYFNRKSESFIAICFDFPTMMGEVLEVCVELKDHVQIIFCLFEIHRNREGEVRFFPSTRGFIPAS >itb01g05880.t2 pep chromosome:ASM357664v1:1:4089357:4095530:1 gene:itb01g05880 transcript:itb01g05880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MEKQSRGRGIEENTMAILDTSGFNRDSHHRNDDRLAFLEAVRSASLVPDDGIAPTKTMLGAIFQILKDESTLELVVASYQLLNELDKRFPRVYLPEIEKSESSSPTDLVVVEEAWSPFSFGLDSEKDESNKDSGRSIKPLGFHYLVQDLGEVVKEGKPKATEIKTLRNMLLLQYLVNVLERDTLPRIHSYKENMNWNLIRESLLNMLLGSRKIIYKSLIKDCLSAMCYMYHEMSQTQNFSVELSANCNTAVVMALPEVKSCACVSLKKLLLLIIELDSARNSADLQGLTNRADGVRTPVSEIILDEITYNSDILSSFFQIFDEPKQKLKLIVQYLQKYIPKSSVRTRRSNGSANDATFESILKCFSNANSTKSIIKKISGDVAQLLLGHAFQAYLSMCDTDSEEGVKSCSLPEVCQNIITAFTCIKREDKQTELSVFSKETLFAAATILSLKS >itb01g05880.t1 pep chromosome:ASM357664v1:1:4089357:4095530:1 gene:itb01g05880 transcript:itb01g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MEKQSRGRGIEENTMAILDTSGFNRDSHHRNDDRLAFLEAVRSASLVPDDGIAPTKTMLGAIFQILKDESTLELVVASYQLLNELDKRFPRVYLPEIEKSESSSPTDLVVVEEAWSPFSFGLDSEKDESNKDSGRSIKPLGFHYLVQDLGEVVKEGKPKATEIKTLRNMLLLQYLVNVLERDTLPRIHSYKENMNWNLIRESLLNMLLGSRKIIYKSLIKDCLSAMCYMYHEMSQTQNFSVELSANCNTAVVMALPEVKSCACVSLKKLLLLIIELDSARNSADLQGLTNRADGVRTPVSEIILDEITYNSDILSSFFQIFDEPKQKLKLIVQYLQKYIPKSSVRTRRSNGSANDATFESILKCFSNANSTKSIIKKISGDVAQLLLGHAFQAYLSMCDTDSEEGVKSCSLPEVCQNIITAFTCIKREDKQTELSVFSKETLFAAATILSLKS >itb15g12590.t1 pep chromosome:ASM357664v1:15:10492610:10496024:-1 gene:itb15g12590 transcript:itb15g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAIITEEPDPPTPPPKRRTSPPPKPRTPPPPSTRTSPTTKNTANPFQFWFYFTVLVSLVTLFFIFLSSFSPKDPKAWFLSLPPNLRRHYSNGRTIKVQTAPNHPQVEVFSIQEGPIKSSDHVLIVHGLGCSTFAFQKIVKSLGQKGVHAVAIDLPGSGFSDKSVVVEEESGDGGGVVSLWDVYNEIREKGLFWGFDQLVEKGYVNYEESEVPKKKRVKAIELDPEEMGRVLGQVIEAMGLAPVDLVLHDSALSLSANWVSENPGILRSVTILDSEPIATALPLWALGVPVIREAVLHSGFLFKRLLEKYCCESVSKSDAEAHRILLAGRDGRRAVVGMGKRLNYSLDLAEWSASDGVKALPMQVIWSTGLSKEWSENGQRVAASLPRAKFVTHSGGRWPQEHSADEIAESIYHFVSPLPKSIKQSEEEQVPEHIQKMLNEAQNSGHDHHHHHHGHGHEHHDGHGHGNAHAGYMDAYGLGHGWGM >itb07g22970.t1 pep chromosome:ASM357664v1:7:27447950:27448321:1 gene:itb07g22970 transcript:itb07g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAADRKTCSGAFSPYGEAENPARMKVDHHRCHRHLHSGYIVRLRHKFDASRRHFKHRPHLFWRRLSRSHSHMGRSFAGGASVAQSTTRRRIFTSQCQPPLPSLIVGENRATNVDNDERRC >itb02g03610.t1 pep chromosome:ASM357664v1:2:2128457:2129322:1 gene:itb02g03610 transcript:itb02g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVIFALLFVVVAGMASAASSPSSSPSAAPAGAPEKATGAPQASPAAAPKKADAASPSAGSPLAGSSSDSPAAASTETESPAGSPAGTPEAEPSSPPAPDSAASPVADGPSDAPAEAPADDEGGAAALKVSAALGAAAVAGFFF >itb07g14580.t4 pep chromosome:ASM357664v1:7:17268000:17271643:1 gene:itb07g14580 transcript:itb07g14580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAACSSPLQRSKRTAEIIWDARKEEILTEFDLREIDLYSFQGLLKNEGKAKYGAAYRQWQIDAPNFNIDGHYPVRELWARAKSCWEKILLHESKSILVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPNICLNRLNQTPGSPVATGGSGGRKTSKRIILVCHGVSESDLKSSIPYCGDGPMNMLGVIQAQKTAELLLDVKVNTIVSGNKMASVETANSISRVQEAADCLGADCVPRYVETKQIDDLDVETILKQSKKVIYVLFL >itb07g14580.t6 pep chromosome:ASM357664v1:7:17269821:17272466:1 gene:itb07g14580 transcript:itb07g14580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MWAACSSPLQRSKRTAEIIWDARKEEILTEFDLREIDLYSFQGLLKNEGKAKYGAAYRQWQIDAPNFNIDGHYPVRELWARAKSCWEKILLHESKSILVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPNICLNRLNQTPGSPVATGGSGGRKTSKRIILVCHGVSESDLKSSIPYCGDGPMNMLGVIQVR >itb07g14580.t1 pep chromosome:ASM357664v1:7:17268000:17272693:1 gene:itb07g14580 transcript:itb07g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAACSSPLQRSKRTAEIIWDARKEEILTEFDLREIDLYSFQGLLKNEGKAKYGAAYRQWQIDAPNFNIDGHYPVRELWARAKSCWEKILLHESKSILVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPNICLNRLNQTPGSPVATGGSGGRKTSKRIILVCHGVSESDLKSSIPYCGDGPMNMLGVIQAQKTAELLLDVKVNTIVSGNKMASVETANSISRVQEAADCLGADCVPRYVETKQIDDLDVETILKQSKKDPSGLQNVQSGWLNRLENETTSSLWDQSQAAWKLLLQELSHGGEEDSVVVAVGHPAAHIAMMGHCLNLTKEWMGSFHLDAGSISVVDFPDGASGRGVIRCINYTAHLGRWSIPITRSTLDDEEF >itb07g14580.t3 pep chromosome:ASM357664v1:7:17268105:17272466:1 gene:itb07g14580 transcript:itb07g14580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAATSQTHFFFLQKPSKVRNPSRPSTAVRSSSSVTEERGKTTPFPGEEALGAKQIPDLKAAKRVVLVRHGQSTWNAEGRIQGSSDFAVLTQKGEAQAETSRQMLIDDSFDVCFSSPLQRSKRTAEIIWDARKEEILTEFDLREIDLYSFQGLLKNEGKAKYGAAYRQWQIDAPNFNIDGHYPVRELWARAKSCWEKILLHESKSILVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPNICLNRLNQTPGSPVATGGSGGRKTSKRIILVCHGVSESDLKSSIPYCGDGPMNMLGVIQVR >itb07g14580.t2 pep chromosome:ASM357664v1:7:17268000:17272693:1 gene:itb07g14580 transcript:itb07g14580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAATSQTHFFFLQKPSKVRNPSRPSTAVRSSSSVTEERGKTTPFPGEEALGAKQIPDLKAAKRVVLVRHGQSTWNAEGRIQGSSDFAVLTQKGEAQAETSRQMLIDDSFDVCFSSPLQRSKRTAEIIWDARKEEILTEFDLREIDLYSFQGLLKNEGKAKYGAAYRQWQIDAPNFNIDGHYPVRELWARAKSCWEKILLHESKSILVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPNICLNRLNQTPGSPVATGGSGGRKTSKRIILVCHGVSESDLKSSIPYCGDGPMNMLGVIQAQKTAELLLDVKVNTIVSGNKMASVETANSISRVQEAADCLGADCVPRYVETKQIDDLDVETILKQSKKDPSGLQNVQSGWLNRLENETTSSLWDQSQAAWKLLLQELSHGGEEDSVVVAVGHPAAHIAMMGHCLNLTKEWMGSFHLDAGSISVVDFPDGASGRGVIRCINYTAHLGRWSIPITRSTLDDEEF >itb07g14580.t5 pep chromosome:ASM357664v1:7:17269549:17272693:1 gene:itb07g14580 transcript:itb07g14580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWAACSSPLQRSKRTAEIIWDARKEEILTEFDLREIDLYSFQGLLKNEGKAKYGAAYRQWQIDAPNFNIDGHYPVRELWARAKSCWEKILLHESKSILVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPNICLNRLNQTPGSPVATGGSGGRKTSKRIILVCHGVSESDLKSSIPYCGDGPMNMLGVIQAQKTAELLLDVKVNTIVSGNKMASVETANSISRVQEAADCLGADCVPRYVETKQIDDLDVETILKQSKKDPSGLQNVQSGWLNRLENETTSSLWDQSQAAWKLLLQELSHGGEEDSVVVAVGHPAAHIAMMGHCLNLTKEWMGSFHLDAGSISVVDFPDGASGRGVIRCINYTAHLGRWSIPITRSTLDDEEF >itb11g05970.t1 pep chromosome:ASM357664v1:11:3568980:3569653:1 gene:itb11g05970 transcript:itb11g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDGGWKLRTKLNSLKRRLTLLACCGSSRNLRVSEMEEKAQLQARSRFYIDDSEEEEEHAMAMPRRSLNLATALAAERDLRSVGPTAQQMKTLVRLFEETDGRDENSAAIGGESLCCVCMERNRGAALIPCGHTYCRVCSRELWLNKRSCPLCNLSIRETLHIF >itb06g16860.t1 pep chromosome:ASM357664v1:6:20872312:20875431:1 gene:itb06g16860 transcript:itb06g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSPKFLEAPPPLFPSHHQMEERVGGNPFLDTFADPICKLNLKETGERRSSVTKRSVEGPSTPGRPIFSFSVGIFSRKSVPSKWDDAEKWVVNGTSCHDSPAHNPPQTLKSSNYSNGVSTTTDVLLKDKFTKEGTFFGNVSEKAMNCATPEVKQRDIGTEMTPVGSSPASRCHTPMKLTSPRGHNTPADRSGPLPPSSTSTIDIMQLQECHLAKLQLGTTPSNWSTREEEEEDVSKSLRHFEFEASNECQRSVSKPRAYSSWEEEVAKCCLRYQREEAQIQAWVNLQSAKAEAQSKKLEVKIQRMRSKKEEKLMKKMAIVERKAEEMRGAAQIQHCEQMQKGILLPSAMFVLCVEKAKGFTRLDLAL >itb13g04910.t1 pep chromosome:ASM357664v1:13:5801882:5802841:-1 gene:itb13g04910 transcript:itb13g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLATLPLLLLLSLFTSGYAATFEIRNNCPYTVWAAATPVGGGRQLDQGQSWTIDVPGGTRMARIWGRTNCNFDASGRGSCETGDCGGALQCTAWGKPPNTLAEFTLTGDNNFDTIDISLVDGFNVPLTFAPTNPGADKCRAVSCTADVNGQCPAALKVPGGCNNPCTTFGGQQYCCNEGPCGPTDYSRFFKGLCPDAYSYPQDDPSSTFGCPAGSTGYRVVFCP >itb06g17660.t1 pep chromosome:ASM357664v1:6:21452299:21453382:-1 gene:itb06g17660 transcript:itb06g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKAAAAEKAPAEKKPKAGKKLPKDGAAAAGDKKKKRTKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >itb01g34600.t1 pep chromosome:ASM357664v1:1:37206550:37208720:1 gene:itb01g34600 transcript:itb01g34600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHENYDPHFPDQPVVDLYLKTWAKLPAFKSKPAFIWVEDESNRSGLTYEELNTSVQCISLRLLGSLKRGDTVVVLCSPGLELVEIIFACQRAGLLVVPVSPPDPSFAGENFHHLVRVLSQTNPKAAIAESEYIKSVRQYISAPSSNTRLSKLLQELKWIPMEEIKNNNADTIAEEVYLIQYTSGATGIPKPVLVTAGAAAHNVRTARKAYDLHPNSVIVSWLPQYHDCGLMFLLLTVVSGATCVLTSPNAFVRRPRIWLEIISDYKATCTPVPSFALPLVLRRGAVDQRAGSVTSIDLSCMKNLIVINEPIYRSAIQEFVEMCKPYGLDPSAISPSYGLAENCTFVSTAWRVNGNGGVCDFPTYNQLLPSAKLPTNGEEEEEIEILVVDEETEAVVDDGTEGEIWVSSPSNASGYLHHPSLTRQVFHARARFRNTLIRQCFVRTDDRGVVSGEERYLYVTGRCSDAIKLPNRPPIHPHYIETAAYNACPHLLRGGCLAAFEFSSTIALVAELQRTDVGLDALGKACEAMREAALNEENGLSAPVGILVLVKSGSVPKTTSGKVQRWLAKHKLEKGMMAVITQVNFHDHGGHLQKNMGRKESIKAPFMAPTSNL >itb01g21380.t1 pep chromosome:ASM357664v1:1:27508774:27510111:1 gene:itb01g21380 transcript:itb01g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTSFCMIAFLVLLLAASQVVSRPVPVATFSDVTPVETNHGDKMAVRGGVEGSCAGEGEEECLMRRTMEAHIDYIYTQKQKQP >itb06g20130.t1 pep chromosome:ASM357664v1:6:23211214:23212152:1 gene:itb06g20130 transcript:itb06g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKSKKLQAQTAMIKQILKKLGKKHGYDEEGLPIDVPKGHFAVYVGENRTRYIVPISFLTQPEFQILLQRAEEEFGFDHGDMGLTIPCDEYVFENLTSMLR >itb01g03460.t1 pep chromosome:ASM357664v1:1:2279217:2280287:-1 gene:itb01g03460 transcript:itb01g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKNTVHPSPPLVSDKLAFLPAAILAITAALSPEDQEALAYLISCPSGNFSATRKTTTTSSSSFSGHPPSFNCYCFNCYMSFWVRWDSSPNRQLIHQVIDAYEDGLQSKKEKSRRERRKANKDSGSELKKPEVGLNEDDESGELVPGEENQESPISTTRGEEEDGYGEERGEYSWEFAIFGLYVKASVIHDLSENVNGGGAAPAGQS >itb04g09090.t1 pep chromosome:ASM357664v1:4:8405439:8406995:1 gene:itb04g09090 transcript:itb04g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCDKIGVKKGPWSPEEDQILISYIQRNGHANWRALPKQAGLLRCGKSCRLRWINYLSPEIKRGNFTKEEEDAIIHLHETLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLSNYEPPMKPIKHPKTTTATSEKHSNIIQHATGPTSPHQTSSDDMSSSSSATDSSAMTDTITEHPAMIKLENADSAEGFVEIDESFWTDVPSFGSPVSSLENFMEQSGGDMNMNMVEDDRDFWYNLFVRAGEISELAEF >itb12g22990.t1 pep chromosome:ASM357664v1:12:24865718:24867081:-1 gene:itb12g22990 transcript:itb12g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLAVQSDYTDQNEELPFTAITSTQTLQNLSFLPQKLAVNTQLRSDPIRLYTTAIRLVSRSPERGDIGFSFRKHFIREA >itb03g03710.t1 pep chromosome:ASM357664v1:3:2188079:2189005:-1 gene:itb03g03710 transcript:itb03g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSEPESLCKTHPEHKQPAGVCSCCLRERLSKISGAVMDSSAMSISSSPAAAPYNYSSASSSCSSGCVSPRGHRRITSDVAGRFSFITVGGGGDGGLKKSRSIAFAARRPARVLAGGKKKEGFWSKLIKSTGRRTKKAI >itb03g10520.t1 pep chromosome:ASM357664v1:3:8336645:8343390:-1 gene:itb03g10520 transcript:itb03g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLWTMLAVFAALSIIHGLLKKKKAKKLPPGPRGLPILGHLHLLGKNPHQHLSKLAKQYGPIMHLRLGFVDTIVASSPHAAQLFLKTHDLVFASRPPIEAAKYMSYNQKSMCFSQYGPYWRNMRKLCTLQVLSNYKVNSFRAMRREELRYLIDSLKQSALNCVAVDLSAKVSELSAEMSCRMVVGKKYENTDIDERGFKEQRSSLDSLEVDDVERGSGERKQQATASPERGEGSGVVTGTAQLCHRRLTSTQGTAQLCHRRLTSTQHQHRSPSSRLTSTQHQHRSPSTRLYRVANQHRSPSTRLYRVANQSGEDLQNTTFLKVVPSPQSLVSPSSSVIAGKSRDGGSRKNAPTARQNQS >itb05g17090.t3 pep chromosome:ASM357664v1:5:24148391:24149955:1 gene:itb05g17090 transcript:itb05g17090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFMAIALLILGLCILNTALLKWNDVKYRMMKSLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGCFFKSHILGCPTIVSMDPEMNRYILGNEAKGLVPGYPQSMLDILGKCNIAAVHGSAHKYMRGALLSLISPTMIRDQLLPKIDEFMRSHVSSWDGHVIDIQQNTKKMAFLSALKQIAGIESTSIAQEFMPQFFNLVLGTLSLPINLPNTNYRRGFQARKNIVCLLKKLIEERRGSGEKQQDMLGFLINEDENRYKLTDDEMVDLIITILYSGYETVSTTCMMAVKYLHDHPRALEELRVGLKTQSFSR >itb05g17090.t1 pep chromosome:ASM357664v1:5:24148391:24152910:1 gene:itb05g17090 transcript:itb05g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFMAIALLILGLCILNTALLKWNDVKYRMMKSLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGCFFKSHILGCPTIVSMDPEMNRYILGNEAKGLVPGYPQSMLDILGKCNIAAVHGSAHKYMRGALLSLISPTMIRDQLLPKIDEFMRSHVSSWDGHVIDIQQNTKKMAFLSALKQIAGIESTSIAQEFMPQFFNLVLGTLSLPINLPNTNYRRGFQARKNIVCLLKKLIEERRGSGEKQQDMLGFLINEDENRYKLTDDEMVDLIITILYSGYETVSTTCMMAVKYLHDHPRALEELRKEHMEIRAKKGQNDPINYNDYKSMRFTRAVIFETSRLATIVNGVLRKTTRDMELNGFIIPKGWRIYVYTREVNYDPRLYPDPYSFKPWRWLDKGLENQNNFLVFGGGTRQCPGKELGIAEISTFLHYFATRYRWEEVGGEKLIKFPRVEAPNGLHIRVSSYY >itb05g17090.t2 pep chromosome:ASM357664v1:5:24148391:24151058:1 gene:itb05g17090 transcript:itb05g17090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFMAIALLILGLCILNTALLKWNDVKYRMMKSLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGCFFKSHILGCPTIVSMDPEMNRYILGNEAKGLVPGYPQSMLDILGKCNIAAVHGSAHKYMRGALLSLISPTMIRDQLLPKIDEFMRSHVSSWDGHVIDIQQNTKKMAFLSALKQIAGIESTSIAQEFMPQFFNLVLGTLSLPINLPNTNYRRGFQARKNIVCLLKKLIEERRGSGEKQQDMLGFLINEDENRYKLTDDEMVDLIITILYSGYETVSTTCMMAVKYLHDHPRALEELRKEHMEIRAKKGQNDPINYNDYKSMRFTRAVIFETSRLATIVNGVLRKTTRDMELNGAYEQHHFCTLHTAIFRLFI >itb09g15860.t1 pep chromosome:ASM357664v1:9:11162457:11162840:1 gene:itb09g15860 transcript:itb09g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLQFEFDESEDCWVRSTTATKDEVAVSETRWSAVVPNLCHVKTAVMNCESSVVEQVAVTAVAVKSLLMKIPDWRRILGVAYQQVERARQDNDYNAVEEDEDEYFGLPPHVYLARARGRPCREGV >itb14g18750.t1 pep chromosome:ASM357664v1:14:21663679:21665606:1 gene:itb14g18750 transcript:itb14g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLDKKIVKKRVKKFKRPQSDRYISVKENWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHNVSTKKRKEIVERASQLDVVVTNKLARLRSQEDE >itb06g06580.t1 pep chromosome:ASM357664v1:6:9195211:9200443:1 gene:itb06g06580 transcript:itb06g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRPPKLPSTNNRSSWRPTLLHLLFAAAIFSIIVFTIQSSLFTGNGDVNVINREEIRILSDFQSSLQQCVANRGLGLTAHIIDHCNIILKFPQGTNSTWYNEQFKIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCYSRTLCEEHLNIILPAQPPFHPRQFRTCAVVGNSGDLLKTEFGPEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMITILNGSDDEVLIIKSLTHRDFNTMIKRIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRKQDWSDVPSREMINRAQKAALQLKRSAVDQVGGLGQFVNCKVWGNAGQYGSGPISGSPDMTDVRKNSKYSKWEVVPFKNLREETRQHFIQMEGVSMYKMDGNKLDDLVCVKHPLKSEAES >itb09g17380.t1 pep chromosome:ASM357664v1:9:12584922:12586291:-1 gene:itb09g17380 transcript:itb09g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKISLVLFFCLLFTVSALKAPLEGFLPNGNFEKQPKATNVKHTVLQGKYALPKWETSGIVEYISGGVQPSGMYFPVSNGIHAVRLGNEASISQTIRVKKGTLYALTFAASRTCAQQEVLRVSVPPASGDIPMQTVYSSNGGDVYGWAFRATSKFAKVIIHNPGMQEDPACGPLIDAIAIKELLPPRFTRNNLVKNGGFEEGPHRLRNASDGILIPPKTEDVESPLPGWIIESLKAVKYIDRVHFKVPFGRAAIELVAGKESAIAQIIRTLPNKNYILTFTVGDAKNDCHGDMMVEAFVGTHAFKVPFKSVGKGNFKTISFKFTALGIRTRLTFFSSFYHARMNNFGTLCGPIIDEVKVSPVA >itb03g28110.t3 pep chromosome:ASM357664v1:3:28769250:28781478:1 gene:itb03g28110 transcript:itb03g28110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGNTKNTTTTKHLTKTYLDVLGICCTSEVPMIEKILKPLDGVKEVSVIVPSKTVIVVHDSLLISDHQIVRALNKARLEASVRVNGGKQQRIKWPSPFAICCGILLLFSFLKYIYEPLKWLAVVSAVVGAIPITLKAFASLRNLNIDINILMLIAVVGSLILRDYWEAATIVFLFTISEWLQSTATHQAKDVMSSLVNVVPQKALLAETGEQVNANEVKLNSIVAVKAGEVIPIDGIVVDGECEVDEKTLTGESFPVTKQKHSTVWAATINLNGYINIKTTAVAKDCVVARMAKLVEEAQTNKSKTQRYIDQIAKYYTPAVVAICIALAILPSVLKLHNRNQWYHLALVALVSACPCALVLSTPVAMYCALSKAATSGLLFKGTDYLETLAKVRIMAFDKTGTLTRGQFSVTQFNTFLQHVDHNTLLYWVSSIEGKSSHPMATALTDYAYLHSVTPKPERVEQFQNFPGEGIYGRIDGMEIFIGNKKISSRAQCTTVPELDGDGYEGKSISYIFLGSSPAGIFSLADVCRTGAKEALGELKLMGIKTIMLTGDCYAAAVHVQDQVNYTLSFPFTLRDCLC >itb03g28110.t1 pep chromosome:ASM357664v1:3:28769154:28783135:1 gene:itb03g28110 transcript:itb03g28110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGNTKNTTTTKHLTKTYLDVLGICCTSEVPMIEKILKPLDGVKEVSVIVPSKTVIVVHDSLLISDHQIVRALNKARLEASVRVNGGKQQRIKWPSPFAICCGILLLFSFLKYIYEPLKWLAVVSAVVGAIPITLKAFASLRNLNIDINILMLIAVVGSLILRDYWEAATIVFLFTISEWLQSTATHQAKDVMSSLVNVVPQKALLAETGEQVNANEVKLNSIVAVKAGEVIPIDGIVVDGECEVDEKTLTGESFPVTKQKHSTVWAATINLNGYINIKTTAVAKDCVVARMAKLVEEAQTNKSKTQRYIDQIAKYYTPAVVAICIALAILPSVLKLHNRNQWYHLALVALVSACPCALVLSTPVAMYCALSKAATSGLLFKGTDYLETLAKVRIMAFDKTGTLTRGQFSVTQFNTFLQHVDHNTLLYWVSSIEGKSSHPMATALTDYAYLHSVTPKPERVEQFQNFPGEGIYGRIDGMEIFIGNKKISSRAQCTTVPELDGDGYEGKSISYIFLGSSPAGIFSLADVCRTGAKEALGELKLMGIKTIMLTGDCYAAAVHVQDQLGGALEAFHAELLPEGKAKLIKEFKKEGATAMTGDGLNDAPALATADVGLSMGVSGSALAMETGHVILTSNDLGRIPKAIKLARRVRRKIIENVIVSITIKAAILAVAISGHPFVWAAVFADVGSCLIVIFNSLLLLRGQRAPHSHSHTHHKHGHKCCSSSSTKSSSSSVVSQHTHQHHCKSSHTESQQCPESTDKKGCHSEDNPHSQMVAMGTQDIESGRDHGHDCSNHHQHEHDKHHHQQQQQQHSNHHEHEHDEHHHHHHHHHVKGVEKREIGGCCKSFRSECCGKSSHHHGSNFGGILPEIVVE >itb03g28110.t2 pep chromosome:ASM357664v1:3:28769250:28783040:1 gene:itb03g28110 transcript:itb03g28110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGNTKNTTTTKHLTKTYLDVLGICCTSEVPMIEKILKPLDGVKEVSVIVPSKTVIVVHDSLLISDHQIVRALNKARLEASVRVNGGKQQRIKWPSPFAICCGILLLFSFLKYIYEPLKWLAVVSAVVGAIPITLKAFASLRNLNIDINILMLIAVVGSLILRDYWEAATIVFLFTISEWLQSTATHQAKDVMSSLVNVVPQKALLAETGEQVNANEVKLNSIVAVKAGEVIPIDGIVVDGECEVDEKTLTGESFPVTKQKHSTVWAATINLNGYINIKTTAVAKDCVVARMAKLVEEAQTNKSKTQRYIDQIAKYYTPAVVAICIALAILPSVLKLHNRNQWYHLALVALVSACPCALVLSTPVAMYCALSKAATSGLLFKGTDYLETLAKVRIMAFDKTGTLTRGQFSVTQFNTFLQHVDHNTLLYWVSSIEGKSSHPMATALTDYAYLHSVTPKPERVEQFQNFPGEGIYGRIDGMEIFIGNKKISSRAQCTTELDGDGYEGKSISYIFLGSSPAGIFSLADVCRTGAKEALGELKLMGIKTIMLTGDCYAAAVHVQDQLGGALEAFHAELLPEGKAKLIKEFKKEGATAMTGDGLNDAPALATADVGLSMGVSGSALAMETGHVILTSNDLGRIPKAIKLARRVRRKIIENVIVSITIKAAILAVAISGHPFVWAAVFADVGSCLIVIFNSLLLLRGQRAPHSHSHTHHKHGHKCCSSSSTKSSSSSVVSQHTHQHHCKSSHTESQQCPESTDKKGCHSEDNPHSQMVAMGTQDIESGRDHGHDCSNHHQHEHDKHHHQQQQQQHSNHHEHEHDEHHHHHHHHHVKGVEKREIGGCCKSFRSECCGKSSHHHGSNFGGILPEIVVE >itb13g14640.t1 pep chromosome:ASM357664v1:13:21241315:21249013:1 gene:itb13g14640 transcript:itb13g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAPTTEKHEIVIIGGGICGLATALALHRKGLKSIVLERSETLRAQGGGIGLLPNAWRALDQLGVGSRLRSMAVLLQGGRDILIDENKERKIERVIGESRCMKRSDLITTLADELPIGTIRLGSEVVSIDLDSVTETTRLLLTCGSYIDAEIVIGCEGWRSKVAELLGLKESRAFDVGAIRGLTTYPNAHSIPHEFRRIIKGEIWLGMLPITQHLIHWFVALPTHLLSGEKFPHDPKHIKQMALELIKDFPSNLHEAIELSDLDSLSAVHLWYRAPWDLLLGTMRKGTVTVAGDAMHVMGPFVGQGGASGLEDAVVLGRCLGMAMSGIEGNNKKEKIAKIEAGLDQYVKEKRMRVLGLSTLTYLIGIIVGSTSPLVKMVAGFAMAILFRDEASHVHYDCGQL >itb13g14640.t2 pep chromosome:ASM357664v1:13:21246563:21249013:1 gene:itb13g14640 transcript:itb13g14640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGESRCMKRSDLITTLADELPIGTIRLGSEVVSIDLDSVTETTRLLLTCGSYIDAEIVIGCEGWRSKVAELLGLKESRAFDVGAIRGLTTYPNAHSIPHEFRRIIKGEIWLGMLPITQHLIHWFVALPTHLLSGEKFPHDPKHIKQMALELIKDFPSNLHEAIELSDLDSLSAVHLWYRAPWDLLLGTMRKGTVTVAGDAMHVMGPFVGQGGASGLEDAVVLGRCLGMAMSGIEGNNKKEKIAKIEAGLDQYVKEKRMRVLGLSTLTYLIGIIVGSTSPLVKMVAGFAMAILFRDEASHVHYDCGQL >itb09g00170.t1 pep chromosome:ASM357664v1:9:225773:232799:1 gene:itb09g00170 transcript:itb09g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSKIDDLPAVALCRDRCAFLDEAIRQRYSLAEAHLAYLQSLRAIGASLHHFFDQQDLDAFPPTPVISLPSHPKGVGGGDPQPTASLPHKAPVAIPSPPRSSTGSHLHFISDSEAEEDSASGSLLHHSHNATPLHPYAHLNYDAGADHESLALGGPYPGAFFGGTTTGFTHMNFMRNQATPSVTYEQRPLSPDTVRVGDASSSYYPYPYANPQIPSHTYPNHQTNYYAAASFFDSSPPAHYGYSSLPTPTPGPGPSSSPSTSKQPPPPPPSSSAWDFLNPFDNSDNFYHPYTPSRDSREVREEEGIPDLEDEDFEQEVVKEVHGDQKFVDTERSGASEGSYSKAAAKDDEARPKDSDKEHRTGPNVSVDNDPVEYEVHVVDKKMVGGQAGTSAARPKARGGFKGDSDVVREIQVQFDQASEAGTELAKLLEVGKLPYNRKHATYQVSSKMFRTIMPSSSLESSQPSTSNATQIEMANPAILDAEGDVSFLKSKNLSSTLQKLYLWEKKLCEEVKVEERMRVQHERKSKKLKRLDEKGAEAHKIDVTRNLVRSLSSKIRIAIQVVDKISVTINKLRDEELWPLINEFIQGLTRMWKSMLECHQNQCQAIVEAKRLDAIALHKQFTDTHLDSTLRLERELLNWTFRFSCWIGAQKGYVKALNSWLMKCLLYVPEETADGIVPFSPGRIGAPPVFVVCNHWSQALERVSEKEVLDSMLAFAKSVLELWERDKVEMRQRMMSSKDTERIVKDLDREDQKIQKEMQALDKRLLLVSGVENDLSLNRHVVYQSDTSKSSSLQGGLQHIFEAMERFTANSLKVYEELLQRIEEDNLSRAHEQVS >itb04g24740.t1 pep chromosome:ASM357664v1:4:29471379:29473134:-1 gene:itb04g24740 transcript:itb04g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIIQFLSFSLLLFLCSSFAQTSFRPKALVVPVTKHAPTLQYLTTINQRTPLVPVSLVVHLGGEFLWVDCDNNYVSSSYRPVRCRSAQCSLATKNTACGDCFSGPRPGCNNNTCGVFPHNPITRTSTSGELAEDVVSVKSTNGFNPGKAAEVSRFIFSCAPTSLLEGLANGAVGMAGLGRTRVGVPSQFSAAFSFHRKFAVCLSSSTGVIFFGDGPYHFLQNVEASQLLTYTPLLINPVSTAGVFTAGTPSADYFIGVKSIQVEDKAVALNTSLLKIDSQGNGGTKISTVDPYTILETSIFKAVTKAFIKAAAARNITRVAAVKPFEVCFSPDNVLATRLGASVPWITLVLQGQSASWTITGSNSMVDLRDSGKNALCLAVLDGGVEPRTSIVIGGYQLENNLLQFDLATSRLGFSSTLLGRQTTCANFNFTSNA >itb09g05290.t1 pep chromosome:ASM357664v1:9:3016814:3019802:1 gene:itb09g05290 transcript:itb09g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGWGLTLENSIFKNGLGSSRPRFDTANMFPVKKDDGERRQQAVLNEVDFFSEKKKPVDSGFVVKKETSNDEPHIRTDLNINTGLQLVTANTGSDQSTIDDGVSSEMEERRVKDEVAGLQAELERMNGENQRLKGMLTQVTNNYTALEMHLAALMQQKKNSMAECAHEVVDRKSEEKKYEKEGSTVPRQFLDLGPSGLTGAEQTDEPTHSPTTSSEEKTISASPRNNVDSSKHKRSAREESPDLESWNPNKAPKSIISSSSSRPVDDQQASTDATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDRTILTTTYEGTHNHPLPPAAMAMASTTSAAANMLLSGSMPSGDMMMNPNFLARAILPCSSSVATISASAPFPTVTLDLTQTPTSLPNYPRLPPSQFPGAGAPHSLPGFAVTPPQLFGQGLYSQSKFSGLQVSHEAQHPLLPPAHPSLSDTLSAATAAITADPNFTAALAAAISSILNGSQPNIVNSTNNNTTATANAPNSNPTNNCNKTH >itb12g06090.t2 pep chromosome:ASM357664v1:12:4531902:4535234:-1 gene:itb12g06090 transcript:itb12g06090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYISISVNVYIYAHWYAFIDRERLVVVRMGAMTSSMAAKFAFFPPDPPSYGVAVEESTGKLKMTEVPERDNVDVLKLATKRGTEIVAMYVRNPGASLTMLYSHGNAADVGQMYELFCELSLHLRVNLMGYDYSGYGLSTGKASEQNTYADIEAAYRCLSETYGVKEEDVILYGQSVGSGPTIDLASHLSRLRAVVLHSPILSGLRVMYPVKKTYWFDIYKNIDKMPLVECPVLVIHGTADEVVDCSHGKQLWELCKQKYEPLWVTGGNHCDLELFPEYIKHLKKFVSAIEKLSLLKNASTCTDHEDDHRNSTDCRPRSSTDQKEKSRISTEKREKPRKSADLREKPRASTEKRERMRKSLDHSEKAISNTESHDKARKSIDRSD >itb12g06090.t1 pep chromosome:ASM357664v1:12:4531322:4535234:-1 gene:itb12g06090 transcript:itb12g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYISISVNVYIYAHWYAFIDRERLVVVRMGAMTSSMAAKFAFFPPDPPSYGVAVEESTGKLKMTEVPERDNVDVLKLATKRGTEIVAMYVRNPGASLTMLYSHGNAADVGQMYELFCELSLHLRVNLMGYDYSGYGLSTGKASEQNTYADIEAAYRCLSETYGVKEEDVILYGQSVGSGPTIDLASHLSRLRAVVLHSPILSGLRVMYPVKKTYWFDIYKNIDKMPLVECPVLVIHGTADEVVDCSHGKQLWELCKQKYEPLWVTGGNHCDLELFPEYIKHLKKFVSAIEKLSLLKNASTCTDHEDDHRNSTDCRPRSSTDQKEKSRISTEKREKPRKSADLREKPRASTEKRERMRKSLDHSEKAISNTESHDKARKSIDRFSERMKLAVLCNIDCFKPTGGESGDVSR >itb14g05750.t1 pep chromosome:ASM357664v1:14:5004177:5006642:1 gene:itb14g05750 transcript:itb14g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVQSQSESERGDAASVNQTRSTATAPSPQSPSPQPSLDSLIAEAAAYGAEDENESLDEQAQKALDCPCIAHLRNGACGTQFSSAFLCFLKSTAEEKGSDCVHPFVALQNCIKANPGAFSKDILDDDEANKEEARKEETPPQQYKIIPPIWSAESKGKKHKS >itb13g19910.t1 pep chromosome:ASM357664v1:13:26798335:26799556:-1 gene:itb13g19910 transcript:itb13g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKVAVFVKHVVSVLSALAKSKSVAIRNKTEAMKARIMLFSLMKSRKLSLQGRLGIGAISHKIHALLAQHAHDGDAEEEEEAALAAKDLANRNAVTASESTHIEAEAVSGGHDHHQYLIDQYSYYDDDDDDDKYPDLRHSLFDEEEEEEEDDPNASVIEMVRSSKEDEGEKFSLEDEIDHVADLFIKKFHKRMRLQKLESFKRLQEMIQRSA >itb15g13210.t1 pep chromosome:ASM357664v1:15:11179105:11188170:1 gene:itb15g13210 transcript:itb15g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDMDLLEDGDSGGGGGGGGGGKASAAVSCSICLEAVTDNGDRSWAKLQCGHQFHLDCIGSAFNAKGAMQCPNCRKVEKGQWLYASGHRSLPEFSMDDWAHDEDLYDLSFSEMSFGVHWCPFSGLTRLPSSFDEGDFGSSAYHDLLGQHAVFAEHTAVSSSSHPCPYIAYVGIHPTSSNSSGSVSDGPNFNNHWSSSAVPNEIPTTYAFPGMDVHYHGWEHHHSSSFPTSSSRIGNTDQPSIPSVSQRAARTNSDIPRPGSFVHPFLVSHSSGRPGSSVASSMIPPYPGSVARARDRVQALQAYFQQPSSNSPPAAAAAAAVRTPVMSGGRRSNSHRVVPQVGPVASSSDQAAGGFYFYPSASSGRSFQEAENPNRYHSWEREHLPAFNLPQQQQQVERDQIWGPYHQAQAGSGSDSGIRSGNFRQRHGSERMPSQNRS >itb01g17420.t1 pep chromosome:ASM357664v1:1:22151069:22151608:-1 gene:itb01g17420 transcript:itb01g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRISLRAFQLSDADDLLKWASDDKVTHFLRWNPITSKQEALKYIQEVAIPHPWRHSICLDGRSIGYISVKPEAGNDRHRAHLGYAIGSCYWGQGIVSMALKMAIPVVFTDFPFLVRLAALVEPENVGSQRVLEKVGFVKEGFLRKYGLRVGLEICSSIVSCPVIRLTNDLGKFLRM >itb08g00570.t1 pep chromosome:ASM357664v1:8:453310:455766:1 gene:itb08g00570 transcript:itb08g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSVATAKTTAIIAAIPTAAAIAASSSSSSSKPSTYSLRLSKNPTFGSVSCSNPILRPAGLAFAKSYHPPSAVQMEAPSSDQQLSSLQDGAVLPELLTEFMVDMSCEGCVKSVKTKLQAIEGVKNVDVDLSNQVVKVLGSLPVKTLTDALEQTGRKARLIGQGVPEDFLISAAVAEFKGPDIFGVVRFAQVTMEMARIEANFTGLSPGRHSWSINQYGDLTQGAASTGNVYNPTPDTGNQPLGDLGTLDVDEKGEAFYSGVKEKLRIADLIGRAIVVYETEDKSDPGIKAAVIARSAGVGENYKKLCTCDGVTIWEANGKI >itb02g16620.t1 pep chromosome:ASM357664v1:2:12569132:12572026:1 gene:itb02g16620 transcript:itb02g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSHPHSDGSASSDAAPRRKCTGKRAAPEPGATPPPAPAPAPAAITIQAPCGACKFLRRKCVSSCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPANRRHDAVVTISYEAQARLSDPVYGCVSTILTLQQQVASLQAELAMVQTQIMNSRFAVANALQTSQQQHQHQHQQQQQHLQQHAAALQPAYSNTSSASNNLLNISNFSSNFDLTSGAPNFDPIVQLSHPSQEEEEDEEQSHNPIAFTNHMFR >itb04g30950.t1 pep chromosome:ASM357664v1:4:33930797:33940932:1 gene:itb04g30950 transcript:itb04g30950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAESPSEHCEGERESLPSTHHPSAPSHELFDISTTVDPSYVISLIRKLLPTEVKDEGISGRQETEELKTASVSASENGEGMWANNENEAADMDDNFDKVDKFQGLDVRLCRESTKKGSSAGEEAWEEYGCILWDLAASKTHAEFMVQNLILEVLFSTLVVSKSARITEISLGIIGNLACHELSRKKITSTNGLIGAVMEQLFLDDTPCLCEACRVVTLCLQGDESVLWAEALQSENILCRILWIMENTLNPNLLEKSVGLLLATLQSKQEVAVILQPPLMKLGLPCLMVDLLSFEMGKLREERLPERYSVLDLILQTFEALSVIDESSQEICASKRLFLLLTDLIKLPEKVEVADSCVTAAVLLANILTDAADLALEIFQDLLLLQGLFSLFPFASADAEARSALWSIIARLLIQVQEIELSPLQLHQYVSVITSETEVIEEELLDHQSNDSNEECGSSATLAKLAARNVALNGIVRILSQWMDLEDRVKESLLMGEYHVNKGDAYKLLHCCGKYIK >itb04g30950.t3 pep chromosome:ASM357664v1:4:33930797:33936867:1 gene:itb04g30950 transcript:itb04g30950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAESPSEHCEGERESLPSTHHPSAPSHELFDISTTVDPSYVISLIRKLLPTEVKDEGISGRQETEELKTASVSASENGEGMWANNENEAADMDDNFDKVDKFQGLDVRLCRESTKKGSSAGEEAWEEYGCILWDLAASKTHAEFMVQNLILEVLFSTLVVSKSARITEISLGIIGNLACHELSRKKITSTNGLIGAVMEQLFLDDTPCLCEACRVVTLCLQGDESVLWAEALQSENILCRILWIMENTLNPNLLEKSVGLLLATLQSKQEVAVILQPPLMKLGLPCLMVDLLSFEMGKLREERLPERYSVLDLILQTFEALSVIDESSQEICASKRLFLLLTDLIKLPEKVEVADSCVTAAVLLANILTDAADLALEIFQDLLLLQGLFSLFPFASADAEARSALWSIIARLLIQVQEIELSPLQLHQYVSVITSETEVIEEELLDHQSNDSNEECGSSATLAKLAARNVALNGIVRILSQWMDLEDRVKESLLMGEYHVNKGDAYKLLHCCGKYIK >itb04g30950.t2 pep chromosome:ASM357664v1:4:33930797:33936887:1 gene:itb04g30950 transcript:itb04g30950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAESPSEHCEGERESLPSTHHPSAPSHELFDISTTVDPSYVISLIRKLLPTEVKDEGISGRQETEELKTASVSASENGEGMWANNENEAADMDDNFDKVDKFQGLDVRLCRESTKKGSSAGEEAWEEYGCILWDLAASKTHAEFMVQNLILEVLFSTLVVSKSARITEISLGIIGNLACHELSRKKITSTNGLIGAVMEQLFLDDTPCLCEACRVVTLCLQGDESVLWAEALQSENILCRILWIMENTLNPNLLEKSVGLLLATLQSKQEVAVILQPPLMKLGLPCLMVDLLSFEMGKLREERLPERYSVLDLILQTFEALSVIDESSQEICASKRLFLLLTDLIKLPEKVEVADSCVTAAVLLANILTDAADLALEIFQDLLLLQGLFSLFPFASADAEARSALWSIIARLLIQVQEIELSPLQLHQYVSVITSETEVIEEELLDHQSNDSNEECGSSATLAKLAARNVA >itb12g11380.t1 pep chromosome:ASM357664v1:12:9616302:9616889:1 gene:itb12g11380 transcript:itb12g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQQALWKTLDMEKVGPAPQPDIIVLCMNGWRDNHVALILNCIRFTHLLDVYSNALARQTGGHLGGNFAENYPEFITLSPYNNVDVWTECIDLRGLEDRDVEIMLMMTGAWEHRSRYKLDFSLPRLADKIAFRSSNANYRMSTSWQRKGDQEPTTEINAGPAYK >itb08g00990.t2 pep chromosome:ASM357664v1:8:729961:738230:1 gene:itb08g00990 transcript:itb08g00990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCITLASGAIGDRSPEPAETTAMLLRTGSAVVGSDANAGGSEATSVAGVLYKWTNYHKGWRSRWFNLRNGVLSYSKTCHPDHISFAAAAADDVKLIGHIPSADDFGRRKHRKTIRIVHLKVSSFRESRSDDRRFYIFTATKTLHLRTNSRKEREAWIEALVSTRNIFMLRPANDNLSILPRSVSVSTEKLKKRLLDEGINEGLVKDCEQIMLSEFSEIQGQLQFLSEERSNLLDTLQQLEATNIETEASGVPDGDYQLLKREYPNLGRGKYSEWSTTESSDDVEKQELEEASDEEEARFFDTNDYFPEPSVSCELEKPTNDMMQKSADKDTSMCLQVERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQRSFEDLEYSYLLDRAYKHGKEGNTLQRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGRGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTGIYNLILGKIYCDHHGIMDIRGNRQYSCKLKFKEQSLLDRNPHQVHGFVEDVSGTKVATLFGKWDESIYYLNGEWNSKPKDLSDASLLWKSNKPPQNLTRYNMSSFAITLNELTPGLKEKLPPTDSRLRPDQRSLENGEYDKANAEKLRLEMRQRMSRKLQENGWKPRWFKSEGEDGTFRYVGGYWETRENGKWDGCPDIFGQVDQTLINSFDGS >itb08g00990.t1 pep chromosome:ASM357664v1:8:729942:738332:1 gene:itb08g00990 transcript:itb08g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCITLASGAIGDRSPEPAETTAMLLRTGSAVVGSDANAGGSEATSVAGVLYKWTNYHKGWRSRWFNLRNGVLSYSKTCHPDHISFAAAAADDVKLIGHIPSADDFGRRKHRKTIRIVHLKVSSFRESRSDDRRFYIFTATKTLHLRTNSRKEREAWIEALVSTRNIFMLRPANDNLSILPRSVSVSTEKLKKRLLDEGINEGLVKDCEQIMLSEFSEIQGQLQFLSEERSNLLDTLQQLEATNIETEASGVPDGDYQLLKREYPNLGRGKYSEWSTTESSDDVEKQELEEASDEEEARFFDTNDYFPEPSVSCELEKPTNDMMQKSADKDTSMCLQVERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQRSFEDLEYSYLLDRAYKHGKEGNTLQRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGRGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTGIYNLILGKIYCDHHGIMDIRGNRQYSCKLKFKEQSLLDRNPHQVHGFVEDVSGTKVATLFGKWDESIYYLNGEWNSKPKDLSDASLLWKSNKPPQNLTRYNMSSFAITLNELTPGLKEKLPPTDSRLRPDQRSLENGEYDKANAEKLRLEMRQRMSRKLQENGWKPRWFKSEGEDGTFRYVGGYWETRENGKWDGCPDIFGQVDQTLINSFDGS >itb08g00990.t5 pep chromosome:ASM357664v1:8:730857:738288:1 gene:itb08g00990 transcript:itb08g00990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPANDNLSILPRSVSVSTEKLKKRLLDEGINEGLVKDCEQIMLSEFSEIQGQLQFLSEERSNLLDTLQQLEATNIETEASGVPDGDYQLLKREYPNLGRGKYSEWSTTESSDDVEKQELEEASDEEEARFFDTNDYFPEPSVSCELEKPTNDMMQKSADKDTSMCLQVERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQRSFEDLEYSYLLDRAYKHGKEGNTLQRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGRGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTGIYNLILGKIYCDHHGIMDIRGNRQYSCKLKFKEQSLLDRNPHQVHGFVEDVSGTKVATLFGKWDESIYYLNGEWNSKPKDLSDASLLWKSNKPPQNLTRYNMSSFAITLNELTPGLKEKLPPTDSRLRPDQRSLENGEYDKANAEKLRLEMRQRMSRKLQENGWKPRWFKSEGEDGTFRYVGGYWETRENGKWDGCPDIFGQVDQTLINSFDGS >itb08g00990.t4 pep chromosome:ASM357664v1:8:730857:738288:1 gene:itb08g00990 transcript:itb08g00990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPANDNLSILPRSVSVSTEKLKKRLLDEGINEGLVKDCEQIMLSEFSEIQGQLQFLSEERSNLLDTLQQLEATNIETEASGVPDGDYQLLKREYPNLGRGKYSEWSTTESSDDVEKQELEEASDEEEARFFDTNDYFPEPSVSCELEKPTNDMMQKSADKDTSMCLQVERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQRSFEDLEYSYLLDRAYKHGKEGNTLQRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGRGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTGIYNLILGKIYCDHHGIMDIRGNRQYSCKLKFKEQSLLDRNPHQVHGFVEDVSGTKVATLFGKWDESIYYLNGEWNSKPKDLSDASLLWKSNKPPQNLTRYNMSSFAITLNELTPGLKEKLPPTDSRLRPDQRSLENGEYDKANAEKLRLEMRQRMSRKLQENGWKPRWFKSEGEDGTFRYVGGYWETRENGKWDGCPDIFGQVDQTLINSFDGS >itb08g00990.t3 pep chromosome:ASM357664v1:8:730857:738285:1 gene:itb08g00990 transcript:itb08g00990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPANDNLSILPRSVSVSTEKLKKRLLDEGINEGLVKDCEQIMLSEFSEIQGQLQFLSEERSNLLDTLQQLEATNIETEASGVPDGDYQLLKREYPNLGRGKYSEWSTTESSDDVEKQELEEASDEEEARFFDTNDYFPEPSVSCELEKPTNDMMQKSADKDTSMCLQVERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQRSFEDLEYSYLLDRAYKHGKEGNTLQRILNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGRGWKFWGDSNLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTGIYNLILGKIYCDHHGIMDIRGNRQYSCKLKFKEQSLLDRNPHQVHGFVEDVSGTKVATLFGKWDESIYYLNGEWNSKPKDLSDASLLWKSNKPPQNLTRYNMSSFAITLNELTPGLKEKLPPTDSRLRPDQRSLENGEYDKANAEKLRLEMRQRMSRKLQENGWKPRWFKSEGEDGTFRYVGGYWETRENGKWDGCPDIFGQVDQTLINSFDGS >itb13g17050.t1 pep chromosome:ASM357664v1:13:24013360:24015184:-1 gene:itb13g17050 transcript:itb13g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSLLLATLACTLLLLFFMCKLFLAPKNHKRLAPEVPGAWPIIGHLHLLSGRKTPTHLILASMADKYGPIFRLRLGSQPLVVVSDSKIAKECFTAKDKELATRPKFLASEIMGYNYSMFAIAPYGEYWRGIRKTVMLELLSTRRIEMLRKVRESHVRKAIKRTFDHWSHNKDPTSGAVVVDMSQWFSRLVINLSISMLFGEEEVAEESQLLNSIRNLFELFGEMLVSDFIPWLRWMDLGGYEKAMRKTAEEMDCAADRWLKEHRRKRNLKSKEEEDFMDAMLSLFDASSNQTHPLGLDNDVIIKSTCLTLLVAATDTTSITLTWALSLILNNYDVMRRIQDELDANIGKERCLNEGDINKLIYIQAVVKETLRLHPPGPLSVPHEAIEDCTINGYHIQKGTRVMPNLAKIHRDPKVWVDPNEFRPERFLTTHKDIDIRGNHFEIIPFGSGRRMCPGITLGLQMLHLTLASLIQSFDMKRPSIEPIDMTQSPGLTTAKATPLHVLLTPRLASDLYG >itb13g24720.t1 pep chromosome:ASM357664v1:13:30329407:30333456:-1 gene:itb13g24720 transcript:itb13g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase FAO3 [Source:Projected from Arabidopsis thaliana (AT3G23410) UniProtKB/Swiss-Prot;Acc:Q9LW56] MESNSHPLLRGGRRETKYSHGFPPSEMEALSSICEAFLPPLPLGSLEIPERCDLDAHAVEHFHKACGSQYPVPDEVAEMIMKRGFFEAVILVRGLFKLLSTRLGTLLLCGFLCLGDKWPYVNKFSDIPVEKRVTVIQRWYKHSLLTPVRLAFVFVKFLCLYVFFIQVGVDKKNPVWDAVRYRVDGGDDDENSSKKSAEEKAERPLEKGIVETRNESESSVINSLVKKGLKAREDPVKNQIKIECDVVIVGSGCGGGVAAAVLAGSGQKVLVLEKGNYFTKSDYSSLEGPSMNELYENGGILPSLDGKMMLLAGSTVGGGSAVNWSACIKTPKSTMQEWAKDHKMSLFATPQYVSAMDRVCERLGVTENCPEEGFQNQVLRKGCENLGLEVEKVARNSSENHYCGSCCYGCKRGDKKGTDSTWLVDAVKCGAVILTGVEAERFLLQKTPNGKTRDKKCVGLMATSTNKDISKRICIEAKVTISACGSLRTPPLMVSSGLTNPNIGRNLHLHPVLMAWGYFPESNSDLKGKIYEGGIITSVHSVGTSESTSKAIIEAPILGPGSFAAIFPWNSGLEMKNTMLKYARTAHLFSMVKDRGSGEIRSPGRISYKFDALDKENLASGLRQALRILIAAGAAEVGTHLSNGQKIKCKGTSKEEVEAFLDTVFAPEGPKSLTKNFTTYSSAHQMGSCRMGITAAEGAVDENGESWEADGLFVCDASVLPGAVGVNPMITVQSTALCLSNRIADMFKQGRFSC >itb13g21320.t2 pep chromosome:ASM357664v1:13:27941164:27944248:-1 gene:itb13g21320 transcript:itb13g21320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSQVSSVTDPDHTKLKPILDDGDKDGAAETKDSSKSAKRGAPRLCPQEDEELAELCDFALSLCETQPLCPQEDEELAELCDFALSLCETQHVSSITESDHTKPKLKLDDGDKDEAEETKDTLKSPKQGEAGRGYDDDSSDDDISGPMLSSQEVEELVELCDFALSLYETQHPGEYWKFERGYGGKRWYTLTDQYLLEFFGKKADCNSLRNFVVLAICSDFAGCEKFKVDQCVLVKDDEVEPMDENIKERVSMESGRIFLFSHKELQEHYEKFVEFCKSALTYYQERHVGEAYEFVEIQTARHSIIRGIFIFHAKKKADATLATFKAYTHPLVLRMDIDVVGSFEEYFSGY >itb13g21320.t1 pep chromosome:ASM357664v1:13:27940293:27944248:-1 gene:itb13g21320 transcript:itb13g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILSQVSSVTDPDHTKLKPILDDGDKDGAAETKDSSKSAKRGAPRLCPQEDEELAELCDFALSLCETQPLCPQEDEELAELCDFALSLCETQHVSSITESDHTKPKLKLDDGDKDEAEETKDTLKSPKQGEAGRGYDDDSSDDDISGPMLSSQEVEELVELCDFALSLYETQHPGEYWKFERGYGGKRWYTLTDQYLLEFFGKKADCNSLRNFVVLAICSDFAGCEKFKVDQCVLVKDDEVEPMDENIKERVSMESGRIFLFSHKELQEHYEKFVEFCKSALTYYQERHVGEAYEFVEIQTARHSIIRGIFIFHAKKKADATLATFKAYTHPLVLRMDIDVVGSFEEYFSGLFASLRSLSLPLCSAVSLRPLPPIVSPAAVLPHRLAATAHHRQRPPSLTSQPLRL >itb04g11510.t1 pep chromosome:ASM357664v1:4:11143762:11149755:1 gene:itb04g11510 transcript:itb04g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPCTPTPQTLRNYKLSLIDIILSIFYVPMVFFYDSRAGGRHHDYDELKDSLMKTLSILYPLAGRMKDGSTTIECNDEGADFVRANVTNCDLGEFLRHPKLEDIRRLLPLHPYPNAIDPAQPMLAVQMNRFRCGGTAVAFCIWHGLVDASAMMGLFNTLAAINRGEGPINPGGLIVDTSPIFRPGNLVRSPLMPFSLNNRGKYSSKRFVFGKQDIERLRNNYYHPSEHRRRPSRVEALSAFIWAAGENEGSEGETYPIDYDSRLRLRFLGDEGGNVSDCDKGGNVEGGNVSDCDKGGNVSDCDKGGNPLIMVVD >itb09g10390.t1 pep chromosome:ASM357664v1:9:6457046:6459638:-1 gene:itb09g10390 transcript:itb09g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMGKVGSYWVGQKASSDLNSVGNDINSVSNSIEGGAKWLVNKIKGSVQKPLPELLKDYELPIGIFPRDATNYEFDEETRKLTVNIPSICEVGYKDSSVLRFNTTVTGCLDKGKLSDIDGIKTKVMIWVKVSAISCDNSKLHFSVGMKKTRNKDAYEYNKDGVRVEKF >itb04g18230.t1 pep chromosome:ASM357664v1:4:21648451:21649680:1 gene:itb04g18230 transcript:itb04g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDSPFFLISQSVFGADESNRRIHPTTQINQSLVRPSSAINPQRTLQRSNIEVNGNPLFLNNNENLSAIIASPQITSSGNKAEPQDSSPLGPSLPNIPISNCEEFSFQESVEQVGSPSERLAEHVDVGSPFQVTIDPLATDSPVHGEGLPSILVHNEVGNTSENSIDVPP >itb10g22150.t1 pep chromosome:ASM357664v1:10:27098331:27100831:1 gene:itb10g22150 transcript:itb10g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHPKSPRTTNFHNILGISRTATLVDICKAYKCLVRKWHPDRNSLNRDEAQAKFTSINEAYRALSMKKREEAGGGSSDGEEQMTPKNSEQKSPKISDLEEDDQEFVISSPTLLSRTTSRIAPEVSRKMSQSASRRSRTATRQDFYTHLQRTTSTSCGGNTSSSMPTTPTGEPSLQSILTGKKTSTPIIYSQSIARRKPQPVEMKLECTLEELCHGRVKVAKITREVISSSGLIVREEEYVTIVVQPGWKKGTRITFEGKGDERPGMLPADVIFTIEEKIHPVFKREGDDLELGVEIPLVQALTGCTITVPLLGDESMTLELDDIIYPGYEMTIPGQGMPKPKDLEGQGMPKPKDLEGQRGDLLLKFLVEFPTDLSDEQRAEAARILQDCS >itb13g23940.t1 pep chromosome:ASM357664v1:13:29730675:29734775:-1 gene:itb13g23940 transcript:itb13g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLKTEPRLSSSKKRWRLAVSMIKCLNAFLHYLARKVSPEQSDRLSTDVDDDEEHPRCFQNLHQSSLAKIVKEKSLEYLANLGGIERLSSYLDTNLHNGIYGDERDISCRNEAFGSNTYSKPPAKNLFHFVWEAFRDPTIIILLACAVLSLAFGIKADGIKEGCYDGGSIFLAVFLVISFSALSKFRQSRQFDKLSNISKNIPVEVVRAGRRRQVSIFEIVVGDIVCLKFGDQVPADGLFVEGHSLMVDESRMTGESDYVEINYDRNPFLISGTKVVDGYGRFLVTSVGMNTEWGLMMSEITSDSGSEETPLQTRLKKLTSSIGKVGLAVAFLVLVVLLVRYFTGHTEDGNGNKEFNGNKTKVYSVINSVGGIIAIAVTINKVADPEGLPLAVTLTLGYSMKRMMSDQAMVRKLSACETMGYVTTICTDKTGTLTQNKMKVTKFWLGKQSMEGKNRAEIAGGVLELFHQGVGLNTTGSVFRSDDSGLGFEYSGSPTENAILSWAVEELGMDMEEVKRNCSVLHMEAFNSEKKRSGVSMKKAADNTVHVHWKGAAEIILGMCSDYYDLEGNKKSLDGNERAEFEQMIQGMAASSLRCIAFAHKQVSETQVSVADNSHGLTFLGLVGLKDPCRDGVKKAVDSCQFAGVNIKMITGDNVFTAKAIATECGILQPNQAPEEGSVIEGLEFRNLTEEEQMERVESIRVMARSSPFDKLLMVQCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLMWGRCVYNNIQKFIQFQLTVKVVDLVINFVAAVSTGEVPLSVVQLFWVNLIMDTLGALAFATQEPTEELMDRLHAGRTEPLITNIMWRNLLAQALYQISILLVLQFRGKSIFKVDDGVNGMLISNTFVFCQVFNQFNARKLEKRNVFEGIHKNKLFVGIICITVVLQVVMMEFLYKFTNTGRLNWGQWGLCLGLAAASWPIGWVVKCLPVPEKPIFSYPKLKGN >itb05g14780.t1 pep chromosome:ASM357664v1:5:22063065:22064602:-1 gene:itb05g14780 transcript:itb05g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNAIHVLQNIQNQVNTKNPPKSLSLSVPLSPLPQSPPHPTPSPLRPLSPSLTHAVDIRRPSTCSHVQTPASASRDAGDDSRPHAPHRLKQDKHSELLDNGSAHSIYPHH >itb15g10550.t1 pep chromosome:ASM357664v1:15:8299851:8302894:1 gene:itb15g10550 transcript:itb15g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPDRGESLLRNFEPLSVSKRLVRSVSQKFKRKNHTGEEEEQDNARGVSLSCLSLYGRGGGCKVSADMDEDLEDPQARRRSSASDESKGYVAASANEESAMNCISHAMREKFWRRSNRKALQLEEVIQNKSVNVFIPDDILEMCLMRLPLVSLMNARLVCKKWRDLTATPRFWQVRREGSFQSPWLFLFGVVKNGYCSDEIHALDVSLNQWHKLDAEILRGRFLFSVAGVRDDVYIVGGCTSLSNFGKVDKSSYKTHKSVLVFSPLTKSWHKAASMKYARSSPILGIYEVSSDYLIIRNQQIRSERRFHRSRIGSSSDVYEDPHRLSVRLEFRHSLDENDLSFLPSMKSYKFIRQKSGHSNKDNRRFVLIAIGGLGCWDEPLDSGEIYDSVSNKWTEIQRLPVEFGIACSGVVCNGMFYVYSETDKLAAFDIEGGYWIGIHTTPPPRVHEYNPRLISCNDRLLMLSVSWCEGGDQIGRRNKAVRKLWELDLRYHTWIEVSTHPDAPMDWYAAFVSDKTMIFGVEMFKIFGQVLDFLTVCNVSDGETNWRHISRNHVARQLDATSCMTKSVAVLHL >itb03g08050.t1 pep chromosome:ASM357664v1:3:6031223:6032698:1 gene:itb03g08050 transcript:itb03g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASTNTVTLKAISSSAKLPSTYPTSPAVLKFQPFKRTNPLISKSLGLTTSAAAAAKRSVTVKSQSAPAAKVQELCVYEINERDRGSPAYLRLSQKSVNTLGDLVPFSNKLYTGDLQKRLGITAGLCILIKHEEEKKGDRYEAIYSFYFGDYGHIAVQGQYLTYEDTYLAVTGGSGIFEGVSGQVKLQQLIFPFKLFYTFYLKGIPDLPAELCGVPVPPSPAVEPSPAAKACEPGSTIANFTD >itb09g27260.t1 pep chromosome:ASM357664v1:9:27639238:27639874:-1 gene:itb09g27260 transcript:itb09g27260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHIFRAKQGLRRSSSRTNREFEVPKGHFAVYVGENEKRRFVIPVSYLKDPSFQHLLSQAQEEFRFDHPTGGLTIPCMEDTFLEVISSLRY >itb07g07840.t1 pep chromosome:ASM357664v1:7:6086233:6087655:1 gene:itb07g07840 transcript:itb07g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPDLNMISDFEAGVNCLQNPSLISRSLAVSGIGNSFWKWGALILAVVATFGGIIRRIKLLFIYIRTVKPSAEPLLQYLNEDFDFSDDDDEDELSSASSEDEDNVRRYRRTVTDRVDRDFGVAGTSFSCFREQGQNGNLRNRRRRSSCERFPWSDFGAGKSVVKLWDNLSLGYDFDEEVRVWDLNKDLKFANIFGGSPMASPAVVLSSEVRENRDDVVLSAYDTRMKAQAPAICAEWNAPAAKISGVNAGGVKKVYVRDNAAGVVTVGDMRNIKTPLGSLTETDGDTWWDADAVITEDEEM >itb03g24090.t4 pep chromosome:ASM357664v1:3:22609322:22613720:1 gene:itb03g24090 transcript:itb03g24090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPQIQKSLLTRLYSNYAYTIGTKKSIIVKGNGGRRRTGRCCSAIAIDSPASVSGVSGVRWGSSLLQGPREEMEDAAVIVQSDDLGGFSYAAVFDGHAGFSSARFLREELYRECVRALQGGLLLNGKDLNAISKALQDAFETVDAKLVNWLETSGQEIESGATATVIFVGKDTLFISHLGDSCVVLSRSGKAEALTNSHRPYGSNKVSLDEIRRIREAGGWIVNGRICGDISVSRAFGDMRFKNKKNEMLEKGVEEGRWSQKFASRVTFRGDLVTAFPDVSQVTLGSDAEFLVLASDGLWDYVNSSDAVNLVRNQLREHGDVQVSNRHVPIL >itb03g24090.t1 pep chromosome:ASM357664v1:3:22609322:22614702:1 gene:itb03g24090 transcript:itb03g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPQIQKSLLTRLYSNYAYTIGTKKSIIVKGNGGRRRTGRCCSAIAIDSPASVSGVSGVRWGSSLLQGPREEMEDAAVIVQSDDLGGFSYAAVFDGHAGFSSARFLREELYRECVRALQGGLLLNGKDLNAISKALQDAFETVDAKLVNWLETSGQEIESGATATVIFVGKDTLFISHLGDSCVVLSRSGKAEALTNSHRPYGSNKVSLDEIRRIREAGGWIVNGRICGDISVSRAFGDMRFKNKKNEMLEKGVEEGRWSQKFASRVTFRGDLVTAFPDVSQVTLGSDAEFLVLASDGLWDYVNSSDAVNLVRNQLREHGDVQIACEALARMALDYQRSQDNVSIIVADLGRTDWRTLPVQQQNVFLELVQALATIGIVSVGIWMTTQLSF >itb03g24090.t2 pep chromosome:ASM357664v1:3:22609322:22614702:1 gene:itb03g24090 transcript:itb03g24090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPQIQKSLLTRLYSNYAYTIGTKKSIIVKGNGGRRRTGRCCSAIAIDSPASVSGVSGVRWGSSLLQGPREEMEDAAVIVQSDDLGGFSYAAVFDGHAGFSSARFLREELYRECVRALQGGLLLNGKDLNAISKALQDAFETVDAKLVNWLETSGQEIESGATATVIFVGKDTLFISHLGDSCVVLSRSGKAEALTNSHRPYGSNKVSLDEIRRIREAGGWIVNGRICGDISVSRAFGDMRFKNKKNEMLEKGVEEGRWSQKFASRVTFRGDLVTAFPDVSQVTLGSDAEFLVLASDGLWDYVNSSDAVNLVRNQLREHGDVQIACEALARMALDYQRSQDNVSIIVADLGYVILG >itb03g24090.t3 pep chromosome:ASM357664v1:3:22609322:22614695:1 gene:itb03g24090 transcript:itb03g24090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPQIQKSLLTRLYSNYAYTIGTKKSIIVKGNGGRRRTGRCCSAIAIDSPASVSGVSGVRWGSSLLQGPREEMEDAAVIVQSDDLGGFSYAAVFDGHAGFSSARFLREELYRECVRALQGGLLLNGKDLNAISKALQDAFETVDAKLVNWLETSGQEIESGATATVIFVGKDTLFISHLGDSCVVLSRSGKAEALTNSHRPYGSNKVSLDEIRRIREAGGWIVNGRICGDISVSRAFGDMRFKNKKNEMLEKGVEEGRWSQKFASRVTFRGDLVTAFPDVSQVTLGSDAEFLVLASDGLWDYVNSSDAVNLVRNQLREHGDVQVSNRHVPIL >itb11g18350.t1 pep chromosome:ASM357664v1:11:18608804:18609100:1 gene:itb11g18350 transcript:itb11g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTYCCLHPSSRLKSHFVTFRLDSLLPIAAKTLPHFSRNGKSVNHHCHRQPDASSSFSAIIDFQSFFWLAVFTLFLRLFSVIRFSFSANSVLFLCKN >itb09g15260.t1 pep chromosome:ASM357664v1:9:10531445:10538588:1 gene:itb09g15260 transcript:itb09g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSDVALEAVPELADSEVQIRDVEEQDSGVDNRGGADMEINGTASIGELSSVRFRDGKGIVVYRRSKRLKTAAASDGGAGLSGLQGGRGEGTSEMRSGGDAGNLNELNLYLDGKVTILGKSGLVTCNGEGEAENVNLVSDFSGEGEMVEVEVKEESTAVVMNAAGKLVKRRFTRSALKVKVEVVSEMENEVIKGFIDAEEVAEAVGDENGVATALGTPTKKLEMKMSKKIVLNGKPSTVRELFETGLLEGYPVVYNAGKRGVLLRGIIKDVGILCSCEMCKGSIVVPPCKFEIHACKSYRRASQYICLENGKSLLDVVKECRKCSLNALEETIQSFIGPTPVKESIVCQNCKVPFLTTSAANLQLCDSCLIVTRSEDEVGTSEPVENLNSPASVKVLASSMVNTKGRKKRKALDLASNGKAPLRSSERIFPSQKNLSEMSKKGLSKPAFVSKATGNASKHHPFKTKIKGRILKKFSKSSAVMKYAKGSTAGTSVQTKNQWKITKKDQKMHWMVFEEGVLLDGTEVAYYSHGKKLLVGYKKGFGILCSCCNTEISPSQFEAHAGWASRKKPYMYIYTSNGVSLHEFAISLLKGRNSSVKDSDDLCIICADGGKLVLCDGCPRAFHKECASLPSVPRGKWYCKYCENMFQREKFVEHNANALAAGRVSGIDPIEQITKRCIRIVKNPEEAEVIACVICRGYDFSRSGFGPRTVILCDQCEKEYHIGCLKKHKIADLKELPKGKWFCCTGCQRIYLALQNLLNSGDEKLPDTYLDIVRAKEVEKCIDSIGDIDLRWRLLSGKMTSRETRVLLAEAVAIFHDCFDPIVDSVTGRDFIPSMVYGRNIRGQDFGGMYCAILTLNSTVVSAAILRVFGRDTAEIPLVATRIGNQGKGYFQLLFSCIEKLLAFLNVKTCVLPAADEAISIWTEKFGFKKIPPDQLASYRRICWQMITFQGTSMLEKMVPKCRIIRQEEAEMEMEMATGAVVQQESRMET >itb09g15260.t2 pep chromosome:ASM357664v1:9:10531445:10538587:1 gene:itb09g15260 transcript:itb09g15260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSDVALEAVPELADSEVQIRDVEEQDSGVDNRGGADMEINGTASIGELSSVRFRDGKGIVVYRRSKRLKTAAASDGGAGLSGLQGGRGEGTSEMRSGGDAGNLNELNLYLDGKVTILGKSGLVTCNGEGEAENVNLVSDFSGEGEMVEVEVKEESTAVVMNAAGKLVKRRFTRSALKVKVEVVSEMENEVIKGFIDAEEVAEAVGDENGVATALGTPTKKLEMKMSKKIVLNGKPSTVRELFETGLLEGYPVVYNAGKRGVLLRGIIKDVGILCSCEMCKGSIVVPPCKFEIHACKSYRRASQYICLENGKSLLDVVKECRKCSLNALEETIQSFIGPTPVKESIVCQNCKVPFLTTSAANLQLCDSCLIVTRSEDEVGLSKPAFVSKATGNASKHHPFKTKIKGRILKKFSKSSAVMKYAKGSTAGTSVQTKNQWKITKKDQKMHWMVFEEGVLLDGTEVAYYSHGKKLLVGYKKGFGILCSCCNTEISPSQFEAHAGWASRKKPYMYIYTSNGVSLHEFAISLLKGRNSSVKDSDDLCIICADGGKLVLCDGCPRAFHKECASLPSVPRGKWYCKYCENMFQREKFVEHNANALAAGRVSGIDPIEQITKRCIRIVKNPEEAEVIACVICRGYDFSRSGFGPRTVILCDQCEKEYHIGCLKKHKIADLKELPKGKWFCCTGCQRIYLALQNLLNSGDEKLPDTYLDIVRAKEVEKCIDSIGDIDLRWRLLSGKMTSRETRVLLAEAVAIFHDCFDPIVDSVTGRDFIPSMVYGRNIRGQDFGGMYCAILTLNSTVVSAAILRVFGRDTAEIPLVATRIGNQGKGYFQLLFSCIEKLLAFLNVKTCVLPAADEAISIWTEKFGFKKIPPDQLASYRRICWQMITFQGTSMLEKMVPKCRIIRQEEAEMEMEMATGAVVQQESRMET >itb09g28320.t1 pep chromosome:ASM357664v1:9:28941259:28944025:1 gene:itb09g28320 transcript:itb09g28320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLRFCDKKVQFWVKVKGKPKSRKTDLRKVTNDADILVLNLEVPRNKELDIYVEHLYDDQWDYEVEISRSLGDDALLTDEGSEQESEREVDGPDTVFEEVEVEVNGPTAKRVGNEAEVDLNEVDCVHSEGIFRSLDDSDDERDFNGTATVFQERNLKKEGFKFVLGMIFQSAKEFKWAVTYHEAMRRKDVKFVKNEGRRVSEQGRMENQNVESEAKAEVEGQNEVEVEGQFEDIAVETQVPAFVLDEMGSQEVLGSQSSQPLHNHIPQESSYISTERTTLTPTTAEEMHRLGLSSRTISVIQTLARPGNLVLLKRKK >itb06g23660.t1 pep chromosome:ASM357664v1:6:25511362:25513426:1 gene:itb06g23660 transcript:itb06g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g34160 [Source:Projected from Arabidopsis thaliana (AT1G34160) UniProtKB/Swiss-Prot;Acc:Q9FX24] MAYVESLLPKCTSFSHVKQLQAHLITTGLFHFYPSRAKFLDFCAASSAGSFQYAAFIFRRIPVPCTNDWNAIIRGLAQSDQPIDAVVCYARMLRARCKPDALTCSFTLKACARALARFPTGQIHAGVVRFGFSSDILLRTTLLDAYAKCGDLDHARKVFEEMAMRDVASWNALIAGLAQGNRPSEALELFKEMKEANSEPNDITVLGALSACSQLGAITEGQKVHEYIRMKNLGYNVIVCNAVIDMYAKCGLVDRAHQVFDEMRCPKSLVTWNTMIMALAMHGDGVKALKLFERMSQTGMKPDSVSYLAALCACNHSGMVEEGMRLYESMEKYGVSKKVKHYGSMVDLLGRAGRLKEAYDIIVSMPMAPDVVLWQTLLGACKTYGNVDMAEKAADKLIEMGSNSCGDFVLLSNLYAAHKRWADVGRVRQAMKSRDVKKVPGFSYVEVNGVIYKFVNGDKSHSDWNAIYGKLDEIWFRISEYGYVPETNYVLHDIEQEEKENALSYHSEKLAVAFALIRTGDRTPISVNKNIRICGDCHIVIKLVSKIYEREIIVRDRTRFHRFKDGSCSCRDYW >itb02g09710.t2 pep chromosome:ASM357664v1:2:6238091:6239726:1 gene:itb02g09710 transcript:itb02g09710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEHINSVVLSLALLIAGALTWAAWRLINYVWFTPKKVEKWLREQGFSGNPYRLWHGDLKDIKKMTAQEYSKPISLSDDILPRAFPFHHQILHKYGKKSYFWQGPVARVLIMDPEMLREILYKYTIFQKPPVSENPIFKLLVTGLVSQEGEGWAKHRTLLNPAFKLHNLKQMLPAMHACCSSMVRKLENLVKEKGTVEMDLWPHLGSLSADVISRTAFGSSYEEGKRIFQLQTEQAKLAFSYTQSIYIPGWRFLPTKTNRRMVEIYKEVRGLMLGIINKRIKAMRMGEAENDDLLGILMDSNFKAIRTGRRNNVGLTIDEVMEECKLFYFAGQETTTNLLAFTIVMLCKHQDWQARARQEVFQVFGNTIEPDFERLNRLKIVSKHHPIY >itb02g09710.t1 pep chromosome:ASM357664v1:2:6238136:6240909:1 gene:itb02g09710 transcript:itb02g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEHINSVVLSLALLIAGALTWAAWRLINYVWFTPKKVEKWLREQGFSGNPYRLWHGDLKDIKKMTAQEYSKPISLSDDILPRAFPFHHQILHKYGKKSYFWQGPVARVLIMDPEMLREILYKYTIFQKPPVSENPIFKLLVTGLVSQEGEGWAKHRTLLNPAFKLHNLKQMLPAMHACCSSMVRKLENLVKEKGTVEMDLWPHLGSLSADVISRTAFGSSYEEGKRIFQLQTEQAKLAFSYTQSIYIPGWRFLPTKTNRRMVEIYKEVRGLMLGIINKRIKAMRMGEAENDDLLGILMDSNFKAIRTGRRNNVGLTIDEVMEECKLFYFAGQETTTNLLAFTIVMLCKHQDWQARARQEVFQVFGNTIEPDFERLNRLKIVTMILYEVLRLYPPAAMLFRKTTGKTELRGVAIPNGMELVLPIIFVHHDTDLWGDDAKEFKPDRFSEGLEKATKGQASFFPFSSGPRVCIGQHLAMAEVKLAMAMILHHFSLDLPPSYVHAPFSLLTLQPQYGVKIILTKA >itb01g08220.t1 pep chromosome:ASM357664v1:1:6629017:6633704:-1 gene:itb01g08220 transcript:itb01g08220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDHSQAACRYDTSPLHNLTQHTLAIHREKTFTQFVGRDLPSENDEHPKVCRLQMKRSFRLSITCAPKFCQAPGQGLTLAKLLAEAKVANLGQGLASAKKSCADIACRLRNLDKRSRTGESSRAPLTSRVLSLRSRDMRHSSVSTTVDPLALSIDPVVPVLPPLATSTTPLGWPIDLPPSVSLICGDVPLEVVARSLLSPRDVDLCRGRTDYHPMLEAFVRYAAMGDALGTTQITLETTRAQLEAKIKARLELESKLQDWRMLTRYRGSETFKGDVKTFIVEHIEEAFQSLKSTTTRRERVAEEGFFMYDIGEYTMQREIYSALRRRDEAFDPEVWGLPREFADPEAPVEPTEVVGVETRLSSTCASGTKLFASGPLPRPLDCTSLEHVHIPDGMADPIQAADAEGRDP >itb12g05440.t1 pep chromosome:ASM357664v1:12:4016284:4017382:1 gene:itb12g05440 transcript:itb12g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHEAQEEEHPLPPPPPPKTPLLPPPTASSLYKQNTWSPETFRDEVWQRRKENHGKHLKRRSKSVTDEDLNELKACIELGFGFDSPEMDQRLSETFPAYSLFYSVNKHLNDTVSKTATASDCDTASSVGSPDTMFGPGERPEIVKTRLKRWAQVVACSLRHSSN >itb01g17150.t1 pep chromosome:ASM357664v1:1:21838902:21843718:1 gene:itb01g17150 transcript:itb01g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRILNTNVDGKQKIMFAMTSIKGIGRRFANICCKKADIDMNKRAGELTAAEIDNLMTVVANPRQFKVPDWFLNRQKDYKDGNYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >itb08g03760.t1 pep chromosome:ASM357664v1:8:3042327:3044972:1 gene:itb08g03760 transcript:itb08g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MESTLLPCKAAPKIPEIPHRPPQKLKTPPGKTVPYVTDYQLHHLCVNGRLREAITALDTISQCGSKVNPGTLSRLIDACVDSQSIHLGRKLHSCIEYVLEDAIPFIETKLIGMYAKCGSITDAYKVFDGMRSRDLFTWSAMIGACFRESRWIEVVELFYSMMRDGVVPDGFLFPKILKACGKCGDVETGRLIHSIVLRLGMDMEIRVNNSILAVYAKHGRLDSAKRVFDYMEIRDIVSWNSILLGYCQRGEIGEVQRLFGLMQTEGFEPTVITWNILMSSYNQLGMCDAAMEMMREMESYGTIPDVFSWSCMISGLAQNNRSNEASELFQEMVLSGIEPNEVSLVIAISACASLKSIRKGKELHSIAVKLGFDENVVVGNSLINMYSKCVELEVARKVFDMITEKDVWSWNSMIGGYCQAGYSGKAYDLFVRMQDSGVPPNVITWNVMINGYMQSGDEDQALDLFLAMEKDGSIQQDIASWNGLITGYVQNGKIDEALGIFRKMLLSHVKPNPITVLSLLPACANIIAAKKVKEIHCFALRNFFTSHLSISNALIDAYSKSASINFAKAIFNGMQAKDIISWNTMIAGYVLHGCSKDAIELFDQMRQEGFKPDRITFASLLSSYGLAKMVDEGKHIFSNMIGEYEINPGLHHSVAMINLFGRSGMLEEAMEFIESMAIKPDVSIWDALLTASRIHGNVRLAIHAVERLLELDPGNVVIHHLGLQLYALCCISEDALNRKWHVKGSLAEASLGWSCTIDKDTVHTFDMCHKSQLQAEILQCLTKNNAWKTTRSYPCKGLCIMEEEKEDTGWVHSEKLALAYNLINFPQSSDAIRIVKNLRICGDCHSMAKFISKTHGREIYLDDSKCLHHIKDGYCSCRDYW >itb08g00340.t1 pep chromosome:ASM357664v1:8:308936:310430:1 gene:itb08g00340 transcript:itb08g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKCKVCDKNVYAAEMIAARGETYHNTCFRCRQCNGRLALSNYSTMDGVLYCNPHFEQLFKEKGVTVTRSQSLGKQGAEQLTKTPSKVSSMFSGTQEKCAACKKTVYPLEKVTVDGEFYHKSCFKCSHGGCMLTTSNYAALDGLLYCKPHFSQLFKEKGSYNNLRKSNSLKTNEDLTAAVPTTADDGAAAAAPAPEQGE >itb09g31100.t1 pep chromosome:ASM357664v1:9:31589867:31591646:-1 gene:itb09g31100 transcript:itb09g31100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCKLLLLLTILAFSLFLSSLATPHASASVEQIGNPKAVLNFVDKASRVDVSSQRGLKLEEDDESVWLLSTRRMGAEEEDYSGTGANTRHDPNPPGNND >itb03g00290.t2 pep chromosome:ASM357664v1:3:142187:146322:-1 gene:itb03g00290 transcript:itb03g00290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTYFPLRWESTGDQWWFASPIDWAAANGHYDLVRELLRLDSNHLFKLTSLRRIRRLETVWDDEAQFHDVAKCRSQVARKLLIECENKKGKNSLIRGGCGGWLLYTAASAGDLSFVQELLERDPLLVFGEGEYGVTDILYAAARSKNSDVFRTLFDYAVSPRFLSGNGKELEEHVGDIPSAYKWEIQNRALHAAARGGNLGVLRELLADSCGDHVLAYRDIQGATILHAAAARGQVEVVKDLIACYDDIISSTDNHGNTALHVAAARGQLAVVEALTVVSPSLLNSTNKAGETFLHVAVTGFQTPCFRRLDRQIELMKQLVSGRIFNIEEIVNAKNNDGRTALHLAIIGNIHSELVELLMTVRSMDVNTRDKDGMTPLDILRQRPRSESSEIITRQLISAGGIFSQQEYAARRVVASHLRMQSMGGSPGTSFRISDPEIFLYTGIENASDGSEGLNTFTTEASQRDSTVESLGHRSSSKPAGPANYATQRLKSLLQWAKIKKRDTERHKKKTEEVHHQSPVDNNSEGTPIPLRQRFSKPSSLPNNKRSLCVRSNLPSPTAKKRFASGLINGVMQAMNVPRRSSRSSSLSISSLSSLESSSVDKQKAVHIESEIAGGGGSYYNEAENKGGERDPIEKQHGNRRSSSSVNQYFCFGGPPTATGGQGLKAPPAGLMQPFEIYERSVLSTA >itb03g00290.t1 pep chromosome:ASM357664v1:3:142187:146322:-1 gene:itb03g00290 transcript:itb03g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTYFPLRWESTGDQWWFASPIDWAAANGHYDLVRELLRLDSNHLFKLTSLRRIRRLETVWDDEAQFHDVAKCRSQVARKLLIECENKKGKNSLIRGGCGGWLLYTAASAGDLSFVQELLERDPLLVFGEGEYGVTDILYAAARSKNSDVFRTLFDYAVSPRFLSGNGKELEEHVGDIPSAYKWEIQNRALHAAARGGNLGVLRELLADSCGDHVLAYRDIQGATILHAAAARGQVEVVKDLIACYDDIISSTDNHGNTALHVAAARGQLAVVEALTVVSPSLLNSTNKAGETFLHVAVTGFQTPCFRRLDRQIELMKQLVSGRIFNIEEIVNAKNNDGRTALHLAIIGNIHSELVELLMTVRSMDVNTRDKDGMTPLDILRQRPRSESSEIITRQLISAGGIFSQQEYAARRVVASHLRMQSMGGSPGTSFRISDPEIFLYTGIENASDGSEGLNTFTTEASQRDSTVESLGHRSSSKPAGPANYATQRLKSLLQWAKIKKRDTERHKKKTEEVHHQSPVDNNSEGTPIPLRQRFSKPSSLPNNKRSLCVRSNLPSPTAKKRFASGLINGVMQAMNVPRRSSRSSSLSISSLSSLESSSVDKQKAVHIESEIAGGGGSYYNEAENKGGERDPIEKQHGNRRSSSSVNQYFCFGGPPTATGGQGLKAPPAGLMQPFEIYERSVLSTA >itb09g09910.t1 pep chromosome:ASM357664v1:9:6088708:6091065:1 gene:itb09g09910 transcript:itb09g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDEITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLSNGKVKYLVHSPESLNKLLAKLGLTQPTVET >itb15g23370.t1 pep chromosome:ASM357664v1:15:26190670:26193537:-1 gene:itb15g23370 transcript:itb15g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFVDDLSSSLENHVEPFLSHDDAAPSNEADDHGFTFAEINSVRASASKVICCDFSSDGKLLVSGGHDKKAVLWHTDTLTPKATFEEHLLLITDVRFSPSMSRIATSSFDRTIRVWSADNYHGHSIRNFTGHSVAILSLDFHPNNEDLICSCDGDGEIRYWSVTNGCCTSALTGGTTQVRFQPRLGRYLAAAGENDVSIIDVETQTCIQTLKGTKPVNYVCWDPSGELLVTVSEDSVRVWALGSGSVGNCVHELGSNHSKFHSCVFHPLYTSVLVIGCNQSLELWNMTENKTMAIPAHERIISSLAASAATGLIASASHDQIVKLWK >itb04g16890.t1 pep chromosome:ASM357664v1:4:19015288:19022807:-1 gene:itb04g16890 transcript:itb04g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRERMTAAAAAVVLVAVALLGGVESLRRPHRILLDTDVDTDDFFALLYLLKLNRSEFDLKGVTISSNGWTDAGHSVNQIYDLLYMMGRDDIPVGVGGEGGILEDGTILPNVGGYLPIVEQGIGTVGYCRYRQAVPVGLGGRLDIDTNFGFRKSFLPQGRRRYSPLRQPTAQRVLIDTISAGPTTVFITGAHTNLAIFLMSNPHLKKNVEHIYVMGGGVTSQNPTGCCPKNDTSCQPRQCGDRGNLFTDYTSNPFAEFNVFIDPFAAYQVIHSGVPITLVPLDATNTIPISAEFFENFENSQHTYEAQYIFKSLKMARDTWFDDQFYTSYFMWDSFLSGVATSIMSKPLNHEGENDFAEMKYMNITVITSNEPYGVSDGSNPLFDGRKTPKFNLKSEVHSGHIQTGLRDPFCLLKNGKGKCRDGYTTVVEGPEGVPVLVAVRAKPNRDVRSPLDREFFINFLDVINRPQQTGRFNFTTEYPNYKEVLYKPDLRGKQKLGKNVVFDMDMSAGDFLALFYLLKLPVEVINLKAILVSPTGWANAATIDVIYDVLHMMGRDDIPVGLGDVFPVNITDPVMPGVVGDCKYQKVIPHGNGGFIDSDTLYGLARDLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALQVWESVVKSLDPKSKITILTNGPLTNVANIIGAGENVSSAIQEVVVVGGHIKSDSSHKGNVINFPSNKFAELNMFFDPVAAKTVFNSKLDVTLIPLATQRKVSSFPKIIQALAQKKTPEAAFAKRLLSRLYHLQMKHHRYQHTGTFLGEILGSVVVAGDVSTLKPTFGIKKIEVSATGVESEDGQMMIDEKQGKAVKVLENVDPLAYYHLYGHMVGNWNQSAVVGSFLEQTRMWSTPLNTKRHL >itb12g00060.t4 pep chromosome:ASM357664v1:12:57917:60897:1 gene:itb12g00060 transcript:itb12g00060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMHGNSFQRKLAIVKNGGTPTVHKPKRRTPYGGRSNTSEKPQRSRSLSSSPDRVRPRGRSPAFNALAANFETPNARNLSTPPPMVRKIYPKSVTPDSTKLASRSAAIAALTASFDKSLPARQVIIPSFTKGRASTDASKPSPEENSKPETIQEDVKEGEVEDDDGLRTYPYDRLKTTSTDLITVGIDVTKREVCFEENFEMC >itb12g00060.t1 pep chromosome:ASM357664v1:12:56616:60901:1 gene:itb12g00060 transcript:itb12g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEIYNFNQDDLLTEDIFILDCLSDIYVWVGQLVENKNKKQALVIGEKFLQNDFLLEKLSQQAPIYIVFEASEPTFFTRFFTWDSMKAAMHGNSFQRKLAIVKNGGTPTVHKPKRRTPYGGRSNTSEKPQRSRSLSSSPDRVRPRGRSPAFNALAANFETPNARNLSTPPPMVRKIYPKSVTPDSTKLASRSAAIAALTASFDKSLPARQVIIPSFTKGRASTDASKPSPEENSKPETIQEDVKEGEVEDDDGLRTYPYDRLKTTSTDLITVGIDVTKREAYLSSQEFREKFGMNKDAFYKLPKWKQNKLKMTLQLF >itb12g00060.t3 pep chromosome:ASM357664v1:12:57917:60901:1 gene:itb12g00060 transcript:itb12g00060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMHGNSFQRKLAIVKNGGTPTVHKPKRRTPYGGRSNTSEKPQRSRSLSSSPDRVRPRGRSPAFNALAANFETPNARNLSTPPPMVRKIYPKSVTPDSTKLASRSAAIAALTASFDKSLPARQVIIPSFTKGRASTDASKPSPEENSKPETIQEDVKEGEVEDDDGLRTYPYDRLKTTSTDLITVGIDVTKREAYLSSQEFREKFGMNKDAFYKLPKWKQNKLKMTLQLF >itb12g00060.t2 pep chromosome:ASM357664v1:12:56616:60901:1 gene:itb12g00060 transcript:itb12g00060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEIYNFNQDDLLTEDIFILDCLSDIYVWVGQLVENKNKKQALVIGEKFLQNDFLLEKLSQQAPIYIVFEASEPTFFTRFFTWDSMKAAMHGNSFQRKLAIVKNGGTPTVHKPKRRTPYGGRSNTSEKPQRSRSLSSSPDRVRPRGRSPAFNALAANFETPNARNLSTPPPMVRKIYPKSVTPDSTKLASRSAAIAALTASFDKSLPARQVIIPSFTKGRASTDASKPSPEENSKPETIQEDVKEGEVEDDDGLRTYPYDRLKTTSTDLITVGIDVTKREVCFEENFEMC >itb12g00060.t5 pep chromosome:ASM357664v1:12:57917:60901:1 gene:itb12g00060 transcript:itb12g00060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMHGNSFQRKLAIVKNGGTPTVHKPKRRTPYGGRSNTSEKPQRSRSLSSSPDRVRPRGRSPAFNALAANFETPNARNLSTPPPMVRKIYPKSVTPDSTKLASRSAAIAALTASFDKSLPARQVIIPSFTKGRASTDASKPSPEENSKPETIQEDVKEGEVEDDDGLRTYPYDRLKTTSTDLITVGIDVTKREGEIWDE >itb12g09290.t1 pep chromosome:ASM357664v1:12:7300355:7304263:-1 gene:itb12g09290 transcript:itb12g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAYKLSQLLLLFLTIIRLLPLLSTTTALPFSSPNHLQVPNEVGALLTWKSSLDLRTQSLLTSWVVGSDNPCNWTGIACDGGRSITSLNLTSYGLKGTLRDLSFSSLLHLQVIDLSNNSLHGSISFIKEMSVLKNLTTLYLYQNWFSGHIHQEIGLITSLVDLQLYWNNLTGQIPTSIGNLQNLTTLYLWGNQLSGQIPQEIGLLTSLIDLELDSNNLRGQIPTSIGNLQNLTTLSLWGNQLSGQIPQEIGLLTSLIDLELSNNNLKGQIPASIGNLQNLTTLYLLGNQLSGQIPREIGLLTSLIDLELSNNNLKGQIPTSIGNLQNLTTLYLWRNQLSGNIPQEIRRLTSLVNLGLGLNNLTGQIPTSIGNLQNLTTLYLWGNKFSGHIPQEIGMLKSLVVLVLSSNNLTGQIPISIGNLRSLSTLHLYENQLSGHIPQQIGLLTSLISLELLSNNLTGQIPTSIGNLQNLTTLFLWGNQLSGHLPSIFNNLTRLAMLDLSYNHFIGQLPENLCISRSLKRFVLENNKFIGNIPITLKNCTSLSRVLLQNNQFSGDISKAFGEYPDLYYINLSNNLFHGQLSSSWGNCPKLSALKISYNRISGKLPTNLYNASQLVLLDLSSNELVGMIPKSLEKLVLLTILKLDKNNFSGNISLGIEKLPWLSIFNIAANSFVGLIPEHFESFQRLIVLNLSRNMFVGNVPYGMGKIKLLESLDLSYNALSGQIPQQFEGLTSLQIMNLSHNNLSGYIPSTIAQCLGLLSVDVSYNQLEGPIPNNKAFLEAPYDALINNKDLCGNHSGFEPCSSYNQRNHHKRRNLLLIIILTFGSLFMIISILFLLIIRSKSHVRETPKAITNKDVFAILNFDGKMAYEDIMEATGNFDSIYCIGEGGHARVYRAELSSGQIVAIKRFNNAIGQGVERHKLKSFSNEVRTLTKIRHRNIVKLYGFCASERNSFLIYEYLEEGSLAHILKDGEKAMELGWMKRINVVKAVAKALSYIHHDCLPSIVHRDISAKNILFDCAYEAHVSDFGTARILSLYSSNWTSFAGTFGYAAPEFAYTMEVTEKCDVYSFGVLVLEVIMGKHPGDLITSIFSSLISTAHGILLLKDVLDSRLSTPTKQEAEELILVVKIAIACLNMNPQCRPSMQQVEEVLFMPVRVRLQCKFYWTLNSLLQQGCAAGS >itb02g15180.t1 pep chromosome:ASM357664v1:2:11050203:11052010:1 gene:itb02g15180 transcript:itb02g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPHYPAPFISMSTNLSPISKPLFSPFKPRNLCTFSMAACIHSSRTEAPNSQTNLTQFDDQVYNYVKFCRPTFPDLVSCVPFSENPSRRDDDVWLRMKDEAISDVEEEPILCGYYYSSILDHDSIESALANHLSMKLSNSSLPSGKLCDLFLAILAEDSEIIRAVKADLKAVKERDPACISYVHCFLNFKGFLAVQSHRVAHQLWSRGRKTLALLIQNRSSEVFAVDIHPGAKIGRGILFDHATGIVVGETAVIGDNVSILHNVTLGGTGKVSGDRHPKIGDGVLIGAGTSVLGNVKIGDGAKIGAGSVVLKDVPARTTAVGNPARLIGGKENPVRHDKMPSLTMDHTSYISEWSDYVI >itb01g22850.t1 pep chromosome:ASM357664v1:1:28949532:28952297:1 gene:itb01g22850 transcript:itb01g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNAGSGHIPAFDPPKKVKRNKYAIAAAFLASLASILLGYDIGVMSGAAIYIQKDLKINDTQVEILLGILNLYSLIGSGLAGRTSDWIGRRYTMVVASVVFFVGAILMGFSTNYTFLMVGRFVAGIGVGYALMIAPVYTAEVAPASVRGFLTSFPEVFINFGILVGYVSNFAFKNLPAHLSWRFMLGVGAIPSVLLGVSVLAMPESPRWLVMQGRLGDARRVLVKTSDSTEEAHMRLADIKEAAGIPEHCDDEVVTVTKKRSDGDSIWRELFISPTPAVLHILITGVGIHLFQQASGIDAVVLYGPRIFEKAGIKSNTDILLCTIAIGFTKTVFILVATFTLDKFGRRPLLLTSVGGMVATLALLGTALTVIDHSQEKVTWAVAMAIAMTLAYVAAFSIGAGPITWVYSSEIFPLKLRATGCSLGTGINRVTSGVVSMTFISLYKAISIGGSFFLYASIAAVGWVFFFTLMPETQGRTLEETEALFGTFFRWRSRQREVDAKKANNNNNSQLQMGTLQAANNK >itb11g09200.t1 pep chromosome:ASM357664v1:11:6124783:6126004:1 gene:itb11g09200 transcript:itb11g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPGVPNHSGGENDQDLHNRRLYNPYHDLQIPIQNLYKLPTTPEFLFEEESRVQRRSWGENLTYYTGIGYLAGATFGGAKGFYQGAKASEPGDSLKLRVNRILNGSGHNGRKFGNRAGVIGLLYAGMESGMVAVRDTDDVINSVVAGLGTGALYRAAAGLRSAAVAGVIGGVVVGLGVTAKQAMKRYVPI >itb07g15560.t1 pep chromosome:ASM357664v1:7:18614015:18614332:-1 gene:itb07g15560 transcript:itb07g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRACSEDVIFRIAFAAAFLLLPLPYEKIGGNPVPTVIFKGRPALFHVFLMSLAFAFSGAFVMMSFRETHPRFAGFCRLIALCSTATAAGVLAMSLLLPSSPISG >itb12g00700.t1 pep chromosome:ASM357664v1:12:500955:501572:-1 gene:itb12g00700 transcript:itb12g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLQLSNSTRMKKPSVIPRLFLSRGDRQVKIIMACGHTKIKAMKDNFYEVLSLRSENAGVEEIKRAYRCMALQWHPDVCHNHSDKEESTRRFIRVREAYETLSDPVSREIYDHELGLITSFDGEFGVPPCMENRRRRPGFAREVWERQLSGLKTRSRRRIGTTTRSSRFQKPGVRLIKMICVLCTC >itb12g28360.t2 pep chromosome:ASM357664v1:12:28298169:28301479:-1 gene:itb12g28360 transcript:itb12g28360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRSLLKVIVLGDCGVGKTSLVNQYVYKKFREQYKATIGADFVTKEVEVDEKVVTLQIWDTAGQERFHSLGVAFYRGADCCVLVYDVNAPKSFETLQHWHEEFIKQAGLTEPEKFPFILMGNKADLESEERNDETISEKRAREWCTSKGNIPYFITSAKEDYNVGAAFLCAAKLALANEDENSFWQVLEEEMPSHIHLQRIPESVSGIEQQKGGCAC >itb12g28360.t1 pep chromosome:ASM357664v1:12:28298169:28301479:-1 gene:itb12g28360 transcript:itb12g28360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFGEVVPFCCLDWHCRYVYKKFREQYKATIGADFVTKEVEVDEKVVTLQIWDTAGQERFHSLGVAFYRGADCCVLVYDVNAPKSFETLQHWHEEFIKQAGLTEPEKFPFILMGNKADLESEERNDETISEKRAREWCTSKGNIPYFITSAKEDYNVGAAFLCAAKLALANEDENSFWQVLEEEMPSHIHLQRIPESVSGIEQQKGGCAC >itb04g16250.t1 pep chromosome:ASM357664v1:4:17680192:17689204:-1 gene:itb04g16250 transcript:itb04g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTHNPQKNLPRGPPQSQPHQTSQTTPHHPSPIIPLPSHALHKKALPIVRISASERQEKKARGECYTCDQKWSKSHRCPNRSLLLMCSEDDNSSDAFQQLEMVDEHVLTGGGVNLRPRLSLKQAIWEAGDDEQKSRPWRKKLSMAFNWISLFRFILLLFLITVITIVCLTLPVEKILKNFLIWVKEDLGPWGPLILAIAYIPLTVLAVPASVLTLGGGYLFGLPVGFFADSIGATMGAAAAFLLGRTIGRSFVISKLKDYPQFRAVAIATQRSGFKIVLLLRLVPLLPFNLLNYLLSVTSVPIGEYALATWLGMMPITFALVYVGTTLKDLSDVSHGWNEFSKSRMAFIALGFLVSVILMVSVTRVAKAALEKALAENDDVDDILTLPKLPVMADPHGDIHKSLIIKIDQQP >itb07g08120.t1 pep chromosome:ASM357664v1:7:6328215:6332725:-1 gene:itb07g08120 transcript:itb07g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVDGLINRLAQTVEQNAALILGIKDQVEDLVRELGSFQAYLTEAARNESWKDNAVVVEVEQSIRNVARDAEDAIDKYIVERRIYKAKPALKRWAEKAVYYTKVNLSAREIESFKERAKKIRQDYAHPLELLQGGSQNNHQPAVLQALVVEEDDVVGFDGEVKIIKDRLIEESKDTTFISIVGMSGLGKTTLIKKVFFDSEIEYEFFPRLWVYVSRNMNRREIFMNIIRKFIKQTNDYKNMSEEELAERIKEFLKDEKYLIVMDDVWNEKDLELLRIVFPNNQNGSRVLVTTRDTGLARHADSYGKPHVLKFLTDEESLEMLKHKVFGKEAFPTYLEIRGRKIAAICNGLPLAILVIAGVLNKDQVSLWNDVAQHPMPILNCRAPDYNNILRLSYNQLPYHTKDCFLYLAAFPIGHEISVWKLIRLWIAEGFIPAVTDSTMERIADSYLKEIVSRNLLMVVKRRADGDIKTCRLNDTLHEFCKDEAAKNYLFHEINGARIEGNDNYRRLCVRSSLKDFIGSEEKPSGEHIRSLLTSHKLDVPKEHLATIPKSYPFLKVFDAESLKFEILPKEFYQLYQLRYWAISTENNIIPKLFTHLWNLQTLMFNTTSSTVDVKAEIWNMPKLRHILSNASLQLPHPNSESCKENSSSSCPELQTLSTISPKSCTEEIFDKTPNLQKLGVRGNVSELLESKESGRICLFDNIRKLEKLENLKLMHEAFNDEAAATTLRSIPQAEKFPPNLRKLTLSKTSFDWKDICTLGSLDKLEVLKLEEFSAKGESWELNVNVVFKSLQFLRIGRTDLVYWTCEQSSFPALKRLQILQCEQLKEVPLSFKDVKSLKIIDLFFTNKQAANSARNIRDQKPKLDNFVLSILPPHH >itb09g30380.t1 pep chromosome:ASM357664v1:9:31024044:31025230:1 gene:itb09g30380 transcript:itb09g30380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGWIKSLQCKSKVLDDVVSNHHQYRLLRNSGSCKNGVRSLKDVVKTAQERRPKKLKPSKPLPLPQQISNPEIESDQTVPRSSASTSARHARTMSESYFPALTELPEGHPSRNVVEIIFHTSWSPKAFSGRIEVLFKVQNLPRTVARFEEYREAVKSRASCGGGEDRARCVADGNEVMRFFCLGPTTGGAYETGGCSSGWSLNSGKGTTICTFSGSGEAHESGGGGRGRRAMLVCRVIAGRVYQQLGKDSILEEERVGYDSVSGENGELLVFDSRAVLPCFLIIYKI >itb09g07760.t1 pep chromosome:ASM357664v1:9:4601106:4603299:1 gene:itb09g07760 transcript:itb09g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNGSIQQSCLNGTLGRHLAKRLVQIGVKDVFSVPGDFNLTLLDHLIAEPELSLIGCCNELNAGYAADGYARANGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCSQAVVNNLEDAHELIDTAISTALKESKPVYISISCNLPGLHYPTFARDPVPFFLAPKVSNQLGLEAAVEATAEFLNRAVKPVIIGGPKLRVAKAQKAFMELADAFQVTAQDISTMMRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNGQGKCWTKKVKTEEELIEAIATATSTHKDSLCFIEVIVHKDDTSKELLEWGSRVSSANSRPPNPQ >itb15g20350.t4 pep chromosome:ASM357664v1:15:22988327:22992516:1 gene:itb15g20350 transcript:itb15g20350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MGLGEPSRESGNATASSEDVGGSSGGGSGGVRSFPLGQQPEIMRAAEKDEQYTFFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYFLLTTGAGKQTLGEEYCDITQVAGPYGLPPTPARRALFIFYQTAVPYLAERVSSRIASQGITLDDPFDDSYPFSVASELSSRVEASSATEIASSSTSRAHPSVLSRFKTKIRECWLYAVQRWPSMLPLAREILQLVIRANLMFFYFEGLYYHLSKRASGIRYVFIGKPTNQRPRYQILGFFLLVQLCILAAEGLRRRSNLSSIAASAQQTPFGTYQTSSGRGVPVLNEEGNLITAGSEKGSFVSEPSSTSEVVSALPLIFTFSSHLLVEIPY >itb15g20350.t3 pep chromosome:ASM357664v1:15:22988327:22992561:1 gene:itb15g20350 transcript:itb15g20350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MGLGEPSRESGNATASSEDVGGSSGGGSGGVRSFPLGQQPEIMRAAEKDEQYTFFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYFLLTTGAGKQTLGEEYCDITQVAGPYGLPPTPARRALFIFYQTAVPYLAERVSSRIASQGITLDDPFDDSYPFSVASELSSRVEASSATEIASSSTSRAHPSVLSRFKTKIRECWLYAVQRWPSMLPLAREILQLVIRANLMFFYFEGLYYHLSKRASGIRYVFIGKPTNQRPRYQILGFFLLVQLCILAAEGLRRRSNLSSIAASAQQTPFGTYQTSSGRGVPVLNEEGNLITAGSEKGSFVSEPSSTSEVVSALPLIFTFSSHLLVEIPY >itb15g20350.t2 pep chromosome:ASM357664v1:15:22988310:22992561:1 gene:itb15g20350 transcript:itb15g20350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MSNTLSSYMRPAAMLFATSSVRISQFIYSFCEAMAYCLLLLSYSSSSGTRVAVAYQNETKLLGQMLYFLLTTGAGKQTLGEEYCDITQVAGPYGLPPTPARRALFIFYQTAVPYLAERVSSRIASQGITLDDPFDDSYPFSVASELSSRVEASSATEIASSSTSRAHPSVLSRFKTKIRECWLYAVQRWPSMLPLAREILQLVIRANLMFFYFEGLYYHLSKRASGIRYVFIGKPTNQRPRYQILGFFLLVQLCILAAEGLRRRSNLSSIAASAQQTPFGTYQTSSGRGVPVLNEEGNLITAGSEKGSFVSEPSSTSESQASGLSKCTLCLSSRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRSPATHSSLVCLYHSDF >itb15g20350.t1 pep chromosome:ASM357664v1:15:22988310:22992561:1 gene:itb15g20350 transcript:itb15g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MGLGEPSRESGNATASSEDVGGSSGGGSGGVRSFPLGQQPEIMRAAEKDEQYTFFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYFLLTTGAGKQTLGEEYCDITQVAGPYGLPPTPARRALFIFYQTAVPYLAERVSSRIASQGITLDDPFDDSYPFSVASELSSRVEASSATEIASSSTSRAHPSVLSRFKTKIRECWLYAVQRWPSMLPLAREILQLVIRANLMFFYFEGLYYHLSKRASGIRYVFIGKPTNQRPRYQILGFFLLVQLCILAAEGLRRRSNLSSIAASAQQTPFGTYQTSSGRGVPVLNEEGNLITAGSEKGSFVSEPSSTSESQASGLSKCTLCLSSRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRSPATHSSLVCLYHSDF >itb15g20350.t6 pep chromosome:ASM357664v1:15:22988314:22991068:1 gene:itb15g20350 transcript:itb15g20350.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MGLGEPSRESGNATASSEDVGGSSGGGSGGVRSFPLGQQPEIMRAAEKDEQYTFFVYEACRDAFRHLFGTRVAVAYQNETKLLGQMLYFLLTTGAGKQTLGEEYCDITQVAGPYGLPPTPARRALFIFYQTAVPYLAERVSSRIASQGITLDDPFDDSYPFSVASELSSRVEASSATEIASSSTSRAHPSVLSRFKTKIRECWLYAVQRWPSMLPLAREILQLVIRANLMFFYFEGLYYHLSKRASGIRYVFIGKPTNQRPRYQILGFFLLVQLCILAAEGLRRRSNLSSIAASAQQTPFGTYQTSSGHIFVII >itb15g20350.t5 pep chromosome:ASM357664v1:15:22988508:22992561:1 gene:itb15g20350 transcript:itb15g20350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MSNTLSSYMRPAAMLFATSSVRISQFIYSFCEAMAYCLLLLSYSSSSGTRVAVAYQNETKLLGQMLYFLLTTGAGKQTLGEEYCDITQVAGPYGLPPTPARRALFIFYQTAVPYLAERVSSRIASQGITLDDPFDDSYPFSVASELSSRVEASSATEIASSSTSRAHPSVLSRFKTKIRECWLYAVQRWPSMLPLAREILQLVIRANLMFFYFEGLYYHLSKRASGIRYVFIGKPTNQRPRYQILGFFLLVQLCILAAEGLRRRSNLSSIAASAQQTPFGTYQTSSGRGVPVLNEEGNLITAGSEKGSFVSEPSSTSEVVSALPLIFTFSSHLLVEIPY >itb09g16660.t2 pep chromosome:ASM357664v1:9:11823777:11828143:1 gene:itb09g16660 transcript:itb09g16660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQGIVCIALGINTKIVCPSCLGWGTDEKAIIRLLGQRNASQRKKIREAYQQLYNKSLIDDLNSELSGDFRKAVILWTYDPPERDARLANEALKSRRKNLKELQVIVEIACASSPDHLIAVRQEYCSLFNCSLEEDITKNVSLPVQKILVSMVSSYRYDKEVVDNSIANLEAAKLRESIRTKKLDRDDLVFILSTRNIYQLGACFECYKQNYGYSIDQDIAKTCGRGLLESILKVIVCCIHSPEKHFAEVVKDSVVGFGTDENSLTRAIVMRAEIDMMKVRGEYNIMYKTSLDYAVEDDTSGDYRDFLMTLLGAKI >itb09g16660.t3 pep chromosome:ASM357664v1:9:11823777:11828143:1 gene:itb09g16660 transcript:itb09g16660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRVPEVVPSPVEDSETLMKAFKVCPSCLGWGTDEKAIIRLLGQRNASQRKKIREAYQQLYNKSLIDDLNSELSGDFRKAVILWTYDPPERDARLANEALKSRRKNLKELQVIVEIACASSPDHLIAVRQEYCSLFNCSLEEDITKNVSLPVQKILVSMVSSYRYDKEVVDNSIANLEAAKLRESIRTKKLDRDDLVFILSTRNIYQLGACFECYKQNYGYSIDQDIAKTCGRGLLESILKVIVCCIHSPEKHFAEVVKDSVVGFGTDENSLTRAIVMRAEIDMMKVRGEYNIMYKTSLDYAVEDDTSGDYRDFLMTLLGAKI >itb09g16660.t1 pep chromosome:ASM357664v1:9:11823777:11828143:1 gene:itb09g16660 transcript:itb09g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRVPEVVPSPVEDSETLMKAFKGWGTDEKAIIRLLGQRNASQRKKIREAYQQLYNKSLIDDLNSELSGDFRKAVILWTYDPPERDARLANEALKSRRKNLKELQVIVEIACASSPDHLIAVRQEYCSLFNCSLEEDITKNVSLPVQKILVSMVSSYRYDKEVVDNSIANLEAAKLRESIRTKKLDRDDLVFILSTRNIYQLGACFECYKQNYGYSIDQDIAKTCGRGLLESILKVIVCCIHSPEKHFAEVVKDSVVGFGTDENSLTRAIVMRAEIDMMKVRGEYNIMYKTSLDYAVEDDTSGDYRDFLMTLLGAKI >itb13g13980.t1 pep chromosome:ASM357664v1:13:20557051:20559845:1 gene:itb13g13980 transcript:itb13g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTKKIVDMVKGEKLFASQGGNIILAQIENEYGNIISHYGDEGKKYINWCADFALSLDIGVPWIMCQEDDAPKTMINTCNGFYCDQFWPKNNNPKFWTENWSGWFKSWGDRDPHRPAEDLAFAVARFFQYGGSLQNYYMYHGGTNFGRTSGGPYIATIYDYNAPLDEYGNVNQPKWGHLKELHNLLYSLEDVLLHGNATNTNYGRMMSSTVYECKGKRICFLGNANDKDDISITFEGRNYTTPAWSVTILPDCKTEVYNTARVNVQTTVMVKKLSEGPLKWSYRPETVMHLKYGDKDQSSVLIDARDAKQLFDQKVVTNDTTDYLWYMTSFKIDENNPILGQELTLEVNTKSHVLPAFFNKKYIGSQWAQDGKFEFSFERNVKIREDINTISLLSETVGLPNYGEYLEKVGQGVLGPVKIVEPNGEGLDLSKNTWTYMVGIHGISKGIFKLDDHNKLTWHKSDFQTDRMFIWYKTFFKTPAGEDPVVLDLRGMGKGVAWVNGHNIGRYWPSFLAKADGCPKCDYRENYGGSKCVTGCGKPSQRWYHVPRSFLLSKGNNNQLVLFEEMGGDPREVRVQTVTVGTICANVEEGKTLELCCQGGGRKISKINFASFGEPKGSCAPLKQALVMPPMRCQ >itb08g13420.t1 pep chromosome:ASM357664v1:8:14379076:14384654:1 gene:itb08g13420 transcript:itb08g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAASTPCQIDLGNLMAYNPHHSFPTPPNSREELAWECLQEGTKLVQAVADALFNLPSTEGLDGPIVKLPPPGTRLPREKPLPKPKPPTKWELFAKKKGIQKRKKDKILFDEQTGTWKRRHGYDRVNDDNDIPIIEAKETDAPGEDPFAKRQEEKKKRVDKQAKNRLQNLKQAAKVGAVPSHIQLAATSLPITGTQAAPRKVSKVELGNVAGMAATATASGGKFDKKLAGEKPPKHEKKYRKFLPVAEGSGMGSLEKQQTDKVLNKLISKNSHDILDVNKAVNMYNVKKEKGRKNREGKSSTPNKLKPRGKPLKKTFKKGSSKAPKSK >itb09g27370.t1 pep chromosome:ASM357664v1:9:27690510:27693035:-1 gene:itb09g27370 transcript:itb09g27370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSENPLQLLFQTFEKVSNSIQTHLFQFLKLPNNPHAKPLFAISAPPKPNHLAPTPAVSALLHPNDLPKKEKSAGPVIKEELGRATWIFLHTLAAQYPEKPTRQQKKDVKELMGILSRMYPCKDCADHFKEVLRANPVQAGSQAEFSQWLCHVHNVVNRSLGKPIFPCERVDARWGKLDCEQRNCDLQGSDKLW >itb05g21600.t1 pep chromosome:ASM357664v1:5:27282022:27284697:-1 gene:itb05g21600 transcript:itb05g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMYRAAQISVCGYGYTLFNSQFPPQKQQTHKRGRGHRRLFNFSAISCTSIETENSIPVSVKALASIVLSRRLLAISVLAASLLPSCSEALEGGREELELERYTDPKDGFTFLRPSSWIKVDKAGATVLFEDASKGSNNVGVVVSPVKITSLTQFGTPQFVAEKLIQAERRKESTVGAELVAVSERSGKGGIEVYEFEYKVDSSRGGMKRVFSAAFVLSKKLYLLNITHSDGLANPLDPDRRKVLEEVLHSFDVDQHQYAFFCAPDYSCLPLVV >itb05g21600.t2 pep chromosome:ASM357664v1:5:27282207:27284697:-1 gene:itb05g21600 transcript:itb05g21600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMYRAAQISVCGYGYTLFNSQFPPQKQQTHKRGRGHRRLFNFSAISCTSIETENSIPVSVKALASIVLSRRLLAISVLAASLLPSCSEALEGGREELELERYTDPKDGFTFLRPSSWIKVDKAGATVLFEDASKGSNNVGVVVSPVKITSLTQFGTPQFVAEKLIQAERRKESTVGAELVAVSERSGKGGIEVYEFEYKVDSSRGGMKRVFSAAFVLSKKLYLLNITHSDGLANPLDPDRRKVLEEVLHSFDVDQHQYAFFCAPDYSCLPLVV >itb02g21790.t1 pep chromosome:ASM357664v1:2:20774522:20777340:1 gene:itb02g21790 transcript:itb02g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPGDADVVIYGANLTFVDIEDAKLLRIRMERTKAMPDLGSAECFHESELMSGVVATTYYVAPEVLAGRDYSEKVVNSVSPAA >itb08g17170.t1 pep chromosome:ASM357664v1:8:19383920:19396978:-1 gene:itb08g17170 transcript:itb08g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIGNNLLHQRFLHPVVLEYKSRIGSTSVGGGSLFQSHAISHIRKSPLSTEFHGKRLTVKKKKLLMQQQRAFSVSRDVLATVLSSEIAEKFNLDGDIELQVDVKPPTSGHITVVDFKVTGDIDGLFLHWGAVKSGKDKWILPHRRPDGTKDYKNKALRTPFVKSGSNAMVRLEIDDPAIQAIEFLIFNEVQNKWIKSNGDNFHVKLSPRAIQITNASVPEDLVQIQAYLRWERKGKQMYSPEQEKVEYEAARAELLEEITKGASVEEIRARLTKKNDTTEHKEQHHPETKSDIPDDLVQIQAYIRWEKAGKPSYSPEKQLKEFEEARQELQLELEKGVTLEELRKRIVKGEIKTKVAKQLAKKSYFTIEKIQRKQRDLAQIINRNVPWSGSESGSWVEQILSEPQSLSTIELFAKAKEEQVDGPILNKKIYKVANSELMVLVTKPSGKVKVYLATDLNEPAILHWALSKNAGEWLAPPENDLPPGSTSSDKYAETLFSTSSDNLSYKVQSLEIAIEDEDFVGMPFVLLCGEKWIKNNGSDFYVDFRTQPRRDVGDGMGTAKALLDKIADMESEAQKSFMHRFNIAADLTEEATNAGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNVYLSRPQYRELLRMILSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWQTLNSNGITKERLLSYDRAIHSEPNFRSDQREGLLRDLGNYMRTLKAVHSGADLESAIANCMGYKTEGEGFMVGVQINPVSGLPSGFPELLQFVLEHVEDKNVEALLEGLLEAREELRPLLFQSNNRLKDLLFLDIALDSTVRTAIERGYEELNNASPEKIMYFISLVLENLALSVDDNEDLVYCLKGWNQALKMSKSGDNNWALFAKSVLDRTRLSLANKAESYHHLLQPSAEYLGSKLGVDEWAVNIFTEEIIRAGSAASLSSLLNRLDPILRQTAHLGSWQIISPVEAVGYIVVVDELLSVQNKTYDKPTILVANTVKGEEEIPDGTVAVLTPDMPDVLSHVAVRARNSKVCFATCYDPSVLAELQAKGGQFLRLKPTSADIIYSEEKEVEVQSSANLMEVGPSQSLTLVKKHFAGRYAITSEEFTSELVGAKSRNIANLKGKVPSWIGIPTSVALPFGVFEKVLSDDINQGVDAKLQVLKKKLSEGDFSVLGEIRNTVLELSAPPQLINELKDKMQSSGMPWPGDEGPERWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDLSEIYAEVVRGLGETLVGAYPGRALSFVCKKNDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVIDYSADPLITSNDFRHSMLSNIARAGSIIEELYGSPQDIEGVVRDGKIFVVQTRPQM >itb08g17170.t2 pep chromosome:ASM357664v1:8:19384385:19396382:-1 gene:itb08g17170 transcript:itb08g17170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIGNNLLHQRFLHPVVLEYKSRIGSTSVGGGSLFQSHAISHIRKSPLSTEFHGKRLTVKKKKLLMQQQRAFSVSRDVLATVLSSEIAEKFNLDGDIELQVDVKPPTSGHITVVDFKVTGDIDGLFLHWGAVKSGKDKWILPHRRPDGTKDYKNKALRTPFVKSGSNAMVRLEIDDPAIQAIEFLIFNEVQNKWIKSNGDNFHVKLSPRAIQITNASVPEDLVQIQAYLRWERKGKQMYSPEQEKVEYEAARAELLEEITKGASVEEIRARLTKKNDTTEHKEQHHPETKSDIPDDLVQIQAYIRWEKAGKPSYSPEKQLQKEFEEARQELQLELEKGVTLEELRKRIVKGEIKTKVAKQLAKKSYFTIEKIQRKQRDLAQIINRNVPWSGSESGSWVEQILSEPQSLSTIELFAKAKEEQVDGPILNKKIYKVANSELMVLVTKPSGKVKVYLATDLNEPAILHWALSKNAGEWLAPPENDLPPGSTSSDKYAETLFSTSSDNLSYKVQSLEIAIEDEDFVGMPFVLLCGEKWIKNNGSDFYVDFRTQPRRDVGDGMGTAKALLDKIADMESEAQKSFMHRFNIAADLTEEATNAGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNVYLSRPQYRELLRMILSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWQTLNSNGITKERLLSYDRAIHSEPNFRSDQREGLLRDLGNYMRTLKAVHSGADLESAIANCMGYKTEGEGFMVGVQINPVSGLPSGFPELLQFVLEHVEDKNVEALLEGLLEAREELRPLLFQSNNRLKDLLFLDIALDSTVRTAIERGYEELNNASPEKIMYFISLVLENLALSVDDNEDLVYCLKGWNQALKMSKSGDNNWALFAKSVLDRTRLSLANKAESYHHLLQPSAEYLGSKLGVDEWAVNIFTEEIIRAGSAASLSSLLNRLDPILRQTAHLGSWQIISPVEAVGYIVVVDELLSVQNKTYDKPTILVANTVKGEEEIPDGTVAVLTPDMPDVLSHVAVRARNSKVCFATCYDPSVLAELQAKGGQFLRLKPTSADIIYSEEKEVEVQSSANLMEVGPSQSLTLVKKHFAGRYAITSEEFTSELVGAKSRNIANLKGKVPSWIGIPTSVALPFGVFEKVLSDDINQGVDAKLQVLKKKLSEGDFSVLGEIRNTVLELSAPPQLINELKDKMQSSGMPWPGDEGPERWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDLSEIYAEVVRGLGETLVGAYPGRALSFVCKKNDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVIDYSADPLITSNDFRHSMLSNIARAGSIIEELYGSPQDIEGVVRDGKIFVVQTRPQM >itb07g14590.t1 pep chromosome:ASM357664v1:7:17282898:17284483:1 gene:itb07g14590 transcript:itb07g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCFNEYAVHVSDSYACASHAGNSCISSALTPSAQNTVVCVYRAVLSSRRIVPIRVSWTRTAASQGLTVNFGEDAATAAVFKLNGNARLFRKKKGSKSLEFGNSKVEVFWDLSAARYCAGPEPIDGFYVLAAIDSELSLLLGDMAEEASCRKIKPGFHPAKCSLISRQEHFAGNALYSTKAQFSDTGTPHDVVVSCYGDNAAAAANNNNTKHPVLSVSIDKKMVIRVKRLQWNFRGNHTIFVDGLLVDLMWDVHDWFYNNSGPGIAVFMFRTRSGMDSRLWLEEKLQSKKDEDKVDFSFLIYATRST >itb11g11880.t2 pep chromosome:ASM357664v1:11:8761678:8766553:-1 gene:itb11g11880 transcript:itb11g11880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGVMVAWTGIEHFLVGRFDPPPPPDEPEDALVDLRPRWPLGEEYAEGKSEARSLKTARVHPSLTSLIQASRKQQSESAV >itb11g11880.t5 pep chromosome:ASM357664v1:11:8761678:8766543:-1 gene:itb11g11880 transcript:itb11g11880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAIFRVNPFYRPSLYLTIRGFNKLGAQQGPLRPARSILRASFKSLSAQLSSNFNLAMAQTQNQLIPDKSLVVLGIETSCDDTAAAVINLFLLKIQPWIKAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGE >itb11g11880.t3 pep chromosome:ASM357664v1:11:8761678:8766543:-1 gene:itb11g11880 transcript:itb11g11880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAIFRVNPFYRPSLYLTIRGFNKLGAQQGPLRPARSILRASFKSLSAQLSSNFNLAMAQTQNQLIPDKSLVVLGIETSCDDTAAAVINLFLLKIQPWIKAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGVMVAWTGIEHFLVGRFDPPPPPDEPEDALVDLRPRWPLGEEYAEGKSEARSLKTARVHPSLTSLIQASRKQQSESAV >itb11g11880.t1 pep chromosome:ASM357664v1:11:8761704:8766615:-1 gene:itb11g11880 transcript:itb11g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAIFRVNPFYRPSLYLTIRGFNKLGAQQGPLRPARSILRASFKSLSAQLSSNFNLAMAQTQNQLIPDKSLVVLGIETSCDDTAAAVVNSNGEILSQVISSQAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGVMVAWTGIEHFLVGRFDPPPPPDEPEDALVDLRPRWPLGEEYAEGKSEARSLKTARVHPSLTSLIQASRKQQSESAV >itb11g11880.t6 pep chromosome:ASM357664v1:11:8761678:8765984:-1 gene:itb11g11880 transcript:itb11g11880.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGVMVAWTGIEHFLVGRFDPPPPPDEPEDALVDLRPRWPLGEEYAEGKSEARSLKTARVHPSLTSLIQASRKQQSESAV >itb11g11880.t7 pep chromosome:ASM357664v1:11:8762778:8766543:-1 gene:itb11g11880 transcript:itb11g11880.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAIFRVNPFYRPSLYLTIRGFNKLGAQQGPLRPARSILRASFKSLSAQLSSNFNLAMAQTQNQLIPDKSLVVLGIETSCDDTAAAVINLFLLKIQPWIKAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVGVFCTTDLSGLLFCLFSLL >itb11g11880.t8 pep chromosome:ASM357664v1:11:8763203:8766543:-1 gene:itb11g11880 transcript:itb11g11880.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAIFRVNPFYRPSLYLTIRGFNKLGAQQGPLRPARSILRASFKSLSAQLSSNFNLAMAQTQNQLIPDKSLVVLGIETSCDDTAAAVINLFLLKIQPWIKAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNMYAVHIGKANATVFTFIEYFLTSLGNSVLS >itb11g11880.t4 pep chromosome:ASM357664v1:11:8761704:8766615:-1 gene:itb11g11880 transcript:itb11g11880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPNLSSAIFRVNPFYRPSLYLTIRGFNKLGAQQGPLRPARSILRASFKSLSAQLSSNFNLAMAQTQNQLIPDKSLVVLGIETSCDDTAAAVVNSNGEILSQVISSQAELLAKYGGVAPKMAEEAHAQAIDQVVQDALDKAKLTEKDLTAVAVTIGPGLSLCLRVGVQKARRIAGAHSLPIVGVHHMEAHTLVARLVERKLQFPFMALLVSGGHNLLILARGLGDCIQLGTTIDDAIGEAYDKTAKWLGLDLRKSGGPAVEKLALEGDAESVKFNVPMKQHKDCNFSYAGLKTQVRLAIEAKNINAGISISSASSEDRKARADIAASFQRVAVLHLEDKCKRAIEWALKIEPSVNHLVVSGGVASNQYVRARLNEVVKKNGLQLVCPPPSLCTDNGE >itb05g18570.t1 pep chromosome:ASM357664v1:5:25323927:25325453:1 gene:itb05g18570 transcript:itb05g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFRSNSPSDHHLQPSPKPKSSYHFFRNSYNSFQSQIAAHPRFWLLSGFLFIQLLVVFFTRNSPLSFSSHAQVQLHVPPQTITLPAAVAQPPKDGGGGCEYGRVYVYDLPPMFNEDLALKNCTDLHPWLWQCGLNTNEGYGMRATEMAEILPRKLAKAWYRTNQFSSEVIFHHRLLNYRCRTMEPESATVFYIPFYAGQAVGKYLWIDDIEKRDLRCNMMLKWVQNQTYWRKSNGSDHFLTIGRITWDFRRLMDAEQKWGSSLLNLPAMAKVTRFIIERAPWDAYDVGVPYPTGFHPSSESQLRQWQRFVLTRNRTSLFTFIGATRADIAGDFRTLLIQYCRNESDACRVIDCAVTQCSNGSSAIQSSFLSSDFCLQPKGDSMTRRSVFDCMIAGSVPVFFWKKTAYDQYQWFLPEDPESYSVFIDHDAVRNGTSIRGILEQYSKEDIRKMREKVVEIIPKLVYARPKEGLPKVKDAFDIAVETFMKRIKEEKDWNEFVNDIEERR >itb04g10370.t1 pep chromosome:ASM357664v1:4:9750548:9751942:1 gene:itb04g10370 transcript:itb04g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFCKSNGKNCLDTSGCDAYLGRVINALANPIDGRGEISASGFRLIESPAPGIISRRSVYEPLQTRLIAIDSMIPIGRGQRELIIGDRQTGKTAVATNTILNQQGQNVICVYVAIGQKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLVIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKSSSKLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKASQNQLARGQRLRELLKQSQCAPLSVAEQIITIFTGINGYLDSLELGQVKKFIVELRTYLKTNKPRFEEIISSTKIFTEEAEALLKEAIRDQMDRFLLQQQV >itb11g17780.t1 pep chromosome:ASM357664v1:11:17888524:17893104:1 gene:itb11g17780 transcript:itb11g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRTSRANHPIIRRVGFFAPGPPDSDPVEHLASLSQSPGNTLSPVMIIPHPLHASDAPSRSVGLPVPNQALRRISKDYDEDEEQPAVGSYDASDTARGSSPASSGIGLVDGEFSMDSANWILHNGSRKSVSTFHDGGSEHTAAADKLVNANKSRGALERACQEVAEKPKEVQRISKPLKEKTSKAERRALQEAQRAAKAASKAAGSKPSVAPPASVFEQSSKTVKQPSQKKDSNLMSSTSALEKKRGDRTVEKDRKNDVPAPRMQFDDKDRVEKAKKRALVKQTESRNRVEMFRHLPQYEHGNQLPDLELKFFRHDSIHRAIYRVGMQHLAGDLVGSNARCVAMLQAFREAIGDYSTPPGKTLSRDLTAKVSSFVSFLNECRPLSISMGNAIRFIKARIAKLPVALSESEAKVSIFSDIDGFINEKIVIADKVIIGHAVTKIRNGDVILTYSSSSVIEMILLHAYEIGRQFHVIIVDSRPKFEGQSLLRRLVKKGLSCTYTHINAVSYIMHEVTMVFLGAASVLSNGTVYGKVGSACVSMVAHAFSVPVLFCCEAYKFHERVLLDSICSNELGNPNAISLVPRGLGANYLKDWANIENLQLLNLIYDATPSDYVSMIITDYGMIPTTSVPVIVREYGREHLLI >itb11g17780.t2 pep chromosome:ASM357664v1:11:17888544:17893072:1 gene:itb11g17780 transcript:itb11g17780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRTSRANHPIIRRVGFFAPGPPDSDPVEHLASLSQSPGNTLSPVMIIPHPLHASDAPSRSVGLPVPNQALRRISKDYDEDEEQPAVGSYDASDTARGSSPASSGIGLVDGEFSMDSANWILHNGSRKSVSTFHDGGSEHTAAADKLVNANKSRGALERACQEVAEKPKEVQRISKPLKEKTSKAERRALQEAQRAAKAASKAAGSKPSVAPPASVFEQSSKTVKQPSQKKDSNLMSSTSALEKKRGDRTVEKDRKNDVPAPRMQFDDKDRVEKAKKRALVKQTESRNRVEMFRHLPQYEHGNQLPDLELKFFRHDSIHRAIYRVGMQHLAGDLVGSNARCVAMLQAFREAIGDYSTPPGKTLSRDLTAKVSSFVSFLNECRPLSISMGNAIRFIKARIAKLPVALSESEAKMILLHAYEIGRQFHVIIVDSRPKFEGQSLLRRLVKKGLSCTYTHINAVSYIMHEVTMVFLGAASVLSNGTVYGKVGSACVSMVAHAFSVPVLFCCEAYKFHERVLLDSICSNELGNPNAISLVPRGLGANYLKDWANIENLQLLNLIYDATPSDYVSMIITDYGMIPTTSVPVIVREYGREHLLI >itb05g24380.t1 pep chromosome:ASM357664v1:5:29201857:29206971:1 gene:itb05g24380 transcript:itb05g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSNPAAPNHHSPRSTAAAEIGAPGLNSPKSRRSSSSTRGVSSPWTQIVRGAESDSVPVAAAASGAILQSPHSPSSASVAQEQLGSSPDFSASATAGNSSPPAPEDTAAEAQGESPENGSGSNAAKKPVWNKPNGAKEIGAVMGAVSWPALSESTKASPKPSPSDLPKTISEGSLPASQGTGTASSSSSPHKQLHTNNANPSSTPNHAPNRQRSFKRGGGNSSNNSSANGNFSQQQQPHGSVADMTTHNSEKSGNSGAELSSRDNVHRDGGQRGGFGSQSHGGNEHQHHRSSNRRGNGGPRPRGDGGSYNHGHGGARDQDRGGQEWTPNRNFSGRDAHMQPPQRFPARPFIRGPPTPPPFIPPAVPMRPFNPPIIYPEVASSVFYVTGPPPPESFRMPMIGPMSPVFYHVPDPQLHNKIVNQIDYYFSNENLIKDMYLRENMDDQGWVPIKLIAGFKKVSKLTSSIPMILDALQASSVVEVQGEKLRRRNDWMKWIVPPSMRSSTKLQSVTLDEMGGNSEAYISRTSSGELTSTSQQQFGNETTGTITAQGGQSAAAGNSSN >itb11g16460.t1 pep chromosome:ASM357664v1:11:14685102:14686470:1 gene:itb11g16460 transcript:itb11g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGERSVMATEVSSSENGSNVRPATAEATTLQLTVSASFKEGKTAMRRALVSSNLEVHKSVARLRSSESEAQSTRERSQRQGLGIQYKDQESSETQAEIKALRFSERLREKAVEEVEFAYILDSFGFNVKVALIPYITADNPNLVTIAEVFKILDSCGSDVIELGVPYFDPLADGPVIQAAATRSQ >itb11g16460.t2 pep chromosome:ASM357664v1:11:14685102:14686470:1 gene:itb11g16460 transcript:itb11g16460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGERSVMATEVSSSENGSNVRPATAEATTLQLTVSASFKEGKTAMRRALVSSNLEVHKSVARLRSSESEAQSTRERSQRQGLGIQYKDQESSETQAEIKALRFSERLREKAVEEVALIPYITADNPNLVTIAEVFKILDSCGSDVIELGVPYFDPLADGPVIQAAATRSQ >itb10g23190.t1 pep chromosome:ASM357664v1:10:27667927:27670106:1 gene:itb10g23190 transcript:itb10g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAVQLNAVAAAANPCFAPGTYFFPPKPLYFPPKSNLGVLPRKAMASPPFLGLRVGSKLGIGATSSGGSVGSEDGGACTLMECMGPKGYNVGDDLAVLFGHLEYACKRISALVASPFNSSLGKNIVAGDGSASGRDKPKPLDIVANEIILSSLQNSGKVAVMASEEDDGPVWISDNAPFVVVLDPLDGSRNIDASIPTGTIFGIYHRLPELDHLPVEEKASMNCLQSGTKLVSAAYVLYSSATIFCTSFGSGAHAFTLDRSTGDFILTHPNIRIPPRGQIYSVNDARYFDWPEGLRRYIDTVRQGKGKYPKKYSARYICSLVADFHRTLLYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKTRILSIQPVKLHQRLPLFLGSPEDIEELESYGDVQQKVNPGYEV >itb14g03770.t1 pep chromosome:ASM357664v1:14:3402055:3405985:-1 gene:itb14g03770 transcript:itb14g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMYSERPLFGGAIASTFPVRFQDVSNVRQVPDHQEVFVDPARDESLIFELLELKQDVADNGSATWFLQDLANEQDAEGTMLIEQSGVVEAPGLSFRTLPAIITTAVGQMAISKGRQGREAQNLVKVYLANVRLKEVTTDILITAYEPIVINSLSESASTVGAGVAVPAAQAGCMPMAEVFKLAVSTFKVNDWRLFGAA >itb03g14210.t2 pep chromosome:ASM357664v1:3:14036928:14040892:1 gene:itb03g14210 transcript:itb03g14210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRAEGIRRLQRRMSLMLWIPLRKVNLNKMFWSKPSSLALPPDSPQRIEEPQYEGIKHFILKLMLFYSKQSTAIRGANVIYHRVTRQVDRPAIYDVFSLEKTFKTTFSLLVLHMWLCLRRLKEEGKDGSELGQYLYEMYNHDLELRVSKAGVNLLLSKWMKELEKIFYGNIVAYDAAILPEAKPDELQNVIWRNVFSDDGTLTPDGPALLPVQAMSRYVRREANCLSLTDKAAVFSGNFMFTPLEGIKN >itb03g14210.t1 pep chromosome:ASM357664v1:3:14036891:14040892:1 gene:itb03g14210 transcript:itb03g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRWSRAVTHLSRINSQRSMELGKNLYALSSRGHSKVAAADEPDAVDSTQKSKNEVNLNKMFWSKPSSLALPPDSPQRIEEPQYEGIKHFILKLMLFYSKQSTAIRGANVIYHRVTRQVDRPAIYDVFSLEKTFKTTFSLLVLHMWLCLRRLKEEGKDGSELGQYLYEMYNHDLELRVSKAGVNLLLSKWMKELEKIFYGNIVAYDAAILPEAKPDELQNVIWRNVFSDDGTLTPDGPALLPVQAMSRYVRREANCLSLTDKAAVFSGNFMFTPLEGIKN >itb15g00970.t1 pep chromosome:ASM357664v1:15:551282:551896:1 gene:itb15g00970 transcript:itb15g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGTDTTTSTLEWAMTELLRNPTSLQKAQTELRSVVGGAEKKLEEYHLEKLPYLKAVIKETLRLHPPLPFLVPHMAMDSCNMLGYYIPKETQILVNVWAIGRDPKTWKDPLKFKPERFLKPNMADFRGHHFEFIPFGSGRRMCPALPLASRLLPLALGSVLHSFDWVLGHGMEPSQMDMTERMGITLRKATPLKAIPVPYKG >itb01g32250.t1 pep chromosome:ASM357664v1:1:35780198:35780619:1 gene:itb01g32250 transcript:itb01g32250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQPSTAAPPLTTDNSSDKGQDTPPASGVKKGCLSFGASLVEYFRYTKAFFVRQAKKLTARSEKEIAEADLQASKMEVEAADAAEETKKRLDKSD >itb09g20600.t1 pep chromosome:ASM357664v1:9:17454855:17457598:1 gene:itb09g20600 transcript:itb09g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGAASYRPHQFPKRREIDARVSVLPFSTEAQLNSSPKPPPPPKPISDSSSPILRLVSLLRETGPDDWRTNSQLHEFFHSSPSPNSVLQIARQLGSAEKAQQFFDFFKAQTSPSSPDSFSLSLAFQAIIEHSIRETRCSPTKLYELFCSAKQQNISLSINAAMMLIRCFVEARMFEESLSVFYQLGPESKNMNVVNRLLDALVRGGRLDGALKMLDEMLQPDMRFPPNENTMEIFLSAIWRSDWRGMSVREGEISGLVSRFGEHGLFPNDIWLSKLITKFCRSGRCDIAWDLLHHMIRSGCQVKTGSCNALLAALGREHDFLKMNMLTNEMKEKGIAPNVVTFGILINHLCKLHRVDEALQVFEKMRGASEGISIERDTVIYNTLIDGLCKVGRQEEGVGLMEKMRLEKGCTPNTVTFNCLIDGFCKAGEIERSIKLFYQMTEDGIVPNIITVNTLLDGMCKHGRVGSAMEFFTEMQEKGLKGNAISYSILITAFCSANNIEKAVKLFSEMTESGCYADAVVYYSLISGLSQAGRMDDACLVLSRMKEAGFFLDVTGYNILIGGFCRRNKWEKVHELLQEMEQAGINPDCVTYNTLISFFSKKGEFARAHRLLKKMVGDGMVPTVITYGALIHAYCVAGKLDEAMKLFETMHCSSKLSPNTAIYNSLIESLCKSDKVEDALSLMNDMKDKGVRPNTTTYNSIFKGLQERNWFERAFEVMDEMTANLCNPDYVTMEILTGWLSAIGQSEKLQSFVQGYQVSA >itb09g12230.t1 pep chromosome:ASM357664v1:9:7713929:7716043:-1 gene:itb09g12230 transcript:itb09g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDMLIISFSFLSSTLPPNVVIILIISVFLSYKWLSNQKAAKQFPLPPGPKPWPVVGCLPQMLRNKPVFRWMINLMEEMNTEIACFRLGGTNVISVTSPEVAREILKKQDTVFASRPACASAELISSNYLISLVSPLGDQWKKMRRVLTSHVLSPNRLNWLGHKRVGEADHLVRYIYNQCRNAAGCGVVDVRAAGRHFCGNVIRQMVFSKRFFGAGTEDGGPGVEEEEHVNATFGVLAYVYSFGISDYLPWLRMFDLDCHRKALKKAVKGVRKHQDPEVDARIKMWNNGTKTEQQDILDVLIKLKDIDGRPLLTPEEIKAQILELMIGIVDNPSNAAEWILAEMLNQPEILRKVMEELDNVVGRERLVQEHDLPRLNYLNACIREAFRLHPFGDFAPPHLCTSNTIVSNYFIPKGSHVIISRPGLGRNPKVWEEPLKFKPERHLKNDGSEVSFVDPELRILSFSTGRRGCPGIQLGSLVSSMLLARLVQGFDWVQCGRIDLRESKHNLLLAHPLHALAKPRLPHYIYSSLA >itb02g16190.t1 pep chromosome:ASM357664v1:2:12024381:12028786:-1 gene:itb02g16190 transcript:itb02g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWEIPVVDLSPFLRPGDEDGKREVIEEIGKACCECGCFQIVNHGVPLEVMRRALKVSKKFFESPVEEKVKCSPLSAAAAPFPVGYNRKPNPLYEFAEYFVMLPPGSTYNVSLPNHQDFREVIEELFNEFLKVGTFLQTILGKCLGLPPNTLEEINKSRDSDVMSVLYYSPSTENEKIGLTPHKDVGCITILFQDEVGGLEVENNGVWTPVPPVKDALVVNLGIVLQVLTNEKFKSPSHRVMRPNGRSRNSFSFFFNVSGATVLEPLPEFTKEVGEKARYKRFVYKQFLQTRNEHRAKLALGQQQGGDDSGLSYFSLNS >itb03g00510.t1 pep chromosome:ASM357664v1:3:251071:258502:-1 gene:itb03g00510 transcript:itb03g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MLLRRFAFIIPVFLMILLPQTTPFSVSGPHIADVNILLPPKMTHPVEYRLRGSDGCFKWSWDHHDILALLPEYNASSLCSTSARLKSIAPYSGRKETAVYATDMNTGAVIRCKIYIDKISRIKIFHSSVKLDLDGLATLRVRAFDSEENVFSSLVGLQFMWQLMPEKDGLPHHLVHVPLKESPLSDCGGLCGDLDIQVKIEDSGVYSDLYVVRGTEIGHEVVSVHLVEPLSEHMADNIVLTVAEAMSLDPPSPVCVLLDAIVHYSLKVIHGNIPQLVTLPSAFHRWSILNSSVAQVDQMMGTVRALNLGMTAVIVEDTRVAGHTQVSTFLVVLPDSLLLYILPLSAAGDLVEGIEPIPSVSRWYIVAGRQYLIHMKVFSQGPGAQEIYIIKNDNIELHDTQSEFWSILPIADEIVEKGRSRILKATSYGLGKLIATLKYSSGDDGTKKILKVVQEVMVCDHVKFSMDGVLGRILLPWAPGVHQEMELKATGGCAMLSSDYKWFSSDMAIVSVSASGTVEAKNPGRATIKAVSSFDSLNFDEVIVEVYLPSSMLMLPNFPVETLIGSHLLASVTLKTSNGAFFHKCDAFRSSIKWKCESDAFTIVDAGGSLISDKLGILDLDMSSYGPPCAWSFVYAASSGQTMLHATMIKEYQPFDHSISSSVVLKASSRIAAYLPLHVYQASDGNKFGGYWFDMVQTEANNQQNLEYLYLVPGTHVDLILNGGPERWDHGVEFIETVEATGQGNSDFKDGGLVHKIFNMHGSAHRVKCQEVGNYKLTFKRGNLIGNDHPLPAISEVQLLVTCSFPSSIALIADEPVNAIEVIQSVALAERTNERIQTTPVRVANGRTVRMSAVGISDSGKAFANSSSLPLMWELIDCDDLAFWDDLATTQSNWEKFLVLQNATGVCLVRATVVPSSSHVSQHFIEVQNDLTDAITLQLVSSLRLLPEFSLLFFSPDARLNLSITGGSCSLDTLVNDTKVVEIMQPAPGLQCLQLLLAPKKLGTVLVTVRDIGLAPPLSASSMVQVADVDWIKITSGEELILMQGSMQEINFLAGVSSGFTFDLSQYAYMGIQVHIEDDIVELAANDLFSSNVDGYANMQNFTVHAVHVGVTNLYISARRHSGHEIRSQPIKIEVYAPPRIHPSDIFLVPGASYALTVKGGPTSGAYVKYSCKDVEVAKIHSSSGRVSAVSPGNSTVIAIVYTSGDLVICQAYGKVKVGVPSLAMLNVQSEQLAVGRHMPIFPSLSEGNLFSFYELCKNYKWTIEDEGVLGFEAVDYLHGKNHMIPFSTGKEYGSTEYSLDHDNGFIKVLHGRSAGKTNVKISFTCDFLSSKSSLRSRLYNASISMVVVPELPLALGLPATWTLPPHYTTSDLLPLSLDSHSKGNSPSLKNSIMYSLLGECDRKVDGVPDDAIFIDEGKIRTTESGNLACIQAKDMSTGRTEVASCLRVAEVAQIRFTGEKLLVHTLAIGGEVDLPIKYYDILGNPFHEAQGVVQYGVETNYPDVVSIEDSGDSNGKIHLRALGQGRALLQIAFSSNPQKSDYVVIFVGARLHPQNPVLHPGSHLNFRVEGLDDQILGQWFSANESIVSVDLLSGKAEAIREGTTHVIFNSSNVQLQTAVSVLKHGILSVHAPNETLTNVHIPTKGYSFIVKLDGSHSQDIKTAQDTIDILFDCRVDPPYVGYVKPWKDFDTGNSYCLFFPYPPEHSIFSSPESRSMGQEMSVSISASLKGEKHVSSSASALFVGGFSILEVDRDSLRLNMTSGSIISIITIVGNTNVEMLWHDKDRLSVRPIHRDNSQIGWHARYEVKIHKAEKFRDKLVIKLPSTGQIMEVDVNYDPEEREALGRSAIILRVQVFVCIAVFILCAAIVLWHEYHSDRIRQPITPGTPLVAAPITPQQSSPAVVNEESPRTPQPFLDYVRRTIDETPNYRQDFRRRANPQNTF >itb03g00510.t2 pep chromosome:ASM357664v1:3:250361:258502:-1 gene:itb03g00510 transcript:itb03g00510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MLLRRFAFIIPVFLMILLPQTTPFSVSGPHIADVNILLPPKMTHPVEYRLRGSDGCFKWSWDHHDILALLPEYNASSLCSTSARLKSIAPYSGRKETAVYATDMNTGAVIRCKIYIDKISRIKIFHSSVKLDLDGLATLRVRAFDSEENVFSSLVGLQFMWQLMPEKDGLPHHLVHVPLKESPLSDCGGLCGDLDIQVKIEDSGVYSDLYVVRGTEIGHEVVSVHLVEPLSEHMADNIVLTVAEAMSLDPPSPVCVLLDAIVHYSLKVIHGNIPQLVTLPSAFHRWSILNSSVAQVDQMMGTVRALNLGMTAVIVEDTRVAGHTQVSTFLVVLPDSLLLYILPLSAAGDLVEGIEPIPSVSRWYIVAGRQYLIHMKVFSQGPGAQEIYIIKNDNIELHDTQSEFWSILPIADEIVEKGRSRILKATSYGLGKLIATLKYSSGDDGTKKILKVVQEVMVCDHVKFSMDGVLGRILLPWAPGVHQEMELKATGGCAMLSSDYKWFSSDMAIVSVSASGTVEAKNPGRATIKAVSSFDSLNFDEVIVEVYLPSSMLMLPNFPVETLIGSHLLASVTLKTSNGAFFHKCDAFRSSIKWKCESDAFTIVDAGGSLISDKLGILDLDMSSYGPPCAWSFVYAASSGQTMLHATMIKEYQPFDHSISSSVVLKASSRIAAYLPLHVYQASDGNKFGGYWFDMVQTEANNQQNLEYLYLVPGTHVDLILNGGPERWDHGVEFIETVEATGQGNSDFKDGGLVHKIFNMHGSAHRVKCQEVGNYKLTFKRGNLIGNDHPLPAISEVQLLVTCSFPSSIALIADEPVNAIEVIQSVALAERTNERIQTTPVRVANGRTVRMSAVGISDSGKAFANSSSLPLMWELIDCDDLAFWDDLATTQSNWEKFLVLQNATGVCLVRATVVPSSSHVSQHFIEVQNDLTDAITLQLVSSLRLLPEFSLLFFSPDARLNLSITGGSCSLDTLVNDTKVVEIMQPAPGLQCLQLLLAPKKLGTVLVTVRDIGLAPPLSASSMVQVADVDWIKITSGEELILMQGSMQEINFLAGVSSGFTFDLSQYAYMGIQVHIEDDIVELAANDLFSSNVDGYANMQNFTVHAVHVGVTNLYISARRHSGHEIRSQPIKIEVYAPPRIHPSDIFLVPGASYALTVKGGPTSGAYVKYSCKDVEVAKIHSSSGRVSAVSPGNSTVIAIVYTSGDLVICQAYGKVKVGVPSLAMLNVQSEQLAVGRHMPIFPSLSEGNLFSFYELCKNYKWTIEDEGVLGFEAVDYLHGKNHMIPFSTGKEYGSTEYSLDHDNGFIKVLHGRSAGKTNVKISFTCDFLSSKSSLRSRLYNASISMVVVPELPLALGLPATWTLPPHYTTSDLLPLSLDSHSKGNSPSLKNSIMYSLLGECDRKVDGVPDDAIFIDEGKIRTTESGNLACIQAKDMSTGRTEVASCLRVAEVAQIRFTGEKLLVHTLAIGGEVDLPIKYYDILGNPFHEAQGVVQYGVETNYPDVVSIEDSGDSNGKIHLRALGQGRALLQIAFSSNPQKSDYVVIFVGARLHPQNPVLHPGSHLNFRVEGLDDQILGQWFSANESIVSVDLLSGKAEAIREGTTHVIFNSSNVQLQTAVSVLKHGILSVHAPNETLTNVHIPTKGYSFIVKLDGSHSQDIKTAQDTIDILFDCRVDPPYVGYVKPWKDFDTGNSYCLFFPYPPEHSIFSSPESRSMGQEMSVSISASLKGEKHVSSSASALFVGGFSILEVDRDSLRLNMTSGSIISIITIVGNTNVEMLWHDKDRLSVRPIHRDNSQIGWHARYEVKIHKAEKFRDKLVIKLPSTGQIMEVDVNYDPEEREALGRSAIILRVQVFVCIAVFILCAAIVLWHEYHSDRIRQPITPGTPLVAAPITPQQSSPAVVNEESPRTPQPFLDYVRRTIDETPNYRQDFRRRANPQNTF >itb04g00400.t1 pep chromosome:ASM357664v1:4:257883:258646:-1 gene:itb04g00400 transcript:itb04g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPGLPNDVALECLIRISFDQFPKTASVCRAWNGVIKQPEFLRLRKASGLSRPFIAMVESKDVLWGNRPVYRLTLFDPVKGRCYDVPPPILETGEGLPINCRVIGIGPELLTVEARGRYARRGTPVLRMRGTMVVAGGIDLEVNSLKSTLAYDVARDRWTSLQDMSYGREECTCVFHRGKFHVVGGYEVEANEITETLDLVTRQWHLGDTISEVATLLQSNEATYLEI >itb12g27480.t1 pep chromosome:ASM357664v1:12:27838919:27840932:-1 gene:itb12g27480 transcript:itb12g27480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAPSSVSGTAHFKTHEVRSVKSSSFVKTSVTIRRKLNHQKTSSNFAIRAEYKDQRRAGGSEFIAGFLLGGAIFGTLGYVFAPQVIDYMPSVPLTLCPILQLYFVCFNNLLDS >itb03g30480.t1 pep chromosome:ASM357664v1:3:32864427:32868410:1 gene:itb03g30480 transcript:itb03g30480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAL1 phosphatase [Source:Projected from Arabidopsis thaliana (AT5G63980) UniProtKB/Swiss-Prot;Acc:Q42546] MQNSTPPKRPPLSLLASARKFRGHFCKPMCSQSQIRVLSLWLIMDSEDLRKEESQETIQRITKLVNETLASNELGITSPLSQEDVLAAIDSGRSNGGPSGRHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLASVAYHDHQENSGCLFFAQVGAGTYMQSLDGSSPKKVHVTDIENPEEASFFESVEAAHSMHDLASLIAKKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGYLVVSEAGGIATDAAGNPLDFSKGRYLDLDTGIIVTNQKLMPALLKAVGDSLAEKASSL >itb03g30480.t3 pep chromosome:ASM357664v1:3:32864427:32868410:1 gene:itb03g30480 transcript:itb03g30480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAL1 phosphatase [Source:Projected from Arabidopsis thaliana (AT5G63980) UniProtKB/Swiss-Prot;Acc:Q42546] MSAVLGASSSGCGCINRVAVFNLRPRLSTATFNPFLARRFGVRALSSMSYHAELDAAKKAASLAARLCQKVQRALLQADVQSKSDKSPVTVADYGSQAVVSFILQKELPSNSFSLVAEEDSEDLRKEESQETIQRITKLVNETLASNELGITSPLSQEDVLAAIDSGRSNGGPSGRHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLASVAYHDHQENSGCLFFAQVGAGTYMQSLDGSSPKKVHVTDIENPEEASFFESVEAAHSMHDLASLIAKVQFSPFFTSVMSHEYSSNFKNLTKETRCESTTCSNR >itb03g30480.t2 pep chromosome:ASM357664v1:3:32864427:32868410:1 gene:itb03g30480 transcript:itb03g30480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAL1 phosphatase [Source:Projected from Arabidopsis thaliana (AT5G63980) UniProtKB/Swiss-Prot;Acc:Q42546] MSAVLGASSSGCGCINRVAVFNLRPRLSTATFNPFLARRFGVRALSSMSYHAELDAAKKAASLAARLCQKVQRALLQADVQSKSDKSPVTVADYGSQAVVSFILQKELPSNSFSLVAEEDSEDLRKEESQETIQRITKLVNETLASNELGITSPLSQEDVLAAIDSGRSNGGPSGRHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLASVAYHDHQENSGCLFFAQVGAGTYMQSLDGSSPKKVHVTDIENPEEASFFESVEAAHSMHDLASLIAKKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGYLVVSEAGGIATDAAGNPLDFSKGRYLDLDTGIIVTNQKLMPALLKAVGDSLAEKASSL >itb05g22420.t1 pep chromosome:ASM357664v1:5:27844727:27852089:1 gene:itb05g22420 transcript:itb05g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYILEASRRRSVERLPAQIATQVPSYLSLRKEFSVKSQQNGPQGSGSTGKPPESGSILSKFVIGSVALGAAFVAAYQTGYLDKYLIKEPLSIPESAKVGTITGYPQDSKESNSIKQDSGLSGEPITESSVHESNVSTPSVEEGSNEPTPNVGRIESHLDLSQLEDLSRNQDNSQSQVSGSPELSHEDVSNVQVKDTSNSQHSTMASDDAKSVSTQIAESFGLKSPYVTPDAEHHEVVENTPTLEQGDEAPVKNEISSMPTQHASSQSEPEAAPHGTTTEPSSLIDEYYLRHKDEATVVASANKVIEDLNDAYISKDGKLVLDFLQAIHEAEKRQAEIDARSFAEEKRIMKEKYEKELKDARARELMYAEREALLDKELKKERTRAISALKSLEEKLEERHRMELEEKETEAELSLKKTQELAKAEVAAAIASEKASQIEKMAEANLHINALCMAFYARSEEARQTHSVHKLALGALALEDSLSKGLPIQNEIEALHPYLKGIDKDSLLELVLSSLPEETQKYGSDTLLQLNHKFDALKGSLRHFSLIPPGGGGILTHSLAWVASWLKVRQVDKSGDGIESLINRVEILLAEEKLIEAAEELEKGVKGTQAAEVVDEWIRHARNRAITDQALTLLQAYATTISLT >itb10g03320.t1 pep chromosome:ASM357664v1:10:3036241:3044847:1 gene:itb10g03320 transcript:itb10g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRINTAVSWILALSCFIFFTFSESKTAPEEVDALQQIATTMGAKNWKFDPDPCESKQVGVVPQLPVTYTENIVECNCNIGNDSVCHIWRIVIKGFSLSGVLPPELVKLPYIQAVDFAYNYLSGTIPAEWGTAPLTSISVLVNRLSGEIPKVLGLMTNLTYLNLEGNQFSGTIPSELGKPINLQALILSSNLLVGKLPDTFSGLVNLRDFRINDNNLSGPIPDFIKNWKQLQKLELIGTGLEGPIPSNISVLDNLADLRISDIKGPSQKFPPLSNMGSLNITVLRNCNISDEIPPYIWEFKSLLTLDLSFNNLVGELPNDVSARNGLKFVFLTGNKLSGDVPESILKPGINLDLSYNNFTWQGQDQPACEIGNRYVNLYKSSSKESSIRRVLPCLNQFSCPRYGCSLHVNSGGSDVAINEGNREVNYVGDAEVEGGSAKYYHSNSGNWGFSSTGDFLDDSNDQNARFIESISSSGLSELYRNARVSPLSLTYFSYCLENGVYNVSLHFAEIIFTNNNTYFSLGRRFFDIYIQEKLVWKDFNIEDEARGVQKPVVRYFNATVSDNTLDIRLYWAGKGTTRIPARGHYGSLISAISVDPVFKTCEDDEGDKEKNIAVPVIVGLLVGFGIVIIVGLLWWKRYLFCRKGITTGLEGMELQMVSYTLKQIKAATNNFDIANKLGEGGFGPVYKGRLADGTLIAVKQLSSKSTQGNREFLNEIGMISCLQHPNLVKLYGCCIEGDQLLVVYEYMENNSLASALFGSEKSQLILDWPTRFKICLGIARGLAFLHEESSLKIVHRDIKATNVLLDRDLNPKISDFGLARLTEGEKTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGVVLLEVISGKNNNNYMPSHNCICLLDWACHLQLSGNLEELIDPKMDGLINEKEAEMIVKVGLLCTSATPSLRPTMSEVVGMLEGKIAIPDEIPEASTYTDDLRFKAMKDFHREKLKQMSTGSQTQYSTTVQTGLGSTSTSATDYYEYDPSSRSK >itb10g03320.t3 pep chromosome:ASM357664v1:10:3036241:3044844:1 gene:itb10g03320 transcript:itb10g03320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRINTAVSWILALSCFIFFTFSESKTAPEEVDALQQIATTMGAKNWKFDPDPCESKQVGVVPQLPVTYTENIVECNCNIGNDSVCHIWRIVIKGFSLSGVLPPELVKLPYIQAVDFAYNYLSGTIPAEWGTAPLTSISVLVNRLSGEIPKVLGLMTNLTYLNLEGNQFSGTIPSELGKPINLQALILSSNLLVGKLPDTFSGLVNLRDFRINDNNLSGPIPDFIKNWKQLQKLELIGTGLEGPIPSNISVLDNLADLRISDIKGPSQKFPPLSNMGSLNITVLRNCNISDEIPPYIWEFKSLLTLDLSFNNLVGELPNDVSARNGLKFVFLTGNKLSGDVPESILKPGINLDLSYNNFTWQGQDQPACEIGNRYVNLYKSSSKESSIRRVLPCLNQFSCPRYGCSLHVNSGGSDVAINEGNREVNYVGDAEVEGGSAKYYHSNSGNWGFSSTGDFLDDSNDQNARFIESISSSGLSELYRNARVSPLSLTYFSYCLENGVYNVSLHFAEIIFTNNNTYFSLGRRFFDIYIQEKLVWKDFNIEDEARGVQKPVVRYFNATVSDNTLDIRLYWAGKGTTRIPARGHYGSLISAISVDPVFKTCEDDEGDKEKNIAVPVIVGLLVGFGIVIIVGLLWWKRYLFCRKGITTGLEGMELQMVSYTLKQIKAATNNFDIANKLGEGGFGPVYKGRLADGTLIAVKQLSSKSTQGNREFLNEIGMISCLQHPNLVKLYGCCIEGDQLLVVYEYMENNSLASALFGSEKSQLILDWPTRFKICLGIARGLAFLHEESSLKIVHRDIKATNVLLDRDLNPKISDFGLARLTEGEKTHISTRIAGTMLVTCNSAETWKSSLIQRWMVLSTKKKQK >itb10g03320.t2 pep chromosome:ASM357664v1:10:3036241:3044844:1 gene:itb10g03320 transcript:itb10g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRINTAVSWILALSCFIFFTFSESKTAPEEVDALQQIATTMGAKNWKFDPDPCESKQVGVVPQLPVTYTENIVECNCNIGNDSVCHIWRIVIKGFSLSGVLPPELVKLPYIQAVDFAYNYLSGTIPAEWGTAPLTSISVLVNRLSGEIPKVLGLMTNLTYLNLEGNQFSGTIPSELGKPINLQALILSSNLLVGKLPDTFSGLVNLRDFRINDNNLSGPIPDFIKNWKQLQKLELIGTGLEGPIPSNISVLDNLADLRISDIKGPSQKFPPLSNMGSLNITVLRNCNISDEIPPYIWEFKSLLTLDLSFNNLVGELPNDVSARNGLKFVFLTGNKLSGDVPESILKPGINLDLSYNNFTWQGQDQPACEIGNRYVNLYKSSSKESSIRRVLPCLNQFSCPRYGCSLHVNSGGSDVAINEGNREVNYVGDAEVEGGSAKYYHSNSGNWGFSSTGDFLDDSNDQNARFIESISSSGLSELYRNARVSPLSLTYFSYCLENGVYNVSLHFAEIIFTNNNTYFSLGRRFFDIYIQEKLVWKDFNIEDEARGVQKPVVRYFNATVSDNTLDIRLYWAGKGTTRIPARGHYGSLISAISVDPVFKTCEDDEGDKEKNIAVPVIVGLLVGFGIVIIVGLLWWKRYLFCRKGITTGLEGMELQMVSYTLKQIKAATNNFDIANKLGEGGFGPVYKGRLADGTLIAVKQLSSKSTQGNREFLNEIGMISCLQHPNLVKLYGCCIEGDQLLVVYEYMENNSLASALFGSEKSQLILDWPTRFKICLGIARGLAFLHEESSLKIVHRDIKATNVLLDRDLNPKISDFGLARLTEGEKTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGVVLLEVISGKNNNNYMPSHNCICLLDWACHLQLSGNLEELIDPKMDGLINEKEAEMIVKVGLLCTSATPSLRPTMSEVVGMLEGKIAIPDEIPEASTYTDDLRFKAMKDFHREKLKQMSTGSQTQYSTTVQTGLALRLPRGALPSHNSTILRPSWYSLSD >itb10g03320.t4 pep chromosome:ASM357664v1:10:3036241:3044844:1 gene:itb10g03320 transcript:itb10g03320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRINTAVSWILALSCFIFFTFSESKTAPEEVDALQQIATTMGAKNWKFDPDPCESKQVGVVPQLPVTYTENIVECNCNIGNDSVCHIWRIVIKGFSLSGVLPPELVKLPYIQAVDFAYNYLSGTIPAEWGTAPLTSISVLVNRLSGEIPKVLGLMTNLTYLNLEGNQFSGTIPSELGKPINLQALILSSNLLVGKLPDTFSGLVNLRDFRINDNNLSGPIPDFIKNWKQLQKLELIGTGLEGPIPSNISVLDNLADLRISDIKGPSQKFPPLSNMGSLNITVLRNCNISDEIPPYIWEFKSLLTLDLSFNNLVGELPNDVSARNGLKFVFLTGNKLSGDVPESILKPGINLDLSYNNFTWQGQDQPACEIGNRYVNLYKSSSKESSIRRVLPCLNQFSCPRYGCSLHVNSGGSDVAINEGNREVNYVGDAEVEGGSAKYYHSNSGNWGFSSTGDFLDDSNDQNARFIESISSSGLSELYRNARVSPLSLTYFSYCLENGVYNVSLHFAEIIFTNNNTYFSLGRRFFDIYIQEKLVWKDFNIEDEARGVQKPVVRYFNATVSDNTLDIRLYWAGKGTTRIPARGHYGSLISAISVDPVFKTCEDDEGDKEKNIAVPVIVGLLVGFGIVIIVGLLWWKRYLFCRKGITTGLEGMELQMVSYTLKQIKAATNNFDIANKLGEGGFGPVYKGRLADGTLIAVKQLSSKSTQGNREFLNEIGMISCLQHPNLVKLYGCCIEGDQLLVVYEYMENNSLASALFGSEKSQLILDWPTRFKICLGIARGLAFLHEESSLKIVHRDIKATNVLLDRDLNPKISDFGLARLTEGEKTHISTRIAGTMLVTCNSAETWKSSLIQRWMVLSTKKKQK >itb10g24270.t1 pep chromosome:ASM357664v1:10:28186684:28188400:1 gene:itb10g24270 transcript:itb10g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSLSFGFLFLLSLFQTCLLENVAVTDSSPGFRKPREAFEIIIGGGIIIGGGGSPPAAPPPDYQNCPPPPPPPEPLCPPPPPPPPPPPSFENSRLEIAYYTIQKFKKKIKHDPMNVTKNWNGPYVCNYTGFHCAVVPSYNVKALAIVNFNQFFFDGPDLTIDGFIDELPDVTIFHANSNRFKGKIPKNIAKLPYLYELDLSSNGYDCEFPYDVLGATNLTFLDLRFNQFSGTVPPQVFTLDVDVLFINNNNFLQNLPENLGSTPALYLTLANNQFTGQIPASIGKACNTLREVLFLNNQLTGCLPDEIGRLKKMTVFDVSKNQLTGPIPNSFACLGVIEVLNLGHNQFCGSIPERVCKLPNLGNFTLSNNFFTEIGPFCKKLIDKKVLDVSNNCIPGLPNQKPKCDCDAFYSKPRKCKSMGRIYCGLEHLESEKKTAIPPAASPLSYNTLKPH >itb02g11430.t2 pep chromosome:ASM357664v1:2:7636218:7641469:1 gene:itb02g11430 transcript:itb02g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVKFHSQFIRTSKPNVHFKLTQIQYPSFFSPPLHHLHCDNLSTISLTKSRFPQCALSSPTPPTTKEEAISQAKTSLSTTLEKPLNNAKLAGRLKKLKQPRFRVEIPVIDDSPSSLSQLAIDVFADMPIKRKGSKIKILILWPDKTLAAAAKAFETRSSSTIVENLDVLSVTNGDNTRILNLNSSDVVVFLAPEVSQLEAMKNITDNLYPKPVVIFNPKWGFEEEGSFGELSGFVGSFEVVYSFMGLEVRGVLNRRKGVVFKCVRDGVLSGERWNVLVEEEGELKLVSTFKSRPSFTEVENVLYNLMAMNSPLTKSAKFLKGLMSNVTVKEDQLTKRKSGGSFLLIYSYQELLPLLSKMIPWILLLYES >itb02g11430.t3 pep chromosome:ASM357664v1:2:7636218:7641469:1 gene:itb02g11430 transcript:itb02g11430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVKFHSQFIRTSKPNVHFKLTQIQYPSFFSPPLHHLHCDNLSTISLTKSRFPQCALSSPTPPTTKEEAISQAKTSLSTTLEKPLNNAKLAGRLKKLKQPRFRVEIPVIDDSPSSLSQLAIDVFADMPIKRKGSKIKILILWPDKTLAAAAKAFETRSSSTIVENLDVLSVTNGDNTRILNLNSSDVVVFLAPEVSQLEAMKNITDNLYPKPVVIFNPKWGFEEEGSFGELSGFVGSFEVVYSFMGLEVRGVLNRRKGVVFKCVRDGVLSGERWNVLVEEEGELKLVSTFKSRPSFTEVENVLYNLMAMNSPLTKSAKFLKGLMSNVTGLHCSIHLSIHVNVP >itb02g11430.t4 pep chromosome:ASM357664v1:2:7636218:7641469:1 gene:itb02g11430 transcript:itb02g11430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVKFHSQFIRTSKPNVHFKLTQIQYPSFFSPPLHHLHCDNLSTISLTKSRFPQCALSSPTPPTTKEEAISQAKTSLSTTLEKPLNNAKLAGRLKKLKQPRFRVEIPVIDDSPSSLSQLAIDVFADMPIKRKGSKIKILILWPDKTLAAAAKAFETRSSSTIVENLDVLSVTNGDNTRILNLNSSDVVVFLAPEVSQLEAMKNITDNLYPKPVVIFNPKWGFEEEGSFGELSGFVGSFEVVYSFMGLEVRGVLNRRKGVVFKCVRDGVLSGERWNVLVEEEGELKLVSTFKSRPSFTEVENVLYNLMAMNSPLTKSAKFLKGLMSNVTVKEDQLTKRKSGGSFLLIYSYQELLPLLSKMIPWILLLYES >itb02g11430.t1 pep chromosome:ASM357664v1:2:7636070:7641469:1 gene:itb02g11430 transcript:itb02g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVKFHSQFIRTSKPNVHFKLTQIQYPSFFSPPLHHLHCDNLSTISLTKSRFPQCALSSPTPPTTKEEAISQAKTSLSTTLEKPLNNAKLAGRLKKLKQPRFRVEIPVIDDSPSSLSQLAIDVFADMPIKRKGSKIKILILWPDKTLAAAAKAFETRSSSTIVENLDVLSVTNGDNTRILNLNSSDVVVFLAPEVSQLEAMKNITDNLYPKPVVIFNPKWGFEEEGSFGELSGFVGSFEVVYSFMGLEVRGVLNRRKGVVFKCVRDGVLSGERWNVLVEEEGELKLVSTFKSRPSFTEVENVLYNLMAMNSPLTKSAKFLKGLMSNVTGVKGCKENHACIWEGSKNIVRGQNEMEERVINKGRDKLR >itb04g15040.t1 pep chromosome:ASM357664v1:4:16211018:16215197:1 gene:itb04g15040 transcript:itb04g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MEESEEEEELGYRGKRLKFAGNDRMLEDEAEGEKEDRPKLREHGGGIFLYPMLATPSSIVVSDAMEPDLPIIYVNTFFEISTGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPVVVSEIRRCLEEGVEFQGELLNFRKDGTALVNKLRLAPIHSDDGTVTHIIGIQMFSEAKIDLNTVSYPVFKETCLLQSDEATKYSINSGQLEHYQHKEMCGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGRDVTGALELMTKKLGWGRLARELTTLEAVCWKKLTVRGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGATPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPKWREIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYSIDLEDEEPRWRQLECGAFTGQGSQNVVVPPPRLDHVAVTMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQD >itb04g15040.t2 pep chromosome:ASM357664v1:4:16211059:16215197:1 gene:itb04g15040 transcript:itb04g15040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MFSEAKIDLNTVSYPVFKETCLLQSDEATKYSINSGQLEHYQHKEMCGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGRDVTGALELMTKKLGWGRLARELTTLEAVCWKKLTVRGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGATPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPKWREIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYSIDLEDEEPRWRQLECGAFTGQGSQNVVVPPPRLDHVAVTMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQD >itb04g15040.t3 pep chromosome:ASM357664v1:4:16211059:16215197:1 gene:itb04g15040 transcript:itb04g15040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MFSEAKIDLNTVSYPVFKETCLLQSDEATKYSINSGQLEHYQHKEMCGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGRDVTGALELMTKKLGWGRLARELTTLEAVCWKKLTVRGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGATPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPKWREIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYSIDLEDEEPRWRQLECGAFTGQGSQNVVVPPPRLDHVAVTMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQD >itb15g12700.t1 pep chromosome:ASM357664v1:15:10576218:10581909:1 gene:itb15g12700 transcript:itb15g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDKIVDAITGKHGAVEEKPKIKGTVVLMKKNALDLKDIGASVLDTVHELVGQKVTFELISAVNADPENGLQGKRGKVAYLDNWSKPTPLAAGESAYDVTFEWDESIGVPGAFVIKNNHHSEFYLKTLTLEDVPNHGPVQFVCFSWVYPAGNYNYERVFFTNQAYLPSQTPGPLLPFREAELKNLRGDGTGERKEWDRVYDYDYYNDLGDPDNDKPRPILGGNSEYPYPRRGRTGRKMTKTDPHYESRLSFLAGIDIYVPRDEKFGHLKFSDFLGYALKSLGQFILPELISLFDKTPNEFDSFDDVNALYEGGLSHGPILEHIQKNTPLEMIKELLRSDGEKMFKFPTPQVIAENKTAWRTDEEFAREMLAGVNPVSISRLQMFPQKSTLDPNVYGDHTCTITREDIADKLDGLTVEQAIESKRLFILDHHDAIMLYARRINDNTDRKIYAARTLLFLLKDGTLRPIAIELSRPHPDGDKFGCISQVYTPAEEGIKATIWQLAKAYAAVNDSGVHQLISHWLNTHAVIEPIIIATNRQLSFLHPIYKLLDPHFRDTMHINALGRQLLTSADGVIEQTCLPGKYAMEMSAVVYKSWVFPEHALPNDLLKRGMAVEDSSSPSGVRLVIEDYPYAVDGLEIWTAIKSWVSDYCNFYYKDDSTVLNDKELQAWWTETVQKGHEDKKDEPWWPKMTSRQVLIETCTTIIWISSALHAAVNFGQYSYAGYNPCRPTLSRRFMPEPGTPEYAELETNPDKAFLETITAQFQTLLGISLIEILSRHASDEIYLGQREHPEWTSDKHVLDAFERFGKKLAAIEDRILAMNDDQKWRNRTGPVQVPYTLLFPTSEGGLTGRGIPNSISI >itb02g20380.t1 pep chromosome:ASM357664v1:2:18364690:18365219:1 gene:itb02g20380 transcript:itb02g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNFMTLAVLLLLLGEAQFSLATCDTKQLNPCQSALTFNLHPPPQCCERLNQQKPCFCQYVKNPQLKDYLVNSAAAKKVYELCKVSMPKC >itb09g09610.t1 pep chromosome:ASM357664v1:9:5898244:5900837:1 gene:itb09g09610 transcript:itb09g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYLPQMFSMAIIILPLLALCLLPQHAQAETRHYEFNVTMQNVTRLCHTKSIVTVNGKFPGPPILAREGDRLLIKVNNHVANNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRATLHGPIIILPKKNVSYPFVKPYKEVPIIFGEWFNADTEAIISQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRMINAALNDELFFSIANHSLTVVDADAVYVKPFETDTILISPGQTTNVLLKTKPEFPGATFLMTARPYVTGQGTFDNSTVAAILEYESPLLHSTVSMKKLPMFKPILPALNDTSFASNFSKKLRSLDSPSYPAKVPQTVDKHLFFTVGLGTNPCDKNQTCQGPNGTKFAASINNISFVQPTTALLQAHFSGQSNHVYSPYFPVSPLNWFNYTGNPPNNTMVSYGTKVMVLNYNTSVELVLQDTSILGAESHPLHLHGFNFFVVGQGFGNFDQTKDPKNFNLVDPVERNTIGVPAGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGKLPNQKLLPPPSDLPKC >itb04g14840.t1 pep chromosome:ASM357664v1:4:15576288:15582362:1 gene:itb04g14840 transcript:itb04g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G01770) UniProtKB/Swiss-Prot;Acc:Q9ZUA5] MAAAQEVEISLLNQHKEKHFTAGEIIRDIIIGVSDGLTVPFALAAGLSGANASSSIILTAGIAEVTAGAISMGLGGYLAAKSEADHYARELKREHEEILTVPDTEAAEVAEILSQYGLQAHECNPIVNALRKNPQAWIDFMMKFELGLEKPEPGRALKSAFTIAVAYILGGLVPLIPYMFIPVASQAVLASVAITLVALFIFGYGKGHFTGSKPFRSAFQTALIGAIASAAAFGLAKAVQG >itb15g01810.t1 pep chromosome:ASM357664v1:15:1073865:1079669:-1 gene:itb15g01810 transcript:itb15g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVGVEGLVPKVRKRGCSSPSSASSIVHSYRFKRAIVVGKGRHGLGRSRSNTPVPTWRTTPFLRGGGESPRTLRPVSARKLAATLWEMNEVPSPAPEGMEEVEVRGNKKTVMKKEKMRSASSHLCDPSHSPVSERMDRSGTGSYQKRMSSSSHRSRTANHNVGVLDSLSSASLVEMETRSQTSVSVGGVKNRLKDVSTALTTSKELLKIINRIWARSDPPSSSMSLVSALHAELERARLQVNQLIQEQRSDQNEISYLMKCFAEEKAAWKSKEKKAIESAIESIANELEVERKLRRRSESLNKKLGKELLETKASFTKAVKMLENEKRAREIIEQTCSELAGGNGEDRGEIEDLNRESAKIHEEIKKERVMLQLADRLCEERVHMKLSDAKHEFEDKNSAVNKLRKQLDTFLVTKSPKVKGNGSANYDIGKQIAAYLNETRFNSYENEEKDDSGEGLVPKVRKRGCSSPSSASSIVHSYRFKRAIVVGKGRHGLGRSRSNTPVPTWRTTPFLRGGGESPRTLRPVSARKLAATLWEMNEVPSPAPEGMEEVEVRGNKKTVMKKEKMRSASSHLCDPSHSPVSERMDRSGTGSYQKRMSSSSHRSRTANHNVGVLDSLSSASLVEMETRSQTSVSVGGVKNRLKDVSTALTTSKELLKIINRIWARSDPPSSSMSLVSALHAELERARLQVNQLIQEQRSDQNEISYLMKCFAEEKAAWKSKEKKAIESAIESIANELEVERKLRRRSESLNKKLGKELLETKASFTKAVKMLENEKRAREIIEQTCSELAGGNGEDRGEIEDLNRESAKIHEEIKKERVMLQLADRLCEERVHMKLSDAKHEFEDKNSAVNKLRKQLDTFLVTKSPKVKGNGSANYDIGKQIAAYLNETRFNSYENEEKDDSGEVVECGEDSAESELHSIELNVDNTNKNDKWAYPSGIARESSRVSVDEIKTRSFVSGQVPRRSTSLLRSTSEVIDWGTRAGYIQNSEERLDPERLHELGKVGQKYGYLEEIQRLKAVAGLRDHGTGRKSSGRDVSSPSRHWDQLRDPCGSISERSSVTHGDTPKSRLADFKGEGQSARRAKRRE >itb02g16730.t1 pep chromosome:ASM357664v1:2:12686533:12694489:1 gene:itb02g16730 transcript:itb02g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVASSSSSLGPSLEGIDDVEDFPWANEGESSMSWDRFSHLYDLMQMGNTAFRENRLDEAINCYSKANNIKPNDPIILSNRCASYLRFSQFLKNRSSSDSEFRPLSGLDPTTHAGLALKDAEKVVALRSNSLTSHILKANALILLEKYGHAQDVIYSGLHIDPSSKPLQELERFVSLTIGRRIHERPQRSDDFDCTLCLKLLYEPITTPCGHSFCRSCLFQSMDRCNRCPLCRTVLFISPRTCSISVTLNNIIQRNFPEEYAERKAENDSLINLGVDLLPLFVMDVVLPCQKFHLNIFEPRYRLMVRRIMEGNHRMGMVIIDSATGSIAEYGCEVEITDCEPLPDGRFFLEIESRRRCRFVRNWDQDGYRVAEVEWVQDIYPAEGTIEMNELQEVINRSAAYAREWLRRAKEAAQGDRMRLVELHKAEGLMPSTRDPERFSFWLATVANPSPSERLALLRIRDTKERISRALIFMRAEAQGCRVQ >itb11g02990.t2 pep chromosome:ASM357664v1:11:1520649:1524546:-1 gene:itb11g02990 transcript:itb11g02990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTPKNILVTGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSSSSPNFKFVKGDIGSADLVNHILVTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETEEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERRVTDVARDICRHFGMDPETKIKFVENRPFNDQRYYLDDQKLKNLGWSERTTWEEGLKKTIEWYTKNPDWWGDVSGALVPHPRMLMMPGRIEKHVNGSESNIPRRQNPTHMVVPAHKSNNSVQKQAYKFLIYGRTGWIGGQLGKLCEKQGIPYEYGRGRLEDRSQLLADLRSAKPTHVFNAAGVTGRPNIDWCETHKTETIRTNVVGLLTLADVCREHNLLLVNYATGCIFEYNAAHPQGSGIGFKEEDKPNFIGSFYSKTKAMVEELITEYDNVCTLRARMPISSDLSNPRNFICKISHYDKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVATHNEILEMYREYVDPQFRWANFTLEEQAKVILAPRSNNEMDASKLKKEFPELLPIKESLIKYVFEPNRKTPAK >itb11g02990.t3 pep chromosome:ASM357664v1:11:1520649:1523400:-1 gene:itb11g02990 transcript:itb11g02990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYICKLFVVVVHRSSVKMEAYTPKNILVTGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSSSSPNFKFVKGDIGSADLVNHILVTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETEEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERRVTDVARDICRHFGMDPETKIKFVENRPFNDQRYYLDDQKLKNLGWSERTTWEEGLKKTIEWYTKNPDWWGDVSGALVPHPRMLMMPGRIEKHVNGSESNIPRRQNPTHMVVPAHKSNNSVQKQAYKFLIYGRTGWIGGQLGKLCEKQGIPYEYGRGRLEDRSQLLADLRSAKPTHVFNAAGVTGRPNIDWCETHKTETIRTNVVGLLTLADVCREHNLLLVNYATGCIFEYNAAHPQGSGIGFKEEDKPNFIGSFYSKTKAMVEELITEYDNVCTLRARMPISSDLSNPRNFICKISHYDKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVATHNEILEMYREYVDPQFRWANFTLEEQAKVILAPRSNNEMDASKLKKEFPELLPIKESLIKYVFEPNRKTPAK >itb11g02990.t1 pep chromosome:ASM357664v1:11:1520649:1524546:-1 gene:itb11g02990 transcript:itb11g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTPKNILVTGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSSSSPNFKFVKGDIGSADLVNHILVTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETEEDAIVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERRVTDVARDICRHFGMDPETKIKFVENRPFNDQRYYLDDQKLKNLGWSERTTWEEGLKKTIEWYTKNPDWWGDVSGALVPHPRMLMMPGRIEKHVNGSESNIPRRQNPTHMVVPAHKSNNSVQKQAYKFLIYGRTGWIGGQLGKLCEKQGIPYEYGRGRLEDRSQLLADLRSAKPTHVFNAAGVTGRPNIDWCETHKTETIRTNVVGLLTLADVCREHNLLLVNYATGCIFEYNAAHPQGSGIGFKEEDKPNFIGSFYSKTKAMVEELITEYDNVCTLRARMPISSDLSNPRNFICKISHYDKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVATHNEILEMYREYVDPQFRWANFTLEEQAKVILAPRSNNEMDASKLKKEFPELLPIKESLIKYVFEPNRKTPAK >itb08g01600.t1 pep chromosome:ASM357664v1:8:1181076:1184063:-1 gene:itb08g01600 transcript:itb08g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLRSSTSFINLKEIKGVKNPDDFPTTVCFSQFKRSCHHGLRAKCSMQEAAQLSQPLEGRVNEKRERLHGLAATQSHGSSSRVPVFIMLPLDTMTMGGNLNKPRSMNASLMALRSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAALVKMVQEHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDRSGRRNPEYISLGCDQLPVLRGRTPVQVYADYMRSFRERFKEYMGNIIVEVQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMRASLAASAEALGKGDWGRSGPHDAGQYHQFPEDTGFFRRDGTWKTEYGQFFLEWYSGKLLEHGDRILTAAAGVFQGTGAKLSGKIAGIHWHYNTRSHAAELNAGYYNTRERNGYLPIAHMLAKHGVVFNFTCMEMKDGEQPGSANCSPEGLVRQVKVATKAAGAELAGENALERYDSRAYEQVLATSRSDSGNGLSAFTYLRMNKNLFEPENWRNLVEFVKSMSEGGRSTRLPECDSSRTDLYVGLIKKRNAKNVNEAVLV >itb03g20390.t1 pep chromosome:ASM357664v1:3:18313559:18317033:1 gene:itb03g20390 transcript:itb03g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYGLFLAHGLDEIGQSTDAVRKSIADLNRFGKVVKLVAFNPFESALDALNQCNAVSEGQMTDELRNFLELTLPKVKESKKAKFSLGLAEPKLGSHIFEETKIPCQSNEFVLELLRGVRLHFEKFIENLKAGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNTFSMRVREWYSWHFPELVKIINDNYLYAKLAKFIEDKSQLAEDSLPALTEIVGDEDKAKEIVEAAKASMGHDLSPIDLINVKQFAQRVMDLSEYRKSLYDYLVAKMHDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASAKNKGRMARYLANKCSIASRLDCFLDNNTTSFGEKLREQVEERLDFYDKGVAPRKNIDVMKAAIENFQTKDADMSMDADTTPSKPSTKKSKKKKSKAVEDSEPMEEDKLDNTNGDASEEPKKKKKEKRKAEEELNNKGAENANGVNGTGDVDGSTKKKKKKSKKEGEEVETATEGKKKKKKSKAENEE >itb03g19300.t1 pep chromosome:ASM357664v1:3:17391488:17396335:-1 gene:itb03g19300 transcript:itb03g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKEKRGGETVLQMSPRATPGGGVEDVYGEDCATEDQLVTPWTTSVASGYNLLRDPRYNKGLAFKENERDSHYLRGLLPPVIVSQELQEKKLMHSIRQYDQPIHKYQALMELEERNERLFYKLLIDNVDELLPIVYTPTVGEACQKYGSIFKRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEKLLKDDYYIGLRQKRTTGQEYYDFLDEFMTAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGLVASLKLLGGALADHTFLFLGAGEAGTGIAELIALEISNKTKAPVEETRKKIWLVDSKGLIVSSRKGSLQSFKKPWAHEHEPVNSLLDAVKAIKPTVLIGTSGVGRTFTKEVIETMAANNKRPLIMALSNPTSQSECTAEEAYKWSEGRAVFASGSPFPAVEYNGKLNIPGQANNCYIFPGFGFGLVMSGTIRVHDDMLLAASEALANQVTDEHYAQGMIYPPFTNIRKISAHIAASVAAKAYELGVATRLPRPADLVKYAESCMYTPIYRNYR >itb07g06630.t1 pep chromosome:ASM357664v1:7:4767461:4769500:-1 gene:itb07g06630 transcript:itb07g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSAENMDKRLITFIIQDEDAAYDLDDLLQSEAEVMGKDRLGTSYRVEMKTGKAVVVKRLKETSMSHEEFDRRVEEIVKLTMSHENLLPLRAYYFSRYEKLLIFDHMPMGSLASLLHHKNSGKGETNKAPLTWKVRSEIAFEVAGGIAHLHSQGSNICHGNITSSNVLLSNSFHVRLSDSGAAHLFSPNNVNLTATTSSGYHAPEVLQTTQNLTHKADVYSYGVLVLELLTGKPPKTTEGMELASWVRSMFQEKPIVDVFDDVLRDYYRDFGGQMMVQLLELAICCTFQNPDRRPSMAAVASRIKEICGF >itb13g15270.t1 pep chromosome:ASM357664v1:13:22016082:22017140:-1 gene:itb13g15270 transcript:itb13g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSHLGHNPAHYPPGQYPPAPGAYPPQQGYPPAGYPPQAYPPSGYPPQQGYSPQAYPPAGYPGQPHPSASHHSGHGAGMGAMLAGGAAAAAVAYGAHHISHSGHGGSHVPHGVVPGVGGYGHGKFGKHGKHGKFKHGKHGKFKHGKHGKHGGMFGGGKFKKWK >itb06g04680.t1 pep chromosome:ASM357664v1:6:7472388:7473995:-1 gene:itb06g04680 transcript:itb06g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDIEVGNEYTLKDYQDPPPAPFIDPEELGQWSFYRAIIAEFVATLLFLYVTVLTVIGYKSQTDPHVNGTDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIMYMVAQCLGAICGCGLVKAFQKAYYVRYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYGKDKAWDDQWIFWVGPFVGAAIAAIYHQFILRAGALKALGSQRSNA >itb06g18450.t1 pep chromosome:ASM357664v1:6:22096731:22103540:1 gene:itb06g18450 transcript:itb06g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSDGCHRVSMASLRLDPSNGCDGWPEKGEGLRLGYGVTDSLTQILRFLRFCSIWCSSEVSGMLSTDSESSDGIIGFGQANSSFISQLTAQGKVKKIFSHCLNRNGGGILAMGQVVQPKVNSTPLEPYRRHYTVILKSIEVGGAALDIQMYGGVETIIDSGSTFAYISGWIYDPLVDKMLEKQPGLKTHFYNGFKCFDYHGNVDEGFPAVTLNFMGSLNLTLYPHDYLISFQHGVQCIGLESKSMTLLGDIALSNKLFVYDLESQTLGWTEHDCSSSIKVKDEGTGNVYTLGAHHRSSSSDLRTRKIYIVLFLEHMLKSLSSTAMHNRFLYAEELSSTAIAELTHMY >itb02g10350.t1 pep chromosome:ASM357664v1:2:6671172:6677483:1 gene:itb02g10350 transcript:itb02g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLVWLIVALILAQIYTASLTSMLTVQRLVPTIGNAEGLKKNNAVVGTTSGSFIGAYLEQHVGIPSKNVKSYGSEEEFVQAFEAKEISAAFLETPYAKVFLAKYCNSFVKAGPTYEVGGFGFVFPKGSSILEEANKALLNMTESGKLGELEKGMIGAEECVDVEKKDETSLGPRSFSVLFGLTGAISTISLTVFVVAGNYKETVVDLFRQWSLATRTRRIGNGEIPGNNRPLEMESQSPQVT >itb01g15450.t1 pep chromosome:ASM357664v1:1:17967552:17972675:-1 gene:itb01g15450 transcript:itb01g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGLSEAERDLKNLKEQLNDDSCWSSDPQVHYFGPGTRERSAPKKVPPLGAKPGTKDKVDWPETSMRRRRLNGHSDATVGYTAAGDGADVASLAAGVAEPVFFR >itb01g35010.t1 pep chromosome:ASM357664v1:1:37439864:37442518:1 gene:itb01g35010 transcript:itb01g35010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKQCEANFVAYLHPSKSKHVKDAVYRELSSLLFKFDEALDGVVLAYEPKFHSNLAKILPGIHPYFGVRFQAKLLLFNPKQDMLLEGEVVKVCLQSIHIVVLGFSSAIIADEDVHREFKYKIKHGEEVFVSKSHRRHKIKVGTIVRFSVKSFDEEILHICGSLIPADTGNVSWLESNREAQTKSDPKKSGTERPVEKLEYGNGRIGEENMNADNQPKKSKRRKLEFLSKKQEAFDVLLGNRI >itb01g35010.t2 pep chromosome:ASM357664v1:1:37439864:37442139:1 gene:itb01g35010 transcript:itb01g35010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKQCEANFVAYLHPSKSKHVKDAVYRELSSLLFKFDEALDGVVLAYEPKFHSNLAKILPGIHPYFGVRFQAKLLLFNPKQDMLLEGEVVKVCLQSIHIVVLGFSSAIIADEDVHREFKYKIKHGEEVFVSKSHRRHKIKVGTIVRFSVKRDTTHMWIINSC >itb01g35010.t3 pep chromosome:ASM357664v1:1:37439864:37442254:1 gene:itb01g35010 transcript:itb01g35010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKQCEANFVAYLHPSKSKHVKDAVYRELSSLLFKFDEALDGVVLAYEPKFHSNLAKILPGIHPYFGVRFQAKLLLFNPKQDMLLEGEVVKVCLQSIHIVVLGFSSAIIADEDVHREFKYKIKHGEEVFVSKSHRRHKIKVGTIVRFSVKSFDEEILHICGSLIPADTGNVSWLESNREAQTKSDPKKSGTERPVEKLEYGNGRIGEENMNADNQPKKSKRRKLE >itb04g29810.t1 pep chromosome:ASM357664v1:4:33092712:33094772:1 gene:itb04g29810 transcript:itb04g29810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCAQELSSQTLESMMVASAKAQQQEKKPRPADEHAQKCPRCESTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNRRSSSTSSKARISTAQQDSHTSSPVLTNFPYESTHDLGLTFASLQKQADGNLGLEDHEMAAPMMCNPNNTLTDVLGNYGAASHGFLDSLRGTGFLEPPTNGLYHNHSLQYYGTVGEMGMPYDVHMGGGVSTSAAVKQEMYSNVRDEGDDDNRVLWGFPWQHGGGEGNMSMVVNDVDSSRQSSCNIGFGSSWHGLVNSPLM >itb06g08820.t1 pep chromosome:ASM357664v1:6:12946102:12952861:-1 gene:itb06g08820 transcript:itb06g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAESERERREEEGVDGPKPSLPSTGANTTAHLRHSTPPSFRRSFTPRPSAGEPRLRLLRERSRTDIYRMMPPLPSPSPSPYVTGREMMSPRLGPIAGQVPPIVGKKIVVLALQATSPPSLKKADRENSCDRKKRKKTASSDAVAAAICCLRQSSLRRNQIPEYCLLEQPKTLLLHHSLDPSLYCRNTEFSLSSEEAGILRVMASSAEPTLQKREEEEESSENQPAEDEDTGAQVAPIVRLQEVAVSTGEENEDVLLDLKAKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTISMQEHAGNEKSCVWHAADFADGELKEETFCIRFASVENCKTFKDKVEEIAESQQKKDEESEEAISAAKLIEKLSVESNDQEDKPKDKEAPAPAEEKKDEAEKKEKDDDKN >itb01g34230.t1 pep chromosome:ASM357664v1:1:37016744:37018670:1 gene:itb01g34230 transcript:itb01g34230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGRLLRTSRPCVGIANSQSCYSFSVPKGSSARFVSKGGFGGEKGIGDGEKQPKSATKVVAAVATSARCLVTPEPKTEKGLLDLGLLLGKISTLMFQRLRMITIRLPQKLHAQILMEKAIVDCRFFTWFAVAGSLLGSVLCFIEGCYIIVESYFQYFHAVSQHSGQAHLVHLLIEAIDMFLVGTAMLTFGIGLHVMFVGSNNSKEQMPPLPGSHLLPALKSLPEKVGMQSVMQAKSKIGHAVVMILQVGVLEKFKEIPLVTSLDLACFAAVVFVSSACVFLLSKLNVSSGTEADT >itb12g08930.t2 pep chromosome:ASM357664v1:12:6987987:6992122:-1 gene:itb12g08930 transcript:itb12g08930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTYLLAGSKGVSSSSSSNLWLAPNPSKKWGELFFLLYTPFWLTLCLGIVVPYKLYENFTEWEYLLLALVSALPAFLVPLIFVGEADRNIHWKDRYWVKANLWILIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLAHVCFLFYHVVSNITLRRLQASIANLPENIQWVFKASWILAFSYFIAYLETIAISSFPYYDFVDRASMYKVGSLFYAIYFIVSFPMFLRIDEKPGDLWDLPRVAIDALGAAMLVTIILDLWRLFLGPIVPIPETKQCLQPGLPWFAGH >itb12g08930.t1 pep chromosome:ASM357664v1:12:6987818:6992413:-1 gene:itb12g08930 transcript:itb12g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGVSSSSSSNLWLAPNPSKKWGELFFLLYTPFWLTLCLGIVVPYKLYENFTEWEYLLLALVSALPAFLVPLIFVGEADRNIHWKDRYWVKANLWILIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLAHVCFLFYHVVSNITLRRLQASIANLPENIQWVFKASWILAFSYFIAYLETIAISSFPYYDFVDRASMYKVGSLFYAIYFIVSFPMFLRIDEKPGDLWDLPRVAIDALGAAMLVTIILDLWRLFLGPIVPIPETKQCLQPGLPWFAGH >itb10g04100.t1 pep chromosome:ASM357664v1:10:3850455:3851615:1 gene:itb10g04100 transcript:itb10g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQALLKGPCKAPVIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb01g25970.t1 pep chromosome:ASM357664v1:1:31228347:31230109:1 gene:itb01g25970 transcript:itb01g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMLSFMYYCQILLLFLLIHPLEAVPSIIPLNGTCSERCGALSVKFPFGSSYGCGHPAFARYIKCSDGVLQFSSGTGIYTISSIDYSSGVMVVTDPFMSTCSSMQNSGSFTLDRGSPFSIMPENIFALLGCSTTSAVFDPKQDLCDSGSGLNVCRGLYSCKGVTGIGLEPNAPISTCCVYNPPVPLGSGNGLDLPKLQCSSYSTIYGFGGDEGDPMKWQYGISLQFNNSYSSDACKNCEDSGGFCGFSGSDESFSCTCRNGVNTTVNCFGRGYAWSGTWRHKIQTKVYIGGFLFLWMMFFI >itb09g02940.t1 pep chromosome:ASM357664v1:9:1669593:1675841:-1 gene:itb09g02940 transcript:itb09g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLEILSLLLMFSAPTLFSHAVVETLPGFPGKLPFKLQTGYIGVGEFEQVQLFYYFIQSERSPENDPLLLWLTGGPGCSALSALLYEIGPFTINYANSTTGDIPALELNPYAWTKVANIIFLDQPVGTGFSYAKTWEASRCSDTLSAQYAYSFLIKWFLDHPKFLSNPLYIAGDSYAGIIIPQIVQRIYDGLESGIEPRLNLKGYVEGNQ >itb09g02940.t2 pep chromosome:ASM357664v1:9:1672865:1676127:-1 gene:itb09g02940 transcript:itb09g02940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLEILSLLLMFSAPTLFSHAVVETLPGFPGKLPFKLQTGYIGVGESQEIQLFYYFIESEKSPETDPLLLWLTGGPSCSGLSALFYEIGPLTINYANSTGDIPALKLNPYAWTKVANIIFVDQPVGTGYSYAQTSDAYKTNDTLSAQHTYSFLVQWLLDHPTFLSNPLYVGGDSYSGITVPLVVRNIYDGLESGIEPRLNMKGYIEGNPLTDRFSDLNNRMEYGYRMGFLSDNLYKSTKESCNGNYINEHPQSSRCQYDLQRVSKCTEKINMAQILEPVCNEESLLSLNGENLPQQWCRDDNYLFGYSWANNKIVQKALGVREGTITEWVRCNQTLRGPPEVERTEAYVYNVKTTVDYHRSFTNKSCRVLIYSGDHDMIVPHVSTEEWIESLKVAVEDEWRPWFVEDQIAGYTIKYSQNEYELTYATVKGAGHTAPEYKPQQCLPMIQRWLSIYPL >itb15g11020.t1 pep chromosome:ASM357664v1:15:8789492:8790475:-1 gene:itb15g11020 transcript:itb15g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDKVLEELVTQGVNALVQTVTDNVKRARGIDSEIINLTSEIETFTARLGEAFKNPRANDHHVLRVIVKKFRIVVDEAKDTIAKYVVLNKRHGDHVILKSWDRIPLCGKVSAYASDIESIRAKMKAIRQEHEKELLYLMQFEIKGQYNNVKTLQDSWCTMDSV >itb15g11020.t2 pep chromosome:ASM357664v1:15:8789492:8790475:-1 gene:itb15g11020 transcript:itb15g11020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDKVLEELVTQGVNALVQTVTDNVKRARGIDSEIINLTSEIETFTARLGEAFKNPRANDHHVLRVIVKKFRIVVDEAKDTIAKYVVLNKRHGDHVILKSWDRIPLCGKVSAYASDIESIRAKMKAIRQEHEKELLYLMQFEIKGQYNNVKTLQVIHQLFLLSSTISNYYIGFSN >itb04g01040.t1 pep chromosome:ASM357664v1:4:565933:566586:1 gene:itb04g01040 transcript:itb04g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGTSANIMPSSSRNPSPPSSYFASPVPSYQPSPLSSSCPSPTHHGGDGKMPPHPFAFLRSATPSSLPALRISSAPVTPPISSPSLVYRPTLTYPNPNPIQRSDLHKHGNTEELTLTLHPQATITSEDEDGSGDGLITSLRSAVLTTKITMSAILANIRGKSICFATAIIERLRFEDSRNGVATVD >itb15g08240.t1 pep chromosome:ASM357664v1:15:5765891:5766872:-1 gene:itb15g08240 transcript:itb15g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASRPYLLLILLILHLSSQKHSRFAEARPLISLVNQESKYAKLFATLGMVCKCCDGAEVSDGGESEGCKASWSGSCSKLQCLPWKLK >itb05g18540.t4 pep chromosome:ASM357664v1:5:25301404:25305913:1 gene:itb05g18540 transcript:itb05g18540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCISTLLARYMDSCKRHGVPTNPAVLSGLYKSMEQKRTHQECTIVLSLDDLEDDDLSPLIDFFQEVHSFDIDAVDILCRLPRVLNQELVLALMHAVGSKLRTANLQDILLKEDIAQDIFEGGLNCQLLKLRFTEIRKLNMAGSFAQLHTLNLDFCSSLTGLEKDCFACLPKLMRLSMCGTRIADLWTTTAALLRLPSLIELRFQNCVGCEDTGICLAPSNVSADGPGHPLSTGNLLPISEYLSLPKQPSVQSGDRDLVHIEGIMPLDLSSVSHSGAEILEPEISLSELHIKERNESPPTHLKLRDASFFSKMYASHHPSPICFEKHYREYMIVLLPRLEVLDNVPIQKNDREMAKTVYSNHFEYLPCKRTFKESVLSILHMRETRTKNLYCKTPSRVKRSASFGKSQISYSRSLCAAKFGASSCPSMHLMSHISDFINKGRKVPQPRQFEYHPSDPGLMSCGTLDGEVIIVNHEKGNVFTYIPPFGMSSSVLGLCWLNKHPSKLLVGCDNGSLRLYDINHVMAEAEGIYCSSGPVTFEKFEHLTSVHVNSTDDRFLTSGYTKKVAIFDICSGRRLHTFSDMHSEPINVAKFANHSPNMLVTSSFDHHVKMWDLRQQPIRPCYTASSSRGNVMVCFSPDDLYLLVSAIDNEVKQILAVDGRLHTDFGITSTGSAHNYTRSYYMNGRDYIISGSCDESVVRICCAQTGKRLKDLYLEDNDFGGSILVQSLRSDPFRHFHMAVLAAYPHPNSKRDIIKVNLVESC >itb05g18540.t1 pep chromosome:ASM357664v1:5:25301404:25305913:1 gene:itb05g18540 transcript:itb05g18540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRTHQECTIVLSLDDLEDDDLSPLIDFFQEVHSFDIDAVDILCRLPRVLNQELVLALMHAVGSKLRTANLQDILLKEDIAQDIFEGGLNCQLLKLRFTEIRKLNMAGSFAQLHTLNLDFCSSLTGLEKDCFACLPKLMRLSMCGTRIADLWTTTAALLRLPSLIELRFQNCVGCEDTGICLAPSNGPGHPLSTGNLLPISEYLSLPKQPSVQSGDRDLVHIEGIMPLDLSSVSHSGAEILEPEISLSELHIKERNESPPTHLKLRDASFFSKMYASHHPSPICFEKHYREYMIVLLPRLEVLDNVPIQKNDREMAKTVYSNHFEYLPCKRTFKESVLSILHMRETRTKNLYCKTPSRVKRSASFGKSQISYSRSLCAAKFGASSCPSMHLMSHISDFINKGRKVPQPRQFEYHPSDPGLMSCGTLDGEVIIVNHEKGNVFTYIPPFGMSSSVLGLCWLNKHPSKLLVGCDNGSLRLYDINHVMAEAEGIYCSSGPVTFEKFEHLTSVHVNSTDDRFLTSGYTKKVAIFDICSGRRLHTFSDMHSEPINVAKFANHSPNMLVTSSFDHHVKMWDLRQQPIRPCYTASSSRGNVMVCFSPDDLYLLVSAIDNEVKQILAVDGRLHTDFGITSTGSAHNYTRSYYMNGRDYIISGSCDESVVRICCAQTGKRLKDLYLEDNDFGGSILVQSLRSDPFRHFHMAVLAAYPHPNSKRDIIKVNLVESC >itb05g18540.t3 pep chromosome:ASM357664v1:5:25301683:25305913:1 gene:itb05g18540 transcript:itb05g18540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRTHQECTIVLSLDDLEDDDLSPLIDFFQEVHSFDIDAVDILCRLPRVLNQELVLALMHAVGSKLRTANLQDILLKEDIAQDIFEGGLNCQLLKLRFTEIRKLNMAGSFAQLHTLNLDFCSSLTGLEKDCFACLPKLMRLSMCGTRIADLWTTTAALLRLPSLIELRFQNCVGCEDTGICLAPSNVSADGPGHPLSTGNLLPISEYLSLPKQPSVQSGDRDLVHIEGIMPLDLSSVSHSGAEILEPEISLSELHIKERNESPPTHLKLRDASFFSKMYASHHPSPICFEKHYREYMIVLLPRLEVLDNVPIQKNDREMAKTVYSNHFEYLPCKRTFKESVLSILHMRETRTKNLYCKTPSRVKRSASFGKSQISYSRSLCAAKFGASSCPSMHLMSHISDFINKGRKVPQPRQFEYHPSDPGLMSCGTLDGEVIIVNHEKGNVFTYIPPFGMSSSVLGLCWLNKHPSKLLVGCDNGSLRLYDINHVMAEAEGIYCSSGPVTFEKFEHLTSVHVNSTDDRFLTSGYTKKVAIFDICSGRRLHTFSDMHSEPINVAKFANHSPNMLVTSSFDHHVKMWDLRQQPIRPCYTASSSRGNVMVCFSPDDLYLLVSAIDNEVKQILAVDGRLHTDFGITSTGSAHNYTRSYYMNGRDYIISGSCDESVVRICCAQTGKRLKDLYLEDNDFGGSILVQSLRSDPFRHFHMAVLAAYPHPNSKRDIIKVNLVESC >itb05g18540.t2 pep chromosome:ASM357664v1:5:25301404:25305913:1 gene:itb05g18540 transcript:itb05g18540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCISTLLARYMDSCKRHGVPTNPAVLSGLYKSMEQKRTHQECTIVLSLDDLEDDDLSPLIDFFQEVHSFDIDAVDILCRLPRVLNQELVLALMHAVGSKLRTANLQDILLKEDIAQDIFEGGLNCQLLKLRFTEIRKLNMAGSFAQLHTLNLDFCSSLTGLEKDCFACLPKLMRLSMCGTRIADLWTTTAALLRLPSLIELRFQNCVGCEDTGICLAPSNGPGHPLSTGNLLPISEYLSLPKQPSVQSGDRDLVHIEGIMPLDLSSVSHSGAEILEPEISLSELHIKERNESPPTHLKLRDASFFSKMYASHHPSPICFEKHYREYMIVLLPRLEVLDNVPIQKNDREMAKTVYSNHFEYLPCKRTFKESVLSILHMRETRTKNLYCKTPSRVKRSASFGKSQISYSRSLCAAKFGASSCPSMHLMSHISDFINKGRKVPQPRQFEYHPSDPGLMSCGTLDGEVIIVNHEKGNVFTYIPPFGMSSSVLGLCWLNKHPSKLLVGCDNGSLRLYDINHVMAEAEGIYCSSGPVTFEKFEHLTSVHVNSTDDRFLTSGYTKKVAIFDICSGRRLHTFSDMHSEPINVAKFANHSPNMLVTSSFDHHVKMWDLRQQPIRPCYTASSSRGNVMVCFSPDDLYLLVSAIDNEVKQILAVDGRLHTDFGITSTGSAHNYTRSYYMNGRDYIISGSCDESVVRICCAQTGKRLKDLYLEDNDFGGSILVQSLRSDPFRHFHMAVLAAYPHPNSKRDIIKVNLVESC >itb01g33680.t1 pep chromosome:ASM357664v1:1:36692057:36694485:-1 gene:itb01g33680 transcript:itb01g33680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSLFFTFSFLFLLATCIHCYEAKGVSSLSREDFLFSRLRYTNVVDNIDKTQLVSSSNIPVYIGPQDGLKESDMIETLPGQSNGVNFAQYAGHVTVDPVTGKALFYYFTESPQNPSSKPLVLWLNGGPGCSSLGGGAFGELGPFRPAKDGKSLNSNPYAWNNAANIIFLESPIGVGFSYSNTSSDYDNVGDESTAQDSYTFLVNWLERFPEYKTRDFYITGESYAGHYIPQLAQLILHNNQRTNQSIINLKGIAIGNAYVDYEANLQGLADYYWSHALISDELYKNILSTCNFSIPSATSDECLDLVYGQIRDESGNIYSYDIYAPLCGSDTTSYSISGYDPCTGDYTYTYLNTLQVQAALHANITATAHPWDKCNLTLNGAWKVPPTVLPVISELMGSGIRIWLYSGDTDNAVPITDTRYALYKLGVTVKTPWYPWYLPGYEVGGYVEEYENITLVTVRGSGHFVPSYQPARALVLFSSFINGTLPPNDTTH >itb11g04540.t1 pep chromosome:ASM357664v1:11:2392210:2396155:1 gene:itb11g04540 transcript:itb11g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHLEGDDEVVLAPASPFSQYLKSSVFSLSIIAVLEISVPIEDDDSVILSEIRDILLPINPRFSSIMVTGKNGEKKWKPVKVNLEDHLKVPKFPVGKPLEFYDDCLSEYLTKIAMDPLPETRPLWEIHIFRYPTSNAAGNCIFNLHHALGDGYSLMGALLCCLQRADNPALPLTFPRRQRSGTEQKKNSNAVARAFKAVPRFFTGVVDTVTDFSWSFLKSSLIDDDETAIHSKEDGVEFRPISVTTMPFSLSHLKQIKSSLNVTINDVIVGILTYGMRLYMQETDRETCNGECTAMVVFNTRAIGGYKSVSEMIKTNAEMPWGNRFTFVPISIPKLRHPAGAGDSSNPLRFIYNAHRLVNRQRNSASVYLTGQLLEFSRKLRGPEATAQYIHRTLRNTSFSMTNMIGPVEETTLANHPVKGMYFAVTGAPQSIFVSMLSYAGKLRVTFVTEKDFIDVKKLKSCVESAFDEIFRLALNSSPPPA >itb11g04540.t2 pep chromosome:ASM357664v1:11:2392210:2395500:1 gene:itb11g04540 transcript:itb11g04540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECHLEGDDEVVLAPASPFSQYLKSSVFSLSIIAVLEISVPIEDDDSVILSEIRDILLPINPRFSSIMVTGKNGEKKWKPVKVNLEDHLKVPKFPVGKPLEFYDDCLSEYLTKIAMDPLPETRPLWEIHIFRYPTSNAAGNCIFNLHHALGDGYSLMGALLCCLQRADNPALPLTFPRRQRSGTEQKKNSNAVARAFKAVPRFFTGVVDTVTDFSWSFLKSSLIDDDETAIHSKEDGVEFRPISVTTMPFSLSHLKQIKSSLNVTINDVIVGILTYGMRLYMQETDRETCNGECTAMVVFNTRAIGGYKSVSEMIKTNAEMPWGNRFTFVPISIPKLRHPAGAGDSSNPLRFIYNAHRLVNRQRNSASVYLTGQLLEFSRKLRGPEATAQYIHRTLRNTSFSMTNMIGPVEETTLANHPVKGMYFAVTGAPQVHIQTSFMLIL >itb08g14470.t1 pep chromosome:ASM357664v1:8:16224495:16225770:-1 gene:itb08g14470 transcript:itb08g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQPPAPLTSPAIASIAALRRRRCHPSRVEPAESERAKSQILDLLRSWFDMNLQTERERYVDMHRLRFSSIGASDSPPRRLRLSASPPRRLRLSTLDVSASPHSASPTRCPGALIVSFYLAASSVPVLRPRHLRLSALCAFDFEAPLSSHLQGNPLNR >itb07g15810.t2 pep chromosome:ASM357664v1:7:18861556:18865122:-1 gene:itb07g15810 transcript:itb07g15810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARTMTTSASADTHMKDAFSKYTDYLNGLNEKRERVVKASRDITMNSKKVIFQVHRMSKNNREEVLEKAEKDLDAVTAQYVSRLVKELQGTDFWKLRRAYSPGVQEYVEAATLCHFCKTGTLISLDELNANLTPLSDPSIEPLQINILDYLLGLADLTGELMRMAIGRISDGEVEFAHKICNFVREIHRELTLVAPLMEDSSDMKTKMDTMLQSVMKIENACFSVHVRGSEFVPFLESDDSSYPLLGVSDID >itb07g15810.t1 pep chromosome:ASM357664v1:7:18861556:18865144:-1 gene:itb07g15810 transcript:itb07g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGSSSSATYFNFFIRLMASNKSQRVRYLGETSWQTAMKKARTMTTSASADTHMKDAFSKYTDYLNGLNEKRERVVKASRDITMNSKKVIFQVHRMSKNNREEVLEKAEKDLDAVTAQYVSRLVKELQGTDFWKLRRAYSPGVQEYVEAATLCHFCKTGTLISLDELNANLTPLSDPSIEPLQINILDYLLGLADLTGELMRMAIGRISDGEVEFAHKICNFVREIHRELTLVAPLMEDSSDMKTKMDTMLQSVMKIENACFSVHVRGSEFVPFLESDDSSYPLLGVSDID >itb11g08170.t1 pep chromosome:ASM357664v1:11:5257998:5259189:1 gene:itb11g08170 transcript:itb11g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNKWIGITNAELPKDLLLLRKVLDSFEPNNINQFKMLRMHPRFYMDASKEWLLDFAVKFQRIMSSKNLKSKDWITVTNSLGLAFKKMQYSDIVGENAKWYSEDISNSIIRDCFNFVPSKPTPPSQEKADPPSQEKADPPSQLIANNQLKFMIRTMRNLATHAIEHSDNLEFSQVCDAIEEFRPNCWAVLHYAAMVSIPERFVED >itb04g30990.t3 pep chromosome:ASM357664v1:4:33951006:33954677:1 gene:itb04g30990 transcript:itb04g30990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPASLPWADRIQFHRLNIKNDSRLEGLIKMADLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDASPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIVGPIPLSL >itb04g30990.t2 pep chromosome:ASM357664v1:4:33950969:33954677:1 gene:itb04g30990 transcript:itb04g30990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPASLPWADRIQFHRLNIKNDSRLEGLIKMADLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDASPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTQVYSKVSGEVSLATPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGISFLPQPFYFL >itb04g30990.t4 pep chromosome:ASM357664v1:4:33951006:33954677:1 gene:itb04g30990 transcript:itb04g30990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPASLPWADRIQFHRLNIKNDSRLEGLIKMADLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDASPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTQVK >itb04g30990.t5 pep chromosome:ASM357664v1:4:33950957:33954677:1 gene:itb04g30990 transcript:itb04g30990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPASLPWADRIQFHRLNIKNDSRLEGLIKMADLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDASPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTQVK >itb04g30990.t1 pep chromosome:ASM357664v1:4:33950957:33954677:1 gene:itb04g30990 transcript:itb04g30990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETQHKVLAVDVYNDKIKHLLEPASLPWADRIQFHRLNIKNDSRLEGLIKMADLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDASPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTQVYSKVSGEVSLATPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAIKQAMSKPTAS >itb06g22340.t2 pep chromosome:ASM357664v1:6:24666661:24670179:-1 gene:itb06g22340 transcript:itb06g22340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGWNLQTGHEVFLTAWKSENDPASGQYTLHLDPTGYPQLIVKNGTTVISSTGPWNGVHFSVTSVKQNRSIIGPHGLVINKKEVYYWYSLNKDLGLVRFVVTSDGLFKSMVWEDQIKQWMNYRRQPSDTCGNYDLCGRNGVCNIQEFRSCGCLDKFLPNDNATESLSRGCHRRKPLNCHNNGSSSDGFLKYSDIKLPDTKNSWYNESMSLQECEQVCLRNCSCMAYSTLNITNGGSGCLIWHGDLVDMRTIQFGQDIFIRLAAIEIPGLKAEPNHSSSMGRKTRLLVLCLLLPAVTVLAGVSFVWYFCRTRKREQKLENELELPIFAWSTISKATNNFSEENMLGQGGFGAVYKGVLDGGEEIAVKRLSKNSMQGLEEFKNEVICIAKLQHRNLVKLLGCCINGEENMLIYEYMPNKSLDFFIFDQTGKKLLGWLKRFNIINGIARGLLYLHQDSRLRIIHRDLKSSNVLLDIDLNPKISDFGLARSLVGNATTDNTKRLAGTQ >itb06g22340.t3 pep chromosome:ASM357664v1:6:24666661:24670179:-1 gene:itb06g22340 transcript:itb06g22340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLLVLLLAFLLSLIPNICLGKDLITKTQFLRDGDTIVSEGGTFVMGFFNPTNSLNRYIGIWYRQDPVKTVVWVANRDAPLADTSSAVLKITLGGQLALLGDKGQAVWSANTSRWVQNQVAELLDSGNLVVRDADDENPENFLWQSFDYPTDNFLPGMKVGWNLQTGHEVFLTAWKSENDPASGQYTLHLDPTGYPQLIVKNGTTVISSTGPWNGVHFSVTSVKQNRSIIGPHGLVINKKEVYYWYSLNKDLGLVRFVVTSDGLFKSMVWEDQIKQWMNYRRQPSDTCGNYDLCGRNGVCNIQEFRSCGCLDKFLPNDNATESLSRGCHRRKPLNCHNNGSSSDGFLKYSDIKLPDTKNSWYNESMSLQECEQVCLRNCSCMAYSTLNITNGGSGCLIWHGDLVDMRTIQFGQDIFIRLAAIEIPGLKAEPNHSSSMGRKTRLLVLCLLLPAVTVLAGVSFVWYFCRTRKREQKLENELELPIFAWSTISKATNNFSEENMLGQGGFGAVYKGVLDGGEEIAVKRLSKNSMQGLEEFKNEVICIAKLQHRNLVKLLGCCINGEENMLIYEYMPNKSLDFFIFDQTGKKLLGWLKRFNIINGIARGLLYLHQDSRLRIIHRDLKSSNVLLDIDLNPKISDFGLARSLVGNATTDNTKRLAGTHGYISPEYATHGIFSVKSDVFSFGVLILEIVSGRKNSEFSNEDRYETLSGHAWKLYKEGEPLTLVDERISDSVDVAQVLRSIHIGLLCVQQSPEDRPNMSFVVQMLVNDAVLPQPKEPKEPGYFVGRRADLGKHATTSLNEVTMSSLDPR >itb06g22340.t1 pep chromosome:ASM357664v1:6:24666661:24670179:-1 gene:itb06g22340 transcript:itb06g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGWNLQTGHEVFLTAWKSENDPASGQYTLHLDPTGYPQLIVKNGTTVISSTGPWNGVHFSVTSVKQNRSIIGPHGLVINKKEVYYWYSLNKDLGLVRFVVTSDGLFKSMVWEDQIKQWMNYRRQPSDTCGNYDLCGRNGVCNIQEFRSCGCLDKFLPNDNATESLSRGCHRRKPLNCHNNGSSSDGFLKYSDIKLPDTKNSWYNESMSLQECEQVCLRNCSCMAYSTLNITNGGSGCLIWHGDLVDMRTIQFGQDIFIRLAAIEIPGLKAEPNHSSSMGRKTRLLVLCLLLPAVTVLAGVSFVWYFCRTRKREQKLENELELPIFAWSTISKATNNFSEENMLGQGGFGAVYKGVLDGGEEIAVKRLSKNSMQGLEEFKNEVICIAKLQHRNLVKLLGCCINGEENMLIYEYMPNKSLDFFIFDQTGKKLLGWLKRFNIINGIARGLLYLHQDSRLRIIHRDLKSSNVLLDIDLNPKISDFGLARSLVGNATTDNTKRLAGTHGYISPEYATHGIFSVKSDVFSFGVLILEIVSGRKNSEFSNEDRYETLSGHAWKLYKEGEPLTLVDERISDSVDVAQVLRSIHIGLLCVQQSPEDRPNMSFVVQMLVNDAVLPQPKEPKEPGYFVGRRADLGKHATTSLNEVTMSSLDPR >itb06g22340.t4 pep chromosome:ASM357664v1:6:24666661:24670179:-1 gene:itb06g22340 transcript:itb06g22340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLLVLLLAFLLSLIPNICLGKDLITKTQFLRDGDTIVSEGGTFVMGFFNPTNSLNRYIGIWYRQDPVKTVVWVANRDAPLADTSSAVLKITLGGQLALLGDKGQAVWSANTSRWVQNQVAELLDSGNLVVRDADDENPENFLWQSFDYPTDNFLPGMKVGWNLQTGHEVFLTAWKSENDPASGQYTLHLDPTGYPQLIVKNGTTVISSTGPWNGVHFSVTSVKQNRSIIGPHGLVINKKEVYYWYSLNKDLGLVRFVVTSDGLFKSMVWEDQIKQWMNYRRQPSDTCGNYDLCGRNGVCNIQEFRSCGCLDKFLPNDNATESLSRGCHRRKPLNCHNNGSSSDGFLKYSDIKLPDTKNSWYNESMSLQECEQVCLRNCSCMAYSTLNITNGGSGCLIWHGDLVDMRTIQFGQDIFIRLAAIEIPGLKAEPNHSSSMGRKTRLLVLCLLLPAVTVLAGVSFVWYFCRTRKREQKLENELELPIFAWSTISKATNNFSEENMLGQGGFGAVYKGVLDGGEEIAVKRLSKNSMQGLEEFKNEVICIAKLQHRNLVKLLGCCINGEENMLIYEYMPNKSLDFFIFDQTGKKLLGWLKRFNIINGIARGLLYLHQDSRLRIIHRDLKSSNVLLDIDLNPKISDFGLARSLVGNATTDNTKRLAGTQ >itb15g19900.t1 pep chromosome:ASM357664v1:15:22414211:22417466:1 gene:itb15g19900 transcript:itb15g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKRLRSLSTHIEEVLPEWEGMFLSYKDLKKQLKVVYPKEGETSRPNKRQRVDQETEGQAGDSSAVAPVDFETVLAEEEAPDVTDFETLLGKEIEKFNGFFMDKEEEYVIRLKVLKERVADANDSCEKLMKVGREIVDLHGEMVLLENYSALNYTGLVKILKKYDKCSGALLRLPFIQKVLEEPFFNTDVLKQLMRECETLLRDNLKKAITENNEERPLKVPEELAEIEHVGNMYLNLTHSALQTLEEIRSGSSTVSVFSLPPLHRTDMDEVWKKSQVVEQAAK >itb08g05240.t1 pep chromosome:ASM357664v1:8:4285246:4286244:1 gene:itb08g05240 transcript:itb08g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAVSPYSGGSRSKAEILARYRPIAPKPEAPTSPSDDNNALPESIRKSAFLRNVWPHLQARPTRTRKRGRSAFALPPLKRARAYFHGFAPAAAAPPPPPYHQVLNPYPTGFPQIPMIHPNLAPVKRGIIESPAAEPPPPGGRVRGIDLNREAAAEAPEEFEFMPPQLQTVIITPHPVRLIGSTVFVGCIIHSDLPAPAPASLKTAEDVESEAEAVSLPVLVSDVNNKVRLANSAYKELVGQPECRWLDRLPPFHSPCKRICGEVAIQFSDSCNLPVSSNRFTCWVRMEWSINGKKTTIGAFCDAVKLACQSKDYLFQWRFHTSDHSSKSAC >itb13g20360.t1 pep chromosome:ASM357664v1:13:27173761:27175211:1 gene:itb13g20360 transcript:itb13g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISSSSAASQFRPHHSPWLHNTLAEVDAKLKTIMKLVEDDGETFAKRAEMYYQNKPQLLDLVQDLHKSYTVLAENHDHHLSTHHHHRVQNSDTVVVLLETAAADKEPPSDSPPILKNPEEDYSIVKAASPDSDDGGEAEEAASFWDAMKVVRDNESVLASFLRKYEEQRAEIGRLKSEIRVTRRLLDVERAEKQQGRGKGGSKFKKAFRRLLC >itb09g06640.t1 pep chromosome:ASM357664v1:9:3811791:3816356:-1 gene:itb09g06640 transcript:itb09g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEMEVEAAEQHQLNTKTESGEVSDNQSDEASYSWPVINYDLSPYRTHHFFNQFRTTSNPNNFFKGVKWSPDGSCFLTCSDDNTLRVFTLPYDEGGYLVDNSSAAEETDSYSASVVVSEGESVYDYCWYPYMSSSSPDTCVFASSTRDHPIHLWDTYSGQLCCTYRAYDAMDEITAAFSIAFNPSGTKIFAGYNKSLRVFDIHRPGRDFGHHSTLQGNKEGQSGIISSIAFSPSKNGLLATGSYSQTTALYREDNMELLYVLHGQEGGVTQVQFSKDGNFLYTGGRKDPYILCWDIRNTVDIVYKLYRSSESTNQRIHFDVEPHGHHLGTGGQDGSVHIYNLQTGQWVSSFQAASDTVNGFSYHPFLPMAATSSGHRRFVGLDDSYEDMVLSGNENCLSVWSFYSSTANTDSADQDIQPEDSKVTNGQPELENLHDQP >itb05g25200.t1 pep chromosome:ASM357664v1:5:29723962:29726768:-1 gene:itb05g25200 transcript:itb05g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTDIGKKARDLLYRDYQTDHKFTITTYSPTGVAITSTGTKKGDLFFGDVNTQLKNKNITTDIKVDTNSNVFTTITVDEAAPGLKIISSFKVPDQRSGKVELQYLHDHAGISTSVGLTANPIVNFSGVVGTNALALGTDVSFDTKTGAFTKYNAGLSFTNSDLIAALTLNDKGDSLSASYYHHVNPLSNTAVGAEVTHSFSSNVNTITVGTQHQLDPLTTVKARVNNYGRANALIQHEWRPKSLITLSGEVDVKAVDKSAKFGLALALKP >itb11g10210.t1 pep chromosome:ASM357664v1:11:6939786:6947317:1 gene:itb11g10210 transcript:itb11g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSQNVSTRDYDEGDEAVGESPSPSTGGSTFDQIDEVEHVSPIAEGNEGPLRPSASTKGISSSGWGKSPRAVVSKMFPHLSPCAVEEIGASFARKGVPRKLQAPGRALSPEASSRPRTLSSGRSEFIVAEPPPVINFARPCVVWDPWLIVAQIVCLQCLYYLTLGIFMAGLVGTRVSRISLVYFFDYASVTVSTNTGWCVITSFILSSIAGAGYLVYVIERAKKCLDFASTLYVIHLFTSLVYGGWPSSLTWWVVNVTGFAVMALLGEYLCIKRELREIPISRYRSSV >itb13g21200.t1 pep chromosome:ASM357664v1:13:27826463:27829828:1 gene:itb13g21200 transcript:itb13g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASIARRSRNLYYNSSKYYAFSLSRGFASGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEATHSFANHGVKFSSVEVDLPAMMAQKDKAVSNLTKGIEGLFKKNKVNYVKGYGKFLSPSEITVDTIDGGETVVKGKNIIIATGSDVKGLPGMTIDEERIVSSTGALALKEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDSEVRRQFQRTLEKQKMKFMLKTKVVSVDSSGDGVKLTLEPAAGGDQTILEADVVLVSAGRTPFTAGLQLDKIGVETDKIGRILVNERFATSVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDMVPGVVYTHPEVASVGKTEEQVKALGVSYRVGKFPFMANSRAKAIDDAEGLVKILAEKETDKILGVHIMAPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >itb15g19030.t1 pep chromosome:ASM357664v1:15:21010646:21017734:1 gene:itb15g19030 transcript:itb15g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MSMEESSKMRPTKKTSVIKRQWTKAEDAALIECLIDLSNDRSTKGDNGFKSGYLQQLEKMLQVKLPGSNIKATPHIESRYKLWRRQFLAIQEMLNKGSGFGWNDSEKCVTATKDVFEEWVKSHPTAAGLRNKPFPYLDELMAVWGNDHASGAGAETPADAVEELDQRGDNVDDFQVDWEIGEDEGLGQNEAEHNVDKADLSSCPSTNTANVKKQLTGKKRSRSDDGFNDLVAEIHDYVVLYDKKSDRFSRYFPSETKNMSTWSALRRSTPPLRRLSTFPHSQLCRFSTSPPAQSPPPPSLTATSNLCNNPNGDDSSAFLRWVSGIITTSVLSLAVYSYSSPSVSFADWATPAPATVTAVPSSSVDHCRPKFLFGDAFRRKVFFNYERRIRMRSPPEKVFEYFASFHGHDGEIFMTPADLMRAVVPVFPPSESNLVREGYLRGERDPGELQCAPSEFFMLFDTNSDGLISFKEYIFFVTLLSIPESSFSVAFKMFDRDCNGEIDLAEFKKVMGLMQSHNRQGAHHSDGLRAGHSLGGQVENGGLLEYLFGEDGKQRLQHDKFVQFLRDLHDEIIRLEFAHYDYKLRRTISAKDFALSMVASADLKHLGKLLRQVDHLDNNPQLRNIRISFDEFKSFAELRKRLLPFSLALFSYGEVNGLLTRSDFKRAASQVCGVNLTDNVIEIIFHVFDDNQDGSLSSDEFIRVLMKRETDVGHPTEAGVFNFINCCWNCSRNYRDKLLSMLTSD >itb15g19030.t2 pep chromosome:ASM357664v1:15:21010646:21015961:1 gene:itb15g19030 transcript:itb15g19030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium uptake protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32060) UniProtKB/Swiss-Prot;Acc:Q9SZ45] MSMEESSKMRPTKKTSVIKRQWTKAEDAALIECLIDLSNDRSTKGDNGFKSGYLQQLEKMLQVKLPGSNIKATPHIESRYKLWRRQFLAIQEMLNKGSGFGWNDSEKCVTATKDVFEEWVKSHPTAAGLRNKPFPYLDELMAVWGNDHASGAGAETPADAVEELDQRGDNVDDFQVDWEIGEDEGLGQNEAEHNVDKADLSSCPSTNTANVKKQLTGKKRSRSDDGFNDLVAEIHDYVVLYDKKSDRFSRYFPSETKNMSTWSALRRSTPPLRRLSTFPHSQLCRFSTSPPAQSPPPPSLTATSNLCNNPNGDDSSAFLRWVSGIITTSVLSLAVYSYSSPSVSFADWATPAPATVTAVPSSSVDHCRPKFLFGDAFRRKVFFNYERRIRMRSPPEKVFEYFASFHGHDGEIFMTPADLMRAVVPVFPPSESNLVREGYLRGERDPGELQCAPSEFFMLFDTNSDGLISFKEYIFFVTLLSIPESSFSVAFKMFDRDCNGEIDLAEFKKVMGLMQSHNRQGAHHSDGLRAGHSLGGQVENGGLLEYLFGEDGKQRLQHDKFVQFLRDLHDEVWPFSPSRNTLPNCVVIFMLR >itb14g17520.t2 pep chromosome:ASM357664v1:14:20753864:20755234:1 gene:itb14g17520 transcript:itb14g17520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNVYIVFYSLYGHVETMAREIQKGANSVDGVKATLWQVPETLPDRVLEKMKSHPKADDVPVISPEQLLEADGFLFGSPSRFGVMAAQMKAFFDATHPLWATQALAGKPAGIFWSTGFHGGGQELTASVFFLCFLPFNFLPTQCKLFYRPESKYTIYIPK >itb14g17520.t1 pep chromosome:ASM357664v1:14:20753818:20755703:1 gene:itb14g17520 transcript:itb14g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNVYIVFYSLYGHVETMAREIQKGANSVDGVKATLWQVPETLPDRVLEKMKSHPKADDVPVISPEQLLEADGFLFGSPSRFGVMAAQMKAFFDATHPLWATQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMIFVPVGYTFGSGMFEMNEVRGGSSYGAGTYAADGSRQPTQLELQQAFHQGKYTAEITKKLKTKT >itb01g20300.t1 pep chromosome:ASM357664v1:1:26553301:26556199:1 gene:itb01g20300 transcript:itb01g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNINFFPFFPPAPPHTSPSPPQIPPFPPKVAPPFQPNVPPPRVAPPRPPVNPPSPPKVAPPRPPVNPPSPPKVAPPRPPVNPPSPPKIYSNYFNYLPLQMASNQNINFFPFFPPAPPHTSPSPPQIPPFPPKVAPPFQPNVPPPRVAPPRPPVNPPSPPKVAPPRPPVNPPSPPKVAPPRPPVNPPSPPKVAPPRPPVNPPSPPKVAPPRPPVNPPSPPKVAPPRPPVRPPPAPRPPSPTIPPPSPPRPKPINPPPPHIRPPPSPAVKPPPPYIPPPPPPGHHSHVIIIVFVSLGGLFFLAFLSVALCCFIKKRKKRMIQESDVVKVDEHMTVHEAIVPGPHGTKTTFLTIDEDIHIDEEIRKSEKVGHSSIMKAVQKHPQALVMDTTASTSGSNHKPSHHNQ >itb11g02730.t3 pep chromosome:ASM357664v1:11:1402318:1405266:1 gene:itb11g02730 transcript:itb11g02730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGFVVMSAKLEGVDLNSEGVSVEAKNVITDPLGNKTPTENLCDQACGASGEGSRVVGNTEASDIELGLKVDNKEGQTDCVRIASGSFVEESCSKASVDLGVDDDVEHVHRLGEEGILDSATDRTGICHDMDLDTQQNSEDKVVSMNGIGNKGTESRDCLDDENATVAVNCMSDEATYIEEAGLNGDPQMVSEGKLMDMEPEDADGKDVKYAASNIEGCVQGSRTEDEGEYSVNDLVWGKVSGHPWWPGQIFESSAASRKAMKYFKKDTHLIAYFGDHTFAWNDVSRIKPFRMYFNQMEKQCYTDAFCHALDCVLDEVSRRVEFGLSCRCVPKEVQVKFKTQNVVNRGIQKESCQRDGGDNLSTASSFSPAVLIQHLKSLATSQCDGVDRLGFVVARAQLLAFNRWNCYDKLSALGECDGLLENDADIQVLQDREEEVPGWTEDDGVPSGSDKETATKSTSVKRRRHPEDTDHMEKKVKLISGSGKNSKKKTDRKVNILSSDNKHKASNLASSDVKMKRTKTSKPPETGNNQSILPKKLLGIGERIQRAAIQLGGSPPVLKSLAEFPPVQDMLLKLSLAAKDPMNGYSMLVPFSRFFCDFRNSTLENAELHDPEEQALSEDPEDEKNTSIGQLDVVVAANPNTENQEKDSMVIESESEDPSGHVDVKEKGSEVIESVSDDPSGHVDVKEKGSEDVEPESDDPSGQLDVKEKDGVNMESESDDPSGLVDGKDEESNPTALILNFQNPEAVPLGADLNKIFSRFGPLDESKTEGLGKTKCAKVVFKRQSDAEKAFSSPGKYSTFGPSLISYRLHYTPTPPDASRV >itb11g02730.t2 pep chromosome:ASM357664v1:11:1401871:1405266:1 gene:itb11g02730 transcript:itb11g02730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLEGVDLNSEGVSVEAKNVITDPLGNKTPTENLCDQACGASGEGSRVVGNTEASDIELGLKVDNKEGQTDCVRIASGSFVEESCSKASVDLGVDDDVEHVHRLGEEGILDSATDRTGICHDMDLDTQQNSEDKVVSMNGIGNKGTESRDCLDDENATVAVNCMSDEATYIEEAGLNGDPQMVSEGKLMDMEPEDADGKDVKYAASNIEGCVQGSRTEDEGEYSVNDLVWGKVSGHPWWPGQIFESSAASRKAMKYFKKDTHLIAYFGDHTFAWNDVSRIKPFRMYFNQMEKQCYTDAFCHALDCVLDEVSRRVEFGLSCRCVPKEVQVKFKTQNVVNRGIQKESCQRDGGDNLSTASSFSPAVLIQHLKSLATSQCDGVDRLGFVVARAQLLAFNRWNCYDKLSALGECDGLLENDADIQVLQDREEEVPGWTEDDGVPSGSDKETATKSTSVKRRRHPEDTDHMEKKVKLISGSGKNSKKKTDRKVNILSSDNKHKASNLASSDVKMKRTKTSKPPETGNNQSILPKKLLGIGERIQRAAIQLGGSPPVLKSLAEFPPVQDMLLKLSLAAKDPMNGYSMLVPFSRFFCDFRNSTLENAELHDPEEQALSEDPEDEKNTSIGQLDVVVAANPNTENQEKDSMVIESESEDPSGHVDVKEKGSEVIESVSDDPSGHVDVKEKGSEDVEPESDDPSGQLDVKEKDGVNMESESDDPSGLVDGKDEESNPTALILNFQNPEAVPLGADLNKIFSRFGPLDESKTEGLGKTKCAKVVFKRQSDAEKAFSSPGKYSTFGPSLISYRLHYTPTPPDASRV >itb11g02730.t1 pep chromosome:ASM357664v1:11:1401871:1405266:1 gene:itb11g02730 transcript:itb11g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLEGVDLNSEGVSVEAKNVITDPLGNKTPTENLCDQACGASGEGSRVVGNTEASDIELGLKVDNKEGQTDCVRIASGSFVEESCSKASVDLGVDDDVEHVHRLGEEGILDSATDRTGICHDMDLDTQQNSEDKVVSMNGIGNKGTESRDCLDDENATVAVNCMSDEATYIEEAGLNGDPQMVSEGKLMDMEPEDADGKDVKYAASNIEGCVQGSRTEDEGEYSVNDLVWGKVSGHPWWPGQIFESSAASRKAMKYFKKDTHLIAYFGDHTFAWNDVSRIKPFRMYFNQMEKQCYTDAFCHALDCVLDEVSRRVEFGLSCRCVPKEVQVKFKTQNVVNRGIQKESCQRDGGDNLSTASSFSPAVLIQHLKSLATSQCDGVDRLGFVVARAQLLAFNRWNCYDKLSALGECDGLLENDADIQVLQDREEEVPGWTEDDGVPSGSDKETATKSTSVKRRRHPEDTDHMEKKVKLISGSGKNSKKKTDRKVNILSSDNKHKASNLASSDVKMKRTKTSKPPETGNNQSILPKKLLGIGERIQRAAIQLGGSPPVLKSLAEFPPVQDMLLKLSLAAKDPMNGYSMLVPFSRFFCDFRNSTLENAELHDPEEQALSEDPEDEKNTSIGQLDVVVAANPNTENQEKDSMVIESESEDPSGHVDVKEKGSEVIESVSDDPSGHVDVKEKGSEDVEPESDDPSGQLDVKEKDGVNMESESDDPSGLVDGKDEESNPTALILNFQNPEAVPLGADLNKIFSRFGPLDESKTEGLGKTKCAKVVFKRQSDAEKAFSSPGKYSTFGPSLISYRLHYTPTPPDASRV >itb04g28140.t1 pep chromosome:ASM357664v1:4:31969149:31972580:-1 gene:itb04g28140 transcript:itb04g28140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGFGFSGFSSINGGFGRLSNDKGFGFSNYNVNSSSIMEFPSSLPRVSFSDQNQPMKSSLPRVSASDQEQPRTSFLPRFSLSDQEQPGSSSSSSSDDDDDEQETPSPEKKKRRRRRRRKLEWHPVKSEAEFCPDAIAEYLNTPLSKRRKSVKLSLRVKKHLLYIGWEVRFGHTQTGARMCYISPDGKTYMSLTQVCSDITDSLPAIEPPLMANPQECKELAVYVPPPQADSQAISDYISFCDRKISSDSREKTEAKVKSKEHLLSSGWEFFYAHKKNKRELRYKAPCGKVFYSLLTACKWFAEANGDYLPREDSESRGVTDQSETDNVEVLGTVSQMGKPGFLESRSSSITAQDVEDSDQSGMLVKDSHQSRMLVKESDQSRMLVKDSEESRMLVKDSDQSRMLVKDSDLPIKQRLSFSTKRNILSGLIDSNVVLPWAKVQYRKKDGCVLKDGMITREGILCNCCQQVYGLSNFEAHANSTYHRPSSYIFLEDGRSLFDCQMEMKSKSDVKVPKTKGCNKQRSPKLPTIHDDICSICLDYGELLLCDGCPSSFHASCLGLKIMLGVSKATVSLNWTAFLKGNGSATTDVSRYFKSPFLIFLGLRRVLGKRVPLGYNDLSWTLLKATKQNDSKENHGRLRAALGVMHECFASLEESGTNRDLVEDIIFSQWSDLNRLNFQGFYTAILERNDEIVTVATLRVHGEKVAEIPLIATLFKHRKLGMCRALMNQLEKVCTFNSVLPSFPLPFFSRFDCHEDLLLLVVQKLVELGVQRLVLPALPDAMNTWTGSFGFSVMSKTERQDFLDNTFLNFNGTIMCQKPLLLQSQSLRAEEDDVDGNNIPPASEAFLPDEEIELSGLFYLQQQGGFFSDFDEVKGDI >itb09g03350.t2 pep chromosome:ASM357664v1:9:1878690:1882619:-1 gene:itb09g03350 transcript:itb09g03350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNWFRFVPSRLQLRRYCSSDAFDPPPFFPPRRVVVTGVGMVTPLGCGVKATWERLIQGECGVRAVTLDDLKMSGFDEEVQLRAFDQLTSKVAAIVPSGTSPGEFQEELWLNSKEHRSIARFIGYALCAADEALKDANWIPTTLDEKERTGVSIGAGTGSIVDILDASRMISEKNSRRISPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSVGDATRMIQFGDADVMVAGGTEASIDALSIAGFCRSRALTTKYNAEPQEASRPFDCDRDGFVIGEGSGILVLEELEHAKKRGAKIYAEVRGYGTSGDAYHITQPHLSGRGAILAMTRALKQSGLHPNQVGYINAHATSTPLGDAVEATAIKHVFSDHATSGALAFSSTKGAVGHLLGAAGAVEAIFAILALHHVRYICCNFLGAYNI >itb09g03350.t3 pep chromosome:ASM357664v1:9:1878358:1882615:-1 gene:itb09g03350 transcript:itb09g03350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNWFRFVPSRLQLRRYCSSDAFDPPPFFPPRRVVVTGVGMVTPLGCGVKATWERLIQGECGVRAVTLDDLKMSGFDEEVQLRAFDQLTSKVAAIVPSGTSPGEFQEELWLNSKEHRSIARFIGYALCAADEALKDANWIPTTLDEKERTGVSIGAGTGSIVDILDASRMISEKNSRRISPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSVGDATRMIQFGDADVMVAGGTEASIDALSIAGFCRSRALTTKYNAEPQEASRPFDCDRDGFVIGEGSGILVLEELEHAKKRGAKIYAEVRGYGTSGDAYHITQPHLSGRGAILAMTRALKQSGLHPNQVGYINAHATSTPLGDAVEATAIKHVFSDHATSGALAFSSTKGMAPLTLNLKKPDPIFTGDFTPLTASKQIDAKVVLSNSFGFGGTNATLLFASINN >itb09g03350.t1 pep chromosome:ASM357664v1:9:1878358:1882619:-1 gene:itb09g03350 transcript:itb09g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNWFRFVPSRLQLRRYCSSDAFDPPPFFPPRRVVVTGVGMVTPLGCGVKATWERLIQGECGVRAVTLDDLKMSGFDEEVQLRAFDQLTSKVAAIVPSGTSPGEFQEELWLNSKEHRSIARFIGYALCAADEALKDANWIPTTLDEKERTGVSIGAGTGSIVDILDASRMISEKNSRRISPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSVGDATRMIQFGDADVMVAGGTEASIDALSIAGFCRSRALTTKYNAEPQEASRPFDCDRDGFVIGEGSGILVLEELEHAKKRGAKIYAEVRGYGTSGDAYHITQPHLSGRGAILAMTRALKQSGLHPNQVGYINAHATSTPLGDAVEATAIKHVFSDHATSGALAFSSTKGAVGHLLGAAGAVEAIFAILALHHGMAPLTLNLKKPDPIFTGDFTPLTASKQIDAKVVLSNSFGFGGTNATLLFASINN >itb04g02430.t1 pep chromosome:ASM357664v1:4:1437697:1448254:1 gene:itb04g02430 transcript:itb04g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MAAKEEVARSMKMEAEKESTMATNSSALPEEVDEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVLHGRVSDLSSVHKIRSIMDKYQEQGQLVEPYLESIVSPLMSIIRSKTAELGATSDEILEVIKPLCIIIYCLVTVCGYKAVIKFFPHQVSDLELAVSLLEKCHNAHSETSLRQESTGEMEAKCVILLWLSILVLIPFDISSVDTSVTDSQCMSRDEPPPLALRIIECSKDYLSNAGPMRTIAGLLLSKLLTRPDMLKAFNSFIDWTHEVISSVSNSVTDHFRLLGTVEALSTTFKTGSAKLLLNVVPTVWKEATALVKSNDAVRSPLLRKYLVKLAQRIGLTCLPHRSQSWRYVGRKQTLGDHISQDLARIDKNNDAATTDPLELYQEEDMDVPEIVEEIIEVLLTGLRDTDTVVRWSAAKGIGRVTSRLTYALSDEVLSSVLELFSPSEGDGSWHGGCLALAELARRGLLLPISFSKVVPVVVKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYYHTDMKSVLEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFALSSRVNSYLHVSVSIAQYDGYLHPFVDELLHNKICHWDKSLRELAGNALSSLVKYDTEYFVEVILKKLMPCTLSTDLCMRHGATLALGEVILALHKCDYVLSSDLQKQVAGVVPAIEKARLYRGKGGEIMRSAVSRFIECTSMVHVHLTDRTKRSLLDTLNENLKHPNSQIQGAAVAALKSFIPAYLVATENKPLIDIPKKYLEQLTDPNVAARRGSALAVGILPSGFLAKGWKNVLSKLCRACEIEENPEDRDAEARVNAVKGLVSVCETLTHTKQISDFFSEEDCISLFLVIKNEVIQSLLRALDDYSVDNRGDVGSWVREAAIEGLEKCTYILCKRDSEHFPSKSDVLSLDSVSKMDEKDVLEIKEKLLFDENLATCIVGGIVKQAMEKMDKLRELSANTLQRILYNKEIFVPFIPYRERIEYVVPNNTDLKWGVPTFSFPRFIQLLGVSCYSKYVASGLVISIGGLQDSLRKASLGALMDYLQSAENQTDSIEQSLSKDIVWVLQMYKRCDRVTTPTLKTIEILFSKKVFLNMEAHTSVFCAAVLDSLRLELKGSKDFSKLNAGIAILGYIASLLEPISTQAFSQLIVFLNHRYPKIRKASAEQVYLVLLQNASLVAEDNIDKALEIISETCWEGDITEAKEKRIQLCAIVGIETGQISSANEGASRKVAEKGPKTDENESYSSLVESAGF >itb14g06530.t1 pep chromosome:ASM357664v1:14:5776600:5778296:1 gene:itb14g06530 transcript:itb14g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVRTSILSKHWHDIWLSYPNFELHFDFCMCDGHFMTRNGYRFLNFIENCVDQCLSRKESIENFSLSICFPDIQRLTAKLNGWLDFATERNVSKLTLRFGRSFDFIPHSQVLYSIPEEVLNAKTLVVLDLYRCNLEEGNVNLPCLAKLSLNKCLFADESLMQDIVNACPAVEQISIVRCIGVGHFLNISCKPHLKSFKVDQCKEFQKIGIDAPSLHQLSYSSSNKTCAIHMSTWSTVKQLELGRGMISQLEYFLPKFQCLEELRLSNGQAKKLVKISSSTLKRLVLQFVRTFPKSKIEAPNLQTLEFSPAKLSSKFKFASWNVPKLENIDMIFSVRYFNKAYKAGLGQFLMKLPSYGDLKMVMSDYEDFVMIEKLHAVSFSSLRNMVNRSDAKNILISSGETRLAQMLGDISSGETRLAQMLGDQEIKGATTIYLACSSPEAAEAFCKEIEYHPIIKDSYQLLQMVSPEVLEIKMTSALRRRLCRAFKHHAGLILHRKKVEDTSCFT >itb07g15180.t1 pep chromosome:ASM357664v1:7:18139433:18139910:-1 gene:itb07g15180 transcript:itb07g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRRIKTVSDGSRDLISELPGDVKDRILECLPTEDAARMTVLSTHWNDTWLQHGRLAFDSDFLHTLEQCYESYDDDDEGQLSD >itb03g19260.t1 pep chromosome:ASM357664v1:3:17379431:17385084:1 gene:itb03g19260 transcript:itb03g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDSLDEYLFSASRACCSPLAIFVQIQGYAICLLLALGWSLAAYVRRREIRTIKDRIKRGNSFAFLCKDINELEHSNQINLPRVSVVMPLKGFREHNLHNWRSQITSLFGGPLEFLFVVESTKDPAYSAVQKLLADYQDEVDAKIVVAGLSKTCSQKIHNQLFGVEKMHKDTKYVLFLDDDVRLHPGSIGALTVEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGYDFRIDRHGVVSQLRDGGYSDDMTLAAIAGANKRLITSPPVAVFPHPLATDLTFPRHVLELFKEANICLGVVHNKTGLRQYFKGFSPEESVSTFTGLSLAICLAICSIIELLSMGNLTRIEVKLCNLLSPEAPPLSLYTYNWCLVFMAMLVDNFLYPICAFRSYFSKSINWSGIRYHLRNGKISKIDRHKDIVPKYTDLGGKKLRWRGGPPKVSIISSLCSSLVCWRQRSAKEV >itb10g08550.t1 pep chromosome:ASM357664v1:10:10748724:10749969:-1 gene:itb10g08550 transcript:itb10g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPIAGPGTDREDELADAAKEARNLSEWFSKRGTLQAETVSACLSALSSPLLSRRRLFGSEPDRTAANDTSEISGGGNGSLARKIGVGILAAAYVGMILTILLVVAAVLGVAVVRVWVEEPVLLKERLNFDYTDAQPKAVFSFVHRGGGEYNRELGIFQVYSNPNSQFIKSIIFFLLPLSWRLGVPTAATKAVSEAAATNRRGPSSIDQRGRSGGVDRYALLLLGRGVTFGILTCSKVDDGDHIDRLISCLYMAIPFFERGASNSKFLNYLNKHNFPVFDKKAKDGGLDVIQTYVFWNGHEPSPGKVMP >itb01g12510.t1 pep chromosome:ASM357664v1:1:12033719:12034806:-1 gene:itb01g12510 transcript:itb01g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSQFLLLICTIFIFLVTSSRAGDAAQANSDTPNVVYSDQNAAASSSSTSLNVSPGPTSEAPNAVTVAGAGAGGDTTGLDVGSFKSVPTVKDTPAVNMFVQSTMQKSMTKTENFIDDVIEKRLADPGTDAETKDCLNTCKESYALSMNAMKKTMEDVNDGNLYKANVDVSAMASHADTCKECMRQVYGDDDPEFKKFEAWIDGVTDDCLNKITGLKNNQ >itb02g00910.t2 pep chromosome:ASM357664v1:2:510514:514769:-1 gene:itb02g00910 transcript:itb02g00910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSTLTSSFLPALPSPSKPVYGNIVIPLRISCNFRNSEAEEESNLSQNRLHLLNLSVTLTVISASLAKPSLAARVSERRRSGKKSEALTPQELEKWSRGLPTVSRRLPYTEILDLRKEGKLRHIIKPPSVGLKQRPEVVLAVLEDSRVVRIVLPSAETDPKFWAQWDELKIDGLCMNAYSPPVKNTDVTYPYLGFLGRIPGWMFSFVKPKPQSKKALELKRVREEIMKSGKEEIERTRKERERMENAIKMQKKMEEKKNKRKLQEAKYKASLRQAAENSEKMSMIWYELATDSNVTTALGLVFFYIFYRTVVLNYRKQKRDYEDRLKIQQAEAEEKKKIRELEREMEGIEGDAGDDEEGQKSEENEYMKMAMQFMKSGARVRRARSKRLPQYLETGVDVKFSDVAGLGKIRLELEEIVKFFTHGDMYRRRGIRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIYIPKPGLIGRVEILKVHARKKPMDPDVDYMAVASMTDGMVGAELANIIEVAAISMMRDGRKEITTDDLLQAAQIEERGMLERKERSPEMWKQVAVNQAATAVVAANFPDLKNVEFVMFLL >itb02g00910.t1 pep chromosome:ASM357664v1:2:508970:514769:-1 gene:itb02g00910 transcript:itb02g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSTLTSSFLPALPSPSKPVYGNIVIPLRISCNFRNSEAEEESNLSQNRLHLLNLSVTLTVISASLAKPSLAARVSERRRSGKKSEALTPQELEKWSRGLPTVSRRLPYTEILDLRKEGKLRHIIKPPSVGLKQRPEVVLAVLEDSRVVRIVLPSAETDPKFWAQWDELKIDGLCMNAYSPPVKNTDVTYPYLGFLGRIPGWMFSFVKPKPQSKKALELKRVREEIMKSGKEEIERTRKERERMENAIKMQKKMEEKKNKRKLQEAKYKASLRQAAENSEKMSMIWYELATDSNVTTALGLVFFYIFYRTVVLNYRKQKRDYEDRLKIQQAEAEEKKKIRELEREMEGIEGDAGDDEEGQKSEENEYMKMAMQFMKSGARVRRARSKRLPQYLETGVDVKFSDVAGLGKIRLELEEIVKFFTHGDMYRRRGIRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIYIPKPGLIGRVEILKVHARKKPMDPDVDYMAVASMTDGMVGAELANIIEVAAISMMRDGRKEITTDDLLQAAQIEERGMLERKERSPEMWKQVAVNQAATAVVAANFPDLKNVEFITIAPRAGTELGYVRMKMDHVKFQEGLLSRQSLLDHITVELAPRAADELWYGEGNLSTICAESADVARSAARTFVLGGLSDKNYGLSNFWTSDQINGIDLEALQILNMCYDRAKEILQQNQKLMDAVVDELIMKKSLSKKEFLNLVEMHGSLVPMPTSIVDLRLAKRLELQHILTEQK >itb08g03690.t1 pep chromosome:ASM357664v1:8:3007922:3011992:1 gene:itb08g03690 transcript:itb08g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVVGLWCLILELILNPTQFCDAGVTSSYVRKSLSALPNAEDVDMPWDSDVFAVPSGYNAPQQVHITQGDYEGRGVIISWTTPYDKAGANTVYYWADNSKVQKRAMGTVVTYKYYNYTSAFIHHCTIKDLEYDTKYYYRLGFGDAKRQFWFVTPPKPGPDVPYVFGLIGDIGQTYDSNTTLTHYEQNSAKGQTVLFMGDLSYADRWPNHDNNRWDTWGRFSERSVAYQPWIWTAGNHEIDYAPDIGEYQPFVPFTNRYPTPHEASGSGDPLWYAIKRASAHIIVLSSYSGFVKYSPQYKWFTSELEKVNRSETPWLIVLVHAPLYNSYEAHYMEGEAMRAIFEPYFVYYKVDIVFSGHVHSYERSERVSNVAYNIVNAKCTPVSDESAPVYITIGDGGNSEGLASEMTQPQPSYSAFREASFGHGIFDIKNRTHAHFSWHRNQDGASVEADSLWLLNSSVKN >itb08g03690.t2 pep chromosome:ASM357664v1:8:3007922:3011992:1 gene:itb08g03690 transcript:itb08g03690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVVGLWCLILELILNPTQFCDAGVTSSYVRKSLSALPNAEDVDMPWDSDVFAVPSGYNAPQQVHITQGDYEGRGVIISWTTPYDKAGANTVYYWADNSKVQKRAMGTVVTYKYYNYTSAFIHHCTIKDLEYDTKYYYRLGFGDAKRQFWFVTPPKPGPDVPYVFGLIGDIGQTYDSNTTLTHYEQNSAKGQTVLFMGDLSYADRWPNHDNNRWDTWGRFSERSVAYQPWIWTAGNHEIDYAPDIGEYQPFVPFTNRYPTPHEASGSGDPLWYAIKRASAHIIVLSSYSGFVKYSPQYKWFTSELEKVNRSETPWLIVLVHAPLYNSYEAHYMEGEAMRAIFEPYFVYYKVDIVFSGHVHSYERSERVSNVAYNIVNAKCTPVSDESAPVYITIGDGGNSEGLASEMTQPQPSYSAFREASFGHGIFDIKNRTHAHFSWHRNQDGASVEADSLWLLNRYWASEDASSVSAM >itb15g02380.t1 pep chromosome:ASM357664v1:15:1441612:1451578:-1 gene:itb15g02380 transcript:itb15g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSASMAQCCDCECSCTVMDRSISGKWLRSVKRKYDEYEDSVFSVPGLVMPLTARIEIGNECAALREMVSGQQKSIQDLSMELDAERSASSSAANETMQMILRLEREKAEIHMEFTQFKRFIEEKSAHDQQEVLSLEDLLYKRDQAIQALTCEVQMYKHRMMSLGFTESEVEVELEKERGRLSSNNSFSENSNGQSDVPQYEYPPLKCLNENQIYLLADKEDVDVEKYAFGETPRTHDHFLDLDNRINQLEKSPKISQLERSPRISHPDGDFYKNNALEKVIVGHSPRRPMHLRKFSTDSAGSLIITNKEMSYDFVTESPKFGGSFKKEGSLKDELSNLRKMDNASEVEDCMSDRVYTIDPIHLGAPYNGMTDRKASVGICEDYMTTPRDSLIYTNTEDVDIKKLYARLHALEADRESMRQALISMSTDKSQLVLLKEIAQQLAKDMSQPERTIVRKKRSTTGSFSVVSIFKWMISFVSWRKKSRRCKYMFGLSGSSAGLLVLFDKGPRVGQWGCISSTQGFRTGEELCRVLFPPFSPANSIALSSLVSQSMPASKTILFRSHIRKRKNCHKKKKTASKKPAFDDCRKQESESESEDDGFSLKGSAPSHSHGVQPLGNLYYSSSPHNSRNTGLGNLQTLTDELILDILALLEGPHLGVLSTVSKAFYVFCNHEPLWRNLVLEGEGFCFTGSWKSTFVDGYMPFFGFLSSGLKVRDFYSDYLFQSWLCANLEMKHEWLERDNIVRRKGISVDEFVLNFEEPNKPVLLEGCLDNWGALKKWDRDYLVELCGDVEFAVGPVEMTLEEYFRYSDQAKEERPLYLFDPKFAEKVPQLGLDYDVPEYFNEDLFSVLGKERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWVLFPPDVVPPGVHPSPDGADVASPVSIIEWFMNFYAATKNWKKKPVECVCNAGEVIFVPNGWWHLVINLEDSIAITQNFVSSRNLLNVLDFLKRPNACTLVSGTDDRVNLHDKFKNAIEASLPGTIDHLTLKAEEKKAQSKKPSFWESVTDSKAAPKQPSNGFLQSVTGAVWRQPDQDLPDGDSNNELSQVADSSGEGGKSNKSGHIRRVSSVGLQIESVLGRKTGNIKDTYIIGKKLGQGQFGTTYLCIEKASRKEFACKTIAKRKLTSEEDVEDVRREIQIMHHLAGHPNVIQIVGAYEDNVAVHVVMELCAGGELFDRIIQRGHYTEKKAAELARVIVGVVQACHSLGVMHRDFKPENFLFIDDEEESPLKTIDFGLSVFFKPGEAFVDVVGSPFYVAPEVLQKMYGQACDIWSAGVIIYILLCGVPPFWDETEQGIFEQVLKGELDFVSEPWPSISDSAKDLVKKMLARDPKKRLTANEVLCHPWVRVGGVAPDKPIDSAVISRLKQFSAMNKLKKIAIRVIAENLSEEEIAGLKQMFKTIDSDNSGHITMDELQNGLEKAGANLKESEIYSLMQAADIDNSGTIDYGEFVAAMLHVNKVQKEDHLVAAFSYFDKDGSGYISKDELQQACATFGLEDVPLDEIIGEVDQDNDGQIDYNEFVLMMKDTDFSMKGLQKSISSALSSCKT >itb15g02380.t3 pep chromosome:ASM357664v1:15:1442268:1451578:-1 gene:itb15g02380 transcript:itb15g02380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSASMAQCCDCECSCTVMDRSISGKWLRSVKRKYDEYEDSVFSVPGLVMPLTARIEIGNECAALREMVSGQQKSIQDLSMELDAERSASSSAANETMQMILRLEREKAEIHMEFTQFKRFIEEKSAHDQQEVLSLEDLLYKRDQAIQALTCEVQMYKHRMMSLGFTESEVEVELEKERGRLSSNNSFSENSNGQSDVPQYEYPPLKCLNENQIYLLADKEDVDVEKYAFGETPRTHDHFLDLDNRINQLEKSPKISQLERSPRISHPDGDFYKNNALEKVIVGHSPRRPMHLRKFSTDSAGSLIITNKEMSYDFVTESPKFGGSFKKEGSLKDELSNLRKMDNASEVEDCMSDRVYTIDPIHLGAPYNGMTDRKASVGICEDYMTTPRDSLIYTNTEDVDIKKLYARLHALEADRESMRQALISMSTDKSQLVLLKEIAQQLAKDMSQPERTIVRKKRSTTGSFSVVSIFKWMISFVSWRKKSRRCKYMFGLSGSSAGLLVLFDKGPRVGQWGCISSTQGFRTGEELCRVLFPPFSPANSIALSSLVSQSMPASKTILFRSHIRKRKNCHKKKKTASKKPAFDDCRKQESESESEDDGFSLKGSAPSHSHGVQPLGNLYYSSSPHNSRNTGLGNLQTLTDELILDILALLEGPHLGVLSTVSKAFYVFCNHEPLWRNLVLEGEGFCFTGSWKSTFVDGYMPFFGFLSSGLKVRDFYSDYLFQSWLCANLEMKHEWLERDNIVRRKGISVDEFVLNFEEPNKPVLLEGCLDNWGALKKWDRDYLVELCGDVEFAVGPVEMTLEEYFRYSDQAKEERPLYLFDPKFAEKVPQLGLDYDVPEYFNEDLFSVLGKERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWVLFPPDVVPPGVHPSPDGADVASPVSIIEWFMNFYAATKNWKKKPVECVCNAGEVIFVPNGWWHLVINLEDSIAITQNFVSSRNLLNVLDFLKRPNACTLVSGTDDRVNLHDKFKNAIEASLPGTIDHLTLKAEEKKAQSKKPSFWESVTDSKAAPKQPSNGFLQSVTGAVWRQPDQDLPDGDSNNELSQVADSSGEGGKSNKSGHIRRVSSVGLQIESVLGRKTGNIKDTYIIGKKLGQGQFGTTYLCIEKASRKEFACKTIAKRKLTSEEDVEDVRREIQIMHHLAGHPNVIQIVGAYEDNVAVHVVMELCAGGELFDRIIQRGHYTEKKAAELARVIVGVVQACHSLGVMHRDFKPENFLFIDDEEESPLKTIDFGLSVFFKPGEAFVDVVGSPFYVAPEVLQKMYGQACDIWSAGVIIYILLCGVPPFWDETEQGIFEQVLKGELDFVSEPWPSISDSAKDLVKKMLARDPKKRLTANEVLCHPWVRVGGVAPDKPIDSAVISRLKQFSAMNKLKKIAIRVCSLNIFRYLTTENIRLLVGYLQ >itb15g02380.t2 pep chromosome:ASM357664v1:15:1442268:1451578:-1 gene:itb15g02380 transcript:itb15g02380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSASMAQCCDCECSCTVMDRSISGKWLRSVKRKYDEYEDSVFSVPGLVMPLTARIEIGNECAALREMVSGQQKSIQDLSMELDAERSASSSAANETMQMILRLEREKAEIHMEFTQFKRFIEEKSAHDQQEVLSLEDLLYKRDQAIQALTCEVQMYKHRMMSLGFTESEVEVELEKERGRLSSNNSFSENSNGQSDVPQYEYPPLKCLNENQIYLLADKEDVDVEKYAFGETPRTHDHFLDLDNRINQLEKSPKISQLERSPRISHPDGDFYKNNALEKVIVGHSPRRPMHLRKFSTDSAGSLIITNKEMSYDFVTESPKFGGSFKKEGSLKDELSNLRKMDNASEVEDCMSDRVYTIDPIHLGAPYNGMTDRKASVGICEDYMTTPRDSLIYTNTEDVDIKKLYARLHALEADRESMRQALISMSTDKSQLVLLKEIAQQLAKDMSQPERTIVRKKRSTTGSFSVVSIFKWMISFVSWRKKSRRCKYMFGLSGSSAGLLVLFDKGPRVGQWGCISSTQGFRTGEELCRVLFPPFSPANSIALSSLVSQSMPASKTILFRSHIRKRKNCHKKKKTASKKPAFDDCRKQESESESEDDGFSLKGSAPSHSHGVQPLGNLYYSSSPHNSRNTGLGNLQTLTDELILDILALLEGPHLGVLSTVSKAFYVFCNHEPLWRNLVLEGEGFCFTGSWKSTFVDGYMPFFGFLSSGLKVRDFYSDYLFQSWLCANLEMKHEWLERDNIVRRKGISVDEFVLNFEEPNKPVLLEGCLDNWGALKKWDRDYLVELCGDVEFAVGPVEMTLEEYFRYSDQAKEERPLYLFDPKFAEKVPQLGLDYDVPEYFNEDLFSVLGKERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWVLFPPDVVPPGVHPSPDGADVASPVSIIEWFMNFYAATKNWKKKPVECVCNAGEVIFVPNGWWHLVINLEDSIAITQNFVSSRNLLNVLDFLKRPNACTLVSGTDDRVNLHDKFKNAIEASLPGTIDHLTLKAEEKKAQSKKPSFWESVTDSKAAPKQPSNGFLQSVTGAVWRQPDQDLPDGDSNNELSQVADSSGEGGKSNKSGHIRRVSSVGLQIESVLGRKTGNIKDTYIIGKKLGQGQFGTTYLCIEKASRKEFACKTIAKRKLTSEEDVEDVRREIQIMHHLAGHPNVIQIVGAYEDNVAVHVVMELCAGGELFDRIIQRGHYTEKKAAELARVIVGVVQACHSLGVMHRDFKPENFLFIDDEEESPLKTIDFGLSVFFKPGEAFVDVVGSPFYVAPEVLQKMYGQACDIWSAGVIIYILLCGVPPFWDETEQGIFEQVLKGELDFVSEPWPSISDSAKDLVKKMLARDPKKRLTANEVLCHPWVRVGGVAPDKPIDSAVISRLKQFSAMNKLKKIAIRVIAENLSEEEIAGLKQMFKTIDSDNSGHITMDELQNGLEKAGANLKESEIYSLMQAVITN >itb03g08180.t4 pep chromosome:ASM357664v1:3:6150406:6154714:1 gene:itb03g08180 transcript:itb03g08180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSYDIYSKRGIGYCDLWQSYKKNSRGLEIFSKSWFPGTSPAKALICICHGYGDTCTFFIEGIARKLASSGYAVSAMDYPGFGLSEGLHCYIPSFDNLVDDVIEHYSKVKENPELRGLPSFLFGQSMGGAVALKVHLKQPDAWNGAVLVAPMCKIADDMAPPWLLTQILIVVAKFLPKQKLVPQKDLAELAFKDTKKREQANYNVIGYRHKPRLGTALELLKTTQEIERLLEKVSLPLLILHGKNDVVTDPSVSKALYEKASSHDKKLNLYAEAWHALLEGEPDEMIQRVLGDIISWLDDHSAH >itb03g08180.t1 pep chromosome:ASM357664v1:3:6150339:6154714:1 gene:itb03g08180 transcript:itb03g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFRHYFITHNTSSGVLRKRFDFYTYYLGFEGTRRRVVCSAGVRAVMGKPPKFPGVSEEVQKLLDADMDSVDARRRAREAFKDVQLSIDHCLFKVPHAELKMEESYKKNSRGLEIFSKSWFPGTSPAKALICICHGYGDTCTFFIEGIARKLASSGYAVSAMDYPGFGLSEGLHCYIPSFDNLVDDVIEHYSKVKENPELRGLPSFLFGQSMGGAVALKVHLKQPDAWNGAVLVAPMCKIADDMAPPWLLTQILIVVAKFLPKQKLVPQKDLAELAFKDTKKREQANYNVIGYRHKPRLGTALELLKTTQEIERLLEKVSLPLLILHGKNDVVTDPSVSKALYEKASSHDKKLNLYAEAWHALLEGEPDEMIQRVLGDIISWLDDHSAH >itb03g08180.t2 pep chromosome:ASM357664v1:3:6150339:6154714:1 gene:itb03g08180 transcript:itb03g08180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPKFPGVSEEVQKLLDADMDSVDARRRAREAFKDVQLSIDHCLFKVPHAELKMEESYKKNSRGLEIFSKSWFPGTSPAKALICICHGYGDTCTFFIEGIARKLASSGYAVSAMDYPGFGLSEGLHCYIPSFDNLVDDVIEHYSKVKENPELRGLPSFLFGQSMGGAVALKVHLKQPDAWNGAVLVAPMCKIADDMAPPWLLTQILIVVAKFLPKQKLVPQKDLAELAFKDTKKREQANYNVIGYRHKPRLGTALELLKTTQEIERLLEKVSLPLLILHGKNDVVTDPSVSKALYEKASSHDKKLNLYAEAWHALLEGEPDEMIQRVLGDIISWLDDHSAH >itb03g08180.t3 pep chromosome:ASM357664v1:3:6150406:6154714:1 gene:itb03g08180 transcript:itb03g08180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSYDIYSKRGIGYCDLWQSYKKNSRGLEIFSKSWFPGTSPAKALICICHGYGDTCTFFIEGIARKLASSGYAVSAMDYPGFGLSEGLHCYIPSFDNLVDDVIEHYSKVKENPELRGLPSFLFGQSMGGAVALKVHLKQPDAWNGAVLVAPMCKIADDMAPPWLLTQILIVVAKFLPKQKLVPQKDLAELAFKDTKKREQANYNVIGYRHKPRLGTALELLKTTQEIERLLEKVSLPLLILHGKNDVVTDPSVSKALYEKASSHDKKLNLYAEAWHALLEGEPDEMIQRVLGDIISWLDDHSAH >itb03g08180.t5 pep chromosome:ASM357664v1:3:6150339:6153300:1 gene:itb03g08180 transcript:itb03g08180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFRHYFITHNTSSGVLRKRFDFYTYYLGFEGTRRRVVCSAGVRAVMGKPPKFPGVSEEVQKLLDADMDSVDARRRAREAFKDVQLSIDHCLFKVPHAELKMEESYKKNSRGLEIFSKSWFPGTSPAKALICICHGYGDTCTFFIEGIARKLASSGYAVSAMDYPGFGLSEGLHCYIPSFDNLVDDVIEHYSKVKENPELRGLPSFLFGQSMGGAVALKVHLKQPDAWNGAVLVAPMCKIADDMAPPWLLTQILIVVAKFLPKQKLVPQKDLAELAFKDTKKREQANYNVIGYRHKPRLGTALELLKTTQEIERLLEKVCQKFLTSTLFHC >itb15g04590.t1 pep chromosome:ASM357664v1:15:2922013:2925254:1 gene:itb15g04590 transcript:itb15g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MGCPTKSSTLKLIVPAAILLLVAILFSFYYGSPKHSSSDTGFRDDKQVIPIVGAVGPESFAFDPNGGGPYTGVSDGRIIKWHRNESRWVDFAITSPERNGCGGAGDDSAREHVCGRPLGLHFDKKNGHLMVADAYMGLLAVTPNGGIATPVAKQAEGVPFGFTNSLDIDQATGVVFFTDSSTKYQRRNYISAIVSGDDTGRLMKYDPETNQISVILRNLKFPNGVSLSKDGDFLVVAETTTCRILKLWLKAPKTGEVETIAQLPGFPDNIKRNHRGEFWVGVHSRRKTFMKWALSKSWVGNALVKLPFDLTKAYSYVGSVVGGYGFGVRMNGNGDVLEVLEGVNGRRWKYVSEIIEEEDGNLWIGSVKMPFAVKENIST >itb15g10420.t1 pep chromosome:ASM357664v1:15:8211375:8217419:1 gene:itb15g10420 transcript:itb15g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAALVYSRIPTRTRLPSKTSTPQCFSKRLEVAEFSGLRSSGCVTFSNREASFSDVVAAQLTPKAVGAPVKGVTVAKLKVAINGFGRIGRNFLRCWHGREDSPLEVIVVNDSAGVKNAAHLLKYDSMLGTFKADVKVVDKETISVDGKPIKVVSNRDPLKLPWADMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYYHEVSNIVSNASCTTNCLAPFVKIMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVDKKGLTAEDVNAAFRKASDGPLKGVLDVCDAPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGMPVAGSGDPLEDYCKTNPGDEECKVYEA >itb03g04810.t1 pep chromosome:ASM357664v1:3:3162081:3168719:1 gene:itb03g04810 transcript:itb03g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELSLHWSNMAAGSGRWWLLAVVMMVVSVSEPAFSNPQINQVGFPGCSSFNYTDVPDSMRVLNASFGDLRNQLSSGNKRFATSTQQPVYAMAQCRNYLSTADCVACLDAAVHAITRNCSNKAGGRIIFDGCFLRYEDTYFYDQISGEGTYPICGKRTAPDQYVFNTTAKQLLYELQLATPRINGFFAAAKQEASGGGGAAFAVAQCIETIDETGCQACLTIIYRDILGCLSKYATGRAIAPGCFMRYSNKRFFPNNQTTNLEHFLGGDSVTSSPGSSGKKKAIGGVVGGVGIILVLAAIFLWYRQSKNPKASRRGNILGATQFRGSENYRYKDLKAATKDFSEENKLGEGGENRVKLNWMQRVDIIFGTARGLSYMHEVCIIHRDIKSSNILLDDDFQPKIADFGLARLSPKNKSHLTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSIDIQIEPVTEYLLELAWKLYENEEHLGLVDKSLDSNEYKAEEVKRILEIALVCTQSPSNLRPSMSEVMGMLSSIDGSIIQTPQNRPTFITDFDKRVLAVTATPTNATISLSEFSGR >itb12g05590.t1 pep chromosome:ASM357664v1:12:4129293:4132459:1 gene:itb12g05590 transcript:itb12g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSSRGIAAVVGVGPKLGRSIARKFVHEGYTVAILARDLGTLSRFADEIAREEKAQVFAIRIDCSDSRSIREAFEGVLSLGFVEVLVYNAYKEVSRKPTNFVDIKVDQFEKSMAVSSVGAFHCAQQVLPGMVERGRGTILFSGCSASVSGVAGFSELCCGKFALRGLSQCLAREFQPLGIHVAHVIIDGFVGTPRGALLGSSSLQQQRWGVREMQVENEEDGGMDPDHLARTYWHLHIQHPSAWTQEIDLRPSNPT >itb14g20420.t2 pep chromosome:ASM357664v1:14:22776243:22781041:-1 gene:itb14g20420 transcript:itb14g20420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVRLRDREQICKKEGDRLYGKRKRTSCTGSDGSSENNGEEEEYEEEERKVHRSPNPPLKQTLPSSSMSIRHRRTVAPARVAAKSSPANEIVGFHIPKKARSVKRTYEYCNSGTDQFGDERNHRRLSPLSTSRCVDGGLPSSSSISSKAKKLLGPKTRHQMILPNSSSLIQDELEVAEALFDLMKQSRSPSQSQSLSPSQGSVKRSDYSSEKICSSSSIPSQTSDLPQEEGSNKKDAVSEQNGSIAEMNAHEQLISMEAERIVGDSQESKDSQESKDDEETSKKENDSIDLTKELLTGGRAETEELVSAKVEESQSCAKLGVDNLSDSAKVDTTFMVVDTNQKTKSEIVLMEAVVMQEDEQKVVGTERGKQKLGKIFLKEELCKEHEVQKEQNQCRNAIHKENKIDQPSLFALPVAMVGRPGVFPYPGYTPALQTVLPIDPSRSTRSSNAVKSPPFLLSPTRPKKCVTHQYIALNIKHHQHLVKNSKWCAPAGPDKSGAKLQNHSPMPPSSHPLLLGDACRGQRLNTIPILGGKDQTSGITNIGDSSKDKQLMLQHPLLLLSGSNSLHGPDLMFPLGQHRTMVGSSVNQSGPPKPAKAMGKTSLQCNSAVRGETAFNSSSMPVDATTISFKGINLHANKAPPQMMAMVQNNGYPFSMISNNVEMLSPFLAPAIPNIPGPGYSPTFQTFKAHQQPVSHNASTSTGSLSHKQPPSHQQKDPHSSRNSYLMSATTHSQQPPSHPPKKMGADISTQTSALISKHTFHRQKPYNGQHLSNPVQPINFALMPPGILGTGGKIISQQQQQQQGLKVGEEFIPQTFAGSVGSTTSASPALNLSSVAQNPSILHALPDVSQLSVFICHPNGTA >itb14g20420.t1 pep chromosome:ASM357664v1:14:22776229:22781066:-1 gene:itb14g20420 transcript:itb14g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRGGRRTSAENFNVISKRRQRISSVRNAPGEDKQMDERVRLRDREQICKKEGDRLYGKRKRTSCTGSDGSSENNGEEEEYEEEERKVHRSPNPPLKQTLPSSSMSIRHRRTVAPARVAAKSSPANEIVGFHIPKKARSVKRTYEYCNSGTDQFGDERNHRRLSPLSTSRCVDGGLPSSSSISSKAKKLLGPKTRHQMILPNSSSLIQDELEVAEALFDLMKQSRSPSQSQSLSPSQGSVKRSDYSSEKICSSSSIPSQTSDLPQEEGSNKKDAVSEQNGSIAEMNAHEQLISMEAERIVGDSQESKDSQESKDDEETSKKENDSIDLTKELLTGGRAETEELVSAKVEESQSCAKLGVDNLSDSAKVDTTFMVVDTNQKTKSEIVLMEAVVMQEDEQKVVGTERGKQKLGKIFLKEELCKEHEVQKEQNQCRNAIHKENKIDQPSLFALPVAMVGRPGVFPYPGYTPALQTVLPIDPSRSTRSSNAVKSPPFLLSPTRPKKCVTHQYIALNIKHHQHLVKNSKWCAPAGPDKSGAKLQNHSPMPPSSHPLLLGDACRGQRLNTIPILGGKDQTSGITNIGDSSKDKQLMLQHPLLLLSGSNSLHGPDLMFPLGQHRTMVGSSVNQSGPPKPAKAMGKTSLQCNSAVRGETAFNSSSMPVDATTISFKGINLHANKAPPQMMAMVQNNGYPFSMISNNVEMLSPFLAPAIPNIPGPGYSPTFQTFKAHQQPVSHNASTSTGSLSHKQPPSHQQKDPHSSRNSYLMSATTHSQQPPSHPPKKMGADISTQTSALISKHTFHRQKPYNGQHLSNPVQPINFALMPPGILGTGGKIISQQQQQQQGLKVGEEFIPQTFAGSVGSTTSASPALNLSSVAQNPSILHALPDVSQLSVFICHPNGTA >itb06g11870.t1 pep chromosome:ASM357664v1:6:16411016:16413829:1 gene:itb06g11870 transcript:itb06g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B9 [Source:Projected from Arabidopsis thaliana (AT3G18260) UniProtKB/Swiss-Prot;Acc:Q9LJQ5] MATYPSDSSDDYTAPNTKLFRRERPLHEALGGGKVADILLWRDRRVSGAILSAVAGVWYLFEVVEYNFVTLLCHILITTMLLLFIWSTGAHVFGWSPPKIPEMLFQESTFINFASILHKNLNKFLPMFFEIACGYDAKLFLVAMLSLWILSLIGNCISTLNLLFLGILCVETLPIFYEKYEEEVEDYAGKMYTQMRKMYKRIDKEYLRKIPRGPVKEKET >itb13g16850.t1 pep chromosome:ASM357664v1:13:23791034:23793143:-1 gene:itb13g16850 transcript:itb13g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTMWRRLVLLLSCVMLCIGNCGGPLITRLYFLRGGNRIWFVSWLITGGWPLTFILLAAAYAFRRTTQGPTAKLLFIPPKLIVPAAILGALTGLDDYLYSYGLSKLPVSTSALLIISQLAFAAGFAFLLVKQRFTAYSVNAIVLLTMGAGVLATGANTDRPNGESNREYILGFVLTLLAAFMYGFIMPCIELVYKQAKQAITYTLVLEIQLVMGFFAAAFCLIGMLINKDFQAISREANEFEMGKAKYYVVVIWTSILWQCFYVGAAGVICYGSSLLSAVLSAVLRSVTEVLAVVFYAEKFHSEKGICLALSLWGLTSYFYGDFKKSKTKKTNSQNQIPEAQMAQTQSLPI >itb13g13750.t4 pep chromosome:ASM357664v1:13:20315075:20318633:-1 gene:itb13g13750 transcript:itb13g13750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSFMSPELVQSFLAGLAASGALTSGLRLITKAIFDNSKDGFRKGAILFFSISTIFELLCLILYALVFPKLPIVKYYRNKAALEGSKTVAADLAAGGVTIETPNDPIPVDRLSIKELLMQNIDYALDIFMIYVLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRYVPLLKWVKLESRKGIMVAILLRYLLIPAFYFTAKYGDQGWMIILTSFLGLTNGYLTVCVFTSAPKGYKGPEQNALGNILVFFLLGGIFAGVTLDWLWLIGKGW >itb13g13750.t1 pep chromosome:ASM357664v1:13:20315075:20320370:-1 gene:itb13g13750 transcript:itb13g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRAPSRLEGKYIAIIVCWLLGNGCLFSWNSMLTIGDYYAYLFPKYHPARVLTLVYQPFALGTIAILAYHEAKINTRKRNLFGYSLFFISTFLVLVLDLATSGRGGIGTYIGICAISGAFGVADAHVQGGMVGDLSFMSPELVQSFLAGLAASGALTSGLRLITKAIFDNSKDGFRKGAILFFSISTIFELLCLILYALVFPKLPIVKYYRNKAALEGSKTVAADLAAGGVTIETPNDPIPVDRLSIKELLMQNIDYALDIFMIYVLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRYVPLLKWVKLESRKGIMVAILLRYLLIPAFYFTAKYGDQGWMIILTSFLGLTNGYLTVCVFTSAPKGYKGPEQNALGNILVFFLLGGIFAGVTLDWLWLIGKGW >itb13g13750.t2 pep chromosome:ASM357664v1:13:20315091:20320316:-1 gene:itb13g13750 transcript:itb13g13750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRAPSRLEGKYIAIIVCWLLGNGCLFSWNSMLTIGDYYAYLFPKYHPARVLTLVYQPFALGTIAILAYHEAKINTRKRNLFGYSLFFISTFLVLVLDLATSGRGGIGTYIGICAISGAFGVADAHVQGGMVGDLSFMSPELVQSFLAGLAASGALTSGLRLITKAIFDNSKDGFRKGAILFFSISTIFELLCLILYALVFPKLPIVKYYRNKAALEGSKTVAADLAAGGVTIETPNDPIPVDRLSIKELLMQNIDYALDIFMIYVLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRYVPLLKWVKLESRKGIMVAILLRYLLIPAFYFTAKYGDQGWMIILTSFLGLTNGYLTVCVFTSAPKGYKGPEQNALGNILVFFLLGGIFAGVTLDWLWLIGKGW >itb13g13750.t5 pep chromosome:ASM357664v1:13:20316558:20318633:-1 gene:itb13g13750 transcript:itb13g13750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSFMSPELVQSFLAGLAASGALTSGLRLITKAIFDNSKDGFRKGAILFFSISTIFELLCLILYALVFPKLPIVKYYRNKAALEGSKTVAADLAAGGVTIETPNDPIPVDRLSIKELLMQNIDYALDIFMIYVLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRYVPLLKWVKLESRKGIMVAILLRYLLIPAFYFTAKYGDQGWMIILTSFLGLTNGYLTVCVFTSAPKGYKVS >itb13g13750.t3 pep chromosome:ASM357664v1:13:20316558:20320370:-1 gene:itb13g13750 transcript:itb13g13750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRAPSRLEGKYIAIIVCWLLGNGCLFSWNSMLTIGDYYAYLFPKYHPARVLTLVYQPFALGTIAILAYHEAKINTRKRNLFGYSLFFISTFLVLVLDLATSGRGGIGTYIGICAISGAFGVADAHVQGGMVGDLSFMSPELVQSFLAGLAASGALTSGLRLITKAIFDNSKDGFRKGAILFFSISTIFELLCLILYALVFPKLPIVKYYRNKAALEGSKTVAADLAAGGVTIETPNDPIPVDRLSIKELLMQNIDYALDIFMIYVLTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRYVPLLKWVKLESRKGIMVAILLRYLLIPAFYFTAKYGDQGWMIILTSFLGLTNGYLTVCVFTSAPKGYKVS >itb03g21110.t1 pep chromosome:ASM357664v1:3:18887370:18891083:-1 gene:itb03g21110 transcript:itb03g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAHITSLLNTIHFHFLQPLPCLIFEDPETIKSLCEKIRYLQAFLEDSEKDKARGVLESEIRCVAQDAEAKIESQLYKLYLRCNQVNHPLKPPQSLYHTLKQVKRKIESIERRIIQIKSNNNHSAEPRSGNRIENIKAGSFSQRSSQPKDVMVGCDDEFDTIMHKLNSNSDKLEVISIGGMGGIGKTTLARRVYEDESIISHFQVRAWATVSQEYNLKEILISLLDANGEDISDLPNRLRQRLMDQRYLIVIDDIWGTEAWDDLHRCFPESSNGSRILITTRLKQVADYTSSGNNPHYMRFLDFNESWNLFYKKVFEEKNVPLEFETVGRDIVEKCQGLPLTIIVVAGLFSSFNQPSLNQWSNIAENLNSLLNTDPEEKCSKVLSLSYNHLPPQLKVCFLYFGVFPEDSEIRVKNLIRLWVAEGFLKVELNDTMEEVGEAYLQDLVDRGLVQIDKWSFGGKMKCCRVHDVLHNFCLRKAQREKLLCVINENSVEMGRLEKQHALKRILMRIKRSMPKACWISSQLMHLDLAIIGERYDETDTPLEFRSILYFGWENIFSRPFPCFEVLRVLDISSRLIDEHQIPGEIVNLVNLRYLALRTKGFFPDLEWFKLRNLQTLIVFTLNVLQRKSEFNISDMPRLRHVYLNGSFLILPTLVQGNLQALSWLNLPDHQGLDLEKIPNVKELGIYIECDLVSELQPGSLNGLANLHKLENLKIAASYKKINNDYFRLLTALPENLKKLSLYYTYHSQEDMTIIGTLPNLEILKLIGNDFHGQEWNTRENEFCRLKYLQIERSDLMHWSSAHFPILECLVLSWCWDLKEFPASFADISCLRLMILSNCRSSLLNSAKQIQEERLGYGDDKFIVEDCWCTWI >itb03g21110.t2 pep chromosome:ASM357664v1:3:18887370:18891083:-1 gene:itb03g21110 transcript:itb03g21110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCDDEFDTIMHKLNSNSDKLEVISIGGMGGIGKTTLARRVYEDESIISHFQVRAWATVSQEYNLKEILISLLDANGEDISDLPNRLRQRLMDQRYLIVIDDIWGTEAWDDLHRCFPESSNGSRILITTRLKQVADYTSSGNNPHYMRFLDFNESWNLFYKKVFEEKNVPLEFETVGRDIVEKCQGLPLTIIVVAGLFSSFNQPSLNQWSNIAENLNSLLNTDPEEKCSKVLSLSYNHLPPQLKVCFLYFGVFPEDSEIRVKNLIRLWVAEGFLKVELNDTMEEVGEAYLQDLVDRGLVQIDKWSFGGKMKCCRVHDVLHNFCLRKAQREKLLCVINENSVEMGRLEKQHALKRILMRIKRSMPKACWISSQLMHLDLAIIGERYDETDTPLEFRSILYFGWENIFSRPFPCFEVLRVLDISSRLIDEHQIPGEIVNLVNLRYLALRTKGFFPDLEWFKLRNLQTLIVFTLNVLQRKSEFNISDMPRLRHVYLNGSFLILPTLVQGNLQALSWLNLPDHQGLDLEKIPNVKELGIYIECDLVSELQPGSLNGLANLHKLENLKIAASYKKINNDYFRLLTALPENLKKLSLYYTYHSQEDMTIIGTLPNLEILKLIGNDFHGQEWNTRENEFCRLKYLQIERSDLMHWSSAHFPILECLVLSWCWDLKEFPASFADISCLRLMILSNCRSSLLNSAKQIQEERLGYGDDKFIVEDCWCTWI >itb14g10650.t1 pep chromosome:ASM357664v1:14:12076139:12083735:-1 gene:itb14g10650 transcript:itb14g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTFNAMTSRSGFLQLICQISATHQSQTLSSSSLPRSKSPRLNRNLGPKPSSPQLLSIESRNPPLSRSRRWAFKHSLPRCLHWSRHSLPIAGSPLSLTLSPVTASALVLQFHKSRVDRLIPLSAFQNPKSESPDSI >itb05g00250.t2 pep chromosome:ASM357664v1:5:189694:192590:-1 gene:itb05g00250 transcript:itb05g00250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLPLKWASIAVLQLYFWLKMVESSSLSAGDRISSGLPGQPQVCFSHYSGYVTIDEEEGNQRALFYYFVEAEVDPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGNVLVRNEYSWNTEANMLYLESPIGVGFSYSTNSSSYEGINDNITAMDNLVFLQKWYLKFPQYRNTSLFIAGESYAGHYVPQLAQMLLQSSKEEKLFNLKGIALGNPVLEFATDLNSRAEYFWSHGLISDTTYKLFTSACNYSRYVSEYYRGSLSPVCAGVMSIVSRETSRFVDNYDVTLDVCISSVFSQSKVLNPQHVTETIDVCVEDETVNYLNRRDVQNAMHARLVGVNKWLVCSDVLDYELLDIEIPTIKIVGSIIKAGIPVLVYSGDQDSVIPLTGTRKLVHGLAEELGLSTSTPYRVWFAGMQVGGWTQVYGNMLSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEAF >itb05g00250.t1 pep chromosome:ASM357664v1:5:189562:192590:-1 gene:itb05g00250 transcript:itb05g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLPLKWASIAVLQLYFWLKMVESSSLSAGDRISSGLPGQPQVCFSHYSGYVTIDEEEGNQRALFYYFVEAEVDPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGNVLVRNEYSWNTEANMLYLESPIGVGFSYSTNSSSYEGINDNITAMDNLVFLQKWYLKFPQYRNTSLFIAGESYAGHYVPQLAQMLLQSSKEEKLFNLKGIALGNPVLEFATDLNSRAEYFWSHGLISDTTYKLFTSACNYSRYVSEYYRGSLSPVCAGVMSIVSRETSRFVDNYDVTLDVCISSVFSQSKVLNPQHVTETIDVCVEDETVNYLNRRDVQNAMHARLVGVNKWLVCSDVLDYELLDIEIPTIKIVGSIIKAGIPVLVYSGDQDSVIPLTGTRKLVHGLAEELGLSTSTPYRVWFAGMQVGGWTQVYGNMLSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEAF >itb13g03290.t1 pep chromosome:ASM357664v1:13:3214590:3217691:-1 gene:itb13g03290 transcript:itb13g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSLEAFLKKEYSNGGAPNRDLQIKIRDFALKAEDAIEIQLTNILQKAHEGELHLHRTLQEAAKEAEELLKIINNSSEVEADNIGSLQCSPQFEDKMFGRDGSFIAIKFHLSHQDRARNRKVVSIFGAPGVGKTTLCQKLYSDEKVVSCFDIKVWVTIPRRYNAQQLLCHLLQSMSPTLNEDIDMLKEQLHKHLKCKRYLIVLEDVPTTLVWDDIQSCFPDDSNASRILLTTLFGDVAKSNIPRSLFFCQRDFEMFIAFKHLRVVDLSQSGILKGVPLPLRNLVFLRYLWVPQWFESLDDVVSTNSNLQTLIVPGIDEPTTLGAPTLHLTSKIWELQHLRHLELGDMYTLDPPNMVKEHLQTLVCAMPIHCFRKEEVCYCRFPSIRKLKIVYKDVLVPGCSNPITIVENFEDLSKLEALSVIVPVGSITLLERVGFPANLKELRLSGTNLPVKVLGVIGELPKLEILKLENIVLYGSRVWEVVKGGFGVLKELELEATNVERWVCKGSNQFPKLRRLFLKRCCSLEEIPPRIAQRIGVFFSIELEQCPPCVVSSAKSIQKERMRNLQHDVPRVIVDGKIISCTKSEVWGEEEEEDYDESQCTKSEAWKEEEEEDDDESVSTDSESSEEEEEDYYERAYIESKASEEGLEEASEEEDYDEWEATESSEEEE >itb01g24930.t1 pep chromosome:ASM357664v1:1:30462472:30463723:1 gene:itb01g24930 transcript:itb01g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRKVTVDKVGGAGESYDDLAASLPKDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPAESRIRAKMLYATSKDGLRRALDGIHYEVQATDPTEMGMDVIQERVK >itb01g22820.t2 pep chromosome:ASM357664v1:1:28909399:28912728:-1 gene:itb01g22820 transcript:itb01g22820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLTFTLILSSIAILCSGFSPESPTSRRVLVLLDDFSIKSSHSLYFKALENRGFNLEFRLADDPKIALQRHGQYLYDALILFSPSIDRFGGSVDAASILDFVDSGHDLILAADASASDLIREIVTECGVDFDEDPAALVIDHMSYAVSDTEGDHTLIVATDFIQSEVILGSKAIEAPILFQGIGHSVNPANNLVLKVLSASSAAYSANPKSKLSNPPALTGSAISLVSVIQARNNARVLIAGSLSMFSNRFFRSGVQKAGSPTKHDKSGNEQFLTEISKWVFHERGHLKAMNVTHHKVGEVDEPSIYRITDDLEYSVEIYEWSGTSWVPYVADDVQLQFYMMSPYVLKTLSTNQQVVILLQSSIVGYYVVTDDVSWFFNFLIHFVQGVYHTSFKVPDVYGVFQFKVEYQRLGYTSLSLSKQIPVRPFKHNEYERFITAAFPYYGASFSMMVGFFIFSLAFLYNK >itb01g22820.t1 pep chromosome:ASM357664v1:1:28909399:28912728:-1 gene:itb01g22820 transcript:itb01g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLTFTLILSSIAILCSGFSPESPTSRRVLVLLDDFSIKSSHSLYFKALENRGFNLEFRLADDPKIALQRHGQYLYDALILFSPSIDRFGGSVDAASILDFVDSGHDLILAADASASDLIREIVTECGVDFDEDPAALVIDHMSYAVSDTEGDHTLIVATDFIQSEVILGSKAIEAPILFQGIGHSVNPANNLVLKVLSASSAAYSANPKSKLSNPPALTGSAISLVSVIQARNNARVLIAGSLSMFSNRFFRSGVQKAGSPTKHDKSGNEQFLTEISKWVFHERGHLKAMNVTHHKVGEVDEPSIYRITDDLEYSVEIYEWSGTSWVPYVADDVQLQFYMMSPYVLKTLSTNQQGVYHTSFKVPDVYGVFQFKVEYQRLGYTSLSLSKQIPVRPFKHNEYERFITAAFPYYGASFSMMVGFFIFSLAFLYNK >itb04g11000.t1 pep chromosome:ASM357664v1:4:10540072:10547234:1 gene:itb04g11000 transcript:itb04g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQPSRGGGPTPISTAANFNPNPNLYYSQSPNVFPNPFQNACLQYQNPSFQFPNPNFQFQAQLSRPTTVVEMIDMAVLKAHREILATGEVVSAWKASQAALVMLQVDTWDSLGLQMQQVPALHRLMLTEGKINAFIHCFVGARRITTLHDLEIAICNTEGIERFEDLELGPLVKHPLIIHYFSLSADATEVCRMTSEEIVSLLSEFMNINKQRYVEIEELLDFIAKKKSVTAKEKLGVRIQSLGMHATLIRQASELEITTVDEEITRLGCSKSSKQSKRKRKCSNIKGPVTSPQNVRKTKRIQTPFSRKDQIKSSGKKNKRLVKQESTDSIDKSSKQSKRKRKYSNIQTPVTSPQKVRKTKRIQTPFSRKEQTKSFGKKNKKLVKQESTDTIDCSYSSDSMKMFITTWKETCQANNVDEVFEKMIQVYKIRKRATARKLFSAFPFVDLLHIAVTSIKNGMWDSMHDTVQSFSQLDVANTVSENCSDFISIHVESPRRKASSLSQKLLAPEHVSASNLSNLRDGGQLSLCPADGQQALLTGRLGEFVASEYFIGKVGKAFVKWVNETFETGLPYDLVVGDQEYIEVKATKNVRKCWFKISAREWQFAVEKGELYSIAHVILSSTNTATVTVYKNPAKLVQLVMKAEDKLIWNEE >itb04g11000.t2 pep chromosome:ASM357664v1:4:10540072:10547234:1 gene:itb04g11000 transcript:itb04g11000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQPSRGGGPTPISTAANFNPNPNLYYSQSPNVFPNPFQNACLQYQNPSFQFPNPNFQFQAQLSRPTTVVEMIDMAVLKAHREILATGEVVSAWKASQAALVMLQVDTWDSLGLQMQQVPALHRLMLTEGKINAFIHCFVGARRITTLHDLEIAICNTEGIERFEDLELGPLVKHPLIIHYFSLSADATEVCRMTSEEIVSLLSEFMNINKQRYVEIEELLDFIAKKKSVTAKEKLGVRIQSLGMHATLIRQASELEITTVDEEITRLGCSKSSKQSKRKRKCSNIKGPVTSPQNVRKTKRIQTPFSRKDQIKSSGKKNKRLVKQESTDSIDKSSKQSKRKRKYSNIQTPVTSPQKVRKTKRIQTPFSRKEQTKSFGKKNKKLVKQESTDTIDCSYSSDSMKMFITTWKETCQANNVDEVFEKMIQVYKIRKRATARKLFSAFPFVDLLHIAVTSIKNGMWDSMHDTVQSFSQLDVANTVSENCSDFISIHVESPRRKASSLSQKLLAPEHVSASNLSNLRDGGQLSLCPADGQQALLTGRLGEFVASEYFIGKVGKAFVKWVNETFETGLPYDLVVGDQEYIEVKATKNVRKCWFKISAREWQFAVEKGELYSIAHVILSSTNTATVTVYKNPAKLVQLGKLQLTITIN >itb04g11000.t3 pep chromosome:ASM357664v1:4:10540072:10547234:1 gene:itb04g11000 transcript:itb04g11000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQPSRGGGPTPISTAANFNPNPNLYYSQSPNVFPNPFQNACLQYQNPSFQFPNPNFQFQAQLSRPTTVVEMIDMAVLKAHREILATGEVVSAWKASQAALVMLQVDTWDSLGLQMQQVPALHRLMLTEGKINAFIHCFVGARRITTLHDLEIAICNTEGIERFEDLELGPLVKHPLIIHYFSLSADATEVCRMTSEEIVSLLSEFMNINKQRYVEIEELLDFIAKKKSVTAKEKLGVRIQSLGMHATLIRQASELEITTVDEEITRLGCSKSSKQSKRKRKCSNIKGPVTSPQNVRKTKRIQTPFSRKDQIKSSGKKNKRLVKQESTDSIDKSSKQSKRKRKYSNIQTPVTSPQKVRKTKRIQTPFSRKEQTKSFGKKNKKLVKQESTDTIDCSYSSDSMKMFITTWKETCQANNVDEVTSIKNGMWDSMHDTVQSFSQLDVANTVSENCSDFISIHVESPRRKASSLSQKLLAPEHVSASNLSNLRDGGQLSLCPADGQQALLTGRLGEFVASEYFIGKVGKAFVKWVNETFETGLPYDLVVGDQEYIEVKATKNVRKCWFKISAREWQFAVEKGELYSIAHVILSSTNTATVTVYKNPAKLVQLGKLQLTITIN >itb10g03960.t1 pep chromosome:ASM357664v1:10:3744373:3744783:-1 gene:itb10g03960 transcript:itb10g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPIKKYEKILVPVFPMVGQENGGDNIWKLDILIFHLLPLDVQKDSNALKSMRFNCPKALCFWENVISECSLLLGDPYQMVLPLLESERNYLYKLHFNFVGHGNSPPILRILLERYRTADLPACLEDAICFFVT >itb05g24970.t1 pep chromosome:ASM357664v1:5:29609096:29611703:-1 gene:itb05g24970 transcript:itb05g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MRALQASIPYNLGFGIPSLAATPSSRPSYAQRRHVVFAKGEREPSEQSVEIMRKFSEQYARKSGTYFCMDKGVTAVVIKGLAEHKDSLGAPLCPCRHYDHKPAEVQQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQEQTISLEEIRETTSNL >itb06g13050.t1 pep chromosome:ASM357664v1:6:17659463:17661929:-1 gene:itb06g13050 transcript:itb06g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVRRSSISSLEQLELERQSPKLMKSDYLSIYETTLLKLKEGSRRDISTCLEDSKGDEASSAIDVDSSSCDLTEDSRRQQRKTGNFSLPYLFLRYRSSRQAVSSPDEDSCSSASTSPCHSIVQSSS >itb13g19860.t1 pep chromosome:ASM357664v1:13:26763861:26765607:-1 gene:itb13g19860 transcript:itb13g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVGGAVRAGFLGQAARNTLVSFNLRRKWSPVVSYCYSSPSSSSSSSSSRKLILYSKPGCCLCDGLKEKLAAAFSISGSEYVVDLEVRDITTNPEWEKAYQFEIPVLARLCPDGTEEVIPRLSPRLGVELVRKKITAALGE >itb06g13790.t1 pep chromosome:ASM357664v1:6:18451999:18454360:-1 gene:itb06g13790 transcript:itb06g13790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAYRVQTTSRLAQWRIDNLAACTYRKSDPFKIGRWNWHLAVEKSRTLCIKLYPEVSNSTRDNPPVASFIIRVVSSFGGRKTLAHPEVVDKQLKTNEDFSWAIEVPFTGKFIIDVEFLDLKIASPNTRELCSIWAEGCKENESNAIALISLGRMLSESIHTDIQINTADGSIAAHRAVLAARSPVFRSMFSHNLKEKEMSSVDISDMSGEACQAFLNYIYGNIQNGEFLTHRLALLRAADKYDVTDLKEACHESLLQDIDTKNVLERLQNASLYQLPKLKACCMQYLVKFGKIYDIHDDFNVFLQYADRELISEVFHEILGVWKGF >itb01g30460.t1 pep chromosome:ASM357664v1:1:34493931:34498509:1 gene:itb01g30460 transcript:itb01g30460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATVMAKMGGTGRALLGYTFIIPSTAKSLSFRRHFYSLPLNPHWVLQRFRPLCSSATYTADEDVLQPVRHGILLEKLRLRHLKDSAKAGGTAPVGKSKEAKGNGHVELDGRSGKKKAVEASSFEELELSEEVMGALEEMSISLPTEIQAIGIPAVLRGKSVVLGSHTGSGKTLAYLLPIVQLLRRDEELYGILMKPRRPRAVVLCPTRELCEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDSLNAPIDMVVGTPGRVLQHIEEGNMVYGDIRYVVLDEADTMFDRGFGPDIRKFLRPLKTRAAKSDDQGFQTVLVTATMTKAVQKLVDEEFQGIEHLRTSSFHKKIASARHDFIRLSGSENKLEALLQVLEPSLSKGNRVMVFCNTLNSSRAVDHFLNENQISTVNYHGEVPAEQRVENLEKFKRDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKRDLLLATRIEEALKKNESLESLSVDSIKRDIARSNITEQKEKKEKLVKASNSKNKKQSSSTKLPSTSGKGIAVKKSSKAISRKAPTPSKPKNVIKVSKTSSGMPKNVIKVSNTSSGKKQSTPSRPKTVIKLSKSPSGKTQSESQKPGSKLNVVGFRGRSSLSSSKVA >itb02g24960.t1 pep chromosome:ASM357664v1:2:25680223:25682957:1 gene:itb02g24960 transcript:itb02g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTRDRTSIQILFNTPSTTTYLDPHPCKAALKAYSLNGRSQMFKKSNNCSSQGGLQDNAAHRFVEPDPDTKDLREQQIWNTNQIISLSLSTRTATALRHLPSALGPPHSPPPPPPQPWTATAPPIATAHRHSRQRAATAPPPHIGRHSRLPRHIEVPPPPIASSHR >itb02g05550.t1 pep chromosome:ASM357664v1:2:3391530:3392068:1 gene:itb02g05550 transcript:itb02g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGISGRFHGKSASWYSRLSGGDMEKEEKSSGGGGGGRIRKGYIPMVVGQTEDETERILVPTKLLKHPCIISLLDLSATELGYTQPGNLRIHYDLQQFKRMLRTIL >itb02g01490.t1 pep chromosome:ASM357664v1:2:829860:833719:-1 gene:itb02g01490 transcript:itb02g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKEPDRAIDCFSPNDIFHFSQIFPIAFPQSLLSLVLLDAPSNTHPQPAKDTYKLPLFLSYKRHKIRFSRFRKKLKPKIEMSQYRPKYWFREYLGRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQSGKWYIHSFVKEHNHELLPAQVHLFRSHRNGDPLKNDPKIRRKKALAAVSNHYGFLCRHAIVVLQMSGVFRIPIKYILQRWSNIAKSRLPISERLDEVQAKVRCYNDLCRRAIILGEEGSLSQESYNIAVSAIKEAIRQCAAANGPTQTDLRPPGMPATLAIQGVEEANHKSTAVAREQVSNIKVTQTSRGTKRVDSGNEKESNESCANEKGKVPLEPEVANLGTQGVFHQMDMPGSLDYPTYYPRFLTTLLRGGFRAFPLQNKEDRRSLQVNGV >itb12g00090.t2 pep chromosome:ASM357664v1:12:124297:126102:1 gene:itb12g00090 transcript:itb12g00090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGDEYKHYWETQTFLQSEELDSYFEEAISAYYDSSSPDGSQSSAASKNIVSERNRRKKLNERLFALRAVVPKISKMDKASIIKDAIEYIQELREQERIIQAEIWELESSGGCRSKKSEFDEEGISSNSKPKRTRLVDDLLPGAGGYDNESPPPGSRSPVDVLELRVSSMGKKTLVVSLTCSKRTDTMIKLCEIFESLDIKIISANITAFSGRLLKTLFIEVHTYHSTNPPSFFPSIFSLTYFKKYTN >itb12g00090.t1 pep chromosome:ASM357664v1:12:124297:126955:1 gene:itb12g00090 transcript:itb12g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGDEYKHYWETQTFLQSEELDSYFEEAISAYYDSSSPDGSQSSAASKNIVSERNRRKKLNERLFALRAVVPKISKMDKASIIKDAIEYIQELREQERIIQAEIWELESSGGCRSKKSEFDEEGISSNSKPKRTRLVDDLLPGAGGYDNESPPPGSRSPVDVLELRVSSMGKKTLVVSLTCSKRTDTMIKLCEIFESLDIKIISANITAFSGRLLKTLFIEAEEEEKDLLKIRIETAIATLNDPDSPTMGI >itb08g16170.t1 pep chromosome:ASM357664v1:8:18325558:18330315:1 gene:itb08g16170 transcript:itb08g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQLEEKNTKLTGYDAYFEIVQSRKKLPQSLQESLTNAFDKIPALSFPEVPGGQVIEIEADISVGDAVKILSESHIMSAPVRNPDGKNSGDWREMYLGIIDYSAIVLWVLESADVAAAALSATSAAAAGVGAGAAGVLGALALGATGPAAMAGLTVAAVGAAVAGGVAAEKGIGKDAATAAGNLGQDFYKVLLQEEPFRSTKVRSIVRSYRWAPFVPVGVDSSMLTVLLLLSKYRLRNVPVVEAGQPCLKNYITQSAIIQGLKGCKGRDWFDSIAGNTISELGLPFMEPNEVISVQSSELILEAFKKMKENQIGGLPVVEGSSVIKKKIVGNVSIRDIRFLLLKPELFANFRQLTVNDFMNTAASATNEVGKINTPITCTTDSTLASVIDMLAFKQVHRIYVVKGTDNEIVGVITLRDVISCFIYEPPNFFDKYFGFSAKEMLGQ >itb08g16170.t2 pep chromosome:ASM357664v1:8:18324785:18330315:1 gene:itb08g16170 transcript:itb08g16170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQLEEKNTKLTGYDAYFEIVQSRKKLPQSLQESLTNAFDKIPALSFPEVPGGQVIEIEADISVGDAVKILSESHIMSAPVRNPDGKNSGDWREMYLGIIDYSAIVLWVLESADVAAAALSATSAAAAGVGAGAAGVLGALALGATGPAAMAGLTVAAVGAAVAGGVAAEKGIGKDAATAAGNLGQDFYKVLLQEEPFRSTKVRSIVRSYRWAPFVPVGVDSSMLTVLLLLSKYRLRNVPVVEAGQPCLKNYITQSAIIQGLKGCKGRDWFDSIAGNTISELGLPFMEPNEVISVQSSELILEAFKKMKENQIGGLPVVEGSSVIKKKIVGNVSIRDIRFLLLKPELFANFRQLTVNDFMNTAASATNEVGKINTPITCTTDSTLASVIDMLAFKQVHRIYVVKGTDNEIVGVITLRDVISCFIYEPPNFFDKYFGFSAKEMLGQ >itb08g02500.t1 pep chromosome:ASM357664v1:8:1971294:1974280:-1 gene:itb08g02500 transcript:itb08g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDQQQLNPSTEDPFAKLFSSYLGLSFALSLAHLPTQPFSALSTLQTHNRDLTFQVSEAEEQLKELLLRRKEDSKANARVVEIFATHRHAWQRKERSLLQQIDEEAEEIARLRERVAELEKTEAELKRAVAERDEMLGFLSSGVKSVKTAPFCSPELDSEMGMRFGKMGVCEEMEECLMGGRVNNSVEEEEKNMFSPDFLNSASKFWTERASPWPDMQYESRESLYNSKHYVTREAPWKESAGVSSKLKQLELELLNLEIVGKRDLSKVTSLMQKQAEIYRAISGKIDDLCQRMQDPCEPLGSEFRTQKQTDFLLEAFRLQQHASETGQKLLALQSKSGKARCGDDSEGQASVAARRAIDLVRNTFKEIQRSLEIWLARIIGDLEGVLARDGVSRAKDYFISI >itb08g02500.t3 pep chromosome:ASM357664v1:8:1971316:1974280:-1 gene:itb08g02500 transcript:itb08g02500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDQQQLNPSTEDPFAKLFSSYLGLSFALSLAHLPTQPFSALSTLQTHNRDLTFQVSEAEEQLKELLLRRKEDSKANARVVEIFATHRHAWQRKERSLLQQIDEEAEEIARLRERVAELEKTEAELKRAVAERDEMLGFLSSGVKSVKTAPFCSPELDSEMGMRFGKMGVCEEMEECLMGGRVNNSVEEEEKNMFSPDFLNSASKFWTERASPWPDMQYESRESLYNSKHYVTREAPWKESAGVSSKLKQLELELLNLEIVGKRDLSKVTSLMQKQAEIYRAISGKIDDLCQRMDPCEPLGSEFRTQKQTDFLLEAFRLQQHASETGQKLLALQSKSGKARCGDDSEGQASVAARRAIDLVRNTFKEIQRSLEIWLARIIGDLEGVLARDGVSRAKDYFISI >itb08g02500.t2 pep chromosome:ASM357664v1:8:1971294:1974280:-1 gene:itb08g02500 transcript:itb08g02500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDQQQLNPSTEDPFAKLFSSYLGLSFALSLAHLPTQPFSALSTLQTHNRDLTFQVSEAEEQLKELLLRRKEDSKANARVVEIFATHRHAWQRKERSLLQQIDEEAEEIARLRERVAELEKTEAELKRAVAERDEMLGFLSSGVKSVKTAPFCSPELDSEMGMRFGKMGVCEEMEECLMGGRVNNSVEEEEKNMFSPDFLNSASKFWTERASPWPDMQYESRESLYNSKHYVTREAPWKESAGVSSKLKQLELELLNLEIVGKRDLSKVTSLMQKQAEIYRAISGKIDDLCQRMVTPMINDSSFLLINLI >itb11g12060.t1 pep chromosome:ASM357664v1:11:8941972:8942442:-1 gene:itb11g12060 transcript:itb11g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSALIFLNHSNPLPLFQFSNPIFNSSEQEEELLEVDDTITVEIPVSSGKRWVGIERSGKEKMTIPFPITGIPLQTPFPHRPQIRCTQIIKELNLTSNSGLHLSDYQISNTLILIKSPQNTIKKEIKKSRKKSFGSHNRLESKILKSQPKGERP >itb09g05750.t1 pep chromosome:ASM357664v1:9:3284476:3285152:1 gene:itb09g05750 transcript:itb09g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVAIPLYELGQKLAAILAEKVGSSPTYFQETCLLTHSYIRMNRYPPRPISFHSLGLMPHTDSDFLTILHQDDVPGLQLVKHRKWVSVKPNPDALVINIGDLFEAWSNGIYRSVEHRVVTNSTRERFSTAFFLCPSYDTVISSSFEPRLYRRFTFKEFKEQVREDVKKIGHKVGLSRFLVVTDHS >itb10g10550.t1 pep chromosome:ASM357664v1:10:15252707:15254014:1 gene:itb10g10550 transcript:itb10g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQVGLLGKLHSSLFRECRRSMTTSKRVQDRSKKKRVHDLEIVVEKHKILSKILFILETLKQESEQIIPVRSLDQYRRQINLPKPHKFSVFLRKCPKLFELYKDQRGILWCGMTKEAENLLQEEEELIEKNSDKAAEFVTRMLMMSIGKRISLDKIVHFRRDIGLPLDFRSHWVHKYPDYFRVVRPFVPYDEGEYLELVNWKSSWAITELEKKVMGVSKAPEDYVPGFLSLSFPMKFPPNYKKLSKYRGQIENFQNREYLSPYADASGLKAGSQEFDKRAVAVIHELLSFMIEKRLVTDHLTHFRREFVMPQKLMRLLLKHFGIFYVSERGRRFTVFLNEPYEGSELVEKHPLVVWKEKVLSLVGYRKKKDKEETLEDLQERDTNLFEIDSEDYSVQLAHENEEEEMSGLESDSIESDSEMEIEEIYNAYKVSE >itb15g21590.t1 pep chromosome:ASM357664v1:15:24308639:24316212:1 gene:itb15g21590 transcript:itb15g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRILERERYQIEQIRQLESEELQVEEVDDESSEDGDTALGEQTAPGEFNYDTSLAALHSYLGDVEDTHNRLSFLDGGAVIVVPLFYLEGVVLFPEATLPLRVIQSNFIAAVEKALQRTNDHYTIGVIRVHRDPHNRRIKFATTGTTAEIRQYRRLEDGSVNVVTRGQQRFRLRRRWMDADGAPCGEIQIIQEDFPLRTPREAVGRLTPLRRLHAWADVPLQTQSSNSSLTKHYEYGNGNDSDVMSEESFESELSFGEKRLHQSAIVSIIGEDMIDESTSSDDETLDQGLEVQSGRYHLHDFVGSLHTKRNKKSIDSSQGIGEGSASDMPSCKGNGLRKHAISQMREVPRAFWPNWVYRMYDSYALAQRAADRWKQIVKAPNMDAFVMKPDLLSFHIASKIPVSESTRQELLDIDGISYRLRLEIELLEKFDRIQCKTCLTLIARRSEMLVMSGEGPLGAYVNPHGFVHEIMTLLKANGLAVIGNPEKEYSWFPGYAWSIAECVTCGAQMGWLFTATNKKLKPRSFWGIRCSQVHDDIS >itb07g13020.t1 pep chromosome:ASM357664v1:7:15110991:15113557:-1 gene:itb07g13020 transcript:itb07g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDIEGSAEHSAKDYHDPPPAPLIDFDELTKWSFYRAAIAEFIATLLFLYVTVLTVIGYSHQTDLKAGGQDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVGRKVSLVRAVVYMIAQCLGAICGVGLVKAFQKAYFNRYGGGANMLQPGYNKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPFIGAFIAALYHQFVLRASTIKALASFRSN >itb15g10070.t1 pep chromosome:ASM357664v1:15:7324089:7326136:1 gene:itb15g10070 transcript:itb15g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVPGWNMLLEQLSELPHAPSVPFQMTPSTIEVPEEEEYAQWVVASQRSLLEVMAAFPSAKPSLGVFFAAVAPRLQPRFYSISSSPRMAPTRIHVTCALVYEKTPAGRIHKGICSTWIKNAVPLRIALTAAGHPFLLGNLTSDYLPKLKYPS >itb01g21800.t1 pep chromosome:ASM357664v1:1:27839115:27843504:1 gene:itb01g21800 transcript:itb01g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYTPALSLGFFIFLQMHLSLTMADSVCPLDLSGSNFTLAASLCSTKESRGKCCRFINSMVAISVARYANETSNLGVNFEVSEICLRSIAQTLQLYGVTRNATVFCGFGTKIPVNYECQGRTTVTQMLQSPKFAEVAGNCKSPLSAEGACKKCLNAGISYLRNLLGVTNNTTLSTCRDATFVALASPVDNATAVDIAGCFFGVQGLVTPPVSGPSPPLHSPQVSPSPHVASSPTQLALTTTIKGEHHRYHLTLVPVIGISVTVLAVLMLVILIILIRRKSKQLEESEVDKLSSKAFPPRKFQEGSTCMFRKFSYKETKKATNNFSTIIGQGGFGTVFKAEFGDGSAAAVKRMDKVSKQAEDEFCREIELLARLHHRHLVALRGFCVEKHERFLMYEYMANGSLKDHLHSPGRAPLNWCTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVSTDIRGTPGYMDPEYVITQELTEKSDIYSYGVVLLELVTSRRAIQDNKNLVEWAQIYIMSDSRITELVDPDIGDSFDFDQLQTLVAIIRWCTQREGSARPSIKQVLRLLYECADPMHNGFVEEYDEVEGRGRTSRARGHSGAFHSGDARCLASSSSTSRSYCSRSFLIESGSPESPSNIPSF >itb01g21800.t2 pep chromosome:ASM357664v1:1:27839115:27843504:1 gene:itb01g21800 transcript:itb01g21800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYTPALSLGFFIFLQMHLSLTMADSVCPLDLSGSNFTLAASLCSTKESRGKCCRFINSMVAISVARYANETSNLGVNFEVSEICLRSIAQTLQLYGVTRNATVFCGFGTKIPVNYECQGRTTVTQMLQSPKFAEVAGNCKSPLSAEGACKKCLNAGISYLRNLLGVTNNTTLSTCRDATFVALASPVDNATAVDIAGCFFGVQGLVTPPVSGPSPPLHSPQVSPSPHVASSPTQLALTTTIKGEHHRYHLTLVPVIGISVTVLAVLMLVILIILIRRKSKQLEESEVDKLSSKAFPPRKFQEGSTCMFRKFSYKETKKATNNFSTIIGQGGFGTVFKAEFGDGSAAAVKRMDKVSKQAEDEFCREIELLARLHHRHLVALRGFCVEKHERFLMYEYMANGSLKDHLHSPGRAPLNWCTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVILNF >itb13g19190.t1 pep chromosome:ASM357664v1:13:26195184:26198018:-1 gene:itb13g19190 transcript:itb13g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTHPIISCPNNISFSTKSSPRNFSRPSQIFINPSRAAARRGDVKIRCAASSEGADGHQNPDSLSSAKLDRRNVLLGLGGLYGAYNLAGGSNPFALADPIPIPDLSHCGKAIISNTKDNEEVPYSCCPPPFDGPYVDYKIPTFSQLNCRPAAHAVDDEYLYKYKTAIQKMKDLPDDDPRNFYQQAKVHCAYCNEAYKLNEKSYQIHYTWLFFPFHRWYLYFYERILQSLIDDPTFTLPYWNWDNPQGMILPEIFDDDESSPLYDTLRNQNHRKGYVMDLAYAGDESYASDFQKVKNNLAVMYRQMVTNAPCPLLFFGKPLRADNDWAHSGMGTIENIPHNSIHRWVGDPREKHNEDMGNFYSAARDSVFYCHHSNVDRMWTLWKTLGGNRKDIADPDWLQTEFLFYDETKTLVKVKVADCVDNERLGYTFEKMPTPWKDFKPTRKRKGKLKRTAKSVSASTTVLPATLDKIRTFYVTRSPTSTPAGKEEMLDLTFDYDDTQFIRFDVFLNEDEEVNTKELDRIEYAGSFSNLPHVHKPTDDSNTTTTSTNTTFSLAISEVLQDLRLQGDDKILVTLVPKAGGSFVTVTETKTEIIDC >itb03g19880.t1 pep chromosome:ASM357664v1:3:17834448:17835204:-1 gene:itb03g19880 transcript:itb03g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPSRPTGGMAQDWEPVVLRKQKPKASQLRDPKAVNQALRMGAQVQTVKKFDGGSNKRAPATAVNARKLDEAAEPAALDRVPAEVRQAIQKARIEKKMSQAELAKQINERTQVVQEYESGKAVPNQAVLAKMEKVLGVKLRGKHK >itb15g04050.t1 pep chromosome:ASM357664v1:15:2527999:2531185:-1 gene:itb15g04050 transcript:itb15g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGEGGMSGKVYVNGGIQNPNGLEEKLDELRRNLGKAEGDLLRIVGIGAGAWGAVFVALLQDSYGQFRDKVQIRIWRRAGRGVDRGTAECLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETHEVFEEIGKYWKERLTSPIIISLAKGIKAELDPFPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPERLAGPLLADTYVTLLKGRNAWYGQMIAKGELSLDLGDSISGKGTIQGVSAVGAFYELLSQSSLSVLHPGENKPVAPVELCPILKTLYKILITRQQKVQAILQALRDENLNDPRDRIEIAQSHAFYKPSLLGQP >itb15g15980.t1 pep chromosome:ASM357664v1:15:15084347:15091658:-1 gene:itb15g15980 transcript:itb15g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNGSRRSKNRVSGRSFWSASSGSAGSVTHSGHGGSFKRRHTPVSFYPTPAGVATRVSARQCAVRRWSNRGNATLQPVVISDREGETDDEFHTAPGHPTRVPRMGFGGTNPGIKMXLTTSQRQDVSSIGMGGLLRLEVTEMPPQLGHWLVTNFEPAMMAIKLGNGRYINITAQDVVHVFGLPNGPLPITERDSPQVGPELRAWREETKQRKGKITVKALVTQALKLEGGGEWFRRHFSVIVVSTLIASVSNGYANQKIVHMFRDVEWIKDLDWCTYLLRSLVDTHGRWSQGGTRKFTGPLLFLIAREITAQGFGVGHLEDPPHATTDQAPPSEPPLSNQVALSQGIVHQPSTVNTPQGFTQLLDTTTRDLMCAATRVADMVRENCAQAYGDHNFKRVNEASKLLLEVLQHEPKGTYSTIDQEPHTPHQTSIDREDAFWQNPDNIKALERAELAAIRATTGSDMPSFSLGFTQKYPTQGNEDPIPLATQRHKTNNRXLTTSQRQDVSSIGMGGLLRLEVTEMPPQLGHWLVTNFEPAMMAIKLGNGRYINITAQDVVHVFGLPNGPLPITERDSPQVGPELRAWREETKQRKGKITVKALVTQALKLEGGGEWFRRHFSVIVVSTLIASVSNGYANQKIVHMFRDVEWIKDLDWCTYLLRSLVDTHGRWSQGGTRKFTGPLLFLIAREITAQGFGVGHLEDPPHATTDQAPPSEPPLSNQVALSQGIVHQPSTVNTPQGFTQLLDTTTRDLMCAATRVADMVRENCAQAYGDHNFKRVNEASKLLLEVLQHEPKGTYSTIDQEPHTPHQTSIDREDAFWQNPDNIKALERAELAAIRATTGSDMPSFSLGFTQKYPTQGNEDPIPLATQVANRGERSGALPLAAPQNEQPEDDMPSFSLGFTQDYSTQGNEDPIPPATQVANRGERSGALPLATPQNQQSEDDMPSFSLGFTQDYSTQGNEDPIPPATQVTNRGEQSGALPLGAPQNQQPEDDMPSFSLGFTQDYSTQRNEDPVTPTTQVAIRGVHAGVLPLRAPQNQESEVLPVVVIPDRKGKRPLNQDARPLRLCFSPLRGYPTVPIPPIYMVWMWAFNCPNTNRDEVLFRHKQRTANWSHFLTLREGVNVSAAVVDAWSTVLNAREKSRKWGTPSRLFASTATIVCITTITLWLMVLNCPLPVYNLTFILQVGTVVPTIGTRDEMIELFKARLWADFQASEHVGSATLDLYKCDILDCSSKQVPNEEKYCDAPVDLLDMLSEYLESKHQLARSIRVRNLRPKRTQMPWRTTTPGADSAVFAMRHMESFTGQPCSSWECGLQKGNREQLNCLRRRFMHNILMAEANECMHEVSTRVGRYDCDRGPRRAH >itb08g14220.t2 pep chromosome:ASM357664v1:8:15976430:15982608:1 gene:itb08g14220 transcript:itb08g14220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALLFPTQEPLFFAGSLIKLVYFSSNSSCTLDDNGMRVIKEKQKDSNGDVNYDFLHGRLQFVKFETAKIDECIKFLSSRQLNCNGGRFPEALAGNKNIIKATGGGAFKFANLFKEKLGITLDKVDEMDCLVAGANFLLKAVQHEAFTHINGQKEYVQIAHSDLYPYLLVNIGSGVSMIKVDGDNKFERVSGTSVGGGTFWGLGKLLTQCKSFDELLAMSHGGNNKAVDMLVGDIYGGTDYSKIGLTSTAIASSFGKAISDNKELDEYRTEDISRSLLRMISNNIAQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISVGVDFWSKGEAKALFLRHEGFLGALGALMNYKQDDLGDLLSHRFVQQQLPTSSGGAVEDLFHSSTLVSVSEN >itb08g14220.t4 pep chromosome:ASM357664v1:8:15976060:15982379:1 gene:itb08g14220 transcript:itb08g14220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MERVATSSNSDLMLHLAIDIGGSLIKLVYFSSNSSCTLDDNGMRVIKEKQKDSNGDVNYDFLHGRLQFVKFETAKIDECIKFLSSRQLNCNGGRFPEALAGNKNIIKATGGGAFKFANLFKEKLGITLDKVDEMDCLVAGANFLLKAVQHEAFTHINGQKEYVQIAHSDLYPYLLVNIGSGVSMIKATGGGAFKFANLFKEKLGITLDKVDEMDCLVAGANFLLKAVQHEAFTHINGQKEYVQIAHSDLYPYLLVNIGSGVSMIKVDGDNKFERVSGTSVGGGTFWGLGKLLTQCKSFDELLAMSHGGNNKAVDMLVGDIYGGTDYSKIGLTSTAIASSFGKAISDNKELDEYRTEDISRSLLRMISNNIAQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISVGVDFWSKGEAKALFLRHEGFLGALGALMNYKQDDLGDLLSHRFVQQQLPTSSGGAVEDLFHSSTLVSVSEN >itb08g14220.t1 pep chromosome:ASM357664v1:8:15975745:15982819:1 gene:itb08g14220 transcript:itb08g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVATSSNSDLMLHLAIDIGGSLIKLVYFSSNSSCTLDDNGMRVIKEKQKDSNGDVNYDFLHGRLQFVKFETAKIDECIKFLSSRQLNCNGGRFPEALAGNKNIIKATGGGAFKFANLFKEKLGITLDKVDEMDCLVAGANFLLKAVQHEAFTHINGQKEYVQIAHSDLYPYLLVNIGSGVSMIKVDGDNKFERVSGTSVGGGTFWGLGKLLTQCKSFDELLAMSHGGNNKAVDMLVGDIYGGTDYSKIGLTSTAIASSFGKAISDNKELDEYRTEDISRSLLRMISNNIAQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISVGVDFWSKGEAKALFLRHEGFLGALGALMNYKQDDLGDLLSHRFVQQQLPTSSGGAVEDLFHSSTLVSVSEN >itb08g14220.t3 pep chromosome:ASM357664v1:8:15976060:15982379:1 gene:itb08g14220 transcript:itb08g14220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVATSSNSDLMLHLAIDIGGSLIKLVYFSSNSSCTLDDNGMRVIKEKQKDSNGDVNYDFLHGRLQFVKFETAKIDECIKFLSSRQLNCNGGRFPEALAGNKNIIKATGGGAFKFANLFKEKLGITLDKVDEMDCLVAGANFLLKAVQHEAFTHINGQKEYVQIAHSDLYPYLLVNIGSGVSMIKVDGDNKFERVSGTSVGGGTFWGLGKLLTQCKSFDELLAMSHGGNNKAVDMLVGDIYGGTDYSKIGLTSTAIASSFGKAISDNKELDEYRTEDISRSLLRMISNNIAQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISVGVDFWSKGEAKALFLRHEGFLGALGALMNYKQDDLGDLLSHRFVQQQLPTSSGGAVEDLFHSSTLVSVSEN >itb05g18180.t1 pep chromosome:ASM357664v1:5:24999253:25004326:1 gene:itb05g18180 transcript:itb05g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCTSKPSLEPRDWGNDQQTDRNVPVKDNPDNGENQQRNLKVEGGRKSPFFPFYSPSPAHYLFAKKSPARSPANAPSSNSTPRRFFRRPFPPPSPAKHIRAVLARRHGSVKPNGAAIPEGAESEGGLDKSFGFSKHFSNKYELGEEVGRGHFGYTCRAKFKKGELKGQEVAVKVIPKAKMTTAIAIEDVRREVLILRALTGHNNLVQFYDAYEDNDNIYIVMELCEGGELLDRILSRGGKYTEEDAKAVMIQILNVVSFCHLQGVVHRDLKPENFLFTSKDENALLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFEEQPWPTLSPEAKDFVKRLLNKDPRKRMTAAQALCHPWIKSCNDTKVPLDILIFKLMKAYMRSSALRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKTALMKYATDAMKESRIHDFLASLNALQYRRMDFEEFCAAALSVHQLESLDRWEQHARCAYEIFEKDGNRAIVIEELASELGLGPSVPLHAVLHDWIRHTDGKLSFLGFAKLLHGVSSRTTAKVQ >itb05g18180.t2 pep chromosome:ASM357664v1:5:24999285:25003537:1 gene:itb05g18180 transcript:itb05g18180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCTSKPSLEPRDWGNDQQTDRNVPVKDNPDNGENQQRNLKVEGGRKSPFFPFYSPSPAHYLFAKKSPARSPANAPSSNSTPRRFFRRPFPPPSPAKHIRAVLARRHGSVKPNGAAIPEGAESEGGLDKSFGFSKHFSNKYELGEEVGRGHFGYTCRAKFKKGELKGQEVAVKVIPKAKMTTAIAIEDVRREVLILRALTGHNNLVQFYDAYEDNDNIYIVMELCEGGELLDRILSRGGKYTEEDAKAVMIQILNVVSFCHLQGVVHRDLKPENFLFTSKDENALLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFEEQPWPTLSPEAKDFVKRLLNKDPRKRMTAAQALCHPWIKSCNDTKVPLDILIFKLMKAYMRSSALRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENIKTALMKYATDAMKESRIHDFLASLNALQYRRMDFEEFCAAALSVHQLESLDRWEQHARCAYEIFEKDGNRAIVIEELASVKWNFPSSSFFLPRRFK >itb13g25240.t1 pep chromosome:ASM357664v1:13:30661878:30664250:1 gene:itb13g25240 transcript:itb13g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKQLVPTKFSWRCVQKPSNVLGCFLFHSSSHGVVEVHSQEQEVVIALGSNVGDRLHNFDEALEQMRNSGIKITRHGGLYETAPAYVTDQPRFLNSAVRGVTKLGPFELLRALKKIEREMGRTDGIRYGPRPIDLDILFYGKFKINSESLIVPHERIWERPFVVGPLIDLLGLDIDSDTVACWHSFSKHSAGLFGVWDKLGGDSLVGKDGMRRVLPVGNHLWDWSSKTSVMGILNLTPDSFSDGGKYLSVEAAVTQVRSMLMEGADIIDFGAQSTRPMASKISAEEELGRLIPVVEAVTKMPEAEGKLLSVDTFYSQVASEAISKGVHIVNDVSSGCLDSKMHTVVAALKVPYIAMHMRGDPSTMQNSENLQYNDVCKEVASELYARVQDAELAGIPAWRVIVDPGIGFSKNTKQNLDILTGIQTIHTEIAKKSLAVSHAPLLAGPSRKRFLGEVCDRTAAAERDPATVASVTAAVLNGANIVRVHNVRDNADAVRLCDAMLGRR >itb01g34070.t2 pep chromosome:ASM357664v1:1:36944479:36946559:1 gene:itb01g34070 transcript:itb01g34070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MFLGSNAAMSGRGSTLPDLSLQISPPSISSFDRSCNNIDRSSSMDSGSSGSDLSHEHNGGVSFFPPGYQRSVELSLSRGFELAAAAAGLSGPLSVPVQRNSRLEVLPQHRHNFQPQIFGGGRDFKRSARMIGGVKRSVRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKAPGARQSCEKAEDMISTPPSSLQTTTPRNAQR >itb01g34070.t1 pep chromosome:ASM357664v1:1:36944479:36948778:1 gene:itb01g34070 transcript:itb01g34070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN4 [Source:Projected from Arabidopsis thaliana (AT5G42630) UniProtKB/Swiss-Prot;Acc:Q9FJV5] MFLGSNAAMSGRGSTLPDLSLQISPPSISSFDRSCNNIDRSSSMDSGSSGSDLSHEHNGGVSFFPPGYQRSVELSLSRGFELAAAAAGLSGPLSVPVQRNSRLEVLPQHRHNFQPQIFGGGRDFKRSARMIGGVKRSVRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKAPGARQSCEKAEDMISTPPSSLQTTTPRNAQRASWPPSLPEPNPLYHPHLRQNDAAETDGRDDGTGRELCEMKGKFDRLSSSSLSSSSDTFVNLEFTL >itb05g15630.t1 pep chromosome:ASM357664v1:5:23011997:23017030:-1 gene:itb05g15630 transcript:itb05g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVLIPLLITCACMFLKLAYQTISCYWLTPRRIRKTMEKQGVFGPKPRFLLGNILDMAALVSKSTSDHMDSIDHDVVPRLLPHYVAWSKIYGKRFIYWNGIEPRMCLTETELIKELLSKYSAVSGKSWLQQQGSKHFIGRGLLMANGDDWYHQRHIVAPAFMGDKLKSYAVYMVECTKKMLQSLDKSVQSGQTEFEIGGYMARLTADIISQTEFGSNYEKGKQIFHLLTVLQKRCAQASRHLCLPGSRFFPSKYNRDIKSLKMEVEKLLMKIIQSRNDCVEIGRSSSYGNDLLGMLLTEMKKKRSENIGFSLNLQLIMDECKTFFFAGHETTALLLTWTIMLLASNPSWQDKVRSEVRQVCNTDTPSVEHLSKLNLLNMVINESLRLYPPASVLPRMAFEDFKLGELHIPKGLSIWVPVLAIHHSEEIWGKDVNEFNPERFASKSFAGPAARNFLPFAAGPRNCVGQSFALMEAKIILAMLISKFSFDISESYRHAPVIVLTIKPKYGVQIRLRPLNNNT >itb10g14840.t1 pep chromosome:ASM357664v1:10:21133735:21138566:1 gene:itb10g14840 transcript:itb10g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTKCAFSIEGVGAGGHPPNSSMSIKLGMIRGSEGLMTFGRSLKSGVTRAVFPEDLKVSEKKIFDPQDKSLLFWNRLLVISCIFSVSVDPLFLYLLVFNSEDNCLQLDTSLAYTTTTLRTLIDSFYLIRMVLQFRTAYIAPSSRVFGRGELVIDPKQIASRYIHRYFAIDLLSILPLPQIVVWRFLHGSKGSDVLATKKALVLIIILQYIPRFLRFIPLTSELKKTAGVFAETAWAGAAYYMLWFVLVSHIFGALWYLLAVERKDACWADACRRSEECNGKFSLLYCMHERAEADMTAWRNITYEVLEKNCAATDDSPFNYGIYAKAVSSGVVDSEDFITKYCYCLWWGLQNLSTLGQGLQTSTYPLEVLFSIALAILGLLLFSLLIGNMQTYLQSITVRLEEMRIKRRDSEQWMHHRVLPPELRERVRRYEQFKWLETRGVDEESLVQNLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTENTYVVREGDPVQEMLFVIRGKMESITTDGGRSGFFNRGILTESDFCGEELLTWALDPKAGSNLPPSTRTVQALSEVEAFALIADEVKFITTQFRRIHSRQVQHTFRFYSQQWRTWAAVFIQAAWRRHMRRKISEVRNSENEENENMVDEDYYEEEEDDNDDDETKALISTGLRTTMLASRFANNALRKKRQTSAKLTMKPPKPPEPDFGDD >itb11g00330.t1 pep chromosome:ASM357664v1:11:147022:151503:-1 gene:itb11g00330 transcript:itb11g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESSSVAADHGGHGHTNFRGVPTHGGRYVQYNVYGNLFEVSRKYVPIRPVGRGAYGIVCAAINSETREEVAIKKIGNAFDNRIDAKRTLREIKLLSHMDHDNVIAMKDIIRPPQKENFNDVYIVYELMDTDLHQIIKSSQGLADDHCRYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEILTRQPLFPGRDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFSARFPNSSPGAVDLLERMLVFDPSRRITVDEALCHPYLGPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIWRESVKFNPDPAY >itb05g13370.t1 pep chromosome:ASM357664v1:5:20310631:20316333:1 gene:itb05g13370 transcript:itb05g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPNNESFSVELRQLGAESDNNVSKVERANWLLDSPDPPSPWQQLCCSLRAKSPSASSKNRNSYGFAVSFLQGLFPILKWGRTYRAAMFKKDLMAGLTLASLCIPQSIGYANLARLDPQYGLYTSVVPPLIYAVMGSSRELAVGPVAVVSMLVSAMVSKIVDPAKDHIGYRNIVFTVTFFAGTFQAVFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGIEHFTTKTDVVSVLTSVFSSFHGPWHPFNFLLGCSFLIFILTTRFVGRRYKKLFWLPALSPLLSVILSTLIVYLTKADKHGVNIVKQFKGGLNPSSVHSLQFSSPHVGEAAKVGLICAIIALTEAISVGRSFASIKGYHLDGNKEMLSIGFMNIFGSLTSCYAATGSFSRTAVNVSAGCETVVSNIVMAVTVLISLELLTKLLYYTPMAILASIILSALPGLIDLQGAYHIWKVDKADFLVCIGAFLGVLFGSVEIGLLVAVATSFAKIILDSIRPSIDGIGKLPGTDVFCEITKYPAATTIPGILIIRINNGSLCFANSQFIRERLLKWVEKANASENAQTVRVLILDMSNVTNTDTSGIVVLEELYKKLASQNTQLAIAGPRWKVIEKLKKAKIVDKLGRGCFFLTIGDAVAACLDFKMITHHV >itb08g03840.t1 pep chromosome:ASM357664v1:8:3115545:3117695:-1 gene:itb08g03840 transcript:itb08g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRVHLPQLGLYPNIKFPKIQPKRFSISPISSVKVICGLRSRPRKPLWRSRVLSTETIQAVQSMKLAAKTPNKLEEVFKTQVSRLLKGDLLDALTELLRQNELELALKVFNHVRNEIWYVPDLSIYNDMLMLLGRNKLIEMVEKLFCDLKKEGLKPDTRTYTELIGAYFKVDMIEKAIETYELMKASHCVPDKLVMTIMIKNLEDTKHEEVAKRVKKDCEQYFDYPEKFLEEVERQYPKRRSLTIV >itb03g20020.t1 pep chromosome:ASM357664v1:3:17942891:17946987:1 gene:itb03g20020 transcript:itb03g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNKAETDRWSWYFQRQESTTTLFQLIPFTHAPFPPVTLRRFSSNQQALVVFSPCFFPSHRSAGRVSSALLTAFHNLDVEDRFLAKGFKIFARDKMEIKMFHQTVQIHSKNC >itb15g09870.t1 pep chromosome:ASM357664v1:15:7137659:7140287:1 gene:itb15g09870 transcript:itb15g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVKVYGPPLSTAVSRVLACLLEKDVQFQLVSVNMAKGEHKSPDYLKIQPFGQVPAFQDDQITLFESRAISRYICDKYANQGCKGLYGTNPLVKASIEQWIEAESQTFNPPSSALVFQLAFAPRMNIKQDEKLIKQNEEKLAKVLDVYETRLGESQYLAGDEFTLADLSHLPNIQYLVSVTDKGDLFTSRENVGRWWSEISSRESWKKVVEMQSLPPPPQSS >itb02g00400.t1 pep chromosome:ASM357664v1:2:295367:296200:-1 gene:itb02g00400 transcript:itb02g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSIMFLSFLVFAAITTSVHCTTGSSSPAKQVRDVDGDLVRANTSYYIIPMSVEDGGGFSLRSTKTDESCPLGIFQEDEDDENFGIPVTFSLVNPKKSVIRVSTDLNIEFSETPVECDISNVWKVDNYKRHPKRFYISPDGVKGNPGSDTISSWFRIEEFERGYKLIHCPSVMENDDYEDGDEDEIEVLCKDVGLLKYSGQQRLALTDTPLRVVFRKA >itb15g17140.t1 pep chromosome:ASM357664v1:15:17470054:17470907:1 gene:itb15g17140 transcript:itb15g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKSSLEEEKCEIGDGLISEVKNAWRNRVVRRGLYAGITVQVAHNLVGIRMYYVPTILQLVGFASNTTALALSLVSSGLNAVGTIISMLLLALQGHSLLFAGCSLTGLVAVFFLVPETKGLQFEEFEKMLEKRYKPSLLFCCNRNTKQQSAIQGG >itb01g35970.t1 pep chromosome:ASM357664v1:1:37883441:37885398:-1 gene:itb01g35970 transcript:itb01g35970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLVSVMMFLILITTPIINGGSDLVESTCKRTPNYDLCVKVISADPRSRGTDDITTLAIIMVDAIKAKSQETASMINNLQKTREDLKTALEECAFDYKAILTASIPEAYEALTKGIPKFAEKGVVASSGSAQQCELGFQKSQSPLTALNTQGKRAKQRRGEAAVVVDESQWSSVKAKQWRWLWIYIFAVWLRIRELNEGQERRRWRIVGRDGEELACGSIEKSWRVDLLRSVSAWIYLEEFGAWIYLEELE >itb07g00960.t1 pep chromosome:ASM357664v1:7:603126:607668:-1 gene:itb07g00960 transcript:itb07g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIPGLQGDIPPNHPKGIPYVGGKTKVAACAKHFVGDGGTTKGIDENNTVINWHELMHIHMPGYLPSIVKGVSTVMVSYSSINGVKMHANRYLITNFLKGLLKFRGFVISDWMGIDKITYPEHSNYTYSVLKGIEAGIDMVMVPYNHTEFINTLTYLVKNNFIPTSRIDDAVKRILRVKFTMGLFENPLADNSLAHHLGSQAHRELAREAVRKSLVLLKNGENADEPLLPLPKKAPKILVAGTHAHNIGNQCGGWTITWQGYAGNNITTGTTILTGITGAVDPETKVVYNENPDTEFVKSNNFSYAVVVVGESPYAEFFGDNLNLTIPDPGLNTISNVCQTVKCVVVLISGRPVVIEPYLTSIDALVAAWLPGSEGQGVADVLFGDFPFTGKLSRTWFKNVDQLPMNVGDPNYDPLFPFGFGLTTKV >itb07g00960.t2 pep chromosome:ASM357664v1:7:603126:608999:-1 gene:itb07g00960 transcript:itb07g00960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPTLSVPLLVLLALCACMGAGAESEYKAYKDPTRKIGSRIRDLMGRMTLEEKIGQMTQLDRQNLTAAVLRDYSIGSVLSGGGSVPWPNATAAEWVEMVNGFQNASLASRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDADLVKRIGAATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDVEIVKKMTELIPGLQGDIPPNHPKGIPYVGGKTKVAACAKHFVGDGGTTKGIDENNTVINWHELMHIHMPGYLPSIVKGVSTVMVSYSSINGVKMHANRYLITNFLKGLLKFRGFVISDWMGIDKITYPEHSNYTYSVLKGIEAGIDMVMVPYNHTEFINTLTYLVKNNFIPTSRIDDAVKRILRVKFTMGLFENPLADNSLAHHLGSQAHRELAREAVRKSLVLLKNGENADEPLLPLPKKAPKILVAGTHAHNIGNQCGGWTITWQGYAGNNITTGTTILTGITGAVDPETKVVYNENPDTEFVKSNNFSYAVVVVGESPYAEFFGDNLNLTIPDPGLNTISNVCQTVKCVVVLISGRPVVIEPYLTSIDALVAAWLPGSEGQGVADVLFGDFPFTGKLSRTWFKNVDQLPMNVGDPNYDPLFPFGFGLTTKV >itb15g24010.t1 pep chromosome:ASM357664v1:15:26903783:26906536:1 gene:itb15g24010 transcript:itb15g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICNSFFAIVRQSVIDRKRLRIPGELIQKYKDELSDIVKFCLPSGGEWVVHFKKNKKMAWFDDGFESFMEDNSIGINYLLLFKYTKDSRFNVHIFDLTATEIDYPCNSHGAQKRCDSVKGILGGGGDCSSGDDGIDQSIFGSGMKRQRTTSLKEQRMYHSYESKVRKVKERSVILEGSDDANKHNIPKREKIEGNVVFQNPHVAVRLTESNVLRISMYVAADFVRSLLPGKPRSIYLEDENGKRWIVKCRFRSRRVSHLSYGWRPFVQHKKLVAGNVCVFELVKDSPADKPVLKVYIFPSWEDLPQIASH >itb07g02480.t2 pep chromosome:ASM357664v1:7:1607164:1609719:-1 gene:itb07g02480 transcript:itb07g02480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRILEVWKLGTVNYLEALKLQEKLTADRKSLKITDTLLSLQHPPTYTLGKRRTDHNLLVPESELEAMGAELHYTQRGGDITFHGPHQAILYPIISLRDIGLGARKYVENLELTMIELASKYGVKAQAGRTGETGVWVGERKIGAIGVRISSGITSHGLAFNINPDLSYFKNIVPCGIADKEVTSLKREATVELPGEDVIQEELISCFIRLFGYNDIVWKNFPSLPLHT >itb07g02480.t1 pep chromosome:ASM357664v1:7:1607129:1609724:-1 gene:itb07g02480 transcript:itb07g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRILEVWKLGTVNYLEALKLQEKLTADRKSLKITDTLLSLQHPPTYTLGKRRTDHNLLVPESELEAMGAELHYTQRGGDITFHGPHQAILYPIISLRDIGLGARKYVENLELTMIELASKYGVKAQAGRTGETGVWVGERKIGAIGVRISSGITSHGLAFNINPDLSYFKNIVPCGIADKEVTSLKREATVELPGEDVIQEELISCFIRLFGYNDIVWKNFPSLPLHT >itb07g02480.t3 pep chromosome:ASM357664v1:7:1607129:1609719:-1 gene:itb07g02480 transcript:itb07g02480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRILEVWKLGTVNYLEALKLQEKLTADRKSLKITDTLLSLQHPPTYTLGKRRTDHNLLVPESELEAMGAELHYTQRGGDITFHGPHQAILYPIISLRDIGLGARKYVENLELTMIELASKYGVKAQAGRTGETGVWVGERKIGAIGVRISSGITSHGLAFNINPDLSYFKNIVPCGIADKEVTSLKREATVELPGEDVIQEELISCFIRLFGYNDIVWKNFPSLPLHT >itb06g17480.t1 pep chromosome:ASM357664v1:6:21320216:21324600:1 gene:itb06g17480 transcript:itb06g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTIFALTFLVFASITSLLACAYEVTHDDRAIVINGERRVLLSGAIHYPRSTAQMWPDLMKKAKEGGLDAIETYVFWNAHEPVRRQYDFSGNHDLIKFIKTCQENGLYVVLRIGPYVCAEWNYGGFPVWLHNRPGLKAFRTNDQVFMREMQNFTTLIVEKVRKEKLFASQGGPIILAQIENEYGNINGPYGDDGKEYINWCAKLANSFGIGVPWIMCQQHDAPEPMLETCNGWYCDRYKPTNPTTPKMWTENWTGWFKSWGGKDPYRTAEDLAYSVARFIQLGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYNAPLDEYGHLNQPKYGHLKELHSVLHSLEKNIVYGNITTTKLDNSIQVTVYGFNGTKTCFFSNANETADATINYQGTDYKVPAWSVSILPDCKTEVYNTAKVNTQTTVMVKEGDKATDEGSAAALRWSWRPEMVDDTILQGKGQFSANQILDQKVANDVSDYIWYMTSVNLDKDDPIWSKDMRLRVNGTGQVLHVYANGKYVDSQWNDYSLFETNIKLNPGKNLLTLLSASIGFQNYGAYFDLGGNGLYGVEIVGKKGDETVSKNLGSHKWSYKVGLHGEQNKLFSSNKNSRSASQWLSDNLPTARRMTWYKTSFKAPAGEDPVVVDLMGLGKGFAWVNGNNLGRYWLILAKGDCPTEPCDYRGPYTNNKCLSNCGNPTQRFYHVPRSFLNHGDNNNNNDLVLFEEIGGTPSLVRFQTVRPGTVCANAYENKAAEISCPGRNITEIKYANFGHTRGTCGGFEKGLCGGAEDAMDILKTACLGKESCSVTASESVFGATNCDGSHTKKLVVEAVC >itb09g14100.t1 pep chromosome:ASM357664v1:9:9327986:9330593:-1 gene:itb09g14100 transcript:itb09g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITANQSRQQVHFMVLPMMLPGHMIPLIDFARMVARCDGVIVTVLTTPVNAKRFRPALDRERQCGFEIREHELRFPCAEAGLPEGCENGDLIPKGQNHLAANFMVAVGMLRPQVEEAVKQSKPPPSCIVSDVCLTWMADAAAAAAGLNIPRLMFNASCCFSDVCATRISESKIVGKVGSESEQFTVPEVPHNIQLCKAQVKLCTTDPNLLDDDPRKKLGEKLMQGSKSAYGVIVNSFAEMEPDYVKEYRKMYHGRVWCVGPVSLCNQNYQDRSLRGYNNNYSDQQECLKWLDLQAPGSVVYVSFGSMASLSPRQMAELALGLESNKRPFLWVLGKKGKSFDAFEDWNVSNGFEQRNTGKGLLVREWAPQVLILSHSSIGGFLTHCGWNSTLEAISAKVPMVTWPLIAEQFLNEKLVVEVLGIGVSLGLKMSVDWDGQDKNDVVIVKNEEIKEAIDKIMDEGGIDIRTKHGSNTPPSRGHRSPREQRREIEQRREFSTLEVEEGEIRTSPFRDQSAAEGGAAKKHGSKARCRLRRPEAGEGRSADCGGGRCARGEARRLRRNGRVGAKAA >itb01g02450.t1 pep chromosome:ASM357664v1:1:1594180:1595112:1 gene:itb01g02450 transcript:itb01g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGEEEGEREGSSSAAIGPEESMLFVFRNSKDQLEVHRKALQMLNSINGPIIVVSVCGSAKQGKSAPSSFLLHRVLYQNALSPPHSTQGSISMGEGEGEEEGEREGSSSAAIGPEESMLFVFRNSKDQLEVHRKALQMLNSINGPIIVVSVCGSAKQGKSYLLNKVIYL >itb07g13680.t1 pep chromosome:ASM357664v1:7:15961168:15963696:1 gene:itb07g13680 transcript:itb07g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREAVRRVLKREEAVLLSTAFAVDQFAHRRRPGRRPVRRLPSPSTSSPTTFADLSAFAQRRFSSYKG >itb15g23670.t1 pep chromosome:ASM357664v1:15:26460346:26460690:1 gene:itb15g23670 transcript:itb15g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDKGTFSLLCRFQENKKSIQLLPTLSPVSHQHVKLLETVATSYIVTQNMMFYSLCSRVVPYSEQHHCLDAQGRAFRLQPDRTTTVISSLRVVPYSEQHHCLDALESQQLLAV >itb04g01130.t1 pep chromosome:ASM357664v1:4:635797:637970:-1 gene:itb04g01130 transcript:itb04g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASCLPILECVYCLACARWVWKKFRYTAGRESENWGIAAASEFEPVPRFCRYILSIYEDDIRNPIWAPPGGYGVDPDWVIVKRNHEDTRGRVTPYMVYVDHQNGEIVVAIRGLNLAKESDYFVLLDDKLGQGEFDGGYVHNGLLKAAQWVMEAEANVLRELVVRYPNYTLTLAGHSLGAGVVALLTMLAVKNRGKLGNLERKRIRCFAIAPARCVSLNLAVRYADIINSVVLQDDFLPRTTVALENAFKSLFCFPCLLCIMCLKDTCTMEETMLKDPRRLYAPGRLYHIIVRKPFSSAKILPIVRTAIPVDGRFEHIVLSRDMTRDHSIIQILTESQRTLDSMLERDQSTDIPTQQRMVRKASLAKEHMQEHQAALQRAVALDVPRAYSPSSYGTFRELEQGENSGKSRRFSLTLSKTRKESWDDLAGQLLPTDKLV >itb07g02780.t1 pep chromosome:ASM357664v1:7:1799046:1802768:-1 gene:itb07g02780 transcript:itb07g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNFKVPPNSTSLGNARRRTFEVFRTCNLHSPVASEFRRNAHLTNPKEPWHNKVEKVALNGSYANIASLIKDLSIKGLPLEAERLVLGMRSEGFLLDMSTLSALMLCYANNGLLSKAQAVWDEILNSSFVLDVRVVAKLIDIYGCMGYFDMVVKILHQVRMKDSSMLPRVYALAISCFGKRGQLQLMEIMLKEMVSMGFPVDSATGNAYVIYYSRFGSLTEMECAYMRLKRSRILIEEDAIRSISTAYIKEEKFYYLGQFLRDVGLGRKNVGNLLWNLLLLSYAAKFKMKSLQREFVRMVEYGFRPDLTTFNIRTMAFSKMCLFWDLHVSLEHMKHEQVVPDLVTYGSVVDAYLDRRLGRNLDFALNKMNVNDRVAMLTDPVIFEAMGKGDFHLSSDAFLEFSSKKSWTYKELIKTYLKKKFRSNQIFWNY >itb01g13890.t2 pep chromosome:ASM357664v1:1:14976667:14982371:-1 gene:itb01g13890 transcript:itb01g13890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MACLSATLLNSLSWTARVHGPHHLYPNRPNHTFVVSMNGMVHSMREHTLLKASLSSSYIEVETAKKEGVLTLHELCQGHVPEHIIRRMEEVGYVVPTKVQQEALPVLFAGCDCVLHAQTGSGKTLAYLLRIFSVINTQRSAVQALIVVPTRELGIQVTKVARVLYAKSEELNSGQKSCTIMALLDGGTLKRHKSWLKAEPPTIVIATLGSLTQMLEKNILKLDAMQVLVIDEVDFMFNSSKHVSSLRKLLTSYSCRKSRQTIFASASIPQHRRFLYDAVQQKWTKADVVHLYVNPIMPMPSCLHHRFVVCSKGERYLTLLSLLKSDEPHSAIVFVGVQSEKSKKAGDPPPTTLLVNFLKSSLMGFSEISLLEEDMNFNQRAASLTELQQGSSHLLVATDIAARGVDLPGTTHIYNFNLPKDAVNYLHRAGRAGRKPFSDEKCFVTSIITPQEQFVLQRFENELMFSCEQLFFDV >itb01g13890.t4 pep chromosome:ASM357664v1:1:14976667:14981948:-1 gene:itb01g13890 transcript:itb01g13890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MACLSATLLNSLSWTARVHGPHHLYPNRPNHTFVVSMNGMVHSMREHTLLKASLSSSYIEVETAKKEGVLTLHELCQGHVPEHIIRRMEEVGYVVPTKVQQEALPVLFAGCDCVLHAQTGSGKTLAYLLRIFSVINTQRSAVQALIVVPTRELGIQVTKVARVLYAKSEELNSGQKSCTIMALLDGGTLKRHKSWLKAEPPTIVIATLGSLTQMLEKNILKLDAMQVLVIDEVDFMFNSSKHVSSLRKLLTSYSCRKSRQTIFASASIPQHRRFLYDAVQQKWTKADVVHLYVNPIMPMPSCLHHRFVVCSKGERYLTLLSLLKSDEPHSAIVFVGVQSEKSKKAGDPPPTTLLVNFLKSSLMGFSEISLLEEDMNFNQRAASLTELQQGSSHLLVATDIAARGVDLPGTTHIYNFNLPKDAVNYLHRAGRAGRKPFSDEKCFVTSIITPQEQFVLQRFENELMFSCEQLFFDV >itb01g13890.t3 pep chromosome:ASM357664v1:1:14977978:14982371:-1 gene:itb01g13890 transcript:itb01g13890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MACLSATLLNSLSWTARVHGPHHLYPNRPNHTFVVSMNGMVHSMREHTLLKASLSSSYIEVETAKKEGVLTLHELCQGHVPEHIIRRMEEVGYVVPTKVQQEALPVLFAGCDCVLHAQTGSGKTLAYLLRIFSVINTQRSAVQALIVVPTRELGIQVTKVARVLYAKSEELNSGQKSCTIMALLDGGTLKRHKSWLKAEPPTIVIATLGSLTQMLEKNILKLDAMQVLVIDEVDFMFNSSKHVSSLRKLLTSYSCRKSRQTIFASASIPQHRRFLYDAVQQKWTKADVVHLYVNPIMPMPSCLHHRFVVSEVQELYLAFVWVPLCSCHVFILLAGPV >itb01g13890.t1 pep chromosome:ASM357664v1:1:14976202:14982371:-1 gene:itb01g13890 transcript:itb01g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MACLSATLLNSLSWTARVHGPHHLYPNRPNHTFVVSMNGMVHSMREHTLLKASLSSSYIEVETAKKEGVLTLHELCQGHVPEHIIRRMEEVGYVVPTKVQQEALPVLFAGCDCVLHAQTGSGKTLAYLLRIFSVINTQRSAVQALIVVPTRELGIQVTKVARVLYAKSEELNSGQKSCTIMALLDGGTLKRHKSWLKAEPPTIVIATLGSLTQMLEKNILKLDAMQVLVIDEVDFMFNSSKHVSSLRKLLTSYSCRKSRQTIFASASIPQHRRFLYDAVQQKWTKADVVHLYVNPIMPMPSCLHHRFVVCSKGERYLTLLSLLKSDEPHSAIVFVGVQSEKSKKAGDPPPTTLLVNFLKSSLMGFSEISLLEEDMNFNQRAASLTELQQGSSHLLVATDIAARGVDLPGTTHIYNFNLPKDAVNYLHRAGRAALEGCMAEVESSRTGRKWQKERISSKTVTFDGCG >itb14g18440.t1 pep chromosome:ASM357664v1:14:21471867:21472148:-1 gene:itb14g18440 transcript:itb14g18440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFFPLRDASSSKPRGLSAEEIKRLKEERFENGGDESPVCPVCLEEFLAGVKISPLPCSHVFHHGCISSWLEKSASCPICRFDVANHLATT >itb11g09460.t1 pep chromosome:ASM357664v1:11:6306735:6307951:-1 gene:itb11g09460 transcript:itb11g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQYAYFACFQNLYRVKIKIPSSPSSCKEEVKLELVASDLPYFMALFNHGHTLYAVGGVDVYAETAETGPSYSRQRLIFDFDPSRFPQLPIENPDSLDKPVDFQSMECPRVIRGDDDRIYLLSKNHSCFKPILEGQFCFQSFDFVKDCFETLPPPPLAPDHALDLDRTLDRFFTLGHFVLRGYLYLX >itb06g06900.t1 pep chromosome:ASM357664v1:6:9684714:9691870:-1 gene:itb06g06900 transcript:itb06g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGDEQKPLLLTTSASPKGGFRTLPFIVGTMAFVSMTTSSLAADMIKYLMGEYHMDMVSGSNLIYFWYAITYIVPVTGAILADSLMGRFQVIGIASIIGLVGMVLLWLPTVIPHAKPPPCSDSCSSATTFQLLHLCTSFVLLSVGSGGVVSCLMAFGADQLKHIQKNAGAMESYFSWYYAIFAFCEVVGLTGLVYIQENAGWKIGYGILVVFFLFAALSFFLGSPFYVKQNAKRSLIPGLLKVIVASCRNRHLKFSSGNTQIAYHHNTSTTMDHPSERLRFLNKACIIQDPQQDLNSDGTAVDPWRLCTVDQVEELKAIVKVIPIWLTGVIMSVNFCQSSFAVLQATSLDRHIFSSSNFEIPAGSVNLFGVGFSIIWIVLYDRLLLPVASRIRGNTVHFGTKSRMGFGIFVSFWYVVVVGIVESIRRSQAIKGDSKMSILWVVPQYALMGIAVGSNAVAENQFFISEFPRSMSTIASCLYALGYSLGSLLASLLMSSISELSRLSGNGSWISNDINQGHYDYFYWVLAGLSMVNFLLFLFCSWSYGPCKDQKPEAMEEEEQES >itb12g26940.t1 pep chromosome:ASM357664v1:12:27604090:27609014:1 gene:itb12g26940 transcript:itb12g26940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLCLRLRGAQHAALSTSESPIPRENDGGEGGRRGPGVNFGYENDFSSHFQLKKKKLGRGAFGYTYKAIGLRGELKNQFVDVNIIPKTKIITPFDFKYVQREVNILGGLSAHQNQIQFHKAFEDEEYVFIVMEYCKGGGLWESIHSRGGRYKEEDAKRVIVQILNFAAHIHLQGVVHRDLKPENILFAKESEDATVKVIGFGLSDYIQPGKRLNAYAGTSPYIAPEVLDESYGVSADMWSIGVITFILLSGTFPFGGHSIFDSILHSEPNFQGEAWSTISNKGKDFVLKLLIKDHRRRMTAAEALSDPWLRSQKPDIPLDISIYKLLNSYMLNKPLRRATIRALSMALIPTRRQLTYLNAQFQLLKPTNGYVSIDNFGMALMKYMSDAMWKAKVLDIIHQMPKEEIIMDFEEFCAAAISPLLLKAIGNQDYIVTEAYKHHFEQWNRVITVEDISEEQNLETSVWKWVRSDGKLSLFGYKKILQNTSPYLL >itb06g05770.t1 pep chromosome:ASM357664v1:6:8483264:8487970:-1 gene:itb06g05770 transcript:itb06g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLQFPTTHSFSNFTMASTQPPADSKRRFAPAPAPTPTQRVLKVKYGRPTDIDMSEASPNNTSRGQLPEGLVPELMPKHVGFILDGNRRWAKEKGMMVELGHQFGSKKVKHLITLCHNWGIKVLSLFTFSTENWLRPKEEVGFLMRLFKEVIDTELEESRRAGVRISFIGDKSKLPTSVQELVQAAEEATKANTNVHILVAMNYSGRSDILQACKRIARKVKDGALEVEDIENEGMFDGELETRCAEFPNPDLLIRTSGELRISNFMLWQLAYTELFFVDKNFPDFQEDDFLQALRSFQQRHRRFGGHKY >itb06g05770.t2 pep chromosome:ASM357664v1:6:8483264:8484679:-1 gene:itb06g05770 transcript:itb06g05770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASPNNTSRGQLPEGLVPELMPKHVGFILDGNRRWAKEKGMMVELGHQFGSKKVKHLITLCHNWGIKVLSLFTFSTENWLRPKEEVGFLMRLFKEVIDTELEESRRAGVRISFIGDKSKLPTSVQELVQAAEEATKANTNVHILVAMNYSGRSDILQACKRIARKVKDGALEVEDIENEGMFDGELETRCAEFPNPDLLIRTSGELRISNFMLWQLAYTELFFVDKNFPDFQEDDFLQALRSFQQRHRRFGGHKY >itb01g19920.t1 pep chromosome:ASM357664v1:1:26250903:26253768:-1 gene:itb01g19920 transcript:itb01g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTVIVQEGEGDASGSRAIATEQLREGELVSTPGAVEVIEHVADGSIPARVTEQLVQSENETQPEPNINAQEVFDNMSSQVPIRENVQTVTGTPSQLQEAPSRMEQVEGQHHAPGRIKMQGKEGFGSELLSCKPAAPLSLVFFATNGSGDLPLSLTVDGGVFPPPAWQLGRQWWWPEQRWSKPEKQQD >itb13g23870.t1 pep chromosome:ASM357664v1:13:29676060:29680089:1 gene:itb13g23870 transcript:itb13g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKILILAFLIWDVAYASGFNVSADGTVRVGLKRRTLDLNSLKDARFYNSNQLDGNINLGSPNEQFVYLKNYLDVQYYGEIGIGTPPQRFSVVFDTGSSNLWVPSSKCFFSISCHLHSRYRSRLSRTFTKIGKSCRIPYGSGSLSGFFSQDHVRIGDAVIEDQVFTEMTWEGLFSFLLARYDGILGLGFQDNAIGGVTSVWYNMLLQETVTQPLFSFWLNPNPKSSIGGEILFGGLDWTHFKGEHTYAPVARNGYWQIQVGDILIGNKTTGLCKDGCAAIVDTGTSFLAGPTTILAQINHAIGADGIVSWECKHVVSKYGDLIWELIILGFQPDSVCYRIGLCFYNQSDDGVSRGPEMVRKSLKLESSGDERALCTFCEMTVFWIKVELRKQKTKDKIFSYVDELCEKLPNPRGKSFINCDNVFKLPHISVTIANKTFPLAPREYVIRIQENRTTFCVSAFAPLDVPRPQGPLWVLGGAFLKAYHTVFDFGKMQIGFAQTA >itb13g23870.t3 pep chromosome:ASM357664v1:13:29676060:29679816:1 gene:itb13g23870 transcript:itb13g23870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKILILAFLIWDVAYASGFNVSADGTVRVGLKRRTLDLNSLKDARFYNSNQLDGNINLGSPNEQFVYLKNYLDVQYYGEIGIGTPPQRFSVVFDTGSSNLWVPSSKCFFSISCHLHSRYRSRLSRTFTKIGKSCRIPYGSGSLSGFFSQDHVRIGDAVIEDQVFTEMTWEGLFSFLLARYDGILGLGFQDNAIGGVTSVWYNMLLQETVTQPLFSFWLNPNPKSSIGGEILFGGLDWTHFKGEHTYAPVARNGYWQIQVGDILIGNKTTGLCKDGCAAIVDTGTSFLAGPTTILAQINHAIGADGIVSWECKHVVSKYGDLIWELIILGFQPDSVCYRIGLCFYNQSDDGVSRGPEMVRKSLKLESSGDERALCTFCEMTVFWIKVELRKQKTKDKIFSYVDELCEKLPNPRGKSFINCDNVFKLPHISVTIANKTFPLAPREYVIRIQENRTTFCVSAFAPLDVPRPQGPLW >itb13g23870.t2 pep chromosome:ASM357664v1:13:29676912:29680077:1 gene:itb13g23870 transcript:itb13g23870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEGLLCGMGIKILILAFLIWDVAYASGFNVSADGTVRVGLKRRTLDLNSLKDARFYNSNQLDGNINLGSPNEQFVYLKNYLDVQYYGEIGIGTPPQRFSVVFDTGSSNLWVPSSKCFFSISCHLHSRYRSRLSRTFTKIGKSCRIPYGSGSLSGFFSQDHVRIGDAVIEDQVFTEMTWEGLFSFLLARYDGILGLGFQDNAIGGVTSVWYNMLLQETVTQPLFSFWLNPNPKSSIGGEILFGGLDWTHFKGEHTYAPVARNGYWQIQVGDILIGNKTTGLCKDGCAAIVDTGTSFLAGPTTILAQINHAIGADGIVSWECKHVVSKYGDLIWELIILGFQPDSVCYRIGLCFYNQSDDGVSRGPEMVRKSLKLESSGDERALCTFCEMTVFWIKVELRKQKTKDKIFSYVDELCEKLPNPRGKSFINCDNVFKLPHISVTIANKTFPLAPREYVIRIQENRTTFCVSAFAPLDVPRPQGPLWVLGGAFLKAYHTVFDFGKMQIGFAQTA >itb07g02870.t1 pep chromosome:ASM357664v1:7:1922074:1924273:1 gene:itb07g02870 transcript:itb07g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALSGSESVVDFVVNEGRGVKGLSDMGIKALPRQYIQPPKERIAANAIVSDDSIPTIDVSNWDDPAVARAVCEAAEEWGFFQIINHGVPVELLESLKAATYRFFRLPVEEKRKYSKANSPSENVRYGTSFSPESEKTLEWKDYLSLFYVSDDEAAALWPPACRHEAIEYMKQCNAMIKNLLEMLMRGLNIHKLEESKEPLLMGSKRINFNYYPKCPNPELAVGVGRHSDISTITVLLQDQIGGLHVRKLHSQTWVHVPPVHGALVINIGDALQILSNGRYKSAEHRVSANGSSDRISVPIFVTPDPNCRVGPLPEVLAGGEKPIYKELVYSDYLRHFFGKGHDGKETIEFAKI >itb11g05120.t1 pep chromosome:ASM357664v1:11:2978610:2980344:-1 gene:itb11g05120 transcript:itb11g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMTNVLENFEISPPIASVQATTLPLTFLDIPWLLFSPTQPLFFYDFPHSNSHFRDSILPGLKTSLSLALQYYFPLAGNLVTPPRPARPYLSCSGGDFVVLTVAESAGDFQRLSGHHQRDAVDFRRLVPEFPAAAHSSPLLAVQITLFAQNGISIGFSLRNVASDERTFDQFLKTWAAIFKVGIELHKPVLSKSVPIYDRSVIQDSGGVYPILLEEWWRFRDSHSLTGPGPDDPMVRATFTMGRPEMEMIKSWILIRSKKLFGSTHLLLSPYVLTCAYLWVCLMKTTGSNNHKPIQPTELRYFGFIAGGLTRLDYPVPRSYVGNCVAFGRATARQNELTGENGVVFAAKAIGDTIKKLDEDVLGGAENWISDWSVFSGPGPHVMVTGSPKVDLYGLDFGWGRPKKIEEISIDKTHAVSLCESRDIIGGIEIGLSLPKPKMDAFASLFDEGLKSLL >itb02g07100.t1 pep chromosome:ASM357664v1:2:4429851:4432199:-1 gene:itb02g07100 transcript:itb02g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSFRRSLPTLHILPFSFLHSIIPFEFSATFLNLRISFSSLGCNSDTLYHYYSFTGPESYYTSFLQNSKHKCHLSQIHAQLYVAGLHHNGFIFTKFIHVCSNLGEIGYARQLFDAFPEPYVFLWNAIIKGYARHDLYSEAIETYRKMQHVFERPDCFTLPYILKACGDLPAFQVGRAVHGQVYRLGFESAVFVQNSVVAFYTKCGNVGRARVLFDRMHDRNIVSWTSVISGYAQNGQPFDALKIFSEMRGLNVEPDWVVLVNVLKAYADVDDLEGGKCVHSLVIKMGLEFEQDLRVALTAMYSKCGQVMVANSLFNELEDRDVILWNAMISGFAKQGHANDAVELFHQMIAKNIKPDSVTIQSTILACAEVRSLDQARWVDDYVISSEYQNDVVVNTALINMYAKCGCVDLARKVFDRTANKDVVLWSAMIVAYGSHGQGREAINLFYAMKQAKVSPNGVTFLGLLMACNHSGLVQEGWEFLHSMRDYGIEPCHQHYACVVDLLGRAGYLEMANDFIMRMPIEPSISVWGALLSACKIHRHVTLGEYAAKRLFALDPLNRGHYVQLSNLYASVHMWDGVGKVRMLMKEKGLIKDQGCSMIEINGKLNSFCMGDKSHPKSMEI >itb07g08260.t1 pep chromosome:ASM357664v1:7:6456266:6471729:-1 gene:itb07g08260 transcript:itb07g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPETALLECLFPSWWEVQVTAAAAAFVIFAYWFFFFDGDANVCDRVASADCSGVAAGVLDEDDKIGQLKGDYQTNSPYIIKVELLAAKNLIAANLNGTSDPYAIVTCGTQKRFSSMVPGSRNPMWGEEFNFSVDELPVEINVTIYDWDIIWKSAVLGSVTVPVENEGQTGALWYSLDSPSGQVCLHIKTFRLNTNSPRRLNGIAGASTRRRVTLEKQGPTVVHQKAGPLQTIFELPADEVADHSFSCALERSFLYHGRMYVSTWHICFHSNVFSKHMKVIVPFGDIDEIRRSQHAFINPSITIILRVGAGGHGVPPLGNPDGRVRYKFASFWNRNHAFRGLLRAAKNYHAMLEAEKKEREQSMLRANSSSFKASNISPQESVPKVEKFLPFIKEEVLSGIYNDVFPCTAEQFSELLLGSGSNFMREYRALRKDTNLAMGQWHSAEEYDGQVRENTFRSLCNSPMCPPDTAMTEYQHAVLSPDKKLLVFETVQQAHDVPFGSCFEVHCRWSLETNSESSCSMDIKVGAHFKKWCIMQSKIKAGAVNEYKKEMELMLDVARSYLKSKLPASESRKFASSPSLTSDIRQVSST >itb07g22600.t1 pep chromosome:ASM357664v1:7:27138731:27139291:1 gene:itb07g22600 transcript:itb07g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQPRTEQFSAWLPGGEWVLLHASVGVSAMHMQLMPDNKVVMFDRTDFGASNLSLPDGKCRDNDEVIARDCTAHSLLYDISSNTYRALMVQTNVWCSSGGLDPNGTLIQTGGYHGGDRRIRTFTPCNDDLCDWVELEQNLTIQRWYSSDHILPDGRFIIVGGRRAFSYEFFPKHPNTTNLAYQL >itb03g14500.t1 pep chromosome:ASM357664v1:3:14188689:14190126:1 gene:itb03g14500 transcript:itb03g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKICIEVGMMSARGLQRTSSLWKLQWYAVGWIDPNDKYCTKIDSSGNVNPVWKTKFSALVDSSKSDFQDLALHVEVYSREPIFLREKLLGTATILLKEFLEKYANISEASSRSVEEVGSFQLRKKNSNKPQGFIDISIRISEENEEHSTYPGEYGGFKLADNSNGINLANEYGPPQSYLPSSSLPPLKLPENHPPGNGQYANLVPILQQPSPAPLQLPENRPPANSQYPHPVPIPTNYYHPSISGPSYPSAGRPSHQPPWTTPPPPHVGYAPTFLPRPNNMSSSYINMPSNGAAPARGPGLGVGAGLGVGALAAGSMIYGNDFMSGFDLPSGLQGASLTISMDPPF >itb04g29370.t1 pep chromosome:ASM357664v1:4:32777128:32780030:1 gene:itb04g29370 transcript:itb04g29370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKTKTLMDRLPILWRSSLASAFRTALACSIVGVATLFGPEALKRQIAFPAFSYFNVIVIMTDTTLGDALRGCCYAIYATCLGACPAILSLWLIGPANLTLGTAAGAVAITAFIVVLPENTHVIAKRIALGQTVLAYTIAYVNGAQTEPIMHPLRVATSTAIGVAACVLALLFPYPSLACSEVKHNCHLFAENVSQRLNLLVKAFSAEDNASALSLVSQVKFLNSSGAKLLQNIKSKQESMQWERYPIKFFRPYCKNPGERLQEYETPLSGMEMALVDNNPPQFPVTLLSSSEVKDSLDCLTGHISKQVKGTQFDLATVPESNAENAVKFLQKLQPVTLQDLSSFFFLFSLKLLLIKPSFSPLKDVSSLNDKDGSKEQEERLLNKIYSNLAGQVKKKRFLAALKCALSLGLAVFFGSIYSKPDGFWAGLTVAISYVSAREATFRIANVKAQGTVLGSIYGVLGCFVFAKYKELRFISLIPWFLVCSFLRRSRMYGQAGGISACIGAVLILGRRGFGPPSEFAMARITQTFIGLACSIVVELLFRPTRASSLAKIQLSKSLQLLNQCIISIDYSSNSKLEETQKILKLHVNQLGKYIGEAEVEPNFWFLPFHSDCYGKLMGSLSNVVEYLHFVAQALRFLEQESATRPLWKESLMSRLDSDHLSLFRDYVGPSIKSYEEIISLVGSVSVLDKEFEKKKSSIDPELGKLPTSNNITGLSDEEIENKLKSFLEDSRQFVDEKDEDEDEEEEEEEDNGEVMNSQVALSLSALAFCMRGIVRETKEIDKAIKELVQWENPSSPVNLHEISCKIRALAHTVIN >itb02g24130.t1 pep chromosome:ASM357664v1:2:24481508:24483088:1 gene:itb02g24130 transcript:itb02g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKNSVNCGRGSVPVYLNVYDLTSINGYAYWVGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEGEPKQCEGFTFRKSILIGWSEMAAGEVRRVMEELSQKYRGNAYNLITKNCNHFCNDACIRLTGNPIPRWVNRLARIGMFCKCLVPVSLNTTKVRHHRIEEKPSEAGEKKKLRNGSNRSTPSSSSSSSGSPAPALAKTSSKKSRSPPPLISDPTAS >itb15g05760.t1 pep chromosome:ASM357664v1:15:3708309:3712272:-1 gene:itb15g05760 transcript:itb15g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLENPEAQLYIGVAVAVVAVAAAAYFFSSKKPRVCLDPENFKEFKLVKRLQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDAQGEEVIKPYTPCTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFRYQPGQVRAFGMLAGGSGITPMFQVARAILEDPNDTTKVHLIYANVTYEDILLKEELDGLAAKYPDSFKIYYVLNQPPEVWNGGVGFVSKEMIEAHCPAPAPDVKMLRCGPPPMNKAMAAHLDAIGYSAEMQFQF >itb15g05760.t2 pep chromosome:ASM357664v1:15:3708309:3712272:-1 gene:itb15g05760 transcript:itb15g05760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLENPEAQLYIGVAVAVVAVAAAAYFFSSKKPRVCLDPENFKEFKLVKRLQLSHNVAKFTFELPTPTSVLGLPIGQHISCRGKDAQGEEVIKPYTPCTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFRYQPGQVRAFGMLAGGSGITPMFQVARAILEDPNDTTKVHLIYANVTYEDILLKEELDGLAAKYPDSFKIYYVLNQPPEVWNGGVGFVSKEMIEAHCPAPAPDVKMLRCGPPPMNKAMAAHLDAIGYSAEMQFQF >itb11g06160.t1 pep chromosome:ASM357664v1:11:3674094:3678320:1 gene:itb11g06160 transcript:itb11g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGREEVKFLAHRFCLLLLLLLFACRSSPVLAQLDQEVEEFSSRILDQEQSGVHEVHCSRERSRVAWKVIQEYLTPFLEQEKYELSTKCRLHPSNDIFRDQEEHKIHVDINEWRCGFCKKSFRAEKFLDQHFDSRHSNLLNVSHSKCLADLCGALHCDVLDESKSRKTKCNPAAAARNRHLCESLANSCFPVNQGPKASRLHELFLHQFCDAHSCSGGKKLFPKGGRKHTNRFYLAASVLTLMLLPIFYLIVYLYQREMRGGTQDLKRITKVGKKAKPT >itb11g06160.t2 pep chromosome:ASM357664v1:11:3674094:3677846:1 gene:itb11g06160 transcript:itb11g06160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGREEVKFLAHRFCLLLLLLLFACRSSPVLAQLDQEVEEFSSRILDQEQSGVHEVHCSRERSRVAWKVIQEYLTPFLEQEKYELSTKCRLHPSNDIFRDQEEHKIHVDINEWRCGFCKKSFRAEKFLDQHFDSRHSNLLNVSHSKCLADLCGALHCDVLDESKSRKTKCNPAAAARNRHLCESLANSCFPVNQGPKASRLHELFLHQFCDAHSCSGGKKLFPKGGRKHTNRFYLAASVLTLMLLPIFYLIVYLYQREMRGGTQDLKRITKVGKKAKPT >itb13g19970.t3 pep chromosome:ASM357664v1:13:26839554:26842244:1 gene:itb13g19970 transcript:itb13g19970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLDEQGSKVDGAKKPVFLTKAQREQLALQRRQEEIAEQKRRAELILQQARLPSSDAAASSAKDNNKPSSDHDRDRDRDRDRDHHRSSRDKDRERERERDRERDRERERDRERDRDRESERRKREREREDEAKERERARVEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEARLLFGRGFRAGMDRREQKKLAAKNERELREEIRKKDGIEETPGEAAALRKKEQAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSRIPRPMRSWTESKLTPELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYCVLNQCNYVVLDEADRMIDMGFEPQVVGVLEAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVMMVKESEKTFRLQKLLDELGDKTAIVFINTKKQADTVSKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPNNIEAYTHRIGRTGRAGKTGVATTFLTLQDSEVFYDLKQMLIQSNSPVPPELARHEASKFKPGTIPDRPPRRNDTVFAH >itb13g19970.t2 pep chromosome:ASM357664v1:13:26839534:26843585:1 gene:itb13g19970 transcript:itb13g19970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLDEQGSKVDGAKKPVFLTKAQREQLALQRRQEEIAEQKRRAELILQQARLPSSDAAASSAKDNNKPSSDHDRDRDRDRDRDHHRSSRDKDRERERERDRERDRERERDRERDRDRESERRKREREREDEAKERERARVEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEARLLFGRGFRAGMDRREQKKLAAKNERELREEIRKKDGIEETPGEAAALRKKEQAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSRIPRPMRSWTESKLTPELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYCVLNQCNYVVLDEADRMIDMGFEPQVVGVLEAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVMMVKESEKTFRLQKLLDELGDKTAIVFINTKKQADTVSKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPNNIEAYTHRIGRTGRAGKTGVATTFLTLQDSEVFYDLKQMLIQSNSPVPPELARHEASKFKPGTIPDRPPRRNDTVFAH >itb13g19970.t1 pep chromosome:ASM357664v1:13:26839534:26843585:1 gene:itb13g19970 transcript:itb13g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLDEQGSKVDGAKKPVFLTKAQREQLALQRRQEEIAEQKRRAELILQQARLPSSDAAASSAKDNNKPSSDHDRDRDRDRDRDHHRSSRDKDRERERERDRERDRERERDRERDRDRESERRKREREREDEAKERERARVEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEARLLFGRGFRAGMDRREQKKLAAKNERELREEIRKKDGIEETPGEAAALRKKEQAADMYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSRIPRPMRSWTESKLTPELLKAVERAGYKTPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYCVLNQCNYVVLDEADRMIDMGFEPQVVGVLEAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVMMVKESEKTFRLQKLLDELGDKTAIVFINTKKQADTVSKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPNNIEAYTHRIGRTGRAGKTGVATTFLTLQDSEVFYDLKQMLIQSNSPVPPELARHEASKFKPGTIPDRPPRRNDTVFAH >itb14g18550.t1 pep chromosome:ASM357664v1:14:21504356:21505123:-1 gene:itb14g18550 transcript:itb14g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANLHKLSAQISRNPLLSHSFRATVTRSSATVSSPTRSAKVADRIVKLFAIDPDGLKRDVIGLSGQTLLKALTNHGLIEPASHRLEEIDACSAECEVHIAQEWLEKLPPPTYDEQYVLRRNSRARVLNKHSRLGCQVVLTPDLQGMVVAVPEPKPWDIP >itb09g06090.t1 pep chromosome:ASM357664v1:9:3496443:3497878:-1 gene:itb09g06090 transcript:itb09g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVCRRLRGMFNEVSKPSPPKIKPSRPTKLVSSFPATSAATSKDKKLRDLVDRFKRSSKHPSFRRRHDTYETAVRRLAAAQKFSDIEAIIDHQKRYPDITREGFVIRLISLYGQAGMPEHALKLFDEMPELNCKRTIFSFNALLTAYVNAKKFDKIGELFKELSEKLSIQPDVVSYNIVIKALCEMGSLDSAVSAIDSMDEIGIQPNLVTYNTVLDALSKSKKLSLLEKVWSQMESRSVVPNVRSFTTRIRGLVSENQFPEALELLEEMEKNGLKPDIFTYNVFIKGYVDDGNLEEAKMWYGKLAENGCSPDHVTFRLLIPLACEKDEFSFVLELCKKSIDLEQFLYDSTLQRVVDKLVEQSKVEEAKELVELGNNCSLHYRLNC >itb13g01040.t1 pep chromosome:ASM357664v1:13:977446:980211:1 gene:itb13g01040 transcript:itb13g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPILSGAIKGGIESMDFNSVMEILRKHFTLPVSGMPPHIYKRVKSFCRQHQRFLYGKQYEEKSEDDEQDSDDDEECRLVMRYFIGPVWRVSSQIKKKVKSCFRRERKIDRRRYMLLYKAESVIRQEVRASYLNKYMKQRIQATQRIRQLFIQGISLTSYIKKDMLKVKNAYHQSNNSQNNNPASLRGLEPDNIMVVDSKSTIKMVGCDDVFNTIKDNLWQQSSKLEIISIVGMGGIGKTTLARKIYEDASIISYFDCQAWVTISQDYNPTQVFQCLLHSLAPAGASHNNGASNYELAREQVYKLLIHRRYLIVVDDIWSTDVWDDLKRCFEDDNSGSRILLTTRQKNVAEYADSGNHFCHTLPFLDSNESWDLFQSKVCKRLSPEFDKIGREIVDKCKGLPLAIIVAAGLLSNSNQTFIHEWEHIAKCVPALSLDQQGENIIDLSYTFLPHHLKLCFLSFGCFPEDDQIVESTIVDFWVSEGFLKVLRSESMEDVARKNLQDLVDRNLVLSCGDGDNIEFYQMHDVLRELALREAQKENLLCSKKGYEISLRWKRNESIKSSHISQPWSIQSRICSYNSVTPNTSSLIDNGYSTSRWVGVHAHFKFLRVLNLSLWTRDINVHNIFLEIVGLVHLRLLSIPCWLNIHCLSLFMLRNLQDLRVYEYSSCEPLDIWGLPQLKHISNSAGYTLVPPRSVHHNLESIRSLDYRSCTKELFLRIPNLRTLQVTTNHEIKFKAPNWFESLVYLYKVEVLVVNADLGEFSTIYSMRILSLENFLPNLKRLDLSNTNLKWEDMDVVGRLSKLEDLRLWLYVVKDRKWEPKDGGFGRLRFLEIHSSPLQYWEATSNHFPILEKLVLGDIKLKEIPSDFVEITTLKSIMLYECSKSLISSAKRIQKEQQEYGNDTFVVDFR >itb06g09170.t1 pep chromosome:ASM357664v1:6:13321434:13322721:1 gene:itb06g09170 transcript:itb06g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWEREKLQKKQKHPSFSTTLLDEIYRSIDGFEEKPAAEEDFEAHRRRHRNGGGGRHGCPKVTMKNVRIGEHNVDEDEDVVSFRRACLIEKWMEQKVSDKVKGTKPPLPPLMSDFDNDPLFFSSSSSDSSSGVLSSSSSLSDTEFLESTRKSSASLRTSCFNSSRPKPVRTTVEKSSKTEHENLIKSKSAAVKMYANLKKMKQPISPGARLTNFLNSLFANGKPKSAVKPSPEYPKPPPQPSTSAAARSCLNKTPRINNGVKRTVRFDPVGVIVDEDCRPCGHKRIYDRDYPAGEPEFQEIKKTQGVSRGIALRGGYHQWKKDFYAKEEEEEDDKLSDCSSDLFEIDHLASFAGNRRFREELPVYETTQLPASAFIR >itb05g16130.t3 pep chromosome:ASM357664v1:5:23407088:23410355:1 gene:itb05g16130 transcript:itb05g16130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNSSFKIILGSSSFARRKILAEMGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEKMDALLTEVLVLSFHDQMFPCLLHELSFYVLLHGCRSVILDFRNFTTLLHLEDERLPHIYNCRSISTRYQMR >itb05g16130.t10 pep chromosome:ASM357664v1:5:23407244:23410355:1 gene:itb05g16130 transcript:itb05g16130.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEKMDALLTEVLVLSFHDQMFPCLLHELSFYVLLHGCRSVILDFRNFTTLLHLEDERLPHIYNCRSISTRYQMR >itb05g16130.t5 pep chromosome:ASM357664v1:5:23403288:23410289:1 gene:itb05g16130 transcript:itb05g16130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEIFATSQHYCISKMNVCHISITVGLFPRDTR >itb05g16130.t4 pep chromosome:ASM357664v1:5:23403288:23410355:1 gene:itb05g16130 transcript:itb05g16130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYFHEIPDEVIDDLIEEGIILNVAGGLMLEHPLTLPFVDTVVGTADHVMGLPKALTEKLIEEAL >itb05g16130.t9 pep chromosome:ASM357664v1:5:23407244:23410355:1 gene:itb05g16130 transcript:itb05g16130.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEKMDALLTEVLVLSFHDQMFPCLLHELSFYVLLHGCRSVILDFRNFTTLLHLEDERLPHIYNCRSISTRYQMR >itb05g16130.t13 pep chromosome:ASM357664v1:5:23407244:23410355:1 gene:itb05g16130 transcript:itb05g16130.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEKMDALLTEVLVLSFHDQMFPCLLHELSFYVLLHGCRSVILDFRNFTTLLHLEDERLPHIYNCRSISTRYQMR >itb05g16130.t8 pep chromosome:ASM357664v1:5:23407244:23410289:1 gene:itb05g16130 transcript:itb05g16130.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYFHEIPDEVIDDLIEEGIILNVAGGLMLEHPLTLPFVDTVVGTADHVMGLPKALTEKLIEEAL >itb05g16130.t6 pep chromosome:ASM357664v1:5:23403288:23410355:1 gene:itb05g16130 transcript:itb05g16130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYLFSILIGLFIDET >itb05g16130.t1 pep chromosome:ASM357664v1:5:23403288:23410355:1 gene:itb05g16130 transcript:itb05g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEKMDALLTEVLVLSFHDQMFPCLLHELSFYVLLHGCRSVILDFRNFTTLLHLEDERLPHIYNCRSISTRYQMR >itb05g16130.t11 pep chromosome:ASM357664v1:5:23407088:23410289:1 gene:itb05g16130 transcript:itb05g16130.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNSSFKIILGSSSFARRKILAEMGYEFTVMIADIDEQSIRKEKAEELVVALAEAKVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYFHEIPDEVIDDLIEEGIILNVAGGLMLEHPLTLPFVDTVVGTADHVMGLPKALTEKLIEEAL >itb05g16130.t2 pep chromosome:ASM357664v1:5:23407088:23410289:1 gene:itb05g16130 transcript:itb05g16130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNSSFKIILGSSSFARRKILAEMGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYFHEIPDEVIDDLIEEGIILNVAGGLMLEHPLTLPFVDTVVGTADHVMGLPKALTEKLIEEAL >itb05g16130.t7 pep chromosome:ASM357664v1:5:23407244:23410208:1 gene:itb05g16130 transcript:itb05g16130.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYFHEIPDEVIDDLIEEGIILNVAGGLMLEHPLTLPFVDTVVGTADHVMGLPKALTEKLIEEAL >itb05g16130.t12 pep chromosome:ASM357664v1:5:23407088:23409128:1 gene:itb05g16130 transcript:itb05g16130.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNSSFKIILGSSSFARRKILAEMGYEFTVMIADIDEQSIRKEKAEELVVALAEAKADAIIERLKSTGEMKEILGPTLLITADTVAVYEGVIREKPSGKEEARMFIKGYSGGQASVVGSVVVTNLTTGKRKTGWELSEVYLFSILIGLFIDET >itb15g14190.t1 pep chromosome:ASM357664v1:15:12416648:12417274:1 gene:itb15g14190 transcript:itb15g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELSSSIANPDVNSNRSRKKRRKIGESDQNQPQPTGVDVLRWRTQTEQQIYSSKLLEALRQVRRRNEAPSPAVAGRTVRETADKVLAVAAKGRTRWSRAILTGRLSLRLRQINKKHKRAKVVASGDIRPKKPGTKKRLPPLQRKARVLGRLVPGCRKLSFPNLLEEATDYIAALEMQVRAMSVLTGLLNGAGVGLPANPDRLGSEQS >itb10g25270.t1 pep chromosome:ASM357664v1:10:28658042:28665486:-1 gene:itb10g25270 transcript:itb10g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSRGRSSSPFHYRKSSSPYSSSSSSSSFMNGRLMPRSCSSSATSFYGSANEHNSRSMTPTRNRSDSGYTGGYENHTPVHYPSADELLAEPVDTSRSGDSISVTIRFRPMSEREYQRGDEIAWYADGDKIVRNEYNLASSYAFDRVFGPDTGTPEVYDVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDHNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFSLMIESSAHGDDYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHIPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISCLKHELDQLRRGMLVGVSQEEILSLRQQLEEGQVKMRSRLEEEEEAKAALLSRIQRLTKLILVSSKNSIPGYMGDVSTAHQRSHSASEDDKLDILREGPLLIDSEIQKDPSSDTSGFKHRRSSSKWNDDISQAGSTITESTQAGELISVSSCGPKLAVDGISMSDQMDLFVEQVKMLAGEIAFSTSTLKRLMEQSVNDPESSKSQIETLEREIQEKKRQMTILEQRIVESGEASIGNASVVEMQKTVMKLMAQCSEKGFELEIKSADNRVLQEQLESKCLENKELMAKISQLEQWLAAVNTDKSSPSERCLSNEYTDELKKKIQTQEIENERLKLEHVQIVEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAEEVTKLSLQNAKLEKELSASREMLNSRSFNMQSGNAGNRKYGDSVRQSRRGRVSSRANDNHDDFDTWDLDPEDLKRELQARKQREATLEAFLAEKEIIEDEYRKKFDEAKKREAALENDLANMWVLVARLKKENCAGQEAKTNDVLTGVDSINDPKVNEVECKDPILNNSQPIDYPTPPPEISKEEPLVARLKARMQEMKDKEHRYLGNGDANSHVCKVCFESPTAAMLLPCRHFCLCKSCSLACAECPLCRTKIADRIFAFT >itb10g18400.t1 pep chromosome:ASM357664v1:10:24400062:24403069:1 gene:itb10g18400 transcript:itb10g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVQGIGAVAAVSSANSFDSKKPRVFTRRSFPERKGRFFVVSSGRKFSCDLSSNGRRTQQLIANAVATKQDGAATSTSSKPGHEVLLFEALCEGLDEEMARDPSVCVMGEDVGHYGGSYKVTKGLAEKYGDLRVLDTPIAENAFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYVLNLEEAEMVRQGEHVTILTYSRMRYHVMQAVKTLVNNGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLTAAITENFNDYLDAPIVCLSSQDVPTPYAGTLENWTIVQPAQIVTAVEQLCQ >itb01g02840.t1 pep chromosome:ASM357664v1:1:1831065:1834047:-1 gene:itb01g02840 transcript:itb01g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGLGGGGGGGPTAAAAAAAAQKQKTLLQRVDNDIGSIVDNFSFIVNVARVNDPPVRNSQEAFMMEVRASRMVQTADSLLKLVSELKQTAIFSGFASLNDHVEQRTEEFNQLAEKTDRMLARIGEEAAASLKELESHYYSSAQRNNLLCENHEPET >itb15g21540.t1 pep chromosome:ASM357664v1:15:24227504:24232993:1 gene:itb15g21540 transcript:itb15g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSERRLYLVFEYLDLDLKKHMDSCPDFSKNPRMIKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDQRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRALFPGDSEIDELFKIFRVMGTPNEEIWPGVTSLPDYKSSFPKWPAKDLATVVPNLDAPGLDLLSKMLCLDPSKRITARSALEHGYFKDIGFVP >itb15g21540.t2 pep chromosome:ASM357664v1:15:24227648:24232967:1 gene:itb15g21540 transcript:itb15g21540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSERRLYLVFEYLDLDLKKHMDSCPDFSKNPRMIKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDQRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRALFPGDSEIDELFKIFRVMGTPNEEIWPGVTSLPDYKSSFPKWPAKDLATVVPNLDAPGLDLLSKMLCLDPSKRITARSALEHGYFKDIGFVP >itb12g08610.t1 pep chromosome:ASM357664v1:12:6776066:6777601:1 gene:itb12g08610 transcript:itb12g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPMMKSILLLALIASFAGAYDPSPMQDFCVAVRDAQAAVFVNGKVCKNPKLVTADDFYIAAGFNTPAGGTNLTSVGIISNFIDVERFPGLNTMGLSVGRIDFEPNGLIPLHYHPRGSEVVFVLEGTLYVGFVTSNAQNIGKNEVFAKILNPGDAIIFPVGLIHFLYNVGRTNAVAFGSFNSQKPGFVYLANAVFGPAPPISDDVLAKTFHLEKKIVQFLQAQNWSLA >itb01g12030.t1 pep chromosome:ASM357664v1:1:11462794:11465380:-1 gene:itb01g12030 transcript:itb01g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSSNIDKPFRSFLLFGLLLLFANVFSLANAEVHKHQFVIEATPVKRLCKTHNAITVNGKFPGPTLEVHNGDTLEIKVVNKAKYNATIHWHGVRQIRTAWADGPEFITQCPIRPGGSYTYRFTINGQEGTLWWHAHSSWLRATVYGALIIRPRKGESYPFPKPARETPILLGEWWDANPIDVIRQAQRTGAAPNVSDAFTINGQPGDLYKCSSKKTEVVKVESGETNLLRVINAALNQQLFFSVANHKLTVVGADASYVKPFTTSVIMLGPGQTTDVLITANQPPARYYMAARAYASAQGAPFDNTTTTAILQYKSASSSSKGGQRTPVLPSLPAYNDTATASAFTKSFRSLKKAEVPTEIDENLFITVGLGLNNCPSGAKSRNCQGPNGTRFTASMNNMSFVLPANFSLLQAHHQGIPGVFTTDFPAAPPVKFDYTGNVSRSLWQPVAGTKVYKLKYGARVQVVLQGTSIFTAENHPIHLHGYDFYILAEGFGNFNPKKDTAKFNLVNPPLRNTASVPVNGWTVIRFVADNPGVWIMHCHLDVHIGWGLAMAFIVENGVTELESLEAPPADLPIC >itb08g06210.t1 pep chromosome:ASM357664v1:8:5310393:5313626:-1 gene:itb08g06210 transcript:itb08g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLKGLEAVKKCSQVYMEAYTSLLSFGLSSDGLSTLENLYGKSITLADRETVEEKADAILLEAQSSDIAFLVVGDPFGATTHSDLVVRAKKLGVDVKVVHNASVMNAVGVCGLQLYRYGETISIPFFTDTWRPDSFYEKIRENRKLGLHTLCLLDIRVKEPSLESLARGKKQYEPPRYMTINTAIEQLLEVEESRGESAYNEDTACVGFARLGSEDQKVVAGSMKRLLTYDFGPPLHCLVIVGTTHPVEEEMLEFYAC >itb08g06210.t2 pep chromosome:ASM357664v1:8:5310606:5313626:-1 gene:itb08g06210 transcript:itb08g06210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLKGLEAVKKCSQVYMEAYTSLLSFGLSSDGLSTLENLYGKSITLADRETVEEKADAILLEAQSSDIAFLVVGDPFGATTHSDLVVRAKKLGVDVKVVHNASVMNAVGVCGLQLYRYGETISIPFFTDTWRPDSFYEKIRENRKLGLHTLCLLDIRVKEPSLESLARTSSYSQRQKAI >itb08g01800.t1 pep chromosome:ASM357664v1:8:1433834:1439812:1 gene:itb08g01800 transcript:itb08g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMQIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANAACAFKFVVEAVSLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKAVDRPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKARPSKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGKAKYNPSIDCLVWKIRKFPGQTEPTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >itb08g01800.t2 pep chromosome:ASM357664v1:8:1434056:1439774:1 gene:itb08g01800 transcript:itb08g01800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMQIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANAACAFKFVVEAVSLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKAVDRPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKARPSKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGKAKYNPSIDCLVWKIRKFPGQTEPTLSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >itb15g07870.t1 pep chromosome:ASM357664v1:15:5529292:5532879:-1 gene:itb15g07870 transcript:itb15g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGNAKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKRAVEGDGMTLPKYRGMLGTVGTIAREEGLAALWKGIVPGLHRQCLFGGLRIGLYEPVKNFYVGKDHVGDVPLSKKVLAGLTTGALGITIANPTDLVKVRLQAEGKLPPGVPRRYSGALNAYSTIVRQEGIAALWTGLGPNVGRNAIINAAELASYDQVKQTILKIPGFTDNVLTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSTYKNTLDCFVKTLRNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRDLESSGR >itb10g03700.t1 pep chromosome:ASM357664v1:10:3462329:3466168:1 gene:itb10g03700 transcript:itb10g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDYAHYMVTKGKENPATSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVKGIPNEFSSAAYPAKPTKPKRHIPQTSERTLDAPDIVDDYTSELVTIDDENGPVTSVKWAPDGRHIAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEGNLLASGGGGGNRCIKFWNTNIGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQLDRFIPNRSAMDFDYAHYMVTKGKENPATSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVKGIPNEFSSAAYPAKPTKPKRHIPQTSERTLDAPDIVDDYTSELVTIDDENGPVTSVKWAPDGRHIAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEGNLLASGGGGGNRCIKFWNTNIGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb03g06110.t1 pep chromosome:ASM357664v1:3:4490518:4505265:-1 gene:itb03g06110 transcript:itb03g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MTRMSTEEGDNISAAENHIHIDDDMAVGNQHSVIDNENGSGLAKENVLHSSDQFSSDSHDDHLQMVMELNFQNEYLKSHVLGLKHVNNVNSVKEHEDGSHGNVREFHEKVESLEKQLLEERQTRFAAEEAVKHLQTVYLEADTKAQELSNKLAEAQQKMDEEIKDRDEKYSELDSKFTRLHKRAKQRIQEVQKEKEDIEAQFRDVNEKAEQASSQLSALQQELERTRQQAQEALKAMDMERQQLRSANNKLRDNIEELRRSFAPKESALEDLQQSIVEKEQMLEDMRGLLKAADEKRQSSLTELSLKHQKEIESLEAQIGDALAERSKATETISSLRAAIAEKESKIAEMDAASSGEAARLRAAMETIKGELLHLKSEHEKEKESWEVVAQSLRTKLEMAESNCIRSEIEAVKMRSQLESELSAHIQLLNSKEAELVTAQEEINRLESEFSSYKVRAHALLQRKDAELAAARDNEQVKALEESLKEAEKEVLLVSAERDKALQDLKDSLAYHERELSERDTALSEADQQIRSTEMKLNSALSAHQREKQSWEMNLQNVEETWRLRCDTLKVQNEVSFSEEMHKEYEDLKTRHKKLKEEHDTFRDLADKMIEEKDAEISRLLDDNKHLRQSLNSKPVVSVADQDSSYDTAYEKREAANTNTSAAEQQILILARQQAQREEELSQSQRHILALQEEIEELERENRLHSQQVSKLKEELRDMDRTQKREGVDLTYLKNVTLKLLETGEVEALLPVIAMLLQFSPEETAKCQQAYRPTPNAPPSPASDASSGTGLSLFSRFSFS >itb04g29880.t1 pep chromosome:ASM357664v1:4:33131773:33136874:1 gene:itb04g29880 transcript:itb04g29880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCMNHCENVVSKLVSSSPSPYLSKADAFRVFSQFRKTSTKKKSSSLSLQFQPVVLNSGKIWARTSPIRCEQSVEGFSKQTVVGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPEMADRTYIEPMTPDLVEQVLEKERPDALLPTMGGQTALNLAVALAESGVLEKYGVELIGAKLDAIKKAEDRDLFKQAMKNIGLKTPPSGIGTTLEECFEIANSIGEFPLIIRPAFTLGGTGGGIAYNKEEFEAICKSGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPEDGEVMIIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGESMAVGRTFQESFQKAVRSLECGYSGWGCAPIKELDWDWDKLKYNLRVPNPDRIHAIYAAMKRGMKVNDIHELSFIDKWFLTQLKELVDVEQYLLAHNLATLTKDDFYEVKKRGFSDKQIAFALKSTEKEVRSKRLSLGIKPAYKRVDTCAAEFEADTPYMYSTYDVECESAPTQRKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLALPIQQYLDANKPKCRSGTEYVRIWGTSPDSIDAAEDRERFNIILNELKIEQPKGGIAKSEKDALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVKYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACMLPTQTVSASCLDTIRSWTAKLAKRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKSIGRPLAKYASLVMSGKSLHDIQFTEEVIPRHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIYFESSVAFAKAQIAAGQRLPHSGTLFLSLNDLTKPQLATIGRAFADIGFQIVATSGTAHVLELESIPVERVLKMHEGRPHAGDLIANGQIQLMVITSSGDTLDQIDGRKLRRMALAYKIPVITTVAGALATAKAIKSLKQNKIVMSALQDYFNIPTEAERGKNLQSASSISSSSSS >itb10g07810.t1 pep chromosome:ASM357664v1:10:9337302:9337838:-1 gene:itb10g07810 transcript:itb10g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIGSTQWLPKVRCWCGEVAPVKTSWSNANPGKRYRACPHYGGNGNCWYFEWIDSDVTEHVSRVIRGLLKKLDKKDNEIQRLQTAIEKQNGVVKKMKLESKLQFFYGFAVGIVVCLLCLKAWVNTYEQTPKLF >itb13g04230.t1 pep chromosome:ASM357664v1:13:4721816:4722506:-1 gene:itb13g04230 transcript:itb13g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTSLVCGYVLGGFFDDARKLFDEIPVRDVVCWNAMISGYDQVGRYKEALALFEEMKRENVTHDVSNLAHWFMMIQLSEVKSGQKCWIISL >itb14g16780.t1 pep chromosome:ASM357664v1:14:20158880:20159213:1 gene:itb14g16780 transcript:itb14g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAWPWWSSSWASVAGADPPRPLHSRRPSQSLVAQVNRPWVKTQPVRAQMRPDQRARGATKERCVGKLW >itb06g05470.t1 pep chromosome:ASM357664v1:6:8170237:8170515:-1 gene:itb06g05470 transcript:itb06g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPGPCITFDNFSPNPLFRSRALGFSFSTPPSRTTVHHFSLVSHCPRSSVLTSSDGQTSLHRLSHLSGDRTGLSGSVDLTSASQPSAALLH >itb12g27410.t1 pep chromosome:ASM357664v1:12:27806659:27808230:1 gene:itb12g27410 transcript:itb12g27410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAGTMRRPSSPTLSGSSGGRGDENAGGVKKGPWTPEEDKKLVDYIRKHGHGSWRAVPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFEEEEEQLIIKLHSVLGNKWSAIAMRLPGRTDNEIKNHWNTHLRKRLLQMGIDPVTHRPRTDINFIDALANLPQLLVAAANMGNNSNVANPLWDSINALRLCSDAAQIANELQLLQNFMALQLQLRGSVNNTTNEAQSQIPELATQFGSCNQLLDHLALLNPQLQGGLCNLGSSYNFSRLPPNISCSGSVATSSTSQNSEIQIHHPGIISNETNQGQTTNSNVSRINDDSNKLMTNAFTVSSSSPLNVPSSEGIPSNPIFPTLIPASPFPENPSSSIDWETDKEKYTISANLKHDIPNHVPNATTTFEAWRDIKVDDDEATDSYWQDIL >itb01g03560.t1 pep chromosome:ASM357664v1:1:2329565:2332335:-1 gene:itb01g03560 transcript:itb01g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERDMMSVMKYWMEGEISNFIQALMTIHLSLCYTYFAAKLIPKGAIRLFSILPVVSLFFFIPLNLHSAHLGGTTAFFLAWLANFKLLLLAFGHGPLSSPSISLPRFLALACLPINVQNDNSLHPQNGHFQEIPHTKSLEKSCLYPQNRHFQENPDTKSLGKSGLCPQHGHFQENPDTKFLEKSGLYPQNGHSQENPDTKSLEKSDLHPQNGHFQENPNSEPLCKSDLHPQNGHFQENPNSEPLGKSDLIHTQNWDFKESQYPKSTMNGHTSDFQENPNPKSLEKPNLHPQNGSFKENSYPKPTKNDQTMDFQGNPNPKKCDMYPQNGNFTENPYPKSTKDGQKRGFQETPNPKSSETGKIASQKNDHFIEASKSLEKFVTYATKPSLMGLMIIGYCYSEHMHPTIILLIHFIHIYFYLEIIQALMAAITRGLLGLELEPQFKEPYFSSSLQNFWGGRWNLMVNRILRPTVYTPVLRLSTRLLGRRWAAFPAVMSTFAVSGLMHELMFYYLGRVKPTWEITCFFLLHGTCLALEIAAKKALNGRLQPPRILAAALSVGFVLVTGFWLFFPQLLRCRALPKAFAEYDKFNSFLRDVTSAFQAFYS >itb12g00390.t1 pep chromosome:ASM357664v1:12:348719:350419:1 gene:itb12g00390 transcript:itb12g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMVESGVGVGLKCFSGGWTAAAAAPNTAAKPCDYCKATVASVFCRADAAFMCIACDTAVHNAGGTAGAHERVWVCEVCEQVPASVTCKADAAALCVTCDHDIHSANPLAQRHERVPVTPFYDSAAEAALKSSAFATNALPPNPCNANIDIPIPWNPSKPPLLIPEIKSSSVDLSFSDSDHFLDFDYPISSLETNNLAQHDSVNDSIVPVQPTKPSAAIANRFDIDFTRPNTKSYNARSLSHSVSSSSLDAGVVPDGSAASEISYSLGQNVAGGVDLSNTATQLVGKEREAKVLRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRTEVESDIDAIFSADFVADHGYGVVPSF >itb05g22090.t1 pep chromosome:ASM357664v1:5:27630156:27633436:1 gene:itb05g22090 transcript:itb05g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPENEHPRKAFGWAARDSSGILSPFNFSRRENGPNDVTIQIHYCGVCHSDLHTVKNDWGFSSYPIVPGHEIVGIVTQTGSNVEKFKAGDRVGVGVIVGSCLTCNVCERDLESYCPQKIFTYGSKDWDGTVTYGGYSDKIVVHQHFVLRFPDNLPSDSGAPLLCAGITVYSPMKYYGMIEPGKHLGVAGLGGLGHVAVKFGKAFGLKVTVISTSPRKEDDAINKLGADSFVVSTDPAQLQAATGTMDYIIDTIAAVHPLAPLLGLLKMDGKLVTVGLPEKPLELPVFPLVAGRKLIGGSDFGGIKETQEMLDFCGEHNITADVEVIRLDDINTAMERLAKSDVRYRFVIDLASSLPAQ >itb15g08610.t1 pep chromosome:ASM357664v1:15:6011423:6014414:-1 gene:itb15g08610 transcript:itb15g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWEGLLKWSLSHADGTQSSRNLSEEDRKWFMEAMQAQTVDVLKRMKEISLVMQTPEQVLESQGITPQDIEDMLDELQEHVESIDMANDLHSIGGLVPLLGYLRNSHANIRAKAAEVVSTIVQNNPRSQQLVMEANGLEPLLSNFTSDADVTVRTKALSAISSLIRHNKPGIAAFRLANGYAALRDALGSESARFQRKALNLFHYLLRENHSDCNVVTELGFPRILMHLASSEDGEVREGALQGLLELTQVNTGQSDGSLRVDDEKLKQLLQQRIDGISLMSPEDLGAAREERQLVDSLWNACYNEPSSLREKGLLVLPGEDEPPPDVASKHFEPPLRAWAANRNTDTKASTEKETPLLLGPGPSSR >itb14g00220.t2 pep chromosome:ASM357664v1:14:154451:157958:-1 gene:itb14g00220 transcript:itb14g00220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHKHCSFMAKLETGIFCRNPYNVTGVCNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLTYWPKLLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKERKREARREQKAEQAAVLDKSIEKELLERLKRGVYPGEIVNIIPVAKYNEILDKEAIAEEEDEDEEEHEIEYVEGYEELEEEDDMEDFGGLAIENAGAADDDDEVDSDDEETVVIHRKRNKKESGLGSRKHGRDEPSVKAKKKAKVLVEVEHEDGGERQTAVQ >itb14g00220.t1 pep chromosome:ASM357664v1:14:154451:157958:-1 gene:itb14g00220 transcript:itb14g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHKHCSFMAKLETGIFCRNPYNVTGVCNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLTYWPKLLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKERKREARREQKAEQAAVLDKSIEKELLERLKRGVYPGEIVNIIPVAKYNEILDKEAIAEEEDEDEEEHEIEYVEGYEELEEEDDMEDFGGLAIENAGAADDDDEVDSDDEETVVIHRKRNKKESGLGSRKHGRDEPSVKAKKKAKVLVEVEHEDGGERQTAVQ >itb03g04940.t1 pep chromosome:ASM357664v1:3:3278846:3281424:1 gene:itb03g04940 transcript:itb03g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRWWWLAVLMLVVSAFSDPQTNQIGDLGCGPYHVTDVPDYKGELNLSFADLRNQLSSANKRFATSTQRTVYAMVQCRKYLSTPDCVACFDAAVLVTRDCPIAVSSATLVFDGCFLRYSNTYFYDQIIDEIIGGTFRVCGNRTASKQHIFNATAQQLLNELLLATPRINGFFAAAKLQEEPPTGGGGATTYAVAQCAETVSESSCQDCLSLVYNNIKGCLPNSADGRAVDAGCFLRYSDTPFFADNQTTDIAPFLLRGSSSGKKKPILAGVVGTVGIILVLAALFLCYLQSRKQNAWIRGNILGAKSYIYKDLKAATNNFSEENILGKGGFGDVYKGTLQSGDVVAVKKLITISSRSKANFETEISLITNANHPNLIRLLGYSGNGKVLILVYEYMANASLDKYIYGEKRGMLNWKQRVDIIFGTARGLAYLHEQFDVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENKTHLTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSSDLKVEPVTEYLLELAWKLYENDEHLGLVDNNLDPNEYEAEEVKRMLEIALVCTQSPSKIRPSMSEVVVMLSSTDASIIQKPQNRPTTITDFNKRIPITTNTSILTNATISFSRFSGR >itb01g00320.t1 pep chromosome:ASM357664v1:1:162635:164726:1 gene:itb01g00320 transcript:itb01g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLSALDSAKTQYYHFKAIIIAGMGLFTDAYDLFCIPPIMKLLAHIHYPDKCEVSPAVNSTMVGIALLGTVIGKLGFGRLGDLVGRRRVYGFALVLMIVSSVGCGFSICTSRTCVLLSLGFFRFLLGVGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILLSSTVTMVVCSIFIRAANLSPENLHTPASADLAWRIIVMIGAVPAGMTYYWRMMMPETARYTALVEKNLLQAAKDMEKVLDVSLSPIKEEEEEDIDPELSSPITNTHFCNNDYSLFSTEFFHRHGRHLLACSLSWFLVDVVFYSSNLFQSHIYHQYLPETTKNAFVEAFNIAKLQTIIAICSTVPGYFFTVYFIDRIGRVKIQIMGFFFMAMGLFAIGIPYHSFWAENNAGIRGVGFMFLYGLTFFFSNFGPNTTTFIVPAELFPARFRTTCHGISGAAGKLGAIIGAVGFSWASHKYKQKEHSAAGIGMTSALLVMLGGVCIAGMITTYLLTPETKGRSLEDNENFEEHPQTCTSASMCLSHCFKGYTAVEPRSSASPNNNLAASIN >itb09g06030.t1 pep chromosome:ASM357664v1:9:3449031:3451619:1 gene:itb09g06030 transcript:itb09g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGNRRSGNYKPSIWDDDYVQSRTAIYTEKEFSKRAAELKEGVRKMVDENMDPLEKLELVDLLQRLGVSYHFEDEIQHVLEHIYTSFGCNGDQDLYATALHFRLLRQHGYKVPQEVFCGFMDEEGKFKRSLSEDTKGILSLYEATYLCMEGESIMEAAQHLCTKHLREIQNMNVLDQDLVEHALEMPLYWRMQRFEARWFISVYEKRHNMKPVLLEFAKLDYNMVQAKYLEELKQMSKWNKDIRLAEKMSFARDRLVEGFLWAVGFTPDPQFEYCRKISTKLAVLITILDDLYDVYGALDELEIFTDVVQRWDVNAAETVLPEYMKICFLAIFNSMNELGYDVLKDQGLSIITNIRKQWANLCKFYLLEVKWNLGRYTPSLNEYLDIAFITNAGPLLLMHAYFCITNPISIEDLKHLEQYPGIIRSSSMILRLANDLGTSPDEMLRGDIPKSIQYYMRESGCSEEKAEEYINGSIAETWKKLNTELVRMDRPLLKEFRRTATNLPRIAQFIYQHGDGFGVRPDEMKNRIVDLFFEPIPMP >itb13g09540.t1 pep chromosome:ASM357664v1:13:13365021:13367221:-1 gene:itb13g09540 transcript:itb13g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVWREEEKGETERSAAYSPLPPPSLCGSATPTQSTTADDQPPPTGHCLVSPPRLHLLPSPTASPPPSPAAPHHAVNSPRKSMHTVLGRVGVYGFGGCSQKRRKYDVQEEDDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEFGDEYRVRLKLHF >itb05g11280.t1 pep chromosome:ASM357664v1:5:17278513:17283087:1 gene:itb05g11280 transcript:itb05g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative UDP-glucuronate:xylan alpha-glucuronosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G77130) UniProtKB/Swiss-Prot;Acc:Q8W4A7] MRGTLGGVGGASPSLIEPRHRLSSSASIEETNKRRLLRSKSYKEGDKALFSPTKDKSLTCKFPILKLAFAVIALGALFTLWHSPAIHSEISANSGSRSFLVDKQTRKDAGVDQRYISVSDIQWDQMSRVIEKLTDTHESLGVGLLNFNDNEIDHWKELLPDAEHVILNLDYISNNVTWDTLYPEWIDEEEEFEVPTCPTLPKLQVPRKPRIDLIAVKLPCKKPGDWSRDVARLHLQLAAARFAATAKGFHPVHVLLVTECFPTPNLFTCKDLVAREGNLWLYKPNLNTLRDKLQLPVGSCELAVPLKAKEQWHSGNARREAYATILHSAHFYVCGAIAAAQSIRMAGSTRDLVILVDETISDYHRGGLEAAGWKIHTIKRIRNPKAEQDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHYWEGDEEEKKEMKTQLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVEKLHEFASDVAHRTWWKIHDAMPENLHKYCLLRSKQKAALEWDRREAEKANYTNGHWKVKIKDPRLQICFEDFCFWESMLWHWGETNWTDNSTSTPTPPMVKTVSLSSL >itb02g05780.t1 pep chromosome:ASM357664v1:2:3580722:3583394:-1 gene:itb02g05780 transcript:itb02g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATRRRVLAFAVLLLSIMEMGNVEGRHHISKKQKNKKPKPRPESDPVPSPPVYSPPPGGVVPSDPVPPAPGNAAPAPSDDSGPCIFDVTDYGAVGDGSSDDTAAFVAAWKAACQVESAVVWAPPDHTFMITSTIFSGPCQSGLIFQVDGILTPPDGPECWPKEDSAKQWLVFYRLDDMTLNGTGTIEGNGQKWWDLPCKPHKGPNGSTLPGPCDSPVLIRFFMSSNLVVKGLRIQNSPQFHIKFDGCQGVEIHELSINSPKLSPNTDGIHVQDTKHVKIYNSVIANGDDCISIGPGCANVEIDAVTCGPSHGISIGSLGVHNSQACVSNITVTNAVIKDSDNGLRIKTWQGGTGSVTGISFDTVQMENVRNCVIIDQYYCLSKDCRNQTSAVYVADISFRNIKGTYDVRSPPIHFACSDTVACTGILMSEVELLPFEGELVDDPFCWNTYGVQETLTIPPIDCLLDGMPKSISEAEYSCTL >itb02g02260.t1 pep chromosome:ASM357664v1:2:1257170:1258640:-1 gene:itb02g02260 transcript:itb02g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRLSPVIGKTSGAPLSDGSTSPRSPLELKSPRGPKSYDLGVVGLAIVAALETSRGKGGEFLALRAVCNRPVPIPVNSGRLAGGFGETAAECLDEDEEEYTLVTCRGPDNECYTRLYCDSGIVGSRRSLKPRRPTVFEISPARTGDSPGYPDSDFLSSCHMCRKNLHGKDIYMYRGEKSFCSTECRYRQIVIDECNEKMMSSEILRSDDVSSPSPCSNGQMFSPGILAL >itb04g24710.t1 pep chromosome:ASM357664v1:4:29457913:29460126:-1 gene:itb04g24710 transcript:itb04g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCENPPTLDPSAGVGSVVELGGLNSYVTGSSNSNLAIILASDVFGYEAPNLRKIADKVAANGYYVVVPDFFYGDPFVSGSQPMDDWLQEHGTDKGFEDAKSVIAALKSKGISSIGAAGYCWGAKPVVQLAQSKDYIEAAVLLHPSFVTVDDIKEVKVPIAILGAELDQLTSPEIIKEFEEILSSQSEVDYFVKIFPGVDHGWTIRYNDEDENAVQSAEEAYQDMLNWFIKNIKN >itb01g35150.t1 pep chromosome:ASM357664v1:1:37506431:37510158:1 gene:itb01g35150 transcript:itb01g35150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACAAASVVGSFHVRRQLPKTRSRQRAVMAVRSGVSVGVAPILTKLEKDCATPLPVLRHVADAMAADVRAGLAVDGGSDLKMILSYVDTLPTGNEKGLFYALDLGGTNFRVLRVQLGGKEERVVATEFEQVSIPQELMFGTSEELFDFIASALAKFAQKEGEKFHLPPGRTREIGFTFSFPVKQTSIKSGILIKWTKGFAVSGTAGKDVVACLNEAMKRLGLDMQVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYVERTDAIPKLPDQTSTSGLMIVNTEWGAFSNGLPLTEFDREMDADSINPGEQIFEKTISGMYLGEIVRRVLLKMMKSGLFGNSIPEKLLTPFVLRTPDISAMQQDISRDLEGVGSILYEIAGVNSDPSARKIVVDVCDAIAKRGGRLAGAGIVGILQKMEEDSKGRIFGKRTVVAMDGGLYEHYPQYRSYLQEAVTELLGTEISKNIVIEHTKDGSGIGAALLAAANSKYEHHL >itb03g19170.t2 pep chromosome:ASM357664v1:3:17324504:17326657:1 gene:itb03g19170 transcript:itb03g19170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKRRKIESETSRRATSIPSEKDTASASKDKPTVSAAQTSSASDKIKQAKNLAVAQAQQEGSKGNFRIFDSPFGNFLVPVIPTRAELGG >itb03g19170.t1 pep chromosome:ASM357664v1:3:17325825:17326657:1 gene:itb03g19170 transcript:itb03g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLVVKHSCGGPLDMATSIPSEKDTASASKDKPTVSAAQTSSASDKIKQAKNLAVAQAQQEGSKGNFRIFDSPFGNFLVPVIPTRAELGG >itb03g19170.t3 pep chromosome:ASM357664v1:3:17325825:17326657:1 gene:itb03g19170 transcript:itb03g19170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYPFAYSCCRATSIPSEKDTASASKDKPTVSAAQTSSASDKIKQAKNLAVAQAQQEGSKGNFRIFDSPFGNFLVPVIPTRAELGG >itb03g21090.t2 pep chromosome:ASM357664v1:3:18873591:18876449:-1 gene:itb03g21090 transcript:itb03g21090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPISQSQRFLVNTRLAVLKKPQTQFFSVPIQGIPQSSWLSARKSKMLVVVATTTAEKAKKRYPGEAKGFVEEMRFVAMKLHTRDQAREGEKETEGQPLAKWEPTVEGYLKFLVDSKLVYDTLENIVEKAPFPEYAEFRNTGLERSESLAKDLEWFRQQGYAIPEPSTPGVSYARYLEELAEKDPQAFICHFYNTYFAHTAGGRMIGRKVSEMILNNKELEFYKWDGDVKQLLQNVKDKLNKVTEVIS >itb03g21090.t1 pep chromosome:ASM357664v1:3:18873114:18876449:-1 gene:itb03g21090 transcript:itb03g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPISQSQRFLVNTRLAVLKKPQTQFFSVPIQGIPQSSWLSARKSKMLVVVATTTAEKAKKRYPGEAKGFVEEMRFVAMKLHTRDQAREGEKETEGQPLAKWEPTVEGYLKFLVDSKLVYDTLENIVEKAPFPEYAEFRNTGLERSESLAKDLEWFRQQGYAIPEPSTPGVSYARYLEELAEKDPQAFICHFYNTYFAHTAGGRMIGRKVSEMILNNKELEFYKWDGDVKQLLQNVKDKLNKVTESWTREEKNHCLEETEKSFKYSGDILRLILS >itb07g02950.t1 pep chromosome:ASM357664v1:7:1988729:1991250:1 gene:itb07g02950 transcript:itb07g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFSCPFAAYNDIENGLQSVIVKSINFGGDEQKTVLRSVSFKGQNSEPTILQSDGSGKMLVEKTVSFNARDGLMISNVCVKPRLEDEFKSVAIGVEKNTEMGTPKSPVVYTSNPKHEAAIKLQKVYKSFRTRRKLADCAVLIEQSWWKLLDFAELKHSSISFFDLEKHESAISRWSRARTRAAKIDPRHRYGHNLHFYYVQWLHSQSNEPFFYWLDIGEGKEVNLVDKCPRWKLQQQCIKYLGPMERKAYEVVVEDGKFLYKQTGELLDTGDLKGAKWIFVLSTSRTMYVGKKKKGSFQHSSFLAGGATLAAGRIVVEDGTLKAVWPHSGHYRPTPENFQDFISFLKENNVDLTDVKLDCTDEEESIVMKSGGHSRKISSGDDLPENGCLETEEIDVEDSTLVQNEAKEKESAAAVELPKSSVPPGFSHKLTNLEIPSKDDFLEKLKSESLTRPNSNALLESPLDGYESTEEVFPFEQDHGELNVFVGEESKEETIPEESILQRINSHKEAKSYQLGNQLSCKWSTGAGPRIGCVRDYPSQLQSHALEEVSLSPRSAHRLRREFPSRASTPTSLNREMQLPCSLSPLETRNRPQKLQCLSRRHSSPLRKEALVTQSAS >itb03g26720.t3 pep chromosome:ASM357664v1:3:26306895:26315261:-1 gene:itb03g26720 transcript:itb03g26720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATELVNSATSDKLTETDWIKNIEICELVARDNRQAKDVVKAIKKRLGSKNPTAQLFAVTLLEMLLNNIGDSVHKQVVETGILPVLVKIVKKKSDIPVREKVFLLLDAAQTSVGGASGRYPQYYSAYYELVNAGVKFPQRPHVIPKEHPISSNDNKNNPCVDELAASHCGKNAQQPETEKVPDSSILQKASAALEVLREVIDAVDIQHLEGARDEFTLDLVEQCSFQKQRVMHLAMTSR >itb03g26720.t5 pep chromosome:ASM357664v1:3:26308301:26315140:-1 gene:itb03g26720 transcript:itb03g26720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATELVNSATSDKLTETDWIKNIEICELVARDNRQAKDVVKAIKKRLGSKNPTAQLFAVTLLEMLLNNIGDSVHKQVVETGILPVLVKIVKKKSDIPVREKVFLLLDAAQTSVGGASGRYPQYYSAYYELVNAGVKFPQRPHVIPKEHPISSNDNKNNPCVDELAASHCGKNAQQPETEKVPDSRYYSFHFPLTRKEK >itb03g26720.t4 pep chromosome:ASM357664v1:3:26306895:26315261:-1 gene:itb03g26720 transcript:itb03g26720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATELVNSATSDKLTETDWIKNIEICELVARDNRQAKDVVKAIKKRLGSKNPTAQLFAVTLLEMLLNNIGDSVHKQVVETGILPVLVKIVKKKSDIPVREKVFLLLDAAQTSVGGASGRYPQYYSAYYELVNAGVKFPQRPHVIPKEHPISSNDNKNNPCVDELAASHCGKNAQQPETEKVPDSSILQKASAALEVLREVIDAVDIQHLEGARDEFTLDLVEQCSFQKQRVMHLAMTSR >itb03g26720.t2 pep chromosome:ASM357664v1:3:26306895:26315261:-1 gene:itb03g26720 transcript:itb03g26720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATELVNSATSDKLTETDWIKNIEICELVARDNRQAKDVVKAIKKRLGSKNPTAQLFAVTLLEMLLNNIGDSVHKQVVETGILPVLVKIVKKKSDIPVREKVFLLLDAAQTSVGGASGRYPQYYSAYYELVNAGVKFPQRPHVIPKEHPISSNDNKNNPCVDELAASHCGKNAQQPETEKVPDSSILQKASAALEVLREVIDAVDIQHLEGARDEFTLDLVEQCSFQKQRVMHLAMTSR >itb03g26720.t1 pep chromosome:ASM357664v1:3:26306895:26315261:-1 gene:itb03g26720 transcript:itb03g26720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELVNSATSDKLTETDWIKNIEICELVARDNRQAKDVVKAIKKRLGSKNPTAQLFAVTLLEMLLNNIGDSVHKQVVETGILPVLVKIVKKKSDIPVREKVFLLLDAAQTSVGGASGRYPQYYSAYYELVNAGVKFPQRPHVIPKEHPISSNDNKNNPCVDELAASHCGKNAQQPETEKVPDSSILQKASAALEVLREVIDAVDIQHLEGARDEFTLDLVEQCSFQKQRVMHLAMTSR >itb04g09680.t1 pep chromosome:ASM357664v1:4:8943427:8944392:1 gene:itb04g09680 transcript:itb04g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVARTLAAPLLFLNLIMYLIVLGFSSWCLNKFINGQTHHPSFGGNGATMFFLAFSILAAVLGIVSKFCGGNHLRVWRNDSLAAAGSSAIVAWAVTALAFGLACKEINVGGWRGWRLRVLEAFVIILAFTQLLYVLMLHAGWFSSRYGPGYRDNDYGMGMGGPTAEKGTTGVTGTRV >itb03g14790.t2 pep chromosome:ASM357664v1:3:14352357:14355447:-1 gene:itb03g14790 transcript:itb03g14790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLKTLSVYHHPKALNPNLKLPKCKLINACKSQLQTERGLQFDVGDTFFRHESATGRDLGVLSAALRKKSEGSLRVLDAMCGCGIRSLRYLVEAEADFVLANDANDCIRGIILGNLSRVSGDGSRWVVTHSDATRVLTERYLERDYFDLIDVDSFGSDSGFLRAAIAAVKLGGLLYVTNTDGYSSGGHRPQRCLAAYGAYVRRMPYSNEIGLRMLIGGVMREALILGYHVVPLFSYYSYHGPVFRVLLQVKSGQPPDPRHYGFISYCYRCGNSRAFSWEELGQISCSCSADVANSLAVSGPLWTGPLHKESYIIEMLNLAEEWGWIGGCKTGRGLEKLLKRMIEESEPKLPVGYIKMDEIASRAKVNSPPFASVLSTLHKEGYAASRSHIASNAIKTNCPMDECVRIVKEIRQTANES >itb03g14790.t1 pep chromosome:ASM357664v1:3:14352357:14355447:-1 gene:itb03g14790 transcript:itb03g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLKTLSVYHHPKALNPNLKLPKCKLINACKSQLQTERGLQFDVGDTFFRHESATGRDLGVLSAALRKKSEGSLRVLDAMCGCGIRSLRYLVEAEADFVLANDANDCIRGIILGNLSRVSGDGSRWVVTHSDATRVLTERYLERDYFDLIDVDSFGSDSGFLRAAIAAVKLGGLLYVTNTDGYSSGGHRPQRCLAAYGAYVRRMPYSNEIGLRMLIGGVMREALILGYHVVPLFSYYSYHGPVFRVLLQVKSGQPPDPRHYGFISYCYRCGNSRAFSWEELGQISCSCSADGPFGQGLFTRNRIL >itb04g07160.t1 pep chromosome:ASM357664v1:4:4778017:4783544:1 gene:itb04g07160 transcript:itb04g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILGSHPCLCSRRVPKHVWKERSCKASCFNYLDLATSSKELFSPRCQQFMSRRNQNIRKPWTTFAARTDDAEDPDDSEDEVDKEMSPENYSGSVNNDVLREKLERIVGKDDSSFSGIDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHVRNTLENVKERPRIGKAVSIFIDMDESGGRANEWIYK >itb04g07160.t2 pep chromosome:ASM357664v1:4:4778255:4783544:1 gene:itb04g07160 transcript:itb04g07160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILGSHPCLCSRRVPKHVWKERSCKASCFNYLDLATSSKELFSPRCQQFMSRRNQNIRKPWTTFAARTDDAEDPDDSEDEVDKEMSPENYSGSVNNDVLREKLERIVGKDDSSFSGIDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHVRNTLENVKERPRIGKAVSIFIDMDESGGRANEWIYK >itb14g21460.t1 pep chromosome:ASM357664v1:14:23448380:23451451:-1 gene:itb14g21460 transcript:itb14g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMTRFSTALAFFAIGVVFSPETFGSKSDGQYPLKTVTFLKLAHLLCFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFTLAGVCCAVSVAAFGYMHPWKTSTSTEKYQIGFILGAFAFNLSNLFVFTPMTIQMMRQRHKIEKESNIGDEVGWTKNQEVAKVNPKLAAMNKKFGMIHGLSSLANIVSFGCLAMHSWYLAGKINF >itb03g00620.t1 pep chromosome:ASM357664v1:3:310830:313871:1 gene:itb03g00620 transcript:itb03g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSNEIAANGSVVVNGRKGSSSVITLKDSKFMVGDHVILSEVPPSIVATPSPYTVGELSTAGCFVGFEAAEAKCRHVAAVGKLKDIKFMSIFRFKVWWTTHWTGSNGRDLEYETQILILDSSDSGRPYVVVLPLLEGPFRASLQPGKDDFVDICVESGSTKVSGDSFRNVLYMHAGNDPFNLVKEAIKVARVHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVWDGVHSLVEGGCPPGLVLIDDGWQSISHDDDPITTEGMNRTAAGEQMPCRLIKFQENYKFRDYASPKSGTKGMGSFIKDVKETFNTVDYVYVWHALCGYWGGLRPNVSGLPESNIIRPKLSPGLEKTMEDLAVDKIVNNGIGLVPPEMAHQLYEGLHSHLESVGIDGVKVDVIHLLEMLCEDYGGRVELAKAYYQALTSSVKNHFNGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCADFHAASRAISGGPIYVSDSPKNHNFDLLKKLVLPNGSIMRCQHYALPTRDCLFEDPLHNGKTILKIWNLNKYTGVVGTFNCQGGGWCRETRRNKCASECSHVLSCNAGPKDVEWSQGSIQTCVQEAEAFALYLFQEKKLVLANPTDNIQITLEPFNFELITVAPITKFGPKGIHFAPIGLVNMLNTGGAIQSIKLDDENNSITVGLKGSGEMRLYASQKPTICRINGVDAPVVYEDQMVSTQVPWANPSGSVIIEYFF >itb01g07990.t1 pep chromosome:ASM357664v1:1:6337368:6339500:1 gene:itb01g07990 transcript:itb01g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLETLTVPRASAPLAPISASSISGRRTSVRFSEFRGLKIRSSASLASSSKLSFRGGRIVCEARNTATEVPPVSDDTWQSLVLDCDLPVLVEFWAPWCGPCRMIHPVIDELAKEYAGKLKCYKVNTDESPSIATKYGIRSIPTVMIFKNGEKRDAVIGAVPKSTLCTCIEKFC >itb15g03180.t1 pep chromosome:ASM357664v1:15:2019044:2019460:1 gene:itb15g03180 transcript:itb15g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQLLREDLGSGEEEKIPPVRRRGRPMKPVKDDVEEEEDEAEKVVEDEEDEDNTKSSILSKDIKNQASENGKKRKRPSQVKENGDLAKEENGLGSKTSSNELKRSVGFRQNGSRRKNKPRRAAEVGVECR >itb02g13150.t1 pep chromosome:ASM357664v1:2:9174408:9177115:1 gene:itb02g13150 transcript:itb02g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISSPCFVIFPLMAHGHTIPLLHLARLLRRRGISVAVFTTPANAPSIRSFLKEAAKTTSIIELPFPNNPIAAGIPPGVENTHDLPSIASFCEFTKATALMQPHFEKALEGLHPVRCIISDALLGWTQESAAKVGVPRYFFFGMSAFATTLYRALHRERPHSQTLSPDEAFTFPSFPGLKLTRNDFEPPFNELEPSGPWVDFMIHQGVAMAKSQGLIINSFYELESTYVEYWNNNIGPKAWCVGPLCLAATSDNNNSSENQPCMQWLDHHSPVLYVSFGTQSEISPEQLKEIAVGLERSETKFLWAIKPKLLKNLEGFEERVKGRGVLVKDWVNQNDILKHKNIRGVLSHCGWNSVLESICAKVPILALPFIAEQHLNARFVTEEIGVGLRVMPKGGRSYRGIVEAKEVERMVKEMMEGQRGDEVRKIVEEVGEAAVKAMSKGGLSSKALDMLIEYICQRPCGQAG >itb14g16730.t1 pep chromosome:ASM357664v1:14:20077777:20080446:-1 gene:itb14g16730 transcript:itb14g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSSAYLTALTLEIERKLQRALALPTQRRDLLQELFADIALEVDDRAKEILFSSENLRSASEEKIKGPICYFDVLADHFIHVADSGKPILSLIVQLWSQQSFACHIFSLLFHKWLFEVELDKSESLLRYSSALVQGATDVFWIDVHSNTKCFQSLFRYLFEEVSLVPERLKKIPFQAQRNLFLLLSRFIFFYNLVDKLEMFLSQFPDFPNAVFIGGPADFFVTEVADQLQKLKVEPVLLHYLSQVKVLRGLELRMTTSTRLRTCLYSFTSPGAPMYPTRAVRHAAWDALNFLFPVGRYPRQLISIFFRLLYPWYWPSSFWNFIKSCVVALFYSVLRLIFSRRNKSKEKQF >itb15g04040.t1 pep chromosome:ASM357664v1:15:2525219:2527322:-1 gene:itb15g04040 transcript:itb15g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAANLQETLKPFYQRASDAEDRLARLEASLASKNNSGNEQLATRVQELQSKLEDAKVELAAEKERALKEVQQLTAENAKLQYRIIHLVRALKQADCKIASNQGCR >itb13g22450.t1 pep chromosome:ASM357664v1:13:28715392:28715784:-1 gene:itb13g22450 transcript:itb13g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVDTTEDVKLLRNNGIIEEGGLSDEKIAEMFNGINRSAGGGEQSESQKAVEELESKMMWKRAYKFCNKIVKCTKELLVKKPAIIAMKYLTSIFLAALLILQAYCDIYDCSRKESQTTNIATRLMSVI >itb15g10820.t1 pep chromosome:ASM357664v1:15:8571454:8572817:-1 gene:itb15g10820 transcript:itb15g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKMVAGFRRSLSFPNHPNSSSSSKPKKTFHVRSTSLPCRSHPLISQLRDDLNALMAALSQTRTSAWLCDALARLKTIHESLDDLLQLPQTRESLHAHAGLVENLLEDFLRFVDVYGIFQTMILRVKEEHQAAHVAVRRKDAAKLASFSKSIKNLGKEMEKLIPNLQSTATDKYLVLPKQVVAVPDGDAEVVGVMKDAVKVTVMVSTALFNGLSVSFTLPKSSSRRWIVGAKKVNVEEGIEEFKEMAALWGLRRKGEEEDAKMVAKRMHEMEDCIGGIESGGEKVFRSLINARVSLLNVFTQ >itb12g01140.t1 pep chromosome:ASM357664v1:12:804358:806993:1 gene:itb12g01140 transcript:itb12g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTCRAVSNLSKPRLFAPRPRSHDRVPWIKKASRFGFTPGVLQYSNSSIRLAKSDESSSFTSQYVKDEPDSIETADDTKSGEQTSAYVSLHNEASEQEDNYDTAKENEKSEDNPLSELQGKLSEFFDQLDIEIDSENLSSLFIFGGGGGVALWLTSAVVGAIDSIPLFPKLMEVVGIGYTLWFTNRYLLLKKNREELASKIEEIKKQVLGSDRN >itb06g05590.t1 pep chromosome:ASM357664v1:6:8270417:8271886:1 gene:itb06g05590 transcript:itb06g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGQFTKDENDLFDTMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVGM >itb05g16270.t1 pep chromosome:ASM357664v1:5:23488664:23496794:1 gene:itb05g16270 transcript:itb05g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCATVPGTLRTEWFNPNRNRLIAPARINQSKCGLSRRGFVFKGVFAAGASVIAPSEAAQPSQGLERLPFKPEGYNFWTWKGHRIHYVVEGEGAPIVLVHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSEKALVEYDALIWRDQVVDFLKEIVKEPAVLVGNSLGGFTTLVAATTLPEQVKGVVLLNSAGQFGDASSATTEQEDTALQKLILKPLKEIFQRIVLGFLFWQAKQPARIESVLKSVYINSSNVDNYLIDSITRPAADPNAGEVYYRLMTRFMSNQRKYTLDAVLSELSCPLLLLWGDLDPWVGPAKANRIKEFYPNTSLVNLQAGHCPHDEVPELVNKALLDWLSTLNPSAPSLQEPGLGRESSHHHLITPIATITMAEGHTQGGGRNSLNSRAVLNQRILSSMSRRAVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYNDIKDLPPHRLAEIRRFFEDYKKNENKSVAVEDFLPAESAVDAIKYSMDLYASYIVESLRQ >itb05g16270.t3 pep chromosome:ASM357664v1:5:23488664:23496430:1 gene:itb05g16270 transcript:itb05g16270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCATVPGTLRTEWFNPNRNRLIAPARINQSKCGLSRRGFVFKGVFAAGASVIAPSEAAQPSQVGLERLPFKPEGYNFWTWKGHRIHYVVEGEGAPIVLVHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSEKALVEYDALIWRDQVVDFLKEIVKEPAVLVGNSLGGFTTLVAATTLPEQVKGVVLLNSAGQFGDASSATTEQEDTALQKLILKPLKEIFQRIVLGFLFWQAKQPARIESVLKSVYINSSNVDNYLIDSITRPAADPNAGEVYYRLMTRFMSNQRKYTLDAVLSELSCPLLLLWGDLDPWVGPAKANRIKEFYPNTSLVNLQAGHCPHDEVPELVNKALLDWLSTLNPSAPSLQEPGLGRESSHHHLITPIATITMAEGHTQGGGRNSLNSRAVLNQRILSSMSRRAVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQGERDDKIIAVCADDPEFRHYNDIKDLPPHRLAEIRRFFEDYKKNENKSVAVEDFLPAESAVDAIKYSMDLYASYIVESLRQ >itb05g16270.t4 pep chromosome:ASM357664v1:5:23488664:23496430:1 gene:itb05g16270 transcript:itb05g16270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCATVPGTLRTEWFNPNRNRLIAPARINQTGKCGLSRRGFVFKGVFAAGASVIAPSEAAQPSQGLERLPFKPEGYNFWTWKGHRIHYVVEGEGAPIVLVHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSEKALVEYDALIWRDQVVDFLKEIVKEPAVLVGNSLGGFTTLVAATTLPEQVKGVVLLNSAGQFGDASSATTEQEDTALQKLILKPLKEIFQRIVLGFLFWQAKQPARIESVLKSVYINSSNVDNYLIDSITRPAADPNAGEVYYRLMTRFMSNQRKYTLDAVLSELSCPLLLLWGDLDPWVGPAKANRIKEFYPNTSLVNLQAGHCPHDEVPELVNKALLDWLSTLNPSAPSLQEPGLGRESSHHHLITPIATITMAEGHTQGGGRNSLNSRAVLNQRILSSMSRRAVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQGERDDKIIAVCADDPEFRHYNDIKDLPPHRLAEIRRFFEDYKKNENKSVAVEDFLPAESAVDAIKYSMDLYASYIVESLRQ >itb05g16270.t2 pep chromosome:ASM357664v1:5:23488664:23496794:1 gene:itb05g16270 transcript:itb05g16270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCATVPGTLRTEWFNPNRNRLIAPARINQSKCGLSRRGFVFKGVFAAGASVIAPSEAAQPSQGLERLPFKPEGYNFWTWKGHRIHYVVEGEGAPIVLVHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSEKALVEYDALIWRDQVVDFLKEIVKEPAVLVGNSLGGFTTLVAATTLPEQVKGVVLLNSAGQFGDASSATTEQEDTALQKLILKPLKEIFQRIVLGFLFWQAKQPARIESVLKSVYINSSNVDNYLIDSITRPAADPNAGEVYYRLMTRFMSNQRKYTLDAVLSELSCPLLLLWGDLDPWVGPAKANRIKEFYPNTSLVNLQAGHCPHDEVPELVNKALLDWLSTLNPSAPSLQEPGLGRESSHHHLITPIATITMAEGHTQGGGRNSLNSRAVLNQRILSSMSRRAVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGTFLRARAIGLMPMIDQGERDDKIIAVCADDPEFRHYNDIKDLPPHRLAEIRRFFEDCIHNVLILDIFICTSAEYFIPIYANG >itb14g08910.t1 pep chromosome:ASM357664v1:14:8856172:8857005:1 gene:itb14g08910 transcript:itb14g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHCFRYVVVLFAILISVDNLRHAAGGRELRPSDHGLAYQESSKPAKKQDVLDMLSFFGRTPPPPLELPEGKNVTGAWWSDTVEASPSRDGERKHHLMKEVLLVASLVCGVTGAGLLAAAAFLVASRRRDRRRETSISMSTLAPNVVVHK >itb13g26830.t1 pep chromosome:ASM357664v1:13:31951786:31952173:1 gene:itb13g26830 transcript:itb13g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSGLGIVIRAPLLKLCVIVSSALLIDLCMQRILLCCALKQITAVFDQTVSMRLRPRRTCSGGECFGGFHIKRLLHTFLISKRPLS >itb11g12950.t1 pep chromosome:ASM357664v1:11:9895978:9897398:-1 gene:itb11g12950 transcript:itb11g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMAKSIQDSPSKRHFHWTTKVSNEEEENPSPSDTKSSDVKQEEDPKKIVSPLKPHQDQESSSSSAAGTSRQGRAVSRLKSVVSSIRMNRIMQLLMKQRRRLGTKVVGTLFGYRRGGNLHFAVQKESASEPVFLVELAVPISGLVREMASDLVRITLECDKGERTKGLLDEAVWRTYCDGKKCGLANRRECGAKEWEILKSVEPISIGAGVLRIENSDGGDGGGFSAAGEIMYLRATFERVVGSRDSEAFYMMDPDTNGAPELGLYLLRV >itb06g19530.t1 pep chromosome:ASM357664v1:6:22835141:22835760:-1 gene:itb06g19530 transcript:itb06g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLKRWSTLRRFILSAVPVVFLRIAFTIKQGKHMRCSGSSGDGSSGGHNSRSEYGSCSYSYREKSMQFPPPSRIEI >itb13g20590.t1 pep chromosome:ASM357664v1:13:27403477:27403910:1 gene:itb13g20590 transcript:itb13g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNGEEEDGNQSKIEQSMHQNRHSTGLKIAELHAPPVAGELEKQPRRQQNEQHHRHENRAPVIMVGIYNKHMLCRMCRFLKSEVAVQKPLVGRTAVEVTLILYNRPICLP >itb06g14440.t1 pep chromosome:ASM357664v1:6:18991767:18997802:-1 gene:itb06g14440 transcript:itb06g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAQSLLLGETSCGSLLQQLQQIWDEVGETDEERDKMLLQLEQECLDVYKRKVDQAMTSRAHLLQTLADAKVELARLQSAFGEKTYAGIPEKTSGTIKEQLAAIAPALEKLWTQKEEKIQEFFDVQSQIQKISNEISGNNEQLESPTVDESDLSLKKLDEFHAQLQELQKEKSERLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLNDSTGVQSKSISNDTLSRLAKTVLALKEDKRQRLQKLQELATQLTDLWNLMDTPEDERSLFDHVTCNISASVDDVNIPGALALDVIEQAEVEVERLDQLKASKMKEIAFKRQTELEEIFALAHIEIDTEAAREKILSLIDSGNVEPTELLADMDNQILKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVESLINKTRAWEQDRDSTFTYDGVPLLAMLDEYMMLRHDREEEKRRLRDQKKFHDQINKEQDGVFGSTPSPARQLGTKKVVGPRTNGSGNGTANRRLSLNSHQNGSRSTSKDGRRESARAAVPLNYVAISKEDSTSHISSNEPIPTSP >itb11g00110.t2 pep chromosome:ASM357664v1:11:49344:53245:1 gene:itb11g00110 transcript:itb11g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLKSKAVASSRGQKQCVGQNAKVIHIHWEDYQQELARLCSLTSAVNEANQKKQFFREKLQSSIQVEEESLNRRNELDEMREKLESRKLVMGNMSMDSKVLKEKVKKREEQLSNEIRSLSVAGNAISLARNHLQDVSRSLAGGTGYGYLKYLKRLQRLLRLREQYMVSQVQVLYPVKIAIGYSPEQELGSFADAIKSGNSIREKGVDQGPLTIAGLHLTMVPFTKMGLFTDKKAVQRSATALGHVAHAVLLIASYLQVPLRYPLRLGGSRSYIRDYAPSVEPSSSDMASNSSVTSNSNQMEFPLFLEGQDSTRAAYAVFLLNKDLEQLLNYIGVTSLGPRHVLANLKELVRTIMSPKYIDT >itb11g00110.t1 pep chromosome:ASM357664v1:11:49344:53245:1 gene:itb11g00110 transcript:itb11g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLKSKAVASSRGQKQCVGQNAKVIHIHWEDYQQELARLCSLTSAVNEANQKKQFFREKLQSSIQVEEESLNRRNELDEMREKLESRKLVMGNMSMDSKVLKEKVKKREEQLSNEIRSLSVAGNAISLARNHLQDVSRSLAGGTGYGYLKYLKRLQRLLRLREQYMVSQVQVLYPVKIAIGYSPEQELGSFADAIKSGNSIREKGVDQGPLTIAGLHLTMVPFTKMGLFTDKKAVQRSATALGHVAHEVLDHIFVIMHLRLSLHHLIWHQIHQSPQIQIRWNFLCF >itb11g00110.t3 pep chromosome:ASM357664v1:11:49344:53245:1 gene:itb11g00110 transcript:itb11g00110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKAVASSRGQKQCVGQNAKVIHIHWEDYQQELARLCSLTSAVNEANQKKQFFREKLQSSIQVEEESLNRRNELDEMREKLESRKLVMGNMSMDSKVLKEKVKKREEQLSNEIRSLSVAGNAISLARNHLQDVSRSLAGGTGYGYLKYLKRLQRLLRLREQYMVSQVQVLYPVKIAIGYSPEQELGSFADAIKSGNSIREKGVDQGPLTIAGLHLTMVPFTKMGLFTDKKAVQRSATALGHVAHEVLDHIFVIMHLRLSLHHLIWHQIHQSPQIQIRWNFLCF >itb11g00110.t4 pep chromosome:ASM357664v1:11:49344:53245:1 gene:itb11g00110 transcript:itb11g00110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKAVASSRGQKQCVGQNAKVIHIHWEDYQQELARLCSLTSAVNEANQKKQFFREKLQSSIQVEEESLNRRNELDEMREKLESRKLVMGNMSMDSKVLKEKVKKREEQLSNEIRSLSVAGNAISLARNHLQDVSRSLAGGTGYGYLKYLKRLQRLLRLREQYMVSQVQVLYPVKIAIGYSPEQELGSFADAIKSGNSIREKGVDQGPLTIAGLHLTMVPFTKMGLFTDKKAVQRSATALGHVAHAVLLIASYLQVPLRYPLRLGGSRSYIRDYAPSVEPSSSDMASNSSVTSNSNQMEFPLFLEGQDSTRAAYAVFLLNKDLEQLLNYIGVTSLGPRHVLANLKELVRTIMSPKYIDT >itb11g04020.t1 pep chromosome:ASM357664v1:11:2146598:2148807:-1 gene:itb11g04020 transcript:itb11g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFSFPLLFSLLSTLCAAAGDGAARVELWCVAKNNAEDAALQSSLDWACGPGGADCGPIQPGGPCYDASDIQRTASFAFNDYFIKHGMTEDACNFQNTAALTSLNPSFNKCKLPSSLSNGKISTPTDMGLGPAAMDVNTSSSIKPGRWIWDLITTCFLFASVFILRGS >itb09g25680.t1 pep chromosome:ASM357664v1:9:25774808:25776751:-1 gene:itb09g25680 transcript:itb09g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQIMDDPRKRIARSFTRFVKENLLPHFQIGEEPILISLDQHGRIVHTNVMHMIQTWSDDYIEDNKLRVEAPNNIIPFIEKEMKERSQGVDSLIFDIDEQINHLAREVDDKIDGWADQINDRLNKLRQHSNMYTSERENALWKKEKDWSLGLVVGKIDERVTSWIEKERCIFLYGGNNIKWIREFTSKVHEVSLKTQSKIKLIYVGKNEKVRASIDEEQMSYLLESPYDA >itb09g25680.t2 pep chromosome:ASM357664v1:9:25775856:25776751:-1 gene:itb09g25680 transcript:itb09g25680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQIMDDPRKRIARSFTRFVKENLLPHFQIGEEPILISLDQHGRIVHTNVMHMIQTWSDDYIEDNKLRVEAPNNIIPFIEKEMKERSQGVDSLIFDIDEQINHLAREVDDKIDGWADQINDRLNKLRQHSNMYTSERENALWKKEKDWSLGLVVGKIDERVTSWVWPFSTSY >itb12g20870.t1 pep chromosome:ASM357664v1:12:23304066:23307093:-1 gene:itb12g20870 transcript:itb12g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSISSNKTQYRFPLQEEYLQRKNSGENWDRFIPNRSAMDFDYAHYMVTKGKENPDNSSPSREAYRKRLAEALNMNRTRILAFKNKPPTPVKGIVDEFSSAAYQAKPTKPKRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVYLWDASDGSTSELVTMWDASDGSTSELVTIDDENGPVTSVKWAPDGENGPVTSVKWAPDGRHVAIGLNNSDVQLWDTTSNRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLVNNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTATSNSSTKWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNSHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb15g03360.t1 pep chromosome:ASM357664v1:15:2146449:2149378:1 gene:itb15g03360 transcript:itb15g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRKMISIRFSFAVIVLSLAVQLYSATSRFSILEGSHEPLSFSVAQFGATGDGVHYDTVAIQKAIDECAAAVVSSRHRPCHVTFPPGKYLTATVHLKSGVVLDISRNATVLGGPRLRDYPEEQSRWYVVLAEGADDVGITGGGEINGQGLKFVQRFDERKNVMVSWNQTGACLGDECRPRLVGFIGCRNVKIWNVSLIEPALWCLHLVRSDRISIHDVTIYGNFNSPNNDGIDIEDSNNTLITRCHIDTGDDAICPKSSTGPVHNLTATNCWIRTKSCAIKLGSASFYTFKNFVFDNITIVESHRGLGLQIRDGGNVSDITFSNINISTRYYDPLWWGRAEPIYVTTCPRDASSKAGFISNMQFVNITSTSENGVFLSGYGGGLLSHLKFTNVNLTFKRWTNYSDGMLDYRPGCKDLVNHQTAGFMMEHIDGLELENVSMRWSKDPALKWNNPLDFRPSTVNNISLLNFLSKPFQE >itb10g07390.t1 pep chromosome:ASM357664v1:10:8740475:8744678:-1 gene:itb10g07390 transcript:itb10g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPIAHESFAWEYDVFLSFRGEDTRKTFTDHLYSALYQTGIRTFRDDEELRKGEYLAPELTRAVQNSRISIIVFSKDYASSRWCLDELVQIVECKEKGKQIVFPIFYDVDPSEVRKQSGKYGLAFAKHEERFGKGDKVQKWRSALTKVADMSGWDLQGITNGYESKFINTIIDEVRLTIRQVPVFVPDTVGLDYRVEHVVQLLLREPHDGVRMIGIHGMGGIGKTTLAKAVYNRLFVYFERSCFLEIDSTIFGQQENGINLEKVNNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVFDNLEHRNQFIKLCGGRDWFGEGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLKLFSLHAFGELALQKEDYNKLLDGIVAYCEGLPLALEVLGAYLCHKSKKEWISAFEKLKGIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSSEVSKKKIEYMGYFSDIEIQDLVDKCLINREPSLISMHSLIREMGREIIRSESPNNPGERSRLWCPYDIHDVLIGGKGTRKIEVIVFNYSPMKDVKYNTKTFKNMENLRILEIDEVHLDGKFEHLSSSKVLRCLRWNHCPLKYINISSRDSFEKLVSLEIVNSNIKEFKAPLKVIQ >itb10g07390.t2 pep chromosome:ASM357664v1:10:8741693:8744678:-1 gene:itb10g07390 transcript:itb10g07390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPIAHESFAWEYDVFLSFRGEDTRKTFTDHLYSALYQTGIRTFRDDEELRKGEYLAPELTRAVQNSRISIIVFSKDYASSRWCLDELVQIVECKEKGKQIVFPIFYDVDPSEVRKQSGKYGLAFAKHEERFGKGDKVQKWRSALTKVADMSGWDLQGITNGYESKFINTIIDEVRLTIRQVPVFVPDTVGLDYRVEHVVQLLLREPHDGVRMIGIHGMGGIGKTTLAKAVYNRLFVYFERSCFLEIDSTIFGQQENGINLEKVNNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVFDNLEHRNQFIKLCGGRDWFGEGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLKLFSLHAFGELALQKEDYNKLLDGIVAYCEGLPLALEVLGAYLCHKSKKEWISAFEKLKGIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSSEVSKKKIEYMGYFSDIEIQDLVDKCLINREPSLISMHSLIREMGREIIRSESPNNPGERSRLWCPYDIHDVLIGGKVMILNLSHSKLLCISLTSNLSISYRNENIL >itb10g07390.t3 pep chromosome:ASM357664v1:10:8739024:8744678:-1 gene:itb10g07390 transcript:itb10g07390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPIAHESFAWEYDVFLSFRGEDTRKTFTDHLYSALYQTGIRTFRDDEELRKGEYLAPELTRAVQNSRISIIVFSKDYASSRWCLDELVQIVECKEKGKQIVFPIFYDVDPSEVRKQSGKYGLAFAKHEERFGKGDKVQKWRSALTKVADMSGWDLQGITNGYESKFINTIIDEVRLTIRQVPVFVPDTVGLDYRVEHVVQLLLREPHDGVRMIGIHGMGGIGKTTLAKAVYNRLFVYFERSCFLEIDSTIFGQQENGINLEKVNNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVFDNLEHRNQFIKLCGGRDWFGEGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLKLFSLHAFGELALQKEDYNKLLDGIVAYCEGLPLALEVLGAYLCHKSKKEWISAFEKLKGIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSSEVSKKKIEYMGYFSDIEIQDLVDKCLINREPSLISMHSLIREMGREIIRSESPNNPGERSRLWCPYDIHDVLIGGKGTRKIEVIVFNYSPMKDVKYNTKTFKNMENLRILEIDEVHLDGKFEHLSSSKVLRCLRWNHCPLKYINISSRDSFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMVGCHHLTRTPNFSGCQNLCKLSFLACSSLLKVHSSIGELGKLVSLTFGGCQKLKKIPKNVSHLRSLQALDMFGSSELKASLEIFGNLTSLQTLSLSRTSTYGGLSNLSHLLNLKSIRMNFCKNQEVLQQLPHTVEWVYLYHCDNLKMIQELPLNLRSIFLESCKSLKMLPILPPNLEDIHLDGCESLEMLPELPLNLERIRLTSCKNLKMLSGFPSNLSDIFLGGCEKFEMLPELPLNLSEIRLHDWKNLKMLPELPHNLRKIILYRCKDLKMLPELPLDLYKISLSSCRNLKMLPKFPPNVTKIYLDDCQNLKLLPELPPGLQNLIVTNCELIEKVSNLSNCTGLRHLHLISCKKLKEFKGWENLHSIRTIEFRGVPHTDFSESIKKVHIFFPLFNWSPLLY >itb10g07390.t4 pep chromosome:ASM357664v1:10:8738041:8744678:-1 gene:itb10g07390 transcript:itb10g07390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPIAHESFAWEYDVFLSFRGEDTRKTFTDHLYSALYQTGIRTFRDDEELRKGEYLAPELTRAVQNSRISIIVFSKDYASSRWCLDELVQIVECKEKGKQIVFPIFYDVDPSEVRKQSGKYGLAFAKHEERFGKGDKVQKWRSALTKVADMSGWDLQGITNGYESKFINTIIDEVRLTIRQVPVFVPDTVGLDYRVEHVVQLLLREPHDGVRMIGIHGMGGIGKTTLAKAVYNRLFVYFERSCFLEIDSTIFGQQENGINLEKVNNQIKNIQKQLFKKLFNEEIDIGSIDEGIMLMKRRLQARKCLIVFDNLEHRNQFIKLCGGRDWFGEGSRLILTTREAHVFKELNVDEHYEVKVLNHEESLKLFSLHAFGELALQKEDYNKLLDGIVAYCEGLPLALEVLGAYLCHKSKKEWISAFEKLKGIPHNDIQAKLKISYDGLPDDHIKSLFLDLVCFSSEVSKKKIEYMGYFSDIEIQDLVDKCLINREPSLISMHSLIREMGREIIRSESPNNPGERSRLWCPYDIHDVLIGGKGTRKIEVIVFNYSPMKDVKYNTKTFKNMENLRILEIDEVHLDGKFEHLSSSKVLRCLRWNHCPLKYINISSRDSFEKLVSLEIVNSNIKEFKAPLKYFPCLESLDMVGCHHLTRTPNFSGCQNLCKLSFLACSSLLKVHSSIGELGKLVSLTFGGCQKLKKIPKNVSHLRSLQALDMFGSSELKASLEIFGNLTSLQTLSLSRTSTYGGLSNLSHLLNLKSIRMNFCKNQEVLQQLPHTVEWVYLYHCDNLKMIQELPLNLRSIFLESCKSLKMLPILPPNLEDIHLDGCESLEMLPELPLNLERIRLTSCKNLKMLSGFPSNLSDIFLGGCEKFEMLPELPLNLSEIRLHDWKNLKMLPELPHNLRKIILYRCKDLKMLPELPLDLYKISLSSCRNLKMLPKFPPNVTKIYLDDCQNLKLLPELPPGLQNLIVTNCELIEKVSNLSNCTGLRHLHLISCKKLKEFKGWENLHSIRTIEFRGVPHTDFSESIKKVLIRSMNSNGSFDCSLTYNEIPDWIRCRKERSSISFQYPSSNLNNYTLEFYGFVFWVVFNPAPLLPPLYCHYDIRIEKHDSKAFPPTWYNHHGIQLEVEGISFLHVITANDLYDYYGCGDIKAGEVIKATPIIEIYEGLYRDGIRSSLGEANLVKKIGVKASVVKKIGIEALYIDKDGSLQLLPLTKVG >itb12g11240.t1 pep chromosome:ASM357664v1:12:9432897:9433238:-1 gene:itb12g11240 transcript:itb12g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQERQELDDRARQGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGTQGYQEMGSKGGQTRKDQLGKEGYQEMGRKGGLSTMDKSGGQRAAEEGIHIDESKFKTHRA >itb14g18180.t1 pep chromosome:ASM357664v1:14:21287402:21294703:-1 gene:itb14g18180 transcript:itb14g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFCMNELCRATTSSEWKKGWGLKSGGFATLCSNCGSAYEHLAFCDMFHMNDSGWRECRMCGKRIHCGCIASRYLYDYMDFGGIGCISCARRLGGHALRPIQTPNDDIPNGTLTMNKIGDTQRVDTENRMDENDFDKGRLLHLSRTMEAHKPGQLFQSQNNGKIKQEAAVPIREVACLPNLNQQSVETSIFGKTDINIPKQGVKDTYESINQTPMNFSLSTPVGTSSSALHILAGIVEGREQNKVSPFQQGQKAHHILPKPVKAAHSSGSEPKKGMTTPNRIARPPGEGRGGRNQLLPRYWPRITDQELQKLSGDLKSNIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPAINHSEGIAIRVQDIKGQEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGKLVMGFRKATNNADAQDSPNPTLPNGGSCTEIQVSGATDNDMNEIKAIDDPLPRPVSIPEKKKTRNIGSKNKRLLMQAKDALELKITWEEAQDLLRPPPSVKPTIVVIEEFEFEEYEEPPVFGKRTIFTARPSGTNGLNVTVAPNGAGCLCMLSFHPSGHVWIIFGMQTDVRVLLQMS >itb14g18180.t4 pep chromosome:ASM357664v1:14:21287404:21293306:-1 gene:itb14g18180 transcript:itb14g18180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMNDSGWRECRMCGKRIHCGCIASRYLYDYMDFGGIGCISCARRLGGHALRPIQTPNDDIPNGTLTMNKIGDTQRVDTENRMDENDFDKGRLLHLSRTMEAHKPGQLFQSQNNGKIKQEAAVPIREVACLPNLNQQSVETSIFGKTDINIPKQGVKDTYESINQTPMNFSLSTPVGTSSSALHILAGIVEGREQNKVSPFQQGQKAHHILPKPVKAAHSSGSEPKKGMTTPNRIARPPGEGRGGRNQLLPRYWPRITDQELQKLSGDLKSNIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPAINHSEGIAIRVQDIKGQEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGKLVMGFRKATNNADAQDSPNPTLPNGGSCTEIQVSGATDNDMNEIKAIDDPLPRPVSIPEKKKTRNIGSKNKRLLMQAKDALELKITWEEAQDLLRPPPSVKPTIVVIEEFEFEEYEEPPVFGKRTIFTARPSGEQDQWAQCDSCSKWRRLPMHALLPPKWTCLDNIWDANRCSCSAPDELSPKELDAFLRDNMDSKRRRITENGHDCEPSGLDALATVAVLGDNIGDLGEPSAGVGATTKHPRHRPGCSCIVCIQPPSGKGKHLPSCKCNVCLTVRRRFKTLMLRKKKKQSEREAELGGQGNEEPPRDGSEIEGASGLALIQMNHSENDSNPNGDNDPIEDAGTSKGQLDLNCDPNREEDMLALIPFDDPAANLPIETSRPVQNDLETLRDCLLAQAAREDEGNCADDTQIGSSDSKQENNRENGAQFLD >itb14g18180.t2 pep chromosome:ASM357664v1:14:21287402:21294703:-1 gene:itb14g18180 transcript:itb14g18180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFCMNELCRATTSSEWKKGWGLKSGGFATLCSNCGSAYEHLAFCDMFHMNDSGWRECRMCGKRIHCGCIASRYLYDYMDFGGIGCISCARRLGGHALRPIQTPNDDIPNGTLTMNKIGDTQRVDTENRMDENDFDKGRLLHLSRTMEAHKPGQLFQSQNNGKIKQEAAVPIREVACLPNLNQQSVETSIFGKTDINIPKQGVKDTYESINQTPMNFSLSTPVGTSSSALHILAGIVEGREQNKVSPFQQGQKAHHILPKPVKAAHSSGSEPKKGMTTPNRIARPPGEGRGGRNQLLPRYWPRITDQELQKLSGDLKSNIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPAINHSEGIAIRVQDIKGQEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGKLVMGFRKATNNADAQDSPNPTLPNGGSCTEIQVSGATDNDMKNEIKAIDDPLPRPVSIPEKKKTRNIGSKNKRLLMQAKDALELKITWEEAQDLLRPPPSVKPTIVVIEEFEFEEYEEPPVFGKRTIFTARPSGEQDQWAQCDSCSKWRRLPMHALLPPKWTCLDNIWDANRCSCSAPDELSPKELDAFLRDNMDSKRRRITENGHDCEPSGLDALATVAVLGDNIGDLGEPSAGVGATTKHPRHRPGCSCIVCIQPPSGKGKHLPSCKCNVCLTVRRRFKTLMLRKKKKQSEREAELGGQGNEEPPRDGSEIEGASGLALIQMNHSENDSNPNGDNDPIEDAGTSKGQLDLNCDPNREEDMLALIPFDDPAANLPIETSRPVQNDLETLRDCLLAQAAREDEGNCADDTQIGSSDSKQENNRENGAQFLD >itb14g18180.t3 pep chromosome:ASM357664v1:14:21287402:21294703:-1 gene:itb14g18180 transcript:itb14g18180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFCMNELCRATTSSEWKKGWGLKSGGFATLCSNCGSAYEHLAFCDMFHMNDSGWRECRMCGKRIHCGCIASRYLYDYMDFGGIGCISCARRLGGHALRPIQTPNDDIPNGTLTMNKIGDTQRVDTENRMDENDFDKGRLLHLSRTMEAHKPGQLFQSQNNGKIKQEAAVPIREVACLPNLNQQSVETSIFGKTDINIPKQGVKDTYESINQTPMNFSLSTPVGTSSSALHILAGIVEGREQNKVSPFQQGQKAHHILPKPVKAAHSSGSEPKKGMTTPNRIARPPGEGRGGRNQLLPRYWPRITDQELQKLSGDLKSNIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPAINHSEGIAIRVQDIKGQEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGKLVMGFRKATNNADAQDSPNPTLPNGGSCTEIQVSGATDNDMNEIKAIDDPLPRPVSIPEKKKTRNIGSKNKRLLMQAKDALELKITWEEAQDLLRPPPSVKPTIVVIEEFEFEEYEEPPVFGKRTIFTARPSGEQDQWAQCDSCSKWRRLPMHALLPPKWTCLDNIWDANRCSCSAPDELSPKELDAFLRDNMDSKRRRITENGHDCEPSGLDALATVAVLGDNIGDLGEPSAGVGATTKHPRHRPGCSCIVCIQPPSGKGKHLPSCKCNVCLTVRRRFKTLMLRKKKKQSEREAELGGQGNEEPPRDGSEIEGASGLALIQMNHSENDSNPNGDNDPIEDAGTSKGQLDLNCDPNREEDMLALIPFDDPAANLPIETSRPVQNDLETLRDCLLAQAAREDEGNCADDTQIGSSDSKQENNRENGAQFLD >itb12g03920.t1 pep chromosome:ASM357664v1:12:2590423:2591344:1 gene:itb12g03920 transcript:itb12g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELCSEDSRSIIMSPRISFSGDVSPAEDVPVNQRNMRVSSSSSSIDFDFCVLDYESSSADELFLDGKILPIEIKRRNLRPPPPPPTAPAPPHPHPPLPQDDGAERKQSSKPWRFRRSSSLSCGSGALCPLPLLSRSNSTGSSSPITKESFNSKPHYKKFSSSSIKQSHSVISATHYQKPPVKKFMSNGGIRINPVLNIPPASLFGLSSIFSGGKDKNKKKFSLFH >itb15g01540.t1 pep chromosome:ASM357664v1:15:923500:924168:1 gene:itb15g01540 transcript:itb15g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERLLQSAAKWSKLKKLANHSYLRSLNFQRCRLEMHHFLVLSSRSWILLMHYQQKKNVSQEAFGKSQIAISIMEDEILLS >itb14g00770.t1 pep chromosome:ASM357664v1:14:528820:529696:-1 gene:itb14g00770 transcript:itb14g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYVIAAFLLVTAVLHFRPPSAAALLDPYSASFVASPTATEDTEFIRNSCQTTMYPQLCYASLSGYASAVQQDTGRLARVAIGVSLKKAKRMAAYVNNLSRQADYGADHRAATALHDCFSTLGDSVDQMRDSLQQMKQLGSGAGAGAAGSAEELRFQLSNVQTWMSAAETNEDTCVDGFDDVAEGPLKSDVYDGIVKVKQVTSNALALVNAFANKIAIP >itb10g12640.t1 pep chromosome:ASM357664v1:10:18694592:18696372:-1 gene:itb10g12640 transcript:itb10g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNLEMLPEDCLYSILSLTSPLDAFRLSSVSSSLRSAADSDSVWDGFLPPDYKEIVAKSVAAAEFSSKKDLFVRLCNSILIDDGKKSFALEKSSGLKSYMLSAKELSILYGDASEHWTWKSIPQSRFSEAAELKMICRLEIEGKVKRGILSPNTNYGVYLVMNISDGAFGLDSRPCETSIKVGDRGAAATGIAYLQPDGGAEERRPSAVYRNGGGVEMMKSQGVEGGERRDSRERSDGWMEVEIGEFFNGEEDDGDEEITMSLSEVKGCHVKGGLIVQGIEIRPKF >itb01g20500.t6 pep chromosome:ASM357664v1:1:26756632:26760404:1 gene:itb01g20500 transcript:itb01g20500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MENTILLDSRLCKGVPKLGGLVKKLRSLIQNNLAEMPPSYIQKVVVNCLNSVLELTKCLVELKQCPYYSPSASIIFALPMATYWIATTLINVSAACACVAHFKVHHQNELEELTALIAKILATLSPELAKKKAEESFQILRHAWYYDSSSRIKLLKLMFNAKDDYEGIFEKQNGFTYNAAWTSEDEHHFEKVKERMHSLWFLKDPQKILLPQFTRFVKEELFPKCKMRWGEEAILVSIDQKGRIAHPNAMHMILTWAPHYIQENSIGVQRLYNINSLVANEVYEGTSGIIRIVPEIGEMINNFLSEIGDKINVWAHLVDRRIQEVLEESTPYHSDREKDLWQQETAWSLRLLAPKFQSYQAIGELIKDWIQEEKYIFLYGGNDMKWVQEFTSKVREVGFITQLEIELVYVGRNKKIRGIIHEKKLSHSPLHNSTSVGWFWTRLRSMFLSRIHYLAVINCPVKEYNNDEILQGLKKLLTYESTNTTIEGWALLSKGAKVIVCGHGTKMLQVMNEYQIWKENIAPKGFGQAFKDHHDKIFMNRHSCCTLEYPINLDQIPENETCHECSRSMHKFLTFTCCHGHDVDSNKADE >itb01g20500.t1 pep chromosome:ASM357664v1:1:26756632:26760404:1 gene:itb01g20500 transcript:itb01g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTILLDSRLCKGVPKLGGLVKKLRSLIQNNLAEMPPSYIQKVVVNCLNSVLELTKCLVELKQCPYYSPSASIIFALPMATYWIATTLINVSAACACVAHFKVHHQNELEELTALIAKILATLSPELAKKKAEESFQILRHAWYYDSSSRIKLLKLMFNAKDDYEGIFEKQNGFTGLNEWRNDQTDLLLITSGFDISKEQIDFLNWFYDNSRPYIIWIPIMQYNAAWTSEDEHHFEKVKERMHSLWFLKDPQKILLPQFTRFVKEELFPKCKMRWGEEAILVSIDQKGRIAHPNAMHMILTWAPHYIQENSIGVQRLYNINSLVANEVYEGTSGIIRIVPEIGEMINNFLSEIGDKINVWAHLVDRRIQEVLEESTPYHSDREKDLWQQETAWSLRLLAPKFQSYQAIGELIKDWIQEEKYIFLYGGNDMKWVQEFTSKGLKNY >itb01g20500.t4 pep chromosome:ASM357664v1:1:26756632:26760404:1 gene:itb01g20500 transcript:itb01g20500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENTILLDSRLCKGVPKLGGLVKKLRSLIQNNLAEMPPSYIQKVVVNCLNSVLELTKCLVELKQCPYYSPSASIIFALPMATYWIATTLINVSAACACVAHFKVHHQNELEELTALIAKILATLSPELAKKKAEESFQILRHAWYYDSSSRIKLLKLMFNAKDDYEGIFEKQNGFTGLNEWRNDQTDLLLITSGFDISKEQIDFLNWFYDNSRPYIIWIPIMQYNAAWTSEDEHHFEKVKERMHSLWFLKDPQKILLPQFTRFVKEELFPKCKMRWGEEAILVSIDQKGRIAHPNAMHMILTWAPHYIQENSIGVQRLYNINSLVANEVYEGTSGIIRIVPEIGEMINNFLSEIGDKINVWAHLVDRRIQEVLEESTPYHSDREKDLWQQETAWSLRLLAPKFQSYQAIGELIKDWIQEEKYIFLYGGNDMKWVQEFTSKVREVGFITQLEIELVYVGRNKKIRGIIHEKKLSHSPLHNSTSVGWFWTRLRSMFLSRIHYLAVINCPVKEYNNDEILQGLKKLLTYESTNTTIEGWALLSKGAKVIVCGHGTKMLQVMNEYQIWKENIAPKGFGQAFKDHHDKIFMNRHSCCTLEYPINLDQIPENETCHECSRSMHKFLTFTCCHGHDVDSNKADE >itb01g20500.t5 pep chromosome:ASM357664v1:1:26757233:26760404:1 gene:itb01g20500 transcript:itb01g20500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENTILLDSRLCKGVPKLGGLVKKLRSLIQNNLAEMPPSYIQKVVVNCLNSVLELTKCLVELKQCPYYSPSASIIFALPMATYWIATTLINVSAACACVAHFKVHHQNELEELTALIAKILATLSPELAKKKAEESFQILRHAWYYDSSSRIKLLKLMFNAKDDYEGIFEKQNGFTGLNEWRNDQTDLLLITSGFDISKEQIDFLNWFYDNSRPYIIWIPIMQYNAAWTSEDEHHFEKVKERMHSLWFLKDPQKILLPQFTRFVKEELFPKCKMRWGEEAILVSIDQKGRIAHPNAMHMILTWAPHYIQENSIGVQRLYNINSLVANEVYEGTSGIIRIVPEIGEMINNFLSEIGDKINVWAHLVDRRIQEVLEESTPYHSDREKDLWQQETAWSLRLLAPKFQSYQAIGELIKDWIQEEKYIFLYGGNDMKWVQEFTSKVREVGFITQLEIELVYVGRNKKIRGIIHEKKLSHSPLHNSTSVGWFWTRLRSMFLSRIHYLAVINCPVKEYNNDEILQGLKKLLTYESTNTTIEGWALLSKGAKVIVCGHGTKMLQVMNEYQIWKENIAPKGFGQAFKDHHDKIFMNRHSCCTLEYPINLDQIPENETCHECSRSMHKFLTFTCCHGHDVDSNKADE >itb01g20500.t3 pep chromosome:ASM357664v1:1:26756632:26760404:1 gene:itb01g20500 transcript:itb01g20500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENTILLDSRLCKGVPKLGGLVKKLRSLIQNNLAEMPPSYIQKVVVNCLNSVLELTKCLVELKQCPYYSPSASIIFALPMATYWIATTLINVSAACACVAHFKVHHQNELEELTALIAKILATLSPELAKKKAEESFQILRHAWYYDSSSRIKLLKLMFNAKDDYEGIFEKQNGFTGLNEWRNDQTDLLLITSGFDISKEQIDFLNWFYDNSRPYIIWIPIMQYNAAWTSEDEHHFEKVKERMHSLWFLKDPQKILLPQFTRFVKEELFPKCKMRWGEEAILVSIDQKGRIAHPNAMHMILTWAPHYIQENSIGVQRLYNINSLVANEVYEGTSGIIRIVPEIGEMINNFLSEIGDKINVWAHLVDRRIQEVLEESTPYHSDREKDLWQQETAWSLRLLAPKFQSYQAIGELIKDWIQEEKYIFLYGGNDMKWVQEFTSKVREVGFITQLEIELVYVGRNKKIRGIIHEKKLSHSPLHNSTSVGWFWTRLRSMFLSRIHYLAVINCPVKEYNNDEILQGLKKLLTYESTNTTIEGWALLSKGAKVIVCGHGTKMLQVMNEYQIWKENIAPKGFGQAFKDHHDKIFMNRHSCCTLEYPINLDQIPENETCHECSRSMHKFLTFTCCHGHDVDSNKADE >itb01g20500.t2 pep chromosome:ASM357664v1:1:26756632:26760404:1 gene:itb01g20500 transcript:itb01g20500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTILLDSRLCKGVPKLGGLVKKLRSLIQNNLAEMPPSYIQKVVVNCLNSVLELTKCLVELKQCPYYSPSASIIFALPMATYWIATTLINVSAACACVAHFKVHHQNELEELTALIAKILATLSPELAKKKAEESFQILRHAWYYDSSSRIKLLKLMFNAKDDYEGIFEKQNGFTGLNEWRNDQTDLLLITSGFDISKEQIDFLNWFYDNSRPYIIWIPIMQYNAAWTSEDEHHFEKVKERMHSLWFLKDPQKILLPQFTRFVKEELFPKCKMRWGEEAILVSIDQKGRIAHPNAMHMILTWAPHYIQENSIGVQRLYNINSLVANEVYEGTSGIIRIVPEIGEMINNFLSEIGDKINVWAHLVDRRIQEVLEESTPYHSDREKDLWQQETAWSLRLLAPKFQSYQAIGELIKDWIQEEKYIFLYGGNDMKWVQEFTSKGLKNY >itb15g02260.t1 pep chromosome:ASM357664v1:15:1380521:1384081:1 gene:itb15g02260 transcript:itb15g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGNISEYRSRLDKTLSCRDLVNEEALKGLVRNQILSSSNFEMEGCIDNVVERRTEELGNTLDMLRSASLSDGDKSKSGDVSYGGWKVKQDSEEFRVMYREGPEGTPFHTLLVEGYVDGPIDVCMCISWEADLYKKWWPQTTVPCFKIAYSQCLQKVRIGEQISLVRMKLSWPLSTREALVHYFEFDYFQDGLIVVLLNSISDLENVDTSTRGFAREGIPDARDLVRIDVVGGLALQKVTANRSYFRTIANMDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVCRGDEDFSEALKGVQYKRIREALYSDCNANGDIEAEGVKTNNDMQTSDTDENEIQEEEVRDCVHHSPSEDRNICGEIEEVDEEDGGKAGTVDSPRNQIVQGGGSTTRNKKIAISPEVQQALATLEKAISFIRENGCNSNFKSMCRSNIEDSKFSGFNQIRMNGIATTTEISRKESVEITSYEHRISSCSHSSRRTSSSLCTKETSHNKIAPVSPDAYVANPNKPHHTDSNSCGDHKAAETILENIEKNNNLTASHVNHIKEDRTGKRKTRKLSYCCYSLLSRQIST >itb15g02260.t5 pep chromosome:ASM357664v1:15:1380521:1384081:1 gene:itb15g02260 transcript:itb15g02260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYREGPEGTPFHTLLVEGYVDGPIDVCMCISWEADLYKKWWPQTTVPCFKIAYSQCLQKVRIGEQISLVRMKLSWPLSTREALVHYFEFDYFQDGLIVVLLNSISDLENVDTSTRGFAREGIPDARDLVRIDVVGGLALQKVTANRSYFRTIANMDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVCRGDEDFSEALKGVQYKRIREALYSDCNANGDIEAEGVKTNNDMQTSDTDENEIQEEEVRDCVHHSPSEDRNICGEIEEVDEEDGGKAGTVDSPRNQIVQGGGSTTRNKKIAISPEVQQALATLEKAISFIRENGCNSNFKSMCRSNIEDSKFSGFNQIRMNGIATTTEISRKESVEITSYEHRISSCSHSSRRTSSSLCTKETSHNKIAPVSPDAYVANPNKPHHTDSNSCGDHKAAETILENIEKNNNLTASHVNHIKEDRTGKRKTRKLSYCCYSLLSRQIST >itb15g02260.t2 pep chromosome:ASM357664v1:15:1380521:1384081:1 gene:itb15g02260 transcript:itb15g02260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGNISEYRSRLDKTLSCRDLVNEEALKGLVRNQILSSSNFEMEGCIDNVVERRTEELGNTLDMLRSASLSDGDKSKSGDVSYGGWKVKQDSEEFRVMYREGPEGTPFHTLLVEGYVDGPIDVCMCISWEADLYKKWWPQTTVPCFKIAYSQCLQKVRIGEQISLVRMKLSWPLSTREALVHYFEFDYFQDGLIVVLLNSISDLENVDTSTRGFAREGIPDARDLVRIDVVGGLALQKVTANRSYFRTIANMDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVCRGDEDFSEALKGVQYKRIREALYSDCNANGDIEAEGVKTNNDMQTSDTDENEIQEEEVRDCVHHSPSEDRNICGEIEEVDEEDGGKAGTVDSPRNQIVQGGGSTTRNKKIAISPEVQQALATLEKAISFIRENGCNSNFKSMCRSNIEDSKFSGFNQIRMNGIATTTEISRKESVEITSYEHRISSCSHSSRRTSSSLCTKETSHNKIAPVSPDAYVANPNKPHHTDSNSCGDHKAAETILENIEKNNNLTASHVNHIKEDRTGKRKTRKLSYCCYSLLSRQIST >itb15g02260.t3 pep chromosome:ASM357664v1:15:1380521:1384081:1 gene:itb15g02260 transcript:itb15g02260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCSLIQMLHCCTKNLRETKRSLVITCWIALFLQVKQDSEEFRVMYREGPEGTPFHTLLVEGYVDGPIDVCMCISWEADLYKKWWPQTTVPCFKIAYSQCLQKVRIGEQISLVRMKLSWPLSTREALVHYFEFDYFQDGLIVVLLNSISDLENVDTSTRGFAREGIPDARDLVRIDVVGGLALQKVTANRSYFRTIANMDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVCRGDEDFSEALKGVQYKRIREALYSDCNANGDIEAEGVKTNNDMQTSDTDENEIQEEEVRDCVHHSPSEDRNICGEIEEVDEEDGGKAGTVDSPRNQIVQGGGSTTRNKKIAISPEVQQALATLEKAISFIRENGCNSNFKSMCRSNIEDSKFSGFNQIRMNGIATTTEISRKESVEITSYEHRISSCSHSSRRTSSSLCTKETSHNKIAPVSPDAYVANPNKPHHTDSNSCGDHKAAETILENIEKNNNLTASHVNHIKEDRTGKRKTRKLSYCCYSLLSRQIST >itb15g02260.t4 pep chromosome:ASM357664v1:15:1380521:1384081:1 gene:itb15g02260 transcript:itb15g02260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYREGPEGTPFHTLLVEGYVDGPIDVCMCISWEADLYKKWWPQTTVPCFKIAYSQCLQKVRIGEQISLVRMKLSWPLSTREALVHYFEFDYFQDGLIVVLLNSISDLENVDTSTRGFAREGIPDARDLVRIDVVGGLALQKVTANRSYFRTIANMDIKLDFVPPAFINFVSRQLIGSGFKLYKKEVASVCRGDEDFSEALKGVQYKRIREALYSDCNANGDIEAEGVKTNNDMQTSDTDENEIQEEEVRDCVHHSPSEDRNICGEIEEVDEEDGGKAGTVDSPRNQIVQGGGSTTRNKKIAISPEVQQALATLEKAISFIRENGCNSNFKSMCRSNIEDSKFSGFNQIRMNGIATTTEISRKESVEITSYEHRISSCSHSSRRTSSSLCTKETSHNKIAPVSPDAYVANPNKPHHTDSNSCGDHKAAETILENIEKNNNLTASHVNHIKEDRTGKRKTRKLSYCCYSLLSRQIST >itb01g14870.t1 pep chromosome:ASM357664v1:1:16977791:16986430:-1 gene:itb01g14870 transcript:itb01g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRHEKEKGVNVQVLLRCRPFSEDELRNNAPQVVTCNEYQREVAVTQNIAGKHIDRVFTFDKVFGPSAQQRDLYEQAIVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKTGPNGGLPQEAGVIPRAIKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEDLSKVALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKGEVYAAREKNGVYIPKERYYQEESERKAMADQIEQMGSTIENQQKKFEDLRGKYDAEVQQCSELTSKLDATQKDLNQTTKLLVSTEEELRQCQYALKERDFIISEQKKAENALTHQACVLRTDLERALQDNAGLFLKIAREDKLSADNRSVVNGFQAELANQLGSLCNRVAMSMSQQSEHLQCVEKFCHSFLELHDKAILELKKKVNDSRALYISHFGAMQNAVRLHSASSSAALDEISSLASSNGQSINEFLAAEAFETNSIFDTLQKTLLTHKGEMAHFVQELRHRFIASTELLMNISKDMQGFFDKLVGESKTLGCHAKKVDEIQTKQIFEFQKEYEEQSRSVAEKLIADVASLVYHHMHFQKELVDERLVELRETVTENKTFLDGRVTSMEGITTAAKRKWSDFFMQAGTNTKDSADFSAAKHCRMEASLEKCVTTTETALQQWQSMCESINGMGSQHVSTLHSLVRNTCDSNKHHVTEFNSAREAAEEDIKRNSDDVIQFFDGLSEQERGSISGILSTTTAHSGTLEELQKDHSKQAASIEQNACATFQQRYMDYEPTGTTPVRFEPDVPSKGNIESLRPMSMEMLQEEFRENHSYESFQVKEEAKAPPPSLIPRSPLSQINQ >itb06g04950.t1 pep chromosome:ASM357664v1:6:7722793:7728754:1 gene:itb06g04950 transcript:itb06g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNMEKMASIDAQLRSLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGEKLRETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAHRRRQKLKKGDFADENSATTESDIEETIKRLVVDMKKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNSLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRDQADELHQSTKRDAKHYIEFWKQVPPSEPYRVVLGDVRDKLYHTRERTHQLLSHGYSDIPEEATFTSVEQFLLPLELCYRSLCACGDGAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHSDVLDAITKHLEIGSYKEWSEERRQEWLLSELGGKRPLFGPDLPATEEIADVLHTLHVIAELPPDCFGAYIISMATAPSDVLAVELLQRECHVIHPLRVVPLFEKLADLEAAPAALSRLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVSKKYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTILGNLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEIAVIATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFTKAVEKDAKNLVMFQEMYNQWPFFRVTADLVEMVFAKGDPGIAALYDKLLVLEELWPFGERLRANFEQTKSLLLQIAGHKDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKLIRDPNYHVTPRPHICKELVESKSATDLVNLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >itb13g19010.t1 pep chromosome:ASM357664v1:13:26045242:26053464:1 gene:itb13g19010 transcript:itb13g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGYGNGGGGFARNGGVAPDHVPIVTRHSTHKQQQQQPPRHAHQHHRRFKVRKISIGAFVVILSVAFTVSVVAFLYITSQNKEFNQSHSQDDDIEEDLDFLTNVTRTQGQKVHFGHGSVKQGRDSRYWDRDDRRRDNDYSEEELERNRNVTQDKVQSPPKGKNSDEKSSSSESVKDLDHRGKGLYNEAGRDELKVYEAKYQASLDSIGQSQEGNITENHPSDIINNGTKSETIDIDKDNSNTEEYDEYDREDGDYSTTVGVNNIHSFGKHDAGIKNKGFKEAGKASTVSSNDHPFSKSQHFKGSTNSIHDSQDARTASEKRPASRKRSKRRSCEMKLLNSSALLVEPLESRKFARFSLQYTEREDKTVGDETWEPRFAGHQSMQEREESFLAHNQKINCGFVKGPEGAPSTGFDLAEDDAKYISSCHIAVISCIFGNSDRLRMPAGKMISRTSRKNVCFVMFVDEATLQSLSSEGRMLDSMGFIGLWKIVLVKNLPYTDMRRVGKIPKLLSHRLFTSARYSIWLDSKLRLQLDPLLILEYFLWRKGHEYAISNHYDRHCVWQEVAQNKKLNKYNHSVIDEQFEVYKADGLKQFDASDPDKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYHKLRRMNPEKPFYLHMFKDCERRKIAKLFRHRSNLMRGGR >itb02g14580.t3 pep chromosome:ASM357664v1:2:10620424:10624393:-1 gene:itb02g14580 transcript:itb02g14580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPTENSGNEWTALAHLITAIIGSGVLSLAWSMAQLGWIAGPLSMLCFACVSLTSALLLCNCHKSTDPECGTTRHGSYLVAVQSILGKKNAWFCGIIVQIYFIKIAIVYTVTSASSIGAILKSNCYHYEGHDAACSYSTTIHMVIFGVIQVLVSQVRDFQNTKWLSVVAAIMSFTYSFIGAGLGLAKVIENGEIKGSIRGLPSDNAGEKVWSVAQALGDIALAFPLSLVFLDIQDTLKETPPEKVTMRKASTIAVCITTFFYLCCGGFGYAAFGTDTPGNLLTGFGFYEPYWLVDIANAAVIVHLVGAYQVYTQPFYANVESWLGRKFPESEVVHRDYDLKLLWLPGFRLNLLRLVFRTAYVATVTAIAALFPYFNQVVGVAGALTFWPVIVYFPVEMYLKQERIESWTTIKIILRIFSYTCLVVMVFAFVGSVRGLIVARFS >itb02g14580.t1 pep chromosome:ASM357664v1:2:10620420:10624393:-1 gene:itb02g14580 transcript:itb02g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEEDKVGSAKMPLLQHLCSSPSSSSSPPATPPTETMKLPHKRTGNEWTALAHLITAIIGSGVLSLAWSMAQLGWIAGPLSMLCFACVSLTSALLLCNCHKSTDPECGTTRHGSYLVAVQSILGKKNAWFCGIIVQIYFIKIAIVYTVTSASSIGAILKSNCYHYEGHDAACSYSTTIHMVIFGVIQVLVSQVRDFQNTKWLSVVAAIMSFTYSFIGAGLGLAKVIENGEIKGSIRGLPSDNAGEKVWSVAQALGDIALAFPLSLVFLDIQDTLKETPPEKVTMRKASTIAVCITTFFYLCCGGFGYAAFGTDTPGNLLTGFGFYEPYWLVDIANAAVIVHLVGAYQVYTQPFYANVESWLGRKFPESEVVHRDYDLKLLWLPGFRLNLLRLVFRTAYVATVTAIAALFPYFNQVVGVAGALTFWPVIVYFPVEMYLKQERIESWTTIKIILRIFSYTCLVVMVFAFVGSVRGLIVARFS >itb02g14580.t2 pep chromosome:ASM357664v1:2:10620420:10624393:-1 gene:itb02g14580 transcript:itb02g14580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEEDKVGSAKMPLLQHLCSSPSSSSSPPATPPTETMKLPHKRTGNEWTALAHLITAIIGSGVLSLAWSMAQLGWIAGPLSMLCFACVSLTSALLLCNCHKSTDPECGTTRHGSYLVAVQSILGKKNAWFCGIIVQIYFIKIAIVYTVTSASSIGAILKSNCYHYEGHDAACSYSTTIHMVIFGVIQVLVSQVRDFQNTKWLSVVAAIMSFTYSFIGAGLGLAKVIENGEIKGSIRGLPSDNAGEKVWSVAQALGDIALAFPLSLVFLDIQDTLKETPPEKVTMRKASTIAVCITTFFYLCCGGFGYAAFGTDTPGNLLTGFGFYEPYWLVDIANAAVIVHLVGAYQVHSTTSPSYWTCLLIGLELVT >itb05g22550.t1 pep chromosome:ASM357664v1:5:27938611:27941175:-1 gene:itb05g22550 transcript:itb05g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVALTSLIATLIRETNRAPNPDIVTSLSGTLYSLFLTNCRISDGVSAAAIKDLETQIRDFALQVEDEFEIQLSNFLRAQDTESQNKASKQISIILCRAQIDAENFSSFIDRNIYRAFVASEFQPESDDINPRLKHASESSKTKRVFEEEYKMVGRQHDYGVIMDQVLGEDDEFKVISIVGMAGIGKTTLARSVYGDPQVASGFVVRGWVTMPQEFEESQLLWDLLGSITQEEERDEVEKGRCSLKNLAQQWFEGLDCIVSTNLNLQTLVVSGNESQLGAPTIHLPCEIWDLPLLRHLELGNMYALDPPFQMKKRKNLQALSWASPTLYKKETYRNFPLLRKLKVFYRGGSCSNNNPLILDSIYYLKKLEKLTISVLVGCTITFPEQCMFPLKLKKLGLSGTNLCSRDLSVISMMPQLEVLKLENAIHGNIWEVDKGGFNKLRFLLIEDRNLKQLRTYLSCFKCLERLVLRSCCCFEEIPWFLAFHLQSIKLERCHPSVIEAAMQIREAGQKILKRWIEVRVN >itb12g19460.t1 pep chromosome:ASM357664v1:12:21862173:21865446:1 gene:itb12g19460 transcript:itb12g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 6 [Source:Projected from Arabidopsis thaliana (AT2G46030) TAIR;Acc:AT2G46030] MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFHGPKESPYQGGVWRVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEQRVKEFCEKYAKATDAGVAPEEKSSDDEVSEDEYASSDEEIAGKADP >itb09g13930.t1 pep chromosome:ASM357664v1:9:9138374:9140009:-1 gene:itb09g13930 transcript:itb09g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNVSSNDILLTSDDHLRNDIQRDHRKPSMRQVVASSFNNHLQSAVIFLSFFTSRHFLLNSTEPEICALQVHNPTENALQPVNKVHPGESPRVGDSLVNGDGGSGEFHTRTNAVG >itb01g34540.t1 pep chromosome:ASM357664v1:1:37178494:37182383:-1 gene:itb01g34540 transcript:itb01g34540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDLAQKLLHDLRLRKERMAAAQNSGNSHSSSRGGYANAGQPHRGSRQIKTLESAGSRTRNSQISGRGGNRSFHVQESAGQIVPYAAGRKIDLSMAITFAIENRGKFTKLNSSANNSVLGFIHQIGQRSLDLGKMERRGFDKYHNPSSSQIVTLSSVQVGEISKGIQRINQILKACSDGVNFDRYSVEVGKELLKGAMDLESSLRMLVNLEETSNYMINPKRKNRITLLDEDEDEVSAAETADQKLQLDLPRFSFDKPSRKSHSKKETSEPRLLALTYPEKTLPPNEKKPHRRSASISVFKALSANLEPKNQANASMIGAEKGRISNVIAKLMGLDEVPQIADVKATRQSSTSKKKESPELKKPAHSSVRSTTDSENKPPHNIDKKTKQSKNVLSSLDAIVPLKDGGSAMVASDTISHQKGAKQAVRQNHQSNIAQIYQLPGNLLKMEKEPPNHGSSETISKNEAQPNLPQKHKMEVAENLSPQITKHRKNIHQTENKVADRLLPENQQTPLEKTEAPRLQKPRKPDGMEDMNQSDRKEQKLEVRKPNGGQVETRISQTFRNKTGSVQKRQPSNGKFARTQKDLSNSIHPEELAKNGKSSGPRATMQSVDQNESPQALTPRTQKVAQEKPIKVPVIKKKESYIQIHRKEASKSIDGLDGRKGTPKPMAGPSKQQLPILQVKKQTKHNVKSSTAVKAGKSSEEHSKESNGNISEFETSEIGSEPKEESCNIAGENICRNPNALTSPSSNGNKKDAMELSMNEFQDEEQQLGFGQNPEINPQNTSQNQQVYDVSGHQETSKLSQEEELKITPTKTSENPPGLKTGTFAPAIHEPLTESEKHLKETLIKSHLFLSTAEALLKLNLPISVLHATDHINQGADFKLTADCGYEVLRRKARSQELSLHPCAKISISYTKVRSLDDLIKQLCRDFDKLSSYGWNGRESCDSSQYFNNMLAKDMQNRDPDINCMWDFGWDETMFGFLEKDEIAKDVEKHLLKGLIDEITIDLL >itb01g34540.t2 pep chromosome:ASM357664v1:1:37178494:37182383:-1 gene:itb01g34540 transcript:itb01g34540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDLAQKLLHDLRLRKERMAAAQNSGNSHSSSRGGYANAGQPHRGSRQIKTLESAGSRTRNSQISGRGGNRSFHVQESAGQIVPYAAGRKIDLSMAITFAIENRGKFTKLNSSANNSVLGFIHQIGQRSLDLGKMERRGFDKYHNPSSSQIVTLSSVQVGEISKGIQRINQILKACSDGVNFDRYSVEVGKELLKGAMDLESSLRMLVNLEETSNYMINPKRKNRITLLDEDEDEVSAAETADQKLQLDLPRFSFDKPSRKSHSKKETSEPRLLALTYPEKTLPPNEKKPHRRSASISVFKALSANLEPKNQANASMIGAEKGRISNVIAKLMGLDEVPQIADVKATRQSSTSKKKESPELKKPAHSSVRSTTDSENKPPHNIDKKTKQSKNVLSSLDAIVPLKDGGSAMVASDTISHQKGAKQAVRQNHQSNIAQIYQLPGNLLKMEKEPPNHGSSETISKNEAQPNLPQKHKMEVAENLSPQITKHRKNIHQTENKVADRLLPENQQTPLEKTEAPRLQKPRKPDGMEDMNQSDRKEQKLEVRKPNGGQVETRISQTFRNKTGSVQKRQPSNGKFARTQKDLSNSIHPEELAKNGKSSGPRATMQSVDQNESPQALTPRTQKVAQEKPIKVPVIKKKESYIQIHRKEASKSIDGLDGRKGTPKPMAGPSKQQLPILQVKKQTKHNVKSSTAVKAGKSSEEHSKESNGNISEFETSEIGSEPKEESCNIAGENICRNPNALTSPSSNGNKKDAMELSMNEFQDEEQQLGFGQNPEINPQNTSQNQQGHQETSKLSQEEELKITPTKTSENPPGLKTGTFAPAIHEPLTESEKHLKETLIKSHLFLSTAEALLKLNLPISVLHATDHINQGADFKLTADCGYEVLRRKARSQELSLHPCAKISISYTKVRSLDDLIKQLCRDFDKLSSYGWNGRESCDSSQYFNNMLAKDMQNRDPDINCMWDFGWDETMFGFLEKDEIAKDVEKHLLKGLIDEITIDLL >itb13g19780.t1 pep chromosome:ASM357664v1:13:26711672:26714650:1 gene:itb13g19780 transcript:itb13g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESNAHKEYVPPPVYDLNAKWDACLDLTLRRGVYYSFAGAFGGLLLFRSPAARWAAIAFGAGAGLGSAYSECSYKFAKDGGLPVPHVSNASKVSTEQE >itb13g19780.t2 pep chromosome:ASM357664v1:13:26711672:26713106:1 gene:itb13g19780 transcript:itb13g19780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESNAHKEYVPPPVYDLNAKWDACLDLTLRRGVYYSFAGAFGGLLLFRSPAARWAAIAFGAGAGLGSAYSECSYKFAKDGGLPVPHVSNASKVMLLVLLVTALQRDDL >itb15g06430.t1 pep chromosome:ASM357664v1:15:4282311:4288200:1 gene:itb15g06430 transcript:itb15g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQALPPAYRFEITSPRLACVLVLLVTLFWYEILMPYLSSWRARRNAMLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQNPGGGKFMCAYCGHISKRPVLDLPVPPGLGLSNSGILKELVGKSGKILNGKVWTDNGWTCGQDWIENGNWVAGSFPAKSSYWSKNGSGFFDGDHCLAKKSYFRVFVFACKALTAVYTSIMWLCRKICRTSSSRDDASVDAERRGMLDKRGENGGNCQESKGEKARRKAEEKRQARLEKELLEEEERKQREEVARLVEERRRLRDEKMEAEKERGKGSSPKERESKKESEKRHQEKKKERDRGSSKSNSDVEELEKRASKEGERSKRNENDRREQKFGSEFSKAQNTESGHGFKGANANNHNRGNTGTRYFDRMRGTFLSSSRALTGGGFFGKSTNPSTAPKEHKSCTSVDHVQAFAPRREPPQPDRFSVKPNLNGDDRNINHPVLIEPQPCIAPKKSWQQLFTRSSAVSPPSNSNVIRRPNGKSQAGVHSPPYSSHSPPVQAFDNPINFGLPSPFNLSSLTFGPTSSSTSLPLSCETMFPRIGEPLSPLLPEESETYEDPSYETDPVTLLGPVSESLDNFHRDLGFVTDTGLEKMPAANNLPASSEVTRPSPIESPISRPRVPEERHTNSFLFPTTPKAKDLPNAPKDASSEGNDAGEWQMWKSSPLGQDSLGLIGGPSSWLLNQELNRLNREEIIPPAPQKTMASLFKKDDQVLPGVHSLQNGGTFSSSMLGSTDSRFSNPLIGTVSGGENQYTLKPKEEGIPNEMIYGSPNAGATNHPFELSSTNSWAKKEWSVQGTAESVGNSPVSNVGGLYSTPNAQSLWAYD >itb10g22810.t1 pep chromosome:ASM357664v1:10:27518472:27519184:-1 gene:itb10g22810 transcript:itb10g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNGGRGRTPKGHFVVYVGEELRRYVLPTSYLNNPFFQKLLEEAAEEYGFCSHKGIVLPCHKSTFHRMLIRLHPNYIH >itb12g08430.t1 pep chromosome:ASM357664v1:12:6624005:6625088:-1 gene:itb12g08430 transcript:itb12g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEQRRKAMKTRYENRKASVLKKGKELSMLNLVSPSVLKISGKLEIAAGCVQESRFPSPLKARKYVENCGNNGAGVVDEEELYDVSGADQENNNAKQDNNFGIGIPGKSLVDDMRVNQTPTSHYSYYQWNENGNGESNCEKFGKYIGDMVRNQEWSYYGCGGSSSAMNPKTQFCNMQPNQDTCSAMNPKTQFCNMQPNQDTCSSPDQYEIGSSSTSGLNFSNQQQPTPLFAYHQQQYVTYNSSALNTTGVNNNLVHPNQQPTLAYQQYPSALNSGVNYPNQEPTLPYQQYLMGNSSAFNSGVNYPNQEPSRSLAYQHYDIGIWD >itb11g10010.t2 pep chromosome:ASM357664v1:11:6817116:6823570:1 gene:itb11g10010 transcript:itb11g10010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGNPTDWWSMMMMMDGTTAMHSQSSSSSSSSSQNLYGSSSNSLPDNHNSTQDFPRSWSQLLLGGLGGESEKFGMMTSPSPLQHKKMENWEDLQTLQNNNNNPSSSSSSLLRPPFAADNIKQEFPLQINLGRHHQHHHMAAASSLSTHSNNVFNIPSSGTKVGDLDSCKNQHHYYSSELQVRKEKLGDRITALHQIVSPFGKTDTASVLSEAIGYIRFLHSQIQALSTPYMGNNPSAGSMARHSHQQSNPWLNGGGNKDLRSKGLCLVPVSCIQHVGSDDGGAAGYWAPAHGGGGF >itb11g10010.t1 pep chromosome:ASM357664v1:11:6817265:6823570:1 gene:itb11g10010 transcript:itb11g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGNPTDWWSMMMMMDGTTAMHSQSSSSSSSSSQNLYGSSSNSLPDNHNSTQDFPRSWSQLLLGGLGGESEKFGMMTSPSPLQHKKMENWEDLQTLQNNNNNPSSSSSSLLRPPFAADNIKQEFPLQINLGRHHQHHHMAAASSLSTHSNNVFNIPSSGTKVGDLDSCKNQHHYYSSECNSTSSGGPSKKPRVHHSSSQPSLKLQVRKEKLGDRITALHQIVSPFGKTDTASVLSEAIGYIRFLHSQIQALSTPYMGNNPSAGSMARHSHQQSNPWLNGGGNKDLRSKGLCLVPVSCIQHVGSDDGGAAGYWAPAHGGGGF >itb11g09060.t1 pep chromosome:ASM357664v1:11:5974220:5976328:-1 gene:itb11g09060 transcript:itb11g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKYVLPGCFKAKPFSEDIKDSGYKRLSISDLSDPSFRLSASDLSSNSVIGSSLHVFSLAELRVITSDFSPENFLGGGGFGPVHKGFIDDKCRPGLEAQPVAVKSLDLEGPQGHSEWLAEVVILSQLKHPHLVKLIGYCWEDEHRLLVYEYMPKGSLESQLFRKYSASLPWMTRIKIAVGAARGLAFLHGEVKPVIYRDFKAANILLDSDYTAKLSDFGLAKDGQEGDDALVTPRVMGTSGYVAPEYVKTGELSTRSDVYSFGVVLLELITGRFVVDEKRLNRRGLVEWAKPFLKDPLKLDMIMDPRLEFEYSVEGAKKVAALARRCLSRRPKCRPTMNRVVKILETVLEMKTIVIAPFVYMVPPECLEESKYTTKVHADKTKLEHQEKICNNRVESAAAYSETSLYTAFRKELKSANRHKEPRKIHPKNS >itb14g20890.t1 pep chromosome:ASM357664v1:14:23153315:23156450:-1 gene:itb14g20890 transcript:itb14g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSASGSSKVKQQRQKWGVSASDVAYHFGTSGISVAAATAITHPLDVLKVRLQMQLVGQRGPLTGMGKLSAHVVRNEGLGSLYRGLSPALMRSILYGGLRLGLYEPSKYVAEMAFESSNIIMKIASGAFSGAVATALTNPVEVLKVRLQMNTKSNRGPIRELQKIASREGVLALWKGVGPAMARAAALTASQLATYDESKRVFLKWTSLEEGLYLHLISSTIAGTVSTLITAPMDMVKTRLMLQRESNSAGMYKSGLHCAYQVLLTEGPRGLYKGGLAIFARLGPQTMITFIICEKLRKLAGLKAI >itb14g20890.t2 pep chromosome:ASM357664v1:14:23153315:23156450:-1 gene:itb14g20890 transcript:itb14g20890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSASGETLLSVSGSSKVKQQRQKWGVSASDVAYHFGTSGISVAAATAITHPLDVLKVRLQMQLVGQRGPLTGMGKLSAHVVRNEGLGSLYRGLSPALMRSILYGGLRLGLYEPSKYVAEMAFESSNIIMKIASGAFSGAVATALTNPVEVLKVRLQMNTKSNRGPIRELQKIASREGVLALWKGVGPAMARAAALTASQLATYDESKRVFLKWTSLEEGLYLHLISSTIAGTVSTLITAPMDMVKTRLMLQRESNSAGMYKSGLHCAYQVLLTEGPRGLYKGGLAIFARLGPQTMITFIICEKLRKLAGLKAI >itb01g07170.t2 pep chromosome:ASM357664v1:1:5383727:5391755:1 gene:itb01g07170 transcript:itb01g07170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGSLNNGGGGVGMIPAGSRKMVESLKEIVSNYSDSEIYAVLKECNMDPNEAVNRLLSQDPFHEVKSKREKRKENKDTSEPRSRGGSSSSRGGRGGADRYVGRGSSESTLHGKFSSASKKENGSSTSMATGIAGSNMNLRPTATSENKKLVAVTTDHISSAPQPSAGHQPAWGGVPGQISMADIVKMGRPHNKAQNSTTASQQNANANHNDGRRPAYHVSHHNFQFPNDQASNVSEVHMDQGVSSAQHDSSNDEWPSIEQPSASVLPSISEPPADPDSSNLVYDRINQHLQVDEVQGTEDHGLSVGASHVPSGSIPNRKFPEDDSGGESLYENDIDIYRHKDHDHSSKHWESEFTVDVNASVSSATENLQQLSIHQDQGVPPEEEGPSVVIPDHLQVQSADCSHLSFGSFGSGIGSALSGPSASAPVKNHVEEVPTDAEDPPMGHLTTSSEYYGGETNPPDGNLFHRTGVSGTYESPAAPQPDPLKVEHSEAGRANQYSFPSSEPGYNYENAQPLNVEFSQPQTSSPVQNLAPFNAMGYTNSLPSNLLAANAHALRESDISYSIFPSTQAMPSKYGNSVSSVGGSSISMPEALKSGGLSSSQPTQQTLNGTGVATGPALPQHLNLHPYSQPTVPLGPFTNMIGYPPPFLPQNYTYMPSAFQQTFAGNSAAYHQSLAAMLPQYKTSVSVSSLPQSAGVASGYGAFGNTTAIPGNFQMNTPAAPSATSLGYDDAPISQYKDNSHLMSLQQQSENSATWLHGPGSRTMSAVPANTYYNYQGQHQQPGGFRQGQQPSQNYGSLNYNPNFYHSHTGISLDHQQQNPRDGSLGGAQGQPKQSQQQIWQNNY >itb01g07170.t3 pep chromosome:ASM357664v1:1:5383817:5391791:1 gene:itb01g07170 transcript:itb01g07170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGSLNNGGGGVGMIPAGSRKMVESLKEIVSNYSDSEIYAVLKECNMDPNEAVNRLLSQDPFHEVKSKREKRKENKDTSEPRSRGGSSSSRGGRGGADRYVGRGSSESTLHGKFSSASKKENGSSTSMATGIAGSNMNLRPTATSENKKLVAVTTDHISSAPQPSAGHQPAWGGVPGQISMADIVKMGRPHNKAQNSTTASQQNANANHNDGRRPAYHVSHHNFQFPNDQASNVSEVHMDQGVSSAQHDSSNDEWPSIEQPSASVLPSISEPPADPDSSNLVYDRINQHLQVDEVQGTEDHGLSVGASHVPSGSIPNRKFPEDDSGGESLYENDIDIYRHKDHDHSSKHWESEFTVDVNASVSSATENLQQLSIHQDQGVPPEEEGPSVVIPDHLQVQSADCSHLSFGSFGSGIGSALSGPSASAPVKNHVEEVPTDAEDPPMGHLTTRSSEYYGGETNPPDGNLFHRTGVSGTYESPAAPQPDPLKVEHSEAGRANQYSFPSSEPGYNYENAQPLNVEFSQPQTSSPVQNLAPFNAMGYTNSLPSNLLAANAHALRESDISYSIFPSTQAMPSKYGNSVSSVGGSSISMPEALKSGGLSSSQPTQQTLNGTGVATGPALPQHLNLHPYSQPTVPLGPFTNMIGYPPPFLPQNYTYMPSAFQQTFAGNSAAYHQSLAAMLPQYKTSVSVSSLPQSAGVASGYGAFGNTTAIPGNFQMNTPAAPSATSLGYDDAPISQYKDNSHLMSLQQQSENSATWLHGPGSRTMSAVPANTYYNYQGQHQQPGGFRQGQQPSQNYGSLNYNPNFYHSHTGISLDHQQQNPRDGSLGGAQGQPKQSQQQIWQNNY >itb01g07170.t5 pep chromosome:ASM357664v1:1:5383727:5391755:1 gene:itb01g07170 transcript:itb01g07170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGSLNNGGGGVGMIPAGSRKMVESLKEIVSNYSDSEIYAVLKECNMDPNEAVNRLLSQDPFHEVKSKREKRKENKDTSEPRSRGGSSSSRGGRGGADRYVGRGSSESTLHGKFSSASKKENGSSTSMATGIAGSNMNLRPTATSGVFASENKKLVAVTTDHISSAPQPSAGHQPAWGGVPGQISMADIVKMGRPHNKAQNSTTASQQNANANHNDGRRPAYHVSHHNFQFPNDQASNVSEVHMDQGVSSAQHDSSNDEWPSIEQPSASVLPSISEPPADPDSSNLVYDRINQHLQVDEVQGTEDHGLSVGASHVPSGSIPNRKFPEDDSGGESLYENDIDIYRHKDHDHSSKHWESEFTVDVNASVSSATENLQQLSIHQDQGVPPEEEGPSVVIPDHLQVQSADCSHLSFGSFGSGIGSALSGPSASAPVKNHVEEVPTDAEDPPMGHLTTSSEYYGGETNPPDGNLFHRTGVSGTYESPAAPQPDPLKVEHSEAGRANQYSFPSSEPGYNYENAQPLNVEFSQPQTSSPVQNLAPFNAMGYTNSLPSNLLAANAHALRESDISYSIFPSTQAMPSKYGNSVSSVGGSSISMPEALKSGGLSSSQPTQQTLNGTGVATGPALPQHLNLHPYSQPTVPLGPFTNMIGYPPPFLPQNYTYMPSAFQQTFAGNSAAYHQSLAAMLPQYKTSVSVSSLPQSAGVASGYGAFGNTTAIPGNFQMNTPAAPSATSLGYDDAPISQYKDNSHLMSLQQQSENSATWLHGPGSRTMSAVPANTYYNYQGQHQQPGGFRQGQQPSQNYGSLNYNPNFYHSHTGISLDHQQQNPRDGSLGGAQGQPKQSQQQIWQNNY >itb01g07170.t4 pep chromosome:ASM357664v1:1:5383727:5391755:1 gene:itb01g07170 transcript:itb01g07170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGSLNNGGGGVGMIPAGSRKMVESLKEIVSNYSDSEIYAVLKECNMDPNEAVNRLLSQDPFHEVKSKREKRKENKDTSEPRSRGGSSSSRGGRGGADRYVGRGSSESTLHGKFSSASKKENGSSTSMATGIAGSNMNLRPTATSGVFASENKKLVAVTTDHISSAPQPSAGHQPAWGGVPGQISMADIVKMGRPHNKAQNSTTASQQNANANHNDGRRPAYHVSHHNFQFPNDQASNVSEVHMDQGVSSAQHDSSNDEWPSIEQPSASVLPSISEPPADPDSSNLVYDRINQHLQVDEVQGTEDHGLSVGASHVPSGSIPNRKFPEDDSGGESLYENDIDIYRHKDHDHSSKHWEIDVNASVSSATENLQQLSIHQDQGVPPEEEGPSVVIPDHLQVQSADCSHLSFGSFGSGIGSALSGPSASAPVKNHVEEVPTDAEDPPMGHLTTSSEYYGGETNPPDGNLFHRTGVSGTYESPAAPQPDPLKVEHSEAGRANQYSFPSSEPGYNYENAQPLNVEFSQPQTSSPVQNLAPFNAMGYTNSLPSNLLAANAHALRESDISYSIFPSTQAMPSKYGNSVSSVGGSSISMPEALKSGGLSSSQPTQQTLNGTGVATGPALPQHLNLHPYSQPTVPLGPFTNMIGYPPPFLPQNYTYMPSAFQQTFAGNSAAYHQSLAAMLPQYKTSVSVSSLPQSAGVASGYGAFGNTTAIPGNFQMNTPAAPSATSLGYDDAPISQYKDNSHLMSLQQQSENSATWLHGPGSRTMSAVPANTYYNYQGQHQQPGGFRQGQQPSQNYGSLNYNPNFYHSHTGISLDHQQQNPRDGSLGGAQGQPKQSQQQIWQNNY >itb01g07170.t1 pep chromosome:ASM357664v1:1:5383727:5391791:1 gene:itb01g07170 transcript:itb01g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGSLNNGGGGVGMIPAGSRKMVESLKEIVSNYSDSEIYAVLKECNMDPNEAVNRLLSQDPFHEVKSKREKRKENKDTSEPRSRGGSSSSRGGRGGADRYVGRGSSESTLHGKFSSASKKENGSSTSMATGIAGSNMNLRPTATSGVFASENKKLVAVTTDHISSAPQPSAGHQPAWGGVPGQISMADIVKMGRPHNKAQNSTTASQQNANANHNDGRRPAYHVSHHNFQFPNDQASNVSEVHMDQGVSSAQHDSSNDEWPSIEQPSASVLPSISEPPADPDSSNLVYDRINQHLQVDEVQGTEDHGLSVGASHVPSGSIPNRKFPEDDSGGESLYENDIDIYRHKDHDHSSKHWESEFTVDVNASVSSATENLQQLSIHQDQGVPPEEEGPSVVIPDHLQVQSADCSHLSFGSFGSGIGSALSGPSASAPVKNHVEEVPTDAEDPPMGHLTTRSSEYYGGETNPPDGNLFHRTGVSGTYESPAAPQPDPLKVEHSEAGRANQYSFPSSEPGYNYENAQPLNVEFSQPQTSSPVQNLAPFNAMGYTNSLPSNLLAANAHALRESDISYSIFPSTQAMPSKYGNSVSSVGGSSISMPEALKSGGLSSSQPTQQTLNGTGVATGPALPQHLNLHPYSQPTVPLGPFTNMIGYPPPFLPQNYTYMPSAFQQTFAGNSAAYHQSLAAMLPQYKTSVSVSSLPQSAGVASGYGAFGNTTAIPGNFQMNTPAAPSATSLGYDDAPISQYKDNSHLMSLQQQSENSATWLHGPGSRTMSAVPANTYYNYQGQHQQPGGFRQGQQPSQNYGSLNYNPNFYHSHTGISLDHQQQNPRDGSLGGAQGQPKQSQQQIWQNNY >itb05g16490.t1 pep chromosome:ASM357664v1:5:23688842:23692132:1 gene:itb05g16490 transcript:itb05g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELASQKVQEWGEAYMDYNDLKGVLKDISRFRRRNAAAQSSVPAAAETRKNSLKRRMSMYRAFSGLTNRGTPRSDHEDEIILVNAVEQEGIGGAPQYQTMFLMSSEAGGEYELVFFRRLDDELNKVVRFYREKVEEVRKEADELSKQMDALIALRIVVEMPSLDINADQTTDSAAIEANKSHMDAIQEIEMSGEEVIAGMGNENVRIIEEKTSNNFRDFRPAQLHMLYDVRVNIQPETPISTLKKILKASNSQLKYSLPELRKAEERLRKAFMEFYGKLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEVSRLMERTEATFIKHFANGNRRKGMSVLRPHVKREKHRITFFLGIFVLALAAVLSHLDMEMDPVTRSYKVLTESVPLILISVLLIITFCPLNIVYRSSRFFLIRCAWHCICAPLFKVTLPDFFLADQLTSQVQAICYYGWGNFRQRINKCQDSDVYEIFYMIVAAIPFVVRLLQCLRRLFEEKDNSQGLNGLKYFTTVAALVLRTLYELKKGTTLKVLAAVFSGITTITNTYWDIVRDWGLLQRNSKNPWLRDKLLVPNKAVYFVAIVVNIILRLVWMQMFLDFSDSFLHRKAIVAIVACLEIIRRGIWNFFRLENEHLNNVGKYRAVKSVPLPFNHEVDKTI >itb01g19780.t1 pep chromosome:ASM357664v1:1:26041570:26042436:-1 gene:itb01g19780 transcript:itb01g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLGMHKVMNCDEIVGVDYVILGGKFSGVNIDYAFVVGIVLTTMGLVVRAEVEQYVLHDGPKMPMYRETTFDPGGMFKTIVQELLIFLNPKDIGKVLVKNEALLENGNRLEKAPNGLVWQRVNNDLLEINEGVRILLLVAVISFDFAWYDGYKGGERGINAFVEPLVIFLILIVNSIAGVWQENNAGKALEALKEIQSKHATVIRMGNKASSLPAKDVVLVDIVELS >itb01g20020.t2 pep chromosome:ASM357664v1:1:26310796:26314746:-1 gene:itb01g20020 transcript:itb01g20020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLLPEIRHTAPTMPLRPSLISRRISTRSRTLSTASEEFKLAQTCARRSQDDCCVVNHGNGDASENGVVEESDGGDASSTGGSWSNMLPELLGEIIRRLEASEDRWPFRQNVVACGCVCKRWREVTLEAVKCSLNVGKITFPSCLKQPGPRDSPLQCFIRRDKKNSMFYLYLGLAPSFMDTGKFLLAARRCRNGTQIEYIVSLDADDLSQRSKAYVGKLRSDFLGTNFTIYDSQPPNSRSKPSSSRSCRRFASKQISPQVPAGNFEVGHVSYKFNLLKSRGPRRMVCSLKCPSFAETTKDQFQDEAKMKNLDSAATLCTVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVATLDQSQPGGKGDEETVILQFGKVGEDMFTMDYRKPLSAFQAFAICLTSFGTKLACE >itb01g20020.t1 pep chromosome:ASM357664v1:1:26310796:26314746:-1 gene:itb01g20020 transcript:itb01g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLLPEIRHTAPTMPLRPSLISRRISTRSRTLSTASEEFKLAQTCARRSQDDCCVVNHGNGDASENGVVEESDGGDASSTGGSWSNMLPELLGEIIRRLEASEDRWPFRQNVVACGCVCKRWREVTLEAVKCSLNVGKITFPSCLKQPGPRDSPLQCFIRRDKKNSMFYLYLGLAPSFMDTGKFLLAARRCRNGTQIEYIVSLDADDLSQRSKAYVGKLRSDFLGTNFTIYDSQPPNSRSKPSSSRSCRRFASKQISPQVPAGNFEKLQKTNFRMKQR >itb15g00060.t1 pep chromosome:ASM357664v1:15:54043:57777:1 gene:itb15g00060 transcript:itb15g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLIWRCVEIFQVVLCCGSGKEDGAETEWGQHYSYSQVINNYDSLSEGTVTSAPSTKLPFTTQPNVTANENRLRWQCGFQAELEIESATDIDYIKKKQKTLAEFPASLSSTKLSSSSLSSSSVKPPPATPSPAFSQKRSQVSQQPYSSLTSSHKVVSQVSPNRTRDFLSSSSISDKQSEVSTKPRDFLSSSSVNTTSSSSSPVPKKPDFSTKPTLFKDSTNHNAKTKYHWVDGGASPTFSTPDDIKGLIEKDIVPQVLKKPLSISTYKDYFRALLYAEDCYLEKWDHFEMKNVTLGLHYAATYKRSRLNSLDQDDQKGEKTFVAFEIDKVPEKRPFLLSRDFVSLRPSKKNFLLFEGLVYRVVKSNLLLAEFGEDFHSQHCPDYKYDVKFSFNRVCLKRAHQAIESASGPLFRNFLFPEFLPENRLLSKHSFAYKTLDSEQSSALDKILRLQASPPYLVKGPISVTKTGNLIVAAVVELCRASPLNRVLLCAPSNKTCDVILRALKKQVPDHDMFRANAAFRERDGVPLDILPSCLYEDETECFSCPLLNELCRYKVILSTFMSSYRLHNEGIRAGHFSHIILVDASSATEPETLVPLANFATEGTVTLVTGEPSNHSGWVRSPMARKFGLARSYFERLCGSKLYMSLDPNAITVLRDKYQSC >itb15g00060.t2 pep chromosome:ASM357664v1:15:54565:57777:1 gene:itb15g00060 transcript:itb15g00060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLIWRCVEIFQVVLCCGSGKEDGAETEWGQHYSYSQVINNYDSLSEGTVTSAPSTKLPFTTQPNVTANENRLRWQCGFQAELEIESATDIDYIKKKQKTLAEFPASLSSTKLSSSSLSSSSVKPPPATPSPAFSQKRSQVSQQPYSSLTSSHKVVSQVSPNRTRDFLSSSSISDKQSEVSTKPRDFLSSSSVNTTSSSSSPVPKKPDFSTKPTLFKDSTNHNAKTKYHWVDGGASPTFSTPDDIKGLIEKDIVPQVLKKPLSISTYKDYFRALLYAEDCYLEKWDHFEMKNVTLGLHYAATYKRSRLNSLDQDDQKGEKTFVAFEIDKVPEKRPFLLSRDFVSLRPSKKNFLLFEGLVYRVVKSNLLLAEFGEDFHSQHCPDYKYDVKFSFNRVCLKRAHQAIESASGPLFRNFLFPEFLPENRLLSKHSFAYKTLDSEQSSALDKILRLQASPPYLVKGPISVTKTGNLIVAAVVELCRASPLNRVLLCAPSNKTCDVILRALKKQVPDHDMFRANAAFRERDGVPLDILPSCLYEDETECFSCPLLNELCRYKVILSTFMSSYRLHNEGIRAGHFSHIILVDASSATEPETLVPLANFATEGTVTLVTGEPSNHSGWVRSPMARKFGLARSYFERLCGSKLYMSLDPNAITVLRDKYQSC >itb14g03230.t1 pep chromosome:ASM357664v1:14:2862875:2863396:-1 gene:itb14g03230 transcript:itb14g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANFVMSLYKGSKPLSPSQPGQDAKIEPPPPSSSSSSSLRKMVAFSGSDGYIHGPDGDKNVDLKATSYILQVKERLRLEEMKIMNENLKLGV >itb14g04480.t1 pep chromosome:ASM357664v1:14:4026138:4026859:-1 gene:itb14g04480 transcript:itb14g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMEKALPFVVMVLVVLSQVANLIVSKMAMSRGLSFFILALYGDLLSTLISLPFAFIFSRSNNFPVTFAVLCKIFLLALVGCVGQICEFAGTKYGSPTLATAMLTLVPGLTFVLALILRLARWLVSKSKRVDCTLY >itb14g04480.t2 pep chromosome:ASM357664v1:14:4026379:4026859:-1 gene:itb14g04480 transcript:itb14g04480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFMEKALPFVVMVLVVLSQVANLIVSKMAMSRGLSFFILALYGDLLSTLISLPFAFIFSRSNNFPVTFAVLCKIFLLALVGFVNLRVQNTAPQH >itb06g11860.t2 pep chromosome:ASM357664v1:6:16403891:16407935:-1 gene:itb06g11860 transcript:itb06g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGISLHSSLFSPLYAHSFKPQRFNLYSKNPTAVATACMAAAAVPAEAMPSTFGFKNLMKTFTVEVQRAEGRPLNVPLIAPFTIASSRVDEVGNVAIRVELSNGCVGWGEAPILPFVTAEDQPTALAKAAEACELLRKSSGNTMGFVLREIGGILSGHQFASVRAGVEMALIDAVANSIGIPLWRLFGGASDSITTDITIPIVSPAEASELASNYRKKGFKTLKLKVGKNLNADIEVLKSIRVAHPDCSFILDANEGYSSSEAIQVLEKLHEMGVTPVLFEQPVHRDNWEGLGEVSHFAKENFGVSVAADESCRSLDDVRKIADENLADVINIKLAKVGVLGALEIIEFARTSGLNLMIGGMVESRLAMGFAGHLAAGLGCFKFIDLDTPLLMAEDPVLEGYEACGPVYKFSNTRGHGGFLHWDNVAW >itb06g11860.t1 pep chromosome:ASM357664v1:6:16403891:16407935:-1 gene:itb06g11860 transcript:itb06g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGISLHSSLFSPLYAHSFKPQRFNLYSKNPTAVATACMAAAAVPAEAMPSTFGFKNLMKTFTVEVQRAEGRPLNVPLIAPFTIASSRVDEVGNVAIRVELSNGCVGWGEAPILPFVTAEDQPTALAKAAEACELLRKSSGNTMGFVLREIGGILSGHQFASVRAGVEMALIDAVANSIGIPLWRLFGGASDSITTDITIPIVSPAEASELASNYRKKGFKTLKLKVGKNLNADIEVLKSIRVAHPDCSFILDANEGYSSSEAIQVLEKLHEMGVTPVLFEQPVHRDNWEGLGEVSHFAKENFGVSVAADESCRSLDDVRKIADENLADVINIKLAKVGVLGALEIIEFARTSGLNLMIGGMVESRLAMGFAGHLAAGLGCFKFIDLDTPLLMAEDPVLEGYEACGPVYKFSNTRGHGGFLHWDNVA >itb05g16090.t1 pep chromosome:ASM357664v1:5:23377072:23380805:1 gene:itb05g16090 transcript:itb05g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQAVSFLTNIARAAFGLGVGATVLNSSMYTVDGGQRAVLFDRFRGVIDETVGEGTHFLVPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPQVARLSDIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRESLIRRAKDFNIELDDVAITHLSYGPEFSKAVEQKQVAQQEAERSKFVVMKAEQERRAAIVRAEGESESAKLISDATAAAGMGLIELRRIEASKEIAATLAKTPNIQYLPKGNNLLLGLNR >itb01g22220.t2 pep chromosome:ASM357664v1:1:28149083:28150940:1 gene:itb01g22220 transcript:itb01g22220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLQRRRMEEYLRRCERMGETAAEMMDQFGALKTTMAVEAVTSFRDEEEEEDGDLDVNFSGNSVSPAVSATSVRAPSTSKSSGAARRKSREVANDIWRSLDLQEEDFVTDNSDYYFHCSFSRETTPTSDQHRGDTEAEMESPARAKKKSREVMNRRGGKAAAGNKPPAAEIEEFFTAAEKYEQKRFQEKYNYDIVKDVPLEGRYQWVRLKP >itb01g22220.t1 pep chromosome:ASM357664v1:1:28149269:28150856:1 gene:itb01g22220 transcript:itb01g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAEMMDQFGALKTTMAVEAVTSFRDEEEEEDGDLDVNFSGNSVSPAVSATSVRAPSTSKSSGAARRKSREVANDIWRSLDLQEEDFVTDNSDYYFHCSFRETTPTSDQHRGDTEAEMESPARAKKKSREVMNRRGGKAAAGNKPPAAEIEEFFTAAEKYEQKRFQEKYNYDIVKDVPLEGRYQWVRLKP >itb13g02900.t1 pep chromosome:ASM357664v1:13:2843875:2845018:1 gene:itb13g02900 transcript:itb13g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLSLLFFFFVLPSSGSTGGSKLPTGDLNLLEFPLNLEYLEAEFFLWGAFGYGLDKLAPNLSSNGPSPVGAKAAKLSPLVKDIIAQFGYQEVGHLRAIKKTVPGFPRPLLNLSTEAFASVVNDAFGKALNPAFDPYANDINYLIASYLVPYVGLTGYVGANAKLSSQTAKRLVAGLLGVESGQDAVLRTLLYEHAKEEVAPYGITVAEFTNRFSDLRNNLGNKGFIKDEGLIVKEREGAEGKISGNILAGDEYSVAYDRSPEEILRIVYGSGEERKPGGFFPKGAHGKIAESYL >itb03g18730.t1 pep chromosome:ASM357664v1:3:16963000:16963539:1 gene:itb03g18730 transcript:itb03g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYGTIPTSDNGLEARLGFLAMRRPWKEMVISFSTPGTLAMALSRLKGNVAYFYTNYAILVLVVVFLSLLWRPASLIVFIIMMAAWLYLYFLRDVPLVIKGYAVDERVVLVVLSIFTTLLLLTTAAANMMTALAVGTAAVAAHGVFSGADNSAPIDQEDGRGKVNLLETASSGFSSG >itb12g05890.t1 pep chromosome:ASM357664v1:12:4410506:4415351:-1 gene:itb12g05890 transcript:itb12g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPGDQPFLARATTLAADIITDNRKSLKPLNNTVPGRIRPKGEASLHTVVETHLVTRLSFKLSSYLRLGYHWITRLLALCIYAMLLMPGFLQVAFYYFFSPQVHRNVVYGNKPRNRVDIYLPTPRSSRSSPKPVVAFVTGGAWIIGYKAWGSLLGRQLSERDIIVACIDYRNFPQGTISDMVEDVSQGISFICNHITEYGGDPNRIYLMGQSAGAHISACALVKQAIKESRREKVSWSISRIKAYFGLSGGYNLPSLVDHFHDRGLYRSVFLSIMEGEERLESFSPDIMTQDLSSRKAVCLLPPVILFHGIEDWSVPSDASKAFVDTLHGVGAQAELILYKGKTHLDLFLHDPLRGGKDELFDYLVEFIHSNNKEALAMDAIAPPRRRLIPEFLIKLARRISPF >itb12g05890.t6 pep chromosome:ASM357664v1:12:4410506:4413037:-1 gene:itb12g05890 transcript:itb12g05890.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVSQGISFICNHITEYGGDPNRIYLMGQSAGAHISACALVKQAIKESRREKVSWSISRIKAYFGLSGGYNLPSLVDHFHDRGLYRSVFLSIMEGEERLESFSPDIMTQDLSSRKAVCLLPPVILFHGIEDWSVPSDASKAFVDTLHGVGAQAELILYKGKTHLDLFLHVSVISIASIFPLYLLMLTEFLSQRIHFCTIHTLS >itb12g05890.t5 pep chromosome:ASM357664v1:12:4410506:4413138:-1 gene:itb12g05890 transcript:itb12g05890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVSQGISFICNHITEYGGDPNRIYLMGQSAGAHISACALVKQAIKESRREKVSWSISRIKAYFGLSGGYNLPSLVDHFHDRGLYRSVFLSIMEGEERLESFSPDIMTQDLSSRKAVCLLPPVILFHGIEDWSVPSDASKAFVDTLHGVGAQAELILYKGKTHLDLFLHDPLRGGKDELFDYLVEFIHSNNKEALAMDAIAPPRRRLIPEFLIKLARRISPF >itb12g05890.t4 pep chromosome:ASM357664v1:12:4410506:4415351:-1 gene:itb12g05890 transcript:itb12g05890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYLCLGFFKVHRNVVYGNKPRNRVDIYLPTPRSSRSSPKPVVAFVTGGAWIIGYKAWGSLLGRQLSERDIIVACIDYRNFPQGTISDMVEDVSQGISFICNHITEYGGDPNRIYLMGQSAGAHISACALVKQAIKESRREKVSWSISRIKAYFGLSGGYNLPSLVDHFHDRGLYRSVFLSIMEGEERLESFSPDIMTQDLSSRKAVCLLPPVILFHGIEDWSVPSDASKAFVDTLHGVGAQAELILYKGKTHLDLFLHDPLRGGKDELFDYLVEFIHSNNKEALAMDAIAPPRRRLIPEFLIKLARRISPF >itb12g05890.t3 pep chromosome:ASM357664v1:12:4410506:4415351:-1 gene:itb12g05890 transcript:itb12g05890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYLCLGFFKVHRNVVYGNKPRNRVDIYLPTPRSSRSSPKPVVAFVTGGAWIIGYKAWGSLLGRQLSERDIIVACIDYRNFPQGTISDMVEDVSQGISFICNHITEYGGDPNRIYLMGQSAGAHISACALVKQAIKESRREKVSWSISRIKAYFGLSGGYNLPSLVDHFHDRGLYRSVFLSIMEGEERLESFSPDIMTQDLSSRKAVCLLPPVILFHGIEDWSVPSDASKAFVDTLHGVGAQAELILYKGKTHLDLFLHVSVISIASIFPLYLLMLTEFLSQRIHFCTIHTLS >itb12g05890.t2 pep chromosome:ASM357664v1:12:4410506:4415351:-1 gene:itb12g05890 transcript:itb12g05890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPGDQPFLARATTLAADIITDNRKSLKPLNNTVPGRIRPKGEASLHTVVETHLVTRLSFKLSSYLRLGYHWITRLLALCIYAMLLMPGFLQVAFYYFFSPQVHRNVVYGNKPRNRVDIYLPTPRSSRSSPKPVVAFVTGGAWIIGYKAWGSLLGRQLSERDIIVACIDYRNFPQGTISDMVEDVSQGISFICNHITEYGGDPNRIYLMGQSAGAHISACALVKQAIKESRREKVSWSISRIKAYFGLSGGYNLPSLVDHFHDRGLYRSVFLSIMEGEERLESFSPDIMTQDLSSRKAVCLLPPVILFHGIEDWSVPSDASKAFVDTLHGVGAQAELILYKGKTHLDLFLHVSVISIASIFPLYLLMLTEFLSQRIHFCTIHTLS >itb07g09160.t1 pep chromosome:ASM357664v1:7:8164135:8166129:-1 gene:itb07g09160 transcript:itb07g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVMDAIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLNNMAKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPETDYHAIVRMPSAEFARICRDLSSIGDTIVISVTKEGVKFSTRGDIGTANVVCRQNTTVDKPEEATVIEMNEPVSLTFALRYLNSFTKATPLSNTVTLSLSSELPVVVEYKIAEMGHIRFYLAPKIEEDEEDTKP >itb12g07010.t1 pep chromosome:ASM357664v1:12:5240938:5242915:1 gene:itb12g07010 transcript:itb12g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMISGNGIMAAFVPPLPPPPETSITFQNPEEENHFSHHHHDFNGAANVSTLLMRRSVSFTGLERPWENQHHHHHQVDDDTSDDGCSQLGEKKRRLSLEQVKALEKSFEVGNKLEPERKMQLARALGLQPRQIAIWFQNRRARSKTKQLEKDYELLKRQFEALSADNDALKSQNKKLHSELQLMALRSRESKGSGPGSAMIINLNKETEGSWNNNNNNVSENNSIIDVNLGSATTTADSPLYSNKHHHHHHHVLPPPPPSSIAQTNLPPHHHVQDEGYCNMFGSAMDEQAEFLAMAPGSTTFPLSFSFS >itb12g07010.t2 pep chromosome:ASM357664v1:12:5240963:5242915:1 gene:itb12g07010 transcript:itb12g07010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMISGNGIMAAFVPPLPPPPETSITFQNPEEENHFSHHHHDFNGAANVSTLLMRRSVSFTGLERPWENQHHHHHQVDDDTSDDGCSQLGEKKRRLSLEQVKALEKSFEVGNKLEPERKMQLARALGLQPRQIAIWFQNRRARSKTKQLEKDYELLKRQFEALSADNDALKSQNKKLHSELMALRSRESKGSGPGSAMIINLNKETEGSWNNNNNNVSENNSIIDVNLGSATTTADSPLYSNKHHHHHHHVLPPPPPSSIAQTNLPPHHHVQDEGYCNMFGSAMDEQAEFLAMAPGSTTFPLSFSFS >itb03g05590.t1 pep chromosome:ASM357664v1:3:3950244:3951369:-1 gene:itb03g05590 transcript:itb03g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARRFLSTNNSATAPPSVAKAAVADPPSEVTVESDFVVILAALLCALICVVGLIAVARCAWLRRGGAGENGRPRGHPAANKGLKKKVLQSLPKFVYGPAFVAENGGKQFAADCAICLAEYVEGEEIRMLPQCGHGFHVQCIDTWLGSHSSCPSCRQILIVARCRKCGGGEFPHITGAGDETRLHGGGGVGGNFLV >itb04g30930.t1 pep chromosome:ASM357664v1:4:33916404:33917050:1 gene:itb04g30930 transcript:itb04g30930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLMSIATIVNSLGLVCWIVFYANEYKRKNTWPSSELLFETGVWLLTALLSFRKLYSLAVNPDKELACVYYWGSIAVAFGSMITNYWTNIRKTSADRLKSQLIRKAETTFDELIRTSMKEHQKDNVTKFDQTLTDFIRMLSILVAQQQETLEIAEQQEARSEAAECGREHSMSEALIV >itb04g29080.t1 pep chromosome:ASM357664v1:4:32582391:32585861:1 gene:itb04g29080 transcript:itb04g29080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQISAALITFVLLFFLRKIFVIKKKGRSAESTKKLPPGPKKIPIIGNLHQLAGKSLHHSLHQLSQQYGEIMSLQLGSIPTLVVSSADAAREIFRDHDLVFSGRPPLYAATKISFNCSSTSFAPYGEYWREVRKILVTELLSTKRVQDFEAVRDAEVCRMIDRITACSATSTVVDLSSLALSLSNNVVRCVAFGNKGDGDDDETMKFNEILHQAQHLMGEPNLADVFPRLAWINKVNGLDARLEKTFKDIDSFFNKVMEEHLAEHSRHEHDEEDEEDIVHTLLRIQKDPNQTSMPLTNQHIKGVLVDVFIAGSDTSAATIIWTMAELIKNPNAMRKAQLEVRQLMNGKEKVSESDLPQLKYLKMVIKEALRFHPPAPLLVPRETTDKCTVGGYDIPAKTRVFINAVAIGRDRRAWEKPGEFWPERFWESEVDYRGNHYELIPFGAGRRGCPGMNFAAPLVELAVANLLYRFEWRLPAGMGVEDVDMDEAFGITIHKKAPLCLVASILPN >itb05g00280.t1 pep chromosome:ASM357664v1:5:205698:207575:-1 gene:itb05g00280 transcript:itb05g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLRHHTHTLTTLRRRHRTIPPAIRITSIHRRRRLLPSSTTTVTSQMAVVHVRFSDHRNSPPSLQLVV >itb11g23260.t1 pep chromosome:ASM357664v1:11:25171198:25174782:1 gene:itb11g23260 transcript:itb11g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDTTYKLSTRGNTSGFTNPGSLANSVAFPASRESIPVLFNLKMTSESKVHSFEEVSKHNKKDDCWIIISGKVYNVTPYLDDHPGGDDVLLTATGKDATNDFEDIGHSDDARETMKKYYIGDIDTNTLPDKPAYTPPPTSSAPKQKSGGMMTLLQFLLPLLILGLAVGFRYFNKKE >itb07g13430.t1 pep chromosome:ASM357664v1:7:15681597:15686389:1 gene:itb07g13430 transcript:itb07g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYYICVVLLKRVVVKFRGVSQQKDICFSAACLLCYIEQAQQCCVAVEPLCIRLMIPHNIDSISAKKLLVYYIMLWEDGVGLGMHKLEKRTIPEEINAKWAKRKLANMARRKGAKTESKASCRGGHDGVHGVNPTATTLSSLKR >itb06g19620.t1 pep chromosome:ASM357664v1:6:22869753:22871066:1 gene:itb06g19620 transcript:itb06g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFIIFCAFAFLFAEINGITLDLIHPDSPQNPFRHPSQTRFGYIRQAFRNSQSRASYINHRINGDFSTKFATTVKPSGGGYVMKYSIGTPPFETYGIADTGSDITWTQCKPCTNCFNQSLPMFDPKTSSSYKTMPCDSSTCSSSTSFSCGVNDVCQYQVTYGDQSSTVGDVAMDTLTLGNTAFKGVLFGCGHENGGTFSDSTSGIVGLGGDGVTSIIAQLDRQIGGKFAYCLSTDANSTSHISFGPDAVVNGAKAVSTPLIKKPEQATFYWLNLERITVGGKSLTVKSPVAEISGRREGAVKQASVEGNIIIDSGTTLTFLPSDLYTSFESAVREAIPATPVSDPQGTFPLCYSSSGNLEVPRIIAHFTGADVELSAKGTFVEVEEGLVCLTIISQASLGADIAIFGNLSEIDYIVGYDLGARTVTFKPADCSKF >itb13g07560.t1 pep chromosome:ASM357664v1:13:9491390:9496796:1 gene:itb13g07560 transcript:itb13g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRLSVWWFLVAAAAGVAVVCESTAAFPVRETSRNAYATMMYMGTPRDYEFYVAIRVMLRSLSRLGSQADLLVIASMDVPPQWIQTLELEDGARVIRVENLNNPYESQSDFDRRFKLTLNKLYAWSLVDYDRVVMLDADNLFLRKTDELFHCGEFCAVFINPCIFHTGLFVLKPSMTVFNDMVHELKVGRENPDGADQGFIGSYFPNLLYQPMFHPPPNGTKLQGQFRLPLGYQMDASYYYLKLRWHVPCGPNSVITFPGALWLKPWYWWSWPVLPLGIQWHEQRRQTIGYREEMLTVIIQAVIYVGVIAVTRVARPNLSKLCYRSHQDTNFSIFLLRTCLKMAAMWVILAAYIVPFFLIPCTVHPVAGWSLYLLGVFSFSSVASNMFLLPMLPVLAPWLGFLGALFAMAYPWYSDGVVRAMVVFAYALCTSPMICLALSKIVSRLSHSLEREGFLPVLGESVAPAGFNKLY >itb02g04310.t1 pep chromosome:ASM357664v1:2:2557773:2558628:1 gene:itb02g04310 transcript:itb02g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIYIVNNSTQENDTLFKTIKAAAGKKQTVAVTLLATLTFAAGFTAGRSVDDGNNNPPGEAAAARDLTFEAGSAAFICSLLCCIASMFTYIVIRREATSFWKLHDMSLFSLVLAAAALAFVVRMYAVLTLAAYTHLWVAGAAVGLAGFLLFGFAVFVRAYRLALGIGLAAICGHLLNPSQTQLHDTQHTD >itb06g24490.t1 pep chromosome:ASM357664v1:6:26018338:26020013:-1 gene:itb06g24490 transcript:itb06g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRKQRKPTDYNNAFHNLDLIFPRKHHLCARKAEIMLGFFLSCASCRVRPRPPVKPNKKSGNLIMKPADEKSEFSSKNSEEMSFNGDEMKQGESGYGGNRVMVVVNRSFEAKGALQWALSHAVQSQDTVVLLRVTNPCGNSHSVLNQRSNHEILCRMESMCQAMRPGVQVERVVQEGKEKGAEIVEAAKQHRVNLLVLGKRKRSMVTVAVRRKRVQSEITNYCIQNADCMTVAVRRKSRKYGGYLITTKLHKNFWLLA >itb06g24490.t2 pep chromosome:ASM357664v1:6:26018338:26019936:-1 gene:itb06g24490 transcript:itb06g24490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRKQRKPTDYNNAFHNLDLIFPRKHHLCARKAEIMLGFFLSCASCRVRPRPPVKPNKKSGNLIMKPADEKSEFSSKNSEEMSFNGDEMKQGESGYGGNRVMVVVNRSFEAKGALQWALSHAVQSQDTVVLLRVTNPCKQGGNSHSVLNQRSNHEILCRMESMCQAMRPGVQVERVVQEGKEKGAEIVEAAKQHRVNLLVLGKRKRSMVTVAVRRKRVQSEITNYCIQNADCMTVAVRRKSRKYGGYLITTKLHKNFWLLA >itb15g18180.t3 pep chromosome:ASM357664v1:15:19617683:19626184:-1 gene:itb15g18180 transcript:itb15g18180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRAEYNPDQYLWEKDFTLAGRKYKRQDLELKNERGHTLRCSHYVPSSFPEDSPLPCVIYCHGNSGCRADANEAAVILLSSYITVFTLDFSGSGLSDGDYVSLGWHEVCLLECFFIPFDAFLSNCFIVCQKNDLKVVISYLRDNPKISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRIIQKRAKFDIMSLNCLQVAPKTFIPALFGHAKDDKFIPSHHSDLIFKSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPRLPPTSATKIEKYYDLGDLKVSTGMDENLLNEIIAGLRNVSTETASSSSVPTGISAPQSVSDLLSDIAPIASAIDPLVIEEDILDGDDPPSRQDKASSQNEDCCSYTSSNRESWGRCSSLGSDNEHCSDNACVINDHQTPLEILATPLRNIQESILESSRDKKKKKKAETPAKKSRREKFEKLEALSQRLRLCILKRVSHRRHRSS >itb15g18180.t2 pep chromosome:ASM357664v1:15:19617683:19626184:-1 gene:itb15g18180 transcript:itb15g18180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRAEYNPDQYLWEKDFTLAGRKYKRQDLELKNERGHTLRCSHYVPSSFPEDSPLPCVIYCHGNSGCRADANEAAVILLSSYITVFTLDFSGSGLSDGDYVSLGWHEKNDLKVVISYLRDNPKISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRIIQKRAKFDIMSLNCLQVAPKTFIPALFGHAKDDKFIPSHHSDLIFKSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPRLPPTSATKIEKYYDLGDLKVSTGMDENLLNEIIAGLRNVSTETASSSSVPTGISAPQSVSDLLSDIAPIASAIDPLVIEEDILDGDDPPSRQDKASSQNEDCCSYTSSNRESWGRCSSLGSDNEHCSDNACVINDHQTPLEILATPLRNIQESILESSRDKKKKKKAETPAKKSRREKFEKLEALSQRLRLCILKRVSHRRHRSS >itb15g18180.t1 pep chromosome:ASM357664v1:15:19617683:19626184:-1 gene:itb15g18180 transcript:itb15g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRAEYNPDQYLWEKDFTLAGRKYKRQDLELKNERGHTLRCSHYVPSSFPEDSPLPCVIYCHGNSGCRADANEAAVILLSSYITVFTLDFSGSGLSDGDYVSLGWHEKNDLKVVISYLRDNPKISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRIIQKRAKFDIMSLNCLQQVAPKTFIPALFGHAKDDKFIPSHHSDLIFKSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPRLPPTSATKIEKYYDLGDLKVSTGMDENLLNEIIAGLRNVSTETASSSSVPTGISAPQSVSDLLSDIAPIASAIDPLVIEEDILDGDDPPSRQDKASSQNEDCCSYTSSNRESWGRCSSLGSDNEHCSDNACVINDHQTPLEILATPLRNIQESILESSRDKKKKKKAETPAKKSRREKFEKLEALSQRLRLCILKRVSHRRHRSS >itb15g18180.t4 pep chromosome:ASM357664v1:15:19617683:19626184:-1 gene:itb15g18180 transcript:itb15g18180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRAEYNPDQYLWEKDFTLAGRKYKRQDLELKNERGHTLRCSHYVPSSFPEDSPLPCVIYCHGNSGCRADANEAAVILLSSYITVFTLDFSGSGLSDGDYVSLGWHEKNDLKVVISYLRDNPKISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRIIQKRAKFDIMSLNCLQQVAPKTFIPALFGHAKDDKFIPSHHSDLIFKSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPRLPPTSATKIEKYYDLGDLKVSTGMDEPDGKIWYTCSQNLLNEIIAGLRNVSTETASSSSVPTGISAPQSVSDLLSDIAPIASAIDPLVIEEDILDGDDPPSRQDKASSQNEDCCSYTSSNRESWGRCSSLGSDNEHCSDNACVINDHQTPLEILATPLRNIQESILESSRDKKKKKKAETPAKKSRREKFEKLEALSQRLRLCILKRVSHRRHRSS >itb03g07780.t1 pep chromosome:ASM357664v1:3:5798091:5800539:-1 gene:itb03g07780 transcript:itb03g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKKEKPYYMDYRDRGHPYRGGEHVVRVPPPPGMMGTQLGGGGGGGWGPPPMNGSTEYNTPPGFSSAYATPMPPMPYSPRGVGRITPPTSLPPPSPPIGGFNQSQFTYEELAAATAGFSQANLLGQGGFGYVHKGVLPDGREVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIVHAQRMLVYEFVPNKTLEFHLHGKGQPVMEWPTRLKIALGSARGLSYLHEDCHPRIIHRDIKSANILLDNHFEAMVADFGLAKLSSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLEIITGHRPLDSTNITMEDSLVDWARPLLAKAIEDGNYNQLADPRLEGKYNSQEMGRMVACAAASIRHSAKRRPKMSQIVRAWDGDFSLDDLNEGGRGAMQNSTPPLSNESSLYDTRAYNADMLKFKQLVLNNESNEFGCTTGEFGHDRPSTSTSLDSGEHHGLQRPPQQQQQQQQQKYYI >itb07g15530.t1 pep chromosome:ASM357664v1:7:18603780:18604397:1 gene:itb07g15530 transcript:itb07g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEITLPVMKDGEDTGPAAHCGGGFLCKAFRKGKNVVLNFINRGGSRHAAPPIKDISGSDEEGSLPGKPIIAAMEKQELGKAVFMLAVPMSTAIMFVKADTVSPAAVRFTLVANAVGTAAIWNGILLRKRWPRIANFAEQAGMSSVFLGFHMLVANYLSPELRFFPAICLGFSVLPFVVSAIPGGGKDHHEAKQEDVCPDPPL >itb04g06350.t1 pep chromosome:ASM357664v1:4:4106713:4111179:-1 gene:itb04g06350 transcript:itb04g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSNSQSMANPSNSSTPTDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKAPKNVLLPLPGSMAYPSSVNPLAPGYPSWDETSMLVNASTSGMMPPEDEFNVHGVEADVGSKGAARIGNSDVVEIGSSNRTVPNQSKLGSVLHGLPDFAEVYSFIGSVFDPDTSFHELKLKEMDPINLETVLLLMRNLSINLSSPDFEPIKKMLSSYDGSTRTAGVTTGSCANNLNDVLC >itb13g03690.t1 pep chromosome:ASM357664v1:13:3586537:3591081:1 gene:itb13g03690 transcript:itb13g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLHSAQKLLPILNGATKGGIETMDFNCVMEILGKHFTLPVSGMPPHINKRVKSFCRQHRHFLYGKQERQYQPFLFGKQESEDDEQDSDDDDDDEQESKNEQQIDDDDDDDDEECRSVLSLLIWYFIWSVWRVSSQIKEKVKSCFRRVRKRERRRYMLLYEAESVIRQELRASYLNKFMKQRIQATQRIRQLFIQGIRLTSYIKKEMLKVKNAYHQSNNSQNNNNNPASLRGLELDNITVGDSKSTIKMVGCDDVFKTIMDNLSQQSSKQEIVSIVGMGGIGKTTLARKIYEDASFISYFDCRAWVTISQDYNPTQVFQCLLQSLAPAGASHKNGASNYELAEQVYRLLKHRRYLIVVDDIWSTNVWDDLMRCFQDDNNGSRILLTTRQKNVAEYADSVEALVIDAELGEFSTIYSMGMLSLEKFWPNLKELKLSNTNLKWKDIDVVGKLSKLEDLRLLTCAVKDQIWKPKDGGFRGLKFLMIHWSPLQYWEATSDHFPVLEKLDLGHTKLKEIPSDFAGITTLKSITLSYCLEYSLISSAERIQKEQQDSGNDTFVVDITRW >itb02g16160.t1 pep chromosome:ASM357664v1:2:11938065:11940093:-1 gene:itb02g16160 transcript:itb02g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGDDHLHHHHHHHHHHNRRPTFPFQLLEKKEDEACSSSSSSATTTTTTTAIVPYPSLAISSSVDTSGANPNAAAASAEPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSQYFNPNFSLSQRRSLFPAGIGLSPADNSATTLLNFQAANININNPSMNLSAAKPELRDNSIDLTEPTAEEEESIGRKRRPEQDLQHQMGSYLLQSSAGTMPASHASVPANFWMVTNSTNPVMGGAGGDPIWTFPSVSNNAAALYRGTMSSGLHFMNFPTPVALLPSQQLGANIGGGGGGNGLGEGQLGMFAGLNPYHRSGGGGGGVSESQASGSHSHHGGDDRPDTTS >itb07g04360.t1 pep chromosome:ASM357664v1:7:2931309:2935928:-1 gene:itb07g04360 transcript:itb07g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQTINTDLPRGENIPRVTDTTPMVTPIAPATTINPVHEVIPRTTGLNPRGYTAPPAYPVQGVVVPATAANVNTIDPLSGGLVVPAPLPVVAPLKAKTVNPIPAGRYLRNRRGDLLASSEDTTMMDHVRATHDVDAVHYKVKPLVHLIEDIMPRANAAIPGHIQTIQSHPPARLDAILEEKVLHSGLSELVEALAYPVHRTSSEMICGYSNQIDAHNITLSVLKLLANYWWDAKAVITFAAFAEQYGQFGLVVRLYPTDPLAKSVATIKQIPDIMESAESLGAVNSKFRELTKLVNKMLEVTNQIVAFKEIATEEKLLKVKYKVNVYKELAESLSPVTAEQENVIAKASYYVVKAAVTCSLVIFNLLAVGRDYYSSTEEDLEISTLTHKLSYILGDLQKATHIANQEIGKIKHTIKRKILEEALARMHPDNKYSAELIICGENDPTPIIHGTGIDIRKHGLEILRRKYVFLLVSDLDIPNEVITMLRHMYLESKQDPSRAESQFEIVWLPIVDRRAPWTEAKEEQFKLVKDSMPWYSVSHPSKIDEAVYGYVKEVWGFTHKPLLAVVDPQGKLTNVNALPMFWIWGSVAFPFNKLKEESLWSETSWSMALLADSIDQNIFNWFNDGKYICLYGGEDMDWIRTFTKTARYVAQQARIPLEMLYVGKMNVKDRIKRNNATIQAEGLSSILQDPTMIWFFWERLESMWFLKGEKTPAMHDESRTYIIPEESRDPILQQVKAILSYDGSNRGWAVFSRGLGEMTKGEGSNVVKVLNNFDGWRHEVTDVNAFVPALDKQLRGLYTKHHCTRMVVPAAVGHYPETVACVECGRSMEKFFMYSCCLDEYDS >itb14g10460.t1 pep chromosome:ASM357664v1:14:11777947:11780728:-1 gene:itb14g10460 transcript:itb14g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEKMEDQNAANNYSIDSDSEEEEEYIYEHPSTTRAETVRRFVRVLNKKKLRRELEEEETEGPSIEEEYDFPVDPEKWTEEDVKELWADPPVGSGKTGWDPSWVDAEDEEMIRGELREGRDPYFGPFYVPYRKPFPAVPDNHHEIATPKAVIEELDKTEEFMRWVSYIFDDGSSYEGTVWDDKAHGKGVFVGEKGLVRYEGEWLHNEMEGHGVVEVEIPHMQPVPGSKLEKKMRAKGKIITRDSMDPEDREWLEMDIADSVRFAAGKREIPFYDKKIWIKHFGEKP >itb01g09050.t1 pep chromosome:ASM357664v1:1:7289797:7291266:-1 gene:itb01g09050 transcript:itb01g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFIPVPGMGHLVSAVGTAKLLLQARPQLSVTVLIMKLPFVSDPNVNSYIDSLLADESDNSRLKLIPLPEEADSLKGHTDTDLSFIFRVFLDSQKTKVRECVNEMLGCVGVRRRLAGFVVDILCSTMMDVADEFGVPTYVFYPSGAATLGLHLHLQSLNHHALEFKDSDPHLNIPTYSKPFPVNLLPNFLLDKTNGIWDCTRQISQAKGIIVNTFFDLEPHALESLSKDKRIPPVYPMGPILNLNSNYNKNRESEKQIWMKWLDDQPSSSVVFICFGSGGTFPEPQVKEIAYALERSGQRFLWALRKPPCPGSLVPTEYTNHEEILPEGFLERTQSIGKVIGWAPQSEVLAHLSVGGFVCHCGWNSILESIWFGIPIATWPMCVDQHANAFQLVREIGMAVDVKMDYKIDSKDPKTNVLIVPEIVNAKEIEFGITSLMDHSTSNSVRTKAKEVKEKSRKALEEGGSSFNFVESFFKNVMNSLK >itb12g01690.t1 pep chromosome:ASM357664v1:12:1125153:1126233:-1 gene:itb12g01690 transcript:itb12g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDFDLEVEASSENDYDIISQVGSNVSIQETLTEASKNSLADSSKMSGLMALQLSSEPVSLDLSLGFKPGCFDKMAGRQGSAAFSVSSTSESSNELTTQTTGSANPRVFTCNFCKRKFYSSQALGGHQNAHKRERTLAKRAMRMAIFSEKYASFASLPLHSYTAAAAAARSLEIKTHSSLHGFAPTMRPLDFRTYSKFEPALLSQPIYVEDDEPKMLWPGSFRPESASHRTCVLTGTSTMNHVEMAQLLDTDKSAPDLTLRL >itb14g03630.t1 pep chromosome:ASM357664v1:14:3307314:3308268:1 gene:itb14g03630 transcript:itb14g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRQREESLETMEGEISKRSKPFDHILSILEEEEEEEPKGALEVEAELGIFTTLQQEISSSSSSSSSSESEFGPIIRDPAHGVSPDPSSTEDDDRIDVIRHLLEASDDELGIPNLRTDGGDNADENGADGQGFPFSLCDDGLWEFEDQSANYYYLLQAELFM >itb02g10420.t1 pep chromosome:ASM357664v1:2:6723069:6727106:1 gene:itb02g10420 transcript:itb02g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLRRSAVIIGNENGGLRMMLGFRRGIATSCRAVLLPRFGGPDVLQLRDNVSVPDLKPNQVLVRTRAVSINPLDTRMRQGYGRSIFEPLLPLILGRDISGEVAAVGNSVRSLGVGQEVFGALHPTAVRGTYTDYAILDEDELAPKPASLSHVEASAIPFAALTAWRALKSTARIREGQRVLVVGGGGAVGFSAIQLSVAAGCHVSTTCGGESVDRILAAGAEQAVDYTTEDMEVAIKGHFDAVLDTIGAPETERVGINLLKRGGHYMTLQGEAASLADRYGLAIGLPISTAILLKKQIQYRYSHGIEYWWSYMRADAEGLDEIRRLSEAGKLKLPVEKTFPFTQVKDAHHAKDKGKIPGKVVLELD >itb02g10420.t2 pep chromosome:ASM357664v1:2:6723069:6726389:1 gene:itb02g10420 transcript:itb02g10420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLRRSAVIIGNENGGLRMMLGFRRGIATSCRAVLLPRFGGPDVLQLRDNVSVPDLKPNQVLVRTRAVSINPLDTRMRQGYGRSIFEPLLPLILGRDISGEVAAVGNSVRSLGVGQEVFGALHPTAVRGTYTDYAILDEDELAPKPASLSHVEASAIPFAALTAWRALKSTARIREGQRVLVVGGGGAVGFSAIQLSVAAGCHVSTTCGGESVDRILAAGAEQAVDYTTEDMEVAIKGHFDAVLDTIGAPETERVGINLLKRGGHYMTLQGEAASLADRYGLAIGLPISTAILLKKQIQYRYSHGIGMQQSFYIV >itb11g09810.t1 pep chromosome:ASM357664v1:11:6654521:6656075:-1 gene:itb11g09810 transcript:itb11g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATAVKKRIEIGPWGVHGGSDCDDGSIDGIREISLVYGRCIDSIKVVYNKNGRPFTAEKKHGGNGGDKTGEVKLQFPEEYLTSISGYYGPQRGSLVIRSLTFKSNLQRTFGPFGLEEGTPFSLPVEGGKIAGLKGRNGWYVDSIGCYIACTQTPKAATNNQKGKKTRKKRATTVVGLDNRIKAAAP >itb12g17870.t1 pep chromosome:ASM357664v1:12:20117980:20118375:1 gene:itb12g17870 transcript:itb12g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYYRGLEGRVRPTSSGDRRITFNGNLPTSNAPHCLHSSHGNSGLIISYPSCPSRKNPNISNSPDYLSPPNWLGLNHHCNPGHHPGPLDSGQRLNRSDLNAKIRCRRSTDRWSPVSSSAELHLPPSLSLS >itb09g16300.t1 pep chromosome:ASM357664v1:9:11500385:11503108:1 gene:itb09g16300 transcript:itb09g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFPFSKCFFPSSPNTTSESPKTQYDETIVESIRLFSYEELKSATQGFRPSCKIGEGGFGSVYKGRLEDGSFVAVKVLSVELESLRGEREFVSEIAALSVIKHENLVTLRGYCVDGANRLLVYDYMENNSLIHAFLEYAISGHFTRKSDVYSFGVLLLEIVSGGPIVAFDLERGEHFLVNKAWEMYKADQLLELVDPLLEGEFPAEEAGRFLKTGLLCVQETTTLRPKMSTAIKMLSNEVAIDENMKKISRPGIVADLRDVKIHKTKTSIVFPSFSP >itb13g02990.t1 pep chromosome:ASM357664v1:13:2964708:2965851:1 gene:itb13g02990 transcript:itb13g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQVLDCVLVPLGLFVMVAYHLWLLRQIKNNPNCTVIGVNAINRRRWVRAMMENGVLGVQTLRNNIMASTLLASTAIMLSSLILVNVPYRKIMATTKEDPKRPYRCVVTSEEYVGMTVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLCCVVLVFMLYFLDFTMDFGLLALPTNAEDECNGDVDI >itb07g07000.t1 pep chromosome:ASM357664v1:7:5282913:5284739:1 gene:itb07g07000 transcript:itb07g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISINGDLFHEILGARPHHLPSMTSVVLAVDGQWGTQGCTTIFKYTQGEKTEIGESFMDTIDDEKKMVKYRVVKGDILKSFKSFIITCEVETNGDDNFVTWTVVYEKLKEEIPEPLTFMEYLFTLTKETVDHLAKVNP >itb04g20840.t1 pep chromosome:ASM357664v1:4:25829462:25831174:1 gene:itb04g20840 transcript:itb04g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIEPHSSSNRSNNGVSSSEHHRPTPPQAQEAPPSSLQLVSSHQSQPPDPPGPATRTPTHPLLSPPSKLVKKASSKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLHQAEPAIIAATGTGTIPANFSTLNVSLRGGGTTVSAPPFIHGGAAAMLGFHRHGDNEAGSDPKPGPSTFIPAPAMWAVAAPAAGNAGNSFWMLPLSGGGTSGTPTSGCVAQPEQHHLWQYKAPGGGFEFPGGGGARFSPVQLSPVQPVQQLGLGVSETNLGMLPSPAINAAAYTTKRVDLGMTLEQHQHHGRHHNNQNHQPQGSDSGGENPKDSE >itb13g07590.t2 pep chromosome:ASM357664v1:13:9536149:9539183:-1 gene:itb13g07590 transcript:itb13g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHSDDLDQLLDSALDDFQNLNLTASSQSKDGEEKGSSMAGEVQGLGMGLPDLRAKKMGKQKKASKEVKDSHAFEALDKLREQTREAVKGLESMNGLKFPGAQNFGNDALIEEWAKQFEDLAGSQDMESIVETMMQQLLSKEILHEPMKEIEERYPKWLEENKAKLPNEEYNRYNHQYEHIKELNRVYETEPANFNKIVELMQKMQECGQPPNDIVTELAPDFDLSSLGQITPEMLESQQNCCIM >itb13g07590.t1 pep chromosome:ASM357664v1:13:9536147:9539258:-1 gene:itb13g07590 transcript:itb13g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHSDDLDQLLDSALDDFQNLNLTASSQRSKDGEEKGSSMAGEVQGLGMGLPDLRAKKMGKQKKASKEVKDSHAFEALDKLREQTREAVKGLESMNGLKFPGAQNFGNDALIEEWAKQFEDLAGSQDMESIVETMMQQLLSKEILHEPMKEIEERYPKWLEENKAKLPNEEYNRYNHQYEHIKELNRVYETEPANFNKIVELMQKMQECGQPPNDIVTELAPDFDLSSLGQITPEMLESQQNCCIM >itb08g08560.t1 pep chromosome:ASM357664v1:8:7528006:7528347:1 gene:itb08g08560 transcript:itb08g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPMPTAGAGLDVLTIQSYPKMILGESWELPKPNGNTCPICLSEYQAEEILRSIPDCNHYFHANCIDEWLGLNATCPLCRKSPESLGTESSHLSSSLSLSSSTYSPTSSAS >itb01g32330.t1 pep chromosome:ASM357664v1:1:35850210:35851049:1 gene:itb01g32330 transcript:itb01g32330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGCSNSRPIIAFPLGLGLLGIVLICITGFFSCCYHWEKLRSLFVGSSTVTDSQLSTQSSVDVRNNSPSKPIKMPEAKQEQSMTILMPGDDVPKFVAMPTPLPKGSRYGSQTSWGSA >itb06g10310.t1 pep chromosome:ASM357664v1:6:14654384:14658052:1 gene:itb06g10310 transcript:itb06g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGSVVLAEYSATPTNASAIARQILEKTHGDSDSNVSYSQDRYIFHVKRTDGLTVLCMADDVAGRRIPFAFLEDIHQRFVRTYGRAVLSAQAYAMNDEFSRVLSQQMEYYSNDPNADRINRLKGEMSQVRNVMIENIDKVLDRGDRLELLVDKTATMQGNTLRFRKQARRFRSTVWWRNVKLIIALIVLLLVIVYAVLAFVCHGITLPSCLK >itb03g24240.t1 pep chromosome:ASM357664v1:3:22867951:22871578:-1 gene:itb03g24240 transcript:itb03g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGFGVWTQRLWRHHNFTGKSIYLGNSRVYSSSVAAAAAAPPKRIGTHNGSFHCDEALACFMIRLTSKFSNAQIIRTRDSQHYGTEIVAKEVQLHEEHPDVHRLFLAVYKNFIEAVDAVDNGINQYDIDQPPRYVNNTSLSSRVGKLNLDWIDPDQSPKKEDKAFQSAMTLAGREFLECIHFYAKSWLPARSVVKDCLVAREYIDSSGEIMVLTRPCPWKLHIFELEVEMKINPSIKYVIYQDDRSENWRLQAVAVSPDKFESRKPLPSTWRGLADEELTSVAGIPGCIFVHMSGFIGANKTYEGALYMARASLES >itb13g15250.t2 pep chromosome:ASM357664v1:13:22005773:22007453:-1 gene:itb13g15250 transcript:itb13g15250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDMIIALYWGGDIIHGDQRGVRYSMNVADWLIVDQNISYDDLLSNVRNVTATDPSQTRLKLKCRYPITADSSEYVVLEFNSQRRWERILQTFSALQGRTGLIEVFVEIEYTTSCN >itb13g15250.t1 pep chromosome:ASM357664v1:13:22005773:22007453:-1 gene:itb13g15250 transcript:itb13g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALYWGGDIIHGDQRGVRYSMNVADWLIVDQNISYDDLLSNVRNVTATDPSQTRLKLKCRYPITADSSEYVVLEFNSQRRWERILQTFSALQGRTGLIEVFVEIEYTTSCN >itb15g24220.t1 pep chromosome:ASM357664v1:15:27066052:27072714:-1 gene:itb15g24220 transcript:itb15g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNRSRRERRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKNSDSEAEHNIELSPVVENTEVKTNNLKRKCDLSSNTRFNEYLEMEMTGGVNSAEDDMRLEKKLAKKLRVKDGKLSGGDDINMLLEGIPSILNSVGEVQEFPKGKKSRTSGTKKKLMDVDVNVVGEVVDKRVRQSDSISASCDDYNHVEEFDGLKELDKPKKKKTKFEKYLELENGSISAEEDLALEKKLAKKLKVKGGKLNRDDDGINILFDGIPSALELFEGEKLQNAEEGPRKILDETLHRKSKSLKSVKQKQVIEGEQDQDQVQVSVKRTDKALRASYPATSSGIEVGLGKLPSAQSAFGENTNYIAPHLRSHLGNESQDHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSVIIIEEVLASCSGGPRGNEQYAAVFAAFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLSGDFNVNSNQKNIREVANTIDMEVVETQKMLQLAATQRMNTDARRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDREIMRVLLECCLQEKVFNKYYCALASKLCSHDKNHKFTLQYCLWDHFKEVESMELMRSMHLSKFVAEMLASYTLSLSVLKVVDLGDVTQLTPKRTMHFRMLFEAIFEFPDKLVWNIFTRIALPEHESLCNGIVIFIREYVANGHKSLAGKFKIAKKAINNVEGVLM >itb10g23210.t2 pep chromosome:ASM357664v1:10:27675151:27675691:-1 gene:itb10g23210 transcript:itb10g23210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLWDLRFLWKLWNLIIKDLFFLDNEWKDHSDAYNVLCFVSFKVTFGVLFNDDQCANIFEALVGTLRAAKKRRVVAYDGELLLQGVHDNVEITLKPTPPAA >itb10g23210.t1 pep chromosome:ASM357664v1:10:27674732:27675919:-1 gene:itb10g23210 transcript:itb10g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDEEVERLREEIKRLGKQQDDGSYKVTFGVLFNDDQCANIFEALVGTLRAAKKRRVVAYDGELLLQGVHDNVEITLKPTPPAA >itb03g02110.t1 pep chromosome:ASM357664v1:3:1178160:1178666:-1 gene:itb03g02110 transcript:itb03g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTVADHLHKIGRMGNGNPPLSWEQRLKISIGAARGLHYLHTSQHKVIHRDVKSSNILLDESWVAKISDFGLSKMGPGNESFTHVSTGVKGTFGYLDPEYFLTNRLTTKSDVYAFGVVLLEMLTGRPVCVENDQNLATWTIDYMRKGKVDDIVDYSLAGQVSQTCL >itb01g15240.t1 pep chromosome:ASM357664v1:1:17572595:17574874:-1 gene:itb01g15240 transcript:itb01g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKIMAMVFVVAALCMLNTRAAYVEDAYPAYFSYSGSTGPQFWGSLSPSYAKCSNGTAQSPINIVNRDTVLNRSLNALNIQFRDSVNATLINYGFKVEILFRGDAGTLVFRGKTYALSEIHWHTPSDHRIDGIQFTAELHMVHSAADSSRAVVSVLLQSGQSDPIVTKIQQQLGQLPMRPPNQTPPEIPLVNLNIQELRNLTTTRRYYTYPGSLTNPPCTEGITWIIIGKIGTISKAQVAALKRPLDNGSKNNARPVQPLNGRKVEVYQAMFP >itb03g27370.t1 pep chromosome:ASM357664v1:3:27145323:27152132:1 gene:itb03g27370 transcript:itb03g27370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQRKTTSRELHDHLDEERTSVMLAMFETDGSEDDEGDEDMSEYSDDSFQASSLRSGIEGEYTDYDDYSNYEEIEEDDIDPDLLSYEELIALGELVGVESRGLSEAEITKSLHPSTFQSLASKTLVDRCVVCQLEYVEGEKLVALPCDHPYHCECITTWLQIKKKPTVEKPQSSSGFESESDEEGSDSSQHTPDFTIKPNLPSNAPPASKPLVKQPAETPVRESARKKLKSREENGNVEENKLPSLASGEFARVWSEDDEIAVLDGMIDISITHMSEKIRRLRKKFFTNISTKSLVCFNKPSPFPLALTIIFLTVFPLYYTFNRTPHVEVDLYPPNQQTIEEIETDLSRSPQKLEPRHIKMAESVSHCDTFTREWVPNPEAVSSIFTHPCRQSSRAAADCRSSQQSQRQEGRGSVAARWRHGLATGGGATATRGGATVTGGVEAKRRLAETRWRRRVETGDRI >itb15g13770.t1 pep chromosome:ASM357664v1:15:11863253:11867085:-1 gene:itb15g13770 transcript:itb15g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRLNPRFIALAIQLCLLVALVSAEVIFEERFDDGWENRWVKSDWKRDENMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAQFPEFNNKGKTLVFQFSVKNEQKLDCGGGYMKLLSGDIDQKKFGGETPYSIMFGPDICGYNTKKVHAIITYNGTNHLIKKNVPCETDQLTHVYTFIIRPDATYSILIDNEEKQTGSLYKDWSLLPPKKIKDPEAKKPADWDENEYIDDPEDKKPEGYDDIPKEIPDSDAKKPEDWDDEEDGEWTAPTIANPEYKGPWTPKKIENPNYKGKWKAPMIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTLFDNVLVCDDPEYAKKLVEETWSKNKDAEKAAFEEDEKKMADEESKDDQVESDAEDEDDDADEDDDANAKSDSKEDVHDEL >itb01g25210.t1 pep chromosome:ASM357664v1:1:30681517:30684318:1 gene:itb01g25210 transcript:itb01g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIQRLCFTILRKPLINPASSPTVLRRFSGKTGEDEWNDAWETAWLPEDLSGKNRAPWETDVNFSLPDDTSSNVSNDNSPQNLLPPDADTETRAFVEDMNDNWDQRKGKTPKQDSSTDSAAKRSENESSLYSLENVRRDYRIQKQRVHANLWAKEIEKMEEAKLGDGNNADDIEKLLDSASEIFDSANDELNNLHISSSEIKNKPDGWETTSKTLEGNIWEMSQREEDLLLQEFERRIAFNKFQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGKGSVSRLPTIADASTQPFREETTTQNAEPSPLYQRARR >itb13g25070.t1 pep chromosome:ASM357664v1:13:30533123:30534791:-1 gene:itb13g25070 transcript:itb13g25070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNLCTLPRKATLIKPLRSYDSYPLLVLSFRKVAARRLGRRRLAGVDRWWHGKIIMASVTAHHHKRKVMVVADPTRESAAALEYALSHAILEGDTIILLHVENQTNAWKNPIGSFFKWPPLPSLEFLEALKRACEIAQPKSRVQTERVAIMEGKDKGT >itb13g25070.t2 pep chromosome:ASM357664v1:13:30533123:30534791:-1 gene:itb13g25070 transcript:itb13g25070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNLCTLPRKATLIKPLRSYDSYPLLVLSFRKVAARRLGRRRLAGVDRWWHGKIIMASVTAHHHKRKVMVVADPTRESAAALEYALSHAILEGDTIILLHVENQTNAWKNPIGSFFKWPPLPSLEFLEALKRACEIAQPKSRVQTERVAIMEGKDKGSLILSHSAKLGIDLLIIGQKRTLSHAILGNMSGSLRGQDTADYLIENSKCTCVGVQRKGQNAGYILNTKIQKNFWLLA >itb12g02730.t1 pep chromosome:ASM357664v1:12:1782242:1786178:1 gene:itb12g02730 transcript:itb12g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAVDAEYLKEIELTRADLRSVISSMNIAPLMLRLAWHDAGTYDAFTKTGGPNGSIRNPKEYSHAANAGLKVAIDICEEVKAYHPKITYADLYQLAGVVAVEVTGGPIIDFVPGRKDSLESPEEGRLPDAKQGPSHLRSVFHRMGLTDKDIVALSGGHTLGKAHRDRSGFEGQWTKEHLKFDNSYFVELLKGDSDGLLKLPTDKALVEDPKFRQYVLLYAQDEEAFFRDYAESHKKLSELGFTSPPPSSTKLCGRVIIGVAVAAVAILSYYYADSH >itb05g04150.t1 pep chromosome:ASM357664v1:5:3612177:3612665:1 gene:itb05g04150 transcript:itb05g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNEAGIQAPVLCVNNCGFFGIVATMNMCSKCYKDTVLKQEQARLAASSIESIMNGSGKSIDVVVVSSHDGSAESSAVTAQDSRTQPENNGGENTKDGPKRCSACRKRVGLTGFSCRCGNLFCAVHRYFDKHECQFDYQKAAQDSISKANPIVKAKKLDKI >itb06g19720.t1 pep chromosome:ASM357664v1:6:22932364:22933896:1 gene:itb06g19720 transcript:itb06g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G42180) UniProtKB/TrEMBL;Acc:A0A178UJR4] MSMMKMACPSSSLVLGFVALFMFSVTPLMANALSSNYYDQTCPKAESVITGVVKKAMLNDKTVPAALLRMHFHDCFIRGCDGSVLLKSSGGNKAEKDGPPNISLHAFYVIDEAKKQVEKACSGVVSCADILALAARDAVALSGGPHWDVPKGRKDGRISKATDTRQLPSPTFNITQLRQNFAQRGLSMEDLVALSGGHSLGFSHCSSIQNRIHNFNKSNDVDPSLKASFAASLRKVCPVHNKVRNAGATMDSSSATFDNAYYKLLLQGKSLFSSDQALITTPKTKALVSKYANSQQEFYDAFAKSMIKMSSIAGNGQEIRSDCRFVN >itb11g15600.t1 pep chromosome:ASM357664v1:11:13388344:13389843:-1 gene:itb11g15600 transcript:itb11g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFGLSQESPFASRILSLAAMSDPSIDYAHRLFLQRPNPTVRDYNALIRGHSHSKNPNKSVFLFVEMLRNGVLPDHLTYPFLIKALAQLSKPRVGGSVHGRAVRDGFVEDMFVLNSLIHLYGSCGDILSARKVFDEIPLKNSVSWNSMLDGYAKSGDVVSMREVFERMPERDVVSWSSLIDGYVKDGEYAEALAVFERMKQVGPRANEVTMVSVLCACAHLGALEQGRMMHSYVVENKLLMTLVLRTSIIDMYAKSGAIEDALLVFRSASMRNTDVLIWNSMIGGLAAHGLVWESLKMYKEMQSLKIQPDEITYLCLLSACAHGGLVKEAWCFFDSLGKNGTKLKNEQYACMIDVLARAGQLTEAYQLMSRMPMEPSASMLGALLSGCLNHRKLDLAEIVGKKLIELDPCHDGRYVGLSNVYALKKCWYEAKAVREAMETRGVKKFPGFSFVETLGALHRFVAHDKTHPKSEEIYIMLHLVLWNMKSVTDFEVQEYE >itb12g06680.t1 pep chromosome:ASM357664v1:12:4958579:4960743:-1 gene:itb12g06680 transcript:itb12g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQQTYDIVIFGASGFTGKYVIREALKFFNVHSSPLKSLALAGRSPSKVAQALQWASHPNPPPQIPILTADITDPASLHRIASQAKIILNCVGPFRFYGDPVVAACVDAGCDYLDICGEPEFMERTEASYHEKAAGKGSLVISACGYDSVPAELGVMFNSRQWVSPAAPNRVEAYLSLESDKRVVGNLGTFESAVHGVANVGKLQELRRSRPRRPRPSIPGPPPKGPTLEHKKELGVWAVKLPSADSIVVRRTLTWLTENPGGIPGVDESPEQIEKREAFWRVVKPAHFGVKIASKSLLGVVRFITVGMFIGLFGKFSFGRWLLLKFPFVFSLGWFRKKGPTEEEVASASFKMWFVSHGYSDVTLASQGDKKPDTEITTRVMGPEIGYLTTPIILVQCALVLLTRRGDLPKGGVFPPGIIFGPTDLQQRLQQNGMSFDFISKKVL >itb14g04470.t1 pep chromosome:ASM357664v1:14:4014204:4017154:-1 gene:itb14g04470 transcript:itb14g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVNWRSSGSHAKTIGTLASIAGAFVLTFYYGPPILNKPSSLPTLLFLSTQQSWILGAFLLAIFALCCAIWYILQAAILKVYPAKMMILCFHFVFLTIQSALVSLVTVRDWSAWKIYPDITLFAVLFSGCTTAFRFYVMIWCIWKEGPLFVSMFKPLGILVSAVVGVIWFSDTLYLGSIIGGVIIVVGFYAVMWGKSKENITIVETLGNSASSSQNTPLLQAHTQN >itb11g12090.t1 pep chromosome:ASM357664v1:11:8956285:8958442:-1 gene:itb11g12090 transcript:itb11g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFGTPTLVPRPATRTNHLSSSSQPPPSQPPNQAPPPPPPPQPPQQLSSASAEPPLTAAAKPDQLKPSSKPQRSSTTVDSTDWIASSLTRRFGLGAGLAWAGFLAFGVVSEQIKTRLEVSQQQANTRDVEKEEEVVLPNGIRYYELRVGGGAIPRPGDLVVVDIKGSVEGSGEVFIDTFVGDKKPLALVMGSRPYRLGICEGIEYVLRSMKAGGKRRVIIPPNLGFGEEGADLGPGLEIPPSATLEYVVQVDKVSIAPA >itb15g23560.t1 pep chromosome:ASM357664v1:15:26396302:26399238:-1 gene:itb15g23560 transcript:itb15g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKREGKAVVVGGSIAGISCAHALIRAGWEVVVVEKTSAPPTGSLTGAGLGLDPLARKLIESWLPQPDLLHHSTLPLTIDEHKATDAEKKISWKLTRDEDFNFRTAYWADLYSLLYNALPQNIVFWGHSFLSFSLSDDKSRVSVKTKVLSTGDMISIVGDLLVAADGCLSSIRQSFLPDFKLRYSGYCAWRGVLDFSNNENSEAIIGLKAAYPDLGRCLYFHLGSRTHSALYELLNKRINWIWYINHPEPELKGTSVTMKVSNDMVKNMHREAEKVWPREFARLIRETKDPFINIIYDADPLEKIFWDNVVLVGDAAHPTTPHGLRSTNMSVLDAAVLGKCLEKWGLENLTSALEEYQCVRLPVALEQVLHSRRLGRIKQGLPLPDCHAFDPINATADECEELQLKKIPYFSDVPSLLSI >itb08g14630.t1 pep chromosome:ASM357664v1:8:16373180:16374080:1 gene:itb08g14630 transcript:itb08g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHIVLVVFFTLFNFCLCRNSFSNTTLVGFALATATWYGAPNGHGTDTGACGFGNVGNPPYNSLIAAGNQALYQHGKGCGQCYQVKCNSNPMCSGNPIKIRITDECPGACNAVPVWFDLSGIAFGALAKPGQDSALRNAGKIQILYQKVGC >itb04g06040.t1 pep chromosome:ASM357664v1:4:3932445:3936702:1 gene:itb04g06040 transcript:itb04g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLRRGAKTVSALHLKHCVQSYNVFDFLREVVSKVPDYGHSDTGAEVSRRRKVAMDENDSDDESKRSRTETCPASSGGRGRGRGRGRGRGRRADREPAHHRDVESDSCASVQQSCTQNPNPGMSKETCLESKEPLRQDPNATDGDDKSAVKSINPNISLDESAEKPDTCSATAASCSSLSVPPDVKDSEGTVLSEIDRMAVDPLHFAQFNSTIVEEEEDYDDEG >itb02g04420.t1 pep chromosome:ASM357664v1:2:2632788:2634793:1 gene:itb02g04420 transcript:itb02g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNPTPGTSTITGSAVTFQPPPSHRILEICLISAKDLSPVSKPLRSYALIWIHPNRKRSTGIDQNGNTNPAWNDKFSFRVTDEFLSSDRSAVHVEIYSSSWFRDVLVGTVRVTTSNLITPIAVNGQRFVALQVRRPSGNHQGILNMAVSLIDRRVNAKGEIIDMKLGKMVSVPEYEDDDDDDEDEEKQKLNAKIQQWRATSAYEDFPGKAGSMVDGGSVCNGSLINAGSEICSDIGPSASVVAAEIIRKSQLSPSQPKEPPPPAKKGKGKGDDGEESMILEDMTAEEAAAKGINTTSVERWKRGDAAKHGKKGQDKDGRVKCFANAYGFEFTIVCGAGNGNGSKVNKAGKSKS >itb02g17830.t1 pep chromosome:ASM357664v1:2:14072713:14073873:-1 gene:itb02g17830 transcript:itb02g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLPEELWVQVLVRFPVKSLLRCTAVCRSWKALIESPHFISAQLRFKRQDESQSQNSCFLVRDCIPSRKEKYEFYHDDWHSLVKFGEIEPPFSVLDRHLEFVGTCNGLLCLSDEDRRGDCCVILWNPSVRKSVCLRDANLRSSRGNVKHWLGFGFDPVSNDYKVVRVVSVVNGGCCGEIFRLSTHMWENISDSTIAKYDFLKPEQAYLDGMVYWLGKIDTKGRKMVSFDLSKERFGGMELPENLAWRGNFAGKVSVGIYMGSLAVIVVGSWECCIWIMKESWMKQVSFECHMNLGWQFGFRENGDIQFMQAFGRWDSFNPTTLKSKFLEVRPFTSSYLLRSSLYGTPYVESLVFLDKGHEFNDSVTASSVSVQELLLLFTGKSE >itb07g20190.t2 pep chromosome:ASM357664v1:7:24618161:24622611:1 gene:itb07g20190 transcript:itb07g20190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKVYSSLQEVFPEVDSRVLRAVAIEHCKDPDAAVEVVLTEVIPFLTERSKPLPASSPKNGALAQSSFAAVDLSETFPKNDVSLKNASSTATSCNEKQNDLSSYDAICEQGQPGQYHQASNAIEQQDVLEGSISGNLISFETRESSVRVCPGLSSNITSFKSNPEVAAESSNADKCESSGTQEPACDVHEKCAGKDDYGDKCEFSESLETSASNLPEESPEYLNVPVEINGLAIHGDSSTTHKDTCNEKSLYSDSLDHASSNIQARESNAGLVVEAGMENVLPEATVLNGFINVVSDTSELLNADMEPIANSIVTRSGQICRIDLLEDIIAEARSNKKTMFAAMESLISLMREVELQEKAAEQAKEEAAIGGLDILKRVEDLKEMLKHAKEANDMHAGEVYGEKAILATEVKELQSRLLNLADERDKSLAILDEVMKFLLCRDDTFFPFIVWILSYVSTPVVLLEEYFVRIKELACYSAGFVYWGLVRTSV >itb07g20190.t3 pep chromosome:ASM357664v1:7:24618154:24622619:1 gene:itb07g20190 transcript:itb07g20190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKVYSSLQEVFPEVDSRVLRAVAIEHCKDPDAAVEVVLTEVIPFLTERSKPLPASSPKNGALAQSSFAAVDLSETFPKNDVSLKNASSTATSCNEKQNDLSSYDAICEQGQPGQYHQASNAIEQQDVLEGSISGNLISFETRESSVRVCPGLSSNITSFKSNPEVAAESSNADKCESSGTQEPACDVHEKCAGKDDYGDKCEFSESLETSASNLPEESPEYLNVPVEINGLAIHGDSSTTHKDTCNEKSLYSDSLDHASSNIQARESNAGLVVEAGMENVLPEATVLNGFINVVSDTSELLNADMEPIANSIVTRSGQICRIDLLEDIIAEARSNKKTMFAAMESLISLMREVELQEKAAEQAKEEAAIGGLDILKRVEDLKEMLKHAKEANDMHAGEVYGEKAILATEVKELQSRLLNLADERDKSLAILDEMRQTLEVRLDAAEREREAAEQEKLQKEELARKALVEQELIMEKVVQDSNILKREAEENAKRRDISYMSRCEVAKREV >itb07g20190.t1 pep chromosome:ASM357664v1:7:24618154:24622619:1 gene:itb07g20190 transcript:itb07g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKVYSSLQEVFPEVDSRVLRAVAIEHCKDPDAAVEVVLTEVIPFLTERSKPLPASSPKNGALAQSSFAAVDLSETFPKNDVSLKNASSTATSCNEKQNDLSSYDAICEQGQPGQYHQASNAIEQQDVLEGSISGNLISFETRESSVRVCPGLSSNITSFKSNPEVAAESSNADKCESSGTQEPACDVHEKCAGKDDYGDKCEFSESLETSASNLPEESPEYLNVPVEINGLAIHGDSSTTHKDTCNEKSLYSDSLDHASSNIQARESNAGLVVEAGMENVLPEATVLNGFINVVSDTSELLNADMEPIANSIVTRSGQICRIDLLEDIIAEARSNKKTMFAAMESLISLMREVELQEKAAEQAKEEAAIGGLDILKRVEDLKEMLKHAKEANDMHAGEVYGEKAILATEVKELQSRLLNLADERDKSLAILDEMRQTLEVRLDAAEREREAAEQEKLQKEELARKALVEQELIMEKVVQDSNILKREAEENAKLQEFLVDRGRIVDMLQGEISVICQDVRLLKEKFDDRVPLSKSLSSSQTSCILASSSSSLKSVVPDSDQVAADQTNLSGRQKMDSTSAEETRNNCKALVEDGWEIFDNRELCM >itb13g17150.t1 pep chromosome:ASM357664v1:13:24068459:24072685:1 gene:itb13g17150 transcript:itb13g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MASSDQTVLQISSPSSTTLSARVHPLVIFNICDCFVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESQDQVALDIDYHHNMLASHQKVNPKEVIVGWFSTGFGVSGGSALIHDFYTREVTNPIHLTVDTGFTNGEATIKAFISVNLSLGDQPLAAQFQEIPLDLRMIEAERVGFDMLKTTVVDKLPNDLEGMEASMERLLALINDVHKHVDDVVEGRVPADNNLGRLISETVNSIPKLSPQEFDKLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQIL >itb01g23330.t1 pep chromosome:ASM357664v1:1:29381272:29382381:1 gene:itb01g23330 transcript:itb01g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKEEASIFCTADEAALCEACNHHVHDANKLAGKHLRFPLLRPSLKQYPHCDICQERRAFVFCKEDRAILCRECDSQIHRANQHTQKHSRFLLTGVMLSTTAASYQSCSSSPSASASNVVGYDANHHHRTPEINSSPASGRPNSNMTASEPTTSREGSLSATSSISEYLTQTLPGWHVEDFLDPLSSTYGFCT >itb11g00140.t5 pep chromosome:ASM357664v1:11:62538:65769:-1 gene:itb11g00140 transcript:itb11g00140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGYMKHCHAASHILIYYVYATDYPAIYDFLLCSIGDEAANSEDSNWNIDSSSSKVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLQIHASEEAALMELLNFMYSNTLTTATAPALLDVLMAADKFEVASCMRYCSRQLCNLTMTPESALVYLELPSSVLMAEAVKPLTDAAKQFLAANYKDVSKYQEEVMNLPLAGIEAVLSSDDLLVASEDAVYDLVLKWTRTHYAKLEERREILSSHLGHYIRFPQMSCRKLRKVQTCIDFDPEFVSKHVLEALFFKAESSHRQRIQAADDSSSGSHRFVERVYKYRPIKVIEFEHPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGLVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFGIAWTSFMADDSPYFINGILHLRAELTIKH >itb11g00140.t4 pep chromosome:ASM357664v1:11:62533:65769:-1 gene:itb11g00140 transcript:itb11g00140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQILDLFDPRTAVMDPDYSPTSAPHPDFGFAFNDSNFSDRLLRIEVVADSSDACSDSDASQTLADWTRHRKQRGGDFKENDTCHSFSALDISTYPEEQIVNCNQIDTEDADNGNQDEAVVAMIEEAQSAIYDFLLCSIGDEAANSEDSNWNIDSSSSKVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLQIHASEEAALMELLNFMYSNTLTTATAPALLDVLMAADKFEVASCMRYCSRQLCNLTMTPESALVYLELPSSVLMAEAVKPLTDAAKQFLAANYKDVSKYQEEVMNLPLAGIEAVLSSDDLLVASEDAVYDLVLKWTRTHYAKLEERREILSSHLGHYIRFPQMSCRKLRKVQTCIDFDPEFVSKHVLEALFFKAESSHRQRIQAADDSSSGSHRFVERVYKYRPIKVIEFEHPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGLVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFGIAWTSFMADDSPYFINGILHLRAELTIKH >itb11g00140.t1 pep chromosome:ASM357664v1:11:62533:65769:-1 gene:itb11g00140 transcript:itb11g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQILDLFDPRTAVMDPDYSPTSAPHPDFGFAFNDSNFSDRLLRIEVVADSSDACSDSDASQTLADWTRHRKQRGGDFKENDTCHSFSALDISTYPEEQIVNCNQIDTEDADNGNQDEAVVAMIEEAQSGDEAANSEDSNWNIDSSSSKVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLQIHASEEAALMELLNFMYSNTLTTATAPALLDVLMAADKFEVASCMRYCSRQLCNLTMTPESALVYLELPSSVLMAEAVKPLTDAAKQFLAANYKDVSKYQEEVMNLPLAGIEAVLSSDDLLVASEDAVYDLVLKWTRTHYAKLEERREILSSHLGHYIRFPQMSCRKLRKVQTCIDFDPEFVSKHVLEALFFKAESSHRQRIQAADDSSSGSHRFVERVYKYRPIKVIEFEHPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGLVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFGIAWTSFMADDSPYFINGILHLRAELTIKH >itb11g00140.t3 pep chromosome:ASM357664v1:11:62533:65769:-1 gene:itb11g00140 transcript:itb11g00140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAQSGDEAANSEDSNWNIDSSSSKVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLQIHASEEAALMELLNFMYSNTLTTATAPALLDVLMAADKFEVASCMRYCSRQLCNLTMTPESALVYLELPSSVLMAEAVKPLTDAAKQFLAANYKDVSKYQEEVMNLPLAGIEAVLSSDDLLVASEDAVYDLVLKWTRTHYAKLEERREILSSHLGHYIRFPQMSCRKLRKVQTCIDFDPEFVSKHVLEALFFKAESSHRQRIQAADDSSSGSHRFVERVYKYRPIKVIEFEHPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGLVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFGIAWTSFMADDSPYFINGILHLRAELTIKH >itb11g00140.t6 pep chromosome:ASM357664v1:11:62538:65769:-1 gene:itb11g00140 transcript:itb11g00140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGYMKHCHAASHILIYYVYATDYPAIYDFLLCSIGDEAANSEDSNWNIDSSSSKVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLQIHASEEAALMELLNFMYSNTLTTATAPALLDVLMAADKFEVASCMRYCSRQLCNLTMTPESALVYLELPSSVLMAEAVKPLTDAAKQFLAANYKDVSKYQEEVMNLPLAGIEAVLSSDDLLVASEDAVYDLVLKWTRTHYAKLEERREILSSHLGHYIRFPQMSCRKLRKVQTCIDFDPEFVSKHVLEALFFKAESSHRQRIQAADDSSSGSHRFVERVYKYRPIKVIEFEHPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGLVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFGIAWTSFMADDSPYFINGILHLRAELTIKH >itb11g00140.t2 pep chromosome:ASM357664v1:11:62533:65769:-1 gene:itb11g00140 transcript:itb11g00140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQILDLFDPRTAVMDPDYSPTSAPHPDFGFAFNDSNFSDRLLRIEVVADSSDACSDSDASQTLADWTRHRKQRGGDFKENALDISTYPEEQIVNCNQIDTEDADNGNQDEAVVAMIEEAQSGDEAANSEDSNWNIDSSSSKVIKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLQIHASEEAALMELLNFMYSNTLTTATAPALLDVLMAADKFEVASCMRYCSRQLCNLTMTPESALVYLELPSSVLMAEAVKPLTDAAKQFLAANYKDVSKYQEEVMNLPLAGIEAVLSSDDLLVASEDAVYDLVLKWTRTHYAKLEERREILSSHLGHYIRFPQMSCRKLRKVQTCIDFDPEFVSKHVLEALFFKAESSHRQRIQAADDSSSGSHRFVERVYKYRPIKVIEFEHPRQQCVVYLDLKREECSNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGLVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFGIAWTSFMADDSPYFINGILHLRAELTIKH >itb08g17460.t1 pep chromosome:ASM357664v1:8:20037665:20046740:1 gene:itb08g17460 transcript:itb08g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRNQAVHEEDVPTSAELARTLRQLAQLTQVMGDRMLQEPQGNGNGADMTKLGRPLLDCRCYCCEQRNPSPPLKALLLIYCVLAGCCITPLPDHSVHNENRGRQGGVLPPHFKLGRRRDCSPEEDDRWSCLTTLLLPRVHRRRRERAKVAPFADRYCC >itb09g28070.t1 pep chromosome:ASM357664v1:9:28686323:28687963:1 gene:itb09g28070 transcript:itb09g28070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLRFLELKAKRTHRFIVFKIEEKQKQVIVEKVGEPAQSYEDFTASLPTDECRYAVYDFDFVTAENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAN >itb02g13740.t1 pep chromosome:ASM357664v1:2:9978615:9983088:1 gene:itb02g13740 transcript:itb02g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRRRNNGGDKGDDGEASTSIDTKRENQKMKESLLPSSIKNKEKRSAVHAKLKQEKKLEKRKKAKAREAAEKRALELGEELPPKKIPRTIENTREADETVCKPDDEELFASNDVDEFSAVLRKDQVPKILITTSRLKSTRGPAFITELLSVIPNAHYYKRGTYDLKKIVEYAKGRDFTSIIVVHTNRWEPDALLIVGLPDGPTAHFKLSRLVLRKDLKNHGNPTSHIPELVLANFTTRLGHRIGRMIQSLFPQDPNFKGRRVVTFHNQRDFIFFRHHRYIFETKQGKQDSQGKKGKENKDQTDPQEKVVARLQECGPRFTLKLISLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >itb01g31850.t1 pep chromosome:ASM357664v1:1:35456412:35457871:1 gene:itb01g31850 transcript:itb01g31850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFDEEREEEMEMEEIEEAGDYQTPAGRGLEGAPARKSGGGSAAAAATTTNYRYKECLKNHAVGIGGHAVDGCGEFMPAGEEGSLDGLKCAACNCHRNFHRKEAAESEGYARHQSPSPHHHHNLHPLHHHHHFSTFSSYRAPHPSGYLHVTPPSHHGQLALPSSSRDEEDMSNPSSSGGGGGSKKRFRTKFTAEQKDKMLALAERLGWRIQKQDEAAVQQFCAEANVKRHVFKVWMHNNKHTLGKKP >itb09g18380.t1 pep chromosome:ASM357664v1:9:14107754:14108218:-1 gene:itb09g18380 transcript:itb09g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 18 [Source:Projected from Arabidopsis thaliana (AT2G44340) UniProtKB/Swiss-Prot;Acc:O64868] MKSLSSCDNDSINSSSKLSSMHRNSHNCGKSSSSQPKIRIIHIIAPEIIKTDVENFRDLVQRLTGRHAAADEGKGSGGCSSKINAESPPAERMKMMRSHLGRMKGEKEDDEHQVYGGGFGMDGFVVEDFCQLPAAVFPFKPSHLNAVFGEMPLF >itb05g22900.t1 pep chromosome:ASM357664v1:5:28183876:28185137:1 gene:itb05g22900 transcript:itb05g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITAIMGAIKDKASQSKAALLSNPTTTSLNLAVLRATTHSPSAPPDLSALLSLGNSSRATASALISALMDRLHRTGDSTVALKCLLTIHHVITRGPFILQDQLSIFPASGGRNYLKLSAFRDGASAATLTLSAWVRFYARYLETLLFASRILGYFVSASSTAPENLGRDERISAFLNHDLIRDVDSLVVLIEETCKAPDSFLLESNKLIHEIMRLLSDDNFSILDETLSRLTELKQRMDCLSFGDSVELALALRRLEDCTERLSVLFTEQKSSIENSSSLAIELREKIETSTRVCKERRLLSFSRKCESARFPARVANFEHMVRISSDKYIIDNNVL >itb08g04650.t1 pep chromosome:ASM357664v1:8:3819965:3821963:-1 gene:itb08g04650 transcript:itb08g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATVFNPIQLKTHSSILPKQFSPNFSSFKPILRLPPKPSIYPQRALRWSIKACASDQEIDMVRTKEGVYTAKSKKVVVLWDLDNKPPRGPPYKAAMELKKLAEKFGDVVDMSAYANRHAFIHLPQWVIDERRERRRLDILERKGAVTPSEPYICSVCGRKCKTNMDLKKHFKQLHEREREKKMNRMRALKGKKRQRFKERFIDNNQKYVEAARSLVTPKEGYGLASELRRAGVFVKTVADKPQAADWALKRQMQHSMSRGIDWLFLVSDDSDFSEMLKRARIANLGTVVVGDWDRSLGRYADLWVPWIGVENGEITENDLVLKKGMSKSNSRFSVSEFDGGMTEEIASFDGFMDDIEFIDSRGSAFSEAEDSDFEEENDEVYWLRLDGKNGKRFQNGVSINSEDEEEEEDYIFDSEDEETDMDD >itb08g05800.t1 pep chromosome:ASM357664v1:8:4774068:4775381:1 gene:itb08g05800 transcript:itb08g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPLLISLTFLVSILSISFAIDTISSTQFLKDGHTIVSSGGIFEMGFFSPTGSQYRYVGIWYKQIPVCTVVWVANRDTPLSNTSSVVLKIVDPGRLALVDDANTSNIWYTNTSRLVKNPIAKLLDSGNLVVIDASDDKAENLLWQSFDHPTDTLLPGMKLRTNFVTGLNTTLSAWKSESNPGTGEYKFCLDPVGYPQLILRKGIKEVFRSGPWNGVRWSGSPGMVKKGKIAEIFVTINMKEVSSTYKVYNSSTLVRMVLSNSGSLDIYVWAEGTREWNIIGKAATQVCDNYGSCGTYGSCDNNNYPNCGCLDRFLPRDPGASGRADFSGGCVRRTPLKNCQNGSSDGFLKYSGVKLPDTRFSTFNTSMSLQECREVCLKNCSCVAYSSLDISNGENGCLLWFGDLVDIRVLPVDGQDLYIRMASSELGTNLVIYY >itb04g09800.t1 pep chromosome:ASM357664v1:4:9045162:9046695:1 gene:itb04g09800 transcript:itb04g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVSSIVVGTHVWVEDPDVAWLDGEVVAKASNVYPKDTEAPPCGVDDMTKLSYLHEPGVLYNLKCRYNSDEIYTYTGNILIAVNPFKRLPELYAKHIMQKYKGVALGELSPHPFAIADSAYRQAVMLCRPLKY >itb05g18040.t1 pep chromosome:ASM357664v1:5:24870850:24873120:-1 gene:itb05g18040 transcript:itb05g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSIVVAALLAWTLVQALCSLAKSRNKSVGKKFPPGPVPLPVIGNLHLLGGKPHKSLARLAQKYGPVMKLKLGMKSTVVISSSAIAKEALQKQDLAFSTRSIPDALRANNHSQFSVVWLPVASRWRALRKIMNSNIFSGNRLESNQQLRTKKIEELIIYCQKSSEVGEAVDIGRAAFRTTLNLLSNTIFSKDLTHPYSDSAKEFRDLVWNMMLEAGKPNLVDYFPFLEKFDPQGIRRRLTCHFTKVLALFQGLIDERLEERKVMMGNRNVDVLDSLLNISQETPEEIADTTHILHLCLDLFAAGTDTSSSTLEWAMAELLRNPKTMDKVQAELTDVIGRGKPIHEAHVSRLPYLQCILKETLRMHPPIPFLIPRKVEQDVNLGGHTISKGSEILVNVWAIGHDSSIWENPLIFNPERFWNLKIDVRGQDFEHIPFGAGRRICPGLPMAMRMVPVMLGSLLNSFQWKLECDIAPNDLDMEEKFGLTLAKVHPLRAIPIPF >itb09g11450.t1 pep chromosome:ASM357664v1:9:7128265:7131649:-1 gene:itb09g11450 transcript:itb09g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPFGHHHHHHRHHGGEDEPPPEVSYVHHTSHVSSGEPYPPPGVGYVHHHESYSGVYPPPPAAGYPEPYDGAYPPPPAVHHHEPYGEPYPPPPVVHHPESYGQPYPPPPTVHHFSHVEASYQESHHRPAGIDNRPTVRVFCRAETNHSLSIRNGEVILARTDPSDPYQHWIKDEKFSTKVKDEEGLPCFSLVNKVTGEAIKHSIGATHPVRLIPYNPDYLDESILWTESKETGDGYKAIRMVNNIRLNMDALHGDPDHGGVHDGTTIVLWEWAKGHNQQWKIVPY >itb13g05280.t1 pep chromosome:ASM357664v1:13:6254411:6256471:-1 gene:itb13g05280 transcript:itb13g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLLSSENPKEENNQNQTHLSLVAKEAKCISGIALPMIVTGLLLYSRSIVSMLFLGRLGELSLAGGSLALGFANITGYSILSGLAMGMEPICGQAFGAKRFKLLGVCLQRMIVLLLISSIPIAILWCYMERILVLCRQDREISREAQSFILYSLPDLLVQSLLHPLRIYLRSQSVTMPLTFCAAFAFILHFPINYFLVEVLHLGIKGVALSSVLFNFNVVGSLVVYVVVSGVYEKTWGGISWECFKGYKSLLNLAVPSCISVCLEWWWYEIMILLCGLLVNPRATVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGAGRPGRARFAAIVGICIGFILGLAAVTFGFTVKNVWASIFTKDAEIVALTAAVLPIIGLCELGNCPQTTGCGALRGTARPTLGAKINLECFYFVGMPVALWLGFFAGFNFKGLWLGLLAAQGSCALAMLLVLIWTDWDDQATRAKELTSTISLDDDYDDDHSTQVDSTEYEELESSPV >itb04g13320.t1 pep chromosome:ASM357664v1:4:13376429:13379152:-1 gene:itb04g13320 transcript:itb04g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSIPAYLFWVIFLHLVHGEGVSQSQSQSFCPKQFNCGNLINVTFPFTNMSQPNCGLHTLDCGARPFPRVKFGEQQYDIKNIRGESMRLFNPLPQSYFLERNCNIFYRKFSSFPTSALISFVPRMHNFYRCDRKSNVSLQKIGRYFNGFASYNKCKNFVVYYREGRNDTAPGGSLPAECSIIQLPFRKNSRASDLFRKLNSPISVEWEVSETCEDCHYKGGRCLIDHNNTTLCSGVFGGVILILATSLVVMYFRNRKKGCFGSSSSSKRDLEGGSKYFGVAVFSYSELERATNKFDSSKELGDGGFGAVYHGKLRDGREVAVKRLYEHNSKRMEHFINEIKILTHLRHQNLVTLYGCTSRRSRELLLVYEYIPNGTVSDHLHGERANRVTLAWPIRMNIAVETAIALAYLHASDIIHRDVKTANILLDNNFCVKVADFGLSRLLPYNVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSMPAVDISRHRDEINLANLAINKIQRRAFNELIDPSLGFETNTEITRMITSVAELAFQCLQAKKEMRPTMDDVVETLKGIQGCDEFREDAIEDQTNKNTNVSSSVKVQPTLESEDIVLLKKINRFPTSPTSVTDRWTSCSTATSNSS >itb04g24230.t1 pep chromosome:ASM357664v1:4:28967120:28967410:-1 gene:itb04g24230 transcript:itb04g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELIRFEELEEGAAEVERHDLVGGAHELAANEDGRDHRISAAHGQQGLLDFLAVGIEVDVVHARLHPEFLEQDVHRVAEATRGFAEDQDAVIGR >itb01g33000.t1 pep chromosome:ASM357664v1:1:36304575:36306028:-1 gene:itb01g33000 transcript:itb01g33000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRKMRKVWTRVAKRLHIRKTGLRKLDQEVRTCEYEDVHTLWDLLKKNDDDKGMTGCHGKDKRSLGIANRANCAPFLYRGV >itb02g05170.t1 pep chromosome:ASM357664v1:2:3109219:3113777:1 gene:itb02g05170 transcript:itb02g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKYPTPASALLLLLLLIAATEKTCLSFQSDELLVDDEDFGLEGGVEIGSPHRDEVTASPPPPSQVHSPRKKSSASDGDSKVQFSLEHSFGGSDFSLAGAFTARLKTSSHGGQTLTKLRFSRNAFTEVEKENFRKLLDSDDFYTIRLPSNVLSHTGREYVVSSVKARCLPRDGLDEHFAIHMDGVNIVGVNYGSPGSCQYPRPLKVPSKWSFNSHTVLKTSEQAPRTPILTEIIGENAEGEEVKPPEKSFWAKYWMYLLPLGLIVMNAITQAMNMAEEQANGGQPQQQAAGAPRGQSTVVRRR >itb02g02230.t1 pep chromosome:ASM357664v1:2:1234668:1237291:-1 gene:itb02g02230 transcript:itb02g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSPFFFSAVVVVLAVILRCPSPCGAAVVDEALLKLKDSMENTKALEDTWKSGTNPCEKPRWFGIQCHRGDVTGLRLSELGLGGVIKVEPLSGIKGLRMIAMGNNNFSGPIPDFNKLGALRSIFIQDNKFSGEISEDFFLELNSLKKVWLANNKFSGGIPGSFGKLENLIELHLEGNQFSGEIPVLTQKPLVNLDVANNKLQGEVPAEMERFGVKAFAGNPNLCGKVVGKDCHGTAPKANHDKAAAGEASSSASHEKGSSTKWIIIAAAIALLLIIILFKTRRKEDDFGRIQKENLDEVVPVHSSKRSTSSGNASSRRGSGSGRGESSSHHSRRGSNGGRAMGDLVLVNSERGQFGLPDLMKAAAEVLGSGGLGSAYKAVMGNGISVVVKRLREINPKITKDAFDAEIRKLGKVKHPNILTPLAYHYRKEEKLVISEYMPKGSLLYILHGDRGLSHAELNWPTRLKIIKGVANGMGFLHKEFAALEVPHGNLKSSNILLSANNEPVLTDYAYYPLINNTPTGQQMIAFKAPEGVQHQQVSPKSDVYCLGVIILEIISGKFPSQYLSNQNGGTDVVQWVRQAIANKRELEVIDPEIAIATNSLPQMEKLVHIGAACTENDLINRIDMKEAIKRIEEVHV >itb13g25150.t1 pep chromosome:ASM357664v1:13:30588013:30591297:-1 gene:itb13g25150 transcript:itb13g25150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVSFQDLNLQLGSSGAGSAAAVATIVPKIEPKLEPFDEFALTGLKASPLFSNPSPTRDSDSGSGLGLPAVTEPAGAKRSSHSSEEANVYSEFNRISEMFRATFAEKMQGFGDVDVVEGPDSRAIVPVSDEAQVSSAVISKSIRQRSSELVRVTDLKLEDQRYFRDIVRKARMVYDSIRVLLVLEDEKNQEFGHFRNRADLKAAQLMRMRGLWLNRDKRIVGAIPGLFIGDVFFYRMELCVVGLHGQAQAGIDYLPANHSSNGEPIATSVIVSGGYEDDEDSGDVIIYTGHGGQNKFSKQCVSQKLESGNLAMERSMTYGIEVRVIRGFKYEGSASGKVYVYDGLYRIVDYWCDVGKSGYGVFKYKLVRIENQPEMGSSVLRLAQNLRTRPLVARPTGYISLDMSRNKESVPVFLYNDIDTDQEPAYYDYLVKTIFPSHVQQHAGNGTGCECVDGCGANCFCAMRNGGEFAYDHNGILLRGKPLVFECGPHCRCPPKCRNRVTQRGVRYKFEVFRSRETGWGVRSVDLIQAGSFICEYSGVVLTMEQAQIFKMNGDSLVYPSRFAQRWAEWGDVSQIYPNYVCPTYPSVPPLGFAMDVSKMRNVACYMSHSSSPNVFVQLVLYDHNNVCFPRLMLFAMENIPPLRELSIDYGVADEWTGKLAICN >itb04g17290.t1 pep chromosome:ASM357664v1:4:20051629:20053320:-1 gene:itb04g17290 transcript:itb04g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASSSGLTLQSLQSQSFRRLSSHFLNHHIQVNAVPYAITFPSLHFSKPFKLNQERFKPVSCSAAPAVESPNVSFRSKNPSDINILVVGSTGYIGRFVATELVKRGFNVIAIARERSGIRGRNSKEDTLQQLSGANVCFSDVTELSTLEKSVENLGVPIDVVVSCLASRNGGVKDSWKIDYEATKNSLVVGRKFGAAHFVLLSAICVQKPLLEFQRAKLKFEADLMKEAEDDKGFTYSIVRPTAFFKSLAGQVELVKDGKPYVMFGDGKLCACKPISEEDLASFISDCVLSEDKMNQVLPIGGPGKALTPLEQGEMLFKLVGKEPKFIKVPIQIMDFAIGFLDLLVKVFPSLEDAAEFGKIGRYYAAESMLVWDPEKGEYDAENTPSYGKDTLEEFFKRVLREGMGGQELGEQMIF >itb10g06890.t1 pep chromosome:ASM357664v1:10:7795081:7799207:1 gene:itb10g06890 transcript:itb10g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDDVTKLLLEPRLAAAVDEKEWMKKSEREGDGHASYSLTDRCIPTNSTAVRAKKGCRSFARNTLPLTEGCGVRVSVFAGSEELKKRENE >itb14g11500.t1 pep chromosome:ASM357664v1:14:13138411:13139126:1 gene:itb14g11500 transcript:itb14g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEATETQAQCTGPKTMSTSTSFRLRSPSLNSLRLRRIFDMFDRNNDGMITVDELNQPLNLLGLETDLSELDSMIRSYIKDGNVGLTFEDFEALHRSLDNVFFGPDEGGDGGEEAISKAQEEAEMREAFRVFDEDGNGFISARELQTVLTKLGLLESGGNEIDRVEQMIASYDQNRDGLVDFNEFKDMMRCVIRKN >itb11g11650.t1 pep chromosome:ASM357664v1:11:8560689:8561057:-1 gene:itb11g11650 transcript:itb11g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSQRSLRIDEDENGVKVETFLSPRFELEPGSVCNKLFYGIDFPKGHIGVRGFDAEVVDEEGNSIPLHQTYIHHWIVGKLMIPKGVEAQKERYNETATFVYNSGVCAELPQYFGLGSET >itb02g09530.t1 pep chromosome:ASM357664v1:2:6089528:6094319:1 gene:itb02g09530 transcript:itb02g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRARLTNPEPFLAGTDSVSVASSSKRSKPPKSHQQQQKLISTGMSSKILKEAMLQQKEIEEEETREKNPNGLVFTEERRDAGEDDDDDGDFDNFVGFSETQSQYVDFEDKIDEEEERLLEAFLSTNDRPQRTLADIIVEKIKEKDAQASTELQPMPKLDDSIIELYKGVGKLLSKYTSGKIPKAFKHIPSVKYWEEVLYLTEPDKWSPNAMYQATRIFASNMGVKKAERFYKLVLLPRVRDDIKNNKRLHFALYQSLKKALYKPAAFNKGILFPLCESRTCSIREAVIIGSIIQKSSIPHLHASVALLKLAEMEYCGTTSYFIQVLIEKKYALPYRVLNAMAAHFMKFNDESRVMPVIWHLSLLCFVRRYKADLRKEDKSNILTLVERQRHHLITPEILRELNNSRSRGEKEDDPMLISKPVSVINKMIEEDRFDIPEVPMEED >itb02g09530.t2 pep chromosome:ASM357664v1:2:6089528:6094319:1 gene:itb02g09530 transcript:itb02g09530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRARLTNPEPFLAGTDSVSVASSSKRSKPPKSHQQQQKLISTGMSSKILKEAMLQQKEIEEEETREKNPNGLVFTEERRDAGEDDDDDGDFDNFVGFSETQSQYVDFEDKIDEEEERLLEAFLSTNDRPQRTLADIIVEKIKEKDAQASTELQPMPKLDDSIIELYKGVGKLLSKYTSGKIPKAFKHIPSVKYWEEVLYLTEPDKWSPNAMYQATRIFASNMGVKKAERFYKLVLLPRVRDDIKNNKRLHFALYQSLKKALYKPAAFNKGILFPLCESRTCSIREAVIIGSIIQKSSIPHLHASVALLKLAEMEYCGTTSYFIQVLIEKKYALPYRVLNAMAAHFMKFNDESRVMPVIWHLSLLCFVRRYKADLRKEDKSNILTLVERQRHHLITPEILRELNNSRSRGEKEDDPMLISKPVSVINKMIEEDRFDIPEVPMEED >itb04g00940.t1 pep chromosome:ASM357664v1:4:500916:504212:-1 gene:itb04g00940 transcript:itb04g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEYMQKMQARKSYRNFWHTDLMSAPLADPLYCCFAVCCGPCASYLLRKRALYNDMSRYVCCGGYMPCSGRCGESHCPELCLCTEVFCCFANSVASTRFMLQDEFNIQTTQCDNCIIGFMFCLQQLACICSCIACILGSDELRELSQILNCLSDMVTCTVCSCMQTQHKVEMDKRDGKFGPPPPMAVPTPQHMSRIDQPIPPPAGSGQSPPPQQMVYPPPQQGYGYQAPPPQPTEGYGYPPQSAPAPGQGYGYPPPPPPSAQGYGYPLPPPSQGLQMPNYPPPGAGYPPPNYGK >itb06g23040.t1 pep chromosome:ASM357664v1:6:25184346:25185611:-1 gene:itb06g23040 transcript:itb06g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEEQEEEIEMHEMEAEGNYPPLGSSGMGGGEETAGERKNGGGGGDGGGGIRYRYRECLKNHAVGIGGHAVDGCGEFMPAAEDGTLDSLKCAACGCHRNFHRKEEEGDGFSHFHHHHHPHLTPYPSFRPSGYLHVAPHRPLALPSSSRDDDVSNPSSSGGAADGGAGSKKRFRTKFSQEQKDKMLAFAERLEWRIQKHDEEAVQEFCATTNVRRQVFKVWMHNNKHTLGKKP >itb14g11380.t1 pep chromosome:ASM357664v1:14:13017594:13019720:-1 gene:itb14g11380 transcript:itb14g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERMVNLTPPTTMTTTSPRPSNPQELPTTTAAAAEKNKGKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLLAILGFLIFPFIWSVPEAFITAELSTAFPGNGGFVIWAHRAFGPFIGSLMGTWKFLSGVINIATFPALCIDYLKKIFPVFSSGLPRYMAVLVSTIFLSFLNYTGLSIVGWTAVALGVVSLAPFVLMSLIAIPKIHPHRWGSLGQKGVKKDWNLYFNTLFWNLNFWDNVSTMAGEVENPRKIFPLALFVAVIFTCVGYIIPLFAVTGAVSVDQSEWESGFMAVAAKMIAGKWLQLWVEIGAVLSAIGLFEAQLSSCAYQIQGQADLAFLPKFFGARSKWFNTPWVGILLSMLISLAVSYLDFTDLISSANFLYSLGMLLEFASFLWLRRKAPALKRPYKVPLKLPGLVVMCLIPSAFLIYIMAIATKIVFLVSGLMTAGGIGWHFLMKLCKAKGWLKFSSGHVHGDETDQDIDD >itb03g21600.t1 pep chromosome:ASM357664v1:3:19513608:19517042:1 gene:itb03g21600 transcript:itb03g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLIFQDTQHIESLHQKLGLLQMFLDESDKKGEYNEELNEATAKIREVAVKAEYDIEAELIEEIHHDTVDQILRRILEDVEQLMEMTENANHLAKDLQIRHLMETPPSSSGGQSPLKRTPKLEDAIMVGHTEELEDFKDQLLRSSNEQRQVMALVGMGGIGKTTFARRIYDESAVKSXERGFPELKLLLISVMDLKHWELADDVDCPFPKLERLVLRNCFELKEMPSWIENLSNLKSVRLEHCHDSLVSSARMIEEEQRENYGEEYGFEIVEFHTQSDEDQKNGGKLKNMYFRFWNYIHSTLTQVSLPLCHSFAEENDEDINMSVFLKVGSISKNSVGLGTPDTRLQYFGAIAGSRSKYSWKLGTCSKSLLTLHTLFLNFN >itb03g21600.t2 pep chromosome:ASM357664v1:3:19513608:19517042:1 gene:itb03g21600 transcript:itb03g21600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLIFQDTQHIESLHQKLGLLQMFLDESDKKGEYNEELNEATAKIREVAVKAEYDIEAELIEEIHHDTVDQILRRILEDVEQLMEMTENANHLAKDLQIRHLMETPPSSSGGQSPLKRTPKLEDAIMVGHTEELEDFKDQLLRSSNEQRQVMALVGMGGIGKTTFARRIYDESAVKSXERGFPELKLLLISVMDLKHWELADDVDCPFPKLERLVLRNCFELKEMPSWIENLSNLKSVRLEHCHDSLVSSARMIEEEQRENYGEEYGFEIVEFHTQSDEDQKNGGKLKNMYFRFWNYIHSTLTQVSLPLCHSFAEENDEDINMSVFLKVGSISKNSVGLGTPDTRLQYFGAIAGSRSKYSWKLGTCSKSLLTLHTLFLNFN >itb06g25470.t2 pep chromosome:ASM357664v1:6:26503420:26510968:-1 gene:itb06g25470 transcript:itb06g25470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILERLRWIIAGLNRPAPVTPKRLNSTEARPTSLLSSSQKQQSQPMKSNSKSLSNHRNSQSMLDAVYEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGEYESVGTPSRVVQYEAPDTGSDNICGVWMIDDTDNSYFTQPFRIKYARQDILLSVLVSFNLSLSKYEVLQMSHSSAVILKFELLYAPILENGCNLQPSLDTYPAAVHEFRLPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLKRGVHTLSSKAASGSCSGDASSEDFDKAKQVLLIKGFLTARDILLEELRKVSKAINQAIDVNDFSSKHEDKELFTSRQSTSSTDNAEVSGQMPSQKQSVPQKRNGLTDFRNEEVLHSLSKDELVGLFHSFGNQVFYLWSIFLKFHRAHRTLILDFLRNQWAIDRRAEWSIWMVYSKVEMPNQYISSEVDSSTFHGTAGRAPIFRKLTEDPAQTAAMRAELHRRSIAQMRINNCSIQDMQIFGDPSRIPIVIVEHVNAPLRSTSGNSYLSHLEQKDADILFPVMVDSKAIKKSSDACPQQNGRSLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEANEEKTSGDFREMGLRLAQEVTSFIKKKMDKATRYGNLKSIKISFVGHSIGNIILRTALTESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCKRKTLENFRSIILLSSPQDGYVPYHSARIEMCQASSADSSKKGKVFMEMLNHCLDQIRSPSHDHRVFMRCDVNFDTSLQGRNLNTMIGRAAHIEFLESDTFVKFIMWSFPEVFR >itb06g25470.t3 pep chromosome:ASM357664v1:6:26503420:26510931:-1 gene:itb06g25470 transcript:itb06g25470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILERLRWIIAGLNRPAPVTPKRLNSTEARPTSLLSSSQKQQSQPMKSNSKSLSNHRNSQSMLDAVYEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGEYESVGTPSRVVQYEAPDTGSDNICGVWMIDDTDNSYFTQPFRIKYARQDILLSVLVSFNLSLSKYEVLQMSHSSAVILKFELLYAPILENGCNLQPSLDTYPAAVHEFRLPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLKRGVHTLSSKAASGSCSGDASSEDFDKAKQVLLIKGFLTARDILLEELRKVSKAINQAIDVNDFSSKHEDKELFTSRQSTSSTDNAEVSGQMPSQKQSVPQKRNGLTDFRNEEVLHSLSKDELVGLFHSFGNQVFYLWSIFLKFHRAHRTLILDFLRNQWAIDRRAEWSIWMVYSKVEMPNQYISSEVDSSTFHGTAGRAPIFRKLTEDPAQTAAMRAELHRRSIAQMRINNCSIQDMQIFGDPSRIPIVIVEHVNAPLRSTSGNSYLSHLEQKDADILFPVMVDSKAIKKSSDACPQQNGRSLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEANEEKTSGDFREMGLRLAQEVTSFIKKKMDKATRYGNLKSIKISFVGHSIGNIILRTALTGLFPIDLDAFFNLVQISTTLFSTFAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCKRKTLENFRSIILLSSPQDGYVPYHSARIEMCQASSADSSKKGKVFMEMLNHCLDQIRSPSHDHRVFMRCDVNFDTSLQGRNLNTMIGRAAHIEFLESDTFVKFIMWSFPEVFR >itb06g25470.t1 pep chromosome:ASM357664v1:6:26503420:26510968:-1 gene:itb06g25470 transcript:itb06g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILERLRWIIAGLNRPAPVTPKRLNSTEARPTSLLSSSQKQQSQPMKSNSKSLSNHRNSQSMLDAVYEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGEYESVGTPSRVVQYEAPDTGSDNICGVWMIDDTDNSYFTQPFRIKYARQDILLSVLVSFNLSLSKYEVLQMSHSSAVILKFELLYAPILENGCNLQPSLDTYPAAVHEFRLPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLKRGVHTLSSKAASGSCSGDASSEDFDKAKQVLLIKGFLTARDILLEELRKVSKAINQAIDVNDFSSKHEDKELFTSRQSTSSTDNAEVSGQMPSQKQSVPQKRNGLTDFRNEEVLHSLSKDELVGLFHSFGNQVFYLWSIFLKFHRAHRTLILDFLRNQWAIDRRAEWSIWMVYSKVEMPNQYISSEVDSSTFHGTAGRAPIFRKLTEDPAQTAAMRAELHRRSIAQMRINNCSIQDMQIFGDPSRIPIVIVEHVNAPLRSTSGNSYLSHLEQKDADILFPVMVDSKAIKKSSDACPQQNGRSLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEANEEKTSGDFREMGLRLAQEVTSFIKKKMDKATRYGNLKSIKISFVGHSIGNIILRTALTESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCKRKTLENFRSIILLSSPQDGYVPYHSARIEMCQASSADSSKKGKVFMEMLNHCLDQIRSPSHDHRVFMRCDVNFDTSLQGRNLNTMIGRAAHIEFLESDTFVKFIMWSFPEVFR >itb06g25470.t4 pep chromosome:ASM357664v1:6:26503420:26510931:-1 gene:itb06g25470 transcript:itb06g25470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILERLRWIIAGLNRPAPVTPKRLNSTEARPTSLLSSSQKQQSQPMKSNSKSLSNHRNSQSMLDAVYEIAIYIHRFHNLDLFQQGWYQIKITMRWEDGEYESVGTPSRVVQYEAPDTGSDNICGVWMIDDTDNSYFTQPFRIKYARQDILLSVLVSFNLSLSKYEVLQMSHSSAVILKFELLYAPILENGCNLQPSLDTYPAAVHEFRLPPKALLGLHSYCPVHFDAFHAVLVDASVHICLLKRGVHTLSSKAASGSCSGDASSEDFDKAKQVLLIKGFLTARDILLEELRKVSKAINQAIDVNDFSSKHEDKELFTSRQSTSSTDNAEVSGQMPSQKQSVPQKRNGLTDFRNEEVLHSLSKDELVGLFHSFGNQVFYLWSIFLKFHRAHRTLILDFLRNQWAIDRRAEWSIWMVYSKVEMPNQYISSEVDSSTFHGTAGRAPIFRKLTEDPAQTAAMRAELHRRSIAQMRINNCSIQDMQIFGDPSRIPIVIVEHVNAPLRSTSGNSYLSHLEQKDADILFPVMVDSKAIKKSSDACPQQNGRSLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEANEEKTSGDFREMGLRLAQEVTSFIKKKMDKATRYGNLKSIKISFVGHSIGNIILRTALTGLFPIDLDAFFNLVQISTTLFSTFAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIQNTFLYKLCKRKTLENFRSIILLSSPQDGYVPYHSARIEMCQASSADSSKKGKVFMEMLNHCLDQIRSPSHDHRVFMRCDVNFDTSLQGRNLNTMIGRAAHIEFLESDTFVKFIMWSFPEVFR >itb12g08030.t1 pep chromosome:ASM357664v1:12:6174791:6176387:-1 gene:itb12g08030 transcript:itb12g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP-1 [Source:Projected from Arabidopsis thaliana (AT3G01470) UniProtKB/TrEMBL;Acc:A0A178VBZ2] MERGMESGGFFFNPSSHADVLFLGKAADSPFPGGSWSVMKMEESLKRRPTCLFSAPQSLVCDDEEYYEEQSSSDKKRRLTSQQVEVLEKSFSGGKKLEGERKKELASNLGLQPRQVAVWFQNRRARWKAKQLERDYVQLKSSHDYLLCHYNFILKQNQMLKAEVTNTTLLPTTISNTNGICICN >itb03g28000.t1 pep chromosome:ASM357664v1:3:28633547:28635144:1 gene:itb03g28000 transcript:itb03g28000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKASGSEWTAKQLKGDLEASACSTYDLQRKLVQAALAEDCSGGVQSSFSYVLPTSAVFQVIIGGASGGAFIGGGGAAAAAAPAGGAAPAEAPPADEKKEEKEESDDDMGFSLFD >itb05g17030.t1 pep chromosome:ASM357664v1:5:24096513:24098853:1 gene:itb05g17030 transcript:itb05g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGVKKGPPKHQNRFAWKPNAGKKINETEPGGRFRPLSEITGVCLRCKEQIDWKRKYGKYKPLAEPAKCQKCSKRNVRQAYHNICTACAKEHNVCAKCSCRVDRIIGRDLSEIEAEKKALEEAIKNARERDRRSLLRAMNKGKSHSSTKSPSQNEKVGEQILGGSTQDDDDANISNQNDEEVVELASSLKQYAKFNEEEEEDDDDDDEEEELEVHADKDDYVEEETGRGRE >itb09g09080.t1 pep chromosome:ASM357664v1:9:5436017:5438875:-1 gene:itb09g09080 transcript:itb09g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCAERERQALLDFKHGLVDDYGVLSSWGRDVHQRECCAWSGVHCHNNTGHVTMLDIHDPIYDYGFPTQQFQDNFSQFPSHPYLKGYKVSPSLLELEHLKYVDLSHIDFQGIRFPDFFSSFKRLRVLKLMFTNFSGIVPPRLGNLTNLHVLHVSGPNLKIKNLEWLSLLSSLRSLYLYAIDIDAPPTNVSLSPFLEKLRLPSCELHGTLPFLLNSSPFLSVVDFSYNSLTLPIFHLLHNASKQFTSIDLSHNNFVGLIPDTFGDMSILENLYLGDNSFTGETPKSFENLTHLQILSLGGNHLKESIVELSKKLSKSTRESSLQTLDLSDNRLFGELPKDIGTRFPSLRELGLRGNQLQGVLPESIGKLSMLEQLDVSSNSLQGIVSEAHFLNLANLQVLSLSFNLALSFNLSHNWVPPFQILFLLLANCKVGPQFPKWLQTQTKILHLDISYGNISDTIPEWFWNSALNFGYMNLSYNNIGGRLSDLSTNSNSFLDESSKDLSDDNVSGPIPMFSSRIIDLSYNHFWGPIPLFPPEFMTIYLSNNKFAGPISSLCSTISSNTFFIDLSYNQLFGEIPDCWNKSRHLSHLDLGNNNFLGRVPHSLGSLSLLRSLHLRNNHLTGELPSSLQNCTSLLVMDLGGNEFTERIPSWIGGSLRDLAILSLRHNKFYGDIPSSICLLNRIQILDLSVNELTGKIPQCFNNFTHMMQDKHSRKLQMLFPEPLLISASTSLDNILIQWKNKEWEYRKQVVLLKSIDLSSNQLVGDIPEQFSSLNGLLSLNLSSNHLTGKIFSTIYQMENLEVLDLSKNQLFGAIPIGLASLNYLAVLDLSNNSLSGKIPTGTQLQSFNASFYAGNSGLCGDPLPKCSPDAPPQNNNNYNDYEEGDDFLDRGFYISMVLGFSLSFWGFVVTLILKDSWRLTYYEFLNDVKDWLYVKIRIYLARLQRKLRRT >itb14g00170.t1 pep chromosome:ASM357664v1:14:118834:124523:-1 gene:itb14g00170 transcript:itb14g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLESPCSGSVGTSSSCSTLLRELQQLWTDIGENEAEKDRMLVELERECLQVYRRKVDETANAKARLHQSLAAKEAELATLMATLGELSINSPIHSDKMTMPLKEQLALITPLVQDLKVKKEERLKQFTDIKTQIEKITSEISGYGHIINAMNSLNLEENDLSLRRLSEHQSHLHALQKEKSERIQKVLDFVNEVHTLCAILGVDFGETVSDVHPSLHDTRLGQSTNISNSTLEGLEQTIIRLKTERKVRLQKLKDVSGSLTELWNLMDTPREEKTYFLRITSILGFPEQEIKESGALSSEIIQQVSSEVERLTKLKASRMKELVMKRRSELEDLCSKNHIEPDPSTATDKSCAMIDSGLVDPCELLASIEAQINKAKDEALNRKEIMDRIERWLSACEEENWLEDYNLDKNRYSGGRGAHINLKRAERARITVNKIPGMVDALISKTLAWEDEKKKLFLYDGARLVSVLEDYKLTRLQKEEEKRRARDQKKLHDLLLTEKEARYGSKPSPRRSSSFRKTNGYHTNGCVTPSPRRNSAGCQTPELLTPRSYSGRQNGYFRETRRLSTAPLNFVAIAKEDMMSFSSVSGSEPESPLQP >itb13g03700.t1 pep chromosome:ASM357664v1:13:3591580:3594126:-1 gene:itb13g03700 transcript:itb13g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGIIYDSIEGSDEAFRQKHRSRLRTTLQQAIRDTEDLMRDVRKLFYSPVQDFPTTAQAASSKQAVLASDIMEGRGKELGEIMDQLIHTGGLSRGRKVISILGMGGIGKTTLARRVYEDPLVLSYFDILGWTVVSQTHDVRKMLLDLLQSIDIYRTPKGNDDGEKADNEQANIDKLKDGELTERLRKCLMGRRYLIVLDDIWSISAWEDIHLCFPDNCGSRILLTTRHKEVADSAADDDSGNNLHTLGSLNSQESWNLFCKNFPVKQGLPQELETIGRHVVDKCNGLPLAIVVVAGVLSKLNRTVEEWQVFENQTNSLVVTTDLKGFVELINSENLEDMAHGYLQDLVDRSLILIQNRGFNGEIKTCRMHNIVHDFCVREAIKEKLLNNNVGKELQEEGCRWLNFWPKRILREGLRELENMYVPRSILYLHTSYASSDVIDPMYHWSALLRVMELHPPISIGSWAYPISLLRYINICLQEHYSSRQLENYALYIVREKLQTLYWLRLRHCTEEVFSRIPNVRKLGISCQPQTNDDDQEVEVGLNNILDNLHHLNQLETLKIAAAESPRPRYICLRNPQSFPGNLKELTLVWTRIHWEHISTVIGCIPSLEVLKLKHRAARTKTWEPSEGGFCRLKFLLVESCQDFKYWKASADHYPVLERIVIRDCPSLKEIPSSFVDMSTLRLIELNHCCPSLVTSAKQIQEDQQDIGNEQLIVRAYNTMVSLFCSQHFYQYRSELL >itb02g10200.t1 pep chromosome:ASM357664v1:2:6579245:6581210:-1 gene:itb02g10200 transcript:itb02g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPVCCRRKSSPPRHQIDIEEEVSSIQNAKLYSYKELCSATGDFSPLNKIGEGGFGAVYKGRLGDGTLAAIKVLSAESRQGVKEFLTEIVVISGLEHENLVKLHGCCVEGEHRILVYGYLENNSLAQTLLGSGSSGIQFSWSARARICIGVARGLAFLHEEVQPPIIHRDIKASNILLDKDFTPKISDFGLAKLFPQGLTHISTRVAGTPGYLAPEYVIRSQLTRKADIYSFEILLLEIVSGRSNTNKRLPIDDQYLLETAWRFYQKGEPVELVDISLGENFNVDEACRYIKIALLCTHFMPNSRPSMSSVVKMLTGEEDVDDTKISEPGLLTELVGFRNRKKKNLQILSAAGSGNEDKSPWNTSICTTQATMTFTSISDRST >itb02g15260.t4 pep chromosome:ASM357664v1:2:11106108:11109910:1 gene:itb02g15260 transcript:itb02g15260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDAVKKVHERILKLEPESVTMKIIGYMYLRDYPDQEIIRLAMGPDTLIYELIHKAKIALKLPSTSCLSPPISPSMNQVPISDPSFRLPSIAPPLARPFPSPASFRVTAPFWEPPVPEQQQQIGYNSEFSHLPFSDSPHDDYGPHKQQQQQLVPFEESSDFVYPEPGFSVRGRRNSPAVIEFPPKACHYFSKGFCKHGSNCRYLHGHPFPDNYPRGMYGSNAFDNGNDDQVFSPGSLEKLELEITELLKSRRGSPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYNLTKLLARLKNSIRLIDRSFMIFSYIAHLFSLVIAHVTLVNLFGYRPHGQHSVILAEDASKYIEFRGERNDPGPIVSGSRQIYLTFPAESTFTEEDVSNYFDAFGPVQDVRIPCQQKRMFGFVTFASSDTVKTVLHKGNPHFVCGARVLVKPYREKSKPIERKFQDKIDPSMNYSSHNDFESENQSRLDSKFLRRFEEQHALELEIRQLSQLQLARKPLLSNHSFFGHSMDELKMSEEYSKLTSADDFYQLDGPSGGSSSDDNNQIHSGTKYHDHDSNSGINLPDSPFASALPTSISAVM >itb02g15260.t1 pep chromosome:ASM357664v1:2:11106108:11109910:1 gene:itb02g15260 transcript:itb02g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDAVKKVHERILKLEPESVTMKIIGYMYLRDYPDQEIIRLAMGPDTLIYELIHKAKIALKLPSTSCLSPPISPSMNQVPISDPSFRLPSIAPPLARPFPSPASFRVTAPFWEPPVPEQQQQIGYNSEFSHLPFSDSPHDDYGPHKQQQQQLVPFEESSDFVYPEPGFSVRGRRNSPAVIEFPPKACHYFSKGFCKHGSNCRYLHGHPFPDNYPRGMYGSNAFDNGNDDQVFSPGSLEKLELEITELLKSRRGSPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYNLTKLLARLKNSIRLIDRPHGQHSVILAEDASKYIEFRGERNDPGPIVSGSRQIYLTFPAESTFTEEDVSNYFDAFGPVQDVRIPCQQKRMFGFVTFASSDTVKTVLHKGNPHFVCGARVLVKPYREKSKPIERKFQDKIDPSMNYSSHNDFESENQSRLDSKFLRRFEEQHALELEIRQLSQLQLARKPLLSNHSFFGHSMDELKMSEEYSKLTSADDFYQLDGPSGGSSSDDNNQIHSGTKYHDHDSNSGINLPDSPFASALPTSISAVM >itb02g15260.t2 pep chromosome:ASM357664v1:2:11106108:11109910:1 gene:itb02g15260 transcript:itb02g15260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDAVKKVHERILKLEPESVTMKIIGYMYLRDYPDQEIIRLAMGPDTLIYELIHKAKIALKLPSTSCLSPPISPSMNQVPISDPSFRLPSIAPPLARPFPSPASFRVTAPFWEPPVPEQQQQIGYNSEFSHLPFSDSPHDDYGPHKQQQQQLVPFEESSDFVYPEPGFSVRGRRNSPAVIEFPPKACHYFSKGFCKHGSNCRYLHGHPFPDNYPRGMYGSNAFDNGNDDQVFSPGSLEKLELEITELLKSRRGSPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYNLTKLLARLKNSIRLIDRPHGQHSVILAEDASKYIEFRGERNDPGPIVSGSRQIYLTFPAESTFTEEDVSNYFDAFGPVQDVRIPCQQKRMFGFVTFASSDTVKTVLHKGNPHFVCGARVLVKPYREKSKPIERKFQDKIDPSMNYSSHNDFESENQSRLDSKFLRRFEEQHALELEIRQLSQLQLARKPLLSNHSFFGHSMDELKMSEEYSKLTSADDFYQLDGPSGGSSSDDNNQIHSGTKYHDHDRYEMRFLPF >itb02g15260.t3 pep chromosome:ASM357664v1:2:11106108:11109910:1 gene:itb02g15260 transcript:itb02g15260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDAVKKVHERILKLEPESVTMKIIGYMYLRDYPDQEIIRLAMGPDTLIYELIHKAKIALKLPSTSCLSPPISPSMNQVPISDPSFRLPSIAPPLARPFPSPASFRVTAPFWEPPVPEQQQQIGYNSEFSHLPFSDSPHDDYGPHKQQQQQLVPFEESSDFVYPEPGFSVRGRRNSPAVIEFPPKACHYFSKGFCKHGSNCRYLHGHPFPDNYPRGMYGSNAFDNGNDDQVFSPGSLEKLELEITELLKSRRGSPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYNLTKLLARLKNSIRLIDRPHGQHSVILAEDASKYIEFRGERNDPGPIVSGSRQIYLTFPAESTFTEEDVSNYFDAFGPVQDVRIPCQQKRMFGFVTFASSDTVKTVLHKGNPHFVCGARVLVKPYREKSKPIERKFQDKIDPSMNYSSHNDFESENQSRLDSKFLRRFEEQHALELEIRQLSQLQLARKPLLSNHSFFGHSMDELKMSEEYSKLTSADDFYQLDGPSGGSSSDDNNQIHSGTKYHDHDRN >itb14g06440.t1 pep chromosome:ASM357664v1:14:5671323:5673170:-1 gene:itb14g06440 transcript:itb14g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENYRRDEEDHYENYRVSGREERRREKERDRRVNNAGEDNHKNEDSKVSKQEGNMITQTGRSGGVYIPPFKLARMMKKIQDKSSVKYQRITWDALRKIINGLVNKVNVTNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTRFPVVGNLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQKVVHELIALELLAVLLENPSDDSVEVAVGFVTECGSMLQDLTPRGLHGIFECFRGILREGEIDKRVQFLIEGLFALRKAKFQGYPAVRPELNLVEQVDQLTHEISFQDTIDPEIALDIFKPDSNFLENEKKYEELKKGILGEESEEEGDSNAGEEEDEEQMEIKDETETNLVNLRRTIYLTIMSTAGFDDAGHKLLKVKLEPGQEMELCIMLLECCSQERTYLRNYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNELRNVALFFAHLLATDALPWHVLAYIRLTEEDTTSSSRIFIKNLFQELSEHFGIRLLDDRLNDPTMQESFDSIFPKDNPKNTRFAINFFTEIGLGGITENLRNYLKNMRRLIMQQQKLISESDASESSGSDESESESSSSSSEEDTQRKRRRH >itb04g09310.t1 pep chromosome:ASM357664v1:4:8604139:8607463:-1 gene:itb04g09310 transcript:itb04g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQNFHQWLSLLICALVILQTRAADIIARIPLTFPENAVAMGAVCLDGSPAAYQFIKGAPESQNNWLIYMEGGGWCESEKECKERTLDHKGSSNHMMKNEIFKGDIDFPFYGMLDSHSKNTNPTEFRTWNIVIMRYCDGSSFTGDVEKPVENLYFRGKRIFEVITNELMNKGLKDAKQVFLTGGSAGGVSVALHCDRFSKLLSNTTKFKCLTDGGYFIHAKKDPKQAEGFESMFENIVTLHNSTGMLPQTCTQKMKDKPYLCMFPQYVVRDTVTPIFILMSPYDAYQINITLGHEVYFAIKKAQMEHVELAPPVYNLLKDFRLQFLDALPPSKPSTGIFINNCFGHTEITAPTWKIDGNRVNNVMDCTAISDWYFDRNQINLIDKMDLIKDCKPNGYLG >itb03g06100.t1 pep chromosome:ASM357664v1:3:4485390:4487029:1 gene:itb03g06100 transcript:itb03g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSAATLKTPTYHHHHHLNSVTHIATFPHTVSPLSTTKSISITCNSAANAAAAPVQTPPPTAVTSDRVFNFAAGPATLPVNVLEKAQAELVDWRGCGMSVMEMSHRGKEFLSIIQKAEADLRALLGVPEDYAVLFLQGGATTQFAAVPLNICAPDDAVDYIVTGSWGDKAYKEAVKYCKPNVIWSGKSEKYTKIPTFDSLEQTPHAKCLHICANETIHGVEFKNYPTPKNPNAVLIADMSSNFCSKPVDISKFGIIYAGAQKNVGPSGVTIVIIRKDLIGNAQPVTPVMLDYKIHADNNSLYNTPPCYGIYMCGLVFEDLLAQGGLGEVEKKNKKKAEILYQTIDSSNGFYRCPVEKSVRSLMNVPFTLAKSELEAEFIKEAAAEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMQDFQARHG >itb01g16990.t4 pep chromosome:ASM357664v1:1:21516877:21521948:-1 gene:itb01g16990 transcript:itb01g16990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRASHAGSWYTDNPQKLEEELDGWLRASGLAKSSDVRGYSYSGRAAAFAFGNIDPANISRVFLLGPSHHYYTPKCALSRATVYKTPIGDLPIDMEVIEELKATGKFESMDLHVDEAEHSMEMHLPYLAKVFRGYEVKVVPILVGALSAENEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFRYTYYDKTHGAIYKSIEALDKMGMDIIETGDPDAFKQYLLETDNTICGRQPISVFLHMSKNCSAKIKIRFVRYEQSSQCKNMRDSSVSYA >itb01g16990.t1 pep chromosome:ASM357664v1:1:21516866:21523510:-1 gene:itb01g16990 transcript:itb01g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRASHAGSWYTDNPQKLEEELDGWLRASGLAKSSDVRGVIAPHAGYSYSGRAAAFAFGNIDPANISRVFLLGPSHHYYTPKCALSRATVYKTPIGDLPIDMEVIEELKATGKFESMDLHVDEAEHSMEMHLPYLAKVFRGYEVKVVPILVGALSAENEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFRYTYYDKTHGAIYKSIEALDKMGMDIIETGDPDAFKQYLLETDNTICGRQPISVFLHMSKNCSAKIKIRFVRYEQSSQCKNMRDSSVSYA >itb01g16990.t3 pep chromosome:ASM357664v1:1:21516866:21523228:-1 gene:itb01g16990 transcript:itb01g16990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRASHAGSWYTDNPQKLEEELDGWLRASGLAKSSDVRGVIAPHAGYSYSGRAAAFAFGNIDPANISRVFLLGPSHHYYTPKCALSRATVYKTPIGDLPIDMEVIEELKATGKFESMDLHVDEAEHSMEMHLPYLAKVFRGYEVKVVPILVGALSAENEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFRYTYYDKTHGAIYKSIEALDKMGMDIIETGDPDAFKQYLLETDNTICGRQPISVFLHMSKNCSAKIKIRFVRYEQSSQCKNMRDSSVSYA >itb01g16990.t2 pep chromosome:ASM357664v1:1:21516877:21523503:-1 gene:itb01g16990 transcript:itb01g16990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRRASHAGSWYTDNPQKLEEELDGWLRASGLAKSSDVRGYSYSGRAAAFAFGNIDPANISRVFLLGPSHHYYTPKCALSRATVYKTPIGDLPIDMEVIEELKATGKFESMDLHVDEAEHSMEMHLPYLAKVFRGYEVKVVPILVGALSAENEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFRYTYYDKTHGAIYKSIEALDKMGMDIIETGDPDAFKQYLLETDNTICGRQPISVFLHMSKNCSAKIKIRFVRYEQSSQCKNMRDSSVSYA >itb09g07180.t6 pep chromosome:ASM357664v1:9:4163942:4166924:1 gene:itb09g07180 transcript:itb09g07180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFAGEEREEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPYACLKISVVVILQLWTATYLYNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGYDGIDMDLPSLTEAHLVTNAIAKSVWVIFQLFFYALRPLFLKPKPPGVWEFTNLTVQLALDAALVYFFGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYDTLDSYSSWSQVIYMYVMDRTVGPFSRMKRKLADMREKKSE >itb09g07180.t1 pep chromosome:ASM357664v1:9:4162791:4166924:1 gene:itb09g07180 transcript:itb09g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFAGEEREEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPYACLKISVVVILQLWTATYLYNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGYDGIDMDLPSLTEAHLVTNAIAKSVWVIFQLFFYALRPLFLKPKPPGVWEFTNLTVQLALDAALVYFFGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYDTLDSYSSWSQVIYMYVMDRTVGPFSRMKRKLADMREKKSE >itb09g07180.t3 pep chromosome:ASM357664v1:9:4163942:4166924:1 gene:itb09g07180 transcript:itb09g07180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFAGEEREEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPYACLKISVVVILQLWTATYLYNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGYDGIDMDLPSLTEAHLVTNAIAKSVWVIFQLFFYALRPLFLKPKPPGVWEFTNLTVQLALDAALVYFFGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYDTLDSYSSWSQVIYMYVMDRTVGPFSRMKRKLADMREKKSE >itb09g07180.t2 pep chromosome:ASM357664v1:9:4163942:4166924:1 gene:itb09g07180 transcript:itb09g07180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFAGEEREEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPYACLKISVVVILQLWTATYLYNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGYDGIDMDLPSLTEAHLVTNAIAKSVWVIFQLFFYALRPLFLKPKPPGVWEFTNLTVQLALDAALVYFFGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYDTLDSYSSWSQVIYMYVMDRTVGPFSRMKRKLADMREKKSE >itb09g07180.t5 pep chromosome:ASM357664v1:9:4163942:4166924:1 gene:itb09g07180 transcript:itb09g07180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFAGEEREEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPYACLKISVVVILQLWTATYLYNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGYDGIDMDLPSLTEAHLVTNAIAKSVWVIFQLFFYALRPLFLKPKPPGVWEFTNLTVQLALDAALVYFFGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYDTLDSYSSWSQVIYMYVMDRTVGPFSRMKRKLADMREKKSE >itb09g07180.t4 pep chromosome:ASM357664v1:9:4163942:4166924:1 gene:itb09g07180 transcript:itb09g07180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingolipid delta(4)-desaturase DES1-like [Source:Projected from Arabidopsis thaliana (AT4G04930) UniProtKB/Swiss-Prot;Acc:Q9ZPH4] MGFAGEEREEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPYACLKISVVVILQLWTATYLYNASWLKILAVSYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGYDGIDMDLPSLTEAHLVTNAIAKSVWVIFQLFFYALRPLFLKPKPPGVWEFTNLTVQLALDAALVYFFGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYDTLDSYSSWSQVIYMYVMDRTVGPFSRMKRKLADMREKKSE >itb02g08480.t1 pep chromosome:ASM357664v1:2:5331510:5334229:1 gene:itb02g08480 transcript:itb02g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQRRSYAAAVAEESSHRSRSTTPVMQSPIRTREPQRDSAPQRSINEEDVENPLPPTLQFSNLIHHLKTTLSSTLDFYPPLAGCFAIVMNEEDIYARVHLPEGYWGNGFYFKKLTLKAGEVVGKGVGWVACQIKEAVQKQSDEEVMKQYSNWVKSPALVGGEVFSVNTVTMSSSPWFNVYGTDFGWGKPVQVA >itb01g05470.t1 pep chromosome:ASM357664v1:1:3759497:3762626:1 gene:itb01g05470 transcript:itb01g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEAGMFNVTQTVGSVLCCKCGVLMQPNAANMCAKCLRSEVDITEGLQKHVIICHCPECDTYLQPPRTWVKAQLESKELLTFCVKRLKNLNKVRLVHAEFIWTEPHSKRIKVRLTVQKEVLHGAILEQAYTVEYVVQDQLCEACSRIQANPDQWVASVQLRQHVSHRRSFFYLEQLILKHDAASRAIRIKQMDQGIDFFFGNRSHAVKFVEFVGKVVPVRSRNDKQLVSHDIKSNNYNYKYTFSVEISPICREDLVCLPPKVSVSLGNLGPLVICTKVSNSIALLDPFTLRHCFLDADQYWRASFKPLLTSRQLVEYIVLDVEPVSSEVNIGGSKYVMADVQVARMSDFGKNDIMFSVRTHIGHLLNPGDYAFGYDLYGANNNDIELEKYKGLVLPEVVLIKKSYEEKRQRKRGKPRAWKLKSLNMEVDDTGRGKDNEEKMTSEYEQFLRDLEENPEMRFNISLYRNREYQPSEMASMTDGDDFPTIPLEELAGLHLSDGDDDEGDDDDGMAE >itb01g05470.t2 pep chromosome:ASM357664v1:1:3759497:3762626:1 gene:itb01g05470 transcript:itb01g05470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEAGMFNVTQTVGSVLCCKCGVLMQPNAANMCAKCLRSEVDITEGLQKHVIICHCPECDTYLQPPRTWVKAQLESKELLTFCVKRLKNLNKVRLVHAEFIWTEPHSKRIKVRLTVQKEVLHGAILEQAYTVEYVVQDQLCEACSRIQANPDQWVASVQLRQHVSHRRSFFYLEQLILKHDAASRAIRIKQMDQGIDFFFGNRSHAVKFVEFVGKVVPVRSRNDKQLVSHDIKSNNYNYKYTFSVEISPICREDLVCLPPKVSVSLGNLGPLVICTKVSNSIALLDPFTLRHCFLDADQYWRASFKPLLTSRQLVEYIVLDVEPVSSEVNIGGSKYVMADVQVARMSDFGKNDIMFSVRTHIGHLLNPGDYAFGYDLYGANNNDIELEKYKGLVLPEVVLIKKSYEEKRQRKRGKPRAWKLKSLNMEVDDTGRGKDNEEKMTSEYEQFLRDLEENPEMRFNISLYRNREYQPSEMASMTDGDDFPTIPLEELAGLHLSDGDDDEGDDDDGMAE >itb07g17510.t1 pep chromosome:ASM357664v1:7:21643165:21653319:-1 gene:itb07g17510 transcript:itb07g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGLPPIIHSQISLNWHFNPKYVYRQSVGCCNRCWLLQGNSSRLIVNTTPTGAPPRVSVNYRQWELSKNRYLRDREIRVRANSSCEQGTDSNDTNEATTTESNTSPVSGPGSGSSSGSSGKESQGKGDWWWSKWQWQPIVQAQEVGVLLQLGIVMLVMRLLGPGIPLPGSEPMAPVTFLSVPYSEFLRKVNTNQVQKVEVDEFHIMFKLKNEVMSGVVESESGSGSSKLQESEWSLRNVNPTKRIVYTTTRPGDIKTPYEKMLENNVEFGSPDKRSGWFLHSALHTAGQLRNRKSGGSNGAKVSEQGETVTFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVEAPDRTGREAILKVHVSKKELPLAQDVDLGDIASMTTGFTGADLANLVNEAALLAGRKTKLLVEKIDFIHAVERSIAGIEKKTAKLQGNEKAVVARHEAGHAVVGTAVANLLSGQPRVEKLSILPRSGGALGFTYIPPTTEDRYLLFVDELHGRLVTLLGGRAAEEVIYSGRVSTGALDDIRRATDMAYKAIAEYGLNETIGPVSLATLSGGGMDDTGSALWGRDQGHLVDLVQREVKSLLQSSLEVALCVVRANPTVLEGLGACLEEKEKVEGEELQKWLKMVVAPTELSYFIRGKRGSFLPLQPSSG >itb12g08890.t1 pep chromosome:ASM357664v1:12:6964469:6966265:1 gene:itb12g08890 transcript:itb12g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVKLHGISYNLFTYRVIWGLKLKGIPFEYIEEEHSDKSPFILQHNPVFKSFPVLFHRPPPAKPICDSMVILEYIDQTWPHNPLLPTHPYRRAVARFWAKFAHDRDVAGRKHSQLVAAFNSALNKLDSLYDLSPSDADFVLQPLILALDSTSPKVVEPALDCTFCLFSFGLIRSEIEIRTSHSHIFCIIDFVCKCGAIDDKAVEHALLSTIRSKFYQ >itb10g01150.t1 pep chromosome:ASM357664v1:10:850955:855501:-1 gene:itb10g01150 transcript:itb10g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSIISAVVEQVITIINHQVVQELRAALGVEKEIEKLSSKLNKIKAVLNDAERRSFNEEIVKLWVDEIKDLCYDVEDVLDEWITRSRRQQMERSSQVAAAPGAGSCCSFLPSCFHFKKIVMHRDIANKIKELDSRLDRITTEKGQFNFLAVANTSASASLHPDQELKRVSTPFDVDATEIQGRKSDASALISKLVENNNNDNGPPVVISIVGAGGIGKTTLAQLVYGDEQIKTHFDVRVWVCVSHPFDQIKIAKGIVHSISSENLSEQSLQVLLGKIQSTLSQKRFLLVLDDVWTEEDAMWAPFKNCLKVGLPGSRILVTSRSERVARMMASVYLHRVDLISDSDAWLLLSKIAFSGGRDDAYSKKLEKIGEKIAQKCKGLPLAVKVMGSLLRNKDTEEEWQTVLSQLDTKFSNVEGVETHLFPHLRLSYDDLTPQMKRCFSYCAVFPKDYRIHVDELIRIWMAQGYLTTTNGSDHNNQMEQKGWEIFNNLAMRSLFQDFGTHHSKDPNIIISCNMHDIVHDFAEFLTKNECYSVVWHDQNKVKIENLRHLSWQKIGKPTDPASICDVLGKLRSFFAEHLSPEQLTPNMFNGLKSVRVLGLNHSMLQKLPKEIGDLLHLRYIDLSFSKVEELTDSICSLDNLQTLNLNGCHSFSKLPEGIGYLHQLRCLSRLPAGIGNLRHLSKIDLSESNVEELPDSICSLDNIQTLDLRSCYSLSRLPEGIGNLHNLREIDITWSKVEELPDSICSLDNLQILTLAYCKSLCRLPQRIGNLRHLSKIDLSKSKIEELPDSICSLDNLEIVDLEGCECLSRLPEGIGNLHQLRRINLRKCKVEELPDSICSLDNLQILTLAYCKSLSRLPQQIGNLRHLSEIDLCQSKIEELPDSICSLDNLKFLDLEGCECLSRLPEGIGNLHQLRSINLKECKVEELPDSIWSLDNLESLNLARCQCLSRLPEEVENLNKLQRIYLSGCKVEELPDSICSLDNLETLCLEGCECLSKLPKEIGNLHMLWWIDLSECKVEELPNSIWSLENLKYLNLAGCQCLSRLSEGIGNLRHLREINLSRSKIEELPDSIWSLDNLKVLNLEGCECLSKLPEEIGNLYQLIRIDLSMSKIEELPDSIWSLENLKSIRLAGCQCLSRLSEGIGNLRHLNMIDLSMSKIEELPDSICSLEELKILDLKGCECLSTLPDGIENLPRLHLIDLRGCKVELPDSIYSLKYLEIRVGELGSDLSK >itb01g27470.t2 pep chromosome:ASM357664v1:1:32266967:32273294:1 gene:itb01g27470 transcript:itb01g27470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGRKAGKYKKRESNPSKKSSKTDFYNEDDDMMNDEIDAFHKQRDIIPLDINKDVDEESDEDDDHPVYDLEDDDEDDEDEGNDDDDYDDGLAAKISKQLKYMRAKTGGVDDEMHDESDKEEKKRTVWSRHKSVYYNTENLHSSDDDLPAEEEEVLRLQKENAKALSLEDFGLEDDKEATFEEILAHGKPAVKDSADKEQNNETSIAYEKIKKDLNALTREEQMDVVYSSAPELVGLLSELNEAHEELDNKVNPLLSKINLGSGKKGELHYLEVKRLLLLSYCQAITFYLLLKSEGQPVCDHPVISRLVDIKALLDKMKELNGNLPSEVEILLSKGGGTEAEERLVTGDVAFESEHPPNQNPSVVVVDTQVTEPNGAAESVELNSPKEYNKTHSKRKLQVGHDDQVGVQSMEMLKIRAALEEKLKHKGVFSSLAAKHENSKKRLRPVNGQLATLEDFDDDAMDIEGGVHGVANGNAHLPRPSKLSKLVTQVNKSKFISGDDDLPKRDDIGERRRKHELRVLAGAGIKSADDVEDESADLSSDGDANGETDSDLEFYRQVEKKHSAKVAAKKHSRTKLVSSLPETTVDGKRQINYQIEKNRGLTRNRNKKGKNPRKKYRSKHTEAVKRRKGQVREIKKPSGPYGGEASGINAGISRSLRFKN >itb01g27470.t1 pep chromosome:ASM357664v1:1:32266956:32273304:1 gene:itb01g27470 transcript:itb01g27470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGRKAGKYKKRESNPSKKSSKTDFYNEDDDMMNDEIDAFHKQRDIIPLDINKDVDEESDEDDDHPVYDLEDDDEDDEDEGNDDDDYDDGLAAKISKQLKYMRAKTGGVDDEMHDESDKEEKKRTVWSRHKSVYYNTENLHSSDDDLPAEEEEVLRLQKENAKALSLEDFGLEDDKEATFEEILAHGKPAVKDSADKEQNNETSIAYEKIKKDLNALTREEQMDVVYSSAPELVGLLSELNEAHEELDNKVNPLLSKINLGSGKKGELHYLEVKRLLLLSYCQAITFYLLLKSEGQPVCDHPVISRLVDIKALLDKMKELNGNLPSEVEILLSKGGGTEAEERLVTGDVAFESEHPPNQNPSVVVVDTQVTEPNGAAESVELNSPKEYNKTHSKRKLQDDQVGVQSMEMLKIRAALEEKLKHKGVFSSLAAKHENSKKRLRPVNGQLATLEDFDDDAMDIEGGVHGVANGNAHLPRPSKLSKLVTQVNKSKQFISGDDDLPKRDDIGERRRKHELRVLAGAGIKSADDVEDESADLSSDGDANGETDSDLEFYRQVEKKHSAKVAAKKHSRTKLVSSLPETTVDGKRQINYQIEKNRGLTRNRNKKGKNPRKKYRSKHTEAVKRRKGQVREIKKPSGPYGGEASGINAGISRSLRFKN >itb01g27470.t3 pep chromosome:ASM357664v1:1:32267145:32273169:1 gene:itb01g27470 transcript:itb01g27470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGRKAGKYKKRESNPSKKSSKTDFYNEDDDMMNDEIDAFHKQRDIIPLDINKDVDEESDEDDDHPVYDLEDDDEDDEDEGNDDDDYDDGLAAKISKQLKYMRAKTGGVDDEMHDESDKEEKKRTVWSRHKSVYYNTENLHSSDDDLPAEEEEVLRLQKENAKALSLEDFGLEDDKEATFEEILAHGKPAVKDSADKEQNNETSIAYEKIKKDLNALTREEQMDVVYSSAPELVGLLSELNEAHEELDNKVNPLLSKINLGSGKKGELHYLEVKRLLLLSYCQAITFYLLLKSEGQPVCDHPVISRLVDIKALLDKMKELNGNLPSEVEILLSKGGGTEAEERLVTGDVAFESEHPPNQNPSVVVVDTQVTEPNGAAESVELNSPKEYNKTHSKRKLQDDQVGVQSMEMLKIRAALEEKLKHKGVFSSLAAKHENSKKRLRPVNGQLATLEDFDDDAMDIEGGVHGVANGNAHLPRPSKLSKLVTQVNKSKFISGDDDLPKRDDIGERRRKHELRVLAGAGIKSADDVEDESADLSSDGDANGETDSDLEFYRQVEKKHSAKVAAKKHSRTKLVSSLPETTVDGKRQINYQIEKNRGLTRNRNKKGKNPRKKYRSKHTEAVKRRKGQVREIKKPSGPYGGEASGINAGISRSLRFKN >itb13g20130.t1 pep chromosome:ASM357664v1:13:26961529:26961768:-1 gene:itb13g20130 transcript:itb13g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHKLSTFFFVVLVVVVVVTTVTADLVDTVCGKTPQPALCAQTLRADPRSKGADLKALGLIAIDIATNQTKSGQALV >itb15g07130.t1 pep chromosome:ASM357664v1:15:4804683:4807657:-1 gene:itb15g07130 transcript:itb15g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLLLHILLLSAAAAPNGGSPSPMLEPSENVVVAVPEQPQVRLNIFNQACELEPQHRRLLCLAWGGIGHIPNGISRLTRLVTLDLADSDLLLNLNQFFENSTQLRQLYLDGVDLSSTVVVPKFLANFSNLKILSLGGCNLQGSFPREIFLIRGLQELSLEYNYDLSGGFPSFPENGSLRMISVTKTQFSGSLPASISNLSNLSMIDLSSCNFGGSIPSTMAQLTSLTYVDFSNNHFTGSIPNFLSSKSLTLIDFSFNGLTSPLSSKHFEGLSKIVYINLGSNYISGRIPPSLFSLPSLQTLHLSNNLFDGIVDEYVNASTTQLETLYLSSNRLSGSFPKYFFEFPMLSDLDLSSNSLDGKIPLSMFSFPSLWNLDLSNNSFDGLGDEYVNVSTSQLHRLDLSSNHLNESFPEYFFELPKLSSLDLSSNSFGGKIQSSLFSLPSLQTLDLSFNSFDGLGDEYVNVSTSQLEILYWRSNRLNESFPEYFFELPKLSSLDLSSNSFGGKIQSSLFSLPSLQTLDLSFNSFDGLGDEYVNVSTTQLETLDLSSNRLSGSFPKYFFEFPMLSDLNLSSNSLEGKYHHGYGNWVMEL >itb04g33990.t1 pep chromosome:ASM357664v1:4:36044607:36050247:-1 gene:itb04g33990 transcript:itb04g33990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLTHFSASLSHAPASSLTATALYIRFSPKLLCPKFPCRPLQLRRRLFFTASMDSPPSAAAAAASATVDSVTDDFGKQSLESSTSCKLKLEDLNWDHSFVRELPGDPRADNMPREVLHACFTKLSPSAEVENPQLVAWSDSVAKFLELDPKEFERSDFPLLFSGASPLVGSMPYAQNYGGHQFGMWAGQLGDGRAITLGEVLNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHSLGIPTTRALCLVTTGKYVTRDMFYDGNPKDEPGAIVCRVAQSFLRFGSYQLHASRGKKDLDIVRTLADYTIRYHYPHLQNMSKSECISFSTGQEDDSVVDLTSNKYAAWAVEVAERTASMIAGWQGVGFTHGVMNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNVAQFTSTLSTAELINDKEANYAMERYGIKFMDDYQAIITKKLGLPKYNKQLIGDLLKNMAVDKVDYTNFFRSLSNIKADPTIPEQKLLIPLKAVLLDIGKERKEAWTNWVQSYIQELSTSGISDEERKASMDSVNPKYVLRNYLCQSAIDAAEQGDFAEVRQLLKVMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >itb14g05470.t1 pep chromosome:ASM357664v1:14:4761873:4770307:1 gene:itb14g05470 transcript:itb14g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNNRTRDSVEENSSNDDKAFFDIYGPQARAEVVFKQPEANSTLNLQDVQGLATWVLAEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYLSQSKILKSFKQCCGIPRAVLALSCVSDGTQTIDALLTYKMKRKRDGAEQTIAQTSEQGPTNSGSEITTFLDLKKSMPFPISYYTLTAKELEDNGYCCDHPEYLSTLPAPSGTHPYEILALDCEMCITNEGFELTRVTLVNFQGQVVLDKLVKPLNEIIDYNTRYSGITREMLNGVTTTLKDIQEDFLQLVFKETVLIGHSLENDLSALKIIHNLVIDTAILYKHPKGRSFKTALRVLSRRFLGRQIQDSGNGHDSIEDARAAMELAILKIRNGPDFGSPQPLLRKKLLTVLSDSGKTSSIIDNMYIVKRYASESSHSIAVSSDDEALAKANKEARNDKVHFIWTQFSELYSYFKKEADDAEKLNRKLAQMIAMLTCENKSSNRKNIKYEITPELKNILNRMDDRVRKLYSNLPPNAMLVICTGHGDTAIVQRVRKTLSEETETAMSREKVVKVLEDLQAQAEVGLCFVGVKH >itb03g17340.t3 pep chromosome:ASM357664v1:3:15981183:15985409:-1 gene:itb03g17340 transcript:itb03g17340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGIFQSVSAAMWRTRSPDDTASVTNVESVRGESQGSNKSPESPMAVQNKPPEQMTMPRPEAEEKQPAKPKKPARIKRVPSAGLRTDSVLPKKTGNLKEFFSIGKKLGQGQFGTTFLCVEKASGKEYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHQNVISIKGAYEDAVAVHVVMEFCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVDKKEDSLLKTIDFGLSIFFKPGEKFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVIVYILLSGVPPFWAENEQGIFEQVLHGDLDFDSDPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRMKQFSAMNKLKKMTLRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGFITADELQQACEEFGIEDARFEEMIREADQNNDGTIDYNEFVAMMQKGNPVLGGLSKGQENSSFSIRFREALRT >itb03g17340.t1 pep chromosome:ASM357664v1:3:15981183:15985409:-1 gene:itb03g17340 transcript:itb03g17340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGIFQSVSAAMWRTRSPDDTASVTNVESVRGESQGSNKSPESPMAVQNKPPEQMTMPRPEAEEKQPAKPKKPARIKRVPSAGLRTDSVLPKKTGNLKEFFSIGKKLGQGQFGTTFLCVEKASGKEYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHQNVISIKGAYEDAVAVHVVMEFCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVDKKEDSLLKTIDFGLSIFFKPGEKFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVIVYILLSGVPPFWAENEQGIFEQVLHGDLDFDSDPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRMKQFSAMNKLKKMTLRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGFITADELQQACEEFGIEDARFEEMIREADQNNDGTIDYNEFVAMMQKGNPVLGGLSKGQENSSFSIRFREALRT >itb03g17340.t2 pep chromosome:ASM357664v1:3:15981183:15985409:-1 gene:itb03g17340 transcript:itb03g17340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGIFQSVSAAMWRTRSPDDTASVTNVESVRGESQGSNKSPESPMAVQNKPPEQMTMPRPEAEEKQPAKPKKPARIKRVPSAGLRTDSVLPKKTGNLKEFFSIGKKLGQGQFGTTFLCVEKASGKEYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHQNVISIKGAYEDAVAVHVVMEFCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVDKKEDSLLKTIDFGLSIFFKPGEKFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVIVYILLSGVPPFWAENEQGIFEQVLHGDLDFDSDPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRMKQFSAMNKLKKMTLRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGFITADELQQACEEFGIEDARFEEMIREADQNNDGTIDYNEFVAMMQKGNPVLGGLSKGQENSSFSIRFREALRT >itb03g17340.t4 pep chromosome:ASM357664v1:3:15981183:15985402:-1 gene:itb03g17340 transcript:itb03g17340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGIFQSVSAAMWRTRSPDDTASVTNVESVRGESQGSNKSPESPMAVQNKPPEQMTMPRPEAEEKQPAKPKKPARIKRVPSAGLRTDSVLPKKTGNLKEFFSIGKKLGQGQFGTTFLCVEKASGKEYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHQNVISIKGAYEDAVAVHVVMEFCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVDKKEDSLLKTIDFGLSIFFKPGEKFTDVVGSPYYVAPEVLRKHYGPEADVWSAGVIVYILLSGVPPFWAENEQGIFEQVLHGDLDFDSDPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRMKQFSAMNKLKKMTLRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGFITADELQQACEEFGIEDARFEEMIREADQNNDGTIDYNEFVAMMQKGNPVLGGLSKGQENSSFSIRFREALRT >itb01g08200.t1 pep chromosome:ASM357664v1:1:6622710:6624512:-1 gene:itb01g08200 transcript:itb01g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIRSNKYGLLVCSVVLVLAVSINGNSITCKSRKSPCFLKHLYCPVECPSTTPSTSASKVCYLDCNSPLCQPQCRNRKPNCNSPGAACLDPRFIGGDGIVFYFHGKRNQHFTLVSDYNLQINAHFIGLRPAGRPRDYTWIQALGILFNAHSFSVEATKATKWDPQIDHLAFYHNGFQLPIPQDYTSSWESSDRSIKVERTSAANSALITLSETAEISVNVVPVTKEDDRVHNYQIPSDDCFAHLEVQFRFFGLSDRVEGVLGRTYRPDFENPAKPGVAMPVVGGEDKYRTASLLSPECKLCIFSSPAAGVLNEYPGVKNYGGALDCSGGLNSGNGIVCRK >itb06g05850.t1 pep chromosome:ASM357664v1:6:8568598:8571655:-1 gene:itb06g05850 transcript:itb06g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLPGVVLESWASNFFTTHTLQDQSFSPGDTSDINNFLTIVIQSPSTSVLAGAVCSTARRWLPGLSCSIATRSEPPTRRQNNDFNLQFQRQHRAWSYQ >itb04g12900.t2 pep chromosome:ASM357664v1:4:12717629:12721801:-1 gene:itb04g12900 transcript:itb04g12900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQIRRVRWSAWFLGASNGLIIVLGISVVMAAEANLPSCGRADIAAISAIVLISCARILAMIRTGVNQKASALTILSPNSNSDPNIRQERRRKYRRWLWWTRFAAVITALQFLGAAYFLFTLMKFIYQDDQSTSCVLELFITGKKWQRNTVFLFIAMVIYVAPVQCFTGSDVLKWRSFYATEDYAWKAHYREVFDHGIREALCCLGRFNYLTAMDEDEVYSVAEFLGDLVTYRASGTGHLEFLAGLALLHRYSSSSKLCEEPLPVPEERIHEAALFHPFAEAAYTGLLLDVGRNPILFPLSWLCRQGVFSPWARKSSGHYFGFIG >itb04g12900.t1 pep chromosome:ASM357664v1:4:12704827:12721818:-1 gene:itb04g12900 transcript:itb04g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQVQIRRVRWSAWFLGASNGLIIVLGISVVMAAEANLPSCGRADIAAISAIVLISCARILAMIRTGVNQKASALTILSPNSNSDPNIRQERRRKYRRWLWWTRFAAVITALQFLGAAYFLFTLMKFIYQDDQSTSCVLELFITGKKWQRNTVFLFIAMVIYVAPVQCFTGSDVLKWRSFYATEDYAWKAHYREVFDHGIREALCCLGRFNYLTAMDEDEVYSVAEFLGDLVTYRASGTGHLEFLAGLALLHRYSSSSKLCEEPLPVPEERIHEAALFHPFAEAAYTGLLLDVGRNPILFPLSWLCRQGVFSPWARKSRPLLEGDNWWRGHAAAFLKYVKLTDDVLRKGRVNQEKCKAAYFIVVLHHLKTVVIAVRGTETPEDLITDGLCRECSLSEGDLDGLVNGKNVMEREASSPLHYAHAGVVEAARDLYMQVEGNSVDGGFLSSLLGSSCECSGYSLRIVGHSLGGAIAALLGIRLHRQFPNLRVYAYGPLPCVDLEVADACKEFITSIVYNDEFSARLSVGSVMRLQAAALKALSQDGIMDLSTLSKLSRQFMFMTICQKNKDDPNLPDSFHECRRDSTWQEVGRAECSTSASASYTTTSTGGIVYEDPFTQFMEAVPCPGSTSSLNLPEMFLPGLLVHIIPQKDGLDTSFWKRWRSWETNCTFKAYIANRESFKDFIVSPSIFLDHLPWRCHKALKELLRVPSRQFQPEGLQMV >itb04g04880.t1 pep chromosome:ASM357664v1:4:3029619:3033836:-1 gene:itb04g04880 transcript:itb04g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEYNLNCHCRFRPFDSRCGCCCSWLCSIKQGPVEIAAVGVAASIINQANKVTIFPLVNITTSFVAEETTVKEGNNNNELSARGDDWSEGGVAAQIESGEEGSEEETGESDDNSEAETMGSGYMGSSDTNGSPSISEITEISLQTYVEENVSPVETNVNERADGEVKRGRLKVPSASTALIMGMILGLLQTVFLTLLARPLLGLMGVKSGSAMLQSALKYLVLRSIGSPAVLLSLAIQGIFRGLKDTTTPFGAAIAHVISQYIMVLILFCKLIKEVELLPPSSRHLQLSKFLRNGLWLFARVIAATFCVTLASSLAARLGSTVMAAFQAIIAGAIAQKDYSKARATAARVLQMGIILGHALVILVGVGLYFGKGAFSEDKNVLRMITIGVPFVAGTQPINTMAFVLDGVNFGASDFLYAAYSMVFVAAMTVGSEFLLLKSNGFVGIWIALAIFMLLRTIAGFWRMATGTGPWRFLRALDT >itb06g22290.t1 pep chromosome:ASM357664v1:6:24644746:24647459:-1 gene:itb06g22290 transcript:itb06g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSLNHYIGIWHRKDPVKTVVWVANRDAPLANTSSAVLKITKLGGQLALVRDNDEAVWSVNTSRSAPNPVAELLDTGNLVVRDADDEKLQNFVWQSFDHPTDHWLSGMKLGWNLQTGHEVFLTAWKGENDPASGQYTLHLDPTGYPQLSLRNRTTQIFSSGPWNGLRFSVAPVEQSNTNVGPYGLGALDGGEEIAVKRLSKNSAQGLEEFMNEVICIAKLQHRNLVKLLGCCISGEEKMLIYEYMPNKSLDFFIFGNATGDNTKRVAGTRGYMSPEYAGHGIFSVKSDVFSFGILVLEIVSGKKNTEFINEDQCVALPEHAWKLYREGKSIVLVDEHLVGSDDVVQVLRSIHVGLLCVQQSPKDRPDMSSVVQMLVNDFALPQAKEPGFFLGKEYSSGTHAKGSQNEVTISTLNPR >itb01g32570.t1 pep chromosome:ASM357664v1:1:36015503:36015873:-1 gene:itb01g32570 transcript:itb01g32570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIELTGHDFFPSDTSNKIPPTLLQTPKTLNRHHISLHLNFPSSIWHVIYTYLSCPLLLLAVSSLLSW >itb13g21780.t1 pep chromosome:ASM357664v1:13:28308720:28309010:-1 gene:itb13g21780 transcript:itb13g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYPFSSANRSDSSNGQTFEPVQKPQRNHVGWRMAPSSAPPPCTEAAGRRNAERKRNRRVAVYNAYGVERKLKDSFSDRIRWFKNKYSTMVHGYY >itb07g05130.t1 pep chromosome:ASM357664v1:7:3479542:3483330:1 gene:itb07g05130 transcript:itb07g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVAAWNKRRRSKSDDQSNPWVYKPAECWLNEEQNPPAKRRQGSSVFTLKEMEEATNSFRDENLVGKGGFGRVYKGTLRSGEVVAVKKMDLPLSKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKQRFLVYEYMHKGNLQDHLNGIGEVKMEWPLRLKVALGAARGLAYLHSSSAVGIPIVHRDFKSTNILLSTKYEAKISDFGLAKLMPEGQETCVTARVLGTFGYFDPEYTLTGKLTLQSDVYAFGVVMLELLTGRRAVDLNLGPNDQNLVLQVRHILNDKRKLRKVIDPEMSRSSYTMESITMFANLASRCVRTDSSERPSMEECVKELQLILYTNTRGLGMTMHAFRMI >itb12g04590.t1 pep chromosome:ASM357664v1:12:3034015:3037574:-1 gene:itb12g04590 transcript:itb12g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIKPTIPPVSLVLRKSCNSSASYNRHSPPSPGSRRKGTPSALHIYKQALTRGIQVPILKGARASEMTLMDNYIAGTFMVSLLGFVLFYVMSKKASETRRNGVGTPTCTDNGECGARKESDADVIIVGAGVAGAALAHTLGKDGRRVRVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVDKIDAQRVVGYALFKDGKNTKVSYPLENFSSDVSGRSFHNGQFIQRMREKAASLPNVQLEQGSVTSLLEENGTVKGVQYKTKDGQEHKAYAPLTIVCDGCFSNLRRSLCNPQVESPSHFVGLVLENCQLPYANHGHVILADPSPILFYPISSTEIRCLVDIPGQKLPSIANGEMAKYLKTVVAPQVPPELHDSFTSAVDNGNIRTMPNRTMPAAPYPTPGAVLLGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLQNLNDADALCRYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGTCSTGPVALLSGLNPRPLSLVMHFFAVAIYGVGRLLVPFPSPKRLWIGARLISAASGIIFPIIKAEGVRQMFFPATVPAYFRAPRVK >itb06g06030.t1 pep chromosome:ASM357664v1:6:8721335:8723258:1 gene:itb06g06030 transcript:itb06g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYSTFDANRAGLANLYQDGSMLTFEGQKIQGSQNIVAKLTSLPFQQCKHQITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >itb02g07870.t2 pep chromosome:ASM357664v1:2:4933491:4936399:1 gene:itb02g07870 transcript:itb02g07870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPISRMAKRNGLFYPTIGFASLVTFIYLCFGDLWVDVQKETKLNFVERNGTQFFVDGQAFYINGWNSYWLMDHAVDGSRRPRIRAMLQAGAKMGLTVCRTWAFNDGEHNALQLSPGRFDERVFRALDHVLAEARQNGIRLILCLVNNLQAYGGKTQYVKWAWEEGVGLSSSNDSFFYDPTIRYYFKNYVKTVLTRKNTITGVEYRDDPTIFAWELINEPRCLTDPSGDTLQDWIEEMSTFVKSIDRNHLLTIGLEGFYGPKSPTKMKVNPEFWAAGLGTDFIRNTKLSTVDFASVHVYPDHWFHNQSFEEKLAFVTKWTLSHIEDGERELKKPIMFTEFGLSTENRDFEPSQRDRFFKVILDIMYKSARRNKAGAGSFFWQFLVEGMEEYNDDFGIVPWERSSTYQLIAEHSCRLARVHGALSSQDHLINLCSHR >itb02g07870.t1 pep chromosome:ASM357664v1:2:4933078:4936399:1 gene:itb02g07870 transcript:itb02g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPISRMAKRNGLFYPTIGFASLVTFIYLCFGDLWVDVQKETKLNFVERNGTQFFVDGQAFYINGWNSYWLMDHAVDGSRRPRIRAMLQAGAKMGLTVCRTWAFNDGEHNALQLSPGRFDERVFRALDHVLAEARQNGIRLILCLVNNLQAYGGKTQYVKWAWEEGVGLSSSNDSFFYDPTIRYYFKNYVKTVLTRKNTITGVEYRDDPTIFAWELINEPRCLTDPSGDTLQDWIEEMSTFVKSIDRNHLLTIGLEGFYGPKSPTKMKVNPEFWAAGLGTDFIRNTKLSTVDFASVHVYPDHWFHNQSFEEKLAFVTKWTLSHIEDGERELKKPIMFTEFGLSTENRDFEPSQRDRFFKVILDIMYKSARRNKAGAGSFFWQFLVEGMEEYNDDFGIVPWERSSTYQLIAEHSCRLARVHGALSSQDHLINLCSHR >itb09g01550.t1 pep chromosome:ASM357664v1:9:942039:942417:-1 gene:itb09g01550 transcript:itb09g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFTAILLLVALFSMAYLSNARKEPAGEYWNRVMEKQAMPKALRDLLPSGAGGGSDPAGVRFATNFQTMKTNPAIIYHSPVDKASP >itb06g04810.t1 pep chromosome:ASM357664v1:6:7603702:7606139:-1 gene:itb06g04810 transcript:itb06g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNAMLRNLRSQSLFTVHKLQNPIAPSHLISSDFDQTLRLSVISRRPLSISETKVDTASENIDVSSGQKQNKKPLDIFFKEAVGILETRRGTEDIEENDDLRSKLRKLEEEVRVLQEKRKKEIEEKNKQRERETEEIKKAIRADEDTVNDSKPKSLHGLFANELTKKGKSLKTSQASMEEDLMVGKGLSPDMVMLVTHLYEEGYFKDANFLRKNRFDITCFEDSYARHYIKYAAEEFANDNQGVAKWLSASDLKKVALFGCPSLVKKHVYSAKMLRNFFRIQEDTVCGKCALRDSCKFVNQHMWNGNAKTLQLHHVMRTITLYGLESVPTELVVPEDIKKSVGQLLKEVVKLSKVC >itb05g24420.t2 pep chromosome:ASM357664v1:5:29222467:29226973:-1 gene:itb05g24420 transcript:itb05g24420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWENLGDLATVAQLTGIDAMKLIGMIVKAASTAKMHKKNCRQFAQHLKLIGNLLEQLKITELKKYPETREPLELLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLKIIPLITLVDNTRVRERLEIIEMDQREYTLDDEDRKVQEVIMKPEPSTDDTVVLKKTLSCTYPTVPINEAIRKENEKLQLELQRSQANLDVNQCEFIQHLLDVTEVVAATSLPDKSLPAKPLKVEHGYSDADSDKQNYDQSYGNNDEKWSTSRNTSSVSSKHDLISSKGSHRYEEWHSDLLGCCSEPLLCIKTLLFPCGTFSRIATAATNRHISSAEACNELMAYSLILSCCCYTCCIRRKLRKKLSIPVSSFLHHEYHILYSHLQLKPGYPTSGTRIHQHKSL >itb05g24420.t1 pep chromosome:ASM357664v1:5:29222467:29226973:-1 gene:itb05g24420 transcript:itb05g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWENLGDLATVAQLTGIDAMKLIGMIVKAASTAKMHKKNCRQFAQHLKLIGNLLEQLKITELKKYPETREPLELLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLKIIPLITLVDNTRVRERLEIIEMDQREYTLDDEDRKVQEVIMKPEPSTDDTVVLKKTLSCTYPTVPINEAIRKENEKLQLELQRSQANLDVNQCEFIQHLLDVTEVVAATSLPDKSLPAKPLKVEHGYSDADSDKQNYDQSYGNNDEKWSTSRNTSSVSSKHDLISSKGSHRYEEWHSDLLGCCSEPLLCIKTLLFPCGTFSRIATAATNRHISSAEACNELMAYSLILSCCCYTCCIRRKLRKKLSIPGGFIDDFLSHLMCCCCALVQEWREIEIRGIDGTHKTKTSPPSTQFMES >itb11g04110.t1 pep chromosome:ASM357664v1:11:2185857:2186852:1 gene:itb11g04110 transcript:itb11g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIPYKTWLTVPMRSNPLLKTANSLPLTMSKTAASSVYSDGSVEDLRNMVAENAVIVFGRRGCCMIHVVKRLLQGLGANPAIYEADEGSEDDVVNELEAISAFDRKDGRLQFPTVFIGGRLFGGLDRVMGAHITGELTPILKDAGALWL >itb01g32080.t1 pep chromosome:ASM357664v1:1:35668286:35673825:-1 gene:itb01g32080 transcript:itb01g32080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VC2 [Source:Projected from Arabidopsis thaliana (AT4G24510) UniProtKB/TrEMBL;Acc:A0A178V1H6] MESSDVANLVYDHRLSSVVPATITGEDKVLNLTNADLAMKLHYLRGVYLFRSEAVDGLNTGDLKSPMFRLLADFYPAAGRIRRSPEDGRPFVKCNDSGVRIVEAKCSKTIDEWLDMSDQSEYEHLLHHDQVLGPDLGFSPLVFIQFTWFKCGGLSIGISWAHVLGDAFSLSDFVNMYGPAIAGRRDLPPKHPDMPTADNHNCPKPRTQKLFPFSLGTVGPVGDHWKLTNTCKMATQSYHITEKQLNALIFKVSGLKQSAKVKAFEAISALFWKSLAKVKEGSGLETVTIVKNDFHSRGNGQVISAVKAEMRVSEAEVLELANLIGEKAVDETGIIDETMEEENGKSADFFVYGANLTFVNLEEVNIYGLEMKGQKPIFANCTIGGVGDEGAVLVLPGPENLKGKEGGRIVNVILPEHLLGKLKNEISKELGTSPN >itb05g27830.t6 pep chromosome:ASM357664v1:5:31012716:31016207:1 gene:itb05g27830 transcript:itb05g27830.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGPWINEMLVQDL >itb05g27830.t3 pep chromosome:ASM357664v1:5:31012720:31016581:1 gene:itb05g27830 transcript:itb05g27830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGSMKTPETRRRKNNSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKDCENHIQSLDSPCASVRMEIGWPPGVPFAHPHDLPNKAKIGFLETYEPGWSATHDMDLSLLETGEPSQHTPPN >itb05g27830.t8 pep chromosome:ASM357664v1:5:31012716:31016207:1 gene:itb05g27830 transcript:itb05g27830.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGSMKTPETRRRKNNSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKDCENHIQSLDSPCASVRMEIGWPPGVPFAHPHDLPNKAKIGFLETYEPGWSATHDMDLSLLETGEPSQHTPPNCNCDSLILCS >itb05g27830.t5 pep chromosome:ASM357664v1:5:31012716:31016207:1 gene:itb05g27830 transcript:itb05g27830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGPWINEMLVQDL >itb05g27830.t1 pep chromosome:ASM357664v1:5:31012720:31016581:1 gene:itb05g27830 transcript:itb05g27830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGPWINEMLVQDL >itb05g27830.t7 pep chromosome:ASM357664v1:5:31012716:31016207:1 gene:itb05g27830 transcript:itb05g27830.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGSMKTPETRRRKNNSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKDCENHIQSLDSPCASVRMEIGWPPGVPFAHPHDLPNKAKIGFLETYEPGWSATHDMDLSLLETGEPSQHTPPNCNCDSLILCS >itb05g27830.t2 pep chromosome:ASM357664v1:5:31012720:31016581:1 gene:itb05g27830 transcript:itb05g27830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGSMKTPETRRRKNNSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKDCENHIQSLDSPCASVRMEIGWPPGVPFAHPHDLPNKAKIGFLETYEPGWSATHDMDLSLLETGEPSQHTPPN >itb05g27830.t4 pep chromosome:ASM357664v1:5:31012720:31016581:1 gene:itb05g27830 transcript:itb05g27830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDLPTLGRIKLVDLIPSEGLPSDSYKLSVTTLSQSLAHYSAAIIQLPTSDGALLRSCLESARLYFHQKPSYPSTDVIHPDDSREWCKTSGYRADPQLWQETYDFRPGLTPIEPSDGVEFPPAGLTDVFALLGKAARYVLDAISYYLNLRSSPFTEILDNVPLRNREISSSVLSVSCHARPSFQSAQHHNLTTQEDGQLVMFSDPEHQVDRSLLTFVKTDKPGLHVRDFQGHWVLVDGDLGPQEAIITTGLALYQATAGNISTAVYRTDIGNLQGHMYGRCSLAFKLMPKSMTSLNCSEMRAAGYGVEAQFQLPVPVDDFMQISTDQLLNRNNFPAFNFPTAQDGPWINEMLVQDL >itb04g00340.t1 pep chromosome:ASM357664v1:4:224398:226423:-1 gene:itb04g00340 transcript:itb04g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQTQQTPHVVIVPTPGMGHLIPLAEFAKRLVLQHQFSVTFVLPTDGPISTAQKTVLENLPAGTHYTCLPPVSFDDLGEDVKIETRISLQITRSLPAFRDAFKSLVARTKTVALVVDLFGTDAFDVAIEFKVSPYIFFPSTGMCLSLFLHMSELDQKVSGEYRDMTEPVQIPGCVPIQGKDLLAPVQDRTDEAYKWVLHHTKRYRMAEGIVANTFMELEPGAVKYLQESEPGKPTVYPVGPLIKMEGSGTGKLNGTSPCPILEWLDQQPGGSVLYISFGSGGTHTHKQLIEIASGLEKSEQRFIWVVRCPNDLISNATYFSVQSSSNPLDFMPPGFLDRTKGLGLVVPDWAPQTQILSHESVGGFLTHCGWNSILESVVHGVPLIAWPMYAEQRMNAVIVTEDIKVALRPKAGEDGLVGELEIAEAAKALIQGEQGKEVRKRMKDLKDAAHRVLSPDGSSTKALADLASKLKAKIA >itb04g00340.t2 pep chromosome:ASM357664v1:4:224398:226423:-1 gene:itb04g00340 transcript:itb04g00340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQTQQTPHVVIVPTPGMGHLIPLAEFAKRLVLQHQFSVTFVLPTDGPISTAQKTVLENLPAGTHYTCLPPVSFDDLGEDVKIETRISLQITRSLPAFRDAFKSLVARTKTVALVVDLFGTDAFDVAIEFKVSPYIFFPSTGMCLSLFLHMSELDQKVSGEYRDMTEPVQIPGCVPIQGKDLLAPVQDRTDEAYKWVLHHTKRYRMAEGIVANTFMELEPGAVKYLQESEPGKPTVYPVGPLIKMEGSGTGKLNGTSPCPILEWLDQQPGGSVLYISFGSGGTHTHKQLIEIASGLEKSEQRFIWVVRCPNDLISNATYFSVQSSSNPLDFMPPGFLDRTKGLGLVVPDWAPQTQILSHESVGGFLTHCGWNSILESVVHGVPLIAWPMYAEQRMNAVIVTEDIKVALRPKAGEDGLVGELEIAEAAKALIQGEQGKEVRKRMKDLKDAAHRVLSPDGSSTKALADLASKLKAKIA >itb13g24340.t1 pep chromosome:ASM357664v1:13:30019684:30023878:-1 gene:itb13g24340 transcript:itb13g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MEIPQRFSLLFIFFFSISALGGLSAYNNEQDSTIKTMEEFSGYPIHEPHFLNSVSSLSVDSHSLQKQIDELSTFSDSPAPSVTRVLYSEKDVVARRYVKDLMSLSGLSVREDAVGNIFGRWNGYEPELAPVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLNRSGFKPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSVELAELLKKTVDGQNISFADAAKSAGYGNALDFSSVFLKKGSYSAFIELHIEQGPLLEEEALPIGVVTAIAAPASIKVDFEGNGGHAGAALMPKRNDAGLAAAELYLAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEKRRNDVVEKIRQSALSISEKRRVKLSEFKIVNQDPPALSDESITRATESACQELNLSYKKMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEFSGVEDIANGVKVLALTLAKLSLS >itb03g20640.t1 pep chromosome:ASM357664v1:3:18515141:18517203:1 gene:itb03g20640 transcript:itb03g20640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEGFTVAGSPLEHARRVWPQHYSAFCAVTEDYQEQMMGLVEKIVALMFKSLGLSAGEDVEWFESNGPCKSAQTYLQLNSYPNCPDPTRTMGLAQHTDTSLITLLYQSGTSRGLQVYGPNLNWVDVEPISNAIVVNLGDLMQIFSNGQFKSVLHRAIVSKAHHRISVAYFFSPNKNVRNFTIT >itb13g06520.t1 pep chromosome:ASM357664v1:13:7793639:7796142:-1 gene:itb13g06520 transcript:itb13g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDFIKTLHDSLPAATFSYALALLGVLWFAWLFIFNKSGNNGLPPGPRPLPLVGNLLSLDPELHTYFAALSKVHGPIYTLWLGKKPGIIISSPAIAREVLKDQDATFANRDVPVVGREATYGGKDIVWTPYGPEWRMLRKVCVRDMLSNSTLDSVYDLRRREIRQTMKFFYDHGGRTVNIGEQMFLTILNVITGMMWGGTVKGKERESLASEFREIVAEMTELLGLPNVSDFYPGLAKFDLQGMQGKMKGVASKLDKIFQSVIDQRLKMDEKETKDFLQVLLQLKDEGDEKTPFTITHVKSLLMDMVVGGTDTTSNAIEFAMAELMNKPEVIHKLQEEVDAVVGKDNIVEESHIHQLSYLYAVMKETLRLHPTLPLLVPHCPTQTCLVGGYTVPKGSRVFVNVWAIHRDPSIWENPLEFRPERFLDSKWDFTGKDFNYFPFGSGKRICAGMASAERMFMYALASMIHSFDWKMAQGDKLELSEKFGIVLKKRIPLVAIPTPRLSRPILYE >itb11g15140.t1 pep chromosome:ASM357664v1:11:12514027:12514395:-1 gene:itb11g15140 transcript:itb11g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLLRRLLGEKLEKKLLCSRHRRGVVEIKVKNALRKHLHGEGVGGQELVDSWGTVNVSLRHGKGKDCALLKKMKKILMNIQIL >itb12g09480.t1 pep chromosome:ASM357664v1:12:7428837:7431742:1 gene:itb12g09480 transcript:itb12g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAIGPPKTVIKKIEKLLADFFWGTIDGKAKYHWSSRNNLAKPIKEGGVGLTSLSEVVEAAGMKLWWHFRAHKSLWGDFLRAKYCKRVHPVCKSWQYEDSQIWRRMVDCRERAEQQIGWRIGEGDVNLWWDDWGGEGAVGKLFEVEGKNQSKTTLKELWQNEEWNMDGLNAQIQHMLQGINLRPNVNDQAFWKLNKDENFSLASAKKKIRQQNTGGEEMFWYKQCWAKEVPWKMSFLAWRVFKKKIPADDILRRFGYQTVSRCSCCTQPGYVSLQHMFCTWRTATHVWEFRAKSLGFRIQIRGLRQVCYQWWKERPKNRMIRFLAQRLPTVILWELWTHYNQCKYGGETPSGARVIFKVTRGMVDCIMRKWPDWDPFPPNWSYILKRAEGFKCTKVVKMASWCKPAKGWVKINIAKREGGCSFFVRNAKGEFGLAGVYSGDGDLVGKVLGDRWGWCSKMGLRQIEVEMEERQEREVLYGLTVEWRRCEKKVNCIAHCLLERCLGQNIVFRKVTALPKGFLLMLSLEGFPHFCSIPGVDYVPAVDREQTRTWDPGGRR >itb15g02840.t2 pep chromosome:ASM357664v1:15:1808939:1810936:-1 gene:itb15g02840 transcript:itb15g02840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGCDGSLLLDNNGTTIVSEKDALPNTNSTRGFDVVDNIKTAVENACPGVVSCADILALASESSVSLAGGPSWNVLLGRRDGRTANQGGANTSLPSPFENLTNLTQKFTNVGLNVNDLVALSGAHTFGRAQCRTFSPRLFNFSNTGNPDPTLNTTYLATLQQICPQGGSGFTVTNLDPTTPDTFDNNYFSNLQTNRGLLQSDQELFSTSGAPTIAIVNNFSANQTAFFESFVQSMINMGNISPLTGSNGEIRSNCRRPN >itb15g02840.t1 pep chromosome:ASM357664v1:15:1808894:1810940:-1 gene:itb15g02840 transcript:itb15g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSPLLAMALAIFIFSSHSNAQLSSTFYSTTCPNVSSIVRTVIQQALQNDARIGGSLIRLHFHDCFVDGCDGSLLLDNNGTTIVSEKDALPNTNSTRGFDVVDNIKTAVENACPGVVSCADILALASESSVSLAGGPSWNVLLGRRDGRTANQGGANTSLPSPFENLTNLTQKFTNVGLNVNDLVALSGAHTFGRAQCRTFSPRLFNFSNTGNPDPTLNTTYLATLQQICPQGGSGFTVTNLDPTTPDTFDNNYFSNLQTNRGLLQSDQELFSTSGAPTIAIVNNFSANQTAFFESFVQSMINMGNISPLTGSNGEIRSNCRRPN >itb12g03160.t1 pep chromosome:ASM357664v1:12:2096520:2103978:-1 gene:itb12g03160 transcript:itb12g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEQNSSSSLQVFCIGTADTKLEELRFLSEAVRSNLSSFSSNSSTKVDVTVVDVSTSSEKDADSCGDFNFVSRKDVLSFYSGTGEGGKHSVLLPDDRGKAIAIMNKGLENFLNKVHSDKVLAGVVGLGGSGGTSLLSSAFRSLPIGIPKLIVSTVASGQTEHYVGASDLVLFPSVVDVCGINSVSRVVLSNAGAAFAGMVVARLLNSEESPTVDDKVTVGITMFGVTTPCVNAVKERLTKEGYETLVFHATGVGGRAMEDLVKGGFIQGVLDITTTEVADYLVGGVMACDSSRFDAILEKKVPLVLSVGALDMVNFGPKSSIPSDFQQRKIHVHNEQVSLMRTTADENKKFAAFIAEKLNKSSSKVCVCLPEKGISALDAPGKAFYDPEASGCLVNELQRLIQINEDRQVKIFPYHINDLEFANALVDSFLDICSNSKAVDTHQASYESIEEDNQTKLPSVESLHSCPSDFPDAKPETLQKTRMILQQLKYQISKRKPIIGAGAGTGISAKFEEAGGVDMIIVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKKVPVLAGVCATDPFRRMDYFLKLLESVGFFGVQNFPTVGLFDGNFRQNLEETGMGYGLEVEMIEKAHKMGLLTTPYAFNPNEALQMAKAGADVIVAHMGLTTSGSIGAKTALSLVESVLCVQAIADATHRINPDAIVLCHGGPISGPEEAEYVLKRTKGVHGFYGASSLERLPVEKAITATVQQYKSMSMG >itb05g21140.t1 pep chromosome:ASM357664v1:5:27047108:27048587:-1 gene:itb05g21140 transcript:itb05g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASYSVLLITAFFLFPIAFAATSGIFPAFKSIYQFGNSLSDTGNLLRWGTMFYPADKLPYGETYFRKATGRFSDGRLIVDFIAAAANLPYLDAYLNKNGSFAHGVNFAVAGATANEREFFDKRNISYDEFKPAILEQLEWFEKYINSTCRKDCAEKFGNSLFIFGEYGGNDYFPAFQQGKSMREVMSYVPHTVAAIIHGVKRVIQHGAKRILVPGPYPFGCLPSQLTNSGTGNDTDYDQFGCLKKYNDFAAYHNRFLQVALSKLNRQYASKGVVIVYGDFYGGFMNIFHNANELGFNHESGSLVKACCGGGGTYNFNKEKPCGKEGSSVCTNPKAAVHWDGVHLTDASHRHISQYVINQVASKLAL >itb10g15130.t1 pep chromosome:ASM357664v1:10:21423879:21429807:1 gene:itb10g15130 transcript:itb10g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSIDIATTFLGIAKRQRAPIRGLRATLLPQLWRTDGARSRFFLTTSASSVRSGIISAATLSYSPKSRRFSRRFTASAATTSTPQSEDSDVLTKIPPDNRIPATIITGFLGSGKTTLLNHILTANHGKRIAIIENEFGEVDIDGSLVAAKTAGAEDIMMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGLHLDEVKPEGVVNEAVEQIAYADRIIVNKTDLVGEADVSSLIDRIRRINQMAHMKKTQYGKVDLDYVLGIGGFDLERIESAVDTESSKEDHDHHHDHEHDHHHDHGHDHHHDHEHHDHHHSHDHTHDPGVSSVSIVCEGILDLEKANIWLGTLLMERSEDIYRMKGLLSVQGMDERFVFQGVHDIFQGSPDRPWLPDEPRINKVVFIGKNLDSKELEQGFKACLL >itb06g22910.t1 pep chromosome:ASM357664v1:6:25078028:25084131:-1 gene:itb06g22910 transcript:itb06g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MSGGSSFRQSKQSRGRSVFPHPLQFGAILLILLPNFFPSLFSPLGRSYLSLFSEWIAPRPMHLHLLNGALQHPSSAEQESDLWSPLPNQGRKPCAAQNNPSLPKKSLGYIQVFLDGGLNQQRMGICDAVAVAKILNATLIIPYLDINAVWQDSSSFEDIFDIDHFIQTLRDDVSIIKELPSDFSWSTRGYYATGIRETRIKTAPVHASATWYLENVLPVMQSHGIVAVAPFSHRLTFDGLPSDIQHLRCKVNFQALVYVPHIRTLGDLIVSRLRSSPSMDGTSTSMYQRGNDKPGVGKYVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVVKSQFTDEELRNEGRCPLTPEEIGLLLTALGFNNSTRLYLASYKVYGGEARISTLRDLFPLMEDKRSLASPEELAGVEGKASLLAAVDYHVSMQSDIFISASPGNMHNALVGHRAFKNLKTIRPNLRLLGKLFVNESMEWSEFRQAVLHGHRNRQGQLQIRKTNQSIYTYPAPDCMCPA >itb10g24480.t2 pep chromosome:ASM357664v1:10:28281950:28285302:1 gene:itb10g24480 transcript:itb10g24480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLCFFSKDTLIIKPSKRSPLLLRMLVLIFVMVCGVYICSVCLKQTGTRATGGLLNIGVVEKPCEPPKIEPSEKPYVHFPKPKTFSRAECACNPVRYFAILSTQRSGSGWFETLLNNHTNISSNGEIFSVKPRRSNISTIVDTLDKIYNLDWLTSASKNECTAAVGLKWMLNQGLMQHHEEIVEYFKHKGVSAIFLFRRNLLRRMVSILANSYDQRAKLLNGTHKSHVHSPFEAEILASYKPTVDTKILVPNLKQVDDMVAKSLEYFKSTRHIILYYEDIIQNKTVRNQSFSLARPCALLFETVGVLSVSINQTHSFFPHHLTCRN >itb10g24480.t1 pep chromosome:ASM357664v1:10:28281863:28285302:1 gene:itb10g24480 transcript:itb10g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLCFFSKDTLIIKPSKRSPLLLRMLVLIFVMVCGVYICSVCLKQTGTRATGGLLNIGVVEKPCEPPKIEPSEKPYVHFPKPKTFSRAECACNPVRYFAILSTQRSGSGWFETLLNNHTNISSNGEIFSVKPRRSNISTIVDTLDKIYNLDWLTSASKNECTAAVGLKWMLNQGLMQHHEEIVEYFKHKGVSAIFLFRRNLLRRMVSILANSYDQRAKLLNGTHKSHVHSPFEAEILASYKPTVDTKILVPNLKQVDDMVAKSLEYFKSTRHIILYYEDIIQNKTKLIDVQDFLHVPHKELRSRQVKIHKGPLSLQVENWGEVEKTLKGTPYESFLQADYAI >itb07g22100.t1 pep chromosome:ASM357664v1:7:26566375:26571926:-1 gene:itb07g22100 transcript:itb07g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHLLSFTRRSRTLAPSCLSQSTRSASQSLAQASEAPAPASPPPPEFMIYDRLAESVKSKLKRLGRPDLRFLKYNSPHPVETNHAPILSAPETKVTTLPNGLRVATESNLAAKTATVGVWIDAGSRFETDENNGVAHFLEHMIFKGTDKRTQRTLEEEIENMGGHLNAYTSREMTTYYAKVQSKDVPQALDLLSDILQNSKFEQGNIDRERNVILREMEEVEGHPEEVIFDQLHATAFQYTPLGRTILGPVENINKITKEDIQDYISTHYAPHRMVVSAAGAIKHEDVVEQVKKLFTKLSANPVTTSKLVAKEPAIFTGSEVRIIDDDLPLAQFAVAFSGASWTDPDSTALMVIQQMLGSWNKYCGGGKHNGSELVQRVAINEIAESVMAFNTNYKDTGLFGVYAEAKPDCLDDLAYAIMYDISKLCYRVSEADVIRARNQLKSSLMLHIDGPSPAAEDIGRQLITYGRRMPYAELFARIDAVDANTIKRVANRFIFDRDVAISARGPIQGLPDYNWFRRRTYWLRY >itb11g10300.t1 pep chromosome:ASM357664v1:11:7082153:7085054:1 gene:itb11g10300 transcript:itb11g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGTGQEKLGLGGWARPRQVQEAHMPPPAVGGAGGASGVRWQCPAKARPAHRVRGRAAARHPLCAPCRAPRAADGCEVWGCGMRCGARGPRGARSGVGSLKRTRGRLGWGWLDCITARLFRIDLIDLSIMSLKANARTDVRWSRYKVAVDAEDDRRRREENMVEIRRNKREENLHKKRRYLV >itb01g28570.t1 pep chromosome:ASM357664v1:1:32983823:32984125:-1 gene:itb01g28570 transcript:itb01g28570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAIKNGWRDSCGGLSKLTVEKMILPALNEKLGCQRSYAQYQSRLKWFKNRFNNFSELMRHSSGFGWDPIKKRFTASDEVWEDYLKVRLLYPLINIDAA >itb14g00910.t1 pep chromosome:ASM357664v1:14:664782:665554:1 gene:itb14g00910 transcript:itb14g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLASPAVLFSYSKSAIAIIENPVFPERTKHIEIDCHLIREKLQKGVLKLLHVSFHNQLANIFTKPHPYSSIPFKSLSPSWVSIAYILQLAGLSKFARCQLSSTQLFLTV >itb06g24510.t1 pep chromosome:ASM357664v1:6:26029492:26032230:-1 gene:itb06g24510 transcript:itb06g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSASVPATPLLKDELDIVIPTIRNLDFLEQWRPFFQPYHLIIVQDGDPSKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTIFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQAVTLPKDCTTVQACYLELAKQVKAKLGKIDDYFNKLADAMVTWIQAWDELNPSGDATKLPNGSSK >itb10g19980.t1 pep chromosome:ASM357664v1:10:25636823:25642669:-1 gene:itb10g19980 transcript:itb10g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MKFKSKMHSLRFLSIFLALCFAFKLTFSSPGPYVMRISCGARENVHTKPTDTLWYKDFAYTGGIPTNGSRPTFISPPLTTLRNFPSSEGPENCYNIKRVPHGHYSVRIYFGLLEDPSFDSEPLFDVSVEGTLIYTLPSGWSIHDDEGAFVEALVFLEDGTASLCFHSTGHGDPAILAIEILQVDNRAYYFGTGYGQGTILRTHKRLSCGTKDSKFDVDYNGDRWGGDRFWTSIHTFGQGSDNSISTQKSIKQASIRPNVYPEALYKSAIVSTDEQPDLTYSVDVEPNQNYSIWLHFAEIDSSITGEGQRVLDILINGDVVFPGVDIVKMAGGINSALVLNKTVAVNGRTLTITLHPTKGTSAIINAIEIFEVLVAESKTVPEEVMALQTLKGALGLPLRIGWNGDPCVPQQHPWSGTDCLYDKTSNKWVIDGLGLDNQGLRGFLPNEISHLRHLQSINLSGNSIKGPIPSALGLVTTLEKLDLSYNFFNGSIPESLGQLTSLRILNLNGNSLSGRVPVALGGRLLHRASFNFTDNAGLCGIPGLRTCGPHLSVGAKVGIGFGVLVALLLVATCLTCWWKRRQNILRAQRIAARDAPYAKARTHFSRDVQMARHHGHENSQNTRTAAENGPPLLT >itb02g09460.t1 pep chromosome:ASM357664v1:2:6039554:6046344:1 gene:itb02g09460 transcript:itb02g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTSLVNLGSVCSCSLGQFEGSSGLVGSISFAKNFRSFRRIWVGKRWPYVSVCRFSVTADFIADQGTSISLDSSPRSSEDDANADLLLKPAPKPQLKSGPKSVLSGTSSAAWNGAKPSSDSDDESSSDGEDKSKVIESLGEALEKVEKLETKKRMNAAIKKPVAGANVEAKNGKPINSVAIPQQNSSTSKSVWRKGNPVPTVQNAVKQPPKQELKTSSTEKTDSQPAAPLRPPQPPQKVEPKLQARPSVAPPPPPVAPPPVVKKAVILKDVGAAPKPPATGAPAKAKERQPILVDKFASKKPVADPLVSKAVLAPAKPAKGPAKPGKSAPSRFKDEFRKKSGASGGLRRRMVGDEIHDEEASELNVSISGGATARKGRKWTKASRKAARLQAAKEAAPVKVEILEVDEDGMLIEDLAYNLAISEGEILGYFYTKGIKPDGVQTLSKDMVKMICKEYEVEVIDADPVRVEEMAKKREILDEDDLDKLEDRPPVLTIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYQVKVLTDGKPRTCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTSEAIAHAQAAGVPIVIAINKIDKDGANPDRVMQELSSIGLMPEDWGGDVPIVKISALKGENIDELLETTMLVAELQELKANPHRNAKGTVIEAGLDKSKGPVATFIVLNGTLKTGDIVVCGEAYGKVRALFDDSGKRVNEAGPSLPVQVIGLSNVPYAGDEFEVVSSLDIAREKAEERAESLRNERISAKAGDGKVTLSSFASAVSSGKLTGLDLHQLNIILKVDVQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSTSDVDLAVASKAIIFGFNVKAPGSVKSYADNKSVEIRLYKVIYELIDDVRNAMEGLLESVEEQVPIGTAEVRAVFSSGSGRVAGCIITEGKVVKECGIRVIRKGKEVHVGVLESLRRVKEIVKEVNVGLECGIGLEDFDDWEVGDTIEAFNAVQKRRTLEEASSSMAAALEAAGIDL >itb11g21250.t2 pep chromosome:ASM357664v1:11:22745779:22751935:1 gene:itb11g21250 transcript:itb11g21250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEHYGNSRASSSSSISSASLNSNSLGTEDDHTIAKILAEEENALQHMGKLGKRLSHLDSIPHTPRVIGEIPDTNDATLDHSRLSGRLATYGLTEIQIQGDGNCQFRALSDQLYRNQDYHKYVRKQVVKQLKHYRKLYEGYVPMKYKSYLKKMKRTGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEILPKDTQPSKEFWLSFWSEVHYNSLYETGEVQTRIQRKKHWLF >itb11g21250.t4 pep chromosome:ASM357664v1:11:22745825:22751935:1 gene:itb11g21250 transcript:itb11g21250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEHYGNSRASSSSSISSASLNSNSLGTEDDHTIAKILAEEENALQHMGKLGKRLSHLDSIPHTPRVIGEIPDTNDATLDHSRLSGRLATYGLTEIQIQGDGNCQFRALSDQLYRNQDYHKYVRKQVVKQLKHYRKLYEGYVPMKYKSYLKKMKRTGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEILPKDTQPSKEFWLSFWSEVHYNSLYETGEVQTRIQRKKHWLF >itb11g21250.t1 pep chromosome:ASM357664v1:11:22745779:22751935:1 gene:itb11g21250 transcript:itb11g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEHYGNSRASSSSSISSASLNSNSLGTEDDHTIAKILAEEENALQHMGKLGKRLSHLDSIPHTPRVIGEIPDTNDATLDHSRLSGRLATYGLTEIQIQGDGNCQFRALSDQLYRNQDYHKYVRKQVVKQLKHYRKLYEGYVPMKYKSYLKKMKRTGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEILPKDTQPSKEFWLSFWSEVHYNSLYETGEVQTRIQRKKHWLF >itb11g21250.t3 pep chromosome:ASM357664v1:11:22745929:22751904:1 gene:itb11g21250 transcript:itb11g21250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEHYGNSRASSSSSISSASLNSNSLGTEDDHTIAKILAEEENALQHMGKLGKRLSHLDSIPHTPRVIGEIPDTNDATLDHSRLSGRLATYGLTEIQIQGDGNCQFRALSDQLYRNQDYHKYVRKQVVKQLKHYRKLYEGYVPMKYKSYLKKMKRTGEWGDHVTLQAAADRFGAKICLVTSFRDTCYIEILPKDTQPSKEFWLSFWSEVHYNSLYETGEVQTRIQRKKHWLF >itb05g10580.t1 pep chromosome:ASM357664v1:5:16219832:16224217:-1 gene:itb05g10580 transcript:itb05g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGSATSLLPNKTLAPSTHQQPASPLYKHGFSLKPPARSVRPISAVHSADPSKSSSKPTPPAATSAAATAAAAPTASKSRAGEGKWAVDSWKSKKALQLPEYPDQVELESVLKTIESFPPIVFAGEARSLEEKLGEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSESFEEKDGVKLPSYRGDNVNGDAFDEKSRIPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRITQWNLDFTEHSEQGDRYRELAHRVDEALGFMNAAGLTMDHPIMKTTDFWTSHECLHLPYEQSLTRLDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPGDLVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAIRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVKAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIGSQTTLPL >itb08g12640.t1 pep chromosome:ASM357664v1:8:12863364:12864094:1 gene:itb08g12640 transcript:itb08g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGNIDQGNQNGLVDSPSSDDFVSPPMVLPPSAQPDPPLDDSDDGLGGEEVELFPPLKIRGKIKHLVSPIKRFNACQKNSVRAIGLGDILDLQVDDIPKSMGRWF >itb13g15700.t1 pep chromosome:ASM357664v1:13:22609359:22609826:-1 gene:itb13g15700 transcript:itb13g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRKFELTLISANELEDVRKLFKMEVYCKVRIGSNPRMEKRSPADRHGEINPAWNFSMEYTILEEMIQHPNTMFVIKLYCKRMMGDRYVGEVHAPLKDLFDYAYNSGGSALVSYPVRKGCADSQGFLRFSYRFGQRVSVEKLLLAETLAGWGMP >itb11g20460.t1 pep chromosome:ASM357664v1:11:21521329:21529910:1 gene:itb11g20460 transcript:itb11g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEWWKREMGTGRRAFVMVLVGICLLHGLGILLFTRGFLLTRTELSHYSQCSDIHHSPCFPPSSSSFPNQNEEETVKSSPHVHTQSQSCWTKQSVDRLVIVVLDALRFDFVAPSTFFQDRKPWMDKLPVLHKLATRPGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIIEDNLIYQMVHNEKRVLMMGDDTWVQLFPKHFNKSFPFPSFNVKDLHTVDNGCIEHIFPSLYKDDWDVLIAHFLGVDHAGHISGVDSTQMIEKLEQYNTVLEQIVDVLESQSGPGGLHENTMLLVMGDHGQTVNGDHGGGTDEEVETSLFAMSFKKPPFPSPLESDTSSCQLDLDGNRMCISSIQQLDFAVTVSALLGIPYPFGSIGRVNAELYSLAAGTWNSESFDGGSHQDQLEKWMQNYVNVLCINAWQVKNYIDVYSASSLIGFSDKDLSHIDDLYDLAQNMWSHTKDALVQCGNGNCSTSLPSLKEQINAYSNILASITTLARSKWTEFNLKMMGAGFAIMLLSLLLHIIIITKLDKQCGLHFPYCGNFRISFEVTFAYITVLIRAFSFLSNSFILEEGKVAGFLLATTGILQLRQAIAAKKMQSEALLFVFLVPFLRFGIELGQSKQAVNSLFLKTFPSWTLGVDKDTKFWIYAADLLPILALLFLAFIVYKSSYQGISKYIVAGTICSYLLIAVDWAMDTNLFGLSMMNGSSKENWIPRIVYLLGILQVSFLAIARCSGKQRTSDWERCNTKTLSMLFAWSSTIIILSGKQGPLVALVAVVEGWCILRLMGLEETVGSTLYSPPVTKWSLLAVCLFFCTGHWCTFDGLRYPAAFVGFDEFNLVRQAVLLTIDTFGFSHIVPIIGLPFLVACQCPLKKTNQKKQVFYSNLLQVYLIYGLTLATTTTLTILSVAIQRRHLMVWGLFAPKFVFDALGLLLTDFLICLASLYYFTN >itb04g07600.t1 pep chromosome:ASM357664v1:4:5197748:5199991:1 gene:itb04g07600 transcript:itb04g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNTSGIQEEEGAAYTKAPQQAEESLNDPPSANDHNVEKVTPVSRNEQDGVDKERVSQFSEVQLEAKDLAIKSSGVQIVDSIEEVGRDEESVEPKYGENSESSDQSFTHSGEVENVDANLDSKSDPLTHINGPVQLLDPESNPPSSNRENFAEMDDSCSDDDMNHCKKTEIEHTSAVVASGVDVEDVDEENNELMMEETASQPDRAIEEEDAAVSSRDKVENGLGNEGDKEGNLELNLDNLEEDVAKMNTLDCSDAASKPNEERMSLPQEIKMASENSFPVNQTEPIEQEVNEFMIESHNQEKEEGYSTKENSISCLGTESIEKQDLVSRPDIDAKEATEIVSGEEISIVHNHEEEKAGDDSIVQKLGDRNDCLTNETEDEEQTLKKDNDSCDDAAKELEFEGAGNSSELPDSDTIKQIFVTNEVRGIPRPEKEQPPGTISEHEIPADLSDEQCANGIISPLPVIRSKTLETTRRYSVDYSSEQPAGNQIETRRFPSFDFGIPFDARSSESDQTPLLRPDKPPTRRLSIDFQNTALQATYARDSLDYDTMGVEEKTIRVERSDPDAGPFLITLKKDENGNLTDKTSNSLLADMKEGNMMFKNIPPPANDQLALASPKGGNGKRKPLPSFFSTCICCTATIN >itb10g05240.t2 pep chromosome:ASM357664v1:10:5293371:5293781:1 gene:itb10g05240 transcript:itb10g05240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVYRISSTIAASVRRYLLTPIISEHKALDSLILSDGDGQGVLCIRVKPLSAATIPVELPALSTLFWCAPRLELLDGTVLKGATLVAIRPSEVPREDVFGSDGNWLASAFEEPYGTAARMLVKGRTFLEMNSF >itb10g05240.t1 pep chromosome:ASM357664v1:10:5293371:5293746:1 gene:itb10g05240 transcript:itb10g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVYRISSTIAASVRRYLLTPIISEHKALDSLILSDGDGQGVLCIRVKPLSAATIPVELPALSTLFWCAPRLELLDGTLRLLRSRMEQLLGCW >itb15g21120.t1 pep chromosome:ASM357664v1:15:23810071:23812353:-1 gene:itb15g21120 transcript:itb15g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATPKPSFSAAVSDRTSLSSKSPKILPRFTFRLPQNSGETTTPLLPSSSRRRRRSLISSVLPSSSSSAIPKAPPKEKFVSRFAPDEPRKGCDVLVEALEREGVTDVFAYPGGASLEIHQALTRSKIIRNVLPRHEQGGVFAAEGYARATGFPGVCIATSGPGATNLVSGLADALLDSCPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLNVEDIPRIVREAFFLAKSGRPGPVLIDVPKDIQQQLVVPEWDQPMRLPGYLSRLPKPPNEMLLEQIIRLISESKKPVLYVGGGSLHSSEELRRFVELTGIPVASTLMGLGSYPCSDELSLQMLGMHGTVHANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCGDLKLALQGINSVLEERMSGKLKLDFSGWIQEVLEQKARFPLSYKTFGDAIPPQYAIQVLDELTNGNAIISTGVGQHQMWAAQFYKYQRPRQWLTSGGLGAMGFGLPAAIGAAVGRPDAVVVDIDGDGSFTMNVQELATIRVENLPVKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGNPAKETEIFPDMLKFAEACDIPGARVTKKSDLRDAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRSTY >itb10g18530.t1 pep chromosome:ASM357664v1:10:24496058:24496474:-1 gene:itb10g18530 transcript:itb10g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVTILLLLLGFCCLVRSQKASIDGFEASQCNGQEYPSNSNFETSLISVLAKLQILTPGTQNFNRYENSPNGAVYGHAVCNYQAMATDCIACLHAAADRLLELCPRRIGGRILFGFATICYTRYEAYSFTDPFEVIS >itb08g09310.t3 pep chromosome:ASM357664v1:8:8550331:8566656:1 gene:itb08g09310 transcript:itb08g09310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDTSSQAIDYKYFRQITRDRLLCEMLRSAKSKDSKATWKVLIMDKLTVKIMSYACKMADITDEGVSLVEDIHRRRQPLPTMDAVYFIQPTKEKAFVFFSSPIAKELVDHIKKDTTVLSRIGGLREMNLEYFAIDSQGFVTDSERALEELFGDDEGSSKGDACLNLMATRIATVLASLREFPSVRYRAAPLDPTTMTTFRDLIPTKLAAGVWNCLMKYKTTIPNFPQTETCDLLIVDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKVGGLPEKKDVLLEDHDPIWLEIRHAHIADASERLHEKMTTFVSKNKAAQIHQSSRDGELSTRDLQKMVQALPQYSEQIEKLSLHVDIAGKLNRTIREMGLKELGELEQNLVFGDAGTKDVINFLKMKQDITRENKLRLLMIYAAVHPGKFDDDKVAKLMEVAKLPQDDMSAVYNMRLLEGSSENKKSSSGSFSLKFDVHKKKHAARKERHDEQATWQLSRFYPMIEVLVENLSKGDLPKNDFPCMNNPSPSFHGTSRSVSMTHDIPTPHSMRSRRSATWARARSSDDGYSSDSILRCASSDFKRMGRRIFVFIVGGATRSELRACHKLTTKLQREIVLGSSSLDDPPQFITKLKLLTANELSLDDLEI >itb08g09310.t2 pep chromosome:ASM357664v1:8:8550331:8566656:1 gene:itb08g09310 transcript:itb08g09310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEMQPKGLKVVLIMDKLTVKIMSYACKMADITDEGVSLVEDIHRRRQPLPTMDAVYFIQPTKENVVMFLSDMAGKSPLYRKAFVFFSSPIAKELVDHIKKDTTVLSRIGGLREMNLEYFAIDSQGFVTDSERALEELFGDDEGSSKGDACLNLMATRIATVLASLREFPSVRYRAAPLDPTTMTTFRDLIPTKLAAGVWNCLMKYKTTIPNFPQTETCDLLIVDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKVGGLPEKKDVLLEDHDPIWLEIRHAHIADASERLHEKMTTFVSKNKAAQIHQSSRDGELSTRDLQKMVQALPQYSEQIEKLSLHVDIAGKLNRTIREMGLKELGELEQNLVFGDAGTKDVINFLKMKQDITRENKLRLLMIYAAVHPGKFDDDKVAKLMEVAKLPQDDMSAVYNMRLLEGSSENKKSSSGSFSLKFDVHKKKHAARKERHDEQATWQLSRFYPMIEVLVENLSKGDLPKNDFPCMNNPSPSFHGTSRSVSMTHDIPTPHSMRSRRSATWARARSSDDGYSSDSILRCASSDFKRMGRRIFVFIVGGATRSELRACHKLTTKLQREIVLGSSSLDDPPQFITKLKLLTANELSLDDLEI >itb08g09310.t1 pep chromosome:ASM357664v1:8:8550308:8566666:1 gene:itb08g09310 transcript:itb08g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDTSSQAIDYKYFRQITRDRLLCEMLRSAKSKDSKATWKVLIMDKLTVKIMSYACKMADITDEGVSLVEDIHRRRQPLPTMDAVYFIQPTKENVVMFLSDMAGKSPLYRKAFVFFSSPIAKELVDHIKKDTTVLSRIGGLREMNLEYFAIDSQGFVTDSERALEELFGDDEGSSKGDACLNLMATRIATVLASLREFPSVRYRAAPLDPTTMTTFRDLIPTKLAAGVWNCLMKYKTTIPNFPQTETCDLLIVDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKVGGLPEKKDVLLEDHDPIWLEIRHAHIADASERLHEKMTTFVSKNKAAQIHQSSRDGELSTRDLQKMVQALPQYSEQIEKLSLHVDIAGKLNRTIREMGLKELGELEQNLVFGDAGTKDVINFLKMKQDITRENKLRLLMIYAAVHPGKFDDDKVAKLMEVAKLPQDDMSAVYNMRLLEGSSENKKSSSGSFSLKFDVHKKKHAARKERHDEQATWQLSRFYPMIEVLVENLSKGDLPKNDFPCMNNPSPSFHGTSRSVSMTHDIPTPHSMRSRRSATWARARSSDDGYSSDSILRCASSDFKRMGRRIFVFIVGGATRSELRACHKLTTKLQREIVLGSSSLDDPPQFITKLKLLTANELSLDDLEI >itb08g09310.t4 pep chromosome:ASM357664v1:8:8557629:8566659:1 gene:itb08g09310 transcript:itb08g09310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEMQPKGLKVVLIMDKLTVKIMSYACKMADITDEGVSLVEDIHRRRQPLPTMDAVYFIQPTKENVVMFLSDMAGKSPLYRKAFVFFSSPIAKELVDHIKKDTTVLSRIGGLREMNLEYFAIDSQGFVTDSERALEELFGDDEGSSKGDACLNLMATRIATVLASLREFPSVRYRAAPLDPTTMTTFRDLIPTKLAAGVWNCLMKYKTTIPNFPQTETCDLLIVDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKVGGLPEKKDVLLEDHDPIWLEIRHAHIADASERLHEKMTTFVSKNKAAQIHQSSRDGELSTRDLQKMVQALPQYSEQIEKLSLHVDIAGKLNRTIREMGLKELGELEQNLVFGDAGTKDVINFLKMKQDITRENKLRLLMIYAAVHPGKFDDDKVAKLMEVAKLPQDDMSAVYNMRLLEGSSENKKSSSGSFSLKFDVHKKKHAARKERHDEQATWQLSRFYPMIEVLVENLSKGDLPKNDFPCMNNPSPSFHGTSRSVSMTHDIPTPHSMRSRRSATWARARSSDDGYSSDSILRCASSDFKRMGRRIFVFIVGGATRSELRACHKLTTKLQREIVLGSSSLDDPPQFITKLKLLTANELSLDDLEI >itb01g30170.t1 pep chromosome:ASM357664v1:1:34257557:34259366:-1 gene:itb01g30170 transcript:itb01g30170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALSYMHHGSFPTIVHRDISSKNILFDHEYEAHISDFGTARLLNXTLGIGEMSLLTELNLAANRFIGFIPEGLGSCQRLSVLNLNQNRFEGRIPYDIGSLKFLQTLDLSRNMLTGKLPQQFGGLIYLQSLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGMLPNNKAFQNATFESLRNNKGLCSNIAGLKPCSSGSPKKKTEQGHNTKTLVLIIVIPIGFVGVVAMVVVIWLIPLRRFIKEMPRASRENLFTVLNFDGNIAYESIVEATDNFDSRYCIGVGRSGSVFRAELSNGGSLVQVLSHDEKSIELDWIKRVNVAKAMAKALSYMHHGSFPTIVHRDISSKNILFDHEYEAHISDFGTARLLNSNSSNWTSFAGTFGYTAPEFAYTMEVNEKCDVFSFGVVVLEVIMGRHPGELVSSISSSSLSENQNVFLKEVLDPRLSSPGKHEAEELVLIAKIAFACLNFNPGSRPTMFQVSALLSKKMQPSNLFPHITLSQLFGLEFPTV >itb14g07020.t1 pep chromosome:ASM357664v1:14:6367389:6371508:-1 gene:itb14g07020 transcript:itb14g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTTMQSNPSSKSSMRCPHCAGPLSNEMETSEWTVPPLIRDSFSMIGTAVGGTTSAFYGFNHVMPVVRRWIKGPMWLHFLVGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAISSSALQPSSSQDEKINKSRPSSTLKH >itb12g24130.t1 pep chromosome:ASM357664v1:12:25765310:25770028:-1 gene:itb12g24130 transcript:itb12g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITPPPPGQMPGQTDDFCRADIYIHSRGFWDNDPFDPRPFLSYSLPLLLVQLCIIFVLTQFLHLLMKRALMPRVVSELLAGIILGPTVLGQIPMFTQTFFPAEGKTYLDLLSKIGFIYATFMCGVKMDPKMVLKCGRKAFTIGVTTVMVPLALFSKYSKVLDLSGIHRYRRLSVKAIFSIQFLSSFPVTAALLVDLKIINSELGRLALATALVGDLVSNGMSTVFSIMRIASMTSMPILSLHSLVLTTAFVVVTFIVVRPLSARVIKMTPEGRPVDVSFVIFMTFFVLFTVMVTDNVGISYQYGPFLLGLVVPDGPPLGSTLVDKLDTIISGLFAPLFLTYCGLKVNLVILYDLEFAAVIWVTIALSFLSKYFTVLLTSLACNVPPKDAASLAFMMNTQGFIQMSFYFNNVVNQTFDGETFSMLTSSVIVTAAASYIFVGFLHDYSKIYAGYRKRNIENSSLTSELRILSCAYRPDDVLAAKKLLDASFPCKESPISVYALHLVEQVGQATPLLIDHQLGQKHSSQVSNARSQKILDMFQSFEMQHSELATVQFFTAISMLKFMHHDICSLAFDKLTFFIMLPFHRRWNQQGRMISDSTTLRTINCNVLDMAPCSVGILIDRHKIRKKMPGGPSAYQVAVIFIGGSDDREALAYGRRMSMSPEVQFTLMRLVPLNPDMAENQWDTVLDAEMLKSVRVLEQQRDNIVYREERVTDGAESALILHAMEEAFDLILVGRRHKDITPQLSGLTEWNDLPELGPLGDMLAAADINFPVSVLLAHFSLAQIRTTIRNDISDFPPSTFISAQIEPRLRSSMAGNFFRRWQTAGPSSSYVLQTGKSEAISLQISPLLCSQVLQSLSPCSFPLYFHLYMHHHYIFFFSAVHRSREEKIINHDPHSPCSAAALTAKPGPHSGLLPGTDPPPLSRNMGGQDGYQSVPFLSLFPASYAWTASCHVLPRPGPAFVAQALPLAPIRL >itb14g18360.t1 pep chromosome:ASM357664v1:14:21418289:21421878:-1 gene:itb14g18360 transcript:itb14g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPSKLIPSFFFFLQLYSSQFLKEVNSQSTDSCNSNLLLKTQLPFEPTSFHCLPVWETHGFILRYMQTDTNVWSFVLSAPNQNGYVGMGFSANGKMVGSSAVVGWVGSDGTPRMKKYFLGGQSPGEVQPDEGSLQLVSLNSSIVAENSRIYIAFQITTDMPSNRLIYSVGPAGQLPSSSTFRLTQHQDQISTLLDYNTGQSETKTLYATLRRTHGLLNLFGWGILVPIGMIVARYFRQWDPVWFYSHTVVQTIAFILGFAGVICGLVLENRLGTDVDTHKGLGIFILALGCLQVIALLVRPNKEAKVRKYWNWYHHNVGRILVIIAIANIFYGIHLGDAGTAWNAGFAIALVVLFFIAAILELRMWTGKD >itb09g25480.t2 pep chromosome:ASM357664v1:9:25523069:25532432:-1 gene:itb09g25480 transcript:itb09g25480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTEEEKLTRLENQVDNGGGGAWDYLCLVRKLKLRRSDKVLKHGLSLLNDSKTRSGLGAEEWTLYEQVAIAAMDCQCLDVAKDCIKVLQKKFPGSKRVGRLEAMLLEAKGLWAEAGKAYSSLLEENPSDQVVYKRRVAMAKAQGDMLGAIEWLNKYLEIFMADHDAWRELAEVYTSLSMYKQAAFCYEELILSHPMVPLYHLAYADVDKKIFRQPRNIMHQL >itb09g25480.t1 pep chromosome:ASM357664v1:9:25523069:25532432:-1 gene:itb09g25480 transcript:itb09g25480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTEEEKLTRLENQVDNGGGGAWDYLCLVRKLKLRRSDKVLKHGLSLLNDSKTRSGLGAEEWTLYEQVAIAAMDCQCLDVAKDCIKVLQKKFPGSKRVGRLEAMLLEAKGLWAEAGKAYSSLLEENPSDQVVYKRRVAMAKAQGDMLGAIEWLNKYLEIFMADHDAWRELAEVYTSLSMYKQAAFCYEELILSHPMVPLYHLAYADILYTLGGQENLQTAKKYYASTIDLTGGKNTRALFGICLCTSAIGQLAKGRNKEDKESSELQSLSAMALEKDYKLRAPEKISLLASTLRSLKL >itb11g06540.t1 pep chromosome:ASM357664v1:11:3927378:3931434:-1 gene:itb11g06540 transcript:itb11g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARSSRRALATTLSAYLRRGQSQFRRPLATEAQAAIKESSPDRVKWDYRGQRKIIPLGQWAPKVAVDAYVAPSVVLAGQVTVWDGASVWNGAVLRGDLNKITIGFCSNVQERCVIHAAWSSPTGLPAETAIDRYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHAILEAGSVVPPGRRIPSGELWAGNPARFVRTLTHEETLEIPKLAVAINDLSNSYSSEFLPYSTVYLEVEKMKKSLGISI >itb15g04630.t1 pep chromosome:ASM357664v1:15:2958308:2960060:1 gene:itb15g04630 transcript:itb15g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFSPLLFPNMGWSIDDYISHEQFGTDLYSNAAADTSPHAPPPQQHFLSVSLPSSPLPPAMERCDSLKFDGGSTDIGSGGGGGGEAAAGMVKKLSHNASERDRRKKMNGLFSSLRSLLPPSDQKARMSIPTTVSRVVKYIPELQKEVERLQKKKQELTSRVNSWQKISASPPEFNKRRRVVGGHPHHRQSYLSATQVGERDIVIQICSKKGTKNVFADALSCLEQEGLVLLDSSTFQTSEDRVNFYTLHLQVQGIEVMEVDKLKEKLLSYFNEEQQLLP >itb15g04630.t2 pep chromosome:ASM357664v1:15:2958308:2959792:1 gene:itb15g04630 transcript:itb15g04630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFSPLLFPNMGWSIDDYISHEQFGTDLYSNAAADTSPHAPPPQQHFLSVSLPSSPLPPAMERCDSLKFDGGSTDIGSGGGGGGEAAAGMVKKLSHNASERDRRKKMNGLFSSLRSLLPPSDQKARMSIPTTVSRVVKYIPELQKEVERLQKKKQELTSRVNSWQKISASPPEFNKRRRVVGGHPHHRQSYLSATQVGERDIVIQICSKKGTKNVFADALSCLEQEGLVLLDSSTFQTSEDRVNFYTLHLQVYILHYSNLDSGQP >itb13g09960.t1 pep chromosome:ASM357664v1:13:14460187:14463744:-1 gene:itb13g09960 transcript:itb13g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDKRVGGGGGGGGSKAAAEMSHVTDRKKLNVALDKHLDRSSPSTSTTTTRGLAAKDPRLSMYKSKENHKASRSSAPDENKNSDVESETDSEESDISGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSLVPYYDYALDLILDVESSHGDMFTEEQNEIVESAAEMLYGLIHVRYILTTKGLAAMLEKYKNAEFGRCPRVYCCGQPCLPVGQSDIPRQSTVKIYCPRCEELYTPRSRYQDSIDGAYFGTTFPHLFLLTYGHLKPQKSSQSYVPRVFGFKVHKP >itb13g09960.t2 pep chromosome:ASM357664v1:13:14460187:14463744:-1 gene:itb13g09960 transcript:itb13g09960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDKRVGGGGGGGGSKAAAEMSHVTDRKKLNVALDKHLDRSSPSTSTTTTRGLAAKDPRLSMYKSKENHKASRSSAPDENKNSDVESETDSEESDISGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSLVPYYDYALDLILDVESSHEEQNEIVESAAEMLYGLIHVRYILTTKGLAAMLEKYKNAEFGRCPRVYCCGQPCLPVGQSDIPRQSTVKIYCPRCEELYTPRSRYQDSIDGAYFGTTFPHLFLLTYGHLKPQKSSQSYVPRVFGFKVHKP >itb03g03300.t1 pep chromosome:ASM357664v1:3:1890464:1891359:1 gene:itb03g03300 transcript:itb03g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MASTLLFRLSLPLNRPPLTTTKTTTFADRHRLLLPHPLKPITPKLSQIRSPPNPTKTAPITAFYTTTPATDRLISAVAYFLPFFNGLQYGRFLFAQFPALALPFEPILPLLALYRSIPFASFVTFFALYLGIVRNPSLSHYARFNALQALVLDILLVVPLLLQRILSPGHTGIGLKFTIWLYNGLFLFVVGCFLYGLVSSIVGKTPYFPLVTDAAKQQM >itb05g15530.t1 pep chromosome:ASM357664v1:5:22858825:22863122:-1 gene:itb05g15530 transcript:itb05g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGGMAASSLAVTERKPQRTGGYTGIFFQLFDWNRRFAKKKLFSKKLLSPVRSKQGSKKFGEDKKQAKHRLIADENSGGFPNVKNNMVCEKKPDMRSPGLVARLMGLESMPVLPRDRPKKVSLDGTGCGKGEKLGNDTDKSEGEERKFGKMGAKQEFRPRKFDNMGPSERQQVTRFGTEVLQIKSMLSQPRKNQAKLASPVVIPRNVSARNASWLAGAAARILEPGLQRSRTKTALPYTNTLHRPKQEVVSEEATDLAPDVSDCSMHSMGYSNTAKHSCKNCGNSLDIIDYRADREEEPSTIHSPVSNCTERHQVSKRSMPRGHMFSTQEQNGRQCPLYSAAVVMDVSYSTEPEFKKKPTNRGLTPGHLTSQGRKLPNNGSPLGLMHNTQKQNQLLPGRDIVPPRLQSRSLQSKRVPSTAKAINETTNFVAMNKSLSGQIRTIVPTRADIYNFETERRFHNRSDSLSTVQKRRLMNTREDGRFSLLKESSGGSNSMRQKEIVHPTRPINRSCTESKLAHPQEPSEICSRHKGSDNKSPVKQKTRMHAEVMGKMTRNNSCSDSTPGKLIMKESNGSKCSRKPFALRGDRLGEILEQKLKELTCHEDEFAAGVTTPRKTTATILQELISALTEETQIHCDDFSDKPNRKSGLSHPTNMSHENTSGKVQAKEKSATDSRDIDHFSPGTVLEDPYSSNDSCFSSSLDECSRDKLIVESVDFYYEESRSSDPKADHLVSAAHSTTGNSCEVLVADLVNNIPEVLQKINLAHGHLKGSKLSHAKEVLLNAELMLGNAAWCNHAIDKGFSVTRFLIYELETLENAICMSFNAFLGFEDAKQEDRLRVFMFDYVLEYLDSKFSRYSESGFRAWTNLLPCLNTEMLVSEILKELKMWMALAGLIPDELIEWEMSHSLGKWTDFEIELYENGVEIDRDILHSLVDEVVLDLCLAL >itb05g15530.t2 pep chromosome:ASM357664v1:5:22858825:22862910:-1 gene:itb05g15530 transcript:itb05g15530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRGGMAASSLAVTERKPQRTGGYTGIFFQLFDWNRRFAKKKLFSKKLLSPVRSKQGSKKFGEDKKQAKHRLIADENSGGFPNVKNNMVCEKKPDMRSPGLVARLMGLESMPVLPRDRPKKVSLDGTGCGKGEKLGNDTDKSEGEERKFGKMGAKQEFRPRKFDNMGPSERQQVTRFGTEVLQIKSMLSQPRKNQAKLASPVVIPRNVSARNASWLAGAAARILEPGLQRSRTKTALPYTNTLHRPKQEVVSEEATDLAPDVSDCSMHSMGYSNTAKHSCKNCGNSLDIIDYRADREEEPSTIHSPVSNCTERHQVSKRSMPRGHMFSTQEQNGRQCPLYSAAVVMDVSYSTEPEFKKKPTNRGLTPGHLTSQGRKLPNNGSPLGLMHNTQKQNQLLPGRDIVPPRLQSRSLQSKRVPSTAKAINETTNFVAMNKSLSGQIRTIVPTRADIYNFETERRFHNRSDSLSTVQKRRLMNTREDGRFSLLKESSGGSNSMRQKEIVHPTRPINRSCTESKLAHPQEPSEICSRHKGSDNKSPVKQKTRMHAEVMGKMTRNNSCSDSTPGKLIMKESNGSKCSRKPFALRGDRLGEILEQKLKELTCHEDEFAAGVTTPRKTTATILQELISALTEETQIHCDDFSDKPNRKSGLSHPTNMSHENTSGKVQAKEKSATDSRDIDHFSPGTVLEDPYSSNDSCFSSSLDECSSTNRSSYLQYLKILYLTIIKSNFCHVSFLGDKLIVESVDFYYEESRSSDPKADHLVSAAHSTTGNSCEVLVADLVNNIPEVLQKINLAHGHLKGSKLSHAKEVLLNAELMLGNAAWCNHAIDKGFSVTRFLIYELETLENAICMSFNAFLGFEDAKQEDRLRVFMFDYVLEYLDSKFSRYSESGFRAWTNLLPCLNTEMLVSEILKELKMWMALAGLIPDELIEWEMSHSLGKWTDFEIELYENGVEIDRDILHSLVDEVVLDLCLAL >itb11g05480.t1 pep chromosome:ASM357664v1:11:3243792:3246207:1 gene:itb11g05480 transcript:itb11g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEALERSADERNLEESNNRILQFIEDVTSNAGQVQERVLNAILSRNAGVEYLLRHGLNGRTDRETFKKLVPVVTYEDVKPDIDRVANGEPSSIICSQPISEFLTSSGTSRGERKLMPTIEEELERKSLLYSLLMPVMNQFVPDLHKGKAMYFLFVKEEARTPGGLLARPVLTSYHKSSHFKERSYDPYTDYTSPNETILCTDSFQSMYSQMLCGLWYGDQVLRVGAIFASAFIRAIHFLEKHWRLLCHDIRTGTLNPRITDPSVREAVAIILKPNPELAEFIEKECGKESWKGIIPRIWRNTKYIEVIVTGAMSQYIPTLNYFGNDLPLVCTMYASSECYFAVNLNPLSKPSEVAYTIIPTMAYFEFLPVSRGTGVPESNPVELVDLVDVKLGQEYELVVTTYAGLYRYRVGDILRVAGFKNNAPQFNFVCRKNVALSIDSDKTDEVELHNAVKNASNILLPFDASLIEYTSHANTSTIPGHYVLYWEISQSSENPIPPYIFEDCCLAVEESLNSVYRQCRVYDKSIGPLEIRIVENGTFDKMMDYAIANGGSSINQYKAPRCVTHAPIVELLDSRVVSNYFSPKPPKWAPGQKHWNTN >itb10g17640.t1 pep chromosome:ASM357664v1:10:23803746:23805694:1 gene:itb10g17640 transcript:itb10g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSAILISFLFISLTFSPSWALSFPFQDQFYKCISLNSDAPIPFSAAFFSPTTNASSFNSVLQSTAQNLRFLVPSAPKPLLIFTPLIESHVQAAVICTKEAGVQLRVRSGGHDYEGVSYTSEMKSPAPFVLIDLAKLRGIKVDVADNSAWVQAGATIGEVYYRIAQKSKILGFPAGLCTSLGIGGHITGGAYGPMMRRYGLGADNVVDARVVDATGRVLDRATMGEDFFWAIRGGGGGSFGILLAWKINLVPVPSTVTVFNVPRTLEQNATQILYKWQKVAADKLDEDLFIRVLISTVNSTAVKGKRTVQTTYNALFLGKTERLLQIMDKSFPELGLTRKDCSEVSWIESVLFIAGYPRTIPPEFLLQGKPLFPKTNFKAKSDFIKKPIPEKGLQGLWKIFLEEDTPFMIWNPYGGMMAKIPESSIPFPHRKGVICKIQYLTAWQMEGEKQKHVDWIRGLYDYMGAYASKSPREAYVNYRDLDLGMNNNGRNSSFFEASVWGKKYYKKNFERLVVVKTKVDPDNFFWHEQSIPVVPFKGGDDGKTLFH >itb03g20070.t1 pep chromosome:ASM357664v1:3:17967742:17968768:-1 gene:itb03g20070 transcript:itb03g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPWYLNAQKPSLKHQRKWKPQPNYAKSWYDRGATIYQADKYRTGACENCGAMTHTAKSCTERPRKSGAKWTGKRIAPDEKIEQLELDYDGKRDRWNGYDSASYVHVKKLKEDDEDLEDESIPGTVMNLRIREDTAKYLLNLDPNSAHYDPKTRSMREDPLPDMDPKDKFYAGDNHNRLSGEALELKRLHILHMQAEAAPSEAELLYKSYRISEEKLKSERRDTIMEKYGNAANEETLSPELLLGQSDIQVEYDRFGRIVKGDMSLPKSKYEEDVYKNNHTSVWGSWWKENVWGYKCCKQTIRNTYY >itb03g20070.t3 pep chromosome:ASM357664v1:3:17967742:17968509:-1 gene:itb03g20070 transcript:itb03g20070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTAKSCTERPRKSGAKWTGKRIAPDEKIEQLELDYDGKRDRWNGYDSASYVHVKKLKEDDEDLEDESIPGTVMNLRIREDTAKYLLNLDPNSAHYDPKTRSMREDPLPDMDPKDKFYAGDNHNRLSGEALELKRLHILHMQAEAAPSEAELLYKSYRISEEKLKSERRDTIMEKYGNAANEETLSPELLLGQSDIQVEYDRFGRIVKGDMSLPKSKYEEDVYKNNHTSVWGSWWKENVWGYKCCKQTIRNTYY >itb03g20070.t2 pep chromosome:ASM357664v1:3:17967742:17968818:-1 gene:itb03g20070 transcript:itb03g20070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIAFKSRVEHRKQLELELELENARKAGLAAPEVDEDGNQINPHIPHYMSSAPWYLNAQKPSLKHQRKWKPQPNYAKSWYDRGATIYQADKYRTGACENCGAMTHTAKSCTERPRKSGAKWTGKRIAPDEKIEQLELDYDGKRDRWNGYDSASYVHVKKLKEDDEDLEDESIPGTVMNLRIREDTAKYLLNLDPNSAHYDPKTRSMREDPLPDMDPKDKFYAGDNHNRLSGEALELKRLHILHMQAEAAPSEAELLYKSYRISEEKLKSERRDTIMEKYGNAANEETLSPELLLGQSDIQVEYDRFGRIVKGDMSLPKSKYEEDVYKNNHTSVWGSWWKENVWGYKCCKQTIRNTYY >itb09g07970.t1 pep chromosome:ASM357664v1:9:4774950:4779367:-1 gene:itb09g07970 transcript:itb09g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTCSRFFAGIRAAPTISLVRKVSPLSVSGSRLQVRAMADSSAPFKKVQIQRDDTTFDAYVIGKEDAPGIVVLQEWWGVDYEIKNHAQKISQFDSGYKALIPDLYRGKVGLDVAEAQHLMEGLDWQGAVKDIQASVNWLKANGSKKVGVTGYCMGGALSIASSVLVPGVDAVVAFYGVPSAELADPAKAKAPVQAHFGEEDGIVGFSDIKSGQALEEKLKASGIPHEVYFYPKAGHAFMNTSPDGVQRRRTMGEFDVENATVELAWSRFRSWMSRFLSA >itb10g04180.t1 pep chromosome:ASM357664v1:10:3974351:3977310:1 gene:itb10g04180 transcript:itb10g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKKNLKNLIPLFILLSLSALFLFSFSPATPIPATVPTQPTSQPQPSHLQTPITTTADNKSFTFIIKVLAYNRLSSLSRCLNSLAAAHYDDHAVHLHIFIDHFPVPAKASLDVDRNLNSSRHILDFVDGFGWKFGAKVVHYRTSNAGLQAQWLEAWWPSSDDEFAFVVEDDLEVSPLYFRFLKNLIVHYYYNASNFSPMIYGASLQRPRFVPGKHGNKMEIDSRTRVFLYQLVGTWGQLLFPRPWKEFRLWYDTHKSKGMKPVLDGMVTTGWYKKMGERIWTPWFIKFIHARGYFNIYTNLLYERALSISHRDAGVNYGKSVGPDSNLVSENSIDINHLEFHPLHSMKWYDFCFKEVFPDRIVQHFNELGSVIPSLQKMSNVILVALHQESESVVRNLLCHFERSYIRNYILMGPKSDLLLDLAIRGHPVIDTDRLYDNIRVYDKSNFNESTVELTKEIFVKAYVVKKTLELGYGIMVTDCNRVPLNSESFLDFIDTDTANDFFVGKNLELVFARSSSSAAKTWGDSILTQLGAELITATSSDSDPTGKNFVYVVKKLFEQKGVKFNTFDENKSSLNISSFDASQTPFIQDRGKFVLWSSETSTNLIQQQLVQLGLWIVDSDMSCTAVICYPP >itb12g06540.t1 pep chromosome:ASM357664v1:12:4880753:4882429:1 gene:itb12g06540 transcript:itb12g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQHHAATYDIVILGASGFTGKYAVREALKFLNVPESPFNSLALVGRSPSKVAKALQWASHPNPPPQIPILTADTTDPISLRRVASQAKIILNCVGPFHLYGEPVVAACVDVGCDYLDITGEMEFVERMEASYHHRAAKKGSLVISACGYDSVPAVLGMMFNSRQWVSPAAPNRMEAYQFMESDKRVVLNLGSYETLVLAVANKDKLQELRHCTPQRPQPMIPGPPPKESIVEHQKEIGLWGVVFPSLDKIAVDRMVSCLTENPEGIPGVNETAQQIKKREAFWSTAKPVHFGLNMASKSVLGVVRFITLALLLWVFGRFSTGRWLLMKFPSVFSLGLFSKNGPTEEEVANASFKLWFVGRGYSDAALASKEGKKPDMEIITRVTGPEIGYSATPIILIQCALVLLSQRRELPKGGVFLPGIIFGPTDLQQRLQQNGISFDFISKKKLSNKI >itb02g03150.t2 pep chromosome:ASM357664v1:2:1816538:1821324:-1 gene:itb02g03150 transcript:itb02g03150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLDIATGYRNNVFAVTSQKLFCNTRFVYGKDTGFPSSRRSFCIPGEAKGLGGLKLRRGGLMIRAVATFQEVVTKSNQSSEKDCYEGCVKLSIESRSLECTAMEQQSETGNSTELDESVRQMRVPKANKGRVPWNKGRKHSPETLQRIRERTRLAMQDPKVKMKLANLGHAQSGETRMKIGASMQLNWEKRRKKLMLQETCYFEWQNLLAGASRNGLFGEEELQWDSYKILNEQLEREWLQSIQQRKKKPKGNKNQPKSAEQRRKISEAIAAKWADPEYRNRVCSGMAKQRGIPDGVERKKRKRPSSNGQTRKRSPSTKKDDINGLALNKPKSQTQQVRLDRSSIPKYMDPLASSKLEMLKNIRTQRAVIDNKKDEALARAKLLIAEAEKAAKALEIAATKSPVAKASLIESRELIAEAIRYIESIDIGDVYSVENGTEHSLTPTEPASVDDPTLTKLETLEEVDQRKSSRAHVLLPNGDGIHNFKSTGKHLHPLVNGKGAAFLSSLSDYDSLGSKAFLSSLSDYDSVGSKKKLGLPFSSNVAPLDAGLKKLDTAEEVGGDRKRSEVEEDEQKPWPNGFTSQPTSNQPPAKPTAVSKKWVRGRFVEAAEEG >itb02g03150.t1 pep chromosome:ASM357664v1:2:1816538:1821324:-1 gene:itb02g03150 transcript:itb02g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLDIATGYRNNVFAVTSQKLFCNTRFVYGKDTGFPSSRRSFCIPGEAKGLGGLKLRRGGLMIRAVATFQEVVTKSNQSSEKDCYEGCVKLSIESRSLECTAMEQQSETGNSTELDESVRQMRVPKANKGRVPWNKGRKHSPETLQRIRERTRLAMQDPKVKMKLANLGHAQSGETRMKIGASMQLNWEKRRKKLMLQETCYFEWQNLLAGASRNGLFGEEELQWDSYKILNEQLEREWLQSIQQRKKKPKGNKNQPKSAEQRRKISEAIAAKWADPEYRNRVCSGMAKQRGIPDGVERKKRKRPSSNGQTRKRSPSTKKDDINGLALNKPKSQTQQVRLDRSSIPKYMDPLASSKLEMLKNIRTQRAVIDNKKDEALARAKLLIAEAEKAAKALEIAATKSPVAKASLIESRELIAEAIRYIESIDIGDVYSVENGTEHSLTPTEPASVDDPTLTKLETLEEVDQRKSSRAHVLLPNGDGIHNFKSTGKHLHPLVNGKGAAFLSSLSDYDSLGSKAFLSSLSDYDSVGSKKKLGLPFSSNVAPLDAGLKKLDTAEEVGGDRKRSEVEEDEQKPWPNGFTSQPTSNQPPAKPTAVSKKWVRGRFVEAAEEDGGEE >itb02g03150.t3 pep chromosome:ASM357664v1:2:1816538:1819919:-1 gene:itb02g03150 transcript:itb02g03150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLANLGHAQSGETRMKIGASMQLNWEKRRKKLMLQETCYFEWQNLLAGASRNGLFGEEELQWDSYKILNEQLEREWLQSIQQRKKKPKGNKNQPKSAEQRRKISEAIAAKWADPEYRNRVCSGMAKQRGIPDGVERKKRKRPSSNGQTRKRSPSTKKDDINGLALNKPKSQTQQVRLDRSSIPKYMDPLASSKLEMLKNIRTQRAVIDNKKDEALARAKLLIAEAEKAAKALEIAATKSPVAKASLIESRELIAEAIRYIESIDIGDVYSVENGTEHSLTPTEPASVDDPTLTKLETLEEVDQRKSSRAHVLLPNGDGIHNFKSTGKHLHPLVNGKGAAFLSSLSDYDSLGSKAFLSSLSDYDSVGSKKKLGLPFSSNVAPLDAGLKKLDTAEEVGGDRKRSEVEEDEQKPWPNGFTSQPTSNQPPAKPTAVSKKWVRGRFVEAAEEDGGEE >itb01g02710.t1 pep chromosome:ASM357664v1:1:1767074:1769158:1 gene:itb01g02710 transcript:itb01g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAGSMMSSSFLSRKPAAAAGGSLRAISNFRPEQLGLKSGNMGRMTCMATYKVKLVTPGGEFEFQCPDDVYVLDQAEEEGYDLPYSCRAGSCSSCCGKVVSGTVDQSDNSFLDDEQMNCGYVLTCVAYPTSDVVIETHKEEEIV >itb13g24110.t1 pep chromosome:ASM357664v1:13:29854079:29855512:1 gene:itb13g24110 transcript:itb13g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGREKGGGKSIILKKYELCRLLGRGSFAKVYQGRRLEDNADVAVKVIDKSATVAAVEPYILREILAMRRLNHHPNIVKLHEVMATKTKIYLVMELATGGDFLAKLNGGEFLAKLNGGERPFDNATARRYFRQLIAAVSFCDQNGVAHRDIKLQNLLLDGDGNLKISDFGLSALSDHLKDVSLETMCGTPAYSAPEVISRKRYDGAKADAWSCGVILFEFLAGRRPFEGHNTSERFRAMIRHEIRFPSSVSKSARKIIHRLLDPNPLTRPTIGELMMKHPWFKKSSSPALEQSLSDHKESKTMNAFDIISMSSGLDLSGIFEEEMREKRMRFVSTIEVGEIEERVKKMGFGVERGKGGGIRLVKEGVVLMLEILRVSTEIWLGEIKVVNGGKEFEEITWEEFKVGLTDIVSWQSEQ >itb03g13240.t1 pep chromosome:ASM357664v1:3:13455790:13456107:-1 gene:itb03g13240 transcript:itb03g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVYDYMFHLLSEYAKLLKYKPTVPPEAVELCSEKMVCGANGLEKQFMMESLVKAAPSATAPCTMPPPFDPATLNAIVDTRESSIKQVESWEKQYWHHQNNPDI >itb13g16280.t1 pep chromosome:ASM357664v1:13:23213487:23213918:-1 gene:itb13g16280 transcript:itb13g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGARKGGAGRGKPKATKLVSRSSKAGLQFSVGRIARFLKTEKYAGRIGARAPVYLAAVLEYLATEVLELAGNVAMDNKKNRIVPRHIQLAVRNNEELSKLLGDVTIANGGVLPNIHQTLLPKKAGSGKGEIGSASQEF >itb12g21250.t1 pep chromosome:ASM357664v1:12:23632011:23635552:-1 gene:itb12g21250 transcript:itb12g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEESLVEAALRVLNTADPVEKASLGDDVANRWLQGLISRPYDPSLDLPVPDRPARLTNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQESMPREFFTDFVKVAQDEGRHFSMLAARLKELGSFYGALPAHDGLWDSAMATSKDLLARLAIEHCVHEARGLDVMPTTISRFRNGGDNETADLLETVVYPEEITHCAAGLKWFKYLCLRSRNPNSGDDLLLSQVGANAAEDDDEEEEVVQKFHDTVKAHFRGPLKPPFNAAARKAAGFGPQCDFTN >itb12g21250.t2 pep chromosome:ASM357664v1:12:23633351:23635552:-1 gene:itb12g21250 transcript:itb12g21250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEESLVEAALRVLNTADPVEKASLGDDVANRWLQGLISRPYDPSLDLPVPDRPARLTNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQESMPREFFTDFVKVAQDEGRHFSMLAARLKELGSFYGALPAHDGLWDSAMATSKDLLARLAIEHCVHEARGLDVMPTTISRFRNGGDNETADLLETVVYPEEITHCAAGLKWFKYLCLRSRNPNSGDDLLLSQVGANAAEDDDEEEEVVQKFHDTVKAHFRGPLKPPFNAAARKAAGFGPQWYEPLAVKDVSAQ >itb01g34190.t1 pep chromosome:ASM357664v1:1:36998089:36999751:-1 gene:itb01g34190 transcript:itb01g34190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEHRKKPALFTETATGDMAAHHSNPTGSSSSTDEDFNVRNSSYTGFEPNRLSGEGSPMMMSPWNQCTPFENSPWDENNVNGGFQKLPQNGLVCSLVREEGHIYSLAAREGILYTGSDSKNIRVWKEMKEFGAFKSNSGLVKAIIISGEKIFTGHQDGKVRVWKVNPKNPSVHKRAGTFPTFFDIFKASIKPSNYVEVKRNRTALWIKHCDAISCLSMDPKEELLYSASWDRTFKVWKASNSKCLESVKAHDDAVNSVVASVDGMVYTGSADGSVKVWKRESNGKGVKHVFVQTLLSQDCAVTALAVNKSGSVLYCGSSDGVVNFWEREKQLTHGGVLKGHKLAVLCLTAAGNTVFSGSADKTICVWRRDGSVHTCLSILTGHNGPVKCLAVEEDKQSTESDQKWIVYSGSLDKSVKVWSVSEMSLDYPPPPRIQDAAWDSIPSAKY >itb11g06130.t2 pep chromosome:ASM357664v1:11:3652192:3657028:1 gene:itb11g06130 transcript:itb11g06130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARSMGSQCTELPGSHLAPSDLPPNRLRREMVTIRTPPTSRLRSPPTTTSSWLEGAAKGIVVARLGTGSDLPYRMTVHPGGEGLICSLPKSCSWFEWDAGSDTDDGALSVKSSERLLKQLEDVGQQLALTFNNEGSLLAVGGEDGKLRVFKWPSMEIIIDEANAHSCVKDLDFSPDGKFLVSAGSGPCRVWNVSTSASVASLQKENDEVLGFCRFSRSSDNNQALYVTAMQGKGGSIVKWSTASWNRMSSKWVSRDPISAFNVSADGKLLAIGTIQGDVIILSSNLRVLTTVKKAHLGLVTTLKFSDDSRALLSTSLDSSVRVTVIKDKSNKKGFNLWIILLILLMAIAVYYANREGKLSLPLDFPIKF >itb11g06130.t3 pep chromosome:ASM357664v1:11:3652192:3655650:1 gene:itb11g06130 transcript:itb11g06130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANDESCKKYGVPVYGAAWVPPGAIRSAPKPPPEGDGDDKDAADKSPPIATHNYVVMAGGGGEGNSGIPNAILLARFDFDSNFLSDLPVARLGTGSDLPYRMTVHPGGEGLICSLPKSCSWFEWDAGSDTDDGALSVKSSERLLKQLEDVGQQLALTFNNEGSLLAVGGEDGKLRVFKWPSMEIIIDEANAHSCVKDLDFSPDGKFLVSAGSGPCRVWNVSTSASVASLQKENDEVLGFCRFSRSSDNNQALYVTAMQGKGGSIVKWSTASWNRMSSKWVSRDPISAFNVSADGKLLAM >itb11g06130.t1 pep chromosome:ASM357664v1:11:3652170:3657051:1 gene:itb11g06130 transcript:itb11g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDESCKKYGVPVYGAAWVPPGAIRSAPKPPPEGDGDDKDAADKSPPIATHNYVVMAGGGGEGNSGIPNAILLARFDFDSNFLSDLPVARLGTGSDLPYRMTVHPGGEGLICSLPKSCSWFEWDAGSDTDDGALSVKSSERLLKQLEDVGQQLALTFNNEGSLLAVGGEDGKLRVFKWPSMEIIIDEANAHSCVKDLDFSPDGKFLVSAGSGPCRVWNVSTSASVASLQKENDEVLGFCRFSRSSDNNQALYVTAMQGKGGSIVKWSTASWNRMSSKWVSRDPISAFNVSADGKLLAIGTIQGDVIILSSNLRVLTTVKKAHLGLVTTLKFSDDSRALLSTSLDSSVRVTVIKDKSNKKGFNLWIILLILLMAIAVYYANREGKLSLPLDFPIKF >itb09g14740.t2 pep chromosome:ASM357664v1:9:9998241:10000162:1 gene:itb09g14740 transcript:itb09g14740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRQGGESQTQLQTLMQSGQISGSLSFNGTMTKEDEEMSRSALSTFKAKEEEIEKKKLEVREKVQAQLGRIEEETRRLATIREELEALADPMKKEVSLVRKKIDTVNKELKPLGQTCQKKEREYKEALEAFNEKHKEKVQLITRLTELVSESEKLRMKKLEELSKSIETLR >itb09g14740.t1 pep chromosome:ASM357664v1:9:9998241:10000162:1 gene:itb09g14740 transcript:itb09g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRQGGESQTQLQTLMQSGQISGSLSFNGTMTKEDEEMSRSALSTFKAKEEEIEKKKLEVREKVQAQLGRIEEETRRLATIREELEALADPMKKEVSLVRKKIDTVNKELKPLGQTCQKKEREYKEALEAFNEKHKEKVQLITRLTEVSNLVSESEKLRMKKLEELSKSIETLR >itb01g16480.t1 pep chromosome:ASM357664v1:1:20819375:20825146:1 gene:itb01g16480 transcript:itb01g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRTEREAEVELAQNAGNINKNDLELKLVNGDRDEKRREKKKKKKKEERSERVVEAQDTEKSRPEIESEVVNSDSKDKKKKKEKLKERNDAVSNELPTVSIALPGSIIDNAQSLELATRLAGQIARAVTIFRIDEVVVFDNMGNSQDSSGVLSEDNLDENESGAAFLLMILRYMETPQYLRKSLFPMHNNLRFVGSLPPLDAPHHLRKHEWAPYREGVTLRDRHPNSGGTLVDVGLSKHVQIDQVIDPGKRVTVSMGTDRNLDSDLPHQVVSSSTPREEAGMYWGYRVRYASNISSVFKNCPYKGGYDHLIGTSEHGITIKSSELSLPSFRHLLIAFGGLAGLEECIEEDSSLKGKNAQDIFDQYLNTCPHQGSRTIRTEEAILISLQYFQEPINRVLGES >itb01g26750.t1 pep chromosome:ASM357664v1:1:31830927:31834503:-1 gene:itb01g26750 transcript:itb01g26750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MVPIRLSIRRPLSFLDKLPLHSFALNHSSFFATPYSSASAAAAEAERCIREGPRHDWTRPEIKSIYDSPLLDLLFHGAQVHRHAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGVKADKLMAKDSVLEAAKKAKEAGSTRFCMGAAWRDTIGRKTNFNQILDYVKQIRGMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSREYYPNIITTRTYDDRLETLENVREAGISVCSGGIIGLGEADDDRIGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWDMIRMIATARIVMPEAMVRLSAGRVRFSMPEQTLCFLAGANSIFTGEKLLTTPNNDYDADQLMFKMLGLIPKPPKFLEDAAEGENTEEALSSSG >itb01g30440.t1 pep chromosome:ASM357664v1:1:34477342:34481421:1 gene:itb01g30440 transcript:itb01g30440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLHPCNCSIFNPNFPLFNSVLYTTAPQFPVILTSPKAKTPNPNSGSSKNLVFSSGSSIWRRVRVPLCSKQETYEEPGKLKSEELELLNKPSPKPIDDQPEAETLEESKKPSKDELLEPFHRLFSHAESLDEKHVSELDSKGEAIQEGKKVSVEYFEPKPGDFVVGVVVSGNDRKLDVNIGADLLGVMLTKDVLPLHGKEMGDLLCDLEKDAEKVMVGGKVGIVKNDEALGGEPLLGKPVVDPGTVLFAEVLGRTLSGRPLLSSRRLFRRMAWHRVRQIKHLNEPIEVKITEWNTGGLLTRIEGLRAFLPKAELLNRVNSFRELKENVGRRIHVLIARINEETNDLILTEKEAWNMLNLQEGKLLEGTVWKIFPHGAQIRIGETNRSGILHISNITKGRITSVGDLLAVNEKVKVLVVKSMFPDKISLSIADLESEPGLFLSNKEKVFSEAEWMAKRYRQGIPASSMTRKREPLPTNSLPFEDGESMYANWKWFKFQKDNEESTP >itb13g18730.t1 pep chromosome:ASM357664v1:13:25644282:25645932:-1 gene:itb13g18730 transcript:itb13g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAEEIPVGFHGKLDAVSDQPPRTDDYNHASDPAKKLQNAEEEEEHPRMNEKLPDLKDSKISEGVNTKTSFLNYNEMLKKILAIDDIHHGTFDITSGNGVSDEASKNGITALLPAIHLKMEESERRFGNGDQTWKNNLQIGSSGKSPSFDFGVPFDAAKGSGFDQTPLLHPFRKTPVRSLSINDFQDRIIQTRYGRNSVDYDAKSEAPFLNNKENINGSDDEKTQGSFGSDDMDYWAENKLKGNGKAKGRNSFFSACICCIASTE >itb12g01000.t1 pep chromosome:ASM357664v1:12:708094:709072:-1 gene:itb12g01000 transcript:itb12g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35490 [Source:Projected from Arabidopsis thaliana (AT4G35490) UniProtKB/TrEMBL;Acc:Q9SVW7] MATLKEILTRRPVAATIRLRVDAGGAKPGPPVGPALGQYKLNSMAFCKDFNARTQKYKPGTPMAVTITAFKDGTFEFTLKSPAVTWYLKHAAGVESGSSRPGHVAASTITLKHVYEIAKIKQSDPFCQYMPLESICKSIIGTANSMGIKVQKELD >itb04g16360.t2 pep chromosome:ASM357664v1:4:17984571:17988972:-1 gene:itb04g16360 transcript:itb04g16360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNISVRPIGQLEFGTKVEIKNLNSFSSVSRAIDFEISRQVLLHSQGHSDQIVQETRLWEEGSQRTITMRKKEGLSDYRYFPEPDLPGVTITQDYVDSVCNSLPELPEMKRRRYEKMGLSMQDVLFLTNDSNVAEFFDATIARGADVKLATNWMMGDIAAYLKNEKLSINEIKLTPQELSELISTIKEETISGKIGKEILFEILAKGGTVKGMIKEKDLVQIVDPQEIEKMVDKVIADNPKQLEQYRGGKTKLQGYFAGQVMKESKGKANPKMLNKILLEKLNAKR >itb04g16360.t1 pep chromosome:ASM357664v1:4:17984571:17988972:-1 gene:itb04g16360 transcript:itb04g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNISVRPIGQLEFGTKVEIKNLNSFSSVSRAIDFEISRQVLLHSQGHSDQIVQETRLWEEGSQRTITMRKKEGLSDYRYFPEPDLPGVTITQDYVDSVCNSLPELPEMKRRRYEKMGLSMQDVLFLTNDSNVAEFFDATIARGADVKLATNWMMGDIAAYLKNEKLSINEIKLTPQELSELISTIKEETISGKIGKEILFEILAKGGTVKGMIKEKDLVQIVDPQEIEKMVDKVIADNPKQLEQYRGGKTKLQGYFAGQVMKESKGKANPKMLNKILLEKLNAKS >itb09g07250.t1 pep chromosome:ASM357664v1:9:4191444:4194235:-1 gene:itb09g07250 transcript:itb09g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRELLKERPTTLNNILYIQKKSEPPSKAVLVVSKKTWRYCSFVCVEEPKTKKIYIIVLNMAADAPAVAGGRHPSSSSSRVKCPAPFLVKTYDLLEEEERRRQEGVENNGRPPEKIVSWNGEGTGFVVWSPPEFSQLLLPRYFKHNNFSSFIRQLNTYGFKKVASKRWEFQHDKFRKGSKHLLIEISRKKVEPSGFPAYLRANEEPSTSSMAAATTSQVHINTRKLLMEENRNLRKERMELQTQIAHFKTLEMRLLECLSTYMGNHNHHHHHRQHNKFRRLS >itb13g01000.t1 pep chromosome:ASM357664v1:13:938815:940097:1 gene:itb13g01000 transcript:itb13g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSVFYLFLLVLVVEFQTSFGQGGVNGAYWLADAGVPASDINSTLFTHLFCAFANLDPQTNKVTLPSASFSQFTPTVRRKNPSVKTLLSIGGGKVHPATFPAMAIQPSSRKSFIDSSISVARANGFSGLDLNWEYPRTTNQMADLESLLKEWRAAVAAEAASSGRSPLLLTAVIYYLSRINELSYPVQAIQKNLDWVNVMAYDFYDPSYSNVTRPHSALYDPAGLFSFGNRIELLLVAGLLAKLL >itb01g14650.t1 pep chromosome:ASM357664v1:1:16756649:16760380:-1 gene:itb01g14650 transcript:itb01g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVTLLNTIDFHFLQPLPCLSVDDKEIIMIESLCKKLRFLQAFLEDSQKNNINCPAWTDLETEIRDVAAEAESKIESKLYKHYLFYNEKTEIRDLPVKPCESLHQTLQQVTADIESLQRRILQIQNNHSVEPPRTNAAIQNIKADSSSKRSTQPNNVMVGCDDEFDTIMHKLISHSNNLEVISITGMGGIGNTTLAQRVYNDKAAIASYFDIRAWTTVSQQHNLRDMLCDLLGSNDTNRDVSYLANQLRQKLLGHRYLIVIDDIWSTQAWDDIYRCFPEDFNESRVLLTTRLKQVADYASSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLNTDPEMKCSKILLLSYNHLPPHLKACFLYFGIFREDSVIKVKRLIRLWIAEGFLKLEFNKTMEEVAYAYLQDLVDRCLVQIDEWGNFDNKIKYCKLHDVVHSFSLREAQREKLLCVINEKNNVGLATSSLDRKACRRVLSYQLISHIDDEPISRSHDELRSFLYLPHHSIISVYFNSRILPYSKLLRVLNMSQSYLNHLPREIVNLVHLRYLALYLVKGASINDYQWCKLRCLQTIIIEDYSASFTPNNILGLPQIRHVHFSHMSLNYLHLPKLVQGNLQTLSSLSLPHRLQTELDFKVIPNVKELGIHLMGYNRFYKMPYLGEEIWGSLPPISMEGLLNLHQLENLKFETDPHCPKCDSKLLKAFPPNLKKLTLGGTKFSWDDMAIISTLPNLEVLKLRGDAFCGPEWKATRNGFCKLKYLQVHAYSSLKHWSVDADHFPVLERIFLNHCYLLMEFPIGFGEINTLQLIELKNCSSLLVTSAKSLQEERRDLGDNKLVLQFYPGPRCNFRKEKLRVTKQVPRLLHSQSQRLNH >itb01g14650.t2 pep chromosome:ASM357664v1:1:16756649:16760380:-1 gene:itb01g14650 transcript:itb01g14650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVTLLNTIDFHFLQPLPCLSVDDKEIIMIESLCKKLRFLQAFLEDSQKNNINCPAWTDLETEIRDVAAEAESKIESKLYKHYLFYNEKTEIRDLPVKPCESLHQTLQQVTADIESLQRRILQIQNNHSVEPPRTNAAIQNIKADSSSKRSTQPNNVMVGCDDEFDTIMHKLISHSNNLEVISITGMGGIGNTTLAQRVYNDKAAIASYFDIRAWTTVSQQHNLRDMLCDLLGSNDTNRDVSYLANQLRQKLLGHRYLIVIDDIWSTQAWDDIYRCFPEDFNESRVLLTTRLKQVADYASSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLNTDPEMKCSKILLLSYNHLPPHLKACFLYFGIFREDSVIKVKRLIRLWIAEGFLKLEFNKTMEEVAYAYLQDLVDRCLVQIDEWGNFDNKIKYCKLHDVVHSFSLREAQREKLLCVINEKNNVGLATSSLDRKACRRVLSYQLISHIDDEPISRSHDELRSFLYLPHHSIISVYFNSRILPYSKLLRVLNMSQSYLNHLPREIVNLVHLRYLALYLVKGASINDYQWCKLRCLQTIIIEDYSASFTPNNILGLPQIRHVHFSHMSLNYLHLPKLVQGNLQTLSSLSLPHRLQTELDFKVIPNVKELGIHLMGYNRFYKMPYLGEEIWGSLPPISMEGLLNLHQLENLKFETDPHCPKCDSKLLKAFPPNLKKLTLGGTKFSWDDMAIISTLPNLEVLKLRGDAFCGPEWKATRNGFCKLKYLQVHAYSSLKHWSVDADHFPVLERIFLNHCYLLMEFPIGFGEINTLQLIELKNCSSLLVTSAKSLQEERRDLGDNKLVLQFYPGPRCNFRKEKLRVTKQVLYE >itb01g14650.t3 pep chromosome:ASM357664v1:1:16756649:16760380:-1 gene:itb01g14650 transcript:itb01g14650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVTLLNTIDFHFLQPLPCLSVDDKEIIMIESLCKKLRFLQAFLEDSQKNNINCPAWTDLETEIRDVAAEAESKIESKLYKHYLFYNEKTEIRDLPVKPCESLHQTLQQVTADIESLQRRILQIQNNHSVEPPRTNAAIQNIKADSSSKRSTQPNNVMVGCDDEFDTIMHKLISHSNNLEVISITGMGGIGNTTLAQRVYNDKAAIASYFDIRAWTTVSQQHNLRDMLCDLLGSNDTNRDVSYLANQLRQKLLGHRYLIVIDDIWSTQAWDDIYRCFPEDFNESRVLLTTRLKQVADYASSGNNLYSMRFLNLNESWNLFYKKVFVEKKFPLEFEKVGRGIVEKCQGLPLTIIVVAGLLSSSSNKPSLNQWENVVANLDLLLNTDPEMKCSKILLLSYNHLPPHLKACFLYFGIFREDSVIKVKRLIRLWIAEGFLKLEFNKTMEEVAYAYLQDLVDRCLVQIDEWGNFDNKIKYCKLHDVVHSFSLREAQREKLLCVINEKNNVGLATSSLDRKACRRVLSYQLISHIDDEPISRSHDELRSFLYLPHHSIISVYFNSRILPYSKLLRVLNMSQSYLNHLPREIVNLVHLRYLALYLVKGASINDYQWCKLRCLQTIIIEDYSASFTPNNILGLPQIRHVHFSHMSLNYLHLPKLVQGNLQTLSSLSLPHRLQTELDFKVIPNVKELGIHLMGYNRFYKMPYLGEEIWGSLPPISMEGLLNLHQLENLKFETDPHCPKCDSKLLKAFPPNLKKLTLGGTKFSWDDMAIISTLPNLEVLKLRGDAFCGPEWKATRNGFCKLKYLQVHAYSSLKHWSVDADHFPVLERIFLNHCYLLMEFPIGFGEINTLQLIELKNCSSLLVTSAKSLQEERRDLGDNKLVLQFYPGPR >itb07g08070.t1 pep chromosome:ASM357664v1:7:6305728:6306222:-1 gene:itb07g08070 transcript:itb07g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVELVISKVAQSVEENETLILGIKDQVEDLVSELISFHVAYLYMVAGNENCNDNALLEDVVKKIQKVGTDAEDAIDKYLVERREHIARGGVLMKWGEKVSYYNKVNEAAKEMESIKKRAEKIRVDLAHSLQGGLLNNPQPPPPALLQVCRPTIYTTPIPMV >itb07g22050.t1 pep chromosome:ASM357664v1:7:26510721:26514975:-1 gene:itb07g22050 transcript:itb07g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVESKGGAIVCMCLALLFLGTWPALLTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEIGSERPNFLDQVTQDNWQSVLFAMAGGIVLSLGNLATQYAWAFVGLSVTEVVSSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHASNAADNKKKLSGFSGHSKYGAENQSMSPSNETHMNSVGKNDMENGLASVEKAKFGTALYLIQLENKRSIKVFGKGTVIGLVITFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLTVYTAFFYFSVSCFILAIILNISFLYRPVLNAPKSSFKAYLSDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRRTYMFLAGMLSMFIIAVGVLMGSSGHRK >itb07g22050.t2 pep chromosome:ASM357664v1:7:26510721:26514478:-1 gene:itb07g22050 transcript:itb07g22050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGITSLVTLWPEGFLSGGLKMYMVESKGGAIVCMCLALLFLGTWPALLTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEIGSERPNFLDQVTQDNWQSVLFAMAGGIVLSLGNLATQYAWAFVGLSVTEVVSSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHASNAADNKKKLSGFSGHSKYGAENQSMSPSNETHMNSVGKNDMENGLASVEKAKFGTALYLIQLENKRSIKVFGKGTVIGLVITFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLTVYTAFFYFSVSCFILAIILNISFLYRPVLNAPKSSFKAYLSDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRRTYMFLAGMLSMFIIAVGVLMGSSGHRK >itb07g22050.t3 pep chromosome:ASM357664v1:7:26510721:26513799:-1 gene:itb07g22050 transcript:itb07g22050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVESKGGAIVCMCLALLFLGTWPALLTLLERRGRLPQHTYLDYTITNLLAAVIIAFTFGEIGSERPNFLDQVTQDNWQSVLFAMAGGIVLSLGNLATQYAWAFVGLSVTEVVSSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLVAVCLGSAVHASNAADNKKKLSGFSGHSKYGAENQSMSPSNETHMNSVGKNDMENGLASVEKAKFGTALYLIQLENKRSIKVFGKGTVIGLVITFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLTVYTAFFYFSVSCFILAIILNISFLYRPVLNAPKSSFKAYLSDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRRTYMFLAGMLSMFIIAVGVLMGSSGHRK >itb02g00130.t2 pep chromosome:ASM357664v1:2:117901:123356:1 gene:itb02g00130 transcript:itb02g00130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFAGIGVGAAYYGLKPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAACPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIERDGKDVTITAFSKMVGYALKAAEILAKEGISAEIINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICATVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPISATA >itb02g00130.t5 pep chromosome:ASM357664v1:2:119113:123366:1 gene:itb02g00130 transcript:itb02g00130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MECYPFVFVDAVFFVIGCVWYPWQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAACPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIERDGKDVTITAFSKMVGYALKAAEILAKEGISAEIINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICATVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPISATA >itb02g00130.t3 pep chromosome:ASM357664v1:2:117901:123356:1 gene:itb02g00130 transcript:itb02g00130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVSASRCYSSAAKEITVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFAGIGVGAAYYGLKPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAACPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIERDGKDVTITAFSKMVGYALKAAEILAKEGISAEIINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICATVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPISATA >itb02g00130.t4 pep chromosome:ASM357664v1:2:117716:122223:1 gene:itb02g00130 transcript:itb02g00130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVIARKMASRGISSLSLNHLLMRSRVSASRCYSSAAKEITVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFAGIGVGAAYYGLKPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAACPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIERDGKDVTITAFSKMVGYALKVWHSFTRKYFDPLPNLGETFNLFSNNLDLCIL >itb02g00130.t1 pep chromosome:ASM357664v1:2:117697:123366:1 gene:itb02g00130 transcript:itb02g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVIARKMASRGISSLSLNHLLMRSRVSASRCYSSAAKEITVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFAGIGVGAAYYGLKPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAACPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEALDSSFCLPIGKAKIERDGKDVTITAFSKMVGYALKAAEILAKEGISAEIINLRSIRPLDRSTINASVRKTNRLVTVEEAFPQHGVGAEICATVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPISATA >itb02g19930.t1 pep chromosome:ASM357664v1:2:17401670:17403648:1 gene:itb02g19930 transcript:itb02g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTNHSATFVWRQGILGWYKFIGTLKNKYQQVGNVVPSPIAFALLKPSIFLTAIHPTSTFPSTIARRGEERIRALPGHQRQRLRVEIDGLSSIKRKPKFIVFHHQFARTNSGGGSRLPHGGNIFGATEISGGRQPFLGSSGLAAWWQRYTESGHSKLIAAD >itb02g06840.t1 pep chromosome:ASM357664v1:2:4291753:4293198:1 gene:itb02g06840 transcript:itb02g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKSLSVFLLHLVFVLFVVEVASAQSLSPDFYKKQCPKVEDIVKRTTASFLSRAPSLAGPLLRMHFHDCFVRGCDGSVLINSTKGNQAEKDGFPNLTLRGFQVIDAVKSALEKECPGIVSCADILAVVARDAVTMMNGPSWKVPLGRRDGRVSLASDANNNLPAPFSNFSTLQSSFAALGLNVKDLAVLSGGHTLGISHCPPFSNRLYNFTGKGDTDPSMDQNYVATLKKNKCTTAGDTTSIVEMDPGSAKIFDTSYYTLVSKRRGLFESDAALLTNSVTKAYVQQQMNPSTATFFKDFGDSMVKMGNIGVLTGKAGEIRKICSRIN >itb04g03670.t1 pep chromosome:ASM357664v1:4:2281284:2285536:-1 gene:itb04g03670 transcript:itb04g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMSMEEIRATAARLNIDLSEIDLDSIRLPPGEDFGIVSDDGDLEEEDALEFDTGFGSVIVVDNLPVVPKEKYEKLEGVVRKIYGQIGVIKEDGLWMPVDPDTQKTLGYCFIEYNTPQEAELAKEKTHGYRLDKSHIFAVNMFDDIEKFMKVPDEWAPPETKVYTPRENLQHWLTDEKARDQFVIRSGTDTEVLWNDARQMKPDPVYKRQFWTESFVQWSPLGTYLATVHRQGAAIWGGANSFERLMRYPHPQVKLIDFSPGERYLVTYSSHEPSNPRDSHRVVLNIFDVRTGKVRRDFKGSADEFAIGGTGGVAGVSWPVFRWGGGKDDKYFARIGKNIISVYETESFSLIDKKSIKVENVLDFSWSPTDPILALFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMRSGSNTGRVSKLATVKGKQANALYWSPAGRFIILAGLKGFNGQLEFFDVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNIWSFHGKLLYRILKDHFFQFLWRPRPPPFLTREKEEEILKNLKKYSKKYEAEDQDVSLQLSEQDRQKRKKLKEDWDSWVNEWKRLHEEEKLERERLRDGEASDQEEEYEAKEVEVEEVLDVSEEIISFD >itb07g06990.t1 pep chromosome:ASM357664v1:7:5273960:5275086:-1 gene:itb07g06990 transcript:itb07g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGKVVGQVEISFHGDVYYEIYRERPHDVPSMCSAIHSIEGQGGTVGSTITLKFTHDGKTKMAEDVIEAIDDEKKLVKFKVVKGDILESYKSFSLTCQVHSNDDDHFVTWTLEYEKLSEEIPEPLSYLQTILDITKEMENHHAKK >itb15g21760.t1 pep chromosome:ASM357664v1:15:24422643:24425000:1 gene:itb15g21760 transcript:itb15g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQIILLCFVIHILLLFSLSLETSTSQRREGRALVKWKNTLGSDSDDVLRSWSIANLDNICTNWTGIGCNYDGAVYKIELDSFGLSGTLESLDFNCFPNLTHFNLHDNSFSGSIPNAIVNLTHLVFLDLGENYLVGTIPIQISHLHHLTSLYLNGNYFTGPILESLFSNHTNFKSEANLSQLVFLDLSWNLFEGFIPAGIGRLTKLQLLNLGVNYFDGIIPSQISQLHHLTSLSLDKNSFIEGRFPEELFSNMTKLQTFSCGWNLFHGPFPTSLIKLSKLTLLDLSENYFYGSIPPTIGNLTLLEAFSVLGTLLHGNIPTTLCTLHSLEGLDLSESSFSGVIPQCLENLTLLRYLYLDFNMLHGNIPETLCNLQNSLELLFLSNNSLGGHLIFKYGKPRRLVKLILGD >itb03g15600.t1 pep chromosome:ASM357664v1:3:14905503:14905979:1 gene:itb03g15600 transcript:itb03g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGMAGGFYADAPPSHGGRGTKCGRWNPTTEQVKLLSDLFSGGLRTPTTDQIQKISCELSFYGKIESKNVFYWFQNHKARERHKRRRIVSVDDEEKDRVGVGSGERVVETLQLFPLNSIDELRFCGDNKKNAAFHCSSDIGIQMDHPMLDLRLSFI >itb06g01350.t1 pep chromosome:ASM357664v1:6:2585371:2589845:-1 gene:itb06g01350 transcript:itb06g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLVSQQFPDRQLVHMDQVPDKQESSVSGMQMAGNMPNNILHEFPVSNGPMNPVESVPGSGNRFGNSLVSVHQFGQMEYRTGVQSSSLLPGSASHQFVLSNQQGVIRNMPTNIDVQKLSVPSKRKAEAEPLLHGSPQQSSLPNKRATDAGSFSQFSSRLQHSLQNKKTEQVQPKVSPTASQALPASSRKIVRNESLSNRTGPQRAQAPKGRAIQVDPILKNQTVSFNAVRSKMRESLAGALALACKRKVATSPAKDENEDNIAQQLPADSQTLEGNLSSNGACSRALQKPNDAVPTTESLTSGKPGDAEEFSIGFPANEAMQVSGPGFNGIQCSTELAVEDIPFSDNFFVKDELLQGNGLSWVMDLEMEAREMNEVNDAAKPKLANEDENEGSDYQTKESPEDLAFKIEAELFKLFGGVNKKYKEKGRSLLFNLKDPNNPELRERVMSGEILPERLCSMTAEELASKELSEWRMAKAEELAQMVVLPDNDADMRRLVKKTHKGEYQVEFERDDKVDNIAAEISAGATSITQFQRKPKVAKAKAASEAVERESSADKNSAEKQDLSDSLVIPAEGTDLMQGMMVDEFKEAEFLPPIVTLDEFMESLNSEPPFENLPVDSSQSTPLSDKEGTVTGIKARATDSTSKGPSNASEGKPGEAIKKQAEPVNKEVEPEAVHKSISNVTAKKASPTGNASLVGSIWEGALQLTISTSVTVLCYFRSGETTSMKEWPNSLEVKGRVRIDAFEKFLQELPMSRSRAVMVTQFVLKDKSSEDERNSLSEAVESYVSDNRLGFAEPGPGVELYLCPPNGRVLDMLIKHLSKDRSELHDSTTDNALIGVVVWRKHHISSTISPNSTQHHKHTTFKKQQSMSSRRPQEKDNNVNFLPKGSSSAPAQLPPHDDGGEDDDDDIPPGFGPSKGSRDDDDLPEFNFAGNMNASSMSRYPQPQPRPQTVSSSAPAADQMRQLVQKYGQTGNNTVPGGFGIEPWNDDDDDDDIPEWRPQAPLPPPPNLRPPGGHAQGFHHHPPHLRMQTPHHHLPNIAPPPNASPRWPPPSGNFGNQQPGGQYYGSPTLRPGQPPGTDYRRDSSRRSRGF >itb13g25020.t1 pep chromosome:ASM357664v1:13:30513259:30516008:1 gene:itb13g25020 transcript:itb13g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMASSFSTSMQTLKCTRPKLLTLVKSIKTSQQLQSHFLGIESCLLTSKRRAHRLAAAVAQEEAAVTDTPPVVPDEEEESGGDDQSSGVNCKLYFGNLPYNCDSAQLAGIVQDYGSPELVEVLYDRETGKSRGFAFVTMSCVEDCNAVIENLDGRVFGGRTLRVNFSDKPKAKEPLYPETEYKLFVGNLAWSVTSEMLTEMFEAYGSVVGARVLYDGETGRSRGYGFVSYETREEMENAITSLNGVELEGRAMRISQAQGKKQ >itb13g25020.t2 pep chromosome:ASM357664v1:13:30513259:30516008:1 gene:itb13g25020 transcript:itb13g25020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMASSFSTSMQTLKCTRPKLLTLVKSIKTSQQLQSHFLGIESCLLTSKRRAHRLAAAVAQEEAAVTDTPPVVPDEEEESGGDDQSSGVNCKLYFGNLPYNCDSAQLAGIVQDYGSPELVEVLYDRETGKSRGFAFVTMSCVEDCNAVIENLDGRVFGGRTLRVNFSDKPKAKEPLYPETEYKLFVGNLAWSVTSEMLTEMFEAYGSVVGARVLYDGETGRSRGYGFVSYETREEMENAITSLNGVELEGRAMRISQAQGKKQ >itb07g00990.t2 pep chromosome:ASM357664v1:7:622045:626202:-1 gene:itb07g00990 transcript:itb07g00990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAEPAVGILLFWLCFLGGVTAAAEYVKYKDPKQPVGVRIRDLMKRMSLAEKVGQMTQIERHVASPDVINKYFIGSVLSGGGSTPVPKAPVEAWVNMVNEMQKGALSTRLGIPMLYGIDAVHGHNNVYGATVFPHNVGLGVTRDPELVKRIGAATALEVRATGLPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIIPGLQGDLPASSRKGIPFVSGRTKVAACAKHFVGDGGTFKGIDENNTVISLNGLFDIHMPAYIDSIRKGVSTVMVSYSSWNGLKMHANRALITGFLKDKLKFRGFVISDWEGVDKITDPPRANYTYAVQSAVLAGIDMVMGQERLTEFLDILTSLVRKNIIPMSRIDDAVRRILRVKFVMGLFDNPIADLSLKNHLGSKEHRELAREAVRKSLVLLKNGKTANHPLLPLPKKATKILVAGIHADNIGYQCGGWTITWQGLGGNDITIGTTILSAVKRTVDPSTQVVYQENPDTKFVSANHFDYAIVVVGELPYAEMFGDSSNLTIAVPGTSIINNVCGAVRCVVVVISGRPVVMEPYVNKVDAIVAAWLPGTEGQGVADLLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGLVTKAV >itb07g00990.t1 pep chromosome:ASM357664v1:7:622042:626274:-1 gene:itb07g00990 transcript:itb07g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAEPAVGILLFWLCFLGGVTAAAEYVKYKDPKQPVGVRIRDLMKRMSLAEKVGQMTQIERHVASPDVINKYFIGSVLSGGGSTPVPKAPVEAWVNMVNEMQKGALSTRLGIPMLYGIDAVHGHNNVYGATVFPHNVGLGVTRDPELVKRIGAATALEVRATGLPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIIPGLQGDLPASSRKGIPFVSGRTKVAACAKHFVGDGGTFKGIDENNTVISLNGLFDIHMPAYIDSIRKGVSTVMVSYSSWNGLKMHANRALITGFLKDKLKFRGFVISDWEGVDKITDPPRANYTYAVQSAVLAGIDMVMGQERLTEFLDILTSLVRKNIIPMSRIDDAVRRILRVKFVMGLFDNPIADLSLKNHLGSKEHRELAREAVRKSLVLLKNGKTANHPLLPLPKKATKILVAGIHADNIGYQCGGWTITWQGLGGNDITIGTTILSAVKRTVDPSTQVVYQENPDTKFVSANHFDYAIVVVGELPYAEMFGDSSNLTIAVPGTSIINNVCGAVRCVVVVISGRPVVMEPYVNKVDAIVAAWLPGTEGQGVADLLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGLVTKAV >itb07g00990.t3 pep chromosome:ASM357664v1:7:622045:626202:-1 gene:itb07g00990 transcript:itb07g00990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFAEPAVGILLFWLCFLGGVTAAAEYVKYKDPKQPVGVRIRDLMKRMSLAEKVGQMTQIERHVASPDVINKYFIGSVLSGGGSTPVPKAPVEAWVNMVNEMQKGALSTRLGIPMLYGIDAVHGHNNVYGATVFPHNVGLGVTRDPELVKRIGAATALEVRATGLPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIIPGLQGDLPASSRKGIPFVSGRTKVAACAKHFVGDGGTFKGIDENNTVISLNGLFDIHMPAYIDSIRKGVSTVMVSYSSWNGLKMHANRALITGFLKDKLKFRGFVISDWEGVDKITDPPRANYTYAVQSAVLAGIDMVMGQERLTEFLDILTSLVRKNIIPMSRIDDAVRRILRVKFVMGLFDNPIADLSLKNHLGSKEHRELAREAVRKSLVLLKNGKTANHPLLPLPKKATKILVAGIHADNIGYQCGGWTITWQGLGGNDITIGTTILSAVKRTVDPSTQVVYQENPDTKFVSANHFDYAIVVVGELPYAEMFGDSSNLTIAVPGTSIINNVCGAVRCVVVVISGRPVVMEPYVNKVDAIVAAWLPGTEGQGVADLLFGDYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGLVTKAV >itb13g16070.t1 pep chromosome:ASM357664v1:13:22967993:22976874:1 gene:itb13g16070 transcript:itb13g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKSEVSQRIVKIGQRFASHKACPGKDALVKLLKEAVDALEQLEQSESLKSMLTPLSRSLVRFALLKHKDRDVRLLVGICFCEILRVLAPNPDFSDATFRGIFRLLLSIFAELDDTKHAFFDKRVKLLETVAKLRCCVLMLDFGCEDLVLKMFKTFFSIVRDHHPLSMVHAMSSIMTAVLEEKVVDNSSELLSSEGNVSEPLLDVILQNLLKETKDISASKRLAVYIIQNYGGKLEHIIARDHHPLSMVHAMSSIMTAVLEEKVVDNSSELLSSEGNVSEPLLDVILQNLLKETKDISASKRLAVYIIQNYGGKLEHIIARFLRSCILNRDAVGSMVKEYYHEIIYEIFHCAPQMLISVIPTLTNELLTDQVDVRIKALNLIGKLLSLPGNHVAKDYRYLFMEFLNRFSDKSAEVRLNALSHAKTLYIANELETEITKSSQRPKTEVLSALEGRLLDLDDGVRAKAVTVLCDLAKNNFKSFPSTLISLIADRLRDKKVSVRTKALKKLLEVYQEYCTKCASQVLDLNDSLEQIPCKILMLCYGKDCEEFKPEKVEHLLAEDLFPASLSTKEITKHWVFIFSLFTPPHLKALNIILSQKRRLQKEIQDYLALQNRDDVPFWP >itb13g16070.t2 pep chromosome:ASM357664v1:13:22967993:22976874:1 gene:itb13g16070 transcript:itb13g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKSEVSQRIVKIGQRFASHKACPGKDALVKLLKEAVDALEQLEQPKPDREKALDALEQLEQSESAREAVDALEQLEQSESLKSMLTPLSRSLVRFALLKHKDRDVRLLVGICFCEILRVLAPNPDFSDATFRGIFRLLLSIFAELDDTKHAFFDKRVKLLETVAKLRCCVLMLDFGCEDLVLKMFKTFFSIVRDHHPLSMVHAMSSIMTAVLEEKVVDNSSELLSSEGNVSEPLLDVILQNLLKETKDISASKRLAVYIIQNYGGKLEHIIARDHHPLSMVHAMSSIMTAVLEEKVVDNSSELLSSEGNVSEPLLDVILQNLLKETKDISASKRLAVYIIQNYGGKLEHIIARFLRSCILNRDAVGSMVKEYYHEIIYEIFHCAPQMLISVIPTLTNELLTDQVDVRIKALNLIGKLLSLPGNHVAKDYRYLFMEFLNRFSDKSAEVRLNALSHAKTLYIANELETEITKSSQRPKTEVLSALEGRLLDLDDGVRAKAVTVLCDLAKNNFKSFPSTLISLIADRLRDKKVSVRTKALKKLLEVYQEYCTKCASQVLDLNDSLEQIPCKILMLCYGKDCEEFKPEKVEHLLAEDLFPASLSTKEITKHWVFIFSLFTPPHLKALNIILSQKRRLQKEIQDYLALQNRDDVPFWP >itb07g23100.t1 pep chromosome:ASM357664v1:7:27581484:27585079:1 gene:itb07g23100 transcript:itb07g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALTSLTTTMKLEFLQPNNPRVPLDDEASISINSLFEKLSSLQAFVQEKSGTREMEMKIRDFALEAEDRIEIQLSNFLLAKNGEDQQKASQKLNQILQEAAENAAELLKLSSSISKEAVKNESEGSVIPWTKHSPVMVEEGRMVGRRGDHNRIVDELIADDDELAVLTKVITIVGMTGIGKTTLATSVYNDPIVASHFDVRGWVTMSREYNKTQTLHNLLWALTKEPNIPDDDDDAARQVCNFLRGKKFLIVLDNLWNPQAWHDILLCLPGEEEGGDEEEWGNGSRVLQTTTHLRTNDLFVGDDRHCLEFYVHRMTLLNPEESWVLFCNLFSQRNKAPEVYEKIRSQVVKACEGLPHSIVVVAKRLSKCDNVNQEWKKVEKEIELLGVLDRRALTHTYNQLPQHLKVCFLYFGVFPKRSAIKVKLLFRLWIAEGFINPLGGKELESQAYKYLLEFISRSLILIDNWSSSYGKVKNCRMHSALHSFCVREAQKEGIFCALNTQQLPRGSFRMFANSCRWLSLYTHKFDYYVLLRTNNPRSIFFFQEDADEMYVSFKLIRVLAFVPSSFLKKVPTRLQDLIFLRYLSVTEWFEGLDYVVSTNRNLQTLVVSGKESQFGAPTLYLPSTIWESPQLQHLELDKCYVIDPPSMDKDNMQTLSWVCPTHCRTGVYCRFPNIKILKVFVFCSNPVILDNLEYLERLERLSILVSFGCIVTLPKPSMFPSQLKKLRLNGTNLTGRDLKVIGMLHGLEILKLENAFCGKVWEVEDGLFFGLNFLLLEDKTLEQWTVGYRSFPRLKHLILRFCDCLKEIPKVMAKIHILKSIELQWCCPSLITSTKCIKEEREDFAVDLEIKIKGSACITDEEESIPSDDR >itb07g23100.t2 pep chromosome:ASM357664v1:7:27581484:27585079:1 gene:itb07g23100 transcript:itb07g23100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALTSLTTTMKLEFLQPNNPRVPLDDEASISINSLFEKLSSLQAFVQEKSGTREMEMKIRDFALEAEDRIEIQLSNFLLAKNGEDQQKASQKLNQILQEAAENAAELLKLSSSISKEAVKNESEGSVIPWTKHSPVMVEEGRMVGRRGDHNRIVDELIADDDELAVLTKVITIVGMTGIGKTTLATSVYNDPIVASHFDVRGWVTMSREYNKTQTLHNLLWALTKEPNIPDDDDDAARQVCNFLRGKKFLIVLDNLWNPQAWHDILLCLPGEEEGGDEEEWGNGSRVLQTTTHLRTNDLFVGDDRHCLEFYVHRMTLLNPEESWVLFCNLFSQRNKAPEVYEKIRSQVVKACEGLPHSIVVVAKRLSKCDNVNQEWKKVEKEIELLGVLDRRALTHTYNQLPQHLKVCFLYFGVFPKRSAIKVKLLFRLWIAEGFINPLGGKELESQAYKYLLEFISRSLILIDNWSSSYGKVKNCRMHSALHSFCVREAQKEGIFCALNTQQLPRGSFRMFANSCRWLSLYTHKFDYYVLLRTNNPRSIFFFQEDADEMYVSFKLIRVLAFVPSSFLKKVPTRLQDLIFLRYLSVTEWFEGLDYVVSTNRNLQTLVVSGKESQFGAPTLYLPSTIWESPQLQHLELDKCYVIDPPSMDKDNMQTLSWVCPTHCRTGVYCRFPNIKILKVFVFCSNPVILDNLEYLERLERLSILVSFGCIVTLPKPSMFPSQLKKLRLNGTNLTGRDLKVIGMLHGLEILKLENAFCGKVWEVEDGLFFGLNFLLLEDKTLEQWTVGYRSFPRLKHLILRFCDCLKEIPKVMAKIHILKSIELQWCCPSLITSTKCIKEEREDFAVDLEIKIKGSACITDEEESIPSDDR >itb05g25490.t1 pep chromosome:ASM357664v1:5:29868373:29869331:-1 gene:itb05g25490 transcript:itb05g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSTVTLRSLSHPAPSASNSLLPATAFPKQAVQFPVRAPKLPRRATFVRPIAAVGAPEKVVQLGDEISNLTLADAQKLVEYLQDKLGVSAAMMAPAAAVVAAPGAAGAGEAAAVVEEKTEFDVVIEEVPSNARIAAIKAIRTLTSLALKEAKELIEGLPKKFKEGVSKEDAEEAKKQLEAAGAKVSIV >itb03g15610.t1 pep chromosome:ASM357664v1:3:14907459:14908892:-1 gene:itb03g15610 transcript:itb03g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLKSSTTLIAKWTVFPAEKSTLPDLKLSVSDLPMLSTHYIQKGGLFTRPPFSISHLISLLKKSLSQTLTNFPPLAGRLVTDSEGYVYVACNDAGADFVHAGATDVYVRDVIGGVDVPEEVTEFFPFDRTVSFQGHFRPILGVQVTELADGVFIGCAVNHAVADGTSFWNFFNTFAEVTRGVKRITRQPEFSRNSVLISPAVLKLPAGGPKVTFALDAPLRERIFSFSRESILKLKAKTNSQKFDVNGGIDVVELMGKESNDPLKISNGKVTPLNWIINTVTKPEKPGEEISSFQSLCALLWRAVTRARHLPPSKTTTFRMAVNCRHRLDPKLDPLYFGNAIQSIPTYAPAGDVLSRDLRWCAEQLNKNVKSHDDVMVRNYVGAWESDPRCFPLGNFDGAMLTMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGREGGGTVDLEVILTPETMAGLESDPEFMQYVSGY >itb01g11190.t2 pep chromosome:ASM357664v1:1:9862754:9864280:-1 gene:itb01g11190 transcript:itb01g11190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNCDNKPLFLISIFLIIIIILFNVTESTETTEFQQRELLSYGGDPLAIDPTLEFPNPRLKNAYIALQAWKEAIISDPYRITENWVGSDVCNYTGVFCWWAPDDPSELTVAGIDINHADVAGTLPHELGLLYDISLFHINSNRFCGTLPRSFSNLKLLFELDLSNNRFAGKFPSPVLQLSDLKYLDLRFNEFEGGIPAELFEMELDAIFVNNNRFSSELPGNLGNSKVSVIVLANNQFRGCLPRSLGKMSGTLNELVLSNNAFRSCLPSEIGELRNLSVLDVSRNKMVGELPAESIGRMMGLKELNLGHNMFSGEVSDKICSLDSLLSFDYEYNFFTKDDSTCLNLAAFDDTKNCLRDREDQRSELECKRVLSQATTVNCSNYKCGSPPYHPCPSPPPQPESPPPPPSSPPKSPPPPPPSPPPPPPSPQPESPPPPPSPSHCNCNVTTSPPPPPHSNSPPPPPPPPPTAYTPPPPPPSPLPCQEKTPPPTENSPSPSSPSSKLTPQN >itb01g11190.t1 pep chromosome:ASM357664v1:1:9862632:9863419:-1 gene:itb01g11190 transcript:itb01g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGELPAESIGRMMGLKELNLGHNMFSGEVSDKICSLDSLLSFDYEYNFFTKDDSTCLNLAAFDDTKNCLRDREDQRSELECKRVLSQATTVNCSNYKCGSPPYHPCPSPPPQPESPPPPPSSPPKSPPPPPPSPPPPPPSPQPESPPPPPSPSHCNCNVTTSPPPPPHSNSPPPPPPPPPTAYTPPPPPPSPLPCQEKTPPPTENSPSPSSPSSKLTPQN >itb04g17150.t1 pep chromosome:ASM357664v1:4:19699786:19701411:-1 gene:itb04g17150 transcript:itb04g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNLDIPQNNTFLLPRDLLAAIDHLIGLKFGMGTLDDMNHLQNKRIRSVADLLQDQFGNFRVVFTCPSSNFSLQQVKNLKSVCRDETFVLDLRFQTTLKEKTDETPAWKSPGGSRRGRNLLINIHYHGGDAVEQIDETPTPRHGGDAREQTDETPNYVNIGILLP >itb04g22930.t2 pep chromosome:ASM357664v1:4:28162741:28166679:-1 gene:itb04g22930 transcript:itb04g22930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLITELRAKQKEIGELELVISECQDDKEMQKMASEELSQAIEGEKRLQYLLLKSLLPKDDADERNCILEVRAGTGGEEASLFAMDIFKMYEKYSMKKGWKFEILDVAESDLKGYKEASAAVSGTGVYGKLKFESGIHRVQRVPATEKSGRVHTSAVSVAILPQADQVDVQLRNEDLKIDTYRAGGAGGQHVNTTNSAVRITHIPSGLTVAIQDERSQHMNKTKALKVLCAKLFEMERCRIQTSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITSHCIDDMMQGESLDCFIDALLLQQEMDAIASFSSSHNTCS >itb04g22930.t1 pep chromosome:ASM357664v1:4:28161997:28166679:-1 gene:itb04g22930 transcript:itb04g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMMRTQVQRQGFWRRNHISLLREWFKPHKGFKDKTFTPAVVNPLGSASVTSIPSVFNLVRFCSTELRPHLSLDLVKIMDQRLSSIESRTSYLQSVLDQPELSPPEYSVTNKELRKLRDVMQLITELRAKQKEIGELELVISECQDDKEMQKMASEELSQAIEGEKRLQYLLLKSLLPKDDADERNCILEVRAGTGGEEASLFAMDIFKMYEKYSMKKGWKFEILDVAESDLKGYKEASAAVSGTGVYGKLKFESGIHRVQRVPATEKSGRVHTSAVSVAILPQADQVDVQLRNEDLKIDTYRAGGAGGQHVNTTNSAVRITHIPSGLTVAIQDERSQHMNKTKALKVLCAKLFEMERCRIQTSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITSHCIDDMMQGESLDCFIDALLLQQEMDAIASFSSSHNTCS >itb13g14600.t1 pep chromosome:ASM357664v1:13:21217217:21221076:1 gene:itb13g14600 transcript:itb13g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAPATEKHEIVIIGGGICGLATALALHRKGLKSIVLERSETLRAQGGGIGLLPNAWRALDQLGVGSRLRSMAVLLQGGRDISLDENKERKMEHVIGESRCVKRSDLITVLADELPIGTIRLGSEVVSIDLDSITETPRLLLTCGSYIDAEIVIGCEGGRSKVAELLGLKASRAFDVGAIRGLTTYPNAHSMPHELRRIRKGEIGVGMLPITQHLIHWVVALPTHLLSGDKFPHDPKHIKQMTLELIKDFPSNIHETIELSDLDSLSAAHLWYRAPWDLLLGTMRKGTITVAGDAMHVMGPFIGQGGACGLEDAVVLGRCLAKAMSGIEGNNKKEKIAKIEAGLDQYVRERRMRVLGLSTLTYLIGIIVGSTSPIVKMVAGFAMAILFRDRASHVQFDCGKL >itb11g04910.t1 pep chromosome:ASM357664v1:11:2635596:2640012:-1 gene:itb11g04910 transcript:itb11g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTSSESTCGNRSNGEKVSPECFGIGMFGRKRTFYNHTRALQHLASIPNRIFTNGRSRSSCIFTQQGRKGINQDAMIVWEDFMAEDVTFCGVFDGHGPHGHMVARKVRDALPLKLLSFLQSSESKHSKSTANCCKRNPKLDVVDPEKEDLTEDKAGSFWRDAFLKSYKAMDKELRSHPNIDCFCSGSTAVTIVKQGSNLFMGNIGDSRAILASKDSNDMMVAIQLTVDLKPDLPKEAERIKRCKGRVFALQDEPEVQRVWLPFDNAPGLAMARAFGDFCLKEYGVISIPEVSHRVLTDRDKFIVLASDGVWDVLSNEEVVEIVSGAPLRSSAARTVVESAAREWKTKYPTSKMDDCAVVCLFLDGKMDSESDYEEQGFSSATIQSNHSGNVAESDDGQNIEPSLQRNCTVRSAEENDAYKIIVAAAADTQTNEEPVEVSENQNWSGLEGVTRVNSLVQLPRFSGERQRP >itb15g23520.t1 pep chromosome:ASM357664v1:15:26354529:26357493:-1 gene:itb15g23520 transcript:itb15g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNESIAETLNAYTIIMGRLLRSPGEQWLIKCNDAGLRVIEAKAKGSVDEWLHNVDRDKELKLVHWEPMYSESYFWSPFYVQITEFEEGGVSIGLSCTHLLADPTSATMFIKAWADMTFTGKMISPPHFHPLPPRRPFNHNPYHNHIYSTHLINHYKKPTTDKPPSQTSSDRPTTITLLFTDEMVRACMSMAQTPDAFDALAGLFWTRISTAKNVGLMDLTVGLDVRKVLGLDKGFYGNCMVYNKVKWSSGSMILGDDLLSQAANAIRNMIKEMDKEGIMDLIEWLDQNNCRASNVLDGCDSLVCVNLEGVDPYSACFGDNIDPVHVSYYVEPEIGPGTRLLILPSPPGTGALSRTVMVTLPENETVKLMEDQLIHQLSPCIKMGV >itb15g02210.t3 pep chromosome:ASM357664v1:15:1349069:1352427:-1 gene:itb15g02210 transcript:itb15g02210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEAGELVQKPITSVLSSPRPGETSEKDELSALKAGLRKVKIFTDYVSVRRAKKPCREEDSSDCRWSRSEEGDYAYPFDTESLDGLDGGELDEGKGDSPVRKSFSYGTLAYANFAGALFYSNTRISAQDEDLVYYSNRRSDVGCSNADDWNSEAPVPVILQNSKRSILPWRKRKLSFRSPKGKGEPLLKKNYWEDGGDDIDYDRRQLSSDESISFGWQTVGEDTIANQSSLSGFCDDSFAVGCWEQKDITSRDGHMMLQTQVFFASIDQRSEQAAGESACTALVAVIADWLQTNCDLMPVKSQFDSLIREGSLEWRNLCQNETYRERFPDKHFDLETVLQAKIRSISVVPSKSFIGFFHPDGIDEGRFDFLHGAMSFDSIWDEISRAEMDCANSDEPQVYIVSWNDHFFVLKIEPEAYYIIDTLGERLYEGCNYAYILKFDKDTSVYKLPEEAAQSSDENQLQIVSPAAEPKNANANAPPSNSDEASSDAQMAVSGLEEPAKTEQNEVICRGKESCKRYIKDFLAAIPIRELQADMKRGLVTSVPLHHRLQIEFHYTRSQPAPLTPAIEAATSGHSETPAVEAASQQPVEFALTEDAAT >itb15g02210.t1 pep chromosome:ASM357664v1:15:1349030:1352427:-1 gene:itb15g02210 transcript:itb15g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMMKWRPWPPLISKKLEVRLVVRRLENLGGGDDWLSGGASVEIGWKGPPRVALSSFRRTVKRNCTKEEGVKNGPDGAVLVEWDEAFQNVCNLTGRDNSFHPWEISFTVFFNVSNQGAKNKVHTVGTAVLNLAEFAAKAEEKEFSLNIPLAVSGSGSETRPALCICLSLLEVMAAQEAGELVQKPITSVLSSPRPGETSEKDELSALKAGLRKVKIFTDYVSVRRAKKPCREEDSSDCRWSRSEEGDYAYPFDTESLDGLDGGELDEGKGDSPVRKSFSYGTLAYANFAGALFYSNTRISAQDEDLVYYSNRRSDVGCSNADDWNSEAPVPVILQNSKRSILPWRKRKLSFRSPKGKGEPLLKKNYWEDGGDDIDYDRRQLSSDESISFGIEHVRCINFCQIVELVFTRHLKTQLWQTVGEDTIANQSSLSGFCDDSFAVGCWEQKDITSRDGHMMLQTQVFFASIDQRSEQAAGESACTALVAVIADWLQTNCDLMPVKSQFDSLIREGSLEWRNLCQNETYRERFPDKHFDLETVLQAKIRSISVVPSKSFIGFFHPDGIDEGRFDFLHGAMSFDSIWDEISRAEMDCANSDEPQVYIVSWNDHFFVLKIEPEAYYIIDTLGERLYEGCNYAYILKFDKDTSVYKLPEEAAQSSDENQLQIVSPAAEPKNANANAPPSNSDEASSDAQMAVSGLEEPAKTEQNEVICRGKESCKRYIKDFLAAIPIRELQADMKRGLVTSVPLHHRLQIEFHYTRSQPAPLTPAIEAATSGHSETPAVEAASQQPVEFALTEDAAT >itb15g02210.t2 pep chromosome:ASM357664v1:15:1349069:1352427:-1 gene:itb15g02210 transcript:itb15g02210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMMKWRPWPPLISKKLEVRLVVRRLENLGGGDDWLSGGASVEIGWKGPPRVALSSFRRTVKRNCTKEEGVKNGPDGAVLVEWDEAFQNVCNLTGRDNSFHPWEISFTVFFNVSNQGAKNKVHTVGTAVLNLAEFAAKAEEKEFSLNIPLAVSGSGSETRPALCICLSLLEVMAAQEAGELVQKPITSVLSSPRPGETSEKDELSALKAGLRKVKIFTDYVSVRRAKKPCREEDSSDCRWSRSEEGDYAYPFDTESLDGLDGGELDEGKGDSPVRKSFSYGTLAYANFAGALFYSNTRISAQDEDLVYYSNRRSDVGCSNADDWNSEAPVPVILQNSKRSILPWRKRKLSFRSPKGKGEPLLKKNYWEDGGDDIDYDRRQLSSDESISFGTVGEDTIANQSSLSGFCDDSFAVGCWEQKDITSRDGHMMLQTQVFFASIDQRSEQAAGESACTALVAVIADWLQTNCDLMPVKSQFDSLIREGSLEWRNLCQNETYRERFPDKHFDLETVLQAKIRSISVVPSKSFIGFFHPDGIDEGRFDFLHGAMSFDSIWDEISRAEMDCANSDEPQVYIVSWNDHFFVLKIEPEAYYIIDTLGERLYEGCNYAYILKFDKDTSVYKLPEEAAQSSDENQLQIVSPAAEPKNANANAPPSNSDEASSDAQMAVSGLEEPAKTEQNEVICRGKESCKRYIKDFLAAIPIRELQADMKRGLVTSVPLHHRLQIEFHYTRSQPAPLTPAIEAATSGHSETPAVEAASQQPVEFALTEDAAT >itb15g02210.t4 pep chromosome:ASM357664v1:15:1349026:1352427:-1 gene:itb15g02210 transcript:itb15g02210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKMMKWRPWPPLISKKLEVRLVVRRLENLGGGDDWLSGGASVEIGWKGPPRVALSSFRRTVKRNCTKEEGVKNGPDGAVLVEWDEAFQNVCNLTGRDNSFHPWEISFTVFFNVSNQGAKNKVHTVGTAVLNLAEFAAKAEEKEFSLNIPLAVSGSGSETRPALCICLSLLEVMAAQEAGELVQKPITSVLSSPRPGETSEKDELSALKAGLRKVKIFTDYVSVRRAKKPCREEDSSDCRWSRSEEGDYAYPFDTESLDGLDGGELDEGKGDSPVRKSFSYGTLAYANFAGALFYSNTRISAQDEDLVYYSNRRSDVGCSNADDWNSEAPVPVILQNSKRSILPWRKRKLSFRSPKGKGEPLLKKNYWEDGGDDIDYDRRQLSSDESISFGWQTVGEDTIANQSSLSGFCDDSFAVGCWEQKDITSRDGHMMLQTQVFFASIDQRSEQAAGESACTALVAVIADWLQTNCDLMPVKSQFDSLIREGSLEWRNLCQNETYRERFPDKHFDLETVLQAKIRSISVVPSKSFIGFFHPDGIDEGRFDFLHGAMSFDSIWDEISRAEMDCANSDEPQVYIVSWNDHFFVLKIEPEAYYIIDTLGERLYEGCNYAYILKFDKDTSVYKLPEEAAQSSDENQLQIVSPAAEPKNANANAPPSNSDEASSDAQMAVSGLEEPAKTEQNEVICRGKESCKRYIKDFLAAIPIRELQADMKRGLVTSVPLHHRLQIEFHYTRSQPAPLTPAIEAATSGHSETPAVEAASQQPVEFALTEDAAT >itb03g20930.t1 pep chromosome:ASM357664v1:3:18761935:18762513:-1 gene:itb03g20930 transcript:itb03g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNTSRGVTRGQHRVPLARIENEVHGLVTFSKRRTILFKKASEMSTLCGTEIAMVVFSPSGKPFTFSNADMNTVLTKYFGEIPTTEANIAEPIIRAHQEAKMRAMTSQINILEAQIDEEMLVNRALREAKKGRPSNSDLQLPELQPMKHRMETLRDQVMEKLNMFSIMKAQSQAMETRFGANDGAGPSGV >itb01g29530.t2 pep chromosome:ASM357664v1:1:33757276:33770836:-1 gene:itb01g29530 transcript:itb01g29530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHHHQRYVQRPHPPPPPPPNFPDSPNCFNHRPPPPPPPHPNLHPPLPPPRHYHHRLPPPPPPRRFSFHPENPQFFDFVKNRDSPPTPHSIPTLEPWSDLSPRFPPPQPLHHRQTPFLLPLNDQTDNSRFIRDDRVIKNNGFRANRSIANQEPLWGRKDSGFDDDDDVDKFRFKSGFQRPSIQHRLDIRDDREEDLRWGYRDVNDVLDSSSRRLSLDNSSYGYDQRRFSNRVREGVEEYRRSPRNKYMQKKSAPLRIQLGKTNNRIRYQDRHYSRGFVDNSSLAGSRGKEKDGNARAGKRVEERESSPVELDVSFKSNALVAKPIVAPSSPAVESDKNLTPRVPKARKLRMSGSPPTKWSEDFGKCENSANGSDCPLNYEKRSRELEDNVSGTEKASTSVNNACIPMKNTVLDRGTNAIGNDGSPLRKIRHKKKVMTSVMEKTGLLQKESGVNPVSANRSSNNPSSVSQLDDDTIHEEERVVSHGMNEVQDFDLVHSSNEELVTNKIHESSEPVVSARDDSSRPFNSKRKRACLSVIAVSESQVDTKISEHAHPESRSLDNYPLFNSKTSIVEPHKSPTGSSIDKEHDYEEKPCQNADLMIETNLVQESTGHMLSMENNGCAHISSSEETMIHKDGMNVLSLTADACAASESLQRFSKSPENVLDVGYLHAGSEQFFVNSLTIPHTDGISKEPPDSFFLVRDVSNACSLSAEKTIVHQGLSSVSCSNPCSSTSTNSNVSGPCTGIISVSQSFFPNDIIRKSGADGDIASLDIGRCNVSPLPSIGVEDCHDLCLGREYSLEANRKRKARDIQLNFSSPRTSDTPINLCSPLDLSKGMNCVEEDKVTAPENADNSSYRGQLHEGSSRNDISVRGDLGTGSYVTTPKNLKKRKCSSPALGHTILSETFEGLDEVNMPEPPTEELSIGDNAITCTVSQVENCCAALSPCIQPMITLHDNSTNRGSFLGESDGDGFLGYLSKLEQVPSFPSDMGAGEKESTSVVSKGNNQDGPLGMATKICEEKFTSSMDDMVPTEGDGECHNGLSTSNFSDEMTGPIPDASTIKSSPFQVSNEVDSEVDPTDNELIVSSGDILSFSVKTSSDNSKVDGYAVNAISSNHPMKITASPSPPESYKPSTVSISSSQPDTFKPPQSLKSVPSKSILSKNKMSPVLPRGYSGSSSVSFITSRKAALPTHVAKPRTWHRTGNSSSVTGSVSIVSSIPPRSQSPNDTMNIRNSYIRKGNSLVRNLLNTGTVAHGYHASSSSVYRLNSSSIKDGKKFKSDNVVDTSDPSSCTKTSGSNTLSQRLNCKPLNCTSNNLGNPTPLPVADQSGSGSLGRELDGLELTAVMSVQKCSEDAAKSFQCLADSVNKLEIQNTSDEGNSRKKLLYLKRRSNQLVAAPDTTPTSSSDGYYKRRKNQLVRTITENHVKQGAAVVDDGLNSERQVAEKAITKKQTVLPNVHNRSKFSLVWTLNGTKSSREDGSSFNHKVRPYMFPWKRATNLRSFVQSLGSIPNDHSISTIRQKLLFSRKRDTVYTRSAYGLSLRRSQVLSVCGASLKWSKSIERNSRKANQEATLAVAAAEKRKRGQNSIAPSNSNRRNNVSRERIFRIGSERYKMDPTGKTLQRISSDEEPAEDVPQSESNAKTSYIPRRLLIGNDEYVRIGNGNKLVRNPKRRVRILANQKVRWSLHTARLRLAKKKKYCQFFTRFGKCNKDNGKCPYIHDPSKIAVCTKFLSGSCSNLDCKLTHKVIPERMQDCSYFLQGLCSNESCPYRHVNVNPNSSVCEGFLRGYCSDGNEVFCLVLCFHLL >itb01g29530.t1 pep chromosome:ASM357664v1:1:33757276:33770836:-1 gene:itb01g29530 transcript:itb01g29530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHHHQRYVQRPHPPPPPPPNFPDSPNCFNHRPPPPPPPHPNLHPPLPPPRHYHHRLPPPPPPRRFSFHPENPQFFDFVKNRDSPPTPHSIPTLEPWSDLSPRFPPPQPLHHRQTPFLLPLNDQTDNSRFIRDDRVIKNNGFRANRSIANQEPLWGRKDSGFDDDDDVDKFRFKSGFQRPSIQHRLDIRDDREEDLRWGYRDVNDVLDSSSRRLSLDNSSYGYDQRRFSNRVREGVEEYRRSPRNKYMQKKSAPLRIQLGKTNNRIRYQDRHYSRGFVDNSSLAGSRGKEKDGNARAGKRVEERESSPVELDVSFKSNALVAKPIVAPSSPAVESDKNLTPRVPKARKLRMSGSPPTKWSEDFGKCENSANGSDCPLNYEKRSRELEDNVSGTEKASTSVNNACIPMKNTVLDRGTNAIGNDGSPLRKIRHKKKVMTSVMEKTGLLQKESGVNPVSANRSSNNPSSVSQLDDDTIHEEERVVSHGMNEVQDFDLVHSSNEELVTNKIHESSEPVVSARDDSSRPFNSKRKRACLSVIAVSESQVDTKISEHAHPESRSLDNYPLFNSKTSIVEPHKSPTGSSIDKEHDYEEKPCQNADLMIETNLVQESTGHMLSMENNGCAHISSSEETMIHKDGMNVLSLTADACAASESLQRFSKSPENVLDVGYLHAGSEQFFVNSLTIPHTDGISKEPPDSFFLVRDVSNACSLSAEKTIVHQGLSSVSCSNPCSSTSTNSNVSGPCTGIISVSQSFFPNDIIRKSGADGDIASLDIGRCNVSPLPSIGVEDCHDLCLGREYSLEANRKRKARDIQLNFSSPRTSDTPINLCSPLDLSKGMNCVEEDKVTAPENADNSSYRGQLHEGSSRNDISVRGDLGTGSYVTTPKNLKKRKCSSPALGHTILSETFEGLDEVNMPEPPTEELSIGDNAITCTVSQVENCCAALSPCIQPMITLHDNSTNRGSFLGESDGDGFLGYLSKLEQVPSFPSDMGAGEKESTSVVSKGNNQDGPLGMATKICEEKFTSSMDDMVPTEGDGECHNGLSTSNFSDEMTGPIPDASTIKSSPFQVSNEVDSEVDPTDNELIVSSGDILSFSVKTSSDNSKVDGYAVNAISSNHPMKITASPSPPESYKPSTVSISSSQPDTFKPPQSLKSVPSKSILSKNKMSPVLPRGYSGSSSVSFITSRKAALPTHVAKPRTWHRTGNSSSVTGSVSIVSSIPPRSQSPNDTMNIRNSYIRKGNSLVRNLLNTGTVAHGYHASSSSVYRLNSSSIKDGKKFKSDNVVDTSDPSSCTKTSGSNTLSQRLNCKPLNCTSNNLGNPTPLPVADQSGSGSLGRELDGLELTAVMSVQKCSEDAAKSFQCLADSVNKLEIQNTSDEGNSRKKLLYLKRRSNQLVAAPDTTPTSSSDGYYKRRKNQLVRTITENHVKQGAAVVDDGLNSERQVAEKAITKKQTVLPNVHNRSKFSLVWTLNGTKSSREDGSSFNHKVRPYMFPWKRATNLRSFVQSLGSIPNDHSISTIRQKLLFSRKRDTVYTRSAYGLSLRRSQVLSVCGASLKWSKSIERNSRKANQEATLAVAAAEKRKRGQNSIAPSNSNRRNNVSRERIFRIGSERYKMDPTGKTLQRISSDEEPAEDVPQSESNAKTSYIPRRLLIGNDEYVRIGNGNKLVRNPKRRVRILANQKVRWSLHTARLRLAKKKKYCQFFTRFGKCNKDNGKCPYIHDPSKIAVCTKFLSGSCSNLDCKLTHKVIPERMQDCSYFLQGLCSNESCPYRHVNVNPNSSVCEGFLRGYCSDGNECRKKHTYVCPDFEATGNCPQGSKCKLHHPKNKRKGIRREGALACNEKKNDRGRYFGSPHIDISECIRAVSEDKSSDEDFFFKEGRFVDFISLDANVEEQQIIDQSSVERCEEGGPLHLQIAAAAADFDELIKPIRLINRNRTVDSSSMGTDSPSEMSTSYDVSEESHSHCCKNELL >itb15g14900.t1 pep chromosome:ASM357664v1:15:13363772:13366626:1 gene:itb15g14900 transcript:itb15g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKAKVDYEKIVRDTCRKIGFVSDDVGLDADNCKVLVYIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNENGAMVPVRVHTVLISTQHDETVTNDEIAHDLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKMVKENFDFRPGMIAIDLDLKRGGNSRFLKTAAYGHFGRDDSDFTWEVVKPLKWEKPQN >itb02g02110.t1 pep chromosome:ASM357664v1:2:1162389:1169773:-1 gene:itb02g02110 transcript:itb02g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSESSDDEETNSNHAITSEAFHSIRDRFRFKRNPNSSSTLPRVSRGPQSSAAAADRQWKASGGGRSHHHRSLTRRMLLFFSFRGKSCFYFCIFFVIFMFALASMALQSSIMSALRQGAGSERGRWRWHVREDLKLGTSLEFKPSRRFEFGNGLDRLRSQPRIGVRLPRIGLILGDMKKNQLSLMLYTVMKNLKGLGYLIKIYALEDGNVSSLWETIGKVSVLDAERYSYIDWSIFDGVIADSLEDKRAISSLMQEPFCSVPLIWIIHQDTLANRLQVYESMGWEHLILHWRSAFRRADVIVFPDFFLPMLYSVLDTGNFFVIPGSPKDVWAAESYSKTHSKYQLREEYGFDKNQILVMVVGSSFLYSKLSWDYVMPMREMEPLLIKYAGLKEDMGDRLKFMFLSGNTTEGYNYALQDVATRLGLDQGSLYSYGVNSDVNGLIMMSDIVLYSSSQDEQSFPPLLIRAMSFGIPIVASDYPVIRKYVVDGVHGMTFPQHSSEALMKAFSLLISNGELTKFAHSIASSSRLVAKNMLVSECITGYAKLLENVFNFPSDVVLPGNSSQINQGMWEWYYFREEENTGDMEDKDPVNQSVVFDLEEHMGNFVSVKNASDDSNDSLDVDSPTELDWDILTEIESSEEVDRLEMEEMEERMEKHIGEWDAIYRNARKAEKLRFETNERDEGELERTGQSLCIYEIYNGAGAWPFLHHGSLYRGLSLLTKARRLKSDDVDAVGRLPLLNETYYRDILCEIGGMFSIANGIDNIHKRPWIGFQSWQATGRKVSLTKRAEQALEETIRENTKGDVIYFWAHLEVDSGLAGRNNALTFWSMCDILNGGNCRNSFQDAFRRMYGLPSHLEALPPMPEDGGHWSALHSWVMPTPSFLEFVMFSRMFADSLDALHLNSSKTSDCVLSSWTIEMNHCYCRTLELLVNVWAYHSARKMVYIDPHSGLLEEQHPVEKRKGFMWAKYFNFTLLKSMDEDLAEAADDNDHPYENWLWPLTGEVYWQGIYERERENRYRLKMDKKRKTREKLFDRMKYGYKQKTLGG >itb02g02110.t2 pep chromosome:ASM357664v1:2:1162389:1169773:-1 gene:itb02g02110 transcript:itb02g02110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSESSDDEETNSNHAITSEAFHSIRDRFRFKRNPNSSSTLPRVSRGPQSSAAAADRQWKASGGGRSHHHRSLTRRMLLFFSFRGKSCFYFCIFFVIFMFALASMALQSSIMSALRQGAGSERGRWRWHVREDLKLGTSLEFKPSRRFEFGNGLDRLRSQPRIGVRLPRIGLILGDMKKNQLSLMLYTVMKNLKGLGYLIKIYALEDGNVSSLWETIGKVSVLDAERYSYIDWSIFDGVIADSLEDKRAISSLMQEPFCSVPLIWIIHQDTLANRLQVYESMGWEHLILHWRSAFRRADVIVFPDFFLPMLYSVLDTGNFFVIPGSPKDVWAAESYSKTHSKYQLREEYGFDKNQILVMVVGSSFLYSKLSWDYVMPMREMEPLLIKYAGLKEDMGDRLKFMFLSGNTTEGYNYALQDVATRLGLDQGSLYSYGVNSDVNGLIMMSDIVLYSSSQDEQSFPPLLIRAMSFGIPIVASDYPVIRKYVVDGVHGMTFPQHSSEALMKAFSLLISNGELTKFAHSIASSSRLVAKNMLVSECITGYAKLLENVFNFPSDVVLPGNSSQINQGMWEWYYFREEENTGDMEDKDPVNQSVVFDLEEHMGNFVSVKNASDDSNDSLDVDSPTELDWDILTEIESSEEVDRLEMEEMEERMEKHIGEWDAIYRNARKAEKLRFETNERDEGELERTGQSLCIYEIYNGAGAWPFLHHGSLYRGLSLLTKARRLKSDDVDAVGRLPLLNETYYRDILCEIGGMFSIANGIDNIHKRPWIGFQSWQATGRKVSLTKRAEQALEETIRENTKGDVIYFWAHLEVDSGLAGRNNALTFWSMCDILNGGNCRNSFQDAFRRMYGLPSHLEALPPMPEDGGHWSALHSWVMPTPSFLEFVMFSRMFADSLDALHLNSSKTSDCVLSSWTIEVHLHTLT >itb13g16870.t1 pep chromosome:ASM357664v1:13:23805466:23809770:-1 gene:itb13g16870 transcript:itb13g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTEGRSTKWRRFMLVLNCVILSIGNCGGPLISRLYFLRGGNRIWFTSWLVTGAWPLTFIPLAAAYAFRRSTEGPTTKLFFITPKLFASAAAIGVFTGLDDYLYTYGVAKLPVSTSALLIATQLAFTAGFAFLLVKQKFTAYSVNAIVLLTMGAGVLAFGASSDRPNGESNKEYILGFILTLLAALLYGFILPWIELVYKQAKQAITYTLVLEIQLVMCFAATAFCTVGMIINKDFQAISREANEFEMGKAKYYVVVIWTAILWQCFYVGAVGVICYGSSLLSAVIIAVLLSVTEVLGVVFYGEKFESQKGISLALSLWGMVSYFYGDFKISRKTNKTINQNQNPEAQMAQSQTLPM >itb09g29160.t1 pep chromosome:ASM357664v1:9:29883938:29884324:1 gene:itb09g29160 transcript:itb09g29160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFFAPVLTLSIAILFLQQISSADAISPETACAQTEDPHLCILVIKSDPHSSNGNMRKYCVIVLEKVLSKAEGLKGICPKAYEKLVYDIGEAIEKLQTKQPMATVVGSVKSAIKDLGSCIRGDASC >itb09g06400.t1 pep chromosome:ASM357664v1:9:3681953:3685125:-1 gene:itb09g06400 transcript:itb09g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNPPAVVESEHPPAVITHQPASPRFPPGTPTSRAHRKIAIAVDLSDESAHAVKYPDEKDGRAASGGGGSAKAAAKDTLGLHPVPEEEPIYHDASDKATDGEASGFSVQLGAKKSFGWRSAREGLPPTTVIFKKKIIFD >itb14g05370.t1 pep chromosome:ASM357664v1:14:4711132:4712854:1 gene:itb14g05370 transcript:itb14g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLINAAMNDELFFSIANHTLTIVEADATYIKPFETDVVVITPGQTTNVLLKTKPFHPNASFLMEARPYFTGQGTFDNSTVAAILQYQHPPPPSNNVNEKIKMFRPTLPALNATSFVANFTRKFRSLGSSKFPANVPRVVNKRFFFTVGLGSVPCPKNVTCQGPNNNSRFAASVNNVSFALPSTALLQAYFSGKSNGVYTADFPVNPPNPFNYTGARPNNTAVGNATRLVVLPFNASVEVVLQDTSILGAENHPLHLHGFNLFVVGEGFGNFDPNKDPAGFNLNDPVERNTVGVPAGGWVALRFFADNPGVWFMHCHFDVHTSWGLRMAWIVLDGSLPNQKLMPPPSDLPKC >itb14g10980.t2 pep chromosome:ASM357664v1:14:12467203:12467962:-1 gene:itb14g10980 transcript:itb14g10980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGCGVTEQEILKRGGRTNNVAWAFGLGLERLAMVLFDIPDIRLFWSADERFTSQFSSGQLGVKFKPFSKYPPCYKDMSFWINDSFTENNLCEVVRGIAGDLVEEVCYINVRYF >itb14g10980.t1 pep chromosome:ASM357664v1:14:12466130:12467962:-1 gene:itb14g10980 transcript:itb14g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGCGVTEQEILKRGGRTNNVAWAFGLGLERLAMVLFDIPDIRLFWSADERFTSQFSSGQLGVKFKPFSKYPPCYKDMSFWINDSFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHYYRIA >itb08g16860.t1 pep chromosome:ASM357664v1:8:18866611:18869439:-1 gene:itb08g16860 transcript:itb08g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGITDAVSTGKEFTWSNGHKEAKLDRCMVNDCWIQNNIACQVEFRDMDSMSFHTPVFIQIFEQQRAGKRPFKFMNMWQKHPKFKSVVEEVWADPVRGKKQFILANYLKALKSPLKALNKLEFSHISERVKRANEEFSAAHEAMDVMNSDLNEREMVRKLREKALFLMEAERQFFSQKLNTKHLIESDRGSSYFHGLINKRNSAKVITSILDSNGEPTTSLEQVGRLLVEYYTEMLGKAKEREKTQDILSRIRDILIEKSGGVPECIRVLSKCDEPAGFSSAEVYELIRPRAQKGADFKFIWKGFIPPKFSVTSWMCLKGRLPTKDRLKKFLEMDETCSFCGKEQENSNHLFFSCDFSKQVWEEVRAKLGITRKTCSLKGAIKWVYRDTRGSRVHSKIGPVAILCTVYHIWRTRNALLYDGIQAEVPKTIIIILQQVFKIAFKLAPRCIQLYE >itb08g01050.t1 pep chromosome:ASM357664v1:8:791216:791970:-1 gene:itb08g01050 transcript:itb08g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASLALLLTLNILFFTMVSSTNVPCPPPPSSGHGHGHHHGKAKCPKDALKLKVCANLLNDLVHLVVGSPAKAHCCPLIDGLVDLEAAVCLCTAIKANVLGINLNVPLSLSLVLNNCGKKVPEGFKCE >itb02g02820.t1 pep chromosome:ASM357664v1:2:1648567:1656238:1 gene:itb02g02820 transcript:itb02g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSSLSKWRYINPAYYLKRPKRLALLFIIFVCCSFFFWDRQTLVREHEMEISQLNDQVTQLQRLVEELKSGRVVPDEKIRLSVKSDDATKKRDDIDEALDAERREKVKDAMRHAWSSYEKYAWGHDELQPQSKNGVDSFGGLGATLIDALDTLYIMGMDEEFQKAKEWVASSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAQDIADRLLPAWNTPTGIPYNIINLAHGNPHNPGWTGGESILADSGTEQLEFIALSQRTGDPKYQQKVENVILELNKTFPDDGLLPIYINPHSGSKSYSTITFGAMGDSFYEYLLKVWVQGNKTEAVKHYRKMWETSMKGLQSLVRRTTPSSFTYLCEKMGSSFTDKMDELACFAPGMLALGSSGYGPGDSQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFSNGQDMSVGTSWNILRPETVESLFYLWRITGNKTYQEWGWNIFQAFEKNSRVESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDQWVFNTEAHPLKIVTRRDHAVSSGKHGSERQSRSRREGRFGGH >itb02g02820.t2 pep chromosome:ASM357664v1:2:1648974:1655712:1 gene:itb02g02820 transcript:itb02g02820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSSLSKWRYINPAYYLKRPKRLALLFIIFVCCSFFFWDRQTLVREHEMEISQLNDQVTQLQRLVEELKSGRVVPDEKIRLSVKSDDATKKRDDIDEALDAERREKVKDAMRHAWSSYEKYAWGHDELQPQSKNGVDSFASSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDNVFLEKAQDIADRLLPAWNTPTGIPYNIINLAHGNPHNPGWTGGESILADSGTEQLEFIALSQRTGDPKYQQKVENVILELNKTFPDDGLLPIYINPHSGSKSYSTITFGAMGDSFYEYLLKVWVQGNKTEAVKHYRKMWETSMKGLQSLVRRTTPSSFTYLCEKMGSSFTDKLAWTCYNFYQSTPTKLAGENYFFSNGQDMSVGTSWNILRPETVESLFYLWRITGNKTYQEWGWNIFQAFEKNSRVESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDQWVFNTEAHPLKIVTRRDHAVSSGKHGSERQSRSRREGRFGGH >itb09g25880.t1 pep chromosome:ASM357664v1:9:25983536:25984684:1 gene:itb09g25880 transcript:itb09g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTKDQGESQSSSDRKIKQAYGSFEVHDFHISQTIGNDDKEKKLIPAMFVKHLGKWLGEIATLRRLPGHQWRVHIRTERDGTFFSDGWETFYKDNDLDIGEVVFYTYVGGMNFDVKIFNKDGLEKMWESSDEESDHDNPSTTPGTHL >itb11g14390.t2 pep chromosome:ASM357664v1:11:11474526:11478275:1 gene:itb11g14390 transcript:itb11g14390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFEHEYLDEVSSKQPRHVETNDHLISLEYPYEPAYLKAHAPGKDGDISFKGKPVSDKKYECVYTAELPSFEKYREMGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb11g14390.t1 pep chromosome:ASM357664v1:11:11474524:11478275:1 gene:itb11g14390 transcript:itb11g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFEHEYLDEVSSKQPRHVETNDHLISLEYPYEPAYLKAHAPGKDGDISFKGKPVSDKKYECVYTAELPSFEKYREMGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb11g14390.t7 pep chromosome:ASM357664v1:11:11474557:11478275:1 gene:itb11g14390 transcript:itb11g14390.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFEHEYLDEVSSKQPRHVETNDHLISLEYPYEPAYLKAHAPGKDGDISFKGKPVSDKKYECVYTAELPSFEKYREMGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb11g14390.t6 pep chromosome:ASM357664v1:11:11474527:11478259:1 gene:itb11g14390 transcript:itb11g14390.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDGDISFKGKPVSDKKYECVYTAELPSFEKYREMGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb11g14390.t5 pep chromosome:ASM357664v1:11:11474526:11478259:1 gene:itb11g14390 transcript:itb11g14390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDGDISFKGKPVSDKKYECVYTAELPSFEKYREMGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb11g14390.t4 pep chromosome:ASM357664v1:11:11476272:11478275:1 gene:itb11g14390 transcript:itb11g14390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb11g14390.t3 pep chromosome:ASM357664v1:11:11474527:11478275:1 gene:itb11g14390 transcript:itb11g14390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPFEHEYLDEVSSKQPRHVETNDHLISLEYPYEPAYLKAHAPGKDGDISFKGKPVSDKKYECVYTAELPSFEKYREMGCPGSASHSSWATSSTSEEDVRSEGQHNTSFSPEYYNHDIPFRTVTHSKELYSYLLRNPPSKLVPVGPDFQAEIPECCGCGNKEKANCSEVACETIDHSYESLESNCGGQFDYENRLAGTCIIPISKSESAAYSSEVVASGRSDCCCEDAGSVRCIQQHIIEAREKLKTLLGEETFVKLGFCDMGEVVAQKWTEEEHELFHDVVYSYPASLGKNFWHHLALEFHHRTRREIVSYYFNVFMLRIRTEQNRLDPLNIDSDNDEWQEEAYDSAGEEANMTDEDEDSVVESPVHHDDPGHILIYDDYKHPYDEDAGLAIWRDYKHLGHDTNKVVTTVPEECGDKLFEKHSSELTVQHPDRSEPNEANHAELDGPSTRVDNKHWTSDYVGTCNSNGHEFVLEPRFGREWNVGYSSCTKNDVDFLPTCSMIEEVFGNEACYDKSRDGYGSS >itb04g10940.t1 pep chromosome:ASM357664v1:4:10476476:10477231:-1 gene:itb04g10940 transcript:itb04g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWATLSYLKTSKLATTFHLPLAVIDDDYYPLTDGDVTAEFTSKLLSHSYKGIVLKYMSAVDLSCNQLSGNIPLNLGNLSEIRALNLSHNNLTGAIPTTLSGLVKVESLDLSYNMLNGRIPAQLAELNFLEVFSVAHNNLSGPIPDRKAQFATFDQSSYEGNVLLCGPPLSNLCTQNNEPPPQVLLPDNGKEESNFMDLESFYISFVVSYIVMFLTVIVVLCINPYWRRTWFGIIGFYAISCYHLALDSFS >itb04g10940.t2 pep chromosome:ASM357664v1:4:10476476:10478071:-1 gene:itb04g10940 transcript:itb04g10940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNNLVGSLPSSFCGLNSLAYLDLSNNNLTGELPQELSTSCVSLQYLKLSNNKFQGKIIFPRALNTYQLSVLQLDNNNFVGTIPDNLSTIFVTLRALDLSNNHLYGELPKCLGNMMNLNVLSLSNNLLEGPIPVELCKLDSIELLDMSYNRLSGSIPSCFNPTRLKHLHLSNNLFGGQLTRAFFNMPSLATLDLRNNKFRGRIPKWISNLRVLNILLLQGNSFEGIIPIQLCQLIELSMLDLSYNNLSGSIPRCLDKMTLAMDFSDFHKYSTIEVASSPMTWATLSYLKTSKLATTFHLPLAVIDDDYYPLTDGDVTAEFTSKLLSHSYKGIVLKYMSAVDLSCNQLSGNIPLNLGNLSEIRALNLSHNNLTGAIPTTLSGLVKVESLDLSYNMLNGRIPAQLAELNFLEVFSVAHNNLSGPIPDRKAQFATFDQSSYEGNVLLCGPPLSNLCTQNNEPPPQVLLPDNGKEESNFMDLESFYISFVVSYIVMFLTVIVVLCINPYWRRTWFGIIGFYAISCYHLALDSFS >itb07g04940.t1 pep chromosome:ASM357664v1:7:3335307:3338227:1 gene:itb07g04940 transcript:itb07g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEPGVLLKLLNGMKSGDKPTGEHRSSLLQVTDIVPADLDEKNLWPEHGFYIKVSDSSDSVYVSLPFEQDDLVLSNKMQLGQFIYVDRFEPGSPVPVVRGARPLPGRQPLIGTPEVARGRIGVESVNKVRPAWGTEVFASPMVLKPVPIDLDQCTPVKGNSPAKFGGAVRSSVVGAVFPKLAEAKGEIPAIIGKICVTPKTMKKFSRSRSVTDCREHRIIKSPFPSAIKEKKVSTSPPHLRNPVMAASATIISENPLCSSVVESQSFDSPPDNTNLPGKLSMLGKEAMQHRNRAQKVALQALRDASATENLIRALEMFSNMSKAARADSPAASIDQFLEFHQQLKQSVAEMVSIQAATEATEPKQINDHENNPSILQEITLNSSQQSPTPHSHASKRRAAAFPSDQKPNLGKHSRPSSAMNDENKKPGPHCSISNTIRLGKRIEDEAGKWFMDFIEEALENDGLNKCRGKGCESVLLKVVNWIEMEQCEPGKRAVHSRAANIARKLRIKVKNP >itb06g06960.t1 pep chromosome:ASM357664v1:6:10027624:10032788:1 gene:itb06g06960 transcript:itb06g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTDEKGEQQPLLETSAPQPRGGGLRTLPFIAGNLALTNMAILGLAPNMILYLMREYQMDMASGSNVLFWWSAASNITPVIGAFMADSFVGRFQIITMGSVIGLVGMFLFWLTTVIPQAKPPPCLDSNNTCSSATGVQLFLLFTSFILVSIGSGGVNSSSLAFGVDQLKNEGVKMERYFGWYYAITLVSGLVAMTCLVYIQENMGWEIGFGVLLLLMLCAAVLIFLGSPFYVKPKSKGSLLTGLIQVIVASYRKRSLLLSSGNGSIGYYQEGTMLRLPSDTLRFLNKACIIQDPHQDLNSDGKAADPWSLCTVDQVEELKAILNVIPIWVTGVIMSINISQGSFDTLQATTVDRHIIGSSFQIPVGSLGIFSFVSVIIWLVLYERAIIPIASRIMEKPIRFSTKTRMGCGIFVSFLSVVVAAVVETVRRSLANKEGYSEDPEGIIKMSVLWLVPHLMLVGFAEAMNAVAQNEFYVSEFPQSMASIASNLLGLGSAAGSVAASFLMSAINDMTERGESGSWISSNINMGHYDYYNWILAGLSMANMLLFFFCSRAYGPCREENDLNTVVEVEAAS >itb06g06960.t2 pep chromosome:ASM357664v1:6:10029311:10032788:1 gene:itb06g06960 transcript:itb06g06960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFWLTTVIPQAKPPPCLDSNNTCSSATGVQLFLLFTSFILVSIGSGGVNSSSLAFGVDQLKNEGVKMERYFGWYYAITLVSGLVAMTCLVYIQENMGWEIGFGVLLLLMLCAAVLIFLGSPFYVKPKSKGSLLTGLIQVIVASYRKRSLLLSSGNGSIGYYQEGTMLRLPSDTLRFLNKACIIQDPHQDLNSDGKAADPWSLCTVDQVEELKAILNVIPIWVTGVIMSINISQGSFDTLQATTVDRHIIGSSFQIPVGSLGIFSFVSVIIWLVLYERAIIPIASRIMEKPIRFSTKTRMGCGIFVSFLSVVVAAVVETVRRSLANKEGYSEDPEGIIKMSVLWLVPHLMLVGFAEAMNAVAQNEFYVSEFPQSMASIASNLLGLGSAAGSVAASFLMSAINDMTERGESGSWISSNINMGHYDYYNWILAGLSMANMLLFFFCSRAYGPCREENDLNTVVEVEAAS >itb08g16660.t1 pep chromosome:ASM357664v1:8:18699764:18702476:1 gene:itb08g16660 transcript:itb08g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVERARRKLTSNILCGLCAGHQEDYDHLFRNCRDSEGIWAAVLAPRVLLALDSLDWDAWLMANITGDRSMGLGDDWPQKFAIRVWWIWKWRNDAIFNARMVPLNQKLPWLRTQDLEIAAAFARQRAPGQNASQFADALVSWKKPQTGWAKIAGCGGVLRDHEGCWKGGFLYPIGCCSIDEAEAWGVFQGLRLASRLGVRMLIVECDSKTVSDLLQRKSTARGNVANLIQRCLMEGQNFEDICFQHVYREQNRLADALAKQALRSTGGLCQLDEVPCDLNATLYQDQVGTSFHRLIPRSRGEDM >itb08g16660.t2 pep chromosome:ASM357664v1:8:18699764:18702476:1 gene:itb08g16660 transcript:itb08g16660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVERARRKLTSNILCGLCAGHQEDYDHLFRNCRDSEGIWAAVLAPRVLLALDSLDWDAWLMANITGDRSMGLGDDWPQKFAIRVWWIWKWRNDAIFNARMVPLNQKLPWLRTQDLEIAAAFARQRAPGQNASQFADALVSWKKPQTGWAKIAGCGGVLRDHEGCWKGGFLYPIGCCSIDEAEAWGVFQGLRLASRLGVRMLIVECDSKTVSDLLQRKSTARGNVANLIQRCLMEGQNFEDICFQHVYREQNRLADALAKQALRSTGGLCQLDEVPCDLNATLYQDQVGTSFHRLIPRSRGEDM >itb01g25290.t2 pep chromosome:ASM357664v1:1:30739353:30744997:-1 gene:itb01g25290 transcript:itb01g25290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLGWIFLVSLCALVLSFPGQMAKLMNRLKGRNDLRVFEAIRSGKDDGDGDGDDDDQGVYRNFTGFEFLQTELIAGTSQDQFISGKDDDDQERVFRSFTDFESNVVLQSEVSKYQFVSGNDVRGFLKQPETKRFTVQELFVCPDLDYGDTQFSRNNLEEEKVTAFDEDSDHSPVDVDGDHFIHDLQLSSNDDALDNNDPGAAETIISVEKPNFPEDENPLSIEPKSCISVPEVNDQEKAEEIISVAEVNDQEKSDETISVLAEVNDQEKSDETISVADVNDQESAEETISVAEVNDQEKTEETISLAEVNDQESAEETISEAEVNDQEKSDETISVAEVNDQESAHSRDKTTDIDEEFIDSEPPLQNSSDIQIPEHNIQSEEMRFPDEHNRTEAQQLNQSFWDSDSGEEDDELDILLQHQNLVQQIKMEMRNSRIRCLPTISEECETPKMADDLKPLKIDEKLEYKDRMEEIQKFYKCYAEKMRKLDILNYQTLNAISFLQLKDSETFTLGKKSLFSSNKLLPLPSLFGNKLRRIYVDQAHKSISELSHNLEVVYVGQACLSWEILCWQYGKTKELLEYDARGHRAYNHVAGEFQQFQVLLQRFVEDEPYQGHRLQYYAKNRCILRSFLQVPALKDDCSKDKKVGFEEGTDRISLVKLSEIIKKTMHTFWEFLHADKDEAGLSVKVHSSHVDPADSELLLDVTTTHQKKERKLKEIQRSGNCIVKKFQKQQEGRVNENQAVFISRVELRLVAKVLGLPRLTRDHLVWCKKKLNNINIVGRKVSVEPSCLLFPS >itb01g25290.t1 pep chromosome:ASM357664v1:1:30739353:30744997:-1 gene:itb01g25290 transcript:itb01g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLGWIFLVSLCALVLSFPGQMAKLMNRLKGRNDLRVFEAIRSGKDDGDGDGDDDDQGVYRNFTGFEFLQTELIAGTSQDQFISGKDDDDQERVFRSFTDFESNVVLQSEVSKYQFVSGNDVRGFLKQPETKRFTVQELFVCPDLDYGDTQFSRNNLEEEKVTAFDEDSDHSPVDVDGDHFIHDLQLSSNDDALDNNDPGAAETIISVEKPNFPEDENPLSIEPKSCISVPEVNDQEKAEEIISVAEVNDQEKSDETISVLAEVNDQEKSDETISVADVNDQESAEETISVAEVNDQEKTEETISLAEVNDQESAEETISEAEVNDQEKSDETISVAEVNDQESAHSRDKTTDIDEEFIDSEPPLQNSSDIQIPEHNIQSEEMRFPDEHNRTEAQQLNQSFWDSDSGEEDDELDILLQHQNLVQQIKMEMRNSRIRCLPTISEECETPKMADDLKPLKIDEKLEYKDRMEEIQKFYKCYAEKMRKLDILNYQTLNAISFLQLKDSETFTLGKKSLFSSNKLLPLPSLFGNKLRRIYVDQAHKSISELSHNLEVVYVGQACLSWEILCWQYGKTKELLEYDARGHRAYNHVAGEFQQFQVLLQRFVEDEPYQGHRLQYYAKNRCILRSFLQVPALKDDCSKDKKVGFEEGTDRISLVKLSEIIKKTMHTFWEFLHADKDEAGLSVKVHSSHVDPADSELLLDVTTTHQKKERKLKEIQRSGNCIVKKFQKQQEGRVNENQAVFISRVELRLVAKVLGLPRLTRDHLVWCKKKLNNINIVGRKVSVEPSCLLFPS >itb08g16530.t1 pep chromosome:ASM357664v1:8:18605988:18607982:-1 gene:itb08g16530 transcript:itb08g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSLLSSFFFFFFFFFFLIATVNASPAAQTLQLHPQADYQYLNPKLPPRALSNSKKYEGSSDLVNLRYHMGPVLSSPINIYLIWYGKWSPSQQLIIKDFLLSISTTNHRAAPSPSVSEWWRTVSLYTDQTGANISRSVLIADEYSDRRYSQGTHLTRLSIQDVIAEAVRSKPFSIDHKKGIYLVLTSIDVTVQDFCRAVCGFHYFTFASKVGYTLPYAWIGNSGKQCPEVCAYPFAIPGYMAGGGPGALSPPNGDVGVDGMISVIAHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMKDREGRTYNMKGRRSRKFLVQWIWSPILKACAGPNALD >itb11g06150.t2 pep chromosome:ASM357664v1:11:3664112:3666165:-1 gene:itb11g06150 transcript:itb11g06150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASQRLRTSSMSNTMYYQPVQKAETYCLPQFQNLDHQPSFTNNSINGGNHAIHPHCASYYTEFSLMSRAHGLHNSSSTLSFSPNGNSVSQQESQAYISGLHQSPATTYSYPIRESCFGDEVNDLDFKDKLRELETVMLGPGSDIVESYANTIVSPEIDSWEEMIVAIPRGDLKQLLVACAKAVSDNDLLTAQSLMSELWQMVSVSGEPIQRLGAYMLEGLVARLPVSETSIYKSPRCKKPSSFELLSSMHILNEVCPYFKFGYMSANGAIAEAMKDEKRVHIIDFQIGQGSQWVTLIQAFAARPGGPPEIRITGIDDSTSAYARRGGLSIVGKNLSNLAESFKVPFQFHAVTMPGCKAQLENLTIQRGEALAVNFAFMLHRMPDESVSTSTDNPRGHLLRLVKSLNPKVVTLVEHESQSNSPAFYPRFLEALDYYSAMFESLDMTLPRNHKQRINVEQHCLGRDVVNIIACEGTERVKRHELLDKWKSRFRMAGFSPYPLSSLVNGTIKTLLENYSDKYRLEERDGALYLGWRNRDLVASCAWK >itb11g06150.t1 pep chromosome:ASM357664v1:11:3664112:3667828:-1 gene:itb11g06150 transcript:itb11g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASQRLRTSSMSNTMYYQPVQKAETYCLPQFQNLDHQPSFTNNSINGGNHAIHPHCASYYTEFSLMSRAHGLHNSSSTLSFSPNGNSVSQQESQAYISGLHQSPATTYSYPIRESCFGDEVNDLDFKDKLRELETVMLGPGSDIVESYANTIVSPEIDSWEEMIVAIPRGDLKQLLVACAKAVSDNDLLTAQSLMSELWQMVSVSGEPIQRLGAYMLEGLVARLPVSETSIYKSPRCKKPSSFELLSSMHILNEVCPYFKFGYMSANGAIAEAMKDEKRVHIIDFQIGQGSQWVTLIQAFAARPGGPPEIRITGIDDSTSAYARRGGLSIVGKNLSNLAESFKVPFQFHAVTMPGCKAQLENLTIQRGEALAVNFAFMLHRMPDESVSTSTDNPRGHLLRLVKSLNPKVVTLVEHESQSNSPAFYPRFLEALDYYSAMFESLDMTLPRNHKQRINVEQHCLGRDVVNIIACEGTERVKRHELLDKWKSRFRMAGFSPYPLSSLVNGTIKTLLENYSDKYRLEERDGALYLGWRNRDLVASCAWK >itb04g32800.t1 pep chromosome:ASM357664v1:4:35218296:35220554:1 gene:itb04g32800 transcript:itb04g32800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLVFGLFVVSRLSGSAEAYKNYTVGDSLGWYDTLEKSSVNYQKWVSGKTFSLGDFLIFNTDTNHSVIQTYNFTTYKRCNYDDDDNATQWSYGDPSATSPQPTTVPVPLMKVGMTYFFSGDYDGEQCRNGQRFRVNVTYGQGLPPSLKTPDDSPAPVSPQSGDDDAVPDTLVPAYFDNPRNVSDEDSEPSGSVSLAAFSRFFGACSYGVLVVLGLACII >itb08g00510.t1 pep chromosome:ASM357664v1:8:407049:412265:-1 gene:itb08g00510 transcript:itb08g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSAPSSSTTATTPAADAMQEESQKRDEPATKEKEMVHKTKVIQFLGRTTPIILQNDNGPCPLLAICNVLLLKNNLNLSPDVPEVSQEKLLSLVAERLIDSNSNVNDKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFKRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDHDTANAIGLKSYNTLMGELVALETEQMESEHKKSAEDDVIDFAAATTAALGVPSPSLSRGRSFDDSPVSVSDHHRKARKGDLEEEEELMRVLKLSEVDSPASSTVDVLADGPNSINESAVVKDIEPLVFVKTSEANSAKDSFQHESPIPGVVAVSDDCIQSGNFTNNPNFSLELSPGVVASFSPKTDLENASKDFGKTNFPVEKEHVPPEPSAQVISSASESHVQITGCEKELGGQSTSSTDGNVAENNKNGSDILSSSSSLTPCIDSDLSSGRKDINEPETFSSSVDGSEPIYEGEECIMESEARTYENREPMYEGEVVLTAQVGGDPRDVPNSNPKHGITPREGELVRTFLKNSASQLTIYGLFCLRDGLKERELCVFFRNNHFNTMFKFEGELYILATDQGFINQPDLVWEKLNEVNGNTEYVTGNFKEFKAETIASTPWDEQSALASTADYLASIDNAAQGQSSFNSDLQLAIALQQQEFEQQQAQQQNRNQQQQPTITGGSGLITGPQVSRYSGQQQTSSRQEPKSSKDKCIVM >itb08g00510.t2 pep chromosome:ASM357664v1:8:407049:412265:-1 gene:itb08g00510 transcript:itb08g00510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSAPSSSTTATTPAADAMQEESQKRDEPATKEKEMVHKTKVIQFLGRTTPIILQNDNGPCPLLAICNVLLLKNNLNLSPDVPEVSQEKLLSLVAERLIDSNSNVNDKDAGYVENQQQNIADAIDLLPRLATGIDVNIKFKRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDHDTANAIGLKSYNTLMGELVALETEQMESEHKKSAEDDVIDFAAATTAALGVPSPSLSRGRSFDDSPVSVSDHHRKARKGDLEEEEELMRVLKLSEVDSPASSTVDVLADGPNSINESAVVKDIEPLVFVKTSEANSAKDSFQHESPIPGVVAVSDDCIQSGNFTNNPNFSLELSPGVVASFSPKTDLENASKDFGKTNFPVEKEHVPPEPSAQVISSASESHVQITGCEKELGGQSTSSTDGNVAENNKNGSDILSSSSSLTPCIDSDLSSGRKDINEPETFSSSVDGSEPIYEGEECIMESEARTYENREPMYEGEVVLTAQVGGDPRDVPNSNPKHGITPREGELVRTFLKNSASQLTIYGLFCLRDGLKERELCVFFRNNHFNTMFKFEGELYILATDQGFINQPDLVWEKLNEVNGNTEYVTGNFKEFKAETIASTPWDEQSALASTADYLASIDNAAQGQSSFK >itb13g14230.t1 pep chromosome:ASM357664v1:13:20796486:20798996:-1 gene:itb13g14230 transcript:itb13g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRKEKSHRGSAGAHYQGGIQFHKSKGQHILKNPMLVDTIVEKSGIKPTDVILEIGPGTGNLTKKLLEAGKSVIAVELDPRMVLELQRRFQGTPPSKLKIIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPLFRAAVIMFQREFAMRLVAQPGDTLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPMKPFQNLNFKEWDGLVRICFNRKNKTLGAIFRQKTVLSLLEKNYKTLQALQIPENGSLEDTDMSLAVSALGDTLGDMSMDTDDGDDDNEMDMDDGDAKGSDFKEKVVSVLKQGKFEDKRSSKLTQVDFMHLLSLFNKAGIHFS >itb13g24460.t1 pep chromosome:ASM357664v1:13:30137564:30138542:-1 gene:itb13g24460 transcript:itb13g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWGGQVGLPAAAGICSPLLTKELSLITESNNNGDNSNEESRENYDNNEEEDECREGAVLEVGTKRPRGRPPGSKNKPKPPIFVTRDTPNGLRSHVMEIAGGTDVAESIAQFARRRQRGVCVLSGNGAVANVTLRQPTAAGAAVMLQGRFEILSLAGTFLPGPSPPGATGLTVYLAGVQGQVVGGSVVGPLVAAGPVMVIAATFANATYERLPILEDDPPDSAAQFPIESPPPPPPAGADHHGLPEPSSSLPLYNLTPNLFPHGAQLSPHDAYAWQHPRLSNF >itb10g21980.t2 pep chromosome:ASM357664v1:10:26983907:26987781:1 gene:itb10g21980 transcript:itb10g21980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLILVTTLFSLVASSSCSSSSLLTDFHALVPFKYALSSSNLGLESWNTSNPSSVCSWSGIKCSHGRVVSISLSGMGLYGSVSPEIAGLDRLAELHLDGNNFTGEIKIENMSSLKSLNISNNMLSGNLDWNYSGLENLEVFDAYNNNFTAFLPLGILSLKKLKYLDLGGNFFYGEIPESYGDLIGLEYLSLAGNNLHGKIPKELGNLTNLKEIYLGYYNVFVGGIPREFGKLENLVHMDISSCELDGTIPAELGNLRKLDTLFLHINLLSGPIPRELGNLTGLIYLDISANSLTGEIPFEINSLKNLKLLNLFMNQLHGSIPDFIADFPELQVLGLWMNNFTGIIPENLGRNQQLQELDLSSNKLTGTIPDELCASNQLRVLILLKNFLFGSIPEKLGSCSSLVRVRLGQNYLNGSIPDGFLYLPQLNLVELQNNLLSGTLSENTNFSSKPAYLGRLNLSNNQLSGALPFSLSNFTSLQILLLSGNTFSGPIPPSIGELQQALKIDLSENSLSGEIPIEIGNCIHLTYLDLSQNNLSGSIPSQVSNIRILNYLNLSRNHLVDTIPKSIATMRSLTTADFSFNDLSGKLPESGQFAYFNATSFAGNPHLCGSFLNNPCDLAPISSPPGKSHGDFKLIFALGLLICSLIFAAAAIVKAKSFKKNGSGSWKMTSFQKLDFTVSDVLECLRDGNVIGRGGAGVVYHGKTPNGVEIAVKKLLGFNNTTHDHGFRAEIRTLGNIRHRNIVRLLAFCSNKDTNLLVYEYMRNGSLGEALHGKKGGFLSWHLRYKAALESAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPVRLGSGRTMEIRMLK >itb10g21980.t1 pep chromosome:ASM357664v1:10:26983820:26988942:1 gene:itb10g21980 transcript:itb10g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLILVTTLFSLVASSSCSSSSLLTDFHALVPFKYALSSSNLGLESWNTSNPSSVCSWSGIKCSHGRVVSISLSGMGLYGSVSPEIAGLDRLAELHLDGNNFTGEIKIENMSSLKSLNISNNMLSGNLDWNYSGLENLEVFDAYNNNFTAFLPLGILSLKKLKYLDLGGNFFYGEIPESYGDLIGLEYLSLAGNNLHGKIPKELGNLTNLKEIYLGYYNVFVGGIPREFGKLENLVHMDISSCELDGTIPAELGNLRKLDTLFLHINLLSGPIPRELGNLTGLIYLDISANSLTGEIPFEINSLKNLKLLNLFMNQLHGSIPDFIADFPELQVLGLWMNNFTGIIPENLGRNQQLQELDLSSNKLTGTIPDELCASNQLRVLILLKNFLFGSIPEKLGSCSSLVRVRLGQNYLNGSIPDGFLYLPQLNLVELQNNLLSGTLSENTNFSSKPAYLGRLNLSNNQLSGALPFSLSNFTSLQILLLSGNTFSGPIPPSIGELQQALKIDLSENSLSGEIPIEIGNCIHLTYLDLSQNNLSGSIPSQVSNIRILNYLNLSRNHLVDTIPKSIATMRSLTTADFSFNDLSGKLPESGQFAYFNATSFAGNPHLCGSFLNNPCDLAPISSPPGKSHGDFKLIFALGLLICSLIFAAAAIVKAKSFKKNGSGSWKMTSFQKLDFTVSDVLECLRDGNVIGRGGAGVVYHGKTPNGVEIAVKKLLGFNNTTHDHGFRAEIRTLGNIRHRNIVRLLAFCSNKDTNLLVYEYMRNGSLGEALHGKKGGFLSWHLRYKAALESAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGDFGEGVDIVQWSKRVTNCRREEVTQIVDPRLTIVPKDEAMHLFFIAMLCVQENSVERPTMREVVQMLSELPRHSQSSSSSLNFQHSKNVEKDKISPKTRQNLVV >itb10g18610.t4 pep chromosome:ASM357664v1:10:24518321:24521731:1 gene:itb10g18610 transcript:itb10g18610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMVAYPIYAAPPHRKKPFLLQLRTRSAQQPQIAKKKTSSPIANLPLRSAADRHPPPAIPSSPFDYRHQPLPPSRVQPPAPAISGLVSRSAAGARHFRPRLAFSRWRPPFPASSRVQPSPPLLDCRRQTPPPPLPICLDVEELMSLPLEKMDGIKKCWNSYFQAKSEKIRILIPLAIPRNSC >itb10g18610.t5 pep chromosome:ASM357664v1:10:24518321:24521731:1 gene:itb10g18610 transcript:itb10g18610.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMVAYPIYAAPPHRKKPFLLQLRTRSAQQPQIAKKKTSSPIANLPLRSAADRHPPPAIPSSPFDYRHQPLPPSRVQPPAPAISGLVSRSAAGARHFRPRLAFSRWRPPFPASSRVQPSPPLLDCRRQTPPPPLPICLG >itb10g18610.t3 pep chromosome:ASM357664v1:10:24518321:24521731:1 gene:itb10g18610 transcript:itb10g18610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMVAYPIYAAPPHRKKPFLLQLRTRSAQQPQIAKKKTSSPIANLPLRSAADRHPPPAIPSSPFDYRHQPLPPSRVQPPAPAISGLVSRSAAGARHFRPRLAFSRWRPPFPASSRVQPSPPLLDCRRQTPPPPLPICLDVEELMSLPLEKMDGIKG >itb10g18610.t2 pep chromosome:ASM357664v1:10:24518321:24521731:1 gene:itb10g18610 transcript:itb10g18610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMVAYPIYAAPPHRKKPFLLQLRTRSAQQPQIAKKKTSSPIANLPLRSAADRHPPPAIPSSPFDYRHQPLPPSRVQPPAPAISGLVSRSAAGARHFRPRLAFSRWRPPFPASSRVQPSPPLLDCRRQTPPPPLPICLDVEELMSLPLEKMDGIKEEELEGKE >itb10g18610.t1 pep chromosome:ASM357664v1:10:24518321:24521731:1 gene:itb10g18610 transcript:itb10g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIMVAYPIYAAPPHRKKPFLLQLRTRSAQQPQIAKKKTSSPIANLPLRSAADRHPPPAIPSSPFDYRHQPLPPSRVQPPAPAISGLVSRSAAGARHFRPRLAFSRWRPPFPASSRVQPSPPLLDCRRQTPPPPLPICLDVEELMSLPLEKMDGIKKCWNSYFQAKRRRIRRKRMSMLQTILISQETKERD >itb05g21440.t1 pep chromosome:ASM357664v1:5:27190006:27190995:1 gene:itb05g21440 transcript:itb05g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFDTPFTHWAKIWKIDVPPRLAHLLWRCVHGILPTRGALARRRVELVNVCALCGEQNESMEHLFQFCHCVGGVWALFPGDLPPMGNYGFQRWMQQVFEGMTQTIELVAWFIDGIWLARNNLIWHGELWDTTAVFNAVMAKMKRWNERSAWGGQNIQNDMQIVNSSPSAWMPPPIGVMKVNVDAALFPEEGKVGFGFIVCDHDKQFVAASCGTLNCINNPQVAEAMTIKEVLTWIMDNMPTQRFIVETDCMVMVAKLKQKQCDTTYLGVVVRSILELMRSCEQVVVTFVKRDINSWAHRLARSVRVNLSVDSEYWSDAPPDCIHGLFV >itb07g08690.t1 pep chromosome:ASM357664v1:7:6957528:6960028:1 gene:itb07g08690 transcript:itb07g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTAIAHTSFGQAACDSDCIKDFVAAHDAARETVGAPPVKWNSTLADFAESYATKRSADCAAQHSHGPYGENIAMASAELSPTDSVKLWMDEKPNYDQTSNSCIGGECHHYTQVVWRDTTSIGCARVTCKTGWMFVTCNYYPPGNYVGERPY >itb03g12900.t1 pep chromosome:ASM357664v1:3:12747684:12751241:1 gene:itb03g12900 transcript:itb03g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARSLEDAYKRKLKKIKVMEVMKKPFQFPFPFPKKTAKFKLLALKLVLVCIVIATFFMVISSPVVCRHNHYATHTVSRWIWGLSDPRYVSNLDIDWSEISRVVEKLPEKEKLQGVGLLNFNKTEIRKWKEAIPGANHMALQIDYADRNVTWDSLYPEWIDEEQDDEVPSCPSLPKLQVPRKRVDLIAVKLPCRNEGNWSRDVARLHLQLAAAHLAASAKGNRAVHLIFVTNCFPIPNLFTCKHLVVRQGNVWLYTPDLNALREKLQLPVGSCELALPFGATEVEESARHPKREAYATILHSAHIYVCGAIAAAQSIRLAGSTRDLVILVDETISEYHRSGLETAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDILFQMPEISATGNNGTLFNSGVMVIEPSNCTFNLLMNHINEFESYNGGDQGYLNEVFTWWHRVPKHMNFLKNFWVGDTEEIKRKKTRLFAADPPILYVLHYLGNKPWLCYRDYDCNWNVDILQEFASDVAHQRWWRVHDTMTEELQQMCLLRSKQKAQLEWDRRQAEAGKYADGHWKIKIRDRRQKKCTDRLCNWKSMLRHWGEKNWTDNPYFHPSPPLLHPRKNKTPKLSAL >itb03g12900.t2 pep chromosome:ASM357664v1:3:12747684:12751241:1 gene:itb03g12900 transcript:itb03g12900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARSLEDAYKRKLKKIKVMEVMKKPFQFPFPFPKKTAKFKLLALKLVLVCIVIATFFMVISSPVVCRHNHYATHTVSRWIWGLSDPRYVSNLDIDWSEISRVVEKLPEKEKLQGVGLLNFNKTEIRKWKEAIPGANHMALQIDYADRNVTWDSLYPEWIDEEQDDEVPSCPSLPKLQVPRKRVDLIAVKLPCRNEGNWSRDVARLHLQLAAAHLAASAKGNRAVHLIFVTNCFPIPNLFTCKHLVVRQGNVWLYTPDLNALREKLQLPVGSCELALPFGATEVEESARHPKREAYATILHSAHIYVCGAIAAAQSIRLAGSTRDLVILVDETISEYHRSGLETAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDILFQMPEISATGNNGTLFNSGVMVIEPSNCTFNLLMNHINEFESYNGGDQGYLNEVFTWWHRVPKHMNFLKNFWVGDTEEIKRKKTRLFAADPPILYVLHYLGNKPWLCYRDYDCNWNVDILQEFASDVAHQRWWRVHDTMTEELQQMCLLRSKQKAQLEWDRRQAEAGKYADGHWKIKIRDRRQKKCTDRLCNWKSMLRHWGEKNWTDNPYFHPSPPLLHPRKNKTPKLSAL >itb06g21450.t1 pep chromosome:ASM357664v1:6:24019052:24020259:-1 gene:itb06g21450 transcript:itb06g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYPRSAPSDGKLDMTLEEFKKWVRTYDADRDGRINREELQEAIHATGGRLCWFKGRQGMKAADGDGNGFIDDNEMDKLVDFAQKNLNVRIVTYY >itb07g13550.t1 pep chromosome:ASM357664v1:7:15831874:15834506:1 gene:itb07g13550 transcript:itb07g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSRANGNKKNGLKFKIVVEKLQKSFLLGKRSPANHFDEFDNSEDVPNDVKEGHFAVMAVDDDDKLKRFIVPLSCLRNPCFLRLLEKAAEEYGFEHEGALMLPCRSNGKKNNVMNLKTMAQMFQKSFVPDKRSTTNHSEEFEDSKDVANDVKEGHFAVMAVDDENLKRFIVPLSCLTHPSFLRLLEKAAEEYGFEHEGALMLPCRPSELENIIARQWKSGSSKQDWGSSASQELLYV >itb13g04900.t1 pep chromosome:ASM357664v1:13:5797895:5798581:-1 gene:itb13g04900 transcript:itb13g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLATFPLLLFLISLFTSSSAASFEVHNNCPYTVWAAATPVGGGRRLDQGQSWSINAPSGTTAARIWGRTNCTFDGSGSGSCQTGDCGGALQCTGWGKPPNTLAEYALNQFNNNVDFFDISLVDGFNIPMSFGPTTPGPHKCHQISCTADINGQCPAALKVPRGCNSPCTAFGTPGYCCTNGPCAPTDYSRFFKNSCPDAYSFPQDDATSTFACPAGSTDYRVVFCP >itb10g20910.t1 pep chromosome:ASM357664v1:10:26311225:26313401:1 gene:itb10g20910 transcript:itb10g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNLSHLFKALNPQISHSNNPSKAPTLLLSLSYPLPSTSPALLPCTLTHQIPSRLHPLRAVGDDEYSARRISNEVKEAILLPGCDYNHWLIVMDFPKDPAPTRDQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSERFKGLPGVLWVLPDSYIDVKNKDYGGDKYMNGEIIPSQYPTYHQKKSNSPKNKSRSYVRRRDGSLERQRQETSS >itb11g05290.t1 pep chromosome:ASM357664v1:11:3129945:3132711:1 gene:itb11g05290 transcript:itb11g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHAVVNKIETALVTNITSDLSIVKALVVEFCTQKYMKAHVRGKVECIDKEVEELIKHLKKKRKKKKEEALEGNGKEEEVVKKEQAVEGDGKEKEVKKKTEEERKLVKTSEWLYCIGKKTEKIKNLVSQFGSLPDEDEYEEESSASSSLSSSLTGGKREKQKTVSNSTECYKMCIQETLLISPMITGTLKKSYDHLPPNLKLCVLTLAAFPEDFIIKKRPLIYWWMSEGFVAMEGNSKSAEEVGEENFTKLIQLGLIQPWYESGNTTIDLQPHNNVHVQGCSVHPWIRRMLVPIAMEARLFEFFGVPPMTTTGNNRSRRVCLYDDGDGEGVEHTATWKTRNRKYHKLFQDRFKWIKAHYRGVQLIERQLLDMKKVLKMEKDLKVVYKRQNKLMMSTKFLTDLNNLVAEVAHGKVKHGSDDDDALLRAVNKRLSDLKTRIDDDDNVLKNINELHDEQMKLQNEIEGLHKQFQVQHQINYLDRMLDPKWVNDPVIYNRINNCETLQKNEEVLFGHNDLNNPFPSPMKKKNKRTTTSTNQNEQAKKSDAVSNDETAAALALERLLAIINVTQKYLDMDHEPFGKMKWLRTLHLGRWRDSLPVPHIEVENDRIFEALFHVAKHLKYLSLRGISRITTLPSTISKCCNLQILDLKACYNLETLPSEIASLYKLTHLDVSECYLLQNTNLWSLVQNLQCLQTLKGLKVDQSTLNSLQTLASAATLRKLSIILTAPNLNIDLLTSLSKLCILTITWRITPDTQPKPEKSDDGGGANTENPPQQQPAGREISANTETTPHPKPEISDVASTRNQPQQNPLQKSDEEEVIGGIEEALPNLKKLDLRCYPDKDWPNWAKKHSSLETLYITGGDLEEFPMADLHLPTLQLLRFKYLTKLKLGQDNTPQLKSTKFPSLITFLNHGIKSKK >itb10g07120.t1 pep chromosome:ASM357664v1:10:8392891:8396225:1 gene:itb10g07120 transcript:itb10g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGNKNMNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQESFERAKKWVQELQAQGNSNMVMALAGNKSDLVDARKVETEEAQKYAEQNGLFFMETSAKSATNVNSIFHEIAKRLPRMQPAPNPSGMVLMDRPAERTATASCCS >itb08g13880.t1 pep chromosome:ASM357664v1:8:15259064:15273575:-1 gene:itb08g13880 transcript:itb08g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPWGNIGAWAAEAERAEAEEREQAAAAAAAAAAAAPPSVAGGGGASSDSQNYPSLREATSTKQKKKAAKMTLQEFTMQGTFGSGGSSSRLTPDEMLRLPTGPKERSAEEMQSGRLGGGFSNYGSRFMGPQPGRGRDRDTDGEGSWGNNRRSYGGFDDDRRGAPQSRASDFDQPSRADEVDNWASTKKPLPSFDSNSTTSNPARSRYAMLGAGGGASRADGDDNWAASKKPIAPQSARSSSFGSGFRDSRPEPERWTRGSSQRLVLESPKSEQGSEDNVIEVTAKVNKPNPFGAARPREEVLAEKGLDWKKLDVEIDAKKGNSRPTSSHSSRPESSQSARSDGQALLQGVIEGVKPKAKVNPFGDAKPREVLLEEKGLDWRKIDLDLEQKRINRPETDEEKNLKKEIEQLKKEALEDAGEDQAATHDLINQKERELELLIRDLDDKVRFGEKTTERPGSGSNRVTSLAERPPSRPGSVDEHRSGEFMDRPRSRGAGDAWTGPVDDRRAFHGGRPGEDRRAIQGASPTEDRRGFQGARSNDDRRFFQGGRERRYFGNRNMERSRSDDRCPFSLEKSSGVHLLRVIFLSPRHKWIHGGIRYFLCRVECRRFNRTLASTVDSVCVGRSPAITIQNSTLLEELQLVKKSKKNKPAGGSVARELDERRRSPFDVIPKLMNGVTELYSDPERHQQSRRECAAVIETCAEYAQIISTGNQYIFNAQSKYSLTESSDCKIFAKLFEKFLDNLMDMVVLLPVSKTGKAKTKKGDDVNVKVKEKERTILISSGLLFCFTALLNMIGIKKVPRGTMRDSFLVNGVAFKKTFSCAGFEQQPKKFVNPKILLLNIQLELKSEKGKAELRLSNPSQYQSIVDAEWNIIYDKLDKCVKSAAKVVLSRLAIGDMVKYCDGILSTTSSVWFLDTPMMECGGTKQSER >itb11g12280.t1 pep chromosome:ASM357664v1:11:9139261:9140926:1 gene:itb11g12280 transcript:itb11g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKSKSGNGYRSSTDAMVVIAARRMTAEAMVTSAFCSSEGGRERHRGCGGGGRGDGAAASWSWIRCRDSPTREESQIRSPKPETEGNPATAVETPLPSSTPQAGNRVKPSYRRRNTIAIINEQDFYCHHQDAGEGELAHVAASYRRHYPRLDEEGRELRCSCCRKSRSRTGEVHGKASAAKISRCRRTPLL >itb01g24580.t1 pep chromosome:ASM357664v1:1:30205752:30209296:1 gene:itb01g24580 transcript:itb01g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWMKITLLIFFHSRRGSGNSSRRADREHLLCCRTVEGYLSSRDRVDIFHAFYILCLGCGFVIVNGRAAMCCNT >itb01g24580.t3 pep chromosome:ASM357664v1:1:30206104:30209296:1 gene:itb01g24580 transcript:itb01g24580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYAEIARSKKKKKKGELEAKNNRQENSKNLMAPWMKITLLIFFHSRRGSGNSSRRADREHLLCCRTVEGYLSSRDRVDIFHAFYILCLGCGFVIVNGRAAMCCNT >itb01g24580.t2 pep chromosome:ASM357664v1:1:30205752:30209296:1 gene:itb01g24580 transcript:itb01g24580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWMKITLLIFFHSRRGSGNSSRRADREHLLCCRTVEGYLSSRDRVDIFHAYVLPQLQGRVSILLKRRPSVLLNWLMSHQMFHQARFQKTVGL >itb02g05750.t2 pep chromosome:ASM357664v1:2:3551844:3567303:1 gene:itb02g05750 transcript:itb02g05750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MPEASTIFQSTLLPPFSHSRRALSSPICHTFFRRNPTTIRCSSVSTEATKIQTVPWGCDTDSLENASALQKWLSDSGMPPQKMAIQRVDIGERGLVALKNIRKGEKLLFVPPSLVITADSEWSVPDAGQVLKRYDVPDWPLIATYLISEASLIKSSRWSNYISALPRQPYSLLYWTRSELDRYLEASQIRERAIERVNDVIGTYNDLRLKIFSKHPELFPEEIFNIETFKWSFGILFSRLVRLPSMDGRVALVPWADMLNHSCEVETFLDYDKSSQGIVFTTDRTYQPGEQVFISYGKKSNGELLLSYGFVPREGTNPSDSVEVSVSIKKSDKCYKEKMEALKKHGLSTSERFPVQITGWPLELMAYAYLAVSPPSMNRQFEEMAAAASNRTSSKKDIRYPEIEEDALQYILDSCESGISKYSKFLQASGEMDLDVTNPKQLNRRVFLKQLAVDLCTSERRILFRAQYILRRRLRDIRSGELRALKIFDVFDLHSLSFFPLSSTRLQESKAVMAGERVLEYRARDDDAWYSVHVAVKGGTLTIQFQGLQETDELVASNFESEEDIDGVVRRFRQVSPQLQDNECGRVTEGSVVCAACHDSYRDDMRYYDALVEAVHRKKHSLVNGQEECLCIFVLSWTHGPKKGLLTTAGVASICTIKDDCQVDQQIASFSKLAKDKLQVSARKSTAIPRNKVSALKGSVLAKGGSHLSIYQKATLSQDIQNGRKLDDGKGTMSNHDANQDQDKDLGGEALNSHFILIGNLERNLSPSSIREFIYRHTFISPQAYMLPSPSTTFAPFARAVIMLDCKKQHEKVYQFLDNPDHFVVSMRGRPWVVTESVSKHGMHRTSLGSVVRKFQEKHGDIYLDNELMVVRSGTEAYKCAQQLRDLFMELHNHEQQLYRRMAWGRSIFEGVIAVGSIALLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLFQLVLFEIIPVLSKEARWINWKVDLFCLIMLLVFMLPYYHCYLMLCNSGVRKERAALVSTLFLMAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAILSGFGAVNLPYSYLSLFIREIEESEIKSVERQLIQSIETSIAKKKRIILCQREIQRIQGAEEKTNATSFLKRIVGTVVRSVQDDQKEQDIKNMEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHLQNLLGYACSVYCVYKMIKSLQSVVFKEDGSVDPVTRTISIFLQFFDIGINATMLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >itb02g05750.t1 pep chromosome:ASM357664v1:2:3551844:3567303:1 gene:itb02g05750 transcript:itb02g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MPEASTIFQSTLLPPFSHSRRALSSPICHTFFRRNPTTIRCSSVSTEATKIQTVPWGCDTDSLENASALQKWLSDSGMPPQKMAIQRVDIGERGLVALKNIRKGEKLLFVPPSLVITADSEWSVPDAGQVLKRYDVPDWPLIATYLISEASLIKSSRWSNYISALPRQPYSLLYWTRSELDRYLEASQIRERAIERVNDVIGTYNDLRLKIFSKHPELFPEEIFNIETFKWSFGILFSRLVRLPSMDGRVALVPWADMLNHSCEVETFLDYDKSSQGIVFTTDRTYQPGEQVFISYGKKSNGELLLSYGFVPREGTNPSDSVEVSVSIKKSDKCYKEKMEALKKHGLSTSERFPVQITGWPLELMAYAYLAVSPPSMNRQFEEMAAAASNRTSSKKDIRYPEIEEDALQYILDSCESGISKYSKFLQASGEMDLDVTNPKQLNRRVFLKQLAVDLCTSERRILFRAQYILRRRLRDIRSGELRALKIFDVFDLHSLSFFPLSSTRLQESKAVMAGERVLEYRARDDDAWYSVHVAVKGGTLTIQFQGLQETDELVASNFESEEDIDGVVRRFRQVSPQLQDNECGRVTEGSVVCAACHDSYRDDMRYYDALVEAVHRKKHSLVNGQEECLCIFVLSWTHGPKKGLLTTAGVASICTIKDDCQVDQQIASFSKLAKDKLQVSARKSTAIPRNKVSALKGSVLAKGGSHLSIYQKATLSQDIQNGRKLDDGKDTGTMSNHDANQDQDKDLGGEALNSHFILIGNLERNLSPSSIREFIYRHTFISPQAYMLPSPSTTFAPFARAVIMLDCKKQHEKVYQFLDNPDHFVVSMRGRPWVVTESVSKHGMHRTSLGSVVRKFQEKHGDIYLDNELMVVRSGTEAYKCAQQLRDLFMELHNHEQQLYRRMAWGRSIFEGVIAVGSIALLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLFQLVLFEIIPVLSKEARWINWKVDLFCLIMLLVFMLPYYHCYLMLCNSGVRKERAALVSTLFLMAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAILSGFGAVNLPYSYLSLFIREIEESEIKSVERQLIQSIETSIAKKKRIILCQREIQRIQGAEEKTNATSFLKRIVGTVVRSVQDDQKEQDIKNMEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHLQNLLGYACSVYCVYKMIKSLQSVVFKEDGSVDPVTRTISIFLQFFDIGINATMLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >itb15g03320.t2 pep chromosome:ASM357664v1:15:2121718:2125868:-1 gene:itb15g03320 transcript:itb15g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSICTSVKSCVKSIVSLRGCLEGQMNSQFCDTARTSCCFRISVWHPRFNYATVSSLKSNCSAPAISFQAFRRSFGPTISKSKDSNQSLKFTSTVNTTGSRKTCVDISTGTQNMNMKLLIPSQAKSHGFKFNLGPNSWQQFFTSVGFFSGLLVCCSNSARVHAKSVDHIENKEDGSDSFSVAYSHGKEVHTDYSVTGIPGDGRCMFRSVVHGACLRSGKAAPDEKLQRQLADELREKVADEFIKRREETEWFIEGDFDRYVAQMRKPYVWGGEPELLMASHVLQMPITVYIYDHKSRGLISIAEYGQEEYGKDDPIKVLYNGSGHYDALQIPGTKGTRSKL >itb15g03320.t1 pep chromosome:ASM357664v1:15:2121718:2125868:-1 gene:itb15g03320 transcript:itb15g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICTSVKSCVKSIVSLRGCLEGQMNSQFCDTARTSCCFRISVWHPRFNYATVSSLKSNCSAPAISFQAFRRSFGPTISKSKDSNQSLKFTSTVNTTGSRKTCVDISTGTQNMNMKLLIPSQAKSHGFKFNLGPNSWQQFFTSVGFFSGLLVCCSNSARVHAKSVDHIENKEDGSDSFSVAYSHGKEVHTDYSVTGIPGDGRCMFRSVVHGACLRSGKAAPDEKLQRQLADELREKVADEFIKRREETEWFIEGDFDRYVAQMRKPYVWGGEPELLMASHVLQMPITVYIYDHKSRGLISIAEYGQEEYGKDDPIKVLYNGSGHYDALQIPGTKGTRSKL >itb03g20620.t1 pep chromosome:ASM357664v1:3:18511019:18511576:1 gene:itb03g20620 transcript:itb03g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRLSPKAWKQNSYPNCPDPTRALGMAPHRDTSLITLLYQSSTNRGLQVYGPNLKWVDVEPISNAFVVNVAEMLQLYSNDQFKSVVHQVIVREAQHRISVIYFFGPKSDVNISSSPKLIKDGDFPIYRPVSLKEYREIKGVHFDKALEVVRFNAVVVENANALTRGNEAPLDGVDGGKVEALEA >itb04g11420.t1 pep chromosome:ASM357664v1:4:11011637:11025126:-1 gene:itb04g11420 transcript:itb04g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNIKSVLFLVSNSNDGNHAVQDLMSRRGFIKMSRQLKSRGTRRRKFRVFCESTVIQIRKCSPVLESILLPENGVLPSSEWKTVPDIWKTASEKFGNRIALVDPYHNPPTNLSYKQLEKEIVSFAEGLRVIGLKPDEKIALFADNSCRWLVADQGIMTTGAINVVRGSRSSVEELLQIYKHSESVALAVDNPEMYSRIAETFHSHADVRFVILLWGEKSSITSEVMDGRPIYSYNEILDLGNESHMALLHSQEARHQYTYEPINSDDVATLVYTSGTTGNPKGVMLTHENLLHQILNLWDPVPVVPGDRFLSMLPPWHAYERAAEYFIFSLGCEQVYTTVKNLKEDLKRYQPQYLISVPLVYETLYSGILKQINTSSPARKLIALLFLKISFAYKEAKRIYEGKCLTKDQKQPSYLVSVLDWLWARAIAALLYPLHLLARKLVYSKIHSSIGISKAGISGGGSLSSHVDKFFEAIDIKLQNGYGLTESSPVVACRRPNCNVLGSIGPPIRHTEIKVVDAKTDKVLSPGSKGIVKVKGPQVMKGYYKNPMATKQAIDENGWLNTGDLGWIVPNHSIGRSRNSSGVIVLEGRAKDTIVLSSGENVEPSEIEEAAMGSNLIQQIVVIGQDKRRLGALVVPHKEEVLLAAKNLSMVDSDATEVSKEKTSKLLHEELQKWTTGCMFQIGPILIINEPFTIDNGLLTPTMKVRRDKVTDLYKEQIEDLYK >itb04g11420.t2 pep chromosome:ASM357664v1:4:11012053:11025126:-1 gene:itb04g11420 transcript:itb04g11420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNIKSVLFLVSNSNDGNHAVQDLMSRRGFIKMSRQLKSRGTRRRKFRVFCESTVIQIRKCSPVLESILLPENGVLPSSEWKTVPDIWKTASEKFGNRIALVDPYHNPPTNLSYKQLEKEIVSFAEGLRVIGLKPDEKIALFADNSCRWLVADQGIMTTGAINVVRGSRSSVEELLQIYKHSESVALAVDNPEMYSRIAETFHSHADVRFVILLWGEKSSITSEVMDGRPIYSYNEILDLGNESHMALLHSQEARHQYTYEPINSDDVATLVYTSGTTGNPKGVMLTHENLLHQILNLWDPVPVVPGDRFLSMLPPWHAYERAAEYFIFSLGCEQVYTTVKNLKEDLKRYQPQYLISVPLVYETLYSGILKQINTSSPARKLIALLFLKISFAYKEAKRIYEGKCLTKDQKQPSYLVSVLDWLWARAIAALLYPLHLLARKLVYSKIHSSIGISKAGISGGGSLSSHVDKFFEAIDIKLQNGYGLTESSPVVACRRPNCNVLGSIGPPIRHTEIKVVDAKTDKVLSPGSKGIVKVKGPQVMKGYYKNPMATKQAIDENGWLNTGDLGWIVPNHSIGRSRNSSGVIVLEGRAKDTIVLSSGENVEPSEIEEAAMGSNLIQQIVVIGQDKRRLGALVVPHKEEVLLAAKNLSMVDSDATEVSKEKTSKLLHEELQKW >itb09g01000.t2 pep chromosome:ASM357664v1:9:626458:627536:-1 gene:itb09g01000 transcript:itb09g01000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKEEASFFCSADEAALCIGCDHQVHHANKLATKHLRFSLLHPSSPQSQQCDICQERRALLFCKEDRAILCRDCDLRMHKANEHTQKHNRFLLTGVKLSSFDVPNQLASSPSCGSESSAVSYTTQTTIAGSAAYETSNPQVVETSQEGLVSDTSSISEYLLETLPGWHVQDFLDYPSSSTTYDFCELCFHIN >itb09g01000.t1 pep chromosome:ASM357664v1:9:626153:627536:-1 gene:itb09g01000 transcript:itb09g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKEEASFFCSADEAALCIGCDHQVHHANKLATKHLRFSLLHPSSPQSQQCDICQERRALLFCKEDRAILCRDCDLRMHKANEHTQKHNRFLLTGVKLSSFDVPNQLASSPSCGSESSAVSYTTQTTIAGSAAYETSNPQVVETSQEGLVSDTSSISEYLLETLPGWHVQDFLDYPSSSTTYDF >itb13g25440.t1 pep chromosome:ASM357664v1:13:30823350:30830069:-1 gene:itb13g25440 transcript:itb13g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFLQENSYPKELRESIISLPREEGWIAPYMYNYKGIWIPPHHLYGALRCQQHFQAQDSDIILCTLPKCGTTWLKALVFALTTRKQYSHETQSPLLTTNPHGLIPLMENIYSRENSPNFPLNNGVRLLSTHLPHALLQKSVVESKCKLIYLCRNQKDTLVSLWHYANKSRGEVLGLGAIPFPEAFNKYRRGASVYGPFWDHMLGYWKESLENPSKVLFLKYEEIKEEPEVQLRRIAAFLGCPFSEEEDEGGVAGGIVRLCSFESLSNLEVNKTGKGPVIPIGNNTYFRKGEVGDWRNHLTDEMATRLDQIVEEKFKGTGLKLTASPEVLSRRLRSPHVATVQLTGPLPSSSSLPVIDRERYLENPDLAPNQSAVAADWVSRSTPTDWNFQSVSVDRLGPTGISSRSTI >itb05g02430.t1 pep chromosome:ASM357664v1:5:1961087:1966891:-1 gene:itb05g02430 transcript:itb05g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLSSSPSSSSLLKKARFSPFIFTLLAFIVFVTILYSEDFTCIFSQLDLYSSQSTHIHRSISNFKKNKHKLAFAIGETEESCDIFSGRWVRDEENRPLYEEQECPYIQPQLTCQEHGRPDKDYQYWRWQPHGCSVPTFNATLMLESLRGKRMMFVGDSLNRGQFVSMVCLLHRIIPESAKSFKENGSLTVFTAKDYNATIEFYWAPFLLESNSDDAVVHRIQDRVVRKGSINKHGKHWKDVDIMVFNTYLWWMTGLEFKILQSGEIEDKEKDIAMVSTEDAYRMAMKSMLRWVKKNMDPKKTRVFFTSMSPSHQKGIDWGGDPKENCYNQTTLIEDSNYWGSDSRKSIMQVIGEVFRKSKVPITFLNITQLSSYRRDAHTSIYKKQWSPLTPEQIANPTSYADCVHWCMPGLQDTWNELLFTKLFYP >itb09g30900.t1 pep chromosome:ASM357664v1:9:31455911:31457474:-1 gene:itb09g30900 transcript:itb09g30900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLGFSLVFSFIIYVTFASAGSGSYNVQSYGAKPDGKTDSTKAFVGAWAAACASTAPATIYVPAGRFLVGSVTFGGQTCKNNAISFQMDGTLVAPSDYNNVVGKSGNWIKFEKVTGVSISGGTLDGQGTALWACKNSAKNCPQGATTLAFYNSNNVVISGLSSQNSQMFHILIDRCHNAKLQEVKISAPGNSPNTDGIHVQSSSAVTILNSHIGTGDDCISIGPGNSNLWIENIACGPGHGISIGSLGKEEQEGGVQNVTVKTATFTGTQNGLRIKTWAKPSNGFVKNVVFQHAVMSDVQNPIIIDQNYCPNHQNCPHQSSGVKISDIKYEDIHGTSATEVAMKFDCSNREPCSDITLDDVNLMYKDHPAQASCSNAGGTSSGLVTPASCL >itb05g23980.t1 pep chromosome:ASM357664v1:5:28964768:28968499:1 gene:itb05g23980 transcript:itb05g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSISLRAPQNQFLYGTRLMQAEQCLSSSRVVFIPTSQQRAKPLKFRSLAVKASVDGGRSNGAASFVGGFVLGGIIAGALGCIYAPQISKVLAGAEIPDKKDLMRKLPKFIYDEEKALERTRKILSAKIEQLNTAIDSMSSQLNTKDAPNGIAVNPDELEASI >itb10g17280.t1 pep chromosome:ASM357664v1:10:23482616:23487509:1 gene:itb10g17280 transcript:itb10g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIARLRHRLPGLLIRQPILYNVTARFPVTSAGCTRHFAQPARKEEEEEEEVEIDQRRLPADYDPATFDPTEHRSPPTERVWRLVDEVSGLTLVEVAELSGILMKKLGMTQQPVVGIMKAGAAGMAAATMKGPATAKEEKKPEKTVFELKIESFEAAQKIKIIKEIRSVTNLGLKEAKDLVEKTPAIFKKGVSKEEGEQIIEKMKAVGAKVVME >itb10g17280.t2 pep chromosome:ASM357664v1:10:23482616:23487509:1 gene:itb10g17280 transcript:itb10g17280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIARLRHRLPGLLIRQPILYNVTARFPVTSAGCTRHFAQPARKEEEEEEEVEIDQRRLPADYDPATFDPTEHRSPPTERVWRLVDEVSGLTLVEVAELSGILMKKLGMTQQPVVGIMKAGAAGMAAATMKGPATAKEEKKPEKTVFELKIESFEAAQKIKIIKEIRSVTNLGLKEAKDLVEKTPAIFKKGVSKEEGEQIIEKMKAVGAKVVME >itb01g27720.t1 pep chromosome:ASM357664v1:1:32395476:32398539:1 gene:itb01g27720 transcript:itb01g27720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAAHNNGVVTNHQNGTASHHNATANHHNGTAHDNAAKDLGCIKPGWFSEFSQMWPGEAFSLKIEKLLFQGKSDYQDVMLFESARYGKVLTLDGAIQHTENGGFPYTEMIVHLPLGSIPNPKKVLIIGGGIGFTLMEVSRYPTIEKIDIVEIDKMVMDVSRQFFPYLAAGFEDPRVTLHIGDGAAFVKAAQKGYYDAIIVDSSDPIGPAKELFERPFFEAVAKGLRAGGVVCTQAESIWLHMHLIKQIIANCRQVFKGSVNYAWTTVPTYPSGVIGYMLCSTEGPAVDFKNPVNPIDKDTTHVKSKGPLKFYNSEIHKAAFTLPSFAQKLLE >itb03g17520.t1 pep chromosome:ASM357664v1:3:16120121:16127764:1 gene:itb03g17520 transcript:itb03g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIGAKDPQLRESNSQKVHPQPMEEATNQKPEAVDALISKIFTNISSLKSAYIELQSAHTPYDPDKIQTADKLVISELKMLSELKHFYREHNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEILQLQQQIQEATQKRVKLEKNLKLRGLSAKESEGTSSENRFFSVDLTPDLFRSAVEAAYRAIHDFSKPVINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESSICHRMFGGFQEECFSVKPEDPGVTNESFFHQYLALREMDPLDAVGQSPDSLLGKFCRTKYLAVLHPKMEASFFGNLDQRNFIIGGGHPRTAFYQAFLKLAKSIWLLHRLAYSFNPPVKVFQVKKGSEFSEVYMDSVVKDLIIEESEQKPKVGLMVMPGFYIGGSVIQCQVYLTDTIPPSPSLFSVFCRYNSSSLPQTQ >itb03g17520.t3 pep chromosome:ASM357664v1:3:16120121:16123050:1 gene:itb03g17520 transcript:itb03g17520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIGAKDPQLRESNSQKVHPQPMEEATNQKPEAVDALISKIFTNISSLKSAYIELQSAHTPYDPDKIQTADKLVISELKMLSELKHFYREHNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEILQLQQQIQEATQKRVKLEKNLKLRGLSAKESEGTSSENRFFSVDLTPDLFRSAVEAAYRAIHDFSKPVINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESSICHRMFGGFQEECFSVKPEDPGVTNESFFHQYLALREMDPLDAVGQSPDSLLGKFCRTKYLAVLHPKMEASFFGNLDQRNFIIGGGHPRTAFYQAFLKLAKSIWLLHRLAYSFNPPVKVFQVKKGSEFSEVYMDSVVKDLIIEESEQKPKVGLMVMPGFYIGGSVIQCQVYLTGMKVAE >itb03g17520.t2 pep chromosome:ASM357664v1:3:16120245:16123050:1 gene:itb03g17520 transcript:itb03g17520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIGAKDPQLRESNSQKVHPQPMEEATNQKPEAVDALISKIFTNISSLKSAYIELQSAHTPYDPDKIQTADKLVISELKMLSELKHFYREHNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEILQLQQQIQEATQKRVKLEKNLKLRGLSAKESEGTSSENRFFSVDLTPDLFRSAVEAAYRAIHDFSKPVINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESSICHRMFGGFQEECFSVKPEDPGVTNESFFHQYLALREMDPLDAVGQSPDSLLGKFCRTKYLAVLHPKMEASFFGNLDQRNFIIGGGHPRTAFYQAFLKLAKSIWLLHRLAYSFNPPVKVFQVKKGSEFSEVYMDSVVKDLIIEESEQKPKVGLMVMPGFYIGGSVIQCQVYLTGMKVAE >itb04g30000.t1 pep chromosome:ASM357664v1:4:33232953:33239346:-1 gene:itb04g30000 transcript:itb04g30000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHAVSGGVEKKQKNNASFCNGECEKGGGGGCAYCCLSTSTSSSCSSSNASSSSSAPPSSIYMELWHACAGPLTSLPKKGNVVVYFPQGHLEQAATSASPFPPSFEMDLPTLALHPQIFCSVQDVQLLANKENDEVYTKLTLLPIPEPVGMNLEGKEHEDLGIDEDGGGGGGGAAPVKSTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKEQRPSQELVAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKGLVSGDAVLFLRGEGGDLRLGIRRAARPRNGLPESIIKNQSSYSSVLSAVTNAVSCKSTFHVFYSLRASHADFIVPYQKYVKSINNRIPVGTRFKMRYDYDDSPERRFSGIVTGISDMDPYRWPGSKWRCLMVRWDDDVMSNHQERVSPWDIDSSVSLPPLNIQSSPRMKKLRASQPALPHESHFSDMEESVRSSKVLQGQENIGLISPLYGKINCHPEFEQQTVPRRDFMPAGIEKRKLGELFQTQPPAMYTGFLESNRFPKVLQGQEICSLRSLAGKKDMKFGSWAKPEQGCNVFQAYQSPKNNFYHLDSQGMRNNSFLPYNGIYRAGQNPVMFPHMNDYPRENHVLKPISIQNGKRREEGTIQNFTSEQIPLEKPSKLATPETLFKDEKSDPLSRTGGPCKLFGFSLTKEPSTVSSQGSSKRSCTKVHKQGSLVGRAIDLSRLNGYEDLLIELEKLFGMEGLLRDPNKGWRILYTDSENDMMVVGDDPWHEFCEVVSKIHIYTQEEVDKMSIGGISDDTQSCLEEAPPVTTDASKSSSVGLPNPNSSPTVSKI >itb15g15520.t1 pep chromosome:ASM357664v1:15:14462835:14463751:1 gene:itb15g15520 transcript:itb15g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTLKEQLLVNWPSLVHDRTDEAFWIHEWNSHGTISNSKFNEYQYFQKAIFLHRKFINIKNDLVHNGIKLDHSTPQSDKIFKAALKKITGHDVDLLCKVDSTGTKYLIEMRFCFDIAAENLKSCTSNNSSCKSGFIFSNV >itb07g22720.t2 pep chromosome:ASM357664v1:7:27248362:27261516:1 gene:itb07g22720 transcript:itb07g22720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTIPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLGSGPKWPILRRWDVPWNWQTISLSSLACGLSFVLTGLIETAAIQYLGIEVDTLSLDEKSEILFLDQSITTVVVLGVLYTLTKSSQPLPNEIYRYDWREPFDFQRGWLLWATIGLVGTLIAIALTGVATSFLNSEPPQRETDALVRLLPLIGSSTISTASLLGITGVLAPILEETIFRGFLMVSLTKWLPTPLSILLSASVFAVAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHACWNSGVILLLTFLQHPMGLGNENSEPYRMLEMDMAF >itb07g22720.t1 pep chromosome:ASM357664v1:7:27248362:27260884:1 gene:itb07g22720 transcript:itb07g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTIPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLGSGPKWPILRRWDVPWNWQTISLSSLACGLSFVLTGLIETAAIQYLGIEVDTLSLDEKSEILFLDQSITTVVVLGVLYTLTKSSQPLPNEIYRYDWREPFDFQRGWLLWATIGLVGTLIAIALTGVATSFLNSEPPQRETDALVRLLPLIGSSTISTASLLGITGVLAPILEETIFRGFLMVSLTKWLPTPLSILLSASVFAVAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHACWNSGVILLLTFLQPDTNRHPSSSAKSQFRPSQTSSPNTEV >itb07g22720.t4 pep chromosome:ASM357664v1:7:27248362:27262331:1 gene:itb07g22720 transcript:itb07g22720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTIPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLGSGPKWPILRRWDVPWNWQTISLSSLACGLSFVLTGLIETAAIQYLGIEVDTLSLDEKSEILFLDQSITTVVVLGVLYTLTKSSQPLPNEIYRYDWREPFDFQRGWLLWATIGLVGTLIAIALTGVATSFLNSEPPQRETDALVRLLPLIGSSTISTASLLGITGVLAPILEETIFRGFLMVSLTKWLPTPLSILLSASVFAVAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHACWNSGVILLLTFLQVN >itb07g22720.t3 pep chromosome:ASM357664v1:7:27248362:27262331:1 gene:itb07g22720 transcript:itb07g22720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTIPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLGSGPKWPILRRWDVPWNWQTISLSSLACGLSFVLTGLIETAAIQYLGIEVDTLSLDEKSEILFLDQSITTVVVLGVLYTLTKSSQPLPNEIYRYDWREPFDFQRGWLLWATIGLVGTLIAIALTGVATSFLNSEPPQRETDALVRLLPLIGSSTISTASLLGITGVLAPILEETIFRGFLMVSLTKWLPTPLSILLSASVFAVAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHACWNSGVILLLTFLQID >itb07g22720.t5 pep chromosome:ASM357664v1:7:27248362:27259979:1 gene:itb07g22720 transcript:itb07g22720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTIPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLGSGPKWPILRRWDVPWNWQTISLSSLACGLSFVLTGLIETAAIQYLGIEVDTLSLDEKSEILFLDQSITTVVVLGVLYTLTKSSQPLPNEIYRYDWREPFDFQRGWLLWATIGLVGTLIAIALTGVATSFLNSEPPQRETDALVRLLPLIGSSTISTASLLGITGVLAPILEETIFRGFLMVSLTKWLPTPLSILLSASVFAVAHLTPGEFPQLFVLGICFSIWLFLTI >itb12g19520.t1 pep chromosome:ASM357664v1:12:21927359:21936160:-1 gene:itb12g19520 transcript:itb12g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G63690) UniProtKB/Swiss-Prot;Acc:Q8W469] MDFGKISCLICLVSVLLVVLRIPSAVTAGDIVHHDDLAPKKPGCENDFVLVKIQTWVDGVEDAEFVGVGARFGTTIVSKEKNAHQTLLTRSNPRDCCSTPKNKLAGDVIMVDRGNCKFTAKANYAEAAGASAVLIINNQKELYKMVCDPDETDLDIKIPAVMLPQDAGATLEKMLSSNSSVTVQLYSPKRPVVDIAEVFLWLMAVGTVLCASYWSAWSAREAAIEHDKLLKDASDEIPETGPVHTSSVVDINMTSAVLFVAIASCFLILLYKLMRFSWFFELLVVVFCIGGAEGLQTCLVALLSRWFKRMGDSFIKVPILGAVSYLTLAVSPFCIAIAVLWAVYRTSSFAWIGQDVLGIALIITVLQIVRIPNLKVGTVLLGCAFLYDIFWVFASKKLFHESVMIVVARGDRSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLVSFSLRYDWLAKKNLRAGYFLWAMLAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFLALGRKRGDLKILWTRGEPERVCPHIRLESTQESDEEK >itb10g02670.t1 pep chromosome:ASM357664v1:10:2266501:2267009:1 gene:itb10g02670 transcript:itb10g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHMDCPGCESKIKKALKKLDGVDEVDIEMEKQKVTVTGWADQMKVVKTVRKTGRKAELWPYPYNPEYHSFAHRYYNFYCNPSTHFSKPYSYNYHKHGYNGHDHGYYQEAPYSTIIDEQTSKMFSDENATGCSIM >itb06g04860.t3 pep chromosome:ASM357664v1:6:7630902:7635584:1 gene:itb06g04860 transcript:itb06g04860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALVLCTRNLSRNIECPNQISFRRNSILNTPLYNPLTSKFRFFSSSENEGSSIPNKLEPASQPEETSLTQLKNSQLPVEVEDVNNKELKGLLLDYFDGDKKDVLPNIIEAIMKRRLSGKHDDTDDELLEDFRMNRMEPLDTVDDEEFEEGFEDAHSTDEEIDNLYDATEIVKKRMAHDEYFNMDDKKWDDMISEAIAHGHLKDTKECEEILEDMLQWDKLLPDEIKEKVAKRLDEISDMVEKGELEAEEGYALFKEFEDQMVLECGKLMEKEPLEFDESIIPDKKKDVDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLAVTVKELGLSKHQFRRLRELVGKRYHPGRDELTITSERFEDREENRKDCLRTLLSLIEEAGKANKIVEDARTAYAKQRLKANPKFMARLHAKTAKMRESTTPLPP >itb06g04860.t1 pep chromosome:ASM357664v1:6:7630902:7635607:1 gene:itb06g04860 transcript:itb06g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALVLCTRNLSRNIECPNQISFRRNSILNTPLYNPLTSKFRFFSSSENEGSSIPNKLEPASQPEETSLTQLKNSQLPVEVEDVNNKELKGLLLDYFDGDKKDVLPNIIEAIMKRRLSGKHDDTDDELLEDFRMNRMEPLDTVDDEEFEEGFEDAHSTDEEIDNLYDATEIVKKRMAHDEYFNMDDKKWDDMISEAIAHGHLKDTKECEEILEDMLQWDKLLPDEIKEKVAKRLDEISDMVEKGELEAEEGYALFKEFEDQMVLECGKLMEKEPLEFDESIIPDKKKDVDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLAVTVKELGLSKHQFRRLRELVGKRYHPGRDELTITSERFEDREENRKDCLRTLLSLIEEAGKANKIVEDARTAYAKQRLKANPKFMARLHAKTAKMRESTTPLPP >itb06g04860.t2 pep chromosome:ASM357664v1:6:7630911:7635607:1 gene:itb06g04860 transcript:itb06g04860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALVLCTRNLSRNIECPNQISFRRNSILNTPLYNPLTSKFRFFSSSENEGSSIPNKLEPASQPEETSLTQLKNSQLPVEVEDVNNKELKGLLLDYFDGDKKDVLPNIIEAIMKRRLSGKHDDTDDELLEDFRMNRMEPLDTVDDEEFEEGFEDAHSTDEEIDNLYDATEIVKKRMAHDEYFNMDDKKWDDMISEAIAHGHLKDTKECEEILEDMLQWDKLLPDEIKEKVAKRLDEISDMVEKGELEAEEGYALFKEFEDQMVLECGKLMEKEPLEFDESIIPDKKKDVDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLAVTVKELGLSKHQFRRLRELVGKRYHPGRDELTITSERFEDREENRKDCLRTLLSLIEEAGKANKIVEDARTAYAKQRLKANPKFMARLHAKTAKMRESTTPLPP >itb08g10340.t1 pep chromosome:ASM357664v1:8:9793108:9795250:-1 gene:itb08g10340 transcript:itb08g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFGAACRREESDDSVKNSAQRQPSPPRFSPPSQRQYPSLRSNSRVSRRLKKGNPSVCVAVAASPMLPRMCPPTILNHARPILVSRKQTSMANQKTNIDASEDWRDAVVPAQGQRLSSILCSSLAIAGKSSRTGIRQILNYQTNNSRQKLAFAALRQRPPSSCRLKMGRGRGKGGKTMMYGVVGVPMAVTGIEQTASEKKAISHQTS >itb02g21990.t1 pep chromosome:ASM357664v1:2:21010853:21013915:1 gene:itb02g21990 transcript:itb02g21990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKQIEGKQVGNQESEGLKKMLDGMVWMDRKEGDDDEQGAGCWAQNNNGGMVSLVDGEDVGGWYMPMPMPMHSLADQSNAALLLHPVESTSSCSPSSALNSLESCQSQVHYFLPPKTNSSIINPLESGFENGFLQNHGLSRGTGILGGGFAEFTSQNPNFNTAFGSTNLLQPQTGFGETFFNDNNSNALFLNLNRSKLLKPLDNFASIGAQPTLFQKRAALRKNLANNLEVLGITRTLSNVDKKQVEVSEMIDLKREGNNGDVDEVNKDRSSNFNYDDSDELLQLNNNNNNNNSNDDESVKNNNTSGDQKGKKKQGGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELVQKINDLQNELDSSTSPVVTTPFYPLTPTTAASSRIKEEISPTSFPSPLPSPTGQPPARVEVRVKEGRAVNIHMFCSRKPGLLLSTIRALDNLGLDVQQAVISCFNGFTMDIFRAQQYKEGDIHPDRIRAILLDSAGFQGMI >itb06g01960.t1 pep chromosome:ASM357664v1:6:3325046:3326530:1 gene:itb06g01960 transcript:itb06g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEKHSSHVELLQAEAQTWNHILNYIDSATLKCAVQLGIPDIIHNHAKPMPLCDLIAALPIHPSKAPYIHRLTRFLVHSGLLSLTNSGQQEECYSLTPAGRLVVKDEPINLRAFLHICNEYVFQKSCYSLGDWFQNDDPSPFSTAHGEKIWDYISHEPGYNDVFNEVTAKDSQLLMEVLLSGESKRVFDGVSSLVDVAGGKGAVASAIAKCFPAMKCTVLDLPHVIASVDAASVDNVEFVAGNMFEKIPAANAVLLKWIMHDWEDEECVKILKKCKEAIPSKEEGGKVIIIDMVIEDKKHDPCFSRAELYMDLLVMALYGSKERNKKQWEKLFTEAGFSDYKITPALGLRSLIELFP >itb10g20840.t3 pep chromosome:ASM357664v1:10:26281646:26285373:1 gene:itb10g20840 transcript:itb10g20840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKILLSVILLSFLVCFCCSTSTDTITFNQTLKDGDLLISKTESFALGFFSPENSPGSKYVGIWYQQIPGKTVVWVANRDRPVTGESGILFIDDSGNLVIQDTSTNISVSVTNQTSPATGIKGFSARLLDTGNLVLYHDQESKWQSFDYPTNTLLPSMKLGEDKKTGLNRILQSWKSSNDPSMGQYVLELDFTAAAAQVYLKRSWGQIWRSGPWNGDGLSGVPETAQNGFNFSYTENGDEVTITYSLRDPSVPSMFVLNESGTVNWLTWQGNKGWVSSWSAPQDQCDSYGRCDGFSNCNPDSSSGVFECSCLTGFQPLSNQEWKGCGRNNTIDVCRNGEGFWKMTGVKIPDTQTAVVNRTVGLTECQNLCLNNCSCNGYASANVKGGLGCFVWYGELKDMREVTNGGQDMFLRVSASDLAQLKKKSKRHISKMVEIILVVAVAAVVALLVCCLIIKMRKRKRKQKNTRDLNTCSQSYEGSSMGLLVDETGSTDVSIFDLKTIQFATDNFSADNKLGQGGFGSVYKGKLPNEQLVAVKRLSRTSGQGIEEFKNEVTLIARLQHRNLVRLLGCCVEQGEKMLVYEYMPNKALDNFIYDNTMGKSLDWTKRFEIILGIARGLLYLHRDSRLRIIHRDLKASNVLLDASMNPKISDFGMAKIFGGDQNEANTNRVVGTYGYMSPEYAMEGHFSVKSDVFSFGVLLLEIVSGRKNKSPLRQTSLNLIGDVSASPHRNCSPMLDKTS >itb10g20840.t1 pep chromosome:ASM357664v1:10:26281351:26285372:1 gene:itb10g20840 transcript:itb10g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKILLSVILLSFLVCFCCSTSTDTITFNQTLKDGDLLISKTESFALGFFSPENSPGSKYVGIWYQQIPGKTVVWVANRDRPVTGESGILFIDDSGNLVIQDTSTNISVSVTNQTSPATGIKGFSARLLDTGNLVLYHDQESKWQSFDYPTNTLLPSMKLGEDKKTGLNRILQSWKSSNDPSMGQYVLELDFTAAAAQVYLKRSWGQIWRSGPWNGDGLSGVPETAQNGFNFSYTENGDEVTITYSLRDPSVPSMFVLNESGTVNWLTWQGNKGWVSSWSAPQDQCDSYGRCDGFSNCNPDSSSGVFECSCLTGFQPLSNQEWKGCGRNNTIDVCRNGEGFWKMTGVKIPDTQTAVVNRTVGLTECQNLCLNNCSCNGYASANVKGGLGCFVWYGELKDMREVTNGGQDMFLRVSASDLAQLKKKSKRHISKMVEIILVVAVAAVVALLVCCLIIKMRKRKRKQKNTRDLNTCSQSYEGSSMGLLVDETGSTDVSIFDLKTIQFATDNFSADNKLGQGGFGSVYKGKLPNEQLVAVKRLSRTSGQGIEEFKNEVTLIARLQHRNLVRLLGCCVEQGEKMLVYEYMPNKALDNFIYDNTMGKSLDWTKRFEIILGIARGLLYLHRDSRLRIIHRDLKASNVLLDASMNPKISDFGMAKIFGGDQNEANTNRVVGTYGYMSPEYAMEGHFSVKSDVFSFGVLLLEIVSGRKNKSPLRQTSLNLIGDVWDFWSEGMALNIVDPSLGESYDGRKVSRCIHVGLLCVQASANVRPTMSEVVFMLCNETKLPRPNQPGFILLRQQDHSAGPSSSSNGRSQSVNSMTMTEIDAR >itb10g20840.t2 pep chromosome:ASM357664v1:10:26281646:26285373:1 gene:itb10g20840 transcript:itb10g20840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKILLSVILLSFLVCFCCSTSTDTITFNQTLKDGDLLISKTESFALGFFSPENSPGSKYVGIWYQQIPGKTVVWVANRDRPVTGESGILFIDDSGNLVIQDTSTNISVSVTNQTSPATGIKGFSARLLDTGNLVLYHDQESKWQSFDYPTNTLLPSMKLGEDKKTGLNRILQSWKSSNDPSMGQYVLELDFTAAAAQVYLKRSWGQIWRSGPWNGDGLSGVPETAQNGFNFSYTENGDEVTITYSLRDPSVPSMFVLNESGTVNWLTWQGNKGWVSSWSAPQDQCDSYGRCDGFSNCNPDSSSGVFECSCLTGFQPLSNQEWKGCGRNNTIDVCRNGEGFWKMTGVKIPDTQTAVVNRTVGLTECQNLCLNNCSCNGYASANVKGGLGCFVWYGELKDMREVTNGGQDMFLRVSASDLAQLKKKSKRHISKMVEIILVVAVAAVVALLVCCLIIKMRKRKRKQKNTRDLNTCSQSYEGSSMGLLVDETGSTDVSIFDLKTIQFATDNFSADNKLGQGGFGSVYKGKLPNEQLVAVKRLSRTSGQGIEEFKNEVTLIARLQHRNLVRLLGCCVEQGEKMLVYEYMPNKALDNFIYDNTMGKSLDWTKRFEIILGIARGLLYLHRDSRLRIIHRDLKASNVLLDASMNPKISDFGMAKIFGGDQNEANTNRVVGTYGYMSPEYAMEGHFSVKSDVFSFGVLLLEIVSGRKNKSPLRQTSLNLIGDVWDFWSEGMALNIVDPSLGESYDGRKVSRCIHVGLLCVQASANVRPTMSEVVFMLCNETKLPRPNQPGFILLRQQDHSAGPSSSSNGRSQSVNSMTMTEIDAR >itb09g24440.t1 pep chromosome:ASM357664v1:9:24090169:24090952:-1 gene:itb09g24440 transcript:itb09g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIVPKVRGVEKRQRRSAKQIRRSKEVDKKLREVNEKLKLDGGGGCVTLLVPGVTASPPNPLAPLSLSLSRSDTPKDSKSRSIYLIITVKPLLRFDSVTGKLLFSA >itb03g05930.t1 pep chromosome:ASM357664v1:3:4197756:4198805:-1 gene:itb03g05930 transcript:itb03g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKAKADNKLSVKKRGGETKKAKKAAKDPNKPKRPPSAFFVFMEEFRKTYKEKHPNNKSVAQVGKAGGDKWKQLSDAEKAPYQAKAEKRKQEYEKSMQAYNRKQAGEAAEEEESDKSRSEVNDDDEDEDGSAEDDDDDDDE >itb05g17710.t1 pep chromosome:ASM357664v1:5:24539598:24541957:-1 gene:itb05g17710 transcript:itb05g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMIDDDEKLEPNTTAQLLKAAEEEEEVDKAQSRSATRADPIEIKQPPTALDLALANAAATVTPTSTGYDGLAASGAAIKELGHHYVQTEIQNAVQVVKKPLPTSNCVVPFSRRAGTPKKVPKPAKPKW >itb11g05760.t1 pep chromosome:ASM357664v1:11:3410618:3411523:1 gene:itb11g05760 transcript:itb11g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRHPQNITTDTQEECESTCLKNCSCTAYAYINSRGHCWIWVGQLLNLKQLGDDDINGSTIYIRLAATEFSNIKDTNSKQLLGIWKLKAIIASVAVAAAALVACTIFCVCYKRRRAALKITGRLVVDQRKFSVLTPIKPIIF >itb04g25500.t1 pep chromosome:ASM357664v1:4:30081480:30082811:1 gene:itb04g25500 transcript:itb04g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLSNWSDVQSVPERYIFPSDVRPGNLDFPTYTDIPVIDLGNPDKNETIQQILKACQDSGIFQVINHGVSEDLMDETMNVLEEFFNLPGEYKERFYSQDITKPCRIFSSTLAYETEEFHYWRDNFTHRCHPLEDNIHSWPENPTKYRSVVSKYSVETRMLLLRILNMISQGLGIEPGYFEGELSKTHLFSVNHHIPCPDPSLTLGMPVHADPNLITLLHQGHVPGLQLLKDGRWTEVAPLRNAFIILPGLTLKVVSNDRFNTAIHRVVTNSKQTRTTIGVFLGPSQEISIEPAGALVDSGEAPVYRGFTYPELFNVFKVNHCDALRCFKTDSN >itb02g01170.t1 pep chromosome:ASM357664v1:2:649020:650485:1 gene:itb02g01170 transcript:itb02g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKLGGGDDAITSKNPQSSVTCVYQTHIGGYWRNVTVIWSKNMMNHSLSITVDSVESDDHATCKIDLKPWHFWAKKGYKTFEVDGNQLETYWDLRSAKFSGSPEPGGDFYVALVSEEEVVMLLGDYKKKAFKRTKARPALVEAVQFYKKEHVSGKKSFSTRAKLDHRMKESEIVVESSTTGLKEPEMWISIDGIVLIHVKNLQWKFRGNQTVLVNKLPVQVYWDVHNWLFCLPGGTDHGLFIFKPEGATDGESDFEESGSVGGDSECSTDSRYYSTQSHASATPFCLFLYAWKLE >itb14g21020.t1 pep chromosome:ASM357664v1:14:23222180:23223055:-1 gene:itb14g21020 transcript:itb14g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSWKQSICVRVVIYINRSRGKAAREECRSFQTSQTTTLIEPPVAFSTLSGLRALSFSLQFLYYQYQQTFTGTLDLAIPRVRVSAFFFTRPGFPPLDYIRLGIASQFRNPSSVYLRKYK >itb05g26290.t1 pep chromosome:ASM357664v1:5:30253644:30255988:1 gene:itb05g26290 transcript:itb05g26290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G54580) UniProtKB/Swiss-Prot;Acc:Q9FIU6] MALRAAATTAAAPRALRALFSTFSSSFPFNPPQAQVQKPPPAEPSTNLFVSGLSKRTTSDGLKKAFEQYGEVVHAKVVTDRVSGFSKGFGFVRYSSLEGAEAGIKGMDGQFLDGWVIFAEYARPREQPPPLNNNYGPSNGNFNRPPFGSQ >itb05g14260.t1 pep chromosome:ASM357664v1:5:21314764:21315478:1 gene:itb05g14260 transcript:itb05g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVEALTILILSLASLSVVTSIPLPMMSPPPPSTTCPENTTELLRVCANLINAVSSNVEIPPNDPAARECCPLIQGLADVEATACLCIAANVNVGQIVNLNATVSATLKVCNRNIPPNDIKCPN >itb07g20890.t1 pep chromosome:ASM357664v1:7:25328836:25329905:-1 gene:itb07g20890 transcript:itb07g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRLQTKSMCIETTKNQIKGVIDFFEKYRNEGFVSSINIAKSIACDMNIEPTFPIKRRIIRKKQFDENDYEEEMQSPEESFRVNYFLIVVDMAIASLNTRFEQLNTFENIFGFLYDSKKLKSLDNDELMECCNNFAFTFSHNNVSDVDSNDLFFLN >itb11g09650.t3 pep chromosome:ASM357664v1:11:6542182:6544078:-1 gene:itb11g09650 transcript:itb11g09650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEIHAKGKKIEDIEEVLKRTLIHPRIVPAIKSAYALGCDLRIVSDANVFYIETILEHHGIRECFSEIHTNPGYVEEGRLRIRPYHDFHTSPHGCNNVCPPNMCKGKIIERIQASLAKEGKKRMIYLGDGAGDFCPSLKLKEGDFLMPRKDFPVWKLITENRKLLKPEIHEWTDGEELERILLHLINKNLPAAQPKFQKVSIQAHKALPKAIPVPF >itb11g09650.t4 pep chromosome:ASM357664v1:11:6542223:6543373:-1 gene:itb11g09650 transcript:itb11g09650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRCDLRIVSDANVFYIETILEHHGIRECFSEIHTNPGYVEEGRLRIRPYHDFHTSPHGCNNVCPPNMCKGKIIERIQASLAKEGKKRMIYLGDGAGDFCPSLKLKEGDFLMPRKDFPVWKLITENRKLLKPEIHEWTDGEELERILLHLINKNLPAAQPKFQKVSIQAHKALPKAIPVPF >itb11g09650.t1 pep chromosome:ASM357664v1:11:6542182:6544078:-1 gene:itb11g09650 transcript:itb11g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGTVVVFDFDKTIIDVDSDNWLVDELGFTDRFNQLLPTMPWNSVMARSGLGAGYDNPRYPQDKMMNEIHAKGKKIEDIEEVLKRTLIHPRIVPAIKSAYALGCDLRIVSDANVFYIETILEHHGIRECFSEIHTNPGYVEEGRLRIRPYHDFHTSPHGCNNVCPPNMCKGKIIERIQASLAKEGKKRMIYLGDGAGDFCPSLKLKEGDFLMPRKDFPVWKLITENRKLLKPEIHEWTDGEELERILLHLINKNLPAAQPKFQKVSIQAHKALPKAIPVPF >itb11g09650.t2 pep chromosome:ASM357664v1:11:6542182:6544078:-1 gene:itb11g09650 transcript:itb11g09650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGTVVVFDFDKTIIDVDSDNWLVDELGFTDRFNQLLPTMPWNSVMDKMMNEIHAKGKKIEDIEEVLKRTLIHPRIVPAIKSAYALGCDLRIVSDANVFYIETILEHHGIRECFSEIHTNPGYVEEGRLRIRPYHDFHTSPHGCNNVCPPNMCKGKIIERIQASLAKEGKKRMIYLGDGAGDFCPSLKLKEGDFLMPRKDFPVWKLITENRKLLKPEIHEWTDGEELERILLHLINKNLPAAQPKFQKVSIQAHKALPKAIPVPF >itb10g10080.t1 pep chromosome:ASM357664v1:10:13836947:13837773:-1 gene:itb10g10080 transcript:itb10g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWNVISSFYGGLTDKGKMLLDSSSSGAFVSLALPEAEELNERISKNTSCWYDRRGEHGGMYEINSHVASEAKIEAMNHEIKKLQAMVEKMEGAPKPCMALALYCNICGGPHDTNICTSPSASEQVEAVDCQRNSNYNAYGQNQRQSNNWKQGEGWNNNNNDGYQARGQYNYGNKPAYGQNDKDRLMYNQNQGNGGQMTQFRPQCDSQLDFN >itb09g09650.t1 pep chromosome:ASM357664v1:9:5923987:5926437:1 gene:itb09g09650 transcript:itb09g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHQCTIMSSFVLAFLVFSSHQYSEAKSELERGDSLSVEGGESDFLTSPHKTFTCGFYGLGNNNNAYWFAIWYTNSRDKTVTWVANRDKPVNSQGSRLSLRKDGTMTVSDVDGTVVWVTDTISDDVDRAELLDTGNLVLRDPNGEILWESFSFPTDTLLPHQEIRKSYRFTSHLRNGSFEPGYYSFYFDSDNVLKLIYDGPQVSSIYWPNPRIDIYANGRTSQNSSRIASFDDMGMFSSTDFLRFYSTDMGAGIKRRMTMDIDGNLRMYSLNDSAGTWEITWQAFQQTCDIHGKCGRFGICDYSPDSQPRCSCPPGYVVANSSDWRGGCRAVFNETALMAEPIKYLEISQTDYYGFDLNNSQMSLEDCKALCREDDRCRAFTYRKIGEAKCYTKSVLYNGYRSPEFQGSTYLKLPRNLALPESNSAILNHSDVVCPVIVEQVLARSHYERKTRKVRWVYLYSFCSALGGIEALVVVLGWWALFSKHGIPASLENGYRMLSSQFRRFTYAELKKATKNFKVELGRGGSGAVYKGGLEDGRAVAVKRLGDEFQGEEQFWAEMTTIGKINHRNLVRMWGFCAERKHRLLVYEYVENSSLDKHIYTSNILGWNERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLTSDLEPKIADFGLAKLAQRGDPGSYFTRIRGTKGYMAPEWALNQPITAKVDVYGYGVVILEMVNGNRISRWVEEEGDDPQETNMKKFVVAVKGKIESGEEETWMDDIVDKRLEGKFNRSQAKTLIQVGLSCVEENKNLRPTMASVVQTLMECVNETAALQISDVSHVVNM >itb09g04910.t1 pep chromosome:ASM357664v1:9:2781641:2786079:-1 gene:itb09g04910 transcript:itb09g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQETVNESKEPLLPLEDPVIHRSRSFKTAPRSIFTLSNFWILLGPLLCGLVCVFVKLDGSPAAVTSRNMLAVLVWVFAWWMTQAVPMPVTSMSPLFLFPLFGIATADEVAQSYMDDVIALVLGSFILALAVEHYNIHRRLALNITLMFSGDPLNPPLLLLGICGTTFFVSMWMHNVAAAMLMMPVATGVLQRLPADLGQSSLVTKFCKAVVLGVTYSAAVGGMSTLTGTGVNLILVGMWKSYFPQADPISFSTWLFFGFPLAMVIFIALWIILCLMYCKKGTSQALSAYMDRSHLKRELDLLGPMSFAEKMVLVIFSTLVVLWMTRSITDDIPGWGVLFGDRAGDGTVSVLMATLLFIIPSKKQAGEKLMDWNKCKKLPWNIILLLGAGFAIADGVRTSGLADVLSKGLNFLEKAPYLAIAPAVCIVSGIITEFTSNNATTTLLIPLLIQVAQTMHVHPLLLIVPGAIGAQFAYLLPTGTPSNIVGFSTGHLEVSDMVKTGLPLKIAGTAALSFLMPTLGVLVFGTDKEVVLNLSKNYLHLCRS >itb04g32300.t1 pep chromosome:ASM357664v1:4:34926476:34928653:-1 gene:itb04g32300 transcript:itb04g32300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMASEQ >itb08g11660.t1 pep chromosome:ASM357664v1:8:11680968:11686029:1 gene:itb08g11660 transcript:itb08g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLNFSSVSLLLNQPKRCRFVLFNHNAPSRFSSSLPRRRHHRRRLLHKFFPDISTVSDPSLPPSDKNLQFILTVDRLPTAPLHPLRDVIESKLAEFLDSGRAAVEDLQTLVRVDGQTRRVVISCRRSTVQFLGALFLSSIVIVFSLRAFVKLVSLGLGRNYGEGKVEMVYRRDRSLGGKEVLVAKRERSDVRSNKKRIDILGSIEEEDGNFEWMRGMRLSRRSSSVKRLPKWWPPNTDSSQGLMEFREENQRMANMLVRAYNLISSHLGQ >itb02g20770.t1 pep chromosome:ASM357664v1:2:19001746:19004163:-1 gene:itb02g20770 transcript:itb02g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEQLDYVLVPAGIAIMVSYHAWLLFTIVRNPTRTVIGINAESRHSWVHSMMSDPIKNGVLTVQTIRNNIMASTLLATTAITLSSIISVYVSGKSDPASSNLLYGNKTAILSSVKFFTILLCFLVAFLCNVQSIRYYAHVSFLATVPTFKDSTDSIEYVARNLNRGSYFWSLGLRAFYLSFPLFLWVFGPIPMFVCCCVLSLALYFLDTTTSFTRDLHCQSFKRKANDA >itb15g13990.t1 pep chromosome:ASM357664v1:15:12120955:12131438:-1 gene:itb15g13990 transcript:itb15g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSRKLFPACESMCICCPALRSRSRQPVKRYKKLLADIFPKSPDGSANGRKIMKLCEYAAKNPFRIPKIAKCLEERCYKELRSGHTKLVNVIAEVYNELLCMCKEQMACFAVNLLNVVVEMLDCAKTDAVKTIGCLTFTKFIYSQVDGTYTYNIENLVQKVCSLARETGEEDQKRCLRASSLRCLSAMVWFMAEFSHIFADFDEIVHVTLDNYEPDAHNEDDERGEAHHNWVDEVIRSEGRDVGNENRPGYLIRKRPEKKDISLLTREEIEMPKIWAHICIQRMADLAKESTTMRRVLDPMFIHFDNNKHWVPRHGLAFVVLSDMSYFVESSGNHQLILTGVVRHLDHKNVACDSQIKSFVIQTATALARQIRLGGGFSDIGFVSDLCRHLRKSLQATVDLAGEEINLNLTLQTSIQECLLETVKGIFDARPLFDMMAMTLEKLPSHKVVARATMGSLIILAHTISIASLSSNSQQVFPDGLFIQLLRVMLHPDVEIRIGGHHIFSVLLVTSSNYMRHEAPSHTRRWNSSGASTFSSITALLDKLRREKDATKLTDDYSIQDGFKEKDNIEEERKQGRAQRNSPNFHKLNSIIDRTVASASLTDTDPSTLKLNEDQITQLLSAFWFQANMSDNLPANVEAITQSFCLTLISLRLRNTENNLVVRFFQLPLSLLKIALEPNESMPPAYQRLLLVSSTAMLSFAAKMCQVSDLNSLLKPLRECNLDPFLGVGDDYQVYMKPRADLREYGSTSDNQTAAKFLSELRNKSIQSLETIQSTLVESLSRITQLETDDLVKQMSEGFTPDDTFLFGLQSMVDMDHIQVLVHSRESPSYDGDFLTNSSAEDDKISESSVTDMTRFMPTSPSPSSSRIVNIGQLLESALEVAGQVAGTFVSTSPLPYGAMASQCETLGTDTRKKLSNWLAHENHYTKAAADVLLPAIPGNGLSTAKKTIDEDSTVQQGAMVAREPWLAIRLPPASPFDNFLRAARC >itb14g20570.t1 pep chromosome:ASM357664v1:14:22900867:22901208:-1 gene:itb14g20570 transcript:itb14g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPGLLLTSHVAAGKDIAGSCSPTLILQFCYNPPQKHTDNPLLYTTHSSDDTHKFLNIAGTRNIAILQKGPQENLGEIQKKVTPEPTVFNHKSSSSSSASNTIFTAMALPTR >itb03g01370.t1 pep chromosome:ASM357664v1:3:768829:771082:-1 gene:itb03g01370 transcript:itb03g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEASTAVNDCAVHVVSTSSKRKHDKAPRKIHDAEREPRDKVPRKIHKAEREKQKRDNMNVLFAELAKVLDPSHHNYGKACILKETMRLVRELIVQVDNLRKENASLNSESHYMTVEKDELKEEKSALEAQIENLQTEINKKIRSQTTNIDNVTSPPQLTEDHLRTSIHDYASSSAAATGPVLVVPLHNDLQMYSTADNAEAVQNLPPSVSRPRPRYPSPSDSWPSRVLNVTSNTTEDS >itb03g01370.t3 pep chromosome:ASM357664v1:3:769043:770428:-1 gene:itb03g01370 transcript:itb03g01370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLFAELAKVLDPSHHNYGKACILKETMRLVRELIVQVDNLRKENASLNSESHYMTVEKDELKEEKSALEAQIENLQTEINKKIRSQTTNIDNVTSPPQLTEDHLRTSIHDYASSSAAATGPVLVVPLHNDLQMYSTADNAEAVQNLPPSVSRPRPRYPSPSDSWPSRVLNVTSNTTEDS >itb03g01370.t2 pep chromosome:ASM357664v1:3:768829:771082:-1 gene:itb03g01370 transcript:itb03g01370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKISACLPPSSPKLARSSKRKHDKAPRKIHDAEREPRDKVPRKIHKAEREKQKRDNMNVLFAELAKVLDPSHHNYGKACILKETMRLVRELIVQVDNLRKENASLNSESHYMTVEKDELKEEKSALEAQIENLQTEINKKIRSQTTNIDNVTSPPQLTEDHLRTSIHDYASSSAAATGPVLVVPLHNDLQMYSTADNAEAVQNLPPSVSRPRPRYPSPSDSWPSRVLNVTSNTTEDS >itb10g08050.t1 pep chromosome:ASM357664v1:10:10198234:10198968:-1 gene:itb10g08050 transcript:itb10g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVMVINPCVRTSRTDVPFSSLEPPPTSRTSRTDGPFSSLGLLLISRITRGRSFMPFAVTPALELGAGGFLQLGEFKDGDRLVQSEVSPNKPP >itb04g21270.t1 pep chromosome:ASM357664v1:4:26351084:26355550:1 gene:itb04g21270 transcript:itb04g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKFICMTKKDTRENGRSNSLVGSRSKRVERSKRRSVMEEELLHRQALSMAIQQHQLSQRFEGSMSRRIGSTSSRRRNDLSESFPASNKQLPEFLENIKTKRFVLIHGEGFGAWCWYKTIALLEESGLLPISMDLKGSGIDQTDTNNVTTLEEYSTPLLDYLKNLPEDEKVILVGHSAGGACVSYALEHFPQKIAKAVFLCGTMVSDAQRPFDVFAEELGSAELFMQESKFLIHGNGKDKPATGFMFEKEQMAGLYFNQSPTKDIALAKVSMRPIPLGPMMEKLSLTPENYGTSRRFYVQTLDDHALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIQ >itb13g21280.t2 pep chromosome:ASM357664v1:13:27858339:27873183:-1 gene:itb13g21280 transcript:itb13g21280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MFFSGDPSSRKRVDLGGRSSKERDRQKLLEQARQERNRRQYLRQQNSAAIKIQKCFRGRKAVKAEQSRVRESFFNSYGDHCQHVERQSFGPDSDFLRQLLFFFNPTYAADFSVLVKACRLLKEFVQDTGDIVSIFAGMEYDSNRALVDHRVKKLAYTCIQALYSNRNELKDQLFVASEITQTPTILLLDTVALLIDLRLPWACNTVTYLLERNIYSLFRELILMGKERAFPVSNGVDSSLERIIAVIMSHVGQQSCSCPILDPQASFPSQILTIPFLWRFFPHLKEIFATPALSQHYIHQMALCVKDNSSVLPPDTAIDLPGYGCLLGNLLDVGSLAFTQPDSFDMAIDFATVAAFLLEALPSLRKSSMGSKGIFLVADDEMSIGDEQSDEVLNLDLEQQISKAIDPSFLLQLTNVLFGRSTPTNGVQSGQPNEKDVAAVGAACAFLHVTFNLLDPDRIMTVLAYRTELVPALWNFMKQCHENQKWSLLSEQSTYLPVDAPGWLLPLAVFCPVYKHMLMIIDNEEFYEQEKPLSLKDIKSLIIILRQALWQILWLNPVPPSNFNKYTTDSFATKRHHVDFLQHKVCVVASELLSKLQDWNNRRQFTSPSDFHADGVNEHFISMAMIENTRANDILKQAPFLVPFTSRAKIFTSKLASSRERNGNNAVFARHRLRIRRDHILEDAFNQLNALSEEDLRGLIRVTFINEFGVEEAGIDGGGIFKDFMENITRSAFDVQYGLFKETADHLLYPNPGSGLIHEQHLQYFNFLGTVLAKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKHYNGNLSDLELYFVVVNNEYGEQREEELLTGGRTMRVTNENVITFIHLVANHRLNAQIREQSSHFLRGFQVLIQKEWIDMFNEHELQLLISGSVDGIDVDNLRAHTNYTGGYHKDHYVIEMFWEVVKNFSLGNQRKFLKVLSGTIAWI >itb13g21280.t3 pep chromosome:ASM357664v1:13:27858358:27873176:-1 gene:itb13g21280 transcript:itb13g21280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MPFVKRICSRYWNELKDQLFVASEITQTPTILLLDTVALLIDLRLPWACNTVTYLLERNIYSLFRELILMGKERAFPVSNGVDSSLERIIAVIMSHVGQQSCSCPILDPQASFPSQILTIPFLWRFFPHLKEIFATPALSQHYIHQMALCVKDNSSVLPPDTAIDLPGYGCLLGNLLDVGSLAFTQPDSFDMAIDFATVAAFLLEALPSLRKSSMGSKGIFLVADDEMSIGDEQSDEVLNLDLEQQISKAIDPSFLLQLTNVLFGRSTPTNGVQSGQPNEKDVAAVGAACAFLHVTFNLLDPDRIMTVLAYRTELVPALWNFMKQCHENQKWSLLSEQSTYLPVDAPGWLLPLAVFCPVYKHMLMIIDNEEFYEQEKPLSLKDIKSLIIILRQALWQILWLNPVPPSNFNKYTTDSFATKRHHVDFLQHKVCVVASELLSKLQDWNNRRQFTSPSDFHADGVNEHFISMAMIENTRANDILKQAPFLVPFTSRAKIFTSKLASSRERNGNNAVFARHRLRIRRDHILEDAFNQLNALSEEDLRGLIRVTFINEFGVEEAGIDGGGIFKDFMENITRSAFDVQYGLFKETADHLLYPNPGSGLIHEQHLQYFNFLGTVLAKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKHYNGNLSDLELYFVVVNNEYGEQREEELLTGGRTMRVTNENVITFIHLVANHRLNAQIREQSSHFLRGFQVLIQKEWIDMFNEHELQLLISGSVDGIDVDNLRAHTNYTGGYHKDHYVIEMFWEVVKNFSLGNQRKFLKFVTGCSRGPLLGFKYLEPSFCIQRAAGNTPDEALDRLPTSATCMNLLKLPPYRSKEQMEQKLLYAINADAGFDLS >itb13g21280.t1 pep chromosome:ASM357664v1:13:27858197:27873267:-1 gene:itb13g21280 transcript:itb13g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MFFSGDPSSRKRVDLGGRSSKERDRQKLLEQARQERNRRQYLRQQNSAAIKIQKCFRGRKAVKAEQSRVRESFFNSYGDHCQHVERQSFGPDSDFLRQLLFFFNPTYAADFSVLVKACRLLKEFVQDTGDIVSIFAGMEYDSNRALVDHRVKKLAYTCIQALYSNRNELKDQLFVASEITQTPTILLLDTVALLIDLRLPWACNTVTYLLERNIYSLFRELILMGKERAFPVSNGVDSSLERIIAVIMSHVGQQSCSCPILDPQASFPSQILTIPFLWRFFPHLKEIFATPALSQHYIHQMALCVKDNSSVLPPDTAIDLPGYGCLLGNLLDVGSLAFTQPDSFDMAIDFATVAAFLLEALPSLRKSSMGSKGIFLVADDEMSIGDEQSDEVLNLDLEQQISKAIDPSFLLQLTNVLFGRSTPTNGVQSGQPNEKDVAAVGAACAFLHVTFNLLDPDRIMTVLAYRTELVPALWNFMKQCHENQKWSLLSEQSTYLPVDAPGWLLPLAVFCPVYKHMLMIIDNEEFYEQEKPLSLKDIKSLIIILRQALWQILWLNPVPPSNFNKYTTDSFATKRHHVDFLQHKVCVVASELLSKLQDWNNRRQFTSPSDFHADGVNEHFISMAMIENTRANDILKQAPFLVPFTSRAKIFTSKLASSRERNGNNAVFARHRLRIRRDHILEDAFNQLNALSEEDLRGLIRVTFINEFGVEEAGIDGGGIFKDFMENITRSAFDVQYGLFKETADHLLYPNPGSGLIHEQHLQYFNFLGTVLAKAMFEGILVDIPFATFFLSKLKQKHNYLNDLPSLDPELYRHLIFLKHYNGNLSDLELYFVVVNNEYGEQREEELLTGGRTMRVTNENVITFIHLVANHRLNAQIREQSSHFLRGFQVLIQKEWIDMFNEHELQLLISGSVDGIDVDNLRAHTNYTGGYHKDHYVIEMFWEVVKNFSLGNQRKFLKFVTGCSRGPLLGFKYLEPSFCIQRAAGNTPDEALDRLPTSATCMNLLKLPPYRSKEQMEQKLLYAINADAGFDLS >itb04g07100.t2 pep chromosome:ASM357664v1:4:4748183:4756978:1 gene:itb04g07100 transcript:itb04g07100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKMDCDIDDGVGFIPQLNKFSLGNESALTNAGNTTHGGSSCEINEFVRFKSFLEKSLTVTREFFLPPERLKFGLVPNRSLLSVLNIEDPGPWLMTLQVAGCPTCSNVLKEDDDLKTIIQTQASLVAELENDADDPGLTLPANKPSMLLFVDRSSDSSTLRQESKQAMDAFRELALHYHNSHMGSGKDTLISEKRSGEAIQKLKGASKHRRLDLFQAAQKINVNEKMSIVIMNEGKHVSVENLVADLQGSSLHEILTYALKKKKGMKLSTIAKDAGFQLLSEDLNIELGDTLAVQEGYHSDQVSGSPTDNVSKDTLDSESSHNDPSNVNTEYAQPLAAQAEVQSDQPSEGDPVVVQEANLDLNKIQMPEKADIFYGEHVAQSELSAAESFQQNNNENFIEHSHVQDATDNSENERIFEKTLEVDEQNQERSFGGSFFFCDGQYRLLRALTGGSKIPSAVIVDPILQRHYVLAEEAVFSHSLLAEFLDRFINESLPPYQQSEPFAPNPRVAPNPPFVNQDFHEADSIPRITANSFTELVLGNLSDPMYASNSWSRDVLVLFSNSWCGFCQRMELVVREVYRAIHGYANMLKTAFDDNKMSLTEDGLTNALVKLPLVYLMDCTLNDCSLILKPLVQREVYPLLLLFPAESKNAVLYKGDVAVYEIIKFLKEHGNDSSGLVREKDSLRTGAGEEELDQNLQKDAPLLKNLYHEVLLKDRMPNLGAKHRQIVSSLNGHQTPLQVVVGSMLIATDMLLNSHPFGESIILIVKGNQSLGFQGLIVNKQIKWESMDEIEEGLEFLKATPLSFGGPVLRRGMPLVALSKRIIEDHNPEVLPNIYFLDQEATLRLLDEAKAGNKSVHDVWFFVGFSRWDGDQLVNEIIEGAWTLSKGTEEQLGWPLM >itb04g07100.t1 pep chromosome:ASM357664v1:4:4748183:4756978:1 gene:itb04g07100 transcript:itb04g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAHRQLMRNLTLTFLLLLLQPLPALFRPAVAAEECDGRQPLVEWQTLTKRNYSSEIRLHPHLLLLVTVPWSGESRSLMKQLGHAVNSEQCRFGSLKLRVLFRNSEKMLAEALGANTGISVLYFHNSLFYKYRGRLRAHSILSSVNYVMSLLPEELPLKSLNTPEELKAFLGSTDKALLLLEFCGWTHKVLASGKNNGTENGFGLNEELNETISTNESTAQKGMENEKMDCDIDDGVGFIPQLNKFSLGNESALTNAGNTTHGGSSCEINEFVRFKSFLEKSLTVTREFFLPPERLKFGLVPNRSLLSVLNIEDPGPWLMTLQVAGCPTCSNVLKEDDDLKTIIQTQASLVAELENDADDPGLTLPANKPSMLLFVDRSSDSSTLRQESKQAMDAFRELALHYHNSHMGSGKDTLISEKRSGEAIQKLKGASKHRRLDLFQAAQKINVNEKMSIVIMNEGKHVSVENLVADLQGSSLHEILTYALKKKKGMKLSTIAKDAGFQLLSEDLNIELGDTLAVQEGYHSDQVSGSPTDNVSKDTLDSESSHNDPSNVNTEYAQPLAAQAEVQSDQPSEGDPVVVQEANLDLNKIQMPEKADIFYGEHVAQSELSAAESFQQNNNENFIEHSHVQDATDNSENERIFEKTLEVDEQNQERSFGGSFFFCDGQYRLLRALTGGSKIPSAVIVDPILQRHYVLAEEAVFSHSLLAEFLDRFINESLPPYQQSEPFAPNPRVAPNPPFVNQDFHEADSIPRITANSFTELVLGNLSDPMYASNSWSRDVLVLFSNSWCGFCQRMELVVREVYRAIHGYANMLKTAFDDNKMSLTEDGLTNALVKLPLVYLMDCTLNDCSLILKPLVQREVYPLLLLFPAESKNAVLYKGDVAVYEIIKFLKEHGNDSSGLVREKDSLRTGAGEEELDQNLQKDAPLLKNLYHEVLLKDRMPNLGAKHRQIVSSLNGHQTPLQVVVGSMLIATDMLLNSHPFGESIILIVKGNQSLGFQGLIVNKQIKWESMDEIEEGLEFLKATPLSFGGPVLRRGMPLVALSKRIIEDHNPEVLPNIYFLDQEATLRLLDEAKAGNKSVHDVWFFVGFSRWDGDQLVNEIIEGAWTLSKGTEEQLGWPLM >itb02g22490.t4 pep chromosome:ASM357664v1:2:21941152:21946296:-1 gene:itb02g22490 transcript:itb02g22490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKDTEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb02g22490.t1 pep chromosome:ASM357664v1:2:21940647:21946296:-1 gene:itb02g22490 transcript:itb02g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKDTEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb02g22490.t6 pep chromosome:ASM357664v1:2:21941152:21945082:-1 gene:itb02g22490 transcript:itb02g22490.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKDTEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb02g22490.t5 pep chromosome:ASM357664v1:2:21940942:21943758:-1 gene:itb02g22490 transcript:itb02g22490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKDTEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb02g22490.t3 pep chromosome:ASM357664v1:2:21941152:21946296:-1 gene:itb02g22490 transcript:itb02g22490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKDTEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb02g22490.t2 pep chromosome:ASM357664v1:2:21940647:21946296:-1 gene:itb02g22490 transcript:itb02g22490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENIAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSAPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQKIEVRPGIVVKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDIFSELEVNFFLLRRLLGVRTKDTEKQGKVTKLTKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPAPFE >itb14g18690.t1 pep chromosome:ASM357664v1:14:21627741:21628965:-1 gene:itb14g18690 transcript:itb14g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVSRLHSSLLLVFFLLLILASHHLIQGTAESSRTPLIVPSTRESHSQGGERRWVDKNPRKIVPNPESLDLSQPRNVGVRDKLLDVFQRSISFSKNDPRRIVLNSQNPKSRDLSQPKNLGGGGKLLNVFQWSTDFPKTPRRLMIGSVAPTCTYNECKGCKYKCRAEQVPVEGNDPINSPYHYKCVCHR >itb01g30680.t1 pep chromosome:ASM357664v1:1:34615322:34617462:-1 gene:itb01g30680 transcript:itb01g30680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMDSLFNKGFKATQCKTLLKLAIPRIKLLRNRREIQMKQMRREIAKLLETGQEASARIRVEHILREEKMMAAQEIIELFCELISVRLPIIEVQRECPLDLKEAISSICFAAPRCADVTELVQVQMLFAGKYGKEFVAAATELMPECGVNRQLIELLSVRAPGPDVKLKLLKEIALEHELEWDPSVSETELLKPHEDLLNGPTQFVSGSKVPLPKAKCDETMYSASSSVSKEVPGKRSESDDEFEFLDLPGVPRQPLQSSADREVGKDAGGNEIASNKPKMESGEVLLGKSVTECKSPAQKEGEKFLTFTVPPPKSFMSHSLEQSNPSSSISKTQRESNVDLKDVLAAAQAAAESAESAAAAARSAAILAQLKISELTKKQNDEVPVSPVDNPFHADTQKACNSASSQYINQMKHPSYDDASTGSNNHILGQDQKCHEPQRLPSIDDETYFSYPNLFTSQGSNLSSRAQPFNSSSVPR >itb12g03060.t1 pep chromosome:ASM357664v1:12:2037348:2041101:1 gene:itb12g03060 transcript:itb12g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGVEKELSELRGTFQSGKTRGIAWRKAQLQAILKLISENEDSIFEALKQDLGKHRVEAYRDEVGVLTKSATHALHNLEKWIAPKKGKLPLLYFPAKAEVMPEPLGVVLIFSSWNFPISLALDPLIGAISAGNTAVIKPSELSPKCSSLLADMVPRYLDSKAIKVIEGGRDIAGQLLQQNWDKIFFTGSQQVGKIVMSAAAKHLTPVTLELGGKSPAIVDRIHAADLKVAVKRIASAKWGACNGQACIGVDYVLVEKEYASVLVESLKKCIHQFYGDNIKNLDNIAAIVNKHHFDRVRNLLKDPRVTASIIYGGSIDEENLIIEPTILLNPPLDSDIMTEEIFGPLLPIITVNKVEESIEFIRARPKPLALYAFTKNEALKKRISLETSSGSLVFNDTMVQFVCDALPFGGVGPSGLGRYHGKYSFDAFSHEKAVLHRTFRLELEPRHPPWNDFKLDFVRLAYRFDYFGLILLLTGLRRLFRSCN >itb12g03060.t2 pep chromosome:ASM357664v1:12:2037773:2041076:1 gene:itb12g03060 transcript:itb12g03060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPLGVVLIFSSWNFPISLALDPLIGAISAGNTAVIKPSELSPKCSSLLADMVPRYLDSKAIKVIEGGRDIAGQLLQQNWDKIFFTGSQQVGKIVMSAAAKHLTPVTLELGGKSPAIVDRIHAADLKVAVKRIASAKWGACNGQACIGVDYVLVEKEYASVLVESLKKCIHQFYGDNIKNLDNIAAIVNKHHFDRVRNLLKDPRVTASIIYGGSIDEENLIIEPTILLNPPLDSDIMTEEIFGPLLPIITVNKVEESIEFIRARPKPLALYAFTKNEALKKRISLETSSGSLVFNDTMVQFVCDALPFGGVGPSGLGRYHGKYSFDAFSHEKAVLHRTFRLELEPRHPPWNDFKLDFVRLAYRFDYFGLILLLTGLRRLFRSCN >itb12g03060.t3 pep chromosome:ASM357664v1:12:2037773:2041052:1 gene:itb12g03060 transcript:itb12g03060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKHLTPVTLELGGKSPAIVDRIHAADLKVAVKRIASAKWGACNGQACIGVDYVLVEKEYASVLVESLKKCIHQFYGDNIKNLDNIAAIVNKHHFDRVRNLLKDPRVTASIIYGGSIDEENLIIEPTILLNPPLDSDIMTEEIFGPLLPIITVNKVEESIEFIRARPKPLALYAFTKNEALKKRISLETSSGSLVFNDTMVQFVCDALPFGGVGPSGLGRYHGKYSFDAFSHEKAVLHRTFRLELEPRHPPWNDFKLDFVRLAYRFDYFGLILLLTGLRRLFRSCN >itb14g19690.t1 pep chromosome:ASM357664v1:14:22287553:22290513:1 gene:itb14g19690 transcript:itb14g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGCNYIALIIFLVLPSCFFASASTTTEAEALLKWKTSFFMSSSYLDSWSRSNLRNMCNWTGIVCNGTGATTVSQINLPNAGLSGTLHHLNFTSFPSLTRFNITGNYINGSIPPAIGDLSDLVFLDLSENRFDGSIPPQIGKLRELQYLSLSYNYFSGVVPHQIGNLRKVCFLDLGFNSYLEALHWSRVKSFPVLRHLGFAGNGIGPRFPDFILGCRNLTFLDLSANNLNGSIPESLFTTLKKLEYLHLSTNGFSGPLWPNIGKLLNLKGLDLSGNYLNGSIPESLFSTLEKLEGLNLEDNKFSGPLSPNIGKLSNLKYLFLSYNSFQGEIPSSIGQLKNLQDLYISENELNSSIPSELGHCTHLFDLDLSLNSLFGALPSSLSSLTKLSTLDLSDNFLSAGFSTYGKYFGHRSEYC >itb06g14460.t1 pep chromosome:ASM357664v1:6:19011148:19013170:1 gene:itb06g14460 transcript:itb06g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGSRFGRASSRYGSAPTPAVFSGPVRKWKKQWVVTQPSAHRNTANANNAAAPSLLLCRWIPLPSAASGDSAQPQMSPKRKFRYAPIVDLEEKKREALKKVENEANTRKMDKAASSATLGSGNMLKKHNINDIFNEDFQESSKNEVHPNKSKPELTSFMNGRD >itb01g25260.t4 pep chromosome:ASM357664v1:1:30717723:30721865:-1 gene:itb01g25260 transcript:itb01g25260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPVSGDNRTRSSVSIFIIAGLCCFFYLLGAWQRSGFGKGDNIALEVTKTTDDCNVMPNLNFESHHGGQPGSVDEPDSKVKEIKPCDAQYTDYTPCQDQSRAMTFPRENMNYRERHCPPQEEKLHCLIPAPKGYVTPFSWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIDNGVVRTALDTGCGVASWGAYLWKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPARAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPINWKNNYKAWQRPEDELREEQKKIEEVAKLLCWEKKSENGEIAIWQKRMDADSCRAAQENSRATFCESADPDDVWYKKMETCITRSPNPNIDETLKPFPQRLNEVPPRIASGSVSGISVEQYQEDNRKWKKHVSVYKKINKLLDSGRYRNILDMNAGLGGFAAELTSPKTWVMNVMPTIAKKNTLGVIYERGMIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIVRDEVDVLVKVRKIIGGMRWDFKLMDHEDGPLIPEKILIAVKQYWTVGDNNNNSTSTR >itb01g25260.t3 pep chromosome:ASM357664v1:1:30717723:30721865:-1 gene:itb01g25260 transcript:itb01g25260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPVSGDNRTRSSVSIFIIAGLCCFFYLLGAWQRSGFGKGDNIALEVTKTTDDCNVMPNLNFESHHGGQPGSVDEPDSKVKEIKPCDAQYTDYTPCQDQSRAMTFPRENMNYRERHCPPQEEKLHCLIPAPKGYVTPFSWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIDNGVVRTALDTGCGVASWGAYLWKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPARAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPINWKNNYKAWQRPEDELREEQKKIEEVAKLLCWEKKSENGEIAIWQKRMDADSCRAAQENSRATFCESADPDDVWYKKMETCITRSPNPNIDETLKPFPQRLNEVPPRIASGSVSGISVEQYQEDNRKWKKHVSVYKKINKLLDSGRYRNILDMNAGLGGFAAELTSPKTWVMNVMPTIAKKNTLGVIYERGMIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIVRDEVDVLVKVRKIIGGMRWDFKLMDHEDGPLIPEKILIAVKQYWTVGDNNNNSTSTR >itb01g25260.t2 pep chromosome:ASM357664v1:1:30717723:30721865:-1 gene:itb01g25260 transcript:itb01g25260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPVSGDNRTRSSVSIFIIAGLCCFFYLLGAWQRSGFGKGDNIALEVTKTTDDCNVMPNLNFESHHGGQPGSVDEPDSKVKEIKPCDAQYTDYTPCQDQSRAMTFPRENMNYRERHCPPQEEKLHCLIPAPKGYVTPFSWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIDNGVVRTALDTGCGVASWGAYLWKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPARAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPINWKNNYKAWQRPEDELREEQKKIEEVAKLLCWEKKSENGEIAIWQKRMDADSCRAAQENSRATFCESADPDDVWYKKMETCITRSPNPNIDETLKPFPQRLNEVPPRIASGSVSGISVEQYQEDNRKWKKHVSVYKKINKLLDSGRYRNILDMNAGLGGFAAELTSPKTWVMNVMPTIAKKNTLGVIYERGMIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIVRDEVDVLVKVRKIIGGMRWDFKLMDHEDGPLIPEKILIAVKQYWTVGDNNNNSTSTR >itb01g25260.t1 pep chromosome:ASM357664v1:1:30717723:30721865:-1 gene:itb01g25260 transcript:itb01g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPVSGDNRTRSSVSIFIIAGLCCFFYLLGAWQRSGFGKGDNIALEVTKTTDDCNVMPNLNFESHHGGQPGSVDEPDSKVKEIKPCDAQYTDYTPCQDQSRAMTFPRENMNYRERHCPPQEEKLHCLIPAPKGYVTPFSWPKSRDYVPYANAPYKSLTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIDNGVVRTALDTGCGVASWGAYLWKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPARAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPINWKNNYKAWQRPEDELREEQKKIEEVAKLLCWEKKSENGEIAIWQKRMDADSCRAAQENSRATFCESADPDDVWYKKMETCITRSPNPNIDETLKPFPQRLNEVPPRIASGSVSGISVEQYQEDNRKWKKHVSVYKKINKLLDSGRYRNILDMNAGLGGFAAELTSPKTWVMNVMPTIAKKNTLGVIYERGMIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIVRDEVDVLVKVRKIIGGMRWDFKLMDHEDGPLIPEKILIAVKQYWTVGDNNNNSTSTR >itb06g09600.t1 pep chromosome:ASM357664v1:6:13864226:13866509:1 gene:itb06g09600 transcript:itb06g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFLQSLPAATCESNLPSTDRDSTDSLSLRGFTTFSRESETSRRQTTGAFVVAGKPSLHRKSEADAVVQAVKPIRKSQYVTASAESHKTLSYLHRSPMDVEYLKLFVEETSWYNHLVLGALLPEKLWGPLSHFFQSWLRNYIGGTLLYFVSGFLWCFYIYYLKRGRYVPKESLVEPDFLDSYLYYLVCLIKC >itb15g14580.t1 pep chromosome:ASM357664v1:15:12895443:12897696:1 gene:itb15g14580 transcript:itb15g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHNIMLVLIFLLLICKFEYCYSNDARCVEGEQIALLQFKESLIDTSNRLFSWSGPDCCEWEGISCSSTTGHVLKLDLHNPTTPTRDDIDNYYYGLPSNYINNCLGGEINHSLINLTHLNYLDLSLNNFSKIRIPEFFGSFKNLRYLNFSSSGFVGNIPTHLGNLSSLEYLHLGEALDGVIYNHLATNLDWIASLSSLKSLDMPWISIRHSKDWLRTINKLVSLSSLNLADCHLNTTSPLLHVNSTSLISLDLSWNSLDSAILPWLSNLTRLENLNLGFNSLNSSMLEIFEPLAPLKVLDLFGNAFTGTLVPLCSIPSCLGNLTSLTSLDLSANMLAGSIPSCLGNLTSLTSLDLSDLGNLTSLTSLDLSENIFTGSIPNTIGSLCRLQVLDFSMNKLTDSIAVLPDCLLDSLKELFLDSNNFSGQLPNQLYKYKNFSGQLPNQLYKYKNLEILSVSSNSFAGPIIESVGNLSMLLELDISNNKFSGSVPSSLGELSNLEELHISDNSFIGVLSEFHFSKLSKLDILDISSNLFVWNVSSTWVPPFQLYVGFHQKWSSLSSLASFPKICRIPASQVLSLTGLRFFLE >itb15g12100.t2 pep chromosome:ASM357664v1:15:10003011:10008863:1 gene:itb15g12100 transcript:itb15g12100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLPAPKDLHQKDDSSFLRRFTLKVVAIGYDCGILFGLFLSSLMLVTRKPEFITKFVEEETYKLAMKDLCGTLHHLNFTSFPSLTRFSVSGNKFNGSIPPTIGDLSNLVFLDLSNNRFDGSIPPQIGKLRELQYLSLYHNNISGVVPYQIGNLQKVWFLDLGSNSYLEAPDNWSRVKSFPVLRHLSFAGNKFGPRFPDFILDCRNITHLDLSRNYLNGSIPESLFTTLEKLEYLYLIDNNFSGPLSSNIGKLSNLKVLDLWSNYFKGEIPSSIGQLQNLQVLYISNNEFNSSIPFELGRCTNLTGLDLSFNSLFGALPSSLSSLTKLSKLNLSNNFLSGKPLSSRGCENDITPQLPASKKLHQEDDSSFLSGCTVKVVAMGYGCGILFGLFVGSLMLLTGKLEFITRFIEEEGYKLVMKLKQRR >itb15g12100.t1 pep chromosome:ASM357664v1:15:10006329:10008863:1 gene:itb15g12100 transcript:itb15g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFVRDLDQMSTTMEEVPPQRTKTFITSSFKKSKLLFGGASVSEINLPNADLCGTLHHLNFTSFPSLTRFSVSGNKFNGSIPPTIGDLSNLVFLDLSNNRFDGSIPPQIGKLRELQYLSLYHNNISGVVPYQIGNLQKVWFLDLGSNSYLEAPDNWSRVKSFPVLRHLSFAGNKFGPRFPDFILDCRNITHLDLSRNYLNGSIPESLFTTLEKLEYLYLIDNNFSGPLSSNIGKLSNLKVLDLWSNYFKGEIPSSIGQLQNLQVLYISNNEFNSSIPFELGRCTNLTGLDLSFNSLFGALPSSLSSLTKLSKLNLSNNFLSGKPLSSRGCENDITPQLPASKKLHQEDDSSFLSGCTVKVVAMGYGCGILFGLFVGSLMLLTGKLEFITRFIEEEGYKLVMKLKQRR >itb11g10270.t3 pep chromosome:ASM357664v1:11:6998515:7001591:-1 gene:itb11g10270 transcript:itb11g10270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCSMVDTLDDDGGILQNIRKLGKEDLYAEWYLFLNLDSSGVVPIMIMVFSLSMGWPY >itb11g10270.t2 pep chromosome:ASM357664v1:11:6999271:7001591:-1 gene:itb11g10270 transcript:itb11g10270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLALFTEIIQKSSKQLCVTWLEEELSLGKSNIDKMCVCSMVDTLDDDGGILQNIRKLGKEDLYAEWYLFLNLDSSGSCYD >itb11g10270.t1 pep chromosome:ASM357664v1:11:6998515:7001591:-1 gene:itb11g10270 transcript:itb11g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLALFTEIIQKSSKQLCVTWLEEELSLGKSNIDKMCVCSMVDTLDDDGGILQNIRKLGKEDLYAEWYLFLNLDSSGVVPIMIMVFSLSMGWPY >itb11g05010.t2 pep chromosome:ASM357664v1:11:2932242:2935829:1 gene:itb11g05010 transcript:itb11g05010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSVGERCSRGRGIEREMENGVEKRQRVMAAMFSDEEVGDISGVKIGDDYVEVTCGCTSHRYGDAVGRLRVFSTGELEITCECTPGCQEDKMTPAAFEKHSGRETARKWKNNIWVIANGEKVPVVKTALLKYYIQSSKHANGSNRSSNGKACHRDEFIRCTVCNKERRFRLRTREECRSLHDALANANWKCSDMPRDKVTCDDDEERASRRVYRGCSRSPTCRGCTTCVCFGCEVCRFPDCSCQTCIDFTRNVKA >itb11g05010.t3 pep chromosome:ASM357664v1:11:2932165:2935873:1 gene:itb11g05010 transcript:itb11g05010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVEKRQRVMAAMFSDEEVGDISGVKIGDDYVEVTCGCTSHRYGDAVGRLRVFSTGELEITCECTPGCQEDKMTPAAFEKHSGRETARKWKNNIWVIANGEKVPVVKTALLKYYIQSSKHANGSNRSSNGKACHRDEFIRCTVCNKERRFRLRTREECRSLHDALANANWKCSDMPRDKVTCDDDEERASRRVYRGCSRSPTCRGCTTCVCFGCEVCRFPDCSCQTCIDFTRNVKA >itb11g05010.t1 pep chromosome:ASM357664v1:11:2932165:2935929:1 gene:itb11g05010 transcript:itb11g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVEKRQRVMAAMFSDEEVGDISGVKIGDDYVEVTCGCTSHRYGDAVGRLRVFSTGELEITCECTPGCQEDKMTPAAFEKHSGRETARKWKNNIWVIANGEKVPVVKTALLKYYIQSSKHANGSNRSSNGKACHRDEFIRCTVCNKERRFRLRTREECRSLHDALANANWKCSDMPRDKVTCDDDEERASRRVYRGCSRSPTCRGCTTCVCFGCEVCRFPDCSCQTCIDFTRNVKA >itb05g12910.t1 pep chromosome:ASM357664v1:5:19639212:19644961:-1 gene:itb05g12910 transcript:itb05g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITYTPSQTYTKICSAPSWRESEERRMEAALGLMRRMPPKRMETALSALLSLLPDHSSDLLSQVMVDLETGKEFILCEYNRDADSYRSPLSNTYHPPLEDGAYPSAELRKLEIEANEVFAVYRDQYYEGGISSVYMWEDETEGFIACFLIKKDGSKSAHGRRGYLQEGAWDAIHVIQVGPEEEGTAHYCLTSTVMLSLTTNNESSGTFNLTGSIRRQMNMQLPLSEGHLCNMGRMIEEMEGKLRNSLDQVYFGKTKEMVCILRPPSEPVQMKLPSS >itb05g12910.t2 pep chromosome:ASM357664v1:5:19639212:19644961:-1 gene:itb05g12910 transcript:itb05g12910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCITYTPSQTYTKICSAPSWRESEERRMEAALGLMRRMPPKRMETALSALLSLLPDHSSDLLSQVDQPLQVMVDLETGKEFILCEYNRDADSYRSPLSNTYHPPLEDGAYPSAELRKLEIEANEVFAVYRDQYYEGGISSVYMWEDETEGFIACFLIKKDGSKSAHGRRGYLQEGAWDAIHVIQVGPEEEGTAHYCLTSTVMLSLTTNNESSGTFNLTGSIRRQMNMQLPLSEGHLCNMGRMIEEMEGKLRNSLDQVYFGKTKEMVCILRPPSEPVQMKLPSS >itb02g12040.t1 pep chromosome:ASM357664v1:2:8103142:8104246:-1 gene:itb02g12040 transcript:itb02g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHKSSEKKLAGKVAIITGGASGLGEATARLFAEQGATVVIADVQEEKGRQVAESMGSFHHFVKCDVSDERQVESLVKATVEAHGQVHVAVCCAGVISAQDQDILNLDLGASDSLFAVNVRGTAATVKHAGRAMVDGGVKGSVVCTASVTATLGTALKIDYAMSKHAVLGLVRSASIGLGKHGIRVNCVSPSAVATPLLCASMNLREEEIAEVFEPFNVLKNGEFLKPKNVADAVLFLACDDSKFVTGHNLVVDAGFHPNH >itb12g20700.t3 pep chromosome:ASM357664v1:12:23139845:23143111:-1 gene:itb12g20700 transcript:itb12g20700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGPSFAPVPSFHSKSDPKSTHRQHAQSPLSSAPFPSDKISPTGSSARNPKKLFPPPFHALPPPPPNEDCSSLKCTEPLASPPPKSPCYCVLPIRIGLRLSVALYTFFPLVSELANEIAAGVFMDTSQVRIMGANAGSQYPEKTIVLIDLVPLGGHFDNTKAFVTFQRFWHKDVVIKSSYFGDYDVLYVQYPGLPPSPPSAHSSAGIIGSDPYSGDNGRTIQPLGVDVTRKKHGDGPNRSVVAVIVLSASVAVILCCAVAWVLLFKHRDRACQSGPTPPTAMPSLAKSPASIIGSVPNSPSLSFSSSFAAYTGSAKTFSSTDIERATNNFNETRILGEGGFGRVYSGVVDDGTEVAVKILKRDDHQGGREFLAEVEMLSRLHHRNLVKLIGICLEERSRCLVYELIPNGSVESHLHGVDKETAPLDWNARVKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAFDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSLPPGQENLVSWARPFLTTKEGLEFIVDPSLGPDFPVDSITKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDETKDLESQSCNQEDMLSSSDMDARFSTASGHTMDPLHAHSPRSNTDCELDLERGHSMSDLLSSSMRYGMRCESGSLGIHSRSGTLRTGKARRLWRKMTQLSGGSVSEHGVLFRLWPGSN >itb12g20700.t2 pep chromosome:ASM357664v1:12:23139845:23145004:-1 gene:itb12g20700 transcript:itb12g20700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVVIGSRPPSPHENGPADTPLPLPIAPVPSATEHRNPKNTPSNHSAKPAVSPSTPAVPMASPPSKLPEHTSPIYQRPPKEPPSRSPVTHDISPVSTPLPSINRERVATPVAAAPNRMTNHDFSVHTSPAQAPSTHKTTRHSSNAASPRHSPNFPPEKGSHAPSYPPSALPMRHHFVKGNITVAPLSHAIPPASTTQGPSFAPVPSFHSKSDPKSTHRQHAQSPLSSAPFPSDKISPTGSSARNPKKLFPPPFHALPPPPPNEDCSSLKCTEPLASPPPKSPCYCVLPIRIGLRLSVALYTFFPLVSELANEIAAGVFMDTSQVRIMGANAGSQYPEKTIVLIDLVPLGGHFDNTKAFVTFQRFWHKDVVIKSSYFGDYDVLYVQYPGLPPSPPSAHSSAGIIGSDPYSGDNGRTIQPLGVDVTRKKHGDGPNRSVVAVIVLSASVAVILCCAVAWVLLFKHRDRACQSGPTPPTAMPSLAKSPASIIGSVPNSPSLSFSSSFAAYTGSAKTFSSTDIERATNNFNETRILGEGGFGRVYSGVVDDGTEVAVKILKRDDHQGGREFLAEVEMLSRLHHRNLVKLIGICLEERSRCLVYELIPNGSVESHLHGVDKETAPLDWNARVKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAFDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSLPPGQENLVSWARPFLTTKEGLEFIVDPSLGPDFPVDSITKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDETKDLESQSCNQEDMLSSSDMDARFSTASGHTMDPLHAHSPRSNTDCELDLERGHSMSDLLSSSMRYGMRCESGSLGIHSRSGTLRTGKARRLWRKMTQLSGGSVSEHGVLFRLWPGSN >itb12g20700.t1 pep chromosome:ASM357664v1:12:23139567:23146726:-1 gene:itb12g20700 transcript:itb12g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMLQVLSLLLKICAIAFSWLLLASPGYSLSPASSAIPPISNGTASANTAPTPTSWPNGKDLNAPTVLPNAPTIVPSNDLSPLPLIRQDTISSPPPINEGHVEISPLSGPQRKPSSIEPPALAPESPVKDESPLRKSPPNPPAMQPVVTGSVPPSPPGSGTPDTPLPVPIAPAPFEPPLRKLPENPPTMPPRPHQNGPPDTALPMPIPPAPIEPPLKKSPEIPPIRQPVVPGSSPPAPHENGPPDTPIPVPISPATAEPPLRELPQNPPARQPVVTGSVPPSPHGNIPPPVSIAPVPDGAPLRKPPQNPPAMQPVVIGSRPPSPHENGPADTPLPLPIAPVPSATEHRNPKNTPSNHSAKPAVSPSTPAVPMASPPSKLPEHTSPIYQRPPKEPPSRSPVTHDISPVSTPLPSINRERVATPVAAAPNRMTNHDFSVHTSPAQAPSTHKTTRHSSNAASPRHSPNFPPEKGSHAPSYPPSALPMRHHFVKGNITVAPLSHAIPPASTTQGPSFAPVPSFHSKSDPKSTHRQHAQSPLSSAPFPSDKISPTGSSARNPKKLFPPPFHALPPPPPNEDCSSLKCTEPLASPPPKSPCYCVLPIRIGLRLSVALYTFFPLVSELANEIAAGVFMDTSQVRIMGANAGSQYPEKTIVLIDLVPLGGHFDNTKAFVTFQRFWHKDVVIKSSYFGDYDVLYVQYPGLPPSPPSAHSSAGIIGSDPYSGDNGRTIQPLGVDVTRKKHGDGPNRSVVAVIVLSASVAVILCCAVAWVLLFKHRDRACQSGPTPPTAMPSLAKSPASIIGSVPNSPSLSFSSSFAAYTGSAKTFSSTDIERATNNFNETRILGEGGFGRVYSGVVDDGTEVAVKILKRDDHQGGREFLAEVEMLSRLHHRNLVKLIGICLEERSRCLVYELIPNGSVESHLHGVDKETAPLDWNARVKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAFDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSLPPGQENLVSWARPFLTTKEGLEFIVDPSLGPDFPVDSITKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDETKDLESQSCNQEDMLSSSDMDARFSTASGHTMDPLHAHSPRSNTDCELDLERGHSMSDLLSSSMRYGMRCESGSLGIHSRSGTLRTGKARRLWRKMTQLSGGSVSEHGVLFRLWPGSN >itb03g08070.t1 pep chromosome:ASM357664v1:3:6047285:6050238:1 gene:itb03g08070 transcript:itb03g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIMKQPSFGSRRHEHDEEPEFNLTDWGLKAKISRENTNSRRFSASYIRSFREDAKSFRSNLTISSTASSPGYNLKEEIDPSTYSFTTALKALQAKTVYSWEYMSPEGLALNSKWDEAEKYICNPLSGEVPMECLSAKTLSGRSFRQLTSRITMSAPLIYPARQAPKPPMPPPLEINQPHTPIPTQEKKIGVTRDVGTQSTPPPYLGSSSSPSPAPTPSIEERSIKRHASESPSSCAKPKSEEEEETEELKSGTTREEEDVTGRDELEEKTKAEKEKEKVRSSRQGGCLSWRSFLWMRKRQRRREKHRPRKKNNIFLCPIHEC >itb01g26490.t1 pep chromosome:ASM357664v1:1:31656457:31658896:1 gene:itb01g26490 transcript:itb01g26490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNFGLSMGGGGAWRKEGCGRGLTIVFASLLLGNYFISLWFVEGSEGHEIRKSGLGCGRILDMLLDHHLLISGIGKGCGPFRLSALAAGVSATAPLVSSQDSESSVALSLALSLSVPLSSHYRWSHLAGRHQTRNAATPVTGQQDSRSPCWFLISVSVSHNLRFLQNYSRTPPLL >itb01g21600.t2 pep chromosome:ASM357664v1:1:27689411:27693065:1 gene:itb01g21600 transcript:itb01g21600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEGDQKELKAAGAELLTGGRRGLRIYGWEIESRKCSILNSANRQQWEERLQTSHLPEMIFGENSLILKHVATGTKIHFNAFDALVGWKQEALPPVEVPAAAKWKFRNKPSQQLVLDYDYTFTTPYCGSETVEINSQHERGTLNKSSCSLQWEDCKEKIDLVALALREPILFYDEIVLYEDELADSGIALLTVKVVNIDFDFLP >itb01g21600.t3 pep chromosome:ASM357664v1:1:27689411:27692043:1 gene:itb01g21600 transcript:itb01g21600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEGDQKELKAAGAELLTGGRRGLRIYGWEIESRKCSILNSANRQQWEERLQTSHLPEMIFGENSLILKHVATGTKIHFNAFDALVGWKQEALPPVEVPAAAKWKFRNKPSQQLVLDYDYTFTTPYCGSETVEINSQHERGTLNKSSCSLQWEDCKEKIDLVALALREPILFYDEVVTLSSDDSWSM >itb01g21600.t1 pep chromosome:ASM357664v1:1:27689411:27693065:1 gene:itb01g21600 transcript:itb01g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEGDQKELKAAGAELLTGGRRGLRIYGWEIESRKCSILNSANRQQWEERLQTSHLPEMIFGENSLILKHVATGTKIHFNAFDALVGWKQEALPPVEVPAAAKWKFRNKPSQQLVLDYDYTFTTPYCGSETVEINSQHERGTLNKSSCSLQWEDCKEKIDLVALALREPILFYDEIVLYEDELADSGIALLTVKVRVMPSGWFLLLRFWLRVDGMLMRLRDTRLHCAFLEHGKSVILRESCWRETSFQSLSSKGLPIDSAAYNDPSIISERLPVILQRTQKLNISDTM >itb13g15200.t1 pep chromosome:ASM357664v1:13:21917770:21919068:-1 gene:itb13g15200 transcript:itb13g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWIATFTLFALLLTSSFLDNAMADSSLCKSKCEVRCSKAGLKKRCLKYCGICCEACKCVPSGTYGNKSECKCYRDKLNSKGKPKCP >itb11g21140.t1 pep chromosome:ASM357664v1:11:22596673:22603095:1 gene:itb11g21140 transcript:itb11g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRLLGHTLKRTTNQVGSHVKHLPAVCGSHGSIIHSHSPTRWSSAQVSVQNDSTDEKGFRGHDMLAPFTAGWQTTDLHPLVIEKSEGSYVYDINGKKYLDSLAGLWCTALGGNEPRLVDAAMKQLNTLPFYHSFWNRTTKPSLDLAKELLDTFTANKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLIAASLSGLPALHHKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFDKIQAIVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSIAKAVSSAYMPIGAVLVSPEIYDVIHSQSNKLGSFSHGFTYSGHPVSCAVALEALKIYKERNIVEQVNRIAPKFQDGLKAFSDSPIIGEIRGLGLILGTEFTDNKSPNDPFPPEWGVGAYFGAQCEKKGMLVRVAGDNIMMSPPFIMTPEEVDELIRIYGEALRETERRVEELKSQKK >itb11g21140.t2 pep chromosome:ASM357664v1:11:22596759:22602918:1 gene:itb11g21140 transcript:itb11g21140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRLLGHTLKRTTNQVGSHVKHLPAVCGSHGSIIHSHSPTRWSSAQVSVQNDSTDEKGFRGHDMLAPFTAGWQTTDLHPLVIEKSEGSYVYDINGKKYLDSLAGLWCTALGGNEPRLVDAAMKQLNTLPFYHSFWNRTTKPSLDLAKELLDTFTANKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLIAASLSGLPALHHKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFDKFTGCSSFLCQIQAIVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSIAKAVSSAYMPIGAVLVSPEIYDVIHSQSNKLGSFSHGFTYSGHPVSCAVALEALKIYKERNIVEQVNRIAPKFQDGLKAFSDSPIIGEIRGLGLILGTEFTDNKSPNDPFPPEWGVGAYFGAQCEKKGMLVRVAGDNIMMSPPFIMTPEEVDELIRIYGEALRETERRVEELKSQKK >itb14g07060.t1 pep chromosome:ASM357664v1:14:6446670:6448367:-1 gene:itb14g07060 transcript:itb14g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRLSRRKIGIWPSPLLRNLKHLHGNAGVFPPVDQSFEVLLKKCSTAAHIHQAHCYMLVRALDHDNILLNRFVATLSALGFSDYVYFSVFFAPNPDMYLYNTAIDALARQPRLSEQAISLYKRARVIGLKHDTYSIPFVLSAVIGDCGLIFTGRQIHCEAVRAGLNNDVHVGVSLPDEVAMLAALSACANLGDLELGSIQKAVQLFESMTNKNVVTWSTLISGLATNGLGREALDMFSRMEGTGIKPNDVTLIAVLSACSHAGFVELGRWYFYTMEERYGIQPNIKHYGCIIDLLGRSGRLQEAEDLSKRMPFEANAAIWGSLLAASRNQANAGLGERALRHLLKVEPHNSGNYSLLSSIYASLGRWDEARASRIVMRDIGVRKKPGQSSIEVENRAYDFNSEDRSHPQLEGIYRTLLQLNEHLKMSRHTIWDYDHLLDSVSV >itb06g02160.t1 pep chromosome:ASM357664v1:6:3614147:3615199:-1 gene:itb06g02160 transcript:itb06g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGCGDRSRKPLPTPKKAPLEANQLPLVKEEDGCLGKVFDKDSEEGFDDDPDYKDSEKEGEVDDEDDGEQRKGKNEQHKLPDGFYVVEALRKKRVRQGGLAGDV >itb03g25540.t1 pep chromosome:ASM357664v1:3:24680797:24684749:1 gene:itb03g25540 transcript:itb03g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEVSKLPSESSIAASKNRGDFHGSDSPARDDRSSCRRTTASLSVGFRHRLLSPLPLPPPVTPELPAHSIFKPPNTRVALTRAQSIVRRLRNDRQLHCLDANSFGLLAVVAAKAGQIFYATSIIKSMLKSGYLPHVKAWSAVISRLSSSGDDGPTKALSLFTLVTGKVRRISDPAVVNNSRSDTAAYNAVLNACANLGYARKFLQLFDEMSEFGCEPDVLTYNVMIKLCARADRKDLLVFVLERIIEKGIALRMATTAALQQILKVAEAVGGGGMVSTAAMAEAIAVAVAEVEGGVR >itb09g26410.t1 pep chromosome:ASM357664v1:9:26998219:27015062:-1 gene:itb09g26410 transcript:itb09g26410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MQTTTQLPGLVQGVVEESSMGGGISSSPDNAHFLGNFSSPGGSYSLSPECQQLGDNFGVENSTSSYMSSNGQDDRMPYDSSSPAHDGEPTHDGEPADSGGPMCSDDSHSQSLSKYKRLNSNANLKRKPPPTAQWSAGHRRPPPTYGHRQLPTTDRSCSVATTSRCSVAARRRLYCSSRCSAADSPTTSRCTPSRSVAANLRPPPRFHHPATRAYHSMLSAYKATGAFHVLGNPVAKSCEEGDNAYCYLDQISNAMVLLCVILPLLGQSRVRLVMDDQQSSLLLESAACFPPPKGVKLSYGTSGFRADSSVLESMVFRVGVLAALRSLKTGSVIGLMITASHNKVSDNGVKVADPSGGMLTQEWEPFANQLANAPNPSSLLEFIVDFVKKENITLNGKQEAVVLLGRDTRPSGERLLEAAKKGVASIVGAIAKDVGVVTTPQLHWMVRAKNKGMEASECSYFEQLASSFRCLMDLTPQRDQSNFGNDKVIVDGADGVGGEKLEELKKLLSDICIEVRNHGDGVLNDGVGADFVQKDKIVPRGFDHEDVGLRCASLDGDADRLVYFSVKSNDSKTIDLVDGDKILSLFALFIKEQLSILNKSIDGVGNESYQPRLGVVQTAYANGASTDYLKLMGLDVVLTPTGVKYLHEKAEEFDIGIYFEANGHGTILFSEAFLHWLESRSSSYLSTSEGSEEQRAALRLLAVSKLINQAVGDALSGLLLVEAILHHMGWSIYKWNELYNDLPSRQLKVKVVDRTAITTSNAETVVVTPTGIQEAINIETEKYPRGRCFVRPSGTEDVVRVYAEATTQEGADALANSVARLVEHHLGFGNS >itb01g22320.t1 pep chromosome:ASM357664v1:1:28232299:28233783:-1 gene:itb01g22320 transcript:itb01g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MLRSVILKPHLPFSSSSTLFLEAANKSHGSVNPLTIRCSSRTNDSIPKLEPFSRSKLERVVKDPPLIEKCERELADYCSVLEGDDSYSCWRAYFELKDLEKEQPKEEVERLILQAGGVKSLIGCVHGIASMQKPAKEWQEATKAKNSDKVDEGRACPVPDGLPKSREELEEEERALMPDSPFTRLLRIKGRAPAWYSHAPDHETY >itb08g12550.t2 pep chromosome:ASM357664v1:8:12713951:12717725:1 gene:itb08g12550 transcript:itb08g12550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAARSQGNCIAIRTVSTTSSISRCSLPPSGNDDAISGTPLWMGKGLTCVCFKGKGNHQRICINLTPQQEERLRRLQHRMKVCFDASKQEHQEALRALWHATYPDQELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFPASFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRGVFIQMLSDNEWAFDLLYCVAFLVMDKQWLEKNATYMEFNVSNEQPNSFLTKTTRIFMGKAIHLEGNCTFCP >itb08g12550.t1 pep chromosome:ASM357664v1:8:12713951:12718182:1 gene:itb08g12550 transcript:itb08g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAARSQGNCIAIRTVSTTSSISRCSLPPSGNDDAISGTPLWMGKGLTCVCFKGKGNHQRICINLTPQQEERLRRLQHRMKVCFDASKQEHQEALRALWHATYPDQELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFPASFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRGVFIQMLSDNEWAFDLLYCVAFLVMDKQWLEKNATYMEFNDVLRSTQTQLEKELLMDDVLRIEDLPSYTLLC >itb01g06630.t1 pep chromosome:ASM357664v1:1:4847436:4848703:-1 gene:itb01g06630 transcript:itb01g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALLFFFLACITEPSFGELVKEQPLVLEYHNGTLLKGTITVNLIWYGKFTAKQRCIIHDFLQSLNTAGVPEPSVGSWWKTTGKYKGGGSSTIVIGKEIPDENCSLGKTLKDDQIEKLASKGGTSVGSINVVLTDKDVSVDGFCRSKCGTHGSTAGENKVPYAWVGNSETQCPGQCAWPFHKPIQGPQTPPLVAPNGDVGVDGMIINLATVLAGTVTNPFKNGYFQGPPEAPAGEAVSACTGMFGNGSFPGNPGQVLVDKTTGESYNAHGANKRRFLLPAMWDPEKSACTPLV >itb01g05450.t1 pep chromosome:ASM357664v1:1:3738652:3745924:1 gene:itb01g05450 transcript:itb01g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MNLRQRSMSTRRRDEAAAVAEFNIIPIHNLLTDHPSLRYPEVRSAAAALRFVGDLRKPPFVAWRDSMDLLDWLGIFFGFQDDSVKNQREHLVLHLSNSQMRQSPPAAPDRLDQNTLHHFRKKLLKNYSSWCSFHCKKSQIRLPRNRQGPENLRRELLYVSLFLLIWGEAANVRFVPECLCFIFHHMAMELNYILDGQIDEQTGQPYIPWTCKQFGFLDCVVTPIYNAIRREVERSRNGTAPHSAWRNYDDINEYFWSRRCFKKLKWPLDSSCSFFSLDEERRVGKTGFVEQRTFWNVFRSFDRLWVLLILFFQAAAIVAWEGTEYPWEALERRDVQVELLSVFITWAGLRFVQSVLDAGTQYSLVSRETMWVCVRMVLKSLVAAAWTVVFGVFYARIWSQKNADRRWSDEADDRIIVFLKTALVFITPEVLALILFVLPWIRNLLEKANIPFMYLVTWWFYSHIYVGRGLREGLINNIKYTLFWIVVLASKFSFSYFLQIKPLVTPTKALLDLNNTPNVHYKWHEFFGSTNEFAVVMLWLPVVLIYLVDLQIWYTVFSSVVGGTIGLFSHIGEIRNIEQLRLRFQFFASALQFNLMPEDQRTIAKATLVQKLRDAIHRLKLRYGFGQPYKKIESSQVEATRFALIWNEIIIAMREEDLVSDQELELLELPPNCWDIKVIRWPCVLLCNELLLALSHATELGEAPDQWVWFRICKNEYRRCAVIEAYDSIKFLLLELVKYDTEEHSIVTKLFMDIDDCLRFEKFTKAYKTTVLPQIHEKLVSLVGLLLSPKQDLSKVVNVMQALYELSVRDFPKVKKPVAQLRQEGLAPLNQDTTTGFLFENAVVFPDIQDAFFYRQLRRLQAILTSRDSMHNVPKNIEARRRMAFFSNSLFMNIPRATQVEKMMAFSVLTPYYDEEVIYGKESLRSENQDGISIIFYLQKIYEDEWENFLERMRREGMNDDNEIWTSKIRELRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDSAAEVDIRHGSVDIGSLNQNNGFDGLACGNPASSRNLHRASSSVTLLFRGHEFGAALMKFTYVVTCQVYGIQKAKGDPRAEEISYLMRNYEALRVAYVDEVHLGRDGVQYFSVLVKYDQQLKREVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGEAVQTIDMNQDNYFEEALKMRNLLEEFKIYHGIRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNNMMVVIMVYAFLWGRLYLALSGVEDYARSNATNNKALGAILNQQFVIQIGAFTALPMIVENSLEHGFLSSVWDFITMQLQLSSLFYTFSMGTRAHFFGRTILHGGAKYRATGRGFVVSHKRFAENYRLYARSHFVKGIELGVILIVYASNSPLAKNTFVYIAMTISSWFLVLSWIMAPFAFNPTGFDWLNTVYDFDDFMNWIWYNRGVFAKADQSWETWWYEEQEHLRATGLWGKLLEIILDLRFFFFQYGIVYHLQITGDDTRISVYLLSWIYMVAAVAIYIAIAYAKDKYAMNQHIYYRVVQLLVIVITVLVIVLLLEFTKFSVLDFITSFLAFIPTGWGIIQIALVLRPFLETSVVWETVVSLARLYDMIFGLIVLAPLAFLSWMPGFQSMQTRILFNEAFSRGLQISRILTAKSS >itb01g05450.t2 pep chromosome:ASM357664v1:1:3738652:3745924:1 gene:itb01g05450 transcript:itb01g05450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MNLRQRSMSTRRRDEAAAVAEFNIIPIHNLLTDHPSLRYPEVRSAAAALRFVGDLRKPPFVAWRDSMDLLDWLGIFFGFQDDSVKNQREHLVLHLSNSQMRQSPPAAPDRLDQNTLHHFRKKLLKNYSSWCSFHCKKSQIRLPRNRQGPENLRRELLYVSLFLLIWGEAANVRFVPECLCFIFHHMAMELNYILDGQIDEQTGQPYIPWTCKQFGFLDCVVTPIYNAIRREVERSRNGTAPHSAWRNYDDINEYFWSRRCFKKLKWPLDSSCSFFSLDEERRVGKTGFVEQRTFWNVFRSFDRLWVLLILFFQAAAIVAWEGTEYPWEALERRDVQVELLSVFITWAGLRFVQSVLDAGTQYSLVSRETMWVCVRMVLKSLVAAAWTVVFGVFYARIWSQKNADRRWSDEADDRIIVFLKTALVFITPEVLALILFVLPWIRNLLEKANIPFMYLVTWWFYSHIYVGRGLREGLINNIKYTLFWIVVLASKFSFSYFLQIKPLVTPTKALLDLNNTPNVHYKWHEFFGSTNEFAVVMLWLPVVLIYLVDLQIWYTVFSSVVGGTIGLFSHIGEIRNIEQLRLRFQFFASALQFNLMPEDQRTIAKATLVQKLRDAIHRLKLRYGFGQPYKKIESSQVEATRFALIWNEIIIAMREEDLVSDQELELLELPPNCWDIKVIRWPCVLLCNELLLALSHATELGEAPDQWVWFRICKNEYRRCAVIEAYDSIKFLLLELVKYDTEEHSIVTKLFMDIDDCLRFEKFTKAYKTTVLPQIHEKLVSLVGLLLSPKQDLSKVVNVMQALYELSVRDFPKVKKPVAQLRQEGLAPLNQDTTTGFLFENAVVFPDIQDAFFYRQLRRLQAILTSRDSMHNVPKNIEARRRMAFFSNSLFMNIPRATQVEKMMAFSVLTPYYDEEVIYGKESLRSENQDGISIIFYLQKIYEDEWENFLERMRREGMNDDNEIWTSKIRELRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDSAAEVDIRHGSVDIGSLNQNNGFDGLACGNPASSRNLHRASSSVTLLFRGHEFGAALMKFTYVVTCQVYGIQKAKGDPRAEEISYLMRNYEALRVAYVDEVHLGRDGVQYFSVLVKYDQQLKREVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGEAVQTIDMNQDNYFEEALKMRNLLEEFKIYHGIRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNNMMVVIMVYAFLWGRLYLALSGVEDYARSNATNNKALGAILNQQFVIQIGAFTALPMIVENSLEHGFLSSVWDFITMQLQLSSLFYTFSMGTRAHFFGRTILHGGAKYRATGRGFVVSHKRFAENYRLYARSHFVKGIELGVILIVYASNSPLAKNTFVYIAMTISSWFLVLSWIMAPFAFNPTGFDWLNTVYDFDDFMNWIWYNRGVFAKADQSWETWWYEEQEHLRATGLWGKLLEIILDLRFFFFQYGIVYHLQITGDDTRISVYLLSWIYMVAAVAIYIAIAYAKDKYAMNQHIYYRVVQLLVIVITVLVIVLLLEFTKFSVLDFITSFLAFIPTGWGIIQIALVLRPFLETSVVWETVVSLARLYDMIFGLIVLAPLAFLSWMPGFQSMQTRILFNEAFSRGLQISRILTAKSS >itb01g05450.t3 pep chromosome:ASM357664v1:1:3738652:3745085:1 gene:itb01g05450 transcript:itb01g05450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MNLRQRSMSTRRRDEAAAVAEFNIIPIHNLLTDHPSLRYPEVRSAAAALRFVGDLRKPPFVAWRDSMDLLDWLGIFFGFQDDSVKNQREHLVLHLSNSQMRQSPPAAPDRLDQNTLHHFRKKLLKNYSSWCSFHCKKSQIRLPRNRQGPENLRRELLYVSLFLLIWGEAANVRFVPECLCFIFHHMAMELNYILDGQIDEQTGQPYIPWTCKQFGFLDCVVTPIYNAIRREVERSRNGTAPHSAWRNYDDINEYFWSRRCFKKLKWPLDSSCSFFSLDEERRVGKTGFVEQRTFWNVFRSFDRLWVLLILFFQAAAIVAWEGTEYPWEALERRDVQVELLSVFITWAGLRFVQSVLDAGTQYSLVSRETMWVCVRMVLKSLVAAAWTVVFGVFYARIWSQKNADRRWSDEADDRIIVFLKTALVFITPEVLALILFVLPWIRNLLEKANIPFMYLVTWWFYSHIYVGRGLREGLINNIKYTLFWIVVLASKFSFSYFLQIKPLVTPTKALLDLNNTPNVHYKWHEFFGSTNEFAVVMLWLPVVLIYLVDLQIWYTVFSSVVGGTIGLFSHIGEIRNIEQLRLRFQFFASALQFNLMPEDQRTIAKATLVQKLRDAIHRLKLRYGFGQPYKKIESSQVEATRFALIWNEIIIAMREEDLVSDQELELLELPPNCWDIKVIRWPCVLLCNELLLALSHATELGEAPDQWVWFRICKNEYRRCAVIEAYDSIKFLLLELVKYDTEEHSIVTKLFMDIDDCLRFEKFTKAYKTTVLPQIHEKLVSLVGLLLSPKQDLSKVVNVMQALYELSVRDFPKVKKPVAQLRQEGLAPLNQDTTTGFLFENAVVFPDIQDAFFYRQLRRLQAILTSRDSMHNVPKNIEARRRMAFFSNSLFMNIPRATQVEKMMAFSVLTPYYDEEVIYGKESLRSENQDGISIIFYLQKIYEDEWENFLERMRREGMNDDNEIWTSKIRELRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDSAAEVDIRHGSVDIGSLNQNNGFDGLACGNPASSRNLHRASSSVTLLFRGHEFGAALMKFTYVVTCQVYGIQKAKGDPRAEEISYLMRNYEALRVAYVDEVHLGRDGVQYFSVLVKYDQQLKREVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGEAVQTIDMNQDNYFEEALKMRNLLEEFKIYHGIRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNNMMVVIMVYAFLWGRLYLALSGVEDYARSNATNNKALGAILNQQFVIQIGAFTALPMIVENSLEHGFLSSVWDFITMQLQLSSLFYTFSMGTRAHFFGRTILHGGAKYRATGRGFVVSHKRFAENYRLYARSHFVKGIELGVILIVYASNSPLAKNTFVYIAMTISSWFLVLSWIMAPFAFNPTGFDWLNTVYDFDDFMNWIWYNRGVFAKADQSWETWWYEEQEHLRATGLWGKLLEIILDLRFFFFQYGIVYHLQITGDDTRISVYLLSWIYMVAAVAIYIAIAYAKDKYAMNQHIYYRVVQLLVIVITVLVIVLLLEFTKFSVLDFITSFLAFIPTGWGIIQIALVLRPFLETSVVWETVVSLARLYDMIFGLIVLAPLAFLSWMPGFQSMQTRILFNEAFSRGLQISRILTAKSS >itb02g24750.t1 pep chromosome:ASM357664v1:2:25449285:25450575:1 gene:itb02g24750 transcript:itb02g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVIFFKVSLTSSNHMWFNHWFILTGQLRCRLGALLSLGLSSFWCTLEALFNSTKSKSSSLDKITHMAFANDMMLFCKGDGPSVKVLLEALKHLQESSGLTVNIGKSNIFCAGIVRAVMDFVEYLVGSLPVRSILVERSSSDHLAWLLLLFAFKNCKFNISEVYDILRRRANVAICWKFAWKPCIPRKFSFIFWMALKNKLPTKDHLIHENNESECSLCVGHIESDDHLFFRCAFSKQV >itb09g14720.t1 pep chromosome:ASM357664v1:9:9968430:9972006:1 gene:itb09g14720 transcript:itb09g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKDSVENPNATKPRVVCCIGDIHGYIAKLQNLWSNLESLIDPSDFKSALIIFLGDYCDRGPDTGRVLDFLISLPSKYPNQSHVFLCGNHDLAFAAFLGVLPKPPDGSAFADTWKEFEMSEEREGWYKGEGYENMHVQGRRWAGKHTVAFNVVKGTSYQGSIYDAGPTFESYGVPHGSADLMKAVPDEHKKFLADTVWIHEEDNVSIETKEGIQNCKLVAVHAGLEKGKDVQEQIKALKAKDTSIPKVAALSGRKDVWEIPEELTKTPTIVVSGHHGKLHIEGLRLIIDQGGGLENNPVAAMILPSMKIVRDTDCFSQVA >itb01g10100.t1 pep chromosome:ASM357664v1:1:8403355:8404120:1 gene:itb01g10100 transcript:itb01g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit C13 [Source:Projected from Arabidopsis thaliana (AT5G43250) UniProtKB/TrEMBL;Acc:Q9FHS0] MAEELPEENGVERTCRLQIPTGRVKKIMKIDDEVKKVNSEALFLISRSTELFLEFLAEKSAEVAVEKKRKTVKLEHLRLAVKRHRPTSDFLLDSLPMPSQPSHQPSKASRSRSDDKPVPHGTRKIDAFFQKCT >itb04g26450.t1 pep chromosome:ASM357664v1:4:30788309:30789573:1 gene:itb04g26450 transcript:itb04g26450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKADNRGVEEYMAEIRDVNVRKEDLVWWQRNHGGSGGRESIYGGGKIVQNLGVQRRKLTEAERLLLLLFVYHTKYFYGSSIRGVLHQLAIELDTYKNEYKTNDNHIGIVTTSVEQSVVSRNLSDMIIDLKSDKDIIVKIDYDD >itb01g18110.t1 pep chromosome:ASM357664v1:1:23035608:23039911:-1 gene:itb01g18110 transcript:itb01g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQCLLSTEIVNRGVESSGPDAGSLTFSVRVRRRLPDFLASVNLKYVKLGYHYLISHALYVAAVPLLVAAALSAEVGREEAWRKIWDSAVATYDLATLLSFLGVVVLTVCAYFMSKPKPIYLLDFACFKPSDDFKVTKEQFINMARSSGKFEESTLEFKKRVLESSGIGDETYVPKSIGSAENTATMKEGRAEASTVMFGALDELFQKTKIRPKDVGVLVVNCSVFNPTPSLSAMIINHYKLRGNILSFNLGGMGCSAGIIALDLARDMLQANPNNYALVVSTEMVSFNWYSGKDRSMLIPNCFFRMGCSALLLSNRRRDYGRAKYRLEHIVRTHKAADDRSFRAIYQEEDDEGKKGLKISKDLVRVGGEALKTNITTLGPLVLPVSEQLIFFATLVWGHLFGSSSRRPYIPDYKLAFDHFCVYAPSKVVLDELQANMGLRDSDMEPSRATLHRFGNTSSSSIWYELAYLEAKGKVGGGDRLWQIVFGSGFKCNSAVWRAVRRVRVPTANPWLDCVHTYPRTI >itb01g18110.t2 pep chromosome:ASM357664v1:1:23035674:23039911:-1 gene:itb01g18110 transcript:itb01g18110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQCLLSTEIVNRGVESSGPDAGSLTFSVRVRRRLPDFLASVNLKYVKLGYHYLISHALYVAAVPLLVAAALSAEVGREEAWRKIWDSAVATYDLATLLSFLGVVVLTVCAYFMSKPKPIYLLDFACFKPSDDFKVTKEQFINMARSSGKFEESTLEFKKRVLESSGIGDETYVPKSIGSAENTATMKEGRAEASTVMFGALDELFQKTKIRPKDVGVLVVNCSVFNPTPSLSAMIINHYKLRGNILSFNLGGMGCSAGIIALDLARDMLQANPNNYALVVSTEMVSFNWYSGKDRSMLIPNCFFRMGCSALLLSNRRRDYGRAKYRLEHIVRTHKAADDRSFRAIYQEEDDEGKKGLKISKDLVRVGGEALKTNITTLGPLVLPVSEQLIFFATLVWGHLFGSSSRRPYIPDYKLAFDHFCVYAPSKVVLDELQANMGLRDSDMEPSRATLHRFGNTSSSSIWYELAYLEAKGKVGGGDRLWQIVFGSGFKCNSAVWRAVRRVRVPTANPWLDCVHTYPRTI >itb07g02890.t1 pep chromosome:ASM357664v1:7:1929166:1931681:1 gene:itb07g02890 transcript:itb07g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGVLFSFIGIIFFFDKGLIAMGNILFVSGVAITIGLKPSMQFFMKRSNYKGTMSFGAGFFLVVIGWPIIGMILEAYGFVVLFSGFWPTLAVFLQKIPIVGWLFQQPFVRSFFDRYRGKRVPV >itb07g02890.t2 pep chromosome:ASM357664v1:7:1929166:1931681:1 gene:itb07g02890 transcript:itb07g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGVLFSFIGIIFFFDKGLIAMGNILFVSGVAITIGLKPSMQFFMKRSNYKGTMSFGAGFFLVVIGWPIIGMILEAYGFVVLFRLVGCFFS >itb05g23020.t1 pep chromosome:ASM357664v1:5:28237287:28238372:-1 gene:itb05g23020 transcript:itb05g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFQICYAILSFLPSIISSAISLSFSEKMATRTDNKNGGPESRTQLYLKAAEFFLGAKNFEDCRKNAFRAQTLDPIHPGPTQLLAIAAVISAAADDGDSSQRPDYYSILGVPHFSADFDLIKTRFEKLCAILSPRENQFALAEEAYRLVIDAWLVLSVPAKRALFDEELKRNPKFRSGSENPNFFWTMCPYCYHVFEYPVVFKECCLRCWNEKCRRAFHAAAIPAPPPDVVAMGRFLCAGFVPIRVENGMNSWTPFSPVGSETRARNENEGKGDGDHGDMETDGGNVARKKQKMAANSTKKMMGKGVRMQVINAAPLETTADDRASANNYTEVESELINGKLEFFEEGDDICVGFHPGFH >itb09g03320.t3 pep chromosome:ASM357664v1:9:1860723:1867738:1 gene:itb09g03320 transcript:itb09g03320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMESIETPQMLPPPPGSFIDREELIQHVGEYALSQGYVVTIKQSKKDKVVVLGCDRGGVYRNRRKHADETSCEHPRKRKTGSRLTNCPFELQGKKEDGLWVLTVKNASHNHEALKDISEHPSARCFSEKEVLLIKEMSEAGLKPRQILKRLRQSNPELLSTPKHVYNVKAKLRQGNLTVRRLKTLKPSSEGTQPSTSEPSWRKNYPLRCPNFIGGRFLDSQPSVSLDVINPATQQVVSQVPLTTDDEFKAAVFAAKRAFRLWRDTSVTLRQRIMFKFQELIRRDIDKLAFNITAEQGKTLKDAYNEVQRGLEMVDHVLGIARIHMGDFFPNISNGVDSYSIREPLGICAGICSFDFPSMIPLWMFPFAISCGNTFILKPSEKSPGACMILAELAMEAGLPNGVLNIVHGTNDIVNSICGDDDIKVVSIFGSDASGMHAHGICPSKGKHVQANIGAKNYAIVMPDANMDATLNALVEAGFGAAGQRCMTINTVVFVGDSSSWEDKLVERAKVLKVNAGTEPGANLGPVISNQAKEWISRTIQAGIDSGARLVLDGREIAVSKYEMGNFIGPTILADIKDDMEFHKEEILGPVLFCMQAGSLDEAICIVNCSKLCIGASIFTSSGAAARKFRTEIESRWA >itb09g03320.t4 pep chromosome:ASM357664v1:9:1860723:1867738:1 gene:itb09g03320 transcript:itb09g03320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMESIETPQMLPPPPGSFIDREELIQHVGEYALSQGYVVTIKQSKKDKVVVLGCDRGGVYRNRRKHADETSCEHPRKRKTGSRLTNCPFELQGKKEDGLWVLTVKNASHNHEALKDISEHPSARCFSEKEVLLIKEMSEAGLKPRQILKRLRQSNPELLSTPKHVYNVKAKLRQGNLTVRRLKTLKPSSEGTQPSTSEPSWRKNYPLRCPNFIGGRFLDSQPSVSLDVINPATQQVVSQVPLTTDDEFKAAVFAAKRAFRLWRDTSVTLRQRIMFKFQELIRRDIDKLAFNITAEQGKTLKDAYNEVQRGLEMVDHVLGIARIHMGDFFPNISNGVDSYSIREPLGICAGICSFDFPSMIPLWMFPFAISCGNTFILKPSEKSPGACMILAELAMEAGLPNGVLNIVHGTNDIVNSICGDDDIKVVSIFGSDASGMHAHGICPSKGKHVQANIGAKNYAIVMPDANMDATLNALVEAGFGAAGQRCMTINTVVFVGDSSSWEDKLVERAKVLKVNAGTEPGANLGPVISNQAKEWISRTIQAGIDSGARLVLDGREIAVSKYEMGNFIGPTILADIKDDMEFHKEEILGPVLFCMQAGSLDEAICIVNCSKLCIGASIFTSSGAAARKFRTEIESRQVGINAVLPAPLPFFLLTDSRASFSGDISVNGKDILNFFTQIKTVTQQWKDFPTSEGTFMASTSNDPLARDRVPPAFDIQSSDGVSSLPVHDRDLSNSNGVSLALQSKDFQCSDVESLGEHSRDVTNSSGISPAMAVSEGSSHWALNF >itb09g03320.t1 pep chromosome:ASM357664v1:9:1860723:1867738:1 gene:itb09g03320 transcript:itb09g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMESIETPQMLPPPPGSFIDREELIQHVGEYALSQGYVVTIKQSKKDKVVVLGCDRGGVYRNRRKHADETSCEHPRKRKTGSRLTNCPFELQGKKEDGLWVLTVKNASHNHEALKDISEHPSARCFSEKEVLLIKEMSEAGLKPRQILKRLRQSNPELLSTPKHVYNVKAKLRQGNLTVRRLKTLKPSSEGTQPSTSEPSWRKNYPLRCPNFIGGRFLDSQPSVSLDVINPATQQVVSQVPLTTDDEFKAAVFAAKRAFRLWRDTSVTLRQRIMFKFQELIRRDIDKLAFNITAEQGKTLKDAYNEVQRGLEMVDHVLGIARIHMGDFFPNISNGVDSYSIREPLGICAGICSFDFPSMIPLWMFPFAISCGNTFILKPSEKSPGACMILAELAMEAGLPNGVLNIVHGTNDIVNSICGDDDIKVVSIFGSDASGMHAHGICPSKGKHVQANIGAKNYAIVMPDANMDATLNALVEAGFGAAGQRCMTINTVVFVGDSSSWEDKLVERAKVLKVNAGTEPGANLGPVISNQAKEWISRTIQAGIDSGARLVLDGREIAVSKYEMGNFIGPTILADIKDDMEFHKEEILGPVLFCMQAGSLDEAICIVNCSKLCIGASIFTSSGAAARKFRTEIESRWA >itb09g03320.t2 pep chromosome:ASM357664v1:9:1860723:1867738:1 gene:itb09g03320 transcript:itb09g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMESIETPQMLPPPPGSFIDREELIQHVGEYALSQGYVVTIKQSKKDKVVVLGCDRGGVYRNRRKHADETSCEHPRKRKTGSRLTNCPFELQGKKEDGLWVLTVKNASHNHEALKDISEHPSARCFSEKEVLLIKEMSEAGLKPRQILKRLRQSNPELLSTPKHVYNVKAKLRQGNLTVRRLKTLKPSSEGTQPSTSEPSWRKNYPLRCPNFIGGRFLDSQPSVSLDVINPATQQVVSQVPLTTDDEFKAAVFAAKRAFRLWRDTSVTLRQRIMFKFQELIRRDIDKLAFNITAEQGKTLKDAYNEVQRGLEMVDHVLGIARIHMGDFFPNISNGVDSYSIREPLGICAGICSFDFPSMIPLWMFPFAISCGNTFILKPSEKSPGACMILAELAMEAGLPNGVLNIVHGTNDIVNSICGDDDIKVVSIFGSDASGMHAHGICPSKGKHVQANIGAKNYAIVMPDANMDATLNALVEAGFGAAGQRCMTINTVVFVGDSSSWEDKLVERAKVLKVNAGTEPGANLGPVISNQAKEWISRTIQAGIDSGARLVLDGREIAVSKYEMGNFIGPTILADIKDDMEFHKEEILGPVLFCMQAGSLDEAICIVNCSKLCIGASIFTSSGAAARKFRTEIESRQVGINAVLPAPLPFFLLTDSRASFSGDISVNGKDILNFFTQIKTVTQQWKDFPTSEGTFMASTSNDPLARDRVPPAFDIQSSDGVSSLPVHDRDLSNSNGVSLALQSKDFQCSDVESLGEHSRDVTNSSGISPAMAVSEGSSHWALNF >itb11g12840.t1 pep chromosome:ASM357664v1:11:9811987:9812883:-1 gene:itb11g12840 transcript:itb11g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYDEWMPNMETFGSSQQTYGIPNSVEEVFFDYEWLVWKGSEVEYGRNLKFMKLIDLSSNKLVGDIPVEITDLHMLNSLNLSRNKLTGSIPDKIGQMSSLENLDLSNNQLSGAIPSTLASLSFLAHLDLSNNNLSGCIPLGTQLQGFTEAYQGNSNLRGPPLLTKCHKQEEGIDEIEEDEGWIIWDFDFFVSLTLGFILGFWGVCGTLIFKLSWRHAYFQFLEEKKEKICTAIVVYGAKLKRGMRTS >itb05g20490.t1 pep chromosome:ASM357664v1:5:26556152:26558830:-1 gene:itb05g20490 transcript:itb05g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAFFRSNYLILICILVFHYSSLLSNSQYCNPSSCGTIHNISFPFRLNTDPQHCGHPDYQLTCEQTRAVLALHSGKYYVQSINYDNYTVRVVDPGLQQNNICSFPRYALAQYSFPRYDDSYPYDITTPEKETPSGRLDTTLITVPIIFLRCPFPMKSSAFVETTKECWNKSSDSSGGYAYAYAKLGSLNASDLRISCRVELITMTSWRIQEKNVSSSLLEIHNALMYGFELSWFPAICQQHCGSRWCHFDMKNEISCFRYKVGVRQLAVMISAAKIIIGVPCAVVFLIIKFRRRHLSVFEAIENFLSADNNLLPIRYSYKHIKNMTKAFKEKLGEGGFGSVYKGKLRSGSDVAVKIISKPKSNGQDFINEVASMGRIHHVNIARLVGYCAENSKRALVYDFMSNGSLDKYINQGADASLLNWQRKFEITIRVARGIDYLHRGCDIQILHFDIKPHNILLDENFIPKISDFGLAKLFPTDKSIVTLTAARGTIGYVAPELINRSIGAISHKADVYSFGMLLMEMLGLKKIPVTGQDESSQYFPSWIYNDINKGKAIEMGELDEDEKRITKKMTIVGLWCIQTSPIPRPSMSRVVEMLEGDVELLQMPTDIFLSEPIMEVDQEQSSMPESSESIAFLANSASSNSIGIIVD >itb04g23210.t1 pep chromosome:ASM357664v1:4:28361491:28365873:-1 gene:itb04g23210 transcript:itb04g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDDATTKISKLNGVGAALQPNNAAMSSSVNSWTGRPYSQRYYEILEKRKTLPVWHQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDVETPDKRRKYMIGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRSDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKITKEINNLGDQVGPVKAVPLYSTLPPAMQQKIFEAAPPPLVEGGPPGRKVVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCYRLYTEKSFHNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMGKMLVVSPEFNCSNEILSVSAMLSVPNCFVRPREAQKAADEAKGRFSHIDGDHLTLLNVYHAYKQNKEDPQWCYENFVNHRALKSADNVRQQLSRIMTRFNLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLSNFPQCEAKHVLDRLYKKRERDREQNKKKK >itb12g14570.t1 pep chromosome:ASM357664v1:12:14376195:14379744:-1 gene:itb12g14570 transcript:itb12g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFILLLNYIALIAVVSHAKNTLLSDEVLSSDGRNGTLTFLESQNKLFRLQFLRRQGTQNDLYLGIQYMYGANAKTTIWVSNANEIFSGSLFLKMEGNGRLIVGDGSSDKFFVVNDRQSSVFNSASATLRDDGNLVLTSPNRSHFCWQSFEHPSNVFFPGMKLGLSGIDTQTPSNRVLTSWISDGNPSPGEFELGVNPNQPNELIIKRRGVVYWGSGEWKRQNFTFLDFPAGSGANMTNYSNAKKAYFSLSGGSQLGNGYSFIRLNSRGGIDAFIWNATTKTVEPLAVVVCDPDEALRRGVALSGGCSATSKKAPPPASSLAPAIAPATLAGRTWNWRKHLARWKLAAIVSLVVAIVIALSAVSLFNRFKRRRGYRRGNGRLIVGDGSSDKFFVVNDRQSSVFNSASATLRDDGNLVLTSPNRSHFCWQSFEHPSNVFFPGMKLGLSGIDTQTPSNRVLTSWISDGNPSPGEFELGVNPNQPNELIIKRRGVVYWGSGEWKRQNFTFLDFPAGSGANMTNYSNAKKAYFSLSGGSQLGNGYSFIRLNSRGGIDAFIWNATTKTVEPLAVVVCDPDEALRRGVALSGGCSATSKKAPPPASSLAPAIAPATLAGRTWNWRKHLARWKLAAIVSLVVAIVIALSAVSLFNRFKRRRGYRRGT >itb13g22170.t1 pep chromosome:ASM357664v1:13:28511939:28516615:-1 gene:itb13g22170 transcript:itb13g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIIHTHSRRQPPPRSRRLSPSSAVHYVLQEQRVLCLLVGVGLSAIMFTFGPSPGAGISAAQSATPIIPRRVMYETSQTSQHAVNAGGRIPMELKRKGLRILVTGGAGFVGSHLVDRLIARGDSVIVIDNFFTGRKENLLQHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVYYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQTETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLNIEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKESMTVYGDGKQTRSFQYVSDLVEGLIRLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFKPNTEDDPHKRKPDITRAKQHLGWEPTISLREGLPLMVSDFRKRIFGDEKFVSGVDTQ >itb09g29660.t1 pep chromosome:ASM357664v1:9:30388113:30390571:1 gene:itb09g29660 transcript:itb09g29660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSSAQLLQYSSIRTSFRLRTRTLSSSSQPQTRIRANPRPVIAANLDAKEDIVIVGAGIAGLATAVSLHRLGIRTRVLEQAESLRTGGTSLGLSKNGWKVLDAIGVGNSVRPHFLEIQGVAIRSEDGRLLRSFSLKEEDPSQELRAVERKVLLESLMNELPSDTIAFSSGLSKIERHESGDTMLELEDGSRLSAKIVIACDGIRSPVAKWMGFPEPTYAGHLCFRGLGSYPEGQSFDQKVQYTYGSGVRAGFIPISKTKIYWFIVFNSSSPGPKITDPLILRQQAQELVRSWSPELLNIINHTPDETLIRTPLVDRWLWPATSPPASTGRVVLVGDAWHPMTPNLGQGACCALEDSIVLAKKLAEAIKSKNVSVEEAFREYERERWPRIFPLTIRAHLVGAFLQWDNPVVCSIRNNVIVPKLVRLGPMLQHTNFECEPL >itb09g10160.t1 pep chromosome:ASM357664v1:9:6317662:6323291:-1 gene:itb09g10160 transcript:itb09g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSGNYSGHDHGRRDKSGAGAGSKQKPITVLTEVKKENIEERYMVDRELGRGEFGVTYLCIDRGSRELLACKSISKRKLRTAVDVEDVRREVAIMKHLPKNSSIVCLKEACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGAIDFKREPWPSISEGAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSLMNRFKRKALRVIADFLSNEEVEDLKEIFGKIDTDNDGIVSIEELKVGLQKLNSQLAESEIQMLIEAIDTNGKGTLDYGEFIAVSLHLQRMANDEHLRKAFSYFDKNGNGYIEPDELQDALMEDGSEDCTNVANDIFQEVDTDKDGLISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSLNLGNE >itb15g03490.t1 pep chromosome:ASM357664v1:15:2209557:2212663:-1 gene:itb15g03490 transcript:itb15g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSAGHPLASPPLLTAVHDDAPKKPKRNKYALACSILASMTSVLLGYDIGVMSGASIYIKKDLHVSDVQVELLIGTINIYSLVGSAVAGRTSDWIGRRYTIVLAGVIFFVGALLMGFATDFSFLMVGRFVAGIGVGYALMIAPVYTAEVAPASIRGFLTSFPEVFINFGVLLGFVANYSFARLPLHLGWRLMLGVGLVPAAILALGVLAMPESPRWLVMQGRLRDAKEVLDKTSDSMEESQQRLADIKEAAGIPADCKDDVVEIKAESSGGKGVWKELFVNPSPKIVHILLAGVGVHFFQQTSAVDAVVMYSPKIFEKAGIETETQQLLASIAVGFSKMIFCLVATFLLDKVGRRVLLLTSVGGVVVSLLCLAAGLTVVDRSAETPPWTVGWCVAMTLSCVAFFSIGLGPIPWVYSSEVFPLRLRAQGCAIAVALNRLLSGSLLMSFISLYKLITIGGAFFLYAGCSAVAWVYFFTLLPETQGRTLEDTEALFGTFFRWRSTLRELERKKSAENGEDNGEA >itb13g16960.t4 pep chromosome:ASM357664v1:13:23890937:23894187:1 gene:itb13g16960 transcript:itb13g16960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASTNNGDVLMLEAPPEAQPAWSSGSELIDALPYIDDDYGDPKVKAEVDRLVEEEMRRSTKKPSDFLKDLPPLPKFNFENHPMLAREYERVRAGKPPVQIDTSRYGLEVPQGNKRNDESAWKQALQKAQRLLQHQVIRLENLDVMLSHGPDAWKLYNQRLEAFLARMQSQAVKLNEEIESVNRERKYHQQNTAYELNALSTQWSELCLKNTEIQAACYQIQNQIERLKEEAKERYLTFFSWVRDCGTVFFC >itb13g16960.t3 pep chromosome:ASM357664v1:13:23890941:23894955:1 gene:itb13g16960 transcript:itb13g16960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASTNNGDVLMLEAPPEAQPAWSSGSELIDALPYIDDDYGDPKVKAEVDRLVEEEMRRSTKKPSDFLKDLPPLPKFNFENHPMLAREYERVRAGKPPVQIDTSRYGLEVPQGNKRNDESAWKQALQKAQRLLQHQVIRLENLDVMLSHGPDAWKLYNQRLEAFLARMQSQAVKLNEEIESVNRERKYHQQNTAYELNALSTQWSELCLKNTEIQAACYQIQNQIERLKEEAKERGWDLESKMENGSLFSAEK >itb13g16960.t1 pep chromosome:ASM357664v1:13:23890941:23896108:1 gene:itb13g16960 transcript:itb13g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASTNNGDVLMLEAPPEAQPAWSSGSELIDALPYIDDDYGDPKVKAEVDRLVEEEMRRSTKKPSDFLKDLPPLPKFNFENHPMLAREYERVRAGKPPVQIDTSRYGLEVPQGNKRNDESAWKQALQKAQRLLQHQVIRLENLDVMLSHGPDAWKLYNQRLEAFLARMQSQAVKLNEEIESVNRERKYHQQNTAYELNALSTQWSELCLKNTEIQAACYQIQNQIERLKEEAKERGWDLESKMENGSLFSAEK >itb13g16960.t2 pep chromosome:ASM357664v1:13:23890937:23895018:1 gene:itb13g16960 transcript:itb13g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MASTNNGDVLMLEAPPEAQPAWSSGSELIDALPYIDDDYGDPKVKAEVDRLVEEEMRRSTKKPSDFLKDLPPLPKFNFENHPMLAREYERVRAGKPPVQIDTSRYGLEVPQGNKRNDESAWKQALQKAQRLLQHQVIRLENLDVMLSHGPDAWKLYNQRLEAFLARMQSQAVKLNEEIESVNRERKYHQQNTAYELNALSTQWSELCLKNTEIQAACYQIQNQIERLKEEAKERGWDLESKMENGSLFSAEK >itb02g18340.t1 pep chromosome:ASM357664v1:2:14738534:14739598:1 gene:itb02g18340 transcript:itb02g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLKVDQRQELREIFTRFDMDSDGSLTLLELAALLRSLGLKPSGDQLHALLANMDANGNGTVEFEELVGAILPDMDDQILINQQQLLEVFRSFDRDGNGYITPAELAGSMAKMGQPLSYRELTEMIREADADGDGVINFTEFAAVMARSAAGYLGLPVS >itb05g24530.t1 pep chromosome:ASM357664v1:5:29287381:29291627:-1 gene:itb05g24530 transcript:itb05g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQANPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVTYDKKVLEMIGKKLKKNSVSLDVVNFGEEDEGKTEKLEALVATVNNNDSSHIVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKASEEAAKQEKGENQGASQDVTMTENAAAGTSESENKAADPMDDENALLQQALAMSMDDCTTNITIRDADMPEAASEDQDLALALQLSVQDSTKDQATPTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQGQSESQEKEEEEDKDPKEEEKK >itb08g14370.t1 pep chromosome:ASM357664v1:8:16170443:16176322:-1 gene:itb08g14370 transcript:itb08g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAGIPKNIRELGVRDMKKSPSEQALAELAELFGGKNGGGDKDISSWDWEEDVMYGLSSFNPLSDHANFWSKKTTPNYGPGDAQSSTCVGFRNSTIKPNGSDNQMTGATSGSSHELYEDDDMEAEGGDACEQSGVNNTDVKRIKRMASNRESARRSRRRKQEQLAELENQVDQLRGENASLFKQLTDAAQQYKDSSTNNRVLKSDVEALRAKVKLAEDMVTRGSVNSSLSHLIQNCLTAPATLGNNNVCRLDNMCSTIISVPEGLQRLPLIQQGGPTPTMRLQNNVDSYTDSNLKNNNNGVMSEVVSCVSDMWSPLAS >itb08g14370.t2 pep chromosome:ASM357664v1:8:16170443:16176322:-1 gene:itb08g14370 transcript:itb08g14370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAGIPKNIRELGVRDMKKSPSEQALAELAELFGGKNGGGDKDISSWDWEEDVMYGLSSFNPLSDHANFWSKKTTPNYGPGDAQSSTCVGFRNSTIKPNGSDNQMTGATSGSSHELYEDDDMEAEGGDACEQSGVNNTDVKRIKRESARRSRRRKQEQLAELENQVDQLRGENASLFKQLTDAAQQYKDSSTNNRVLKSDVEALRAKVKLAEDMVTRGSVNSSLSHLIQNCLTAPATLGNNNVCRLDNMCSTIISVPEGLQRLPLIQQGGPTPTMRLQNNVDSYTDSNLKNNNNGVMSEVVSCVSDMWSPLAS >itb01g27680.t1 pep chromosome:ASM357664v1:1:32373832:32374754:1 gene:itb01g27680 transcript:itb01g27680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDESGRPKYRESEEQILRWLDDQPASSVVYLCFGSAAVGVFQEPQVKEIAYALERSGQRFLWVLRKPSSSGSLVPTGYSNHDEVLPEGFLERTKSIGKVIEWASQSVFLAHPAVGGFVSHCGWNSVLESIWFGVPIATWPMMVDQQGNAFQLVREIGMAVDIKMDYKTDSRDPKTNIPIVPKIVSAKEIEIGITSLMDNSTTNFVRTKAKEVKEMSRNALEEGGSSFNFVESFFKNVITNLK >itb01g27680.t2 pep chromosome:ASM357664v1:1:32373192:32374685:1 gene:itb01g27680 transcript:itb01g27680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANNNIELVFVPAPAMGHFVSAVGTAKLLLQRQPQLSVTVLIMKVHLIPDARINAYIDSLIADEKDINPRLKLILLPVDLDALKGHSDKASIFKAFFDSQKAKVRDYCVNEIQNSGSGRRRRLAGFVVDMLCSSFMDVAEEFGVPTYVFYALGAAMLGLHLHFQSLKDDRGILASEFKDSDPDLNIPTYFKPFPVKLLPSFVLDTTDGVLDHARRIRQAKGVIVNTFFDLESHALESLSKDNTVPLVYPVGPILNLNGIAKYRESEEQILRWLDDQPASSVVYLCFGSAAVGVFQEPQVKEIAYALERSGQRFLWVLRKPSSSGSLVPTGYSNHDEVLPEGFLERTKSIGKVIEWASQSVFLAHPAVGGFVSHCGWNSVLESIWFGVPIATWPMMVDQQGNAFQLVREIGMAVDIKMDYKTDSRDPKTNIPIVPKIVSAKEIEIGITSLMDNSTTNFVRTKAKEVKEMSRNALEEGGSSFNFVESFFKNVITNLK >itb01g25410.t1 pep chromosome:ASM357664v1:1:30833766:30834799:1 gene:itb01g25410 transcript:itb01g25410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSQISVFINFLFIIHYFLVFYLAQGYDFFYFVQQWPGSYCDTKDSSCCYPTTGKPASDFGIHGLWPNNNDGTYPSNCDSSNPYDESKISDLMSRMQQDWPTLACPSNSGSSFWSHEWEKHGTCSESELDQHTYFETALNLKNQTYLLQILESAGIQADGNSYNLSSIQTAIENAVGYAPWIQCNSDAGGNSQLYQVYFCVGPSGSNLIKCPVFPTGTCASSIKFPSF >itb11g14450.t1 pep chromosome:ASM357664v1:11:11544726:11549965:-1 gene:itb11g14450 transcript:itb11g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEANIGDENRAMDSAHAAVFKKSESLEGSCMKIEGYDFNHGVQYPHLLNSLLSTGFQASNLGKAIQIVNEMLDWRLSHEPTMEDCNTKEKDPAYRDSVTTKIFLGFTSNLVSSGVRETIRYLLQHRMVDVVVTTAGGIEEDLIKCLAPTYKGDFSLQGSVLRSKGLNRIGNLLVPNDNYCKFENWIIPIFDQMYEEQTKQNVSWTPSKVIARLGKEINDESSYLYWAYKNGIPVFCPSLTDGSLGDMLYFHSFSKEAADNSDHNPGLIIDIVGDVRAMNGEAVHAGSRKTGALILGGGLPKHHICNANMMRNGADFAVYINTAQEFDGSDSGARPDEAVSWGKIRDISKAVKVHCDATIAFPLLVAETFATKAKKNPLINNL >itb11g10560.t1 pep chromosome:ASM357664v1:11:7532509:7533021:1 gene:itb11g10560 transcript:itb11g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNVIPSVHLTYRLAQKGFVFTNSPSSTPSNCRWLATDAYRPSRHYAATAAGKNREEEGDRTTLLMPTAGLSSTREGREETAALPSDVHCREKEGREIGASSPLVLATAAGHVFFSGASCQRDGVQHFLIEKKKLKNKIRIGSSTMSGFLRAQPPSTATPTSHSLRRHD >itb02g08940.t1 pep chromosome:ASM357664v1:2:5648984:5651008:-1 gene:itb02g08940 transcript:itb02g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYYYTQIQSSLHILAAAAALLVLILCSQSSLICGQPLSEYNIANSSSIIPWTNISSYVPNYSDGVGLSRVILFRQINSYTSFACGLICDYLGTTCLFGVLYAQYFYNYNLPRHHQYLMWSAIQKRTVTVLTEFILVYVTSAVTVTIVGVVKEAITILVAVFYFHGEFTWLKGLGLGTIMVGVSLFNWYKKLQKGQSSEDEIGGSVTKDATTKYVILEEMEDQEDDGP >itb13g26820.t1 pep chromosome:ASM357664v1:13:31936622:31941997:1 gene:itb13g26820 transcript:itb13g26820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSPTLSVLLVLILCLCGADFVYTASNKIGKGYRLVSLGESPDGGLVGELIVNKKNNIYGPDIPHLQLYVKHESNNCLRVHITDAEKQRWEVPYNLLPREKPPSLKQAIGINSRKNPFPLGSSEYSGNELIFSYISDPFSFAVRRKSNGETLFNSSSDGSDPYSSLVFKDQYLEISTKLPKDASLYGLGESTKPHGIKLYPNDPYTLYTTDISALNLNMDLYGSHPMYMDLRNVNGEAFAHAVLLLNSNGMDVFYTGTSLTYKVIGGVLDFYFFSGPSPLDVVDQYTAFVGRPAAMPYWSFGFHQCRWGYHNLSVLEDVVDNYQKAKIPLDVIWTDDDHMDGKKIFTLNPVNYPRPQTLAFLDKIHAQGMKYVVIVDPGIGVNKSYGVYQRGIANDVFIKYKGKPFVAQVWPGAVNFPDFLNPKTVQWWVDEIHRFHELLPVDGLWLDMNEVSNFCNGLCTLPEGRICPNGTGPGWICCLDCKNVTQTKWDDPPYKINASGIEAPIGYKTIATSAEHINGILEYDAHSIYGFTETVATHKGLQALEGKRPFILSRSTYVGSGHYAAHWTGDNKATWEDLKYSISTMLDFGLFGVPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANYYSPRQELYQWASVAESGRNALGMRYKLLPYIYTLNYEAHTTGAPIARPLFFSFPTITELYGLSTQFLLGSSVMISPVLEAGKTEVTAIFPPGTWYNLFDMTQAIVAKEPKSLTLDAPLHVINVHLYQNTIIPMQRGGVRTKEARATPFNLIVAFPLGASSAQAKGILFLDEDELPEMKLGNGYSTFVNFYATAGNGTTKVWSDVQESKYALSKGWIIEKVTVLGLNAIGGQFKIEVDGTPVADTSKVKFSTTGHTYPQAQESEGGKNMLLEINGLELAVGKNFAMSWHMGITA >itb06g20210.t1 pep chromosome:ASM357664v1:6:23290065:23292336:1 gene:itb06g20210 transcript:itb06g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >itb06g20750.t1 pep chromosome:ASM357664v1:6:23597171:23599274:-1 gene:itb06g20750 transcript:itb06g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAEVNIGRRGAAATVGVQIRQSRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLIPVMALIIIEASQMNPDDIRQLWLHLQYNLVSVIICSAVLVFGSTVYIMTRPRPVYLVDYSCYRPPDNLKAPYQRFMDHSRLTGDFDESSLEFQRKILERSGLGDETYVPEAMHYLPPRPSMEAAREEAEQVMFGALDNLFANTSLKPKDIGILIVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTNAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSVDRRRAKYKLVHVVRTHRGADDKAFRCVYQEQDENGKTGVSLSKELMAIAGGALKTNITTLGPLVLPISEQLLFFSTLIIKKLYNKNIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRRGQRVWQIAFGSGFKCNSAVWQALRNVKPSHKSPWEDCIDRYPVKIVS >itb05g19050.t1 pep chromosome:ASM357664v1:5:25662247:25663022:-1 gene:itb05g19050 transcript:itb05g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVTILTDTWSRRAKRFEIEGYAKILAIGTATPANWVDQATTRQDILVSEVPKLGKEAAMKAMKEWGRPISEITHLVFCTTSGVDMPGADFQLTKLLGLNSSVKRLMMYQQGCNAGAAMLRLAKDVAENNKGARVLVVCSEVMLSVFRGPSLQQEDNLLAQCLFGDGSAALIVGTDPRPGLETPLFELISAAQTIAP >itb04g28940.t1 pep chromosome:ASM357664v1:4:32489117:32493022:1 gene:itb04g28940 transcript:itb04g28940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRWKTSPLMGGAAESGPVTPPEPNYSDQAEMGAEDEDFEEEYRPITFDVDSRDDGNEITETDDEENVDDIGTYDGEDVLKDVEYTAAHRINENTIAKEGDGEYVGYYEIDDRGNTLKEVINGEYIAEMATGDGEDILKEEGKLACIIGEPEHEQSTENNVEDAEGNDEANHEDELKEEHLANEENEGEALCMVLPEQVENNEHSTEENNKEKVEKSSMQRCIKSRKRKRGKGWVKSSLKKFSSEGKKKKKVPSNVEEDAENEGDALCEDGTREVVMEQDNGEENTEEDNKKKLEESLKQKLTKYRKRKRGKKKKLEKSVLEKVASEGGEEMCREEMTSNGDDKESLKVALKGQDGQGLKKGVSKGEALLGSKNVAPQGKDVPESSHRKRSSKKVESAGLIFMCNSETKKDCYRYNILGLPANKREIVENVYKGMRLFLYDVDLKLMYGIYKATGPGGYNIEPKAFKSQFPSQVRFMIVDDCKPLAEEIFKKAIKKNYYTRMKFNCQLTSEQVKDLCKLFTADSRSSTSKKLRGRLKKESKRVVERDRGESKSVVERDRRESKRVVERDRRVSKRVVERDRTGKREVIDKARRLKPERKRGLKQDRTRKYGVDRDRRRSPVRDHRYHEYSRHYEKDMVAPAMDHLQPLPPAPVAQGSHLLTLRPLASAQPYVYERPLETDVYRRGPLLVRDDPYRRDMLQEPEDAYKQDILIDRRHYYRQEPNPERHYSYEITNYDPHVSYPGRGEAVYRSIPSAGLPVEYYSVEQSTAHRSAGVSIPEYHAISRSLPEYHSVGLRPEYQSSAGIRRYPY >itb04g28940.t2 pep chromosome:ASM357664v1:4:32489128:32493022:1 gene:itb04g28940 transcript:itb04g28940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAESGPVTPPEPNYSDQAEMGAEDEDFEEEYRPITFDVDSRDDGNEITETDDEENVDDIGTYDGEDVLKDVEYTAAHRINENTIAKEGDGEYVGYYEIDDRGNTLKEVINGEYIAEMATGDGEDILKEEGKLACIIGEPEHEQSTENNVEDAEGNDEANHEDELKEEHLANEENEGEALCMVLPEQVENNEHSTEENNKEKVEKSSMQRCIKSRKRKRGKGWVKSSLKKFSSEGKKKKKVPSNVEEDAENEGDALCEDGTREVVMEQDNGEENTEEDNKKKLEESLKQKLTKYRKRKRGKKKKLEKSVLEKVASEGGEEMCREEMTSNGDDKESLKVALKGQDGQGLKKGVSKGEALLGSKNVAPQGKDVPESSHRKRSSKKVESAGLIFMCNSETKKDCYRYNILGLPANKREIVENVYKGMRLFLYDVDLKLMYGIYKATGPGGYNIEPKAFKSQFPSQVRFMIVDDCKPLAEEIFKKAIKKNYYTRMKFNCQLTSEQVKDLCKLFTADSRSSTSKKLRGRLKKESKRVVERDRGESKSVVERDRRESKRVVERDRRVSKRVVERDRTGKREVIDKARRLKPERKRGLKQDRTRKYGVDRDRRRSPVRDHRYHEYSRHYEKDMVAPAMDHLQPLPPAPVAQGSHLLTLRPLASAQPYVYERPLETDVYRRGPLLVRDDPYRRDMLQEPEDAYKQDILIDRRHYYRQEPNPERHYSYEITNYDPHVSYPGRGEAVYRSIPSAGLPVEYYSVEQSTAHRSAGVSIPEYHAISRSLPEYHSVGLRPEYQSSAGIRRYPY >itb04g28940.t3 pep chromosome:ASM357664v1:4:32489117:32493022:1 gene:itb04g28940 transcript:itb04g28940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRWKTSPLMGGAAESGPVTPPEPNYSDQAEMGAEDEDFEEEYRPITFDVDSRDDGNEITETDDEENVDDIGTYDGEDVLKDVEYTAAHRINENTIAKEGDGEYVGYYEIDDRGNTLKEVINGEYIAEMATGDGEDILKEEGKLACIIGEPEHEQSTENNVEDAEGNDEANHEDELKEEHLANEENEGEALCMVLPEQVENNEHSTEENNKEKVEKSSMQRCIKSRKRKRGKGWVKSSLKKFSSEGKKKKKVPSNVEEDAENEGDALCEDGTREVVMEQDNGEENTEEDNKKKLEESLKQKLTKYRKRKRGKKKKLEKSVLEKVASEGGEEMCREEMTSNGDDKESLKVALKGQDGQGLKKGVSKGEALLGSKNVAPQGKDVPESSHRKRSSKKVESAGLIFMCNSETKKDCYRYNILGLPANKREIVENVYKGMRLFLYDVDLKLMYGIYKATGPGGYNIEPKAFKSQFPSQVRFMIVDDCKPLAEEIFKKAIKKNYYTRMKFNCQLTSEQVKDLCKLFTADSRSSTSKKLRGRLKKESKRVVERDRGESKSVVERDRRESKRVVERDRRVSKRVVERDRTGKREVIDKARRLKPERKRGLKQDRTRKYGVDRDRRRSPVRDHRYHEYSRHYEKDMVAPAMDHLQPLPPAPVAQGSHLLTLRPLASAQPYVYERPLETDVYRRGPLLVRDDPYRRDMLQEPEDAYKQDILIDRRHYYRQEPNPERHYSYEITNYDPHVSYPGRGEAVYRSIPSAGLPVEYYSVEQSTAHRSAGVSIPEYHAISRSLPEYHSVGLRPEYQSSAGIRRYPY >itb11g01360.t1 pep chromosome:ASM357664v1:11:632613:634712:-1 gene:itb11g01360 transcript:itb11g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGSRRQWSTLERRCLSLLHTRKNTMATLLQILAFMFRHNLETNINLTKLITAFSSADPIAGIDHARRVFDVSPQKDDSFLCNSMIKSYLDTGHVVEAMILYRNLIGNTGFKPDNYTFSSLAKCCALRKVAFWDGLGVHNHALKTGFVSNMYVATSLVDMYGKLGDMHLARKVFDEMTVRNSVAWTALIGGYVKFGDMGIAEELFDSIPEKDAAAFNLMIDAFVKRGDMKSAESLFGEMPDRTVVSWTSMIDGYCTVGNVNQARLLFDMMPEKNISSWNAMIGGYSQNKQPHEALRLFHELQTRTKLKLDDVTVVSVLPAIAELGALDLGVRVHQLVKTKKLERNPNVCTALVDMYAKCGEIRKAMTYFDEIKIKEVSTWNAMINGLAVNGCAKEALDLFSEMTSSGCKPNSVTMLGVLSACNHGGLVEDGKKWFKAIEKYGLTPKIEHYGCLIDLLGRAGCLEEAERLMESMPYEANGIILSSFLFACGYAKDVSRAEKVKDKAMEMEPWNDGNYVMLRNLYAKERRWKDVKEIQGLMREKGAKKEVGCSLIEVNSKVWEFVSGDKIHPHLKQIYLLLEQLQMHMKMKMHDTPYADQLNLELDDS >itb07g23660.t1 pep chromosome:ASM357664v1:7:28030080:28033548:-1 gene:itb07g23660 transcript:itb07g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQHSFSVLRLCTLSPSTPKLLSFRTCSIAKLQLFRIRAFGTASVAAEKNGGDTFFAEDNVSWTSLGVSERLSRSLSSIGLHRPSLVQAACIPSILSGADVVVAAETGSGKTHGYLVPLIDKVCQSSGISGEGVVGREANKSRQLSLVLCPNVMLCEQVVRMANCLRNDGGEPVLRVAAVCGRQTWPVKEPDIMVSTPAAILNYLSTIEAEDHGRSDFIRCVKHVVFDEADMLLCGSFQNQVIRLLNMLRFDEKQLSRLRNSEAEIVTGSIPDSPLGSDQEDNRDLLADFSKEVDDTEDDACVMDSEVDSKVAKRTDWRRVRKTYKRSKQYIFVAATLPVNGKKTAGAVLKRMFPDASWVSGNYLHCHNPRLEQKWIEVTIDTQVDALISAVRNGIQSALNDGSGILRTMVFANTVDAVEAVAKVLTGAGIECFCYHSNSSLEERTKNLISFQQEGGVFVCTDAAARGLDVPNVSHVIQAEFATSAVDFLHRVGRTARAGQPGLVTSLYNESNRDLVATVRLAEKRGQPVEKAFSRKRSFRNKLKKRGFSNMNDVESVEENVLA >itb09g13170.t2 pep chromosome:ASM357664v1:9:8522183:8527987:-1 gene:itb09g13170 transcript:itb09g13170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMELGQTRSVNCGAKPGDIGTEVPQFGDEGFQGLMPVVVSEEAGSSFTALLELPAKQAVELLVHSSEAEGSPATKLPEIEPQRNYHQGFLPPPIFPSDTALVDRASKFSVFAGNSPETESIPSNSCSKPHFVKSEPADSDSNPNSSPSPAVSNPTVNQKSAKRKEREKKIKEPSKKSKKAANNTSEGDGEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQFLQRQVEFLSMRLATVNPRIDFNIDALFAAETDSPVENNCTGMIMPSMWPEGQAIENRPQHQQLWHADGFHQPMWTREENCSFIAPENSLLTYDSTNSGPLLANQLKMEI >itb09g13170.t1 pep chromosome:ASM357664v1:9:8522183:8528305:-1 gene:itb09g13170 transcript:itb09g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMELGQTRSVNCGAKPGDIGTEVPQFGDEGFQGLMPVVVSEEAGSSFTALLELPAKQAVELLVHSSEAEGSPATKLPEIEPQRNYHQGFLPPPIFPSDTALVDRASKFSVFAGNSPETESIPSNSCSKPHFVKSEPADSDSNPNSSPSPAVSNPTVNQKSAKRKEREKKIKEPSKKSKKAANNTSEGDGEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQFLQRQVEFLSMRLATVNPRIDFNIDALFAAETDSPVENNCTGMIMPSMWPEGQAIENRPQHQQLWHADGFHQPMWTREENCSFIAPENSLLTYDSTNSGPLLANQLKMEI >itb09g13170.t3 pep chromosome:ASM357664v1:9:8522183:8527987:-1 gene:itb09g13170 transcript:itb09g13170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESMELGQTRSVNCGAKPGDIGTEVPQFGDEGFQGLMPVVVSEEAGSSFTALLELPAKQAVELLVHSSEAEGSPATKLPEIEPQRNYHQGFLPPPIFPSDTALVDRASKFSVFAGNSPETESIPSNSCSKPHFVKSEPADSDSNPNSSPSPAVSNPTVNQKSAKRKEREKKIKEPSKKSKKAANNTSEGDGEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQFLQRQVEFLSMRLATVNPRIDFNIDALFAAEVSMTKPLILNSYLTTVNSIYSADF >itb04g04700.t2 pep chromosome:ASM357664v1:4:2891344:2897208:1 gene:itb04g04700 transcript:itb04g04700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMQTRDTCTDIQARYCVMATHPSSSGWIQGKRNGQYIAESPAAIDMTTGLKALNAIAVPINLASKASLLRPFHLPHQLHHRFHFPILRSASPFFPLCSLPEKSSVKALPDGAGEAVSEASKNGLLQVVLVSPQIPGNVGSIARTCAASGVKLHLVEPLGFQIDDTKLKRAGLDYWPYVVVKVHQSWSEFQDYFSGQTGDKRLLAFTKRGTTIHSDFTYRRGDWLVFGSETKGLPPEILLDCKTQPHGGGTLRIPMVETYVRCLNLSDFTYRRGDWLVFGSETKGLPPEILLDCKTQPHGGGTLRIPMVETYVRCLNLSVSVGIALYEASRQINYEQLQSEQEPCTHISFTTEDIFA >itb04g04700.t1 pep chromosome:ASM357664v1:4:2892181:2896193:1 gene:itb04g04700 transcript:itb04g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLKALNAIAVPINLASKASLLRPFHLPHQLHHRFHFPILRSASPFFPLCSLPEKSSVKALPDGAGEAVSEASKNGLLQVVLVSPQIPGNVGSIARTCAASGVKLHLVEPLGFQIDDTKLKRAGLDYWPYVVVKVHQSWSEFQDYFSGQTGDKRLLAFTKRGTTIHSDFTYRRGDWLVFGSETKGLPPEILLDCKTQPHGGGTLRIPMVETYVRCLNLSVSVGIALYEASRQINYEQLQSEQEPCTHISFTTEDIFA >itb14g19850.t1 pep chromosome:ASM357664v1:14:22377619:22380872:1 gene:itb14g19850 transcript:itb14g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGQRTPSNPSAVLAALLSKRAKLHEELKSIEKQVYDMETSYLQDPSQCGNVLKGFEGFLSSSKSTTLLKRSRKFQPDDRLFSLSSVTSPAAEEVAVGRDGAGIPANGQGKPKKGRGGPREAKRLRHSSEPDYDYEDDLDMM >itb04g16230.t1 pep chromosome:ASM357664v1:4:17623443:17624137:1 gene:itb04g16230 transcript:itb04g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLELIAASAGTAACLVFLWLHRDDGRHDEDDPTAFIFSADDDNDGEKWPYCAVCLNNISGGERCRKLTECRHCFHVDCVDAWLQNHSTCPLCRTQVSGHVFRREYERGVLDFFVSWSEIILDKICNPLNQELSSILCEGMHGSRL >itb03g16530.t1 pep chromosome:ASM357664v1:3:15450654:15451917:1 gene:itb03g16530 transcript:itb03g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLNDWKDKNSELIYKEIVDKTYLDSLLALGDPIRQRKTCLSSVETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLQCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTNYTVACLCNDVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb06g20910.t1 pep chromosome:ASM357664v1:6:23693334:23694215:1 gene:itb06g20910 transcript:itb06g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLKLRFLMPSFQFCRSKKPSFLASIHMFSPINPKAFDTPYPGFPVPPPSTPTQYHEHLESPVHRFKNEQKPSHKTYNSPVSDFSDENMRPIARSNGKKKAKMGRDQRAKAKHRLSRSNNSSGESGWFSGEETESLFSSSPSFDSSLDLDYQTNGIATRKQKNGLKVRTLKHYLSGSFKDTENDCAVKKSVFERLMPCMVEGVVKDSFAVVKKTDDPYEDFKISMLEMIVEKQIFAVKDLEQLLLCFLSLNSQHHHAAIVEAFTEIWYELFTISPVPGTNWHGTHQHHKIQ >itb01g31650.t1 pep chromosome:ASM357664v1:1:35294164:35294863:-1 gene:itb01g31650 transcript:itb01g31650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVGRVRVATVYTFYLQSLPACLAIYGVFPQSFRKHLTISFQKFTNFFLKLQKLDALYQTGELLVKLLERSIRAKQSGRHCSEVIFPG >itb13g06270.t1 pep chromosome:ASM357664v1:13:7483662:7486278:-1 gene:itb13g06270 transcript:itb13g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDLRRRIPVSRIVRSRASHRSSLSPSPSPLGHRRSRPVPVPLPVRRRSSKQPLIRALKRCGSEPTLRPSGASAPDDGGWSLTPPQEEVLFRPQTCTDIFSTPDYFVKISPTNRHFPGYNKESKVVVNVTFEGCPGPVRTMVKLGTSVDETIRLAINKYSEEGRSPHLNTNGVSSFDLYHSYFSLQSLSKSELIGDVGSRSFYLRKSSSKNMMRGEVSTTTTPLNSDCDDVSNSLAEFFSRKINKMLRRSGKLCHFFGCFQCSG >itb02g08640.t1 pep chromosome:ASM357664v1:2:5482952:5488943:-1 gene:itb02g08640 transcript:itb02g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRDETGRAEQRHHTSAYWASNFVEKFGSVSLDPKEETLRNKELTENEVDNSPLSQTASQILWRTGTLSEPIPNGFYSVVPEKRLKELFEDIPTFDQLHALELEGLRADIVLVDAEKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDVYKRPNSELSPAKVALEETSHVSENRGIQMLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLPTGGASECTDSYKHMSVLVVLNSMELLVDLMRFPGQLIPQSAKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGVSERGDIESIEKDDAVQYQRRLEASSNAAGPSLRNMMFRSSTSIDRNLSSSHSEPNIATTFWRRGRSKVITEPRTASSSPEHPSFRARGRSMLSGDHKTFRGYTDDVATSRSEGASMSETRRLRRRSISITPEIGDDIVRAVRAMNETLKQSRQGENRPFPCASNDTDNASNLQKDASDFHSDDHDGMSGKRANLFAYSREHMSSQKAMSLPSSPHEFSSQTPQSSLALDHRANEEMVSTWNRVLESPMFQNKPLLPFEEWNIDFSELTVGIRVGIVILFLGACTKPPHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRKRLRMLRDICRGLMGIHRMKIVHRDIKSANCLVNKHWTVKICDFGLSRIVTDAPMQDSTSAGTPEWMAPELIRSEPFSEKCDIFSLGVVMWELCTLSRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLIADCWAEPQERPSCEEILTRLVDCEYSLC >itb15g12710.t1 pep chromosome:ASM357664v1:15:10584983:10587690:-1 gene:itb15g12710 transcript:itb15g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSQKNLPLREIPGGYGLPFFGAIKDRYDFFYNLGVDRYFRTRMEKYKSTVFRCNMPPGPFMAKNSKVVALVDAVSFPILFDVSKVEKRDIFQGTYMASTKFTGGHRVCSFLDPSEPKHATLKALFLRTLAKLHHRFLPLFSSVTDDIFAQIEGELGKKGESNFNNVNDVKAFEFLFRLYCNDVKPADTKLGANAGNLVTKWLLPQIAPVTSLGLKWLPGFIEDLFLHTFPIPFFLVKSHYDKMYDAFHNNLGPLLDDAEKAGLKRDEACHNFVFFVCFNSYAAFKFFLPELLKHVGSAGEALHRHLAEEIRRAVEREGGKITVNALNNMPLTESAIWEALRIEPPIRYQYAKAKEDIIIQSHDASYLVKKGETIFGFQPFATKDPKIFENPDKYIPDRFVGEGKRLIEYVYWSNGKGTDIPGANDKQCLGREMIILLSRLFLAELFLRYDTFVVEVSNYLFSYTVTFKSLTKASSST >itb09g21940.t1 pep chromosome:ASM357664v1:9:20203594:20204981:1 gene:itb09g21940 transcript:itb09g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSPVSVLEPLFPENDINPATTMCQPVELEIQPQKIDFEEPAATSLDQQLYTLTFLENEESAFEYVEAVLLGSGLNWDEFLLSWLSSDQILDPSLFDEVDLLSECSCRKLLFDCTNEVLTEACDRYFGCFPGTPFVKQNIRPVPKGMDLINEVWIGIEWYLLNTPPPHSLDQLVEKDMERPAGWMDLKSDVKDIGNTIEAAIFEELMEETLLSFANDTSEGNPIPLSESEIEISIN >itb13g23240.t1 pep chromosome:ASM357664v1:13:29311991:29315834:1 gene:itb13g23240 transcript:itb13g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIMSGRPSESYAVSILRSITPNLSITKHKGQAGKIAVIGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPIIKSYSPELIVHPILEESFNIRDEEKDLISTKVIGEVEKWMERFDCLVVGPGLGRDRFLLECVSIIMKHARECDVPMVIDGDGLFLVMNSLEIIAGYPLAVLTPNRNEYKRLIRTVLETEVDEQDSARQIQALATAIGNVTILRKGESDVISDGKTVCAVNIYGSPRRCGGQGDVLSGSVAVFLSWARHCAANGELTMNPIVSGCIAGSALVRKAAALAFEHKRRSTVTGDIIICLGKSLDDICPVR >itb05g14640.t1 pep chromosome:ASM357664v1:5:21871890:21872900:-1 gene:itb05g14640 transcript:itb05g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQWRVLFPILLLLIGSSPATGWRPWRNQNPNATDLILGGSKKYEGSSDLIHLKYHMGPVLTSNITVYPIWYGRWGSAQKRIIRDFITSLSTPAATPSLAAWWKTAQLYTDQTGARVSRHVRLGAEKNDRLCSHGKSLTRLSVQSVIKSALTAPTRPVPINPKGGVYLLLTSDDVYVQDFCNNVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGTCAYPFAVPDYIPGLKAVKPPNGDVGVDGMISVIGHEIAELSTNPLVNAWYAGQDPVFPVEIADLCEGIYGTGGGGSYTGQMLAGKDGATYNVNGVRRRFLVQWLWSHVLNYCTGPNALDQ >itb03g27900.t1 pep chromosome:ASM357664v1:3:28440725:28441726:1 gene:itb03g27900 transcript:itb03g27900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDRGYITPYFITNQKNINVINAIVKILELPMKRQRPFFIIAEDVDNNALATLMLDKLRVGIKVGENRKANLQDLATLTGGQAWSIRSLIKHLTSSNASHFKLLAYSYANESSY >itb03g15500.t1 pep chromosome:ASM357664v1:3:14830472:14831268:1 gene:itb03g15500 transcript:itb03g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWQAVMGSGQSSASTVDYDGVQFWSSPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKESNVTRGSRPRGVIPVATCLTVKGAEDVLNKQFAFELSTRADTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDNEILDYDSRK >itb03g19310.t1 pep chromosome:ASM357664v1:3:17399713:17409967:-1 gene:itb03g19310 transcript:itb03g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFYPCHPNGVSSSPQSWGNCYSSHPSTSVPHSPGNAPFSPSWNEGEDVFLAPSVEAKLQEICIKQRQERADTNARRCLASIGEQASLDVLEKISPCTIRTTLSRFILYMVKKYYPQQHSTSGSFSHSPQRYFSSPSSSCSSSNFTPRNVPSPSNAGDETFESKMSKPDILSPQSSARSFSHHPRILEFEERANALGVSYQLSALSELEFRKFFLILNYIGRNKLEDVVTPEAANDILYVGNQSMAAFESYMWTKFSHLCEDHERLRYIDWDSGRTHIYNCNVDSNEEYTFKGPYLISARTHLQQALGDENVLIVKFWDDAPTNARKIIENGLLVGLRRYRFFVFKDEGKEKKSNPKDGRATTSVKCYFVRMETLGHAQESFILHGKTVHDARCLFMHVHMVSSMAKYMARFSLILSKTVKLPIDLGPLSDVKILEIEDTYCRDEFGSIILDEDGAPLIQTDGTGFISEDLAKKCPKNFYKVKHLNDANSERLINGGKFGEEEYQNMEPPLIMQCRIYTKGRAVKGTLLVNRRLPESTIHIRPSMIKVGTDPELPCTQIFDSLEIVAISKKPKKAHLSKTLIALLSYGGVPREYFLDILKSALEETQRIYSDEILALKAACNYEDLDYGSIAKRTADPTEKGVLNRDEVCVILDYGQIVGEVLVYRNPGLHFGDIHKLNAVYVKEIDEIVGNAKYAIFFSTKGQRSVASEIANGDFDGDVYWVSLNCQLIENFGASSPWERFYSTPKPLMRKPNEISPEELEHTLFQMFLETRRPSYSMSTAADSWLALMDRLLVLGDNCESEMEAIMVKMLELIDLYYDALDAPKSGKKVNIPKDLKVYKLPHFMGKQRDAYHSTSVLGEIYDTVVSFETKAPPPVEITTLPCFDIKVPDECLRSWRKRYNEYRAEMTEALSSKGESQNDLANEVIKKYKRLLYGADELEESEKSMKDIYDNALAIYHVTYDHAMLFKDAKKCGFAWKVAGSALCKLHAELQAKENNGKAMIVSPVMLQKILHLKV >itb03g19310.t2 pep chromosome:ASM357664v1:3:17399713:17409967:-1 gene:itb03g19310 transcript:itb03g19310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFYPCHPNGVSSSPQSWGNCYSSHPSTSVPHSPGNAPFSPSWNEGEDVFLAPSVEAKLQEICIKQRQERADTNARRCLASIGEQASLDVLEKISPCTIRTTLSRFILYMVKKYYPQQHSTSGSFSHSPQRYFSSPSSSCSSSNFTPRNVPSPSNAGDETFESKMSKPDILSPQSSARSFSHHPRILEFEERANALGVSYQLSALSELEFRKFFLILNYIGRNKLEDVVTPEAANDILYVGNQSMAAFESYMWTKFSHLCEDHERLRYIDWDSGRTHIYNCNVDSNEEYTFKGPYLISARTHLQQALGDENVLIVKFWDDAPTNARKIIENGLLVGLRRYRFFVFKDEGKEKKSNPKDGRATTSVKCYFVRMETLGHAQESFILHGKTVHDARCLFMHVHMVSSMAKYMARFSLILSKTVKLPIDLGPLSDVKILEIEDTYCRDEFGSIILDEDGAPLIQTDGTGFISEDLAKKCPKNFYKVKHLNDANSERLINGGKFGEEEYQNMEPPLIMQCRIYTKGRAVKGTLLVNRRLPESTIHIRPSMIKVGTDPELPCTQIFDSLEIVAISKKPKKAHLSKTLIALLSYGGVPREYFLDILKSALEETQRIYSDEILALKAACNYEDLDYGSIAKSMILSGVPLSEPYLQCCISSATKAEKRLLKEGKLPISDSFYLIGTADPTEKGVLNRDEVCVILDYGQIVGEVLVYRNPGLHFGDIHKLNAVYVKEIDEIVGNAKYAIFFSTKGQRSVASEIANGDFDGDVYWVSLNCQLIENFGASSPWERFYSTPKPLMRKPNEISPEELEHTLFQMFLETRRPSYSMSTAADSWLALMDRLLVLGDNCESEMEAIMVKMLELIDLYYDALDAPKSGKKVNIPKDLKVYKLPHFMGKQRDAYHSTSVLGEIYDTVVSFETKAPPPVEITTLPCFDIKVPDECLRSWRKRYNEYRAEMTEALSSKGESQNDLANEVIKKYKRLLYGADELEESEKSMKDIYDNALAIYHVTYDHAMLFKDAKKCGFAWKVAGSALCKLHAELQAKENNGKAMIVSPVMLQKILHLKV >itb08g00480.t1 pep chromosome:ASM357664v1:8:393081:395253:1 gene:itb08g00480 transcript:itb08g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDACFNACYCCSRNPSKQHSTPHRPAVACCHEFAAATASSLVPANTQFTNHESLPPCAEMFSRFRHAYPHYYKTNLADEIRSREYYNLAGSNRVCLDYIGHGLFSYSQQQGNHHLTQDIASTSASPPLSAAAGLFFDILYKPVSLNSQLLYGGTESGLEACIRKRIIKYMNLSEDDYSVVFTANQSSAFRLLADAYPFQATNSLLTVYDYQNEAVEAMAESSKARGARVLSAEFSWPNLRVNSRKLRKMVVSKGTRKRGLFVFPLQSRVSGTRYSYQWMNLAHDNGWHVLLDASALGAKDMETLGLSLFQPHFLICSFFKVFGENPSGFCVLFVKKSIIPELEKSPTSIGIVSLVPPSPDKESDPAETSSSSSSSSPISVQENSKFLSTKQSGEDEEEKKQLSLWEFLKLEKVLESRRLKNANLSSEEIITECRGLDHADKLGLVVISNRARYLVNWLINALLSLRHPRSENGGVSLVQIYGTKVRFDRGPAIAFNVFDWKGQKIPPALAQKLADRHNISVSCAFLNHIGFSELYDEEKRKAAEIILVGDNKRGTSSSGISVITVSLGLLTNFEDMYRLWSFVSRFLDADFVEKERWRYMALNQTTLEL >itb15g09470.t1 pep chromosome:ASM357664v1:15:6753094:6755538:1 gene:itb15g09470 transcript:itb15g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSCLSSVLGIATLVLVWFSWRKQSKRLPPEASGAWPIVGHLHHFRTSVPLVKTLSEWADKYGPVFTIRLGISRILVVSSWEAVRDCFATNDKLLAARPTTCAGKYLGYDYAVFTFSTNNSYWRRVRKLVVVELLSSRRLEKLKHVWVSELQTNIKELYTSVSMDNNNHKDNNLSAPKVNMSRWFGHLTLNLIMQIVAGRRYEYRSDGVINEEALCLKNVFRQVMYLWGEFVSGDAIFPLWFFRWLDYEGHVKSMKKAAKEVDAILQDWVDVRRKEMRSNEDQKFIDVMLSMIDDQFTKGYNYSRDTVIKAIVLSMLQDASETFASHLTWILAVLLKHPESLKRVQEEIDTNIGKERWAEDHDIKNLPYLQAVVKETLRIYPPGPYLAPHEAVKDCIVDDYHIPKGTQLYVNVWRLHRDPKIWSDPEKFLPERFMTNLEGEAAQNRQYQFVPFGLGRRSCPGMLYATQITHVAVARLFQGFNFSTVPNVPLDMTEGGGITLPKLTPLEVLVTPRLTPALFGL >itb14g11050.t1 pep chromosome:ASM357664v1:14:12566641:12568031:-1 gene:itb14g11050 transcript:itb14g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIEVPKYFLCPISLQIMADPVTAVTGITYDRESIQQWLSRAEEATCPVTKQALPRDAELTPNHILRRLIQAWCIANTERGIDRIPTPKSPLLKSQVLKLIRETKKPPPTFMAALGKLDSLAKEDDDKNRKCMGEAGVVKAMVFLILRCFKDGRVEGVEEGFRVLCNVWLWSPTSVNKEVVEENHHDLIQAIFWVLESENIGNRAKTYAIMAMRKVSSSAEVTSSILLEKLTPENFRQLISLLRTKKISQQATKASLQVLIEASPWGRNKVKIIEANAISELLELELSNPEKRVTELTFQLLASLCSIADGRQELLKHAAAIAVISKRTLRVSAATDESAVQILAMIAKWSATREVVQEMLRVGAVSKLCMLLQAVCEVHLKKRAREILRLHSTAWSNSPCIQIYLLTMNAR >itb06g22510.t1 pep chromosome:ASM357664v1:6:24768180:24768965:1 gene:itb06g22510 transcript:itb06g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYQQRMLCCRNHHLIIFLLLLSVSSFIHFSSLAQGRDTPNLERTQRGNDGKEVKMRSLIGSRPPRCESICRNCGHCEAVQVPIDDPSESDPKHPQTRTHQFNAVPKVVAYSRGDGIDNYKPMSWKCKCGNHIFNP >itb09g15430.t4 pep chromosome:ASM357664v1:9:10682160:10683703:-1 gene:itb09g15430 transcript:itb09g15430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIMKRGHKKVPKPDAQDFGGYGAVSASVVVVNHASRGSSPGNSTPAPAPATGTFEALPLFRDVPVSQRQNLFSRKLQICCFQFDFTDVVKMIREKEMKRQCLVELVDFIQSGSGKISESNQEEMVKMISVNIFRCLPPASHENTGSENVDGEDDPYLEPSWPHLQIVYELLLRYVVSSDTDTKVAKQFIDHSFVLKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAMSNIFYQFIYETEKHSGIGELLEVLGSIINGFALPMKEEHKLFLVRALIPLHKPKTNAVYHQQLSYCVVQFMEKDFKLADAVIRGLLKYWPVTNCRKEVLFLGELEEVLEATQVSEFQRCMVPLFRQIARCLNSSHSQVKIIF >itb09g15430.t1 pep chromosome:ASM357664v1:9:10679388:10683703:-1 gene:itb09g15430 transcript:itb09g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIMKRGHKKVPKPDAQDFGGYGAVSASVVVVNHASRGSSPGNSTPAPAPATGTFEALPLFRDVPVSQRQNLFSRKLQICCFQFDFTDVVKMIREKEMKRQCLVELVDFIQSGSGKISESNQEEMVKMISVNIFRCLPPASHENTGSENVDGEDDPYLEPSWPHLQIVYELLLRYVVSSDTDTKVAKQFIDHSFVLKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAMSNIFYQFIYETEKHSGIGELLEVLGSIINGFALPMKEEHKLFLVRALIPLHKPKTNAVYHQQLSYCVVQFMEKDFKLADAVIRGLLKYWPVTNCRKEVLFLGELEEVLEATQVSEFQRCMVPLFRQIARCLNSSHSQVAERALFLWNNDHIVNLIAQNRNVILPIIFEAMEKNIQSHWNQAIQGLTGNVQKIFLEMDSDLFQECQRQYAENAACATEQEEQRELRWQRLVACS >itb09g15430.t3 pep chromosome:ASM357664v1:9:10679639:10683703:-1 gene:itb09g15430 transcript:itb09g15430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKEMKRQCLVELVDFIQSGSGKISESNQEEMVKMISVNIFRCLPPASHENTGSENVDGEDDPYLEPSWPHLQIVYELLLRYVVSSDTDTKVAKQFIDHSFVLKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAMSNIFYQFIYETEKHSGIGELLEVLGSIINGFALPMKEEHKLFLVRALIPLHKPKTNAVYHQQLSYCVVQFMEKDFKLADAVIRGLLKYWPVTNCRKEVLFLGELEEVLEATQVSEFQRCMVPLFRQIARCLNSSHSQVAERALFLWNNDHIVNLIAQNRNVILPIIFEAMEKNIQSHWNQAIQGLTGNVQKIFLEMDSDLFQECQRQYAENAACATEQEEQRELRWQRLVACS >itb09g15430.t2 pep chromosome:ASM357664v1:9:10679388:10683703:-1 gene:itb09g15430 transcript:itb09g15430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIMKRGHKKVPKPDAQDFGGYGAVSASVVVVNHASRGSSPGNSTPAPAPATGTFEALPLFRDVPVSQRQNLFSRKLQICCFQFDFTDVVKMIREKEMKRQCLVELVDFIQSGSGKISESNQEEMVKMISVNIFRCLPPASHENTGSENVDGEDDPYLEPSWPHLQIVYELLLRYVVSSDTDTKVAKQFIDHSFVLKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAMSNIFYQFIYETEKHSGIGELLEVLGSIINGFALPMKEEHKLFLVRALIPLHKPKTNAVYHQQLSYCVVQFMEKDFKLADAVIRGLLKYWPVTNCRKEVLFLGELEEVLEATQVSEFQRCMVPLFRQIARCLNSSHSQVAERALFLWNNDHIVNLIAQNRNVILPIIFEAMEKNIQSHWNQAIQGLTGNVQKIFLEMDSDLFQECQRQYAENAACATEQEEQRELRWQRLVACS >itb10g21030.t1 pep chromosome:ASM357664v1:10:26386114:26387703:1 gene:itb10g21030 transcript:itb10g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQKISVLDEHTSGTKPADSFVVDMERFSNNLLEKDLAANSRIKRSLSRKGSVRSSERKPNPNAANENPIIATSPRAAPSTPEKLTVVTMGATDNSASQPHNQITIMAGGATPAESKLGGRRFSFRRPSSSPSWTIDPRRILLFFATLSSMGTMLLIYFTLSMGNLSGDESVLN >itb10g21030.t2 pep chromosome:ASM357664v1:10:26386114:26387703:1 gene:itb10g21030 transcript:itb10g21030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQKISVLDEHTSGTKPADSFVVDMERFSNNLLEKDLAANSRIKLQRSLSRKGSVRSSERKPNPNAANENPIIATSPRAAPSTPEKLTVVTMGATDNSASQPHNQITIMAGGATPAESKLGGRRFSFRRPSSSPSWTIDPRRILLFFATLSSMGTMLLIYFTLSMGNLSGDESVLN >itb03g22260.t1 pep chromosome:ASM357664v1:3:20322444:20324838:-1 gene:itb03g22260 transcript:itb03g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYTPTYYSTLHDSITSLCKNMLPFSFKKRRVPAIAAAEQRLSKQQTDNLKWQQDSFHQILNLMGLCKEGILGESEVSAFRSHLLETLIAAPVDHEHPSILRDKLIFLQDLLYAKCISEEEYHSSKRPLLQRLAVQGGEIEARNVIVGSKKEISDEEWSVIDLKDDKEESMNSKPKSKQNAAMKQIKGAASALGFARSEKMKEENLKPFNPSQSTENPFWSESKSILMAESSGPEPSVKVEKQSGGEKPKRKPFRSLFQTEPSEEKEKSGKKQWGFDGFKKWKKSDSDDETAPLSLAEKSDGKETYPDQLLVTEPIGEGPDTKQIKKKLLPNGAPSDFFVDKVLGENIKKELLQIQTKLDEKNSSVQFTDDQIEAISTRLPVDKADLKKFFPKQWCDRYGDVVLDVVRKEFKQHVAEMGNSRGAKKWETFDDEEEDDENCHPNLFAHSKQGKYGMQTSTTTSIDKGFKYNPFFDV >itb04g16120.t1 pep chromosome:ASM357664v1:4:17396081:17397966:-1 gene:itb04g16120 transcript:itb04g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAMELEDDVFFADLSRQISLLIMDDEDVETSTTHCSSVSLQALAFSEVMHYPKIGASSQLKYEQMGRRESKGTGVFIPCSSYPTRRKNKQPKFSSSYNYKSQRSSSHNSPPLSNSSHNKNLHTDNSTPHRFPNSHSIIN >itb05g22830.t1 pep chromosome:ASM357664v1:5:28107933:28109227:1 gene:itb05g22830 transcript:itb05g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERSIAALGGGGISKMELTTIEAASASLDGSFIFHVVADIVGFVLFMHQQIPSVLQDLTFEFDELQDEFKDLETALAQEETRGPLRRKHAARKREVRMGIKRLEKLMNMVSSMKTALQLVITEIPCIESVLLVLGPSPLRPMHIYELHFSRRARVSSGDYTRTRVVETLCKKAIRELVSRGAGSSSYPGPTKLYLLVRAPSSLSLPLHFLPKRDFKCNKKVAPIKLQFRGRGNDGLGKTAYHDDDNQAENAISFSDSASDDIIWFQCRHVIKGLASRTSTEED >itb01g19290.t1 pep chromosome:ASM357664v1:1:25263442:25264487:-1 gene:itb01g19290 transcript:itb01g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQHGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGQDNTMGLENRAKLEARLRNLEGRELGRLSGSAKGKPKIEVYDKDRKKGPGALITPAKTYNPSTDSVLGHTEPTANKEDKMDLEKRLDEVAEAVEALANEESKKKKKKKKETAVEEDAGVGGGEPENEEVGRKEKKKKKHLAKGGEGQNSEENAEAGEKKKKKRKHAETEEEETESTKKKDKKKKKKKTAD >itb04g12940.t1 pep chromosome:ASM357664v1:4:12840463:12845497:1 gene:itb04g12940 transcript:itb04g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLKFVALMMVMVVTAAVAVNGDGEVSKTPPTVVKKVKGTKMCAKGWECNKLSKFCCNLTITDIFDTYQFENLFAKRNSPIAHAVGFWDYRSFILASADFQPLGFATTGGKKMQMKELSAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSQDYCDDFYKLTYPCAPGAQYYGRGALPLYWNFNYGAAGEGLKVDLLNHPEYVEQNATIAFQAAMWRWMTPMKKGQPSAHDAFVGNWKPTKNDTLEHRVPGFGTTMNILYGDLVCGQGDVDSMNNIISHYQYYLDLLGVGREEAGPHDVLTCAEQKPFNPSSTSSSSS >itb04g30120.t1 pep chromosome:ASM357664v1:4:33316055:33319399:-1 gene:itb04g30120 transcript:itb04g30120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MAVGVLALQGSFNEHIAVLKRLGVKGIEIRKAEQLQSVSSLIIPGGESTTMAKLAEFHNLFPALREFVKMGKPVWGTCAGLIFLADKAVGQKTGGQELIGGLNCTVHRNFFGSQIQSFETELAIPEVAAKEGGPPTFRAVFIRAPAILEVGPDVQILADVPASSVITESAESGQKVIVAVKQGNMLATAFHPELTADTRWHSYFLKMVPEFGGTSSMITTTGEGSSFYERPRIDLPVFQ >itb04g30120.t2 pep chromosome:ASM357664v1:4:33316779:33319399:-1 gene:itb04g30120 transcript:itb04g30120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MAVGVLALQGSFNEHIAVLKRLGVKGIEIRKAEQLQSVSSLIIPGGESTTMAKLAEFHNLFPALREFVKMGKPVWGTCAGLIFLADKAVGQKTGGQELIGGLNCTVHRNFFGSQIQSFETELAIPEVAAKEGGPPTFRAVFIRAPAILEVGPDVQILADVPASSVITVSSHSST >itb04g30120.t3 pep chromosome:ASM357664v1:4:33316275:33317762:-1 gene:itb04g30120 transcript:itb04g30120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MTHTSLFFVICLNSQKLEICFFLWHGWFLLNVTCWSLSLSFSGQKTGGQELIGGLNCTVHRNFFGSQIQSFETELAIPEVAAKEGGPPTFRAVFIRAPAILEVGPDVQILADVPASSVITESAESGQKVIVAVKQGNMLATAFHPELTADTRWHSYFLKMVPEFGGTSSMITTTGEGSSFYERPRIDLPVFQ >itb11g00450.t1 pep chromosome:ASM357664v1:11:200953:202143:-1 gene:itb11g00450 transcript:itb11g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPTKGSKEEREDRRTLGLLLLRGEEVISMTVEGPPPPDESRVKATSAANAVPGPGIGRAAGRGVPTGPMVQAQPGLAGPVRGVGGPAPGMMQPQISRPPVPQVSAPPINYPPQQPPMVRPPGGGPPMAPPPQAMMGRPGGPPMPPPQFRPGAPPAPGPFPPQFGQRPMMPPPPMMRGPPPPGAPPRPGMPGAPPAQPPRPGMPPPPPGGQVPVYGPPRPGMPPPQNQQ >itb12g13630.t1 pep chromosome:ASM357664v1:12:12602738:12603292:1 gene:itb12g13630 transcript:itb12g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDLWWNPNLPEIGGGKNAMEELEQPHNGKPHQFKKQSDELLQSYWSAIRNPEEKRSIDEGDDSLASLRCEPPPPTSESYSQKRNIPCN >itb03g18780.t2 pep chromosome:ASM357664v1:3:16995119:16998602:-1 gene:itb03g18780 transcript:itb03g18780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MCSLFCARSHRHLSFALSLKALSLPLHRLSSTVTHVSEEDKANDTAEKKPIFVHPSALVHPSAVLGEGVAIGPFCTIGASVTLGNACQLYSGSHIFGKTILGENCTLMTGAVVGDDLPGYTIIGSNNVIGHHAVVGVKCQDLKYKPGSECFLEVGDNNEIREHTSIHRSSKPCDKTVIGDNNLIMGSCHIAHDCKVGNNNILANNTLLAGHVVVEDYTHTAGATVVHQFCHIGSFSFIGGGSVVSQDVPKYTMVSGERAELRGLNLEGLRRRGFSVMEVCLN >itb03g18780.t3 pep chromosome:ASM357664v1:3:16995256:16998602:-1 gene:itb03g18780 transcript:itb03g18780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MCSLFCARSHRHLSFALSLKALSLPLHRLSSTVTHVSEEDKANDTAEKKPIFVHPSALVHPSAVLGEGVAIGPFCTIGASVTLGNACQLYSGSHIFGKTILGENCTLMTGAVVGDDLPGYTIIGSNNVIGHHAVVGVKCQDLKYKPGSECFLEVGDNNEIREHTSIHRSSKPCDKTVIGDNNLIMGSCHIAHDCKVGNNNILANNTLLAGHVVVEDYTHTAGATVVHQFCHIGSFSFIGGGSVVSQDVPKYTMVSGERAELRGLNLEGLRRRGFSVMEIRSLRSAYRKIFMTAGADSGSFEDRLAEVEQHEELILVPAVSSMVKSIRDSFVESRRGICKFRAWNET >itb03g18780.t1 pep chromosome:ASM357664v1:3:16995119:16998602:-1 gene:itb03g18780 transcript:itb03g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MCSLFCARSHRHLSFALSLKALSLPLHRLSSTVTHVSEEDKANDTAEKKPIFVHPSALVHPSAVLGEGVAIGPFCTIGASVTLGNACQLYSGSHIFGKTILGENCTLMTGAVVGDDLPGYTIIGSNNVIGHHAVVGVKCQDLKYKPGSECFLEVGDNNEIREHTSIHRSSKPCDKTVIGDNNLIMGSCHIAHDCKVGNNNILANNTLLAGHVVVEDYTHTAGATVVHQFCHIGSFSFIGGGSVVSQDVPKYTMVSGERAELRGLNLEGLRRRGFSVMEIRSLRSAYRKIFMTAGADSGSFEDRLAEVEQHEELILVPAVSSMVKSIRDSFVESRRGICKFRAWNET >itb03g18780.t4 pep chromosome:ASM357664v1:3:16995256:16998518:-1 gene:itb03g18780 transcript:itb03g18780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MTGAVVGDDLPGYTIIGSNNVIGHHAVVGVKCQDLKYKPGSECFLEVGDNNEIREHTSIHRSSKPCDKTVIGDNNLIMGSCHIAHDCKVGNNNILANNTLLAGHVVVEDYTHTAGATVVHQFCHIGSFSFIGGGSVVSQDVPKYTMVSGERAELRGLNLEGLRRRGFSVMEIRSLRSAYRKIFMTAGADSGSFEDRLAEVEQHEELILVPAVSSMVKSIRDSFVESRRGICKFRAWNET >itb08g06230.t1 pep chromosome:ASM357664v1:8:5318894:5322504:-1 gene:itb08g06230 transcript:itb08g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELWWSLLGAAVPAVLAGQAFRMKKRRDDEQRLKRARGREKNSDDIFVCERVCTSKRMLKKVGAFSKDPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSTSSLS >itb08g06230.t2 pep chromosome:ASM357664v1:8:5318894:5322504:-1 gene:itb08g06230 transcript:itb08g06230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVELWWSLLGAAVPAVLAGQAFRMKKRRDDEQRLKRARGREKNSDDIFVCERVCTSKRMLKKVGAFSKDPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSTSSLS >itb14g17630.t1 pep chromosome:ASM357664v1:14:20847447:20849861:-1 gene:itb14g17630 transcript:itb14g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRLQSVALLVVLFGTLAALSQAKKSQDLKEVTHKVYFDVEVDGKPSGRIVIGLFGKAVPKTAENFRALCTGEKGTGKSGKPLHYKGSVFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKIEAEGRQSGTPKSRVIIADSGELPL >itb01g20920.t1 pep chromosome:ASM357664v1:1:27103313:27107755:1 gene:itb01g20920 transcript:itb01g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABB1a [Source:Projected from Arabidopsis thaliana (AT4G17160) UniProtKB/TrEMBL;Acc:A0A178UXW9] MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIRTSSTIYKKIQDGVFDISNESYGIKVGYGGIPGPSGGRDGGAAQGGGCCS >itb13g02830.t1 pep chromosome:ASM357664v1:13:2746037:2748670:-1 gene:itb13g02830 transcript:itb13g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVALSSLMTTIELEFLHPNHRVSLVDDDEKPLKSVLEKLSSLEAFLKKEYSKGGAPTPDLQIKIRDFALKAEDAIEIQLTNILQQKADEGELQLHQTLQQVAKDADELLNIINNTEVEDIIAASGGSISLQCSPQFDEDIIMVGYVNCYFEFLRGELLGNKHFSDSTRMVFSIAGVPGIGKTTLCKKVYTDNAVVSHFDIQAWITIPPTYNGNVQQLLCHLLQSMSPTPLNHMLQGSTVSQLKDQLHKHLKCKRYFIVLDDVPNTLLWDDIHQCFPDDSNGSRILLTTLFTDVAKYISKHCDFFESIPYLTDYESWDLFTQRFSLKQHMAPKFEEIAKHLVEECRGLPRSIVTVADRLSKCNYTLKEWKKIEKELLSLGILHRDTKHSSKLTHIYSRLPQHLKVCFLYFVVFPKHSEINAKRLIKLWVAEGFVKPMRCLPLEDIGYMYLKALRSRGLVRTKDEYYFRPKTCEIHIDMHSFCVREAQKEGLLCAANTQQCIGWSSDILANSCRWLSLRSHSLDYHVLFSSNIPRSLFVFQGRFEKFIPFKHLRILDLSESLILKRVSLLPLRNLVFLRYLSIPQRFESFDEVVSSNSNLQTLIVSGIDESTLEARTLHLPSKIWELQHLRHLKLGDMYMINPPNMVKEHLQTLVCAMPIHFRKKEVYYCRFPSIRKLQVEYKDILVPGCSGGQCCRNPVIILENFEDLVRLETLTVMVPVGSITLLERLGFPAKLKELRLSGTNFPVKVLTVIGQLPKLKVLKLENAFYGRVWEVVEGGFPKLTKLEVESTSLERWVANTTHPFPNLEYIFLKRCYSLISLQNIPLLSAIMHRRLGSIKLEQCPHSVAISAERYKEEVWPRNSIWAPDVIVDGKAL >itb12g04720.t1 pep chromosome:ASM357664v1:12:3115959:3118008:-1 gene:itb12g04720 transcript:itb12g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESRRGPHADVFLTKIPAPPHRTVKKITLKASPPAEKVNCVLPPPLFTHQRSLLSSLAVNSAFYASLQPSLLSSLSLSSKILSKYSNYQYTTLTGAHKMVVSWGFPHHTQMASHRNRTPTFFSNGYAFAGATVLGILALWAFLSFLTPDSGSIYAGRKSAVVNGVEENQECPDGSQGFDRLHDPPEKNFYDDPKLSYTIDKPITNWDEKRRDWLEQHPSFIPGAATRILMVTGSQATPCRNPIGDHLLLRLFKNKVDYCRIHGYEIFYNNVLFQPVMFTFWAKVPTVRATMLAHPEAEWIWWVDSDAAITDMDFKLPLHRYKDHNLVVHGWLTLVEKSWTSVNAGVFLIRNCQWSMDFMDAWAKMGPQSPEYDYWGKTLHTTFSDKVTHEADDQSGLIYLILKEKQKWGNKIYVESEYYFEGYWLEIVGTLDNITDKYLGIEKQVPKLRRRHAEKVSESYAMAWEEHLKDAGFGRFSWRRPFITHFTGCQPCSGDHNQAYSGEVCGDSMHKALNFADNQVLRRYGFRHKDLLDTSSVVPLGFDFPA >itb13g04170.t1 pep chromosome:ASM357664v1:13:4675735:4677564:1 gene:itb13g04170 transcript:itb13g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKMTTAACCALQAPVDDGLRVGGGELWRCSEEARRRDDGGSGLLVLGRRWSFWRCNDVRRRRQPGSRIVAYFLSSFRRRWRLVASREALAALVSSLSLLPLTPMDSVRVASNDEGFVGSYYEATVVGQLTTSNVYIVQYKNLMTDDFSAPLTENITLAQIRP >itb15g13900.t1 pep chromosome:ASM357664v1:15:11961865:11965570:-1 gene:itb15g13900 transcript:itb15g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNARQPSAHLAISILAFSDSKSTRELSHRPQPSTPAVDRRVCPASPTSPPAVASAPASSKNCRSAVDRRVLVDVSPAVASAQPRRRLPRRHFRRLLSQSQPSAVASAQEAERGTVEIDERLELSQVKVRGFEHPRDCVFRLYGQIVFSIQSDYVFSIYGVAAALHRLAVDNLLHIININKDIVYMLDPLGHRIRSDDWKHVVDMAMKMFHAVGKGKKGRSKTSWEIVKAPCQPDSNQCGFYIMAYMRTLIEHMPDIDDKESIQALFQRADYNKTDIDLVRSEWADSVSSYIQ >itb15g12230.t1 pep chromosome:ASM357664v1:15:10172130:10179290:1 gene:itb15g12230 transcript:itb15g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKADIMAEGERSANEPVPDPNPCPICLGPVTQDSYLDQCFHKFCYNCILRWTKVVASKHSCRPTSVKCPLCKTESFSIVHGYDGSSFQRHYVGQDLGNSAFFTKLHKYRLQCYYTEAASLADKLKVMRYWKLHKYRQPAHQLYSWLTREIQALTQEEDVDIIVHHIFGVIESFKSLSSLILKASFMLDEFVRKMQAICIQHIC >itb15g12230.t3 pep chromosome:ASM357664v1:15:10172130:10176467:1 gene:itb15g12230 transcript:itb15g12230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKADIMAEGERSANEPVPDPNPCPICLGPVTQDSYLDQCFHKFCYNCILRWTKVVASKHSCRPTSVKCPLCKTESFSIVHGYDGSSFQRHYVGQDLGNSAFFTKLHKYRLQCYYTEAASLADKLKVMRYWKLHKYRQPAHQLYSWLTREIQALTQVYMSNSLSPPCYCVLFFTTDCLCNFFSVTLALCILFVHVNHSILHIMGSADQDGVSQLALHFLSSLRSPNFSFSFEKI >itb15g12230.t2 pep chromosome:ASM357664v1:15:10172130:10179290:1 gene:itb15g12230 transcript:itb15g12230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKADIMAEGERSANEPVPDPNPCPICLGPVTQDSYLDQCFHKFCYNCILRWTKVVASKHSCRPTSVKCPLCKTESFSIVHGYDGSSFQRHYVGQDLGNSAFFTKLHKYRLQCYYTEAASLADKLKVMRYWKLHKYRQPAHQLYSWLTREIQALTQEEDVDIIVHHIFGVIESFKRNEQSHIQMSPEANQEEFRVLVSQAARPFLTGRTDRFVSEVELFLASELTMDAFDKVYVQHLGWKFPEITKDGEEGEVGSYSTPYLYFFDEDCDENE >itb09g14620.t3 pep chromosome:ASM357664v1:9:9850325:9855287:1 gene:itb09g14620 transcript:itb09g14620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKKAEPSKMDKWLSLFFPILLTAFLLQFSDASPEYSRPHPRRLIFTSHDRPESDPQQVHISLAGKDHMRVSWVTSDKHGESVVEYGKAPGRYDKSATGDRTSYRYFFYSSGEIHHVTIGPLEPRTTYFYRCGGSGPEFSFRTPPSTFPVEFAVAGDLGQTEWTNSTLDHVAASDYDVFLLPGDLSYADTQQPLWDSFGRLVEPYASSRPWMVTEGNHEIEIFPIIYPHGFKAYNSRWRMPYQESGSTSNLYYSFDVAATHVIMLGSYADFDSQSDQYKWLQADLADVDRSRTPWIFVLLHAPWYNSNSAHKGEGESMRKSMEELLYNARVDAVFAGHVHAYERFVSTINSNQILYLIST >itb09g14620.t1 pep chromosome:ASM357664v1:9:9850325:9855287:1 gene:itb09g14620 transcript:itb09g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKKAEPSKMDKWLSLFFPILLTAFLLQFSDASPEYSRPHPRRLIFTSHDRPESDPQQVHISLAGKDHMRVSWVTSDKHGESVVEYGKAPGRYDKSATGDRTSYRYFFYSSGEIHHVTIGPLEPRTTYFYRCGGSGPEFSFRTPPSTFPVEFAVAGDLGQTEWTNSTLDHVAASDYDVFLLPGDLSYADTQQPLWDSFGRLVEPYASSRPWMVTEGNHEIEIFPIIYPHGFKAYNSRWRMPYQESGSTSNLYYSFDVAATHVIMLGSYADFDSQSDQYKWLQADLADVDRSRTPWIFVLLHAPWYNSNSAHKGEGESMRKSMEELLYNARVDAVFAGHVHAYERFTRVFNNEADPCGPVYVTIGDGGNREGLAMTFESPTPSISMFREPSFGHGRLKVYNQTHAHWSWHRNDDTNAVTADEMWIQSLSTSKSCIPGAVLGNSVKDEL >itb09g14620.t4 pep chromosome:ASM357664v1:9:9850325:9855287:1 gene:itb09g14620 transcript:itb09g14620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKKAEPSKMDKWLSLFFPILLTAFLLQFSDASPEYSRPHPRRLIFTSHDRPESDPQQVHISLAGKDHMRVSWVTSDKHGESVVEYGKAPGRYDKSATGDRTSYRYFFYSSGEIHHVTIGPLEPRTTYFYRCGGSGPEFSFRTPPSTFPVEFAVAGDLGQTEWTNSTLDHVAASDYDVFLLPGDLSYADTQQPLWDSFGRLVEPYASSRPWMVTEGNHEIEIFPIIYPHGFKAYNSRWRMPYQESGSTSNLYYSFDVAATHVIMLGSYADFDSQSDQYKWLQADLADVDRSRTPWIFVLLHAPWYNSNSAHKGEGESMRKSMEELLYNARVDAVFAGHVHAYERFVSTINSNQILYLIST >itb09g14620.t2 pep chromosome:ASM357664v1:9:9850325:9855052:1 gene:itb09g14620 transcript:itb09g14620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKKAEPSKMDKWLSLFFPILLTAFLLQFSDASPEYSRPHPRRLIFTSHDRPESDPQQVHISLAGKDHMRVSWVTSDKHGESVVEYGKAPGRYDKSATGDRTSYRYFFYSSGEIHHVTIGPLEPRTTYFYRCGGSGPEFSFRTPPSTFPVEFAVAGDLGQTEWTNSTLDHVAASDYDVFLLPGDLSYADTQQPLWDSFGRLVEPYASSRPWMVTEGNHEIEIFPIIYPHGFKAYNSRWRMPYQESGSTSNLYYSFDVAATHVIMLGSYADFDSQSDQYKWLQADLADVDRSRTPWIFVLLHAPWYNSNSAHKGEGESMRKSMEELLYNARVDAVFAGHVHAYERFTRVFNNEADPCGPVYVTIGDGGNREGLAMTYVSNIFMTREC >itb13g27000.t1 pep chromosome:ASM357664v1:13:32059735:32061525:1 gene:itb13g27000 transcript:itb13g27000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPVKMCSFNVFSSVAKCKDVTDYKVRPRCHVMLSEEEQSRRQLLVRCGVIPFVSFLTVNCRLALLPAWAGDQANAEEEKDEGVVGALKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLKEDPNDIAKFRRTADAAKESIREYLGGWRGDKTVVKEQSYVMLEKAIRSLAGFYSKAGPSAPLPEDIKTEILDDLNKAEEVL >itb10g02450.t1 pep chromosome:ASM357664v1:10:2060749:2062166:-1 gene:itb10g02450 transcript:itb10g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFDFRLAVLAALFLFGAWASQAAAEYNFRPERPIKPPRKQFGNDNSEVETKTETPEVNSSPAPPTKTTPPAPDQSSTPILESVDRIANRAEMSDSIVQPHSQNCGESKRYMGGWSPLVIGAVLFYLLQPGLIFQWPGNNRKLEFKTEKTNGKAMFIHTMIFIVIYVIIIALSHGKI >itb14g02820.t1 pep chromosome:ASM357664v1:14:2414575:2418279:-1 gene:itb14g02820 transcript:itb14g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRWSRAVTRLSRINAQKYMERGNFCALWSRGHSKFAAVAAESTQKSCDTKTEVNLNKMFWSKPSSLALPPGSPQRIEEPQYEGIKHFILKLMLFYSKQSTAIRGANAMYCRITRQVDRHAIYDVFSLEKTFRTTFSLLVLHMWLYLRRLKEEGKDGSELGQYLYEIYNHDLELRVSKAGVNLLLSKWMKELEKIFYGNIVAYDAAILPEAKQDELPNVIWRNVFSDDDTLTPDGPALLPVQAMSRYVRREAKCLSLTDKAAVFSGNFMFTSLEGIKS >itb14g02820.t3 pep chromosome:ASM357664v1:14:2414575:2418279:-1 gene:itb14g02820 transcript:itb14g02820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRWSRAVTRLSRINAQKYMERGNFCALWSRGHSKFAAVAAESTQKSCDTKTEVNLNKMFWSKPSSLALPPGSPQRIEEPQYEGIKHFILKLMLFYSKQSTAIRGANAMYCRITRQVDRHAIYDVFSLEKTFRTTFSLLVLHMWLYLRRLKEEGKDGSELGQYLYEIYNHDLELRVSKAGVNLLLSKWMKELEKIFYGNIVAYDAAILPEAKQDELPNVIWS >itb14g02820.t2 pep chromosome:ASM357664v1:14:2414575:2418279:-1 gene:itb14g02820 transcript:itb14g02820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRWSRAVTRLSRINAQKYMERGNFCALWSRGHSKFAAVAAESTQKSCDTKTEVNLNKMFWSKPSSLALPPGSPQRIEEPQYEGIKHFILKLMLFYSKQSTAIRGANAMYCRITRQVDRHAIYDVFSLEKTFRTTFSLLVLHMWLYLRRLKEEGKDGSELGQYLYEIYNHDLELRVSKAGVNLLLSKWMKELEKIFYGNIVAYDAAILPEAKQDELPNVIWS >itb01g32440.t2 pep chromosome:ASM357664v1:1:35935805:35938924:1 gene:itb01g32440 transcript:itb01g32440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEIFRPNLSSLSLLCFFTLFFFVATSDELQSLLALKASFQNAGTSVFDNWNSSTPPCKFAGITCNSDGSVREIELSGQGLFGSIPFDSICSLGSLEKLALGYNSLSGRISDDLNKCVGLKYLDLGNNLFSGSIPDMSALSELTHLYVNRSGFSGKFPWNSLENVTKLEVLSIGDNPFEKTLFPEVITKLTRLNWLYLSNCSLEGKIPEGIGNLTELIDLELSMNYLSGGIPAGISNLRKLWQLELYSNYLTGKLPAGFGNLTNLEFLDASTNYLYGDLSEIRHLTQLVSLQLLENHFSGEVPAELGEFKKLVNLSLYTNNLSGQLPSKLGSWAEFDFIDVSENLFTGPIPAEMCKQGKMRELLILQNNFTGEIPNNYVNCKTLRRFRVSKNSLSGKIPSGIWALPNADIIDISNNGFEGSISSDIGRAKSLSQLCLQNNKLSGDLPPEISGASSLHSIDLSNNKFSGEIPATIGELKHLDSLYLQNNNFIGSIPETLGSCVELSDITIAKNSLTGPIPATLGFLPTLTSLNLSDNHLSGQIPGTLSSLKLNLLDLSNNQLSGPIPDSLSIAAYNGSFAGNHDLCSKNIKNFRRCSKEFDKSYVFHTILLCLMAACITILISLGCFVYLKKKKSNPSENHELSLKKAYWNVKSFHILSFGEDEILDAIKTDNLIGKGGSGNVYRVVVGNGTELAVKHIWNSDVNGRRNPATTTPILRKRAAKSKEFEAEVQTLSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHTCKKMALDWETRYDIAVGAAKGLEYLHHGCDRPVIHRDIKSSNILLDEFLKPRIADFGLAKLVRSDVIHDSTHVIAGTHGYIAPGKCQIQLIKCLVHFFKNIFE >itb01g32440.t1 pep chromosome:ASM357664v1:1:35935805:35939411:1 gene:itb01g32440 transcript:itb01g32440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEIFRPNLSSLSLLCFFTLFFFVATSDELQSLLALKASFQNAGTSVFDNWNSSTPPCKFAGITCNSDGSVREIELSGQGLFGSIPFDSICSLGSLEKLALGYNSLSGRISDDLNKCVGLKYLDLGNNLFSGSIPDMSALSELTHLYVNRSGFSGKFPWNSLENVTKLEVLSIGDNPFEKTLFPEVITKLTRLNWLYLSNCSLEGKIPEGIGNLTELIDLELSMNYLSGGIPAGISNLRKLWQLELYSNYLTGKLPAGFGNLTNLEFLDASTNYLYGDLSEIRHLTQLVSLQLLENHFSGEVPAELGEFKKLVNLSLYTNNLSGQLPSKLGSWAEFDFIDVSENLFTGPIPAEMCKQGKMRELLILQNNFTGEIPNNYVNCKTLRRFRVSKNSLSGKIPSGIWALPNADIIDISNNGFEGSISSDIGRAKSLSQLCLQNNKLSGDLPPEISGASSLHSIDLSNNKFSGEIPATIGELKHLDSLYLQNNNFIGSIPETLGSCVELSDITIAKNSLTGPIPATLGFLPTLTSLNLSDNHLSGQIPGTLSSLKLNLLDLSNNQLSGPIPDSLSIAAYNGSFAGNHDLCSKNIKNFRRCSKEFDKSYVFHTILLCLMAACITILISLGCFVYLKKKKSNPSENHELSLKKAYWNVKSFHILSFGEDEILDAIKTDNLIGKGGSGNVYRVVVGNGTELAVKHIWNSDVNGRRNPATTTPILRKRAAKSKEFEAEVQTLSSIRHVNVVKLYCSITSEDSSLLVYEYLPNGSLWDRLHTCKKMALDWETRYDIAVGAAKGLEYLHHGCDRPVIHRDIKSSNILLDEFLKPRIADFGLAKLVRSDVIHDSTHVIAGTHGYIAPEYGYTNKVNEKSDVYSFGVVLMELVLGKRPTEAEYGENNDIVSWVSSKLYSKESVLNLVDSEIRQPYKEDAIEVLRIAVMCTARLPSLRPSMRNVVKMLEDAQPCNLLGIVVCKQEC >itb10g12450.t1 pep chromosome:ASM357664v1:10:18501658:18503792:1 gene:itb10g12450 transcript:itb10g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKQTKRTAPKSDDVYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPLSLSKLISYMCGKDDKIAVLVGTITDDVRAYEVPALKVCALRFTKTARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGKRNSRGYKV >itb15g18650.t1 pep chromosome:ASM357664v1:15:20389907:20393333:-1 gene:itb15g18650 transcript:itb15g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNVVVMFVDFPFWVLHLSTLTSVYARADPPLSSMSAPISSPLYASASATYSPGIEIVSSKHMDPNRKLFIALIAASVVLLGAIILVMGCLWRIYQCKKGVEETIHTSDDIVKGKPPFPSSASAPYVNCSKEKSCVKKCVSLMEYETLESATNNFQETEILGKGGFSCVYKGKLEDNLFVAVKKLQEGVTQDDAIQEFETEVELLSKIQHPNVISLFGYSIRADTRLIVYELMENGSLEDQLHGPSRGSALTWHLRMKIALGIASGLEYLHECCNPPIIHRDLKSSNILLDPNFNAKLSDFGLAVPDGAQNKNDAKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRAMEKVAQGQCQSIVSWAMPELTDRSKLPNIVDSVIRNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIELGGTLRASATAQN >itb06g02130.t4 pep chromosome:ASM357664v1:6:3574705:3581643:1 gene:itb06g02130 transcript:itb06g02130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKVLVFLDICIDGDPFERMVFELFTDVAPKTAENFRALCTGEKGTSPKTGAPLHYKGTFFHHILKGSMAQAGDLLREDGNFGESIYGGKFPDESPKLKHDSPGLLSMAIADRDIRGSLFMITFNALHHLDRKSVVFGKLVHGHEVLKKIENVGNEVGKPDVTVKIVNSGELHEGRRKGKHKKSSKERRRKRRRYYTSDSDSSTDSETESSESDSDSDSYISSSTDTSSSRDDRRRKRKRSKREKYRREKRRDRRRERRRKKRDKKLKRRPRSSSDSLSEGDSGSSSEEGDAKTHEPDGKQTNLGKIPDGNQSHHVEEREAVPVHQTKGEAMGSREREEDEFPKENGGHKNNDLMQNKSDKSPDRQPDVVDNSRSKSRSRSLSPKRTLNKSMSISPRSFSRNQSDSPRRSVSRSASVNASPRRVSDRSSSPVKRGNRSPTRSVSGSPATGKRGRSVSESPSPVTNFHKGRNRSPSPRRLNGSPPRTSSKKSWKSASRSPVRSSRIPSRSPVRSSRRSLSKSPVRSSRRSMSRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSVSRSSGRVPSRKGPSRSPVRAPYRRSLRSHSRSPGSTGRRERSPISDRGRSSSKSPSVDGSPKRIRRGRGFSEQYSFVRRYRSRSPVRSYRYGRSDRDRYFRVLSSADLFHIPLFMY >itb06g02130.t1 pep chromosome:ASM357664v1:6:3574705:3581643:1 gene:itb06g02130 transcript:itb06g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKVLVFLDICIDGDPFERMVFELFTDVAPKTAENFRALCTGEKGTSPKTGAPLHYKGTFFHHILKGSMAQAGDLLREDGNFGESIYGGKFPDESPKLKHDSPGLLSMAIADRDIRGSLFMITFNALHHLDRKSVVFGKLVHGHEVLKKIENVGNEVGKPDVTVKIVNSGELHEGRRKGKHKKSSKERRRKRRRYYTSDSDSSTDSETESSESDSDSDSYISSSTDTSSSRDDRRRKRKRSKREKYRREKRRDRRRERRRKKRDKKLKRRPRSSSDSLSEGDSGSSSEEGDAKTHEPDGKQTNLGKIPDGNQSHHVEEREAVPVHQTKGEAMGSREREEDEFPKENGGHKNNDLMQNKSDKSPDRQPDVVDNSRSKSRSRSLSPKRTLNKSMSISPRSFSRNQSDSPRRSVSRSASVNASPRRVSDRSSSPVKRGNRSPTRSVSGSPATGKRGRSVSESPSPVTNFHKGRNRSPSPRRLNGSPPRTSSKKSWKSASRSPVRSSRIPSRSPVRSSRRSLSKSPVRSSRRSMSRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSVSRSSGRVPSRKGPSRSPVRAPYRRSLRSHSRSPGSTGRRERSPISDRGRSSSKSPSVDGSPKRIRRGRGFSEQYSFVRRYRSRSPVRSYRYGRSDRDRYSSYRRSPRRYRSPPRGRTPPRYRNGRSRSRSISRSPIRYRGRRYSRSPIRSRSPTGRFRASPRAERRRSPRSRSRSRSISKSRSSSGSQTPRQRSKEKSTSRSRSPPAKGGLVSYGNGSPDSSRD >itb06g02130.t3 pep chromosome:ASM357664v1:6:3576570:3581643:1 gene:itb06g02130 transcript:itb06g02130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADRDIRGSLFMITFNALHHLDRKSVVFGKLVHGHEVLKKIENVGNEVGKPDVTVKIVNSGELHEGRRKGKHKKSSKERRRKRRRYYTSDSDSSTDSETESSESDSDSDSYISSSTDTSSSRDDRRRKRKRSKREKYRREKRRDRRRERRRKKRDKKLKRRPRSSSDSLSEGDSGSSSEEGDAKTHEPDGKQTNLGKIPDGNQSHHVEEREAVPVHQTKGEAMGSREREEDEFPKENGGHKNNDLMQNKSDKSPDRQPDVVDNSRSKSRSRSLSPKRTLNKSMSISPRSFSRNQSDSPRRSVSRSASVNASPRRVSDRSSSPVKRGNRSPTRSVSGSPATGKRGRSVSESPSPVTNFHKGRNRSPSPRRLNGSPPRTSSKKSWKSASRSPVRSSRIPSRSPVRSSRRSLSKSPVRSSRRSMSRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSVSRSSGRVPSRKGPSRSPVRAPYRRSLRSHSRSPGSTGRRERSPISDRGRSSSKSPSVDGSPKRIRRGRGFSEQYSFVRRYRSRSPVRSYRYGRSDRDRYSSYRRSPRRYRSPPRGRTPPRYRNGRSRSRSISRSPIRYRGRRYSRSPIRSRSPTGRFRASPRAERRRSPRSRSRSRSISKSRSSSGSQTPRQRSKEKSTSRSRSPPAKGGLVSYGNGSPDSSRD >itb06g02130.t2 pep chromosome:ASM357664v1:6:3576570:3581643:1 gene:itb06g02130 transcript:itb06g02130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASMLLDICFLSYNDESPKLKHDSPGLLSMAIADRDIRGSLFMITFNALHHLDRKSVVFGKLVHGHEVLKKIENVGNEVGKPDVTVKIVNSGELHEGRRKGKHKKSSKERRRKRRRYYTSDSDSSTDSETESSESDSDSDSYISSSTDTSSSRDDRRRKRKRSKREKYRREKRRDRRRERRRKKRDKKLKRRPRSSSDSLSEGDSGSSSEEGDAKTHEPDGKQTNLGKIPDGNQSHHVEEREAVPVHQTKGEAMGSREREEDEFPKENGGHKNNDLMQNKSDKSPDRQPDVVDNSRSKSRSRSLSPKRTLNKSMSISPRSFSRNQSDSPRRSVSRSASVNASPRRVSDRSSSPVKRGNRSPTRSVSGSPATGKRGRSVSESPSPVTNFHKGRNRSPSPRRLNGSPPRTSSKKSWKSASRSPVRSSRIPSRSPVRSSRRSLSKSPVRSSRRSMSRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSVSRSSGRVPSRKGPSRSPVRAPYRRSLRSHSRSPGSTGRRERSPISDRGRSSSKSPSVDGSPKRIRRGRGFSEQYSFVRRYRSRSPVRSYRYGRSDRDRYSSYRRSPRRYRSPPRGRTPPRYRNGRSRSRSISRSPIRYRGRRYSRSPIRSRSPTGRFRASPRAERRRSPRSRSRSRSISKSRSSSGSQTPRQRSKEKSTSRSRSPPAKGGLVSYGNGSPDSSRD >itb14g14740.t1 pep chromosome:ASM357664v1:14:17648833:17650314:-1 gene:itb14g14740 transcript:itb14g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAFAIILFLLAIIFLVIRSVSKLKPLCKCEICKTYLTSSWAMEHSNLSDWYSCLLNKSPTGTIHIHVLGNVITANPANVEYMLKTKFENFPKGKQFASILGDLLGRGIFAVDGDLWKFQRKMASREYGSVSICTYAFEIVAAEVRTRLFPLLSFYGEKQEVLDFQDVLRRFSFDNICKFSFGMDPGCLSLSLPASKFAAAFDLASKLSAERAMTASPAVWKVKRLLNIGSEKMLGEAIGLINELAEEMIEQKRKCSGGDASDQSDLLSRFMGIIDDDKLLRDIVISFLLAGRDTIASALTSFFWLLSRHPEVTDRIRAESGRMMEPDQDLPSYQQIRDMNYLTAAIHESMRLFPPVQFDSKFCLDDDTMPDGTFVRKGTRVTYHPYAMGRMDSIWGLDCREFKPERWLEDGVFKSENLFKYPVFQAGPRVCLGKDMALVEMKSVAVALIRAFDIEVACEPCHTPRYAPGLTATVRGGLPVRITDRRPRKD >itb01g06020.t1 pep chromosome:ASM357664v1:1:4230152:4234882:1 gene:itb01g06020 transcript:itb01g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSSSSCFFPLRNGIICLSLALLLSRGVLIHVSGCYNSIISFGDSLADTGNLFHMSESGDGVPSSVPPYGETFFRHPTGRFSDGRLIIDFIALSLGLPLVQPYFSGKGMGERNFLQGVNFAVAGATAVDFSFYHERGIFSGVTNVSLGDELRWFKEMLPSFCGPTSGKPPQSRSATLAEVSLRLGSALGLCWHWVEARVRPSEGFLRWSEYVAFVVVQGSCNSSPSSLR >itb04g02560.t1 pep chromosome:ASM357664v1:4:1542655:1545406:1 gene:itb04g02560 transcript:itb04g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMPFPFEFERKGVIELGNRNHRNCVSGNHCWDIKDCVVGSPGGSNSEPTSVLDRPLASSSTLSSSCGGGGGGETDAARVAAVSGNPASKWQQDNTTATSSNAGGGESELLQPVPPSLDFGGGGAAAGAAVGGGETAEKCGMEEWDSVLSESPGQEPLLRWIMGDVDDPSMANLNKVLQVGGGGAAAEYDFNGGFGVVDHGFGPVDPVSSSGESFLPSIPISGSNFPANRLPNPPASLPGFKFSAPPPLFPPVSNNLGAAAFNPALLEPSDLKPQIFNPGNPHFLINQPPQNPSFLMPLPFSRPELAPPQAKRHNPGGNLESPGPQIPRGLFSDQQTPSPHHVLPHQLQLLPNYPQRPKPPEMAGEEMGHFHQNQQTMIDQLFKTAELVQSGNPILAQGILARLNHHLSPIGKPFQRAAFYCKESLQLLLQHATNNNMNPPPSSSSVPFSLIFKIGAYKSFSEISPVSPFANFTCNQALLEALEGFDRIRIVDFDIGYGGQWASLMQELALRSGGSPSLKITVLASPAMHDQLELGLTRENLIHFASEINMAFEFEVLSIDSLNSTSWSLPLHVSDNEAIAVNLPVGCFTTYQLSHPLVLRFVKQLMPKIVVSVDRVCDRTDLLFPNHVIHALQYYANLLESLDAVNVNFDALQKIERFLLHPGIEKIIMGRYRSPEKTQHWRTLFLSSGFSPLTFSNFTESQAECVVKRTPVRGFHVEKRQSSLVLCWQRKELISVSAWRC >itb01g30790.t1 pep chromosome:ASM357664v1:1:34672135:34673128:1 gene:itb01g30790 transcript:itb01g30790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTRLCSLARELTSTVFSAWLLHIFKIVLLASIVAMSACGRVMTDLKYNAMIRKRTSRFVYRRRRRFSRRAAAEVGALECAICITEFVDGEVGTELESCGHKFHAGCIERWLVHGKGHASCPLCRVPVVQDGVVEEHRIAKSEGRLISNVFEVELGLLLLPMGLRWGSCCRRSNVDCSPVTSSRVW >itb12g16190.t1 pep chromosome:ASM357664v1:12:16642093:16643280:-1 gene:itb12g16190 transcript:itb12g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPLGARRSRDSREGKITHSLLHLARDDKERASSIDEQRIDGALGIALFFSSFLSASSDPFVRNFFVCTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFALCRSKMMNEVVALHSPPMRKDAAEKNGTLFRSVGCVGSRELFTLKFKHVGAKCYPALLLRSNRSLLMLLRRRFFAFSSLWTGALVDTGREQATKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQDQDLILAWILTCRWFLTVGILPGSWWAYHELGRGGWWFRDPVENASFVSRVLATARIHSVILPLLHSWTSFLNIVTFPCCVSGTFSIRSGLLAPVHSFATDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRRTYKKEMVVARSTLVLLRHSAHAQARPLMLWKN >itb13g22830.t1 pep chromosome:ASM357664v1:13:29032378:29033094:1 gene:itb13g22830 transcript:itb13g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPSPNPSPPAPPLHQMDVDEDDDTVVDSAKMAQHPSPNPTPPAPPLHQIDADEDDDTVKQLQECSAVYLALQDCLVESNRNWKSCQQKVQALKACNEKRTNRKGS >itb13g22830.t2 pep chromosome:ASM357664v1:13:29032378:29033094:1 gene:itb13g22830 transcript:itb13g22830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPSPNPSPPAPPLHQMDVDEDDDTVVDSAKMAQHPSPNPTPPAPPLHQIDADEDDDTVKQLQECSAVYLALQDCLVESNRNWKSCQQSNFFKIITFSSNL >itb12g00670.t1 pep chromosome:ASM357664v1:12:490672:493765:-1 gene:itb12g00670 transcript:itb12g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAVSTLPLAAPKLQPFTPKSTISPPFRVTASLQPSPNATAYSKRQILQLGVGLFAASMVASTPLEADATRIEYYATTADPPCDFTFAPSGLGYCDITVGSGQEAPYNTLINIHYTARFSDGVVFDSSYKRGRPLTMRLGMGKVIKGLDQGILGGEGVPPMQIGGKRKLQIPPHLAYGPEAAGCFQGDCNIPPNATLTYDINFVEVYKGNRK >itb12g00670.t2 pep chromosome:ASM357664v1:12:490672:493765:-1 gene:itb12g00670 transcript:itb12g00670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAVSTLPLAAPKLQPFTPKSTISPPFRVTASLQPSPNATAYSKRQILQLGVGLFAASMVASTPLEADATRIEYYATTADPPCDFTFAPSGLGYCDITVGSGQEAPYNTLINIHYTARFSDGVVFDSSYKRGRPLTMRLGMGKVIKGLDQGILGGEGVPPMQIGGKRKLQIPPHLAYGPEAAGCFQGDCNIPPNATLTYDINFVEVYKGNRK >itb01g16560.t1 pep chromosome:ASM357664v1:1:20936211:20938286:-1 gene:itb01g16560 transcript:itb01g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQKKLKGLEETRELWLPHSLLIEVLWRLPSKTLAWVRFVSKEWKNIVSDRTFIKLQLKPREQLSGFFSQGRYEWSDGDVKSVSYISVDRDFTKVEKGVLNFLPENVVILSSSNGLICCRSSFPCSEPVLYVCNPLNREWITLQWPNLPKDSSTALIFEPFKSQIDVSTDFQVVTVCQTYIEEDEDEDEDGVQFSFNIYSSQTKVWTKSTEICVCGHNLQKKGCAFVEGVIFWLTNGDHILMFDPEKELSCLVMQPFPASQLNFRPGMCVGEAEGRLQYVLFSEDGLQLWELEDMFSSHWSVKHFISLEELERENPKCMYHISEKLESHLTTDTFPWINPLSFKDTTLLLRISTDIYAFNFDTKKAKLLCPYSALGPNSMFSPIVIPYTMSLVPIG >itb15g20490.t4 pep chromosome:ASM357664v1:15:23164219:23166433:-1 gene:itb15g20490 transcript:itb15g20490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEHPNPTHFLCRRLPRPLTSLIVFLCFAASASPLHFLRRLWLGFDALIVSLYITASPVLLPRRLRLSALNAFEFEAPTLSIPVRQPIVQDYSLANFSLQATGYTLRGPNVGRQSRATTSRHTLCLITVKAEAEVVPLCWSFLNPILNSIAMPISVSSSGGIST >itb15g20490.t5 pep chromosome:ASM357664v1:15:23165706:23166433:-1 gene:itb15g20490 transcript:itb15g20490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEHPNPTHFLCRRLPRPLTSLIVFLCFAASASPLHFLRRLWLGFDALIVSLYITASPVLLPRRLRLSALNAFEFEAPTLSIPVRQPIVQDYSLANFSLQATGYTLRGPNVGRQSRATTSRHTLW >itb15g20490.t3 pep chromosome:ASM357664v1:15:23164993:23166433:-1 gene:itb15g20490 transcript:itb15g20490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEHPNPTHFLCRRLPRPLTSLIVFLCFAASASPLHFLRRLWLGFDALIVSLYITASPVLLPRRLRLSALNAFEFEAPTLSIPVRQPIVQDYSLANFSLQATGYTLRGPNVGRQSRATTSRHTLCYKSCLLSLDNEEMKE >itb15g20490.t1 pep chromosome:ASM357664v1:15:23164219:23166433:-1 gene:itb15g20490 transcript:itb15g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEHPNPTHFLCRRLPRPLTSLIVFLCFAASASPLHFLRRLWLGFDALIVSLYITASPVLLPRRLRLSALNAFEFEAPTLSIPVRQPIVQDYSLANFSLQATGYTLRGPNVGRQSRATTSRHTLCYKSCLLSLDNEEMKE >itb15g20490.t2 pep chromosome:ASM357664v1:15:23164219:23166433:-1 gene:itb15g20490 transcript:itb15g20490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPEHPNPTHFLCRRLPRPLTSLIVFLCFAASASPLHFLRRLWLGFDALIVSLYITASPVLLPRRLRLSALNAFEFEAPTLSIPVRQPIVQDYSLANFSLQATGYTLRGPNVGRQSRATTSRHTLWFLIMYWRLKRRLGTINWRDC >itb06g25420.t1 pep chromosome:ASM357664v1:6:26488424:26489694:1 gene:itb06g25420 transcript:itb06g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNTTHRRSLAVAMDPTQKRQQKQPKSSTTTTTHPHPHPDPTLASSATIQCISKHFSNLYSNHKRLSASSKGSVDAHLDSSCPALTKSKSQHARNLHTEGAPIKKSHKDSNFIIKRSNDEIKKASKKEEADLDVKRAYGSLSGSEEVKKIQKQVVKYEEVKRASVSLEMGGGRRRSFSSSQIELADFFSCNSVKVVSVDMPPFMQIHAVDCARKVYDSLEKFTSKALALSLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFIYFSMDHKLYVLLFKTTVQRAD >itb10g19800.t1 pep chromosome:ASM357664v1:10:25498192:25500723:1 gene:itb10g19800 transcript:itb10g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb11g10680.t1 pep chromosome:ASM357664v1:11:7627796:7633704:-1 gene:itb11g10680 transcript:itb11g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILQSRGLLSLPSKPKIRAFCGPVPQGGLRHRFNGPNGLKAKPFDGLSISAGGLQKFQAFVAKPSLVAQRGRCFPVCRAEAAAAASADGQPLLGGEKASESPKFMGVEVDTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKQALFYTVILPFIAFFGAFGFVLYPLSNYFHPTALADKLLNVLGPRFLGPIAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKSLGPGVDGWAISLRGMMTIVVLMGLAICSIYWWVNHNVSLPTRSQKKKEKPNMGTMESLKFLASSKYIRNLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFSMMLVSQWIFDKYGWGVAAKITPSVLLLTGVGFFSLILFGAPLTPTLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLSAAKSLDVQFTALRREEELEKEMERAAALKIPVVSEGGNGSASSVNPVEGGSTSASAEPYPRRNV >itb11g10680.t2 pep chromosome:ASM357664v1:11:7629533:7633663:-1 gene:itb11g10680 transcript:itb11g10680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILQSRGLLSLPSKPKIRAFCGPVPQGGLRHRFNGPNGLKAKPFDGLSISAGGLQKFQAFVAKPSLVAQRGRCFPVCRAEAAAAASADGQPLLGGEKASESPKFMGVEVDTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKQALFYTVILPFIAFFGAFGFVLYPLSNYFHPTALADKLLNVLGPRFLGPIAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKSLGPGVDGWAISLRGMMTIVVLMGLAICSIYWWVNHNVSLPTRSQKKKEKPNMGTMESLKFLASSKYIRNLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFSMMLVSQWIFDKYGWGVAAKITPSVLLLTGVGFFSLILFGAPLTPTLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLSAAKSLDVQFTALRREEELEKEMERAAALKIPVVSEGGNGSASSVNPVEGGSTSASAEPYPRRNV >itb06g24320.t1 pep chromosome:ASM357664v1:6:25940617:25943050:-1 gene:itb06g24320 transcript:itb06g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYYATQQDFHHTHVHYAHTISEAGRLLPSAGRWTAIDLDFNLLPRSAPGFDSLPSSFSKSIDFNLKITNKQHFKRFLYIAAFLVLIIPALVLLLHFFPHKKHHKNSPKNLTLALNQALLFFDAQKSGPYRTNDLIKFRGDSGMKDGSSSDKHPDLVGGFYDSGKNIKLSSTTAYSVALLSWTVIEYHQKYADLGELDHVKDIIKWGSDYLLKLYVPPNITSRSSAILYSQVGGNNTNSENDITCWQRPEDMTYTRQVSVCDLSASDLAGEIVAGMSAASIVFREDKTYSQKLVQAAESLFTMTISTAKKSMYTTINRCGGNARPFYNSTSYKDELVWGGTWLFFATGNETYLKYATENLNAAEEEEVVSEKGVFYWNNKLTANMILLTRIRFFRDLGYPFENAFVSSTNRTDLLMCSYTSNQKFSKTEGGLILLNPDNGAPLQYAVTASFLSKLYSDYLELLHRFHGSCNSAVFSLQNLRDFSISQVNYILGDNPGKMSYVVGYGERYPLQVHHRAASIRWDNRRYICSEGEQWLESKEANPNTLVGAMVAGPDKSERFWDERKQPWFTEPSISSNAGLVAALIALHDPPSNSHSSGSNGVNLGLDKLGLFDKVH >itb01g00930.t1 pep chromosome:ASM357664v1:1:441135:441836:-1 gene:itb01g00930 transcript:itb01g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKDIEHNTLPAQLTFLPEIKIEKVAKERICLEKQRRLRKVTTKPRTTRVSESYPSPNGKRKRSKNEGAAENNKRKRGNKIQEPPPELPEKIKNLISHLPETREVSRAKLVIQKPLRETDVSNHHNRMSIPAKHIRETFLTEEEELKLCMRDEKNVESMDVPLITPTMEMAKVSLRRWPMNKQSGPPSISYVLTSTWNKIKEQNGLKSGMKVQLWAIRIDGVLCFALNSLV >itb09g17550.t1 pep chromosome:ASM357664v1:9:12857370:12859655:-1 gene:itb09g17550 transcript:itb09g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDQPHCLTVSQKVAGKLLVRSSTHVPDLQTFRDGFYQPFLNSKFKYGNYTNSMLQPRNLSMAPSYASPVFVQAPSEKGFVGFAIDFMMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIMDCFSRTVRDEGIMSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFRKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKGGGARQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFTQILKKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQMIVFGKKYGSGGA >itb05g20200.t1 pep chromosome:ASM357664v1:5:26385894:26387098:-1 gene:itb05g20200 transcript:itb05g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTTMEYRMKALALVLFILFLFFISPASVESFNGVNSINFLRKNVKYGVEESRGRRKMVVEIEAILDYDNAGPNTKHDPKGKKTGGGGGSGGGKNP >itb04g20810.t1 pep chromosome:ASM357664v1:4:25795063:25796489:1 gene:itb04g20810 transcript:itb04g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLFQGIYGYPIEIQALFFMALRSALGMLKQDDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFVGNVSPAMMDFRWFALGNCVAILSSLATPEQASAVMDLIEARWEELVGDMPLKITYPALENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLVAACIKTGRPQIARRAIDLAESRLLKDSWPEYYDGKLGRFIGKQARKYQTWSIAGYLVAKMLLEDPSHLGMISLEEDKQMQPVLKRSSSWTC >itb01g20780.t1 pep chromosome:ASM357664v1:1:26977102:26977407:-1 gene:itb01g20780 transcript:itb01g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPDAAPTGGKIEASGYSTRSDELKCRPTYVAREISIGLSYLLSATSPVRNSACRPPTATPNRVVAASNQQRRDEATSTGNHNLSSHGRTKSRTVVGIA >itb03g04070.t2 pep chromosome:ASM357664v1:3:2512607:2514937:-1 gene:itb03g04070 transcript:itb03g04070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSMGLRAFMESHLPALKEVNPHLEVVTELNRGQHPFLKGLYKNKNERVVSVKNLAPDEILSQATRLRNSLGRKVVKLRTIHVTKHPSVQGTWSTKLKV >itb03g04070.t1 pep chromosome:ASM357664v1:3:2512607:2515369:-1 gene:itb03g04070 transcript:itb03g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSMGLRAFMESHLPALKEVNPHLEVVTELNRGQHPFLKGLYKNKNERVVSVKNLAPDEILSQATRLRNSLGRKVVKLRTIHVTKHPSVQGTWSTKLKV >itb11g22170.t1 pep chromosome:ASM357664v1:11:23999569:24002189:1 gene:itb11g22170 transcript:itb11g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKKVYKDPSIISHFYKQAWVTVSQEYTVGQMLRCLIGCVSASSDEQSSNDPGRLAETLRKRLKDQRYLIVIDDIWSKKAWESVQRCFPNDNNGSRILLTSRLREVAEYAASSGNSIINMPFLDANESWNLYCSVFGKTKFLLVFEQIGRDIVKKCKGLPLAITLVASLLSKTDEKVEKWKSVAESVIGDSNEACSSVLSLSYNQLPHPSKACFLYFGVFPEDYEIPVKKLVKLWAAEGFFGAVNNKNTEEMAMECLQDLVDRSFVLVGKQSYNGKIKTIRMHDLLRDLCLREARCENLLNVIGNDYHCAVAGLVKLPFYKRKSEHLFSKACPWISIKPGCYNIQIRSKCFDKFHSLHSVDHIDFNIKVFLSDDGYDVGVA >itb09g10330.t1 pep chromosome:ASM357664v1:9:6421233:6427019:-1 gene:itb09g10330 transcript:itb09g10330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKRSAVGGDAAASELEGSSSSTPYSLNKRLKSDCHIGGGGEESSVEMGGVEVNGNDAASNGRRTENGKSPIDGSRNSPDIDEDLHSRQLAVYGRETMRRLFGSNVLISGMKGLGVEIAKNLILAGVKSVTLHDEGNVELWALSSNFVFSEDDVGKNRALASVQKLQELNNSVIISTLTNELSKEQLSDFQAAVFTDIRLEKAMEFDDYCHNHQPPIAFIKTEVCGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASISNDNPALVACVDDERLEFQDGDLIVFSEVRGMTELNDGKPRKIKNARPYSFTIEEDTTKYTAYEGGGIVTQVKEPKVLKFKPLREALKDPGDFLLSDFSKFERPPVLHLAFQALDKFILELGRFPISGSEEDAQKLIQLFTELNNSLADAKLEEVDHKLLRSFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSLESLPAEPLDTSDLKPLNSRYDAQISVFGSKLQKKLEDAKVFVVGSGALGCEFLKNIALMGVSCGEKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAASLINPLLHIEALQNRASPDTENVFDDTFWENLNVVINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLTNPIEYTSGMKSAGDAQSRDTLERVLECLEKERCDTFQDCIAWARLKFEDYFVNRVKQLAFTFPEDAMTSSGAPFWSAPKRFPRPLQFSTNDSSHLNFVMAASILRAVTFGIPIPDWIKSEQKLADAIDRVIVPDFEPKKDVKIETDEKATSISISSIDDAAVINELVRNLELCREKLPAGYKMNPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKPKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGQHKVEDYRNTFANLALPLFSIAEPVPPKVIKHQDISWTVWDRWILRDNPTLKELIKWLQDKGLNAYSISYGSCLLYNSMFPRHKERIDRKMMDLARDVAKAEFPPYRRHFDVVVACEDDDDNDVDIPQISIYFR >itb09g10330.t4 pep chromosome:ASM357664v1:9:6421236:6426890:-1 gene:itb09g10330 transcript:itb09g10330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDESISSDRFMLPLKRSAVGGDAAASELEGSSSSTPYSLNKRLKSDCHIGGGGEESSVEMGGVEVNGNDAASNGRRTENGKSPIDGSRNSPDIDEDLHSRQLAVYGRETMRRLFGSNVLISGMKGLGVEIAKNLILAGVKSVTLHDEGNVELWALSSNFVFSEDDVGKNRALASVQKLQELNNSVIISTLTNELSKEQLSDFQAAVFTDIRLEKAMEFDDYCHNHQPPIAFIKTEVCGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASISNDNPALVACVDDERLEFQDGDLIVFSEVRGMTELNDGKPRKIKNARPYSFTIEEDTTKYTAYEGGGIVTQVKEPKVLKFKPLREALKDPGDFLLSDFSKFERPPVLHLAFQALDKFILELGRFPISGSEEDAQKLIQLFTELNNSLADAKLEEVDHKLLRSFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSLESLPAEPLDTSDLKPLNSRYDAQISVFGSKLQKKLEDAKVFVVGSGALGCEFLKNIALMGVSCGEKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAASLINPLLHIEALQNRASPDTENVFDDTFWENLNVVINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLTNPIEYTSGMKSAGDAQSRDTLERVLECLEKERCDTFQDCIAWARLKFEDYFVNRVKQLAFTFPEDAMTSSGAPFWSAPKRFPRPLQFSTNDSSHLNFVMAASILRAVTFGIPIPDWIKSEQKLADAIDRVIVPDFEPKKDVKIETDEKATSISISSIDDAAVINELVRNLELCREKLPAGYKMNPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKPKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGQHKVEDYRNTFANLALPLFSIAEPVPPKVIKHQDISWTVWDRWILRDNPTLKELIKWLQDKGLNAYSISYGSCLLYNSMFPRHKERIDRKMMDLARDVAKAEFPPYRRHFDVVVACEDDDDNDVDIPQISIYFR >itb09g10330.t2 pep chromosome:ASM357664v1:9:6421233:6427019:-1 gene:itb09g10330 transcript:itb09g10330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKRSAVGGDAAASELEGSSSSTPYSLNKRLKSDCHIGGGGEESSVEMGGVEVNGNDAASNGRRTENGKSPIDGSRNSPDIDEDLHSRQLAVYGRETMRRLFGSNVLISGMKGLGVEIAKNLILAGVKSVTLHDEGNVELWALSSNFVFSEDDVGKNRALASVQKLQELNNSVIISTLTNELSKEQLSDFQAAVFTDIRLEKAMEFDDYCHNHQPPIAFIKTEVCGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASISNDNPALVACVDDERLEFQDGDLIVFSEVRGMTELNDGKPRKIKNARPYSFTIEEDTTKYTAYEGGGIVTQVKEPKVLKFKPLREALKDPGDFLLSDFSKFERPPVLHLAFQALDKFILELGRFPISGSEEDAQKLIQLFTELNNSLADAKLEEVDHKLLRSFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSLESLPAEPLDTSDLKPLNSRYDAQISVFGSKLQKKLEDAKVFVVGSGALGCEFLKNIALMGVSCGEKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAASLINPLLHIEALQNRASPDTENVFDDTFWENLNVVINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLTNPIEYTSGMKSAGDAQSRDTLERVLECLEKERCDTFQDCIAWARLKFEDYFVNRVKQLAFTFPEDAMTSSGAPFWSAPKRFPRPLQFSTNDSSHLNFVMAASILRAVTFGIPIPDWIKSEQKLADAIDRVIVPDFEPKKDVKIETDEKATSISISSIDDAAVINELVRNLELCREKLPAGYKMNPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKPKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGQHKVEDYRNTFANLALPLFSIAEPVPPKVIKHQDISWTVWDRWILRDNPTLKELIKWLQDKGLNAYSISYGSCLLYNSMFPRHKERIDRKMMDLARDVAKAEFPPYRRHFDVVVACEDDDDNDVDIPQISIYFR >itb09g10330.t3 pep chromosome:ASM357664v1:9:6421398:6427013:-1 gene:itb09g10330 transcript:itb09g10330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDESISSDRFMLPLKRSAVGGDAAASELEGSSSSTPYSLNKRLKSDCHIGGGGEESSVEMGGVEVNGNDAASNGRRTENGKSPIDGSRNSPDIDEDLHSRQLAVYGRETMRRLFGSNVLISGMKGLGVEIAKNLILAGVKSVTLHDEGNVELWALSSNFVFSEDDVGKNRALASVQKLQELNNSVIISTLTNELSKEQLSDFQAAVFTDIRLEKAMEFDDYCHNHQPPIAFIKTEVCGLFGSVFCDFGPEFTVLDVDGEDPRTGIIASISNDNPALVACVDDERLEFQDGDLIVFSEVRGMTELNDGKPRKIKNARPYSFTIEEDTTKYTAYEGGGIVTQVKEPKVLKFKPLREALKDPGDFLLSDFSKFERPPVLHLAFQALDKFILELGRFPISGSEEDAQKLIQLFTELNNSLADAKLEEVDHKLLRSFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSLESLPAEPLDTSDLKPLNSRYDAQISVFGSKLQKKLEDAKVFVVGSGALGCEFLKNIALMGVSCGEKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAASLINPLLHIEALQNRASPDTENVFDDTFWENLNVVINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLTNPIEYTSGMKSAGDAQSRDTLERVLECLEKERCDTFQDCIAWARLKFEDYFVNRVKQLAFTFPEDAMTSSGAPFWSAPKRFPRPLQFSTNDSSHLNFVMAASILRAVTFGIPIPDWIKSEQKLADAIDRVIVPDFEPKKDVKIETDEKATSISISSIDDAAVINELVRNLELCREKLPAGYKMNPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKPKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGQHKVEDYRNTFANLALPLFSIAEPVPPKVIKHQDISWTVWDRWILRDNPTLKELIKWLQDKGLNAYSISYGSCLLYNSMFPRHKERIDRKMMDLARDVAKAEFPPYRRHFDVVVACEDDDDNDVDIPQISIYFR >itb01g22990.t2 pep chromosome:ASM357664v1:1:29096952:29100968:1 gene:itb01g22990 transcript:itb01g22990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLIQRLESAVARLEALHTGGFGHGTSREVDVTAAASDPSIVAFEDLISQCVGRLLSAAEKIGGQVLDATKVVKDAFSAQKELLIKIKGTQKPDMKGLSEFLKPLNEVIMRGSSLTEGRRSDFFNHLKAATDSLTALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKILVEYRSKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWNATGKTFVSAPAKAPGATPAPPAPPPASLFKTESPKSSSSRPKQGMAAVFEEISSGKSITSGLRKVTNDMKTKNRADRSGIVGASEKEGRVSSPSFSKAGPPKLELQMGRKWVVENQIGKKNLVIDDCDAKQSVYIFGCKDSVLQIQGKVNNITIDKCTKMGVVFSDVVAAFEVVNCSGVEVQCQGVAPTISVDNTSGCLLYLSKGSLEASITTAKSSEVNILVPGAGPDADWEEHALPQQYVHAYKDGQFITSPATHSGG >itb01g22990.t1 pep chromosome:ASM357664v1:1:29096952:29100968:1 gene:itb01g22990 transcript:itb01g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLIQRLESAVARLEALHTGGFGHGTSREVDVTAAASDPSIVAFEDLISQCVGRLLSAAEKIGGQVLDATKVVKDAFSAQKELLIKIKGTQKPDMKGLSEFLKPLNEVIMRGSSLTEGRRSDFFNHLKAATDSLTALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKILVEYRSKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWNATGKTFVSAPAKAPGATPAPPAPPPASLFKTESPKSSSSRPKQGMAAVFEEISSGKSITSGLRKVTNDMKTKNRADRSGIVGASEKEGRVSSPSFSKAGPPKLELQMGRKWVVENQIGKKNLVIDDCDAKQSVYIFGCKDSVLQIQGKVNNITIDKCTKMGVVFSDVVAAFEVVNCSGVEVQCQGVAPTISVDNTSGCLLYLSKGSLEASITTAKSSEVNILVPGAGPDADWEEHALPQQYVHAYKDGQFITSPATHSGG >itb09g01430.t1 pep chromosome:ASM357664v1:9:887162:889570:1 gene:itb09g01430 transcript:itb09g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGDGPLTAAALNQRRHEFSRIFQYYLDKTTPHALYRWIGTFALACLYALRVYYAQGFYIVSYGLGIYLLNLLIGFLSPLTDPELEPSDGPTLPTKGSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSLFDVPVFWPILLIYWIVLFVLTMKRQIMHMIKYRYIPFNTGKQKYGGGKRAASGASSSRAD >itb14g04490.t1 pep chromosome:ASM357664v1:14:4027639:4033702:-1 gene:itb14g04490 transcript:itb14g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMCVEKALPFVLMVFVILSQVTNLIVSKMAMSRGLSSFILALYGDLLATLISLPFAFIFSRSNNFPVTFAVLCKIFLLALVGCVGQICEFAGTKYGSPTLATAMLNLVPGFTFVLALILRMETVNWRSSSSHAKTIGTLVSIAGAFVVTFYNGPPILNKPSSLPTLLFLFTQQSWILGAFLLAILALSTAIWYTFQAAILKVYPAKMIVCCFYCLFLTIQSALVSLLTVRDWSAWKIHRDITLFAVLFSGCTTAIRLYLISWCIGKEGPLFVSMFKPLAIVVSAVVGVIWFSDTLYLGSIIGGVIIVVGFYAVMWGKSKENITKVETLRNSASSSQNAPLLQVHTQN >itb08g01270.t1 pep chromosome:ASM357664v1:8:954200:954715:-1 gene:itb08g01270 transcript:itb08g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLFPWTAVDSTPLSRGYVNDDGWRVLVSPASGGISAAARKEEQVDRVRRRWFFFFRFGGSRTGALRRGDAAAAMSLRRCCFCSTATRSRMPAADLLSRRREMADVGWVSGVAAFSHPSGDGGGWWHRSEDGGERATSWLLSSSRWCSGLLLLHFSPGGTASSKAALWF >itb02g03010.t1 pep chromosome:ASM357664v1:2:1727250:1731000:1 gene:itb02g03010 transcript:itb02g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSILSFLLFLLLLLHLFGLPFLIPWCSNAEEDFASSGNSSVIFQVGVVLDLDSDLARRGMTCLHMAISDFYSVHSKYKTRVNLHVRDSKQTVIDAAAASLHLLKDVKVDAIIGPQKSAQASFVSNLGDRAHVPIISFSATNPSLHNRSPYFIQTAQSDDMEVAAVADIVKAFKWNQVVIICEDSEYGNGIVHDLSNALQRINVRVSGISVIPLSATDDFISKELYQLMVSQTRVFVVHMSNSLGAKLFSEAKKIGMMSDGYAWIITSGLTDLFYLMNSDVRKAMQGVLGVKPLIPKTKRLEPFVAGLKREFLKDSYDFKEAEVMSIFGIWAYDTMWALAMAAERLGTKEPPMVSNNPVGLNSSDPFHIDISSTGPKLHKAILNTNFEGLAGNFSLKDRRLKPSSYQILNVVENGEKEVAIWNPNHGIISGINASLKDVVWPGESTLVPKGWEVPIVGKKLRVVVPVKGAFKDFVRVERDKELNQIHVSGYYIDVFKSVMSALPYAVPYDFVPFEKPDGSSAGNYNDLVEQVSLQNFDAAVGDITITALRSNFADFTLPFTEGGVLGIVPIAYEDVDSIWTFLKPLTKELWLTSIVFFIFTGMAVWILEHRLNSDFRGPPSQHVGMILYFSFSTLVFAHRERIVSNLARLVVVVWMFVILILSSTYTASLSSRLTIQRLEPAIKDVKQLIKNGDFVGCPEGSFIIDLLKEKGFQESKIKTYRYPEDSHDALSNGSKNGGISAFFDSAPYAKLFLSKYCTKYTIGPTYSTDGFAFAFPRGSPLVADVSRAVIELTENGKILEIGKQGLRNDAACTWSDSTQLGSTSVTLHCFKGLFAITGGITGSCLLVFLVSYVYQNRTCLQTILDSKTTLWSKIAAICRHFGQRETSAGPPRYLKEKIPDAVGGEVGFSSHISEVPDLSRISSPSSAVVPLNMEEQNNANVNMGLNTGPAA >itb09g02640.t1 pep chromosome:ASM357664v1:9:1498563:1505473:1 gene:itb09g02640 transcript:itb09g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCLDMGCIEKKRSYADQTLSHSESCSPTASVTATSKAGKNKAMKDGLRSSLVSLNKNASQIRKPPHRKTSPLSWFPRQKVDSYLKRKIKLLQEVDGMNSTLDETLGDSNPHYSRVLREKIAVREAAQKAMEARKAAMVEASWCRILKAARIDNKQAEEQLMKAEKTSDEAFKAATAIGVILYDIPDASPKHYNIETSSANGGGSTMHTVRTSFETAFEVDKQVASAVKAALINLANCPSINKDEFKELLRKISQNPDTDENYHEPSEIYSEYESDTASELEYGTQKDHDCHEDTHLEMEFAGGRQRKCKKQQVSDKFNMTNLVQMMMERLKCLQEDELASLATIVATCGLNAALAEAENQKHHKVEPASDNKSDLGLDLHGRLPSSGTVRAKDSNVDEASLPSLDKFLVKRLTRLEREVLEAKNAKRNLESEGLEQRSDKYEDERLPSGDSTNSSQNKLDLESSLLKPSSKFEREIEEAKKCSEPLIGSKSKASNSNAISSDIPDLGSVLVKHSSKLEKEIEKAKKNVKPYEPHGRAVGNKKQDVVDELPSLDKFLVKHVSRLEREVQEAKNRKNVSSGGQFTNLSGTTSSVTSTTDPEDNLVPSGGVAERIPSCFDGDKDDKSTVSDNSLDKILVKPIHRLERMKMQESSMGVPRSQRKHGADAAANCDGLDKILVKHVSRLEKEKMAAAKAAAEKENLPSVYKVTRKEMVNNEGSLDQVLVRHKSRLEKEKIAAAQQPDDQIRHSVSRREAREKELQQAWGGMSLGNSMRPHLSRLQRDKAAWLQAEEEERRKVSDDM >itb09g02640.t2 pep chromosome:ASM357664v1:9:1498563:1502619:1 gene:itb09g02640 transcript:itb09g02640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCLDMGCIEKKRSYADQTLSHSESCSPTASVTATSKAGKNKAMKDGLRSSLVSLNKNASQIRKPPHRKTSPLSWFPRQKVDSYLKRKIKLLQEVDGMNSTLDETLGDSNPHYSRVLREKIAVREAAQKAMEARKAAMVEASWCRILKAARIDNKQAEEQLMKAEKTSDEAFKAATAIGVILYDIPDASPKHYNIETSSANGGGSTMHTVRTSFETAFEVDKQVASAVKAALINLANCPSINKDEFKELLRKISQNPDTDENYHEPSEIYSEYESDTASELEYGTQKDHDCHEDTHLEMEFAGGRQRKCKKQQVSDKFNMTNLVQMMMERLKCLQEDELASLATIVATCGLNAALAEAENQKHHKVEPASDNKSDLGLDLHGRLPSSGTVRAKDSNVDEASLPSLDKFLVKRLTRLEREVLEAKNAKRNLESEGLEQRSDKYEDERLPSGDSTNSSQNKLDLESSLLKPSSKFEREIEEAKKCSEPLIGSKSKASNSNAISSDIPDLGSVLVKHSSKLEKEIEKAKKNVKPYEPHGRAVGNKKQDVVDELPSLDKFLVKHVSRLEREVQEAKNRKNVSSGGQFTNLSGTTSSVTSTTDPEDNLVPSGGVAERIPSCFDGDKDDKSTVSDNSLDKILVKPIHRLERMKMQESSMGVPRSQRKHGADAAANCDGLDKILVKHVSRLEKEKMAAAKAAAEKENLPSVYKVTRKEMVNNEGSLDQVLVRHKSRLEKEKIAAAQQPDDQIRHSVSRREAREKELQQAWGGMSLGNSMRPHLSRLQRDKASSSFLSSPPPHFI >itb10g17010.t1 pep chromosome:ASM357664v1:10:23280176:23284234:-1 gene:itb10g17010 transcript:itb10g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQVEVASSSSSTFGCVMRNHSRRDRCSSGGSNSFPDNLKDLVHSCISVEPRKSNAAEDSDENHVNPTVDLTDLWVHKPQYHTGNNNNNNNGAEENSKSPKKSSGSGKSGSENWERARKKVLSGRKEDEDGLEAPRVVSSIVKRWKDFEAEKKTPQPANSSSTCSTRTNSATTLIPESVEILPAPASSVACDESSDGTDTPTNDDSSCVDWESDRRVMSAPPSIRGRDSDATESERVRVIDIIKKLTSSGGEESHDREHSASAAALSESVPPLPRVKTSAAEHGCFCPLVPSPRKIRGRRAFNDLLMQMERERVRELEGLSGRKAVSKFQQKGRIQAMLKLKLLRRETEVKDSAPTNCMSPQSIKLTQSSIMHLREKFKTDSKPTCSSKEAAAASDSHSPETCRPGIPTCKRKKDTKEKEKKEKKENGSTTSKQLQEENHHPRREAATELQSSQCKDIIKSDNQIKVCQNCQQTDSNVSPNQISKKPSKTERLQVVIPKLTEPAETTKPSGCSKSEETGKEQRNSVEWTNNDWEENDWGWIRDFSQHTHNGWDQLRLHFQPHLHQQDCQKRVCCNEEASQEWINDVSRPRSEWEDLRQARYQEMLDPYFNNDLQELLQRKSVSNFLLGGLRNKIDQLMISRSQKQPPTEDHEIEKQVTDSKGEKVAEEGVESGGGNGRKTYQEEDEYGDGNDSTHTWSNNQECDMRDEYDQMPSTTLPQFQPSTFFTQENEMKLIHGLMGHMEQLHQEMSEIRRAIINCIDMQVKLQNSIKDEVVTAFNQLGKKDNVKSPSGDECKSKRNCIMCHEKLVNALLYRCGHMITCYKCAQELQWGEGKCPICQAPIVDVVRACVDA >itb15g12420.t1 pep chromosome:ASM357664v1:15:10355669:10355920:-1 gene:itb15g12420 transcript:itb15g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVKKKAKEQVMSDDNKKIRSSDDEDGMEMGMKTREVIRQAIITPNPNHHLKEDEAAGVKEADDILAFSRAVHNTDSSLH >itb02g12170.t1 pep chromosome:ASM357664v1:2:8281049:8297184:-1 gene:itb02g12170 transcript:itb02g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MELQNTVKEALNALYHHPEDTVRMQADRWLQDFQRTIDAWQVADNLLHDSSSNQETLIFCSQTLRSKVQRDFEELPSEAFRPLRDSLNTLLKTFHKGPPKVRTQISLAVAALAVHVPAEDWGDGGIVNWLKDGMNSHPEFIPSFLEVLRVLPEEAFNYKIAARPDRRRQFEQELASAMDTALTVLSACLNINELKEQVLEAFASWLRLRHRIPAVTLASHPLVLAALSSLHSDMLLEASVNVVSELIHYTAARNSGGASSQMPLIQVIVPQVMSLKPQLRDPSKDEEDIKAIARLFADMGDAYVELIATGSDESMLIVHALLEVASHPEFDIASMTFNFWHNLQLVLVERDSYQEFGNDAAREVERNRRLQVFRSSYESLVSLVTFRVQYPQDYSDLSREDQKDFKQTRYAVADTLIDAALVLGGEATLKILYMKLVEAVSCCATDWRPAEAALYCIRAISDFVSVVDAEVMPQIMSLLPKLPHQSQLLQTVCLTIGAYSKWLDAASNGSSFLPSLLDILVSGMNMCEDSAAAAALAFRNLCSDCKKELRRYIDGLFQIYERAVSGEGTFKVSAEDSLHLVEALSMVITELNSENAKKALEAICLPAVSPLQEIINQGPVVLGQKTARELTVHIDRLANIFRHVNHPEAVADAIQKLWPIFKAIFDIRAWDMRTMESLCRACKNAVRTSKQFMVVTIGAMLEEIQVLYRQHHQPCFLYLSSEVIKIFGSDPSCAGYLKILIESLFSHTTCLLTKIEEFTSRPDIADDCFLLASRCIRYCPHLLFPSPVFPSLVDCAMVGVTVQHREASNSILHFLSDIFDLSNSYHGENFISIRDNVIIPRGASMTRILVACLTGALPISRLETVSYALFSLSRAYGIKALEWTKECVSLIPSTAVTELEKAKFLQALSEAVSGANMNGLILPIEEISEVCRRNRTVQEIVQGALRPLEIKIVNVS >itb11g22010.t1 pep chromosome:ASM357664v1:11:23809178:23811918:-1 gene:itb11g22010 transcript:itb11g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRKLIRQQSAEQLQVVSIIGMGGIGKTTLAEKLYKNPSITSHFYKKAWVTVSQEYTVEKMLGCLIACVSGSSDYQSSNDQGRLAETLRKRLKDQRYLIVIDDIWSKEAWDKVQGCFPDDNNGSRILLTSRLREVAEYAASSGNSIINMPFLDANESWNLYCNVFGKTKFLLVFEQIGRDIVEKCKGLPLAITLVASLLSKTEEKVEKWKNVAKSVIGDSNGACSSVLSLSYNQLPHRAKACFLYFGVFPEDYEIPIKKLVKLWAAEGFFEAADNKNLEEVAMKCLQDLVDRSLVLVGKQSYNGTIKTIRMHDLLRDLCLSEARRENLLCSLSDDGYDVGISRSCNI >itb12g20950.t1 pep chromosome:ASM357664v1:12:23376698:23381036:1 gene:itb12g20950 transcript:itb12g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLESRKKIGQNPGTGKLLNDIESISKALSLDKAQPRRLMSTVSSRSKSVGKTHLPDSKSKAKGANKDLLEDGKKSIWSWKGFKALTHVKSRTFNCCFSLHVHCVEGLPVSFDDLSLVVHWKRRDGELTTRAVRVVQGVAEFEEQLTYSCSVHGSGNGPHHSAKYEAKHFLLYVSICGTPEIDLGKHRVDLTRLLPLTLEELEDEKSTGRWTTSYRLSGKAKGATINVSFGYYVIGNNSTVLPASKDVHALQNLRKSGPSGSVALFTESEQTTARRAGSLPASSSASSWSVEDIKELHEVLPVPMSELSESVNVLYRKLEEEKLDASVNEKLEIDVISNAPESLKPDSKLLPVTANGNAENECEISKLSAMDQGLELSSKELEKPGIQTMDAAHHSVEGCFVSDNVEVSTEVEPQLQTLPKEIGCNKDELPVCDLEFEEKEKCTNELIMEELDSALDTVSDLVNEESGSRDDSEIINQDNYLGVQADYKPVKKGKSLSMDDVTQSVASDFFNMLGIEQSPFGFSSESEPDSPRERLLRQFEKDALATGCSLFNCEIDIDDAEFVGAAPSGPDYGNISEDFGFFSATQSSEVLPKTEIEEARNKTRAAVMEDLETEALMREWGLNERAFQYSPPKSSGGFGSPINVPPEDLDILPPLGEGLGPFVQTKDGGLLRSMSPALFKHAKGGGKLSMQVSKPVVVPAEMGSGVMDILQHLTSIGIEKLSMQANKLMPLEDITGKTVQQIAWEASSSLEGSERNSKSHEEFTVGQNMSGFPDAVRGNMPGSRSSEFEPSSIGNEQDAEYLSLGDVAPLAMDKIEGLLIEGLRIQSGMSSEEAPSNVSPHSGGELSTFEGMVSFGGSMGLEGAGGMHLLDIKDNGDDVDGLMGLSLSLDEWMKLDSGDIYEDDEISERTSKLLAAHHATSMEVVRGRSRDKRRGKGRKCGLLGNNFTVALMVQLRDPLRDYEPVGRPMLALVQVEREFVPPKPMIYSSVSEIRRSNNDEEDDDSQPVKKEEIIEELKVEKIPEEEKVPRYKITEVHVAGLNTDQGKKKLWGSKTQQQSGSRWLLANGMGKKNKHPLMKSKPGNKATLPPSDPATTTVQPGETLWSISSRVHGTGAKWKELAALNPHIRNPNVIFPNETIRLR >itb08g03820.t1 pep chromosome:ASM357664v1:8:3096521:3101189:1 gene:itb08g03820 transcript:itb08g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQQPLQILPDCFYPTTPTLIPPTSETPSHSLPLSNLDDQNFLRFSIKYLYLFRTSVAVDRLKCALARALVDYYPLAGRLRPCPDDHRKLEVDCNGAGAVFAEAFMDLSADEFLKLSAKPNSSWRKLLYKVEAPTFLHIPPLVLQVTKLRCGGMIVCTAVNHCLCDGIGTAQFLHAWAHFARDDVDSLITPFHSRHVFKPRENRKPTSFHPAFSRSCNSHSALDITRYLQSQPLTPSSLTFSPPQILRLKSQCAPPLKCTSFEALAAHTWRCWARSLDLPPSLAVKLLFSVNIRKRVEPELPAGYYGNGFVLGCAEAPVKDLAGANLRDAVRLIQRAKLAMTDDYVRSAVDLLEDKSVTTDLSSSLVISQWSKLGLEDLDFGEGKPLFMGPLTSDIYCLFVPLVGDPNAARVLVSLPQQIVAKFEYYMTEFLDTKPIEGTINGHLEVENLEMVLA >itb01g32020.t1 pep chromosome:ASM357664v1:1:35623307:35630333:1 gene:itb01g32020 transcript:itb01g32020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKIKIKKIDNIAARQVTFSKRRKGLFKKAEELAVLCDAEVALIVFSGTGKLFDFASSRMKHILQKYVSHSSNIHNYPRPLQFLQQENSLQVRLNKEISDKTRELRQISGEDLEGLSLEELEELEQKLEVGLNRVSETKDDQLRNEIATLQYKGAELMEENNRLKQQVANDRQNGRNVGDMDRMILEEGQTSDQSITNNSTTQQPPLQAANCSDTLLKLGLPFH >itb01g15820.t1 pep chromosome:ASM357664v1:1:18972596:18974497:1 gene:itb01g15820 transcript:itb01g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLWFTLLSLTTALIFFFFFFPRKSKSYKLPPGPPGLPFFGNLFDLVGAEPHKKIAGMKHKYGPIVWLRMGSVKTMVIQTAETAGELFKNHDVSFAGRQIVETSLVHDYASGSVALAQYGTYWRVLRRICTVEMFTTKKINETASIRRKCVDDMISWVEKEARESEKGKGVHVARFVFLASFNMVGNLVLSRDLVDPESKTATDFFNAMMGIIQWSGSPNVSDIFPWFKWLDVQGLKRKMERDMGKAIEIASGFLRERIEGEQLGGEKKQDFLNVLLEFRGSGKDEPPMLSQHEILIFILEMFLAGSETSSSTIEWAMTELLRKPKTMAKVKAEISKVIGSTRKLEESDIENLPYLRAVVKETFRLHPPVPFLIPRNGAEDIKFMGYDIPKGTQVYVNAWAIGRDPQCWEYPSEFKPERFLDSKIDFKGQHYELIPFGSGRRICVGLPLGNRMAHFVLGSLLREFDWELDHAIDPKNMDMRDKIGSAVRKLQPLKAIPRKCTKF >itb04g28430.t1 pep chromosome:ASM357664v1:4:32179857:32182178:1 gene:itb04g28430 transcript:itb04g28430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDVYTKDGTLDYRNRPANKKKTGTWKACPFILGNECSERLAYYGMSSNLMIYFQTRLNQSTTRASKNLSNWSGTCYIMPLIGAFLADAYLGRYWTIASFSIIYVIGMTLMTLSATVPGISPTCTAHDKCTVTGTDTTMCFVALYLVALGTGGIKPCVSSYGADQFDDTDEKERKHKSSFFNWFYFSINIGALVASSLIVWIQQDVSWGWGFGVPAVAMAMAVTFFFSGTRLYRYQKPGGSPFTRLFQVIVASLRKRKVKVPIDKSLLHETDGLESNIQGSRKLSHTNELRFFDKAAVVESEDHKKGSVSPWRLCTVTQVEELKSIIRLLPIWATGIIFSTVYGQMSTQFVSQAEGMNTWVGGFQIPEASLSVFDTLSVIVWVPIYDRLIVPMARKFTGHKNGLTHLQRMGVGLFISILAMVSAAALEMVRLAMVKRHNLYDVTEVPISVFWQVPQYFIIGCAEVFTFIGQLEFFYDQAPDSMRSLCSALSLTTNAIGNYLSSFLVTIVTNITTRNGKPGWLANNLNYGHLDYFFWLLAGLSVMNLGVFLVVANMYTYKKAINKVSDLVEEAENGSLASN >itb08g13110.t1 pep chromosome:ASM357664v1:8:13685925:13688066:1 gene:itb08g13110 transcript:itb08g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNTSAMPIIGLYVAVASVICIYAMIRNTAFKLDLDFSGKFYALNATWLALLAVATKLTGDLTSPMWSPQDNIAKITTTAFLTMFLGNIFITLGSMNNADILANLTALSILVITVFVDLCIQLSTGVLDYSLFPEIIYALILLFCMLLTIASSALAVPAIKKHAELMYQKMLASDKEHMERQQQTVEELRLSIAKYWVMAVSGSPQFLMKRLVTFNCSCIISLLSALVFLLANQRLGKAFDTWIADCQQQQSDYNWSAMWIIMSQFSVMGPTIVVVKFIFDCVTTNRIEFTIESYWTEKLMEWRQSSIPIKIKKGKVKKILYKIKSLILTFCILVQTVVVVISIVSSYSMLPLVLLVNHFGKLFHKNEVPNDQGRPEVHLNCFVILLEGEKQFPNRILRKIINNVDTNVEMGKMQQPQNLFNLLNQSFSFSGVVEFDSNRVPSLLSDEPPNCWTLPVVTLASIAIALPNIAILHIDWLVTSVDEGLHYASIIDVLDEKCGLKSIKSVADVWVGVELHKKWFDMNLERKIGEVRSAKEIILALANEAERVVMEFSSTKNRIIVENPLYWPSNILAANSMYRISRTILLHYENSECQVEELFRKLICLIADILTACLTNLPHLIATKCICNAIEKREKSVRHAAIILGETEDILKCFEKRKLSNMGPRQPLCIDEWRQWIERHAPTISTSATSNGVASSDDESSKPVVIQMQA >itb13g23270.t1 pep chromosome:ASM357664v1:13:29319979:29320794:1 gene:itb13g23270 transcript:itb13g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWEKQSFLGCGSYGQVHLAILKPAGKMEMAVKSASIHRSCSLEREGVFLDALRDCPFIVRCFWGRRKHGAWTIHVQPFVGVRFRRVIILTLHQLFRTTGRMPEALSGFYIYQLLKGIHYMHNLGIIHCDLKPGNVLVFPGNFNGLNWLKLYDFGLAKLSDETNVYGDCNRGTLLYAGAGVRSLEILHSSEGYLGGGMHVRGDGYRETDVANWQRTGFSQENRVR >itb03g00300.t1 pep chromosome:ASM357664v1:3:148410:154043:-1 gene:itb03g00300 transcript:itb03g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQWCVEERGLVSNDSFADIEGVTETGCLSIVVLGASGDLAKKKTFPALFNLYRQGFFESNDVHIFGYARTKISDDDLRDRIRGYLSPGKENAETVSNFLQLIKYVSGGYDSEEGFRSLDKAIYEHEVSKNSTEGSSRRLFYLALPPSVYPSVCRMIKFYCMNKSDLGGWTRIVVEKPFGRDLASAEELSAQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDSVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPISLKPEHIRDEKVKVLQSVIPIKDEEVVLGQYEGYKDDPTVPDNSNTPTFATVVLRVHNERWEGVPFILKAGKALNSRKAEIRIQFKEVPGDIFRCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKVAWEIFTPLLHRIDNGEFKSIAYKAGSRGPGEADDLLKRVGYVQTHGYIWIPPTL >itb11g06730.t1 pep chromosome:ASM357664v1:11:4073489:4076736:-1 gene:itb11g06730 transcript:itb11g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSSDVIIKTLSMLPIMPLVRCRFVCKSWLNLTHDPHLIDTHLSFSSENEAHFGLILKTSAPIGRALSQSNLFLVANDGADDLYKCVNVSFSLPSFSTYEIMGSCNGLLCLFNPISKHLTHIFNPCTREYMVLPSPQRAPELIVDVVVGFGFLAEQKEYKLVEVVYYGSELVGEGVLWCKVNLYTLGDKSWKSLGYCPYSLCGRGCSEAFVNGALHWVSDGYDDGAGLVSHIVAFDLARESFEVVPHPEFDSGRLNYTLGVLRGCLSATKCKFRDYVEIWVMKSYGVKESWTKCLKIVCDEVGLIIGAVRPLFFQKNGEILLQHGGILLSYNPLTKKLREVRVSGMPRSFKAFVHVGSLVLPCSLVGK >itb11g06730.t2 pep chromosome:ASM357664v1:11:4074275:4076736:-1 gene:itb11g06730 transcript:itb11g06730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSSDVIIKTLSMLPIMPLVRCRFVCKSWLNLTHDPHLIDTHLSFSSENEAHFGLILKTSAPIGRALSQSNLFLVANDGADDLYKCVNVSFSLPSFSTYEIMGSCNGLLCLFNPISKHLTHIFNPCTREYMVLPSPQRAPELIVDVVVGFGFLAEQKEYKLVEVVYYGSELVGEGVLWCKVNLYTLGDKSWKSLGYCPYSLCGRGCSEAFVNGALHWVSDGYDDGAGLVSHIVAFDLARESFEVVPHPEFDSGRLNYTLGVLRGCLSATKCKFRDYVEIWVMKSYGVKESWTKCLKIVCDEVGLIIGAVRPLFFQKNGEILLQHGGILLSYNPLTKKLREVRVSGMPRSFKAFVHVGSLVLPCSLVGK >itb04g00670.t1 pep chromosome:ASM357664v1:4:371291:374212:-1 gene:itb04g00670 transcript:itb04g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLHQRAIQNSLRNQYGLSLRSSQDYQKHTSFLFNRTSLVLLLTILVIVGVLFPWAQIPSIGLFQIGSSSKISQSKWREYTLAQAAAHVSRNGTLIVCAVSEPYLPFLNNWLISIARQKQHEKVLVIAEDYGTLYKVNERWPGHAVLVPPVVESQNAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLADPFPYLKGEHDVYFMDDMTAVKPLNHSHDLPPPGKKGRTYICSCMIYMRPTNGAKLVMKTWIEELSAQPWSKAKKANDQPAFNWALNKTAGQVDLYLLPQVAFPTGGLYFKNQTWVQETKGMNVIIHNNYITGFEKKIKRFREFGLWLVEDHASESPLGRLD >itb09g18630.t2 pep chromosome:ASM357664v1:9:14552898:14556392:-1 gene:itb09g18630 transcript:itb09g18630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSCSPPTTFSPSPKLKSLGRRHCRCSAISQPDGSSSQPTTSSTYRPALILPGLGNNSNDYQKLALILKDYGIPAVIAKVSRIDWLRNAAGLADANYWRGTLRPRPVLDWYFKRIDDAVSEAKNLGQGGSGALSVIGHSAGGWLARVYMQEFGLSGITLLLTLGTPHLPPPKGLPGVIDQTRGLLDYVEKHCAKAVYTPELRYVCVAGRYIQGERFLGSDTNYGSTVLVDVNQPLPDTAALTSMDTSTATPNTLQARFVGQGYKQVTLSEIKF >itb09g18630.t3 pep chromosome:ASM357664v1:9:14551493:14556392:-1 gene:itb09g18630 transcript:itb09g18630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSCSPPTTFSPSPKLKSLGRRHCRCSAISQPDGSSSQPTTSSTYRPALILPGLGNNSNDYQKLALILKDYGIPAVIAKVSRIDWLRNAAGLADANYWRGTLRPRPVLDWYFKRIDDAVSEAKNLGQGGSGALSVIGHSAGGWLARVYMQEFGLSGITLLLTLGTPHLPPPKGLPGVIDQTRGLLDYVEKHCAKAVYTPELRYVCVAGR >itb09g18630.t4 pep chromosome:ASM357664v1:9:14551422:14556392:-1 gene:itb09g18630 transcript:itb09g18630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSCSPPTTFSPSPKLKSLGRRHCRCSAISQPDGSSSQPTTSSTYRPALILPGLGNNSNDYQKLALILKDYGIPAVIAKVSRIDWLRNAAGLADANYWRGTLRPRPVLDWYFKRIDDAVSEAKNLGQGGSGALSVIGHSAGGWLARVYMQEFGLSGITLLLTLGTPHLYI >itb09g18630.t1 pep chromosome:ASM357664v1:9:14551422:14556392:-1 gene:itb09g18630 transcript:itb09g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSCSPPTTFSPSPKLKSLGRRHCRCSAISQPDGSSSQPTTSSTYRPALILPGLGNNSNDYQKLALILKDYGIPAVIAKVSRIDWLRNAAGLADANYWRGTLRPRPVLDWYFKRIDDAVSEAKNLGQGGSGALSVIGHSAGGWLARVYMQEFGLSGITLLLTLGTPHLPPPKGLPGVIDQTRGLLDYVEKHCAKAVYTPELRYVCVAGRYIQGERFLGSDTNYGSTVLVDVNQPLPDTAALTSMDTSTATPNTLQARFVGQGYKQVCGQADVWGDGVVPEISAHLEGALNITLDGVYHSPVGSDDTTRPWYGSPAIVEKWIHHLLN >itb13g23500.t1 pep chromosome:ASM357664v1:13:29469368:29472745:-1 gene:itb13g23500 transcript:itb13g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNSKKSKLSWSKKLVRKWFNIKGKAEEFQADDEVVYGGCDEWRNSFSEREPWTFKKSRTEKSSRSMERPRQRRADLDHPQIINVHNYSVFVATWNVGGKSPSSNLNLDDWLHSAPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWISLIQKTLNNGPGTSGGSGYYTPSPVPDPIAEWNADFEGSGKQKASSFLPRRSFQTPQCWRMENDPSIPQPRLDRRYSVCDRAIFGHRSSDFDPNMRWGQRPSDCSSSHRPSDYSSGHRPSDFAPAHRPSDYSSAHRPSDYSSAHRPSDYSWGHRPSDYSSSHRPSDYSWGQRPSDFSRWGSSDDDYGPGDSPSTVLFSPSVNGGGYAPMEESYRMPRNTRYCLVASKQMVGIFLTVWVRSELREHVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKEGDEIRRNSDVMEILKKTRFPPVNGTGEEKTPETILQHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLEKDQLRIEQRRGRVFVGWKEGKIYFPPTYKYSRNSDRYAGDDMHPKEKRRTPAWCDRILWYGGGLQQLSYARGESRFSDHRPVSSLFSAEVESVPSRLRKSMSCSSSRIEVEELLPYSHGYTELCFF >itb08g07550.t1 pep chromosome:ASM357664v1:8:6465809:6483530:1 gene:itb08g07550 transcript:itb08g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) UniProtKB/Swiss-Prot;Acc:Q8VYR9] MLQIVCGLKSETTSMAEVGEGSRRRRPPGPPSEAGVPAFWERRGGWCFPSLFRRCMLQIVCGLKSETTSMAEVGEGSRRRRPPGPPSEAGVPAKRHRGATLVGSSSHHENADDDFVDDPNVDMDELPQDRSSDVPDVGLTAEQQASFPTFTVRVSHSFFIDALEDLTSRQRKDIIALGFGGLLDLKFKKLLTRLGRWLLSNFDPTNMCINLEKGEVLPITEEDVSSIMGFPRRNGVITRRDRHVKSKLLNAWRLKFDKTKYDVKPSEVSNFIRTDLESGEWFQRHFMMLMISTLISCMGNGYCLDDVESIPNLNWCRFLIEELVSTYALWRAGSNPRFTDLNLTVSREYTISRALLIHFAFSSRSAMARVCVGENNQSSIRILLVLIAIASCGSTAFAARSEQEIRERFYGNLVNTTAPENGEGSIAKMFDRVLEKEFSENDQPEGSEKSSFNSSVADQEAVLETVAMITHDKVKKNETLEANGTKSFQFQDVFSLDNENSEDMTTLIDKKDNMFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYLLAGSIIGPGGLKFIKEIVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVVGGLLQIVIFMFFCGITAMLCGAKLSEGVFVGCFLSMSSTAVVVKFLVERNSNNSIHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGILQGLFAMGRLLLILSLFLTAASVLTWSFVPRFLKLMVQISSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLEQVEPIRNLFAALFLSSIGMLIHVHFLWTHVDILLASVILVIVVKTTVTATITKAFGYNIRTSFIVGLLLAQIGEFAFVLLSRASNLNIVGGKIYLLLLGTTALSLVTTPILFKLIPAVIHLGVLMHWFPPEPTASTEEKVALIEAHNRLL >itb10g21140.t2 pep chromosome:ASM357664v1:10:26463816:26465487:-1 gene:itb10g21140 transcript:itb10g21140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQSEREEVSAAQAVFVGALAPGVNAPTWNTLKIAFLMLAVCLATMLGLAFSSSDYMLTLHVTFLVFITGSLFLLLTRFLAETGLVSVEHQMQEIGLAPKDDEDKCKKSS >itb10g21140.t1 pep chromosome:ASM357664v1:10:26462688:26465487:-1 gene:itb10g21140 transcript:itb10g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQSEREEVSAAQAVFVGALAPGVNAPTWNTLKIAFLMLAVCLATMLGLAFSSSDYMLTLHVTFLVFITGSLFLLLTRFLAETGLVSVEHQMQEIGLAPKDDEDKCKKSS >itb02g06760.t1 pep chromosome:ASM357664v1:2:4236512:4239721:1 gene:itb02g06760 transcript:itb02g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLKLTDFVLFIFFLVIALAAPLFDAQCVLSSDLYPTSLVDLKAWYAKEYGDYLVSEKPHFFVGLIWLELLFAWPLSIISLYGIAAGKSWVSTTCLLYGTSTLTSMVAILTEQTLSKRASEKLLMMYYPFLGFAVLAILRGLLAHSGKSMSIGKRPALNRKKKA >itb03g05250.t2 pep chromosome:ASM357664v1:3:3579482:3586029:-1 gene:itb03g05250 transcript:itb03g05250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWGLFPIDPIPGEDKYYFFNKGTYKVGRKGCDVIVKKDKGVSRVHAEIVIEEMIYLEHPQKSSDVASKVRIRDCSKYGTFINKNLTSKEKVHECPNKEAMLKDGDLVSFGTGTATYRFSFVPLVFFICSSDNSIASRLLVKNISSIGAGVTRKWSSFCSHVLVEDNIPLKEGLVDAIVARKPFVQFSWVELIAGKNICTEIPSCDSHAPTLKLEGISVKVAVPEARGICLKTYTFILDLVDKYKLKDGLPSLLEVSGAKVSSVEDFCPNSQGTEEEENEKMVYVIPAGSTSSQCFHGPSLSRVNEMDLVAAAVSGHLDPSLVVSPPVLVTSSCSTDETVVADSDAETESGAESGHDTAPVCLLESADQDDKEKTVIDVVESTENKKKSESSMLFVKSMEDDHMRDINFATSKSTKHVDDKEIPDKNSSLIKPEGGCSMMVGVKTDIEMSKRSEIDLKSGNSDIIFSQELIIRERNLPTLVNSSTNGVANFKRFRKRTAPSGNSFNSLIPFSNDPYKESDYDNEEVVESIKEERRRKQMEARAEDLFNDEKKKKRGVMGSLLGVFARG >itb03g05250.t1 pep chromosome:ASM357664v1:3:3578854:3586029:-1 gene:itb03g05250 transcript:itb03g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWGLFPIDPIPGEDKYYFFNKGTYKVGRKGCDVIVKKDKGVSRVHAEIVIEEMIYLEHPQKSSDVASKVRIRDCSKYGTFINKNLTSKEKVHECPNKEAMLKDGDLVSFGTGTATYRFSFVPLVFFICSSDNSIASRLLVKNISSIGAGVTRKWSSFCSHVLVEDNIPLKEGLVDAIVARKPFVQFSWVELIAGKNICTEIPSCDSHAPTLKLEGISVKVAVPEARGICLKTYTFILDLVDKYKLKDGLPSLLEVSGAKVSSVEDFCPNSQGTEEEENEKMVYVIPAGSTSSQCFHGPSLSRVNEMDLVAAAVSGHLDPSLVVSPPVLVTSSCSTDETVVADSDAETESGAESGHDTAPVCLLESADQDDKEKTVIDVVESTENKKKSESSMLFVKSMEDDHMRDINFATSKSTKHVDDKEIPDKNSSLIKPEGGCSMMVGVKTDIEMSKRSEIDLKSGNSDIIFSQELIIRERNLPTLVNSSTNGVANFKRFRKRTAPSGNSFNSLIPFSNDPYKESDYDNEEVVESIKEERRRKQMEARAEDLFNDEKKKKRGVMGSLLGVFARG >itb14g06760.t1 pep chromosome:ASM357664v1:14:6009846:6010169:-1 gene:itb14g06760 transcript:itb14g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVYRLVENPAAEHGAASRKKCLVYLPTGQVVTSYRCLEQILTGLGWERYYSGDPDLFQFHKQSSIDLISLPRDYNKFNSIYMYDIVVKNPNLFQVRDG >itb03g07280.t1 pep chromosome:ASM357664v1:3:5328545:5329487:-1 gene:itb03g07280 transcript:itb03g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGKVVGCHTFEAWNDELCRGNEAKRLMVVDFTASWCGPCRLMAPFFAELARKLPSVMFLRVDVDELPSVAADWGVEGMPTFMFLKEGRILGTVVGAKKDELQQLLAKHMMNTTTTTTAPSSLKIMHSYY >itb11g21330.t1 pep chromosome:ASM357664v1:11:23068688:23071180:-1 gene:itb11g21330 transcript:itb11g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNLMHYCSLFPLFIFICFLCKLIFSSSSPKDTRTSPPSPPKLPIVGHLLQLGSHPHRYFHQLSNKYGPLMLLHFGSVPVLVASSPTAASEIMKDHDLIFSDRPKSSITDRLFYGSKDVAFAPYGEYWRQVRSICVLHLLSNKRVQSFRNVREEETQLMVQKIKQSCGSLVNLSDILMWFSNNIICRVALGRKYSDDENGKKDMNPLIGEVYKMLGMFDIGDYIPWLAWVNRINGFDGRVKKLAKELDEFFEAVIEEHSLVNKKEEDGLDLVDILLQIQRENTIGFPIHRDSVKALILDMFAAGTHTIYTVLEWTMAELIKNPKTMEKLQNEVITKFKTNEDLETMQYLKAVIKESLRLHIPVPLLAPRKASQDVKVMGFNVKTGTQVLVNSWAIGRDPKIWENPEEFKPERFLNSNVDYKGMHFELIPFGAGRRGCPGVAFGVIVLELAIATLLCEFDFALGKEELDMRESIGLTCHKEVPLFVIATPRVG >itb15g14240.t3 pep chromosome:ASM357664v1:15:12513615:12515239:1 gene:itb15g14240 transcript:itb15g14240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRGDRDVDLESGGLVRESNESEIQEASGDHSGRLLHRSWSGGLLGEQIKNESVSHQEGLHSNGKATTADDISVKDNSEITEVQSTKLKDNKGNPRGKKSSKEKLKKPKPSKPPRPPKGPSLDPADIKLVKEISEMTVRKHKRMERLRSLKKIKDRSSSKTNIVAMGITILFFFIIIFHGVCLFVDDASSVSPNTIY >itb15g14240.t2 pep chromosome:ASM357664v1:15:12512956:12515243:1 gene:itb15g14240 transcript:itb15g14240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRGDRDVDLESGGLVRESNESEIQEASGDHSGRLLHRSWSGGLLGEQIKNESVSHQEGLHSNGKATTADDISVKDNSEITEVQSTKLKDNKGNPRGKKSSKEKLKKPKPSKPPRPPKGPSLDPADIKLVKEISEMTVRKHKRMERLRSLKKIKDRSSSKTNIVAMGITILFFFIIIFHGVCLFVDDASSVSPNTIY >itb15g14240.t4 pep chromosome:ASM357664v1:15:12513615:12515269:1 gene:itb15g14240 transcript:itb15g14240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRGDRDVDLESGGLVRESNESEIQEASGDHSGRLLHRSWSGGLLGEQIKNESVSHQEGLHSNGKATTADDISVKDNSEITEVQSTKLKDNKGNPRGKKSSKEKLKKPKPSKPPRPPKGPSLDPADIKLVKEISEMTVRKHKRMERLRSLKKIKDRSSSKTNIVAMGITILFFFIIIFHDTLPI >itb15g14240.t1 pep chromosome:ASM357664v1:15:12512956:12515269:1 gene:itb15g14240 transcript:itb15g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRGDRDVDLESGGLVRESNESEIQEASGDHSGRLLHRSWSGGLLGEQIKNESVSHQEGLHSNGKATTADDISVKDNSEITEVQSTKLKDNKGNPRGKKSSKEKLKKPKPSKPPRPPKGPSLDPADIKLVKEISEMTVRKHKRMERLRSLKKIKDRSSSKTNIVAMGITILFFFIIIFHDTLPI >itb12g00780.t1 pep chromosome:ASM357664v1:12:548577:549884:1 gene:itb12g00780 transcript:itb12g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIEPFLRSWQSISSLSSSSWSSGMSFNQTGPIGLNQLTPSQILQIQLQYYQLASPLSSYLGAKPVAMKQAGTPLKLQAKLYRGVRQRHWGKWVAEIRLPKNRSRLWLGTFDTAEEAAMAYDKAAYKLRGEYATLNFPNLRLHFSHNFNPLPSSVEAKLDAIISQNQAKTGQPCFFTMKTEPASSGGDTGCGRNQKETEPVSYHGGDIECSYNQKETEPASYSGCRYNQKEAKPASYSNGDTGCHCNRKETESASYSGGDTGCHYNQKETKPASYSGGGMGCRYNQKETEITSYSGGDMGCYNQTETGPASYSGGDAECYIKKETKVCYGGGDNGCYTQKETEASYGGEYTEYYTRNETELTFHSGENIGCYTQKEIGISYSGEDIEAASSDQLSSSSPESEITILDFLEPCDEFENFILQKHPYVEIHDWEAL >itb01g04650.t1 pep chromosome:ASM357664v1:1:3156429:3160118:-1 gene:itb01g04650 transcript:itb01g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMFFIFFIYIISILHFSAAVDDTLATNQTLSDDGGTMLVSASQTFVLGFFSPGSSRNRYLGIWVRNEPERTVLLDNGNLVVKNGIGDSGFIWQSFDYPGDTLLPGMKIGWNLKTKEEWYLRSWRSQNDPSKGDFTFRLDLLGLPTGILRKGSTVLFRTGTWDGSKLGQYTFADLYMSVIKSNFVYNDETAYYDFQCVESSTISRLVVNETGQITISIWRPHKKNSGWFVIGPIQVDHCDPYGTCGNNSLCNPNSPSLCECLDGFKPRSPLEWQSLQWSSGCVRRIPLNCSDEAQGFRKLSGIRLPDSSRMVGNRTSMRSSTDCEKVCLGNCSCSAYAWAEGVGCAVWHGDLQDMRLFYTGGQDLFIRMPASQLIGASKKGKHRRVLIASSVLIITGLFLLAITTWYGCHAMAARRKRRVIRREESLALLRDSSELPMIAFDELVAATNNFSDDNKLGAGGFGPVYKVVTGILIDGQEIAVKRLSSFSGQGTEEFKNEILVISKLQHRNLVRLIGCSIHGEEKLLVYEHMKNKSLDTLLFGIARGIVYLHRDSCLRIIHRDLKASNILLDEDLNPKISDFGLARAFKVTEELANTHRVVGTFGYMSPEYVMRGLFSEKSDVYSFGIILLEVISGRRNCGFHNQDNVSLLNYAWQLWIERREGDLIDESIINSCSFIEALRCIKIGLLCVQDHVSDRPTMPNVVLMLCSEIDIPQPMEPTFTFQNLSESDSMSHN >itb03g23300.t1 pep chromosome:ASM357664v1:3:21473443:21479615:-1 gene:itb03g23300 transcript:itb03g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKSLIISALSVGVGVGLGLASGQAVSKWTGSGGATNSADQIELELRRLVRDGKDTGVSFENFPYYLSERLRVLLTSAAYVHLNHMDMSRHTRNLSPASRAILLSGPAEPCQQALAKALAHHFKAKLILLDISDFSLKVQCKYGVTKRVPSSKRSISEVTLGCVSNLLGSFSILPEKESTGGMLSRQTSAVDLKSRNAEGLENPLKHRRNASISSDISSISSQSSYSTSAPSKQSNSWVFDEKVLLQSLYKVLVSISATKCIILYIRDIDRHLQSPRFYKLFDRMLKKLSGSVLVLGSRMLEHDEDYGEIDEKISLLFPYNIEIRPPEDETHLLTWKAQLEEDMKMIQFQDNKNHIAEVLAANDLECDDLGSICQADTMVLSNYIEEIVISAISFHLMHNKDLEYRNGKLVISSKSLSHGLSIFQEGKSGCRDSLKLETNAESSKDTEGENGIGSNPVSESKSETEKPATLVKKDGESLPAPKAPEVPPDNEFEKRIRPEVIPPNEIGVTFEDIGALDEIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILRTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCITAAYRPVRELIQQEREKDMEKKRKSEEGQSSEDASDKNDQPEERVIILRPLNMEDMKLAKNQVAASFASEGSIMGELKQWNDLYGEGGSRKKQQLSYFL >itb03g23300.t2 pep chromosome:ASM357664v1:3:21473443:21479615:-1 gene:itb03g23300 transcript:itb03g23300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKSLIISALSVGVGVGLGLASGQAVSKWTGSGGATNSADQIELELRRLVRDGKDTGVSFENFPYYLSERLRVLLTSAAYVHLNHMDMSRHTRNLSPASRAILLSGPAEPCQQALAKALAHHFKAKLILLDISDFSLKVQCKYGVTKRVPSSKRSISEVTLGCVSNLLGSFSILPEKESTGGMLSRQTSAVDLKSRNAEGLENPLKHRRNASISSDISSISSQSSYSTSAPSKQSNSWVFDEKVLLQSLYKVLVSISATKCIILYIRDIDRHLQSPRFYKLFDRMLKKLSGSVLVLGSRMLEHDEDYGEIDEKISLLFPYNIEIRPPEDETHLLTWKAQLEEDMKMIQFQDNKNHIAEVLAANDLECDDLGSICQADTMVLSNYIEEIVISAISFHLMHNKDLEYRNGKLVISSKSLSHGLSIFQEGKSGCRDSLKLETNAESSKDTEGENGIGSNPVSESKSETEKPATLVKKDGESLPAPKAPEVPPDNEFEKRIRPEVIPPNEIGVTFEDIGALDEIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREKILRTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCITAAYRPVRELIQQEREKDMVLFTINIFCLLQLYYTLRLCIRRYKFQKNVSFKEKKRKSEEGQSSEDASDKNDQPEERVIILRPLNMEDMKLAKNQVAASFASEGSIMGELKQWNDLYGEGGSRKKQQLSYFL >itb03g23880.t1 pep chromosome:ASM357664v1:3:22256767:22260275:-1 gene:itb03g23880 transcript:itb03g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSEYEEPETNTSTSSAAAAKPFKASLDPANPLGFLEAALEFLARESDLFNSDSLINDVNAVVRMVKDKVDTEERKRKEKEKAANGNTEKKMKETPIAAAAAPAVPVKEVEVEGEKTTGTTEDEKKGPRAPNKGNGLDMDNYSWVQSLQEVTITIPVPPGTKARFIECDIKKNHLKVGLKGQPPVIDAELYKPVKVDDSFWSLEDQKSISLLLTKSDQMEWWKFLVKGEPEIDTQKVEPENSKLSDLDPETRSTVEKMMFDQRQKSMGLPSSDEMQKQDILKKFMAEHPEMDFSKAKFS >itb15g04990.t1 pep chromosome:ASM357664v1:15:3230673:3234493:1 gene:itb15g04990 transcript:itb15g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSTELIHFIPSKEMSESEAEDLPEKEIGNEGLKKKILQKGNSWQTPLPGDEVHVHYSGKLHGNGEYFDSSRKKGTPFTFKLGQGEVIKGWDIGIATMRKGERALYTIPPCLGYGKAGSPPIIPPDSTLVFDIEMVSWKSIRDVAGDGGILKKIVREGEGWATPRDADQVLVKYTMRTENKEDVVSESNDGVEFSLTEGFLCPAMSKAVKTMRKGEIADISVKPFYGFGALTNENTNTNTNSIIGSIPPTSNLSIHLELVSWKSVTDVMGDKKVLKTLIKAGEGYDRPNEGALVKVVCIGKLEDGTITERKGSDQEPFEYLCLEDQIIEGLDQAIMTMRKGEEARVRICSDYFHGCKEKTTELSPLIYEVKLLDFTKEKPFWKMDTREKIEACERNKQEGNLLFKSGKFQHASKKHQSTSSLITLSLQMRSARQTR >itb15g04990.t2 pep chromosome:ASM357664v1:15:3230673:3234493:1 gene:itb15g04990 transcript:itb15g04990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSTELIHFIPSKEMSESEAEDLPEKEIGNEGLKKKILQKGNSWQTPLPGDEVHVHYSGKLHGNGEYFDSSRKKGTPFTFKLGQGEVIKGWDIGIATMRKGERALYTIPPCLGYGKAGSPPIIPPDSTLVFDIEMVSWKSIRDVAGDGGILKKIVREGEGWATPRDADQVLVKYTMRTENKEDVVSESNDGVEFSLTEGFLCPAMSKAVKTMRKGEIADISVKPFYGFGALTNENTNTNTNSIIGSIPPTSNLSIHLELVSWKSVTDVMGDKKVLKTLIKAGEGYDRPNEGALVKVVCIGKLEDGTITERKGSDQEPFEYLCLEDQIIEGLDQAIMTMRKGEEARVRICSDYFHGCKEKTTELSPLIYEVKLLDFTKEKPFWKMDTREKIEACERNKQEGNLLFKSGKFQHASKKYEKECGVKKFNQLMKMSKIPAINILLDNLRC >itb09g03170.t1 pep chromosome:ASM357664v1:9:1781884:1782375:-1 gene:itb09g03170 transcript:itb09g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYLLVGCHATEEIKSAAEVTNWLSEGLSSFLPSQVHPDLEKLGLAGHSRGGKVAFALALGKTATTDLKFSAIIGVDPVGGTSPNILDTTPDHFDLNMPAMVIGSGLGEVKRNFLSCPCAPKGGTTRLSTTSVTRRLVTWWLKTMGTRTCWTMIRGGVCAGS >itb12g26070.t1 pep chromosome:ASM357664v1:12:27109739:27110719:-1 gene:itb12g26070 transcript:itb12g26070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVFISTATIRPLLTHASLIRHLHSTLATNIQSPVRHCHETSQSSAILLMPSWSLSPYLPYVGVKLVTFHPNNSALNLPGVHASYALFSALTGQTLATMDATDLTLYRTSCISALASKFLSRENSKTLVMVGAGSLAPHLIRAHLAVRPGLKTVIIWNRTLKKAQSLVEKLQKESGFDGVIFESNGSLEEVVGLGDIVSCATNSETPLVKGGEMKEGAHLDMVGSFKPSMMECDDEAIRKGRVFIDNEAALVEAGELVGAFERGVITRDDVVGDLVELIKGEKSGRKSQEEITVFKSVGSAAVDLLTAQLVYETCMESQVHV >itb10g25590.t1 pep chromosome:ASM357664v1:10:28836712:28846456:1 gene:itb10g25590 transcript:itb10g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYQSERTKKMKKTKKRKLSSSSGEELYPQPVKSSRIDISEPENIAPLVSGAEADDTETSLHLRDDPPWRNLQLIISLQNKDISPSMKVELAFHYVKTRTSEGAVGNSDSSEESESVSFPRVLVFLNNWVQTVMILSVKTISSEGNKNQLEIAASCLDDRCWQVFRFCLEESKKLHVALSFSRDFLRVIQCISRDALSRLNAASLCKESGLSGEEFEFYSTVLDCISLVFTSHMGISNENLDLWVSVINTVLELIQKVFTFKLEHAKTGIFVLQLSYYVHEAFAKFLRVHPTRKNGFRDFVDKLLEPLLLLWDLLHLHTCKSSPHLIRDLLKLIEEVLSHGLFHPMHIEGFFDLQSTARYKTIDHKDMEIQKAAIKSYHRHFFDALEKTISRKNASAIGGLGVLLRLFISCISKNRGLSVGKDGYTSESSSRSSLVSGKSHCTSGLNAETRKLSFDFFVQIMEYFLSEFNTYLQAELEDGDMLLDAHCKLRSANKILFALMHARVYARTEDITEGACLNFLRLVYDRIISLSSKIISIIQTPFASDKSEVLVLIAKEVVHAVHYLLDIDYDAIGDNLERLWRTVFCFTAFSYSLMDVPDQNMLTSEIQKLGCKLVHLYSELRQVNTAIFSLCKAARDMVSFSPDRNIQTYKASFANPFSMFLCCPEFRLSIGSAIKSVPEGQASGCIRELIADISESLEWIKAASLFPDKTDQRKQIPHISGLQCFDLQIELLGRSLSEVYALILDSLTVTTGNSSLVSISVKDLMALIGTNLSSLVSEKVNSVDDFLSVVLGRTLDKGTGSGDWVMSTHWILVFFFRIYLSSRSLQRQSISLLAPNISKKMSGKMGDSFTAYSGSEWLESTGLIDEGYFSWIIKPSAPLLAVINIVSDVYLRDAVTDCSPLIYVMNAMALQRLVDLNRQIRSIDYLLLKNDNLTHSKIVDDAGLSFSCKDTRKWKMLVSDLRHEAASLTKFIMGYLKLVAKNQLYIPSSDDASSKDIFLQHAHKIEAWDLAIGSLNEKSVPSAVWWILCQNIDVWCTHASKKYLKKFLSILVHSSIPCLSTELNENKIHIKRMATTREVNISQISLEVLGNSVLYEQRFVRRNMTSILCQTLEKSVASLFSSLGDANLDSPPDWRKVVDALEDSSAMALRDKNRKNTHLLWIEALSHLFNLPAEQSEKKLSPFHKIEFTTCQTVLNLLSWIPKGYLSSKSFSRYATSILNLEWLVVGSLLGWPDSLTSFECCELLKLFVSCRRAFKYLLMASFEEREGCQSTLSHVLSDLSFPSIWLMKSLVTVIGFENAFSEDFASQVEHMMFSLMDHTSNVFWTVAKDQFECAILSLTSAEKHCDESPTAIEHQDSDLDEHQPPPSSPFNEDALKSIAVLAETLNEHINRSLDSLNGFLSKNKEVLAVSQELKKVSSTISCFQGFLWGLASALNDMDAEDCCLNTTLSRFKSESMFKIKSCIDRCTDFINNIVHLLLLEGDQLHQNLSNAQGPTTTLPATDIMNEGIQTFVSMNSQPIGVRGDSNYCGSGKMSPQINTDLEALLARVGTEQRCVRKPLLQALLKGENAEAAFCLRQLFLASSAVLRLNLWINHTALSWSLIPYLIQISESLLLEFASDVGVVQPFSLVCLYGVVKFLEELGKYFPLLNPSSSRNLYIKVIDLHLRAIGKCICLQGKGVTLATEETESSSKMLNFRVEFDPMESHWTYNLGELKSQLRGSFRTFVGKASELHLLSVVQAIERAVVGVQEGCMVNYEVCTRISDGGMVSSNVAAGIECLNLVLESATGRKRLALVKRHIQSLVSCLINVVLHLQGRNVFCMSVDSSDCFAHPDSGYVILLCIEVLIKICAKRAFFQLEKCHIAQLLRLPAAIFQNLFQLKEFPRVSTVGVIDESSRRDSSYAIYQQFLLKLYAGCCQLLCTVLKHHKSETQCCIALLDDSVSKLLHCLEMDTDSTVLGSHFIREVQDGVKCAGFLRRIYEEVRQQKDVYNRNSFQFLSAYIWVYCGYGPQKAGIRREIDEALRPGIFALIDICSTEDLQYLHTVFGEGPCRSVLASLQQDYKLNFQYEGKRLTPDFLRALIARAAMSGTCKLLAGMTDLYPGFNLNMNTHRFSIIKLTNCKISNQSFS >itb06g20840.t2 pep chromosome:ASM357664v1:6:23648327:23652302:1 gene:itb06g20840 transcript:itb06g20840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSCESLNYGRLADTCHQDPSFCAFSISSLSWFDIRVFYIRISNFVVNDSTPKFLTVDHVPLNLDTLLEVNGARCSTYSEGTSCLLRRDRVDKKSEVATFVSTDSIRLTGSMKFQVFDRDDLVLSGVLDKSNSNGFIGESKNNIQRWRMNCESVMSAGSGFLKGKPITGPESLSPIVEVYVAGCFSGTPVILTKSVQLNLRKKHHSKGMLYPIPEHETAGIQKDVASEIDLQIAEYGSYYKPENESMYWRQMEYLDGEDGELSWFNAGVRVGVGIGFGICVGIGLLARTYQATTRNLRRRFL >itb06g20840.t1 pep chromosome:ASM357664v1:6:23645314:23652302:1 gene:itb06g20840 transcript:itb06g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSCESLNYGRLADTCHQDPSFCAFSISSLSWFDIRVFYIRISNFVVNDSTPKFLTVDHVPLNLDTLLEVNGARCSTYSEGTSCLLRRDRVDKKSEVATFVSTDSIRLTGSMKFQVFDRDDLVLSGVLDKSNSNGFIGESKNNIQRWRMNCESVMSAGSGFLKGKPITGPESLSPIVEVYVAGCFSGTPVILTKSVQLNLRKKHHSKGMLYPIPEHETAGIQKDVASEIDLQIAEYGSYYKPENESMYWRQMEYLDGEDGELSWFNAGVRVGVGIGFGICVGIGLLARTYQATTRNLRRRFL >itb04g18970.t1 pep chromosome:ASM357664v1:4:22834265:22834594:-1 gene:itb04g18970 transcript:itb04g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIDNEQNSIEDNSETIRNQLWTEIHSCVGLVSGDNKQMEALTRLLSGTVTRADVCIRLAVVAKNKGSDKRIKGKREIAIEVGAKRACRCLKCDKYEHHDSRNYTNVT >itb15g12390.t1 pep chromosome:ASM357664v1:15:10317032:10321579:-1 gene:itb15g12390 transcript:itb15g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQTIATLCHDFGKVLTVSGENYEPPLIHKAETVEVNTDLGDESIVSIDTSEISYQEQTLPIFQKIEDLSTKVHDLKKEHAALSDEVKGITGDSFPCPEVFCALKDLSVQHELLRRKYDEECALLKKRYLDECSERKRLYNEVIELKGNIRVFCRCRPLNSEEVANGSTSVVDFDMAQENEIQIVSSDSSRKQFRFDHVFKPEDGQEAVFVETMPIVTSVLDGFNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEKLFSLSSERSSIMKYELFVSMLEVYNEKIRDLLIDSFSQPAKKLEVKQSAEGTQEVPGLIEARVYGTDEVWELLQSGSRARSVGSTNANELSSRSHCLLRVTVVGENLINGQRTRSHLWLVDLAGSERVGRIEVEGERLKESQFINKSLSALGDVISSLASKTAHVPYRNSKLTHILQSSLGGDCKTLMFVQVSPNAADLGETLCSLNFASRVRGVEHGPARKQADLTELHKYKQMVEKTKNDEKETKKLQDSVQSLQLRLAAREHICRNLQEKVRDLENQLAEERKMRLKQENRALAAVSSQSSALPPVNNSRKMTTEKPPLVPMKLRVPLRKITNLVPPASSIHPPKARSSFLPTVHEDKENVTRMAGGKSKAILKARRGSLIVRPLPQAATNQHQVQQPKRRASIATLPHEMITPVKSSIARPRNDRVMGRQSFVWDPQRLWRTSRVLSPQQKEKLVEATPVASVPRRGSTFMGTPRSSSSSKFMGSPPSQQVGSWRPKHPTVIALQKKQLVWSPLKMKAMRNSSHRKSFLAPSLQM >itb12g05370.t1 pep chromosome:ASM357664v1:12:3963713:3964795:-1 gene:itb12g05370 transcript:itb12g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFTAGVTGKREKCGHDLRRRRRRRDKRRGPERVHGTASKQYSKKANRSDRPPARPAPLRLSHMLDCHVLVSDKFLPAKTPPSSSSHSNLSITLSPSSSSSSKSSLSSPLFTLPTLSQFIRGKVNRMVRFGKW >itb12g21570.t1 pep chromosome:ASM357664v1:12:23886363:23887298:1 gene:itb12g21570 transcript:itb12g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSIVEFQPKLQNFICLEVLCLEHCEELKRAPNFTGAHSLKKLTMWNCSKLVKISESIGDLKNLVELDVVRCKNLRALPSSICKLKSLKFLTMLGCPKIKELPELSMWFSRDMIQQYLCSFKVIGSSPHNTLPVYLEEKLRFLMCFPSWCAVDYVLKYKRTGVELLSGSWRLCDYKAEDGLMQFSLSEYVYFTEPIKVEELELSMVLCPLKPGLEEKVTIHPYICYEEKDGDEDYLFPMEPNVEIKVSPVRITPRCRLVMPQTLGWLL >itb15g17720.t3 pep chromosome:ASM357664v1:15:18799419:18813490:1 gene:itb15g17720 transcript:itb15g17720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLQKLEDLGSKLDSLPNTKDSLVKLLKQGSTCLSDLDQSPPKAVLEAMQSFQKAIVKPELLKHQDREVKLLVATCICEITRITAPEAPYNDDVLKDIFDLIVSTFTGLNDMNSPYFGRKVVILETVARYRSCVVMLDLECDDLVNEMFSIFFREAREEHPENVLKSMKTIMAVILEESEDIREDLLAIILSALGRNKKGVTMAGRRLAVDLIEQCTGKLEPGIKQFLLSSMSGESRPHDFQIDYHEVIYDIYCCAPQILSGVVPYLTGELLADQLDVRLKVVSLLGDLFAMPKYAITEAFQSLFLEFLKRLTDRTVEVRMSVLENVKGCLLCDPFRAEAPQIISALCERLLDYDENVRKQVVAVLCDVACRALSSIEVERIKLVADRLRDKSVLVKRYTMERLAEVYRNYCLNCSTDSQKSYEYDWIPGKILRCFYDKDFRSDAVEPVLCLSLFPNEFSVKDKVKNWVRVFSGFDKVEVKALEKMLEQKQRLQQEMRRYLSLRQMPQDGDPAELQKKVMLCFRIMSHCFTDTAKAEENFQILDQLKDANVWKILGVLLDPNTASLQACNSRDDLLKILGEKHPLYDFLSILSLKCSYILFSKEHVRDILLEVNLHKSAGSSHLILSCMTVLVILARFSPLLLSGLEENLIHLLEDDNEIIKEGVLHILAKAGGSIREQLGVSSRSLDLMLERFCVEGSRRQAKYAVHALASITTDDGLMSLSVLYKRLVDMLKEKSHLAAVLQSLGCIAQTAMPVFETREIEIEEFIKKSILECNQASTDDGKDSWGNRSEICLLKIFGIKTLVKSYLPVKDAHLRSGIDDLMLILKNILCFGEISKEIQSSSVDKAHLRLAAAKAVLRLSKHWEHKIPVDVFYLALRTPEANFPQVKKLLLNKVHQYIKDRLLDPKYACAFFLDMKPEQVNIEEVKNNLSDIIQMCQQGKARHLSSQSDANAPTGYPEYILPYLVHALAHHPLFPNIEECKDVKAFEPFYRQLHLFLSMFMHRDEDGKSQISKDQEKESIAAINSILHSVKQSQDAVDATKSKNLYGISDLGLSIIKRLASKQDDALELNTLVPLPTMLFKQREKDEGNDTSPVEQQTWLTDEKVLVQFETLMLETNGKVNAEITESDIMKDSETDGNEMPLGKIMKRLKAKGSKARNEVKNESSLAEVKNDVDDDILKMVREINSDSAVNPNKFESSNGHEYVGKGKSSTKHQKRKTDGSDTTDGPVPKKRRSSAQALKPSPASKNEKPKKKLKASVSNSTERDDDLNSASEDKSLEEDTVSEDKLLNSCIRKNSTPSAKRKRKVSDLDHEVDTKDYHKVKKSKENIATDGTHVSSDSKSGSMKKQKRKNISGLAKCTSKGGESPTMDLIGCRIKVWWPMDKQFYEGVIKSFDTQKKKHVILYEDGDVEVLRLDKERWELVDRGEKPVKRSKSSKGASSKGVSGDKKGRSSGGSGQKKAMSYMSPSSQVRGKRTPRKNLKYGQKGISKSRSLVDDFESDKGKREEIMEKSSSDQEQSEKDDEISLSDEKRGDDDAENESGDVGESKEECQSENKEEEEEPGTPHDAPVSDEQEMSSSSDGKPQEEISEEKCSDEEHEVEKPDSPGSPMDDEAGSHPADEDESEETTTPADADFSDDETLSMWKRRVGKPAQRK >itb15g17720.t1 pep chromosome:ASM357664v1:15:18799404:18813490:1 gene:itb15g17720 transcript:itb15g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLQKLEDLGSKLDSLPNTKDSLVKLLKQGSTCLSDLDQSPPKAVLEAMQSFQKAIVKPELLKHQDREVKLLVATCICEITRITAPEAPYNDDVLKDIFDLIVSTFTGLNDMNSPYFGRKVVILETVARYRSCVVMLDLECDDLVNEMFSIFFREAREEHPENVLKSMKTIMAVILEESEDIREDLLAIILSALGRNKKGVTMAGRRLAVDLIEQCTGKLEPGIKQFLLSSMSGESRPHDFQIDYHEVIYDIYCCAPQILSGVVPYLTGELLADQLDVRLKVVSLLGDLFAMPKYAITEAFQSLFLEFLKRLTDRTVEVRMSVLENVKGCLLCDPFRAEAPQIISALCERLLDYDENVRKQVVAVLCDVACRALSSIEVERIKLVADRLRDKSVLVKRYTMERLAEVYRNYCLNCSTDSQKSYEYDWIPGKILRCFYDKDFRSDAVEPVLCLSLFPNEFSVKDKVKNWVRVFSGFDKVEVKALEKMLEQKQRLQQEMRRYLSLRQMPQDGDPAELQKKVMLCFRIMSHCFTDTAKAEENFQILDQLKDANVWKILGVLLDPNTASLQACNSRDDLLKILGEKHPLYDFLSILSLKCSYILFSKEHVRDILLEVNLHKSAGSSHLILSCMTVLVILARFSPLLLSGLEENLIHLLEDDNEIIKEGVLHILAKAGGSIREQLGVSSRSLDLMLERFCVEGSRRQAKYAVHALASITTDDGLMSLSVLYKRLVDMLKEKSHLAAVLQSLGCIAQTAMPVFETREIEIEEFIKKSILECNQASTDDGKDSWGNRSEICLLKIFGIKTLVKSYLPVKDAHLRSGIDDLMLILKNILCFGEISKEIQSSSVDKAHLRLAAAKAVLRLSKHWEHKIPVDVFYLALRTPEANFPQVKKLLLNKVHQYIKDRLLDPKYACAFFLDMKPEQVNIEEVKNNLSDIIQMCQQGKARHLSSQSDANAPTGYPEYILPYLVHALAHHPLFPNIEECKDVKAFEPFYRQLHLFLSMFMHRDEDGKSQISKDQEKESIAAINSILHSVKQSQDAVDATKSKNLYGISDLGLSIIKRLASKQDDALELNTLVPLPTMLFKQREKDEGNDTSPVEQQTWLTDEKVLVQFETLMLETNGKVNAEITESDIMKDSETDGNEMPLGKIMKRLKAKGSKARNEVKNESSLAEVKNDVDDDILKMVREINSDSAVNPNKFESSNGHEYVGKGKSSTKHQKRKTDGSDTTDGPVPKKRRSSAQALKPSPASKNEKPKKKLKASVSNSTERDDDLNSASEDKSLEEDTVSEDKLLNSCIRKNSTPSAKRKRKVSDLDHEVDTKDYHKVKSKENIATDGTHVSSDSKSGSMKKQKRKNISGLAKCTSKGGESPTMDLIGCRIKVWWPMDKQFYEGVIKSFDTQKKKHVILYEDGDVEVLRLDKERWELVDRGEKPVKRSKSSKGASSKGVSGDKKGRSSGGSGQKKAMSYMSPSSQVRGKRTPRKNLKYGQKGISKSRSLVDDFESDKGKREEIMEKSSSDQEQSEKDDEISLSDEKRGDDDAENESGDVGESKEECQSENKEEEEEPGTPHDAPVSDEQEMSSSSDGKPQEEISEEKCSDEEHEVEKPDSPGSPMDDEAGSHPADEDESEETTTPADADFSDDETLSMWKRRVGKPAQRK >itb15g17720.t2 pep chromosome:ASM357664v1:15:18799431:18813490:1 gene:itb15g17720 transcript:itb15g17720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLQKLEDLGSKLDSLPNTKDSLVKLLKQGSTCLSDLDQSPPKAVLEAMQSFQKAIVKPELLKHQDREVKLLVATCICEITRITAPEAPYNDDVLKDIFDLIVSTFTGLNDMNSPYFGRKVVILETVARYRSCVVMLDLECDDLVNEMFSIFFREAREEHPENVLKSMKTIMAVILEESEDIREDLLAIILSALGRNKKGVTMAGRRLAVDLIEQCTGKLEPGIKQFLLSSMSGESRPHDFQIDYHEVIYDIYCCAPQILSGVVPYLTGELLADQLDVRLKVVSLLGDLFAMPKYAITEAFQSLFLEFLKRLTDRTVEVRMSVLENVKGCLLCDPFRAEAPQIISALCERLLDYDENVRKQVVAVLCDVACRALSSIEVERIKLVADRLRDKSVLVKRYTMERLAEVYRNYCLNCSTDSQKSYEYDWIPGKILRCFYDKDFRSDAVEPVLCLSLFPNEFSVKDKVKNWVRVFSGFDKVEVKALEKMLEQKQRLQQEMRRYLSLRQMPQDGDPAELQKKVMLCFRIMSHCFTDTAKAEENFQILDQLKDANVWKILGVLLDPNTASLQACNSRDDLLKILGEKHPLYDFLSILSLKCSYILFSKEHVRDILLEVNLHKSAGSSHLILSCMTVLVILARFSPLLLSGLEENLIHLLEDDNEIIKEGVLHILAKAGGSIREQLGVSSRSLDLMLERFCVEGSRRQAKYAVHALASITTDDGLMSLSVLYKRLVDMLKEKSHLAAVLQSLGCIAQTAMPVFETREIEIEEFIKKSILECNQASTDDGKDSWGNRSEICLLKIFGIKTLVKSYLPVKDAHLRSGIDDLMLILKNILCFGEISKEIQSSSVDKAHLRLAAAKAVLRLSKHWEHKIPVDVFYLALRTPEANFPQVKKLLLNKVHQYIKDRLLDPKYACAFFLDMKPEQVNIEEVKNNLSDIIQMCQQGKARHLSSQSDANAPTGYPEYILPYLVHALAHHPLFPNIEECKDVKAFEPFYRQLHLFLSMFMHRDEDGKSQISKDQEKESIAAINSILHSVKQSQDAVDATKSKNLYGISDLGLSIIKRLASKQDDALELNTLVPLPTMLFKQREKDEGNDTSPVEQQTWLTDEKVLVQFETLMLETNGKVNAEITESDIMKDSETDGNEMPLGKIMKRLKAKGSKARNEVKNESSLAEVKNDVDDDILKMVREINSDSAVNPNKFESSNGHEYVGKGKSSTKHQKRKTDGSDTTDGPVPKKRRSSAQALKPSPASKNEKPKKKLKASVSNSTERDDDLNSASEDKSLEEDTVSEDKLLNSCIRKNSTPSAKRKRKVSDLDHEVDTKDYHKVKKSKENIATDGTHVSSDSKSGSMKKQKRKNISGLAKCTSKGGESPTMDLIGCRIKVWWPMDKQFYEGVIKSFDTQKKKHVILYEDGDVEVLRLDKERWELVDRGEKPVKRSKSSKGASSKGVSGDKKGRSSGGSGQKKAMSYMSPSSQVRGKRTPRKNLKYGQKGISKSKREEIMEKSSSDQEQSEKDDEISLSDEKRGDDDAENESGDVGESKEECQSENKEEEEEPGTPHDAPVSDEQEMSSSSDGKPQEEISEEKCSDEEHEVEKPDSPGSPMDDEAGSHPADEDESEETTTPADADFSDDETLSMWKRRVGKPAQRK >itb09g27010.t1 pep chromosome:ASM357664v1:9:27530203:27530863:1 gene:itb09g27010 transcript:itb09g27010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGNILRVKQGLRRSSSRTNRESEVPKGHFAVYVGESEKRRFVIPVSYLKDTSFQDLLCQAEEEFGFDHPMGGLRIPCMEDTFLEVISSLRF >itb13g16550.t2 pep chromosome:ASM357664v1:13:23522287:23525526:1 gene:itb13g16550 transcript:itb13g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALASLMATIEHEFLKPIPGIPLQDHQAPAQSLYKRISSLQSFLDESSGSGGAAIKDLEIRIRDFALKVEDDMEIQLSNFLLAKSRKSPTRKASRELHKTLKIAAGNAAELMDILHSIIKEADETQPSIRWLKHGASAEPLGKMVGRQRDRREIINQLTKGGLSNLKLLSIVGMVGIGKTTLAKSVYKDPTVQNHFDVCGWVTIPQEYNKTEVLGLLLRSISPGPESKTEKGKRSTPEELAKQVRTQLRYKRYLIVLDNIQEIGAWNDIQTCFPNDSYGSSVLITTGHFNLNLDCSFFYTHNHTHSLNLLDPNESWDLFCDIILSLKERVAPEFEKIKKHILEICDGLPLSIVVVAKRLSKCNNILKEWEKIRKEIESLGILDRNALIHYYNQLPQHLKVCFLYLGVFPKRKEIRVKKVVRLWITEGFIKPLEYDDFENQGYAYLKELIDRSLVLICNWSSDGNIKTCRMHSALHSFCVGESQKEGIFCAINTLEHPELPLSEFANSCRWLSLYTHSFDYYVLYSTNNPRSIFFFDDDPKTFVPFKLLRVLAFVPSPFLQRVSMHLGDLVFLRYLSISERYDGLGDIVSLLLNLQTLIVSSNEPQIEAPTIHLSPKIWELPKLRHLELGDMYMVDPPSVVKRKLQTLCSVVDPTTHCWKEVYFRCPNIKELKIFYKEDLEEPNHNTFGNPIILDDEYYSLQHLERLTISIWVGRIVTLPEPCMFPLQLKKLTLSGTNLSPTNLTVIGMELPELRVLKLENALSERVWRVAKGEFNELRFLLLEDNRLEQLLVEHRSFPSLEHLVLRVCWCLEEISVEFAECFSLESIELDRCNPSVIASAKLIQKMPQLWRGSLKVHS >itb13g16550.t1 pep chromosome:ASM357664v1:13:23522287:23525526:1 gene:itb13g16550 transcript:itb13g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALASLMATIEHEFLKPIPGIPLQDHQAPAQSLYKRISSLQSFLDESSGSGGAAIKDLEIRIRDFALKVEDDMEIQLSNFLLAKSRKSPTRKASRELHKTLKIAAGNAAELMDILHSIIKEADETQPSIRWLKHGASAEPLGKMVGRQRDRREIINQLTKGGLSNLKLLSIVGMVGIGKTTLAKSVYKDPTVQNHFDVCGWVTIPQEYNKTEVLGLLLRSISPGPESKTEKGKRSTPEELAKQVRTQLRYKRYLIVLDNIQEIGAWNDIQTCFPNDSYGSSVLITTGHFNLNLDCSFFYTHNHTHSLNLLDPNESWDLFCDIILSLKERVAPEFEKIKKHILEICDGLPLSIVVVAKRLSKCNNILKEWEKIRKEIESLGILDRNALIHYYNQLPQHLKVCFLYLGVFPKRKEIRVKKVVRLWITEGFIKPLEYDDFENQGYAYLKELIDRSLVLICNWSSDGNIKTCRMHSALHSFCVGESQKEGIFCAINTLEHPELPLSEFANSCRWLSLYTHSFDYYVLYSTNNPRSIFFFDDDPKTFVPFKLLRVLAFVPSPFLQRVSMHLGDLVFLRYLSISERYDGLGDIVSLLLNLQTLIVSSNEPQIEAPTIHLSPKIWELPKLRHLELGDMYMVDPPSVVKRKLQTLCSVVDPTTHCWKEVYFRCPNIKELKIFYKEDLEEPNHNTFGNPIILDDEYYSLQHLERLTISIWVGRIVTLPEPCMFPLQLKKLTLSGTNLSPTNLTVIGMELPELRVLKLENALSERVWRVAKGEFNELRFLLLEDNRLEQLLVEHRSFPSLEHLVLRVCWCLEEISVEFAECFSLESIELDRCNPSVIASAKLIQKMPQLWRGSLKLKIDGTEYFEPQSYTHAGESAEEDWP >itb14g01020.t1 pep chromosome:ASM357664v1:14:765191:769356:-1 gene:itb14g01020 transcript:itb14g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDTSAVAVAGESIETALRAVHDVVRISGSGFSGAFKRDCTDLARRVSLLAHLLEEIRDFKAHLVVGSSSSSSSSSSSSGSCLSDLSLALQAAKRVLLTANDIDSKISSDGAMKKIHFQFQCVTWKLEKALGNFPYDHLDISEEVTEQVHLLRAQLRRATERYGGPINSNILARTLSQPLDKEIDPLHLQPSNRAIGSLHIENIGNIDHEVRAKTGGIAVPLVNGTNDCGSSRTIVESESAMNSPSSPEDCVPGISGSVHEDEPTDETQPEENKKPKSPVIPNDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLEHLTLTPNYVLRSLISQWCGKHNIQQPTALINGRIKKGDGSFREVSGNVAAIEALVRRLSSRLVEERRAAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLTSEDGPIQENAVTSILNLSIYDNNKGLIMLAGAIPSIVQVLRAGSMEARENAAATIFSLSLGDENKIIIGASGAIPALVELLQNGSTRGKKDAATALFNLCIYQGNKGRAVRSGIIPALLTMLTDPSSGMVDEALTILSVLASHQEAKAAIAKANTIPVLIDLLRIGLPRNKENAAAILLSLCKRDSENLACLSRLGAVIPLTELSKSGTERAKRKATSLLEHLRKAQQL >itb07g01510.t1 pep chromosome:ASM357664v1:7:907748:914909:-1 gene:itb07g01510 transcript:itb07g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEEGEIVPECIIDYYFVDCNDQPVSFVLLPLGWDGSEVSVSSSTHLFVRGTADDGFQKVYKKAVAWKFKLCFLEPLIYVLLPKDNTWVKLSKPRKSYQEIIKPVLTVVHCLQFVKFNPQQSREAVWKHIVKTLSACEVLPCEDDLFKHISILRDTALRDKDISKSEYLAGFLSETSMKSAYESKVNQANKRHKFIVDEDDDDSGGGDEGDGTQEGIFDHVCALCDNGGELLCCEGRCIRSFHPTIESGADSLCESLGYTSNLVESIQTFICKNCEHGRHQCFACGSLGFSDSSSGAAEVFPCVSATCGHFYHPKCVSRLVYPSDETQAIKLEKEIANGEQFTCPAHKCFTCNQVEDKKANELQFAVCRRCPRAYHRKCLPRSITFENNFEQNIQQRAWDGLLPGRILIYCMEHKMIPDIGTPRRDHILFPIEVRKDKQASGQLSSKEIVLSQRRTKVLGAMRTTVEGEGGKSFQRFPGDSIKKEGKPLKVASTLKMSQTVKTVMCIPKETYKPPNVEKRKFKEPVNMRLVSKGQNVSQTRNVVKRVITVKEDNSECPSRDETEKSIRALVESIDSSFDKNKFMMEHKKNSIHTFSSRFDDVKTITEAKVERAVKAVQAALKKLDEGHSIEDAKAICEPEVLKNIFKWKKNLGSYLAPFLNGMRYTSFGRHFTKLDKLREIVNRLRWYVQDGDMVVDFCCGSNDFSCLMKEELQKMGKTCEFKNYDLIQPKKDFNFEKRNWLSVGVGELPEGSKLIMGLNPPFASAYDFLRKALTFRPKVLIVTVPKDTTRLDGRQDGYDIVWEDHKILAGKSFYLPGSVDVHDQQMDQWNITAPPLYLWSRPDWTTKHKAVALEHGHIVTEQEQHPKEAAEHSGCRNGITTYLTEEPQDCYSNFSNVLSGYGDINSTLDNIPETSDYNEHGKTGAFGCHMQQEGGLTNYQDVNEAEDMCVDMELSMPDSPFSMLKK >itb10g25670.t1 pep chromosome:ASM357664v1:10:28884360:28885768:1 gene:itb10g25670 transcript:itb10g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQCSKQVERRKAVHTEKKYLQDLQGKDGDDFPGSDYHPADRKNWMGGLDPAKLGVNQIVWPGTHDSATNKIGIPLISRPFAQCQSLSIYNQLVTGARVLDIRVQEDRKVCHGILTTYGVDVVINDVKKFLSETQSEIIILEIRTEYGHDDPADFDKYLEEELGEYLIHQDDQVFGKTIAELLPKRIICVWKPRKAAQPKAGGPLWSSGYLKDNWIDTDLPEKKFESNMQYLSEQQPVNSRKYFYRVENTATPQADNPVLCVYPVTNRIHPYARLFINQCISQGHADKLQIFSTDFIDEDFVDACVGFTSARLEGKV >itb15g13760.t1 pep chromosome:ASM357664v1:15:11844682:11852230:-1 gene:itb15g13760 transcript:itb15g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAISFSASAAPVPRSDCAAAVPSSVAPRSLRFCGLRREAFGAKSLSSSLRSTRFSSSCLRRSHSGRVSASLGNGTPSNSGFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVAAAGYDRQAVADHANNLASKIRSNLTNSLKALGVDILTGVGTVLGPQKVKIGSGDTVVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRILINPRNIDYHTGVFASKITPAKDGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTQGLGLENINVQTVRGFVPVDERMRVLDANGELVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGKDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEISVAKTNFKANTKALAENEGEGLAKLIYRPDNGEILGVHIIGLHAADLIHEASNAIAMGTRIQDIKFAVHAHPTLSEVIDELFKSAKVKVETSSPVSEPVAV >itb11g04150.t1 pep chromosome:ASM357664v1:11:2216223:2219343:1 gene:itb11g04150 transcript:itb11g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYTGEHPRDVYGRIRIAQDCWQKFSKEAAFALYTPFIVSLASGNLKVESFRQFVAQDVYLLNIFAHALELAETNAQDDYAKHQINELRKTTINLHGSFVQEWGSDGFEDTTLNPETSKCKDFLLATASGKIDGGLIDHNPYKKWIENYSSDSFQDFSLQTQYLLNSLSDSLTVEELDIIERLYSQGFKHEINFFLAQPLIQKAVVPLSREHNLEKRQLMIFSDFDFSFTYVDSSVVLAGIAIAPETDKILKENPIKSSNLIRHRWGVVHSNYIDSYEQCIEDMLATEKAEKLNYKGLRKVLEKLSDIEKEANSMVIECGVLKGLKLEDIQRAGERLIRYDVCFEFFRTVIKKESLDADVHVVSYCWCDDLIRSTLSSGGLNGLKVHANELKFGDSGCTGEIVRKVESPIDKVQVFGKIIESCGKGKKLLTVYIGDSFKDLLCLLEADIGIVVDPSPRLIKVGKHFGIRFIPLFHGVLDKQKESVEVEGADTYSSTWKGGLSGIIYTAP >itb15g08620.t1 pep chromosome:ASM357664v1:15:6019066:6024274:-1 gene:itb15g08620 transcript:itb15g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYPCSSPSMVALLFVVFLSLFPQLGFCATRHYTFNIVQKNVTRLCSTKSILSVNGRFPGPRLVAREGDRVVVKVVNHVSNNITIHWHGIRQLRSGWADGPAYVTQCPILTNQTYTYNFTITGQRGTLFWHAHISWLRATLHGPIIILPRRNESYPFQKPYKEVPIIFGEWWKVDPEAVISQALQTGGGPNVSDAYTINGFPGPLYNCSSKDTYKLKVKPGKTYMLRLINAAMNDELFFSIANHTLTIVEADATYIKPFETNVVLITPGQTTNVLLKTKPFHPNASFLMEARPYFTGQGTFDNSTVAAILQYQHPPPPGNNINVKIKMFRPTLPALNATSFVANFTRKFRSLGSSEFPANVPRVVNKRFFFTVGLGSVPCPKNVTCQGPNNNSRFAASVNNVSFALPSTALLQAYFSGKSNGVYSTDFPVNPPNPFNYTGASPNNTAVGNSTRLVVLPFNASVEVVLQDTSILGAENHPLHLHGFNFFVVGEGFGNFDPNKDPAGFNLKDPVERNTVGVPAGGWVALRFFADNPGVWFMHCHFDVHTSWGLRMAWIVLDGSLPNQKLMPPPSDLPKC >itb15g08620.t2 pep chromosome:ASM357664v1:15:6019066:6022312:-1 gene:itb15g08620 transcript:itb15g08620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLINAAMNDELFFSIANHTLTIVEADATYIKPFETNVVLITPGQTTNVLLKTKPFHPNASFLMEARPYFTGQGTFDNSTVAAILQYQHPPPPGNNINVKIKMFRPTLPALNATSFVANFTRKFRSLGSSEFPANVPRVVNKRFFFTVGLGSVPCPKNVTCQGPNNNSRFAASVNNVSFALPSTALLQAYFSGKSNGVYSTDFPVNPPNPFNYTGASPNNTAVGNSTRLVVLPFNASVEVVLQDTSILGAENHPLHLHGFNFFVVGEGFGNFDPNKDPAGFNLKDPVERNTVGVPAGGWVALRFFADNPGVWFMHCHFDVHTSWGLRMAWIVLDGSLPNQKLMPPPSDLPKC >itb12g04390.t1 pep chromosome:ASM357664v1:12:2882265:2884526:1 gene:itb12g04390 transcript:itb12g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRHGLLEELIAPLNTTNEFFPNGWISSSFESSLLAAHQNHPPPSDFLLSSNSSLEDDDSTTFACPLADIFKKPRTPPPFPVEEVHGGGREPRQLLVTGFEAAKEEMKKKKKKKVEEGQPSKNLMAERRRRKRLHDRLSMLRSIVPKISKMDRTSIVADAIDYIKDLLHKINQLRQVRENPTGLFKPPPDDEVTNPTSSPKFDVERRHEDTRIEICCATRPGLMLSTVSTLEAIGLDIQHCVISCFTTDFSFQASCTEAMEHPTVVRRPEDIKQVLFKTAGYGGRCLYEK >itb11g10700.t1 pep chromosome:ASM357664v1:11:7640969:7642565:-1 gene:itb11g10700 transcript:itb11g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEATQPPPNFWGDMPEEEYYASQGVRHTHSYFDTPNGTIFTQSFRPLDETQPVKATVFMTHGYGTDSGWLFQRICISIATWGYHVFTADLLGHGRSEGIRGYIGDMEKVAATSLCFFKSVRYSEEYKDLPAFLFGESMGGLITTLMYLQSEPGTWTGLILSSPLLVIPENRKPSKALLFMYGLLFGQADTWAAMPNQEAVGNSIRDLDKLKIMARNPRKYVGKPRVGTMREIANKTEYVQKNFDKITVPFLVAHGTADGVASPAGAEMLYEKARSEDKTLKLYEGLYHSLIQGEPNEDANRVLADVKAWLDERAAKYGPKHDA >itb01g33510.t1 pep chromosome:ASM357664v1:1:36612071:36617976:-1 gene:itb01g33510 transcript:itb01g33510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRLGSYISRGVYSVSTPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVMKTKEKEVNICVNGVEMDFHMYLGNDGQAWFLNEVDVEEGDNQSSAPSSGEDTDGQSNCRQPMKTKSCSFESFDGIESFSAAKAGVGDGSVVVRKNSRRGILGYVFGKKSIKERAGSVVRVDSLERAEIAADLLEVKWSTNLRSPRRQVLKISSQDVSNKSNAKQNLPIDDKVDCTTDYLDSGKENGSVDHENGSEKQRKVVEETGIYLCSSVSECVTAGDIIGDKNSVINSNNSPFLNGEESPQTALTTSNRIMDGLVTETHSQQIYLHPLDSSSEEVEPHSSITVSSSNTSNSEALVEKIIVEHKSSELLDPCEPDVRSVSHSTSTPEILEVEQLIFGELDDLSSSNIKHTVLATSDSNEKEVSHRLASGSSEGVIESFIPSNEFISSSDEYVQGNPSFVADRIKLKSVASDVCISATSRAQPDEVPRLAKSLPIMWSHDSIVPGGQNDQHPTKISQGCEDAMVIQELNAKPVEAETGKKSPPTDANGGSHRARSFPIKRSRSTNLNGNRNTEAKTPLKVSNDSMEEDVCKVKVTKKKVRVLTPTSEQLASLNLKEGKNVVIFTFSTPMLGKQQVDAQIYLWKWDTRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVAHLFSAIKENGFQLLFLSARSISQAYVTRQFLINLMQDGKGLPQGPVIISPDGLFPSLFREVVRRVPHEFKIACLEDIKALFPADRNPFYAGFGNRDSDEISYLKVGIPKGKIFIINPKGEIVVNRHITNTKSYTSLRTLVNGMFPVVSSSEQEDFNSWNFWKLPPPALHGRR >itb01g33510.t2 pep chromosome:ASM357664v1:1:36612081:36617976:-1 gene:itb01g33510 transcript:itb01g33510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSCSFESFDGIESFSAAKAGVGDGSVVVRKNSRRGILGYVFGKKSIKERAGSVVRVDSLERAEIAADLLEVKWSTNLRSPRRQVLKISSQDVSNKSNAKQNLPIDDKVDCTTDYLDSGKENGSVDHENGSEKQRKVVEETGIYLCSSVSECVTAGDIIGDKNSVINSNNSPFLNGEESPQTALTTSNRIMDGLVTETHSQQIYLHPLDSSSEEVEPHSSITVSSSNTSNSEALVEKIIVEHKSSELLDPCEPDVRSVSHSTSTPEILEVEQLIFGELDDLSSSNIKHTVLATSDSNEKEVSHRLASGSSEGVIESFIPSNEFISSSDEYVQGNPSFVADRIKLKSVASDVCISATSRAQPDEVPRLAKSLPIMWSHDSIVPGGQNDQHPTKISQGCEDAMVIQELNAKPVEAETGKKSPPTDANGGSHRARSFPIKRSRSTNLNGNRNTEAKTPLKVSNDSMEEDVCKVKVTKKKVRVLTPTSEQLASLNLKEGKNVVIFTFSTPMLGKQQVDAQIYLWKWDTRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVAHLFSAIKENGFQLLFLSARSISQAYVTRQFLINLMQDGKGLPQGPVIISPDGLFPSLFREVVRRVPHEFKIACLEDIKALFPADRNPFYAGFGNRDSDEISYLKVGIPKGKIFIINPKGEIVVNRHITNTKSYTSLRTLVNGMFPVVSSSEQEDFNSWNFWKLPPPALHGRR >itb08g07520.t1 pep chromosome:ASM357664v1:8:6443964:6446890:1 gene:itb08g07520 transcript:itb08g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIWTGLSPAPALPLQVSRPIVAPPSSCSFRPSSLRMRIHASFHSYPLASKIMVSNLPYTTDENCLLKEFSKFGQIAEVKIVKDKGSKTSRGYAFIQYTSQEHAMLALEHMDSKYFDGRVIRVDLAKLRKKDFGGYVKTCGPPSKTKTSPTHEENQ >itb14g21480.t1 pep chromosome:ASM357664v1:14:23465370:23466002:-1 gene:itb14g21480 transcript:itb14g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSMAAHGGAGKVKVILADGRVCEYVEPLTAAEMMLEHPQQVVVEFQAVAEGKKAAPLPADRKLEMNKVYLMLPIRRGKPAALSKEEARQLLGKTDAVLKTKLLSSSSSVLCHYTGFVPLFARMCPASSSGGGVVLNAKRNIGIRYLGENEEEEEEEGSKPDYFSEIVEGRPEYLNMMMSRQLSGKGWKPNLDTIKEKNIKTKVRHWLF >itb10g21700.t1 pep chromosome:ASM357664v1:10:26796540:26797751:-1 gene:itb10g21700 transcript:itb10g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMKHWMEGEITVFIKIWLSIYLSLFYCFFAAKMAPIGLPRLLLFLPVISLFLLLPLALHTVHLCGTTAFFISWLANFKLLMLAFNHGPLPSLSLPHFLVIACLPIKIHQKHTDNSVSSAQQDSGQHRQLNWFVNETEEKVQQHQTLILTPNELFKEKPPVEVSKNRQKSAVSYALKAVLMGLIIKIYDYSDSINPTVILIIYFLHMYLFLDTILAIVAALARAVLGLELEPTFNEPYLSDSLQDFWGSRWNLMVNRIMRPTVYSPFLDVSDKYLGRKWATYPAVMATFTVSGLMHELIYFYLGRVRPTWEVTWFFLLHGACVAVEIAVKKVLRGRCWLPGILGTILTLGFVMLTGFWLFLPQLLRCEAFVKAIAEYATLGAYLKDVRKALTLGATSSKVL >itb11g21790.t1 pep chromosome:ASM357664v1:11:23529683:23532959:1 gene:itb11g21790 transcript:itb11g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQYAYFNSEEAAIVQIKIPTTLNTHFEPKVKLVFSNPSKPPLPSGMGVFSHNHILYMVGGYNTRKFRGTGNNRREVKDDEDAYGCMFRYEYEYDDRVHMFDPTKCHQIPVENIETLQNLGCAHTVLPKVIRAEDRIYLLSRKDDHFGYRVHSSKKHLDENVPLDFQYFDLNKKLFETLSPPPIRINLEMHLNLIGVQGHFFLRGYIYVFITDTTTCFETFKFSTKESKWEDCKSFVDRFKERNIPFPFLHAGDMGVSDEFDDNTWILVSLHGKLPTAYRVRLSDTGDIDPISHRVLAEFKFSDADMPYSVRDWKQLADMGGERFCVMHTTSSGDFFIYVFEINFRLEHAIQTFESGDRSSNIIFSMKFDPYDTLPSGHVLTGFCIASAPLPASPDNEDQDMTPPRASPDNEDQDMTPPRASPDNADQDSSSCTAELAVKTTII >itb13g05630.t1 pep chromosome:ASM357664v1:13:6813111:6816843:-1 gene:itb13g05630 transcript:itb13g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLCKSLNLAFLGLLILHVSSSVSETAPNYSFMHQATAAPKVAYYDYVIIGGGTAGCPLAATLSQNYSVLLLERGGSPYGNPNITNLSSFGVALSDLSPTSPSQRFVSEDGVINARARVLGGGSCLNAGFYTRASPDYVSDVGWEGRLVNESYRWVEKKVAFMPPVREWQSAVRDGLLEAGITPYNGFTYDHMYGTKIGGSTFNSSGQRHTAADLLEYANPSGLTVLLHATVHRILFRHKGSVKLRASSVVFRDALGVRHKAILKKGSMNEIIVSAGALGSPQLLMLSGIGPAQHLKDHNISVILDQPMVGRGMSDNPMNAVFVPSPVPVEVSLIQIVGITPFGSYIEAASGENFAASVPSHDYGMFSPKIGQLSTVPPKQRTPEAIADAIAAMSKLEQPAFQGGFILEKIMGPLSSGDLFLRTLSPDDNPSVTFNYFKNPEDLQRCVNGIKVIESIIESKPFAKFRLDSLSWKILLNMSASAPVNLLPKHTNASISLEQFCKDSVMTIWHYHGGCQVGRVVDQNYKVFGVNNLRIVDGSTFYYSPGTNPQATVMMLGRYMGVKISRERLARKNSKKSK >itb05g11550.t2 pep chromosome:ASM357664v1:5:17656058:17659163:1 gene:itb05g11550 transcript:itb05g11550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNCLLCAWYGLPFVSPNNILMTTIDGIGTIIELAYVVIFLVFAPKNEKKKMGALLILVVGIFVAVVLLSVLVFHGRIRQLFCGFASLIFTAIMFFSPLSVIRVVMKTKSVEFMPFLLTFSMFLCGTSWLIFGLLENDPFVYVS >itb05g11550.t1 pep chromosome:ASM357664v1:5:17656058:17659656:1 gene:itb05g11550 transcript:itb05g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNCLLCAWYGLPFVSPNNILMTTIDGIGTIIELAYVVIFLVFAPKNEKKKMGALLILVVGIFVAVVLLSVLVFHGRIRQLFCGFASLIFTAIMFFSPLSVIRVVMKTKSVEFMPFLLTFSMFLCGTSWLIFGLLENDPFVYVPNGTGCGVGVLQLIIYAIYSENTIFMTIKRSFFQGMLQTNAMSQQEKKQSSIPLSKYDEPV >itb15g15480.t1 pep chromosome:ASM357664v1:15:14405542:14406417:-1 gene:itb15g15480 transcript:itb15g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWLNKWQKVKWQKSSESSDDAWGNCADWVPSCRLIWESDPLPSLAHPSPSSSSLLLSQSFSKPCQNHPPLSRKITKMRFSRDIHNLLALLFISYSRVSTLCLSCGVWSLICSWKDQREAVIDTLGGRMGAGLFH >itb11g08460.t2 pep chromosome:ASM357664v1:11:5564284:5566930:-1 gene:itb11g08460 transcript:itb11g08460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISQTLNLIRSNCATTTGASFLPPGAKSSSSAVVDSIRLTSSRSVSSHPDSSVKNASGDVSEAEAVAVQRIEDAIHRIIVRRSAPDWLPFVPGASYWVPPRRGSYGIADLVHKLSNSLSEEEVMALTTDRGWPSSSFFVDGIYISLT >itb11g08460.t1 pep chromosome:ASM357664v1:11:5564284:5566930:-1 gene:itb11g08460 transcript:itb11g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSISQTLNLIRSNCATTTGASFLPPGAKSSSSAVVDSIRLTSSRSVSSHPDSSVKNASGDVSEAEAVAVQRIEDAIHRIIVRRSAPDWLPFVPGASYWVPPRRGSYGIADLVHKLSNSLSEEEVMALTTDRGWPSSSFFVDETNADPKSTSKDEEEEG >itb14g01920.t1 pep chromosome:ASM357664v1:14:1584494:1586252:1 gene:itb14g01920 transcript:itb14g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQVCCMELRINLDCAACCRKMKRVLLRMKEIEQHMIERQSCRVSVCGRFDPGDVAIKIRKKMNRRVEILDVQIFTNRDGQPE >itb14g01920.t2 pep chromosome:ASM357664v1:14:1584494:1586252:1 gene:itb14g01920 transcript:itb14g01920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQVCCMELRINLDCAACCRKMKRVLLRMKEIEQHMIERQSCRVSVCGRFDPGDVAIKIRKKMNRRVEILDVQIFTNRDGQPE >itb08g08570.t1 pep chromosome:ASM357664v1:8:7535875:7547409:1 gene:itb08g08570 transcript:itb08g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSSCFIVHCCSSSSSATAVVTDRAAGRNRRSSSNSITSTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKDLGNGEESSNENDYVSISGRIVARRAFGKLAFFTLRDDSGTIQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVEICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRGRLEEQVRQHNEKREAAVSEAAVEGKDDDDDDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNAASIRDVIAFPVLKAQQKLTE >itb08g08570.t3 pep chromosome:ASM357664v1:8:7539208:7546440:1 gene:itb08g08570 transcript:itb08g08570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSSCFIVHCCSSSSSATAVVTDRAAGRNRRSSSNSITSTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKDLGNGEESSNENDYVSISGRIVARRAFGKLAFFTLRDDSGTIQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVEICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRGRLEEQVRQHNEKREAAVSEAAVEGKDDDDDDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNAASIRDVIAFPVLKAQQKLTE >itb08g08570.t2 pep chromosome:ASM357664v1:8:7535875:7546494:1 gene:itb08g08570 transcript:itb08g08570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHPFKHLIHFASLRSTRAIQFRNPSSCFIVHCCSSSSSATAVVTDRAAGRNRRSSSNSITSTSTSDREAIRAIRIKKVEELRIKGLEPYAYKWDRTHTANQLQEIYKDLGNGEESSNENDYVSISGRIVARRAFGKLAFFTLRDDSGTIQLYCEKERLSSDQFDQLKTLVDIGDILGARGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADIFRKRAKIVSEIRRTVESFGFVEVETPVLQGAAGGAEARPFITYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIITRCALAVHGKLTVDYQGVEICLERPWRRETMHNLVKEATGIDFNQFGDDLTAAKELVLKTIDVCHDNQQKSYIEASRSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEVSPLAKPHRRYSGLTERFELFICGRELANAFSELTDPLDQRGRLEEQVRQHNEKREAAVSEAAVEGKDDDDDDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNAASIRDVIAFPVLKAQQKLTE >itb03g04580.t1 pep chromosome:ASM357664v1:3:2913346:2915036:1 gene:itb03g04580 transcript:itb03g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRRAAAKLLGGLRLSSSATSLQKGSSIFLSNAVSGKLECSNQHGFGGDSFSRHPFKWIFLFGQAVILGGQATVVMAEDVSTPVSSNDANEAEIAGLQKIEDGSITSNIHTQKWRVFTDSGRDLFLEGKLEDAEKLFLAAIQEAKEGFGDRDSHVASACNNLAELYRVKKELDKAKPLYLEAISILEESFGHDDIR >itb15g14080.t1 pep chromosome:ASM357664v1:15:12227690:12232591:-1 gene:itb15g14080 transcript:itb15g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPSKHSRDQLQGFEGLLNNLQDWELSLKNKDKKVKSEALGKEKLGEGVKSANELSSVPQTNGRQVEKLNSSSSAAGQYGYLKNYDSINHLSSRFRTEDSFADANAEKELGNEFFKHKKFNEAIDCYSRSIVLSPTAVAYANRAMAYLKIKRFQEAEDDCTEALNLDDRYIKAYSRRSTARKELGKLRESTEDAEFALRLEPQNNEVKKQYAEVKALYEKEILKKVSGSTKKSVQRTQKSAKPEEEMKKSEATVQSVSSSSQRVTEIQGSKRTVSAKPSINIEASPMELDTKESDANPNSSLATAERNRKSDRQELKESVQELAARAASYAKAEAAKNIAPPNSAYQFEVSWRGLSGDRNLQVQLLKVTSPAALPQIFKNALSAPMLMDIARCIATFFMEDEDLAVRYLENLPKVPRFSMIIMCLSSSDKAELAKIWDEVFSRGTSKNSNILYALRLKYGLKQ >itb05g25480.t1 pep chromosome:ASM357664v1:5:29862572:29865985:1 gene:itb05g25480 transcript:itb05g25480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRPDESRRMEIEELRRMLLSCAGVYRRKEEEALRLSGPAMPLEQDDKLVCVIGGVSYLGIAIVNQLLLRGYSVRILVDNEEDLDKLREMETSGEMRGPNNSNVVEGVMARLTEVEGLSEAFNGCRGVFQTAAFIDPAGLSGYSKSMAEVEVMASKSVLEACASTSSVRYCVLTSSLLTCIWQDNGLNNTSRKIDHDSWSNDSICTSKKLWYALGKLRAERAAWEIAKETGLKLVTICPGLITGPEFRNRNPTPTIAYLKGAREMYRKGLLATVDVNALAKAHVTVYEEMKNTAHGRYVCFDKVLSSPEEAENLARETGIDIGTILDDDVELNDQNSSQERFQLSDLKLCRLMSRTFRCLDYLN >itb04g05220.t4 pep chromosome:ASM357664v1:4:3243384:3245348:-1 gene:itb04g05220 transcript:itb04g05220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MFKPLLPNSTSSLIIYFFPNPCVAPLNNHYWSKNDALLVQNLALAIGGADGPARLASSAASQAARTAVALTCEMDVALYYKETESESETMSSVVRGGVRRNWRRRRRRKRKEREFLDDEVEEKLVHFWPGKSGLYLSPEEVAECSWYLKEEARVEAVRRSIEGAGEDKLTTNQWGKAAGMNTKTLNRILFNAKKSKELIIRSYKALVVTIASLYQGRGLSLQDLIQEGSIGLLHGAKKFNPERGFKLSTYVYWWIRQAMLRAIANKSRVIRLPVR >itb04g05220.t1 pep chromosome:ASM357664v1:4:3243384:3245348:-1 gene:itb04g05220 transcript:itb04g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MFKPLLPNSTSSLIIYFFPNPCVAPLNNHYWSKNDALLVQNLALAIGGADGPARLASSAASQAARTAVALTCEMDVALYYKETESESETMSSVVRGGVRRNWRRRRRRKRKEREFLDDEVEEKLVHFWPGKSGLYLSPEEVAECSWYLKEEARVEAVRRSIEGAGEDKLTTNQWGKAAGMNTKTLNRILFNAKKSKELIIRSYKALVVTIASLYQGRGLSLQDLIQEGSIGLLHGAKKFNPERGFKLSTYVYWWIRQAMLRAIANKSRVIRLPGRVSELVPKICNTNAVLTRKLHRFPTYHEIAEALEMNSSTVRLIMQRTSTAPISLDEAITPRGHASLQNIIPGPEDLTPEEMVKKQLMKTEIQNILGMLCDREASILRLYYGLNGNRPHSFEEIGWSFNLSRERVRQISYDAMFKLRHSNVVDDMKGYLV >itb04g05220.t3 pep chromosome:ASM357664v1:4:3243384:3245348:-1 gene:itb04g05220 transcript:itb04g05220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MFKPLLPNSTSSLIIYFFPNPCVAPLNNHYWSKNDALLVQNLALAIGGADGPARLASSAASQAARTAVALTCEMDVALYYKETESESETMSSVVRGGVRRNWRRRRRRKRKEREFLDDEVEEKLVHFWPGKSGLYLSPEEVAECSWYLKEEARVEAVRRSIEGAGEDKLTTNQWGKAAGMNTKTLNRILFNAKKSKELIIRSYKALVVTIASLYQGRGLSLQDLIQEGSIGLLHGAKKFNPERGFKLSTYVYWWIRQAMLRAIANKSRVIRLPCVLCRGEYLSWSRRFATRTLS >itb04g05220.t2 pep chromosome:ASM357664v1:4:3243384:3245348:-1 gene:itb04g05220 transcript:itb04g05220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MFKPLLPNSTSSLIIYFFPNPCVAPLNNHYWSKNDALLVQNLALAIGGADGPARLASSAASQAARTAVALTCEMDVALYYKETESESETMSSVVRGGVRRNWRRRRRRKRKEREFLDDEVEEKLVHFWPGKSGLYLSPEEVAECSWYLKEEARVEAVRRSIEGAGEDKLTTNQWGKAAGMNTKTLNRILFNAKKSKELIIRSYKALVVTIASLYQGRGLSLQDLIQEGSIGLLHGAKKFNPERGFKLSTYVYWWIRQAMLRAIANKSRVIRLPGRVSELVPKICNTNAVLTRKLHRFPTYHEIAEALEMNSSTVRLIMQRTSTAPISLDEAITPRGHASLQVLSFPNLRIIYLTPTKWRMN >itb13g17690.t1 pep chromosome:ASM357664v1:13:24645832:24646146:1 gene:itb13g17690 transcript:itb13g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEEVKLHGSWPSPFMYREIWALKLKGIPFQYIEEDLSNKSQLLLKYNPIHKKIPVVVHGGKPICESMVILEYLEETWPHQYPLLPTDPHDRAAARFWCKFA >itb14g20450.t1 pep chromosome:ASM357664v1:14:22800798:22811214:1 gene:itb14g20450 transcript:itb14g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNQKRSERFSSSGSRRSLKRKLEEVLEHDRSRIVSLSVEEAHRDLVVEVRTQVEILEASFSSTEQDRASSKRAIHVLSELAKNEEFVNVIVECGAVPALVRNLLPPVPEGERDSGPIQYEHEVEKGSAFTLGLLAIKPEHQQLIVDAGALPHLVNLLKRHRDGQTSRAVNGVIRRAADAITNLAHENSNIKSCVRVEGGIPPLVELLEFVDPKVQRAAAGALRTLAFKNDENKHQIVECNALPTLILMLRSEDSAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVVALLSSSCSESQRESALLLGQFAATDSDCKVHIVQRGAVPPLIEMLQSPDAQLREMSAFALGRLAQDSHNQAGIAHSGAILPLLRLLDSKNGSLQHNAAFALYGLADNEDNVADIIKVGGVQKLQDGEFIVQPTRDCVAKTLKRLEENIHGRVLSYLLYLMSVGDKVVQKRIALALAHLCSPEDQKTVFLDNNGLELLLELLESNNVKHQRDASAALCKLADKANSLSPVDAAPPSPEPQVYLGEQYVNNNTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNIKWDVFELMMRYVYTGSVNVNLEIAQDLLRASDQYLLEGLKRLCEYAIAQDISVENVSLMFELSEAFNALSLRNACILFILENVDKLSAMCCFSDLIQRILPETRSYFGDMAAQLSGVWFNGPAIPLTGGRSLQCRSSSSPSPLSALPSSPFPSSSIQIVGGKANGLYLNETSKLGITLEGSQEKYDWNDLETDLYYWTKQLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPMSTTHPLMDSWLGNKTHILVLNREDMVSTADRNAWAAYFARQGIKVVFSNGKLGMGALKLGRLAKTLAGSVNIKRKAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGIIPMRISDQTSAIKLAICDDIGERSYDVVDVAAVLVQMLSRLPNLGDKVLQDRYKVGIDGHCGKLFVQKLALQLFNGDTHQASFRILSDFRKGKFGWVALERPPRFVTWHFYLLWQKFSHGTMGYGSSTYTLKHTFHETLVPASQKISEYTDRLPENLFPALFTCNLNWRQTWQKYRMGTNTVT >itb09g13150.t1 pep chromosome:ASM357664v1:9:8516612:8519731:1 gene:itb09g13150 transcript:itb09g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVCKVSLFIFFSFCEYLLSYASPEADILLTFKSSIEDPMNSLMGWSNTTAVHHCNWTGVTCTPQPSSSSSISSLNLQRLNLSGEISAAICGLPSLTHLNLADNFFNQPIPLHLSQCGSLENLNLSNNLIWGTIPDQISQFGSLKFLDFGRNHLEGNIPESIGSLKELQVLNLCSNLLSGNIPQVFGNFTQLLTLDLSQNSLLVSEIPGDIGKLSKLEKLILGSSGFYGEIVPNFFQGLKSLAILDLSQNNLTGKIPEIGLSLPNLVSFDVSQNRLSGPFPVGVCNGKGLQNLALHENFLNGSIPNDSINECIKLERFQVQNNRFTENFPSWLWSLPKIKIIRAENNRFSGVIPESISKAAELEQVEIDNNSFASKIPDGLGMVTTLYKFSASLNGLYGELPPNFCDSPVMSILNLSQNYLSGEIPQLRNCKKLVSLSLARNSFAGEIPKSLAQLPVLTYLDLSLNNLTGPIPEELQNLKLALFNVSFNRLSGRVPSSLISGPPASSLQGNPGLCGWGLPNSCSDDRPTHKNAKMSRLAYTLMSIALAIAIIMAVGFYMIRRSQKPNPQMGSWRSVFFYPLRVTENDLIMAMDEKTARGNSETLGRIYVVNLPSGEPVAVKKLVNFGKQSSKSLKSEVKTLAKVRHKNITKILGFCHSSDSIFLIYEYVANGSLGDLIGKSDINLSWSVRLQIALGVAQGLAYLHRDYLPHLLHRNIKSHNILLDADLEPKITDFALDRIIGETAFQSSLASGSSPSSYLPPEFGYTKKATEQMDTYSFGVVLLELVTGRNAEQTEPWEGSLDVVKWVRRKINITNGAVQLLDPKISGSSQQQMLEVLEIALHCTAVMPDKRPTMADVVRELQSLSIKIESTNLEISDSGNTSVPF >itb06g10810.t1 pep chromosome:ASM357664v1:6:15302119:15307781:-1 gene:itb06g10810 transcript:itb06g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEYRAFVAWEEKFIVEEKGSRIIHYYLRDTVGGSLLAVVGRERSRRHITYTISAEFLDYYRCWNAPIAGTKWRARRDVVDWLISLVPRNHLPPPISDPEIAESTMAGITNPRIQRADKEIVPRKLKPEDSDIAWLGEASICSKRLKHYPAIFRNGTVTAAHSFVSIMVDEESHYVGYIEDLYEDKNALKWAKIRWFHHLREVKCVIPQLEDTHPREVFITPHVQAIGAECIDGPATVLTPNDYQKYAAFLPESLLSETFICYREFKNNKAFPFCLIRLQGYYNQAVFSLLHRQNVSQQMVERQKTREEKGSATKDPATQVTKRIRDHSDQISGTGSQITKCGSTRHKLKIKLTRKGPIGTQPVAPEPQSHASFKVGENVEFLCQDSGMRGCWFKCTIIQTKERFLKLQYFDVDNVDEPGKLEEWIIASKASAPDKLGMRCTGRLTIRPWPRKESSNCSFGVGDAVDAWWCDGWWEGIVAGFDVSRSDHFQVYFPGENRSRTFPKKNLRISRDWIDNKWVDIKPKPDICSFISAAAVSSKPRISSGSTSAEASRVTASSGDNKSLTASKPGKDLAELDSKKQLSVNDQKEAGTSNRVGRRASGRKGKSVK >itb04g01610.t1 pep chromosome:ASM357664v1:4:923484:925098:1 gene:itb04g01610 transcript:itb04g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKELAEEEAYKFLKIVPNPDGSLTRQNPIPTVPYTPSEDDQLALFKDVPLNTSTGTFIRLFRPPPPAAASTAKLPLIIYFHGGGFILFSVSSVIFHDSRIRLAAEVPAVIASVEYRLAPEHRLPAAYDDAMDAVMWACDQALGAENGSCDPWLKDRVDFSRTFLMGSSAGGNIVAHAALRASDHDLSPLNIEGLILNQPYFGGVQRTESELRLVNDKIVPLHANDLMWSLALPKGANRDHEYSNPLIAGSPLIEKIGRLPRSLVRGYAGDPLVDRQKEFARCLEEHGVKVVPQFLDTGHHAIEIFDPQSAEALYVAVKNFIHCSEIEGIGNGGTKSAI >itb03g29730.t1 pep chromosome:ASM357664v1:3:30715547:30719991:1 gene:itb03g29730 transcript:itb03g29730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G52520) UniProtKB/Swiss-Prot;Acc:Q9FYR6] MGALRLPALTSIFTSSSSATAARYSPLLPHRSPRFRRLSSANLSAQSTDQKPRVQDKDKDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYSIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATADEAEKEAMQMIDVYTKFAYEQAAIPVIAGRKSRMETFAGASKTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFTDENGLRQHVWQTSWAISTRFVGGIIMTHGDDAGLLLPPWLAPIQVIIIPIWKKDNEKTGVLSTASSVKEILQAAGVKVKIDDSEQKTPGWKFNFYEMKGVPLRIEIGPRDVSSGSVVISRRDVPGKQGKVFGISMEPSTLVPYIKNKLTEVHSSLLERAVLFRDSNIVDVCSYNELKEAISQGKWARGPWSASDSEELKVKEETGATIRCFPFEQPEGPKKCLMTGISADEVAIFAKSY >itb07g11390.t1 pep chromosome:ASM357664v1:7:12824022:12826006:1 gene:itb07g11390 transcript:itb07g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRKRCEKRTVAGVYAGMDYGVKRIREQRDLKNAMIAGALTGALVSASCNNNRDKIVMDAITGGAVATAMEFHNYLT >itb12g07570.t1 pep chromosome:ASM357664v1:12:5683658:5685468:-1 gene:itb12g07570 transcript:itb12g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSYSNPPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKIFGASNVSKLLNEIQPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVLRLQKELDATNADLMRYARNEMSCGGHGYHHPTTPDDHNNMNYHQMYNQQRSSNSHNNNQYGRSSRVGTGGVFYGQSYEMPNYSSQWTNSSSGEHNPDE >itb12g07570.t2 pep chromosome:ASM357664v1:12:5683477:5685468:-1 gene:itb12g07570 transcript:itb12g07570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSYSNPPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKIFGASNVSKLLNEIQPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVLRLQKELDATNADLMRYARNEMSCGGHGYHHPTTPDDHNNMNYHQMYNQQRSSNSHNNNQYGRSSRVGTGGVFYGQSYEMPNYSSQWTNSSSGEHNPDE >itb13g25340.t3 pep chromosome:ASM357664v1:13:30744630:30748102:1 gene:itb13g25340 transcript:itb13g25340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAKGVMLLACFAIIRGKSKVSSQSCHWKGLSYNMASLRKSVNSLLSTENEIFLNDSIKSMFDLTELMVELRHSSSMFLANYWIARNVVAYAHLLILDLEPQNQMMTELSNVSAKIKEILASSLPLLEAKRAEENYQALLHAFDNSSNILDVLQLIFNVKNYEEKPILDRTSWPNRSMGLDEFEGDGVLLLISSGKHFPYFAYHAASTGVKMIWVPIMKGVDEHIQFPDSSRVYYRVLNPQKWIAPEFVRFLKNKCFSTSQVGGNPIVISLDKRGRLVHSNALHMLFTWRIQLTERRTMRSGDIIPSLENELRERTSGADRVIDDIDKQIHYFARVVRKKINDWVDDIEAKMKSSFRSYNYTREREQELWIKESWNLKLVVGWSGWYYEKMLNNWIDGDEKYIFLCGGNNIKRVLEFVLKVQEVRSKFQMNMKIAYVGRRGAIFREMRRGCDYNFDVYDFKRFWSRLYSVASSRIQYLNKVGLDEGSDEILQGLRKLLSYEDECTTIESWALLGKGKRIIGCDMGDKMLGVLNEYEKWNSNARANDFEQAFKDCYEMLNSSSSSSSHQHSCCALNYSSNLDQVSEVESCPQCDQNMHKVVTFSCCHGPANYLYYLSNKD >itb13g25340.t2 pep chromosome:ASM357664v1:13:30744144:30748006:1 gene:itb13g25340 transcript:itb13g25340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAKGVMLLACFAIIRGKSKVSSQSCHWKGLSYNMASLRKSVNSLLSTENEIFLNDSIKSMFDLTELMVELRHSSSMFLANYWIARNVVAYAHLLILDLEPQNQMMTELSNVSAKIKEILASSLPLLEAKRAEENYQALLHAFDNSSNILDVLQLIFNVKNYEEKPILDRTSWPNRSMGLDEFEGDGVLLLISSGKHFPYFAYHAASTGVKMIWVPIMKGVDEHIQFPDSSRVYYRVLNPQKWIAPEFVRFLKNKCFSTSQVGGNPIVISLDKRGRLVHSNALHMLFTWRIQLTERRTMRSGDIIPSLENELRERTSGADRVIDDIDKQIHYFARVVRKKINDWVDDIEAKMKSSFRSYNYTREREQELWIKESWNLKLVVGWSGWYYEKMLNNWIDGDEKYIFLCGGNNIKRVLEFVLKVQEVRSKFQMNMKIAYVGRRGAIFREMRRGCDYNFDVYDFKRFWSRLYSVASSRIQYLNKVGLDEGSDEILQGLRKLLSYEDECTTIESWALLGKGKRIIGCDMGDKMLGVLNEYEKWNSNARANDFEQAFKDCYEMLNSSSSSSSHQHSCCALNYSSNLDQVSEVESCPQCDQNMHKVVTFSCCHGPANYLYYLSNKD >itb13g25340.t1 pep chromosome:ASM357664v1:13:30744138:30748102:1 gene:itb13g25340 transcript:itb13g25340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPFSPSRTMISQLQMTNVEEKIIIEQVKDTHNPNGGIDVDANCLLKFVKGIFNLNTVPREAMKDRLQLQEHIQKEISFVVLQLSFVIAFTCVSYDDSHSTAIYLLSLLSKYMWHAKGVMLLACFAIIRGKSKVSSQSCHWKGLSYNMASLRKSVNSLLSTENEIFLNDSIKSMFDLTELMVELRHSSSMFLANYWIARNVVAYAHLLILDLEPQNQMMTELSNVSAKIKEILASSLPLLEAKRAEENYQALLHAFDNSSNILDVLQLIFNVKNYEEKPILDRTSWPNRSMGLDEFEGDGVLLLISSGKHFPYFAYHAASTGVKMIWVPIMKGVDEHIQFPDSSRVYYRVLNPQKWIAPEFVRFLKNKCFSTSQVGGNPIVISLDKRGRLVHSNALHMLFTWRIQLTERRTMRSGDIIPSLENELRERTSGADRVIDDIDKQIHYFARVVRKKINDWVDDIEAKMKSSFRSYNYTREREQELWIKESWNLKLVVGWSGWYYEKMLNNWIDGDEKYIFLCGGNNIKRVLEFVLKVQEVRSKFQMNMKIAYVGRRGAIFREMRRGCDYNFDVYDFKRFWSRLYSVASSRIQYLNKVGLDEGSDEILQGLRKLLSYEDECTTIESWALLGKGKRIIGCDMGDKMLGVLNEYEKWNSNARANDFEQAFKDCYEMLNSSSSSSSHQHSCCALNYSSNLDQVSEVESCPQCDQNMHKVVTFSCCHGPANYLYYLSNKD >itb13g25340.t4 pep chromosome:ASM357664v1:13:30746000:30748006:1 gene:itb13g25340 transcript:itb13g25340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKYLLYYTYLQRSMGLDEFEGDGVLLLISSGKHFPYFAYHAASTGVKMIWVPIMKGVDEHIQFPDSSRVYYRVLNPQKWIAPEFVRFLKNKCFSTSQVGGNPIVISLDKRGRLVHSNALHMLFTWRIQLTERRTMRSGDIIPSLENELRERTSGADRVIDDIDKQIHYFARVVRKKINDWVDDIEAKMKSSFRSYNYTREREQELWIKESWNLKLVVGWSGWYYEKMLNNWIDGDEKYIFLCGGNNIKRVLEFVLKVQEVRSKFQMNMKIAYVGRRGAIFREMRRGCDYNFDVYDFKRFWSRLYSVASSRIQYLNKVGLDEGSDEILQGLRKLLSYEDECTTIESWALLGKGKRIIGCDMGDKMLGVLNEYEKWNSNARANDFEQAFKDCYEMLNSSSSSSSHQHSCCALNYSSNLDQVSEVESCPQCDQNMHKVVTFSCCHGPANYLYYLSNKD >itb04g08400.t1 pep chromosome:ASM357664v1:4:6665411:6665728:-1 gene:itb04g08400 transcript:itb04g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSDTNTEVIHSYLSSREVLGSKAYVVRQGNYSAPLGRRISSQWTVGIIVLLNLQLRLASGMLSVTSQTALPQVRGPKTSRFHRTWESVARHLGYKRPRPGSKS >itb04g01160.t1 pep chromosome:ASM357664v1:4:643866:645521:1 gene:itb04g01160 transcript:itb04g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKICLLFALCSLAIFSSLTYGDHSVAWDSAGAAPAHQDHNTAAVSTANYYNYANWAPPEWFYSLFPPQIAEKIREEVKSIEDWMKQFQPPYGGGNYPHPPPFQLPPKMPPTPELPVQREPCPCAPKVQPPPAKQPIAPPTPKVQPPPAKQPIAPPTPKVQPPPVKQPVAPPTPKVQPPAPSTPKVQPPPVKKPIAPSPSTPKVQPPVKQEPIAPAPSTPKVQPPVKQEPIAPSPSTPKVQPPTSPSTPKVQPPTSPSPPKVHPPTSPSTPKVQPPISPSPPKVQPPTSPSTPKVQPPISPSTPKVQPPTSPSAPKVQPPTSPSTPKVQPPTSPSTPKVQPPTSPSAPKVQPPTSPSAPKVQPPTSPSAPKVQPPTSPSTPKVQPPTSPSPPKVQPPTSPSTPKVQPPTSPSTPKVQPPTSPSPLTPKVQPPTSPSTPKVQPPTSPSTPKVQPPTSPSPPKVQPPTSPSTPKAQPPVQQHPISPPAGQCSSVEKVWDTCKHKHAAYSVNWGYAWSCSYNDECCKAALIVSDECLNFNPLIRRIKYVCCPGA >itb01g29680.t1 pep chromosome:ASM357664v1:1:33878690:33881244:-1 gene:itb01g29680 transcript:itb01g29680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRRQVDGINGGAAVYPHKADKLEISTALQIEPTMSIHLKFQDVRYTVAARGGGSQSRAEKCILQGVRGSVCPGEVLALMGPSGGGKTTLLNLLSGRAKINGGTITYNDHPYNKSLKQRIGFVLQEDIVFPHLTVKETLTYAALLRLPKKLSTEQKKERALSVICELGLERCQNTIIGGALIRGISGGERKRVCIGNEILLNPSLLFLDEPTSGLDSTTALRIVQMLHNIAKAGKTVVTTIHQPSSRLFITFDKLILLGKGCSLYSGKASEAMVYFSSIGCSPLIAMNPAEFLIDLANGNIKDKSFPSDIESKSVPGNQDSEPSPSDVHEYLVGAYESRTEDMENWRVLNPIVIEENNVEQVGATWCEQFSVLLRRGFKERRHEYFSTLRITQVIITALIAGLLWWPSDVQSPNRVADQAGLLFFISVFWAYFPLFTSIFTFPQERAMLMKERSVNMYKLSAYLVARSISDIPLDLFLPVIFLTIVYFMVHLKMTFLAFSLTLLTVFLSIIAAQGLGLAIGAAFMDVKKATTFASIVTMANMLSGGFFIQVIYNI >itb14g19410.t1 pep chromosome:ASM357664v1:14:22077356:22078443:1 gene:itb14g19410 transcript:itb14g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVSQTTKSPAVEEFSSSTVEKSKSKVIVVDKTRGGSKINSDAKDKSFVKASLFVKVNMDGVMIGRKVDLGAHTNYEDLVCTLDEMFFMPTTTATQDMPLLARDCWMDHLNSCLLMKTKTVIGCSLETFHGRYSFARQEVEDRENPRPMGWEQLQLCIKKGTGDRGLSRYEFYKKKLIISN >itb03g29900.t1 pep chromosome:ASM357664v1:3:31169455:31177321:1 gene:itb03g29900 transcript:itb03g29900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDRLFECFKCGFSPPESAIRERKRGKRTAKPEDSAQKQSTEKIPQTTADADHSVENLGSSKAKRKYHSNGKQFCPLVFYGSPHGVPPKRPASLLRLLHEIRVDLSEQKKQSQEIWATFPKQVEAMKYAKQCINARVFSYQDHINGYRRFLVSTYEDFWKRYNSMNPKRRHHYEVIQEGLPCHLYFDLEFNKKANADKNGDEMVDLLIVAVFDTLLQKYSLEGSHDWIVELDSSTEDKFSRHLIIRLPKIAFKDNSHVGAFTAEVCSRIYSSSETNERFRKLFVSKDSKSVGIPGQLFVDNAVYSRNRCFRLALSSKAGKSSVLLPSGRFKCKSMSEDEMFMASLICNIDADCERLLVCKMDSDCVRTLHFNTEIAQSFQQMSITPQALEMNNFETDPSGTYLMGKSPFPAVDAFVEYIASIGSVPGKIRSWYWFSEYGLIVYSMSKNRYCERIGREHKSNHGRNM >itb06g20410.t1 pep chromosome:ASM357664v1:6:23388155:23392967:-1 gene:itb06g20410 transcript:itb06g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFNSPHCSSSLLNLKFSDSTAKESLFPSSRHLLHFPNSVSQKRSWCQHRFYILKCTLARVKKPPSPTPDSLIREPHKYFDQVFITVRSGDGGHGAILSMPNQGSPSKSSGKVNKEKIKKKPAYKRDFDGSLILPIGGHGGDVVIYADESKDTLLEFHKKSRYNAKRGGNVDSMGMLTSQVHDGLAAPTLRIPVPVGTVVKHKRGKLLADLACPGQEILVARGGQGGISLLEIPEYKRKKMTNLTTNVMRDENDKVLVLGQPGEEVSLQLILRVVADVGLIGLPNAGKSTLLAAITLAKPEIANYPFTTLMPNLGRLDGDPSLGAAEFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRMLVHVVDAAAEDPVNDYRTVKEELRMYNPDYLERPYIVVLNKIDKPEAMHRLPHITEEIYRIGYDRLASLAEPSSAGNQNLSTDEDQEDEHSSQISDKERVKKIEEYPRPLAVVGTSVLKGIRVEELLNEIRAALRKCRDSKEGLELPTAGTTSKTMISEKRKTPLEPVI >itb13g21940.t1 pep chromosome:ASM357664v1:13:28404234:28404665:-1 gene:itb13g21940 transcript:itb13g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSKKELRNMGVVEGFAFIDEFHGGGRRGRRQLPPPPQPNYGGAAHATFTVTSRPLPPPQPAAVAPTSVVAINHYATATSSSGNSRSWRHQHGGYNYHRYSPAESRVCWTTPVVAVTTETTTTVVHGGGYDTQMLMDDFKY >itb07g02650.t1 pep chromosome:ASM357664v1:7:1695819:1700203:1 gene:itb07g02650 transcript:itb07g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEIVPIEKVADTIKDAQELKDSIEEIKVLAEDRLIELQGAKEDNFILAKQLQDLQAELKDDRFVYSNDCYTILNDQLHHWNVMTERYKLLAESSQTGRSFITWREKESAVKTELVDDAKKAINEYESKIEELEHLLQRCITEKNEMEIIAEEAIQNSGQKCNTSEYLAISSVLSKEAELMKAQLNRWKDTTEEALHLSEEAQSLSTLLDRKTAEQKDLADKCAQNTGQIKSLEELVEKMQREKEGLELTLEMYNKEELYDNRNLKEIQESERKAQKEAKILRNYLEDYDHEMGVKAANESEAACQQRLSAAEAEIAQLQAELEASERDVLKLRKKLKVKEGEAEAYISEIEVVGQSYEDMQDLNQHLLQMVAERAELNIKLVSESTKTKQEQSLLLSTRQELATQHQQSKASLESLKMRMAHSENQMRDYVLEALNYKEEDRHLAVSAETVKLELLDAEKELTWMKSAVSSSEKEYEQIQQEMGRVMTELETERTKKKQLDDELVELNKTVEELTSQSGEDEIQKLQDEINECKATLKCGVCIDRQKEVVIAKCYHMFCYPCIQRNLEMRHQRCPGCGTTFGQNDVKFVKF >itb08g11910.t1 pep chromosome:ASM357664v1:8:11952387:11959227:-1 gene:itb08g11910 transcript:itb08g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKEEVMEEVPNVVPAGKDAICISISSGSSTGSLDDRFADFDDDDDDDSDDTEASCHRRKKPRVMESVLPLGFLDPLPPHERARINSQIQTALPLSTCHPTSTAGDKQLPAVVKRTAEAASPLFQSCKQFWKAGDYEGTVCAAAPSYSAFAELLDNALDEVCNGATYVNVDVLKSSKDGNSMLLVEDNGGGMNPDKMRQCMSLGYSTKSKLANTIGQYGNGFKTSSMRLGADVIVFSRCKGKDGRSPTQSIGMLSYTFLRGTGKEDIVVPMIDFEKRGESWDMMVRSSANDWKRNLETIVQWSPYFKLLNDQGTRIIIYNLWEDDEGLPELDFETDKEDIQIKGVNRDEKKIAMAKTYPNSRHFLTYQHSLRLPAGFQIILRGKDVEHHNVIHDMMISKETTYRPQPIVGDAPKDPNLFAVVTIGFAKDAKYHIDIQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFIEPAHDKQGFERTIVLTRLENRLQYIQKEYCLEDALKDLQYERDRNRILENKLVEAGERTGLIDKERQVFVDKFAEERNRREEEEERLRKRLQDASDTIQELLNKVKMLDSTMVVRCKSES >itb09g28340.t1 pep chromosome:ASM357664v1:9:28950573:28953799:-1 gene:itb09g28340 transcript:itb09g28340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVEFLLDKLSAVIREEWSLLGGIREDAQYIMNALNRLKAALRVADEREEMDPQVKAWVKIVRELAYDTEDVLDEFLFRFGGRNTGGGLFTKMKNKYNSAKNLRAQHRLALALQKIKARISENSQYQPILPTTTVHNQQLHGRWDTGIPEESDLVGFENSKQSLIQLLLGAVDDDLRVHSVVGMGGLGKTTLVKKAYDDAKVKKHFQYRVWVTVSETFKIGELLKDAIKQLVKQTNKELPPDFETMGFTQLKDFVINILSEQRYIIVLDDVWSFDVWDAIKYAFPRQKFGSRIVITTRNSEIGRDACHQTQGDVYELKLLSEKDSWGLFCKKTFLSDSCPPHLVNIAEDIVNKCGGLPLAIVVIAGSLATKDKDIAVWKSFQNGLNFQLKTNDRMKNLKNLLSLSYYDLPYYLKYCFLYFSIFLEDAIIKKNRVIQLWIAEGFVKEDDQQVKEEVAEAYLNELIHRNLIQIAKKSHAGKIIGLRIHDILREIILSKALEQNFAVILTGQNKEWFDNKCRRLIIHRFDDDILKSTSSKSHIRSLQLCNDEVPLVSLSKLLSFDYYIPLKVLDLRGTRNLNRIPKEVFKLFHLKYLSLRNTGLRNVSKSIGRLQNLEILDLKYIDVFELPVEIGKLHKLWHLTVGSIHNGAVCAPLEIGRLLFLQRLSYVQAKETNGVKMVSEIGNLTQLRKLEVTNLRQEDGKELCSSMEKLTNLISLSLVTAQENENEILDIQHSLSAVPLCLRTLKLGGRLERIPQWLSSLVSLTKLRLWRSCVLEDPLLLLQDLPLLAHLELWDSYEGEGLCFKAGKFPKLKFLGIGVLRALKWIMVEEGAMPHLEELWLRDCKLLEQVPFGIQHLSKLNSFVFMGMNDTLKLSLKPNGENYTKISHIPHIKIYK >itb06g09710.t1 pep chromosome:ASM357664v1:6:13959441:13962736:1 gene:itb06g09710 transcript:itb06g09710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVRRNESLLYLWYAEVELANCSHGSPESLLQLANCSHGSPESLLRALHILSCLGSGVKYTPYNCQPSSLLQLRASQGFRERINLLRSTWTHGVIDDQSVALVCSAALFEEISIGWTSSAEIFDQAFSMVLPERRRNSYHVESLFNYYVAMLSRHHREVKLSKVWQSVLNGLQMYPVSPKLYSALVQISHLYTSPNKLRLVFDEYCKKKPSVINWLFALSFEISRGGSQHRIRRLFERALEDEKLRKWVIIWRSYIAYEHDITCNASAARRVFFRAVHACPWSKKLWLDGFLKLNAILTAKELSDLQEVMRDKELNLRTDIYEILLQDEMEC >itb07g23600.t1 pep chromosome:ASM357664v1:7:27962687:27963409:-1 gene:itb07g23600 transcript:itb07g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTSYETSWADQWDPEPLYNYKTTNDRRNGGGSSSSKVSGKVGETLDKTKAVASTGVKKVKAGASAGLHWIKDKYHKSKQKH >itb13g07260.t1 pep chromosome:ASM357664v1:13:9026339:9027261:-1 gene:itb13g07260 transcript:itb13g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKGIGTSKQFRWTKPMEGVFLRILAEEANNPNNPTNNFRSSSFNRVASTISEQFNVACESKHVENHLKTVKNTWMMICKLKNLSGVGWEDSLKMITCDSATYMELISATPKYETILNKKIEYYDEMSIVVGRDCAVGRFAKSFADIELESTNEVDDITPENLHDQNDEETRGKTSVSTATSSQKSGRKRKSLDLKVDEMAKQLGNIASAIKSLSTSGIDESELYQQVMTIGADFHEDDLCKAFEFLMTNEVQARIFKVKSSSLKRKWIESFISSLS >itb02g26190.t2 pep chromosome:ASM357664v1:2:26963560:26967830:1 gene:itb02g26190 transcript:itb02g26190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGRLSVSVSVSVSKLVLLDASKFVRGASASSARWRGISFASAAPSPTPPASTSPETKKTRKRVSKDERRAMVQAFVTKYRTMNSGKFPTPSIAKNEVGGSYYFVRSIVQELEYECKMSSLKVKGDTLQEKDVSINDDLTGNIKELKKTHLPLNMESTGAQIKGTTFKDIEAVDVGEMTLLHGMESASMMCKKAEVDLKEEITTTEDKPKFDNLKLLAEQQHTRVDFEDSNIQHIEEELGQEMLVDKTTLNDIANASKFTARERNLLTVEAKHILDSGSKKAKVNLKQKIIAEDELKFDGLKPLANQQQQSGVNDLDSSIQHIEAELGPKISIAAEYAVRESHHLAIEARHLCDSQAQNAELNLNEVTSTEDRLKLDSTNPLDQQQQLTGTVPMGLGDCNVQCHEAELGPETSIPIEKTPFNDIRSASGYCSEYATGESHLQDMEANHVMDSHPEKLMDDLNEKISSSNELKFDGWKPLVEEQQPSEMRKHTRELPNEKKDGVKQKEQPSVWQNLKSFADGIISFWRKL >itb02g26190.t1 pep chromosome:ASM357664v1:2:26963560:26968180:1 gene:itb02g26190 transcript:itb02g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKFPTPSIAKNEVGGSYYFVRSIVQELEYECKMSSLKVKGDTLQEKDVSINDDLTGNIKELKKTHLPLNMESTGAQIKGTTFKDIEAVDVGEMTLLHGMESASMMCKKAEVDLKEEITTTEDKPKFDNLKLLAEQQHTRVDFEDSNIQHIEEELGQEMLVDKTTLNDIANASKFTARERNLLTVEAKHILDSGSKKAKVNLKQKIIAEDELKFDGLKPLANQQQQSGVNDLDSSIQHIEAELGPKISIAAEYAVRESHHLAIEARHLCDSQAQNAELNLNEVTSTEDRLKLDSTNPLDQQQQLTGTVPMGLGDCNVQCHEAELGPETSIPIEKTPFNDIRSASGYCSEYATGESHLQDMEANHVMDSHPEKLMDDLNEKISSSNELKFDGWKPLVEEQQPSEMRKHTRELPNEKKDGVKQKEQPSVWQNLKSFADGIISFWRKL >itb02g26190.t3 pep chromosome:ASM357664v1:2:26963560:26968180:1 gene:itb02g26190 transcript:itb02g26190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKFPTPSIAKNEVGGSYYFVRSIVQELEYECKMSSLKVKGDTLQEKDVSINDDLTGNIKELKKTHLPLNMESTGAQIKGTTFKDIEAVDVGEMTLLHGMESASMMCKKAEVDLKEEITTTEDKPKFDNLKLLAEQQHTRVDFEDSNIQHIEEELGQEMLVDKTTLNDIANASKFTARERNLLTVEAKHILDSGSKKAKVNLKQKIIAEDELKFDGLKPLANQQQQSGVNDLDSSIQHIEAELGPKISIAAEYAVRESHHLAIEARHLCDSQAQNAELNLNEVTSTEDRLKLDSTNPLDQQQQLTGTVPMGLGDCNVQCHEAELGPETSIPIEKTPFNDIRSASGYCSEYATGESHLQDMEANHVMDSHPEKLMDDLNEKISSSNELKFDGWKPLVEEQQPSEMRKHTRELPNEKKDGVKQKEQPSVWQNLKSFADGIISFWRKL >itb06g16320.t1 pep chromosome:ASM357664v1:6:20483798:20488901:-1 gene:itb06g16320 transcript:itb06g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKVGQRRRRNQLRRQRKLVIVCCLRTTGDRGCYRHVRRYLAVGQEAEERRFMDREATTNRRSGGVGGCDGVRRSVTWICCCFSFLFLAGKNGVGRKNDGSDYWALAVIPVVSVTSLTCHRVTLPHAHLSNHLQANGCETAVTAEQRRTWPHFLRIVSPSYT >itb15g07380.t1 pep chromosome:ASM357664v1:15:5053843:5056767:-1 gene:itb15g07380 transcript:itb15g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVEEIFKDFSARRSGILRALTYDVDEFYGLCDPEKENLCLYGHQNETWEVNIPAEEVPPELPEPVLGINFARDGMNRRDWLSLVAIHSDCWLLSVAFYFGAHLTQNDRRHLFNLINDLPTIFEAVLEKNPVKDKSNADSGNKSRGSTKRTSEGQAKNSSKLANKSREKDEEEHGETLCGSCGGNYNADEFWIGCDVCERWFHGKCVKMTPAKAEIIQQYKCPSCCLKRGRP >itb12g04870.t1 pep chromosome:ASM357664v1:12:3222664:3224934:1 gene:itb12g04870 transcript:itb12g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSAADLTGYEIFLITSPESSLESGSPSTDWCASRPHLIPVFLLSQPSTAGSDFPLTTKSTKASDHPLGYLNSSIIGRRVSGCGTCSLPRSASSSLRSLPFLPSSSSLTTAAIFILLLFPSPPSPSFFSPSGRPPSTHLRFPLPSAGHCSRTPSSVFPSPLPS >itb01g00150.t1 pep chromosome:ASM357664v1:1:97574:101557:1 gene:itb01g00150 transcript:itb01g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MENVLMDPETEFLASKQETGNEWELFKENVRPLKRGRNISLLNDALKSHSDFELKKSLLDKRRRLIEAVDEYKGEDPLQPWLECIKWVQESFPPGGDSSGLVVIYEQCVRTFWHDDRYKDDLRYLKVWLEYAENCVDAEVIFSFLEANKIGQTHSSFYVAYALHMESKNKIRTADEIFNRGLSINAQPIEKLKEAYRKFLGRSMRKSKAVEEDSTENQLPVRSFGTLLARGGTGNQTTETSDFSRKKLKPGRAQVPFLPIYKDKNTDIASSHHIETSKLDTRSWHCLGARGERNKENNAIPSKWTSNKIPQRAVHRNNGATATACIEIFVDDECNEKSETENRGEKVSTLQLRQGDGKDIKKETELLRENPLHYFPPNSLPR >itb09g11770.t2 pep chromosome:ASM357664v1:9:7332681:7334384:-1 gene:itb09g11770 transcript:itb09g11770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQNPHLHFILFPLMAPGHMIPMVDIAKLLARRPGLAVTILTTPLNAARFNATLSRATAAGLNIRLLHLTFPASAAGLPESCENIDALPSLHLAPNFFAAINMLRQEAETAVEKIQPPPTCLISDMGLPWTAEIALRLNIPRLVFHGTCCFSLLCNHRIMGSKILENLGSDSDRFLVPDFPVRVEFSKSQVSGSVNNKSHTSSSTAVLQEFREKILAADRATYGVVVNSFEKLEPEYATEYRKEKHGKVWCIGPVSLSNQDHQDQAARGDETAVTNQDCLKWLDGHRPGSVVYVSMGSLARLNADQMAEIGLGLEATKRPFIWVLGRGEKLNAIETWMASNAFEERNKENALVVRGWAPQVLILAHPAVGGFLTHCGWNSTLEGISAGLPMATWPLFAEQFCNEKLVVEVLGIGVSLGVEVPVKWGDEDKIGVLVKKEGIKEALEKLMDEGDEGEERRRKAREVGEMAKKAMEECGSSTRNLSALIQEISSSHCKISP >itb09g11770.t1 pep chromosome:ASM357664v1:9:7332435:7334570:-1 gene:itb09g11770 transcript:itb09g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESQNPHLHFILFPLMAPGHMIPMVDIAKLLARRPGLAVTILTTPLNAARFNATLSRATAAGLNIRLLHLTFPASAAGLPESCENIDALPSLHLAPNFFAAINMLRQEAETAVEKIQPPPTCLISDMGLPWTAEIALRLNIPRLVFHGTCCFSLLCNHRIMGSKILENLGSDSDRFLVPDFPVRVEFSKSQVSGSVNNKSHTSSSTAVLQEFREKILAADRATYGVVVNSFEKLEPEYATEYRKEKHGKVWCIGPVSLSNQDHQDQAARGDETAVTNQDCLKWLDGHRPGSVVYVSMGSLARLNADQMAEIGLGLEATKRPFIWVLGRGEKLNAIETWMASNAFEERNKENALVVRGWAPQVLILAHPAVGGFLTHCGWNSTLEGISAGLPMATWPLFAEQFCNEKLVVEVLGIGVSLGVEVPVKWGDEDKIGVLVKKEGIKEALEKLMDEGDEGEERRRKAREVGEMAKKAMEECGSSTRNLSALIQEISSSHCKISP >itb06g20540.t1 pep chromosome:ASM357664v1:6:23490781:23492120:-1 gene:itb06g20540 transcript:itb06g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEVFDTVKLEKAKAIARFNRFRRMTTTLQFLEVIVALMLVSWSCTRVPGVMKFSGEFLVELWIHVCNPHVVFVIGNAIIVALVVLCRQTDAGCSSVSSDFCGEEIGNGETQPPLVSSEASAPPLQPEAAGDETKQIVCSESEVQKPQCTELSTAMKTATKQIEKFQRTQSEKLKRAIALKPQGVLRRSETEMHRNAAGSDDPRAMPSIDTVENLSSEEFRLRIEKFIQKNQEFFKVESGRDEPTSKP >itb01g31090.t1 pep chromosome:ASM357664v1:1:34904789:34909395:-1 gene:itb01g31090 transcript:itb01g31090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVVARLLAIFSLLSFLQQLSSNVIPASSNEEANGLLKWKSTFFHANNTLDSSWTISENGGSPCNWYRVHCVAGSVNRLNLTTSNINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLTKLVYLDMSINYFTGTIPPQIGLLIRLQTLHMFGNNLHGPIPDEIGNLTSLNELALLGNSLNGSIPASIGNLKHLSSLQLYMNSLSGHIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDENQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGNLSESFGIYPDLQFMWLSQNKFHGEISKNWGISKNLTNLQMAENNLTGRIPPEFRNLTQLGILKLSSNNLGGGIPAELGSLSSLLYLYLGDNNFSGQLPIELASLKQLNVLDLSNNQFSGPIPSFIGDYQQMYELDLSHNNFSQHLPVELSKLSHLTTLDLSNNSLSGEIPHLFNSLRDLVNVDLSYNQLTGPIPDTMGFKQAILKGNTGLCGDNKDLPSCSSTPTEMSFVGKKSGHKTQILSIVLPIVGALVLVSVFAVVLFTCGKGDRGPDEEQQCNSFRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMYRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEIAYKKGFFNEITALTTIRHRNIVKLHGFCSNVKHEFLVYEYLERGSLSEMLSREGDAKKLDWMTRVNIIRGIAQGLSYMHHDCSPPIVHGNISSSNILLDADFKARISDFGTAKLLRRDASNFSTVAGTCGYIAPEVTSTKVMTESCDVYSFGVLTLEIIMGKHPGDDINVIKFFSKCLDPRLPHPENEEEKALIHIALLARQCLYPKARRPTMQQVMAEMSAIGPLQEQCNSSRLGDGDNNDENSDFFSISSFHGKALYLDILKATKEFHEMYRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEIADQNGFFNEITALTTIRHRNIVKLHGFCSNVKRNIVKLHGFCSNVKHAFLVYEYLERGSLSNMLSREEDAKKLNWMTRVNIVRGIAQGLSYMHHDCSPPIVHRDISSSNILLDADFEARISDFGTAKLLRRDSSNVSALAGTCGYIAPEFAFTMKVTXGSLYPVPDCFPLAGVHLFGILSSQVEFSPFSFHVLVPAFMFFCLVLFHFTTELI >itb11g19480.t1 pep chromosome:ASM357664v1:11:20274377:20275851:-1 gene:itb11g19480 transcript:itb11g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRRLKEEKQRRPKPAPSTLNHDVTRRPPPSIVTRTSPADVRRIRRSSALCCRRLKLADIVKTTNAYKLMSCPDVCYYCSYYCRDVGISVKAGQRRLVLSDTPLEINFRKA >itb07g05320.t1 pep chromosome:ASM357664v1:7:3621220:3624966:1 gene:itb07g05320 transcript:itb07g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAATCLCRRFHLYNVVTAVTLMLQFLSPPASSLSFNFYAISCPSAELIVKNTVRSASSVDPTIPGKLLRLLFHDCFVEGCDASILLQGNDTERSDPANKSVGGFSTVDSAKRVLEILCPETVSCADIIALAARDAVEFAGGPSVAIPTGRRDGRVSLGKNVRPNIIDTSFTMDEMVKIFAAKGLSLDDLVTLSGAHTIGSAHCSAFSDRFQLASNGSFLPVDTALDEAYAAELARKCPSRASDAVTVNNDPVTPSFFDNQYYKDLLAHRGLFQSDSALLRDKKAMAKVQDFAGDQNNFFTSWEQSFLKLVTIGVKAGEDNGEIRRSCSTVNA >itb04g00050.t1 pep chromosome:ASM357664v1:4:30020:44129:1 gene:itb04g00050 transcript:itb04g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGNGTSQPANPINIAGHLPLCLAKSEIVPPAPNRSKSGSEFEPAIDWLPDFAGYSWIAYGASSLLIITHFPNPLSERETVIGAILRQVFELSADGTGTVSAVAWSPVTPSTGDLAAALDNCIGLFSFRPDTSYSSFCWGQTTVLVQSTKVDALKWTGSGDGIVSVGMEVVLWRKKERSWEIAWKFKPELPQMLVSATWSIEGPLATAPLHRLQVDDLSSPICKSKKCVLVCQGDRDSRLEHAILPHSQPVSMIQWRPSALREPSKEDRSFKRSLLLTCCLDGAVRLWSEIDDGRVKKVFKENDRKVTRLSFRVVAVVEVNQSLSGKLGVDIFVRWATDIDGVIKIREETNYYSSLEEYQHDKVGKCEWLIAHGPQITLTLWTVHCLDDFAPVRFPRITLWNSKELNYPKVDSKRLPLNDVFITRNQVFGPPIICSWIELLPCNSLAWLHLYSSRSTVREEGSSKSSIEEQGSSNKCQNDRFLSFYSRGILDVDNHSDKILQVAVHPYSEVELAASLNANGMLQFWSFSATSDSAMPTLNPSWKFYGKHFALHSHSRYSCLRWGPAISNEEWILFVGHAKGVDFFIVTTSKNEEQKLLCHNVCTVPFHNEGHEEGPNSVCSISLPSTYNETSVYNNLLLLSVWKCAFQAFSWKISIHQYDSSSVSCDCSLEKPNNIESSLCKFKSSFCSKTYCVSVEPYSSQFPSPYNHDLASSFQVVCPTNSVLRELQGSAYEKSSNHFTYHMATGYSDGSLKLWRSMPATILNSQWDLVGMLYTHHGPVLGISSSSCGRKIATISKADEATTSSNLQIWECVHLMDEGSFILEDTLSFDAEVVALNWLTMGNGQFLLGVCTSNKLQVYAQRRSGGHYILKPEKSLEGSIWVCVAESHTKAIIRDFFWGSRATIVVAHDEYLSLYSRLLFLEDSKTLSKFCGKIYGDAYPCCNDSDKILPGSAIEGFDNFGQCPSTSPMKMNMIHEIIPVMNVKRSEKECNLFINIGIWSILELTEMLGGSIPVIHPEALLTNLLSGNWKRPYVGLKCLTEHFSSNTSAKIFCSQNFNCFTLPVPLSNYLEGRVLQRSADKSFQWNGDIASTEALKGSYQYASNLGHGALYTAPTRSEISGFIEAFDKLSKCSIMSDTEMMHSRAAINLLQEVSNSQSASAYGSLDEPGRRFWVAVRFQQLYFVERFHRVPLVGELDIQSALVGWAFHSDCQETLFDSLLSNEPSWQEMHDIGIGFWYTNASQLRSKMEKLARQQYLKNRDPRACALLYIALNRIQVLTGLFKISKDEKDRPLVGFLSRNFQEDKNKAAALKNAYVLLGKHELELAVAFFLLGGDTTSAVTVCVKNLRDEQLALVICHLVEGYGGPLERYIVTKFLLPSAVAKGDYWLASLLEWVLGNYSQSYQRMLAIQTEPFLNKHVLSSHQDAFLDPSIGQYCLMLTIKTSMRNAVGEKNAAVLGRWAIWMCATALSRCGLPLEALECLSSSVSIFGNSTQGSIPHNADSELFNATILGVLVNKSSSNWISVGVAFDVASHSRLDLAMQYISKLLRKHPCWVDINIMHLQAHTYTESEYQGCKRLLNIFQDELKETVSCFQQKYSMVPIDLMNMIFLSLSNNSLEHIGYSLLQDYTSKYTSQEQGRLDICFLCPLPELLLKVAEEVLHSLSRYIIVCGMSYLYLEPCSMIGDVDSEHGFGLVQPLKFYKWSFIWSLRYVRDMMVLFSASFQQDFKRNPFTILDLFEFFLYFSSFWIQRNFRGLTMVLNPLVIVCDKRHASHEINMKDLREILYQNLEILAHDTPVIDVASLHINERQLYKQFGDLLPSVPEGKGPQNLCLSLWGTVSNILEYQLNLISLESRDCLFESHNTLQKASSSIIHGGNMPYQIELGAVLARLLKVTCGHISAYCAKQLASFLLLRVSRRSAHLWPQYRNPAKNLDHIIDNAEVLSNEDELSASKMFWSICSEICEVNGNFLQENAELLQHIKQKSLNGWYDVYPVIIRECESEETCDKEDRLDSPSSAAGSPLACLSPNDHPFLSLSGKYADHPKKVLPFNNAKEICRRNGELLEALCINSIDQCQVALASNRKGILFFNWEDGLPYEEKSDYVWADADWPCNGWAGSEATPIPTSVSPEVGLGKKGTVLGLGGATIDVGLLTRPGKDFTGSGTFGVPGYAGISSGLGWGIQEDFDECVDPPATVENVRTRALSTHPSRPLFLVGSSNTHVYLWEFGMDRATATYGVLPATNVPPPYALASISSVQFDHCGHRFATAASDGTVSTWQLEVGGRSNIHPTESSICFNNYTSDVTYVTSSGSIIAAAGYSSSGINVVVWDTLAPPATSQASIMCHEGGARSLSIFDNDIGSGSISPLIVTGGKSGDVGLHDFRYIATGRTKKHKHCDTSEQNLHSTVDMQKKTGDQNRNGMLWYIPKAHTGSVTKICTIPNTSFFLTGSKDGDVKLWDAKWARLVFHWPKLHERHTFLQPTSRGFGGVVRAGVTDIQIVSHGFVSCGGDGSVRLVTVKGT >itb04g00050.t2 pep chromosome:ASM357664v1:4:30020:44129:1 gene:itb04g00050 transcript:itb04g00050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLWRKKERSWEIAWKFKPELPQMLVSATWSIEGPLATAPLHRLQVDDLSSPICKSKKCVLVCQGDRDSRLEHAILPHSQPVSMIQWRPSALREPSKEDRSFKRSLLLTCCLDGAVRLWSEIDDGRVKKVFKENDRKVTRLSFRVVAVVEVNQSLSGKLGVDIFVRWATDIDGVIKIREETNYYSSLEEYQHDKVGKCEWLIAHGPQITLTLWTVHCLDDFAPVRFPRITLWNSKELNYPKVDSKRLPLNDVFITRNQVFGPPIICSWIELLPCNSLAWLHLYSSRSTVREEGSSKSSIEEQGSSNKCQNDRFLSFYSRGILDVDNHSDKILQVAVHPYSEVELAASLNANGMLQFWSFSATSDSAMPTLNPSWKFYGKHFALHSHSRYSCLRWGPAISNEEWILFVGHAKGVDFFIVTTSKNEEQKLLCHNVCTVPFHNEGHEEGPNSVCSISLPSTYNETSVYNNLLLLSVWKCAFQAFSWKISIHQYDSSSVSCDCSLEKPNNIESSLCKFKSSFCSKTYCVSVEPYSSQFPSPYNHDLASSFQVVCPTNSVLRELQGSAYEKSSNHFTYHMATGYSDGSLKLWRSMPATILNSQWDLVGMLYTHHGPVLGISSSSCGRKIATISKADEATTSSNLQIWECVHLMDEGSFILEDTLSFDAEVVALNWLTMGNGQFLLGVCTSNKLQVYAQRRSGGHYILKPEKSLEGSIWVCVAESHTKAIIRDFFWGSRATIVVAHDEYLSLYSRLLFLEDSKTLSKFCGKIYGDAYPCCNDSDKILPGSAIEGFDNFGQCPSTSPMKMNMIHEIIPVMNVKRSEKECNLFINIGIWSILELTEMLGGSIPVIHPEALLTNLLSGNWKRPYVGLKCLTEHFSSNTSAKIFCSQNFNCFTLPVPLSNYLEGRVLQRSADKSFQWNGDIASTEALKGSYQYASNLGHGALYTAPTRSEISGFIEAFDKLSKCSIMSDTEMMHSRAAINLLQEVSNSQSASAYGSLDEPGRRFWVAVRFQQLYFVERFHRVPLVGELDIQSALVGWAFHSDCQETLFDSLLSNEPSWQEMHDIGIGFWYTNASQLRSKMEKLARQQYLKNRDPRACALLYIALNRIQVLTGLFKISKDEKDRPLVGFLSRNFQEDKNKAAALKNAYVLLGKHELELAVAFFLLGGDTTSAVTVCVKNLRDEQLALVICHLVEGYGGPLERYIVTKFLLPSAVAKGDYWLASLLEWVLGNYSQSYQRMLAIQTEPFLNKHVLSSHQDAFLDPSIGQYCLMLTIKTSMRNAVGEKNAAVLGRWAIWMCATALSRCGLPLEALECLSSSVSIFGNSTQGSIPHNADSELFNATILGVLVNKSSSNWISVGVAFDVASHSRLDLAMQYISKLLRKHPCWVDINIMHLQAHTYTESEYQGCKRLLNIFQDELKETVSCFQQKYSMVPIDLMNMIFLSLSNNSLEHIGYSLLQDYTSKYTSQEQGRLDICFLCPLPELLLKVAEEVLHSLSRYIIVCGMSYLYLEPCSMIGDVDSEHGFGLVQPLKFYKWSFIWSLRYVRDMMVLFSASFQQDFKRNPFTILDLFEFFLYFSSFWIQRNFRGLTMVLNPLVIVCDKRHASHEINMKDLREILYQNLEILAHDTPVIDVASLHINERQLYKQFGDLLPSVPEGKGPQNLCLSLWGTVSNILEYQLNLISLESRDCLFESHNTLQKASSSIIHGGNMPYQIELGAVLARLLKVTCGHISAYCAKQLASFLLLRVSRRSAHLWPQYRNPAKNLDHIIDNAEVLSNEDELSASKMFWSICSEICEVNGNFLQENAELLQHIKQKSLNGWYDVYPVIIRECESEETCDKEDRLDSPSSAAGSPLACLSPNDHPFLSLSGKYADHPKKVLPFNNAKEICRRNGELLEALCINSIDQCQVALASNRKGILFFNWEDGLPYEEKSDYVWADADWPCNGWAGSEATPIPTSVSPEVGLGKKGTVLGLGGATIDVGLLTRPGKDFTGSGTFGVPGYAGISSGLGWGIQEDFDECVDPPATVENVRTRALSTHPSRPLFLVGSSNTHVYLWEFGMDRATATYGVLPATNVPPPYALASISSVQFDHCGHRFATAASDGTVSTWQLEVGGRSNIHPTESSICFNNYTSDVTYVTSSGSIIAAAGYSSSGINVVVWDTLAPPATSQASIMCHEGGARSLSIFDNDIGSGSISPLIVTGGKSGDVGLHDFRYIATGRTKKHKHCDTSEQNLHSTVDMQKKTGDQNRNGMLWYIPKAHTGSVTKICTIPNTSFFLTGSKDGDVKLWDAKWARLVFHWPKLHERHTFLQPTSRGFGGVVRAGVTDIQIVSHGFVSCGGDGSVRLVTVKGT >itb03g17270.t1 pep chromosome:ASM357664v1:3:15930991:15931750:-1 gene:itb03g17270 transcript:itb03g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTETLKLPDFETLAEKYQMDFITPQGIMNPSSGIMVPSTDLVFTGNATTTTIHAPAAPPRPPPLSRYENQKRRDWNTFCQYLRNHRPPVSLLLCSGAHVLEFLRYLDQFGKTKVHNQNCPFFGVPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPELNPFGARAVRLFLRDVRDFQSKSRGISYEKKRKRSTTATTVTTSSKHKVVAVTLQAPHASNAI >itb15g12190.t1 pep chromosome:ASM357664v1:15:10142525:10152105:1 gene:itb15g12190 transcript:itb15g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSSSTMIVTNTPAKDLAYTNFAYCSPADLRNFVVPGSKLAYALVADAYVLSIVSTGDSISVSRFIPPEDFNLALLTLELEFVRKGTKDEQVDAVQMSNQIRKRFGNQVMTAGQRVTFEYHGNGYIFTVTQAQVEGHSKSNKIERGLLSSDTYIIFEASNASGIKITNQREAASSNIFRQKEFNLESLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTKGDQSELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLIDEALLRPGRLEVQVEINLPDENGRLQILQIHTNKMKENSFLAPDVNLPELAARTKNYSGAELEGVVKSAVSFALNRQLSLDDLTKPVDEDNIKVTMDDFLHALHEVIPAFGASTDDLERCRLNGIVECGQRHEHIYRRAMLMAEQVKVSKGSPLITCLLEGPSGSGKTAMAATVGIESDFPYVKIISAETMIGLSEGSKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKKVLVIGTTSEVAFLESVGICDAFSVTHHVPTLKTEDAKKVLQQLNVFSREDVDSAAEALNDMPIKKLYMVVEMAAQGEHGGKAEAIYSGKEKINISHFYDCLQDIVRY >itb09g05920.t3 pep chromosome:ASM357664v1:9:3358317:3361532:1 gene:itb09g05920 transcript:itb09g05920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQKWIIVVFLIFSLHVCAMAADDYDVNFEWHECGESGTYSGGSTYSNNLKTLVSSLSDKLNNYGFYNDSIGQDSDRASAIALCRGDADINLCRGCVNDTARRIIGWCPTQKEAFAWYNICSIYYSDKSILGGSWQTTPVKEQNSEWLLTDENAVEFNEDLTNLVNGLRGRAANGDQFLKYAADSTPGPESETIYAYMQCSPDLSVQDCTDCLNNATAVWNSSDGKGKKGARVLRPNCFFRYENSTFFSNTLINQSVSTPPPPPPRPPPGPDGNNKTVIIIVVCIVAGLIGIAICIFIIYRKLQKRKANSYVKTLEETSSTDEISVVESLKYDLITLQNATNNFSEGNKLGEGGFGPVYKGELRNGLEVAVKRNLVRLLGYCQEGREMILIYEFVPNGGLDNILFDPVKRGYLDWGKRYKIIESIARGLVYLHEDSRLRIIHRDLKASNILLDVDLNPKIADFGTARLFTLDETQGSTSRIVGT >itb09g05920.t2 pep chromosome:ASM357664v1:9:3358317:3361536:1 gene:itb09g05920 transcript:itb09g05920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQKWIIVVFLIFSLHVCAMAADDYDVNFEWHECGESGTYSGGSTYSNNLKTLVSSLSDKLNNYGFYNDSIGQDSDRASAIALCRGDADINLCRGCVNDTARRIIGWCPTQKEAFAWYNICSIYYSDKSILGGSWQTTPVKEQNSEWLLTDENAVEFNEDLTNLVNGLRGRAANGDQFLKYAADSTPGPESETIYAYMQCSPDLSVQDCTDCLNNATAVWNSSDGKGKKGARVLRPNCFFRYENSTFFSNTLINQSVSTPPPPPPRPPPGPDGNNKTVIIIVVCIVAGLIGIAICIFIIYRKLQKRKANSYVKTLEETSSTDEISVVESLKYDLITLQNATNNFSEGNKLGEGGFGPVYKGELRNGLEVAVKRLSENSMQGNLEFKNEVALMARLQHRNLVRLLGYCQEGREMILIYEFVPNGGLDNILFDPVKRGYLDWGKRYKIIESIARGLVYLHEDSRLRIIHRDLKASNILLDVDLNPKIADFGTARLFTLDETQGSTSRIVGT >itb09g05920.t1 pep chromosome:ASM357664v1:9:3358317:3361536:1 gene:itb09g05920 transcript:itb09g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQKWIIVVFLIFSLHVCAMAADDYDVNFEWHECGESGTYSGGSTYSNNLKTLVSSLSDKLNNYGFYNDSIGQDSDRASAIALCRGDADINLCRGCVNDTARRIIGWCPTQKEAFAWYNICSIYYSDKSILGGSWQTTPVKEQNSEWLLTDENAVEFNEDLTNLVNGLRGRAANGDQFLKYAADSTPGPESETIYAYMQCSPDLSVQDCTDCLNNATAVWNSSDGKGKKGARVLRPNCFFRYENSTFFSNTLINQSVSTPPPPPPRPPPGPDGNNKTVIIIVVCIVAGLIGIAICIFIIYRKLQKRKANSYVKTLEETSSTDEISVVESLKYDLITLQNATNNFSEGNKLGEGGFGPVYKGELRNGLEVAVKRLSENSMQGNLEFKNEVALMARLQHRNLVRLLGYCQEGREMILIYEFVPNGGLDNILFDPVKRGYLDWGKRYKIIESIARGLVYLHEDSRLRIIHRDLKASNILLDVDLNPKIADFGTARLFTLDETQGSTSRIVGTYGYMAPEYVRQGLFSVRSDVYSFGVLVLEIISGEKNSHFQNEESMKDLLSYAWTHWKDGSASNVIDPMLRGTSSPVHEITKCIHIALLCVQENVTDRPTMGEVLQMLSNLTMSLPIPIAPGFFIHSNINSEASNQSTRNEMSISEQFPR >itb12g18430.t1 pep chromosome:ASM357664v1:12:20662921:20667627:-1 gene:itb12g18430 transcript:itb12g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIVKLCSIAPLFLALGLLPLALAGHDYAQALSKSFLFYEAQRSGYLPSTQRVRWRGHSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMAASGELGHAMDAVKWGTDYFIKAHPEPNVLYGEVGDGDTDHYCWQRPEDMTTSRTAYKIDPSRPGSDLAGETAAAMAAASIVFRRSNPSYSNELLQHAYQLFEFADKYRGKYDSSITVAQRYYQSVSGYADELLWAAAWLYKASNNQYYLNYLAKNGNALGGTSWAMTEFGWDVKYAGVQTLVAKFLMEGKGGGYESVLESYQEKAESFMCSCLGKGNRNVQLTPGGLIYHQGWNNLQFVTSASFLLTVYSDYLSSSRKYLKCNSGYVPPSALISFAQSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVDPTFVSCRGGYATWYGRKGSDPNLLTGAIVGGPDAYDNFADERDNFEQTEPATYNNAPLIGVLARLHAGRGGYNQLLPVATPQPKPTPRWRPTPTPAPASAQIWVQQRVTKSWVYGGKLYHRYLVRVTNKSGKTVKNLKLSISKLYGPLWGLSKYGNSYFFPSWLTALPAGKTLKFVYIHSASPAQVWVSGYNLL >itb03g04540.t1 pep chromosome:ASM357664v1:3:2881017:2882742:-1 gene:itb03g04540 transcript:itb03g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYTAGAEAIMVLLLTLPGLNPLRKGLVSVAQSLLKPLSSIVPFCLFLMMDIYYKYETRPTCESPEACSPAEHLRFHKSIIKSERNALLIASALFFYWILYSVTNLLVRIDDLNNRIEKIKNQD >itb09g14680.t1 pep chromosome:ASM357664v1:9:9926873:9929006:1 gene:itb09g14680 transcript:itb09g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGWPFENFIFFLIIIVSIFVLYLLRIKLLYCSCDVCNAYMSTSWYAKYNNLCDWYTHLLRNSPTKTIHIHVLQNTITANPENVEYMLKGRFENYPKGKAFSAILGDFLGQGIFNVDGDSWRFQRKIASMELGRSSVLSYAFEVIHTEIERRLLPVLAEKEVKDSVVDFQDVFRRFSFDCICRFSFGLDPKCLESLMPLSEFAVSFHLASKLSAERAMSASPVVWKIKRFLNIGSEKELRKSIEMINLLAMEVIKQRRKILGTSEDENHKDLLSRFMGSIADETYLRDIVISFLLAGRDTVASALTSFFWLIGKHPEAAEAILAEADRVIGKNGDPASCEEMGEHNYLQAAVYESMRLFPPIQFDSKFCVEDDVLPDGTAVKRGTRVTYHPYAMGRMEGIWGSDCLEFKPERWLKDGVFFQENPFKYPVFQAGLRVCLGKEMALLEVKSVALSVLRRFRIHLAQPHHTPRFSPGLTATFRDGLPVFIQQRCPSRP >itb01g23710.t1 pep chromosome:ASM357664v1:1:29642999:29643262:-1 gene:itb01g23710 transcript:itb01g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISTTAVVLGMMVLASVFFYLGSSSSDDHHTHVGTMIDNENEETAAEAEEYLSSELRRRRLERCPCYPCCAEPCPCSFPPNSSP >itb06g22640.t1 pep chromosome:ASM357664v1:6:24872605:24877525:-1 gene:itb06g22640 transcript:itb06g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNNGEFSFSTAGKGRNGLPKIQTQKTKEDEICHDDSAKPVKAKTLDELHSLQKKKSAPTTPLTATGTPSAAFSAAVSEEERHKQQLHSISASLASLTRETGPNVVKGDPTRTTPETPRVSHDSHVSHHHHAPTLNISDSSLKFTHILYNLSPAELYEQALKHEKGSFITSSGALATLSGAKTGRSPRDKRVVKDDTTNDLWWGKGSPNIEMDEHTFLVNRERAVDYLCSLEKIFVNDQFLNWDPNNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKREILSLHSGCNMGKGGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDYGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHSREVYYEDKSVTENTRAAYPIEYIPNAKIPCVGPHPTNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMFHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGVGSRIKLAYTRKIIDAIHSGKLLEANYTKTEVFGFEIPTEIEGVPSEILNPINTWPDKDAYNKTLLKLGGLFKNNFEVFLNYKVGTDNSLTEEILAAGPNF >itb06g05160.t1 pep chromosome:ASM357664v1:6:7922682:7925462:1 gene:itb06g05160 transcript:itb06g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQEEHAQKYSNSNSGGGGHGSRSSKKMKPKKVPQRGLGVAQLEKIRLEEQHRKDAALLAASVLPPTFRPHLSSSSSMALPPPSPTDLAPPNSAFIRPLQSPCVSKIDAFQPYSNVSFSKPLNVGGVDRSWPSMIVPGNHNWPKLSNGEYNLKVENQRFDHHGVGFQPNLNLPVESQTPVLPLPCVLQRSQQCQRPSSSSMVNVSTGISSSSALNYQMEPPSNQNYCGNNYTPLWPEEVKQMVGIKRPYPFSPEYPPLPAFRCKFPPGYMPSVSKTNESASSSTGCTFNVEPSNLPTREGPSNSDSMSESNPRRLTRENDGFGGDFLTLAPPAAASSLHLDPRYHRSFGNSAHLHLETSNQGGSEELVQRSGLSRSDQPLVYTFFPSANLHTGHKATPKSSYKGEASGGVDLNLKL >itb06g05160.t2 pep chromosome:ASM357664v1:6:7922682:7925399:1 gene:itb06g05160 transcript:itb06g05160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQEEHAQKYSNSNSGGGGHGSRSSKKMKPKKVPQRGLGVAQLEKIRLEEQHRKDAALLAASVLPPTFRPHLSSSSSMALPPPSPTDLAPPNSAFIRPLQSPCVSKIDAFQPYSNVSFSKPLNVGGVDRSWPSMIVPGNHNWPKLSNGEYNLKVENQRFDHHGVGFQPNLNLPVESQTPVLPLPCVLQRSQQCQRPSSSSMVNVSTGISSSSALNYQMEPPSNQNYCGNNYTPLWPEEVKQMVGIKRPYPFSPEYPPLPAFRCKFPPGYMPSVSKTNESASSSTGCTFNVEPSNLPTRYDFQP >itb10g00370.t1 pep chromosome:ASM357664v1:10:237652:252622:-1 gene:itb10g00370 transcript:itb10g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MEDSGVASNVAAAIAAALDWSSSPDARKAAYDYIESVKAGDVRVLASTLFILVRKDWSSAIRLQAFKMLQNLVRLRWDELNSTERRNFANVAVDLMSDIANPCEEWAVKSQTAALVAEILRREGLSLWKELFPSLLSFSDKGPIHAELVSMVLRWLPEDITIHNEDLEGDRRRMLLRGLTESLPEIFPLLYTLLERHFGAALAEAARQQLDMAKLHATTITATLNAVNAYAEWAPLPDLAKHGIIHGCGFLLSSPDFRLHACEFFKLVSSRRRPSDATSEFDSAICNIFQILMNISRELLQKSSAGAIFDENESEFAECVCESLVLLGSSNLQCISDDTTILSFYLQQMLGFFQHYKFGLHYQSLFFWLALMRDLLSKPKIASGESSVNNTGAVSEGVDIERKKKLTFVNDEICSSILDISFQRLLRKEKIHPQTSFSLAALELWSDDFEGKGEFGQYRSRLLELIRFVASDKPVIAATKVSERIMAILKSMLLIPVPAQELAILESMQLALENVVNAVFDDFGRRSSDVQNSLCGIFEGLLQQLLSLKWTEPTLVEAIGHSLEALGPFLKYYPDAVGSVINKLFELLTALPFVVKDPATSTARHARLHICTSFIRIAKAADHSILPHMKLLERHFGAALAEAARQQLDMAKLHATTITATLNAVNAYAEWAPLPDLAKHGIIHGCGFLLSSPDFRLHACEFFKLVSSRRRPSDATSEFDSAICNIFQILMNISRELLQKSSAGAIFDENESEFAECVCESLVLLGSSNLQCISDDTTILSFYLQQMLGFFQHYKFGLHYQSLFFWLALMRDLLSKPKIASGESSVNNTGAVSEGVDIERKKKLTFVNDEICSSILDISFQRLLRKEKIHPQTSFSLAALELWSDDFEGKGEFGQYRSRLLELIRFVASDKPVIAATKVSERIMAILKSMLLIPVPAQELAILESMQLALENVVNAVFDDFGRRSSDVQNSLCGIFEGLLQQLLSLKWTEPTLVEAIGHSLEALGPFLKYYPDAVGSVINKLFELLTALPFVVKDPATSTARHARLHICTSFIRIAKAADHSILPHMKGIADTMAYLQKEGRLLRGEHNLLGEAFLVMGSSAGVEQQREVLAWLLEPLSKQWTQTEWQDAYLSDPAGFVRLCADTPAMWSVFHTVTFFEKALKRSGTRKGNTNSQSSQISDSLHPIAPHLSWMLPPLLKLLRAMHSLWSPAVMKALPGEIKAAMIMSDVDRGSLLGGMNLKLPKGPLSFTDGSFEINREGYSEPNETDIRNWLKGIRDSGYNVVGLSATIGDSFFRGVDSDFVALALMENIQSMEFRHIRLLVHSILIPLVKNCPPDLWEAWLVKLLQPLLQHSQQALSCSWSSLLQEGRAKVPDLHGIIAGSDLKVEVMEEKLLRDLTREICSVLSAVASPSLNSGLPSLDQSVHANRVDESTVKDLDVFAPSSMVGFLLKHKNVAVPGLQISLEAFRWTDGESVAKVSAFCGTIIALAILTNNVELRDFVCKDLFSALIEGLTLESNAFISADLVGHCREIFIHLADKHLAPRQIILSLPQITPQDLHAFEEALTKTSSPKEQKQHMKSLLLLATGNKLKALAAQKTVNVITNVSMRPRNTTATQESKADGGEAIGLAAIM >itb08g14860.t1 pep chromosome:ASM357664v1:8:16726839:16732508:1 gene:itb08g14860 transcript:itb08g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSNWLPLKFFTAKNCGFWCLVFPRGVLRGFAMDLETGFRQNSSKRQSWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIKHSETNEEIYGVLSFIFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVNSLPSCQLEDEELSFYKKDIVSPAPTTVGARLKSTLERHRVLQRFLLVLALIGACMVIGDGILSPAISVFSAVSGLELAVSKEHNKYIEVPVACIILIALFALQHYGTHRVGFLFAPVVITWLVCISAIGLYNIIHWNPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTFLVYPSLILAYMGQAAYLSQHHVIESDYHIGFYVSVPEKVRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLGCFPGVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHQSVFLAIGFVLFFGTIEALYFSASLIKFLEGAWVPIVMAFIFMIVMCVWHYGSLKKYEFDVQNKVSVDWLLSLGPSLGIVRVNGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPIPHVKHEERFLVGHIGPREYRIYRCIVRYGYRDAHKDDSGFENDLVCSIAEYIRTGKAGSNGAATATAAAAEENSAQEREEMAVMGTPSTYLGGVHVYEDGEGHSSSAGTSELREIKSPPILKPRKKVRFVVPESPKIDQSARTELRELMEAREAGIAYILGHSYMQAKQGSSFVKKMVINFGYEFLRRNSRPPTYALSVPHASTLEVGMVYNI >itb08g14860.t2 pep chromosome:ASM357664v1:8:16726839:16732508:1 gene:itb08g14860 transcript:itb08g14860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGFRQNSSKRQSWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIKHSETNEEIYGVLSFIFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVNSLPSCQLEDEELSFYKKDIVSPAPTTVGARLKSTLERHRVLQRFLLVLALIGACMVIGDGILSPAISVFSAVSGLELAVSKEHNKYIEVPVACIILIALFALQHYGTHRVGFLFAPVVITWLVCISAIGLYNIIHWNPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTFLVYPSLILAYMGQAAYLSQHHVIESDYHIGFYVSVPEKVRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLGCFPGVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHQSVFLAIGFVLFFGTIEALYFSASLIKFLEGAWVPIVMAFIFMIVMCVWHYGSLKKYEFDVQNKVSVDWLLSLGPSLGIVRVNGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPIPHVKHEERFLVGHIGPREYRIYRCIVRYGYRDAHKDDSGFENDLVCSIAEYIRTGKAGSNGAATATAAAAEENSAQEREEMAVMGTPSTYLGGVHVYEDGEGHSSSAGTSELREIKSPPILKPRKKVRFVVPESPKIDQSARTELRELMEAREAGIAYILGHSYMQAKQGSSFVKKMVINFGYEFLRRNSRPPTYALSVPHASTLEVGMVYNI >itb03g19400.t2 pep chromosome:ASM357664v1:3:17483196:17490805:-1 gene:itb03g19400 transcript:itb03g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAYSKACIGQICAPRDLEVKDPENVKVVVENTVFSPGSSDGEDAEIRERLNQFSVGRDPEIGIARLSRVSAQFLPPDGTRSVRVPSGNYELRYSFLSQRGYYPDALDKANQDSFCIHTPFGTSPDDHLFGVFDGHGEFGAQCSQFVKKKLCENLLRNNKFHVDAVEACHDAFLMTNSQLHSDALDDSMSGTTAVTILVRGKTIYVANSGDSRAVIAEKRGNSIVAVDLSIDQTPYRPDELERVKLCGARVLTLDQIEGLKNQDEQCWDTEEGDDGDPPRLWVQNGMYPGTAFTRSIGDSIAETIGVVANPEIMVLELTQNHPFFVIASDGVFEFLSSQTVVDMVSMYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLTDAAGVEPKSYEAVLRHPLPQVVEASGSESPAVMSWNSRNQRARQDISRARLRALENSLENGRIWMPPSPGHRKTWEEEAQIEQVLHDHFLFRKLTDSQRHVLLDCMKRVEVQAGEIVVKQGGECDSFYVVGSGEFEVLATQDEKTEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRDSLSEITFSDGQTIVNKGEDLLGLYIIQKGEVKITFDINTVKSENASSLICENQRQDLAANIKGVSLQKTEGSYFGEWTLLGEHVGSLSAIAVGDVVCSILTKEKFDSVMGPLTKLSQDDLKANNYPSNISEESNKSFDTSDLANLQLVDLEWKTCLYSTDCSEIGLVRLKDSDKLLSLKRFSKLAVKKLGKEEQVLKEKELLKHLASTSCVPRVICTCADQTHASILLDTRLACPLVSILHVPLDEASAQFCAASVVTSLEDLHKHGILYRGVSPEVLMLDQTGHIRLVDFRFAKKLSDDESQRAFTICGMADSLAPEIVQGKGHGFAADWWALGTLIYFMLQGEMPFGSWRESELTFARIAKGQLTLPETFSREVVDLISKLLEVDENSRLGTQGVDSIKTHPWFDGFDWKGVTDSTAPAPPEIVSRINQYLVNRTDDSAASLQSPSHDSKDLNTPEWLEEW >itb03g19400.t1 pep chromosome:ASM357664v1:3:17483144:17490805:-1 gene:itb03g19400 transcript:itb03g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAYSKACIGQICAPRDLEVKDPENVKVVVENTVFSPGSSDGEDAEIRERLNQFSVGRDPEIGIARLSRVSAQFLPPDGTRSVRVPSGNYELRYSFLSQRGYYPDALDKANQDSFCIHTPFGTSPDDHLFGVFDGHGEFGAQCSQFVKKKLCENLLRNNKFHVDAVEACHDAFLMTNSQLHSDALDDSMSGTTAVTILVRGKTIYVANSGDSRAVIAEKRGNSIVAVDLSIDQTPYRPDELERVKLCGARVLTLDQIEGLKNQDEQCWDTEEGDDGDPPRLWVQNGMYPGTAFTRSIGDSIAETIGVVANPEIMVLELTQNHPFFVIASDGVFEFLSSQTVVDMVSMYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLTDAAGVEPKSYEAVLRHPLPQVVEASGSESPAVMSWNSRNQRARQDISRARLRALENSLENGRIWMPPSPGHRKTWEEEAQIEQVLHDHFLFRKLTDSQRHVLLDCMKRVEVQAGEIVVKQGGECDSFYVVGSGEFEVLATQDEKTEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILTSEFSNLSSLKLLRSVDFLSRLTILQLNHMADSLSEITFSDGQTIVNKGEDLLGLYIIQKGEVKITFDINTVKSENASSLICENQRQDLAANIKGVSLQKTEGSYFGEWTLLGEHVGSLSAIAVGDVVCSILTKEKFDSVMGPLTKLSQDDLKANNYPSNISEESNKSFDTSDLANLQLVDLEWKTCLYSTDCSEIGLVRLKDSDKLLSLKRFSKLAVKKLGKEEQVLKEKELLKHLASTSCVPRVICTCADQTHASILLDTRLACPLVSILHVPLDEASAQFCAASVVTSLEDLHKHGILYRGVSPEVLMLDQTGHIRLVDFRFAKKLSDDESQRAFTICGMADSLAPEIVQGKGHGFAADWWALGTLIYFMLQGEMPFGSWRESELTFARIAKGQLTLPETFSREVVDLISKLLEVDENSRLGTQGVDSIKTHPWFDGFDWKGVTDSTAPAPPEIVSRINQYLVNRTDDSAASLQSPSHDSKDLNTPEWLEEW >itb12g25450.t2 pep chromosome:ASM357664v1:12:26692446:26695555:-1 gene:itb12g25450 transcript:itb12g25450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGAVLVNERNVPDGAEQTFVISQNVPDTLKEDLAWGSYVLLRNTNTISVLISTQDALCVRGYWTTPQDVSKADVCDWFEKQIQKLGLFNLEDTLYFPQATDSQFKELLPKGTRSLLVQPLLQSPDPSYKDTPKNEGFVLVASGNSYAYDNKDRAWIGAVAGKFRDIIYGLCIPSPVNLSLNFKRELTVSFTR >itb12g25450.t1 pep chromosome:ASM357664v1:12:26692446:26693540:-1 gene:itb12g25450 transcript:itb12g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVCEAIGQLLKMYQKLMYVIGLRNRFRNLVYSIWRTHSIFLKLQPLLQSPDPSYKDTPKNEGFVLVASGNSYAYDNKDRAWIGAVAGKFRDIIYGLCIPSPVNLSLNFKRELTVSFTR >itb14g17030.t1 pep chromosome:ASM357664v1:14:20357825:20363420:1 gene:itb14g17030 transcript:itb14g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLINKCKEIHKTWFVVLTFKESAEHILRHCNIAKEVWRALTSTHRRRKWEQLGFREWIAANISKNKDEVEGEHWPRRFSIILCLRGHFRHLSTIKADELVATASEANQRSPSHPPNHNRTLPNSLRRRLVTPPRACRLWIPPELWMAGTCSRVTPHVIGSTVAELSRTSRAVALLPVRISARSHGVSTCDESEIPQGPSCIFVGPIETASQETLEALYCQARDSYYSGSPLIVDDMFDRVELKLRWYGSQYVVKYPRCSLRRQSTYADAEEDASQVFALASIWLLILGLGGSVCLVPIIYTIGQAYQDAFSSAMPYTSQASSLQFLTVLNGVLYMVLGSIIGYPIASASAGALQGLWKNDTVALKGACPNCGEEVFAFVRSNQPNQSPHRAECHVCESLIEFRTKVKSTSGPGQRWVYGRVYLIRQKRSRRQR >itb10g17670.t1 pep chromosome:ASM357664v1:10:23816369:23820741:-1 gene:itb10g17670 transcript:itb10g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 14 [Source:Projected from Arabidopsis thaliana (AT1G30650) UniProtKB/Swiss-Prot;Acc:Q9SA80] MFFTSVRCKTYPTLYYILNLPKQQFYQRNLFLFLIMCSQFKLHGMENYQGDLADIVRGSGLAGNAAQEAEPPALPDTWQYSSGDNDNIAAAVMNCYSDHRDFGDPFSHMRDPMMFQDLAMPPPPPSAAFFACSDNDPAAAVSETATSSAVFAPPKLILDEEMKRPACNIFSRMLQISPTSNPPPPSGGGGIACGENIISAASSSGNAAAGLQISSPRNTGSIKRRKSQAKKVVCVPAPAPANSRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQAAKNAAAKSSLSQTPTPNDTQTDPHTISDTTPPVKEETTTAPEDHAIQINDLDDFPAAGFPQSYKPALPSSDEHHHQPEDFFADLGEIESTDPLNLMFSQAFPSGQEKKPAGIAIDDAFNFYDWTDNNNNSIQTLGKSDSGQRGL >itb14g04090.t1 pep chromosome:ASM357664v1:14:3641621:3643402:1 gene:itb14g04090 transcript:itb14g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGILSKAEMKVEDWENVAKDVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEISARTLVGHRAAWNFVKDEALIANKKEEVAWQKLQDLIDRNLISVEKRGWSGRIKTCKIHDLTREMCLRLAKGKNILHAIDDKFQVGQSSKEISQENGNFWDAKHPIDLVHTLSHFKQLENIKFYGTKSLKKIALVPKPYDFPPKLKKLKFSVTMMKLEIMTILGRLPNLQVLQLEKDAFDDSETEWEQVEEGFPKLEVLVFKDQTLCRWIDCSFSFPRLECLVLKSCRSLESLPYDCLSGCPCLKLIELERFKSIISADLKQSSIHTAS >itb07g20790.t3 pep chromosome:ASM357664v1:7:25122629:25127695:1 gene:itb07g20790 transcript:itb07g20790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFEVGYSTCHWCHVMEVESFENEEVARLLNDWFVSIKVDREERPDVDKVYMTYVSALYGSGGWPLSVFLSPDLKPLMGGTYFPPDDAYGRPGFKTILRKVKEAWNSKKDVLVRSGAFAIEQLSEALSSSARSNKISDGLPQKAVRECANQLAENYDPKYGGFGSAPKFPRPVEIELMLYHSKMLVGEGKSDEAMKVQKMVMHTLEGMAKGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANAYLNAFCITKDVFYSSACRDVLDYLRRDMIGPTGEIFSAEDADSLESEDASRKKEGAFYVWSSSEVEHVVGEDATIVMDHYYIKPSGNCDLSRMSDPHGEFKGKNVLIERNATSAMASKHGLPVERYLHSLGSNRRKLFEVRARRPRPHLDDKVIVSWNGLVISSFARASKILKGEPEGTNFYFPVVGTQPKEYMEVAEKAALFIRKNLYNQQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGSIFWLSWALELQDMQDELFLDKEGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVSVINLVRLASMVAGGKSEHYRDTAQHVLAVFEKRLEGTALALPLMCLAADMLAAPSRKQVVIVGPKGCDDFEDLIAAAHAAYDPNKTVIHIDPSDVEETGFWEDMNANIASMAKSNSAADKSVALVCQNFTCSPPISDTESLVSLLSR >itb07g20790.t1 pep chromosome:ASM357664v1:7:25121888:25127698:1 gene:itb07g20790 transcript:itb07g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLLLCSSTRHRFLHGLNSLRTHCYYSSTTHSTKSSSFLGVFFQHRCLRRPIHSVRVLAMAEQSSQPTKKHKHTNRLASEHSPYLLQHAHNPVDWYPWGEEAFADARKRDVPIFLSIGYSTCHWCHVMEVESFENEEVARLLNDWFVSIKVDREERPDVDKVYMTYVSALYGSGGWPLSVFLSPDLKPLMGGTYFPPDDAYGRPGFKTILRKVKEAWNSKKDVLVRSGAFAIEQLSEALSSSARSNKISDGLPQKAVRECANQLAENYDPKYGGFGSAPKFPRPVEIELMLYHSKMLVGEGKSDEAMKVQKMVMHTLEGMAKGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANAYLNAFCITKDVFYSSACRDVLDYLRRDMIGPTGEIFSAEDADSLESEDASRKKEGAFYVWSSSEVEHVVGEDATIVMDHYYIKPSGNCDLSRMSDPHGEFKGKNVLIERNATSAMASKHGLPVERYLHSLGSNRRKLFEVRARRPRPHLDDKVIVSWNGLVISSFARASKILKGEPEGTNFYFPVVGTQPKEYMEVAEKAALFIRKNLYNQQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGSIFWLSWALELQDMQDELFLDKEGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVSVINLVRLASMVAGGKSEHYRDTAQHVLFSRRDWKAPL >itb07g20790.t2 pep chromosome:ASM357664v1:7:25121888:25127695:1 gene:itb07g20790 transcript:itb07g20790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLLLCSSTRHRFLHGLNSLRTHCYYSSTTHSTKSSSFLGVFFQHRCLRRPIHSVRVLAMAEQSSQPTKKHKHTNRLASEHSPYLLQHAHNPVDWYPWGEEAFADARKRDVPIFLSIGYSTCHWCHVMEVESFENEEVARLLNDWFVSIKVDREERPDVDKVYMTYVSALYGSGGWPLSVFLSPDLKPLMGGTYFPPDDAYGRPGFKTILRKVKEAWNSKKDVLVRSGAFAIEQLSEALSSSARSNKISDGLPQKAVRECANQLAENYDPKYGGFGSAPKFPRPVEIELMLYHSKMLVGEGKSDEAMKVQKMVMHTLEGMAKGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANAYLNAFCITKDVFYSSACRDVLDYLRRDMIGPTGEIFSAEDADSLESEDASRKKEGAFYVWSSSEVEHVVGEDATIVMDHYYIKPSGNCDLSRMSDPHGEFKGKNVLIERNATSAMASKHGLPVERYLHSLGSNRRKLFEVRARRPRPHLDDKVIVSWNGLVISSFARASKILKGEPEGTNFYFPVVGTQPKEYMEVAEKAALFIRKNLYNQQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGSIFWLSWALELQDMQDELFLDKEGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVSVINLVRLASMVAGGKSEHYRDTAQHVLAVFEKRLEGTALALPLMCLAADMLAAPSRKQVVIVGPKGCDDFEDLIAAAHAAYDPNKTVIHIDPSDVEETGFWEDMNANIASMAKSNSAADKSVALVCQNFTCSPPISDTESLVSLLSR >itb09g19650.t1 pep chromosome:ASM357664v1:9:15875691:15875942:-1 gene:itb09g19650 transcript:itb09g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTTCEAMKERSYEAAIRSPASLEGGHDSSIARRAQLHHSRCRPCNAKRRRQPASTASRTSPAPRRQLFLRPSSSVVATGRC >itb13g25400.t1 pep chromosome:ASM357664v1:13:30791729:30792298:1 gene:itb13g25400 transcript:itb13g25400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSDPNTSNSSFPQNLFQENCWPEELREAIILSLPREEGSVSPHIYNYKGFWLAPHHLHGVLRSHQHFQAQHSDVILCTPPKCGTTWLKALIFALITRKHYLLSQLETHPFLKTNPQDLIPNLEFCYAREENSPPNFPTVNNGADEANLYAFTTRIVAQIGWGIEMQAHLSVQRPKGHSCVLLALHE >itb02g03680.t4 pep chromosome:ASM357664v1:2:2161214:2164291:1 gene:itb02g03680 transcript:itb02g03680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNDEPLALKRMHEFSAVDGFSEITSSLADMIKFLANEPSVGLFYIQQHTHNAVPNLVNLNNTVAKKSHEVTLHMEDLEDSITMMGSMKEFGFPIAEEMIKEIKHSLAVMSTNQPKKGLINARAPSSSFGMGRSTSWGPSTWSLMTTNSMEQQDSENSSYLSNVFKSARQRASNFRWTQIETREPIQVKHEPSLSSQNQASSAADASSSSTIAKTGIDEFPLLSQTDEALHESQVNRSLSHGQLLSLSNNYEEFRANKEAKLEEWLEGTGDQQDRTE >itb02g03680.t2 pep chromosome:ASM357664v1:2:2160779:2164281:1 gene:itb02g03680 transcript:itb02g03680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNDEPLALKRMHEFSAVDGFSEITSSLADMIKFLANEPSVGLFYIQQHTHNAVPNLVNLNNTVAKKSHEVTLHMEDLEDSITMMGSMKEFGFPIAEEMIKEIKHSLAVMSTNQPKKGLINARAPSSSFGMGRSTSWGPSTWSLMTTNSMEQQDSENSSYLSNVFKSARQRASNFRWTQIETREPIQVKHEPSLSSQNQASSAADASSSSTIAKTGIDEFPLLSQTDEALHESQVNRSLSHGQLLSLSNNYEEFRANKEAKLEEWLEGTGDQQDRTE >itb02g03680.t3 pep chromosome:ASM357664v1:2:2160975:2164281:1 gene:itb02g03680 transcript:itb02g03680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNDEPLALKRMHEFSAVDGFSEITSSLADMIKFLANEPSVGLFYIQQHTHNAVPNLVNLNNTVAKKSHEVTLHMEDLEDSITMMGSMKEFGFPIAEEMIKEIKHSLAVMSTNQPKKGLINARAPSSSFGMGRSTSWGPSTWSLMTTNSMEQQDSENSSYLSNVFKSARQRASNFRWTQIETREPIQVKHEPSLSSQNQASSAADASSSSTIAKTGIDEFPLLSQTDEALHESQVNRSLSHGQLLSLSNNYEEFRANKEAKLEEWLEGTGDQQDRTE >itb02g03680.t5 pep chromosome:ASM357664v1:2:2160788:2164291:1 gene:itb02g03680 transcript:itb02g03680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNDEPLALKRMHEFSAVDGFSEITSSLADMIKFLANEPSVGLFYIQQHTHNAVPNLVNLNNTVAKKSHEVTLHMEDLEDSITMMGSMKEFGFPIAEEMIKEIKHSLAVMSTNQPKKGLINARAPSSSFGMGRSTSWGPSTWSLMTTNSMEQQDSENSSYLSNVFKSARQRASNFRWTQIETREPIQVKHEPSLSSQNQASSAADASSSSTIAKTGIDEFPLLSQTDEALHESQVNRSLSHGQLLSLSNNYEEFRANKEAKLEEWLEGTGDQQDRTE >itb02g03680.t1 pep chromosome:ASM357664v1:2:2160738:2164291:1 gene:itb02g03680 transcript:itb02g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNDEPLALKRMHEFSAVDGFSEITSSLADMIKFLANEPSVGLFYIQQHTHNAVPNLVNLNNTVAKKSHEVTLHMEDLEDSITMMGSMKEFGFPIAEEMIKEIKHSLAVMSTNQPKKGLINARAPSSSFGMGRSTSWGPSTWSLMTTNSMEQQDSENSSYLSNVFKSARQRASNFRWTQIETREPIQVKHEPSLSSQNQASSAADASSSSTIAKTGIDEFPLLSQTDEALHESQVNRSLSHGQLLSLSNNYEEFRANKEAKLEEWLEGTGDQQDRTE >itb02g03680.t6 pep chromosome:ASM357664v1:2:2162558:2164281:1 gene:itb02g03680 transcript:itb02g03680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFSAVDGFSEITSSLADMIKFLANEPSVGLFYIQQHTHNAVPNLVNLNNTVAKKSHEVTLHMEDLEDSITMMGSMKEFGFPIAEEMIKEIKHSLAVMSTNQPKKGLINARAPSSSFGMGRSTSWGPSTWSLMTTNSMEQQDSENSSYLSNVFKSARQRASNFRWTQIETREPIQVKHEPSLSSQNQASSAADASSSSTIAKTGIDEFPLLSQTDEALHESQVNRSLSHGQLLSLSNNYEEFRANKEAKLEEWLEGTGDQQDRTE >itb04g26110.t1 pep chromosome:ASM357664v1:4:30511344:30515534:1 gene:itb04g26110 transcript:itb04g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVGFNVFLVAAVVAVAAFFAPPAEAARAFFVFGDSLVDNGNNNYLATSARADSPPYGLDYPTHRPTGRFSNGFNIPDFISQAIGSESTLPYLDPELNGQKLLVGANFASAGIGILNDTGIQFINIIRISEQLQYFRQYQQRCFGSVARRKLATVARLKLSDAAIDGEVSFSLVLKGGYSVPEDVIRNSQIPNEFSPPLCVPFSIDD >itb02g06710.t1 pep chromosome:ASM357664v1:2:4202104:4205292:1 gene:itb02g06710 transcript:itb02g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSTAGTPAAPLKQSTFPSVLFQRASDDRITGTSDVGALAFDFYNIETDASLQNISPSLAPQPLVPLQAPSALRPYIYNGVPKLSGHCSLKFSEADSIFSITAIDCWSSLAPYSASAVCCPQLDASLVILIGHTSKQTQKLSLGETEAKHCLSDVEQILESRGASNNLHEICSISPSNLTASCPVVDINDVESILDSPSLLAACGKIDPTGECCNQVCQNTISAAAEKLAFRNKSVASVSEIPILLEKSSIFCDCKKIVLRWLASKLDSSSANRILRALASCDINKACPLVFPDVKNVSRQCGGKLDNHTPCCDSMKSYLSHLQQQSLITSLQALECAEILGRKLQKANVTNDVFSLCHVNLKDFSLQDSGCLLPSAPLDLAYDNTSGIGFICDLNDKVVAPWSAASYIPTSSCNKTTALPELPKATSSQKGKGLCFKDMSYSLFFASSMIIQLAF >itb02g09870.t1 pep chromosome:ASM357664v1:2:6347671:6359334:-1 gene:itb02g09870 transcript:itb02g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEMETHRKSFLISISSSSHYFWPPEMSVSNFFVFFMCFSAIASASSAAAAPLLATDEVDALQQIAKTLGKTDWDFNVDPCSGHPSWVSPNPTKEKMIVVTCDCSYADNTTCHVTSIVLKQQDLGGTLPAELVKLPFLQEIDLSRNYLGGTIPPEWGSMKLVNISLLGNRITGQIPKELGNISTLANMTLECNQLSGTVPAELGNLTLINKLHLPSNNLSGELPKELAKLSALKDFRIGDNYFSGSIPDFIEEWTDMEKLVIQGSGLSGPIPAGISSLTKLIDLRITDLNGTDSKFPDLSNATNLKTLILRSCNIVDQLPPYIGSLKNLNVLDLSFNKLSGQVPHIIGSSKDIKFIYLTGNLLTGVVPDWITKSLDLSYNNYTNTPKSLGSSNCQQNNINMFASSYNGNRSGIVSCLKKECAQKWYAIHINCGGQEVKSDNGTTYEADPSDATSVFVSSQSNWALSSTGHLLDNNKYINTYRWSNSSRISSTSSQLYTDARSSPLSLTYYGFCLGNGNYTVNLHFAEIMFTDNRSYSSLGSRIFDIYIQGKLVAKDFNIESEAGGVNKAVIKPFTAVVNETTLEIRLYWAGKGTTVIPFKGVYGPLISAISVVSDFQPPIPPSEGLSAGAIVGIVLAVLSTILIALGLLWWKGCLQNKNGMEQDLKGIAVSYTLRQIKAATKNFDASNKIGEGGFGPVYKGRLSDGTDIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMENNSLARALFGPVEQQLKLDWTTRYRICICIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNPKISDFGLAKLDEEENTHISTRIAGTFGYMAPEYAMHGYLTDKADVYSYGVVVLEIVSGRSTGSIKPKEQCFNLLDWANALKEQGNIMGLVDSRLGTDFNKEEALVAINIAFLCTNVVSASRPTMSAVVSMLESRSSLEEFELSTDVTPDKIVKSKEEDKLIDVPDRSVSMDVPWTASSTSTSDLYPIVADSSYWEKRE >itb14g01640.t1 pep chromosome:ASM357664v1:14:1269501:1270556:-1 gene:itb14g01640 transcript:itb14g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLNAVINSDSKSIVIVLCFFLMRILSSFLPPAGFLQSKSVRPRRKWAPPPAAAAAIVGEEKEKVVVVMGATGSGKSRASIDLATRFFTSAEVINSDKIQVYKGLEITTNKITMPERKGVKHHLLGEFEASESWPEFSPADFRAAAGERVSEIAGRGNVPFIVGGSNSYIYALLVERFNPELNVFDESNSVDSFCRELRYNCCFLWVDVSPPVLNRYLFKRVDDMMDSGMLDELSRWFSDPGNPLSRTGLAKAIGVPEFAEYFRSEKRGKRLLYEEAVREIKVNTRQLAERQVRKIQRLREAGGWDLHRINATAAFAAAMGSESESETAEIWENQVVQPSMKIVEKFLLE >itb12g27070.t1 pep chromosome:ASM357664v1:12:27660047:27664010:1 gene:itb12g27070 transcript:itb12g27070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALKTQYSFLVATSPISHPKLRGGFIVRCSSPSPSVAPPSGLVQRPWKVADARLVLQDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIKSLSLSTSNWRCTETLGDYLAARNIMGIYDVDTRAITRRLREDGSLVGVLSTEQQKTDEELLELSRTWDIVGVDLISGVSCKAPYEWVDSTKSAWEFNSNGRSQESFNVVAYDFGIKHNILRRLASYGCKISVVPSTWPASETLKMNPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGSVEISAQNHNYAVDPESLPEGVEVTHVNLNDGSCAGLAFPGQKLMSLQYHPEASPGPHDSDLVFAEFIQLMKHNRG >itb04g33600.t1 pep chromosome:ASM357664v1:4:35795468:35800785:-1 gene:itb04g33600 transcript:itb04g33600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] MDSQVLVALGLSMLGGLSTSLGALFVIINQTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLRGNLWFFAGVIFFALVSSFIPEPTLSPTSNLQNKKKGGDDGGKDIMKKHRRQVFYSGIVTAIGISLHNFPEGMAVFLGSIKGLRVGINLALAISLHNIPEGVAVALPVYFATQSKWQAFKIATLSGLAEPLGVIIVAYLFPSSLSPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKNAVKAVFLGMAFMSASLYFLQTSLPEDMSL >itb06g10800.t1 pep chromosome:ASM357664v1:6:15291653:15296462:1 gene:itb06g10800 transcript:itb06g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHAEEDPSQRSRRKKNASNGENLDYITAGQGSGEGKPASYHCNYCNKDITGRIRIKCAVCSDFDLCIECFSVGAEVQPHKSNHPYRVMDILSFPLICPDWNADEEMLLLEGIEMYGIGKWAEVGEHVGTKTKDACIEHYRSAYLNSPYFPLPDMTHVVGRNREELLAMSKDNGEDKKGFFSLGELARKNESPFSPSRVKVEDSNRSGSSGRLTSASNTGTTGIKKPSKKVLAKDQTDSVKLEDNVSALNFGSKKPKLSKDEGPSLMEISGYNQKRHEFDPEYDNDAELLLADMEFKDADTEEERELKLRVLRIYSKRLDERKRRKDFIIGRNLLYPTEFEKTLSQEEKDLWRRYDAFMRFHSREEHEELLKAIILEHRTVKRIKELKEARAAGCRSSAEADRYFEQKRKREVEENGPKKENYHAGPSIQDSLSSLGTHSNKRSSSLANLSSLTDLEFAAHSAIELLSEPEKQLCRDIRMPPPHYLKMLELMTVHIYSGDITKKSDAYTFFQIETTKVDRVYDMLLKKGIAPL >itb12g01590.t1 pep chromosome:ASM357664v1:12:1077101:1079311:-1 gene:itb12g01590 transcript:itb12g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSNFFLFCLIIFCNFLGTLTAREIRSNNNTNSFPLTSTSLSRGGYRRLGDVAAGTTSFNYKSSFKYSMALVVTLPIGTPAQAQAMVLDTGSQLSWIQCHKKAPPAKAPPTTSFDPSLSSSFSVLPCNHPVCKPRIPDFTLPTTCDQKQLCHYSYFYADGTYAEGNLVREKVSFSDSQTTPPLVLGCATESGQAEGILGMNRGRLSFASQAKVQRFSYCVPVRQGDHAIKPTGTFYLGQNPNSGTFHYINMLTFSRSQRMPNLDPLAYTVALSAIKIGGKKLNIPPAVFRPDAGGSGQTMIDSGTEYTFLVETAYNAIREEVVRLAGAKMKKGYVYGGSLDMCFDGNNPAEIGNLIGDMAFEFDDGAEILIGKDRILDGVGRGVHCLGIGRSESLGVASNIIGNFHQQNLWVEFDLVGQRVGFGKADCSRA >itb14g20230.t1 pep chromosome:ASM357664v1:14:22691192:22694103:1 gene:itb14g20230 transcript:itb14g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDESFKKPKISWTKTLVKKWFNIKGKAEDFHADDILYGGVDDEWRNNFAERETCTVKKSKSEMACRRNSGRVRRSKIDLDTSQVTDVNNYRIFVATWNVGGKSPPDDLSLDEWLHTSSPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSMPDTSGSSYYPPSPIPNPIVELDDDFEGSTRENASSLFHRRSFQSFSRSMRMRESDLSMPQPRFDHRFSVCDRVVYGQMHNDYDPNDHWGGGSSDDENGPDDDSPGIVLYSPPSYNGSISMDDRDKQTTVQSNKYCLVASKQMVGIFLTVWIKADLRDDVCNLKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILKKTRFPRVHGTGDENSPQTILEHNRIIWLGDLNYRIALSYRTAKALVEMRNWRVLLENDQLRIEQRKGRVFTGWSEGRIYFPPTYKYSSNSDRYAGEDTHPKEKRRTPAWCDRILWCGRGLHQMSYVRAESRFSDHRPVYSIFLAEVESINRNRIRKSLSISSSRIEVEELLPYSHNGYDGWNYY >itb03g04670.t1 pep chromosome:ASM357664v1:3:2990829:2993112:1 gene:itb03g04670 transcript:itb03g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPILEAFKKRKKTPKFFGLHSFAEAGYPIFPTGPFVENIRFFLQECADLEDYEVERMPIWITFLVHESRGFAAPLYTIEETVNRSSHQVCNHCRYAGWSQHLVSKRKYHFIIPIDDEWNQPLTEGVLDLQTHLLHGMIHCNGFGHLLCVNGIEGGSKFLCGREIMDLWDRICTNLQARNISVEDLSKKHSMDLRLLYGVAYGHSWFGRWGYRFGRGCFGVMEHDYERAIQILSSLELDHVVDDFNLSRNGVEIRQIIFSYRNLSQTQLFTLRDLFRFMLSLKSKGPALPTVRPCSSKRLIRTTIPSKPLGKDRSGRCRKFVNLAACMDSRWPLRRLEFTANVIVDALKEKKQENKSGSYGMTRQEVRDAARVHIGDTGLIDHVLKSMNNVIVGSYVVHRAVNRATRVLEYTLQEIKNRDQTDQERVLVPEPDKPASLTAVPPVDVFGDVYCLYSNVLLGYKESDVVSLAAQTILDTKHFVKEWPFRDELDDLLRFICIVVPSSIDMETVLPKGIIPKEHIVVPLHSTIGDLKLAIEAAMRDTYCAMEEFVVTDIKGLEGVGDDEVIFGIVESGTELCTKGLGLAFGGDLKFEDRAHNWTVKCKCGARDDDGERMISCDVCEIWQHTRCVGIKDDKAVPPLFVCEVCCGRLAPTRTLGGFELGCHETALIPWQVEADTALYF >itb08g01790.t1 pep chromosome:ASM357664v1:8:1432361:1434220:1 gene:itb08g01790 transcript:itb08g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNQEVQELTICPFRTSWTYRFSCSARQLQSLAGKPTKRRFASLAGVFFVHRSRNYAVEYSITSAEERSTVSPRSAPFEVLPIW >itb04g06700.t1 pep chromosome:ASM357664v1:4:4377535:4379629:-1 gene:itb04g06700 transcript:itb04g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGDFGDDRMGNVPQDVKGFNPGLIVLLLVGGLVLAFLIGNYFLYMYAQKTLPAKKKKPVSKKKMKKERLKQGVSAPGE >itb12g27990.t1 pep chromosome:ASM357664v1:12:28121310:28122016:-1 gene:itb12g27990 transcript:itb12g27990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACSYAISGAASSELNAKAASMSASMVSPPSKLLAIKAQQQQPRAALDSENGQQGRRAALLGLAAALFTAAASTSSANAGVIEDYLEKSKANKELNDKKRLATSGANFARAYTVQFGSCKFPENFTGCQDLAKQKKVPFISDDLELECEGKDKYKCGSNVFWKW >itb14g15130.t1 pep chromosome:ASM357664v1:14:18313909:18314304:-1 gene:itb14g15130 transcript:itb14g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNRSSSGSVKKSSDRHKKVFGRDRRIRLSLTCADRVFYLMKMLGHRTAGQTVEWLLSQAMPPINTVISGNVAQPLPICAITPKEEPTMNSIQGLVKSESDFLCGTELEYSPMELAWIMFQSPVVNQLV >itb10g05810.t1 pep chromosome:ASM357664v1:10:6157782:6176090:-1 gene:itb10g05810 transcript:itb10g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKPLIWYCRPVANGIWAQETDSAFGAYTPCATDSAVDFISYLVLFGLCLYRIWLIKMNHTVKRFCLRANWYSYILIFLGCLCAAEPLTRLAMGISIFNLDSQTGLAPFEIVSLGCQILAWSSIIIMIGLETRMYIKEFRWYIRFGVIYVLVGEAVILNLIISMMEFYARSVFYMYLSSLLCQVLFGALLLFHVPHLDPYPGYIPLGSQSADDNQYEALVGRDHICPERQANIFSRIYFGWMTPLMKLGYKKPISEKDVWMLDTWDRTETLSRKFLMCWMEESQRSKPWLLRALNNSLGGRFWFGGLFKVASDLCQFVGPVLLNKLLQSLQQGDPAWVGYLYAFFIFLGVSLGVLCEAQYFQNCMRVGFRLRSTLVAAVYRKSVRLTHEGRKQFPSGKITNMITTDANGLQQICQQLHVLWSAPFRITIAIVLLYQQLGVASLLGALVLVLMLPIQTYIISNMRKLSKEGLLRTDKRVGLTNEILAAMDAVKCYAWEKSFQSKIQSMRNDELSWFRKAQVLSACNNFVLNSIPVLVTVISFGMFTLLGGDLTPARAFTSLSLFAVLRFPLNMLPNIITQAVNANVSVQRLEELFLAEERILLPNPPLQPGLPAISIKDGYFSWDPKVNSLSLSVRCAAWYIKLLAISQVFVRYLIGNFQSSLKNLVQNKIP >itb02g13270.t2 pep chromosome:ASM357664v1:2:9285943:9294564:1 gene:itb02g13270 transcript:itb02g13270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANAWHAPHSQPRPSLSSSSSSSFPSSSSSHDHRVFPRRNPILLYPNGNKLLFLRDRLYSKLRCRCSKDGPASPSAIVPGTNSSCSSDYTSASDSSNWDWNRWTRHFSEMEQAESYASVLKFQLEDAVENEDFLEAAKLKTAIAEATSKDTIAEIMLQLKNAIVEERYHDASRLCRSTGSGLVGWWVGYSKDSGDPFGRLVRITPGMGRFIGRTYTPRQLVNASPGTPLFEIYVVKEANNSYNMQVVFLKRTKPTKANSTSSDSKSVRPSSAELENASVIDVKVNEDETERSKGKSMDVEEAAEEGIKSVINFLKDKIPDLKVKVMKLNVGEEVIEDGDSVRQVVDDDSENSKEDDDGTISGEFSEDEGAETTDLDDNHHDQISVGGESSTAEDEKSQDMKLFVGGVLHNKEDNPTKDEFIRMQAEIKDLAKDSFVFHLPKTYQDHDSAENSESAVGVAAIAAQSVSELMPPDVAKVFLSSDKVSSKISKNVREILKLVVSQAKKRDRLSEYTNFTRITTSGSDLDPFDGLYVGAFGPYGTEVVQLKRKYGNWNVRDEEKSSGMEFFEYVEAVKLTGDMNVPAGQVH >itb02g13270.t1 pep chromosome:ASM357664v1:2:9285932:9294625:1 gene:itb02g13270 transcript:itb02g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANAWHAPHSQPRPSLSSSSSSSFPSSSSSHDHRVFPRRNPILLYPNGNKLLFLRDRLYSKLRCRCSKDGPASPSAIVPGTNSSCSSDYTSASDSSNWDWNRWTRHFSEMEQAESYASVLKFQLEDAVENEDFLEAAKLKTAIAEATSKDTIAEIMLQLKNAIVEERYHDASRLCRSTGSGLVGWWVGYSKDSGDPFGRLVRITPGMGRFIGRTYTPRQLVNASPGTPLFEIYVVKEANNSYNMQVVFLKRTKPTKANSTSSDSKSVRPSSAELENASVIDVKVNEDETERSKGKSMDVEEAAEEGIKSVINFLKDKIPDLKVKVMKLNVGEEVIEDGDSVRQVVDDDSENSKEDDDGTISGEFSEDEGAETTDLDDNHHDQISVGGESSTAEDEKSQDMKLFVGGVLHNKEDNPTKDEFIRMQAEIKDLAKDSFVFHLPKTYQDHDSAENSESAVGVAAIAAQSVSELMPPDVAKVFLSSDKVSSKISKNVREILKLVVSQAKKRDRLSEYTNFTRITTSGSDLDPFDGLYVGAFGPYGTEVVQLKRKYGNWNVRDEEKSSGMEFFEYVEAVKLTGDMNVPAGQVTFRAKIGKGNRFTNKGMYPDELGVVASYKGQGRIAEYGFKNPKWVEGELLQLNGKGVGPHIKGADLGFLYVIPEHSFLVLFSRLKLPE >itb15g08480.t1 pep chromosome:ASM357664v1:15:5940879:5941688:1 gene:itb15g08480 transcript:itb15g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARCWVWPKRKLDLMAPSHQNHHHNLHPLFAYSANPSSNGGDSWEEQAFAEDAAGALGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLKQSSPSVEADGGGVPNANNLPKYPPPAAGGGGGHNSDSDHWIRVSNSAPEKYKNEGLSAWSNLSSVASRRFCLTSEVKNRDKKELKVLLDLTKSAAIDDEAENSLKIVDSSSCRDHETRRPTDEDVSSCKRRKMDANPTIGLFPKPGFGILANPVEELDLELRLGDPPKVK >itb07g02700.t1 pep chromosome:ASM357664v1:7:1747052:1754140:1 gene:itb07g02700 transcript:itb07g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECSDTKVVRLLYTNSGGGILALSSNDVNLEKVAPCIDLYKNDSYIVSAVGRKVSFFNTMTFEVMTTFRPYATPTFLVVYPYNNNVIAIGTENGTIILYNVRVDEVKVQLFKGWCKRITGLAFSTTDPSILVSSSADAKLCVWDIGCGWCDIRSSVRIRSSGDTHVMFHTDQLHLLVTHETQLAIYHISNMKRKRQWIPQGCLPAPISSATYSCNSQLVYASFIDGNIGEFEANNLTLRCRIAPSAYLSQPVLNSEGVVYAVVIAAHPQEPNQLAIGLTDGSIKVIEPLKSEGEWGISPLIDIEIQNGKEGEDEGRGESLDGDEVVEEYEANESNAGHGVEVAMSEHNDSEHGAAEAAMAEHSAKSPIETSVCKTVFEAPKVQTTEKRKAHTQSSVAFYKRKEGDIFIISDQQVNEEDDDEEEGGEEEDKQRKKARLDNNDKVPVMFRSP >itb07g02700.t2 pep chromosome:ASM357664v1:7:1747052:1754140:1 gene:itb07g02700 transcript:itb07g02700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPECSDTKVVRLLYTNSGGGILALSSNDVNLEKVAPCIDLYKNDSYIVSAVGRKVSFFNTMTFEVMTTFRPYATPTFLVVYPYNNNVIAIGTENGTIILYNVRVDEVKVQLFKGWCKRITGLAFSTTDPSILVSSSADAKLCVWDIGCGWCDIRSSVRIRSSGDTHVMFHTDQLHLLVTHETQLAIYHISNMKRKRQWIPQGCLPAPISSATYSCNSQLVYASFIDGNIGEFEANNLTLRCRIAPSAYLSQPVLNSEGVVYAVVIAAHPQEPNQLAIGLTDGSIKVIEPLKSEGEWGISPLIDIEIQNGKEGEDEGRGESLDGDEVVEEYEANESNAGHGVEVAMSEHNDSEHGAAEAAMAEHSAKSPIETSVCKTVFEAPKVQTTEKRKAHTQSSVNEEDDDEEEGGEEEDKQRKKARLDNNDKVPVMFRSP >itb06g12560.t1 pep chromosome:ASM357664v1:6:17185444:17193946:-1 gene:itb06g12560 transcript:itb06g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRDEEDEEELDPEDDYEMDDEEEEEEEEYDGGGKAGRKRSRSDFIDDAAEEDDEDEEDDDDDYGGGRKRNNKKRSGSHFFDLEAAVDSDDDEEEEDGEDDFIVDAGADIPDDYDSRQIHRRPILSREDQEEDFEALERSIQARYARSSHVEYDEEATDVEQQALLPSVRDPKLWMVKCAIGREREVAVCLMQKCIDRGPELQIRSVVALDHLKNFIYIEADKEAHVREACKNMRMIYPAKIMLVPIKEMTDVLSVESKAVDLARDTWVRMKTGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVPKKKAFIPPPRFMNIDEAREMNLRVERRRNPMTGDYFENIGGMMFKDGFLYKTVAMKSISTVNIQPSFDELEKFRQPGESGDGDMASLSTLFANRKKGHFMKGDRVIVVKGDLKNLKGWVEKVEENTVHIRPKEKNLPKTLTVGDKELCKYFEPGNHVKVVSGASEGATGMVVSVEGHVVNLVSDTTKEIIRVFADTVVESSEVTSGATRIGDYELHDLVLLDDKSFGVIIRVESEAFQVLKGVPEKAEVALVRLREIKAKVDKRGNAHDRYQNALAVKDVVKVLEGPCKGKQGPVEHIYRGIVFIYDRHHLEHAGFICAKVQSCVLVGGSRANGDRKADPFSSRFGHLRTPPRVPQSPMRSYRGGPPKNFGGRHGGGRGGHDALIGADVKIRLGPFKGCKGRVVDLKGTSVRVELEAQMKVVTVDRGHIMDNVNVRTPFREPSRYGFGSETPSHPSRTPLHPFMTPMRDAGATPIHDGMRTPMRDRAWNPMSPPRDTWEEGNPASWGSSPQYQPSSPRSRPYEAPTPGSGWNNSSTSSYNDAGTPRDNSSSYANAPSPYLPSTPGGPPPMTPSSAYLPGTPGGQPMTPGSLDMMSPVVGGEGEGPWFLPDIAVNVRRNGEDNLVGVIREVLADGSCSVALGSSGNGEIITALPSEIDIVVPRKSDKIKIMGGAQRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAL >itb15g23090.t1 pep chromosome:ASM357664v1:15:25853630:25855905:1 gene:itb15g23090 transcript:itb15g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYLIFLDDIWTTAAWDAIKGYFPENINGSRILVTTRFTEVAEYLSVDPYHVTYRTLKDRWELISRKVFGQSQCVPWEYELIGKRIVLGCSGLPLAIVVIAGLLATAKESLEIWKDVAETLDRVDRYDNDNRISKILSLSYNYLPPHLKPCFHYFGVFPENNVILVKRLINLWVVEGFLMPHKNMSLEEVAGSYLDDLINRSLVQINELSSIDGKVKSCKVHDRVHQVCVREAITGNTLCIINDNHAPKASRWLSCQTSHWPNTQASYGNCTLDNIHSVLYFGKDVYHSKCRLVYPCLKLLRVLDLSFVKWSRGMPSEITDLVHLRYLALSTIGSLYKLRFFKLKNLLTLIVTSWMEKCPLQLSCDILGLPQLRHLHVDKRCSQYLPCLVKRNLQTLYWLKVASSDVKPNFGMVPNLMELGIYIEGQLTPSYLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLRYTYLPWKEMDTIGELLHLEVLKLKDFAFFGSKWEPSKQGFRKLKALLISRSNLKYWDAKSVDRSEEESVKSSKESVESSEEERMERSEEESAESFDEESVGSSKELSFGSSKELSVGSSDQVRLKAPKSVRKYLKKKVSKSLNAFKELKRKVL >itb01g22930.t1 pep chromosome:ASM357664v1:1:29026138:29030643:1 gene:itb01g22930 transcript:itb01g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWMVEISKIRGAMFIENGWEKVVKDNCVTDGDQLFFIYKDYDVFDFFVVDPFGCEKIGMEGERAQVVEVIRKESGDESLDIFVLEANICKRVKKYVKKEEGSDLDTCISVNKDVKKEEDTESDGNAREENDDDHHSIVVTQDMDATEEEDNDDDDDHTLPQEKKFGSSGSKKAIPDHYGADLFLSGRYIQPENAYFVTRIRQKRRGDLYVPKEVIRDYNLQLPSTVTLRDAKGKEWKTIVKVWADGRTWLSGGWRALCRRNLIEEEDQCICEFLPRELGNDKDNIVLQIDNSAYCQPSRALMTMDLCSSRQSPLPPSPRLFGLCVCDCDAASLALPSSSTKRMRMEEMGRRMKNEENLNLAQNLAKRKIRNHNREAVFPLKQSVEAPQKQSDQAPPPHPPPPPCAITPHRQSPVANCSMEYGPSKRLRSSPTKPLRHAHSRHPAQSRPTAGRHPARSRPTSDRR >itb02g20050.t1 pep chromosome:ASM357664v1:2:17711465:17719751:-1 gene:itb02g20050 transcript:itb02g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPGIIGLLEPFREESKIIQLAFSLGWDSSRCFASDKISILWNKDWEVQVVDVREQMVTVKATITGVQNDVWITFVYASCSNTIRRQLWEGLSELAEGEIGKGLWAVMGDFNCILAPEEKKGGLAYNMGKSREFQYCIDTAELREVSYYGNSYTWWNGRNGGQAIWKRLDRFLVNGKWESEIKTYVQDLSKGTSDHSPLLVNMELSFKVGKRQFTFLNVWCEHEQFMEVVRRSWGEGVDGNAMYTFMTKLKRLKAVLKKWNWEVFGDIFATLKKLEGRVREVESEMQNDPSERNMIEYRRSQAELQKQMRIEERYWQQKSHVKVKDGWINGEWKFNDLSDQVMQELHKVTIREEVKEQPVWKLSKDGRFTLALAKKMIIQQTQTDEQFWYKYCWRNEVPWKMSFIAWRVFKNKIPSDDILRKFGQQIVSRCPCCRIPGYCSLQHMFCIGATAAQVWDYFAGSLGMKIQRRGIHQLCYEWLKKAPRNRMIRFLIQRLPIVIIWELWVQYNQCKYGGESPSAARVIFKITNDMVECIMRKWPSWDPFPPSWPYILKRAEGFRCRKIVMEASWCRPPKGWMKVNVAINEESCAYFIRNSRGEFGLAGVYSGKENNGSGRCGEMV >itb01g19130.t1 pep chromosome:ASM357664v1:1:25126870:25128411:-1 gene:itb01g19130 transcript:itb01g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKNIQSELDDERIFNTTSSLLLDPEEFRRQGHMVVDFLADYFHNVGKYPVRSQVEPGYLRKTLPEAAPNTPEPLENILRDVYKDILPGITHWQSPNFFAYFPCISSTPGILGEILSSGLNVVGFNWIASPAATELESIVMDWFGKLLRLPTSFLFSGGGGGVLQGTTCEAMVCTIVAAREKMLGKIGRDNFGKLVVYSSDQTHFSLKKAAQIAGINPHNIRVIETTKADLFALSPEALQSSILCDIEQGLIPLYLCATLGTTATTAVDPIRRLCEIAGENDIWVHIDGAYAGSACICSEYQHYLDGVENADSFSLNSHKWLFSTLDCCCLWVKHPTDLTKALSTNPECLRNNATESKQVVDYKDWQIALSRRFRALKLWIIFRSYGVANLRNFIRGAVNMAKGFEGLVAKDERFEIMVPRNFSLVCFRVSPTAIEKHLKASHGDEGVNEFNAKVLEAINSSGEVFMTHAVVGGVYMMRFAVGAPLTEFRHVEMAWKVIQDHATSMLNDAA >itb14g12650.t1 pep chromosome:ASM357664v1:14:14331677:14342718:-1 gene:itb14g12650 transcript:itb14g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIDFAEYRLRCQLRGHEDDVRGVCICDSVGIATSSRDRTVRLWSPDGSNNRDYTMSKILLGHTSFVAPLAWVSPSEEFPEGAVVSGGMDTHIFVWNLATGQKFQELKGHKMQVTGIALDGSDVVSTSVDCTLRRWRRGKQIEVLEAHKAPIQAIIKLPSGELVTGSSDMTLKLWKGATCAHTFVGHTDTVRGLAVMPDLGILSASHDCSIRLWALSGEVLMEMVGHTNIVYSVDAHVSGLIVSGSEDCFTKIWKDGVCVQSIQHPGCVWDVKFLENGDIVTACSDGIVRIWTTQQDRIADPLELESYENLLYQYKCSRKRVGGLKLEDLPGLEALQTPGTSDGQTKIVREGDNGVAYAWNLREQKWDKIGEVVDGPDDGPARPVLDGVQYDYVFDVDIGDGEPVRKLPYNRKDNPYTTADNWLLKENLPLSYREQIVEFILQNTGQKNFTPDPFFVDPFTGANAYVPGEPSKAPATAAKPTLKHVPKKGMLVFDAAQFDGILKKILEFNSSLQSDSERNNLSINDADLSRLTAIVKILKDTSHYHTSRFSDVDLALVLRLLKTWPLSMLFPVIDILRMIILHPDGANILLKHVNHDNDTLIELMKKVTVNPPLPANLLTSIRAVTNLFKNSLFYDWLIKNRGEILDAFSSCYLSSNKNVQLSYSTMVLNYAVLLIEKKDEEGQSQVLSAALEIAEEESVEADSKFRVLVAIGSLMLDGVVRRIALDFDVESIAKAAKAAKDPKLAEVGADIELIIKQT >itb05g01380.t1 pep chromosome:ASM357664v1:5:1173966:1174523:-1 gene:itb05g01380 transcript:itb05g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDQDFSFPATVETPPPPRFLELPPVWWRTSAASHRESPKKGEDDDNFCYFSSLSKEATHAQRRSFSCIEGRTKRRDEEEEEEEKMDMLWEDFNEEYKRSRDLKFVQSLKLTKAVKRPRMVVVMKVLKKVFLLHHSHHSIFKKHP >itb02g07560.t1 pep chromosome:ASM357664v1:2:4711519:4712264:-1 gene:itb02g07560 transcript:itb02g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLRFICAFLSILVLSSSAFAGRGMPNADSKNMDKKQPEFLYDGTVLIPGFGRVVVPHKKVDPFNYNPITGRSGAGTGAINPIDDIIGSGSAAAGSTYIPGNDDTIIPNPGFEVPAVGGGLPTPPARH >itb14g07910.t1 pep chromosome:ASM357664v1:14:7308102:7310791:-1 gene:itb14g07910 transcript:itb14g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDRVSRSEVISSRDLEPKLLDAAPDSGVLEMQLESHLAKSEGQDALQGDATIYACREDDKSSCGNEMLGLELLGVDGAEVGEQPSEGKGGGQEGEGMEDVGEVGGDQECLDEFLRLDVEGHRPTDLDTAMNLARTFARKHAYSFGWTPAQVPSLSLSGFRPPPRANVATPAFVGA >itb01g14330.t2 pep chromosome:ASM357664v1:1:16110943:16111827:-1 gene:itb01g14330 transcript:itb01g14330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLSTFGDMYSYGILLLEIFTSRRLTSDIFNNGLTLHNYVRICMPEQVIEIVDPKLFHKEANATPRSLVLQNQIIECLVSIFKIGIACSMELPRDRMSIGDAVKELHSIKDTIVKLGDIRTIPR >itb01g14330.t1 pep chromosome:ASM357664v1:1:16110943:16111827:-1 gene:itb01g14330 transcript:itb01g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLSTFGDMYSYGILLLEIFTSRRLTSDIFNNGLTLHNYVRICMPEQVIEIVDPKLFHKEANATPRSLVLQNQIIECLVSIFKIGIACSMELPRDRMSIGDAVKELHSIKDTIVKLGDIRTIPRLYQAS >itb14g04410.t1 pep chromosome:ASM357664v1:14:3989411:3994019:-1 gene:itb14g04410 transcript:itb14g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAAPPKQDEQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYIVMLGTTVLIPTTLVPQMGGGKEEKAKMIQTLLFVAGLNTLTQSLFGTRLPAVIGGSYTFVPTTLSIVLAGRYNGIMDPQEKFERTMRAIQGALIVASTLQIILGFSGLWRNVTRFISPLSAVPLVALSGFGLYEFGFPLVAKCVEIGLPQLIFLVIFSQYIPHLMKGDRHVFDRFAVLFSVAIVWIYAHLLTVGGAYKNAPIKTQLSCRTDRAGIISGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPIPPSVLSRGVGWQGVGILFSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGSAGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAINGFGPVHTKARWFNDMINVPLSSEPFIAGLLALFLDASLHKKDSVSRKDRGMNWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >itb11g21660.t1 pep chromosome:ASM357664v1:11:23350664:23352661:-1 gene:itb11g21660 transcript:itb11g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLIEHVACSPSETTFRVKLSKAVAEKSIGREAKLDDMAMEKFSCEVGFIIYAGLQKDLCFGGSSAALLADGDGGGVFPSRRRSFLQSPAGDLQPFLTVIFFSSSAFSRSTPRVRRRSTGGSRRRDDRSDCSGGDVLLPASKDAGDRRATREDFIAPFFADCKDFFSDFFLPTCVIGGGSDRRWQQPSPRPAIDDRRRLASWRPAMVRSAACGRVATRRSTTVTVARRMATPAAAGCGLLVADSVREPHHLFFLSVLLRAVGFCFNEQRWYGGAVFVGSNGDRGNGADRDLAPSSQQALTFLVRLQWLKNKN >itb01g31490.t1 pep chromosome:ASM357664v1:1:35216522:35217986:-1 gene:itb01g31490 transcript:itb01g31490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLTHLLITFLLVVSLFNVCFASRKLNALYQEQPNQLLQYHKGPLLSGKISVNLIWYGKFKPSQRAIISDFITSLSGSQKSQPSVATWWKSTEKYYSLANSKKTLSLYLNKQVLDESYSLGKSLTQEQLVSLASKGEVKDAINVVLTAADVSVPGFCVNRCGTHGSKAARVKGKNNKFAYIWVGNSETQCPGYCAWPFHQPVYGPQSPPLVAPNNDVGLDAMVINLASLLAGTATNPFGNGYYQGEAGAPLEAASACPGVYGKGAYPGYAGDLLTDPTTGASYNAHGTNGRKYLLPALYDPSTSSCSTLV >itb07g00540.t1 pep chromosome:ASM357664v1:7:372800:373442:-1 gene:itb07g00540 transcript:itb07g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAALAIISTLCIVAAMAGSGSCHPGESFTVKGKVYCDPCRLKFETRISENIADAVVKLECRNMTTEKVTYTKETTTGADGTYSMTVEGEHEDEICDVTVEKSPREDCKEAAAGLEKAQVVLSDNVGMHSNVRYANPLFFMKEKVSKDCAKVVKELDFVPLEM >itb06g16260.t4 pep chromosome:ASM357664v1:6:20446943:20448378:1 gene:itb06g16260 transcript:itb06g16260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRPSYVSWSYLCCVFVSPSGSSPIVPCASQKGEVSAGAPSSESGQVETMSCGGGEHNELGCTNLGNANILDSGPRMGKETSKWQSKGKRNFRQSSKRVDSGNAMDTSNESDACLAGMDQDAFAPGQKVHCKNSPHKESQMKGPTADLPIPRRSLPYRLSRFVVNPKYESPDFSLRHHVTDSSLTDVKVEVKSCYRTRHIPYISLMSKLNGQPITGHRLAVEVLDDGFCDQLLVSAAECYSNCHDLDDDSAPKGVDMVNKTKPSFGGRVPAKHIRLQPRASPTKSSKSKKEGMLSKKVRKLSSLTGPRKQNRAKNPTVQQLRGPANPAITCVPLKVVFSRIKAALNSSIQPAHRLIAPSIG >itb06g16260.t3 pep chromosome:ASM357664v1:6:20445091:20448378:1 gene:itb06g16260 transcript:itb06g16260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLDDLGMGVVQSAKRKRSQVVRINECLKKKSRCRPLTKVLQSTTMLSVPIMCEEVPSPIGAALDKAPNGKAMVINNKSNSAGALCENGTSSNASGDSCGKCKRENEISETLEFAESDYSRRLFDVPFFAEGSSPIVPCASQKGEVSAGAPSSESGQVETMSCGGGEHNELGCTNLGNANILDSGPRMGKETSKWQSKGKRNFRQSSKRVDSGNAMDTSNESDACLAGMDQDAFAPGQKVHCKNSPHKESQMKGPTADLPIPRRSLPYRLSRFVVNPKYESPDFSLRHHVTDSSLTDVKVEVKSCYRTRHIPYISLMSKLNGQPITGHRLAVEVLDDGFCDQLLVSAAECYSNCHDLDDDSAPKGVDMVNKTKPSFGGRVPAKHIRLQPRASPTKSSKSKKEGMLSKKVRKLSSLTGPRKQNRAKNPTVQQLRGPANPAITCVPLKVVFSRIKAALNSSIQPAHRLIAPSIG >itb06g16260.t2 pep chromosome:ASM357664v1:6:20444675:20448378:1 gene:itb06g16260 transcript:itb06g16260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLDDLGMGVVQSAKRKRSQVVRINECLKKKSRCRPLTKVLQSTTMLSVPIMCEEVPSPIGAALDKAPNGKAMVINNKSNSAGALCENGTSSNASGDSCGKCKRENEISETLEFAESDYSRRLFDVPFFAEGSSPIVPCASQKGEVSAGAPSSESGQVETMSCGGGEHNELGCTNLGNANILDSGPRMGKETSKWQSKGKRNFRQSSKRVDSGNAMDTSNESDACLAGMDQDAFAPGQKVHCKNSPHKESQMKGPTADLPIPRRSLPYRLSRFVVNPKYESPDFSLRHHVTDSSLTDVKVEVKSCYRTRHIPYISLMSKLNGQPITGHRLAVEVLDDGFCDQLLVSAAECYSNCHDLDDDSAPKGVDMVNKTKPSFGGRVPAKHIRLQPRASPTKSSKSKKEGMLSKKVRKLSSLTGPRKQNRAKNPTVQQLRGPANPAITCVPLKVVFSRIKAALNSSIQPAHRLIAPSIG >itb06g16260.t1 pep chromosome:ASM357664v1:6:20444562:20448378:1 gene:itb06g16260 transcript:itb06g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGECRAKSIDVPAGGLVWVRRRNGQWWPGQILGPEELPEGSSVSGRSGTPVKLLGLKDTCIDWHNLENSKRVRAFRCGEYDKCIEKALLVAASGSRKAVKYNRREDAILHALEIERSSLGLDKQNGGQNLIGELPASSREGSENVNGDYCISEDNSSSKPKLSHSSVSFEGTNCRVQGQQKTPNDSEDDGIEGAQRMKGLDDLGMGVVQSAKRKRSQVVRINECLKKKSRCRPLTKVLQSTTMLSVPIMCEEVPSPIGAALDKAPNGKAMVINNKSNSAGALCENGTSSNASGDSCGKCKRENEISETLEFAESDYSRRLFDVPFFAEGSSPIVPCASQKGEVSAGAPSSESGQVETMSCGGGEHNELGCTNLGNANILDSGPRMGKETSKWQSKGKRNFRQSSKRVDSGNAMDTSNESDACLAGMDQDAFAPGQKVHCKNSPHKESQMKGPTADLPIPRRSLPYRLSRFVVNPKYESPDFSLRHHVTDSSLTDVKVEVKSCYRTRHIPYISLMSKLNGQPITGHRLAVEVLDDGFCDQLLVSAAECYSNCHDLDDDSAPKGVDMVNKTKPSFGGRVPAKHIRLQPRASPTKSSKSKKEGMLSKKVRKLSSLTGPRKQNRAKNPTVQQLRGPANPAITCVPLKVVFSRIKAALNSSIQPAHRLIAPSIG >itb03g18640.t1 pep chromosome:ASM357664v1:3:16903619:16908040:-1 gene:itb03g18640 transcript:itb03g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVVFVAAVVLFSLASAPARAAVTYDDKGFIINGHKKILISGSIHYPRSTPGMWPDILKRAKEGGLDVIQTYVFWNGHEPFPGKFNFEGRYDLVKFLKLAHQAGLYVHLRIGPYICGEWNYGGFPVWLRYVPGMEFRTDNKPFKVAMQRFVEKIVNIMNLEKLFEPQGGPIIMNQIENEYGPVQWDIGAPGKAYAKWFTQMAAGLNTAHVPWIIDACNGFYCENFKPHHPHTPKMFTELWTAWFTAYGTPVPRRSAEDTAFSVARFIQYGGSYFNYYMYHGGTNFGRTGALFLTTSYEYDGLLDEYAKVTFWNKQYELPAWSVSIFPDCKTEAFNTARVKATTPLLRMVPTNTWFTWQSYVEYPPSVGDKDTHATDGIWEQVIMTRDASDYLWYTTDVFIASNEKFLKDGKETVLYVPSGGHELCVFVNGQLVGTAYGTLADPRLTFSKGVKLKAGVNKISLLSATVGLPNVGTHYEQWNYGIHAPVTLSGLNEGTRDLSKQKWSYRVGMKGDYLHLNTGSNSVAWAGGSHLTYKYPLTWYKSTFNAPDGNEPLTLDLGSMGKGLVWINGEAVARHWPANTARGKCGKCTYTGFYSETKCLTQCGQPSQRWYHVPRSWLKPSGNVLVVFEEWGGDPKGIKLNRRTKQYAN >itb15g19130.t2 pep chromosome:ASM357664v1:15:21271427:21275043:1 gene:itb15g19130 transcript:itb15g19130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQITRNTNHHLGANAMVGHSEELEKVKFQLRDDKLKQRQVMAIVGMGGIGKTTFAKKIYNDPQIKSHFDICAWTTLSQEHNKQQAVTDLIRCILLTRKKKIEGDNPEDLLRKSLLGQRYLIVVDDIWTTKAWDDIQICFPNDGNGSRILLTTRIREIAQYADSGEYNMRLLNSKEGWDLFYQKFLAKEFLNNEFEKIGKNIVQKCQGLPLTIVVLAGLLSTIKSVDEWENVERSINSLLTLNPSEQVSRLFNLSYNSLPRDLKYCFLYLGLFHEDCEIPIKNLIRLWIAEGFVGTMSHSKRPEEIGRDYLQDLIDRSLIMVHRRSFDGKIKTCKMHDLLHELCISKAKIENLLYLETKGSSDRFGRSIRLGDSHWLSLKVAIPAFHLVIASEKCRSILCFNMAVNCDREWYLRANSFKNLRVLDLSKINFKDGMPPDITDLVFLRYLALASSELLNHIPLWKNLNLQTLIISEDDNGCRKMPDGIWDLRQLRHLELYHQVSIDLPKVVQQNLQTLYWLSASECTMEVFMRIPNVKELGIIAGDEAAASPQEGLNNLSCLSHLEKLKVQGSYHPASHLRPQATIYPQNLKKLTFVRTLIPWEDMNCISVLPNLEVLKLRNFACVGSEWELNEEGGFPQLKVLLISLTNLKEWKANVDPPFPKLERLLLRNCFELKEMPEWIEDAITLRLIKLEYCYASLVGSAQRIKEEQHDNYGNDMLDVIDSNTLLDKDHAIEEEDSNEQTCSEVECVRHGLTTVSWLFLVYPLNQ >itb15g19130.t1 pep chromosome:ASM357664v1:15:21271427:21275043:1 gene:itb15g19130 transcript:itb15g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITRNTNHHLGANAMVGHSEELEKVKFQLRDDKLKQRQVMAIVGMGGIGKTTFAKKIYNDPQIKSHFDICAWTTLSQEHNKQQAVTDLIRCILLTRKKKIEGDNPEDLLRKSLLGQRYLIVVDDIWTTKAWDDIQICFPNDGNGSRILLTTRIREIAQYADSGEYNMRLLNSKEGWDLFYQKFLAKEFLNNEFEKIGKNIVQKCQGLPLTIVVLAGLLSTIKSVDEWENVERSINSLLTLNPSEQVSRLFNLSYNSLPRDLKYCFLYLGLFHEDCEIPIKNLIRLWIAEGFVGTMSHSKRPEEIGRDYLQDLIDRSLIMVHRRSFDGKIKTCKMHDLLHELCISKAKIENLLYLETKGSSDRFGRSIRLGDSHWLSLKVAIPAFHLVIASEKCRSILCFNMAVNCDREWYLRANSFKNLRVLDLSKINFKDGMPPDITDLVFLRYLALASSELLNHIPLWKNLNLQTLIISEDDNGCRKMPDGIWDLRQLRHLELYHQVSIDLPKVVQQNLQTLYWLSASECTMEVFMRIPNVKELGIIAGDEAAASPQEGLNNLSCLSHLEKLKVQGSYHPASHLRPQATIYPQNLKKLTFVRTLIPWEDMNCISVLPNLEVLKLRNFACVGSEWELNEEGGFPQLKVLLISLTNLKEWKANVDPPFPKLERLLLRNCFELKEMPEWIEDAITLRLIKLEYCYASLVGSAQRIKEEQHDNYGNDMLDVIDSNTLLDKDHAIEEEDSNEQTCSEVECVRHGLTTRLWFEARRLWIEWGDDTRYWIWTRDYEFGCEVAKLEKVSWFEIRLTFDVRCLSNMTCYSAYLVFKLESGRFRDVNTALAGVRYVKDKAIYGWMRGENRHSQVFLAKTKSYGDCGQFPESRSDGWMEIKLGNFYVSSGNEGEVELQLWHASDQFWKSGFIVRGIEVRPSVNEG >itb01g32360.t3 pep chromosome:ASM357664v1:1:35868784:35874471:1 gene:itb01g32360 transcript:itb01g32360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVGEEDGQSNVTLMASPISLDSVCQNGPELKEWNYMGLSDCSSVDSSTISTTSENNSGRGLNLRATELRLGLPGSQSPQRDSEPSTKLDEKLLFPLHPAKESNFTLSQKTVVTGNKRGFSDAMDGFSEGKFLSNSGVKTGSMNESLGTQQAKMKEVVTQNTMQERPRATDEDIPNRVGGGAPATKAQVVGWPPIRSYRKNTLASASKNTEEVDGKAGPGALFIKVSMDGAPYLRKVDLRMYSAYQELSSALEKMFSCFTIGELFSNF >itb01g32360.t4 pep chromosome:ASM357664v1:1:35868784:35874471:1 gene:itb01g32360 transcript:itb01g32360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVGEEDGQSNVTLMASPISLDSVCQNGPELKEWNYMGLSDCSSVDSSTISTTSENNSGRGLNLRATELRLGLPGSQSPQRDSEPSTKLDEKLLFPLHPAKESNFTLSQKTVVTGNKRGFSDAMDGFSEGKFLSNSGVKTGSMNESLGTQQAKMKEVVTQNTMQERPRATDEDIPNRVGGGAPATKAQVVGWPPIRSYRKNTLASASKNTEEVDGKAGPGALFIKVSMDGAPYLRKVDLRMYSAYQELSSALEKMFSCFTIGELFSNF >itb01g32360.t2 pep chromosome:ASM357664v1:1:35868786:35874473:1 gene:itb01g32360 transcript:itb01g32360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVGEEDGQSNVTLMASPISLDSVCQNGPELKEWNYMGLSDCSSVDSSTISTTSENNSGRGLNLRATELRLGLPGSQSPQRDSEPSTKLDEKLLFPLHPAKESNFTLSQKTVVTGNKRGFSDAMDGFSEGKFLSNSGVKTGSMNESLGTQQAKMKEVVTQNTMQERPRATDEDIPNRVGGGAPATKAQVVGWPPIRSYRKNTLASASKNTEEVDGKAGPGALFIKVSMDGAPYLRKVDLRMYSAYQELSSALEKMFSCFTIGQYGAHGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRMRIMKSSDAIGLAPRAMEKCRTRV >itb01g32360.t1 pep chromosome:ASM357664v1:1:35868784:35874473:1 gene:itb01g32360 transcript:itb01g32360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVGEEDGQSNVTLMASPISLDSVCQNGPELKEWNYMGLSDCSSVDSSTISTTSENNSGRGLNLRATELRLGLPGSQSPQRDSEPSTKLDEKLLFPLHPAKESNFTLSQKTVVTGNKRGFSDAMDGFSEGKFLSNSGVKTGSMNESLGTQQAKMKEVVTQNTMQERPRATDEDIPNRVGGGAPATKAQVVGWPPIRSYRKNTLASASKNTEEVDGKAGPGALFIKVSMDGAPYLRKVDLRMYSAYQELSSALEKMFSCFTIGQYGAHGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRMRIMKSSDAIGLAPRAMEKCRTRV >itb13g18650.t1 pep chromosome:ASM357664v1:13:25590545:25595506:1 gene:itb13g18650 transcript:itb13g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMALVYFLPLVNCSRSTTRPFARIEVSRLAGVHIDDPLTQLHRSLAADIFRRKTLLLNVHKDKRLNETNSSIFTHLSPRLGALSQTCSVPPTLQGFNNQRLARFLQLSKGSIIKDKTMPKVKTNRVRYPEGWELIEPTLNELQAKMREAENDPHDGKRKCEALWPIFKICHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCASGD >itb01g22670.t1 pep chromosome:ASM357664v1:1:28727845:28737595:1 gene:itb01g22670 transcript:itb01g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MVEIEELLILFCIFHTCVGLVFRSLARLRTISFEPFIGICPTKRQHGLSFYGSSLATLSFLDHMLHFYIFAQMTMEESAIDGGTFGTAGVSKWTAVIASISMALFYVAILYSPTLILRLPPPDSFKSFMIRRFICAAISTVASLIACSLILPIELDASDLLSAYGIRADHFWQAAVFPLSLTALMYAGSLLEALLLLVSCQENQGDGRNILSTIPQRFVNSVFSMATNISAWRNYFVAPVTEELVFRACMIPLLLCGGFNTYTVVFLCPVFFSLAHLNHLLEYAQRRGNLLQAFMVAAFQLGYTVIFGSYASFLYIRTGHLVAPLVAHIFCNYMGLPAIVSRRSGLTTFAFLAGLLGFLWLLFPLTSPQLYNNRTDNCNCWHRYCSWN >itb15g08920.t1 pep chromosome:ASM357664v1:15:6340742:6343522:-1 gene:itb15g08920 transcript:itb15g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFIPRFLLLTLSLASLSSLVFSAETTPDYFFIIFQWPKSKCESKEGCCLPKKGKPVLNDFIISEFKGVYAQEGSVPENCTTTTKFQPSKIADLVPNLGRYWPSLTCPRRDSKKLWKEEWVRYGSCAESVLDQHDYFAAALRAQKQINLLKLLGDAGIIPNGKYYPGEAINEAVRKAGLGDVAVTCREDENGLNTILDQVFLCATREGNKYTCPGAFWICDSRNGNKVKFLKP >itb04g00720.t1 pep chromosome:ASM357664v1:4:397937:400562:-1 gene:itb04g00720 transcript:itb04g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHAEHPDHHEESLMEKIAEKIHRADSSSSSDSESEKEHPKADVSPSSVKAKIWRIFGRERPVHKVFGGGKPADVFLWRNKKISAGVLGGATAVWVLFELLDYHLLTLVCHVLIIALAISFLLSNASSFINKSPPRIPEVRIPEDPFLQVAAALRIEINRGLALLREIASGRDLKMFLAVIAGLWVLSIVGSWCNFLTLFYITFVLLHTVPVIYEKYEDKIDPIAEKAMVEINKQYAVFEAKVLSKIPRGPLKDKKRE >itb04g00720.t2 pep chromosome:ASM357664v1:4:397937:400562:-1 gene:itb04g00720 transcript:itb04g00720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHAEHPDHHEESLMEKIAEKIHRADSSSSSDSESEKEHPKADVSPSSVKAKIWRIFGRERPVHKVFGGGKPADVFLWRNKKISAGVLGGATAVWVLFELLDYHLLTLVCHVLIIALAISFLLSNASSFINKWASTSLHDSKLGGLHSTKYVDDYFDRSPPRIPEVRIPEDPFLQVAAALRIEINRGLALLREIASGRDLKMFLAVIAGLWVLSIVGSWCNFLTLFYITFVLLHTVPVIYEKYEDKIDPIAEKAMVEINKQYAVFEAKVLSKIPRGPLKDKKRE >itb03g16710.t1 pep chromosome:ASM357664v1:3:15576466:15577912:1 gene:itb03g16710 transcript:itb03g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIMAEMENWVCCLLCSLFGVFFLKSLLALFFSGKPNKSLPPGPFTVPLIGNIPWLRRSFRPEPVLRDLKSKYGPILTLRPGARPEILIANHSLAHKALVQTGAVFSDRPRSGPSFRLLNSNQRSINSAAYGPTWRLLRRNLTSEILHPSRVKSYSNARKWVLGVLVRKLLNDTSESGVKVIDHFQYAIFCLLVLMCFGDKLEEQQIRQIEESQRKILLSFPKFHILNSWPTIGKSREDPPTLRQFLELENPARDRRLLRSIAHLLAPTFRKETTLHKGAPNQKRTRILYT >itb10g02820.t1 pep chromosome:ASM357664v1:10:2468142:2471903:1 gene:itb10g02820 transcript:itb10g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQLNFNAPLISVRRMSSIVSQPEEVIRKTIGKAPPNRLQSLPVLKCKRDMGEVTKPAAVPFVWEQTPGRRKAENERKTYAYEEPSTTPKLPPGRMRDSIRFYSGERPRSQNIYNAQPWNDHAALLDSLVESMYTKGESDGESGDDAYSDAIDTLSPSESLSLNCSISGLSGYQGLDVKPSGAFSVDVQTRDFMMSRFLPAAKAVVLETPQYVPKKELVVAEQPKPPVKKVSPVEKKPLPIQKYEPHIISHYSKYTENIASESEDEMDENVTEKQHKRSGLSWKLFPRLCVKNSLCLLSPLPGMRSRSRAPSPAPTPPPPAKDIKRLTRNGYSGPLNMPRKAYSGPLDKQVCEAMYNKRFHPLSGELYKVADNKRVSNQLPGYGDLYRSDGLSPHRNSRSGAISPYRNVAPRSPFNEGARFLGVPREVEKASGYVQDTPRKSTGKIASDSQGSVDEKTVYVDSVNNVEIPRSDLASSKPKSAVTGSNENLKKLIRNIRVEENRAAKSCTQHVKGQNVVDVRRKFNPESLELAEKVLASTTCVSKLRGLTEKKDASKLNSDSNQQFGSSELAIVCTNTGNEDNSSESPLPPPLPKSPSESWLWRTLPSVPLQNQQKPRSNATKTATKWETIVKSSNLHHDHTRYSEELVPHSSRQQKRV >itb10g02820.t2 pep chromosome:ASM357664v1:10:2468144:2471903:1 gene:itb10g02820 transcript:itb10g02820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQLNFNAPLISVRRMSSIVSQPEEVIRKTIGKAPPNRLQSLPVLKCKRDMGEVTKPAAVPFVWEQTPGRRKAENERKTYAYEEPSTTPKLPPGRMRDSIRFYSGERPRSQNIYNAQPWNDHAALLDSLVESMYTKGESDGESGDDAYSDAIDTLSPSESLSLNCSISGLSGYQGLDVKPSGAFSVDVQTRDFMMSRFLPAAKAVVLETPQYVPKKELVVAEQPKPPVKKVSPVEKKPLPIQKYEPHIISHYSKYTENIASESEDEMDENVTEKQHKRSGLSWKLFPRLCVKNSLCLLSPLPGMRSRSRAPSPAPTPPPPAKDIKRLTRNGYSGPLNMPRKAYSGPLDKQVCEAMYNKRFHPLSGELYKVADNKRVSNQLPGYGDLYRSDGLSPHRNSRSGAISPYRNVAPRSPFNEGARFLGVPREVEKASGYVQDTPRKSTGKIASDSQGSVDEKTVYVDSVNNVEIPRSDLASSKPKSAVTGSNENLKKLIRNIRVEENRAAKSCTQHVKGQNVVDVRRKFNPESLELAEKVLASTTCVSKLRGLTEKKDASKLNSDSNQQFGSSELAIVCTNTGNEDNSSESPLPPPLPKSPSESWLWRTLPSVPLQNQQKPRSNATKTATKWETIVKSSNLHHDHTRYSEELVPHSSRQQKRV >itb03g15920.t2 pep chromosome:ASM357664v1:3:15103265:15104818:-1 gene:itb03g15920 transcript:itb03g15920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSHSYNKKQQAGAHTSSSSSFTTDLFGPKDRSKSSASSAGLFVSVFGESSMGRESSQSGVIDSSRMQNSGGQYSNARYGNSDHETQRGWDSKDKSSVYHTESSEPCYFSSSIYYGGQDNYPPPTHTTSSQHTFKKDGGEDDQNGNNSNCASRGNWWQGITFWKLLQAIGFLKCYFWQKI >itb03g15920.t1 pep chromosome:ASM357664v1:3:15103265:15104879:-1 gene:itb03g15920 transcript:itb03g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSHSYNKKQQAGAHTSSSSSFTTDLFGPKDRSKSSASSAGLFVSVFGESSMGRESSQSGVIDSSRMQNSGGQYSNARYGNSDHETQRGWDSKDKSSVYHTESSEPCYFSSSIYYGGQDNYPPPTHTTSSQHTFKKDGGEDDQNGNNSNCASRGNWWQGSLYY >itb10g20390.t1 pep chromosome:ASM357664v1:10:25972482:25973582:1 gene:itb10g20390 transcript:itb10g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHSPAAPVGCRPFFPPPSRSPENSMSKRCIFASFCSNDPASNDVSNWIACYNPSENTLSFVSRIPDLIENHVLKDFAMVSIGDSIYIIGGRLCSKDRTENVDGSEEFYDVDVKILSSVYNYNTISRQWSNCAPLNVPRYNFACTIWDNKIYVAGGQSTLGSARAISSAEGYDPVLDRWVPLQDMTRKRYKCVGVTWQGKIYVVGGFVEGGMQYVERCSAEVYDVASDQWGLVAGMWQLDVPPNQIVDVDGRLFSSGDCLTAWKGHIEAYDGQLNIWYMVEGSQKRFFPCEEIGQPIERLYLTMAPLGSHLYFLVGYRTTNEPSKTISMVHSFDTSEGKWKSFEPIQYDGERELCSHCCVVHHS >itb09g21710.t1 pep chromosome:ASM357664v1:9:19750440:19762502:-1 gene:itb09g21710 transcript:itb09g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGGAQQGGGAAAGHGRGTAASASASPSSSSSASHLDQQQQQQQQQQRQQQLLQQQFLRRPEGNDPNLAYQAGSVHGFLGVNNFAAPSSSMQLSQQSRKYIDLGQQHNSPNLRNEGQNRSQGFEQQMFNPIQQAYLQYAFQNVQQKSAIGLQSQQQMKMGIFGNTGKDQDTKTPNTKVQDLVSLQVTSQSQASSSKMPSEHFSHEKQTDHGHQSMSDQRTELKPPSQPTLLGQVAMKHMQAPQGQQSIQSMTNNPLMAAQMQAIQALAFERNIDLSNPANATMMAQLIPLMQSRMIPQQKASESNMPLQSPSVHVPKQQVNSPRVANDSSPHANSSSEASGQSSSAKNRQTVTSGPLGLAQSAASVNHSNNIPVQQFSVHGRENQLPPRQPIMGGNGLTPHLSQTTVSSMPGMESTLMAKAASTAVETMQIQQIKQVNRPLMQSATSSQDGSSGNPPPSQSGTLPQLQQPQLGFSKQQLHVLKAQILAFRRLKKGDGTLPRELLQAIVPPALDLQMPQVLPPNAPSNQDRSATRGTEDPVRRLEHTEKGTQLMIPAEGLNSSKEETSVEGQASAATVNLQTKTNVAKESTSVFPAAKEEQQTTGYSGKSDQDVERSIENNTNKSDVTMGKGKTVSPQANVSDTAVQAKKPAQTSPVTQPKDAGAVRKYHGPLFDFPVFTRKHDAFGSSMMVPNNNNLILGYEIKDLIAEEGTEMFKRKREDNIKKIGEILSLNAERKRIRPDLVLRLQIEEKKLQLADVQARIRDEIEQQQQEIMAMPDRPYRKFVRLCERQRQDLARQVQASQKAIREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVDRYREMLLEQQSGMPGDSGERYAVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAANAAAAAAKAQGLSEEEVRAAASCAREEVMIRNRFSEMNAPKDGSSANKYYTLAHAVNERVLRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIFYVGGKDQRAKLFSQEVCAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPAHNVEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLKCRMSAFQSAVYDWIKSTGTIRVDPEDEKRRAQKNPIYQPKTYKTLNNRCMELRKTCNHPLLNYPYLNVSKDFLVNSCGKLWVLDRVLIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDTDCFIFLLSIRAAGRGLNLQTADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVLYMEAVVDKISSHQKEDEFRSGGAVDSDDDLAGTDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETVHDVPSLQEVNRMIARSEKEVELFDQMDEEIDWAEEMTRYDQVPKWLRASSKEVNAAVAHLAKKPSKNILLSGGIVAESSEMASESERRRGRPKAKKFPVYTEIDDENGEFSEASSEERNGYSVHEEGELGDEDDEFNGTIGAPQVNKDHSEEDDRVSADGYEYHQAPGNNRNNHIPEQTGSSGSSAESQRLKQKVSPSVSSQKFGSLSALDARPTSRSKRVADELEEGEIAVSGDSHIDLQQSGSWIQGRDEGEEEQVLQQPKIKRKRSIRIRPKHAAERQEEKSSEKVPVLSGKSSQLPLQVDRKYDLQIRNGRGPKIHGDPSAIKDDQTDSSFKGRRNLPSRKNSHLVKVQGLVKSGRSAPPEEAAEQMRQNWESKVMNTTGASGGGVKMSKVIQKCKNVVINLQRRINKEGHQIIPLLTDLWRRIEGDMDMADDNLLDLQTIGLRVDENEYSGVLEFVSDVQLMLKSAVQYYGFSPAVRTEARKVNDLFFDILKIAFPETDFREARNSVSFSGPATMTASGSSTRQMLGQNKRNRLVSDAEADAGPTHKPQTRGSLHATEDSKSSRIHVSQKEARLGVGSSREQDDPRPLTHPGELVICKKKRKDREKSVMKTGNMSGGPVSPTGVSRNAKNSGCVSMMKDSWLNQQGVQQQGWANQSPQLTSGGSSGGGSSVGWANPVKRMRSDAGRRRPSHL >itb15g14270.t1 pep chromosome:ASM357664v1:15:12525755:12528671:-1 gene:itb15g14270 transcript:itb15g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGRRRSEASAFRWLHTGFSMPTSFMRWPRFFAPTGTQALWSSLAAVNYVDISVSFVDSVKTTPLDGVTIPVNLQKVLGILSIFAFENVIGISGSAESPNLPAAHRATAYRPAAHRAAAYRPAAELVAAHRAAGHRFLQSWRLVWRRLLRLQSR >itb09g13820.t1 pep chromosome:ASM357664v1:9:9057638:9060135:-1 gene:itb09g13820 transcript:itb09g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNGVVAEEERNGVIEKEVIVGENEEKVDEMPNNSSSVEGELPEIGSKCKPSTHSKSSGKVTSKTGARGSAALARTKRASLTHSVSFPPKGVNSGVLSTSADVQPKKSGPKQSQVMKSALASKNGRRASLTAPTNGFGQNMNQSDKSLSANGTEALEGTTDENFKPITNVLPIKEEEDARSTTSSNATPQGQRRASGFGFSFRLEQRAEKRKEFFSKLEEKIQAREAEKNNLQAKSKESQEAEIKKLRKTLTFKATPMPSFYKEPPPKVELKKIPITRAVSPKLGRNKTSASIAASSESAESCLSPKVVKEQGKSPRANRKKSSPASAKVTTKSPPQSSETKTEEKAMMPVETKPKPEETKSCDENNTCAETETNNQTKEHWVDKSEEKSGEDEVQCASTPNTVLMPAQVSVEG >itb13g14370.t1 pep chromosome:ASM357664v1:13:20942033:20944390:-1 gene:itb13g14370 transcript:itb13g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLVVGGTGYIGKRIVKASLAQGHTTYVLHRREIGCTSDIDKLQMLLSFKEAGARLVEASFDDHRSLVDAVKQVDVVICTMSGVHFRSHNILQQLKLIEAIKEAGNIKRFVPSEFGMDPARMGNAMEAGRVTFDEKMEIRKAIEEANIPHTYISANCFAAYFCVIYMDEDDVAAYTVKCIDDPRTLNKTVYLRPQQNILTQRELIAKWENLKGIELQKITVTADEFLASMKGDDGEEASQLYPEVHYTRMDEYLKRYL >itb01g05780.t1 pep chromosome:ASM357664v1:1:4020730:4023785:-1 gene:itb01g05780 transcript:itb01g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSNHIVWAPRIGRPWGFLCIERPNELGFSYWSRSFRGKRIFYDKEDELQENDLEFLQSETMQYKTQTLDCQWLVRSKPPACPPEARHRPRKQLATKAVRKSAPGTDGVKKPHRFSALFVRSLRTSRPISVSKAPPRPCFRKLLRPTIQKKSSSQISFTDFTHFDSLSFRNPRFSMARTKQTARKSTGALPPRKQLATKAVLRKSAPAIGGVKKPHRFRPGAVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAVLQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGDRA >itb06g13240.t1 pep chromosome:ASM357664v1:6:17904701:17914566:-1 gene:itb06g13240 transcript:itb06g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSLGINALSGELPEELGFLTEIISFAIGGNNFSGPLPSGLGNWKRLTQIYMDASGVSGAIPPTFANLLNLEALWASNNEFTGRIPDFIGNLSKLTILKFEGNSFQGPIPSTLSNLTSLVDLRISDLLNGSSSLDFIWNMKNLSKLVLRNNNISGSIPSNIGEYQSLSLLDLSFNNLTGRVPDQLFNLSSLQNLFLGSNKLTGSLPAQKSQNLLNIDLSYNEISGNFPSWTGGRGLQLNLISNNFTIDRSNGSALPSGLNCLQKNFPCHRGDPIYSSFAIKCGGQEITSSNQIVYERDNATLGPATYYMTSTGRWAVSNVGLPSDSNNPKYTTSSSSQFTNTLDSELFQTARISAGSLRYYGLGLENGNYTVTLQFAESVIPNPNPLSWKSLGRRIFNIYIQGSLKEKDFDIKKLAGGSLRGLSRQYKVQVSENHMDIHLYWAGKGTCCIPSQGTYGPLISAISATPDFVPTVSNKPPSEQKKNRTGMVVGIIVAVGIVSFLSVFAVYCFVQRRKRQETYDDEEFLGMDVKPYTFSYAVLKARTSDFSPSNKLGEGGFGSVYKGTLEDGRNIAVKQLSVASHQGKSEFVAEIATISGVQHRNLVKLYGCCYEGNKRLLVYEYHENKSLDQALFGGSNLYLDWPRRFEICLGVARGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGRLTEKADVFSFGVVAMEIVSGRPNSDSSLEQDKIYLLEWAWHLHENNRDVDVVDERLSDFNKDEVKRVIGVSLLCTQASPSMRPSMSRVVAMLSGDAEVPTVTSRPSYLTDWKFDDRTSFMTDIHGSQVIGDYSSVATTSVATTDLNSSPRSTPSRPMLHHIIGEGR >itb06g13240.t3 pep chromosome:ASM357664v1:6:17904701:17913825:-1 gene:itb06g13240 transcript:itb06g13240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSLGINALSGELPEELGFLTEIISFAIGGNNFSGPLPSGLGNWKRLTQIYMDASGVSGAIPPTFANLLNLEALWASNNEFTGRIPDFIGNLSKLTILKFEGNSFQGPIPSTLSNLTSLVDLRISDLLNGSSSLDFIWNMKNLSKLVLRNNNISGSIPSNIGEYQSLSLLDLSFNNLTGRVPDQLFNLSSLQNLFLGSNKLTGSLPAQKSQNLLNIDLSYNEISGNFPSWTGGRGLQLNLISNNFTIDRSNGSALPSGLNCLQKNFPCHRGDPIYSSFAIKCGGQEITSSNQIVYERDNATLGPATYYMTSTGRWAVSNVGLPSDSNNPKYTTSSSSQFTNTLDSELFQTARISAGSLRYYGLGLENGNYTVTLQFAESVIPNPNPLSWKSLGRRIFNIYIQGSLKEKDFDIKKLAGGSLRGLSRQYKVQVSENHMDIHLYWAGKGTCCIPSQGTYGPLISAISATPDFVPTVSNKPPSEQKKNRTGMVVGIIVAVGIVSFLSVFAVYCFVQRRKRQETYDDEEFLGMDVKPYTFSYAVLKARTSDFSPSNKLGEGGFGSVYKGTLEDGRNIAVKQLSVASHQGKSEFVAEIATISGVQHRNLVKLYGCCYEGNKRLLVYEYHENKSLDQALFGGSNLYLDWPRRFEICLGVARGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGRLTEKADVFSFGVVAMEIVSGRPNSDSSLEQDKIYLLEWAWHLHENNRDVDVVDERLSDFNKDEVKRVIGVSLLCTQASPSMRPSMSRVVAMLSGDAEVPTVTSRPSYLTDWKFDDRTSFMTDIHGSQVIGDYSSVATTSVATTDLNSSPRSTPSRPMLHHIIGEGR >itb06g13240.t2 pep chromosome:ASM357664v1:6:17904701:17913825:-1 gene:itb06g13240 transcript:itb06g13240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSLGINALSGELPEELGFLTEIISFAIGGNNFSGPLPSGLGNWKRLTQIGAIPPTFANLLNLEALWASNNEFTGRIPDFIGNLSKLTILKFEGNSFQGPIPSTLSNLTSLVDLRISDLLNGSSSLDFIWNMKNLSKLVLRNNNISGSIPSNIGEYQSLSLLDLSFNNLTGRVPDQLFNLSSLQNLFLGSNKLTGSLPAQKSQNLLNIDLSYNEISGNFPSWTGGRGLQLNLISNNFTIDRSNGSALPSGLNCLQKNFPCHRGDPIYSSFAIKCGGQEITSSNQIVYERDNATLGPATYYMTSTGRWAVSNVGLPSDSNNPKYTTSSSSQFTNTLDSELFQTARISAGSLRYYGLGLENGNYTVTLQFAESVIPNPNPLSWKSLGRRIFNIYIQGSLKEKDFDIKKLAGGSLRGLSRQYKVQVSENHMDIHLYWAGKGTCCIPSQGTYGPLISAISATPDFVPTVSNKPPSEQKKNRTGMVVGIIVAVGIVSFLSVFAVYCFVQRRKRQETYDDEEFLGMDVKPYTFSYAVLKARTSDFSPSNKLGEGGFGSVYKGTLEDGRNIAVKQLSVASHQGKSEFVAEIATISGVQHRNLVKLYGCCYEGNKRLLVYEYHENKSLDQALFGGSNLYLDWPRRFEICLGVARGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGRLTEKADVFSFGVVAMEIVSGRPNSDSSLEQDKIYLLEWAWHLHENNRDVDVVDERLSDFNKDEVKRVIGVSLLCTQASPSMRPSMSRVVAMLSGDAEVPTVTSRPSYLTDWKFDDRTSFMTDIHGSQVIGDYSSVATTSVATTDLNSSPRSTPSRPMLHHIIGEGR >itb15g16460.t1 pep chromosome:ASM357664v1:15:16053342:16056745:1 gene:itb15g16460 transcript:itb15g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELVFPASQMNPNNPEPLSMEARVCKLEAQVVDLGAQFQATEDLVDKLRVKMSNLRDEMFNFRNEILEALGLGSFAPPLMNPVRRGSTVQTPSMAPQPYQLSPPLVQLGVGKSDRSAPLVSAEESGYLVGGSEAVKIAGDSELLMTNQEVEVGAAS >itb15g16460.t2 pep chromosome:ASM357664v1:15:16053342:16056745:1 gene:itb15g16460 transcript:itb15g16460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELVFPASQMNPNNPEPLSMEARVCKLEAQVVDLGAQFQATEDLVDKLRVKMSNLRDEMFNFRNEILEALGLGSFAPPLMNPVRRGSTVQTPSMAPQPYQLSPPLVQLGVGKSDRSAPLVSAEESGYLVGGSEAVKIAGDSELLMTNQEVEVCEGAVPCLVDNGMLKDSRISLDNLEERLSDIKEAIAVEVTNKNEKLLAPVN >itb11g12020.t1 pep chromosome:ASM357664v1:11:8910247:8911177:1 gene:itb11g12020 transcript:itb11g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQLRLGFLSFCNLQFFTSSPFFPHSTACVTCFEAVSRRRVAETRRQRQHGEAEAMSQHGEAEAVRQARRGGGGHWRVANKSQQSSPRVASDHLHLRLCPRRAWPVAASALRLSLRIAATPVVTCPRRLCLSSSTANCFASTRWRMSDQRME >itb09g10260.t1 pep chromosome:ASM357664v1:9:6392405:6394951:1 gene:itb09g10260 transcript:itb09g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSIDTCKPLNNDVGNAPSTGAFTIQNSSFHCSSAEATLGRHLARRLVQIGVSDVFAVPGDFNLTLLDHLIDEPGLNLIGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHELIDTAISTSLKESKPVYISISCNLAGIPHPTFSREPVPFSINPRLSNQMGLEAAVEAAAEFLNKAVKPVMVGAPHISGEYLQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVRYEDELVAAIETATGAKKDCLCFIEVIAHKDDTSKELLEWGSRVSSANSRPPNPQ >itb02g24980.t1 pep chromosome:ASM357664v1:2:25700573:25709496:1 gene:itb02g24980 transcript:itb02g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKSLEKRTKICYSPEREEALELPKLRRRGTRVAKLPHPTTGFAALLRQPTSAAFAEINGVAEKAIGVREWRRNLEPSLPLNNMKESSSRFEFCLLTVLSSLNGPPQPPYILTVQRWGTTAEYNSPSTTPTFNGRQCKLSHSQPQKLLHLPSHRPQSVETPPIQIQLRFNLLPIWAAIYAHEETSIALTVELALRSAPLRRLPCSLPSVADAAFSTSRASVALPSPAAATPNSNFNRWPTVCSPHQQRRFFYYPSFLGKKMSLLSKLPCISSSANARLRKIESFSLNPVCSLHYASCSNSPFTEKHSTERYQRDSWIYKNKLEQPSSCPLPADPDPTFVKDYDIAQQLPELKKLLQVLREKRGENGSGEKRGPGNVFLVGTGPGDPELLTLKALKVIQNADLLLYDRLVSNDVLSLVGPDARLLYVGKTAGYHSRTQDEIHELLLSFAEVGANVVRLKGGDPLVFGRGGEEMDILQQQGIEVKVIPGITAASGIAAELGIPLTHRGISNSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLASKLMYHGLPPDTPAAAVERGTTPHQRSVFAELNNLADEISSNQLVSPTLIIIGKVVALSPLWPHSTEGAPVLVEAKL >itb14g05820.t2 pep chromosome:ASM357664v1:14:5068176:5072016:-1 gene:itb14g05820 transcript:itb14g05820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLSIFSWDVKILMMLATFSIMYGEFSFVNGHKGLSTKLATVKGIPAPMVPSHVQFIKLLLQLAKYIVELAKSSSHSSSSIIPVSCYWIFTSILACTSCFARFPRANSKWLERTQLSSLAMKVKDLILECRPIIEKKREEESYQALCCAFSEESPVPSSNLDVLKLLFNVKHHDKKKLIYDGKTNKMVGLHSLNNKGLLLLISPSLDIDIHLCYLLHDLEMKIKLRTLWIPILDCPTLWTTNKNVKEQFRGLVNVHHLLSMRNPEKSVSLGLVRFVKEKVLHIGGEPIIISLDHHGRITHRNAMHVIWIRVGVAGTDYTTIDSSEGSIVPYLQTVLKKRSLDVREFVPDIDRKISDLAGEMNSKMNDWLHDIIKHVEDPIYSNIYTCERENNHWKEVIWCTKLLSSAQKDPINEWVDGNKYIFFMGGNDIKWVKEFLSKVLCVNPQLAFELCYSGTNNKVASIIAQENICAYYPPVAAKSFWTRQQSTFLSRIQFLNETHRDEKSDEIVEGLKRLLAYEAKGSTIEGWVLLAKGNKIILCDLGDKMLTVMNEYEKWKDNAIANGFDQAFKDHHERLASTSTSHHHRYCALEYPTNFNKIPENVECPQCCYNMNKFVTFACCHGHSYTPESP >itb14g05820.t1 pep chromosome:ASM357664v1:14:5068176:5072482:-1 gene:itb14g05820 transcript:itb14g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQPLPISEMVVSHVDHETIMQEIMATHNPDSKDFQVNFIFNSVKNILCPITTIGKSIPEDKESNEESDEELFDYKDFGEGEPNNEEFKDLELLCQIKRLSFETTLKCLDNADQHTTVIYFMKMLSIFSWDVKILMMLATFSIMYGEFSFVNGHKGLSTKLATVKGIPAPMVPSHVQFIKLLLQLAKYIVELAKSSSHSSSSIIPVSCYWIFTSILACTSCFARFPRANSKWLERTQLSSLAMKVKDLILECRPIIEKKREEESYQALCCAFSEESPVPSSNLDVLKLLFNVKHHDKKKLIYDGKTNKMVGLHSLNNKGLLLLISPSLDIDIHLCYLLHDLEMKIKLRTLWIPILDCPTLWTTNKNVKEQFRGLVNVHHLLSMRNPEKSVSLGLVRFVKEKVLHIGGEPIIISLDHHGRITHRNAMHVIWIRVGVAGTDYTTIDSSEGSIVPYLQTVLKKRSLDVREFVPDIDRKISDLAGEMNSKMNDWLHDIIKHVEDPIYSNIYTCERENNHWKEVIWCTKLLSSAQKDPINEWVDGNKYIFFMGGNDIKWVKEFLSKVLCVNPQLAFELCYSGTNNKVASIIAQENICAYYPPVAAKSFWTRQQSTFLSRIQFLNETHRDEKSDEIVEGLKRLLAYEAKGSTIEGWVLLAKGNKIILCDLGDKMLTVMNEYEKWKDNAIANGFDQAFKDHHERLASTSTSHHHRYCALEYPTNFNKIPENVECPQCCYNMNKFVTFACCHGHSYTPESP >itb14g05820.t3 pep chromosome:ASM357664v1:14:5068176:5070968:-1 gene:itb14g05820 transcript:itb14g05820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKDLILECRPIIEKKREEESYQALCCAFSEESPVPSSNLDVLKLLFNVKHHDKKKLIYDGKTNKMVGLHSLNNKGLLLLISPSLDIDIHLCYLLHDLEMKIKLRTLWIPILDCPTLWTTNKNVKEQFRGLVNVHHLLSMRNPEKSVSLGLVRFVKEKVLHIGGEPIIISLDHHGRITHRNAMHVIWIRVGVAGTDYTTIDSSEGSIVPYLQTVLKKRSLDVREFVPDIDRKISDLAGEMNSKMNDWLHDIIKHVEDPIYSNIYTCERENNHWKEVIWCTKLLSSAQKDPINEWVDGNKYIFFMGGNDIKWVKEFLSKVLCVNPQLAFELCYSGTNNKVASIIAQENICAYYPPVAAKSFWTRQQSTFLSRIQFLNETHRDEKSDEIVEGLKRLLAYEAKGSTIEGWVLLAKGNKIILCDLGDKMLTVMNEYEKWKDNAIANGFDQAFKDHHERLASTSTSHHHRYCALEYPTNFNKIPENVECPQCCYNMNKFVTFACCHGHSYTPESP >itb04g08770.t1 pep chromosome:ASM357664v1:4:7515463:7515876:1 gene:itb04g08770 transcript:itb04g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLSSSSQVPPSLSVVAPVLHSKMVKGSQGERVRLYTRGMILGYKRSKSNQYPNTSLIQIEGVNTKEEVDWYLGKRMVYIYKAKTMKNKSHYHCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGNKVRVFMYPSNI >itb12g04040.t1 pep chromosome:ASM357664v1:12:2662696:2664331:-1 gene:itb12g04040 transcript:itb12g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESSLEEAIQCRNSLQHSSSPGEDMSTDFNSHRMDINVGSSSPAELVECRICHDEDEDCRMDIPCSCRGSLKYAHHECVQKWCNEKGDNVCEICCQPYKPSYTVPPPLLHCGGIPMNLRGFRGHWEISREGLNNLEFRAMFSTNRNSMDPDFDEYSEHAPRSLIYCRLVAITFTMLLMFRHTLPIIITVVGDHSVALLDLVMLSIGILTPIYITVKAFQAIRHRLQRQASQDARDSDTTTTDERNEPPPLQQHRPHLVHVH >itb14g05190.t1 pep chromosome:ASM357664v1:14:4578134:4579626:-1 gene:itb14g05190 transcript:itb14g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIREVLESDQWLFRYSVLVLLLIAPPVYIACRFITAPFGKHVRPGWGPTISPPLAWFLMESPAIWLTLLLFPFGRNSSNPLAQILMSPYLLHYAHRTIVYPLRLYLTSDPRKAAAGFPVSVALMAFTFNILNAYLQTRWVSHYADYEGDALFWWRFACGMAVFLAGMAVNVWADKVLLRLKSEGGGYKIPRGGLYEYVSCPNYFGEILEWLGWFLMTWSTAGFAFFLFTCANLMPRAWSHREWYLEKFGEDYPRKRKAVIPFLY >itb04g27240.t1 pep chromosome:ASM357664v1:4:31289045:31292843:1 gene:itb04g27240 transcript:itb04g27240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGFLGMGIMGKAMAMNLLRHGFKVTVWNRTLSRCDELVEHGASVGETPAAVVKKCKYTIGMLSDPPAALSVVFDKNGVLEQICDGKGYIDMSTVDADTSSKINEAIISKGGSFLEAPVSGSKKPAEDGQLVILSAGDKALYDQVLPAFDVLGKKSFFLGQVGNGAKMKLVVNMVMGSMMNAFSEGLVLADKSGLEQQTLLDVLDLAAVANPMFKMKGPSMIKNNYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSLGLGDLDFSAVYETVKHAQP >itb09g08420.t1 pep chromosome:ASM357664v1:9:5030623:5032206:-1 gene:itb09g08420 transcript:itb09g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAQRLRRTGMSNVLCYQPVQKAEPYQLSYDNTNGASSVHASRNLYCTLESSSVGESRALYNSPSAVYFSTDGSPMSQQDSCSYPLDNNYGSPISGSCITDDMNSFIHKLKELETVMLGPDSDILGSYDNTFPSSIASPEIDSWRQMMEAMPRGDLKQVLIPCAKAVSDNDLLTAQWLMSELRQMVSVSGEPIQRLGAYMLEGLVARLAASGSSIYKSLRCKEPTSFELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQINQGSQWITLIQAFAARPGGPPHIRITGIDDSSLSNAHSGGLSLVGKRLSKLAESFNVPFEFHAAAIPGSDIHLENLGIQPGEALAVNFAYMLHHIPDESVSTQNHRDELLRLVKSLNPKVVTLVEQESNTNTSAFFPRFLETLDYYTAMFESIDVTLPRDHKERINVEQHCLARDVVNIIACEGIERVERHELLGKWKSRFRMAGFSPYPLSSVVNATIKKLLESYSDKYRLEERNGALYLGWMNRDLVASCAWK >itb01g32210.t1 pep chromosome:ASM357664v1:1:35745368:35764790:-1 gene:itb01g32210 transcript:itb01g32210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEIRGRSWGILMIQINENIPIAVDLMSIDISKFATKFIFLILRCVLGISIEFHVGGLRSLRNVVVKLKKGAVESISIGEIRLSLRKSLVKFGDGFSSRDPKLHVLICDLEIVTRASRKGTQKKRSRPSQKIRKSGGGKLMTVANMARFLSVTITKLSFRTPKAAGEMKELALDIFKDGGSQQNLFVKFNLAPVLVYLGDTQVNSNQTSAPSSCEEFSLSCEFVLDREAGVVVKNVDLKIGEVSLCLNEELISKKKSSEDALPPVEDAVKVTSESGATEQPQKKSTALAMSKYASMFPEKLLREAGISLLEILKLDISSSVYIPTELTSPVRSEVDVKLGGFQSNVIITRLTPWMRLRSSKKKKVVPREGSPTSEKPKSSGNNAIMLTFTFTAPEMTIVLYDMNCSPLCHGCLLSLCVSANNTSSSGLAAHLELGELNLRMSDEHQECLKESLFGVETNMGSLVHVGKVNLDLGRKDVGSPEDMKSISALNIDVTGMGMFITFKRLESLVAAAFSFKSLLKGSSSTSGKKPTHTQGSKSSKPSGKGIQSVKFNLEKCSLNFRGDVGLEDAVVADPKRVNYGSQGGRILITDSADGTPRTASVTSTASNELKEIKYAISLDVFKLGLTMNKEKQTVQMDLKRATSIYQEHMEENNPATKFTVLDMQNAKFVRRSGTNICSLFSSTDISVRWEPDMHIALIELGLRLKLLVQNHKSQEPSKEGATKNSEPKKQTSAEAVQNKKRENIFAIDVEMLNISAEAGDGVETTIQVQSIFSENAKIGLLLEGFILHFNGARLVRSSRMQISRIPNASSSVSNAKVEGVTTWDWVIQALDVYICLPFRLELRAIDDSVEEMIRALKLVTAGKTKLMFPNKEEKPKPKKPSSSSSSSKIGRVRLCIRKLSAELEEEPLQGWLDEHFLLLKKEAHELAVRMNFLDELVSRSGQSPGVAEQSDSIPEGKIHFNGEEINLQDTSAIQKLREEMYKQSFRSYYQACQNLVPSEGSGSCVNGFQSGFRPSIARTSVLSACATELDVSLTRIEGGDPGMIELLQKLDPVCRAHNIPFARLYGSNIKLQTGSLSVRIRDYTCPLFAATSGKCEGRLVMAQQATCFQPQVRQNVYIGKWRKVSLLRSASGTTPPMKTYSDLPLHFQKAEIAYGVNFEPSFTDISYVFTVAMRRANLSLRNPNPDPPPPKKEKSLPWWDEMRNYIHGNTSLYFAETKWNILATIDPYEESDKLLINTGYLEVQQSDGRVYLSVKDFKVSLSSLESLVKSSNLKLPDGCSYTFLQAPDFSIEVNIEWGCDSGNPLNHYLFALPVEGVPREYIYDPFRSIALSLSWNVLLKPSCQGQSEPGCASIDSPTINLGPHDLAWLIKFIIVNYLPPCKLRTFSRWPRFGVPRIPRSGNLSLDKVMTEFMLRLDATPIYIRYMPIEDDDPAKGLTFEMKRLNVEAYLGRGQKNFTFDTIRDTLDLVYLCVDLQMPKVFINKQDCTSVAQIIQMSRKASQSTLMKSDTVNGSKSDTDNGFFLSSDYFLIKKQSPRADQERLLSWQESFGRDLEMTDFRSEFETGSESEDHARPELTEDDGCNVLIADNCQRVFVYGLKLLWNLENRDAILSFVGGLTKGCEPSKPSPSRQYTQMKLLEEKSKAIEKPDMPQDDQQKSPPSQAVNSSPPQNSEISESQLQKSPSNSVKVESPNETAKPSNSEEAEEEGTPHFMVNVIEPQFNLHSEDANGRLLLAAVSGRVLARSFQSVLNVGNEVIEQSLGGRNAQMPESLPQMTWSRMELSVMLEHVQAHVAPTDVDLGAGVQWLPKIRKSSPKVKRTGALLERVFMPCDMFFRYTRHKSGSPDLKVKPLKELSFNSENITATMTSRQFQVMTDVLTNLLLARPPKRKVSLSYPVEDEDAEEEADQVVPYGVEEVELARINLEQKERVVNTILDDIRKLSLCNDVSGEAYSEKEFEAWMVTGGRSVLVQKLKEELASAKKSRKASSVPLRAALQKAAQIRLMEKEKNKSPSHAMRLYLKINKFAWSMLIDGKSFGEAEINDMIYDYDRDYKDVGIAKFTIKFVVIRNCLPGAKCDTVLSAWNPPSEWGKKVMLLAHAKQGPPKDGHSTIELLQVEIYPLKINVVEAMYKVLWEYLFPEEEQDTHRRQEVWKVSTTAGLKRGKKGSVQEINVAASPKAKDSQGSSKTGSSALSAFSESSSDSLKLRRTSSFDRTWEENVAESVANELVLKAQNSGGGASTTSKTGPLAKSGRSATQAAKPNEEKKPRRLREFRNIKISQVELSVTYEGSRFAVSDLRLLMDPFSCAEFTGTWGRLFSRVKKHIIWGVLKSVTGMQVKKFKLGKDHSHKDHSGTGPSIDMVIDDDGGSAGKSDSWPKRPTDAGDGFVTSVRGLFSSQKRKAKNLVLRKMRGDGENEILQGEWSESEAEFSPIARQLSGSRAKKLIRRHTKKFNPGLQQAVSSVDGESIPTSPPDNSDSSSESSPYEEEREEGENKEEGENKEERE >itb02g16550.t3 pep chromosome:ASM357664v1:2:12484139:12484941:-1 gene:itb02g16550 transcript:itb02g16550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLVYDDRQRAATRSVCERRQMSPALLLLRLWVAVADLNDGKCRRIRSSILRLWVAVANLNDGRFHGFAAPQALGGCRGSERRQMSLALLLLMLWVAVADLNDGRCRRRWLLLLKCRRLPWRTMASVNKGGAFPASSLSLVAGKHHPNSVFGRKDGSSSGKGGNKGKMTKYPHYFTFR >itb02g16550.t2 pep chromosome:ASM357664v1:2:12483717:12484941:-1 gene:itb02g16550 transcript:itb02g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLVYDDRQRAATRSVCERRQMSPALLLLRLWVAVADLNDGKCRRIRSSILRLWVAVANLNDGRFHGFAAPQALGGCRGSERRQMSLALLLLMLWVAVADLNDGRCRRRWLLLLKCRRLPWRTMASVNKGGAFPASSLSLVAGKHHPNSVFGRKDGSSSGKGGNKGHREISTGRATQVSVKMKALRLMMRRLEPMTFNIGLTLKSN >itb02g16550.t1 pep chromosome:ASM357664v1:2:12483717:12484941:-1 gene:itb02g16550 transcript:itb02g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLVYDDRQRAATRSVCERRQMSPALLLLRLWVAVADLNDGKCRRIRSSILRLWVAVANLNDGRFHGFAAPQALGGCRGSERRQMSLALLLLMLWVAVADLNDGRCRRRWLLLLKCRRLPWRTMASVNKGGAFPASSLSLVAGKHHPNSVFGRKDGSSSGHREISTGRATQVSVKMKALRLMMRRLEPMTFNIGLTLKSN >itb03g13350.t2 pep chromosome:ASM357664v1:3:13523650:13529264:-1 gene:itb03g13350 transcript:itb03g13350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSAKSKKEKIKAVFEMQFQATQVPQLKAKGLILSLVPADAGRPTVKLGKAAIIDGTCSWDNPIYETMKLERDSKAGKFQEKIYYFIVATVTVQKMQAMPDQRCKEESVVSRTESFDQSFETELECDDYCANSTEGEQFNKTSQYPEQNGTLRHPPSDDISVNQDLSSHQQDFKPQKPAFGINSIERYSHQRSSTDCSLGSTSDGSIKDTTNRPKEDIPRDIVQETETNSTLRLQNQIKMLERQAEVSEIELQCLRKQIVKESKRGQDFLQQIFDLQDERDALKTECDELKFKNRGNVQIQNAPQSEIENLTAELEGFRQELQHEKQLRSKLKLKLQKTEDSNSELILRVRDLNEMLDQKKKEISYLSGKRKSEKCFTEGVARKMSNSKSDQNEEENTETFKKVNELEEMKQTIEKMSREIEVYKKESEELKVQKDQLVSDCEILKNENKDIYSELEQSELEKVKLQQEYSEALTSIKQLKVRVEGLEDEMQRQSMNYSESLDTISMLETEVMSLEKELKKQARAFEENLEAATQARVVQEQRALGAEEALRRTRSNNANATEQLQQEIRRLSVEMASKVDEKEKLAIKAAAEANALLQQNNVLEDSLHEAKKELESTKDHYTKELHQLSIQNNQTLLEESNKMQTLMDEKKKSEEHLQSEIEKLQVLYNELVHGSEQLKLENENLKEQVFELQSNLHKKGQENRPSNGVTVEARQGTGECIHCGEMRSLEGSSLRSKEINSGMPEVINGHAETTHDLAELLMEVASLRDRNKHMEGELKEMEERYSEISLKFAEVEGERQQLVMALRNLKNGKKN >itb03g13350.t3 pep chromosome:ASM357664v1:3:13523650:13527248:-1 gene:itb03g13350 transcript:itb03g13350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMPDQRCKEESVVSRTESFDQSFETELECDDYCANSTEGEQFNKTSQYPEQNGTLRHPPSDDISVNQDLSSHQQDFKPQKPAFGINSIERYSHQRSSTDCSLGSTSDGSIKDTTNRPKEDIPRDIVQETETNSTLRLQNQIKMLERQAEVSEIELQCLRKQIVKESKRGQDFLQQIFDLQDERDALKTECDELKFKNRGNVQIQNAPQSEIENLTAELEGFRQELQHEKQLRSKLKLKLQKTEDSNSELILRVRDLNEMLDQKKKEISYLSGKRKSEKCFTEGVARKMSNSKSDQNEEENTETFKKVNELEEMKQTIEKMSREIEVYKKESEELKVQKDQLVSDCEILKNENKDIYSELEQSELEKVKLQQEYSEALTSIKQLKVRVEGLEDEMQRQSMNYSESLDTISMLETEVMSLEKELKKQARAFEENLEAATQARVVQEQRALGAEEALRRTRSNNANATEQLQQEIRRLSVEMASKVDEKEKLAIKAAAEANALLQQNNVLEDSLHEAKKELESTKDHYTKELHQLSIQNNQTLLEESNKMQTLMDEKKKSEEHLQSEIEKLQVLYNELVHGSEQLKLENENLKEQVFELQSNLHKKGQENRPSNGVTVEARQGTGECIHCGEMRSLEGSSLRSKEINSGMPEVINGHAETTHDLAELLMEVASLRDRNKHMEGELKEMEERYSEISLKFAEVEGERQQLVMALRNLKNGKKN >itb03g13350.t1 pep chromosome:ASM357664v1:3:13523594:13529264:-1 gene:itb03g13350 transcript:itb03g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSAKSKKEKIKAVFEMQFQATQVPQLKAKGLILSLVPADAGRPTVKLGKAAIIDGTCSWDNPIYETMKLERDSKAGKFQEKIYYFIVATKSSKSGFLGEVGMDFAELAEMTEPVLLSLPLMPADSGIILHVTVQKMQAMPDQRCKEESVVSRTESFDQSFETELECDDYCANSTEGEQFNKTSQYPEQNGTLRHPPSDDISVNQDLSSHQQDFKPQKPAFGINSIERYSHQRSSTDCSLGSTSDGSIKDTTNRPKEDIPRDIVQETETNSTLRLQNQIKMLERQAEVSEIELQCLRKQIVKESKRGQDFLQQIFDLQDERDALKTECDELKFKNRGNVQIQNAPQSEIENLTAELEGFRQELQHEKQLRSKLKLKLQKTEDSNSELILRVRDLNEMLDQKKKEISYLSGKRKSEKCFTEGVARKMSNSKSDQNEEENTETFKKVNELEEMKQTIEKMSREIEVYKKESEELKVQKDQLVSDCEILKNENKDIYSELEQSELEKVKLQQEYSEALTSIKQLKVRVEGLEDEMQRQSMNYSESLDTISMLETEVMSLEKELKKQARAFEENLEAATQARVVQEQRALGAEEALRRTRSNNANATEQLQQEIRRLSVEMASKVDEKEKLAIKAAAEANALLQQNNVLEDSLHEAKKELESTKDHYTKELHQLSIQNNQTLLEESNKMQTLMDEKKKSEEHLQSEIEKLQVLYNELVHGSEQLKLENENLKEQVFELQSNLHKKGQENRPSNGVTVEARQGTGECIHCGEMRSLEGSSLRSKEINSGMPEVINGHAETTHDLAELLMEVASLRDRNKHMEGELKEMEERYSEISLKFAEVEGERQQLVMALRNLKNGKKN >itb12g10830.t1 pep chromosome:ASM357664v1:12:8894597:8906214:1 gene:itb12g10830 transcript:itb12g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFHPPPAFAGSFATKASASDLLRSSRSGVCGVPLKALGRARLGSKKREFAVSAKLRKGRKHNNPWAQDLDPNVKGGVLRHVSSFKPLKEKPKPVILDFEKPLIGLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYKHLTPIQRVNIARHPNRPTFLDHVFNITDVFVELHGDRAGYDDPAIVTGLGKISGQSFMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPVICIVIGEGGSGGALAIGCGNKLLMLENSVFYVASPEACAAILWKTSKASPKAAERLRITARELCKLQVADGVIPEPLGGAHSDPYWTSQQIKTAILESMDELGKMNTEELLKNRMLKFRKMGTINAFQEGTPVEPKRTANMKKKDVPVVVPEKTPVVELRDKAPEKTPVVELRDEVEKLKEQILKASESSSKIPESGPKEMIEKLKRELDREYTEAAKSLGFYEKIVTLRKEFAKVKNSNDQSNQRAFMEKLDMLNDEFNQKLSAAPNFEDIKYKRDMIKEISEAHNLLAKSNKQADLKQEIDKKYEEIVSRADLKKKIEALKADIKRSGVSTLAELDQGLKDKLAQLKSEIKSEFAAALEPSGLHVVSPSFLDAKVKIDSFNSEIGHVMEDVVNSTDLKNKIELLKTEVAKAGKTPDEELKAKILALKQEIKHRVMASTFHPPPAFAGSFATKASASDLLRSSRSGVCGVPLKALGRARLGSKKREFAVSAKLRKGRKHNNPWAQDLDPNVKGGVLRHVSSFKPLKEKPKPVILDFEKPLIGLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYKHLTPIQRVNIARHPNRPTFLDHVFNITDVFVELHGDRAGYDDPAIVTGLGKISGQSFMFIGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPVICIVIGEGGSGGALAIGCGNKLLMLENSVFYVASPEACAAILWKTSKASPKAAERLRITARELCKLQVADGVIPEPLGGAHSDPYWTSQQIKTAILESMDELGKMNTEELLKNRMLKFRKMGTINAFQEGTPVEPKRTANMKKKDVPVVVPEKTPVVELRDKAPEKTPVVELRDEVEKLKEQILKASESSSKIPESGPKEMIEKLKRELDREYTEAAKSLGFYEKIVTLRKEFAKVKNSNDQSNQRAFMEKLDMLNDEFNQKLSAAPNFEDIKYKRDMIKEISEAHNLLAKSNKQADLKQEIDKKYEEIVSRADLKKKIEALKADIKRSGVSTLAELDQGLKDKLAQLKSEIKSEFAAALEPSGLHVVSPSFLDAKVKIDSFNSEIGHVMEDVVNSTDLKNKIELLKTEVAKAGKTPDEELKAKILALKQEIKQCMADAISFCELKEKHEKLRSEVSESIKMSGGSDGNSLKGNAEYDKLMPDGSNIEVNFEPNRSFA >itb07g19070.t1 pep chromosome:ASM357664v1:7:23531273:23531930:1 gene:itb07g19070 transcript:itb07g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACTASSTIMAAAFSSPRQGRSLGFLPVQFPDKGVFPLGEKTEGEQAAPLSPWLDGSIPGDFGFDPLGLASDPESLKWNQQAEIVHCRWAMLGATSIFILEFLTKIGILNTPSWYTAGEQKNFLF >itb04g25600.t1 pep chromosome:ASM357664v1:4:30154854:30159034:-1 gene:itb04g25600 transcript:itb04g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANEEVLKGTLVEIDLHTCEPWQLPEVAKLNSSEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVMDPRSQAIVGMRKTLVFYRNRAPNGVKTGWIMHEFRLENPHIPPKEDWVLCRVFHKSKGETSENNNLNTCMYDNSIVIPAATSPPSLAASPPNMDAASFPYRPIAAAACQNQSATTPAAANFFNVSLSVPEHHHNGFLRSSHEMPHSNKCETDQYGFLFDMNFEEPNFHDGGVHSSLDDMRFDDENGIVFI >itb09g06960.t1 pep chromosome:ASM357664v1:9:4046689:4047183:-1 gene:itb09g06960 transcript:itb09g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSPAAKNLCNQIFRYRSQLRPERIPPCDVFVNHRGIDTKRNVAGLLYDHLRRLRLRPFLDSKNMKPGDKLFEKIDPAIRNCKVGVAVFSPQYCDSYFCLHELALMMECKKRVIPIFCDVKPSELAVRDSMARIYPAKDMDKFRLALQEAKFTVGLTFDTVNG >itb02g04430.t1 pep chromosome:ASM357664v1:2:2636476:2640112:1 gene:itb02g04430 transcript:itb02g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRECCCCWDSQSSLLPVEQPQTFSLPSPLPQWPQGNGNDFATGRICLGEIEVAQITQFEKIWSYSPLFEKSNSITFYRPVEIPDGFSVLGHYCQLDDDLPLRGYVLVARDLAVSDNFVRDSGSDLPALENPLGYTLVWSKDSYLSGSGYIWLPNAPDGYKPMGFVVTTEPDEPDLEDVKCVRADLTDEAETCDAIFSAGSILSKSQLQVWTTRPCERGMLCNGVSVGTFFCSTNSSSGDDLNIACLKNLDSSQAAMPNLEQIHALINHYGPTVYFHPDEAYLPSSVPWFFKNGALLYKNGMNGGIAVDSLGSNLPPGGENDGEFWLDLPDDDETKNYIKCGNIDSAELYVHVKPALGGTFTDIAMWIFCPFNGPATLKLWLWDFEMNKVGEHVGDWEHYTLRISNFTGELWSVYFSEHSGGEWVDANDLEFIEGNKCIVYSSRNGHASFPHAGCYLQGSSVLGIGVRNDCDTSNYYLDSSTKYQIIAAEHLGVGVLAEPPWLQYMREWGPTIEYDSTSEVDKIINRLPFFIRLSVETLFELFPTELYGEAGPTGPKEKNNWFGDER >itb03g15770.t1 pep chromosome:ASM357664v1:3:15003696:15005767:1 gene:itb03g15770 transcript:itb03g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASISKSFDKESMKISFDSQWRSIINNMRASKRRLIELSTAPATGTTKFISYMARILGAITDTIHHLHRRLLFFSGRLSKALKVSNNRERGFGYQLVVIRLGPCELDCVDSSLLDQWLHPILLGNGSMDTAGGMSASCQCIGNTK >itb01g22340.t1 pep chromosome:ASM357664v1:1:28246831:28248999:-1 gene:itb01g22340 transcript:itb01g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEFLTAADAQANRERMNRLAPVTNRCTSRQNRTLLNFQVSRDSNSNEHSYASTLKLLKRRKHIQEIHASLVTSGLCRNIFLCNSLLNSYVRCGLLADAQKLFSRVVGKNLVSWTILISGFVKNGHFVEATETFLEMIMYGLRPNEITISSTLPAFGELGLTLTGKSVHCYWIRQNFGKNVYVETALVDMYSKMGRPISARHIFDNMSERNSVSWNAMLSAYSDNGFGVEAIQLFSLMRRERISADALTIMSLISASSIAGNMQIMSGVHALAVRLGCEDDLVKTALMDMYINLGCIGDAHCLFLEMSKRDVVAWTLMLTGFSRIGNWNKTIQYFNMMMGVEEIVLDSVCLTSIISCCSCWGALQQGRGVHALAVKTGCEADVFLGSAIISMYANCANLDDAKRFFGAMSRKDVACWNAMIAGTAMHGYGNDAIELFMKMESAGIAPNDSTLVSVLCACSQAGMVDKGLKIFNSMVESWDVVPNQKHYACVVGLLGRAGRLNDAYSIISEIHLQPGVEVYGALLGACKAHGNIELGIKISERLFELNPDDAGYYVLLSNMHAFLGNQEGVKLTRLLLRSKNLKKDPGLSLIEINGEVYRFMASEKDHPLYPEISEFLKGVILKIEAEGYVADMNCVFQDVPDFVKKDILYHHSEKLAIALGLMRTKPGTMLRVTKNLRTCNDCHSASKFISKVFGRKLIIKDANFFHMFQDGICSCRDFW >itb08g08890.t1 pep chromosome:ASM357664v1:8:7849112:7853597:-1 gene:itb08g08890 transcript:itb08g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNLFLSALGVGVGVGVGIGLASGQTVSKWTGSSSNPVTVDIMEQEMLNTIVDGRDSKVTFDEFPYYLSEQTRALLTSAAFVHLKSADFAKHTRNLSPASRTILLSGPAELYQQMLAKALAHYFGAKLLLLDVTDFSLKVQSKYGSSRNESSFKRSISETTLNRMSDLLGSFSILQPKEEKKGTLRRQSSGVDIPSKGIEGSSNPTTLRRNSSASANMNNLTSYSTTSNPGPLMRTSSLSFDEKLLIQALYKVLVKVSKTCPIVLYLRDAEKLLCRSEKVYILLQKMLRRLSGSVLILGSRIVDPGDDYRELDERLSSVFPYNIEIKPPEEETHLVSWNSQLEEDMKMIQYQDNKNHIMEVLAANDIECDDLGSICMADTMALSNYIEEVVVSAISYHLMNTKDPEYRNGKLVISSTSLSHGMNIFKEEKSAAGKDTLKLESKAELSKETQSGEKGSKGPETKAESSTAPESKPETGSTAPAPTTKDATSSGTAVKAPEVPPDNEFEKRIRPEVIPAKEIGVTFADIGALDEIKESLHELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLEQRELILKTLLAKETVGKGLDLKQLATMTEGYTGSDLKNLCTTAAYRPVRELIKQERLKDVEKKRLAQEGGPNCGDAPTANEDKGERVITIRPLNMDDFMEAKNQVIRLSLSLSSDKIVFFM >itb08g08890.t2 pep chromosome:ASM357664v1:8:7848854:7853597:-1 gene:itb08g08890 transcript:itb08g08890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNLFLSALGVGVGVGVGIGLASGQTVSKWTGSSSNPVTVDIMEQEMLNTIVDGRDSKVTFDEFPYYLSEQTRALLTSAAFVHLKSADFAKHTRNLSPASRTILLSGPAELYQQMLAKALAHYFGAKLLLLDVTDFSLKVQSKYGSSRNESSFKRSISETTLNRMSDLLGSFSILQPKEEKKGTLRRQSSGVDIPSKGIEGSSNPTTLRRNSSASANMNNLTSYSTTSNPGPLMRTSSLSFDEKLLIQALYKVLVKVSKTCPIVLYLRDAEKLLCRSEKVYILLQKMLRRLSGSVLILGSRIVDPGDDYRELDERLSSVFPYNIEIKPPEEETHLVSWNSQLEEDMKMIQYQDNKNHIMEVLAANDIECDDLGSICMADTMALSNYIEEVVVSAISYHLMNTKDPEYRNGKLVISSTSLSHGMNIFKEEKSAAGKDTLKLESKAELSKETQSGEKGSKGPETKAESSTAPESKPETGSTAPAPTTKDATSSGTAVKAPEVPPDNEFEKRIRPEVIPAKEIGVTFADIGALDEIKESLHELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMSHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLEQRELILKTLLAKETVGKGLDLKQLATMTEGYTGSDLKNLCTTAAYRPVRELIKQERLKDVEKKRLAQEGGPNCGDAPTANEDKGERVITIRPLNMDDFMEAKNQVAASFAAEGSIMNELKQWNNLYGEGGSRKKEQLTYFL >itb11g17450.t1 pep chromosome:ASM357664v1:11:17276368:17280150:-1 gene:itb11g17450 transcript:itb11g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSKETIARLFYNVSSSFQLFLLFSYLTSIFLAKIFHLLGGNIFFPRNQAVYEFAAFSDEETEDENVYENNYHVGSSREQHHFASNIIQDDQHHATFNVEAEEENIESVGDDDDEEEASIYSSPATEPNIPSNEEAVEEKIPMGDNDYFNSHENNNTTGPTFSSPAGRNSKRNVSDMSHEDDEENICKELMEREKRVVEANLMQGEAAKNNNNNNNNSRLLKIQEDREENDEIFGDSCTVGSTSKSSSEWRSSIKDSCTDDPFSSSSRRSCPKWESYTVFQKYDEEMLFLDRISAQKLHETESLRSIKSNPRSISDRIVYKLAPKKQRTLNFQHNPYNELEGAYVAQICWTWEALNWNYKYFQRLRASKSDHGCPAYIAQQFQQFQVHLQRYIETEPYEYGRRPEVYARMRLHIAPNLLQVPQYRDSDEEKREEGFCSRIPSDSFLQIMEEAIRTFMNFLKADKESHCQIIASFFKKNTRGSADPTLLLLLKRENKRKKSKLKDMRKSGGKCLRKRRLKEEEELEILMAEIDLKVVSRVLRMKEQNGEQLHWCEDKMSKVKISDNGKLHRDSSPLFFPAH >itb05g11810.t2 pep chromosome:ASM357664v1:5:18011105:18016343:-1 gene:itb05g11810 transcript:itb05g11810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQICKDKVEAEAWITGLRALISSGLGGRSKIDGWSDGGLYFDDNQDLTEDSPSSSSVSATKEINSLDASIGSNLNVSPNCFQPDSRSSHVALGTTMQNLQVKATNSDVLRISVSSAPSTSSHGSATDDYDALGDVYIWGEVICDSIVKVGPEKNVSTWSARADVLLPRPLESDVFLDVCQIACGVKHAALVTRQGEVFTWGEESGGRLGHGVGKDVTHPRLLESLSFCSIDFVACGEFHTCAVTMAGELYTWGDGTHNAGILGHGSDVSHWIPKRISGPLEGLQVEIVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVLFPREVASLSGLRTIAVACGVWHTAAVVEVIVSQSSASVSSGKLFTWGDGDKYRLGHGDKEPQLQPMCVSALIEYDFHKIACGHSLTVGLTTSGYVFTMGSAVYGQLGNPYSDGKLPCLVEDKLLGECVEEISCGAYHIAVLTSKNEVYTWGKGANGRLGHGDIEDRKSPTLVEALKDRNVKYIACGSHYTAAICTHKWVSSAEQSQCSACRQTFGFTRKRHNCYNCGLVHCHSCTSRKVIRAALAPNPNKPYRVCDSCFTKLIKVLEAGVSNRKNAGPRLSGENKDRLDKAELHLAKSGMPSNLDLIKQLDNKAAKQVKKADTSLGRSSQALYSLQLKDDAFSTASDLRRALPKPVLTSSAVSSRSVSPFSRKPSPPRSVTPTPARAGQSFSKSIVDSLKKTNELLSQEVLKLRAQVEDLTCRCELQELDLQKSTRKAQEATVLATEESAKCKAAKEVIKSLTAQVREMAEKLPPGTYDAESIKLAYLSNGLEPNGVPYPTTERHSRSDSVTSSTFASRTSLDTSFNGMRSSSDLDIDSGINETIPFNDLRAATPRGKDDQSDVRLHSGSAMQSFSNCASENITSRESDPSQNNGSISCSQVHGVMTSNGRDNLSDVRSNNGSAMQPFSNGTSEAVTNREYGSSQNNRSGLESQHSTASTDSSQIVAEWIEQYEPGVYITLVALRDGTRELKRVRFSRRRFGEQQAEIWWSNNHRKVYERYNARESEKSSVTSQATR >itb05g11810.t1 pep chromosome:ASM357664v1:5:18011105:18019510:-1 gene:itb05g11810 transcript:itb05g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPNLPLEKKIIQNEKDESSLIWISSSGERSLKLASVSRIIAGQRTSVFQRYLCPEKDYLSFSLIYNNGKRSLDLICKDKVEAEAWITGLRALISSGLGGRSKIDGWSDGGLYFDDNQDLTEDSPSSSSVSATKEINSLDASIGSNLNVSPNCFQPDSRSSHVALGTTMQNLQVKATNSDVLRISVSSAPSTSSHGSATDDYDALGDVYIWGEVICDSIVKVGPEKNVSTWSARADVLLPRPLESDVFLDVCQIACGVKHAALVTRQGEVFTWGEESGGRLGHGVGKDVTHPRLLESLSFCSIDFVACGEFHTCAVTMAGELYTWGDGTHNAGILGHGSDVSHWIPKRISGPLEGLQVEIVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVLFPREVASLSGLRTIAVACGVWHTAAVVEVIVSQSSASVSSGKLFTWGDGDKYRLGHGDKEPQLQPMCVSALIEYDFHKIACGHSLTVGLTTSGYVFTMGSAVYGQLGNPYSDGKLPCLVEDKLLGECVEEISCGAYHIAVLTSKNEVYTWGKGANGRLGHGDIEDRKSPTLVEALKDRNVKYIACGSHYTAAICTHKWVSSAEQSQCSACRQTFGFTRKRHNCYNCGLVHCHSCTSRKVIRAALAPNPNKPYRVCDSCFTKLIKVLEAGVSNRKNAGPRLSGENKDRLDKAELHLAKSGMPSNLDLIKQLDNKAAKQVKKADTSLGRSSQALYSLQLKDDAFSTASDLRRALPKPVLTSSAVSSRSVSPFSRKPSPPRSVTPTPARAGQSFSKSIVDSLKKTNELLSQEVLKLRAQVEDLTCRCELQELDLQKSTRKAQEATVLATEESAKCKAAKEVIKSLTAQVREMAEKLPPGTYDAESIKLAYLSNGLEPNGVPYPTTERHSRSDSVTSSTFASRTSLDTSFNGMRSSSDLDIDSGINETIPFNDLRAATPRGKDDQSDVRLHSGSAMQSFSNCASENITSRESDPSQNNGSISCSQVHGVMTSNGRDNLSDVRSNNGSAMQPFSNGTSEAVTNREYGSSQNNRSGLESQHSTASTDSSQIVAEWIEQYEPGVYITLVALRDGTRELKRVRFSRRRFGEQQAEIWWSNNHRKVYERYNARESEKSSVTSQATR >itb01g08040.t1 pep chromosome:ASM357664v1:1:6381326:6383448:-1 gene:itb01g08040 transcript:itb01g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNFQRHDDELKEEEYVLMDLDSVSDQISIPPNAPYVLSGLDTLNPILTIDGKIKLIGEYVETIGTCLVFGETDAPPVVHEETGPSDANLFSGKCIADPKQASAKRVNPITQLQKIIKFKLLREGENDDENVKANDDENVKATGT >itb03g15510.t4 pep chromosome:ASM357664v1:3:14833346:14837669:-1 gene:itb03g15510 transcript:itb03g15510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIANCQRLLPTSQLKSELPITSIVSRRSSGFAPSLRVPTLQLKCRSSLQIPITRSPYCPPSLDKEEAKFIEASKTSNLIPLHKCIFSDHLTPVLAYRCLVDEDDRETPSFLFESVEPGSRSSTVGRYSVVGAQPTMEILAKENKVTIMDHDSGKLTEKIVEDPMTVARSISEEWKPQLTGDLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPQDDRNLPDIHLGLYDNVIVFDHVDKKAFIIHWVRLDSYSSASKAYREGKKQLEALVSKVQDVDPPRLSSASVDFNTREFGPSLAKGNMTSGEYKNAVLKAKEHILAGDIFQIVLSQRFERKTFADPFEVYRALRIVNPSPYMTYMQARGCILVASSPEILTRVKKNRVVNRPLAGTAKRGKTPEEDVMLEMLLLNDEKERAEHTMLVDLGRNDVGKVSKSGSVNVESLMNIERYSHVMHISSTVSTSSSLIIKKLIELCIHNSYFT >itb03g15510.t2 pep chromosome:ASM357664v1:3:14833346:14837669:-1 gene:itb03g15510 transcript:itb03g15510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIANCQRLLPTSQLKSELPITSIVSRRSSGFAPSLRVPTLQLKCRSSLQIPITRSPYCPPSLDKEEAKFIEASKTSNLIPLHKCIFSDHLTPVLAYRCLVDEDDRETPSFLFESVEPGSRSSTVGRYSVVGAQPTMEILAKENKVTIMDHDSGKLTEKIVEDPMTVARSISEEWKPQLTGDLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPQDDRNLPDIHLGLYDNVIVFDHVDKKAFIIHWVRLDSYSSASKAYREGKKQLEALVSKVQDVDPPRLSSASVDFNTREFGPSLAKGNMTSGEYKNAVLKAKEHILAGDIFQIVLSQRFERKTFADPFEVYRALRIVNPSPYMTYMQARGCILVASSPEILTRVKKNRVVNRPLAGTAKRGKTPEEDVMLEMLLLNDEKERAEHTMLVDLGRNDVGKVSKSGSVNVESLMNIERYSHVMHISSTVTGELLDHLTCWDALRAALPVGTVSGAPKVKAMELIDQLEATRRGPYSGGFGGISFVGDMDIALALRTIVFLNDGMNDTLSSRRQEWVAHLQSGAGIVADSNPDSEQKECENKVAGLSRAIDLAESAFVKTKRKPQPPPAVHIYHPPRKQLQKTPSMSSLEVTI >itb03g15510.t3 pep chromosome:ASM357664v1:3:14833358:14837656:-1 gene:itb03g15510 transcript:itb03g15510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIANCQRLLPTSQLKSELPITSIVSRRSSGFAPSLRVPTLQLKCRSSLQIPITRSPYCPPSLDKEEAKFIEASKTSNLIPLHKCIFSDHLTPVLAYRCLVDEDDRETPSFLFESVEPGSRSSTVGRYSVVGAQPTMEILAKENKVTIMDHDSGKLTEKIVEDPMTVARSISEEWKPQLTGDLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPQDDRNLPDIHLGLYDNVIVFDHVDKKAFIIHWVRLDSYSSASKAYREGKKQLEALVSKVQDVDPPRLSSASVDFNTREFGPSLAKGNMTSGEYKNAVLKAKEHILAGDIFQIVLSQRFERKTFADPFEVYRALRIVNPSPYMTYMQARGCILVASSPEILTRVKKNRVVNRPLAGTAKRGKTPEEDVMLEMLLLNDEKERAEHTMLVDLGRNDVGKVCFHFHYKEMKISLWLSLLVAG >itb03g15510.t1 pep chromosome:ASM357664v1:3:14833346:14837669:-1 gene:itb03g15510 transcript:itb03g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIANCQRLLPTSQLKSELPITSIVSRRSSGFAPSLRVPTLQLKCRSSLQIPITRSPYCPPSLDKEEAKFIEASKTSNLIPLHKCIFSDHLTPVLAYRCLVDEDDRETPSFLFESVEPGSRSSTVGRYSVVGAQPTMEILAKENKVTIMDHDSGKLTEKIVEDPMTVARSISEEWKPQLTGDLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPQDDRNLPDIHLGLYDNVIVFDHVDKKAFIIHWVRLDSYSSASKAYREGKKQLEALVSKVQDVDPPRLSSASVDFNTREFGPSLAKGNMTSGEYKNAVLKAKEHILAGDIFQIVLSQRFERKTFADPFEVYRALRIVNPSPYMTYMQARGCILVASSPEILTRVKKNRVVNRPLAGTAKRGKTPEEDVMLEMLLLNDEKERAEHTMLVDLGRNDVGKVSKSGSVNVESLMNIERYSHVMHISSTVTGELLDHLTCWDALRAALPVGTVSGAPKVSTSPLFPVSNFLISLHCYDA >itb03g15510.t5 pep chromosome:ASM357664v1:3:14833346:14837669:-1 gene:itb03g15510 transcript:itb03g15510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIANCQRLLPTSQLKSELPITSIVSRRSSGFAPSLRVPTLQLKCRSSLQIPITRSPYCPPSLDKEEAKFIEASKTSNLIPLHKCIFSDHLTPVLAYRCLVDEDDRETPSFLFESVEPGSRSSTVGRYSVVGAQPTMEILAKENKVTIMDHDSGKLTEKIVEDPMTVARSISEEWKPQLTGDLPDAFCGGWVGYFSYDTVRYVEKKKLPFASAPQDDRNLPDIHLGLYDNVIVFDHVDKKAFIIHWVRLDSYSSASKAYREGKKQLEALVSKVQDVDPPRLSSASVDFNTREFGPSLAKGNMTSGEYKNAVLKAKEHILAGDIFQIVLSQRFERKTFADPFEVYRALRIVNPSPYMTYMQARGCILVASSPEILTRVKKNRVVNRPLAGTAKRGKTPEEDVMLEMLLLNDEKERAEHTMLVDLGRNDVGKVCFHFHYKEMKISLWLSLLVAG >itb11g17320.t1 pep chromosome:ASM357664v1:11:17096593:17097869:-1 gene:itb11g17320 transcript:itb11g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSQSSRSDDDGILQLRRGPWTEEKDGLLIHYIALHGQGRWNLLAKSAGLRRSGKSCRLRWLNYLKPDVKRGNLRPEEQLLILELHLKWGNRWSKIAEHLPGRTDNEIKNYWRTRVQKQAKHLKLDSNSAAFQQLIRNFWVPTLLHKIHGSIRPPLLTTTYEDNSSHQPLTNSNTTNESTYFSPLPPLHANAHSAYYGMEAFSPPLFTGPEDYFIDYGQVGEGNTSIYG >itb11g18400.t1 pep chromosome:ASM357664v1:11:18697616:18704693:-1 gene:itb11g18400 transcript:itb11g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFKIFFLTITLLYSPQPSSATTAPKIFKKLYAFGDSITDTGNTNSSTGPIFFTHVSNPPYGRTFFHRPTNRYSDGRLVVDFVAQALSLPLLPPYLDSKADRSYGINFAIAGCTAINYRFFERNNITLDITPKSLATQVRWFNSYLESVGCRDYKSTRKQCGEVFDDSLFWVGAIGINDYSYIFGSSVTSQTIQQLSINRTTGFLQTLLKRGAKYVVVQGLHLAGCTTFNLYLSDEGDRDAMGCVATVNNQSHAHNAALQTRLAALRKQFPEATIVYADYWNAYKSILADHNTHGFTEPFKACCGSATEDLHFDIFTTCGSPGATSCDDPSKYINWDGGHLTEAMYKVVADKLLNGTFSHPPFSYLLRKKISSGH >itb01g04060.t1 pep chromosome:ASM357664v1:1:2699276:2699731:-1 gene:itb01g04060 transcript:itb01g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKVILRSSDGEIFEVEETVALEMQMIKYMIDDDCVDTTIPIANVTGKILAKVIEYCKSHAEVTKTSQDDLKDFDANFVKVDHNTLLDLIIAANFLNVKSLLDLTCQAAANVIEKMTVEEVRRFFNIQSDFTPEEEAEIRKESAWAFE >itb01g04760.t1 pep chromosome:ASM357664v1:1:3240813:3242741:1 gene:itb01g04760 transcript:itb01g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDVCCLCLKPFIDPLCCQKGHVFCKECILECILAQKKDIQRKSAAHAAQQKQENEEAEERLMLQKAREIDAFDQQNHGAVPQYNDKSYSVDKNGFHGANSVKATSYEEEALRTMKAFWLPSATPEAPVKVDAPSTSTVCPEGKEKLKLKNLFSIHFTEDTSEQKKTSSLDKSYICPSCKVTLTNTLALVAINTCGHVFCKKCANKFVVADKVCLVCDRPCKDRNVVNLEKGGTGFAAHGDNLEAKDFKHLGSGSGLGLVRPAMKT >itb06g14420.t1 pep chromosome:ASM357664v1:6:18982900:18986441:1 gene:itb06g14420 transcript:itb06g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGDNKDNVVVPKMKLERMLSMKGGKGEGSYANNSQAQAQHARSMLHLLKETLDLVQLTATDDVPFVIADLGCSCGGNTIYIVDVIVKHIVERYEASGEEAPEFSAFFSDLPSNDFNTLFQLLPPMANNGGGSMEECLASTTHRSYFAAGVPGSFYRRLFPKKSVDVFYSAFSLHWLSQVPEIVVESRSTAYNKGRIFIHGAKESTANAYKKQFQSDLAGFLKARSVEMKSGGSMFLVCLGRTSVDPTDQGGAGLLFGTHYQDAWDDLVQEGLITSEKRDNFNIPVYAPSLQDFKEVVEADGSFHINKLQVFRGGSPLVVSHPEDAAEVGRALANSCRSVSGVLVDAHIGEQLSEELFSRVARRATSHAKELLEQLQFFHIVASLSHACR >itb06g21690.t1 pep chromosome:ASM357664v1:6:24269518:24271962:1 gene:itb06g21690 transcript:itb06g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWQKLALVVVSTGVLVSVLIIIIKNSCRSRKSSDLLEGVGGVHPVSLHHLDKNGVKKTDYFVCRRGPPPPKSVFSWADHPLLVTGAVENGWSEFGFAVCAPPPRFGRRMEVEISWEVSQGSPEFMQKIQFRRDSGNSKKIKSAPLMDDALAMVKTGLPLPGPPLGNSSFPQDAYFEITILPFDDHELMGKMKEDHHRSEGEKIKLISNQFNAKTSNSLSLVHVAGSHLQEIGGEGKETKRSDFVALSIGLTAAAVPFKLPGNYPGSIGFNSNASVFLDGMELVHDSETEEWGKPEKVIGCGYNPAQKKVFFTVDSQLVYEIHCKSEEFGSPLYPIIAANADINVLVNIGQSPFKYAPANLQRTPNPCFISHLPTNSPLLGYEDSKELFSMGRIDSEWLNAKSNTTTVNSLKQLEYDLESEGDLFEIILDGSGKSPRATLDSGQPRPS >itb04g11490.t1 pep chromosome:ASM357664v1:4:11118267:11122519:1 gene:itb04g11490 transcript:itb04g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPCSPTPQSLRNYKLSLLDILAGTFYNPFVFFYHSCAGGPRRHDYDELKDSLMKTLSVWYPLAGRIKDGSTIECNDEGADFVRANVTNCDLGEFLRHPKLEDIRQLLPLDPYPNAIDPAQPMLAVQLNRFRCGGTAVAFCIWHGLADGGAMTGLFNTWASINRGEKPINPGSLIVDASAIFRPGNLVRPPPMPLSLKNRGKYSSKRFVFGKRDIERLRNDYYHPSEHRRRPSRVEALSAFIWAAPTPPPFPLPVSSVAIQRRCPPALPPWSPSSPVPSSSAAVELYHRPDEDGMNATYVVLELGNVADDD >itb12g10080.t1 pep chromosome:ASM357664v1:12:8050816:8052556:1 gene:itb12g10080 transcript:itb12g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADLSGWYRFEMERSAMEIDDDGCTTPKNSECRIPEATVCPPAPRKKPIRRRRNKPKPKKNNGSSSFFNPPDLDSAFIPPARLMR >itb09g08360.t1 pep chromosome:ASM357664v1:9:5007768:5009753:-1 gene:itb09g08360 transcript:itb09g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGIAVFLFSTLTSCYCKGCLDFVFSNDTVYASCTVLPVLSSVLHWNYHRENHTADIAFRHGAVTSSDWVAWALNVNGSGMIGAQAMVAFSDSNGSVQAYTAPVSWFNTRLHPGPLSFRVPKISANFSGDEIVIFAALELPAGRTRFNHVWQDGYVAWSAPRMHAMYGDNLLSSGSVDFAVSGESSPAAEIDAGGPSDPWLRRKRVHGILNGLSWGVMMPIGAMTARYVRVFKSIDPAWFYLHVGIQTSAYLIGAAGWITGLKLGHDSPGITHRQHRNLGITLFCLGTLQVLAVIFRPKPEHKYRFYWNVYHHTIGYTTILLSILNVFEGLHILEGPKTFYTVVIIVLLAVAVTMEGVTWRIVLNRRSDDDDETNQSDINGHAA >itb12g01100.t1 pep chromosome:ASM357664v1:12:767038:775303:1 gene:itb12g01100 transcript:itb12g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEEHKGEEGDIEMGASYDSLGNSKAPTQPKMAPMSPGALAPLQMRKPRYRECLRNHAVGIGGHAVDGCGEFLAAGEDGSVDALKCAACNCHRNFHRKETETAAAAALVHFGYHHPHPLPYHLPPPQHHRPLALPSTSGGAYREETMDDMNSGGASKKRFRTKFSQEQKDKMFELAEKLGWRIQKHDEAAVQQLCNDYGVRRHVFKKRTSLPSSSSSRLAARPREGERERRSCTLPSPPLPVRHRRRNQFSPPAAPSTLSPEMNTIAQAPPFTLAASQFAGCGGGYGSGGSSPSRWQRGSWNEGGAMTVALVPDLEDGHGVGLAAAAMAGCSSVSLSPSGGRAARRDEEEDGNCPPPCTVGKQGNAAAWGSVTEGGRHTGSRGRRGDTPKTELATAIPSIFSRFDSATKLALKCSRKSLSSGAGSHPLKSTTSFQEQQLRATITDGGSYELEQ >itb07g11000.t1 pep chromosome:ASM357664v1:7:12400351:12401861:1 gene:itb07g11000 transcript:itb07g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLIKTTVADASRDLISSLPVEVKHRILECLPTQDAARTALLSTHWNDVWLQHGRLAFDCNFSYRVKQGYFKDKGRTLAGIIDNILLSRAGPAKKFTLDIGNDYRQSDFNRWCLFLSKNGVEELHITFYNGGEAGFKLPFCILSCRTIKQLRVEFPIIDLPGAMSGWAMGEL >itb02g05500.t1 pep chromosome:ASM357664v1:2:3318493:3324550:-1 gene:itb02g05500 transcript:itb02g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPPSKRVKIHSGKLGDLSNGMSLREPANCSLAFQGEDEVVGSKGVVKKVEFVRIIAEALYSLGYKKTAEQLEEESGIRLHSAVVNLFMQQILDGKWDESVATLHRIGIVDETIIKLVSFLIFEQKFFEHLDGDDVMGALKTLRTDIAPLCIKSDRVRELSSCITSPPPKALVGISSLGTVRVKSRSKLLEELQRLLPPTVMIPEKRLVHLVEQALDLQLDGCRFHNSFVGEMSLLTDHQCGRDQIPSRTLQILQEHKDEVWFLQFSYDGKYLASSSADCSVIIWEVKQDGQVCLKHRLNGHQKPVSYVSWSPDNNQILTLGMEEVVRRWDVASGECVHVYEKSGLGLISCGWASDCNRIFTGVNDKSIVMWDVNGKELECWKGHTDKISDIGITTDGKQIVSLGKDNMIVVFALESKTEKYIVEDKDITSFVLSRDDKSVLVSLFNQELHVWNIDGSIKCIAKYKGHKRCRYVVRSCFGGLDQAFIASGSEDSQVYIWHRGSGELVGMLRGHSGTVNCVSWNPVNPHMLASASDDRTIRIWGLEQVNMKKPKPNGVVSNGVHYCNGGT >itb02g05500.t3 pep chromosome:ASM357664v1:2:3318493:3324550:-1 gene:itb02g05500 transcript:itb02g05500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPPSKRVKIHSGKLGDLSNGMSLREPANCSLAFQGEDEVVGSKGVVKKVEFVRIIAEALYSLGYKKTAEQLEEESGIRLHSAVVNLFMQQILDGKWDESVATLHRIGIVDETIIKLVSFLIFEQKFFEHLDGDDVMGALKTLRTDIAPLCIKSDRVRELSSCITSPPPKALVGISSLGTVRVKSRSKLLEELQRLLPPTVMIPEKRLVHLVEQALDLQLDGCRFHNSFVGEMSLLTDHQCGRDQIPSRTLQILQEHKDEVWFLQFSYDGKYLASSSADCSVIIWEVKQDGQVCLKHRLNGHQKPVSYVSWSPDNNQILTLGMEEVVRRWDVASGECVHVYEKSGLGLISCGWASDCNRIFTGVNDKSIVMWDVNGKELECWKGHTDKISDIGITTDGKQIVSLGKDNMIVVFALESKTEKYIVEDKDITSFVLSRDDKSVLVSLFNQELHVWNIDGSIKCIAKYKGHKRCRYVVRSCFGGLDQAFIASGSEDSQVYIWHRGSGELVGMLRGHSGTVNCVSWNPVNPHMLASASDDRTIRIWGLEQVNMKKPKPNGVVSNGVHYCNGGT >itb02g05500.t4 pep chromosome:ASM357664v1:2:3318495:3324539:-1 gene:itb02g05500 transcript:itb02g05500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREPANCSLAFQGEDEVVGSKGVVKKVEFVRIIAEALYSLGYKKTAEQLEEESGIRLHSAVVNLFMQQILDGKWDESVATLHRIGIVDETIIKLVSFLIFEQKFFEHLDGDDVMGALKTLRTDIAPLCIKSDRVRELSSCITSPPPKALVGISSLGTVRVKSRSKLLEELQRLLPPTVMIPEKRLVHLVEQALDLQLDGCRFHNSFVGEMSLLTDHQCGRDQIPSRTLQILQEHKDEVWFLQFSYDGKYLASSSADCSVIIWEVKQDGQVCLKHRLNGHQKPVSYVSWSPDNNQILTLGMEEVVRRWDVASGECVHVYEKSGLGLISCGWASDCNRIFTGVNDKSIVMWDVNGKELECWKGHTDKISDIGITTDGKQIVSLGKDNMIVVFALESKTEKYIVEDKDITSFVLSRDDKSVLVSLFNQELHVWNIDGSIKCIAKYKGHKRCRYVVRSCFGGLDQAFIASGSEDSQVYIWHRGSGELVGMLRGHSGTVNCVSWNPVNPHMLASASDDRTIRIWGLEQVNMKKPKPNGVVSNGVHYCNGGT >itb02g05500.t2 pep chromosome:ASM357664v1:2:3318493:3324550:-1 gene:itb02g05500 transcript:itb02g05500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPPSKRVKIHSGKLGDLSNGMSLREPANCSLAFQGEDEVVGSKGVVKKVEFVRIIAEALYSLGYKKTAEQLEEESGIRLHSAVVNLFMQQILDGKWDESVATLHRIGIVDETIIKLVSFLIFEQKFFEHLDGDDVMGALKTLRTDIAPLCIKSDRVRELSSCITSPPPKALVGISSLGTVRVKSRSKLLEELQRLLPPTVMIPEKRLVHLVEQALDLQLDGCRFHNSFVGEMSLLTDHQCGRDQIPSRTLQILQEHKDEVWFLQFSYDGKYLASSSADCSVIIWEVKQDGQVCLKHRLNGHQKPVSYVSWSPDNNQILTLGMEEVVRRWDVASGECVHVYEKSGLGLISCGWASDCNRIFTGVNDKSIVMWDVNGKELECWKGHTDKISDIGITTDGKQIVSLGKDNMIVVFALESKTEKYIVEDKDITSFVLSRDDKSVLVSLFNQELHVWNIDGSIKCIAKYKGHKRCRYVVRSCFGGLDQAFIASGSEDSQVYIWHRGSGELVGMLRGHSGTVNCVSWNPVNPHMLASASDDRTIRIWGLEQVNMKKPKPNGVVSNGVHYCNGGT >itb12g22240.t1 pep chromosome:ASM357664v1:12:24369439:24384543:1 gene:itb12g22240 transcript:itb12g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPSTSAAAASSSSQYTYSNGTYFPTPFHLQQQPSQPYIGAAPPPAQLPAPPAVPTVYPPPAPLPGVYSLPQYQQAQQLFQRDAQTITPEALENVKAALASSDIEHKVEAKKKALPRKAAGQSWEDPTLADWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPLDLAAALKEMNGKYVGNRPIKLRKSKWQDRIDNDALERQKNHSQKKGKMPRKSVLHKVFLPLDSSDSEDLLGFALFVSNSRSLAGNQRRRLNWSCGGVKSGFVMDVDFDGDVANLDAELLQLPEVSPLAIKANPYIAEKLFDQWLSLPDTVSLVKSLVNNAKGGGPLNVSGTSSSSSAATSNSLPSMFPAGSTPPLSPRSSSGSPRTMKHRAGPSQLGSPLKLVNEPVKEMIPQFYFQNGPPPPTELKERCLFRINQFFYGHMDGIQMHEFKLITKEICKLPSFFSTALFRKIDVDCSGIVTRDAFADYWVNGNMLTKDIATQVFTILKQPDLKYLTQSTPEFQERYAETVIYRIFYYANRSGNGRLTLRELKRSDLISAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMISPEEESYVTLRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPNLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >itb04g09740.t1 pep chromosome:ASM357664v1:4:9007675:9010440:1 gene:itb04g09740 transcript:itb04g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHQIGFISGTPLEVRTESVTREAPAKAAKTAAVSISPLWRRTAGEARNLRISVQNLAAKAVLRGGIPADLAVEREDHKMGSRSRGVPVFVMMPLDSVKMDHTMNRKKAMNVSLQALKSAGVEGIMVDVWWGLVEKDSPREYNWAGYSELLEMAKKHGLKVQAVMSFHQCGGNVGDSCNIPLPRWVTEEINKDQDLAYTDQWGRRNYEYVSLSVDTLPVLKGRTPVQCYSDFMVAFRDEFHHLLGETIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCFDKYMVRSLKGAAEAAGHPEWGHSGPTDAGEYNSWPEDTNFFRREGGGWNSPYGEFFLSWYSQMLLDHGERILQPAKSTFEGYKDVKISVKVAGIHWHYGTRAHAPELTAGYYNTRSRDGYLPIAQMLVRHGTIFNFTCVEMRDHEQPQHAQCAPEKLVKQVVLATREARVPLAGENALPRFDGSALEQIVKAAAPKFGDGTKMCAFTYLRMNPELFQARNWIQFVGFVKKMKEGEQRRECEAEHFVHSNESNPPLVQEALGH >itb05g02240.t1 pep chromosome:ASM357664v1:5:1783235:1789129:1 gene:itb05g02240 transcript:itb05g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKWGLGFNDQEKIPNLCSAHRRLPLRSASTTIVRGDNNLPPPPPPDRCRIRQASSPPEATTAGAITATGVDSQIFTTRRGREGDGEPPASSTADACYRTPSLDRLSPSPERETDREGDPSKRLNLTQIPMDEVAEEVEKVKKEFEETSKKAADHINSIQEYGKTRVGLSSATAEEKSSLPRLNGIAQDSLNLLQSLQFKLDLLAPQLPTDDQVQDAQFLAESWKKLIHSLRLNLRNANLQAKANMRKAAQEEDSSCISLHDHFRLFCGGGGGESTVRRRNLQTKAGMTSAAEGITESLRRTRQMMIQEVERSESTLMTFEESTVVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRVILVVGFLLFALAVLYVVSKRIGLLKLQRKVMEAVKSGMAGQVEIVPRAGGDGGANIVQIQQNAVPTLNVPLEQHMHDEL >itb06g25770.t1 pep chromosome:ASM357664v1:6:26670894:26673398:-1 gene:itb06g25770 transcript:itb06g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPEKSQEADVHYRRPRMPGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQHRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVYNVVGAGSATAAAFGLIMPGSLYWRARNVMLGSALGAVVCFPLGWLHLKLVEKANEGKYDAFPQTDDSKEVKSGVGAAIERLEGHLGKKID >itb06g25770.t2 pep chromosome:ASM357664v1:6:26670894:26673398:-1 gene:itb06g25770 transcript:itb06g25770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNPKKQTSIMPRMPGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQHRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVYNVVGAGSATAAAFGLIMPGSLYWRARNVMLGSALGAVVCFPLGWLHLKLVEKANEGKYDAFPQTDDSKEVKSGVGAAIERLEGHLGKKID >itb06g25770.t3 pep chromosome:ASM357664v1:6:26671879:26673398:-1 gene:itb06g25770 transcript:itb06g25770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPEKSQEADVHYRRPRMPGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQHRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVYNVVGAGSATAAAFGLIMPGSLYWRARNVMLGSALGAVVCFPLGNNSGHTCFIISISCLFF >itb09g24490.t1 pep chromosome:ASM357664v1:9:24161876:24163239:-1 gene:itb09g24490 transcript:itb09g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPENSISPRKLRDDLYMYTYQQDSNTPLVISVLASLMERILARNERIAKSFTNWGLPNPKDARTRVFEWHETPDMSIQSYLERIFRYTRAGPSVYVVSYVYIDRFSHLYPDFRITAKNVHRLLITTIMLASKYVEDMSYRNAYFARVGGLTTKEMNRLEIEFLFLMGFKMHVNLSVFHSYCRHLEREVSIGGGYQIERTLRCAEQIKSKQTHHNTRYADTTHRLLL >itb11g10380.t1 pep chromosome:ASM357664v1:11:7298395:7307008:1 gene:itb11g10380 transcript:itb11g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEGHTHNVSAVCFHPELPIIITSAVCFHPELPIIITGSEDGTVRIWHSTTYRVVIGYDEGTIMVKMGREVPIASMDNSGKIIWAKHNEIQTVNIKSVGSDYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALVVCGDGEYIIYTALAWRNRSFGSALEFIWSSDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSADRIYGGTLLAMCSNDFICFYDWADCRLIRRINVNVKRDVVSAHFDSGRSVDDQGIEDAFELLYEISERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEEIALVAHSESKWKQLGELAMSSGMLDLAEQCLKQANDLSGLLLLYSSLGDAEGITELASLSKEHGKNNVSFLCLFMLGKVEECIQLLVDSNRLPEAAFMARSYLPSKVSEIVEIWKKDLNKINQKAAEALADPKEYPNLFEDWQVALAVESSVAETRGSLPPASEYVNQADRSTINLVADQNGDEVQDDAQEETVLVDADSVDGAVLINGNGTEEQWGMNNEGEELA >itb11g12210.t5 pep chromosome:ASM357664v1:11:9081564:9090616:1 gene:itb11g12210 transcript:itb11g12210.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MANADDGKPDEVVKPDETDEVDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVGFLVI >itb11g12210.t4 pep chromosome:ASM357664v1:11:9081350:9090616:1 gene:itb11g12210 transcript:itb11g12210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSVEDTHMANADDGKPDEVVKPDETDEVDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVGFLVI >itb11g12210.t3 pep chromosome:ASM357664v1:11:9081337:9090616:1 gene:itb11g12210 transcript:itb11g12210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSEDTHMANADDGKPDEVVKPDETDEVDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVNNLICVEKFSDFSQLGRFTLRTEGKTVAVGKVTALPTISDAA >itb11g12210.t2 pep chromosome:ASM357664v1:11:9081564:9090580:1 gene:itb11g12210 transcript:itb11g12210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANADDGKPDEVVKPDETDEVDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVNNLICVEKFSDFSQLGRFTLRTEGKTVAVGKVTALPTISDAA >itb11g12210.t1 pep chromosome:ASM357664v1:11:9081350:9090767:1 gene:itb11g12210 transcript:itb11g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSVEDTHMANADDGKPDEVVKPDETDEVDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVNNLICVEKFSDFSQLGRFTLRTEGKTVAVGKVTALPTISDAA >itb11g12210.t9 pep chromosome:ASM357664v1:11:9084938:9090580:1 gene:itb11g12210 transcript:itb11g12210.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVNNLICVEKFSDFSQLGRFTLRTEGKTVAVGKVTALPTISDAA >itb11g12210.t8 pep chromosome:ASM357664v1:11:9081341:9090616:1 gene:itb11g12210 transcript:itb11g12210.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVGFLVI >itb11g12210.t7 pep chromosome:ASM357664v1:11:9081337:9090616:1 gene:itb11g12210 transcript:itb11g12210.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSEDTHMANADDGKPDEVVKPDETDEVDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVGFLVI >itb11g12210.t6 pep chromosome:ASM357664v1:11:9081341:9090767:1 gene:itb11g12210 transcript:itb11g12210.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSDVKNEPQANSEPMHIEHKGITEEKEKKNPAIDDANDEEEINKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKSVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAIFIDEDRVRQAGPGENLRVRVSGVEEEDILSGFVLCSVVKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVDLMQQIDPKTKKPMKKKPLFVKNGAFVVCRLQVNNLICVEKFSDFSQLGRFTLRTEGKTVAVGKVTALPTISDAA >itb04g24150.t1 pep chromosome:ASM357664v1:4:28914045:28922007:-1 gene:itb04g24150 transcript:itb04g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGERDGRKGLSVGREMEELELEEGEACYYDNNNGCDDDSIIDPDIALSYIDDKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPAIDLRNPPEVHNNNRPQSPNTTHFEGGRQNSLVSSRSSATSTNSLLVPATRVATANPEINICIPPTRAEESTSICKTLKKPADPPDQKSLKVRIRVGSENLSTTTNAELYSGLGLDVSPSSSLDNSPSDSEGLSHDLQDAPDESPTSILQIMTSFPMHGSLLLSPLSDDLIHLMEKDWPFGKSGSKPVHKTSSETSDSTRGSRKVLSEKKRKSYEKDVIGNGYSSDMQNYVGVPSKEIDVNTLACEELVSKALKLPLLSNSFSDVPYPASHSKSIDNLATNIQGMVKEESFDHTAKEDLELVSATENDGMEKSNGIISSSGGVLESEKTNHFDCGVGFPRKEGNKSREQSDVSANTEHNKGRKTHNIDASGVSKPSIGPKFTSKNEAGVRLAKEQVSSGGKKKHKGTQIHGAHGGKVLNDGLVTDSSLGHKIKKSSSRDTNTSRSDSKGFKNHFKARDAYAELFGNLEAEEEDDKIGSEKTHSLELLKDSDAIYENNKVECNDTMKVRSNASKVEKPCALTEHPRLGSNMKTVTGTELNPSHAPIAEGPVLKEDWVMCDKCQTWRLLPLGTDPRSLPKKWLCKMLHWLPGLNRCGISEEETTKALRALYQFPTSAIAVSASETRTNNQHQYPDRKLLGVASVDAFHPGLENRTLGIQVADASGKKKYGSKDPTDECKQAGLVQCSSKINNFQETSNNIGLNDSIQSPSGDNGHKKYSRQSSTSVKEKQRNKLKERKRLLGSISSDGGAKNAKMKNKPETNLDNSRASKKVKRDGLYHDDEHWSTAGENAGHSSSNGMAPIASMKDRHKYKFKDSKTSDKSPLIHNPVSSSDGSLHAGKCNSKDSSKRRRKGNGYHHSEANSRSFPGLGCNSQDSVGLLEETCENGQRKEKRARVSKSEVKDSSGNKANEGKDERVRNKDQQIGQYLDCTQSQQTIDAMDSSKRHLGTLQPSMAATSSSSKVSGSQRNKSNLQELRASPVESVSSSPLRIPTADKFTSTRRNDCQDAGLLSMLTPRRSSDGENDGGSDQSGMIKKGETLNAGHNGSLDCQEADLGHISCVKVSRGTAPSPVIAKHDENVAVEPLPQCDQYDSKTQNLERGQDKGRRNDGLHGNSGIVVKKSGKGSSSKPKDKNRSYRSDFDRSTVNEPLDQMPSSGEKLKPGQNKFHERTVNHSDSNSVKDPTERLLNESTKDEIHSKLSDNNGRDVRIGIISGLDKGQALLPNQDDGRLTKKPVSDKTNGVPTSGRGKSLLPLPARSQNETAACLQSIPGSQKEATNLSVDDFEDNASRDKHGKKGQSQSRDLPSTRHSTAMLTKVRDLDAPSPVRKDSSNQAASNAVKEATNLKHMADRLKNSGSSDSTGLYFEAALKFLTGAALFESCNNDSAKHNEMTQSGQIYSSTAKLCEFCAHEFERSKDMAAAALAYKCMEVAYMRVIYSSHSNANRYRNELQAALQIFPPGESPSSSASDVDNLNNPSAVDKGGLAKGVGSPQVTGAHVMTTRNRSNFSRLLIFAQEVSFAMEASRRSRAAFAAANSKTGDDKCKEGILSVKRALDFNFQDVEGLLRLVRIAMDKIKPDPGGTNVTLAETTNVAKLSVRLLILLTSSSSPTAVWQASRVESEVKS >itb06g17290.t1 pep chromosome:ASM357664v1:6:21168296:21172438:1 gene:itb06g17290 transcript:itb06g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPFQEASRCDVCKCSFGTFRRRHHCRCCGRTLCAEHSSNQMALPQFAIHSPVRVCAECFNDAPRFMGTDAAASSDEVNHITDSISRLDVSGVPCTENDQNEKKQFPVPSKSECKCGMPLCICETEVETVPGTATSSTVTAQTNPKPKKVNTAPKSRGSASNNKQGTVFNLGGQVTSTSSGPTSGDYEVSGEGMREAIKNGDTAAAKRLLSQGVDANYRDKQGSSLLHLATVFNQTEIAFALMESGASLNYKNPQGETPLDCAPATLQYKMKKKMEETVQCG >itb12g19060.t1 pep chromosome:ASM357664v1:12:21354586:21365465:-1 gene:itb12g19060 transcript:itb12g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESFSGGVRAGASAEEAEVQKLDGVSSAGGIKRPFDKWTSMASRKDESCAVNSSAIASWNNVTENELNRNVGQQSSLRGKEAFEGHNFGSTDANLARTAGVEARLMLKPRKMGRNTSGSSKGLRMLQVDGSISKTGEDDARSVSIELSSNLASCNNGERTQMSKQRINSSGKRGDKRNGKVPKGNFSLKNGLISFSSAAGGHNFLGLYGLKSDALDVAETVEDIPLNELLDGSYKSPLFTKDKEKKTLNSNESLLHVIRNACSLLQRPVQARSCSDDDDKITEPKHTGSSSAIETDGSKGDASASNVSSDDKETGGELKTAETSDDPPLYKPMDILKRIALPPSKDLDALLLDATKPASSRNTPDPRLGKPASQRTGLVPFPWSHGFSGNHKSGPDAAKLSTSRTTCQAKWARMKNTSTPQEGCPSFAVDLQSLTYDHSLVPSGSQPSGHPESGNQILHSSGGQNMGPTESGNQSLVPSGGQESCPSDKGNAPLIPDSSTSCKRVFSSLAACSTSQVSSDEPSPRCLTAARTLCEIASQSVKHNTNGAAKCIKKPTQKSSRAPKLKLNEKSEKPFAAPKVMGSDNMIRVSDGTFPSKKPKLSLGTERNGIVPRNFDRKGPPHWSAPRSVRSSPSKFFKDATAETKGHNSSFVDKLYMMPPPARVKDKGSSSQQKPRKVLSGEWNHSSSKME >itb01g06230.t1 pep chromosome:ASM357664v1:1:4425683:4429772:-1 gene:itb01g06230 transcript:itb01g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKYSQLCLILLLIIATFSCQISCYYHSDFSILKRGAEEEVVEIFQEWKKKNGKVYRSDEESERRLENFKNNFKYVMMEKNILGNSEGTTVGLNRFADMSNEEFKNVYSSKIKIPFNKRKAIDEMKGLQKKRGHCEAPPSSLDWRKRGAVTHVKDQGDCGSCWAFSATGAMEGVNALVTGDLISLSEQELISCDPSNYGCEGGYMDNAFEWVMHNGGIDSSSDYPYTGRDGKCNLKKVKDEIAVTIDGYEDVAEQETALLCAVAKQPVSVGIQGSSLDFQLYTGGIYDGHCSDNPNDIDHAVVIVGYGSKGGKDYWIIKNSWGTAWGMEGYAFIRRNTNRRYGVCAINALASYPTKEPSAAPSPKPSPAAPPPPPPHRPPPPPPPPPRPPPPPTPTFCGAGLVCPPDNTCCCLFEFFGVCFIQGCCGYKNGVCCGGSAYCCPSDYPICHVYEGLCLKKRGDHVGVAAKKRGMAKPNLPWNGLEFAAAAMR >itb13g01750.t1 pep chromosome:ASM357664v1:13:1578891:1579238:1 gene:itb13g01750 transcript:itb13g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLLGEGEVLPVREENVSSILGFPWGEGVITMQDRYAKSKLLTAWRKMFEKHRHDVSPAELAQLIREDLDGGLWFKRHFMVLLISSLISCMGNGYSNQIVFHHLDYGETSFLVI >itb04g15910.t1 pep chromosome:ASM357664v1:4:17147017:17147868:-1 gene:itb04g15910 transcript:itb04g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKCDHSWHEGIVKYRVVVREMDSTCDAPLLISDKECYDLVGVSASSLIEKYAEVRYVLLTKLYVLFFF >itb15g07410.t1 pep chromosome:ASM357664v1:15:5075203:5080660:1 gene:itb15g07410 transcript:itb15g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALLIVAIVVCVIVFLINIYLLVNYQHPDDANQAYFPKFVVVFGLSVAAISILMLPADVANRQACRHSIYNGACNLTLPMKELWLAIYIVNAVLVFFIIPFAMFFYEGDQDKSLGKRIKSSLCWVVVTAIVCALLLGILYGLVGKVDFTVRHLSSGTTAFTNTFSFSSSEPCIGNGLHQCSAYSASPSSEKTWTMRATFPEYVVALATIVGSVLFMIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELAKKARELKKAADALHQEERNGKKGRKWRKNVKAVEKELLLMEDDVKALEEMYPQGEKAETSWALTVLGYLAKLVLGVLGLIVSIAWIAHIVIYLLIDPPLSPFLNWVFIKLDDVWGLLGTAAFAFFCFYLLLAVMAGAMMLGLKLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIGFIILAGLTFVYYSIFGWRRKKPTGRFQLSS >itb01g14600.t2 pep chromosome:ASM357664v1:1:16694035:16695565:1 gene:itb01g14600 transcript:itb01g14600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSRHRRRRSLLKNVGRKVDIYRFPTQTPSPPRPLLRLRRFSRCLFLVAVDVVACFSSPVPYLLQLSREYQHKRGLRLQKMDYQRRRRELKLLMKRVKQL >itb01g14600.t1 pep chromosome:ASM357664v1:1:16694035:16695565:1 gene:itb01g14600 transcript:itb01g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSRHRRRRSLLKNVGRKVDIYRFPTQTPSPPRPLLRLRRFSRCLFLVAVDVVACFSSPVPYLLQLSREYQHKRGLRLQKMDYQRRRRELKLLMKRVKQL >itb04g10380.t1 pep chromosome:ASM357664v1:4:9757312:9758843:1 gene:itb04g10380 transcript:itb04g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSSSPGPGRRLTEKNRRQQMRDLYCRLASLVSRENTLEKTPASDLLGEATNFIKQLEENVNELKARKDSLQLPVLIIGVNESERGESLEINIVCGSENKKLKMHKVFCILKEEGAEIVSAYNSTVGLKIYHTILCKV >itb03g17890.t1 pep chromosome:ASM357664v1:3:16306938:16309442:-1 gene:itb03g17890 transcript:itb03g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESWLLIFLMAGIFHMVIRLIPRGFLLSQSSLRQCHTDWMRDDQLEKSATLFRPKLIVAGASAYARLYDYAHIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEVMYDYEDKINQAVFPGLQGGPHNHTITALAVALKQAMTPEYKAYQEQVLSNCSKFAKSLLERGYDLVSGGTDNHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEDDFAKLAEFFDAAVKLALEIKAKTQGTKLKDFVTAMNSGSSTQSEIAKLRSEVEDYAKQFPTIGFEKETMKYKH >itb15g18990.t1 pep chromosome:ASM357664v1:15:20935190:20941484:1 gene:itb15g18990 transcript:itb15g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCKGSILFTLLTIFLLFPLSRGEEANPEQISRFSYFHTPIISGESYSDKGAIFRERIRVLAEGPNGEPPLNSSLILASKRTYRKDPLNHFERYTGGWNISNRHYWASVGFTAVPFFLVGLIWFAVFGLCLLFICLCYCFCRREPYGYSRIAYAVSLILLILFTVAAIVGCIILYYGQGKFHSSTVNTLDYVVHQANTTADNLRSVSGYLAAAKQIEVDKFLLPGNVKTDIDHILTKINSSASELADKTSENKDDIDKLIESVRLALIVLSAVMLLMAFLGFLFSIFGMQYLVYILVVVGWILITANFILCGIFLLLHNVTGDSCVAMDQWVQNPTAHTALDDILPCVDNATSQETLKKSKEVTHQLSDVVNQVITTVSNNNFPPNAGIYYYNQSGPLLPVLCNPYNPNLSDRACNPGEVHLSNATKVWSTSVCQVSPSDICITTGRLTPKIYGQMAAAVNVSFGLYHYGQFLVDLQDCDFVRVTFNEIYTTHCPGLRRYSQWVYVGLVIMAVAVMLSLTFWVIYGRERQHRIYTKEHTPPKDGF >itb01g01460.t1 pep chromosome:ASM357664v1:1:842550:845743:-1 gene:itb01g01460 transcript:itb01g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSNFKQWQGANMNTPQCYHVSSYQVQLAKPGDIAFKSSSYLSSCFSDPSSNLCNPRSPVRHRYQKQLLGEELSGLNVKDLTNLENQLETSLKGIRKKKEKVLSDEIEELNRKGNLICQENKELYKKVNLIRQENIELQKKATAALDGTLAKVCSTPTKPAAKRYFYEFWLSLRWKTKVNQV >itb12g24420.t3 pep chromosome:ASM357664v1:12:25944682:25946964:-1 gene:itb12g24420 transcript:itb12g24420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRGNRNECDLMMGIMWVIVIVGSGVIGVEGSIHEYGNEAFIPRFNSFFFHGGSEGLYASKVQQEHDPPKPSPEDANKPLNGKSFIRFESITFRRTKEAANTQNEMQHSTGMVEAIILDVKDRDRIGGYYLNSDAICCTPNLAKDGLCKVGEVIIRQDPDNPGWPKRIQTSFEGKNEEAYMMLQNIEINKTGMYYLYFMFCNPELKGTLISGKTVWRNPEGYLPGKMAPLMTFYGLMSLAYLILGLVWFLRFSRYWRDIIQLHYHITAVIGLGMCEMALWYFEYVNFNSTGSRPMGITIWAVTFSAIKKTVSRLLLLVVAMGYGVVRPTLGGITSKVLLLGVVYFLASEALELVEHLGNINDFSGKARLFLVLPVALLDSCFIVWIFSSLSKTLEKLQVMISYGPLPCHVLKSCTLVNGSS >itb12g24420.t1 pep chromosome:ASM357664v1:12:25942186:25946964:-1 gene:itb12g24420 transcript:itb12g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRGNRNECDLMMGIMWVIVIVGSGVIGVEGSIHEYGNEAFIPRFNSFFFHGGSEGLYASKVQQEHDPPKPSPEDANKPLNGKSFIRFESITFRRTKEAANTQNEMQHSTGMVEAIILDVKDRDRIGGYYLNSDAICCTPNLAKDGLCKVGEVIIRQDPDNPGWPKRIQTSFEGKNEEAYMMLQNIEINKTGMYYLYFMFCNPELKGTLISGKTVWRNPEGYLPGKMAPLMTFYGLMSLAYLILGLVWFLRFSRYWRDIIQLHYHITAVIGLGMCEMALWYFEYVNFNSTGSRPMGITIWAVTFSAIKKTVSRLLLLVVAMGYGVVRPTLGGITSKVLLLGVVYFLASEALELVEHLGNINDFSGKARLFLVLPVALLDSCFIVWIFSSLSKTLEKLQVRRSLAKLELYRRFTNALAISVLLSVAWIGYELYFNASDPLSELWRRAWIIPAFWILLAYLLLVMICVLWDPSYNPTRYTYSEETGDDEEEALSLTASGVKKVAGDFASKLERKERKASMSTEHVFGLVEDIEEDKRE >itb12g24420.t2 pep chromosome:ASM357664v1:12:25944371:25946964:-1 gene:itb12g24420 transcript:itb12g24420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRGNRNECDLMMGIMWVIVIVGSGVIGVEGSIHEYGNEAFIPRFNSFFFHGGSEGLYASKVQQEHDPPKPSPEDANKPLNGKSFIRFESITFRRTKEAANTQNEMQHSTGMVEAIILDVKDRDRIGGYYLNSDAICCTPNLAKDGLCKVGEVIIRQDPDNPGWPKRIQTSFEGKNEEAYMMLQNIEINKTGMYYLYFMFCNPELKGTLISGKTVWRNPEGYLPGKMAPLMTFYGLMSLAYLILGLVWFLRFSRYWRDIIQLHYHITAVIGLGMCEMALWYFEYVNFNSTGSRPMGITIWAVTFSAIKKTVSRLLLLVVAMGYGVVRPTLGGITSKVLLLGVVYFLASEALELVEHLGNINDFSGKARLFLVLPVALLDSCFIVWIFSSLSKTLEKLQVRRSLAKLELYRRFTNALAISVLLSVAWIGYEVFFPPFSSVSLLRLMKILQTKNNDMLCKCSIYAQ >itb05g26890.t1 pep chromosome:ASM357664v1:5:30546679:30547421:1 gene:itb05g26890 transcript:itb05g26890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGHLLHLYNSSRASMIKRLTAQFPVSVKRYAGNQGVEERAPSTEDEFRRAAEEKKRQGFASQTLEKAEDGAGEAAEKESDLGSVKESYKDAPPGRGKFHKSGDPIPPENVP >itb13g12380.t1 pep chromosome:ASM357664v1:13:18535662:18536117:-1 gene:itb13g12380 transcript:itb13g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFSESSQAKVAREGKVEFKFDMKPDNSNMEEYRKLCRERTNKSMVKNRQIQVIANDCGVNMRPMPGMFGMIASSSKVLRISF >itb06g07530.t3 pep chromosome:ASM357664v1:6:11070320:11076756:1 gene:itb06g07530 transcript:itb06g07530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRFFLGLDLLKSASEGGESILKSLWHHSDALMCCSLKALTVFSFANEAGLDMLETTLVALQDITLEKVFDDNGRKTLFSELPQIMQQGFTCLQGGICLSSMGRPVSYERAVAWKVLNEEENPHSICFMFINWSFV >itb06g07530.t1 pep chromosome:ASM357664v1:6:11069607:11076756:1 gene:itb06g07530 transcript:itb06g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRFFLGLDLLKSASEGGESILKSLWHHSDALMCCSLKALTVFSFANEAGLDMLETTLVALQDITLEKVFDDNGRKTLFSELPQIMQQGFTCLQGGICLSSMGRPVSYERAVAWKVLNEEENPHSICFMFINWSFV >itb06g07530.t7 pep chromosome:ASM357664v1:6:11069607:11076717:1 gene:itb06g07530 transcript:itb06g07530.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRCLALNKHRSTKSSNYDSV >itb06g07530.t6 pep chromosome:ASM357664v1:6:11069653:11076756:1 gene:itb06g07530 transcript:itb06g07530.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRFFLGLDLLKSASEGGESILKSLWHHSDALMCCSLKALTVFSFANEAGLDMLETTLVALQDITLEKVFDDNGRKTLFSELPQIMQQVCNTTAFLLIYAYIHHPLRCNSSNYTLRVV >itb06g07530.t5 pep chromosome:ASM357664v1:6:11070332:11076717:1 gene:itb06g07530 transcript:itb06g07530.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRCLALNKHRSTKSSNYDSV >itb06g07530.t2 pep chromosome:ASM357664v1:6:11069607:11076717:1 gene:itb06g07530 transcript:itb06g07530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRFFLGLDLLKSASEGGESILKSLWHHSDALMCCSLKALTVFSFANEAGLDMLETTLVALQDITLEKVFDDNGRKTLFSELPQIMQQGFTCLQGGICLSSMGRPVSYERAVAWKVLNEEENPHSICFMFINWSFV >itb06g07530.t4 pep chromosome:ASM357664v1:6:11070320:11076756:1 gene:itb06g07530 transcript:itb06g07530.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDSGKMGLDNGKYVRYTPEQIEALERLYHECPKPSSLRRQQLIRECPLLANIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHTQNAALTTTDNSCESVVTSGQNNLTPQRPPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCVGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNAMSTGNGGTVELLYMQLYAPTTLAPARDFWLLRYTSVMEDGSLVICERSLNNTQNGPSMPPVQHFVRAEMMPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESSTLLSQRTTLAALRHLRQISQEISQPTVTGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMIESDGIDDVTIMVNSSTAKLMGANLPYANGLPPMSGAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAVAVKAGPCSLPVARTGSFGGQVILPLAQTIEHEEFMEVVRLENMGHFREDMLTAGDIFLLQLCSGVDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSRTDASSPNRTLDLASTLEVGTAGTRQTGDQSKQCSTSKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVVLALSPSQLSPHAGLRPPPGTPEAHTLARWICQSYRFFLGLDLLKSASEGGESILKSLWHHSDALMCCSLKALTVFSFANEAGLDMLETTLVALQDITLEKVFDDNGRKTLFSELPQIMQQVCNTTAFLLIYAYIHHPLRCNSSNYTLRVV >itb07g24610.t1 pep chromosome:ASM357664v1:7:28842801:28854740:-1 gene:itb07g24610 transcript:itb07g24610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFGIERELSHLQKLRSLYQPELPPCLQGTTVRVEFGDATTAADPSCAHIISRSFPHTYGQPLAHFLRATANVQDAQIITDHPSIRVGIVFCGRQSPGGHNVIWGLLEALKIHNPDSILLGFLGGSEGLFAQKTLQITDDVLATYKNQGGYDMLGRTKDQIRTTEQVNAALAACKALKLDGLIIVGGVTSNSDAAYLAETFAQAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKTSHVALECTLQSHPNMVILAEEVAASKLTLFDITKQACDAVQARAEQDKYHGVILLPEGLIESIPEVYALLKEIHVLLREGVSADNISSQLSPWASALFEFLPPFIRRELLLYPESDDSAQLSQIETEKLLAFLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHVLAAGLNGYMATLTNLKNPVNKWRCGAAPITAMMSVRRFGHGPGAESIGKPALHPAAVDLKGKAYKVLRQNAGKFLMDDVYRNPGPLQFDGPGADTKAVSLCVEDQDYMGRIKQLQEYLEKVRTIVKPGCSQDVLKAALSAMSSVTEILSVLSCSGNAPF >itb07g24610.t2 pep chromosome:ASM357664v1:7:28842801:28853862:-1 gene:itb07g24610 transcript:itb07g24610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTKDQIRTTEQVNAALAACKALKLDGLIIVGGVTSNSDAAYLAETFAQAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKTSHVALECTLQSHPNMVILAEEVAASKLTLFDITKQACDAVQARAEQDKYHGVILLPEGLIESIPEVYALLKEIHVLLREGVSADNISSQLSPWASALFEFLPPFIRRELLLYPESDDSAQLSQIETEKLLAFLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHVLAAGLNGYMATLTNLKNPVNKWRCGAAPITAMMSVRRFGHGPGAESIGKPALHPAAVDLKGKAYKVLRQNAGKFLMDDVYRNPGPLQFDGPGADTKAVSLCVEDQDYMGRIKQLQEYLEKVRTIVKPGCSQDVLKAALSAMSSVTEILSVLSCSGNAPF >itb03g23590.t1 pep chromosome:ASM357664v1:3:21811643:21813899:1 gene:itb03g23590 transcript:itb03g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILYNVLPNSIYHPQLARSIPGMFLFSDRSSEMGKGYFLNLPILVLFLTLGYGYGYGYDPNECLPRKCGNNGPQISFPFRLKHIQPPECGYPGFDLLCTHKGETALEIPFPLLNATLQLPFPVKFFIEDIDYEYQEIVISRVDGCLPQLLPILNLSASPFSFEGLYDFSIFSCSTHRNITASIYIQSIACLTKPGHFVYAVQSSQYLYTVTELISCTKMFDISVPYYFIEQDNNEFRLSWTGPRCRLKNNSTADDVDDCYGKSPLRKLKVAGEVLGSFLVVVIVLVTYRIYSSNKMKKEDQVKIERFLEDYKALKPARYSYADIKKITDRFSEKLGEGSYGTVYKGKLSDDVFVAVKVLNNSKGDGDGEEFVNEMSTIGSIHHINVVRLVGYCADGFHRALVYEYLVNNSLEKFVFSPNDTNFLGWEKSQLIALGIAKGVEYLHQGCDQRILHFDIKPRNILLDQNFNPKISDFGQAKLCSKEKSIVSMTAARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKKSDISESPETSQVQDYFPEWIYNILNRGEEVEIQIEKEEDSKIAKKLTIVGLWCIQWYPVDRPSIKIVIQMLEAEEPPSMPPNPFTSTDPSKPKANKPGKLFTSGLEIISELE >itb09g02580.t1 pep chromosome:ASM357664v1:9:1476277:1477866:1 gene:itb09g02580 transcript:itb09g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLHLFPGFVAGGKQSFAKPSAPSFSATFGNRRTVRMLTVVASSEQATETKKQAGRGIMKPRRVSPEMQAFLGGVSEIPRTQVLKEIWAYIKENNLQDPANKKVIVCDEKLKKIFAGKDRIGFLEIAGLISPHFLK >itb14g02690.t1 pep chromosome:ASM357664v1:14:2315748:2318164:-1 gene:itb14g02690 transcript:itb14g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MGLYMPLFRACTTSRALTQLHARLIVTGLHKEPQASTKLIDSYARTGSLETSRIIFGSFPEPDSFMWGVLIKNHVWNCCFQDAISLYDAMLFHLPQMGDYTFPPVLRACAAIGDLGIGQKVHGRIVKSGFESDPIVETALLNMYGELGCILYARKLFDGMPIRDVVSWGSIISCYVHNEQPSEGLELLRGMAKEEIEIDSVTLLSAAEACGELGLWRLAKSVHAYVLRKNIQSDVTLNTSLISMYGKCGDTGSAEALFRSAVIRSIYTWTAMISSFNQNGCYREALGVFVDMQLQDSTVEPNEVTLMSALCACARSGWLKEGKSIHGFLIRNNIDADNDILRSALVDLYSNCGRLRDCLKVFDPAQDRHIVSWNMLISSYAREGMCEEALILFTQMLIKGIIPDSFTLGSVINACGDIGFIQLGSQMHCHVIKAGYSENEFVQNSLIDMYSKSGLVDSAYAIFEEIPDRGVVAWTSMMCGFHQNGKSEEAIALFSKMYSDSLEMNEVTYLTAIQACSNLGYLEKGKWIHHKLITFGVREDMYIGTALTDMYAKCGELQMARQVFDSMTEKSEISWSAMIGGYGLHGHIDAAISLFTDMVDSGIRPNDVIFMNILSACSHAGYVNEGKSYFNAMSDFGIEPKSEHFACLVDLLSRAGDVEEAYKVINSMPFPVDASVWASLINGCRIHHRTDIIASVQEKLVDIHTDDTGYYTLLSNVYAERGEWSECRTVRSKMQSAGLSKVHGYSMVVVDKRIHHMPGG >itb14g02690.t2 pep chromosome:ASM357664v1:14:2315748:2317044:-1 gene:itb14g02690 transcript:itb14g02690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MLISSYAREGMCEEALILFTQMLIKGIIPDSFTLGSVINACGDIGFIQLGSQMHCHVIKAGYSENEFVQNSLIDMYSKSGLVDSAYAIFEEIPDRGVVAWTSMMCGFHQNGKSEEAIALFSKMYSDSLEMNEVTYLTAIQACSNLGYLEKGKWIHHKLITFGVREDMYIGTALTDMYAKCGELQMARQVFDSMTEKSEISWSAMIGGYGLHGHIDAAISLFTDMVDSGIRPNDVIFMNILSACSHAGYVNEGKSYFNAMSDFGIEPKSEHFACLVDLLSRAGDVEEAYKVINSMPFPVDASVWASLINGCRIHHRTDIIASVQEKLVDIHTDDTGYYTLLSNVYAERGEWSECRTVRSKMQSAGLSKVHGYSMVVVDKRIHHMPGG >itb14g02690.t3 pep chromosome:ASM357664v1:14:2315882:2316517:-1 gene:itb14g02690 transcript:itb14g02690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MYAKCGELQMARQVFDSMTEKSEISWSAMIGGYGLHGHIDAAISLFTDMVDSGIRPNDVIFMNILSACSHAGYVNEGKSYFNAMSDFGIEPKSEHFACLVDLLSRAGDVEEAYKVINSMPFPVDASVWASLINGCRIHHRTDIIASVQEKLVDIHTDDTGYYTLLSNVYAERGEWSECRTVRSKMQSAGLSKVHGYSMVVVDKRIHHMPGG >itb06g19200.t1 pep chromosome:ASM357664v1:6:22605099:22608392:-1 gene:itb06g19200 transcript:itb06g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCPPFEFSAQYYYASGNTCVRQSSFFGDKVALNQGVGYSVILGFGAFFAVFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGISGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVKARWGTSAHLVFLCFCFLTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVVVYTLAGGLKATFLASYIHSVIVHVVLVVFVYLVYVGSSELGSPSVVYRHLLEVASKSRSCQYPLSHVDQSCGPVSGNFKGSYVTMLSSGGLVFGIINIIGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITASEASHGLVPPATAIALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDATGKQILKVSRAVVLGFGCFMGILAVILNKAGVSLGWMYLAMGVFIGSAVIPIAFMLLWQKANAFGAILGTTVGCFLGIITWLSVTKVEYGRVNLDTTGRNAPMLAGNLVSILTGGAVHAVCSFLRPQNYDWETTKQITVVEKERTELPSAEFKEEKLVSAKRWIIKWGIGFTLVIVVLWPALTLPAGQFSKGYFTFWAVIVIAWGTIASAVIIALPLLESWKTIRSVILGMFTNDRLMEKVEDLNSKLQAIISAIPEAERIYLLEKEKDKRKEASERDQISPA >itb15g04620.t3 pep chromosome:ASM357664v1:15:2953494:2955799:-1 gene:itb15g04620 transcript:itb15g04620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKLKGQKRKKKAEKYDREEEIEQSSEEETAETSKKAKTEDTVADEEEAEKVVDLLAGIPVVSAEQSKKPGIIFILERASLEIAKIGKTYQLLNSDEHANFLKRNNRNPAEYRPDIAHQAMLMILDSRLNKAGRLKALYVRTEKGVLFEVKPHVRIPRTFKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTQYLPIDCRKIGNH >itb15g04620.t4 pep chromosome:ASM357664v1:15:2953494:2955799:-1 gene:itb15g04620 transcript:itb15g04620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKLKGQKRKKKAEKYDREEEIEQSSEEETAETSKKAKTEDTVADEEEAEKVVDLLAGIPVVSAEQSKKPGIIFILERASLEIAKIGKTYQLLNSDEHANFLKRNNRNPAEYRPDIAHQAMLMILDSRLNKAGRLKALYVRTEKGVLFEVKPHVRIPRTFKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTQYLPIDCRKIGNH >itb15g04620.t2 pep chromosome:ASM357664v1:15:2952343:2955807:-1 gene:itb15g04620 transcript:itb15g04620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKLKGQKRKKKAEKYDREEEIEQSSEEETAETSKKAKTEDTVADEEEAEKVVDLLAGIPVVSAEQSKKPGIIFILERASLEIAKIGKTYQLLNSDEHANFLKRNNRNPAEYRPDIAHQAMLMILDSRLNKAGRLKALYVRTEKGVLFEVKPHVRIPRTFKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTQYLPIDCRKIGFSHSSEKLVDIHDYVANVDSDTNLVFVVGAMAHGKIDKDYVEDYISISDYPLSAAYCISMITNALERKWKML >itb15g04620.t1 pep chromosome:ASM357664v1:15:2952343:2955807:-1 gene:itb15g04620 transcript:itb15g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKLKGQKRKKKAEKYDREEEIEQSSEEETAETSKKAKTEDTVADEEEAEKVVDLLAGIPVVSAEQSKKPGIIFILERASLEIAKIGKTYQLLNSDEHANFLKRNNRNPAEYRPDIAHQAMLMILDSRLNKAGRLKALYVRTEKGVLFEVKPHVRIPRTFKRFSGIMLQLLQKLNITAVGKREKLLRVIKNPVTQYLPIDCRKIGFSHSSEKLVDIHDYVANVDSDTNLVFVVGAMAHGKIDKDYVEDYISISDYPLSAAYCISMITNALERKWKML >itb03g05160.t1 pep chromosome:ASM357664v1:3:3519870:3526914:-1 gene:itb03g05160 transcript:itb03g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETAKKTSGLIDAVFSWSFDDVINKNLYKDKVKEIPDTFLSTYHYLSSFIYPLLEETRADLYSNMTGTLFSAPACEVLALKVSKDFKFPKDFYYSIRLKPTREGSGGGSNKQVYEPEVGDLIAFSDVRPKRIEDLNRPKMSYLIAVVQGRGDDDHDHDDDDWFPILSSKPIMTFQKKEGGKGMEGDEVFVVYLTSLTTNIRLWKSLNMDLESPNLNMIKTVWQMNWNDDRNCAFCTREETEGTILLNARAAIHSFGLDDSQEMAALSCVKARQCVHRNTVNLIWGPPGTGKTNTAASLLYILFNMHCRTLTCAPTNNAVIGVAKRLLELVTGRLRYNSYGLGDIVVFGNGERMKIEDHEGLWDVFLEYRVRGLAKCLSPVVGWQAGLVQMISLLEEPEGSYQKYLLFESIKEKNEESSDEEQEPESSTGELNQDLKKTRINKHWKKFIIQSLKWKKKKRVKEESPQKINGGKARIWTFEEFVLNKYKSLADRLEFCMTTLYTHLPTSYIPLEAVKNMVRALEVFQTLGALLKTVSETHGLREGLKGTEVSSLSCSTLQTTKSECVATLELLRCSFWLPNFLEKNQIRSFCLKNAVLIFSTASSSSKLLTEETPIEVVVIDEASQLKECESTIPLQLPGLRHAMLFGDEKQLTAMVQSKICEKANFGRSLFERLARLGQKKHLLNIQYRMHPSICLFPNRKFYEEKIMNGPNVTNLSYEKRFLKGAMFGPYSFINISKGKEELDHKFSFKNMAEASAVAEIIAMLYRESLVSKQRARVGCISPYKAQVSAIQKQIGKKYSTDAGCEFSVNVRSVDGFQGGEEDVIILSTVRSNGMGIVGFLSNLQRTNVALTRARYCLWVLGNGVTLINSNSVWRDLVLDSKERGCYYDACNDKNLEKAIADASGELTTKLSARIPSDKPWEFSATRPSDKPSMSSATSPSDKTSMLSAIIPNDTPSKFSATSPSDKPSMLSATALNDKPTMISVTSPSDKPSMISATTPNDKPTMFSVASPSDKSSMLSATTPNDKPTKFPAGSPSDKFIHFSKTFWGEIQENSVDTQYKKTRQSGNFLHSPHTQKQSYQANPRMANPKKVLLLCGDYVEDYEVMVPFQALLAFGVAVDAVCPGKKAGDICRTGIHQLGAHQTYSESRGHNFSLNATFDEVEAGKYDGLVIPGGRAPEYLANDKSVLELVKKFVSCKKQIASICHGQLILAAADVVNGRKCTAFPLVKPVLIDAGAHWVEPETMASCVVDGNLITGATYEGHPEFIRLFVKALGGNITGSGKRILFLCGDFMEDYEVAVPFQSLQALECQVDSVCPKKKAGEKCPTAVHDFEGDQTYSEKPGHDFVLNSSFEDVSASSYDGLVIPGGRAPEYLALDNEVIKLVKEFMESKKPVASICHGQQILAAAGVLKGKKCTAYPAVKLNVVLGGATWLEPEPIDRCFTDGNLVTGAAWPGHPEFISQFMALLGVRVVF >itb10g25380.t1 pep chromosome:ASM357664v1:10:28734377:28737496:-1 gene:itb10g25380 transcript:itb10g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRECVEKLELLKTPEERQRRLDEIPEIHADPNMDPSYESDDDDSVTDENRRDTYMRSRDSSFSRRGREPISPRSNYSSKDPWGSGGKTPTRSWELDRNLSSKNTLVRAEDSTHNSEMVNENSWGLGRDRDQEYKSLEKVNSTTNSETIGRKGNSLSRPEMASGVASMTSTVTPTAKSSDTGIKINETEKIWHYKDPSGKIQGPFSMVQLRKWNNTGYFPANLRIWRSTEKQDDSILLTDALAGRFLKESSVTEHRNLAGGVLQNREAERLNLDQNRASQNSPSGLVSSRGGMISGEMSRVPKEKWDGNDSSNLPSPTPKQGNAGWSGDAVPVTAATSYPVDNGISEPSRLVLPASVSSLLASAVNASGHSLMRSENVSSNSGAGFSPMTNTANPQSSQLTAVSEPPPMMVHSQHASEPPPMIVHNQHATATQDANVHPVQSINNIQNPNLDPHAFGVGQTLKAEPSISVPTPAQPQGYGNWGGITSAVQNLAASFSNTGPLAMPQSEFWRAQNQTNQPNIQLPSVPSLPWGAGIPQNSPAPANLNAVWGTMQQGNPNMGWSGPAPGTMNMNWGAPIQTMAPPNPGWVMPPTGPMPGNMNPGWVAPTAGNPGVQGMPPGNPNPGWVAPTVSGPRDNGSQGGDTGFNDGRPWNRQSSFGSGGHGGGPRSGLPKSQMPCPFNKNGRCRKGARCDYLHIQ >itb07g18970.t1 pep chromosome:ASM357664v1:7:23411206:23416325:1 gene:itb07g18970 transcript:itb07g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPWCQTFLAFILKFLNFLQAFAGVSITVYSFYMLNQWQRRRAAPPAAPSPQFPAAQLVNLVGVSDEIATLGFSFSGLAGDLNFNFNSLPAPWFIYAFMGVGVLLCCITCIGHIGAEAINTCCLCCYSLLITVLLLLEVSLVAFILLDHHWEKDLPTDPTGELASLCNFIEDNIDVCKWVGIVVIIIQALSLLLAIILRALANQRVDIDIEGGSDVREGSSLAPCLNRTSGLTQGGSRSGHSDIWSLRLREKYGLNNSGSSYNGQNKDISVASSSQ >itb06g05250.t2 pep chromosome:ASM357664v1:6:7995119:7997449:1 gene:itb06g05250 transcript:itb06g05250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRTDEDYDWLFKVVLIGDSGVGKSNLRSRFTQNKFSLEPKKTVGVEFATHIVRVDDAKVKAQIWDTTGQERYRAIVPAYYRGAVGALLVYDVTRHVTFENVESWLVELRDFTDNIVVLLVGNKADLHHLRAVSTEDAKAFAEKEGTYFMETSALESLNVENAFTEVLTQIRRKALEVGDNNYDYLFKMVLIGDSGVGKSNLLSRFTRNEFRLMSKSSTIGMKFATRTLRFDDKVVKAEIWDTASQERYNSLALLCCLMR >itb06g05250.t1 pep chromosome:ASM357664v1:6:7995119:7999184:1 gene:itb06g05250 transcript:itb06g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRTDEDYDWLFKVVLIGDSGVGKSNLRSRFTQNKFSLEPKKTVGVEFATHIVRVDDAKVKAQIWDTTGQERYRAIVPAYYRGAVGALLVYDVTRHVTFENVESWLVELRDFTDNIVVLLVGNKADLHHLRAVSTEDAKAFAEKEGTYFMETSALESLNVENAFTEVLTQIRRKALEVGDNNYDYLFKMVLIGDSGVGKSNLLSRFTRNEFRLMSKSSTIGMKFATRTLRFDDKVVKAEIWDTASQERNDLDFQMNYSGIASACYRVPIGALVVYDVTRHATFENVEGWLKELRDQSDQNIFIMLVGNKADLHHLRAISTEDAKAFAEKEGIFFMETSALESLNVENAFTELLTQILRKVVEVGG >itb01g26740.t1 pep chromosome:ASM357664v1:1:31828115:31829798:1 gene:itb01g26740 transcript:itb01g26740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSIDDTESRSPECHGRSFFRDPSKLKEERVEEEIIIPDSPAKNMLQNQDNNKNLTKKRQVFLEGYVENSDDDELGRTKSLTDDDLEELKGCLDLGFGFNYEEIPELCNTLPALELCYSMSQKYLLDDQHKTPPDSSVSADSPSSASSPIANWKISSPGDDPEDVKARLKYWAQAVVCTVRLCSLTKKDE >itb02g15710.t2 pep chromosome:ASM357664v1:2:11445337:11449121:-1 gene:itb02g15710 transcript:itb02g15710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVPVLVDGDIVISDSFAILMYLEEKYPQNPLLPKDPKLKAINFQAANIVSANIQPLQNTAILKYIEDKVGPNEKVPWGQTHIKKGFAALEKLLKSYAGKYAIGDEIYLADLFLVPQIHAAINIFNVDMNEYPLLSRIYEACKEVPAIQNAMPEKQPDTPAEARA >itb02g15710.t1 pep chromosome:ASM357664v1:2:11445337:11449346:-1 gene:itb02g15710 transcript:itb02g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGGRESTKLQLYSDWHSTCSCRVRIALNLKGLDYEYKAVNLIKGEQFSPELLKLNPMGYVPVLVDGDIVISDSFAILMYLEEKYPQNPLLPKDPKLKAINFQAANIVSANIQPLQNTAILKYIEDKVGPNEKVPWGQTHIKKGFAALEKLLKSYAGKYAIGDEIYLADLFLVPQIHAAINIFNVDMNEYPLLSRIYEACKEVPAIQNAMPEKQPDTPAEARA >itb03g01910.t1 pep chromosome:ASM357664v1:3:1073294:1073731:1 gene:itb03g01910 transcript:itb03g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWWDCTAREGPRGFDSLVSRTTSNMCPLASQVTGKVTGNTDTFRSLLSPRPATWTDILGLVKPRRLSLLPISNALAFFGSCLAVMQWITWRLLLLKRGKQSLLLDTVGDCVSSTYAFHDIIRVGFDSASPILMPKRVNLVIIL >itb07g10730.t2 pep chromosome:ASM357664v1:7:11884926:11891140:-1 gene:itb07g10730 transcript:itb07g10730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTNQTPFSRRVPFLSNGRLKLEVRAAGEGAESLDSGSVYREEFNWSSVILPFIFPALGGLLFGYDIGATSGATLSLQSPEFSGTTWFNLSAVQLGLVVSGSLYGALFGSLLVYPLADFLGRRKELIIAAILYALGGALTASASGLTVLLIGRLIYGLGIGLAMHGAPLYIAETCPTQIRGTLISLKELAIVLGILMGYFVGSYEINSVGGWRVMFGFSAPIAVLMGLGMLSLPPSPRWLLLRAVQGKGPLQEYKEKAISALSKLRGRPAGDKVSEKQIEDTLVSLKTAYTDEETEGSFLEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAASDATRVSVIIGIFKSLMTGIAVLKVDDLGRRPLLIGGVSGIAVSLFLLSAYYKFLDGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNALVTFAFSPLKELLGAENLFLLFGVIALLSVVFVVTSVPETKGLSLEEIESKFLK >itb07g10730.t1 pep chromosome:ASM357664v1:7:11884909:11891140:-1 gene:itb07g10730 transcript:itb07g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASLQLGLYPRFPASNPPAFHHSKKSQSVVSNSCSDSAKRPTDPRSRSIFMKPTNQTPFSRRVPFLSNGRLKLEVRAAGEGAESLDSGSVYREEFNWSSVILPFIFPALGGLLFGYDIGATSGATLSLQSPEFSGTTWFNLSAVQLGLVVSGSLYGALFGSLLVYPLADFLGRRKELIIAAILYALGGALTASASGLTVLLIGRLIYGLGIGLAMHGAPLYIAETCPTQIRGTLISLKELAIVLGILMGYFVGSYEINSVGGWRVMFGFSAPIAVLMGLGMLSLPPSPRWLLLRAVQGKGPLQEYKEKAISALSKLRGRPAGDKVSEKQIEDTLVSLKTAYTDEETEGSFLEVFQGPSLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAASDATRVSVIIGIFKSLMTGIAVLKVDDLGRRPLLIGGVSGIAVSLFLLSAYYKFLDGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNALVTFAFSPLKELLGAENLFLLFGVIALLSVVFVVTSVPETKGLSLEEIESKFLK >itb14g12410.t1 pep chromosome:ASM357664v1:14:14051748:14053442:1 gene:itb14g12410 transcript:itb14g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVFLYISSLLLTAMISGVKSCPPSDRAALLAFKNGFHEFKVGVFKTWEGENCCKGWYGVSCDPEIHRVADINLRGESEDPILANKTGFMTGSISPEICKLERLSSLTIADWKGISGSIPECITSLPYLRIIDLIGNKLSGRLPADIGRLSRLTVLNVADNALNGRIPRSIANLTDLKHLDLRNNRFSGGIPRTFGRLRMLSRALLSGNRFIGPVPNSVSYIYRLADLDLSMNRLSGPIPVSLGKMPVLATLNLDGNNFSGSIPPQLLSSGISILNLSHNAIEGHIPDAFLPKSYFMVMDLSYNKLTGNIPSSIKTASYIGHLDVSHNHLCGPIPSGPPFDRLEASSFVFNDCLCGKPLRAC >itb03g08860.t6 pep chromosome:ASM357664v1:3:6699205:6704762:1 gene:itb03g08860 transcript:itb03g08860.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVSFWPNKNYFLVKDCMLMYGNYLGKICVDMRV >itb03g08860.t3 pep chromosome:ASM357664v1:3:6699205:6702883:1 gene:itb03g08860 transcript:itb03g08860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFMKFSF >itb03g08860.t10 pep chromosome:ASM357664v1:3:6699089:6708023:1 gene:itb03g08860 transcript:itb03g08860.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVGCILSDISDIEAENLKTLVWMALRRGLQFVFMGSNSNMAISRLLEYFQEELKDENIRFLNKYDEYLEHLVLAGSDIMLCQSFDDLVLQLKAMKYGSAPVAVNFADSKFRQFMDHDFENTEFLRYINTTYANLSLSQAIDELKNHPLQWNKRIMDAMSKDFSWDAECCDLHISAYDSVMNL >itb03g08860.t5 pep chromosome:ASM357664v1:3:6699180:6707920:1 gene:itb03g08860 transcript:itb03g08860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVGCILSDISDIEAENLKTLVWMALRRGLQFVFMGSNSNMAISRLLEYFQEELKDENIRFLNKYDEYLEHLVLAGSDIMLCQSFDDLVLQVPLKAMKYGSAPVAVNFADSKFRQFMDHDFENTEFLRYINTTYANLSLSQAIDELKNHPLQWNKRIMDAMSKDFSWDAECCDLHISAYDSVMNL >itb03g08860.t2 pep chromosome:ASM357664v1:3:6699089:6708023:1 gene:itb03g08860 transcript:itb03g08860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVGCILSDISDIEAENLKTLVWMALRRGLQFVFMGSNSNMAISRLLEYFQEELKDENIRFLNKYDEYLEHLVLAGSDIMLCQSFDDLVLQVPLKAMKYGSAPVAVNFADSKFRQFMDHDFENTEFLRYINTTYANLSLSQAIDELKNHPLQWNKRIMDAMSKDFSWDAECCDLHISAYDSVMNL >itb03g08860.t4 pep chromosome:ASM357664v1:3:6699089:6702884:1 gene:itb03g08860 transcript:itb03g08860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFMKFSF >itb03g08860.t8 pep chromosome:ASM357664v1:3:6699089:6704765:1 gene:itb03g08860 transcript:itb03g08860.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVSFWPNKNYFLVKDCMLMYGNYLGKICVDMRV >itb03g08860.t7 pep chromosome:ASM357664v1:3:6699089:6704765:1 gene:itb03g08860 transcript:itb03g08860.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVSFWPNKNYFLVKDCMLMYGNYLGKICVDMRV >itb03g08860.t9 pep chromosome:ASM357664v1:3:6699089:6704765:1 gene:itb03g08860 transcript:itb03g08860.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQASFLILIFSCSVA >itb03g08860.t1 pep chromosome:ASM357664v1:3:6699089:6708023:1 gene:itb03g08860 transcript:itb03g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLPSSRVPTLPTISSPNRKSVGASVVRCAGIGKNGESNASSKGQGPFQMQEGSKENLEDTLKISNSEFKEKDSDIWRLFREAQQNILFLNKQRINALEELERVKKEKDSLLDRVEQLEATKLTSTTKDRLSISSELLLRIDSMVLSSTISSNEASKLRRLVMDSRISVVEYFSDIMDKGDTDLLAELRQFSKTSKKTGYHIVHICTEMAPVVSIGPLAQYITGLSCALQRKGNLVEVILPKYACLNLDEVQGLQETGAEFYSYFNGQLHGNRVWTGVVYGIGVILIQPVDHSSFFSHERVYGYNNDFERFAYFSRASLDYIAKSGKQPDVLHIHNWETSIVGPLFWDVFVNQGLGGTRILLTCQSFDSQCIQQPEKLALCGLDPYRLHRPDRLQDNKKGHLVNVLKGGIVYSNKVIVMSSMCSKEQIIRAMDHGLEPTLTLHKDKVFIAPFGFDKSTWDPSVDKFLPQNYSPDNMKGKSVCKVSLQKHLGLEVHVSVILVGCILSDISDIEAENLKTLVWMALRRGLQFVFMGSNSNMAISRLLEYFQEELKDENIRFLNKYDEYLEHLVLAGSDIMLCQSFDDLVLQVPLKAMKYGSAPVAVNFADSKFRQFMDHDFENTEFLRYINTTYANLSLSQAIDELKNHPLQWNKRIMDAMSKDFSWDAECCDLHISAYDSVMNL >itb02g05920.t1 pep chromosome:ASM357664v1:2:3677230:3680400:-1 gene:itb02g05920 transcript:itb02g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVVLSSSSSSALPGTHKRCVESQRMALAQLRRDFIDHPSPSAPFYAPFYDQSTTKVMKWDMGEDCCSWNGVTCDHAGYVTGLDLSSSMLSGHISSIFKLQHLQSLNLARNNFQLSPIPSGFEKLRDLRHLNLSYSCFSDQVPAGISKLTRLVSLDLSTMSFCELPPTFNDPDSDRIFEFEELHRLRLEKPNLESFFRNLRGLKVVYLDYVDLSAQGSNWSQALSSALPNLEVLSLSHCRLNGPIHPSFGGLKSLSYLWIDNNNLSSEVPPLLENFVNLASLNLASCQLYGDFPENIFLLPNLQRIDISTNGLLNGQFPEFPKNSSLQYLALYETNFHGELPKSIGNLQFLESLLIYTCNFSGLLPPSLSNLTRIFELDISYNKFTGFLPPFHSGSVSNLSDLRVSFNLLTGGIHSSVFTLPSVKTLYLNDNKFSGELDEVSDASSSVLETLYLNGNHLSGVVPATIFELPNLIRLSLASNNFSGSVKMEMLQNLKNLTSLDLSSNSLTVETDVKSFDLPQLEELHLRKCNLSEFPIFLKSQVQLRTLNLSDNHIQGYVPDWLGNNILNELDLSHNPVDFLELGNGSFATLGKLVMRACNMFKFPEFLRGLDSLWFLDLSDNKIDGQTPSWIWKNSLQYVNVSHNLLSVIAEFHSNVSLNNLTTLDLRRNLLQGSLPVGLCKLSNLSILDASHNNLSGLIPDCLVRISTLSVLNLQGNKYHQMPSNFASAARLRSLNLNGNRLKGKLPRSLANCRMLEVLDLGNNLISDTFPFWLEKLPALKVLVLRNNSLYGSVEKHPGAKFVLPSLGIIDLSSNRLTGELSIEFLQSLSAMVMVGGNESMPKGESSETEAMFDWKFASAGFGFGVVAGLTIGFTFLADMIVQWLVRDKKKSRKNK >itb02g14400.t1 pep chromosome:ASM357664v1:2:10507233:10508623:1 gene:itb02g14400 transcript:itb02g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSKPVIITLWGNFVMTEGIQIDLQLSQGKFPIVIAQGVHVNAFQGITLSTRYDTTIEVNPPGQHATVLNKWKDNNLSVIYKTIVDKTYLDSFLTLSNALQQPKCTFAEIDNELKQKPVAWVRGKLRMKNVGPLEYYIGCNYCNKTVNSIEGLKLHCLYCGQTDGLTVRRYKLNVEISDGSTIVQATLFNHDVHRLMLLVGIEMPTTVEDSEIFQQKLDTTVEDSEIFQQKLDAIDFDSEIFQQKLDAIDFIVGLRINALNEDHPSTLTYSVACICKDITRDTGEQQATPHACSSNIVEETFTVGNPTKRRLDFDESSKHAIDILEDATTKEKSVSLDKGKRAKVD >itb01g16670.t1 pep chromosome:ASM357664v1:1:21146786:21153697:1 gene:itb01g16670 transcript:itb01g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MACSAGVLSFATPLTPKSRRKSKVRQRPSTSSSLDCTASTSSSSTTSTEQDSSNKFSYSRASPSVRWPHLTFTDTQRFQSPPLTLTPIENDSGFEIEVNDESQEEEDLDGGRTMTRARSRTKAKKMTKLALKRDKDWRKRVQFLTDKILQLKSEEFVADVLDEKLVQMTPTDFCFVVKWVGKSSWQRALEIYEWLNLRHWYSPNARMLATILGVLGKANQQVLAVEIFTRAEPTIGNTVQVYNAMMGVYARNGQFTKVQELLDLMRKRGCDPDLVSFNTLINARLKSGPMTPDLAIELLDNVRSSGLRPDIITYNTLISACARESNLKEAVKVFSDMETHRCQPDLWTYNAMISVFGRCGLASEAEKIFKDLEFKGFYPDAVTYNSLLYAFAKEGNLEKVKETCEEMIKMGFGKDEMTYNTMLHMYGKKGQHDLALQLYREMKSLGRDPDVVTYTILIDSLGKTNKMTEAANVMSEMLNSGIKPTVKTYCALICGYAKAGRQTEAEEMFNCMTRSGIKPDRLAYSVMLDMHLRSNQTKKAMLLYHGMINDGYAPEFSIYESILKVLRKENDEEGIQKVIKDLEELCDLSPEGISSLLVKGECYSLAAKMLKLSITQGYKLDKDNLLSILGAYSSSGNHSEAIELLNFVKEHVEESQKFLSEAMIVMLCKANQLTAALDEYFKSSNYSSHNGSFAVFEYLIKCCEADERFAEASQIFSDMRVSGLELSQDVYRTMANIYVMMGFPETGHYLVNQAETHGIPIDDISIYVGLIEAYGKLKLLEKAEDVVASLKRRCNVVDRKAWNALVQAYAVSGLYEKARAVFNRMMRDGPSPTVDTINSLMQALIVDDRLNELYVVIQELQDMGFKISKSTIILMLDAFAKAGNIFEVKKIYHGMKAAGYFPTMHLYRVMIGLLSRAKRVTDVEGMLSEMEELGFKPDLSVWNSMLKLYTKIEDFKKTVYVYQRIQEAGLKPDAETYNTLIIMYCRDRCPERGFSLMQEMLQQGLNPEMDTYKSLIAAFCKELMVEQAEELFECLKSEGHKLDRSFYHLMMKMYRSSGNSSKAEQLIVKMEESGIQPSTATMHLLMTSYGSSGHPREADEVLNNLKSTGATLSTLPYGSVIDSYLKNGDYNIGVQKLKDMIEEGPEPDHRIWTCFTRAASLCDHISEAKILLTAVADAGFDLPLRLLTEQSESLVLETDQYLEQIASKEDDAAFNFVNALEDLLWAFEQRATASWIFQLAIKKSIYRHDVFRVADKDWGADFRKLSAGAALVGLTLWLDHMQDASLEGFPESPKSIVLITGTAEYNKVSLNSTLKAYLWEMGSPFLPCKTRTGILIAKGHSLRMWLKDSPFCLDLELKNRTTLSETNSMHLIEGCFVRRGLVPAYKDITERLGLVPPKKFSRLALLPDEKRERVITADIEGRKGKLAKSNKTGITRKKKITDFRKKRLLRRTHP >itb15g02220.t1 pep chromosome:ASM357664v1:15:1356586:1359518:-1 gene:itb15g02220 transcript:itb15g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSECHVAVLAFPFGTHAAPLLSLVEKLSAAFPSARFSFFSNHDSNSTLFGDRNPGAGKIKAYDVGDGTVAGEASVIHEEFIMAMPGNYQTAIAEAEAEMGTKFGCFLTDAFLWFGGDLAAERGGVPWIALWTAGACSVSAHLYTDFVRSLVGANPNGNGLEQKLKVIPGMSEVSIGEMPGEILAKDLQASFPGMIYNMALKLPGANAVVLNSFQKLDPTITDDLRSKLQKVFNIGPMILRPPGTPKPPISDDHNCIPWLDSLPPASPAVYLSFGSALTPPPDEIVGLAEALEAKRAPFLWSLKPHGVKHLPKGFLERTKEFGKIVSWAPQVQVLSHPRVGAFVTHCGWNSILEAISFGVCMICRPFYGDQQINTRFVESVWEIGVKVEGGIFTKDETMKVLSVVLDSDRGKLLKENVVKLKGEALEAVKPNGSSTKDFQELVRLLNDSF >itb07g09540.t1 pep chromosome:ASM357664v1:7:8989953:8995368:-1 gene:itb07g09540 transcript:itb07g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQKQDVICMRARKQKIYEMQLRQIAHTLEGVETSISGDKDSGEANLGKRATMETYCGIPAPEKIVVHPPQVSKNKGSSKRIKSMKEIEIKGMRKKGRTCATCGLANGHNSRTCLKSHHRPVASLPQVLKSSFSTSQPLYYCWLDFSDTRATKGPIVADKNCMIIHYMAPNIYYCGAGTAADTEAVTVTKDMFQLLWFLKRNGSHSVYMALRRWGDGTVMNSMNGSTMALSSAIDLPPDTRATKGPIVADKNCMIIHYMAPNIYYCGAGTAADTEAVTVFTWHYGGREVSVYGWGDGTVMNSMNGSTMALSSAIDLPPGYHQYKFLVDGTWQVDEEQLRVIGEHGVINNLIFVEEPSANAQRLPPEAVRGTLDLDSIRDAA >itb11g19270.t1 pep chromosome:ASM357664v1:11:19898088:19899144:-1 gene:itb11g19270 transcript:itb11g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVYAMADRGAVVYDVGDCPKAARLGVERAGCRGGWVLYCYDYLGKIRGYDVWKELKGVENRLPRFLCGATMVRDCVWFGKARVAVRRFR >itb11g19270.t2 pep chromosome:ASM357664v1:11:19897810:19899144:-1 gene:itb11g19270 transcript:itb11g19270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVYAMADRGAVVYDVGDCPKAARLGVERAGCRGGWVLYCYDYLGKIRGYDVWKELKGVENRLPRFLCGATMVRDCVWFGKARVAVRRFR >itb11g19270.t3 pep chromosome:ASM357664v1:11:19898032:19899144:-1 gene:itb11g19270 transcript:itb11g19270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVYAMADRGAVVYDVGDCPKAARLGVERAGCRGGWVLYCYDYLGKIRGYDVWKELKGVENRLPRFLCGATMVRDCVWFGKARVAVRRFR >itb04g02830.t1 pep chromosome:ASM357664v1:4:1757056:1761071:-1 gene:itb04g02830 transcript:itb04g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPRRGDNSKYYEVLGVSKSASEDELKKAYRKAAMKNHPDKGGDPEKFKELSQAYEVLSDPEKREVYDQYGEDALKEGMGGGAGAHSPFDIFESFFGGSFGGGHHFGGPFGGGGSSSRSRKRQGEDVIHTLRVSLEDLYNGTTKKLSLSRNKLCHKCKGKGSKSGATGRCNGCRGTGMKVSTRQIAPGMIQQMQHVCPDCRGSGEAISERDKCTQCKGSKVTQEKKVLEVHVEKGMQNGQKIVFTGEADEAPDTIAGDIVFVIQQREHPKFKRKFDDLYMEHTLSLTEALCGFHFVLTHLDGRQLLIKSGPGEVIKPDQSKAINDEGMPHHQRPFMKGRLYINFDVEFPEPGALSPEACRALETILPPKPCQSALPINLDECEETTLQDVNIEEEMRRKEQQRQQEAYDSDDDSSSDVHRVACNQQ >itb13g09010.t1 pep chromosome:ASM357664v1:13:11950544:11954845:-1 gene:itb13g09010 transcript:itb13g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAPKAKVLRDGKWNEEDASILVPGDIISIKLGDIIPADALLLQGDPLKIDQSALTGESLPVTKNPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVESTTHIGHFQKVLSAIGNFCICSIAIGMVIEVIVIFGLQHKKLREAIDSLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFAKDVEKDEVVLMAAQASRLENQDAIDTAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAQEQQVPEGTKQSVGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSVLLGDGKDALCSSLPVEELIEKADGFDGVFHEHKYEIVKILQSRKHICGMTGDGVNDAPALELRWQTQQMQPEKTFHVTDFSKGSNDLNDQWAVESLNKKLASAVYLQVSTISQALIFVTRSRGWSFKERPGLLLVAAFIIAQLVATLVSAMVTPPSFAGIEKIGWRWTAVIWLYNIVTYFLLDPIKFGVRYALSGKAWGLLLNQKTAFSTQKDLGKEVREAAWAAEQRTLHGLQPPETKMFSEKRGFTEISMLAEEAKRRAEIARLRELHTLKGRVESFAKLRGLDIENVNPHYTV >itb01g05120.t1 pep chromosome:ASM357664v1:1:3487590:3491224:-1 gene:itb01g05120 transcript:itb01g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVKIEFNAIDPRIASCMEFLAQCNAPKAKESNPACQIQVKRRTDDHPPQITVTFVNGIEQTYDATSTPAQNIRTMILEKGQYLETEQMFREAGEKWPVVIPVEELNQPFLGIKPRKAEEKK >itb06g10020.t1 pep chromosome:ASM357664v1:6:14264972:14267100:-1 gene:itb06g10020 transcript:itb06g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFYGNLSWQNNVLKSVVQSTARSNIPESVIPHSSGSDDRRASLKKRLLLAVCEREKLLLHKEEQEDKQEKKGCYNDDDADQYYLHLGGVDLNQSLITPSLPDEEEWKKKLMGSFYGCLSWHNNVVVKPVVQSTTLTNTPESVISHSSDEAASLKYDEAVAEKVNNSKKRKRGSSRVPNNGPEPPPELPVEFKNLILQLAGNRAVCVEKLVIQKELTKTDVNSTQNRLSIPARLVREEFLTKEEHLLLCQHNGKNVCSIEVPLITPMMEVAKVSLRRWEMKKGKGVSSVSYVIANTWNAIRRRNKFESKMIVQLWAIRVDADLCMALVRLS >itb06g10020.t3 pep chromosome:ASM357664v1:6:14264972:14266713:-1 gene:itb06g10020 transcript:itb06g10020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLKDFADITLPEGSCRFDYLLAVCERERLLLDKEEQKDKQEKKGCYNDDDDNDQYYLGGIDLNQSLITPSNHTVPDKEEWRKKLMGSFYGNLSWQNNVLKSVVQSTARSNIPESVIPHSSGSDDRRASLKKRLLLAVCEREKLLLHKEEQEDKQEKKGCYNDDDADQYYLHLGGVDLNQSLITPSLPDEEEWKKKLMGSFYGCLSWHNNVVVKPVVQSTTLTNTPESVISHSSDEAASLKYDEAVAEKVNNSKKRKRGSSRVPNNGPEPPPELPVEFKNLILQLAGNRAVCVEKLVIQKELTKTDVNSTQNRLSIPARLVREEFLTKEEHLLLCQHNGKNVCSIEVPLITPMMEVAKVSLRRWEMKKGKGVSSVSYVIANTWNAIRRRNKFESKMIVQLWAIRVDADLCMALVRLS >itb06g10020.t2 pep chromosome:ASM357664v1:6:14264972:14266713:-1 gene:itb06g10020 transcript:itb06g10020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFYGNLSWQNNVLKSVVQSTARSNIPESVIPHSSGSDDRRASLKKRLLLAVCEREKLLLHKEEQEDKQEKKGCYNDDDADQYYLHLGGVDLNQSLITPSLPDEEEWKKKLMGSFYGCLSWHNNVVVKPVVQSTTLTNTPESVISHSSDEAASLKYDEAVAEKVNNSKKRKRGSSRVPNNGPEPPPELPVEFKNLILQLAGNRAVCVEKLVIQKELTKTDVNSTQNRLSIPARLVREEFLTKEEHLLLCQHNGKNVCSIEVPLITPMMEVAKVSLRRWEMKKGKGVSSVSYVIANTWNAIRRRNKFESKMIVQLWAIRVDADLCMALVRLS >itb09g06540.t1 pep chromosome:ASM357664v1:9:3757383:3760916:-1 gene:itb09g06540 transcript:itb09g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGIGPGPGNGKEYPGNLTLYVTVTCIVAAMGGLIFGYDIGISGGVTSMDSFLERFFHKVFVKKNKDKDVNQYCKFDSQTLTMFTSSLYLAALVSSLVASWVTRKLGRRLSMLFGGVLFCAGALINGFAQNVGMLIIGRILLGLGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITIGILVANVLNYFFSKIHWGWRLSLGGAMVPALIITVGSLLLPETPNSMIERGNHDEAKLKLMRIRGIENVDEEFNDLVAASEASRQVEHPWRNLLQRKYRPHLTMAVMIPFFQQLTGINVIMFYAPVLFTTIGFKDDAALMSAVITGGVNVLATLVSIYYVDKLGRRFLFLEGGIQMLICQIAVAICIALKFGINGTPGDLPKWYAVVVVIFICTYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFLIAQVFLTMLCHLKFGLFIFFAFFVVVMTIFIYFFLPETKNIPIEEMVIVWKEHWFWSRFMSEVDYPGAGKGSSNGGVEMGKGGDGYKRV >itb10g14860.t1 pep chromosome:ASM357664v1:10:21153997:21155028:-1 gene:itb10g14860 transcript:itb10g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKRCIVALLSVVYLSLARHVDGANFCGTAMDKPLCENMVKGAANWDEAITKAVTQAMAEVAKATQSGNPKCKEAYTDTEDKLKESMETIKSGNKDGSLNFQISAALTSLEDCTNALKDLKEDGAAAEKLNHGVEQAIRVCLAVDTSKSAA >itb09g06800.t3 pep chromosome:ASM357664v1:9:3901050:3910352:-1 gene:itb09g06800 transcript:itb09g06800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSGNVNCLRFGKKTCRMFLTGGDDHSVNLWSIGKPTSTSTLSGHTSPVESIAFDSAEILVAAGSSSGLVKLWDLEDTKMIRTLSGHRSYCTALEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSVRREATGVRSIAFHPDGRTLFCGLDDSLKVYSWEPVICHDSIDMGWSTLGDLCIHDGKLLGGTYYQNSVGVWVADISLIEPYGMNAERNSNLEQKREHKESEDPVTTKKVASFPPPEVIPSPDPKESDLLSTQKQNSVKVMHTKPTNNKSFIAPVLVPHDSPDGKDFASSRRETISTTRASAPKPSHLRRPSNNFDMEKTIETELAENVSNENDSTIKNDEEKSEKTSLQSAIPDQGNGNAALESTKGLSSVKVVNGVAVVRGRTRSLVERFERREISQVNDASSPETVLYPKPEEVKTSPLPVERVDRTERSKADDSHTTDTVPHSKPEETKPPPLPVERVDRTGTLKADDSRTTDTVPRSKPEETKPPPSPVEKFNRRERLKADAAQIPDSVPRSKPEPTKTPPSPVKRFDRRERLRADAARIHNTVPHSKPEEAKRSPLPVERFDRRERLKAGDAHSSDTVLYFKPEEAKETPLPITNTQIVGRDETAESDNNIIENLMQNHDILLSTFRSRLTKLQVVRHFWERNDIKGAINALKKLPDHSVQADVVSVLIERMEVITLDLFSSLLPVLLALLDSKIERHANVSLEMLLKLVAIFGPMVRSAASARPSVGVDLHAEQRIECCRQCLAHLQIIQKIIPTLIQ >itb09g06800.t2 pep chromosome:ASM357664v1:9:3901050:3910352:-1 gene:itb09g06800 transcript:itb09g06800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSGNVNCLRFGKKTCRMFLTGGDDHSVNLWSIGKPTSTSTLSGHTSPVESIAFDSAEILVAAGSSSGLVKLWDLEDTKMIRTLSGHRSYCTALEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSVRREATGVRSIAFHPDGRTLFCGLDDSLKVYSWEPVICHDSIDMGWSTLGDLCIHDGKLLGGTYYQNSVGVWVADISLIEPYGMNAERNSNLEQKREHKESEDPVTTKKVASFPPPEVIPSPDPKESDLLSTQKQNSVKVMHTKPTNNKSFIAPVLVPHDSPDGKDFASSRRETISTTRASAPKPSHLRRPSNNFDMEKTIETELAENVSNENDSTIKNDEEKSEKTSLQSAIPDQGNGNAALESTKGLSSVKVVNGVAVVRGRTRSLVERFERREISQVNDASSPETVLYPKPEEVKTSPLPVERVDRTERSKADDSHTTDTVPHSKPEETKPPPLPVERVDRTGTLKADDSRTTDTVPRSKPEETKPPPSPVEKFNRRERLKADAAQIPDSVPRSKPEPTKTPPSPVKRFDRRERLRADAARIHNTVPHSKPEEAKRSPLPVERFDRRERLKAGDAHSSDTVLYFKPEEAKETPLPITNTQIVGRDETAESDNNIIENLMQNHDILLSTFRSRLTKLQVVRHFWERNDIKGAINALKKLPDHSVQADVVSVLIERMEVITLDLFSSLLPVLLALLDSKIER >itb09g06800.t1 pep chromosome:ASM357664v1:9:3901050:3910624:-1 gene:itb09g06800 transcript:itb09g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSGNVNCLRFGKKTCRMFLTGGDDHSVNLWSIGKPTSTSTLSGHTSPVESIAFDSAEILVAAGSSSGLVKLWDLEDTKMIRTLSGHRSYCTALEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSVRREATGVRSIAFHPDGRTLFCGLDDSLKVYSWEPVICHDSIDMGWSTLGDLCIHDGKLLGGTYYQNSVGVWVADISLIEPYGMNAERNSNLEQKREHKESTVEMLGSNRNSNSSLRCSSPDFDSKEIKNIYVDSEDPVTTKKVASFPPPEVIPSPDPKESDLLSTQKQNSVKVMHTKPTNNKSFIAPVLVPHDSPDGKDFASSRRETISTTRASAPKPSHLRRPSNNFDMEKTIETELAENVSNENDSTIKNDEEKSEKTSLQSAIPDQGNGNAALESTKGLSSVKVVNGVAVVRGRTRSLVERFERREISQVNDASSPETVLYPKPEEVKTSPLPVERVDRTERSKADDSHTTDTVPHSKPEETKPPPLPVERVDRTGTLKADDSRTTDTVPRSKPEETKPPPSPVEKFNRRERLKADAAQIPDSVPRSKPEPTKTPPSPVKRFDRRERLRADAARIHNTVPHSKPEEAKRSPLPVERFDRRERLKAGDAHSSDTVLYFKPEEAKETPLPITNTQIVGRDETAESDNNIIENLMQNHDILLSTFRSRLTKLQVVRHFWERNDIKGAINALKKLPDHSVQADVVSVLIERMEVITLDLFSSLLPVLLALLDSKIERHANVSLEMLLKLVAIFGPMVRSAASARPSVGVDLHAEQRIECCRQCLAHLQIIQKIIPTLIQRGGLVAKSALQLNLVLQQ >itb09g06800.t5 pep chromosome:ASM357664v1:9:3901050:3910624:-1 gene:itb09g06800 transcript:itb09g06800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSGNVNCLRFGKKTCRMFLTGGDDHSVNLWSIGKPTSTSTLSGHTSPVESIAFDSAEILVAAGSSSGLVKLWDLEDTKMIRTLSGHRSYCTALEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSVRREATGVRSIAFHPDGRTLFCGLDDSLKVYSWEPVICHDSIDMGWSTLGDLCIHDGKLLGGTYYQNSVGVWVADISLIEPYGMNAERNSNLEQKREHKESTVEMLGSNRNSNSSLRCSSPDFDSKEIKNIYVDSEDPVTTKKVASFPPPEVIPSPDPKESDLLSTQKQNSVKVMHTKPTNNKSFIAPVLVPHDSPDGKDFASSRRETISTTRASAPKPSHLRRPSNNFDMEKTIETELAENVSNENDSTIKNDEEKSEKTSLQSAIPDQGNGNAALESTKGLSSVKVVNGVAVVRGRTRSLVERFERREISQVNDASSPETVLYPKPEEVERVDRTERSKADDSHTTDTVPHSKPEETKPPPLPVERVDRTGTLKADDSRTTDTVPRSKPEETKPPPSPVEKFNRRERLKADAAQIPDSVPRSKPEPTKTPPSPVKRFDRRERLRADAARIHNTVPHSKPEEAKRSPLPVERFDRRERLKAGDAHSSDTVLYFKPEEAKETPLPITNTQIVGRDETAESDNNIIENLMQNHDILLSTFRSRLTKLQVVRHFWERNDIKGAINALKKLPDHSVQADVVSVLIERMEVITLDLFSSLLPVLLALLDSKIERHANVSLEMLLKLVAIFGPMVRSAASARPSVGVDLHAEQRIECCRQCLAHLQIIQKIIPTLIQRGGLVAKSALQLNLVLQQ >itb09g06800.t4 pep chromosome:ASM357664v1:9:3901050:3910396:-1 gene:itb09g06800 transcript:itb09g06800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSGNVNCLRFGKKTCRMFLTGGDDHSVNLWSIGKPTSTSTLSGHTSPVESIAFDSAEILVAAGSSSGLVKLWDLEDTKMIRTLSGHRSYCTALEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKIWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFEMIGSVRREATGVRSIAFHPDGRTLFCGLDDSLKVYSWEPVICHDSIDMGWSTLGDLCIHDGKLLGGTYYQNSVGVWVADISLIEPYGMNAERNSNLEQKREHKESTVEMLGSNRNSNSSLRCSSPDFDSKEIKNIYVDSEDPVTTKKVASFPPPEVIPSPDPKESDLLSTQKQNSVKVMHTKPTNNKSFIAPVLVPHDSPDGKDFASSRRETISTTRASAPKPSHLRRPSNNFDMEKTIETELAENVSNENDSTIKNDEEKSEKTSLQSAIPDQGNGNAALESTKGLSSVKVVNGVAVVRGRTRSLVERFERREISQVNDASSPETVLYPKPEEVKTSPLPVERVDRTERSKADDSHTTDTVPHSKPEETKPPPLPVERVDRTGTLKADDSRTTDTVPRSKPEETKPPPSPVERFDRRERLKAGDAHSSDTVLYFKPEEAKETPLPITNTQIVGRDETAESDNNIIENLMQNHDILLSTFRSRLTKLQVVRHFWERNDIKGAINALKKLPDHSVQADVVSVLIERMEVITLDLFSSLLPVLLALLDSKIERHANVSLEMLLKLVAIFGPMVRSAASARPSVGVDLHAEQRIECCRQCLAHLQIIQKIIPTLIQRGGLVAKSALQLNLVLQQ >itb04g03170.t1 pep chromosome:ASM357664v1:4:1964798:1966886:-1 gene:itb04g03170 transcript:itb04g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERLCEIARTGNVEDLLKLTEDHPLLLHTVLLEGGENPLHIACIAGHVGFVKKVIELKKEFAMELNQNGMTPLHIASAAGNIEIARELLKIDSNLCLVKGREQRIPLHCAAVKGRVEVVEELLGASGDSIAYVTARNESVLHLAVMNYQYEVLKVLVEHLKKFNKEDIVNQKDVQGSTVLHLAVSRRQFEVISLMLDEEFVNKSVLDINSLNNAGLTPLDVLLIFQSEAGDREIEEMLRRAGGARARHFSLQSLEADRTATSDVDEGRPQSPAKQLLNYFKYDTIKDSPARVRNTLLVIAVLIATATYQAVLSPPGGVWQDDSNDHVAGKSVMGSHNPIAYSLFLVSNSVGFFTSLHIICLLTNAFPLHLELQISIFALIFTYDTCMTAIAPSSRISIMFTVISIVLPFLAPVLSTGLRNYLKAPRCELPLTSNSNSR >itb08g09660.t1 pep chromosome:ASM357664v1:8:8939928:8948544:1 gene:itb08g09660 transcript:itb08g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRQHVRCLARKYRSSQCLMANKQMNGILVVEENPFFWLKLYRGYVSSMLPSQTDPRNIYLSKSLNSGRNMLSVVKLSGSVVRNIQGLQHPLMIVSRQFGQGAQNDPDLSRDFFVQLWVADRKMKQSGVKRRPRSHRFLNDGESGSDSQFFSKFPSGRHFSGASAAEEKSFQEAKPNLRQPPPSQSVTGILQPSSPEEAMVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPAGYIREKSNLLARQLLRLRRPFVAYITDGLGNELFRVRRPFWWITSSIYAEINGKEIGVVHRRWHLWRRIYDLYLGNEQFAVVENPGLWNWTFTLKDIDGKVLAQIDRDWRGFGFEIFTDAGQYVIQFGKADSSIAPVGGIQELDVVRPLTLSERAVAVALAISLDNDYFSRHGGCEHFCRCSYHYHCSIVTTSVSITSTEYLATDDSQGELQDRID >itb08g09660.t2 pep chromosome:ASM357664v1:8:8939928:8948544:1 gene:itb08g09660 transcript:itb08g09660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRQHVRCLARKYRSSQCLMANKQMNGILVVEENPFFWLKLYRGYVSSMLPSQTDPRNIYLSKSLNSGRNMLSVVKLSGSVVRNIQGLQHPLMIVSRQFGQGAQNDPDLSRDFFVQLWVADRKMKQSGVKRRPRSHRFLNDGESGSDSQFFSKFPSGRHFSGASAAEEKSFQEAKPNLRQPPPSQSVTGILQPSSPEEAMVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPAGYIREKSNLLARQLLRLRRPFVAYITDGLGNELFRVRRPFWWITSSIYAEINGKEIGVVHRRWHLWRRIYDLYLGNEQFAVVENPGLWNWTFTLKDIDGKVLAQIDRDWRGFGFEIFTDAGQYVIQFGKADSSIAPVGGIQELDVVRPLTLSERAVAVALAISLDNDYFSRHGGCEHFCRCSYHYHCSIVTTSVSITSTEYLATDDSQGELQDRID >itb12g21730.t1 pep chromosome:ASM357664v1:12:23995253:23997468:1 gene:itb12g21730 transcript:itb12g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTAKQRVVVVGGGVAGSIVAYSLQDHADVFLIDSKEYFEIPWASLRSIVEPAFAKRSLINHYEYLPKANIIVSSAVDIKSSQVLTAQTRLIGYDYLVIATGHVYNSPCTKQDKICHYQAEHDRIRAANSVLIIGGGATGVELAAEIAVDFPDKKVTLVHEGTRLVEFIKEKASTKILNWLISKKVEVVLGQSVDLESSVDGAYVTSGGETIVADCHFLCMGKPVGSSWLKETFLKDSLDEQGRLFVDSHLRVMHHNNVFAVGDIANTNETKQGSLAQKHAFLVAKNLKLLICGGNDDRLAAYKPSSSGLAIVSLGRREALAQIMFMSYVGRLPGLIKSGDLFVGRTRKQLGLNPDAS >itb08g09860.t3 pep chromosome:ASM357664v1:8:9244276:9249719:-1 gene:itb08g09860 transcript:itb08g09860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAQPADTVMSEAAQQQQHTDQQPPPPPPQMMGIDNIPATLSHGGRFIQYNIFGNVFEITAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNRIDAKRTLREIKLLRHMDHENIVGIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAEMEFLNDNAKRYIRQLPLYRRQSFTEKFPHVHPAAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPTCMNPFSFDFEQHALTEEQMKELIYREALAFNPEYLHM >itb08g09860.t4 pep chromosome:ASM357664v1:8:9244131:9249719:-1 gene:itb08g09860 transcript:itb08g09860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAQPADTVMSEAAQQQQHTDQQPPPPPPQMMGIDNIPATLSHGGRFIQYNIFGNVFEITAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNRIDAKRTLREIKLLRHMDHENIVGIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAEMEFLNDNAKRYIRQLPLYRRQSFTEKFPHVHPAAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPTCMNPFSFDFEQHALTEEQMKELIYREALAFNPEYLHM >itb08g09860.t1 pep chromosome:ASM357664v1:8:9244131:9249725:-1 gene:itb08g09860 transcript:itb08g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAQPADTVMSEAAQQQQHTDQQPPPPPPQMMGIDNIPATLSHGGRFIQYNIFGNVFEITAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNRIDAKRTLREIKLLRHMDHENIVGIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAEMEFLNDNAKRYIRQLPLYRRQSFTEKFPHVHPAAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPTCMNPFSFDFEQHALTEEQMKELIYREALAFNPEYLHM >itb08g09860.t2 pep chromosome:ASM357664v1:8:9244131:9249725:-1 gene:itb08g09860 transcript:itb08g09860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAQPADTVMSEAAQQQQHTDQQPPPPPPQMMGIDNIPATLSHGGRFIQYNIFGNVFEITAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNRIDAKRTLREIKLLRHMDHENIVGIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAEMEFLNDNAKRYIRQLPLYRRQSFTEKFPHVHPAAIDLVEKMLTFDPRKRITVEDALAHPYLTSLHDISDEPTCMNPFSFDFEQHALTEEQMKELIYREALAFNPEYLHM >itb02g25780.t1 pep chromosome:ASM357664v1:2:26555084:26555839:1 gene:itb02g25780 transcript:itb02g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLQQRFKDALLMVRRHRIDFNFFVDACGWQSFLQSTGEVNNLSHITEFVCSIKNENIIDTLYKSYLFVPSVTKAQDVESGDVKDYGSKHKVSSVLLVIQMALEKHVVESPARELCILTTLARSDPPALEEALERVKVLREMELSDSDDPRKTHYPSAKEAMKHLLLLSDSEAVFESALGLYDLNLAAMVALNSQKDPKEFLPYLPERLHDSRRASAPSKTPRGKNLTTAAPPMIIVFSNSTWTSELQL >itb10g25200.t1 pep chromosome:ASM357664v1:10:28603263:28610609:1 gene:itb10g25200 transcript:itb10g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTNKANGDSQFSPSTIFVSNLPFTLTNTELEQTFSEVGPIRRCFLVTKKGSTEHRGFGFVQFASVEDAGRAIELKNGSTVGGRKLGVKHAMHRAPLEQRQSKGSQDKAPQERDRDGTLPAEIVKHEQDSNSQGTGKPRKRKATVLCSGMPDEKNCSEKQRVARTVIIGGILSADMAAEVHRLAKECGTVCSVTYPLPIEELEYNGLAQDGCKMGASSVLYTSVKSAQSCVATLHQKEIHGGAIWVRQLGGEGSKTNKWKLIVRNIPFKATVDEIKSMFSTVGFVWDVYIPQNAETGLSKGFAFVKFTSKQDAEKAIKTFNGKNFGKRPIAVDWVVPKKVYVADSQSTAASEDGRNESDGDDDDSVDLEDQEMEIDGESQQALGSDSASELEEAEEEKDEEDEDADEEEEEDVDKDTQPKVNIDMEADIARKVLENLISSTSKGAAASANESSSLPKGEKSEVVSVHDKSSDASHVDETPPEGSSKSKEKTSRPTEGAEDLQRTIFINNLPFDVDNEEVKQRFSAFGEVESFVQVLHQVTKRPRGTGFLKFKTADAAEAALLAANTAAGLGIFLKGRQLKVLKAVDRKTAQDKELEKTKKDDHDHRNLYLAKEGLVLEGTPAAEGVSASDMSKRKTLHEKKMIKLKSPNFHISRTRLIIYNLPKSTTEKDLKKLCIDAVTSRATKQKPTIRQIKFLEDHKKGKVVVKNQSRGVAFVEFTEHQHALVALRVLNNNPGTFGPEHRPIVEFAVDNVKKLMHRKEKIQVQQNESHHKTDKSWQNDPANEQDSRHSKSRKRKSRGDASSISACENSEVKTGAKGAIAEPARPTKKQKPKKMLISLKQDLIENRQKGKFADIGSQPTKSASSNAPKPVPIKDGAMRSKARSEDETTQEIQRNSSKRAKKSKKNKDPLGRDVVDKLDVLIEQYRSKFSKGSSDQIDGNKHGSKRLRRWFQS >itb10g25200.t2 pep chromosome:ASM357664v1:10:28603263:28610606:1 gene:itb10g25200 transcript:itb10g25200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTNKANGDSQFSPSTIFVSNLPFTLTNTELEQTFSEVGPIRRCFLVTKKGSTEHRGFGFVQFASVEDAGRAIELKNGSTVGGRKLGVKHAMHRAPLEQRQSKGSQDKAPQERDRDGTLPAEIVKHEQDSNSQGTGKPRKRKATVLCSGMPDEKNCSEKQRVARTVIIGGILSADMAAEVHRLAKECGTVCSVTYPLPIEELEYNGLAQDGCKMGASSVLYTSVKSAQSCVATLHQKEIHGGAIWVRQLGGEGSKTNKWKLIVRNIPFKATVDEIKSMFSTVGFVWDVYIPQNAETGLSKGFAFVKFTSKQDAEKAIKTFNGKNFGKRPIAVDWVVPKKVYVADSQSTAASEDGRNESDGDDDDSVDLEDQEMEIDGESQQALGSDSASELEEAEEEKDEEDEDADEEEEEDVDKDTQPKVNIDMEADIARKVLENLISSTSKGAAASANESSSLPKGEKSEVVSVHDKSSDASHVDETPPEGSSKSKEKTSRPTEGAEDLQRTIFINNLPFDVDNEEVKQRFSAFGEVESFVQVLHQVTKRPRGTGFLKFKTADAAEAALLAANTAAGLGIFLKGRQLKVLKAVDRKTAQDKELEKTKKDDHDHRNLYLAKEGLVLEGTPAAEGVSASDMSKRKTLHEKKMIKLKSPNFHISRTRLIIYNLPKSTTEKDLKKLCIDAVTSRATKQKPTIR >itb06g02930.t1 pep chromosome:ASM357664v1:6:4995215:4996823:-1 gene:itb06g02930 transcript:itb06g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFEQIVNVEKIKKGPLGKLPTSPPHFHPFISVPFYPPTHAYAFSLPSSFSLFNFFAMDSSRNLKRKSQEYEAPIFGLDELNQDLLERVLSRLPAASFFRLSSVCKRWKSVADSATFRLACSDVPSREPWFYMVGPHFSRPVVYDSSEENWKVLRCPSFLRPEPENEDSCCNFVPVAASGGLLCFHSESDGFVVSNPVTGFYRRIPSPESEESPLQAIAMASATNSYKLVLVSGEFPKLSFRVYDSGESKWGEKAFLRRKDPSDGSSGNSNSIEDLDDYPLYFLSKCGNLVASELQRSPCKQYSSVISSNSGDETVYFLSPSGTVVACNLAGKWFVEYPRLLPLDHEYSIDLVECRGEVYAVALSDYLESASLRVWRFDDEKTWEWEQVSAMPPALSHEFYGKTVDINCAGAGNRIFVCASCSEFCRYFVCNLEGNEWVELPNGNGNEFSCAFSFEPRIEAST >itb03g20720.t3 pep chromosome:ASM357664v1:3:18572477:18574662:-1 gene:itb03g20720 transcript:itb03g20720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEGDWICAACQHINFKKRDACHRCNCPKYASEADAAFYGRNKSEVLPGDWYCNACCAHNYASRPNCYRCGASKSGYYGLGGGMITPGSYGYDASVPPGYKKGDWICNRNACYIGQTWMWLAQLCQQNGML >itb03g20720.t2 pep chromosome:ASM357664v1:3:18572477:18574662:-1 gene:itb03g20720 transcript:itb03g20720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEGDWICAACQHINFKKRDACHRCNCPKYASEADAAFYGRNKSEVLPGDWYCNACCAHNYASRPNCYRCGASKSGYYGLGGGMITPGSYGYDASVPPGYKKGDWICNRHGCGWHNYASRTECYKCRNPRDFSEPSSM >itb03g20720.t1 pep chromosome:ASM357664v1:3:18572477:18577249:-1 gene:itb03g20720 transcript:itb03g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEGDWICAACQHINFKKRDACHRCNCPKYASEADAAFYGRNKSEVLPGDWYCNACCAHNYASRPNCYRCGASKSGYYGLGGGMITPGSYGYDASVPPGYKKGDWICNRHGCGWHNYASRTECYKCRNPRDFSEPSSM >itb03g20720.t5 pep chromosome:ASM357664v1:3:18572477:18573995:-1 gene:itb03g20720 transcript:itb03g20720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEGDWICAACQHINFKKRDACHRCNCPKYASEADAAFYGRNKSEVLPGDWYCNACCAHNYASRPNCYRCGASKSGYYGLGGGMITPGSYGYDASVPPGYKKGDWICNRNACYIGQTWMWLAQLCQQNGML >itb03g20720.t6 pep chromosome:ASM357664v1:3:18572477:18574866:-1 gene:itb03g20720 transcript:itb03g20720.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEGDWICAACQHINFKKRDACHRCNCPKYASEADAAFYGRNKSEVLPGDWYCNACCAHNYASRPNCYRCGASKSGYYGLGGGMITPGSYGYDASVPPGYKKGDWICNRNACYIGQTWMWLAQLCQQNGML >itb03g20720.t4 pep chromosome:ASM357664v1:3:18572477:18573995:-1 gene:itb03g20720 transcript:itb03g20720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEGDWICAACQHINFKKRDACHRCNCPKYASEADAAFYGRNKSEVLPGDWYCNACCAHNYASRPNCYRCGASKSGYYGLGGGMITPGSYGYDASVPPGYKKGDWICNRHGCGWHNYASRTECYKCRNPRDFSEPSSM >itb01g22010.t1 pep chromosome:ASM357664v1:1:27962338:27968799:1 gene:itb01g22010 transcript:itb01g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTQNTETVLERSTGGRQMDDEDEALSASEPSDSSDEVISEPDNDDDDINYNCNSGDEDDDHGAQTSNLRCSPEDRKSENVAALVRGNLEVRRQSILPRVYSVTDAAVNVRKPFKPPSSNGYSSNNEHLARRLWARKRFVPWGSNRPALVAITNRVNALETTHKDVPEEEICLPPDVEPLLLWQPEGFGEEGCNSKPIAVEPLLVKYLRPHQREGVQFMFDCVSGLLSTSNINGCILADDMGLGKTLQSITLLYTLLRQGFDGKAMVRKSIIVTPTSLVSNWEAEIKKWVGERVKLVALCESTREDAISGINNFTSPHSDLQVLIVSYETFRMHSSKFSNDISCDLLICDEAHRLKNDQTLTNRALASLSCKRRILLSGTPMQNDLEEFYSMVNFTNPGILGDAAYFRRYYEMPIVCGREPSSTEEERRLGTERSTELSAKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQVELYNHFIHSKNVKRAITEETKQSKILAYITALKKLCNHPKLIYETIKSGSPGTKGFEDCIHLFPQEMFSGRSGSWTGGAGLWVELSGKMHVLARLLAQLRQSTDDRVVLVSNYTQTLDLFAQLCRERRYPFLRLDGSTSISKRQKLVNCFNDPSKDEFAFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYVYRFLSTGTIEEKIYQRQMSKEGLQKVIQQEQADSEIQGNSLSTEDLRDLFTFHDSVRSEIHEKISCNRCQEYEVMLDDTCEANSTDEQDKSNQEDIGGFAGLAGCLNKLKSSEKQIGTPKEEDLANWGHHFFPTSVPDTIFQAAAGDEVRYTLEFP >itb08g11340.t1 pep chromosome:ASM357664v1:8:11276370:11279307:1 gene:itb08g11340 transcript:itb08g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMRRALLSYRNLAVILRTTTSQTRHLSSLVGGSSASLLRRSATSDAGHYFLAMNYLLETRRGFAKGRKNIKEEVDSDEDNESTTESVNVGPSIKAAAISQMEAATDSLSRELAKLRTGRASAGMLDHIIVESGGVKTPLSRMAAVSVLDSKTLSVTPYDPDTLKELEKAIVSSPLGLNPKVDNERLIAPIPPLTKEHMQAVCKVVAKSSEDVKQSVRRARQKALDTLKKYTPKKKDKDKTGSAFSVDDAKRLEKEIDDLTKKYIKSAEDMCKSKEKEITSG >itb07g02420.t1 pep chromosome:ASM357664v1:7:1530816:1538727:-1 gene:itb07g02420 transcript:itb07g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYMNLSRLNLMNFNNLPEFISRFRVIQYLDMSGCAGFRELPEFPLTIKELYAPYNRSLASENNITELATKYSKLSSVSFSFSMHDNDDRAFLKPGELAEKFIPITQPFLNRNTPFAVSYPSDIMWSDDYKIGKCFKYSHNDSNKISISLDPTWYNHSFVGFVVCFQFPIKVRWESHPAILPFRHCELIAKLTHKDNGSEPDPLQTKCVIGRLYDEEFYDHFEDGELVCFAYIPFSSLWPKSEVITDTTTPNHYSVFEAAFQDLEISKHFNAEDWEISTDWSCGLLYTDDKSLIEELQQKRENGSSESDSEEEEEEEEEDDDEDDAMTEDNNLGHSAELPIDISDDGSEPSEHGDSGAAMAKHRAEMQTNEVRGIRFTSSGVKTCIGRSPPTKKRTRGPSLTCISQKQLKLCTSNNPTTSDSGKEGEDEEMGESFDGDEYGEECEANERNAGHGVDEVDSVDEEEGEDEQRKKAPLNKDKTPEDIIINSRKQNKGKNKHGELLVTKDRIEECIKLEPDYNIFRHSCPVFVMKDDIADLLLMEWLDASIIQVFIMYLHWLCKQSGVNSIGFMCPRKIAKDSVDRNVDDVIAYVGNTMANLQDKTFILAPYHQGVFQTYANQWGQQTGHFVIGWKNIQCPQQPGSKECGYYVMRYMYEICTTYFAYTSLDEAFQEIEPYSKEKIDEIRTMWEKFFTLRR >itb13g02400.t1 pep chromosome:ASM357664v1:13:2260274:2262652:1 gene:itb13g02400 transcript:itb13g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFCLSNAKSFLPSLQSSFPSSSSSSPLSIHTQKLNSRRQLIWCKAVDDSHFPPPILISKRSLYLSLTTTLLLSLSGSHGCFDANAAILEADDDEDLLQKVKQDRKKRLERQGLINSSGKERGYLQDLVYKLSKVGQAIEKNDLPAASGVLGQNVETDWVKNVNSALTKFSISPEEKSEVDAFNSSLASLISSVIKNDIEASRTSFVASATAFEKWTTLTGLVGELKGL >itb14g12080.t1 pep chromosome:ASM357664v1:14:13683675:13689948:1 gene:itb14g12080 transcript:itb14g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGESSESPVETKTKSKAKTARKPKESALKQKSPAEFFADNKNIAGFDNPGKCLYTTVRELVENALDSAESISELPVVEITIEEIGRMKFNSLIGLAERERVDEELYDDFETAKAREKRLAKEARLQEIQAKNAASGKKVKEPTAAKANKSREASYYRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEIMSSMKGQSYTSFCRLDIDIHRNIPHIHVHEKRQNKEKWHGADIQIVIEGNWTSYRSKILHYMRQMAVITPYAQFLFKFISETPDKNVTIRFTRRTDIMPPVPLETKYHPSAVDILLIKRLIAETSKQTLLQFLQHEFVSIGKSHAERLIGELGPDFSPKMLVKSLTSQQIVRIHQLFRQAKFDDPSGDILSPAGEYNLRLGIIKELHPDMLATYSGSAQVFEGHPFIVEAGVSLGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTAMKRINWNSYKINQAQDKIGIFVSIVSTKIPFKGTGKEYIGDDISEIASAVKTAIRQCCSQLKSKIVKRIQAREQQERKRNLSRYIPNASNAIFDVLKDMANQHASKKKRFEGEDADLLKQVSVNSVTREILKDKLAQHVEKVDYEMALEYATQTGVKEEPREDIYLQALSDDKRFTDFHSPIFVFRLFH >itb10g24340.t1 pep chromosome:ASM357664v1:10:28221591:28224431:-1 gene:itb10g24340 transcript:itb10g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCTAFSLFVVAVFSFGGCAALKQGETCVSDSKCDTGLHCETCIAVGDFAPRCTRVQPLNPFSKVKGLPFNRYSWLTTHSSIAKVGVKSGTGDILVTTTTQQDTITEQLNNGVRGLMLDMYDFNNDIWLCHSYGGKCYNYTAFQPAINVLKEIQVFLKANPSEIVTIMIEDYVATPNGITKVFNAAGLGEFWFPVSQMPKSGGEWPTVDEMIEKNKRLVVFTTKSAKESSEGIAYEWGYLVENKYGDDGMINGSCTKRAESPPLNTRTRSLVLMNYFPSAPDLAQACKHNSKPLKSMMYTCFEAAGKKWPNFIAVDFYKRSDGGGASEAVDEANGHLICGCANIAYCKHNMTFGTCELPQPDNSPAAIAGREGTSLTNSASRSVQLPLLLGMWLLAFSLW >itb05g09900.t1 pep chromosome:ASM357664v1:5:14548480:14548785:1 gene:itb05g09900 transcript:itb05g09900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPTGMEAANQEFPRHHRNAAATPDEISSAQQINSPRETLLFHLRNLSRVKLIAKSYLNPLSIYTAQAQRLPSNFLPKIAPIVQSLKTKLTTLLLCRLIL >itb08g09760.t1 pep chromosome:ASM357664v1:8:9088193:9093561:1 gene:itb08g09760 transcript:itb08g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATNHARRVLGWAARDATGKLTPFMFTRRENGVNDVTIKIMYCGMCRTDVHFAKNDWENTTYPIVPGYEIVGIITKTGSNVSNFKIGDRVGLGYVFSSCLDCELCNTSRENYCDQMRPVYNSVASDGTVTYGGYSKMIVADHRYCVHIPEKLPLERTAPLLGAGITVYCAMKNSNIFDYPGKRIGVIGLGGLGHMAVKFGKAFGHQVTVISTSPSKKELAIQRLGADDFILSTDPTKMQGNRRSLDFILDTVSANHSIGPYIELLKVDGTLAIVGEPSKPIDFPSTPLIYGKRTIKGSIIGSVEEIEEMMEFCGKYEVLPDIEIVPIKKINEAFDRLAKNDVKFRFVLDIAGYGGYQASRL >itb11g09950.t1 pep chromosome:ASM357664v1:11:6785051:6786544:-1 gene:itb11g09950 transcript:itb11g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGLRPVPPEKGVFPLDHMHLCDLEKKEYLSCLKTAGHKSEQCRQFSKKYLECRMEKNLMAKQDMSELGFGKQYVAETSSEENKDERIEK >itb05g22560.t1 pep chromosome:ASM357664v1:5:27945230:27947363:-1 gene:itb05g22560 transcript:itb05g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEHKSGDGRWSLKGMNALVTGGTQGIGYAIVEELAGFGASIYTCTLNQDDLNKCLQEWKTKGYKVMGSVCDLSLRSETQQLMEKVSDYFNGKLHILVNNAATAITKKSTEFSAEDYSLLMSTNFEAPYHLSQLSHPLLKATGHGSIVFISSVAGNFGVPYVSLYSGSKGAINGVTKSLACEWAKDGIRVNAVAPWIIDTPLKDTALTVYKAGEIESAIERTPISRVGKANEISSVVAFLCLPAASWLTGQIITVDGGKTANAF >itb10g22650.t1 pep chromosome:ASM357664v1:10:27419978:27422587:1 gene:itb10g22650 transcript:itb10g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIVLALTIWSHLSGVSQGQLSTGFYDDSCPNVGAIVGGVVRDVAASNANIAPVLLRLHFHDCFVQGCDGSILVDNGEISERHAFGHQGVGGFEVIERAKAEVEAVCPGIVSCADIVALAAREAVVLANGPSYGVEMGRRDGMVSNLSLADDMPDIDDSIQTLKNKFINKGLSENDLVVLSAAHTIGTTACFFMTNRLYNFPGGGSDPSISADFLPELKATCPQNGDVNVRLPIDHGSGQTFDDQILQNIRSGFAVLQSDARLYEDDATRNAVDSYVGGGLPFLRPSFEDDFANAMVKMGRIGVISGSQGAIRRSCAAFN >itb10g18180.t1 pep chromosome:ASM357664v1:10:24186163:24188258:1 gene:itb10g18180 transcript:itb10g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTALTCNLQWPVYVFSSNVHPPATRPFLFPCLATLTRKLSVSSHALRIQLSDPAAPRRNSNGNNIGKRESIGRKWKEKTKNAHHNHRDCHRSAPPCRHATSKASVSTVVSLSLAHGHRHSTSPLVVAGHYRDYKSCELAAVRTPPQQRLPHPTAAPPLPVPDLNRQNLRSPPPAANVASKLRKYVASRNTRSNKPPERDAVAGDVSFSPAKKTAKKKKMKLTSTKIEIIEDSKTRPKIVQDEKTISEVGDATQNQNYNTFRVGDYKLFFCNRST >itb15g19560.t1 pep chromosome:ASM357664v1:15:21921439:21922864:-1 gene:itb15g19560 transcript:itb15g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASCSNQQPCPMIPITTPRPPPFLPSTTFENQALISISESKNPVSFVHLESISSRCESKSNPQTDGLQKKKPKQRIHSQTTIYLRSPHINLPNTTKMSGCITNSLRS >itb01g25230.t1 pep chromosome:ASM357664v1:1:30698366:30700851:1 gene:itb01g25230 transcript:itb01g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDLSDIAKKLGLSQNKPLIRRAAELRRQADIQFDSSIIGVGEVCKAIICLEIAASGMEVIFDRQAAIRLSGMSEKAYNRSFNSMQNGIGVKNKLDIRELAIQFGCIRLIPIVQKGLSLYKDRFVTSLPPSRRLSADFTRPVFTAVAFYLSAKKHKLKVDKDKLIELCGTSESEFVSVSTSMRDLCFDVFGTSKEKKDAKEVKGNRGLLDALPEKRRIEDGGYSSDEDKSCYKKRKRMDEQDYEKWKSAVVDSNNPVKAKAPLKRTKQARLNFPKKVPETEVEAA >itb15g03290.t1 pep chromosome:ASM357664v1:15:2104840:2107040:-1 gene:itb15g03290 transcript:itb15g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA4D [Source:Projected from Arabidopsis thaliana (AT3G12160) UniProtKB/TrEMBL;Acc:A0A178V6M2] MTNLYGADFNKQIDYVFKVVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLIIDQKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRSHADKNIVIMLIGNKCDLGSLRAVPVEDAQEFAERENLFFMETSALEATNVETAFMNILTEIYRIISKKTLSADGDLGRQGTLKGTRIIVADQDSNSAAKAGGGCCGG >itb04g06930.t2 pep chromosome:ASM357664v1:4:4616493:4621490:1 gene:itb04g06930 transcript:itb04g06930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAKKASKVLQFSPFQSLVDVGFWHRFSSLKLNQLGLDDSPIPITGFYAPCSYRQVSNHLTLLSESLPPEHGEQSQWNLRSKGNRNRCPIPGTLYNTNTLEAFHALDKQSLIKEEAKKIWDDIHSGKVEEDSGLLLRFLIISFADLKKWSFHYWLAFPALVLDPPATLVDLKPASQCFSLEQAEYLTAACNDWRNTSSRTDVPFFLVSIASNSHFTLRPLGDWETCKDEGHEVLFGFYDPCNLPNNPGWPLRNYLAYICTRWGLEKVKFFCYRENRGIADLGLSLVGEAFISVSQGWKDHHNIPKVVGWETNKGKMASRCVSLAESMDPTRLAISAADLNLKLMRWRALPSLNINILSATKCLLLGAGTLGCQVARMLMAWGVRKITLVDSGKVSMSNPLRQSLYILDDCLSGGKFKAEAAAESLKRIFPAVESDSVVMAIPMPGHPVPKQEENNVLDDCRRLQDLISSHDVIFLLTDTRESRWLPSLLCASANKITITAALGFDSFLVMRHGAGPTSTTQNLLDETSDSSSATTSNIKNLTLTDKSPAGERLGCYFCNDVVAPVDVTTLKLK >itb04g06930.t1 pep chromosome:ASM357664v1:4:4616493:4621490:1 gene:itb04g06930 transcript:itb04g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAKKASKVLQFSPFQSLVDVGFWHRFSSLKLNQLGLDDSPIPITGFYAPCSYRQVSNHLTLLSESLPPEHGEQSQWNLRSKGNRNRCPIPGTLYNTNTLEAFHALDKQSLIKEEAKKIWDDIHSGKVEEDSGLLLRFLIISFADLKKWSFHYWLAFPALVLDPPATLVDLKPASQCFSLEQAEYLTAACNDWRNTSSRTDVPFFLVSIASNSHFTLRPLGDWETCKDEGHEVLFGFYDPCNLPNNPGWPLRNYLAYICTRWGLEKVKFFCYRENRGIADLGLSLVGEAFISVSQGWKDHHNIPKVVGWETNKGKMASRCVSLAESMDPTRLAISAADLNLKLMRWRALPSLNINILSATKCLLLGAGTLGCQVARMLMAWGVRKITLVDSGKVSMSNPLRQSLYILDDCLSGGKFKAEAAAESLKRIFPAVESDSVVMAIPMPGHPVPKQEENNVLDDCRRLQDLISSHDVIFLLTDTRESRWLPSLLCASANKITITAALGFDSFLVMRHGAGPTSTTQNLLDETSDSSSATTSNIKNLTLTDKSPAGERLGCYFCNDVVAPVDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPQGLHAKAEFANSVDNRSNEQPLGILPHQIRGSLSQFSQMMLVGHASTSCTACSSKVVSEYEKRGMDFVLEAINHPTYLEDLTGLTELMKSAGSFELDWDPAIEDDEDCVEL >itb01g17560.t1 pep chromosome:ASM357664v1:1:22349056:22350886:-1 gene:itb01g17560 transcript:itb01g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDGHRRRRGSGPASEVSVPVMGDHSALDRFRLQYIRDICTGNINPHRTSNVARAIQFLTSLGDTRMK >itb05g22250.t1 pep chromosome:ASM357664v1:5:27718165:27720814:1 gene:itb05g22250 transcript:itb05g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQPPQRNHTMQPVVGVRLAIWAGFALVLLTATSPAVHGLGVNWGNQAAQVLNPAIIVQMLKDNHIDKVKLFDSDHWTVNFFAGTGIEVLPGIPNNQLQRFADDFDNAKDWVKENISTHMHDGGVKIKYIAVGNEPFLKAYNGSFLHSVFPALQNIQKALDEAGLGNKIKATIPQNADVYSSGTGGPSDGNFRKDIRDLMIKICRFLRDHRSPFIVNIYPFLSLYENKNFPVEFAFFDGGAKPVHDNGRTYSNMFDANLDTLIWSLKKAQCEDVSVIVGEIGWPTDGNKNANMKMAEKFYKGFLRKMAKKEGTPVHKEEVEFYLFSFTDENTKSVAPGGFERHWGIFGYDGKPKFPIDFTGGGTEKMPVGAKHVPYLPAQWCVLNPDKKDSQSVGKNIAYACAMSDCTALADGASCENLDAHSRASYAFNMYYQINNQDVEACNFEGLAKIVKSNASTGGCLFPVALESGGTRIGVGITIFAGIFFFLALL >itb10g13540.t1 pep chromosome:ASM357664v1:10:19770605:19772138:-1 gene:itb10g13540 transcript:itb10g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLEMLFLGTRIVATAIASNTTTIACNTSISSHSRSAVHNGGSRQAPYCCRVTSFSPRINVETAAQTNGGGYQPTTHPPPELGQRRLPILTTSLPPFVMP >itb10g13540.t2 pep chromosome:ASM357664v1:10:19770605:19772138:-1 gene:itb10g13540 transcript:itb10g13540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLEMLFLGTRIVATAIASNTTTIACNTSISSHSRSAVHNGGSRQAPYCCRVTSFSPRINVETAAQTNGGGYQPTTHPPPELGQRRLPILTTSLPPFVMP >itb07g22750.t1 pep chromosome:ASM357664v1:7:27271953:27273024:1 gene:itb07g22750 transcript:itb07g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKEFEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTVPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLTVIGMVWNRIMLE >itb02g02730.t1 pep chromosome:ASM357664v1:2:1598431:1601839:-1 gene:itb02g02730 transcript:itb02g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKAKKFRKAHKPKPETGTDEKPVPHPEERKNEGGQELGKPAQVDSNNVEAEDDDDDFITNEVKRRLKELRRNSFMVLIPEEASPEDGEEDDDDDDEEQDDTESNLNQWRDVEAEGRQFWSGFDAVYDKYCERMLFFDRLHDQQLREFGSHVPSTPSPKSASKKLASPFRCLSLKKMDEPEDETEHLHQSGSDPYHDLETAYVAQVCLTWEILHCQYTQLSQKISCQPESATSYNHSAQQFQQFLVLLQRFIENEPFDQGLRPEIYARTRNSLSKLLQVPKVQGSDQKKSEEEEFLVLAPELIKIIESSILTFRQFLKMDKKKTGGIRALLGGQNQMATPLQQIQSSLEKKTIKLKDQRKRTKNWKNQSWPTTPVDVDLLLGLIDVKVMARVLRMEKITKEQLFWCEGKMKKLDVSDGKLQRDPAVLLFPC >itb14g14910.t1 pep chromosome:ASM357664v1:14:17979362:17979754:1 gene:itb14g14910 transcript:itb14g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLAVAGSRRCYGCKVVNDGVSVAWMAAAVEELGLPGDHAAVFLHLQATPAISSLLYVVASLLVHGWVRGRGFVHAFWATTRLEVAGGGRPVTSWLRAVGAGDICFWPSLLFVASFGAGLLSWLAGC >itb15g02920.t1 pep chromosome:ASM357664v1:15:1866193:1867157:1 gene:itb15g02920 transcript:itb15g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVNAAAKVQHVTKASSDELLRKFAEVGSESEDKRELRIVKRRKRIQAAAAGKEAVSFNGGSAVVERKSLLAPAGSKRSAALIRHLGIGKARVRARELRNKSFMGTIEKTWRKTIGGASKIFMEKHYNRHKRLINDIY >itb03g06960.t1 pep chromosome:ASM357664v1:3:5050804:5052451:1 gene:itb03g06960 transcript:itb03g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRLTRSQAMAAAAANTTTVVSKKLEESEKKAAAKSRNGNEQERSALFDITNDSPIVGVAMGSLETPSSSAMSKKRAIMNAVSKQLKTPGSGEALLRGQVKTLLQKVEEEAEVSKLSAEYRPFLHLKGVVNNSPTGLLAPTPANTPHLSLSGNNGLPSSPVEEEFLIPQMVDGICDWKKEEMEMEMESEKSMVTRTLFLDFSEKLESENKEKTRAGVDDDDDDSSVWSIQVNASSIRDEDEEEEEEEGEEECDEYYEEEEEEEVCDGGLMDELCEGISKMSVKFSGKHTRFVYNSDGELEGVVVEEKAASLCI >itb01g10260.t1 pep chromosome:ASM357664v1:1:8546782:8550823:-1 gene:itb01g10260 transcript:itb01g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLVLFSLLFGLVVNGKRPHSTIHVDPSASSSSSNFTTIQSAIDSVPSNNSHWVCISIKHAIYKEKVTIPVDKQYIYLKGDGNKRASIVWGSSGSINSCPTFTSYADNIKVENLNFVNSYNYPPKKSGARRIKQAVAAMVTGDRTAFYHCNFSGVQDTLLDAMGRHYFKHCIIEGAIDFIFGAGQSIFEECRIVVNVGSSMGAGNIGCITAQGRSGANDSNAFVFKNCNVTGNGKAILGRPWRGYATVIFFNSSLSDIILPQGWDSWFYSGYVDQLTFAEVNCYGPGSNTSNRVAWEAQLSQEMAEYFTSSNFIDADKWISKQPH >itb01g10260.t2 pep chromosome:ASM357664v1:1:8547136:8550823:-1 gene:itb01g10260 transcript:itb01g10260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLVLFSLLFGLVVNGKRPHSTIHVDPSASSSSSNFTTIQSAIDSVPSNNSHWVCISIKHAIYKEKVTIPVDKQYIYLKGDGNKRASIVWGSSGSINSCPTFTSYADNIKVENLNFVNSYNYPPKKSGARRIKQAVAAMVTGDRTAFYHCNFSGVQDTLLDAMGRHYFKHCIIEGAIDFIFGAGQSIFEECRIVVNVGSSMGAGNIGCITAQGRSGANDSNAFVFKNCNVTGNGKAILGRPWRGYATVIFFNSSLSDIILPQGWDSWFYSGYVTKGNAASHLSWVVLTSLLSVRKQGSVNIC >itb15g11710.t2 pep chromosome:ASM357664v1:15:9504309:9511392:1 gene:itb15g11710 transcript:itb15g11710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLILATIHRNKGQQMRSRQKKSSKTGRELGGRLVQDLPNCTALKNLSEKINNVKQFSSEACIFKVSEELRKTNSQAYTPLTISIGPYHYHHRKMERLKELYTQSFLNRAQGGVEECWKKLKDLQGKAESYYGDLDDIKFVDDDEFVKMLLLDGCFIVEFVIRSCLHYDAKGQAEDIYDPIFKISGMEDNIVRDMLLLENQLPFFILQELYNMISNRGNSEFSEKVKIAFRNKIPKMNIKSLLETKVNPQGIKHLLQEVHILCEPQNNGKIPQQQQQQEACSPPCQPQNNAKILQQQQQQVVCSPPCQPQPQGSGSDIESHSLSNRQDRHRHWRQFLSCFLSKFWEQPQQSKDDDAALLCSIRTASELQEAGVDFKKVVKISTDDSSNETISLFDIKFNNHGVLEIPSSALYDPTETFFRNLIAYEQHSPDVNPMYFTDYAKFMDDLINTEKDVNLLRLKNVFVNGLGDDKEVTRLFNDLCKGITYSSNNDFYYKDVYKELNRHCEKPWNVLKARLRRDYFHTPWAGISTLAAILLLTLTIAQTVLSALGLQK >itb15g11710.t1 pep chromosome:ASM357664v1:15:9504309:9511392:1 gene:itb15g11710 transcript:itb15g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLILATIHRNKGQQMRSRQKKSSKTGRELGGRLVQDLPNCTALKNLSEKINNVKQFSSEACIFKVSEELRKTNSQAYTPLTISIGPYHYHHRKMERLKELYTQSFLNRAQGGVEECWKKLKDLQGKAESYYGDLDDIKFVDDDEFVKMLLLDGCFIVEFVIRSCLHYDAKGQAEDIYDPIFKISGMEDNIVRDMLLLENQLPFFILQELYNMISNRGNSEFSEKVKIAFRNKIPKMNIKSLLETKVNPQGIKHLLQEVHILCEPQNNGKIPQQQQQQEACSPPCQPQNNAKILQQQQQQVVCSPPCQPQPQGSGSDIESHSLSNRQDRHRHWRQFLSCFLSKFWEQPQQSKDDDAALLCSIRTASELQEAGVDFKKVVKISTDDSSNETISLFDIKFNNHGVLEIPSSALYDPTETFFRNLIAYEQHSPDVNPMYFTDYAKFMDDLINTEKDVNLLRLKNVFVNGLGDDKEVTRLFNDLCKGITYSSNNDFYYKDVYKELNRHCEKPWNVLKARLRRDYFHTPWAGISTLAAILLLTLTIAQTVLSALGLQK >itb12g21660.t1 pep chromosome:ASM357664v1:12:23949506:23952261:1 gene:itb12g21660 transcript:itb12g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLHQTQPADLEIQEKGIVSDVPKKVEDGGFIVPESNAFGNTFRDYSADTERKEIVKALYRQSHINQTYDFVKEMREKYRKMDRVEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTALIHDLGKVLLLPSFGGLPQWAVVGDTFPLGCAFDESNVHPEFFKENPDYNNPAYNTKNGVYSDGCGLENVLMSWGHDDYMYLVAKENKSTLPNAGLFIVRYHSFYPLHKSGAYTHLMNDEDRENLKWLKIFNKYDLYSKSKVRIDVEKVKPYYESLIKKYFPEKLKW >itb01g13510.t1 pep chromosome:ASM357664v1:1:13985277:13985675:-1 gene:itb01g13510 transcript:itb01g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSYFSVVVVSAVSGLLGKIPGFGTNDLVITLIVILGALFSIQPFGSSKVRFTFVPALAIWFFRLGSIRNNVC >itb01g03420.t1 pep chromosome:ASM357664v1:1:2260804:2261680:1 gene:itb01g03420 transcript:itb01g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGKRFQGKVAIVTASTQGIGFSIAERIGGEGAAIAISSRKQKNVDEAVEKLRRKGIEVLGVVCHVSNAEHRKNLVGRTVQAREDRRHGSGHRQMLLI >itb06g19540.t1 pep chromosome:ASM357664v1:6:22840417:22840821:1 gene:itb06g19540 transcript:itb06g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQKVHVFEEVAQHNKTKDCWLIIDGKVYDVTPFMEDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYLIGVIDKSTVPLKRTYIPPPQAPYNPDKTPEFVIKILQFLVPLLILGLAFAVRHYTKEK >itb12g01910.t1 pep chromosome:ASM357664v1:12:1291564:1295742:1 gene:itb12g01910 transcript:itb12g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVMMWVVSPASEVGSGFLDSVRDGNRVLAWDRSLVCNDYRVKLGGKRRWNSSSLNADLKFSCSRGSGLENGGRFSVLASVVATPAGEIKMASEQKVYDVVLKQAAMVDRKFRSSEKPEVKTDMILPQNLGVLSEAYDRCGEVCAEYAKTFYLGTMLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPKALDRWEARLDDIFGGRPFDMLDAAVSYTVSKFPVDIQPFRDMIEGMRMDLWKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPDSNATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGRVTDKWRNFMKKQILRARKFFDEAERGVTELSSASRWPVWASLLLYRKILDEIESNDYDNFTRRAYVSKPKKLLMLPIAYAKSQVPASLN >itb15g22670.t1 pep chromosome:ASM357664v1:15:25358712:25360718:1 gene:itb15g22670 transcript:itb15g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLREIESKRAHDPRGFGHDKKKITCVCVPGPIIVGAGPSGLAVAACLKEKGVPSVVFERSECIASLWQLKTYDRLRLHLPKSFCELPLMPFPDTFPTYPSKQLFIQYLEDYAEKFDIRPVFNRAVVSAEYDGVLGLWRLRTKGTEEEKEEEEAEYVCRWLIVATGENAEAVAPRIEGAEEFFGQIIHTSSYKSGEGFAGKKVLVIGCGNSGMEVCLDLCNHNAFPSLVVRDKVHVLPQDILGKSTFGLAMLLLKWFPMRLVDGFLLAVSRLLLGDTAQFGLRRPKVGPLELKNFAGKSPVLDMGTLSKIKSGDVKICPGIKRIKRYTVEFENGKEENFDAIVLATGYKSNVSSWLKENEMFSEKDGLPKKPFPNGWKGEYGLYAAGFTRRGLFGASMDAKRIAEDIERCWVGAER >itb13g24040.t1 pep chromosome:ASM357664v1:13:29779876:29784078:1 gene:itb13g24040 transcript:itb13g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALSFFVSSGSAALPGFAVSKPSLSFAPARGFRVPVKAPVGPFIVRASNSDLDLRSSRVLVSDNGSGGAGAVSAATQELGAIDVDAVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHRMVIERVRRLNDEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGQVWTFSVRTFDSNQPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCICTDPGLLLPRANLTFWRGGKLVRERNAMLPTISAKDWLDIDFGVAEGVDFIAVSFVKSAEVINHLKSYIKARARDSDISVIAKIESVDSLKNLEEIVRASDGAMVARGDLGAQIPLEQVPLQQQKIVQICRQLNKPVIVASQLLDSMIEYPIPTRAEVADVSEAVRQRSDALMLSGESAMGQYPDKALAVVRTVSLRIERQWREKKQREAIELRSIASSFGDRISEEICNSAAKMANHLEVDAIFVYTKDGHMASLLSRCRPDCPIFAFTDTTSTRRRLNLQWGLIPFRVNFADDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMDVP >itb12g01050.t1 pep chromosome:ASM357664v1:12:736433:737261:-1 gene:itb12g01050 transcript:itb12g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSCEPEMACKKHSNRKQQPGVCSICLRERLSKIPGAVLVSSPPSAASSASYSPRPADYCYSSGSSSGRGSPRGHRRITSDVVSHFSFIFSVAGVGALKKSRSIALVAKGGPGSSHGAGKKKEGFWSKLIRSTGKKTRRVFLP >itb13g01960.t1 pep chromosome:ASM357664v1:13:1788681:1791403:-1 gene:itb13g01960 transcript:itb13g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKKLCSLQAFLEGFKRGGGDGAEIIDLEIKIRDFVLKAEDNIEIQVSNFLLAKDGGHPQKASQELHQTLREAAKNAAELLKMTISTSNEVKMVGPENVKLTGSSQCFPKLEGRIMVGRHYDRTVIINSLLEEYEYEFGFFGRRVISIVGMMGIGKTCLATSIYNDPSVASHFQVRAWITLPQEYQDVDQTLWDLLSSLSGIEPSFNLYSREPSRILITTTHFNQERDNFTKFYKHNMAFLDPKESWDLFCNILSPKESMAPKLEQIRSEVLEKCEGLPQLIVEVAKRLSKCNNIQQEWKKIEKELELVGTLDRTVLARIYNQLPKYVNACFLYFGVFPKRSEITVKTLIRLWVAEGFVEPLEHKKLARSIFFFHENPEISAPLKLLRVLAFVPPPFFRRMQMHFGDFIFLRYISVAQWFEGLDYIVSINCNLQTLVVSGNESQAGASTVHLSSKIWESPQLRHLELGNSYTVDPPSVDKKSFQTLSWVSPTYCRKEMYSKFPKLKALKIFLKDELEVNCTCGSCSNPIILDNLDYLEGLEKLTISVSIDCNVTLQNRSMFPFLLEKLRLSGLNLSERHLTVIGMLPQLKVLKLENSFHGKVWEVSEGGFRQLGFLLLEAKSLEQLKANKYSFPYLQHLGLRFCYCLEEIPQSFAHIFKLQSIELKQCSPSVVAAAKQILEGLHPIRRRVFEIKIDGSEYEESKYPQVEDYLAEITVS >itb04g28620.t1 pep chromosome:ASM357664v1:4:32295996:32297447:1 gene:itb04g28620 transcript:itb04g28620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNTTICFRASCCFLLFMSGFSSISFIFWSSYTANSFFSIAQIVQREEEHPVNLLEFPYAWNHLDFASEPPHRQLKIALFVKKWPDKHRAGGLERHALTLHLALAKRGHELHIFTSNSSSFPVYPALANLHFHLSKPTAAGYLDQATVWEQFRAENSTGRPFDVSHTESVGLRYTRSRNLSNLAVSWHGIAYESIHSDIIQELLRAPEQSQSIALTERVKKVVEEVKFFKHYAHHVATSDHAGEVLKRIYMIPEERVHVILNGVDEHVFIPDAAKGIEFKLKFGIRESKSLVLGLAGRLVKDKGHPLMFEALRQIFMENSTFRDSVLVLVAGNGPWGARYKELGSNLLVLGPLEQAELAGFYNAIDIFVNPTLRAQGLDHTLLEAILTGKPLMATNLPSITQSIIFSKEMGYTFSPTVEALKKALYRVWEDGREVLKQKGNLARERGLKLFTATKMAAAYESLFFCIYNNDYCMYKPQIS >itb01g24840.t1 pep chromosome:ASM357664v1:1:30353689:30354714:-1 gene:itb01g24840 transcript:itb01g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLRAPAPCLTQADLQAKINEVRGLIGPALSKFPTMSSDASILRFLRARNFNTKKTAKMLKETLKWRMEYKPEMIRWEHIAREGETGKVYKANYCDKIGRTVLVMRPGFQNSSKTDGQIRYLVYCMENAVLDLKPGQQQMVWLIDFRGWNMSSISVKTTQETARVLQNRYPERLGLAILYNPPKLFESFWTVRELV >itb14g07970.t1 pep chromosome:ASM357664v1:14:7363913:7365523:-1 gene:itb14g07970 transcript:itb14g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPVEVIGNILSHLGAARDVVVASATCRKWREAYCKHLRTLSFNSDDWSVYRDLTTSRLEILITQTLFQTTGLQGLSILMDDVDEFSAATVIAWLMYTRETLKWLFYNVRTSPNVNILEICGRQKLEMLVLAHNSITVFEPNYQMFHCLKSLSLSYVSISALDLNLLLTACPKIEMLALVNPEIAMSDAQVIVELNSATLKSIYIEAVTLDKFILEADVLEILHIKDCALELFELIGKGTLKHFKIDDVSVIHLDVGEAVDNLETVDVSNFTIIWSKFYQMISKSSKLRRLRLWDVVFDDEDEIVDLETIAVCFPQLTHLSLSYDLRDDLRDGALLYGWQGSTSLEHVLVLELGWTVINDLFTHWVASLLQRCPNLLKLIIHGAISETKTHEECQTLANLTSSVVELMRRYIHVDVQFEYE >itb04g02300.t1 pep chromosome:ASM357664v1:4:1359396:1362920:-1 gene:itb04g02300 transcript:itb04g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLIFLFLSTQLLGLSLSETSNASTTVSLLMAIKASLDPGNLVLSSWSPNVTDPCSFEGIACNELGQVVNISLQGKGLYGKIPPEIGQLSALSGLYLHFNNLHGIIPKEIALLTQLSDLYLNMNDLSGFIPSEIGNMPNLQVLQLCYNRLDGNIPNKLGSLKNLTVLALQSNQLRGAIPASLGGLTLLTRLDLSFNHLFGSIPVKLSEAPKLEILDLRNNTLSGNVPLGLKRLNEGFRYENNPGLCGTGFPSLALCSDSSLNPTKPEPFGQGSNHLPTKDIPESANIPHQLNQNRKPQAAAVVGIIGLFVILTVAGLFTFSWYRRRKQQIGNTIDISESRVSTDQPKVLCRRSTSPLISLEYSNGWDPLAKDQSGSALSQEVLESFMFNLDEVESATQYFSEMNLLGKSSFSAVYKGTLRDGSTVAVKCISKTSCKSDEAEFRKGLKLLTSLKHENLLRLRGFCCSKGRGECFLIYDFVSNGNLLRYLDVKDDKGKVLDWSTRTAIIKGIARGIEYLHGNKRNKPALVHRNISADKVLIDHLYKPLLSDSGLHKLLADDIVFSTLKGSAANGYLAPEYAITGRFTEKSDMYAFGVIILQILSGSCVVTQSNHQGAELCRFEDFIDAKLGKNFVESEAAKLGKIALLCTHDSPSRRPTIDVVIQELNDLTCSS >itb04g17810.t1 pep chromosome:ASM357664v1:4:21085000:21088330:1 gene:itb04g17810 transcript:itb04g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQNPGALFLSGFRRLAAMLRVAVPLPLLPCQQQWSSAARQPNDGRHPPSANQYRDGPPLLPAMVSFGRSPSRVAALAMVVNSSGERVASR >itb02g24360.t1 pep chromosome:ASM357664v1:2:24908141:24908526:-1 gene:itb02g24360 transcript:itb02g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIMRSFNFGILSNPIIPIIAPLFPIRSHKRFLVLLQDSSPPSRPVGFITVVLFTVCLLSPVWLRWFDGAFDSLRSLWAAVGGGVG >itb02g23420.t1 pep chromosome:ASM357664v1:2:23370215:23370844:-1 gene:itb02g23420 transcript:itb02g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVILLSITTTMILIFSSLLGSAQSRILVPRNEAIMVDRPALSPPPSPPPRQAMNPFLWSATTDDFYKFTAFVFRSTNVVLPKSLRNLFVFADKNGGCCGRSNPPKPPSPDPNPPDRQIDGDQNVAANGGYRTPASRSLSWPLPLIFPPTIIDSNNWYGRESPKSPPPPTANTPGRQIDGDQYVAATGGYTRPPSVGPPPPPYPPVE >itb10g18800.t1 pep chromosome:ASM357664v1:10:24625154:24626631:1 gene:itb10g18800 transcript:itb10g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSSLRSVASLLLLLNFCMYVVVLAIGGWAMNLAIDHGFIIGPELHLPAHFSPIYFPMGNAATGFFVTFSLIAGVVGVASVLAGINHIRHWTIQSMPAAASAAAISWSLTALAMGFAWKQIELNIRNANLRTMEAFTIILTVTQLVYIAAIHGPSRMA >itb02g07710.t1 pep chromosome:ASM357664v1:2:4806158:4808431:-1 gene:itb02g07710 transcript:itb02g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYPRLSEEESYEMENTMDPELYMATTKGDLLEFIRAMEEKIPDSHHGSTADCVQLAPQKNTVLHLATIHGHDEIVKLICKDLPFFVAEKNVKGDIPLHIAARTGSSLLVALLSGADYREGCLGETNTEGNTALHEALRHHHKDVAQMLILKHPNMSYGVNKEGKSLLYLAAEAGFESIVKILMENPVGRYAIPENNKHKSPIHAAIIGRNIDVLRLLWEYDKLSFETRCEKGWNPLHYAADNGYLDGVDFLLNRCHEFGYHRDKQGFFPVHIASIRGHCNIVSMMLQCRPDSIELLTLQGQNILHVAAKGRRLKAFDYMLKMPEVERLINQRDEDGNTALHVATIYGNPKVVSSLMWDDRVRLGLENSNGLTALDIAEDHMRTDIESFQKRLTWMALKVGGAPRAPKIKLLNGNGRFMVRQHPRMEDYRDRVNVILLVATLVVTVTFTAGFTIPGGSNNSSPNLGIATMLQKVKFQEFIICDSIAMYSAIIVAVTMIWAQLGDISSMQVAFRLALPLLWISLAMMSAAFMAGVYLVVSKIGWLANTVLFMGSNIIVLLALVFLPLCFLGPSNHRSFRCLSYYPFCLVLSALGSYAEEEEEEEAMFG >itb04g09970.t1 pep chromosome:ASM357664v1:4:9216596:9219174:1 gene:itb04g09970 transcript:itb04g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAVVLPRPVTFVTGNAKKLEEVRAILGTSIPFQSLKLDLPELQGEPEEISKEKARIAAKEVKGPVLVEDTCLCFNALKGLPGPYIKWFLQKTGHEGLNNLLMAYEDKSAYAMCIFSLAIGPNVDPITFVGKTLGKIVPARGPNDFGWDPIFEPDGYEQTYAEMPKEEKNKISHRSKALALVKSHFSDAGYTFQTDAST >itb04g06430.t1 pep chromosome:ASM357664v1:4:4180241:4183344:1 gene:itb04g06430 transcript:itb04g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHHPIHHAFFFFFIILLLSFPNYSESLTTHEDDERAILLILKQHWVYPFSLFEQWNSTSSPCDWPGISCNFNRSVTRISLSGMYTGGSFPDYSTILCHLNNLVSINFSSNNLWGTIPANLSSCSKLETLDLSTNDLTGEIAGELFSMKTLRSLNLGHNMLSGEIPKPMVAYSLESLDLSYNLLNGPIPKDIGNLYNLTYLDLSGNCLSDPIPAGLLRLHQLSYLSLASNNLIGEIPSQLFSMKKLGSLNLGQNMLSGEIPTPKVVYNLLEYLNLSSNHLNGAIPDDIGNLYNLGYLDLSMNSLSGQIPATLLHLQQLSHLSLSFNNLTGKIPSQLFSLKKLRSLRLSQNKFSGEIPAPKVPHSLEDLDLSSNQLSGSIPGELFSMKWLRRLYLQYNMLSGEIPTPIVAHNLMDLDLSSNQLNGSILDDIGNLYILGYLKLSNNSLSGPIPARLSQLQHLSQLSLSYNKLSGEIPTSWVSYSLEDLDLSSNHLNGSIQEDIWSSYNLIRLDLSNNSLKGPIPVVLLQLPQLSHLSLSYNKLIGEIPAGLFAIPSLSSIDLSHNHLSGTIAKGFGELLQLRARTTLAICFNNISGRIPYKLVKERFIHSCFDEANLCSDVKEKGLPACSTDWCSDDTIRGYMDCSSKSKKKSNYIIITCGAIAGIILIGLGILIMVFRPRVGRRKESDGEEWSMISFQRLEFNKWDILGGLTDENLIGNGGSGKVYRVITKKDNRVAVKSIWHEQKQGQGLMEKQFLAEVKILGRIWHNNIVKLLCCIRGKTTKLLVYEYMDKQCVHKWLHGKKRGLTTQVLQWETRLKIAMGAAQGLCYLHHNCSPPIVHRDIKSSNILVDSDFNAKIADFGLAKIMASEGDPETASAIVGTFGYIAPEHGSTRKVDAKCDIYSFGVVLLELTTGREAVTRNEDVNLAQWAHKHLREGNSAADALDEEIKDPRYLEAMIAVFKLGLACTLSSPSSRPSMKDISQILQRCSVNNHMSPES >itb13g14760.t1 pep chromosome:ASM357664v1:13:21340820:21345490:1 gene:itb13g14760 transcript:itb13g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDEEFLSHKLPSPSQVVEELKELWGMALPITAMNCLVYVRAFVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLRLSLHRMICILLVAIVPISLLWLNLEPIMVFMGQDKEITSMAATYCLYSLPDLLTNTLLQPLRVYLRSQGVTKPQMWCTFVAVVFHVPLNFVLVVGMGMGVPGVAVAAVLTNLQMLVLMMGYVWVCGRWEWKWGCGGIGGVIGVGPLLRLAVPSCVGICLEWWWYEIVTVLAGYLPNPRLAVAATGIIIQTTSLMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALACAYVIGFMNVIWTVIFREKWAGLFTKDEMLKALAASVLPIIGLCELGNCPQTTGCGILRGTARPAVGARINLGSFYFVGTPVAVGLAFWLKLGFGGLWFGLLSAQAACAISIIYAVLCCTDWEAEALKAKKLTVVEMGQCAVEIKHEESKGLLVSDCSNTLDDVL >itb10g15670.t1 pep chromosome:ASM357664v1:10:21883775:21884524:-1 gene:itb10g15670 transcript:itb10g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCENCRWAHIASHLPGRTDNEIKNYWNSWIKKKLKKPQSTSHHHQTTTTIIGTNSNGTHQQIQFPFNNNPANDQRFFTQDLATKPLQFQETLFSTPNIITTIAPFSTFDPLFQAGQGDCSANRVDPLFQDSNEFWQQQIQSTTSFGSGMGSGYLLPPLMDDLGPLDVPPPCSLFDAARDNHASEWGVDTTQQQQQRQQQQQCPGSNYLLWDEVTEGNLGGEEEELIGVGVPTTSPMAAMLSSFPPSL >itb01g06680.t1 pep chromosome:ASM357664v1:1:4887087:4890015:-1 gene:itb01g06680 transcript:itb01g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASCNNNALPPFLAKTYEMVDDPSTNSVVSWSLNNKSFIVWNPPEFSRDLLPRYFKHNNFSSFIRQLNTYGFRKVDPEQWEFANEGFVRGQPHLLKNIHRRKPVHSHSTQNLHSSPLNESERQGYKDDITRLNGDKESLLLELQRHKQDHQGLELQMQRLTERVQHVEQGQRSMLSSLARTLDKPELELSLMPQMGLPDRKRRLPGNNYLYTETGTEENQTSTSQILMTENMISTSLVTFNKELLDQLESSLIFWENILQDVGQTVVQESSAIELDESTSCADSPAISYTQLNDEVEPKTSGIDMNAEPTANPVLEVAPPEEAGVAPNVPTGVNDLFWEQFLTENPGSTDAPDVLSERKNLDGRKSESKPVDQGKFWWNVKSVNSLAEQLGHLTPAERT >itb01g06680.t2 pep chromosome:ASM357664v1:1:4887087:4889814:-1 gene:itb01g06680 transcript:itb01g06680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASCNNNALPPFLAKTYEMVDDPSTNSVVSWSLNNKSFIVWNPPEFSRDLLPRYFKHNNFSSFIRQLNTYGFRKVDPEQWEFANEGFVRGQPHLLKNIHRRKPVHSHSTQNLHSSPLNESERQGYKDDITRLNGDKESLLLELQRHKQDHQGLELQMQRLTERVQHVEQGQRSMLSSLARTLDKPELELSLMPQMGLPDRKRRLPGNNYLYTETGTEENQTSTSQILMTENMISTSLVTFNKELLDQLESSLIFWENILQDVGQTVVQESSAIELDESTSCADSPAISYTQLNDEVEPKTSGIDMNAEPTANPVLEVAPPEEAGVAPNVPTGVNDLFWEQFLTENPGSTDAPDVLSERKNLDGRKSESKPVDQGKFWWNVKSVNSLAEQLGHLTPAERT >itb15g18720.t1 pep chromosome:ASM357664v1:15:20514302:20516608:1 gene:itb15g18720 transcript:itb15g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANKNVVNPRVSLFGVGNIVAKQYSQAKSFASFLSNDVGLDMGIFSEIPQPIIAAKDPEMHRGLPAIRFREEDVKHMCVIEKHLLVGKFSSENKSSSTPVWVRFPMLSASLFSTATLKQLCKPIGKFLATDKATLGFSKLSFARVKVKVHLLKPLVNEVFIGFSRRQGMQDKGGILWKLVSGLLVRILGLVVNEVEASLGEVLVKRLKTDQVVGVEGIVEEEGSGFSR >itb14g04420.t1 pep chromosome:ASM357664v1:14:3998206:3999817:1 gene:itb14g04420 transcript:itb14g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTDALKRKGKAVQNKSFPWPLCALLLLVFIGLYFYIIALSSYSKTHQPTPHQPFIINSTFSSPLHSLSPPKDKDDESVCDYTNGKWVFDNLGPLYNDESCGTVKEGRNCRSHGRPDVEYLKWRWAPEKCNLPRFDPRTFLQILKNKHLAFVGDSLARNQLESLLCMLATVSPPELVYLHGEDSQFRKWHFPAQHLNVSIYWSPFLVKGVEKNNEKNYNVLYLESVDESWGSDLGEIDVLVLSVGHWFLLPAVFYYEGKVLGCHYCEGQNYTEIGFYGAFEMAHKTAFKTILERKGPSGGSIDVIVTTFPPAHYEGEWDKFGACSKTLPYKPEEKVLEGIEYEMRNVGIVETKEAEAKSKAKEFGNRIRFEALDITKLSLLRPDAHPGPYMNPNPFHDGIKDHVQNDCVHWCLPGAIDTWNEILLEVVKRWSGESRKER >itb15g05780.t3 pep chromosome:ASM357664v1:15:3716461:3721923:-1 gene:itb15g05780 transcript:itb15g05780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWEKGSDGGGFSGGLRCLVRRKQVDSAHSKSSSSSSGHGRDHQLAKALTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALAFSFLIAGIAAALSALCYAELSSRCPSAGSAYHYSYICVGEGVAWLIGWGLILEYTIGGSAVARGISPNLALLFGGQDSLPAILARHTIPGLNIVVDPCAALLVCIVTGLLCVGIKESTMVQGFVTTANICAMIFIIVAGGYLGFKSGWPGYELPVGYFPFGVDGMLAGASTVFFAYIGFDSVASTAEEVKNPQRDLPMGIGFALSICCTLYMLVSAVIVGLVPYYAMDPDTPISSAFASHGIKWAAYVVTVGACTALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKSTQVPIKSTLVTGLFSGTLAFLMDVDQLSGMVSVGTLLAFTMVSVSVLVLRYVPPDEVPLPSSFREAIDSVCLHYSSGTNGADIDEESTKTATITSDEGLPLLSRVPFGHPLLEKAAAQINLLVSERRKTAGWTIMFTCLGVLVLTSAASTVGLPNSVRFTLSGIGGFLVLSGLVVLTTIDQDVARHNFGHSGGM >itb15g05780.t1 pep chromosome:ASM357664v1:15:3716461:3721923:-1 gene:itb15g05780 transcript:itb15g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSDWEKGSDGGGFSGGLRCLVRRKQVDSAHSKSSSSSSGHGRDHQLAKALTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALAFSFLIAGIAAALSALCYAELSSRCPSAGSAYHYSYICVGEGVAWLIGWGLILEYTIGGSAVARGISPNLALLFGGQDSLPAILARHTIPGLNIVVDPCAALLVCIVTGLLCVGIKESTMVQGFVTTANICAMIFIIVAGGYLGFKSGWPGYELPVGYFPFGVDGMLAGASTVFFAYIGFDSVASTAEEVKNPQRDLPMGIGFALSICCTLYMLVSAVIVGLVPYYAMDPDTPISSAFASHGIKWAAYVVTVGACTALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKSTQVPIKSTLVTGLFSGTLAFLMDVDQLSGMVSVGTLLAFTMVSVSVLVLRYVPPDEVPLPSSFREAIDSVCLHYSSGTNGADIDEESTKTATITSDEGLPLLSRVPFGHPLLEKAAAQINLLVSERRKTAGWTIMFTCLGVLVLTSAASTVGLPNSVRFTLSGIGGFLVLSGLVVLTTIDQDVARHNFGHSGGFVCPFVPLLPIASILINVYLLINLGAATWARVSIWLLIGVIVYVFYGRNHSTLQHAVYVPAAHVDEIYQSSAHTLA >itb15g05780.t2 pep chromosome:ASM357664v1:15:3716461:3721923:-1 gene:itb15g05780 transcript:itb15g05780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSCLVNWMGADIGIHNWRFCSGTGHISKPGDALLFGGQDSLPAILARHTIPGLNIVVDPCAALLVCIVTGLLCVGIKESTMVQGFVTTANICAMIFIIVAGGYLGFKSGWPGYELPVGYFPFGVDGMLAGASTVFFAYIGFDSVASTAEEVKNPQRDLPMGIGFALSICCTLYMLVSAVIVGLVPYYAMDPDTPISSAFASHGIKWAAYVVTVGACTALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKSTQVPIKSTLVTGLFSGTLAFLMDVDQLSGMVSVGTLLAFTMVSVSVLVLRYVPPDEVPLPSSFREAIDSVCLHYSSGTNGADIDEESTKTATITSDEGLPLLSRVPFGHPLLEKAAAQINLLVSERRKTAGWTIMFTCLGVLVLTSAASTVGLPNSVRFTLSGIGGFLVLSGLVVLTTIDQDVARHNFGHSGGFVCPFVPLLPIASILINVYLLINLGAATWARVSIWLLIGVIVYVFYGRNHSTLQHAVYVPAAHVDEIYQSSAHTLA >itb05g00630.t1 pep chromosome:ASM357664v1:5:535626:538897:-1 gene:itb05g00630 transcript:itb05g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQTTPSSTAPATGEYNAASIPPGGVVTILSIDGGGIRGIIPGTIVAYLEEQLQALDGPDARVADYFDVIAGTSTGGLMTTMLAAPDKNKRPLYAGKDIVPFYKEHGPKIFPSAGALEVVRSATSLLAGPKYDGKYLHQVLQDQLGDTRLSETLTHVVIPTFDIKTFQPTVFTTCDVKDSPEKNAKLSDICIGTSAAPTYLPGHYFDTADASGGKVEFNLIDGGVAANNPTLVAISTVTQRMVAQDPNFLTSSTSSNEPIGCHRFLILSVGTGNAKSSDKYTAEQAAKWGVLAWLVQGDGNPLIDVFSGASADMVDYHIATIFQALQAGDNYLRIQEDDLQGSTASVDVTTKENLDALEKVGKNLLTKTVTKMNLLTGKNEPVPEAGTNQDALKRLAGLLSEERRRRTIAALTASK >itb04g00870.t1 pep chromosome:ASM357664v1:4:469953:473459:-1 gene:itb04g00870 transcript:itb04g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYDAAFVNSELSKPTSIFGLKLWVVIGIFVGAVIVLILFLISLCITASRRRTSKAKLLYSHPAAAELTPVVSKEIQEIVHHDAAPDHRPVAQAVPEIQIDMGKVEHRIVFSDKGPGASSGESRATSGAETGSIGGSGSLPEVSHLGWGRWYTLRELEAATDGLSDENVIGEGGYGIVYRGALGDGTRVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVNNGNLDQWLHGDVGEVSPLTWDIRMNIILGCAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWHAKLSDFGLAKLLNAESSYVTTRVMGTFGYVAPEYACTGMLNEKSDIYSFGILIMEIITGRTPVDYGRPQGEVHLVDWLKTMVGNRKSEEVIDPKLPEVPSSKALKRALLVALRCVDPDAQKRPKMGHIIHMLEADDVLFRDERRIGRESSTSSHRDHKEDGNAGPNIGKKQYGDGASDTSEGDSSRSHNPPTRWR >itb08g06840.t1 pep chromosome:ASM357664v1:8:5856069:5862486:-1 gene:itb08g06840 transcript:itb08g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTKLFQPLRPPATTSATCRGVAFASPHLFPESHRNFYGGGGNSYCLLGSRKRARTTNYNNKNRNHCYMDSNGLDSDGREFRNAEEMWREEVGEEGSKSQWYGTAIRYWEKVDATVDGVLGGYGHVNDADVAASDAFLTSILAERFPAQRDAPTAARPSRHLVALDCGSGIGRVTKNLLLRHFNEVDLLEPVPHFLEAARVNLAPENLTVPEMHRAANFYCVPLQDFTPDARRYDVIWVQWCIGHLADDDFISFFKRAKIGLKPGGFFVLKENIARTGFVLDKEDKSVTRSDQYFKDLFNQCGLYIYTMKDQKGFPDELFAVKMYALTTEMPRRPTAKSKSKRLSNRPAIIK >itb05g02780.t1 pep chromosome:ASM357664v1:5:2277834:2278546:1 gene:itb05g02780 transcript:itb05g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILFSVGLALIIQAREPPDLGALESPATGRHFSILALLLSVGSKGWQWFPVIDTDRRRGFVQRRWAAKRRNIGDEVVRRNNDTMAPSPPPVDGENMVSLAAGGRQWLQPPPYGAAMRGRWRRCCFFWLSERVSRMWTRSMAVGVWHRDRRSSEMRRRRAGFCFFIDGDVQRGGATEAGWLLLRPAAPTSTAAESGVR >itb02g11650.t1 pep chromosome:ASM357664v1:2:7771782:7779861:-1 gene:itb02g11650 transcript:itb02g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTGKLKSVDFYRKIPRDLTEASLSGAGLSIIAALCMVFLFGMELNNYMTVSTTTSIVVDKSSDGDFLRIDFNLSFPALSCEFASVDVIDVLGTNRLNITKTVRKYSIDSNLRHTGSEFQSGAIVAVKHDEEVDEEYGEGAISLNGRTFDRIVHEYPILVVNFYAPWCYWSNRLKPSWEKAAKIIKERYDPEMDGRILLGKVDCTEEVDLCKRNHIQGYPSIRIFRKGSDLKEDHGHHEHESYYGDRDTESLVKTMEELVAPISLESQRVASDGKSNKLANGTKRPAPATGGCRIEGFVRVKKVPGNLVISAHSGAHSFDGSQMNMSHVISRFSFGKMISSREMSDVKRLLPYLGQNYDKLSGKTYITNPKDSSENVTIEHYIQVVKTEVMTRSYKLVEEYEYTAHSSLVHSFDLPVAKFHVVPSAMQVIIKENAKSFSHFLTNVCAIIGGVFTVAGILDSILHNTMRLMRKVELGKNF >itb05g25100.t1 pep chromosome:ASM357664v1:5:29679113:29681506:1 gene:itb05g25100 transcript:itb05g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGLGFSGFLKLSIFVVLFGIGAGEDDVKCLRGVKSSFRDPDGNLGLWNFDNTTAGFICKFVGVACWNDNENRVIGLELRELNLGGEVPGALQDCHSLQNLDLSGNGLSGTIPSEICRWLPYLVTLDLSSNDLTGPIPPDLGNCTYLNKLILNDNKLTGNIPSQIASLGRLKTLSVANNDLSGRLPASFDGLDPSGFDFGGTDLCGGPAGKCGGLSKKNLAIIIAAGVFGAAASMLLGFGAWYWYFTKSANNRRKKGYGVGKAESDSWVGIFRDHRLTQVVLFQKPLVKVKVVDLLAATNNFSTENIINSIRTGTAYKAILRDGSVLAIKRLSNCKMGEKQFRMEMHRLGQLRHPNLVPLLGFCTVEEEKLLVYKHLSNGTLFSLLHGNLDVLDWPTRFRIGLGAGRGLAWLHHGCQPPILHQNFSSHVILLDEDFDARIMDFGLVRLMAPPDAHETSFLKGDLGEFGYVAPEYSSTMIPSTKGDAYSFGVVLLELATGQKPLEVTTAEEGFKGNLVDWVNQHSGSGRIKDVIDKSICGRGHDEQIVQFLRIACNCVVSRSKERWSMYQAYEALNKMAAQQGISEQYDEFPLIFNEQDTTSPM >itb15g00830.t1 pep chromosome:ASM357664v1:15:477597:480645:-1 gene:itb15g00830 transcript:itb15g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWNKVSSWWETSSSSTKVSCIIVLPLILFYGSLTIHNFSGSDFAISHENQTGLGFSHSSMEAAEPQKPEEHNLDITRKEPEVSTSTNSSHNSHKVPETANVLKKLSNLERVEAGLTRVRAAIREAKSGNQTFEEPDYVPSGPMYRNPRAFHRSYLEMEKRFRIFIYEEGEPPVFHYTFSKGILGIEGILIHQLEISKFLTKNPEKAHVFFLPFSTYSLVQFVFVRESHAWGPMQNTARDYIDGISTKYPYWNRSLGYDHFMLACHDWAPTISNAIPKLFKNSIRVLCNANTSEGFKPSKDVSLPEIYLPKGNMDGLIGGPSPSKRSVLVFYAGGIHGYIRQVLMETWENKDPEVEIHEYLNKKKVSYYGMIRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKDHYAKPFADVLNWDTFAVDIPVKEIPNLKKILLSIPQRKYLRMQKRGIQVRRHFEVNFPPKRYDVFHMILHSIWLRRLNIQVHDTQGTS >itb15g00830.t2 pep chromosome:ASM357664v1:15:477634:480645:-1 gene:itb15g00830 transcript:itb15g00830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNPRAFHRSYLEMEKRFRIFIYEEGEPPVFHYTFSKGILGIEGILIHQLEISKFLTKNPEKAHVFFLPFSTYSLVQFVFVRESHAWGPMQNTARDYIDGISTKYPYWNRSLGYDHFMLACHDWAPTISNAIPKLFKNSIRVLCNANTSEGFKPSKDVSLPEIYLPKGNMDGLIGGPSPSKRSVLVFYAGGIHGYIRQVLMETWENKDPEVEIHEYLNKKKVSYYGMIRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKDHYAKPFADVLNWDTFAVDIPVKEIPNLKKILLSIPQRKYLRMQKRGIQVRRHFEVNFPPKRYDVFHMILHSIWLRRLNIQVHDTQGTS >itb06g09980.t1 pep chromosome:ASM357664v1:6:14244874:14245778:1 gene:itb06g09980 transcript:itb06g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHIDDNAIIELVSATHNPDGTDFDINVALSVAETILNFETATDGEAMEGKLKELGLNYKNVPLKIRQLCLEIPSEQLSTIDGHLTTICLLSTLSAYSWEAKLVLMLIAFSINYGKLNIISRLGYKKGLTKQLTFVTQTTNPTSTSYKQNPFNDSIKHALDLTRCIVELKQSTSYSLTQSVISAMPIASYWIGRSVVTNATYCPGLLTAGVK >itb06g23110.t1 pep chromosome:ASM357664v1:6:25216532:25217976:1 gene:itb06g23110 transcript:itb06g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIRFPGFVSTNPAGSRPTTSKNIRGLKTLGLGGLHLCSSVLKTSNKSLQSSFVNPNRGLLVCFSGTEPGIPLPSGPPDSNPLKGWVIGLLISVVIPFFRHKFGSFLQIKSAIDKVEEIVHGVEKVAEVVEKVAEEIGENLPAGKLKDAVEMIEHVAEKADKDAEALGEFIDKVEEVGDKLEDGVESLVELVHHKEDEDEDGAVKVEQPPKEESL >itb06g23110.t2 pep chromosome:ASM357664v1:6:25216532:25217976:1 gene:itb06g23110 transcript:itb06g23110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIRFPGFVSTNPAGSRPTTSKNIRGLKTLGLGGLHLCSSVLKTSNKSLQSSFVNPNRGLLVCFSGTEPGIPLPSGPPDSNPLKGWVIGLLISVVIPFFRHKFGSFLQIKSAIDKVEEIVHGVEKVAEVVEKVAEEIGENLPAGKLKDAVEMIEHVAEKADKDAEALGEFIDKVISPLFSTDRTHFLI >itb13g24500.t2 pep chromosome:ASM357664v1:13:30164729:30166940:1 gene:itb13g24500 transcript:itb13g24500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSFLGRLLFVSVFILSAYQEFNEFGTDGGPAAKTLRPKFNAFIKHVTAQTGFQVPHVEMKHLALGLIVMKGLGSLLFVFGSSLGAYILLLHQAIVTPILYDFYNYEADKKEFAQLFIKFSQVTRKLSSFHFYPTRGGKKPLYCSSDIIQKF >itb13g24500.t1 pep chromosome:ASM357664v1:13:30164729:30167259:1 gene:itb13g24500 transcript:itb13g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSFLGRLLFVSVFILSAYQEFNEFGTDGGPAAKTLRPKFNAFIKHVTAQTGFQVPHVEMKHLALGLIVMKGLGSLLFVFGSSLGAYILLLHQAIVTPILYDFYNYEADKKEFAQLFIKFSQGLQLLGGLLFFIGMKNSMPRRTAKKKASKAKIN >itb02g06100.t3 pep chromosome:ASM357664v1:2:3786366:3791085:1 gene:itb02g06100 transcript:itb02g06100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQGLSG >itb02g06100.t7 pep chromosome:ASM357664v1:2:3786456:3791164:1 gene:itb02g06100 transcript:itb02g06100.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQG >itb02g06100.t2 pep chromosome:ASM357664v1:2:3786430:3791164:1 gene:itb02g06100 transcript:itb02g06100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQG >itb02g06100.t5 pep chromosome:ASM357664v1:2:3786456:3791164:1 gene:itb02g06100 transcript:itb02g06100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQG >itb02g06100.t8 pep chromosome:ASM357664v1:2:3786366:3791085:1 gene:itb02g06100 transcript:itb02g06100.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQGLSG >itb02g06100.t6 pep chromosome:ASM357664v1:2:3786366:3791085:1 gene:itb02g06100 transcript:itb02g06100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQGLSG >itb02g06100.t1 pep chromosome:ASM357664v1:2:3786366:3791164:1 gene:itb02g06100 transcript:itb02g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQG >itb02g06100.t4 pep chromosome:ASM357664v1:2:3786366:3791085:1 gene:itb02g06100 transcript:itb02g06100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLGKLFIGGISWDTTEENLKEYFSTYGEVLEAVIMRDRSTGRARGFGFIVFADPAVADRVITEKHNIDGRMVEAKKAVPRDDQSTMDRNSNSLQGSPGPGRTRKIFVGGLASTVTEGDFKKYFEQFGIITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKTFHQLNGKMVEVKRAVPKELTPSPIRSPLGGYNYGLSRINNFLNGHAQGYSPNTVGAYGVSMDGRFSPMTGGRSGFAPFGSGYDIGLNFEPGLSPGYGGSANLNRTLSYGRGSNPYYVSNSSRFNGPVGFDGGNGGNSSFFSSATPNLWGTGGLNYGTNSITSNNLGGSGNENIGEGALNNSRVWGSSTISSQGGGNISGQSGNLGYGGAGNSFGFTGGAYGRNVAMSNAPTSSYASSNGGYDPSFADFYSTGLKYPDPTWRPANLERDGSGSFGFGLANSPSDMPSQSSAHYADSYGVTKRQTSQGLSG >itb11g04840.t1 pep chromosome:ASM357664v1:11:2588536:2589516:1 gene:itb11g04840 transcript:itb11g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDVEHPPQPVVLPDYLLPVTELPAYTAVTDLREFTDITEKPLKDGKVTLMEFGDNFFILKTVGFQTIANPLADEEYEIQRHLRFHSHLNIIQPILIQVEAARSITKIVYPYAPEGSLFGVQIPNEGTLAILAEQLLRAVRHLHAQGILHLSIQPRHLLVYRTGRLVVTGLGVGRLVRTAMRPVSPQHILVKARVRYGGAPFNIGARYLAPEYIRAAIERRDVYANADENAPAADMWAVGITLWELFVGNYPFDGVDFSNPDLPTIYNAIVAAAVPDFLTRASREFRQFLQCCLQIRPAERRTANQLLRHPFITGIFGRPVRRR >itb04g32310.t3 pep chromosome:ASM357664v1:4:34930994:34937604:1 gene:itb04g32310 transcript:itb04g32310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDVPVDGLDRRPERGNDIPEKSGDDVEPSSPPPPPPPPPQSRRGRDRDSRERRDERDFDRRGGRGDYSDRNRSPPPPPLRERDHKRNRTSSSPPPPPYRDRRRSPPPYKRSRRDEYDGRRGSPRGGYGPGERRFGGGYDYPIGHDREMGGRLGYPDERPHGRYIGRSSDWDSSRSGFGDIVVTRGSQREGLKSYKQFIQELEDDILPAEAERRYQEYKSGYIEAQKRAYFDARKDEEWYLSKFKFNLSFPPCLFLLLFMMLCLHRLKDKYHPTNLVSVIERRNERARKMAKDFLLDLQSGTLDLGPGVTPTPSNNTGQSSEPNSDDEADAGDKRRRHDRGPSKDARLLSDAPKAHPVSSEPRRIHIDIEQSQTLVAKLDSEKGIEENILSRSDNDRGSRDKSHSSSSGPVVVIVRGLTSVKGLEGAELLETLLTYLWRIHGVDYYGMIETNEAKGLRHVRFDGKNSDTSSNGADWERKLDSRWEERLKGQDPLEVMTAKEKIDTAAVEALEPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREDLYFQNYMSDENAPGGTPVMLSSLPKEKLQRRRPGPDSRLKDDRGNRRERNGRVNGVERFDRPENPQSSDIQSNDDDGRNPDEQMFDSFGGHGIPVAAFPSDITPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPAPFEGGRNGRQGPQIGGPAPIIALPPTLRQDPRRIRSYQDLDAPEDEVTVIDYRSL >itb04g32310.t4 pep chromosome:ASM357664v1:4:34930994:34937604:1 gene:itb04g32310 transcript:itb04g32310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDVPVDGLDRRPERGNDIPEKSGDDVEPSSPPPPPPPPPQSRRGRDRDSRERRDERDFDRRGGRGDYSDRNRSPPPPPLRERDHKRNRTSSSPPPPPYRDRRRSPPPYKRSRRDEYDGRRGSPRGGYGPGERRFGGGYDYPIGHDREMGGRLGYPDERPHGRYIGRSSDWDSSRSGFGDIVVTRGSQREGLKSYKQFIQELEDDILPAEAERRYQEYKSGYIEAQKRAYFDARKDEEWLKDKYHPTNLVSVIERRNERARKMAKDFLLDLQSGTLDLGPGVTPTPSNNTGQSSEPNSDDEADAGDKRRRHDRGPSKDARLLSDAPKAHPVSSEPRRIHIDIEQSQTLVAKLDSEKGIEENILSRSDNDRGSRDKSHSSSSGPVVVIVRGLTSVKGLEGAELLETLLTYLWRIHGVDYYGMIETNEAKGLRHVRFDGKNSDTSSNGADWERKLDSRWEERLKGQDPLEVMTAKEKIDTAAVEALEPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREDLYFQNYMSDENAPGGTPVMLSSLPCLNDAEREVAEAQAWSR >itb04g32310.t1 pep chromosome:ASM357664v1:4:34930902:34937745:1 gene:itb04g32310 transcript:itb04g32310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDVPVDGLDRRPERGNDIPEKSGDDVEPSSPPPPPPPPPQSRRGRDRDSRERRDERDFDRRGGRGDYSDRNRSPPPPPLRERDHKRNRTSSSPPPPPYRDRRRSPPPYKRSRRDEYDGRRGSPRGGYGPGERRFGGGYDYPIGHDREMGGRLGYPDERPHGRYIGRSSDWDSSRSGFGDIVVTRGSQREGLKSYKQFIQELEDDILPAEAERRYQEYKSGYIEAQKRAYFDARKDEEWLKDKYHPTNLVSVIERRNERARKMAKDFLLDLQSGTLDLGPGVTPTPSNNTGQSSEPNSDDEADAGDKRRRHDRGPSKDARLLSDAPKAHPVSSEPRRIHIDIEQSQTLVAKLDSEKGIEENILSRSDNDRGSRDKSHSSSSGPVVVIVRGLTSVKGLEGAELLETLLTYLWRIHGVDYYGMIETNEAKGLRHVRFDGKNSDTSSNGADWERKLDSRWEERLKGQDPLEVMTAKEKIDTAAVEALEPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREDLYFQNYMSDENAPGGTPVMLSSLPKEKLQRRRPGPDSRLKDDRGNRRERNGRVNGVERFDRPENPQSSDIQSNDDDGRNPDEQMFDSFGGHGIPVAAFPSDITPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPAPFEGGRNGRQGPQIGGPAPIIALPPTLRQDPRRIRSYQDLDAPEDEVTVIDYRSL >itb04g32310.t2 pep chromosome:ASM357664v1:4:34930994:34937604:1 gene:itb04g32310 transcript:itb04g32310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDVPVDGLDRRPERGNDIPEKSGDDVEPSSPPPPPPPPPQSRRGRDRDSRERRDERDFDRRGGRGDYSDRNRSPPPPPLRERDHKRNRTSSSPPPPPYRDRRRSPPPYKRSRRDEYDGRRGSPRGGYGPGERRFGGGYDYPIGHDREMGGRLGYPDERPHGRYIGRSSGGFQDWDSSRSGFGDIVVTRGSQREGLKSYKQFIQELEDDILPAEAERRYQEYKSGYIEAQKRAYFDARKDEEWLKDKYHPTNLVSVIERRNERARKMAKDFLLDLQSGTLDLGPGVTPTPSNNTGQSSEPNSDDEADAGDKRRRHDRGPSKDARLLSDAPKAHPVSSEPRRIHIDIEQSQTLVAKLDSEKGIEENILSRSDNDRGSRDKSHSSSSGPVVVIVRGLTSVKGLEGAELLETLLTYLWRIHGVDYYGMIETNEAKGLRHVRFDGKNSDTSSNGADWERKLDSRWEERLKGQDPLEVMTAKEKIDTAAVEALEPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREDLYFQNYMSDENAPGGTPVMLSSLPKEKLQRRRPGPDSRLKDDRGNRRERNGRVNGVERFDRPENPQSSDIQSNDDDGRNPDEQMFDSFGGHGIPVAAFPSDITPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPAPFEGGRNGRQGPQIGGPAPIIALPPTLRQDPRRIRSYQDLDAPEDEVTVIDYRSL >itb04g32310.t5 pep chromosome:ASM357664v1:4:34930902:34935805:1 gene:itb04g32310 transcript:itb04g32310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDVPVDGLDRRPERGNDIPEKSGDDVEPSSPPPPPPPPPQSRRGRDRDSRERRDERDFDRRGGRGDYSDRNRSPPPPPLRERDHKRNRTSSSPPPPPYRDRRRSPPPYKRSRRDEYDGRRGSPRGGYGPGERRFGGGYDYPIGHDREMGGRLGYPDERPHGRYIGRSSDWDSSRSGFGDIVVTRGSQREGLKSYKQFIQELEDDILPAEAERRYQEYKSGYIEAQKRAYFDARKDEEWLKDKYHPTNLVSVIERRNERARKMAKDFLLDLQSGTLDLGPGVTPTPSNNTGQSSEPNSDDEADAGDKRRRHDRGPSKDARLLSDAPKAHPVSSEPRRIHIDIEQSQTLVAKLDSEKGIEENILSRSDNDRGSRDKSHSSSSGPVVVIVRGLTSVKGLEGAELLETLLTYLWRIHGVDYYGMIETNEAKGLRHVRFDGKNSDTSSNGADWERKLDSRWEERLKGQDPLEVMTAKEKIDTAAVEALEPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREDLYFQNYMSDENAPGGTPVMLSSLPVRLYYSC >itb12g17990.t1 pep chromosome:ASM357664v1:12:20227237:20231025:1 gene:itb12g17990 transcript:itb12g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAASSSFIIPTLSSALSILHSPSPSFVGFKALPGAPRPLLRHKKWNNHSQLVVKAETLEFPGSLFEGGIGEDDDEPPSIPGWGIPNIEDKEEPQCPPGLRQYETMAVLRPDLSEDERLTLVSKYEELLVAGGGMYVEVFNRGVIPLAYNIKKKNKAGETNTYMDGIYLLFTYFTKPESIAALEATLNTDDDVIRSSSFKLRKRKF >itb10g09800.t1 pep chromosome:ASM357664v1:10:13313826:13314119:-1 gene:itb10g09800 transcript:itb10g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKEVIVTGVMTNLCCETTTREAFVREFRVFFSTNSSAKLHDATLKNMAYCFAYLLDFNRLQDAFSKSQNISNVSRTSVATHLMAVAYSRRSTTTN >itb10g00410.t2 pep chromosome:ASM357664v1:10:266855:269857:1 gene:itb10g00410 transcript:itb10g00410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAFFKTVKRVFPKKSDAEIASSISKTLIKSTRNGLDINPSLISSINPQVARIVLSNPHVPHLSCLNFFKFLQNNKSLTVSKPDLQAHIILVLRLFKARNFNQGKEILNAVAADDSLRRPVSEIADLVRENNGNPKMVVKLMDMLLRVYVDNMRFKEAEEVFDYLKNNEFEIDDRSCMVYLLAVKRSNQFHSMFEFFQKMVKANVKITVYSMTMVIDGLCKRGEVDKARKLMDDMLCRGVKPNEYTYNMLLDGHVKNSDLGAVKEILDEMEKEGMELNATSYTVLIEGYSNLGNFEEAEKLFEKMQQKNIEPDVHLYTCMISSYSKSGNLKKAFLLFDQLVERGLVPNAHTYGCLINGLCKNGQMDAVKILLNEMHCKGISINPIIFNTTMDGYCKKGMIDEAWKLLEIMESKGLKADAYVYNIIATGLCKLGRQEEAKTWLFSMVERGVNPNVVTYTTLIDIYCKEGNFVEAKRMLREMENKGLKPNTVTYNALIDGYCKKGLMKKAYKIRDDMVSKGLKPEVYAYTSLLHGECISGNADAALKLFNEMSAKGLVPTVVSYTALISGLSKEGRSEEAFRLYDEMIEAGLTPDDTVYSSLVGSLHSSGQISSLRTT >itb10g00410.t1 pep chromosome:ASM357664v1:10:266855:270532:1 gene:itb10g00410 transcript:itb10g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAFFKTVKRVFPKKSDAEIASSISKTLIKSTRNGLDINPSLISSINPQVARIVLSNPHVPHLSCLNFFKFLQNNKSLTVSKPDLQAHIILVLRLFKARNFNQGKEILNAVAADDSLRRPVSEIADLVRENNGNPKMVVKLMDMLLRVYVDNMRFKEAEEVFDYLKNNEFEIDDRSCMVYLLAVKRSNQFHSMFEFFQKMVKANVKITVYSMTMVIDGLCKRGEVDKARKLMDDMLCRGVKPNEYTYNMLLDGHVKNSDLGAVKEILDEMEKEGMELNATSYTVLIEGYSNLGNFEEAEKLFEKMQQKNIEPDVHLYTCMISSYSKSGNLKKAFLLFDQLVERGLVPNAHTYGCLINGLCKNGQMDAVKILLNEMHCKGISINPIIFNTTMDGYCKKGMIDEAWKLLEIMESKGLKADAYVYNIIATGLCKLGRQEEAKTWLFSMVERGVNPNVVTYTTLIDIYCKEGNFVEAKRMLREMENKGLKPNTVTYNALIDGYCKKGLMKKAYKIRDDMVSKGLKPEVYAYTSLLHGECISGNADAALKLFNEMSAKGLVPTVVSYTALISGLSKEGRSEEAFRLYDEMIEAGLTPDDTVYSSLVGSLHSSGQISSLRTT >itb12g07320.t1 pep chromosome:ASM357664v1:12:5489861:5490837:-1 gene:itb12g07320 transcript:itb12g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYGKRVATASSDAMYYGKRVATASSDAMIKITRVSNNSSDAMIKITRVSNNSTNAMIKITRVSNNSTRQHLATLSGHRGPVWQEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCISVYSARSDGSWDTKRIDQAHPVGVTAVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPALQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb03g26570.t1 pep chromosome:ASM357664v1:3:26102856:26103134:1 gene:itb03g26570 transcript:itb03g26570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGQLTTGNFYILQYKNLMTDDFSAALTENVPLAQIRPQPPQVQSTFFNMYQVVDAFDNDGWWVSQITGKNQEPLLCLLREFRRGDSLSQR >itb13g09910.t1 pep chromosome:ASM357664v1:13:14409671:14411202:1 gene:itb13g09910 transcript:itb13g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTVAARRKHCFMEEDDGLASIVPDMEAAFPANHNNNSNLISRPLYLPRRISSFINLSSSSSPSPRYGGGRFEEAVPPHPHFLDSCFLCRKPLGNRDIFMYRGDTPFCSEECRQEQIEMDEAKEKRWNLSASMKAASLRNKDQNRTSSSPTKTSAQGYTLGTVAAA >itb07g05240.t1 pep chromosome:ASM357664v1:7:3557535:3561914:-1 gene:itb07g05240 transcript:itb07g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKLPIQSNQIQENELSGGGKRWWGPVYWLRMLAKETHWSFVFGVVVVYGISQGFGGAFGRVSTEYYMKDVQKVQPSESQVYTGITAIPWLVKPLWGLLTDVVPILGYRRKPYFIFAGSLGIVAMLFLSLHKKLHIVLALLSLTAGSAGVAIADVTVDACVAQNSGAHPSLAADMQSLCALSASIGALVGFSLSGIAVHVIGPKGVFGLLAIPSGLVFFVGILLDEPKTHNFRYQQVSQNFVDAAKAMWRTLKYPVVWRPCLYMYLSLALSFDISEGAFYWMTDAEGGPRFSKESIGYISAIGSVGSLLGAILYQYGLKDHPFRELLFWTQLLFGLSGMLDLVFVLRLNLRFGIPDYFFVVIDASISQMIGRLKWMPLLVLTAKLCPPGIEGTFFALLMSIDNTGLLTSSWGGGFLLHILNVTRTQFGKLWLAVLIRNILRVTPLCMLFLVPRSDPNSSLLPSEVLGSSDGAHEAPALSQDQNVELVSLVESMDTAR >itb05g01670.t2 pep chromosome:ASM357664v1:5:1324693:1326518:1 gene:itb05g01670 transcript:itb05g01670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNFWLQNFSIPANVIDHLVSLCRNFLWGDKRAPIAWEKVCHPKAEGGLGLHNLKTWNLAFTSKILWDIHRKRDSLWIRWVHSYYLNNQDFWDWSPKPRDSPLMKAVFNVKCALVEHTGRGYLARIFLLSAYKKNKFQIGVVYDLLRQKANEAISWRFTWKPCIPRKFSFILWLALWNRLQTKDRLFLTDFEAECSLCIGHKESSHHLFFRCNFSLQVWCKIREFFGFPKNTIAIRSSIKWIRRLFMLDSVFIVTVNAYC >itb05g01670.t1 pep chromosome:ASM357664v1:5:1324693:1326518:1 gene:itb05g01670 transcript:itb05g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVFNVKCALVEHTGRGYLARIFLLSAYKKNKFQIGVVYDLLRQKANEAISWRFTWKPCIPRKFSFILWLALWNRLQTKDRLFLTDFEAECSLCIGHKESSHHLFFRCNFSLQVWCKIREFFGFPKNTIAIRSSIKWIRRLFMLDSVFIVTVNAYC >itb04g03790.t1 pep chromosome:ASM357664v1:4:2372523:2378763:-1 gene:itb04g03790 transcript:itb04g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSLFSTPPIHPPSKSSKSSLSSSPERLKFLIDKSKTIKQLLQIYVFLIGHGVDCDPILNFRLQRSYSSLGHLKHSAALFRSTPSPSVFTYTTAQMFSSSRFSRRSIQTLYPTTKTSLIKCLNKLQLKPKHHFPSIRFYSCSSAILPTVERETVPNSSKFHASSGFQTLSRKFPAVDIEGVPLRILVKQGAQGITKVRKSDLKRYSEMFRDYTQTKNLKEGKVLHGELVRNLIDPDMHLYVSLINFYAKSGDLSSARKVFDQMPERDVVSWTSLISGFLPEGNGSESVRLFCEMKREGVKPNGFTLATVLKGCSLCLNLEFGKQLHAEVVKSDTFSDVYIGSALIDLYAKCHQMEDAKTLFLHMSEQNLVSWNALLNGYAQEGEWQEVLRLFCSLSESEFRFSNYTLSTVLKSCANVGNLRVGQVLHSVAIKIGSELDNYISSSLVDMYSKFRLPEDSMRVFKRIRSPDVVAWSTMINGLDQQGHKKEAFQLFQLMRHSDVRPNQFTLASVISAAADLGDLLFCKSIHACVYKFGFDSENVVSNTLISMYMNFGSFNDGYKVFVSMDSRDVISWNGLLSGFHDNETSHEGPKIFRQMLIEGFKPNMYTFISILRACSSLSNVSLGKQVHAHVVKENLSCDCYVGTTLIDMYSKCGCLNDVEVIFNRLTEKDVFTWTVMTAGYAQSDQGEKAFECFSQMLKEGVKPNEFTLASCVNGTSRIASLSNGRQLHCLAVKSGQFSDLFVASALADMYGKCGCVADAETLFEGMDSCDTVLWNTMICSYSQHGQGKKALQAFRRMLNEGTLPDAITFIGVLSACSHMGLVDEGRRYFESINNSYEIIPSIEHYACMVDILGRAGKFDEVKNFIEHMELTPNALIWETVLGACTIHGNIELAEKAAKFLFEFEPKVESSYILLSNIYAAKGMWNDVAKLRAFMSDQGIKKEPGCSWVEVNAQIHVFLSQDASHPRLNDIHKKLDELYLQLNSAGYIPNKDYSLQRVTVIPRELAIRPLRYSVALDLHIDCLPHVVEAKRQLRLRDAMLCSYHPNEVKFSELTLDTFRMLQCLEWEPSGSFFQTSGAPSSGAGSSTGQNGTPGPSCVNYSQDIIDPTLPPNPRKAILYRPTLTSFIAVLATTCEELPPDGVLLIYLSASGSCQNYVSSLSHSGASLGIPENIFRGFQSQFNDSESAYTSPLNPGCDNSNPASVKEDPLSRHSGGLHIGSRGGGDPLQAFTLMLGFTGSDVEMDLFNKAEKLLSSSLNQWGQLLATSDNLNAVWAQILSDPLLRRLLLRFILCQQCWRSMLLVSTRQNISLAEIFGAASKFSFSEDKILPENRNTED >itb10g01920.t1 pep chromosome:ASM357664v1:10:1559630:1560754:-1 gene:itb10g01920 transcript:itb10g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDYAEQMENQDSSGEGKWWGNKQLQQINGFWFMPLAIPGVQRVLAEFNPRPNDVILASFPKTGTTWLKALLYSIINPSSLDSLVKHNPHDLVPFLELRVFNEGSEPYVMPPPDAKTKIFGTHIPYQLLGKTFESSGCRVVYVSRNPKDTLNSLWHFVNKWKVAEEEAWGLEEAVEKFCQGIIPYGSYYEHVLGYKMASLKNPNKVFFVTYEELKNDPKTHVKKLAEFLGSPFAEEDNKVEEIVKSCSFEVLSNHEVNKSEHSRTVATMTIDSKALFREATVGDHKKYLSDESIKRIDTLTNEKFHNSGIAYAFN >itb05g21740.t1 pep chromosome:ASM357664v1:5:27377633:27382123:-1 gene:itb05g21740 transcript:itb05g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWGRKSTKTSSKDPQPNSPASVKNDSRRGAAKERVDRSDRPKSFDESFGTVIARNSPRSSREFSSGRGSSGFSGFDSASSDKPLPLPTPSNEHGIGLGSGSESGSSVSSTGSYDDHPHPDHAQPSSFRGVGDNRMSPLSRSPVRSRAPTTTPSPLHPRFVGGSLDSPTGKLDDAKSECHRLPLPPSSPTSPPALPNPRTCVTEGLSGNLSKWKRGKLLGRGTFGHVYLGFNSENGQMCAIKEVKVVADDQTSRESLKQLNQEISLLSQFSHPNIVQYYGSELGDETLSVYLEFVSGGSIHKLLQEYGPFNEPVIQNYARQILSGLAYLHTRNTVHRDIKGANILVGPNGEIKVADFGMAKHINSATSMLSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDMPEIPDHLSIDAKNFIRLCLQRDPSARPTAAKLLEHPFITKDQKTAKVAHANVIKEANPSSFDGSRTPPALDLHPCRGYMGSLEADNSTKPVTISRPLISSRESARTITSLPVSPCSSPLRQYEPARRSCYLSPQHPSYPLIGQSGYNVNDYSTLTARPTNTRSTLDPWLEMAQYRGVQTAGRSSKTRPIL >itb05g22230.t1 pep chromosome:ASM357664v1:5:27703581:27704119:-1 gene:itb05g22230 transcript:itb05g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSGNSSSAILASIVLERTKRYLEQSVQAEMKTLRTRGRSLNNGGHNYVTDSINSLSSRKSRVKYSELVVELVAFYGVPHRNCTREKAHHNSLLLGPLSVALVLHYCLGVALLPWPGAPHQI >itb14g09820.t1 pep chromosome:ASM357664v1:14:10811198:10811552:-1 gene:itb14g09820 transcript:itb14g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLASELYEKRQGYVQGTGARGASPAVAVGHEWQGFEPCHSTATTPPGHGSGLGCPAANLVGYVGLSWFGAFPRVYWVLSLRVRGPD >itb05g18650.t1 pep chromosome:ASM357664v1:5:25372410:25374425:-1 gene:itb05g18650 transcript:itb05g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSHSQKDQAFESQNPIFSPDVLFCEEEDVGGWSCFQTHDYAEISVLKKPLLTFLESDLFWEDDELLALLSKEKEIGCVDLDQSLMLARNEAVDWMLKVIRHYGFNALTAVLAVNYFDRFISGVCFQRDKPWMSQLAAVACVSIAAKVEEIQVPLLLDLQVAETKFLFEAKTIQRMELLVLSTLQWRMNLVTPISFIDHIIRRFKLMTNLHWEFLGRCERLILSVIADSRLLQYLPSVVATAIMFTVIKEIEPCNAMEYKNELVCLLQISKEKVDECYNLIIELTGGKRNKQHCQHLKRKYEPEPGSPNGVIDAYFTSDSSNDSWAVCFSPHKRTRIH >itb12g05130.t1 pep chromosome:ASM357664v1:12:3421704:3422706:-1 gene:itb12g05130 transcript:itb12g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLVRVLTNLENLNAEEKARENDILQYNVGLITDLAELDFQKIDQDLRNERETGIALQKEKEKLQDEAVQQKKQLEGWDPLQNPTHGLEIISSWLQDNSFGFSDSDMANPYSELFMEVVFPAIRISGTNTWQARDPEPMLGFLDSWEKLLPTGALHTILDNIVLPKLVAAVDSWDPRRETIPIHSWIHPWLPLLGQKLETCYDTIRCRLGNVLNSWHPSDMSAYYILSPWKTIFNPSSWGELMGRFIVPKLLAVMNEFQVNPANQSLDQFY >itb14g03670.t1 pep chromosome:ASM357664v1:14:3320542:3330021:-1 gene:itb14g03670 transcript:itb14g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQLHGRRFVLLKNYCTKTRCSASISPSSPSSSCGPLGTVTTNSPSLNAVDRNDEAEEIAGLFNQNIGVIPEIARPSDKDNSKATSFLDFAAQFHLFPCHPLGSFKRRCSRGHHTSSQHVREFALYLAPKSLSLSLSLSLSLSLLNTGSSSPPPFRPSDFTAYNHSLAGLVYTLEYFYLMSSVLLEAGIVKMKHLLSCCSELLNIHGDI >itb08g08110.t1 pep chromosome:ASM357664v1:8:6970915:6971646:-1 gene:itb08g08110 transcript:itb08g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMRVPKLKDSLSWGERKKQKISSEDTHILSSSAMSNLNKFADDGSFLFKFMHPPKTDNSGDVVCDNSSNSKPEAIFNELRPLESKKGSEYDYDNSPKRKTPKKGIGKSTNVNNFVNCILTQDERCKFCFENTTRPKHLVVAIANFTYLSLPQWQPIVPGHCCILTLHHESATRSVDDNVWEEIRNFKKCLIMMLAKQEKGVVFLETVIGLAKQRRHCLVECIPLPPRRCKTGTVVFQEGD >itb06g20530.t2 pep chromosome:ASM357664v1:6:23476553:23482560:-1 gene:itb06g20530 transcript:itb06g20530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAKDAMEWSYRGEGAINLVLSYRGISPRFAGKVLRIQKVPTNGCEYANGHPGLTVHEIHLWKDVKDLASAPTREIAEHFFVQHVMCPFLGPEHVDAGIHILVSREFLEAVEKNVLHKRPTWRVDAAKVNLLRDSILLMSDHSIFSHVTPKEELCICVEIKPKCGFLPASEFIIESNAVKRRITRFKMHQALKFHQGKISYISGYDPLDMFSGSKDRIDKAIKDLFMTPQNNFRVFVNGCLIFGGLGGSAESTNSKTGQAFEDALKNIIFAKEGMRTNFFLELISEAVFSSGLLNRLLEVQKLDTFDIEGAIHVYYNVISQPCMVCKDLDSGLSKRFNTLHSISMEESWKILRDYLIAATAKDLSMMISFRSREDGKSESSYGHVSLKSTNQTFDYKASFIDLDLKPLKKMEFYYELDKKIVSSYLTMVNSVKGDGKFKKGNDAFRLIQYCRRFCARR >itb06g20530.t1 pep chromosome:ASM357664v1:6:23476553:23482560:-1 gene:itb06g20530 transcript:itb06g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAKDAMEWSYRGEGAINLVLSYRGISPRFAGKVLRIQKVPTNGCEYANGHPGLTVHEIHLWKDVKDLASAPTREIAEHFFVQHVMCPFLGPEHVDAGIHILVSREFLEAVEKNVLHKRPTWRVDAAKVNLLRDSILLMSDHSIFSHVTPKEELCICVEIKPKCGFLPASEFIIESNAVKRRITRFKMHQALKFHQGKISYISGYDPLDMFSGSKDRIDKAIKDLFMTPQNNFRVFVNGCLIFGGLGGSAESTNSKTGQAFEDALKNIIFAKEGMRTNFFLELISEAVFSSGLLNRLLEVQKLDTFDIEGAIHVYYNVISQPCMVCKDLDSGLSKRFNTLHSISMEESWKILRDYLIAATAKDLSMMISFRSREDGKSESSYGHVSLKSTNQTFDYKASFIDLDLKPLKKMEFYYELDKKIVSSYLTMVNSVKGDGKFKKGNDAFRLIQYCRRFCARR >itb13g02950.t1 pep chromosome:ASM357664v1:13:2907931:2909631:-1 gene:itb13g02950 transcript:itb13g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKKGNLVEVLNENELPSGSWRCAEIVRGNEENYIVRYSTSDISEAVVERVSRKLIRPCPPLVEFSENLMPGDVVEVFLSFTWKMATVSKVIGKDHVLVRLVGSANGFKVKKCNIRVRQSWKDGQWAIVGKGPAQKYNRISGFQSMGTKPRIGFPGKQDHALNNDVKFHESYIGKSRTLKRGLPYCDSQTEANNVIAQKYRVIEKEGGRCRGIAAHYSPLHGKVGNNPLTGKVLVEKERHFIENHRSSLFELDVERTKAIEVVSHAMPIESNDADSISSSVGSCSIASNNMSTSHCKLLVGFADDIQEHSSDAESVQESCNRQGNCFPASRELATEIHRLELYAYRATMEALYASGPLSWEQETLITNLRIYLNISNDEHLLELRNLISNASSFSIS >itb15g10380.t1 pep chromosome:ASM357664v1:15:8188692:8189569:-1 gene:itb15g10380 transcript:itb15g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIHSDLFLFIVCLCVLSSSVLSDLIISKADRKIDLTSQIVRSTTTLKVENSGNDPASEVLLPFPDVVAKNLSFLRVTTSEGKEKK >itb08g17000.t1 pep chromosome:ASM357664v1:8:19054515:19058498:-1 gene:itb08g17000 transcript:itb08g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRNANALSRQCRARTSLLEQGSNLTAHAEYRSRTISHRETPHALNRGPTRTAHGQVRARAPTDAGHHDSNARVNSSKPLAHEVDRIRELGQSIACQARVSKRRPWNANDAFASVPRPIQARHVARMSVRRNANALSRQCRARTSLLEQGSNLTAHAEYRSRTISHRETPHALNRGPTRTAHGQVRARAPTDAGHHDSKARVNRYERKRIPTNARVNRYERKRIPTNSSVNRRAPSYGADGTSRGTSLPRNQANRPGRPAPQLPYPPYNR >itb01g08150.t1 pep chromosome:ASM357664v1:1:6523051:6524827:-1 gene:itb01g08150 transcript:itb01g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYYCFFLVVVTLAAIIPASVGHIGEFDEVWRRRAAEAWEHAQQAYEPNPHNISTALNLEVRRALLEGKDLTTTSMENSTTRHLLGSKKYHGPCNATNPIDRCWRCHADWATNRKRLADCGMGFGYKTKGGKNGPFYTVTDSSDDQANPKPGTLRHAVIQKKPLWIIFGRDMTIRLQQELLMQGDKTIDGRGAQVYIIGGAGITMQFVKNVIIHGIHVHDIVQGNGGMVRDAIDHFGLRTQSDGDGISIFGSSNVWIDHVSMKNCYDGLIDAIEASTAITISNGHFTDHNDVMLFGANDMSPKDEIMQVTLAFNHFGKRLIQRMPRCRFGYIHVVNNDYTHWNMYAIGGSAHPTIISQGNRFIAPLDIHKREITHRAAGTPAEWKKWNWRSQGDIYMNGAFFVQSGDPNFMKKHNELYDGVKTFRAEEVTWLTRFAGVLNCKIGSVC >itb07g23080.t1 pep chromosome:ASM357664v1:7:27566524:27570141:1 gene:itb07g23080 transcript:itb07g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALTSLSATMKLEFVQPNNPRVSLDDEASISVKSLIEKLSSLQGFVQEKSGTRELEMKIRDFALEAEDRIEIQLSNFLLAKNGEDQQKASQKLHHILQEAAENAAELLKLSSSISKEAVKNESEGPVIPWIKHSPMMMVEEGRMVGRRSDHNRIVDELIVDDECEAYFKVITIVGMTGIGKTTLATSVYNDPNVASYFDVRAWITMSGEYNKTQMLHDLLWTLTKEANIPDDDDVAARKVYNFLRVSFGCVVTLPKPSMFPSQLKKLRLNGTNISMMDLMVIGMLPQLEVLKLENALRGEVWKVEKGLFVGLKFLLLEDKTLVQWMVSDGSFPRLKHLVLRFCYCLEEIPEFMEGIITLESIELWCCPSLITSAKSIAAFQRVYGNQILEAKLLELIEEEDINLSRTNSGSAAASILVLGVWNYFPAASFSSAVQLSGGVDCSRRC >itb06g05480.t1 pep chromosome:ASM357664v1:6:8179373:8181818:1 gene:itb06g05480 transcript:itb06g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVVDLEGRTYNCKFCKTQLALADDLVSRAFHCRRGKAYLFNNVVNNMVGPLEERMMLSGMHTVADIFCCCCGQIIGWKYEAAHEKSQKYKEGKFVLERGRIIDGGDSEFYIGNHPILSDAEDA >itb06g04040.t1 pep chromosome:ASM357664v1:6:6551276:6553768:1 gene:itb06g04040 transcript:itb06g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKEKVKRGPWSPEEDEKLKEYIEKNGPGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGDFSDQEDRIICSLYATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGILLSSSSSSPHQKTRPNNPLPPSSSSPFNYNNYPITDPTNFPPQYAAATTFLNNPSSSSAASNPVGPTIQSHHLLVKGDHHHHHQGSCSSSDGSWSNNNQISQDKEYGYGESLGLQSFGYNGVGENQQAVNNGGNPLDYSSLEEIKQLISTNNVSQQNSFFVEENKYEEKVMMYY >itb13g17600.t1 pep chromosome:ASM357664v1:13:24575432:24576223:-1 gene:itb13g17600 transcript:itb13g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSPESLSSGHHHHHRGFKILPLPITFPSPSSTLSHPFNSTASRPLSPETTNPSSPPFHPSFSGPSAVAFGIQQDSPSSKNETEINGGDNEEAVSVEKCGNGIAIHLKCPCGKSHRILQAGNNFYYNLI >itb04g07110.t3 pep chromosome:ASM357664v1:4:4757111:4759915:-1 gene:itb04g07110 transcript:itb04g07110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPSTRPFEAKSLNIFPRATTNSSASQSSSPKRNDHLPLIKKKIQRPGRRLITVSTSDGKWQGSWNSDYTFTLRDLHLQELAEDINQDAQVSVTLCIHKHTGFGLSVDGRVLASFTRKCSNCSSPFCREIDANFNVWVLPSRPKASAQELPFLGYEDPSVIYVKPGHEANLDSLVQDTVRLATSVKETCSESCEKSEPKLQCKNPKRCKN >itb04g07110.t2 pep chromosome:ASM357664v1:4:4757111:4759915:-1 gene:itb04g07110 transcript:itb04g07110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPSTRPFEAKSLNIFPRATTNSSASQSSSPKRNDHLPLIKKKIQRPGRRLITVSTSDGKWQGSWNSDYTFTLRDLHLQELAEDINQDAQVSVTLCIHKHTGFGLSVDGRVLASFTRKCSNCSSPFCREIDANFNVWVLPSRPKASAQELPFLGYEDPSVIYVKPGHEANLDSLVQDTVRLATSVKFWINRMLPQLIGGGLDCWS >itb04g07110.t1 pep chromosome:ASM357664v1:4:4757111:4759915:-1 gene:itb04g07110 transcript:itb04g07110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPSTRPFEAKSLNIFPRATTNSSASQSSSPKRNDHLPLIKKKIQRPGRRLITVSTSDGKWQGSWNSDYTFTLRDLHLQELAEDINQDAQVSVTLCIHKHTGFGLSVDGRVLASFTRKCSNCSSPFCREIDANFNVWVLPSRPKASAQELPFLGYEDPSVIYVKPGHEANLDSLVQDTVRLATSVKETCSESCEKSEPKLQFLDKQNAAPVNRRWSRLLELKNAGL >itb06g16540.t1 pep chromosome:ASM357664v1:6:20686798:20688512:1 gene:itb06g16540 transcript:itb06g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAYEGEVRSEGLNNFKLGNESLEGLELEEMNLSAAADEESPHQIRLPVPEEIDWEMLDKSRFFFLGAALFSGVSAALYPVVVLKTRQQVSESRLSCITTAISIVKHEGPRGLYRGFGTSLMGTIPARALYMAALEITKSNLGTATVSLGLPDHTAAAVANAAAGLSAAVAAQLVWTPVDVVSQRLMIQGGSRKYVNGIHAFSKILSTDGPRGLYRGFGISILTYAPSNAVWWASYSVAQRLVWSSYGCYFHKRDNNNGDGGRIGCDTKTVMAVQGLSAAMAGGISAFITMPLDTIKTRLQVLDGGPSVGQTLRNLVREGGWRACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQDC >itb12g21840.t1 pep chromosome:ASM357664v1:12:24048651:24049984:-1 gene:itb12g21840 transcript:itb12g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCENLIRLPSSIGNLKSLKLLSVGGCPKLKQLPINLGKLEQLCVLDASRTSASDKPIWCMTSSSSLEILRGPYQYMQHLDLQIRDGSLSWLWDLDLSYSYFHALPFNLSHHFRLRRLELDNCPNLQVIQDLPPRLSELSASNCPILESVQGLSGLSGLLDLNLCNCSNLIELQGMENLVHLKYMDIRGCSALSSKYWCVNFFKAHFKTPTLGRFKMSVSKDMVPHDLWSNGAVGCSSANYTSPLFLNKKRIFIVVMISCLFNNWFLFEYANNGLERHYPLTSYKYDNEYNDKGLECRVYDHFTEPNKVEEVEVVIELNPSSVEQGAKENLIIQTCIVHEEEEDDKVCFYPMNPVIKFHHPNKSTFRLRESAVITIKHRSRSPEGSSLKQGHTVMDKDLFILIKQPS >itb15g00660.t1 pep chromosome:ASM357664v1:15:384111:387709:-1 gene:itb15g00660 transcript:itb15g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSLPSFSLLRSNPLSHRRRRTTTLSPKFPRNSHLLIIKTSLEKPPPPPSTKPSSWVSPDWLTSLTRSLTLGQNDDSNIPIANAKLEDVSDLLGGALFLPLFKWMNEYGPAYRLSAGPRNFVIVSDPAIAKHVLKNYGKYAKGLVAEVSEFLFGSGFAIAEGPLWMARRRAVVPSLHKKYLSVIVERVFCRCAERLVEKLTPYALNGSAVNMEEKFSQLTLDVIGLAVFNYNFDSLVTDSPVIEAVYTALKEAELRSTDLLPYWKIKALCKIVPRQVKAEKAVSLIRQTVEELVAKCKEMVDAEGESITNEEYVNDADPSVLRFLLASREEVSSEQLRDDLLSMLVAGHETTGSVLTWTSYLLSKDPSSLRKAQEEVDKVLGGRNPTYEDIKNLKFLTRCIIESLRLYPHPPVLLRRAQEADVLPGGYKVNAGQDIMISVYNIHHSAKVWERAEDFLPERFGLEDPIPNETNTDFRFIPFSGGPRKCVGDQFALLEATVALAIFVQNLNFKLVPDQNIGMTTGATIHTTNGLYMKVTERQKQKQPVLA >itb05g04590.t1 pep chromosome:ASM357664v1:5:4213313:4215718:1 gene:itb05g04590 transcript:itb05g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISCKLGSCSRRRLGLGLYGVVLFLVGFGSLICEVSGIGANWGTQSSHRLPPEIVVRMMRENGIQKVKLFDADYDTLRALSKSGIEVMVGIPNDMLSTMASSKAAQKWVSENVTTHLKNNVNIRYVAVGNEPYLKTYNGTYLRTTFPALQSVQSALIKAGLGNQVKVTVPLNADVYDTSSGLPSGGDFKADVHEYVLQMVKFLSDNGCPFTVNIYPFISLYNDPSFPVDYAFFDGDATPLNDGGTSYNNMFDANHDTLVWALQKNGFGNLPIIVGEIGWPTDGDRNANVQYAEKFNQGFMAHIAGGKGTPMRPGPINAYLFSLIDEDAKSIAPGNFERHWGIFYYDGQPKYSLNLGTISTGSLLPARGVQYLEKKWCVFKPNARLDDGEVASSVSYACSLADCTSLGFQTSCGGMDARANISYAFNSFYQINNQEDEACKFSGLGAVTRSDPSTGTCRYQVMIQPYYGGAERRTLGCALKTRGLVLGLLILSLWTIL >itb02g02290.t1 pep chromosome:ASM357664v1:2:1275640:1280932:1 gene:itb02g02290 transcript:itb02g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEKRFTEVEQFYSSVNKKQSNTPRGNSAQKDSEKEKQIANFKKRQQDASQREAASAKRMQELMRQFGTILRQITQHKWAGPFMQPVDVEGLGLHDYYQVIEKSMDFSTIKNKMEAKDGTGYKHVREICADVRLIFKNAMKYKERDDVHVMAKTLLGKFEEKWRLLLPKVDEEGMASIQLTASTISAKGFASFDGLRSTNTVKVASFAPQRQSANSPFRGLVVRAATTVAPKYTSLKPLADRVLVKIKTSEEKTVGGILLPTTAQSKPQGGEVVAVGEGRTIGKTKVDVSLKTGTQVVYSKYAGTEVEFNGTNHLLLKEDDIVGILETDEVKDMKPLNDRVLIKVAEAEDKTAGGLLLTEATKEKPSIGTVVAVGPGPLDEEGNRKSLPVSSGNTVLYSKYAGNEFKGSDGSEYIALRASDIMAVLS >itb01g05520.t1 pep chromosome:ASM357664v1:1:3784691:3789978:1 gene:itb01g05520 transcript:itb01g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQNRNPSRADRKTIERNRRNQMKALYSTLNSLVPHQQSTEMMSLPDQLDEAVNYIKKLQINLERMKEKKESLMRSGGGGSSHDQSSSVASRSSNGGGMAVGGLKLPHVDIHHVDSALEVVLITGVDCSQSMFNDVLRMLHEEGADVISASFSSLDNMVFHTIHSQVLVRSGPWSPVSGLPADKISEIDQPTGNFRSNILPFT >itb05g23470.t1 pep chromosome:ASM357664v1:5:28582650:28583267:1 gene:itb05g23470 transcript:itb05g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHWDNINAIPFDYEWDNNDAFCLSAEEEEIISGVKSSQKPDASKGIVTKEVAAAEKQYVGVRKRAWGKYAAEIRDSTRNGMRVWLGTFDNAEDAALAYDQAAFLIRGPKTYLNFPSERVRKSLQEIKCSCKSGSSPVDALKENNKKRSCSSNSTTFDGTRSKRSVKKNNHVVVFEDLGPELLDQLLSQSSDSTTCNHHSSRR >itb15g08590.t1 pep chromosome:ASM357664v1:15:6003238:6007040:-1 gene:itb15g08590 transcript:itb15g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIRIGDKLCRGRRLGSAFALLRPPAVAAPPPRRVFSDKSDLDRSFNVNPVALEMTNYALSLARSKKSDDAYAQAQLVLEQCYSTHSDENARGMVLLAMSTLLYHSGNYEEAIEKLQKIQQLSSSSIIVRVAASEALVGLHLELGQDDASSVIVNVCLRLLETIKIELGSAGFGALEDHSRALKGLVELVRGDTKSAASFFPEVRDGDCSSNVLDRFIGNAALSYGEFLHRMGDFEMAKQLYTRVIHEESLGKSSSYLHQLSACNMSFEDVILGATCALGQLEAHLGNFDKAEKMLTEALVKAGETFGHMYRRKSTVEESSSLLIQEGLYRRAIELLKAPPLETEGAEEKACRRDIIALARGGYAETLCLQQNRKAEGERIKLWAESAWKNPRLSLAEALEPPSESSSKVAVIDTRICRVI >itb15g08590.t2 pep chromosome:ASM357664v1:15:6003238:6007040:-1 gene:itb15g08590 transcript:itb15g08590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MIRIGDKLCRGRRLGSAFALLRPPAVAAPPPRRVFSDKSDLDRSFNVNPVALEMTNYALSLARSKKSDDAYAQAQLVLEQCYSTHSDENARGMVLLAMSTLLYHSGNYEEAIEKLQKIQQLSSSSIIVRVAASEALVGLHLELGQDDASSVIVNVCLRLLETIKIELGSAGFGALEDHSRALKGLVELVRGDTKSAASFFPEVRDGDCSSNVLDRFIGNAALSYGEFLHRMGDFEMAKQLYTRVIHEESLGKSSSYLHQLSACNMSFEDVILGATCALGQLEAHLGNFDKAEKMLTEALVKAGETFGDHHPKVGVILTCVGHMYRRKSTVEESSSLLIQEGLYRRAIELLKAPPLETEGAEEKACRRDIIALARGGYAETLCLQQNRKAEGERIKLWAESAWKNPRLSLAEALEPPSESSSKVAVIDTRICRVI >itb04g16280.t1 pep chromosome:ASM357664v1:4:17710811:17711302:-1 gene:itb04g16280 transcript:itb04g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTNSDDLQALATVQRRELMAAEAMESDFEFAFHLQLQEALNASLSLQPSSSTDPPATPPDHKPSSSATTVAADGESLSYTAALSQELVKFQQELDDQKLSVTEFKKIRDDLHRWIHDQKFAEEIMRIPEDEWEDWGGDFERPFGEGSSKSVNAEVFRVYF >itb03g07530.t1 pep chromosome:ASM357664v1:3:5569886:5571269:-1 gene:itb03g07530 transcript:itb03g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTEVSGTNSGTPLNPRILSSMSRTCVVSHPWHDLEIGPDAPKVFNCMVEVGRGCKVKYELDKSSGLIKVDRVLYSSVVYPHNYGFIPRTICEDGDPMDVLILMQEPVLPSTFLRARAIGLMPMIDQGERDDKVIAVCADDPEFRHYNEIGELPPHRLAEIRSFFEDYKRNEKKTVRVEDFKPAEEAVAAIKKSMDLYAAYIAECRKLGKVISRL >itb05g23190.t1 pep chromosome:ASM357664v1:5:28375427:28376453:-1 gene:itb05g23190 transcript:itb05g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKTTSDATMDVVPASNAVSFPGKPNFKPLKAHEISDGQVQFRKVPVPPHRYTPLKKAWMEIYTPIYEQMKIDIRMNLKARRVELKTRPDTPDISNLQKCADFVHAFMLGFDVCDGVALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTKIHILGSFANIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERF >itb05g06340.t1 pep chromosome:ASM357664v1:5:6743722:6749357:-1 gene:itb05g06340 transcript:itb05g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGQTVTPINMRGEMLPLVYAGDVEIPGRTSSTTSGLCEADTLSENLVRGRIVACRSIDSILASQEVQRAGGVATIQLSPFNEIEVRAFLHPTTVVFSYELEAILEYIRTDENPTATLLPGETVLGVKPAPVMAPFTSRGPNSIEPNILKPDITAPGLNILAAWSEATSPTNLAFDPRGVKYNIISGTSMSCPHVSAVAALLKAIHPDWSSAAIRSAIMTTATITNVMEAPIEKSKGNLASPFEYGTGHILPSKSADPGLVYDASHEDYLLFICNSNFNLKNFSSFKCPETTPSASNLNYPSLSIAMLKESMTIKRIVTNVGKDDSVYNVTISSPLGYDVTISPMTLKFNNQGEKQNFHVTVRVNGLEKKNEFTFGWYSWSDGAHVVRSPIAVSSA >itb05g06340.t2 pep chromosome:ASM357664v1:5:6743722:6749357:-1 gene:itb05g06340 transcript:itb05g06340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGQTVTPINMRGEMLPLVYAGDVEIPGRTSSTTSGLCEADTLSENLVRGRIVACRSIDSILASQEVQRAGGVATIQLSPFNEIEVRAFLHPTTVVFSYELEAILEYIRTDENPTATLLPGETVLGVKPAPVMAPFTSRGPNSIEPNILKPDITAPGLNILAAWSEATSPTNLAFDPRGVKYNIISGTSMSCPHVSAVAALLKAIHPDWSSAAIRSAIMTTATITNVMEAPIEKSKGNLASPFEYGTGHILPSKSADPGLVYDASHEDYLLFICNSNFNLKNFSSFKCPETTPSASNLNYPSLSIAMLKESMTIKRIVTNVGKDDSVYNVTISSPLGYDVTISPMTLKFNNQGEKQNFHVTVRVNGLEKKNEFTFGWYSWSDGAHVVRSPIAVSSA >itb02g09390.t1 pep chromosome:ASM357664v1:2:5994662:5994971:-1 gene:itb02g09390 transcript:itb02g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTMINLRKSIALFAVFLFLTFILLSACQAKILDYSSLAAQGSLIHRRSDREAPGPPGPAANVHDHNHH >itb01g29510.t3 pep chromosome:ASM357664v1:1:33752643:33757195:1 gene:itb01g29510 transcript:itb01g29510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGKKRHGMYGAGRRNVKCDTKYSKFEKISTQVDDDYMLYLETLDSSQESGFEREDSMDHNDVNDNDVDPQYRIFLDNTVQKGTAYVTTLEENGLPLILHYEKEEVISSDERNDVHRIKVKKTRKGGDGKDLEDLKVKSNVERPRILRSHVRNCEKSVLEPLNPVSMGRRQPMSGKQHKYNMKNNSDKEQRSSSAGAEEKYLDKDYFLFLQCLQCEGYTLKALFPDNQHVEYDRDDSGDVEVLDIDSFTFCNGGSFNPCVPSTDYCEVVSPCLMSLLFIYL >itb01g29510.t2 pep chromosome:ASM357664v1:1:33752643:33757195:1 gene:itb01g29510 transcript:itb01g29510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGKKRHGMYGAGRRNVKCDTKYSKFEKISTQVDDDYMLYLETLDSSQESGFEREDSMDHNDVNDNDVDPQYRIFLDNTVQKGTAYVTTLEENGLPLILHYEKEEVISSDERNDVHRIKVKKTRKGGDGKDLEDLKVKSNVERPRILRSHVRNCEKSVLEPLNPVSMGRRQPMSGKQHKYNMKNNSDKEQRSSSAGAEEKYLDKDYFLFLQCLQCEGYTLKALFPDNQHVEYDRDDSGDVEVLDIDSFTFCNGGSFNPCVPSTDYCEVGNTLYEDSQFRQEITSILRRPYDQAEFEKLLQDVKVQKPMDRNLELRHGRERRCPSNRIGKSYLDHFDDFNIKLEEVSPDKHKMLNILRGFFFWLQNMTKERAFKPWEDPKCLAVMPGSC >itb01g29510.t1 pep chromosome:ASM357664v1:1:33749057:33757195:1 gene:itb01g29510 transcript:itb01g29510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGKKRHGMYGAGRRNVKCDTKYSKFEKISTQVDDDYMLYLETLDSSQESGFEREDSMDHNDVNDNDVDPQYRIFLDNTVQKGTAYVTTLEENGLPLILHYEKEEVISSDERNDVHRIKVKKTRKGGDGKDLEDLKVKSNVERPRILRSHVRNCEKSVLEPLNPVSMGRRQPMSGKQHKYNMKNNSDKEQRSSSAGAEEKYLDKDYFLFLQCLQCEGYTLKALFPDNQHVEYDRDDSGDVEVLDIDSFTFCNGGSFNPCVPSTDYCEVGNTLYEDSQFRQEITSILRRPYDQAEFEKLLQDVKVQKPMDRNLELRHGRERRCPSNRIGKSYLDHFDDFNIKLEEVSPDKHKMLNILRGFFFWLQNMTKERAFKPWEDPKCLAVMPGSC >itb01g29510.t4 pep chromosome:ASM357664v1:1:33749057:33754013:1 gene:itb01g29510 transcript:itb01g29510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGKKRHGMYGAGRRNVKCDTKYSKFEKISTQVDDDYMLYLETLDSSQESGFEREDSMDHNDVNDNDVDPQYRIFLDNTVQKGTAYVTTLEENGLPLILHYEKEEVISSDERNDVHRIKVKKTRKGGDGKDLEDLKVKSNVERPRILRSHVRNCEKSVLEPLNPVSMGRRQPMSGKQHKYNMKNNSDKEQRSSSAGAEEKYLDKDYFLFLQCLQCEGYTLKALFPDNQHVEYDRDDSGDVEVLDIDSFTFCNGGSFNPCVPSTDYCEVVSPCLMSLLFIYL >itb14g07530.t1 pep chromosome:ASM357664v1:14:6949987:6955105:1 gene:itb14g07530 transcript:itb14g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTGKTTLAYQIFHNTNKLNTFVHTIWVHVSQSFDRKQRYIDMLCEITKRPRDEYSGIREDQLVAGIRDRLKDKKYFIVLDDIWEKNDWDSLVAALPKNSQGSRVLVTTRFLKVVDSEEKPHILKPLDDKVSWEILEKIVFGKDGCLNESLKTLGVEIAKRCKGLPLSLVMLGGILRKSRAHAYWKRVAGNPFQEINRKDQSYHKVVKLSYDHLPHEKLRNCFLYFASFPMGQEIGALKLIRLWIAEEFIPTIDEWGYSLDLEGEAQNYLKELVDRNLVIVMKRSADGEIKTCRIHDSLHEFCKTEAAKNDLFHIIDGEQKLDANTISSHRRRLCFHSSLASTTKIFDVEDDPSYLFLNSYNKMKKKSPYPSAEHVHSLLLCSSQKNDIELKQEELIAIPNAFPLLRVLDIESFKLSFQLPNELFSLNLLKYLAITTNVNLLPKAFKNLRELQTLVIKTIEGTVLEIKGGIWNMEKLRHVQTNASMQLPSPPQKTWRRNNTRKSNIYTLSSISPACCTKKIFSKTPYLKKLGVRGNLAKLLKENEEICLFNNLQMLKCLENLKLYGQDENALKVPMLNKFAHKLRKLTFCKTFFKWDDMRILGSLEKLEVLKLDENAFRGKCWNLKSNVVFKQLQYLRIGRTNLETWTIVENSFPVLENLVLRNCTYLEAIPSAFVQVHNLKLIELYHMSENAINSARELRGKENVKGLDLKITPLPPKATAHEEQTHDEENINITIPSPLAATIGPSTFTPTARTSRIPPSTFSFSSRSPAKASMSGPCLSSPLWITPASILLLDGDFLSTPASIHLLGLDHCTEDFYLESISKSIHIHCTTMPF >itb01g19830.t1 pep chromosome:ASM357664v1:1:26099900:26101223:-1 gene:itb01g19830 transcript:itb01g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVVALISALCTLALATTFVHCAPAEKFSVRGQVYCDTCRVQFQTRISEYMAGVVVRLDCKNIDNETLTYSVQGETGPDGKYSLSVDGDHENDMCEVTVVKSPKEDCKETVAGLEKARVVCTDNVGMDGSVRYANPLFFMKRKADERCPQVLKEIDFFPNQNM >itb14g03370.t2 pep chromosome:ASM357664v1:14:3020391:3025040:1 gene:itb14g03370 transcript:itb14g03370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDELLGTFVPIVVYWLYSGIYSILGGLHNYRLHSKKEEDEKNLVSKADVVKGVVLQQAVQAIVATILFAVTGNDGEVAINQESLLVLARQFIIAMVVLDTWQYFMHRYMHQNKFLYRHIHSQHHRLVVPYAFGALYNHPLEGLILDTIGGALSFLASGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHIFFKNNSAYHDIHHQLYGSKYNFSQPFFVTWDRILGTYMPYELQPRADGGLEARPTKDCKDY >itb14g03370.t1 pep chromosome:ASM357664v1:14:3020391:3025040:1 gene:itb14g03370 transcript:itb14g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDELLGTFVPIVVYWLYSGIYSILGGLHNYRLHSKKEEDEKNLVSKADVVKGVVLQQAVQAIVATILFAVTGNDGEVAINQESLLVLARQFIIAMVVLDTWQYFMHRYMHQNKFLYRHIHSQHHRLVVPYAFGALYNHPLEGLILDTIGGALSFLASGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHIFFKNNSAYHDIHHQLYGSKYNFSQPFFVTWDRILGTYMPYELQPRADGGLEARPTKDCKDY >itb14g03370.t4 pep chromosome:ASM357664v1:14:3020479:3025066:1 gene:itb14g03370 transcript:itb14g03370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDELLGTFVPIVVYWLYSGIYSILGGLHNYRLHSKKEEDEKNLVSKADVVKGVVLQQAVQAIVATILFAVTGNDGEVAINQESLLVLARQFIIAMVVLDTWQYFMHRYMHQNKFLYRHIHSQHHRLVVPYAFGALYNHPLEGLILDTIGGALSFLASGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHIFFKNNSAYHDIHHQLYGSKYNFSQPFFVTWDRILGTYMPYELQPRADGGLEARPTKDCKDY >itb14g03370.t3 pep chromosome:ASM357664v1:14:3020538:3023016:1 gene:itb14g03370 transcript:itb14g03370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDELLGTFVPIVVYWLYSGIYSILGGLHNYRLHSKKEEDEKNLVSKADVVKGVVLQQAVQAIVATILFAVTGNDGEVAINQESLLVLARQFIIAMVVLDTWQYFMHRYMHQNKFLYRHIHSQHHRLVVPYAFGALYNHPLEGLILDTIGGALSFLASGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHIFFKNNSAYHDIHHQLYGSKYNFSQPFFVTWDRILGTYMPYELQPRADGGLEARPTKDCKDY >itb13g03180.t1 pep chromosome:ASM357664v1:13:3140617:3141391:-1 gene:itb13g03180 transcript:itb13g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDDDVEREELLEFLPGFYVLVGGRSDLGYENHDYLMQHDVAGGELDGQYLGYDFEENIEYNLEYPDMTIIFEEDLCDSIENFDNEYNTESLRIIHGNVRERGMDGTLPRKGSYGTQI >itb03g18970.t2 pep chromosome:ASM357664v1:3:17113960:17123555:-1 gene:itb03g18970 transcript:itb03g18970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYRGSRNANGRMQDAHWVLMMEGSKYAERLPIGLEKVIRTVSPQIVKQFYKKWYHLRNMAVIAVGDFPDTQSVVELIKAHFGYKTSPPDPPPIPYYLVPSHEEPRFSCFVESEAAGSAVMISCKMPVDELKTVKDYRDLLAESMFFHALNQRFFKISRKKDPPYYSCSAAADCLVRPVKAYIMTSSCKEKGTVEALESMLTEVARVRLHGFSEREISVVRALLMSEIESAYLERDQMQSTSLRDEYLQHFLRNEPVIGIEYEAQLQKTLLPHISASEVSKYSERFGTSSSCVIKTIEPRATATVDDLRSVVLKINTFEQEQSIPPWDEENIPEEIVSVKPSPGWIMQQFEHQNVGAFEFDLSNGMRVCYKCTNFLDDQVLFTGFSYGGLSELPESEYFSCSMGSTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKLGAYMRSFSGDCSPSDLETALQLVYQLFTTNVEPGEEDVNIVMQMAEEAIHAQERDPYTAFANRVRELNYGNSYFFRPIRIRDLQKVNPYKACEYFNNCFKDPSTFTVVIVGNIDPATAFPLILEYLGGIPRPSEPILHFNRDDLKGLPFKFPSTIIREVVHSPMVEAQCSVQLCFPVELKSENMMEDVHFVGFLSKLLETKIVQVLRFKYGQIYNAGVSVFLGGNKPSRVGNIRGDIGINFSCDPDISSTLVDLALDEILRLQEDGPSDEDVSTVLEIEQRAHENGLQENCYWLDRILRSYQSRIYSGDVGDSFKVQDEARSKVRKLLTPLTAQMALQRILPFPCKKQYTVVILMPQASRMKRLKSFVCSAPKRYCTDAKILAGTAGVAVLLLTLWRYSRSSLKS >itb03g18970.t1 pep chromosome:ASM357664v1:3:17113960:17123555:-1 gene:itb03g18970 transcript:itb03g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPAESSQMLPKKHRFRSLKLVNVNMEEALSQTPYGVDYGRLDNGLTYYVRSNSKPKMRAALALAVRAGSVLEEEEERGVAHIVEHLAFSATEKYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPIDKPELLSQAISILAEFSSEVRASEHDLEKERGAVMEEYRGSRNANGRMQDAHWVLMMEGSKYAERLPIGLEKVIRTVSPQIVKQFYKKWYHLRNMAVIAVGDFPDTQSVVELIKAHFGYKTSPPDPPPIPYYLVPSHEEPRFSCFVESEAAGSAVMISCKMPVDELKTVKDYRDLLAESMFFHALNQRFFKISRKKDPPYYSCSAAADCLVRPVKAYIMTSSCKEKGTVEALESMLTEVARVRLHGFSEREISVVRALLMSEIESAYLERDQMQSTSLRDEYLQHFLRNEPVIGIEYEAQLQKTLLPHISASEVSKYSERFGTSSSCVIKTIEPRATATVDDLRSVVLKINTFEQEQSIPPWDEENIPEEIVSVKPSPGWIMQQFEHQNVGAFEFDLSNGMRVCYKCTNFLDDQVLFTGFSYGGLSELPESEYFSCSMGSTIAGEIGVFGYRPSVLMDMLAGKRAEVGTKLGAYMRSFSGDCSPSDLETALQLVYQLFTTNVEPGEEDVNIVMQMAEEAIHAQERDPYTAFANRVRELNYGNSYFFRPIRIRDLQKVNPYKACEYFNNCFKDPSTFTVVIVGNIDPATAFPLILEYLGGIPRPSEPILHFNRDDLKGLPFKFPSTIIREVVHSPMVEAQCSVQLCFPVELKSENMMEDVHFVGFLSKLLETKIVQVLRFKYGQIYNAGVSVFLGGNKPSRVGNIRGDIGINFSCDPDISSTLVDLALDEILRLQEDGPSDEDVSTVLEIEQRAHENGLQENCYWLDRILRSYQSRIYSGDVGDSFKVQDEARSKVRKLLTPLTAQMALQRILPFPCKKQYTVVILMPQASRMKRLKSFVCSAPKRYCTDAKILAGTAGVAVLLLTLWRYSRSSLKS >itb07g11640.t1 pep chromosome:ASM357664v1:7:13109498:13110781:-1 gene:itb07g11640 transcript:itb07g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRNQREIILIRVSKTAPKTPKAEELGAKSNSLREARIYTRIFKILIRRPIIFHLINPCAARLTNHLPPVSSPNPYINPNPIPFKPANNPSKIPLIFQFSISLSLSKSCKMAPKAEKKSAEEKAAAVADKAPAEKKPKAGKKLPKEAGAASGEKKKKKAKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >itb09g11820.t1 pep chromosome:ASM357664v1:9:7378678:7381517:-1 gene:itb09g11820 transcript:itb09g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRALLPSQYHSFSAINSRVSLLFLLNGIQKVLIVLDASLERNADGIIAAIRSLPLKQGDRVLQLGVIQPFRTDNTSPPCIGCIAEIRKTKLHSSATISMRKKHLEQEARKKKKEYLSNPKIADLIKQLQMQQIKFDIEVEADSLNETTIRVAKSLGATCVILDRNMKKDRKYFLDNLSSCGILRIKHDNNIETIRGPKMVDAHDKTVQGLKMSEDAEKALKRGQCIHGSNEGEVLEDLFESSTCSLCNNRLLMTRPTVEFTYADLHLATSGFSPQNLLANHGSQIYIGALNDGHRIVVIKHPSVNISENEFKSQAQMLGNVRHQNVATLLGWCSEGQHRLLVYQYVCNGSLNRHLQDKSSSLSWERRMKIALGAAKGLQYLHKKNIYANMKPKNILINHDHHPLLVNFGLARNLYEGLDYSYDSRVIKTLEYIAPDYEENGADISKTDVYSFGVVLLELITGRKTLEETNGQSYLRWARPLLKKKMYPSLVDPALNGSHDLHQLYGMIRLAQKCVSLEAERRPSIDKVVMDLECIIHGCSLKDHSPTDSEWMELVKRR >itb12g22570.t1 pep chromosome:ASM357664v1:12:24619009:24628304:1 gene:itb12g22570 transcript:itb12g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYMDNGGDVLPPEMEEEMEDEVEEIEPPPAAVPFSKLFICADRLDWFLMAVGSLAAAAHGTALVVYLHYFAKIIQLLTHSSEPPDKLFDRFTELSLTIVYIAAGVFVAGWIEVSCWILTGERQTAVIRSKYVEVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFVNCWQIALITLGTGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYALSLQASLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVIHGKAHGGEIVTALFAVMLSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSSVNNEGITLASVQGNIEFRNVYFSYLSRPEIPILSGFYLSVPSKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRNQIGLVTQEPALLSLSIRDNIAYGRDATFDQIEEAAKIAHAHTFISSLERGYDTQVGRAGLALTEEQKIKLSIARAVLSNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELITLDGLYAELLKCEEAAKLPRRMPMRNYKEIAAFQIEDSSASHSVQEPSSPRMVKSPSLQRTSVLHTFRTSDATFNSLESPHNLSPPPELMVENGMTLDGTTKEPSIKRQDSFEMKLPELPKIDVHSGHRLKSSSDPESPVSPLLTSDPKNERSHSQTFSRPNNEFYDAPLNTRETKDTQHREPPSCWRLVELSLAEWLYAVLGSTGAAVFGSFNPLLAYVIALIVTAYYRIDEERHLERDVDKWCLIIAGMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADNLSMRLANDATFVRAAFSNRLSILIQDSAAVVVALLIGMILQWRLALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLRKIFKKSFLHGMAIGFGFGFSQFLLFGCNALLLWYIALSVKRGHVNLHTALKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPRIEPDDDSALKPPNVYGSIELKSVDFSYPTRPEILVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIQRFYDPIAGQVLLDSRDLKSYNLRWLRNHLGLVQQEPIVFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKGLRQHRLI >itb12g22570.t2 pep chromosome:ASM357664v1:12:24619333:24628301:1 gene:itb12g22570 transcript:itb12g22570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFVNCWQIALITLGTGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYALSLQASLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRLLVIHGKAHGGEIVTALFAVMLSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSSVNNEGITLASVQGNIEFRNVYFSYLSRPEIPILSGFYLSVPSKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRNQIGLVTQEPALLSLSIRDNIAYGRDATFDQIEEAAKIAHAHTFISSLERGYDTQVGRAGLALTEEQKIKLSIARAVLSNPSILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELITLDGLYAELLKCEEAAKLPRRMPMRNYKEIAAFQIEDSSASHSVQEPSSPRMVKSPSLQRTSVLHTFRTSDATFNSLESPHNLSPPPELMVENGMTLDGTTKEPSIKRQDSFEMKLPELPKIDVHSGHRLKSSSDPESPVSPLLTSDPKNERSHSQTFSRPNNEFYDAPLNTRETKDTQHREPPSCWRLVELSLAEWLYAVLGSTGAAVFGSFNPLLAYVIALIVTAYYRIDEERHLERDVDKWCLIIAGMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADNLSMRLANDATFVRAAFSNRLSILIQDSAAVVVALLIGMILQWRLALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLRKIFKKSFLHGMAIGFGFGFSQFLLFGCNALLLWYIALSVKRGHVNLHTALKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPRIEPDDDSALKPPNVYGSIELKSVDFSYPTRPEILVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIQRFYDPIAGQVLLDSRDLKSYNLRWLRNHLGLVQQEPIVFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKGLRQHRLI >itb12g00870.t2 pep chromosome:ASM357664v1:12:620993:625577:1 gene:itb12g00870 transcript:itb12g00870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASPDCPYPGCFFCVMKEGNPNKRRASILKFFHELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLSGRLTWVEQRVAVRALGHLATYTSAFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPIICKPEFLSKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVAACPSIIDALCNIARSSDDWQYMAIDCLLWLLQDPNTCLKVIDKAVPALVDLAEISTLGDHKKLGDSIVNVLQECIESQATGRNSISGRVKEEVEELLSSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAAGKYSEALALCPIRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSTDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAALKHGSVHCEGDVGDMCGQDTDDSEWETASESDVGNDGRDEMGDDICEWRNDVERKDKYDKSLMKDMKHGYSVQLTEDEA >itb12g00870.t1 pep chromosome:ASM357664v1:12:620973:625624:1 gene:itb12g00870 transcript:itb12g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASPDCPYPGCFFCVMKEGNPNKRRASILKFFHELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLSGRLTWVEQRVAVRALGHLATYTSAFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPIICKPEFLSKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVAACPSIIDALCNIARSSDDWQYMAIDCLLWLLQDPNTCLKVIDKAVPALVDLAEISTLGDHKKLGDSIVNVLQECIESQATGRNSISGRVKEEVEELLSSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAAGKYSEALALCPIRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSTDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAALKHGSVHCEGDVGDMCGQDTDDSEWETASESDVGNDGRDEMGDDICEWRNDVERKDKYDKSLMKDMKHGYSVQLTEDEA >itb05g02080.t1 pep chromosome:ASM357664v1:5:1607957:1608691:-1 gene:itb05g02080 transcript:itb05g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQVLDASDNNLSGLIPECQVKLASLSVLNLKGNRYDQMPSTFTFAYNNLPSLNINGNRLKGKLSRSLANCKMLEILDLGNNMISDTFQFLLEKLPSLKILILRNNMFYGQVEIPKTKFVLPSLRIIDLSSNNFTGKLSTYFLQSLSAMAMGEEHKLLSSLIGKYDSYYHDSVTIMNKGYEMVLVKILTIFVALDLSNNKFHGNVPKEIGELKSLIVLNLSRNAFICNTVISMVQSTLHLQH >itb07g14500.t4 pep chromosome:ASM357664v1:7:17164427:17166984:1 gene:itb07g14500 transcript:itb07g14500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLRTTLVFDVAYYIMFLIFVFLILMTLLNAYVTTHMGNYDLAAPVHQMQQYYRLGALDNCYDKWSALFDCLRLKTKQPAEVEEALKKQGQAKPHIWTFRTPEEASVYWNKLFGQIINKKK >itb07g14500.t3 pep chromosome:ASM357664v1:7:17164427:17166984:1 gene:itb07g14500 transcript:itb07g14500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLRTTLVFDVAYYIMFLIFVFLILMTLLNAYVTTHMGNYDLAAPVHQMQQYYRLGALDNCYDKWSALFDCLRLKTKQPAEVEL >itb07g14500.t1 pep chromosome:ASM357664v1:7:17161342:17167043:1 gene:itb07g14500 transcript:itb07g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEQTQRRSCAACFTALCSCYTPVHQMQQYYRLGALDNCYDKWSALFDCLRLKTKQPAEVEEALKKQGQAKPHIWTFRTPEEASVYWNKLFGQIINKKK >itb07g14500.t2 pep chromosome:ASM357664v1:7:17161342:17167043:1 gene:itb07g14500 transcript:itb07g14500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEQTQRRSCAACFTALCSCYTPVHQMQQYYRLGALDNCYDKWSALFDCLRLKTKQPAEVEEALKKQGQAKPHIWTFRTPEEASVYWNKLFGQIINKKK >itb10g25560.t1 pep chromosome:ASM357664v1:10:28826600:28827870:1 gene:itb10g25560 transcript:itb10g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MGFGDAVLQGGKKAASPGKASIMALGKAFPHQLVMQEFLVDGYFKNTNCDDPVLKQKLTRLCKTTTVKTRYVVMSEEILKKYPELAIEGLPTVKQRLDICNSAVTQMAVEASWTCIKKWGRPPTEITHLVYVSSSEARLPGGDLYLAKGLGLRPDTQRVMLYFSGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMVIGSNPVQEIERPLFELHTAIQHFVPDTEKIIDGQLTEEGISFKLERELPQIIEDNIDEFCVKLMRVAGYSRKDFNKLFWAVHPGGPAILNRLEKKLELSPEKLSASRRALADYGNASSNTIVYVLEYMLEENNKITRRESEAEDSDWGLILAFGPGITLEGILTRNLTA >itb12g17180.t1 pep chromosome:ASM357664v1:12:19138765:19139280:1 gene:itb12g17180 transcript:itb12g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGQLEVVETVALTMPFKPDAAVWRALFSTSAYHGKTDFAWKMRDKLLEINPNHDSAYVLLANAFESAGRWDEVKEEWKTMKAKKVRKEGGRSWIEVRGEVHVFLAEDRTHERRDEIYEKLAKLMEEIERLGYVPVWNEMLHEVDEKQKRKVLLYHSEKLALAFGLLSR >itb03g29190.t1 pep chromosome:ASM357664v1:3:29991168:29995710:-1 gene:itb03g29190 transcript:itb03g29190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSVKLEIEDPLEDQHAPLSKRSRLSGSSSLPSDQWSNGSNEFQIPPSQYNPLDEPSPLGLRLRKSPSLLDLIQMKLSQSNASPMLPTSSDQTSGSKKEERGSSTSSGTDKLKASNFCGSLLKIGSWEYVSRYEGDLVAKCYFAKHKIVWEILEGGLKSKIEIQWSDIMGLRADCPDDDPGTLTIVLVRQPLFFRETNPQPRKHTLWQATTDFTDGQASINREHILRCPPGVLNKHFEKLIMCDTRLHFLSQQPEILMNSPYFESQPSPVENSNELKDHGLNLLSAAKGSPTTGVQSIASSPAAGQSSISFEQDLLNGAPEHSSKDASSPSSVMDTRAIEGNGRNLKGNDSVRRECWEQLKVTGLRPSMSMTDLVNHIGNCISEQVNSGNMHSNQASECQGILENIAHILMSDNQSAAAAASDEKCLMKKVNSLCCLLQDPATINNAQLDEEKHFGVAASHDEEAKTGSGSGSVICGEDSRQAAPSIPRRDSFADLLFQLPRIASLPKFLFDIAEDDGNQP >itb07g18570.t1 pep chromosome:ASM357664v1:7:23015640:23018273:1 gene:itb07g18570 transcript:itb07g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82G1 [Source:Projected from Arabidopsis thaliana (AT3G25180) UniProtKB/Swiss-Prot;Acc:Q9LSF8] MDVLILAICLILPILLTYNHIILKYKSVDKNNGKVIPLRVSEAPGAWPFIGHLHLLHGRLPVARTLGHMADKYGPIFGLRLGSHPAIVVSSWEVVKECFTTNDLVFATRPRMAVGKYLGYNEALFALAPYGPYWRDIRKLVTVELMTISRLEKLQHVRTSEVEHCIGELYSLCHENGEVNLSEWFEHVTLNITLRLLVGKRFSRIAKHLKEVVSRALYLGGVFVPSDAIPSLEWMDIGGYIKGFKEVSKEMDEIIGTWLQEHIRNKKGDDDQSDFMDVMLSTLPEDAVISGHDRDTIIKATTLILILTGSESTAETLIWTTSLLLNNPHAIKIAQDEMDMHVGKDRLVEESDIKNLKYFQAIVKEGLRLYPPGPLSGPREAMEDCKIGKYHIPKGTRLILNLLKLHRDPRIWSEPDEFKPERWFKDKHSSIGYVGKSFEYLPFSGGRRMCPGMNFGLQVVQLTLARLLQGFNISTPMGMPVDMSEGLGIALPKLTPIQVLLTPRLPTLFPTTLKNN >itb09g23810.t1 pep chromosome:ASM357664v1:9:23349264:23351283:-1 gene:itb09g23810 transcript:itb09g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLSAEEWLWRSQELVPVALEKARKVKGFPSRWKVIISKLEQIPSRLSDLSTHPFFSKNALCKEQLQAVWNTLNEAIEFAEMCAKEKYEGKLRMQSDLDVLSVKLDLNLRDCGLLIKTRVLGEVTMASVPEPDRSNLRELLARLQIGHLEAKHRALDGLLEAMKDDENTILEVLTRSNVSALVQLLTASSPQMREKAVTVICSLSESGCCEKWLVSEKVIPSLIRLLDSGSALGKEKAAISLQRLSISAETAGLIVGHGGIRPLIEICHTQDSVSQAAAASALKNISVVPEARQALGNEGVVEAMINLLDCSMAPELKDYAAQCLQNITSSCSDDELRRCVIEEGGIPSLVKCLDGDLPHESAVGALANLVGYVPIETLVSLGVLPRLVHVLKCGTIGAKQSAVSAICRISTSAEAKKAVGESGCIPLLVKTLVVAKENGLREAAAQAIASLMTLGQNCREVKKDHDSVPNLVQLLDPSPHNTAKKYAASCLVLLSSSKKCKKLMISHGAIGYLKKLSEMDVPGAKKLLERLERGKLISGLFSR >itb03g03230.t1 pep chromosome:ASM357664v1:3:1846190:1849095:-1 gene:itb03g03230 transcript:itb03g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTVPAPFLTKTFQLVDDRTTDDVVSWSDGGDTFVVWKTAEFGKDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFKRGQKELLTEIRRRKTVASAPVTGKCNSSSPENSSEEPSSSSTSLSNTKNPGSGETPAAGKPQLADLTDENEKLKRDNQVLSSELEQAKKQCDELVAFLTQYVKVAPDEINRIMSQGTRSSHSGFDGSSADLNSNDSQDEENGESLKLFGVLLKERKRKRSFSGNDIEFPGAHRKNAPWMKISSAPGETSKVCN >itb15g00700.t1 pep chromosome:ASM357664v1:15:407136:410242:-1 gene:itb15g00700 transcript:itb15g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIVRGKGGCPTTGSKIGAGSGSVWCNSLIKSRHACRISSYKRGRTTTSGTRAGKRQVPSKLKTFLWTATHDRIMGNGECKRRGLAVDDSCDCCSGTRESTHHILRHCTAAKQVWEAFTSTEQRSRWRQSSVQQWITVNITHGKDEIDGVDWLTRFAIIVWWLWKWRCDRVFNERESDIQHKINRIREAEEEITRVFSRHDSNMNRAARFRTMRLRWDASADHQVTLNVDGSVKPSINRAGIGGGVMRNRDGEWMAGFLRGTTCKDPAWAQPPQAQVRYSQLDAMAARLTRAIAAFETSGHGLSRPRLASSSSYPSESDSAETASSQKSTKKLTDRLSAVVDAVNDRKLPPEFRGRRNAVRSETDIINVVEQRIWHSMEQGQFENLPGKGKPLDLSSNPHAEDTLYRILSRNKCAPEWVELNKEIRDKVAVWRSSLKKAWGYRSSGNDAKWVEYSEALKLQMRDINDKVFRYNLIVPFGRQMFGLKWEKELDSLKEEGLESQDIS >itb03g07200.t1 pep chromosome:ASM357664v1:3:5265499:5267906:-1 gene:itb03g07200 transcript:itb03g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVQALEDYESMILFFVFPTLLLFLLSRFLRKPYPPGPKGWPLIGNWNLMDHYSHRGFAKLARQYGGLLHFQMGSVHVVVVSGPEEAREVLQIQDNVFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKVCVMKLFSRRRAESWDSVRDEVDKMVRAVGASAGSAVNIGELVTGLTRDIVYRAAFGSSSSDGKDQFITILQEFSLLFGAFNIADFFPWLKWADPQGLNARLVKARASLDGFIDNIIENHIQKRKDNKEGIINIGSDTDMVDELLAFYSEEAAVNETEDLQNAIKLNRDNIKAIIMDVMFGGTETVASAIEWAVAELMNSPEDLKRVQEELSSVVGLDRKVEEADLENLTFLKCCLKETLRLHPPIPLLLHETAKACEVNGYHIPARSRVAINAWAIGRDKNSWEEADSFKPERFLKAGVADYKGNNFEFIPFGSGRRSCPGLQLGVYSLEVAVAHLLHCFTWELPDGMKASEMKMEDVFGLTAPLANRLVAVPSARLSCPL >itb13g02450.t1 pep chromosome:ASM357664v1:13:2347523:2351175:-1 gene:itb13g02450 transcript:itb13g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVDYSGDDEFYNGSSLCSSDLGVMLSLGRVNVYCPPRKRARITGPYVVEDEEKKPSIEVLPDECLFEILRRLPGGRERSAAACVSKRWLMLSGSVRCTEICRSTNHDGASADVTMAIAEEDCEVHCDGYLTRSLEGKKATDIRLAAIAVGTSSRGGLGKLSVRGSNSARGITNVGLSAIAHGCPSLRVLSLWNVPSVGDEGLLEIAKECQSLEKLDLCQCPSVSNKGLIAIAENCPNLTSLTIESCPSIGNEGLQAIGRCCTKLQSVTIKDCPLIADQGVASLLSSASTVLTKVKLHALNVTDFSLAVIGHYGKAITCLNLSALHNVSQKGFWVMGNAQGLHNLASLTISSCRGTTDVSLEAVGKGCPSLKQMCIRKCFFVSDFGLAAFTKAAISLESLQLEECNRITQTGIVNAVSNCSKLKTLSVAKCMSVKDLPPQTPLLSPCGSLRSLSIRNCPGFGSTSLAMVGELCPQLHHLDLGGLCGITDAGLLPLLNSCEAGLVKVNLSDCPNLTDEVVLALVRLHGQTLELLNLDGCQKITDASLVAVADNCILLRDLDVSRCSITDSGVSALSHGVQINLQILSLSGCFMVSDKSVASLQKLGKSLVGLNLQRCSSLGSSAIELLVENMWRCDILS >itb07g03840.t1 pep chromosome:ASM357664v1:7:2594711:2600325:1 gene:itb07g03840 transcript:itb07g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENNTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSSRGRVYEYSNNNIKGTIERYKKATSDASNICTPQELNAQIAENERLQQLSMMPAGQDYHAIQHYLARNMLQLNVMESVQASYPVPDKKTLHLD >itb15g07360.t1 pep chromosome:ASM357664v1:15:5021535:5023378:1 gene:itb15g07360 transcript:itb15g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSPDDATSGKCFSGILSRILCSRSLPTHPSDQLPDQDAEAFFHRRQESSVNSDHNQGNNGRNPGVVARLMGLESMPDLMVSKGRPFGSFLRSRSVNFIDFLPQIGLSGEAQHRRVRTSMSFREAIGFDKEKHDFLVLCFDSRDETRKEEMPPQKHHVVSFPEVKLKKAEKTSLVKKKKSTERLTEAPSKRSVEVSVPGRRRNPNNVVDVNRKESLGLKEKRAVKKPVDSRRVSIEPNPATPRKKKKKSRYVGNNRIQPEYASILDHPKSSPAKSENSGKLQKSSKKEHLKPRNAVQKHMRTADKAFIINDQAPKTVVENVCRARKQETAGSDEKTLLEICRLAEENASEYWWVGGGGGLQLEDSEEICMHFGQQILDVLLNDVVGELVIMHKKSRI >itb15g07360.t2 pep chromosome:ASM357664v1:15:5021508:5023435:1 gene:itb15g07360 transcript:itb15g07360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSPDDATSGKCFSGILSRILCSRSLPTHPSDQLPDQDAEAFFHRRQESSVNSDHNQGNNGRNPGVVARLMGLESMPDLMVSKGRPFGSFLRSRSVNFIDFLPQIGLSGEAQHRRVRTSMSFREAIGFDKEKHDFLVLCFDSRDETRKEEMPPQKHHVVSFPEVKLKKAEKTSLVKKKKSTERLTEAPSKRSVEVSVPGRRRNPNNVVDVNRKESLGLKEKRAVKKPVDSRRVSIEPNPATPRKKKKKSRYVGNNRIQPEYASILDHPKSSPAKSAENSGKLQKSSKKEHLKPRNAVQKHMRTADKAFIINDQAPKTVVENVCRARKQETAGSDEKTLLEICRLAEENASEYWWVGGGGGLQLEDSEEICMHFGQQILDVLLNDVVGELVIMHKKSRI >itb10g02020.t1 pep chromosome:ASM357664v1:10:1658978:1660819:1 gene:itb10g02020 transcript:itb10g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKLFSLISIAVILALHTPMAAAQLRYFCANFATFHPNSRFEKNLNTLLGNLSSNTPRNGYSSGFAGKCTDRVYGLALCRGDVTTEECKKCVADATTRIRQLCPNNNGGILWYDNCELKYSQDDFFGEIDSANKFYMAHWEDAKDPKAFMQKTRDLLTRLADKAAMLKSSYAAGVENLGGTNTLYGLVQCTRDLSGEDCKKCLYDAIAELPQCCGTAKIGGRVIEASCNFRYETYPFYNGA >itb03g19900.t1 pep chromosome:ASM357664v1:3:17841744:17848575:1 gene:itb03g19900 transcript:itb03g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MKRQSSILSFLKKPSPEDQRSGGATLNGNQPTHLQHNKNAPPPTKCAVLPATLDLTEEPKGTDTPPEKVPRQIFPTNDDGSGTKPSVFDSIKHKFVKFHNGEKFADRNLTSGGTLMMSSVTGGSERSEVHGDASLGSKLLAKENAVGFNRTIKQGNNGCSSVIVINDDAPGPETPGTRPLVPRLKRVQEDAQGLQDKGDCSALNMNKRVKTLQDSITVRKNLEEVSEMTSKFEWLHPSRIKDANGRRPGDPSFDKNTLYIPPDALRKMSASQKQYWGVKCRYMDVVLFFKVGKFYELYELDADIGHKELDWKITMSGVGKCRQVGISESGIDDAVQKLLARGYKVGRIEQLETSEQAKSRGSTSVIQRKLVNVLTPATSSEGNIGPDAVHLLSIKERCLDMEKGSTAFGFAFVDCAALKFWVGSISDDASCAALGSLLMQISAKEVIYDSRGLSKEAQKALKKYSLTGTAAPQLTPIQQDADFVDSLEVKNFIQLKGYFKGSLNGWDHVFDGVMHQDLAFCAFGALASHLTRLMLDDVLRNGDILTYEVYRGFLRMDGQTLVNLEIFSNSADGGPSGTLYKYLDNCITSSGKRLLRSWICHPLKDVDTVNLRLNVVEELMTHSETMSFVAQYFRKLPDLERLLGRVKASNQSSAELLLPTIGKKLLKQRVKVFGSLVKGLRIGMDLLMLLQKEEQLSSSLSKVFRLPVLHGNDGLHKFLTQFEAAIDSDFPNYQDHDITESNAETLSILMELFIEKATEWSQVIYAIGCVDVLRSFAVTATFSTGAMCRPIILPLSESTNLSYENKGPVLEMKGLWHPYALGESEGLPVPNDLQLGGETDYYNRRTLLLTGPNMGGKSTLLRATCLAVVMAQMGCYVPCEAFTLSLVDIIFTRLGATDRIMTGESTFFIECRETASVLQNATQNSLVLLDELGRGTSTFDGYAIAYAVFRHLVEIVNCRLLFATHYHALTKEFGSHPHVILKHMACSFKSNQKLVFLYRLASGACPESYGMQVALMAGIPKQVVESASKAGKVMKTKIGESFRSSEQRSSFSTLHEEWLKSLVLISKSGESELDEDTFDTLFCMWHELRSSDGFLNSAASHQ >itb13g18760.t1 pep chromosome:ASM357664v1:13:25667811:25671289:-1 gene:itb13g18760 transcript:itb13g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITARFRAYPLLLMMLFSFVTNLSALSVTVNDVECVYEYVLYEGDTISGNFVVVDHDIFWNSDHPGIDFTVTSPGDNVVHSMKGTSGDKFDFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRHTNESTRRRVIFYTVGEYILLALASSLQVIYIRRLFSKSVAYNRV >itb02g08930.t1 pep chromosome:ASM357664v1:2:5645472:5647642:-1 gene:itb02g08930 transcript:itb02g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLCSQPGLIWGQLPPDGEYYIANSSSTVSWTNNDYSFALNFSNGVQLPTVILYRESSEGEVACGVICDDLGTTCLFGVIIHYYFLEIVALVWSGNWNHPITANATVELNRDGGGLSLMDSNGSLVWSTHQTNSNNGINPLLVVYGLNLTENGNLVMFGQKNETIWQSFHHPANTTILPSQVIRSSEELRGSISTSHIGQARQSQSRLLPPQLCASVSPQVRKSTPLPRRDATPPPRRHASASTPRVRLCPLALIC >itb14g13180.t1 pep chromosome:ASM357664v1:14:15080042:15082006:1 gene:itb14g13180 transcript:itb14g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARYPFGNTYYSVGEKGVPVKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLVPEKVSAIQVTSVAVDPVAGAEEEGVAFPVGFPAKLPVVLSIGADRLLIGGQTAIVGEEGAPSLKAMIPCCGA >itb12g00050.t2 pep chromosome:ASM357664v1:12:41296:52934:1 gene:itb12g00050 transcript:itb12g00050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVRNLDAAFQEAGQKDGIEIWHIENFHPVPIPESSYGKFYTGDSYIILKTTALKSGALRHDIHYWLGKDTSQDEAGTAAVKTIELDVVLGGRAVQYREVQSNETEKFLSYFKPCIIPQEGGVASGFKHAEAEEYKIRLFVCKGKHVVHVKEVLFARSSLNHDDIFILDTKSKIFQFSGVNSSIQERAKALEVVQCIRDTYHDGKCEVAAIEDGKLMSDADTGEFWGLFGGFAPLPRKTTKGDTKSADALFSRLYRVEKGQPEPVDADSLTKGMLDTNRCYILDCGIEAFVWMGRNTSLDERKTASVAADELLHGLDRTKCHVVRLIEGFETVMFRKKFDSWPQSTDVAAPEDGKGRVAALLKRQGVNVKGLMKDSPPKEEPQPYIDCTGDLQVWRVNGQQKTLLPESDQSKFYTGDCFIFQYSYPGEDKEEYLIGTWFGKLSVKEDQISATSQASKMVESMKFLATQACIYEGFEPIQFLAIFQSLIVFKGGLSEGYKKFLAEKELPDDTYSEDGIALFRVQGTGPDNMQAIEVVPVFLFPRFSLYPWGSAQRLIIVVYAHLDFTNLSCAWNTHRKKLSNWL >itb12g00050.t1 pep chromosome:ASM357664v1:12:41296:56018:1 gene:itb12g00050 transcript:itb12g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVRNLDAAFQEAGQKDGIEIWHIENFHPVPIPESSYGKFYTGDSYIILKTTALKSGALRHDIHYWLGKDTSQDEAGTAAVKTIELDVVLGGRAVQYREVQSNETEKFLSYFKPCIIPQEGGVASGFKHAEAEEYKIRLFVCKGKHVVHVKEVLFARSSLNHDDIFILDTKSKIFQFSGVNSSIQERAKALEVVQCIRDTYHDGKCEVAAIEDGKLMSDADTGEFWGLFGGFAPLPRKTTKGDTKSADALFSRLYRVEKGQPEPVDADSLTKGMLDTNRCYILDCGIEAFVWMGRNTSLDERKTASVAADELLHGLDRTKCHVVRLIEGFETVMFRKKFDSWPQSTDVAAPEDGKGRVAALLKRQGVNVKGLMKDSPPKEEPQPYIDCTGDLQVWRVNGQQKTLLPESDQSKFYTGDCFIFQYSYPGEDKEEYLIGTWFGKLSVKEDQISATSQASKMVESMKFLATQACIYEGFEPIQFLAIFQSLIVFKGGLSEGYKKFLAEKELPDDTYSEDGIALFRVQGTGPDNMQAIEVVPWLLCLHVVWKSYNL >itb10g21050.t1 pep chromosome:ASM357664v1:10:26391899:26399658:-1 gene:itb10g21050 transcript:itb10g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHHLLQEPAIMGESSSSVEPPFEATYKNLFRDAQVLNQAGYEVFDVAEERELPLIDLSELNRGEFIREECKRKMARASREWGFFQVVNHGVSREILEKMRSEQVKLFRKPFHEKMKDRNLNFSTGSYRWGAPSATCLRQLSWSEAFHVPLSDISGSVSRPNNLSSTMDEFATSLSDLAQRLARILAEKLGHKSTYFEETCLPTTCYVRMNRYPACRIHDNVFGLTPHTDSDFLTILHQDQIGGLQLVKDGKWISVKPNLEALVINIGDLFQAWSNGVYKSVEHRVVAHETKERFSAAFFLCPFYDTTIQSCSQPSLYRTFTFGEFRQQVQEDVKNFGYKVGLPRFLVSTH >itb10g21050.t2 pep chromosome:ASM357664v1:10:26391899:26396087:-1 gene:itb10g21050 transcript:itb10g21050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSSVEPPFEATYKNLFRDAQVLNQAGYEVFDVAEERELPLIDLSELNRGEFIREECKRKMARASREWGFFQVVNHGVSREILEKMRSEQVKLFRKPFHEKMKDRNLNFSTGSYRWGAPSATCLRQLSWSEAFHVPLSDISGSVSRPNNLSSTMDEFATSLSDLAQRLARILAEKLGHKSTYFEETCLPTTCYVRMNRYPACRIHDNVFGLTPHTDSDFLTILHQDQIGGLQLVKDGKWISVKPNLEALVINIGDLFQAWSNGVYKSVEHRVVAHETKERFSAAFFLCPFYDTTIQSCSQPSLYRTFTFGEFRQQVQEDVKNFGYKVGLPRFLVSTH >itb05g13970.t1 pep chromosome:ASM357664v1:5:21040784:21042757:-1 gene:itb05g13970 transcript:itb05g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDWKNVAKDVVALSSTLYEEQNCEKILLLSYNHLPENLKTCFLYLGVFPEDYEIPVRRLVGYWGAGKFVEDEALVANNKEEVAWQKLQDLIDRNLILVETRGRSGRIKTCKIHDLAREMCLRLAKGKNILNVIDDKFQVGQSSKERSQENGNFWVSLQSIPKSCCHISHLGFNHSALQKCHSFLAMFPSKYKFESHGGIPILNYNLFTSIQVLDLLQLYLPFFPSSLWINNLSQLRYIALYIGAFPGSFSILSSLKNLQTLILRSAQPYVVYLTLPKIRQLRQLHILNSSSFHFKDGEEENLISENLTTLLWLSDFCCKNEALMVRIPNVKKLGVRYEFRKLKDSKHPIDLLKTLSHLEQLENIKFYGHELLKRIVLVPKPYDFSPKLKKLKFSETRMKLEIMTILGRLPNLQVLQLEDGAFDNRETEWEQVEGGFPKLKVLVFKDQRLCRWIDNDFTFPSLECLVLNNSGHLESLPYECLSGCPCLKLIHLKRGCSDGVLESSKKIQNDGDGQLEVREEFVYPAIRY >itb09g16150.t1 pep chromosome:ASM357664v1:9:11398416:11402115:1 gene:itb09g16150 transcript:itb09g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEESQTDYIGAMLGVNVESGVDESCCLKDGSGNLNAHVTGMQPREAVMQPLMVGEVLQDGYVREEDGIFEVEVKMDGDEYLGVGGQDGVPNPTMDLNTQRMFVGEVSVNGVGNEGTGIDSAGSIEVVGSNSMRSRVSQGQLITIQPAYAEEMDINHIALIPRSREEESRTEEEGQFYVSDLVWGKVRSHPWWPGQIFEPSAASQKAMKYFKRDSYLIAYFGDQTFAWNEVSQIKPFRMYFNQMEKQSSIESFCHAVNCSLDEVSRRFEFGLSCSCVPKAVRCKFESQSVANAGIRAESSQRDGGDKLSTVASFWPGALLQCLKSIATSPCCVVDRLGFVVARSQLLAFSRWKGYYELPAVEEFRGLDGSVLDLTDNASIATGKRKSTIRGPYRKRKLWSKDNGCLEKNGTLMFGNISSLPDGGKDYMDESDRQINISSSNSQKPSDLILSELKVKRRKKLLPSGTGSNKSSPPRKHLKIGQRIQRPAVQLGGSTTVIKPGVEFLSLDNVLLNLSLAAKDPTNGYHILAPYTNIFSGLRKFKSLETSKLKDQEVLVQKCRGESSLNYETTESPALNGIEDSYWTDRIIQGNLEEQVLYEPEDQNEKIIPVGQLDAVFGLNLGADKRERVGILMHSEAEDPSGFIDGQQNLEEQVLYEPEDQNEKIIPVGQLDAVFGEKIIPVGQLDAVFGLNPGADKQERGAILMQSEAEDPSGLVDGQQNLEEDPSGLVDGQQNLEEQVLYEPEDQNEKIIPVGQLDAVFGEKIIPVGQLDAVFGLNPGADKQERGAILMQSEAEDPSGLVDGQQNLEEDPSGLVDGQQNLEEQVIFEPGTEDEKNIPVGQPNAVVGLNPGADNQERATIIVLSEPEDPSGSADGGAEEDCPTELILNFKNLESVPSAADLNKTFSEFGPLDESQTEGMHKTKRGKVVFKRRSDAEIAFGSAGTCSLFGHSLISYRLNYAPRPRKVPVSNRKRKKTLSSTESNDIQSF >itb03g17960.t1 pep chromosome:ASM357664v1:3:16358302:16361940:1 gene:itb03g17960 transcript:itb03g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSETNLVMTVIGFAVSTMFIVFVCTRLICARIQLSSTRRSLARASGSDLSILERGLHGLEPLAVSKFPTKKYSDVFFTSAEDTQCTVCLADYQQEDSLRILPFCGHYFHATCIDMWLQQHSTCPVCRISLREVTEKKRFMPPLFSSAVRCHHAMASMNVNPHQCIRSWNRLSSTPHDRSGSNITSSDNRTVAAAECDSVSIQATTTVAKGSTNKQTESPSNI >itb02g16680.t1 pep chromosome:ASM357664v1:2:12645458:12650915:1 gene:itb02g16680 transcript:itb02g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MMEKNEIVKTGDGFIDRSKVRILLCDNDSKSSEEVFTLLCKCSYQVTSVRSPRQVIDALNAEGPDIDIILSEVDLPMSKGLKLLKYIMRDKELRRIPVIMMSSQDEVSVVVKCLKLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNILNYDFDLVVSDPSDANTNSTTLFSDDTDEKSRKSINLETGPSTQQEDETNAITNAASPETLVIGSFECLPDVPGSSDRKTGKICSFPKKSELKIGESSAFFTYVKSSMPKSNDQVTVRENVTYHSRINEGGNVDIESKERANGDAIENHSQGDGYPSSNSIPDSLSMERSCTPPLSMEFPQQRMEEFSKVHMHPTNESHHDISGYHAHAHAAYPPYYIPRIMNQVMMPSSQMYQKNLPDLHNHANSAMLPTYSHVPHCPPHMPGMGSFPYYPMNMCLQPGQMPPQHPWPSYGSSSSADGKMGKIDHREAALMKFRQKRKARCFDKKIRYVNRKRLADRRPRVRGQFVRKPNGVLVDLNGHPASADDDEEDDEDEDDEDQTTTLDSSPEDDTSISLL >itb02g12550.t1 pep chromosome:ASM357664v1:2:8622207:8627369:-1 gene:itb02g12550 transcript:itb02g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKKKEESKEELNDGPNYRGIKAMPFVIGNETFEKLGTIGTSSNLLVYLTTVFNMKSITATNLINIFNGTCNFGTLLGAFLCDTYLGRYKTLGIASISSFLGMLVLTLTAAISKLHPHDCDDSSGNCNHSQASPWQLAFLLYGFAFLVVGASGIRPCNLAFGADQFNPKTESGRRGINSFFNWYYFTFTFAMMVSLTVIVYVQSSVSWAIGLGIPAFLMLLSCVFFFIGTKIYVKVVPEGSPFTSLLQVIVAATKKRKLALPQQPWSELFNHLPSKTLNSKLPYTDQFRFLNRAAIKTPDDQLKTDGSASDSWRLCSVQQVEEVKCVVRVFPIWVSGILYYVVLNQMNTYVVFQALQMDRSLVAGTNFKVPAASYTVFAMMSLTVWIPVYDRLLVPILRKLTKREGGITLLQRIGAGVFLGVFTMLVAAAVETRRRTVALTRPTLGIQPRKGPISSLSGNWLIPQLVLAGLSEAFAVIGQVEFFYKQFPENMRSFAGSCLFCGFAIASYLSSFLISVVDSATRKPDKESWLAEDLNKGRLDYYYYMVAALEVVNLGYFMVCAKWYKYKGTEENVDKDTSQKLDSSKPLV >itb10g23920.t5 pep chromosome:ASM357664v1:10:28012027:28015960:1 gene:itb10g23920 transcript:itb10g23920.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb10g23920.t4 pep chromosome:ASM357664v1:10:28011694:28015960:1 gene:itb10g23920 transcript:itb10g23920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb10g23920.t7 pep chromosome:ASM357664v1:10:28012027:28015960:1 gene:itb10g23920 transcript:itb10g23920.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb10g23920.t6 pep chromosome:ASM357664v1:10:28011732:28015960:1 gene:itb10g23920 transcript:itb10g23920.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb10g23920.t3 pep chromosome:ASM357664v1:10:28011694:28015960:1 gene:itb10g23920 transcript:itb10g23920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb10g23920.t1 pep chromosome:ASM357664v1:10:28011226:28015960:1 gene:itb10g23920 transcript:itb10g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb10g23920.t2 pep chromosome:ASM357664v1:10:28011226:28015960:1 gene:itb10g23920 transcript:itb10g23920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDCFQSEGKNVRYVASELASDIVVFVGDVKFYLHKFPLMSKSSCLQKLIANLNDGNGDEIRIDEIPGGSMAFEICAKFCYGVTVTLNAYNVIAARCAAEYLGMNENVEKGNLVYKIDVFLNSSIFRSWKDSIIVLQTMKPLLPFCDELNLVSHCIDAIASKASTDVCRVDWSYTYNRYKIPEENGNDHNMNGLRSRAVPKDWWVEDLSELEVDLYKQVIASIKRKEIVSNEAIGEALKAYASKRLQGYGSIQNSDASKYQSVLDTIVWLLPREKGSISTSFLLRLLKASISLDSGEMAQRELIKRIGHQLEEASVNDLLIRTSDAETTLYNVHVVQQILQEFMMSDQDSETKLENGNEIQEVRKPPGILSEASKLMVAKLVDLYLAEIAKDPNLTPSTFLGLAEMVSSFPRPSHDGLYRAIDMFLKDHPGISKSERKRICRLMDCKKLSADACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIKDLNCASYGSSRSATTTTEEDWDGVASADELRALKGELAALRLGNAGMAADRAANGDTTKTVAPDKAAISKMKGLLVSKRIFSKIWSSKGGNGENSGSDSSESLGSTTMEEAKSTPSRKGRHSVS >itb02g25570.t1 pep chromosome:ASM357664v1:2:26335180:26336755:1 gene:itb02g25570 transcript:itb02g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILISKIHFPSIPTHNYINPTSTHHLKSPTLSFSHRPPTISGRIRSTKPPNMPSEAPPPPPQLQQLQGPVPPNYWGDMPAEEYYPSRGVRNTQSYFETPNGRLFTQSFLPLEKSQPIKGTVFMTHGYGSDSGWCFQEICINYATWGYAVFVADLLGHGRSDGIRCYLGEMDKVAAASVHFFKSVRYSDDYKHLPAFLFGESMGGLVTMLMYFQSEPDTWTGLIFSAPLFVIPEPMKPSKVHLFVYGLLFGLADTWALMPDNRMVVKAIRDTEKLKIIAANPRRYTGKPRVGTMREISRQTEYVQNNFHKVTAPFLTVHGTADGVTCPSGSKMLYDKASSEDKSLKLYEGLYHSLIQGEPDEDAARVLADMRAWIDERADKYGPKLNATV >itb07g21760.t1 pep chromosome:ASM357664v1:7:26302398:26304086:1 gene:itb07g21760 transcript:itb07g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEQLRELLANGTAISHIPFSFGCLRNLKTLNLGKSKNCVLSKSKSQLLDNLSSPLFLSRRSRDGVGFFPPSVANLCSLEFLYLNDIYLHEVDLRITLENLTSLVSLDLSGSYYHQSLPFSLCHLSNLKFLSLNNLRNLRVLVELPPSLVNLSAENCVSLENIAVVSNLKRLEVLRIGNCKSLVVLRNMESLSSLVELDISNCISLVELPNMESLSSLEILGIRKCISLVELPNIESLSSLKCLNIRNCNALTIPDKYLHEEDFPIALRSLSSSLNEVDLMGRYYLQSLPLNLCHHSNLKYICLDDLQNLRLLPQLPPNLEILSTKNCVSLEKIADLSNLKRLHKLDIQNCKSLVELSGLESLESLIVLGIANCIGLRIPSIEKWFKAHSKGDSVDISLCASYWSVLCIFPKPSGDVQLQIMHSVIDPCSEIEGCNGIRLSARIKSSGAWIIKQQKYFNRKSESYTAICFDFPTMMGEVLEVCVELKDHVQIIFCLFEIHRNREGEVRFFPSTRGFIPA >itb09g25350.t1 pep chromosome:ASM357664v1:9:25333633:25337515:-1 gene:itb09g25350 transcript:itb09g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHTKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGR >itb08g09600.t1 pep chromosome:ASM357664v1:8:8820677:8824892:1 gene:itb08g09600 transcript:itb08g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVALETRDMGSEHKKSAENDAATTAALGVPSPTLSRGKSFNDSSVSISDKHKARRGDIEEEEQLQRALELSMAEGTISSGDDLLTNVQNSPNVVANIKDHERLVSDKASEINVAKDTSQPEAPISNVVTVSDGSRKLDDVVLNPNSCESESAGVAASSSPRISHDPSKETGEPNLLVENTDQSEEVPSSAGGSHVRIVGDNIGIKDQFTFAHGHMTETNQNSCDALDSSSSLALHVDSHLSSGRRQDSDDPETFTSSVDGRQDSDVLAKQVDGDSRHVHNESPEDGISAREGELIRNFLNANASQLTIYGLGCLQAGLKERELCVFFRNNHFNTMFKFEGELYILATDIGFLNQPNLVWEKLNEVKGDTYYVTSNFKEFKLGNSSNNAWDRLTAITSTAEFLESLGNAVKNKYFDPDTELAISLQQQEFEQEGCQARANRKQAQPIGDGGPRLIVGPRNQAQPTGDGGPRLIVGPRNQVSRPNRNQSTSSSNQESEPAKEKCTVM >itb10g16000.t1 pep chromosome:ASM357664v1:10:22251633:22255061:-1 gene:itb10g16000 transcript:itb10g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESIPGKGVSTGITASSSASEVVDFLRTSFQRMDFSLGQKILTDRENALKAEIESLKKANESAQKVLEFENCKMGVELQRLKKENIDLGENNARLKREIEELKAEKKEVEERLEASEERYAWLDKRVSRMEEDLAFVATKVPNVSNIADKLDDELSFGTQNHLGPSATKVGGSSSSPTNEVPYVVLLSDDEDENHEMPLDDAEILGKSGMGLGPKMNELKGESLQRKRIFGNDDDGDSSSFCVQKRKLLHETEVTPVFDSPKNHGDARMSGYESIARVKCEAIVSPMAGKSTASSHLNLKPQKVGSGPWLDQNEMRLAFDDDCELCMSAVCALYRKLISAPLSMKPMDRGFDSNDELGVELAKYLIDDHPENKLNRAMSEISKVAIEQSKRLALKYSGQLFRIYSSGEDPLFCHNCTSFRR >itb05g11620.t1 pep chromosome:ASM357664v1:5:17755628:17764981:1 gene:itb05g11620 transcript:itb05g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAPIAGMSTASTLSAPSCNNKGIVVVKPSSLGTSFFGRKAGALKLAVAVGQRPHSNRFGALVVRNNLFDRFARVVKSYANAVISSFEDPEKILEQTVLEMNNDLIKMRQATAQVLASQKQLENRYKAAQQASEDWYRRAQLALEKGDEDLAREALKRRKSYADNASALKAQLDQQKGVVDNLISNTRLLESKIQEAKSKKDTLKARAQSAKTATKVSEMLGNVNTSSSLSAFERMEEKVLAMESQADALNQLTSDELEGKFALLESTSVDSDLAELKKELSGSSKKGELPPGRTPVARTGSLQFQDAEIEKELNELRRRANDL >itb11g14180.t3 pep chromosome:ASM357664v1:11:11218380:11221934:-1 gene:itb11g14180 transcript:itb11g14180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MQDIDIIVTYSDASGAVRAMRVKSDNLSKSWVWKNPSDENDDGKESSKELEDPFQMEINSENSNQHSKEDVNQYVDGMLHQQASMLHPIKIQRKIQRKERRERRTAELIQQDKDIDNQMQIAAIERAQKLDTTIKGKFNIWRKEYENPNSDSTLKLMRDQIIMARAYATIAKAKNDSTLYNSLMKQSRESQIAMGDASSDAELQPSALERAKEMGHILSTAKDQLYDCITLARKLRAMLQSSEKGLNLLRKKSAFLIQLAAKTVPRPLRCLPLLLTTDYYLRGYDGRDFPKKEKFEDPSLYHYAIFSDNVLATSVVVNSTVLHAKNPEMHVFHVVTDKLNFPAMKMWFLANPPAGATIQVENVDDFTWLNASYCPVLRQLESARMIEYYFKAHHSASLSSGTDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLGGMVNGAVETCKESFHRFDKYLNFSNPKISENFDPNACGWAFGMNMFDLNEWRRRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLERTWHVLGLGYDPALNKTEMENAAVVHYNGNYKPWLDLAITKYRIYWSRYVMYDNPYLQFCNINE >itb11g14180.t1 pep chromosome:ASM357664v1:11:11218276:11221934:-1 gene:itb11g14180 transcript:itb11g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MSAPRLLFTGSCPSASLLTIFLFLVMVYTAVVRAEISYGSTVRTELRDLLPLYDCPECSNRKEQSHTGSAARPNEKDIDIIVTYSDASGAVRAMRVKSDNLSKSWVWKNPSDENDDGKESSKELEDPFQMEINSENSNQHSKEDVNQYVDGMLHQQASMLHPIKIQRKIQRKERRERRTAELIQQDKDIDNQMQIAAIERAQKLDTTIKGKFNIWRKEYENPNSDSTLKLMRDQIIMARAYATIAKAKNDSTLYNSLMKQSRESQIAMGDASSDAELQPSALERAKEMGHILSTAKDQLYDCITLARKLRAMLQSSEKGLNLLRKKSAFLIQLAAKTVPRPLRCLPLLLTTDYYLRGYDGRDFPKKEKFEDPSLYHYAIFSDNVLATSVVVNSTVLHAKNPEMHVFHVVTDKLNFPAMKMWFLANPPAGATIQVENVDDFTWLNASYCPVLRQLESARMIEYYFKAHHSASLSSGTDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLGGMVNGAVETCKESFHRFDKYLNFSNPKISENFDPNACGWAFGMNMFDLNEWRRRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLERTWHVLGLGYDPALNKTEMENAAVVHYNGNYKPWLDLAITKYRIYWSRYVMYDNPYLQFCNINE >itb11g14180.t2 pep chromosome:ASM357664v1:11:11218276:11221830:-1 gene:itb11g14180 transcript:itb11g14180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MSAPRLLFTGSCPSASLLTIFLFLVMVYTAVVRAEISYGSTVRTELRDLLPLYDCPECSNRKEQSHTGSAARPNEKDIDIIVTYSDASGAVRAMRVKSDNLSKSWVWKNPSDENDDGKESSKELEDPFQMEINSENSNQHSKEDVNQYVDGMLHQQASMLHPIKIQRKIQRKERRERRTAELIQQDKDIDNQMQIAAIERAQKLDTTIKGKFNIWRKEYENPNSDSTLKLMRDQIIMARAYATIAKAKNDSTLYNSLMKQSRESQIAMGDASSDAELQPSALERAKEMGHILSTAKDQLYDCITLARKLRAMLQSSEKGLNLLRKKSAFLIQLAAKTVPRPLRCLPLLLTTDYYLRGYDGRDFPKKEKFEDPSLYHYAIFSDNVLATSVVVNSTVLHAKNPEMHVFHVVTDKLNFPAMKMWFLANPPAGATIQVENVDDFTWLNASYCPVLRQLESARMIEYYFKAHHSASLSSGTDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLGGMVNGAVETCKESFHRFDKYLNFSNPKISENFDPNACGWAFGMNMFDLNEWRRRNITGIYHRWQDMVRQLL >itb14g18530.t1 pep chromosome:ASM357664v1:14:21496506:21502220:1 gene:itb14g18530 transcript:itb14g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEQVGSDSNVVHSYTASCIEKLLLVKDDGAIARYTAVDISPFLLVLMTNLFQAMEKPESEENQYIMKYIMRVLGVSKISREVALHCITGLANVLNRVCQNPKNPIFNHYLFESVVVLVRRACEKDPSFVPGFEGSPDDIGERSLVRLLQEKSGNVPALVRLLQAFLRKVPALVRLLQAFLRKAPHELNQQGRLSNVLGIFNTLQDKVKSSKPPALKIDATTLSLRNRIEDCAETSKIFKNLAINRDDGASSVHTMDIEVEAAIGDSSASAAERRLDSGEESRVQEPVVGMEFESEDAAKEFYDNYARRAGFVMRIDQCRRSEVDKRILSRRLSCNKQGYYVKMKDQFGPARKPRTSTREGCKAMMLVKIDKSGKWVVTRFVKEHTHPLIVSGRPSRNAMDHKDRRIHELTMELDRQDRLCELYRDQLNTLLKYIEEQIEVVSGKVQVVVSNVNQVEKEAWKPPNQ >itb14g18530.t2 pep chromosome:ASM357664v1:14:21496506:21502625:1 gene:itb14g18530 transcript:itb14g18530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEQVGSDSNVVHSYTASCIEKLLLVKDDGAIARYTAVDISPFLLVLMTNLFQAMEKPESEENQYIMKYIMRVLGVSKISREVALHCITGLANVLNRVCQNPKNPIFNHYLFESVVVLVRRACEKDPSFVPGFEGSPDDIGERSLVRLLQEKSGNVPALVRLLQAFLRKVPALVRLLQAFLRKAPHELNQQGRLSNVLGIFNTLQDKVKSSKPPALKIDATTLSLRNRIEDCAETSKIFKNLAINRDDGASSVHTKQCCFSKGLFLDIIFLAVDIEVEAAIGDSSASAAERRLDSGEESRVQEPVVGMEFESEDAAKEFYDNYARRAGFVMRIDQCRRSEVDKRILSRRLSCNKQGYYVKMKDQFGPARKPRTSTREGCKAMMLVKIDKSGKWVVTRFVKEHTHPLIVSGRPSRNAMDHKDRRIHELTMELDRQDRLCELYRDQLNTLLKYIEEQIEVVSGKVQVVVSNVNQVEKEAWKPPNQ >itb14g10450.t3 pep chromosome:ASM357664v1:14:11769375:11772659:-1 gene:itb14g10450 transcript:itb14g10450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNKPDGMVREDRGPYSDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKMYVHEVDEEREMWLNSFYKAPLRLPMPAELEYWWANDEEPEFILINREPEPDPEDPSKLIYTEDPLIMHTPTGKIIDYVDDEEHGIRLFWAPDVKDGEEDENIDDVEFLPLGFDEFYGRETYVEEEQEHFLKRFILSVENACKPIFSKLEKWVEEQKKASEMKMALLKKELELAEAEFALNEAIEDLDEALKLVQQEEERKAEMGIQEEEEDTSPTKPTDQSKKTTTEKEEEDEDEEDDEGDDDAASFSFGSVENQDKAKTNQNGNKPGKPSPFGASSLPFAACSLVSQGPSKLLHSFMTWRKEGKTRQPPSSATTAHFHVLPHEQHAPTSITFPNTVAKNCRLRATRHMHYHEKRACRLPMIVQSKHTARSKLKTSWEIQGLKEDDVSLSILSLHTPLDLRELGDSSSTILSLHMPLVA >itb14g10450.t2 pep chromosome:ASM357664v1:14:11769375:11772691:-1 gene:itb14g10450 transcript:itb14g10450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNKPDGMVREDRGPYSDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKMYVHEVDEEREMWLNSFYKAPLRLPMPAELEYWWANDEEPEFILINREPEPDPEDPSKLIYTEDPLIMHTPTGKIIDYVDDEEHGIRLFWAPDVKDGEEDENIDDVEFLPLGFDEFYGRETYVEEEQEHFLKRFILSVENACKPIFSKLEKWVEEQKKASEMKMALLKKELELAEAEFALNEAIEDLDEALKLVQQEEERKAEMGIQEEEEDTSPTKPTDQSKKTTTEKEEEDEDEEDDEGDDDAASFSFGSVENQDKAKTNQNGNKPGKPSPFGASSLPFAACSLVSQGPSKLLHSFMTWRKEGKTRQPPSSATTAHFHVLPHEQHAPTSITFPNTVAKNCRLRATRHMHYHEKRACRLPMIVQSKHTARSKLKTSWEIQGLKEDDVSLSILSLHTPLDLRELGDSSSTILSLHMPLVA >itb14g10450.t1 pep chromosome:ASM357664v1:14:11769293:11772812:-1 gene:itb14g10450 transcript:itb14g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGVYEVNERTIYGRFYFGEFLDLDTYGCDLEICATHAGIAEVAAAKARMFTNKPDGMVREDRGPYSDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKMYVHEVDEEREMWLNSFYKAPLRLPMPAELEYWWANDEEPEFILINREPEPDPEDPSKLIYTEDPLIMHTPTGKIIDYVDDEEHGIRLFWAPDVKDGEEDENIDDVEFLPLGFDEFYGRETYVEEEQEHFLKRFILSVENACKPIFSKLEKWVEEQKKASEMKMALLKKELELAEAEFALNEAIEDLDEALKLVQQEEERKAEMGIQEEEEDTSPTKPTDQSKKTTTEKEEEDEDEEDDEGDDDAASFSFGSVENQDKAKTNQNGNKPGKPSPFGASSLPFAACSLVSQGPSKLLHSFMTWRKEGKTRQPPSSATTAHFHVLPHEQHAPTSITFPNTVAKNCRLRATRHMHYHEKRACRLPMIVQSKHTARSKLKTSWEIQGLKEDDVSLSILSLHTPLDLRELGDSSSTILSLHMPLVA >itb06g20710.t1 pep chromosome:ASM357664v1:6:23565005:23568404:-1 gene:itb06g20710 transcript:itb06g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MNLKHFITRRSINCVRKLNNTRILSQQTYFHRNYRTIPSSISSLLSSNPDLHGILLANVPKYRKPFSDFGSRFIHTAQETVVMDSETGSQDDDDDSTTNEFLSRFVWIMRKKLNEAYPGDDKNTIDAMLLSIVKKVASEIEKSGAEQTLGEGTDTSPQDFSPDLWRTVWEVSNSVLDDMQKATRKEKLKGFLQSEEVKEMYRFAGEIGIRGEMLRELRFKWAREKMEESEFYGSLEKLREQNAMSGTLEESKTAETKSVREDGDVGKVEPEVTLPKRHGKIKYKIYGLDLSSPEWADVADKIHEAESIISPQELKPISGKCKLVTEKILSLPEHSDPAPLLAEWVELLQPSRVDWISLLDKIKEQNAHLYFKIAEHVLDEESFQTNISDYSKLIYAHAKENQFEDAERILKKMNDKGLVPDIATSITVVQMYGKSGNADQAQVAFENLIKQGFRPNAKMYTAMIMAYVNAGEPKKAEPLIRNMEARDIKPTQEMYMALLTSYAQRGDTHGAERISNMMQFAGYQPSLESHTLLIEAYAKTGHADNARHTFDYLMKLGNEPDDRCTASMIAAYEKKNNLDKALKLLLELEERGFKPGVATYSVIVDWLSKLQLIDEAEQVVDKIAELGETPPFKVNVSLCAMYASAGAEKKALQALSVVEAKKEQLGAEDFDRVINGLEAGGFKQEAARICKLKEAQGFANSAFR >itb04g25570.t1 pep chromosome:ASM357664v1:4:30124284:30126784:-1 gene:itb04g25570 transcript:itb04g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQKVFPSFDDDEEDNSSIIINDLEEADQEFLSEPIQCGRESQDSDEDDDDNSQDEMKLSAKMALLDFRSRIEDAILSNYLVYNKKKNDTERVIFSKGKLENQRDMTLWGVPLLSAKGHQGTDAVLMSFLKASDYKVAEAFKALRKVLRWRTKHHVDAILDEEFSPEVENMWFTNGKDKKGRPVCYQVLGNFKKKDLQREIWGAHEHRKDLVRWRIQCLEKGIRLLDFKPGAQNSIVLVTDLRNSPGTAMREVRWITRKMLRTIQDNYPGLIYKNIVINVPVWYSTFHALNLRVIQQRSKTKFIFVKPARVTDTLLKYIPAEQLQVGYGGIKRDIDDEFSPEDKVLEETLKPSIITTINIPANEVDMTITWDWMVVGNEVSYKEEFVPEDDCSYNVLIQADKKLTGIVRNSFHIREPGEIAITIHNLTSKKKRAFYRSKTKPSVPVYKVPK >itb09g06450.t3 pep chromosome:ASM357664v1:9:3705644:3707031:1 gene:itb09g06450 transcript:itb09g06450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKELVCVSLQPLTIEIEILTLNPILEKLSIMASAVLFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITDASSESVDDSKQVERLSKKKLFMENIDYTLDLYLLYVVTLSIFPGFLYENTGTHSLGSWYPIVLVTLCNLSDLISRYIPLIKKFELKSRNGLMIATLSRFLLIPCFYFTAKYGNQGWMFVLVSFLGLTNGYLTVCILTTAPRGYKGPEANALGNILVLCLVSGIFSGVALDWLWLIGNSDF >itb09g06450.t1 pep chromosome:ASM357664v1:9:3704558:3707031:1 gene:itb09g06450 transcript:itb09g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAIDESSRIPTRLGGKPGAIVVCWILGLGTLVSWSSLLTIGDYYYQLFPKYHPSRVLTLVYQPFAIATIAILAYKGEKLNTRKRNLAGYILFFSGTFALLLLDLGTSGKGGLGNYIGICVIVASFGVADAHVKGGIVGDLSFMCPEFMQSYLAGLAASGTLTACLRLVTKAAFEHSKNGLRKGVILFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITDASSESVDDSKQVERLSKKKLFMENIDYTLDLYLLYVVTLSIFPGFLYENTGTHSLGSWYPIVLVTLCNLSDLISRYIPLIKKFELKSRNGLMIATLSRFLLIPCFYFTAKYGNQGWMFVLVSFLGLTNGYLTVCILTTAPRGYKGPEANALGNILVLCLVSGIFSGVALDWLWLIGNSDF >itb09g06450.t2 pep chromosome:ASM357664v1:9:3705371:3707031:1 gene:itb09g06450 transcript:itb09g06450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEFMQSYLAGLAASGTLTACLRLVTKAAFEHSKNGLRKGVILFLAVSTFFEFLCIILYAFVFPKLPIVKYYRAKAASEGSKTVAADLAAAGITDASSESVDDSKQVERLSKKKLFMENIDYTLDLYLLYVVTLSIFPGFLYENTGTHSLGSWYPIVLVTLCNLSDLISRYIPLIKKFELKSRNGLMIATLSRFLLIPCFYFTAKYGNQGWMFVLVSFLGLTNGYLTVCILTTAPRGYKGPEANALGNILVLCLVSGIFSGVALDWLWLIGNSDF >itb03g06270.t1 pep chromosome:ASM357664v1:3:4627048:4627839:1 gene:itb03g06270 transcript:itb03g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNHVRSISFPSRSHPSIQKVEEELTKLKTIQVSVTPEAATISSGLLGLEQLYKCMDDLLNLPQTLQTLSQNQNQKWVDGLLEKSVRLLDICSLARDCISQLKEHLRDLQSSQRRRKEDSSTEASITRYTSFIKKMNKDAKKSIAAIKKIDNEIDRLTPLDVHHDISEVIKALREATTVSLSIFQFVLLFLSVPVLKPKPSRWSLVSKLVQSGRVACEYQHDNTCNLETLEAQLEAIENQMESIFRCLIKLRSSLLNIISC >itb11g11530.t1 pep chromosome:ASM357664v1:11:8449411:8452368:1 gene:itb11g11530 transcript:itb11g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLFALAIFLFLNLDTDFSSTPVSAASEGVQISYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTGFPGVDDANSYWIVRPVLDSPAKQGDAIKTGSIIRLQHMRTRRWLHSHLHASPISGNLEVSCFGDDNNSDTGDFWKLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDKKYTRIAGGQQEVCGVREKRPDNIWLAAEGVYLPVSTESK >itb02g10310.t1 pep chromosome:ASM357664v1:2:6628456:6632902:-1 gene:itb02g10310 transcript:itb02g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRNELNSSERIRWGDASRVYTRKGKRKAVFITADDDGATNAATTTTTTAVPQVGNSNEDSQKQGSADVPEPIRQTLESEEAKLPEQQEHPEAPRQEQEVSESHNSLTRNSTRDGGDGDEHAVVPNGGIGPVVEPDNHIDEPDGRIVVVPDNHIDEPLSVHWVETEVVKPVISRVEDRVRIDFSGGSSVDEIRDLRKKLESELSEVRDLVNRLETSELQLTAYDNNNSVDVSITGSVGGVAGDFGAYGRPQYPLSCVIKNRSLVRVNSEISSVGHQNVRPFRPPSIIVTANNHGLSDLVEKEKRTPKANQYYANSEFLLGKDRLPPESNKKLKTNGARKKHGGQSDHGFGFSFGSYKQKNQAFRNCSALLQKLMKHNYGWVFNNPVDTDALGLHDYHEVIKHPMDLGTIKTRLSQNWYKSPREFAEDVRLVFRNAMTYNPKGQDVHIMAEQMSKIFEEKWVVIEADYNPNWKLQVYHDPDLSTPTSRKVATPTFVPSPVPASVLTPQMRTFDRSESIARSADAKTKPSFPCRTPVPKKPKAKDANKRDMTYEEKQKLSTNLQGLPSDRLDTIVQIIKKRNTSLAQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNRKKAEIKQARAAQAALATTPLPVVTESQNENRNGGTNNCPTTCPVPPAQGDRQVDNAIRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAAHSPRS >itb01g08730.t1 pep chromosome:ASM357664v1:1:6984768:6987564:1 gene:itb01g08730 transcript:itb01g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVFEAALEGDAATLKRLLHEDPLVLDRTIVNIYSETPLHVAAILGHHDFVKEILRKKPKLVKELNSKHSSPIHLAAAKGHVDVVRTILLVDPGMCLARDRDGLTPVHLAAIKGRVDVLKELINAISISGLVDMMGVGDERLGESILHMCVKHSQLEALKIMVEMMGDRGEDFVNCRDAFGNTILHVAVTDKQIETVKFLLMYTAIEVNAQNLSGLTAMDILLQSRNDVKEIGIAQLLSHKGAKHNACHLINATQTSQKPPGNKKKSKRKRPNAKHWVQKTRDALMVAASLLATMAFQAVVSPPGGLLQDDIVISESQIENNINTIFSLQGNSNNSSASPSNLNDTKINATIGKSVMSYYKPLVYNLFLVANTTSFLASLSIILLLISGLPLSRKFFMFIMMIIMWVAITGMALSYFFCIHMITPKGKNMNIAQYLFRIGVAVLEGLAMILVIGHAIQMTINMIKWLGRAFKRKSQVNSSSVVMDSCDQISVA >itb01g08730.t2 pep chromosome:ASM357664v1:1:6984768:6985379:1 gene:itb01g08730 transcript:itb01g08730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVFEAALEGDAATLKRLLHEDPLVLDRTIVNIYSETPLHVAAILGHHDFVKEILRKKPKLVKELNSKHSSPIHLAAAKGHVDVVRTILLVDPGMCLARDRDGLTPVHLAAIKGRVDVLKELINAISISGLVDMMGVGDERLGESILHMCVKHSQLEALKIMVEMMGDRGEDFVNCRDAFGNTILHVAVTDKQIEVTFVLF >itb05g04130.t1 pep chromosome:ASM357664v1:5:3604834:3606940:-1 gene:itb05g04130 transcript:itb05g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPDPKAQAAKAAKAVKGTTFKKKTKKIRTKVTFHRPRTLKKDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYEIQTKKVNTLIRPDGTKKAYVRLTPDFDALDVANKIGII >itb05g04130.t2 pep chromosome:ASM357664v1:5:3604834:3606940:-1 gene:itb05g04130 transcript:itb05g04130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGEKKPDPKAQAAKAAKAVKGTTFKKKTKKIRTKVTFHRPRTLKKDRNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYEIQTKKVNTLIRPDGTKKAYVRLTPDFDALDVANKIGII >itb05g21970.t1 pep chromosome:ASM357664v1:5:27548883:27549762:-1 gene:itb05g21970 transcript:itb05g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLAQDFLETFRSRKLLLSAPPPANGSFDTVAAGVAASTTFDANVVMVLSVLLCALICSLGVNSIIKCALRCSSIAAGRSPAPERSSSGGSPARLANTGIKKKALKTFPIISYAAGDSKLPGLDTDCAICLSEFAAGDRVRVLPKCNHGFHVRCIDKWLSSHSSCPTCRHCLIQTCQKIVGAAATQPPTPATPPPVPAAVVIQIDPLHREGFLPNYHN >itb05g02480.t1 pep chromosome:ASM357664v1:5:1995793:1997439:1 gene:itb05g02480 transcript:itb05g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPELKRNQTAGTCSVYERVWGSGTLNPSNPPVTNSSTIAAIVTSLGGPTVAVGIVRLSGPSAVSIVGRLFRSLKKKKKKKKKRRRRKDDTSEWRPKSHVVEYGVVSDSRGNVIDEI >itb01g31420.t1 pep chromosome:ASM357664v1:1:35181001:35184621:1 gene:itb01g31420 transcript:itb01g31420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSFVPTIPSLVLPPSKTTSRHSIAPGTICCKLDPSGSHGDSFRRRDFLHCLGATVSLNLIGGSSSFIEVANAADLIQRRQRSEFQSTVKETLYTAIEGNQDLIPSLLTLAINDAFTYDKPTKSGGPNGSIRFSSEISRPENKGLAAALNLLEEAKKDIDAKSKGGPISYADLIQFGAQSAVKKTFLDAAIRKCGGNAEKGALLYRAYGSNGQWGQFDRQFGRSDSTEPDPEGRVPQWGIASIQEMKDKYKTVGSGFGPRQLAVMSAFLGPDQAETEAKLASDPEVLPWIQKYQRSRETISQTDYEVDLITALTKLSSLGQQINYEAYTYPVQRIDVTKLKL >itb05g24580.t1 pep chromosome:ASM357664v1:5:29312905:29315849:-1 gene:itb05g24580 transcript:itb05g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSAAVSLPSSKSSSLASRTSIISPEKVCFNKVPLYYRNVSSGGKLVSIRAQVTTEAPAKVEKISKKQDEGIVTNKFKPKEPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIADGVDANGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDKGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATVVMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMQEKAPENFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMTSLAARDGIDWAEYKRTLKKAEQWNVEVY >itb11g04990.t1 pep chromosome:ASM357664v1:11:2916703:2919737:1 gene:itb11g04990 transcript:itb11g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIVNSHSGRVFSDRKWKVPFFTCLLVSVTLFSATICALYSSSYGRDQVEFNVIPFDEPEDSSEYFVESDLRSTVSNAVPRTEPPRFAYLISGTKGDSQRLLRTLQAVYHPRNQYILHMDLEAPPRERLNLTMSVKNDPTFREVKNVRLMEQSNLVTYKGPTMIATTLQAISIMLKESSDWDWFINLSASDYPLMTQDDLLHVFSNLSRSVNFIENGQLYGWKLSHRGKSIIIDPGLYLSKKSDLAMTSQRRSLPTSFKVFTGSAWVILTRSFVEYCIWGWDNLPRTILMYYTNCISSPEGYFHTVICNTEEFRDTAIGHDLHYIAWDNPPKQHPIVLTLKDFNKMVNSSAAFARKFRKDDPVLDKIDVELLGRKNRFAPGAWCVGGAENGADPCSVRGDDSVFRPSPGAKRLEGLMQKLLSEDFRSKQCMGRS >itb11g04990.t2 pep chromosome:ASM357664v1:11:2916830:2919600:1 gene:itb11g04990 transcript:itb11g04990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIVNSHSGRVFSDRKWKVPFFTCLLVSVTLFSATICALYSSSYGRDQVEFNVIPFDEPEDSSEYFVESDLRSTVSNAVPRTEPPRFAYLISGTKGDSQRLLRTLQAVYHPRNQYILHMDLEAPPRERLNLTMSVKNDPTFREVKNVRLMEQSNLVTYKGPTMIATTLQAISIMLKESSDWDWFINLSASDYPLMTQDDLLHVFSNLSRSVNFIENGQLYGWKLSHRGKSIIIDPGLYLSKKSDLAMTSQRRSLPTSFKVFTGYFHTVICNTEEFRDTAIGHDLHYIAWDNPPKQHPIVLTLKDFNKMVNSSAAFARKFRKDDPVLDKIDVELLGRKNRFAPGAWCVGGAENGADPCSVRGDDSVFRPSPGAKRLEGLMQKLLSEDFRSKQCMGRS >itb02g06340.t1 pep chromosome:ASM357664v1:2:3948187:3952772:-1 gene:itb02g06340 transcript:itb02g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKLEREQKDRKERAKLRLEREKKAKQEAIRQREAIEAAQRARRIDAAEAEAKANQQMQESLIAGRGIVFCRVLEAVPCQGSGDKIKLPPSCFTELSEQGAFDKGPLHFSLTVIHQSSSSNTNDGLTNRKTHAGVLEFTAEEGFAGLPPHIWSNLFSVDNPTSPTVEVQYVWLAKGTYAKLQPVEPGFSDIPNHKAVLETSLRQHATLSEGDVLTVSHGVLTYHLRVLELKPSSSVSVLETDIEVDVISADPAAEGTSQHILRPLTFGKPEVGVVGEGNYIYYKFSIDDGTWLRISSGDAEIEVKVESTAQDGDTDLYISRHPLLFPTQHQHGWSSHDVGSKALVLGSRDQGLGADTYSIGVYGFKGTTRYQLTVTVQDKLKPKLGLQTSVSISETDTVECRNCKHNIPSRSIALHEAYCSRHNIVCQHASCGIVLRREEAEKHVHCVKCGQAFQKEEIEKHMKVFHEPLNCPCGVVLEKEKMVQHQSSECHLRLITCRFCGDMVQAGNSAADVRDRLRGLTEHESLCGSRTAPCDSCGRSVMLKDMDIHHIAVHQNN >itb05g12600.t1 pep chromosome:ASM357664v1:5:19237233:19241824:-1 gene:itb05g12600 transcript:itb05g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANKAEKKAAVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGMHFATTTLMTAVLRWLGYIQSSHLPIADLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRDTKLSISLVLLGVAVCTVTDVSVNTKGFIAAFIAVWSTALQQYYVHFLQRKYSLSSFNLLGHTAPAQAGTLLILGPFLDYWLTTKRIDQYNFTFPSMVFLILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTVLVLILGFLFFGKEGLNSQVVIGMIIAVLGMVWYGNASSKPGGKERRSISMSKNSQQKHSESSDPDDKV >itb05g12600.t2 pep chromosome:ASM357664v1:5:19238017:19241824:-1 gene:itb05g12600 transcript:itb05g12600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANKAEKKAAVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGMHFATTTLMTAVLRWLGYIQSSHLPIADLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRDTKLSISLVLLGVAVCTVTDVSVNTKGFIAAFIAVWSTALQQYYVHFLQRKYSLSSFNLLGHTAPAQAGTLLILGPFLDYWLTTKRIDQYNFTFPSMVFLILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTVLVLILGFLFFGKEGLNSQVVIGMIIAVLGMVWYGNASSKPGGKERRSISMSKNSQQKHSESSDPDDKV >itb12g09820.t1 pep chromosome:ASM357664v1:12:7809508:7810977:1 gene:itb12g09820 transcript:itb12g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSIPAYLDPANWQQVQPNHHSGLCVPPSSQCSSAPVPAPAQVGSIRPGSMAERARLANIPAQDAALNCPRCESTNTKFCYFNNYSLTQPRHFCKSCRRYWTRGGALRNVPVGGACRRNNNKRSNTKPPSNSTNNTPTSSTSSPASTTTLLGFLPPNFNLPPLLGAQLSDTHFHGGEGVGSLLSGSAAVDPWRLQQASPRQLSFLGGLNPSSYGLYPFQDGSGTMLGQMASNSVMKMEQANQESRLFSPWSQIFMIPASDEQWNCVTDVSANLNSSANM >itb06g19350.t1 pep chromosome:ASM357664v1:6:22691679:22695398:1 gene:itb06g19350 transcript:itb06g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFGISNSNPKSFWVAGAFLFVIILTLILKKLADHLVSKNKNKRSSSKVVQVAVRVDGDRKSSAGAGAEVISGSGYSICYPEGAAIRTYALEELKTATRDFSKRIGVGATSYVYLAHLGDGRLGAVKRVMEERGGSSKMFLDEVSVLLRISHPNLVGLMGFCLERGEQLLLLEYIPNKSLFDRMHTVHGQASGALSWTSRLNIAVDIARALDYLHSVADPPVIHRDVKSSNILLVDEDHAKLADFGLCKLGSDAVSASTPTAIKGSLGYVDTYYLNTGLVSPKSDVYSFGVLLLELITGLKSTQGSATLAEWTADCRVTGNVEALAGMLDPKLDAGEVNLEQLRVLVEVANAALLENSDARPDMSQIVYKISSCTGGAK >itb08g02410.t1 pep chromosome:ASM357664v1:8:1904553:1913064:-1 gene:itb08g02410 transcript:itb08g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDGNPADHVVLFMAAEQSYANHSSESQQNHRTETPINPSQPPPRLQKLHRLNFSKPKTRLADFSHYPPTQKPPFDDGDGDGDYSERFNPTQNDTDSDEYEEEPVSGEFGDRMLFKKKRKIPWRAVVEWGLFLIIMTCLISSLTISSLKHSFRLGLELWKWCLLVLVIFSGRLVSGWVVRFMVFLIERNFMLREKVMYFVYGLRKSFQNCVWLGLVLLAWTFMFNWQVHKENKMVKKVFQALVAVLVGATVWLIKIVLVKVLASSFHVTTYFDRMKESVFHHYVLETLSGPPMDTVAYEKVHGLQHLRNMAQTKSMPAKLGESSPLSGSGRVHSRRIDMEKLKKLTMESNKSAWSVKRLANYVRSFGLSTLISRKVDEFGEYEITSEWEARMCAKRIFKNVAKPGAKYIEEEDLMRFLKKVEIHTIFSLFEGALETGWITKSAFRNWVVRAYYERKFLAHSLNDTKTAVQQLHKLASAVVCVIIIVISVLIMGLASTKVIAFIITQLLLLGFTFQNMCKTVFESIVFVFVMHPFDIGDRCVIDGVQMIVEEMNILTTVFLRYDNEKIYYPNAVLITKPISNFYRSPEMSDTINFDIDINTPMETIIALKKAIQTYIESKPKYWNPKHSVVVKGLDNVSVLKMFLSVQHTINHQNYGDRNNRITELVLELKRIFESLSIKYSLLPQEVHLTQFNTPNFTMPIPHR >itb06g15140.t1 pep chromosome:ASM357664v1:6:19519209:19520855:-1 gene:itb06g15140 transcript:itb06g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLFLLPVALILIYYYILPSSKRIGKERTSLPGPPGLPIIGNLHQFDSAEPHVYLWKLSKKYGPLMRMKLGSREVVVISSARIAKEALKTHDLTFSSRPSFIGQQRLSYNGLEIAFTPYGEYWREIRKISVLHLFSLKRVKLFQPIREDEVSRMMNRISELAFSSQLVNLSEIVMSLTCNIICRSAFGKSFDEERPAGKWGCHKILAESQAMMMGGSLIADFLPSFGWLDKLLGNAARLERVFKQQDSFHQQLIDQHLDPNRPKSMDGDMLDTLIRLKMENSSSVNLTWDHIKAVLMIVFIGGSDTSAAVIVWAMTALIKDPRVMNKVQSEIREHVGKKDRIDEEDIQELLYFKAVIKETLRLYLPAPLLVNRETLSKCTLDGYEIKQNMLVIVNGWAIARDPEYWENPHEFYPERFLDTSVDYKGQDFEFIPFGAGRRICPGIALGVASAELGLANLLYAFNWKLPSGLKKEDINTNVAPGITMHKKNPLCLVAKKV >itb06g21840.t1 pep chromosome:ASM357664v1:6:24358086:24359132:-1 gene:itb06g21840 transcript:itb06g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKTEEQNHEVEAKHQSESEPSTMTMSEIETPQDEGKPSLETLKKLESFCFSIWPPTQKTRDAVIERLIATLSSPSILSKRYGTIPRGNASDIAMRIEEEAFNAAGASANADGADGIEIVQVYSKEVSKRMLEGIKSRSADSPPPEIVPERESVPAPQESNKLYALLETVAPEAAP >itb15g04680.t1 pep chromosome:ASM357664v1:15:3010654:3015005:1 gene:itb15g04680 transcript:itb15g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDKDLTEYFLENDDECDVEDNRNTSDEEEEEEEGEGGDEIVGFVAPRSLSSSSSSPFFSQQWPQSFRETTDSYSIAASPNVGLLRRGSSVALSPSDANIKRYINSDEKTHLLPEAIKHGKKKDFLDGIQIKQSSFSEKASLHENVTGELPISHGCSLTQTVFNGLNVMAGIGLLSTPFTVKEAGWASIAVLVAFAVICCYTASLMKRCFESREGMTTYPDMGEAAFGRYGRIITSILLFTELYTYCVEFIILEGDNLTTLFPGASFNWNGLKLDSTHLFGVLTALLVLPTLCLRDVRLISYLSACGVVATIVIVVSVALLTIVDGIGLPQTGQLVNWSGIPFSIGVYGFCYAGHSVFPNIYQSMADKKKFTKAMTICFILCVAMYGSVAVMGYLLFGENTLSQITLNMPHDSIASKIAVWTTVINPFTKYALMINPLARAVEELLPERISSSFWCFVLLRAALVASTVCVAFLLPFFGLVMALIGSLLSILLAVIMPTLCFLKILDKKVTTIQRAFSIAVVAVGIISAALGTYSSVSKIAKQY >itb15g04680.t2 pep chromosome:ASM357664v1:15:3010654:3013137:1 gene:itb15g04680 transcript:itb15g04680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDKDLTEYFLENDDECDVEDNRNTSDEEEEEEEGEGGDEIVGFVAPRSLSSSSSSPFFSQQWPQSFRETTDSYSIAASPNVGLLRRGSSVALSPSDANIKRYINSDEKTHLLPEAIKHGKKKDFLDGIQIKQSSFSEKASLHENVTGELPISHGCSLTQTVFNGLNVMAGIGLLSTPFTVKEAGWASIAVLVAFAVICCYTASLMKRCFESREGMTTYPDMGEAAFGRYGRIITSILLFTELYTYCVEFIILEGDNLTTLFPGASFNWNGLKLDSTHLFGVLTALLVLPTLCLRDVRLISYLSACGVVATIVIVVSVALLTIVDGIGLPQTGQLVNWSGIPFSIGVYGFCYAGHSVFPNIYQSMADKKKFTKAMTIWYGIYSI >itb06g17670.t1 pep chromosome:ASM357664v1:6:21464871:21470281:1 gene:itb06g17670 transcript:itb06g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MAMEGAESKQNSSAAEEQNNDSSPRNSSPPPPPPPQDTEKTTYVKFLLSNPEAGSIIGKGGSTINDFQSRSGARIQLSRNHEFFPGTSDRIVMVSGTVDDILKALDLILAKLLDEFFVDDGGDSEPRSKFRLIVPNGSCGGIIGKGGAIIKTFIEDSGAGIKISPQDNNFPGFHDRLVTVAGTLGEQIRAVELILYKLAEDSSYMQSMNAPFPYSAVYMGMNYVPNGVGGRFQNNRYQSKEDRSNSVTIGVADDHIGLVLGRNGRNIIEISQLSGARIKISDRGDFMSGTTSDRKVTITGSQRAIRTAEAMISRKVASVNER >itb11g03240.t1 pep chromosome:ASM357664v1:11:1680009:1682134:-1 gene:itb11g03240 transcript:itb11g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVILLNYWPSMFGMRVRIALAEKEIKYEYREENLRNNKSELLLKMNPVHKKIPVLIHNGKPVCESTIAVEYIDEVWKDKAPLIPSDPYEKSQAKFWADYIDQHKLNELGYKLWALKKGEDHEAISKKLVECLKVLEGALGDKPYFGGESFGFVDIALIAYNSWFYSYETFGGFNLNEECPKLITWAKRCMEKESVSKSLPDPNKIYEFVKSVRKMRGIE >itb09g27920.t1 pep chromosome:ASM357664v1:9:28539811:28541721:1 gene:itb09g27920 transcript:itb09g27920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRDMVYFVIVVLSKAGVAAILSRPLHSTAAADETASRSLSLPPPTTQKISRQSATYRSLPESRSLCHSATEDIVDSSQPR >itb05g23310.t1 pep chromosome:ASM357664v1:5:28446006:28455871:-1 gene:itb05g23310 transcript:itb05g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIGSGSAGRFTTVLQLFFLLVFPAAIATTWSNSTNYGKKVSQPLTLTVTKTHVVMDNGRVKVTLTNPSGSVAGIEYNGIDNVLEYTKKETDRGEWDIVWSSKNVHSRFDLLFATNFKIIVADQNQIEVSFVKYCNSHDQGSVPLVVDKRYVMLPRMSGFYTYAIFDHPRGWPDLDIGEARIAFNLRKSLFSYMAISDDIQREMPTAEDRKNGETLAFREAALLTNPLNPSLKGEVDDKYQYSLENEDNKVHGWICPKPHVGFWIITGSNEFLSGGPIKQDLTSHVGPASLMVFLSDHYAGSGFSVSIRNGERWKKGYQFWTKTNDKGYFKILAVRAGFYNLYAWIPGILGDFKYNKNIYLKPGDNIDVSNLIFYPPRNGPTLWEIGIPDRKASEFFVPDPKPGLANRLFINNKDNKQWDIVWKTPNRRLSVYDRYVLQAGRSGFYTYAIFDHPQGWPDLKIDQARISLNLKARMFNFMAISDHIQRRMPTAADRVYGSQPLAYKEAVLLTHPSNPTFKGEVDDKYQYSLESKDTNVHGWICPKPHIGFWVITGSSEFRSGGPIKQDLTSHVGPVALSALFRYISRKLMSAKFAYVGLAPPGNAGSWQRDVKGYQFWTQTNKTGYFKIRAVRPGNYNLYAWVPGFLGDYKYNISINITPGDNINVRNLVFYPPRNGPTLWEIGIPDRKASEFFVPDPKPGLVNRVFINRTAEK >itb15g07920.t1 pep chromosome:ASM357664v1:15:5556140:5558203:-1 gene:itb15g07920 transcript:itb15g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQQESRLSLKLLIDEKSNRVVAAEAHKEFVEILFSFLTLPMGTIVRATSSAETEDPVTIGCMNNLYRGIEKLSNENWETEKCKRMVLHPRNPLGFFCQKLKVNIDDSWSNTKYKCSRCACLSWHPNLSCKCRQKTILKITGEVKNEGAFLGKGRTMFIISDDLQIRPACPIVLAQLLSNLGLSEIDGIREMLVEVSKEQVICLLARSLVSESPLTDVFLPNPNPNQGALLSMPERKLPKTERTLQTTEQNEAPTPTLNLQVTLNKSTNKLLFAKATNEFFDFLCTFLTIPLGSIIHVFKGKLGLGGCIYNLYNSVEELEDKWICSSVKSAILNPWIAQYHNCKKQPLKLNELIESKYLVDPRPNKNFAGEPSLFIVFDNLDVKPLSSASSSLILRELKVPFSDVEEQVITVGMKEALSLLKAALTSPSSALTNGLASFWLKKKPFTDFLSLSLSRLVFLFVIFCKLGTQEV >itb15g10910.t1 pep chromosome:ASM357664v1:15:8680776:8682806:-1 gene:itb15g10910 transcript:itb15g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESEILRKEVLPFVAMIVEACMLNAKATISKAALNSGLSSLIFVVYYNSLGSLLLLPGFIFQRHRRNALALTLSMLRRCFILGVLGTCTVILGTLGLNYSSPTLFAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTIVSIIGASVMTLYQGPTILGSSSSSTSDLPQQYSLLSQDSSRWVVGGVMIVASYVVASGWYILKTAILKDYPEQITIVFFSTFFSSIQCAIVTLLLERKLDAWKLQPGIGMIAIVASAVLEPVCVNNIAAFCLVMKGPLYVAMFKPLGVVIAAIMNLIFLAEALYLGSIVGSIIITVGFYVVMWGMLREATDIILCETTAPATANQTSPLLQK >itb01g33230.t1 pep chromosome:ASM357664v1:1:36421622:36423559:1 gene:itb01g33230 transcript:itb01g33230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLPMLNCLLQHTLRSLCTCSDSSSPSPKWVYAVFWRILPRIYPPPKWDHGGSLLDRAKGNKRNWILVWEDGFCDFCECERAGSGYVKGRFGADIFFKMSHEVYNFGEGLVGKVAADNSHKWVFRDSQTETDPSFISSWNVSLDPQPRAWEVQFNSGIQTIAIISVREGIIQLGSFSKVAEDLNLVINIQRKFSYLQSIPGIYAIQRPYLPLQHPYTYKPNTPSTAAIEACKSGYETEDKRTALLNTPSKRTNLEELNESPVKSMNLGYNSPQNNALPFWSIPPLFPSMPCSLGALLSKPSSYTALHVLDTNFTPPTRPNGCTESSSRDNRFPDMKMEAKDEHDSLLLNRSLEGGRQEHHPPNPQ >itb15g09770.t1 pep chromosome:ASM357664v1:15:7020329:7020766:1 gene:itb15g09770 transcript:itb15g09770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKARSQREFTFSGSEQEIEKSENLCPRKREDSASTARNAQPANSDIQDSAELGDRCLRMRIKIQKELHFAQVSLLRVERAFGVHGLTVENCEIESAWTVVSGFGLGGN >itb05g19900.t1 pep chromosome:ASM357664v1:5:26180362:26184506:-1 gene:itb05g19900 transcript:itb05g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHLHYTAAFASKSKKFPSLDAGVSYSGVAPLKVHTPPLSIPYPGSGGKRKCGAFDGCMKDMSLSLGLGHSSSSCTTLLSGKEVEQESSVSLGLSIDLHLGNSMAPNTRNASTEIPKEPEDKRHTLDLELSLSTGLAESDTTTVTQFSSAHQNSFDLPVITAVQLVDEGSTSSRWKSGPLVPPLLTMEISPPLDKPHSLPVTPSIPIAAVTLPKCSTGCTSGPENPSRRRNVSVKTCQIEGCTRGARGASGLCIAHGGGRRCQRAGCQKGAEGKTAFCKAHGGGRRCQSLGCTKSAEGRTEFCIGHGGGRRCSHEGCSRAARGKSGLCIRHGGGKRCKMENCTKSAEGISGLCISHGGGRRCQYPSCTKGAQGSTNFCKAHGGGKRCTFPGCTKGAEGSTAFCKGHGGGKRCTREGCTKSVHGGTLFCVSHGGGKRCAMAGCSKSARGRTNFCVRHGGGKRCKFEGCTKSAQGCTDFCKAHGGGKRCCWGELGSEFGDQATAPCDKFARGKSGLCAAHSAQVIDKQIQSSAPVTPALQVPQSFTFGFAATGLATHPHALTNPATPPQVNFLTHNAPVTQFSLPEGRVHGGGLMAMLIGGNTAGTSKANQVGNAPSEPGKGYLIPHSWV >itb04g01330.t4 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t9 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGFEI >itb04g01330.t8 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGFEI >itb04g01330.t2 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t7 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t3 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLH >itb04g01330.t1 pep chromosome:ASM357664v1:4:754205:758807:1 gene:itb04g01330 transcript:itb04g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t5 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t12 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t6 pep chromosome:ASM357664v1:4:754302:758777:1 gene:itb04g01330 transcript:itb04g01330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKRGKFRTSKVTMETTL >itb04g01330.t11 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb04g01330.t13 pep chromosome:ASM357664v1:4:754302:758777:1 gene:itb04g01330 transcript:itb04g01330.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHVCHP >itb04g01330.t10 pep chromosome:ASM357664v1:4:754302:758807:1 gene:itb04g01330 transcript:itb04g01330.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLTRTLELEFLQPMPRPILQQNQLIPCNKDLVLSLHNKLGSLMELFDENKMDGVKAIKDLETKLRDVASRIEDEIELQVLHLYEEEIKREEEEEEEFRIFEEIFDIFDRSVDQAFHTQDNTGEESTHHCQSLNLHQTSTHHCPRLHQILHPLRGKSHPCLKFRRILHPALQHIDAITQELAKAKEEYQLFKHHLQPASIHVLPIPTHQGITVPDSSHTVSHTKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEHPSITSHFDKQAWTVASQHHSKRQMLLDLLGYKDNNADSSSNDDLALQFYQCLKLQRYLIVMDDVWSKEAWDAVKTCFPNDGNGSRVLLTTRLAEVANHTISKDEFSHQMQLLEQSESWQLFNEKACKSRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLITLDEWKNTANALSSSSATTLDDVECSRILSLSYNHLSHNLKACFLYLGVFPEDHEINANDLARLWLAEGLVKAFENENFDVVANRYMQELMDRNLIILSKLSCCGRKIKRFRMHDLLHAFCMREAQNENLLHVVQSENSCYFSQKGFRWLSIQYEDFNMSMIQHYTLKRYRSFFIFLRGKSFSNFRNSNLLRVVFNYDIHVCHKYIVDIIHLRLLRVGNDIELSRTRGFLKSRCRESINLSRSWNLQTLRSGGKVTYRLVEGEKHSEFTQLHYIRCNGCFRGNPPNFVQKLYVIRADDCSKEYITDIPCLKKVRIVLEGRESNACIANLAYLEQLEGLWISEYWFQTHIPINNGIVLLKNVRKLTLDEMTFECEEMNILSKLPRLEVLKLNLVSCIGNEWEIQEEVIFWQLIALFILDSDLKHWKASSHNFPKLEHLYLCQCSRLREIPTDFAEISTLKSIELTACLPSAVESARKIQDEQGDYGNYTIIVTDKYTLHDLKSEEGLSDEEADFDKLLDTLSDVDDKLLFINSLYSLLG >itb07g21970.t1 pep chromosome:ASM357664v1:7:26458204:26462966:-1 gene:itb07g21970 transcript:itb07g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MDNSNGSTTAVGGWTAEEAIAGNAEALCALRELIMYPLLYSQESKKLGLRWPRGLLLYGPPGTGKTSLVRAVVQECGAHLIVISPHSVHRAHAGESERILREAFAEASSHAKLGKPSVIFIDEIDALCPRRDSRREQDIRIASQLFMLMDSNKSLSTSGLHVVVVASTNRVDMIDPALRRSGRFDAEIEVTTPNEDDRFHILKLYTKKLPLDPNVDLRAIAASCNGYVGADLEALCREATMSAAGRSSTSNQEDGSWNVVMDDWKHARSVVGPSITRGVVVEVPKVSWEDIGGLKGIKKKLQQAVEWPLRHSEAFARLGVSPLRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSMYVGEGEALLRNTFRRARLASPSIIFFDEADVVAAKRGGSSSGSSTVGERLLSTLLTEMDGLEQVKGILVLAATNRPQAIDAALMRPGRFDLVLYVPPPDLEARYEILRVHTRNMKLDADVDLRQLAENTELFTGAELEGLCREAGIVALREDISATVVCDRHFQNVRQSLNPALTREEIESYSSFMKKNPSLRSSGSLESCSKGAKNLHLLTSPVTIGIVSLVLFAAMKYLFTPAEILSREMRST >itb01g00400.t4 pep chromosome:ASM357664v1:1:194313:196083:1 gene:itb01g00400 transcript:itb01g00400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEEEVKEQSDEAALENTEKPMPSSQGEEETIKKRYGGIMPKKPPLISKDHERAYFDSADWALGKQGGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPAEGEGMQDD >itb01g00400.t3 pep chromosome:ASM357664v1:1:194299:196083:1 gene:itb01g00400 transcript:itb01g00400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEEEVKEQSDEAALENTEKPMPSSQGEEETIKKRYGGIMPKKPPLISKDHERAYFDSADWALGKVVFLHLLFLS >itb01g00400.t2 pep chromosome:ASM357664v1:1:193476:196107:1 gene:itb01g00400 transcript:itb01g00400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEEEVKEQSDEAALENTEKPMPSSQGEEETIKKRYGGIMPKKPPLISKDHERAYFDSADWALGKVVFLHLLFLS >itb01g00400.t1 pep chromosome:ASM357664v1:1:193476:196083:1 gene:itb01g00400 transcript:itb01g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEEEVKEQSDEAALENTEKPMPSSQGEEETIKKRYGGIMPKKPPLISKVHWRHFGRSYSRHNSKLDTANLLMPQQKVKMEAMPRQRINSK >itb14g11220.t1 pep chromosome:ASM357664v1:14:12818002:12819800:-1 gene:itb14g11220 transcript:itb14g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYVLWCYHQDTNPPKPMASFCSFFLSILSVLSFLFPLITSSTTTAITLPLSFFNTNPNQDPTQKLTHFVSLSLARAKHIKNSQKSSTTPLYPKSYGGYSISLSFGTPPQTLPFIMDTGSSFVWFPCTKKYQCRDCSFKGTASITPFMPKSSSSAKILGCANPKCGWIHSASVENRCQDCRPPFAGKTCNQICPPYLILYGSGSTGGLALVDTLDFPQKKIPGFLVGCSVFSAQQPAGIAGFGRGRASLPAQLGLKKFSYCLVSHRFDDSGKSSALVMDSGDKIGNLSRTPLLKNPQVAGRDALSVYYYVNLRKITVGDQKLKIPYKYLSPDSQGNGGAIVDSGTTFTFLTHDVFELVQNAFLSQVKTYPRAGKLESITGLRPCFNISGHRTIDMPDLKFHFKGGAEMALPLANYFTVVGTDSVCLTLVTDNFGPELPAGPAIILGNFQMQNFYVEYDLKNEKLGFRRQLCK >itb15g05000.t1 pep chromosome:ASM357664v1:15:3234809:3235768:-1 gene:itb15g05000 transcript:itb15g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMMQYKFNCSLCFVLLCLLGIFRLGFSAQEQVIQMRSSTTPSARPLLGGLRNCKGNPNDGEIESLGRFAVLEHNKKENALLEFARVVSAKQQVVAGMMYHLTLEVIDAGKKRMYEAKVWVKPWMSFKQLQEFKRAHEVPSFTTSDLGIKHGN >itb11g08630.t1 pep chromosome:ASM357664v1:11:5673500:5678138:-1 gene:itb11g08630 transcript:itb11g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLDIATTVTTRPVCQKDVCAVSSQLLFCNRFKSGKDIRFASVWKSFCVPEKAQSFGGIKLCRGGLMIRAVATLEKESTQSNQVSEDGGGYQSSTGTSIGSGNSTCSAIEGQSQTDELTKLDEIEKLRRSRISKANKGKTPWNKGRKHSPETLQKIKERTRIAMRDPKVRMKLVNFGHAQSEETRLKIGVGVRAGWEKRQKMLKLQETCCYEWQNLIAEASRKGLSGQEELQWNSYKILNRQLEKHWMQSVEQRKTRRQKGNKRAPKSAEQRRKIAEAIAAKWADPDYRNRVCSSLAKFHGTREGVERKPRRKPSSDGQTQNRRPPNKKVDIGGVTVHNHRPQTKQATIKKSYTPKYKDPLAHSKLEMLRNIRARRAVADNEKIEAVKRAKLLIAEAEKAAKALEVAARQSPVARASLVETRNLIAEAIQFIDSIDMEEILSGQNEGDPLLTLPEITNVDDLTDADAEHLDEIDTRKANGAHVLMPNGEDFHSFNFTKSFHGLLNGNETAVLSSSDDYDLLGSKENLYQVISSDVAPPPVEEVMHASESGDHKANEVEEDEHESPPHEMTLQSEPLPNGLISQPESAHPASNSTATTKQWIRGRLVDVAKEG >itb11g02030.t1 pep chromosome:ASM357664v1:11:1022586:1023085:1 gene:itb11g02030 transcript:itb11g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERERGRLLIDSYLTGGFLLELPSVLHRDRSSLSGGISADICLAQLLLRERNRSYIASGMSAGCAFE >itb01g25020.t1 pep chromosome:ASM357664v1:1:30524439:30525394:-1 gene:itb01g25020 transcript:itb01g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >itb08g05070.t3 pep chromosome:ASM357664v1:8:4134467:4138705:-1 gene:itb08g05070 transcript:itb08g05070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFDRWEKDPFFPAAEEVQESADRMESTYRKWVVSKKDKSTISNSDEIRRDLRTALGTAKWQLDDFERAVNSSYTNNNSADSAKDRHREFAVAITSQIKKVEGSLNESAVSQGKPPLPWVLLDERECNELAVFLSSGPSTSSAVRRVHGKEHQTVNPEAADRELMPECSTKMSNSLEQGRVEAKEEKCSGHRRTASASADMGAWKIAVATDVPNRRPESPMRKTPSFSGFLNGKEFANHLKCSRNGYRKLKASDHNKVGRASLPPHIQQLSRVNIFFLQMFSHSWMATDMVRIFPFEFNCIVI >itb08g05070.t2 pep chromosome:ASM357664v1:8:4134462:4138705:-1 gene:itb08g05070 transcript:itb08g05070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFDRWEKDPFFPAAEEVQESADRMESTYRKWVVSKKDKSTISNSDEIRRDLRTALGTAKWQLDDFERAVNSSYTNNNSADSAKDRHREFAVAITSQIKKVEGSLNESAVSQGKPPLPWVLLDERECNELAVFLSSGPSTSSAVRRVHGKEHQTVNPEAADRELMPECSTKMSNSLEQGRVEAKEEKCSGHRRTASASADMGAWKIAVATDVPNRRPESPMRKTPSFSGFLNGKEFANHLKCSRNGYRKLKASDHNKVGRASLPPHIQQLSRDINKCYEKSKSCIDGSDKCYGKQLYGLYGAIQRQLQRSQYYMQYSRSVRVAFSAIVLVCLIVLVVLQTMRGAMSPLLQ >itb08g05070.t4 pep chromosome:ASM357664v1:8:4134462:4136544:-1 gene:itb08g05070 transcript:itb08g05070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMWIINVMQLDDFERAVNSSYTNNNSADSAKDRHREFAVAITSQIKKVEGSLNESAVSQGKPPLPWVLLDERECNELAVFLSSGPSTSSAVRRVHGKEHQTVNPEAADRELMPECSTKMSNSLEQGRVEAKEEKCSGHRRTASASADMGAWKIAVATDVPNRRPESPMRKTPSFSGFLNGKEFANHLKCSRNGYRKLKASDHNKVGRASLPPHIQQLSRDINKCYEKSKSCIDGSDKCYGKQLYGLYGAIQRQLQRSQYYMQYSRSVRVAFSAIVLVCLIVLVVLQTMRGAMSPLLQ >itb08g05070.t1 pep chromosome:ASM357664v1:8:4134462:4138705:-1 gene:itb08g05070 transcript:itb08g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSAKDRHREFAVAITSQIKKVEGSLNESAVSQGKPPLPWVLLDERECNELAVFLSSGPSTSSAVRRVHGKEHQTVNPEAADRELMPECSTKMSNSLEQGRVEAKEEKCSGHRRTASASADMGAWKIAVATDVPNRRPESPMRKTPSFSGFLNGKEFANHLKCSRNGYRKLKASDHNKVGRASLPPHIQQLSRDINKCYEKSKSCIDGSDKCYGKQLYGLYGAIQRQLQRSQYYMQYSRSVRVAFSAIVLVCLIVLVVLQTMRGAMSPLLQ >itb14g07140.t1 pep chromosome:ASM357664v1:14:6499178:6515745:-1 gene:itb14g07140 transcript:itb14g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKEAGPDGGKVQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSCGSEGPITAAEVEPIVKDFAGRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSIKRISGGNALNKDLVSISSIMYEIRKFSRTF >itb14g07140.t3 pep chromosome:ASM357664v1:14:6499178:6515703:-1 gene:itb14g07140 transcript:itb14g07140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKEAGPDGGKVQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSCGSEGPITAAEVEPIVKDFAGRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSIKRISGGNALNKDLVSISSIMYEIRKFSRTF >itb14g07140.t2 pep chromosome:ASM357664v1:14:6499178:6515745:-1 gene:itb14g07140 transcript:itb14g07140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKEAGPDGGKVQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSCGSEGPITAAEVEPIVKDFAGRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSIKRISGGNALNKDLVSISSIMYEIRKFSRTF >itb14g07140.t6 pep chromosome:ASM357664v1:14:6499178:6515703:-1 gene:itb14g07140 transcript:itb14g07140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKEAGPDGGKVQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSCGSEGPITAAEVEPIVKDFAGRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSIKRISGGNALNKDLVSISSIMYEIRKFSRTF >itb14g07140.t7 pep chromosome:ASM357664v1:14:6500635:6515707:-1 gene:itb14g07140 transcript:itb14g07140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKVRLKAIICTFFSESSFYGQCLATY >itb14g07140.t4 pep chromosome:ASM357664v1:14:6499277:6515745:-1 gene:itb14g07140 transcript:itb14g07140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKEAGPDGGKVQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSCGSEGPITAAEVEPIVKDFAGRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSIKRISGGNALNKDLVSISSIMYEIRKFSRTF >itb14g07140.t5 pep chromosome:ASM357664v1:14:6499265:6515593:-1 gene:itb14g07140 transcript:itb14g07140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVATPDAGEGNDGQRSFLDLGSYVADLNVEDDATSEGISLEGLEVELEECKSDDVVANILSKGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRGCDIILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIIVDGEVNEEYMRTLEILSKKLKFAEGDPIVKSSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKFIISFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVEARSSSLFSRGKEPLKNRSAVFALGERINILKEIEEPPLIPHISEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDVFSGEESMFYDIFAGPFAVIDEHFNSVLPNSFDAIGLMLMIRIIHQHQLLMSRRGIPCLDSFLDKVNIALWPRFKMVFDLQLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLVKLAKKFKKQKQQTVFLINNYDMTITVLKEAGPDGGKVQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSCGSEGPITAAEVEPIVKDFAGRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSIKRISGGNALNKDLVSISSIMYEIRKFSRTF >itb01g00880.t1 pep chromosome:ASM357664v1:1:418738:420494:1 gene:itb01g00880 transcript:itb01g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLREFGVASNEEGFVGSYYEATVVDELPGGKGYVVQYKTLVKDDFSGPLTEAVPGAEIRPEPPQVTAAFFHMYEEVDAFDNDGWWVGKITGKIGSRYYVFFETTGDEILYHKDRIRVHLDWVHHSWVLTQPETQTQIVS >itb02g01090.t1 pep chromosome:ASM357664v1:2:618417:622311:1 gene:itb02g01090 transcript:itb02g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein family [Source:Projected from Arabidopsis thaliana (AT1G52080) UniProtKB/TrEMBL;Acc:Q9SAU9] MREKRDFGPVLLKFGVAVALSIGGILYTILRNKRTKPSKRPPSKGGEHGEHVDRSLQGTPRCRPDQSSQTTPRARPDQSVQNTPRARSSSSMAPESQPLQNTPRARGSSSLAPESQSSQNTPRARASGSMAPESQSLQNTPRARISGSMGPEMQSLQTTPRARASGSMATEIQTLHSTPRARGSSSLAPEKQEDVSISEGVADVCSPSTSFRSEGKDDGLLLPEFNDLVRDFGLATSKPGFSPGKDVERNEREKEIRSLKDNIKFLKERERNLEIKLLEYYGLKEQETVVMELQNRIKINSMEVKLFTLKIESLKADKKRLEAQVADYAKVVTELEAAKIKIKQLKRKLRSEAEHSKGQICALQERVMKMHDEEKKALETLHHQEKKAIETESSGAHTKLQKREDLQIEAEELRNSNNTLRIENSELAQRLEYVQILANSVLDDEETEALKTESDRLRKQNEDMAKEIEQLQADRCSDAEELVYLRWVNACLRYELRNYQPDPGKTIARDLSKTLSPKSEEKAKNLIMEYAHKEGSSDKMTTNSNDFDSDWSSSQASYQTDSGELDDTSIDNTSSAAQKAVNTSRKRKVFSKLMRLIRGKDHSRRLSQDKAPSAADDSSRKFHGHSPASTSGDAGHDSSFNSRSRTLSQGSTRHSFHGTQQDGIIRHDRKSDASPGGGLRRTDSTPASLNNSPQENFKSDIVKYAQALKETRALPSFRRRSASFESLVS >itb15g18050.t1 pep chromosome:ASM357664v1:15:19418079:19422251:1 gene:itb15g18050 transcript:itb15g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGKGKSQPNGGKSALKTSSAKGKDDSSGKTKRGRKVQFDSDDSLDGSFMKSNGKADKPVGEGDKVGKSGKGQTAKAPTPLELRVEQEISGNTKCLLDCEAAEILQGIQEQMVVLSEDPTLKIPVSFDKGLMYARRHKLYENSKMVKQALQPLKERGVCDGEMCLIANFHLESVDEVFALVPSLKGKKNKVEEPLKNVLEELAKLNKSS >itb03g09280.t1 pep chromosome:ASM357664v1:3:7121485:7122407:-1 gene:itb03g09280 transcript:itb03g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMSRFPSKSLARLLSHSRTPLLCRPLCTATETRTQKLERIAGELLDLTKLERHDYAILFRHKMGLNRYGPAISGLDSSGSSSSGPAATETKAAEKTVFDLKLEKFDAAAKIKVIKEIRAFTDLGLKEAKDLVEKVPVVVKKGLSKEEANALIEKLKGVGATAVLE >itb04g12400.t1 pep chromosome:ASM357664v1:4:12114714:12118048:-1 gene:itb04g12400 transcript:itb04g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLELEFLQPHPRPILQQKEIIPFNKDLIQSLHAKLGFLIQLLDDFRMDGVEAIKDLETKLRDVAFGVEDEIELHVVDLYENDLCYNLVDLFKEADEEMGEGDTEAKKAQHSHRLRDVLQQAIEDIDAIKEELEKIMMEYKHVIAVQGSWETTLDDELVKIDGSGSSNHASHTKDIMIGKNNEFETIREMLIQHPSKQREVVSIQGMGGIGKTTLARRVYEDLSVVSHFDKQLWVVVSQHHNKRQMLLDLLGSKDNMKNCRDEEDLALQLYQSLKCQRYLIVMDDVWSIESWNDVKSCFPDDINGSRVLLTTRVAEVATCIGSTNYFSHQMQFLDQSESWDLFHKKACKSHGVEFETIGRPIVEKCKGLPLAIVVVAGLFSKLNTLDEWKNIANALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYEINASNLGRLWVAEGLVKAFKNESFEAAAKRYINELMDRNLILVSKRSSCGRKIKEFRIHDLLHTFCVREGQNNSLLYVADESGSNFPQKGVRWISVSFKDFDISALHSLSKHCRSIFYFKFSTVRPIISEFFSLLRVVYVPELHFQTIGIHLRYLWSPRARDFHDSLFSNAWNLQTYSVYGHRHNIGLPHLEFPQLQYIHCAEFFGAFPESVHQNLQVISRLNAMQNCDQELLRKVPYLKKVSIIIDKSDDYIKDLICLQQLESLSLFARTLDLQIPEDMMAQIIYNILLLKNIRKLSFEFMKFEWKAINALSKLPRLEVLKLMLSDLGEKWELPENVKFRQLICLKIWSHDLKHWEVGADNFPKLERLVLYRCPLLKEIRNSFAEIPTLNLIELHSCYPSAVMSAKQIQAEQHDYGNENMVVIEINTTQPCSLEDDSDEGEFDEDEE >itb10g20000.t1 pep chromosome:ASM357664v1:10:25650225:25654612:1 gene:itb10g20000 transcript:itb10g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MGESSGYKDYVAGMLAGVATVIVGHPFDTVKVKLQKHNTSADGIKYKNGLHCAARILKAEGVKGLYRGATSSFVGMAFESSLAFGIYSQTKRFLQGGLEGGKPQPHVIIPSAALAGSIISFILCPSELVKCRIQVQGTDSLLLNSTRYSGPLDCAIKTVKTGGFTGIFQGGFTTLLRESVGNVVFFSTYEYVRYQMHLQLKGASSESNQLVDVGVGIMSGGLGGIAYWSAVLPLDVAKTIIQTSPDKSHTRNPFQILQKIYRLSGLRGCYTGLGPTLVRAFPANAAAIVTWELSAKILGIKRD >itb10g20000.t2 pep chromosome:ASM357664v1:10:25652715:25654612:1 gene:itb10g20000 transcript:itb10g20000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MAFESSLAFGIYSQTKRFLQGGLEGGKPQPHVIIPSAALAGSIISFILCPSELVKCRIQVQGTDSLLLNSTRYSGPLDCAIKTVKTGGFTGIFQGGFTTLLRESVGNVVFFSTYEYVRYQMHLQLKGASSESNQLVDVGVGIMSGGLGGIAYWSAVLPLDVAKTIIQTSPDKSHTRNPFQILQKIYRLSGLRGCYTGLGPTLVRAFPANAAAIVTWELSAKILGIKRD >itb10g21100.t1 pep chromosome:ASM357664v1:10:26446024:26446653:-1 gene:itb10g21100 transcript:itb10g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKREREMYDNFAELFAIIKATEKLEKAYVRDIISPAEYETECQKLIAHFKTLSSTLKDTVPSIERFHDTYKMDCPAALNRLVTSGVPATVEHRAAAATTASTSAAVVAECVQNFITAMDSLKLNMVAVDQVHPLLSELSSSLNKLSILPSDFEGKTKMREWLSRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >itb05g18950.t1 pep chromosome:ASM357664v1:5:25601112:25604392:1 gene:itb05g18950 transcript:itb05g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHDNLSISKSELHDLLSKPSLSGIPLLVLGNKIDKSGALSKQALTDQMGLISITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >itb11g07420.t1 pep chromosome:ASM357664v1:11:4543388:4547807:1 gene:itb11g07420 transcript:itb11g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPHCCSTLRQAEHSAAEVALNALANRGPSNSLAARILDETGVYKNLLQEVSQRVGASLPAYTTFRSGLGHLPVFTGTVELAGVIFSGEPAKNKKQAEKNAAMAAWSSLKLLAQQSESSTSDKGRNDEQEHVTVARALQKFLIKAKLARVPFPINFSSPNPRPSSTHQITTTTSKILPLICPRTASRSRPVSPVCLRTTAQSRHMNAALNEASLSSRMAQPEKFHAASAAPYIPVRHFSPHHRIAPPVTIRNAIPVFSAPPIPPPSQSPRVIRPATLGVAPSVSIRHVVPVYAAPPPPVRAEELPVVDRQPQQKEPPSSKAPLVCNEALNPKAPEIQVHHQPSKPASGRAEEPSLSRTSQIQLEAPPSLQVLPKKVEELILSETLPPQVDLQLPKISTDLEPAPCQSNEQTAVMDKPQEFPEIENFKQLKI >itb03g03440.t1 pep chromosome:ASM357664v1:3:2005371:2013154:1 gene:itb03g03440 transcript:itb03g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPPAKYKLVIVGDQSVGKSSINTRFLYHRFNTTYEATIGIDFFSKTMYLKDQTVRLQLWDTGGQERFRSLIPSYIRDSSIAVIVYDVANRESFLNTSKWIEQVRNERGSDVIIVLVGNKIDLVDKRQVSIEEGDAKAREFGVMFIETSAKDGFNIQSLMAQVALRIQVVKLESTLEAERKNVERLMLEVEASRREALDEYKGSVVFREDVMAEAHRHLQELAMEWLGTPVGKQFLVDSRNKDYHLGAQDMQKRIYAVLLARDSTFVPEEKGFPRWLADNEPRVTVPTTHTNHQGVKDTKRVTSEGNGDNSEILMDLAYLDSTANLTSLAAMGRAPVPPVPDTKRQTVTDLKEEEDQSSALQLNHTHRGATDFVSSTRPSDGGVTGVPQVHFP >itb03g03440.t2 pep chromosome:ASM357664v1:3:2005371:2008524:1 gene:itb03g03440 transcript:itb03g03440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPPAKYKLVIVGDQSVGKSSINTRFLYHRFNTTYEATIGIDFFSKTMYLKDQTVRLQLWDTGGQERFRSLIPSYIRDSSIAVIVYDVANRESFLNTSKWIEQVRNERGSDVIIVLVGNKIDLVDKRQVSIEEGDAKAREFGVMFIETSAKDGFNIQVKLPSLMMSLMWLLV >itb07g05270.t2 pep chromosome:ASM357664v1:7:3569650:3573170:1 gene:itb07g05270 transcript:itb07g05270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSCCRPIISPVSNSNVVENKCPSARRRVALIRHGACVVRASAVESSSNFAQRMERAWLISQQPRPVNCSSCDSNGFVDCKWCSGTGFFILGDNILCQVPSKNTSCVICTGKGSVCCTDCKGTGFRAKWLGDPPISNPPISK >itb07g05270.t1 pep chromosome:ASM357664v1:7:3569668:3573170:1 gene:itb07g05270 transcript:itb07g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSCCRPIISPVSNSNVVENKCPSARRRVALIRHGACVVRASAVESSSNFAQRMERAWLISQQPRPVNCSSCDSNGFVDCKWCSGTGFFILGDNILCQVPSKNTSCVICTGKGSVCCTDCKGTGFRAKWLGDPPISNPPISK >itb10g00310.t1 pep chromosome:ASM357664v1:10:201101:214843:1 gene:itb10g00310 transcript:itb10g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTRPVYGHHKSPSTASAPPSQGAFSAINHRRSGSAAAGISNARRPQNTKTPAQRLAQVMSNQSNDDDEEDEDDLMYDYAPPVNSSAGVGLAGDRPVRSRSPMTVRASTENHASTRSAPRMRSYPSVGSLEQQSSSDRSMSATRSSLSSIEQSPSVRPISAMRASQLSSSEQPLSSLSSQPVAAEQPPSARSTASRSSQPVAMEQPSSARSTSATRPTLAPKAVPILPSSVPISLRTANSSTPSELQSDSRMDRRLSVDLGTFKNKEANIQPSSALQDEVDMLQEENESLLEKIRLAEERYEEAEARTRLLEKQVANLGEGVSMEARLLARKEAALQQREAALKVAAQNYGGKGEEVATLRAEVEVARREATSAMEHLQEAESEIKSLCAMSHKMILTQEEKEEVVLKRCWLARYWGLCVEHGIHADIAGIKHEYWSSFAPSPNEVVLEAGQRAKNENSLETVVCNDMEEGETLQQGVELLSDGNVESMFLVEKGLQEQMSLKAALKVAAQNYGGKGEEVATLRAEVEVARREATSAMEHLQEAESEIKSLCAMSHKMILTQEEKEEVVLKRCWLARYWGLCVEHGIHADIAGIKHEYWSSFAPSPNEVVLEAGQRAKNENSLETVVCNDMEEGETLQQGVELLSDGNVESMFLVEKGLQEQMSLKVEEAVALAMAQAQQQRPTSLRSCVTDDLKIPIEGQNFADAFDIADERLGFLINYATHTLNSHDAINVERGLMELKQLGIEVSGNDQRRKNFELRIEKLEIGNWEMGREVSESCVESLLTEIVSSYCNGFYADKPELAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLSRMPMDPSLETSGSIQDPSAMAENKAAQAIGMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRIKA >itb03g05680.t1 pep chromosome:ASM357664v1:3:4028298:4030867:1 gene:itb03g05680 transcript:itb03g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2F [Source:Projected from Arabidopsis thaliana (AT4G40010) UniProtKB/Swiss-Prot;Acc:Q9SMQ4] MERFEILKDIGSGNFGVAKLVKDKWSGELFAVKYIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSSTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVFSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPRNFKKTITRILSVQYSIPYYVRISKECKHLLSQIFVADPDKRISIEEIKKHPWFLKNLTEEFKEAEGDSGGEANNGNEAWQSVEEVVAIVQEARKGGGAGAEGGGVLGDGSMDDLDDLDSEAEEDYDVETSGDFVCAL >itb03g05680.t2 pep chromosome:ASM357664v1:3:4028350:4030867:1 gene:itb03g05680 transcript:itb03g05680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2F [Source:Projected from Arabidopsis thaliana (AT4G40010) UniProtKB/Swiss-Prot;Acc:Q9SMQ4] MERFEILKDIGSGNFGVAKLVKDKWSGELFAVKYIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSSTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVFSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPRNFKKTITRILSVQYSIPYYVRISKECKHLLSQIFVADPDKVITTKSKNIVKISTN >itb14g00990.t1 pep chromosome:ASM357664v1:14:746344:747171:-1 gene:itb14g00990 transcript:itb14g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSNIHFWLVGHPTISQYEWKEGHTLGSSRLFPAITIISYLSVTLFLHRFAVLPDLSSAAAFRYAAAVHNMILCLLSLAMAVGSTLATLHQMPRDGWGWVVCFPADPATLPRGPVFFWGYVCYFSKILEFIDTLLILFGGSRSRRRLSFLHVFHHAGVVVAGYLLLATAQSMLPVAVATNAAVHVLMYAYYFLCAVGHRPRWKKLVTDCQIIQFVFGLVMSGLMLYYHFTGSGCSGVRALFYNTVFVAVLLTMFLDFHSTNYSSKKRKDHDN >itb10g15200.t2 pep chromosome:ASM357664v1:10:21466245:21477464:-1 gene:itb10g15200 transcript:itb10g15200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSGKGKGNKAKAEKKKKEEKVIPSVLDITVVTPYDTHVVLKGISTDKILDVKRLLASNVQTCHFTNFSLSHEVKGAKLSDRFEVVALKPCLLRMVEEDYAEEAQAEAHVRRLLDIVACTTRFGKSRGKPSTPSANDARARKHKSQLNAAEACRPESPSDGGAVRAPDSPSPAVSEEYDMLAIHPIPKLSDFYEFLSLSHLSPPIISLKRFELKDGQSDQNGDYFEMQIKICNGKLLQVVASKTGYYPLGKPFIRAHCLVDLLQQLSQAFAKAYESLMNAFMDHNKFGNLPYGFRANTWLVPPTLIDLGSNFIPLPVEDESWGGNGGGQGRYGEHDLRPWASEFHILANLPCKTEEERVVRDRKAFLLHNLFVDVSIFKAVSAIQKVINSSEVSTPNCVLDSVLYEDRVGHLYITVKRDATDASHKEVKIIGTKSFNESAEEVAQRNLLKGITADESVVAHDKLSLGMVTVRHCGYTAIVKVMGDLGEGRSLSQDIVIDDQPDGGANALNINSLRFLLLKPSTPEHKIGDYDTSSCLIREVTKDSLKKLQEKPPALKSSFRWELGSCWVQHLQKQETTKNSPKKVENDGKDELVIKGLGKQFKMLKKRERKSGNGNVTDVNEAMNDSASSPNMECILAEQPNDESSSKAELRKYISEEAYLRLKESGAGLHLKPVDEIIKMAQKYYDEIALPKLVADFASLELSPVDGRTLTDFMHLRGLQMRSLGRVVELAQKLPHIQSLCIHEMVTRAFKYIVRAVIASTSDVANLPAAIASTLNFLLGSHTPEDNEEKLSDDQILKFQWLSEFLLKRFGWNLNDEFKRLRKLSILRGLCHKVGIELVPRDYDMESSNPFCETDVISLVPLCKHVVCSSADGRTLLESSKIALDKGKLEDALNFGTKALTKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNAQIALRYLHEALKCNKKLLGDDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQDKLGPEDLRTQDAAAWLEYFESKALEQQEAARSGTPKPDASIASKGHLSVSDLLDYINPDKDIKALDLQRKRRSKVFPVSEKSQKGPHDGISNNSLTENNKEIGVSMKVIEYEDKNDIITSQESKDPPSSMHHELVVEAALQETSSDDGWQEANSKGRNGGTAKKHARKRPNLAKIKTTSAYSFSRDRISRKEVISQGHNGTSKTVSSEFSLPMKTLNLNFSGDSENVSAKVAVPKGFSSSTVSVPSPPANLTTMASKSLSYKDVAVSPPGTVLKPLLEKVEELNKDNSDSQISISPPETPPETPEEDGRHTVTSDESTANLDDKPVTDERNTETMQDTCGNIDDKEVHKNGGNIESESSLSELDDVSSPSTEEKPVEANGSKLSAAAPPFNPGAYAVTHLLNRAAVASVYDEMARQGMVIEFPSIAARVPCGPRSPMYYRSTHPRVKNGYSKFHTSSGEGNARTMNPHAPEFVPRKAWKINSTKEDSSVAPARDSVVEKTTDDAKNKKSSKSTSDAEKTELARQILLSFIVKSVQHSSDTPIESPVTEKKSGLPASTAEAIANDSAIIKIQYGNDEGKTGLSTEAKNNESSKMGDVNSNNNGGDGEGFMVVTKRRRNRSKISNGIGELYSQQSICASVR >itb10g15200.t4 pep chromosome:ASM357664v1:10:21466339:21477406:-1 gene:itb10g15200 transcript:itb10g15200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSGKGKGNKAKAEKKKKEEKVIPSVLDITVVTPYDTHVVLKGISTDKILDVKRLLASNVQTCHFTNFSLSHEVKGAKLSDRFEVVALKPCLLRMVEEDYAEEAQAEAHVRRLLDIVACTTRFGKSRGKPSTPSANDARARKHKSQLNAAEACRPESPSDGGAVRAPDSPSPAVSEEYDMLAIHPIPKLSDFYEFLSLSHLSPPIISLKRFELKDGQSDQNGDYFEMQIKICNGKLLQVVASKTGYYPLGKPFIRAHCLVDLLQQLSQAFAKAYESLMNAFMDHNKFGNLPYGFRANTWLVPPTLIDLGSNFIPLPVEDESWGGNGGGQGRYGEHDLRPWASEFHILANLPCKTEEERVVRDRKAFLLHNLFVDVSIFKAVSAIQKVINSSEVSTPNCVLDSVLYEDRVGHLYITVKRDATDASHKEVKIIGTKSFNESAEEVAQRNLLKGITADESVVAHDKLSLGMVTVRHCGYTAIVKVMGDLGEGRSLSQDIVIDDQPDGGANALNINSLRFLLLKPSTPEHKIGDYDTSSCLIREVTKDSLKKLQEKPPALKSSFRWELGSCWVQHLQKQETTKNSPKKVENDGKDELVIKGLGKQFKMLKKRERKSGNGNVTDVNEAMNDSASSPNMECILAEQPNDESSSKAELRKYISEEAYLRLKESGAGLHLKPVDEIIKMAQKYYDEIALPKLVADFASLELSPVDGRTLTDFMHLRGLQMRSLGRVVELAQKLPHIQSLCIHEMVTRAFKYIVRAVIASTSDVANLPAAIASTLNFLLGSHTPEDNEEKLSDDQILKFQWLSEFLLKRFGWNLNDEFKRLRKLSILRGLCHKVGIELVPRDYDMESSNPFCETDVISLVPLCKHVVCSSADGRTLLESSKIALDKGKLEDALNFGTKALTKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNAQIALRYLHEALKCNKKLLGDDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQDKLGPEDLRTQDAAAWLEYFESKALEQQEAARSGTPKPDASIASKGHLSVSDLLDYINPDKDIKALDLQRKRRSKVFPVSEKSQKGPHDGISNNSLTENNKEIGVSMKVIEYEDKNDIITSQESKDPPSSMHHELVVEAALQETSSDDGWQEANSKGRNGGTAKKHARKRPNLAKIKTTSAYSFSRDRISRKEVISQGHNENVSAKVAVPKGFSSSTVSVPSPPANLTTMASKSLSYKDVAVSPPGTVLKPLLEKVEELNKDNSDSQISISPPETPPETPEEDGRHTVTSDESTANLDDKPVTDERNTETMQDTCGNIDDKEVHKNGGNIESESSLSELDDVSSPSTEEKPVEANGSKLSAAAPPFNPGAYAVTHLLNRAAVASVYDEMARQGMVIEFPSIAARVPCGPRSPMYYRSTHPRVKNGYSKFHTSSGEGNARTMNPHAPEFVPRKAWKINSTKEDSSVAPARDSVVEKTTDDAKNKKSSKSTSDAEKTELARQILLSFIVKSVQHSSDTPIESPVTEKKSGLPASTAEAIANDSAIIKIQYGNDEGKTGLSTEAKNNESSKMGDVNSNNNGGDGEGFMVVTKRRRNRSKISNGIGELYSQQSICASVR >itb10g15200.t3 pep chromosome:ASM357664v1:10:21466245:21477406:-1 gene:itb10g15200 transcript:itb10g15200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSGKGKGNKAKAEKKKKEEKVIPSVLDITVVTPYDTHVVLKGISTDKILDVKRLLASNVQTCHFTNFSLSHEVKGAKLSDRFEVVALKPCLLRMVEEDYAEEAQAEAHVRRLLDIVACTTRFGKSRGKPSTPSANDARARKHKSQLNAAEACRPESPSDGGAVRAPDSPSPAVSEEYDMLAIHPIPKLSDFYEFLSLSHLSPPIISLKRFELKDGQSDQNGDYFEMQIKICNGKLLQVVASKTGYYPLGKPFIRAHCLVDLLQQLSQAFAKAYESLMNAFMDHNKFGNLPYGFRANTWLVPPTLIDLGSNFIPLPVEDESWGGNGGGQGRYGEHDLRPWASEFHILANLPCKTEEERVVRDRKAFLLHNLFVDVSIFKAVSAIQKVINSSEVSTPNCVLDSVLYEDRVGHLYITVKRDATDASHKEVKIIGTKSFNESAEEVAQRNLLKGITADESVVAHDKLSLGMVTVRHCGYTAIVKVMGDLGEGRSLSQDIVIDDQPDGGANALNINSLRFLLLKPSTPEHKIGDYDTSSCLIREVTKDSLKKLQEKPPALKSSFRWELGSCWVQHLQKQETTKNSPKKVENDGKDELVIKGLGKQFKMLKKRERKSGNGNVTDVNEAMNDSASSPNMECILAEQPNDESSSKAELRKYISEEAYLRLKESGAGLHLKPVDEIIKMAQKYYDEIALPKLVADFASLELSPVDGRTLTDFMHLRGLQMRSLGRVVELAQKLPHIQSLCIHEMVTRAFKYIVRAVIASTSDVANLPAAIASTLNFLLGSHTPEDNEEKLSDDQILKFQWLSEFLLKRFGWNLNDEFKRLRKLSILRGLCHKVGIELVPRDYDMESSNPFCETDVISLVPLCKHVVCSSADGRTLLESSKIALDKGKLEDALNFGTKALTKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNAQIALRYLHEALKCNKKLLGDDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQDKLGPEDLRTQDAAAWLEYFESKALEQQEAARSGTPKPDASIASKGHLSVSDLLDYINPDKDIKALDLQRKRRSKVFPVSEKSQKGPHDGISNNSLTENNKEIGVSMKVIEYEDKNDIITSQESKDPPSSMHHELVVEAALQETSSDDGWQEANSKGRNGGTAKKHARKRPNLAKIKTTSAYSFSRDRISRKEVISQGHNENVSAKVAVPKGFSSSTVSVPSPPANLTTMASKSLSYKDVAVSPPDLH >itb10g15200.t1 pep chromosome:ASM357664v1:10:21466245:21477464:-1 gene:itb10g15200 transcript:itb10g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSGKGKGNKAKAEKKKKEEKVIPSVLDITVVTPYDTHVVLKGISTDKILDVKRLLASNVQTCHFTNFSLSHEVKGAKLSDRFEVVALKPCLLRMVEEDYAEEAQAEAHVRRLLDIVACTTRFGKSRGKPSTPSANDARARKHKSQLNAAEACRPESPSDGGAVRAPDSPSPAVSEEYDMLAIHPIPKLSDFYEFLSLSHLSPPIISLKRFELKDGQSDQNGDYFEMQIKICNGKLLQVVASKTGYYPLGKPFIRAHCLVDLLQQLSQAFAKAYESLMNAFMDHNKFGNLPYGFRANTWLVPPTLIDLGSNFIPLPVEDESWGGNGGGQGRYGEHDLRPWASEFHILANLPCKTEEERVVRDRKAFLLHNLFVDVSIFKAVSAIQKVINSSEVSTPNCVLDSVLYEDRVGHLYITVKRDATDASHKEVKIIGTKSFNESAEEVAQRNLLKGITADESVVAHDKLSLGMVTVRHCGYTAIVKVMGDLGEGRSLSQDIVIDDQPDGGANALNINSLRFLLLKPSTPEHKIGDYDTSSCLIREVTKDSLKKLQEKPPALKSSFRWELGSCWVQHLQKQETTKNSPKKVENDGKDELVIKGLGKQFKMLKKRERKSGNGNVTDVNEAMNDSASSPNMECILAEQPNDESSSKAELRKYISEEAYLRLKESGAGLHLKPVDEIIKMAQKYYDEIALPKLVADFASLELSPVDGRTLTDFMHLRGLQMRSLGRVVELAQKLPHIQSLCIHEMVTRAFKYIVRAVIASTSDVANLPAAIASTLNFLLGSHTPEDNEEKLSDDQILKFQWLSEFLLKRFGWNLNDEFKRLRKLSILRGLCHKVGIELVPRDYDMESSNPFCETDVISLVPLCKHVVCSSADGRTLLESSKIALDKGKLEDALNFGTKALTKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNAQIALRYLHEALKCNKKLLGDDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQDKLGPEDLRTQDAAAWLEYFESKALEQQEAARSGTPKPDASIASKGHLSVSDLLDYINPDKDIKALDLQRKRRSKVFPVSEKSQKGPHDGISNNSLTENNKEIGVSMKVIEYEDKNDIITSQESKDPPSSMHHELVVEAALQETSSDDGWQEANSKGRNGGTAKKHARKRPNLAKIKTTSAYSFSRDRISRKEVISQGHNGTSKTVSSEFSLPMKTLNLNFSGDSENVSAKVAVPKGFSSSTVSVPSPPANLTTMASKSLSYKDVAVSPPDLH >itb09g29830.t1 pep chromosome:ASM357664v1:9:30558218:30560280:-1 gene:itb09g29830 transcript:itb09g29830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSISRHFSTCKKPNFGISNVLLFSTASSSSLAASQFMTHLQKNGPNIEKSLNLVKAELDAPCVTRVLESFSVENRQMGLRFFIWAGVHPSYRHSTYMYNKACNLLKVKENPNIVTDAFEAYEVEGCIVSVKMFRVVFNLCREAKDAGLGLWVLRKMKDFNCRPDMIAYNGVIRLLCEKGDIDGAMGLMREMGLIDLYPDMATYVMMIKGLSEVGRLEEACRLVKSMRGHGCLPGTVVYSVLLDGILRFGSLERAMELLEEMEKEGGDCRPNVVTYTTLIQGFVEKGCSVEAMPILGRMEDLGCKPNRVLISTLIHGLCVDGHVEEAHKVVNRVAGNGVSNDECHSSLVLSLFRTGKLNEAEVVFRRMLAAGLKPDSLSSGTMIKWLCSEGRILDGYQLMDGIEKAGCVSSMESDTYSILLDGLCRGNHLMEGAKLANLMIKKGIQVKSNYVKDIIKHLKSSGEEELASRIGRIQC >itb02g06160.t1 pep chromosome:ASM357664v1:2:3827709:3832059:-1 gene:itb02g06160 transcript:itb02g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAVAHTQSKKDLALEYQAQIQILRPSIHARRAKLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEATKGANWYLETQVSTNLKLSTLGNAIALKRLIRKGIPPALRPKVWFALSGAAKKKSTVPDSYYDDLISAVQGKVTAATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEEAFWMLAVLLENVLVSDCYTNNLSGCHVEQRVFKDLLTKKCPRVASHLESLEFDVSLVCTEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMNEEELLLTHHVGDVINIIQRKTHHLFDPDELTTVAFEKIGFMTITNISKQRKKQESAVMAELDRRFRRFNSLNDGEEVQ >itb12g21080.t1 pep chromosome:ASM357664v1:12:23463629:23466296:-1 gene:itb12g21080 transcript:itb12g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESLAAATASTTTTTRKVRFAPKGPPRRAQKPVLPKAEKVEEDADATKAEELLRRFNESSTMRARAKVDKKGPTQVAFGQGSSSSLRSYIPPKGSKKPQSSSSDGGIALQQPEKEYKEPWDYYTYYPTTLPLRRPYTGNPEVLDEKDFGEASESNEYNEDAINPADNLGLFKEAPEENLFFIQLPTLMPMFKPPANAEGSETASNNPRAMRARACKFDDLPGGYLGKMVVYKSGAIKMKLGETLYDVSPGMECIFSQDVVAMNTEEKNCCNVGEISRRAVITPDVDSLLASMTN >itb06g06980.t1 pep chromosome:ASM357664v1:6:10042287:10046983:-1 gene:itb06g06980 transcript:itb06g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSDEKGEMAGEQQPLLETSAPQPQGGGLRTLPFIAGNLALTNMVTSGLAPNMILYLMREYQMDMASGSNVLYWWNAASNITPVIGAFMADSFVGRFQIITMGSVISLVGMFLFWLTTVIPQARPPPCLDSNNTCSSATAVQLFLLFTSFILVSIGSGGVKSSSLAFGVDQLKNIRKNEGVKMERYFGWYYAITIVSALVAMTCLVYIQENMGWEIGFGVLLLLMLCAAVLIFLGSPFYVKPKPKGSLITGLIQVIVASYRKRSLLLSSGNGGITYHQEGTMLRLPSETLRFLNKACIIQDPHQDLNSDGKAADPWSLCTVDQVEELKAILKVIPIWVTGVIMSINICQGSFDTLQATTVDRHIIGSSFEIPVGSLAIFAFVAVVIWFVLYERAIIPIASRVMRKPVRFSTKTRMGCGIFVSFLSVVVAAVVETVRRSLANKEGYSEEPEGIIKMSVLWLVPHLMLVGFAEAMNAVAQNEFFVSEFPQSMASIASNLLGLGSAAGSVAASFLMSAINDMTEGGESGSWISSNINKGHYDYYNWILAGLSMANMLLFLFCSRAYGPCRGENDLNAVVEVEAS >itb06g06980.t2 pep chromosome:ASM357664v1:6:10042287:10045178:-1 gene:itb06g06980 transcript:itb06g06980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFWLTTVIPQARPPPCLDSNNTCSSATAVQLFLLFTSFILVSIGSGGVKSSSLAFGVDQLKNIRKNEGVKMERYFGWYYAITIVSALVAMTCLVYIQENMGWEIGFGVLLLLMLCAAVLIFLGSPFYVKPKPKGSLITGLIQVIVASYRKRSLLLSSGNGGITYHQEGTMLRLPSETLRFLNKACIIQDPHQDLNSDGKAADPWSLCTVDQVEELKAILKVIPIWVTGVIMSINICQGSFDTLQATTVDRHIIGSSFEIPVGSLAIFAFVAVVIWFVLYERAIIPIASRVMRKPVRFSTKTRMGCGIFVSFLSVVVAAVVETVRRSLANKEGYSEEPEGIIKMSVLWLVPHLMLVGFAEAMNAVAQNEFFVSEFPQSMASIASNLLGLGSAAGSVAASFLMSAINDMTEGGESGSWISSNINKGHYDYYNWILAGLSMANMLLFLFCSRAYGPCRGENDLNAVVEVEAS >itb10g21620.t1 pep chromosome:ASM357664v1:10:26764756:26766137:-1 gene:itb10g21620 transcript:itb10g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMKHWKEGEITVFIKLWLSIYLSLSYCFFAAKMAPIGLPRLLLFLPVIFLFLLIPLAFHSVHFCGITAFFISWLASFKLLMLAFNYGPLPSLSLPRFLLIACLPVKIHKKCTDSSVGSSNNTHYAQQDSGQHRQLNSFVNETEEKIQKHQTLILSPNELFREKPAMEVSENRQKSTVSYAVKAVLMGLIIRIYEYSDSINPTVIQIIHCLHIYLFLDIILGIVAALARAVLGLELEPQFNEPYLCSSLQDFWGNRWNLMVNRILRPAVYSPCLGISAKYLGRKWATYPAVIATFMVSGLMHELIYFYLGRVRPTWEVTCFFLLQGACVAVEIAVKKALRGRWRLPRILGTILTLGFVTLTRCWLFLPQLLRCEVFAKASAEYVALGAFVKDIRMTLALGARSA >itb07g22220.t1 pep chromosome:ASM357664v1:7:26700761:26703595:1 gene:itb07g22220 transcript:itb07g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDHRVSAALGPYPNNSIRSSKHPPPPSKVDFGVFLNGGSNASELVLRGSRAAPDGHNMQCHARKPFGILGDYKLSSNSMGQEFDSFLLNAINMSFFERLSLAWRLMFPPSLSRRNSNANIAKQRLKMILFSDRCAVSDEAKQKIVSNIVSVLSDFVEIESQDKVQLSVSTDPDLGTLYSVTVPVRRVRSEYQLDDPTGTITNVEYKDTGEDSGSVDVKFDFYIPG >itb11g00320.t1 pep chromosome:ASM357664v1:11:144557:147011:1 gene:itb11g00320 transcript:itb11g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPIMASRSQMLKTLVVVLLGVVLSSPGWVEGIRIVEDENSNNFYELEYSAINCRAHAASITDFGGVGDGKTLNTKAFQNAVNELSQYADQGGAQLFVPAGRWLTGSFNLTSHFTLFLDKDAVLLASQDISSWGVVEPLPSYGHGRDTSGGRYISLLFGSNLTDVVITGNNGTIDGQGELWWQQFHKKKLKYTRPYLIEIMHSDNIQISSLTLLNAPTWNVHPVYSSNVIIQGLTIIAPVTSPNTDGINPDSCANVRIEDNYIESGDDCVAVKSGWDEYGIKYGMPTEHLIIRRLTCISPYSAAIALGSEMSGGIQDVRASDITAINTESGVRIKTAVGRGGFVKDIYVKGMTLHTMKWVFWMTGNYGSHADNNYDPNALPEIKGINYRDVVADNVKMAARLEGISGDPFTGICMSNVTISMAQKAKKYPWTCTDIQGTTSGVVPKPCESLPDQGSEKPEMCDFPAENLEIENIEFQKCSYRIYY >itb01g10530.t1 pep chromosome:ASM357664v1:1:9138341:9139198:-1 gene:itb01g10530 transcript:itb01g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDEGGCESKVFDGRNASKRDNEKHGRSRFSSLQSRKKISKIKKWRREYISYFTNMGGNSRNVGKKQGGGLMADERERERVMELLSKFRAICKNLSQENKTQRERKVSESSALMRIDILAAREVKKMSSLYTKRTNSPGPIPGVEVGDKFRYRMELILVGLHSNLQKGIDFVFSNGAGERIATSVVASGGYANETSDPNVLIYCGQGGEHEDQSLNNPGNSALKNSITVKNPVRVIRGTKERASSYATTFVYDGLYRVVAFWSDISCSGKLLYKFKLVRITGS >itb08g12280.t1 pep chromosome:ASM357664v1:8:12421561:12426556:-1 gene:itb08g12280 transcript:itb08g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAVESERERAYLAAAANPFIHPIHTHTHTACWVGGWVGGMEGLAMLRQLIGQVQELLELYGGYPSTVVPANYFLQLQPPPPHHPRWCFLNLDDSSIEDSCYNLLVTAGKSENLKMLEPGKPPPKKKVRKERNRGRSDGTTCSTEAMEHHIWKEFPEDLFEAVIARLPIATFFRFRSVCKKWNSMLTSQSFSEQCAEVPQQQPWFYTITHENVNTGAMYDPDSRKWHHPTIPALPTKLIVLPVASAGGLVCFLDIGHRSFYVCNPLTRSFKELPARSVKVWSRVAVGMTLNGKSACEGYRILWVGCDGEYEVYDSIENCWSPGSMPSNIKLPLALNFKSHTVSTGSTLYFMRSDPDGIVSYDMVSGVWKQFIIPAPPHLSDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNVWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLITYDFSTREWVKVPGCVLPRGRKKQWIACGTAFHPCLTALA >itb13g18080.t1 pep chromosome:ASM357664v1:13:25125248:25126050:-1 gene:itb13g18080 transcript:itb13g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGELACTYAALILHDDGIPVTAEKIAALVKSANVTVESYWPSLFAKLCEKRNIEDLIMNVGAGGGGGAVAVAAPAAGGAAPAAAAPAAEEKKEEPKEESDDDMGFSLFD >itb15g07680.t3 pep chromosome:ASM357664v1:15:5245842:5247342:-1 gene:itb15g07680 transcript:itb15g07680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPATLIVANALMIVTPLEALAETCEVEKSDFSMPLLLFVSLIGAAVGGLLARQRKGELKRLNEQLLQINAALKRQAKIESYAPSLSYAPAGARIPESEVIVDPRKEQLLSCLRSGKSFLRNQGPKQAFSEFKKALDLAKDLKDSIEEKKAARGLGASLQRQGKYREAIKYHSMVLDISEREKEDSGNTEAYGAIADCYTELGDLERAAKYYDQYISRLQSD >itb15g07680.t2 pep chromosome:ASM357664v1:15:5245842:5249114:-1 gene:itb15g07680 transcript:itb15g07680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVVQQGLLPRRSLCRHAASSSSFSIPKTHTAKPNLVTISATLAPLSDRQLKNEQGMAVERNSKKSTEWVPVEIKKIFPFMLRPATLIVANALMIVTPLEALAETCEVEKSDFSMPLLLFVSLIGAAVGGLLARQRKGELKRLNEQLLQINAALKRQAKIESYAPSLSYAPAGARIPESEVIVDPRKEQLLSCLRSGKSFLRNQGPKQAFSEFKKALDLAKDLKDSIEEKKAARGLGASLQRQGKYREAIKYHSMVLDISEREKEDSGNTEAYGAIADCYTELGDLERAAKYYDQYISRLQSD >itb15g07680.t1 pep chromosome:ASM357664v1:15:5245842:5249114:-1 gene:itb15g07680 transcript:itb15g07680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVVQQGLLPRRSLCRHAASSSSFSIPKTHTAKPNLVTISATLAPLSDRQLKNEQGMAVERNSKKSTEWKIFPFMLRPATLIVANALMIVTPLEALAETCEVEKSDFSMPLLLFVSLIGAAVGGLLARQRKGELKRLNEQLLQINAALKRQAKIESYAPSLSYAPAGARIPESEVIVDPRKEQLLSCLRSGKSFLRNQGPKQAFSEFKKALDLAKDLKDSIEEKKAARGLGASLQRQGKYREAIKYHSMVLDISEREKEDSGNTEAYGAIADCYTELGDLERAAKYYDQYISRLQSD >itb05g01280.t2 pep chromosome:ASM357664v1:5:1099325:1106400:-1 gene:itb05g01280 transcript:itb05g01280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MTSPEGLRTYTKQDVDDLDGKTDKFRDDDDDWEGDGKRKYRSSKSRRSGIAEESEGLDSSGRKRSNVDKSESRKRSGGSGKVDTDEDEYDARKESRSKSMRKKPEENTLERLSNWYQDGETETKHNNGDKSGGGGHSRADESDRRTSISKFSDNDGSQNRNKGTDERRENSKGKSHGSSEHGRNSRKRWDESDSVKKADESEYIENFDTRSGKMVDPIIEGHRNSNEDKDVKSQNREEKRADYERGKRGRFEAVEEDNKGHASAREEDAAWMRERRRETDSVNRSRTPEKSGKHYYDGENFDMEYERSTPSRKKEQEKDGYKDDRSKGRDDGWSDRSRDRDGPRDGWKRRQGNFADKETKEGGSSYDHGREWELNRRGWMDNDRPRPGGWKDGIRTEAVKTSSKYGISNENYDVIEIQTRSFDYGRDDAKPTFARKSEVGQHSDARLPQDDEKYAVSSDERGRNAYGSGQSSEDFKDTPGDGGTALNLNSRRDDIESQGERSRGQSGDLMNQGSGGQTSSGGSQPPHGSQEPSSFNRSGPQSARGIRLGRMGRGRSTGRDNHQVGLQMPMVGSPFGPLGMPPPLNANMSPAPVPPISPGVFIPPFSPPIVWPGARGVEMNMLAVPPGPSGLRFPPNIGNPPNPGMYFNQPGPGRGAPPSVSSPNFNTGMPAGRGQTPDKTPGGWVPPRTNAPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVAKAASAPMYYKCDLHEQELSPEFFGTKFDVILIDPPWEEYVHRAPGVTDNMEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKNNATPGLRHDSHTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFALGRRRLELFGEDHNIRTGWLTVGKGLSSSNFNSEAYVRNFADKDGKVWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPMKNQQQMQQQSTSISLTTANSSSKRPTGNSPQNHNPQNANQEASSSNISNQGPWASPMEGFRGRESGQMTDDRILDMYAYNASFGQVNPEFLDYEPHRAMNM >itb05g01280.t1 pep chromosome:ASM357664v1:5:1098561:1106230:-1 gene:itb05g01280 transcript:itb05g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MTSPEGLRTYTKQDVDDLDGKTDKFRDDDDDWEGDGKRKYRSSKSRRSGIAEESEGLDSSGRKRSNVDKSESRKRSGGSGKVDTDEDEYDARKESRSKSMRKKPEENTLERLSNWYQDGETETKHNNGDKSGGGGHSRADESDRRTSISKFSDNDGSQNRNKGTDERRENSKGKSHGSSEHGRNSRKRWDESDSVKKADESEYIENFDTRSGKMVDPIIEGHRNSNEDKDVKSQNREEKRADYERGKRGRFEAVEEDNKGHASAREEDAAWMRERRRETDSVNRSRTPEKSGKHYYDGENFDMEYERSTPSRKKEQEKDGYKDDRSKGRDDGWSDRSRDRDGPRDGWKRRQGNFADKETKEGGSSYDHGREWELNRRGWMDNDRPRPGGWKDGIRTEAVKTSSKYGISNENYDVIEIQTRSFDYGRDDAKPTFARKSEVGQHSDARLPQDDEKYAVSSDERGRNAYGSGQSSEDFKDTPGDGGTALNLNSRRDDIESQGERSRGQSGDLMNQGSGGQTSSGGSQPPHGSQEPSSFNRSGPQSARGIRLGRMGRGRSTGRDNHQVGLQMPMVGSPFGPLGMPPPLNANMSPAPVPPISPGVFIPPFSPPIVWPGARGVEMNMLAVPPGPSGLRFPPNIGNPPNPGMYFNQPGPGRGAPPSVSSPNFNTGMPAGRGQTPDKTPGGWVPPRTNAPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVAKAASAPMYYKCDLHEQELSPEFFGTKFDVILIDPPWEEYVHRAPGVTDNMEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKNNATPGLRHDSHTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTAKPEDMYRIIEHFALGRRRLELFGEDHNIRTGWLTVGKGLSSSNFNSEAYVRNFADKDGKVWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPMKNQQQMQQQSTSISLTTANSSSKRPTGNSPQNHNPQNANQEASSSNISNQGPWASPMEGFRGRESGQMTDDRILDMYAYNASFGQVNI >itb04g24800.t1 pep chromosome:ASM357664v1:4:29529030:29531415:1 gene:itb04g24800 transcript:itb04g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCENPPTLNPSAGVGSVVELGGLKSYVTGAANSNLAILLVSDIFGYESPNLRKIADKVAASGYYVVVPDFLYGDPYVPDSKPIGDWIQGHGTDKGFEDAKSVIAALKSKGISSIGAAGYCWGAKVVVQLAQSGYIQAGVLLHPSFVNVDDIKEVNAPIAILGAEIDKMSPPELVKQFEEILSSKPEVESFVKIFPGVCHGWSVRYSVEDGKAVQSAEEAYQDMLNWFTKFVK >itb15g21840.t1 pep chromosome:ASM357664v1:15:24486977:24488934:-1 gene:itb15g21840 transcript:itb15g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQILLCFAFHFLLLFSLPLETATSETTEGRALLKWKNTLEFNNTDVLHSWSIANLHNICWNWTGITCNNVGAVYEIKLDNFSLSGTLESLHFNSFPNLTRFILNNNSFTGSIPYAIANLSQLIFLDLSFNHFVRFIPSEIGKLTRLRFLNLGGNRLGGTIPSQISYLQHLTSLSLFANSLTGQIPEAMFSNLSNLQTFNCRENMFHGPFPSSLVKLSKLKQLYLSGNNFYGSIPPTIGNLSSLNILDLSDNMLQGNIPETLCNLHSLNTLYLSDNTFSGLNPPCLGNITSLRDLSLDSNGLHENIPRILWNLHSLEGLELNYNCLGDVGRFDPTVPWKYILTKKSLSQIQHAERKYSRFTMDMP >itb08g07510.t1 pep chromosome:ASM357664v1:8:6436631:6439533:1 gene:itb08g07510 transcript:itb08g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEMIPTSPSQWDYYQIPYSHHHQTSFQPDFSVYPQTVPDTNQTLLRDDQTATSGLIIPNPPENPSVSESMSPKSGVAKRAARRRSRASKKAPTTLLNASLTNFRSLVQQYTGCHSSSSPHSSILRNQRGPITLSFGPPPGTDFPTSGEQAWHHGQEQQQLQGQHLMYNNHNSFVDATSFTYSGGEDGLFTWLPNPFTAEDGLVMAARRKVYQLLKVVQ >itb11g10830.t1 pep chromosome:ASM357664v1:11:7732107:7732406:1 gene:itb11g10830 transcript:itb11g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWWLFCAKLMLKSSHPRDLFGEIVRSVVLCERNSTHPSVLSIPKDDGLIKLEVLILTRGSEGETGKETAEALLKEKILQWKRDDFILRNAHQIASVK >itb09g16770.t1 pep chromosome:ASM357664v1:9:11911780:11920294:1 gene:itb09g16770 transcript:itb09g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLCPNLTRFALQFPSLFPVLRKQPQLLKLCRSFRLPRRFSTLYSNSLRSFTVNALSSPETYSYGEVGNERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRILNISRGPAVWALRAQTDKREYALEMKKIVESTPNLYIREAMVVDILLGKNDNIEGVSTFFGMNFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFETDRLKTGTPARVDSRTVDFSQLEPQYGDEEVSWFSFDPDFHIEREQMCCHLTRTTKKTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRSVPELYVQGFSTGLPERLQLPLLRTLPGLENCTMLRPAYAVEYDYLPAYQCSRSLMTKKIGGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWALYEDKQTQILEEKKRLKTVRVSGGDLAAEITLIAGQTVRDSSTLESLLKKPNVQYKLFDKHGFGNRLLSKEEKQCVEIDIKYEGFIARQQIQLQQMARQQHKPIPENIDYYSMTTLSLEAREKLSKVRPQTIGQASRVGGVNPADVTALVIILETNKRKAQELLRQQKATLPPAVLDAGDKVSENPIKESVCT >itb13g03820.t2 pep chromosome:ASM357664v1:13:3832353:3836169:1 gene:itb13g03820 transcript:itb13g03820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQTEEFMAGQGLLSCYGFIDLSCEYILKQLPNMQKQSFCPEECREAAASLMFAAARFSDLPELRDLRDLIRERYGSEIECFVNQKFVEQLASRTTAMEKRIQLLQDIASEFQIRWDSAGFQQRMASAEGKLNKIGPSHSSADNYNLGSQKDSVSKTNVQNVLSKGKPDTYNDKQIRIQGIGNDKLDDHLFGRKENANEHKLIANKEGSYLMTEKNDFVVNKMEELTVGRDRAKKERSDNSQWTSKSGNSSRIRGKDGVYSGHEEQERKICNPHDIPKVKSFGVLSHGQLVASNFTGLTSGDDVSSTSDPISEKKKVISIGESQEDQGNSLKSYNSYARPPPYVKPKDKTIPPPYVKVGDTKGKTSRGSDHFVSEFNRHFTGPSHGKVDAASSSEDIRRGSDQPDQNVENFEPTGKNSHRQEREFSYADGIPLPKPRSVRRKHHKSSRNNDDAGNSEDARVVNRSNRRREHSKTGLQVLVDDRHHRRDDEERMIDKLLMHYSKKSSNYDIEKLRKKPETHGSHLRGSDTDKSSHERIRKDECEINSDVPPPPTRSISLPHEQATPSETKKVYMRANSFQPDNQARHVHPKLPDYEDLAAQFAALRGI >itb13g03820.t1 pep chromosome:ASM357664v1:13:3831547:3836349:1 gene:itb13g03820 transcript:itb13g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGILGRGFSSKCKSLIKATRSRIELVRRRAEARQRFLKEDLAKLLGNGLDINAYGRTEEFMAGQGLLSCYGFIDLSCEYILKQLPNMQKQSFCPEECREAAASLMFAAARFSDLPELRDLRDLIRERYGSEIECFVNQKFVEQLASRTTAMEKRIQLLQDIASEFQIRWDSAGFQQRMASAEGKLNKIGPSHSSADNYNLGSQKDSVSKTNVQNVLSKGKPDTYNDKQIRIQGIGNDKLDDHLFGRKENANEHKLIANKEGSYLMTEKNDFVVNKMEELTVGRDRAKKERSDNSQWTSKSGNSSRIRGKDGVYSGHEEQERKICNPHDIPKVKSFGVLSHGQLVASNFTGLTSGDDVSSTSDPISEKKKVISIGESQEDQGNSLKSYNSYARPPPYVKPKDKTIPPPYVKVGDTKGKTSRGSDHFVSEFNRHFTGPSHGKVDAASSSEDIRRGSDQPDQNVENFEPTGKNSHRQEREFSYADGIPLPKPRSVRRKHHKSSRNNDDAGNSEDARVVNRSNRRREHSKTGLQVLVDDRHHRRDDEERMIDKLLMHYSKKSSNYDIEKLRKKPETHGSHLRGSDTDKSSHERIRKDECEINSDVPPPPTRSISLPHEQATPSETKKVYMRANSFQPDNQARHVHPKLPDYEDLAAQFAALRGI >itb09g01380.t1 pep chromosome:ASM357664v1:9:854566:857281:-1 gene:itb09g01380 transcript:itb09g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFLRARKFDIEKTKQMWSDMLQWRKEFGADTITEDFDFKEKEEVLKYYPQGHHGVDKDGRPVYIERLGLVDATKLMQVTTMDRYLKYHVQEFERTNNDKMPACTISAKKHIDQSTTILDVQGVGLKNFTKQARELIQALQKVDGDNYPETLCRMFIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPDFFGGTCTCADKGGCMVSDKGPWNDPEIMKMVRNGDHKCSNNSANTATPAVEDKAIVEYEGGSNVKKSVSFKMESPKKVSAVQQEMPQPDQYAPPVNKNVPPNWNRAVSAVKVDNFAVAKVPNFYPVGYTPPDGGNQLFSSMMTFFMGVFTMVRMSRNMPATGTRNYTDAGFCSGNGMMNGPGYQLPETTVSVTEFMNMMKRVGSLEEKLITLSKEPPTMPPEKEEQLNNALSRVDALEQELEATKKALEETRSHQTEIEAYIEKMKKKKKFFGF >itb14g20360.t1 pep chromosome:ASM357664v1:14:22757250:22759226:1 gene:itb14g20360 transcript:itb14g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTSAIEIPEERRRSHRDSGRDRGRGRYSPESDSYRLRCRSRSRSPSRSRSRSLSGSRSRSRSPDYSRYEPSSHNNRRDQRSYSPHRSSHRRSPDYEPYKFERRGDRRKGYDNGDYRNRRAAESESDEELKGLSFEEYRRLKRQKLRKMLRNCIWNCTPSPPRGENEPEDVDQDFIDERQINDLKGKENEKKESSKLDSKRSNDDSSESESVSESESESDGSRHRKSKKSRKSGSRRRSRRSRSVSESESESADSSDDSEVEARRRRRLRRKQNRQHQRSSRKKKSRSRRKSDESETESSEASESSEDHVKSKKRRSSSSRSRRSNKRKESDSEASQPHESSDSGAEAKDKQEVEETLGNEVTSEVLELKELIESRMKPPMDNELIVGPMPMPRTDGQPVNYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFESLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGPDVGPSHDPFAGVAGRSADMADA >itb14g20360.t2 pep chromosome:ASM357664v1:14:22757359:22758945:1 gene:itb14g20360 transcript:itb14g20360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTSAIEIPEERRRSHRDSGRDRGRGRYSPESDSYRLRCRSRSRSPSRSRSRSLSGSRSRSRSPDYSRYEPSSHNNRRDQRSYSPHRSSHRRSPDYEPYKFERRGDRRKGYDNGDYRNRRAAESESDEELKGLSFEEYRRLKRQKLRKMLRNCIWNCTPSPPRGENEPEDVDQDFIDERQINDLKGKENEKKESSKLDSKRSNDDSSESESVSESESESDGSRHRKSKKSRKSGSRRRSRRSRSVSESESESADSSDDSEVEARRRRRLRRKQNRQHQRSSRKKKSRSRRKSDESETESSEASESSEDHVKSKKRRSSSSRSRRSNKRKESDSEASQPHESSDSGAEAKDKQEVEETLGNEVTSEVLELKELIESRMKPPMDNELIVGPMPMPRTDGQPVNYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFESLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGPDVGPSHDPFAGVAGRSADMADA >itb11g20160.t1 pep chromosome:ASM357664v1:11:21135447:21136067:1 gene:itb11g20160 transcript:itb11g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIGEKLQVPINYWAVLLNQSSTKQPLPHSSATQCCLSSSSKLRFGAVRLRPKITDTVKGKLILGAKLLQAGGVQKVFNKKFSANEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSMRIHYKVSIPIAKIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFPHHQRTLKYLQMQFLQLSILRNISL >itb10g23230.t2 pep chromosome:ASM357664v1:10:27682161:27682922:1 gene:itb10g23230 transcript:itb10g23230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSAYVLLHKFVHRRNCCFWSRFTGMAFGTGSAIAHRAVDAVMGPRVVQHETVAAPTPAAAAPSTNAFGNSDACSVQSKAFQDCLNSSGNDISKCQFYMDMLSECKRSSMLNA >itb10g23230.t1 pep chromosome:ASM357664v1:10:27680074:27682922:1 gene:itb10g23230 transcript:itb10g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSGRSAPRPAPRAARAPAPAPVNHAPPPAPAQNGRGGSMLGNIGSTIAEGMAFGTGSAIAHRAVDAVMGPRVVQHETVAAPTPAAAAPSTNAFGNSDACSVQSKAFQDCLNSSGNDISKCQFYMDMLSECKRSSMLNA >itb13g02130.t1 pep chromosome:ASM357664v1:13:1956533:1961929:1 gene:itb13g02130 transcript:itb13g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFKDELQGILTCLSSSSSSSSSSKSLAYSTLLHLQQLSASSSADPSFITLLADSSPVFLRSILVDIFDHDEEMIAVQALKCLGFMIYHPSILASIKGDDACMIIESLVKVITTTKIKWVCNLGVWCISMQQFSTSILDTHLQALLRAIIYGLDNPMGSLSITFEAMQAVMKLSSSLGEKMRNLSYIWVPPIYRRLASDNKREREMSERCLLKVKCIICPPPVSLSKAVVLDLKKKLLDTIEEMLNHGKKIEALQAWGWFMRLLGPYLTNHKRLLNELLKFPTQTFSDFDPQVQIASLVSWEGLIDALICPPFDAPEISSAAGQATNDMKISGGDCKKITSDGFSKKIKLIMTPLTGIISSKCDVSVHVSCLTTWCYLLHKLDSSVSCDSVARMVCQPILEAIFHLGPDDRNIWSWNFCIELLDNFILTRSGQNGSTNLQKGAELFAESPPSVKCSWRHYPIKWSPWDLNQLEFFIKMIHCLFNQGSNAAMSNELVILTYNAASRLFRSLLKSVQNVLRCGLVTYDEVMLCLNVILKFFNEINEKLASGSSHTNDLQKISLQLFYAATEELEPSILESPLYRVAVDIKDLEKVEPICELGNLKISDVGCVAYMGKVSPAVYISILYFVTVIKSRVDAPDYKSIVLEMCRYMKFILSSYDTVEMLNVFVGLLYKHKVPNCLEIWVVVASCLKEYIDSKSNHSLFVMGDSPGYSAVMLLLNYPFAAYPILQMQLETECGIEAWKSLYVSICQSSQHCHLTMTRHIFAMLNECIDETTIRDDPATQAQQNEKSENIDFFLLLGNVMICVLEQAILRANNNNHTSKDGDFRNINNVKSSLEFALRFMKIPWVVEEGDLQTSFTLASRILSKVVNFIGCFPLQQYFISFIEITTNPLLLWLSHMDVRDNDFKDQLQQLWTEILKNLQKSQPAMNFDSSFLKLQVPLLEKTLDHPNPEISNPSIQFWNATYGEQINLQYPESLLPILDKLSRNGKLTLCRKTIPAPNRYKVSASLNRCSKRVEFVDENTKVYPGRKRKHAELTEHQKEVRRAQQGRHSDSIGRGPGIRTYTSVDFSQDNEESQEESQDIRDADSTLEMLQRVH >itb01g26050.t1 pep chromosome:ASM357664v1:1:31280193:31283097:1 gene:itb01g26050 transcript:itb01g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:Projected from Arabidopsis thaliana (AT3G23920) UniProtKB/TrEMBL;Acc:A0A178VNG4] MAMSLPHQLTAISGTPIAVEAGTVSGEGPAKASVVTSAFWKNPAGNLRVSVQKSGAEVDRVSPSPPLSPVRGGLRPDLTAACQALMEAPTVEREYKIGDLGHEKGKGVPVFVMMPLDSVKMDHTVNRRKAMNASLQALKSAGVEGVMMDVWWGLVEKDSPSEYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPRWVIEEMEKDPDLAYTDQWGRRNYEYVSLGCDTLPALKGRTPVQCYSDFMRAFRDRFEHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCFDKYMISSLRATAESIGKPEWGHSGPTDAGHYNNWPEDTNFFRKEGGWTTPYGEFFLSWYSQMLLDHGERILQSANAIFDNTGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAIFNFTCIEMRDHEQPQDAQCAPEKLVRQVALATQEAKVPLAGENALPRYDDYAHEQILRASALSIDEKSGDREMCAFTYLRMNPDLFQADNWRRFVAFVKKMKEGKDVHKCWEEVEREAEHFVHITQPLVHEAAAALMH >itb11g20270.t1 pep chromosome:ASM357664v1:11:21268929:21271341:1 gene:itb11g20270 transcript:itb11g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSGEVFGVVFMAVMVLAASEIYPEERNALLQLRDGLQSNANLHSKWTGPPCQGNSSNWPGIACSDWHVTRLVLPGINLTGSLPVSFLQNLTLLSKLDLTNNSIFGPLPNLSSLSHLQFLFLSQNRFSGSIPLGYIHIPNLKKLGLDRNGLQGSIPPFDQTSLIGFNVSGNRLEGKIPETAALQRFSESSFGDNPGLCGKPLDRPCRIPPPLPPPLPSPPPPTMKKKKKGVEVWSIALIAGAGGALLTLSIMLVLFWCCYRRTLRKKEQSEQKERVPTEHTERRSPWSRGPEDPERRVELEFFNKEGITGGFDLDDLLRASAEVLGKGKLSTTYKATLEGGSVVAVKRLRQINSTSKKEFIQQMQLLGNLKHENLVEIISFYYSRDEKLIIYEYIPHGSLHQLLHGTLTSLFNIFFNVVSHAYIEK >itb07g14200.t1 pep chromosome:ASM357664v1:7:16684039:16688180:1 gene:itb07g14200 transcript:itb07g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTKLLRLLFCVGSQIAVAPWFAITAEHARSFAAGSHGRLWKLAAVAMERRWLAAFLDLDQGVMAVTVDCGDEREESDRTEFMTATTKYPFGEPTVTNSDDENGLSELRLWCVEGVTGGNAANTPIGSSTTAIAAAEGFLMPNLKHSPSSIFTTQTHKNQFHHFFRRKQEWKTPLPPSITITHPTKLPLLSDLPNFSFPHFRAHRRHSSGDPADPTTPAPPLSGLRCSSSPFRSGSEAVYSDGLARYIGPS >itb09g12020.t1 pep chromosome:ASM357664v1:9:7561782:7565320:1 gene:itb09g12020 transcript:itb09g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYCGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEECEYEDEEEEAC >itb06g23350.t2 pep chromosome:ASM357664v1:6:25337629:25341439:1 gene:itb06g23350 transcript:itb06g23350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQTSVLRLSRHDSVLHPAIISGDCRWRHLLGLQLDLCHSAAHSLVSASPPLTTPSLRFSPSNPLTLSVPPSLRNLNTNHQQGIFPSEDERINEDNKTKEPSSGVYLLGVYFMKQTVGNACGTITLLHVVIISLQFRDKAWNIIGAVSCQLKILNMLIQLPILTAHFLIWYNVGGTMQIIVWRLNQS >itb06g23350.t1 pep chromosome:ASM357664v1:6:25337629:25341439:1 gene:itb06g23350 transcript:itb06g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIQTSVLRLSRHDSVLHPAIISGDCRWRHLLGLQLDLCHSAAHSLVSASPPLTTPSLRFSPSNPLTLSVPPSLRNLNTNHQQGIFPSEDERINEDNKTKEPSSGVYLLGVYFMKQTVGNACGTITLLHVVIISLQFRDKACYRNIIGAVSCQLKILNMLIQLPILTAHFLIWYNVGGTMQIIVWRLNQS >itb10g24120.t1 pep chromosome:ASM357664v1:10:28118881:28122530:1 gene:itb10g24120 transcript:itb10g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESERQTVGWAARDPSGVLSPYTYNLRNTGAEDVYIKVLCCGLCHSDLHQVKNDLGMSNYPMVPGHEVVGEVLEVGSDVERFKVGDTVGVGLIVGCCRNCRPCNQDIEQYCSKKIWNYNDVYTDGKPTQGGFAGEMVIDQKFVVKIPDGMALEQAAPLLCAGVTVYSPLNHFGLKASGLRGAILGLGGVGHMGVKIAKAFGHHVTVISSSDKKKEEALNHLGADAYLVSSDAAQMQEAADSLDYIIDTIPVGHPLEPYLSLLKVDGKLILMGVINTPLQFVTPMVMLGRKTITGSFIGSMKETQEVLEFCKEKGLTSQIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDA >itb14g20370.t1 pep chromosome:ASM357664v1:14:22762260:22763523:1 gene:itb14g20370 transcript:itb14g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVADASSSGSSSGRAAQNLVTCVYLCELRGVSRFITVTWTKNLMGQGLLVGVENPTGESLCKVDIKPWLFSKRKGSKNAELGSGSSVVEVYWDFSNAKFGSSPEPLEGFYLAITLNQELILLIGDLQKLAYKKIDASVTIPAACTTTFLAKREHISGKKLYAAKAQLSDKGVLHDISIECDSGHGTSDPCLVIRVDGQPVMQVRQLRWKFRGNHTILVDGNLVQVLWDVHDWLFGNTTAANGVFLFQTSSCSSSDKLWNSQLAFNCSDLQHSQPSKPQGFSLLLYVCKD >itb06g04780.t1 pep chromosome:ASM357664v1:6:7582243:7586548:-1 gene:itb06g04780 transcript:itb06g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKLSTDDMCASWGCSSLLTTLFFDLMLLLHSGGAMLIDHYYHRTDSSSQQTISKCDMFQGSWVYDASYPFYNSSDCSLFMETEFDCQGNGRPDQLYLHYTWQPSACDLPKFDGKEFMRRLKGKKMMFVGDSLSLNQWQSLTCMLHASLPDSNFTIQRNGDLSTFILPEFNSSIMLSRNPFLVDLVKENIGEVLKLDSIQNGKAWLGFDFLIFNTWHWWLHKGRKQPWDYMQEGEQVYKDMDRLVAFKKGLKTWSKWVESNIDPTITKVFFQGTSPTHSRGEEWNGRRGTSCEGERQPIVGSVYHGSPNAAAEVVREVVSNMTKLVTLLDVTALSQLRKDGHPSIYGYDAKGNDCSHWCLPGVPDTWNQLLYATLLHGNSKTI >itb07g16260.t1 pep chromosome:ASM357664v1:7:19845254:19847996:1 gene:itb07g16260 transcript:itb07g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREREMRRRKSGKDAEKQATLEENPANLTKKRKENWRRKAQIEAIENNRSASSSQEDEELAAQVKEGGSGFFGCYLLTSLSPRFKGCTYIGFTVNPRRRIRQHNGEITSGAWRTKNKRPWEMVLCIYGFPTNVSALQFEWAWQHPKESLAVREAAVSFKAFGGVANKIKMAYTMLTLPAWQRLNLTVNFFSTKYTKHAAGCPILPEHIKVRVCPMDDLPCYSGISWDSCEHDEYDDEECDRIGGSQELADEGSVESNSSRNSTAADIEESIEQNATGKTNLTEQMISKKHKWDQGTTRLRDSPSPKENHGHSSFTNFPWPSSPFGIRSNNILDCTEDELRFEDSDVTFHHQTNKLPETIVISNQHPSHETSEVEIIDICTPPPPCIRNAIDKRTTLSTAFPEIIDLTESPIFI >itb12g01430.t1 pep chromosome:ASM357664v1:12:973528:979636:-1 gene:itb12g01430 transcript:itb12g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAMATSTSSSSCNFALLESLTGDSVQAIAKSWSGFCSVTEALLRSSADLSLNSELISNVHCLCNHGLDSLLIDHFLHSVQKTFEENGASRFWRHFDPYRNVAALEMTNDPILEDQIQQLLCKALEEISSEKQYHEKCLLLLIHALQSYKEITPEGCLTMDRQRDYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELNTIAAGGCEDENELGSDVDMDPDEKCKLPNRNSDMDIDMSHQQTRFSENNKLVKSIGMVVRDLRSLGFTSMAEDAYASAIFLLLQAKVHDLAGDDYRSSVLESIKLWIQAVPLQFLHALLAYLGDSNSTESPSSGLKSPLASHRSSCYFGTEIPSEGLVRWKLRLEYYAYETLQDLRIAKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVDSFISSLKYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGTGGNPNGAGSSGDSLLEELNRDEENQDNTNVDDDFNADDKQAWLNAQSWEPDPLEADPLKGSRHRRKVDILGMIVNIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATLKNQPQPVSEHEIPLDKLNATILSSNFWPPIQDEPLSLPGPVDQLLSDYAKRYTEIKTPRKLHWKKNLGTVKLELEFEDRAMQFTCTPLHASIIMQFQDQKRWTSKNLAAALGTPVDVLNRRINFWTSKGVLAESVGPDSSDHLFTLVEALTDTGKTGVSSGNCDELLAGEEDRERSVASVEDQLRKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCIADPAYDKSLQQLQSFLSGLVAEEKLELRDGMYFLRK >itb12g01430.t2 pep chromosome:ASM357664v1:12:973528:979189:-1 gene:itb12g01430 transcript:itb12g01430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDPILEDQIQQLLCKALEEISSEKQYHEKCLLLLIHALQSYKEITPEGCLTMDRQRDYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELNTIAAGGCEDENELGSDVDMDPDEKCKLPNRNSDMDIDMSHQQTRFSENNKLVKSIGMVVRDLRSLGFTSMAEDAYASAIFLLLQAKVHDLAGDDYRSSVLESIKLWIQAVPLQFLHALLAYLGDSNSTESPSSGLKSPLASHRSSCYFGTEIPSEGLVRWKLRLEYYAYETLQDLRIAKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVDSFISSLKYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGTGGNPNGAGSSGDSLLEELNRDEENQDNTNVDDDFNADDKQAWLNAQSWEPDPLEADPLKGSRHRRKVDILGMIVNIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATLKNQPQPVSEHEIPLDKLNATILSSNFWPPIQDEPLSLPGPVDQLLSDYAKRYTEIKTPRKLHWKKNLGTVKLELEFEDRAMQFTCTPLHASIIMQFQDQKRWTSKNLAAALGTPVDVLNRRINFWTSKGVLAESVGPDSSDHLFTLVEALTDTGKTGVSSGNCDELLAGEEDRERSVASVEDQLRKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCIADPAYDKSLQQLQSFLSGLVAEEKLELRDGMYFLRK >itb03g23260.t1 pep chromosome:ASM357664v1:3:21441374:21443471:1 gene:itb03g23260 transcript:itb03g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLPPTKSPKPVQQLAAEACKQVPENYIQSKDADIQPSCPLLDLASIDLSLLSSSTPSPAREKELSKLRSTLSSCGCFQAINHGISDDFLDKVGEIGKSFFALPMEEKQKYGRSPDDTEGYGNDSVLSEHQILDWTDRLYLTVSPEEQIKYNLWPANPENFREVLKEYIKKLTALNELLLKAIALSLNLEENSFVNQFGDRDRASKVARFNFYPPCPSPDLVLGVKPHADGTVLTFLLQNKDVEGLQVLKDGQWFRVPIVPYAIVVNVGDQAEIMTNGVLKSPVHRVVTNTERERNTLAVFFCPDSNSEIGPVEKLITEERPRLYRNTRNFVGLFFQNYQQGKRPLDVVRI >itb03g23260.t2 pep chromosome:ASM357664v1:3:21441428:21443443:1 gene:itb03g23260 transcript:itb03g23260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLPPTKSPKPVQQLAAEACKQVPENYIQSKDADIQPSCPLLDLASIDLSLLSSSTPSPAREKELSKLRSTLSSCGCFQAINHGISDDFLDKVGEIGKSFFALPMEEKQKYGRSPDDTEGYGNDSVLSEHQILDWTDRLYLTVSPEEQIKYNLWPANPENFREVLKEYIKKLTALNELLLKAIALSLNLEENSFVNQFGDRDRASKVARFNFYPPCPSPDLVLGVKPHADGTVLTFLLQNKDVEGLQVLKDGQWFRVPIVPYAIVVNVGDQAEVVFHVVIDHAVVVLTVT >itb13g23440.t1 pep chromosome:ASM357664v1:13:29445779:29450709:-1 gene:itb13g23440 transcript:itb13g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLISTPFSILPSRYPILSLLYISPFITRIRRKRPPIIAGSRRPTRRKPPFPDMSVSVPIAGSHRREIDAEPLLDSRNRAGKGDVLTTDLEQDRSTSSKGGLRKNKYSMSSIRCFGVDLTPDNIAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWTLMSTFVDGKYGAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSAYFSGSLVDAYGVRFVFGATALLPLITSAVSVLVNEQPVHGPARGQNLSLETSFFESSKNSIIQLWGAVKEPNVFLPTLFIFLWQATPQSDSAMFYFTTNELGFTPEFLGRVKLVTSIASLVGVGLYNGFLKNVPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFAIGDSLIITVLGQASFMPVLVLAARICPQGMEATLFATLMSICNAGSVLGGLIGAGLTQIFGVTRDKFDNLAALIILCNLSSLLPLPLLGLLPKDEPDMKEKSNTDIEMKSN >itb02g00920.t1 pep chromosome:ASM357664v1:2:515046:518289:-1 gene:itb02g00920 transcript:itb02g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:Projected from Arabidopsis thaliana (AT3G16310) UniProtKB/Swiss-Prot;Acc:O04326] MNSTVQRTPKSTRQSLFFQDLATPVSSRKSSGKFTTPGQAAAVSALWRENFSNSDLPPPPMFTLEDRSDFSPESGIQDYPMSSEGKSDPRTPVQGASKDFWTPKSNKSEASTAYAVMGKRDQHQSQHNPVASSSWWSPAKSGGSAEPDDKGKGSPVEGVVQPGALITLPPPREVARPEIKKNSIPVGNLDEEEWVTVYGFSPAETNLVLREFEKCGIILKHIPGPRNANWMHILYQNRADAHKALSKNGMQINGVLIIGVKPVDPMQRQGLNERLNNHGFVPLPLAPSSKTPDSIPFRTSSQPHYLQNGSSSGRQSAGTVAAPAKSVVSKVMDLMFGV >itb09g11410.t1 pep chromosome:ASM357664v1:9:7107840:7113579:-1 gene:itb09g11410 transcript:itb09g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MATEEAAATLLIRHLPEAIPPETLSRLFSHYGASSVRPCTHGSVKNCAFVDFKDEALAYQAQRQLNGLRFLGKILSVEKASKRTAEDKHGKSEPGTGKESISLIKDGASYKDLDGSTQSYRRNEPIAQRLGVDYPFPPHLEYAYPPPDGHILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRTALPTPPLPNPASFQPPPPPPPPPTDIGSIAENLSSSESEMESSDEETPTVGVPERKRMKREAIVGPGVNKDVAHESVGLKPAALLPKEMPIIKKKNPVLQIKIAPKQVQCDPMDDESTRERHGQDEEKLDNKPVLTIEELKSGKLVPEEILSLPVFKNYSAGNPSRVLYIKNLARDVIADDFYFIFGSFFGSIDAARSSLTVKLMQEGRMRGQAFITFPTVELAQNALNLVNGYVFKGKPIVIQFGRNPATAAS >itb09g11410.t2 pep chromosome:ASM357664v1:9:7107840:7113579:-1 gene:itb09g11410 transcript:itb09g11410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MATEEAAATLLIRHLPEAIPPETLSRLFSHYGASSVRPCTHGSVKNCAFVDFKDEALAYQAQRQLNGLRFLGKILSVEKASKRTAEDKHGKSEPGTGKESISLIKDGASYKDLDGSTQSYRRNEPIAQRLGVDYPFPPHLEYAYPPPDGHILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRTALPTPPLPNPASFQPPPPPPPPPTDIGSIAENLSSSESEMESSDEETPTVGVPERKRMKREAIVGPGVNKDVAHESVGLKPAALLPKEMPIIKKKNPVLQIKIAPKQVQCDPMDDESTRERHGQDEEKLDNKPVLTIEELKSGKLVPEEILSLPVFKNYSAGNPSRVLYIKNLARDVIADDFYFIFGSFFGSIDAARSSLTVKLMQEGRMRGQAFITFPTVELAQNALNLVNGYVFKGKPIVIQFGRNPATAAS >itb10g19270.t1 pep chromosome:ASM357664v1:10:25134024:25136877:-1 gene:itb10g19270 transcript:itb10g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHLQKLEELYLYKNKLYGNIPRSIGECSSLKYLFMWENELNGLLPRQIGNLTMLEFFDFGGNFLSGEIPKELGNLDKLENLRLQENGLSGSIPWEIFNISTLKSLSLVVNNLSGTLPTFLGHSLHNLEYLYLGQNYIGGLIPPQISNASNLVHLDLDKNQFTGFIPNSLGNLAKLELLKLFSNNLTTDPQFSLITSLENCRSIQVLELAFNPLNAVLPKAVGNLSHTLQILNVANCNIRGRIPQEIGNLSSLYELNLWSNDIIGFLPTTIQKLQSLQAFIISDNKLIGSFPDVICELQNLFWIDLGRNKFSGPISDCLGNISSLGMIYLYENEFTVFPPTLWSIKHLLVLNLSSNNLSGSLPQEIGNANMAVGIDFSNNKLFGDIPSSIGGLTRLILFSVAHNKIQGSIPNTFGKLLDLHWLDLSDNKFSGIIPKSLEGLISMNYFNASYNKLTGEIPSGGPFANFTYESFLGNDGLCGTRRMHVPPCPANTLHQSKKDRVLLIVLVSLAVLIVLIASISVLCIFKRHNRDEGKYDEKLQCVSAIFEIGMKCSTKSPRERMNIKDALSALIKIKAQLLSLYASI >itb12g01300.t1 pep chromosome:ASM357664v1:12:930264:931026:-1 gene:itb12g01300 transcript:itb12g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGLLNLLLVVSVVVGLAGYAAAQAEGLGPAASGGRIEENDVKNNIEVQQLGRYCVIEYNKSLQVRKHPENGPKRLSFSEVIKAEKQVVAGLKYYLTIKAAGSDGQIKTFDAELVINPPESFKKMLAFAPTTQN >itb09g04590.t2 pep chromosome:ASM357664v1:9:2571418:2577064:-1 gene:itb09g04590 transcript:itb09g04590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNGAPNPNSNPSKPERPPLLKKSRTISEHTRAAHFPGPLFPAVRRVSSSPPSPVASTSASDFSSSASSATSPASSDQSFGFGDRDYVYPSFLGPYTARSRVTVTSASKSQRHEQKPPKFPARSTSMPSNTSGRAAAAAADVEIDYPAGSPKVKLEPKPRLKAEKELNPFQIQVPTSSVSPTASSAKSISIRKNLALISSWILVLLKFMCIVFVSHTVYLWSMVAKLQEENASLRKLCKHTDTFNNDSIDGLELQNDTSLLYFGGADSRTLALYTVLFTLITPFMLYKYLGYFSRIMNLSRRTKVNKEGVPLKKRIAYTVDVCFSIYPYAKLLALLFATLFLIGYGGLALYAVSDKNFTESLWLSWTFVADSGNHADMAGIGPRIVSVLISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILILGWSDKLGSLLKQLAIANMSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLCFRDVLISFPDAIPCGVKDAADCGKIIINPDDSYVLKEGDEILVIAEDDDTYAPAPLPEVHRGIFPRMTDPPKFPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVIEKDRERKLTDGGLDITQLVNIKLIHREGNAVIRRHLENLPLETFDSMNLSKTPSSILIHGLLPPSFSSEIFSQNAFHTRTQNLSLYGILLSPRARGFAKCNKLLTSQS >itb09g04590.t4 pep chromosome:ASM357664v1:9:2574637:2577043:-1 gene:itb09g04590 transcript:itb09g04590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNGAPNPNSNPSKPERPPLLKKSRTISEHTRAAHFPGPLFPAVRRVSSSPPSPVASTSASDFSSSASSATSPASSDQSFGFGDRDYVYPSFLGPYTARSRVTVTSASKSQRHEQKPPKFPARSTSMPSNTSGRAAAAAADVEIDYPAGSPKVKLEPKPRLKAEKELNPFQIQVPTSSVSPTASSAKSISIRKNLALISSWILVLLKFMCIVFVSHTVYLWSMVAKLQEENASLRKLCKHTDTFNNDSIDGLELQNDTSLLYFGGADSRTLALYTVLFTLITPFMLYKYLGYFSRIMNLSRRTKVNKEGVPLKKRIAYTVDVCFSIYPYAKLLALLFATLFLIGYGGLALYAVSDKNFTESLWLSWTFVADSGNHADMAGIGPRIVSVLISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILILGWSDKLGSLLKQLAIANMSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKARKHIFYISFS >itb09g04590.t3 pep chromosome:ASM357664v1:9:2574101:2577064:-1 gene:itb09g04590 transcript:itb09g04590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNGAPNPNSNPSKPERPPLLKKSRTISEHTRAAHFPGPLFPAVRRVSSSPPSPVASTSASDFSSSASSATSPASSDQSFGFGDRDYVYPSFLGPYTARSRVTVTSASKSQRHEQKPPKFPARSTSMPSNTSGRAAAAAADVEIDYPAGSPKVKLEPKPRLKAEKELNPFQIQVPTSSVSPTASSAKSISIRKNLALISSWILVLLKFMCIVFVSHTVYLWSMVAKLQEENASLRKLCKHTDTFNNDSIDGLELQNDTSLLYFGGADSRTLALYTVLFTLITPFMLYKYLGYFSRIMNLSRRTKVNKEGVPLKKRIAYTVDVCFSIYPYAKLLALLFATLFLIGYGGLALYAVSDKNFTESLWLSWTFVADSGNHADMAGIGPRIVSVLISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILILGWSDKLGSLLKQLAIANMSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQVS >itb09g04590.t1 pep chromosome:ASM357664v1:9:2571418:2577064:-1 gene:itb09g04590 transcript:itb09g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNGAPNPNSNPSKPERPPLLKKSRTISEHTRAAHFPGPLFPAVRRVSSSPPSPVASTSASDFSSSASSATSPASSDQSFGFGDRDYVYPSFLGPYTARSRVTVTSASKSQRHEQKPPKFPARSTSMPSNTSGRAAAAAADVEIDYPAGSPKVKLEPKPRLKAEKELNPFQIQVPTSSVSPTASSAKSISIRKNLALISSWILVLLKFMCIVFVSHTVYLWSMVAKLQEENASLRKLCKHTDTFNNDSIDGLELQNDTSLLYFGGADSRTLALYTVLFTLITPFMLYKYLGYFSRIMNLSRRTKVNKEGVPLKKRIAYTVDVCFSIYPYAKLLALLFATLFLIGYGGLALYAVSDKNFTESLWLSWTFVADSGNHADMAGIGPRIVSVLISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILILGWSDKLGSLLKQLAIANMSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLCFRDVLISFPDAIPCGVKDAADCGKIIINPDDSYVLKEGDEILVIAEDDDTYAPAPLPEVHRGIFPRMTDPPKFPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVIEKDRERKLTDGGLDITQLVNIKLIHREGNAVIRRHLENLPLETFDSILILADESLEDSIVHSDSRSLATLLLIRDIQSKRLPYKNSKSVPLRHSAFSQSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIKPAEFYLYDQEELCFFDIMVRGRQRREIVIGYRLAATERAVMNPANKLEPHKWSIDDVFVVISSGE >itb15g13330.t1 pep chromosome:ASM357664v1:15:11313426:11315003:-1 gene:itb15g13330 transcript:itb15g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEPPSKSGTTLPLHTPPQLQKKKRRRSGKKTPQLHAPRSGTVVTTPHRRSCRQKLTKQPLNLKALKKGCRGADVSLPPEKILLKWMTYDRNGF >itb06g18320.t1 pep chromosome:ASM357664v1:6:22019504:22020178:-1 gene:itb06g18320 transcript:itb06g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2G [Source:Projected from Arabidopsis thaliana (AT5G18450) UniProtKB/Swiss-Prot;Acc:P61827] MEESNGDSTINVRVPIGKSDVVPGKPANTGPVKKSNVGRSRKGCMRGKGGPENALCTFRGVRQRTWGKWVAEIREPNRGARVWLGTFNTALEAARAYDDAARRLYGPSAKLNLLDDASSPGSATGGGAGFNIGDEHYLSSSPGSSATATSEMSEGKEWYAEECSVLDEASVFRDRDGKYLLWETPAPSLLDEENNRGWPEFPKDNNGFHCADGSLVTRETYAYM >itb04g32780.t2 pep chromosome:ASM357664v1:4:35202517:35208073:-1 gene:itb04g32780 transcript:itb04g32780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGNSWKLNDHPKLPKGKTIALIVLDGWGEAKPNEYNAIHVAETPTMDSLKQGNPDTWRLVKAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYEGDGFKYIKESFETGTLHLIGLLSDGGVHSRLDQLQLLLKGAAERGAKRIRVHALTDGRDVLDGSSVGFMETLENDLAKLRENGIDAQVASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFRNPVEAIKKLREAPKATDQYLPPFVIVDENGKAVGPIVDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNADMEEYVEIPSDVGITFNVQPKMKAIEIAEKARDAILSRKFDQVRVNIPNGDMVGHTGDIAATIEACKAADIGVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKKGEPLLDKTGNIQILTSHTCEPVSTTLLQFEPNMEVTNTQF >itb04g32780.t1 pep chromosome:ASM357664v1:4:35201779:35208073:-1 gene:itb04g32780 transcript:itb04g32780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGNSWKLNDHPKLPKGKTIALIVLDGWGEAKPNEYNAIHVAETPTMDSLKQGNPDTWRLVKAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYEGDGFKYIKESFETGTLHLIGLLSDGGVHSRLDQLQLLLKGAAERGAKRIRVHALTDGRDVLDGSSVGFMETLENDLAKLRENGIDAQVASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFRNPVEAIKKLREAPKATDQYLPPFVIVDENGKAVGPIVDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNADMEEYVEIPSDVGITFNVQPKMKAIEIAEKARDAILSRKFDQVRVNIPNGDMVGHTGDIAATIEACKAADIGVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKKGEPLLDKTGNIQILTSHTCEPVPIAIGGPGLTPGTRFRRDVATGGLANVAATVMNLHGFEAPSDYETTLIEVVDN >itb15g12080.t2 pep chromosome:ASM357664v1:15:9990077:9992314:1 gene:itb15g12080 transcript:itb15g12080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNLKLLSGTECCERLAYYGISINLVSYLTKYLHEGNASAATNITTWAGTCYLTPLIGAFLADAYWGRYWTIAAFSTIYFIGMCTLTLSASVPALRPAGCEGSVCPSATPAQNAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERPKKGSFFNWYFFSINIGALASSTIIVWIQENAGWGIGFGILTLSMAIAIASFFLGTPLYRFQKPGGSPLTRMCQVLVASFRKRNLAVPTDNTLLYEAIEGSRKLLHTDELRCLDKAAVISDAELKSGEYCDSWRLCTVTQVEELKRLVQLFPIWATGVVFYAVLAQLPTLSIEQGMTMDTTIGSFNIPAASLSSFNIISTLVWIPIYDRVLVPVAKKITGTERGFSDLQRMGIGIFILILCMLGATLVETKRLELARDLGLVNEGSAVPMSILWQVPQYFLMGAAEVFTSIGLIEFFYDQSPDSMRCLWTALALLTTSLGAYLSSFMITVVTSVTGWIPDNLNQGHLDYYFLLLAFLGFLNMVAYLICSKLYKSKQVS >itb15g12080.t1 pep chromosome:ASM357664v1:15:9987938:9992422:1 gene:itb15g12080 transcript:itb15g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTHQEDTLLLEDHLLPQTQNCKSYAGDGSVDIKGNPVLKANTGSWKACPFILSTECCERLAYYGISINLVSYLTKYLHEGNASAATNITTWAGTCYLTPLIGAFLADAYWGRYWTIAAFSTIYFIGMCTLTLSASVPALRPAGCEGSVCPSATPAQNAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERPKKGSFFNWYFFSINIGALASSTIIVWIQENAGWGIGFGILTLSMAIAIASFFLGTPLYRFQKPGGSPLTRMCQVLVASFRKRNLAVPTDNTLLYEAIEGSRKLLHTDELRCLDKAAVISDAELKSGEYCDSWRLCTVTQVEELKRLVQLFPIWATGVVFYAVLAQLPTLSIEQGMTMDTTIGSFNIPAASLSSFNIISTLVWIPIYDRVLVPVAKKITGTERGFSDLQRMGIGIFILILCMLGATLVETKRLELARDLGLVNEGSAVPMSILWQVPQYFLMGAAEVFTSIGLIEFFYDQSPDSMRCLWTALALLTTSLGAYLSSFMITVVTSVTGWIPDNLNQGHLDYYFLLLAFLGFLNMVAYLICSKLYKSKQVS >itb08g03850.t1 pep chromosome:ASM357664v1:8:3119890:3120222:-1 gene:itb08g03850 transcript:itb08g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSKTLTSPIAKILGFTFRTKPKSSITATNLSTDCSRLQLLSHPSSAQPPSQNLQSSIASALNSGSLLCLCLDEFHSTTKFPLSLVHLLSLLLIRISLLVVIDWSSGN >itb10g12710.t1 pep chromosome:ASM357664v1:10:18809905:18810732:-1 gene:itb10g12710 transcript:itb10g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKSKRRWTNFLLPRKFCSVFSYAFGSRASSRCESTEMESMSRDHEGGDSSGGGGGRGRASKIARIRSKWRKRDDEENTGSRFSALFGLGKKDSEFGFNTWKISPVNKVGTSEDSFAAAGDHREIQTPEFNRKTEEEDEGLELCKKRILMGERCRALSSSGSLHYDGNGVLLPEFLP >itb07g22740.t1 pep chromosome:ASM357664v1:7:27264766:27266034:1 gene:itb07g22740 transcript:itb07g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILLRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAGWDAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKSCKGMLCLISTKDFRLKHDEYLVYDILIWNPSIRKAEVLPSVTVPYRAPCDAYVYNHFGFGISNNMTWKVVILLDIRSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAVGYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLYTISIMNETIALLVGNSKNFVCIDIWLMIENDDNTNWRYQASIDCAQFNIYNNLSAWTPIGIWNVDGELLAFVDFDWDGLESDHVGVPYFVSLDLVTQERKVFSLSKERKSITMASNSTTGHFQVYNERNIDILEEWKHNIFDREEIYARVYYESLHSP >itb11g04040.t1 pep chromosome:ASM357664v1:11:2153178:2156970:-1 gene:itb11g04040 transcript:itb11g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRVPNMAPNWHDFALQKPPPPPAAAAAPSWVDEFLDFSSAKRGSHRRSISDSIAFLESPMVEECRRLSAPSAGGSGGMNINAAEFERFDDEQLMSMFNDDIATSIGPNSNPSSPSDHNSINEDTKMISASDHHLQHPAQELKTEPEEVQSSCKSDDQTAAADNSSEKIVDPKRIKRILANRQSAQRSRVRKLQYISELERSVTTLQAEVSVLSPRVAFLDHQRLVLNVDNSVLKQRIAALAQDKVFKDAHQDALKREIERLRQIYYQQNLKKMEDGTPPQPPPAETNGGASEKEEQLAN >itb06g13920.t1 pep chromosome:ASM357664v1:6:18573873:18575135:-1 gene:itb06g13920 transcript:itb06g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFFVSLLLISLLNTTPFSYGVETICGNKYITQNTTISSPNGRFELGYFKPALSSNYYVGIWYKNISPITVAWVANRVTPIPSAAIHSSKLRILEVDLVLLDGAQNLVWSTNVTAAKLSSVLATLRDDGNLVLSGVNSKTPLWQSVEHPTDTFLPGSKFGYNKRTKTKQVLTSWKTPDDPSPGLYSLDNDGNAAFQLWNGTDEYWNSGPKFGSGILRTLKPSPAFNFTIVDNENESYFSYSIIESVISRGLLDVNGQYKCQTWLEPTKEWADIILVPPQQCDVYAYCGPFSICNDNSSLLCACLYGFSEKQRGLNQFFQGCVRKTRLRCEEMERFRMYTNMRLAGHPHNTTAGSEAECESICQKNCSCTAYAYSNSSGYCSIWVGQLFNLKQLGKDDSNGSTIYVRLAPSEFPNIKGN >itb04g05410.t2 pep chromosome:ASM357664v1:4:3508193:3511226:-1 gene:itb04g05410 transcript:itb04g05410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEETSKNNKRPQVVKLDRALKLAEQWVTNMGKSSDDDKPNGAILESRPPRLGIGAIVPRESKHVRSNDPIERKLRATLNAKERKAERRADERAISANDKRVDEDSDDDESESKTKAFSKKRPAVFPSPLNPKKKHK >itb04g05410.t1 pep chromosome:ASM357664v1:4:3508193:3511226:-1 gene:itb04g05410 transcript:itb04g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEETSKNNKRPQVVKLDRALKLAEQWVTNMGKSSDDDKPNGAILESRPPRLGIGAIVPRESKHVRSNDPIERKLRATLNAKERKAERRADERAISANDKRVDEDSDDDESESKTKAFSKKRPAVFPSPLNPKKKHK >itb10g12460.t1 pep chromosome:ASM357664v1:10:18549335:18554790:1 gene:itb10g12460 transcript:itb10g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSIDIATTFLGIAKRQRAPIRGLRATLLPQLWRTDGARSRFFLTTSASSVRSGIISAATLSYSPKSRRFSRRFTASAATTSTPQSEDSDVLTKIPPDNRIPATIITGFLGSGKTTLLNHILTANHGKRIAIIENEFGEVDIDGSLVAAKTAGAEDIMMLNNGCLCCTVRGDLVRMIAELVSKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAGLHLDEVKPEGVVNEAVEQIAYADRIIVNKTDLVGEADVSSLIDRIRRINQMAHMKKTQYGKVDLDYVLGIGGFDLERIESAVDTEKHHDHHHSHDHTHDPGVSSVSIVCEGILDLEKANIWLGTLLMERSEDIYRMKGLLSVQGMDERFVFQGVHDIFQGSPDRPWLPDEPRINKVVFIGKNLDSKELEQGFKACLL >itb01g07880.t1 pep chromosome:ASM357664v1:1:6230005:6235537:-1 gene:itb01g07880 transcript:itb01g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MSQSTQRMLREVKKFADLHYTLFTKRYGHQIRDILEFPVKVVLTPFTLPFDIAGSAQRGFGVPELISKLSYCTVFAIATIGTYDIALELGKKLVCTSDCGACNGWKALQCTMCKGSGMVQYQVKDYRLRRGEKPTAESIADAIADNRAELVHFPSVVDLHLPLPYKDCPNCDGTGSMMCPECKDKLPIKISIDNIMEPPWIPYNIMRKMDYPYEHIVDSMKNPSIAEFWLITLPQVVGGFEYDDDVKRKIWWQYKESMRYDQLRDEVAKRKPGWEYLQEALISIDPARARDDPVIVKNIPYYRAKKALETEVMKLHPPPRPQNWGELNLPLNASSWSEEDLKDPKTLYEMTVLLNAQREIAEKMLDAQWEANWREEKLNKMLEEKVQPYIQKIDNGVLSTPIVITRQNVTRKKKRWWFF >itb10g15930.t2 pep chromosome:ASM357664v1:10:22182040:22190151:1 gene:itb10g15930 transcript:itb10g15930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSTNRVYSGPSFGSRVSFLMLSMFAAMASLYVAGRLWQDAESRVYLITELDRRTGQGHSSISVDDTLKLIACREQQKRLGALHMELEKAKQEGFVSNRVPDNSGNHSKKKLLAVLGIITKFDRRKNREAIRRAWMPTGSALKKLEEENGIVVRFVIGRSENRGDHSDRDIEDENRHTNDFIILNDHVESPEEQAKKTKLFFIQAVENWEAEFYAKVNDDVFINLDAVGAVLASHMDKPHAYIGCMKSGEVFSKPGHKWYEPDWWKFGDGKSYIRHASGEIFAISKALAQFISINR >itb10g15930.t3 pep chromosome:ASM357664v1:10:22182052:22188473:1 gene:itb10g15930 transcript:itb10g15930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSTNRVYSGPSFGSRVSFLMLSMFAAMASLYVAGRLWQDAESRVYLITELDRRTGQGHSSISVDDTLKLIACREQQKRLGALHMELEKAKQEGFVSNRVPDNSGNHSKKKLLAVLGIITKFDRRKNREAIRRAWMPTGSALKKLEEENGIVVRFVIGRSENRGDHSDRDIEDENRHTNDFIILVCAISTCYVLWLSVLRPWHSCMAKPE >itb10g15930.t4 pep chromosome:ASM357664v1:10:22182100:22183787:1 gene:itb10g15930 transcript:itb10g15930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSTNRVYSGPSFGSRVSFLMLSMFAAMASLYVAGRLWQDAESRVYLITELDRRTGQGHSSISVDDTLKLIACREQQKRLGALHMELEKAKQEGFVSNRVPDNSGNHSKKKLLAVLGIITKFDRRKNREAIRRAWMPTGSALKKLEEENGIVVRFVIGRSENRGDHSDRDIEDENRHTNDFIILVCAISTCYVLWLSVLRPWHSCMAKPGKIFKKIIK >itb10g15930.t1 pep chromosome:ASM357664v1:10:22182040:22190151:1 gene:itb10g15930 transcript:itb10g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSTNRVYSGPSFGSRVSFLMLSMFAAMASLYVAGRLWQDAESRVYLITELDRRTGQGHSSISVDDTLKLIACREQQKRLGALHMELEKAKQEGFVSNRVPDNSGNHSKKKLLAVLGIITKFDRRKNREAIRRAWMPTGSALKKLEEENGIVVRFVIGRSENRGDHSDRDIEDENRHTNDFIILNDHVESPEEQAKKTKLFFIQAVENWEAEFYAKVNDDVFINLDAVGAVLASHMDKPHAYIGCMKSGEVFSKPGHKWYEPDWWKFGDGKSYIRHASGEIFAISKALAQFISINRSILRIYAHDDVSAGSWFIGLNVKHVDEAKFCCSSWSSGSVCGAV >itb13g24740.t1 pep chromosome:ASM357664v1:13:30347069:30347977:-1 gene:itb13g24740 transcript:itb13g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEESGRSMDISIKDLSKQLEDFAKVRDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVEKGLPNWEESDREHLGEELSDVLLYLIRLADICGIDLANAATKKIIKNSIKYPPPPPPNNL >itb08g00360.t1 pep chromosome:ASM357664v1:8:323567:326510:1 gene:itb08g00360 transcript:itb08g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRQETVLVTGGAGFIGTHTVVQLLDEGFIVWIIDNLHNAVEEAVQRVRELVGPQLSQNLHFRLGDIRNKDDLEKLFSQTKFDAVVHFAGLKAVGESVAHPFRYFDNNLIGSITLYSVMEKYNCKKLVFSSSATVYGQPEKIPCLEDFQLKAMNPYGRTKLVLEDIARDIQKADPEWRIILLRYFNPVGAHPSGKLGEDPIGIPNNLMPYIQQVAVGRLPELNVYGYDYPTPDGTAIRDYIHVMDLADGHVVALHKLLKQDNIGCVAYNLGTGEGTSVLEMVAAFEKASGKKIPIKLCPRRAGDATAVYASTQKAEKELGWKAKNGIDEMCRDQWKWASQNPWGYQSQP >itb10g25350.t1 pep chromosome:ASM357664v1:10:28714130:28717879:-1 gene:itb10g25350 transcript:itb10g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKRQASWLKEQVTRQQHAVLKQFGAGGYGGSNHIVTDEAELLQYQKLERLYLSTRTAKHLQRDIVRGVEGYVFTGSKQVEIGTKLSEDSKKYGSENTCTTSSTLSRAALIFSRARAQLENERGVLLKSLGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEALAVEVSKRQAKLREGMGNPDVAMKLEAAEAKLQDLKSNMTILGKEAISAMAAVEAQQQRLTLQRLITMAESERAYHHRVLQILQHLEGEMRLERERIEAPSSVDSVPPPPSYEEANGVFTSPMQNGSTDSMDYFLGEAMYSYHAESDVELNLSVGDCIVIRKVSNNGWAEGECKGKAGWFPFSYIERRERVLASKVIEVL >itb03g18410.t1 pep chromosome:ASM357664v1:3:16698587:16708127:-1 gene:itb03g18410 transcript:itb03g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNKYDLTSSSPDRPLYGSAQRGSYGASNMENPILSSLPNMTRSSSGATQGDVVKFFQCLRIDPKAMVTEHKLKQIDFKRLTSLALGVPLDDSPSVSSKGKTLSSSSPEDVRRLKLGLRESCSRARERGKIFYESSSVFQKCFPNVPSRKRSRSDVLSNDRNSALFSTERLASGTGISKMGTQSHSNMGGFEVEQQKSDERAKTAIPSKRTRTSMTDVRPNTPARPSGNLDRDRDLLRLPNSNTVQGEDRTPTSIAMEGWEKSRMKKKRSGIKPDASVNSAATKPIDGYREPKQGMQPRLLADARSRLSENHSFRHGTNTGGIGLGKADATSPQASIAVRSSFSKAEQDNGLLLHDRRDRPIGSEKEKLNVRAVNNTSKATAREDLSSGSPTSSSKLNGAARAPRSGAGVAPKLAPLVQRAAAANDWEVSHCTNKLPLTSAAGNRKRTASARSSSPPVGQWASQRPQKSSRTARRTNFPIVSNNGETPAPDNSAEVAGNERRLSGSSPQQLKLKSDNFSAASESEESGVAETKSKDKSKRSDEVDGKAGLNVQKMSNLLLPPRKNKLIGGDEHGDGVRRQGRSSRSFTSSGSLMPLTVEKLGNVGTAKQLRSSRNSFDKTESKAGRPPTRKLSDRKAYKRQKQSTINAAADFLVGSDDGQEELLAAANDVTNTAQALSSPFWKRMESLFRFISDIDIAFLNQQINGRNAVGTATPVTTEAGKLNMFPNGFEGDGGESRTAEFSAEHEASGKMKSAEVSLYQRLMAALIPEAAGEELSYTGTEDPKFNVYQPTFDLDMDSELDMFHTRTLYSSDSRHPASNGYRKNANGNSFDETDHSLDSKITPVTETQFLSDYNHSQNGLLPDKAMMSDVLCTDYQYNKMSINERLLLEIQYIGIYPEPDTGMTQSGGEEISGDISRLDQKHQELVSEKNGMLHKLLNYADKTRVCQEKDFEQLALDKLVEMAYKKYMACCGRNAHGMKSGKIAKQAAIAFVKRTLERYQEFEETGKSCFTESLFKDMFLSGISHLGDGQIDSITDGEPGSSGEARASASLGKQQSPSSNQEIFAEGNVAFEVNRVKRRELFLDDVGNKIGTSGIGSSLSSTAKGKRSERDREGKGNNREVLSRNGSTKIGRPASGNVKGERKPKAKPKQKTTQLSTSLNGLVGKIPEQSKPTGPSIAKASEFSSNGTPKDKNDSNSEELEDPIDLSGLQLPGMDVLGVPDDLGGQGQDIGSWLNFDDDGLQDHDFMGLEIPMDDLSDLNMIV >itb03g18410.t2 pep chromosome:ASM357664v1:3:16698587:16707346:-1 gene:itb03g18410 transcript:itb03g18410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNKYDLTSSSPDRPLYGSAQRGSYGASNMENPILSSLPNMTRSSSGATQGDVVKFFQCLRIDPKAMVTEHKLKQIDFKRLTSLALGVPLDDSPSVSSKGKTLSSSSPEDVRRLKLGLRESCSRARERGKIFYESSSVFQKCFPNVPSRKRSRSDVLSNDRNSALFSTERLASGTGISKMGTQSHSNMGGFEVEQQKSDERAKTAIPSKRTRTSMTDVRPNTPARPSGNLDRDRDLLRLPNSNTVQGEDRTPTSIAMEGWEKSRMKKKRSGIKPDASVNSAATKPIDGYREPKQGMQPRLLADARSRLSENHSFRHGTNTGGIGLGKADATSPQASIAVRSSFSKAEQDNGLLLHDRRDRPIGSEKEKLNVRAVNNTSKATAREDLSSGSPTSSSKLNGAARAPRSGAGVAPKLAPLVQRAAAANDWEVSHCTNKLPLTSAAGNRKRTASARSSSPPVGQWASQRPQKSSRTARRTNFPIVSNNGETPAPDNSAEVAGNERRLSGSSPQQLKLKSDNFSAASESEESGVAETKSKDKSKRSDEVDGKAGLNVQKMSNLLLPPRKNKLIGGDEHGDGVRRQGRSSRSFTSSGSLMPLTVEKLGNVGTAKQLRSSRNSFDKTESKAGRPPTRKLSDRKAYKRQKQSTINAAADFLVGSDDGQEELLAAANDVTNTAQALSSPFWKRMESLFRFISDIDIAFLNQQINGRNAVGTATPVTTEAGKLNMFPNGFEGDGGESRTAEFSAEHEASGKMKSAEVSLYQRLMAALIPEAAGEELSYTGTEDPKFNVYQPTFDLDMDSELDMFHTRTLYSSDSRHPASNGYRKNANGNSFDETDHSLDSKITPVTETQFLSDYNHSQNGLLPDKAMMSDVLCTDYQYNKMSINERLLLEIQYIGIYPEPDTGMTQSGGEEISGDISRLDQKHQELVSEKNGMLHKLLNYADKTRVCQEKDFEQLALDKLVEMAYKKYMACCGRNAHGMKSGKIAKQAAIAFVKRTLERYQEFEETGKSCFTESLFKDMFLSGISHLGDGQIDSITDGEPGSSGEARASASLGKQQSPSSNQEIFAEGNVAFEVNRVKRRELFLDDVGNKIGTSGIGSSLSSTAKGKRSERDREGKGNNREVLSRNGSTKIGRPASGNVKGERKPKAKPKQKTTQLSTSLNGLVGKIPEQSKPTGPSIAKASEFSSNGTPKDKNDSNSEELEDPIDLSGLQLPGMDVLGVPDDLGGQGQDIGSWLNFDDDGLQDHDFMGLEIPMDDLSDLNMIV >itb06g12590.t1 pep chromosome:ASM357664v1:6:17244498:17246590:-1 gene:itb06g12590 transcript:itb06g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLHFLTKCRSSKQLKQTHLQIITNGFKDSNFLVPKFISQSAGLISLDYAVRAFLSVCNPTTFVCNALIKCFIGKTHKDALSTYNQMRASMISPNSFTFTFLLRCHECFDAFEDGTAVHCHIVKLGFESSVFVQNTLLDFYAKCCEDLEMARKLFEVMPERDVVSWNTMIQAYMTYGEMASAFCLFESMPERNVVTWNSVISGIVKAGDIDLAHSVFQRMPQRSDVSWNTMVSAYINSGDLRTAQAIFDDMPAKSVASWTIMVSGHSKLGDLESARRVFDQMPIKNVVSWNAMISGYVHNHMFNEALSVFHHMLIDGKCNPDQSTLISILSASAHLGCHEQGKWIHSYIKRLKFDLAVPLGNALIDMYVKCGDMENAMSVFVNMSRSCVITWTTMIYGMAVNGHCREALNLYDKMCSEGLKPDGVLFIAVLSACVHGGLLEESKRVFEQMVHKFGIKPRIEHYGCMVDLLGRAGCLEEAMSFVETMDLEPNAIIWATLLSACKIHRNGELLESLSKKIMEKEPDNPSYLTLITNLSSSVGRWQDTLNFRTATRHQGKEKLPGCSSIQIGNSVNEFLAKDTRHTQREEIYGVLVILNGHLMSSHDSERKAIMGMAFD >itb10g24240.t1 pep chromosome:ASM357664v1:10:28173050:28174810:-1 gene:itb10g24240 transcript:itb10g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSPPLHLYFLPMLAPGHMIPMVDLARQFARQGVKATLFTTPLNAAQISKPIDRERELGSQITIRLLEFPYKESGLPEGIENLSATTTMEQTLSFFNALDHFQGPIERLAEEDRPDGFISAPMYTWGNDFAAKFGIPRLSFWGTGFFPLCVHNSLRRHKPHEKIESDTEEFVIPDLPDTLKTTKQQLPESLKDDSVNPLKEYLEKLMKGEEFSYGMVVNSFYELEPAYVKHCREVIGKKSWHVGPVSLCNKEDGEKSQRGQAASIGEEECLNWLNSKTPNSVVYVCFGSMAIFSAAQLREIAAGLEASGQPFIWVVKQNNKEEEMKEWMPEGFEKRTQDRALIIRGWAPQVLILDHKAVGGFVTHCGWNSLLEGITAGVPMVSWPLSAEQFFNEKMVTQILKIGVPVGAQAWTKRTDSRAPINRENIEAAVRELMIGEEAEERRSRSIALGNMAKKAVEPGGSSDVDLSSLLEELRKNRNKN >itb02g03040.t1 pep chromosome:ASM357664v1:2:1748090:1750182:1 gene:itb02g03040 transcript:itb02g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKNSRKQLGRILLKGDNITLMMNTGK >itb01g07160.t2 pep chromosome:ASM357664v1:1:5372395:5378639:-1 gene:itb01g07160 transcript:itb01g07160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRLPLCNNRFPPLNSLPPPPSPPCRLTTVSLHKASVPQKAFITTGFSVALSLGLLISPPSIASEFSSDRSSAVSLVQTNEEEQLDCREDEQELSAAAAEHEVASNEGIVSEVWQIVNDSFLNTSRRSWSPEIWRSKKEDILSTSIQSRPRAHDIIRRMLASLGDPYTRFLSPAEFSKMARYDMTGIGINLREVPGDNQDVKLKVLGLLLDGPAHSAGVRQGDELLSVNGMDVKGKSAFEASSLIQGPSGTIVNIMVKHGKCGPVQSIDVERQSIARTPVFYRLEQIENGSTLVGYVRLKEFNALARKDLVTAMKRLQGMGASSFVLDLRDNLGGLVQAGVEIAKLFLNEGETITYTVGRDPQYTRSIVAEAPPLITTPVIVSNYSLSRVTNFWLYGI >itb01g07160.t1 pep chromosome:ASM357664v1:1:5370749:5378639:-1 gene:itb01g07160 transcript:itb01g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRLPLCNNRFPPLNSLPPPPSPPCRLTTVSLHKASVPQKAFITTGFSVALSLGLLISPPSIASEFSSDRSSAVSLVQTNEEEQLDCREDEQELSAAAAEHEVASNEGIVSEVWQIVNDSFLNTSRRSWSPEIWRSKKEDILSTSIQSRPRAHDIIRRMLASLGDPYTRFLSPAEFSKMARYDMTGIGINLREVPGDNQDVKLKVLGLLLDGPAHSAGVRQGDELLSVNGMDVKGKSAFEASSLIQGPSGTIVNIMVKHGKCGPVQSIDVERQSIARTPVFYRLEQIENGSTLVGYVRLKEFNALARKDLVTAMKRLQGMGASSFVLDLRDNLGGLVQAGVEIAKLFLNEGETITYTVGRDPQYTRSIVAEAPPLITTPVIILVNKNTASASEIVATALHDNCRAVLVGERTYGKGLIQSVFELQDGSGVVVTIGKYVTPNHMDINGNGIEPDFRNLPAWNEVSKHLSKCHKPKDG >itb11g10190.t1 pep chromosome:ASM357664v1:11:6921647:6923784:-1 gene:itb11g10190 transcript:itb11g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEDDARYPPNTCRASDDYGSSDNQKRPAWSGPDPRHVGDQYGEDEQDDEDSDDEAEYDDNMGNGVQPIVKDEDSDSDDEDDYDTDSGDTQKNQKKRKLKSLLSSYEFVPRAPAAAAGVAPPKPSVGGRNPLADWSEHETFILLDAWGDRFLKQGRKSLRSEEWQEVAKKVSQESKIKRTDTQCRNRLDTLKKKYKKEKMKLAETRSVTGRWVYFRKMDLLLSSNLSSNQQQQGLSCGVDSGEYVFTNPKSHLSRANELDEMRDSPGISVSADAEDNSEGLPPKRTKKGKTGADGSSFKLLADSIHKFSEIYEKIEDSKRQQMLELEKMRMDFHRELEAQKRQILERAKAEIAKIRQGDYEENNGSAENASG >itb01g04110.t1 pep chromosome:ASM357664v1:1:2714325:2717817:-1 gene:itb01g04110 transcript:itb01g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDGAAAAAIRWSALTVILVLSFLIQPSISIYCDEDDCYDLLGVSQNANASEIKKAYYKLSLKYHPDKNPDPESRKHFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLILSSFQYLNQWTRYKQAIDMVKKTPAYKNKLRALELERSGGVTSKKKSAKQLDKKVDEDLSKELNLQIKGAEKPSIWGLLGIRFLLLPYTIGKLLLWHGCWFWRYNIKRAPYSREDASYLTRSSLGVSLDSWEYIDESTKEDLIQRQLWEKSNLESYLAEMRKESKRRR >itb01g07430.t1 pep chromosome:ASM357664v1:1:5867322:5868828:-1 gene:itb01g07430 transcript:itb01g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDQMSHISRTAINDEQIAHPTWITLKFSITSCLGGRSARIGQDPFRSFGKLKEQAFQEVLFQWGIKDEVEKITARLDNMKGYVEDSGKGKQDSKVAESWATQLRDTSLEVEDLVEEFMLDSKLVELNTPPCNFCEVKSLFANVKSLVERVKIQYCFHQQLKAMDEKLLALQTDKSNYGIKLKTNDERNELPMGSGSGYMEGMEAVGIDMEVNRSSEVDHNLGSWRLWENYFG >itb11g04500.t1 pep chromosome:ASM357664v1:11:2356132:2356924:-1 gene:itb11g04500 transcript:itb11g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLSIAVVLTLSVVTSIPVAESSSSPMYNDNHRFVQQPPLMSMVHGNHATPGRPRKCSGRLIGDCVSEDEEMAMESGMSRRVLGQTKYISYAALAANSIPCNARGRSYYNCYTHQKVNPYSRGCSMITHCARGG >itb05g18130.t1 pep chromosome:ASM357664v1:5:24952014:24955077:-1 gene:itb05g18130 transcript:itb05g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPTGFLRFLLGVLACFCISMVEAEDPYRFYNWVVQYGTISPLGVKQRGILINGQFPGPEINVITNENVIVNVINKLDEPILFTWNGIKQRKSSWTDGVLGTNCPILPNTNWTYRMQMKDQIGTYSYFLSTQLHRAAGGYGALNIIRRSVIAIPYPEPSGVYTLIVSDWWNTDHKQLQKQLNTGKPFPSPNGLLINGRPNSVTFTGKRGSTYMVRVSNVGMTTSINFRIQNHKLKLVEVEGSHTMQDQFDSFDIHVGQSAAFLVTLNADVKDYYIVASSRFVKPNITASAILHYDGSVTKVPGNLPDPPAGQYHWSMRQARTLRWNLTANAARPNPQGSYHYGTIPITRTLVLANTKENIGGVTRYAVNKVSYVNPTTPLKLADWLNIPGIFTVNAIKDTPPAGTAVHGVSVFGLELHDFVEIIFQNNEKTLQSYHLDGQDFWAVGYGGGVWDPSLRKKYNLVDATTRYTVQVYPNSWTAILASLDNKGMWNLRSSVWPRNYLGQQVYLRVWNNEHSLYTENDMPPDTLLCGKAKLS >itb09g00640.t1 pep chromosome:ASM357664v1:9:449061:450830:-1 gene:itb09g00640 transcript:itb09g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFFKLCFAAFLTAAVAIGTTHGLTIISGTVFCDQCKDGKVSLFDYPVYGIKVAMACPDGNGQMTTRGEEATNWLGGFNMRFDGTQDLSNCFVQVSGSSQPSATCGAGGGPAKPLKLMFRMFDMEMYAVEPLISQPAQPMSFCPSSSVPAPITPSPVTPALPPLPSLPPMPPSPFLEASACPYQKWMMPEYRCYWKVLSPDAKVGVVFGLLAARKYGTDMTLWEGMTGRGEPYRTLLREGTTALLNSYNTLLFPFHPLGVVERMNWALMGSTQQVLHTALSFMRANSGTSQPTSTCRFTSCTTNS >itb08g07040.t1 pep chromosome:ASM357664v1:8:5999438:6001155:1 gene:itb08g07040 transcript:itb08g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSWVMGMVVVISLPFILFSILLGFGCYFLGRARGRQDLRTNAQVFGVPAPPPGSAAPPSVSAAHAVKPDDPVNVV >itb08g16300.t1 pep chromosome:ASM357664v1:8:18480488:18481919:-1 gene:itb08g16300 transcript:itb08g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSSRSRQSSDGVSRISEDQIADLVSKLQRLIPEIRNRSSDKASASKVLQETCNYIRRLHREVDDLSERLSELLESTDSDSAQAAIIRSLLM >itb11g11780.t3 pep chromosome:ASM357664v1:11:8661409:8666620:-1 gene:itb11g11780 transcript:itb11g11780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDAAAAGQSALGGNRSFRANPADAAHDAVDAFLKSRGYQGLFSQIELSLSASNLSDRDVLSKSDPMAVVYTKGKDGSLQELGRTEVVLNSLNPKWITKFTMTYQFEMVQNLVFHVYDVDTHIHHQDVKMLKLEEQDFLGEASCTLSAIVTKPSRSITLDLLDREKSSATTHAQRFGKLTICAEESVTSKTTVELIFRCSDLESRDLFSKSDPFLVVLKTTEGGQAVPICKTEVLKNNHSPKWKPVYLSIQQVGSKDSPLTIECYDFNSNGRHDLLGKVQKSLSELEKLHASRAGANFFIPTTVGTSHQNKVIKSQLFVEKFSEKIQHSFLDYLASGYELNFMVAIDFTASNGNPRLSDSLHYIDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTSYCEVEGIQGIMMAYTNALFNVSLAGPTLFGPVINAAAQIAGESATRKEQKYYILLIITVCLFTTGLLVSILLAFS >itb11g11780.t2 pep chromosome:ASM357664v1:11:8660874:8666614:-1 gene:itb11g11780 transcript:itb11g11780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDAAAAGQSALGGNRSFRANPADAAHDAVDAFLKSRGYQGLFSQIELSLSASNLSDRDVLSKSDPMAVVYTKGKDGSLQELGRTEVVLNSLNPKWITKFTMTYQFEMVQNLVFHVYDVDTHIHHQDVKMLKLEEQDFLGEASCTLSAIVTKPSRSITLDLLDREKSSATTHAQRFGKLTICAEESVTSKTTVELIFRCSDLESRDLFSKSDPFLVVLKTTEGGQAVPICKTEVLKNNHSPKWKPVYLSIQQVGSKDSPLTIECYDFNSNGRHDLLGKVQKSLSELEKLHASRAGANFFIPTTVGTSHQNKVIKSQLFVEKFSEKIQHSFLDYLASGYELNFMVAIDFTASNGNPRLSDSLHYIDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTSYCEVEGIQGIMMAYTNALFNVSLAGPTLFGPVINAAAQIAGESATRKEQKYYILLIITDGVITDLEETKDAIIRASDLPLSILIVGVGGADFKEMEILDADKGDRLENSFGKVASRDIVQFVPLRDMQSKSTL >itb11g11780.t1 pep chromosome:ASM357664v1:11:8660391:8666620:-1 gene:itb11g11780 transcript:itb11g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDAAAAGQSALGGNRSFRANPADAAHDAVDAFLKSRGYQGLFSQIELSLSASNLSDRDVLSKSDPMAVVYTKGKDGSLQELGRTEVVLNSLNPKWITKFTMTYQFEMVQNLVFHVYDVDTHIHHQDVKMLKLEEQDFLGEASCTLSAIVTKPSRSITLDLLDREKSSATTHAQRFGKLTICAEESVTSKTTVELIFRCSDLESRDLFSKSDPFLVVLKTTEGGQAVPICKTEVLKNNHSPKWKPVYLSIQQVGSKDSPLTIECYDFNSNGRHDLLGKVQKSLSELEKLHASRAGANFFIPTTVGTSHQNKVIKSQLFVEKFSEKIQHSFLDYLASGYELNFMVAIDFTASNGNPRLSDSLHYIDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTSYCEVEGIQGIMMAYTNALFNVSLAGPTLFGPVINAAAQIAGESATRKEQKYYILLIITDGVITDLEETKDAIIRASDLPLSILIVGVGGADFKEMEILDADKGDRLENSFGKVASRDIVQFVPLRDMQSGEMSVVQSLLAELPSQFLAYVRAHPTV >itb07g19080.t2 pep chromosome:ASM357664v1:7:23537228:23541165:-1 gene:itb07g19080 transcript:itb07g19080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSAPISASQVGSYFVQQYYHVLQYQPDFVHQFYTDSSSIVRVDGDSSESASTLVHIDSLILSISFSGIKIKTINSIESWNEGVLVVVSGSVKPKDSCRWRNFVQTFFLAPQEKGYFVLNDVFHFGDEEVNDQPQPHEVPENNFDALQTAPSLPTASDYGLEEEAREYVSSVSLEGNGDADDYSYTELQHEHISEAETKQEETPLEEASALPQNLVESSEEPEPSIQEPVREPSKLSYASILRAPKGKPAPSVSIQPSFAKSAPPPPSEQKPAQQSDAALHTLLDTSPELADEGFSQEGESMSVYVRNLPSAISTLDIMQEFKSFGRIKQDGVFLRNRKEVGVCYAFVEFEDIQSVKNAIKVCAVPH >itb07g19080.t1 pep chromosome:ASM357664v1:7:23537228:23541165:-1 gene:itb07g19080 transcript:itb07g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSAPISASQVGSYFVQQYYHVLQYQPDFVHQFYTDSSSIVRVDGDSSESASTLVHIDSLILSISFSGIKIKTINSIESWNEGVLVVVSGSVKPKDSCRWRNFVQTFFLAPQEKGYFVLNDVFHFGDEEVNDQPQPHEVPENNFDALQTAPSLPTASDYGLEEEAREYVSSVSLEGNGDADDYSYTELQHEHISEAETKQEETPLEEASALPQNLVESSEEPEPSIQEPVREPSKLSYASILRAPKGKPAPSVSIQPSFAKSAPPPPSEQKPAQQSDAALHTLLDTSPELADEGFSQEGESMSVYVRNLPSAISTLDIMQEFKSFGRIKQDGVFLRNRKEVGVCYAFVEFEDIQSVKNAIKASPIQMGGRQVHIEERRGGSSSTLRGGGRITGRGRGGGRSGVRRNS >itb04g02740.t1 pep chromosome:ASM357664v1:4:1669502:1670833:1 gene:itb04g02740 transcript:itb04g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCSMCGDVGFPDKLFRCSKCHHRFQHSYCSSNYSEFSEPIQVCDWCQSEQRSSRHGGSSRKSAAAAGGDSGTKRSEYSGDKIKQHDHHDGCSERPPPAAKNPGGAPSPRPTTRRYKLLKDVMC >itb04g10110.t1 pep chromosome:ASM357664v1:4:9361449:9363862:1 gene:itb04g10110 transcript:itb04g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTVILAEYTEFTGNFTGVAAQCLQKLPPSNNRFTYNCDGHTFNYLADNGFTYCVVASEAAGKQLPIGFLDRIKDDFSKKYGGGKAAKAKANGLNKEYGPKLKEHMRYCVAHPEEINKLAKVKAQVNEVKGVMMGNIEKVLDRGEKIELLVDKTENLRSQAQDFKKQGTKIKQKMWYENAKIKLVVAAIIAILILIIFLAICPHFRC >itb04g05560.t1 pep chromosome:ASM357664v1:4:3615159:3617273:1 gene:itb04g05560 transcript:itb04g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARRLYEDPSVASRFDIRAWVVASQNHNKLQMLTDLLKSTDDIWSVEAWDSVKACFPDNGNNSRVLLTTRSAKVATIIGSNNKFSHQMQFLEEGESWNLFHEKTSKSVGSEFDMIGRQIVEKCKGLPLAIVVAAGLFSKLHTLDEWKNVAEILNSSATTTIDEECSRILSSSYNHLPHSLKACFLYLSIFPGDKEIHVREIVKLWVAEGLVKASSKDMSFGAVARRHIQELKDRNLILSLFLSGRNWRPRSTPIPNNNCIVSLKNLRKLHFLGGEWKLLEKESFDRLLYLQVNTSSLESWEAGACHFSSLERLVLVGCKRLEKIPAEFAEIPNLKSIELTGCLQSAVDSAKEIQREQHEQGNDNMVVIEEYTQEVHLSPLPFCYCLFYFTLIIEFHRILIYNLFSITCDSLTPALDDELEP >itb03g09180.t2 pep chromosome:ASM357664v1:3:7059020:7061734:-1 gene:itb03g09180 transcript:itb03g09180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYVASRRGERVVEFEGGGDAHVLDLDTAVKDGVLGGIAGGGFGGPVAFGEKMDLKKVIEEMDLGEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHLTCPTTMQELWDDTLTPNKTLYHLICTWFWQKYLQMKKRSEDVQGRALEILDTLKKVKGQARVQALKELHQVVSSHGTARKTVVDEGGITLLSSLLGPFTSHAVGSEVVAILVNLNLDSESRRNLMEPSKISLVVDMLNEGSIETKVNCTRLIKLLMEESDFRIEIVSSHSLLIALMRLVRDKRHPVVHLHGLQLLKLICSHKQVRSLVVSIGAIPQLMELLPGLFKYYTDHGETTYEGF >itb03g09180.t3 pep chromosome:ASM357664v1:3:7059020:7061684:-1 gene:itb03g09180 transcript:itb03g09180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYVASRRGERVVEFEGGGDAHVLDLDTAVKDGVLGGIAGGGFGGPVAFGEKMDLKKVIEEMDLGEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHLTCPTTMQELWDDTLTPNKTLYHLICTWFWQKYLQMKKRSEDVQGRALEILDTLKKVKGQARVQALKELHQVVSSHGTARKTVVDEGGITLLSSLLGPFTSHAVGSEVVAILVNLNLDSESRRNLMEPSKISLVVDMLNEGSIETKVNCTRLIKLLMEESDFRIEIVSSHSLLIALMRLVRDKRHPVVHLHGLQLLKLICSHKQVRSLVVSIGAIPQLMELLPGMNPECLELALFILDTLSSVPEGRLALKDCSNTIRTMVKLLMKVSESCTQYALSILWSVCKLSPEECSSVAVDAGLAAKLLLVIQSGCSPVLKQQSSELLKLCSLNYSDTIFISKCKLNRTIQ >itb03g09180.t1 pep chromosome:ASM357664v1:3:7059020:7061739:-1 gene:itb03g09180 transcript:itb03g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYVASRRGERVVEFEGGGDAHVLDLDTAVKDGVLGGIAGGGFGGPVAFGEKMDLKKVIEEMDLGEVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHLTCPTTMQELWDDTLTPNKTLYHLICTWFWQKYLQMKKRSEDVQGRALEILDTLKKVKGQARVQALKELHQVVSSHGTARKTVVDEGGITLLSSLLGPFTSHAVGSEVVAILVNLNLDSESRRNLMEPSKISLVVDMLNEGSIETKVNCTRLIKLLMEESDFRIEIVSSHSLLIALMRLVRDKRHPVVHLHGLQLLKLICSHKQVRSLVVSIGAIPQLMELLPGMNPECLELALFILDTLSSVPEGRLALKDCSNTIRTMVKLLMKVSESCTQYALSILWSVCKLSPEECSSVAVDAGLAAKLLLVIQSGCSPVLKQQSSELLKLCSLNYSDTIFISKCKLNRTIQ >itb15g02770.t3 pep chromosome:ASM357664v1:15:1753380:1756383:-1 gene:itb15g02770 transcript:itb15g02770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGSEEDNLSGPPANQSTAPPRGGNPYGGAGGGDRGGEPKGSGGGRGGAPQKVLPIEIPAMQLDELNRLTDNFGQEALVGEGSYGRVFSATLSTGEQVAIKKLDTSTTSEPDSDFAAQLSVVSRLKSDHFATLLGYCLEANNRILVYEFATLGSLHDVLHGRKGVQGAEPGPALTWGQRVKIAFGAAKGLEYLHEKVQPAIVHRDVRSSNVLLFDDFSAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAVAKVISIVE >itb15g02770.t1 pep chromosome:ASM357664v1:15:1753380:1756383:-1 gene:itb15g02770 transcript:itb15g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGSEEDNLSGPPANQSTAPPRGGNPYGGAGGGDRGGEPKGSGGGRGGAPQKVLPIEIPAMQLDELNRLTDNFGQEALVGEGSYGRVFSATLSTGEQVAIKKLDTSTTSEPDSDFAAQLSVVSRLKSDHFATLLGYCLEANNRILVYEFATLGSLHDVLHGRKGVQGAEPGPALTWGQRVKIAFGAAKGLEYLHEKVQPAIVHRDVRSSNVLLFDDFSAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAPESARPV >itb15g02770.t2 pep chromosome:ASM357664v1:15:1753380:1756383:-1 gene:itb15g02770 transcript:itb15g02770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGSEEDNLSGPPANQSTAPPRGGNPYGGAGGGDRGGEPKGSGGGRGGAPQKVLPIEIPAMQLDELNRLTDNFGQEALVGEGSYGRVFSATLSTGEQVAIKKLDTSTTSEPDSDFAAQLSVVSRLKSDHFATLLGYCLEANNRILVYEFATLGSLHDVLHGRKGVQGAEPGPALTWGQRVKIAFGAAKGLEYLHEKVQPAIVHRDVRSSNVLLFDDFSAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAPESARPV >itb15g02770.t4 pep chromosome:ASM357664v1:15:1753380:1756383:-1 gene:itb15g02770 transcript:itb15g02770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGSEEDNLSGPPANQSTAPPRGGNPYGGAGGGDRGGEPKGSGGGRGGAPQKVLPIEIPAMQLDELNRLTDNFGQEALVGEGSYGRVFSATLSTGEQVAIKKLDTSTTSEPDSDFAAQLSVVSRLKSDHFATLLGYCLEANNRILVYEFATLGSLHDVLHGRKGVQGAEPGPALTWGQRVKIAFGAAKGLEYLHEKVQPAIVHRDVRSSNVLLFDDFSAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAVAKVISIVE >itb02g13290.t1 pep chromosome:ASM357664v1:2:9318785:9322153:1 gene:itb02g13290 transcript:itb02g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNWFKQIVASNGKLERRLSLGEYKRAASWSKYLVSPGAAIKEEGGEEWSADMSQLYIGNKFASGRHSRIYRGIYKQRDVAIKLISQPEEDGDLAAFLEKQFTSEVALLLRLKHPNIITFIAACKKPPVFCIITEYLPGGSLRKYLHQQEPYSVPLNLVLKLALDIARGMQYLHAQGILHRDLKSENLLLDEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLPSECPKAFQKLVNRCWASNPQKRPHFETIVTILESYAESLKRDPDFFSSHEPSKDGVLPRCLPKCIGC >itb01g08920.t2 pep chromosome:ASM357664v1:1:7169764:7171434:1 gene:itb01g08920 transcript:itb01g08920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEDNALKIMLASSRNSCCRFSKSMLNVSKLCWKPHLSSSVFTDGDLSQLLLKRTGLISHVVDSIWRCYWPLKFHPGFSYESPPVNTLLFQRSFGFHSKPLEARQMRDVSIELKTEKDVVRFSVSEETQKGRSHAKDKNVGKKIKMSKRAKVNELRFYRLKAKKKMKSPNPEVRIRYKLDKAKRKEVWLIEKLRKFEVPKAPAEPHDPEILTEEDRFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCKPGQVHEYAAELARLSGGVVIDIKPDNTIIFYRGKNYARPDVLSPPDTLSKAKALEKYKLEQSLEHTSQFIEKLEKELEDYHEYLAQKREREALRDLDANT >itb01g08920.t1 pep chromosome:ASM357664v1:1:7169764:7173163:1 gene:itb01g08920 transcript:itb01g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSEDNALKIMLASSRNSCCRFSKSMLNVSKLCWKPHLSSSVFTDGDLSQLLLKRTGLISHVVDSIWRCYWPLKFHPGFSYESPPVNTLLFQRSFGFHSKPLEARQMRDVSIELKTEKDVVRFSVSEETQKGRSHAKDKNVGKKIKMSKRAKVNELRFYRLKAKKKMKSPNPEVRIRYKLDKAKRKEVWLIEKLRKFEVPKAPAEPHDPEILTEEDRFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCKPGQVHEYAAELARLSGGVVIDIKPDNTIIFYRGKNYARPDVLSPPDTLSKAKALEKYKLEQSLEHTSQFIEKLEKELEDYHEYLAQKREREALRDLDANT >itb10g11260.t1 pep chromosome:ASM357664v1:10:16710336:16713025:-1 gene:itb10g11260 transcript:itb10g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKAHVNIAIIGYVNSGKSITTGHLIYQLGGIDKHVIETFEKEYGDKPVNNLSSANLDCANDESKFGSRSGVLLFHENNQVKVQAKSEDEILNVKPQWKVNSMPSDFQQRKIHVINGKDAGRVAGLEVLRIINETTDASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLYTPGDIHLGGDDFDKRIVDHVHTVLSLGLVFLESFQSCHVFFNARKLHY >itb06g22770.t1 pep chromosome:ASM357664v1:6:24970546:24971213:-1 gene:itb06g22770 transcript:itb06g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLARIKELLIDKCGGLMNCIQTLSKCKGPSGFSTSKVYEIIRPRAQKSFAFKFIWRGFVPPKYAFTTWLCLKGRLPTKDRLRFLAVDEKCSFCGKETENINHLFFTCDFSRQVWEEVRNGLGISRKTGSIKGAIKWAYRDARGSRLHSKIGPLAILCSVYHIWRTRNALIFEGTQAVASKTRTIIIYQVLRILYKIAPGGLRASVS >itb12g02140.t1 pep chromosome:ASM357664v1:12:1441851:1442616:-1 gene:itb12g02140 transcript:itb12g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRFLSGDHSSVNPPSSAVTPESDFVVILAALLCAVICVVGLVMVARCAWLRRSRAGANGGASGQPSSNKGLKKKVLRSLPKFTYGGAAEKEIPADCAICLTEYEQGDEIRVLPQCRHGFHVQCIDTWLGSHSSCPSCRQILVVARCRKCGCKEIPSVSPAGAGEESQIAIVSEAGANNSGMATPCASSGLSSNSSAVGSGAAALRIVPGIRLGFGRGRRDVNHRSNSL >itb06g19080.t1 pep chromosome:ASM357664v1:6:22534156:22536514:-1 gene:itb06g19080 transcript:itb06g19080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLTSSQNEPQTRPIDSAFKLPSPLPTWPSSGGEFGSGYIDLGGLHVCQISSFNKVWVTYEGGPDNLGATIFEPSSIPDGFSVLGYYGQPNNQPLFGWVLVGKDNSTGADILKPPSDYNLIWSSETLKINQTSPAYIWLPVPPEGYKAVGHVVTTSPERPPADKIRCVREDFTENCEAESWVWGLGSSSDPNGFNVNSLRPNIRGIEAQGVPVGTFTANIGSAAVSLSCLKNNNFSTFSPMPNLAQIEALFKEYSPVVYLHPEETYLTSSVNWYFASGALLYKQGDESNPVAVNPDGVNLPQGGSNDGTYWLDLPVDEKARETVKKGDLNNTEVYLHVKPMLGGAFTDIVVWLFYPFNGPATAKLGILDLPLGRTGEHIGDWEHLTLRISNFTGVLHRMYFSAHSGGAWVDSRDLEFSAGGGGNKPVAYASRNGHANYSKPGVVLQGPNDEIGIRNDTAKSDLVLECGAKYSVVAAPESVSSAVVEPAWLNYRRKWGPHITYELKEEVEKLEGVLTGGVKELFQSLVNILPNELFEEDGPTGPKEKDFWSGDER >itb14g06200.t1 pep chromosome:ASM357664v1:14:5397902:5402407:1 gene:itb14g06200 transcript:itb14g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHINECDILEEVMSTHDPDDKENFKINVIFDLVKSILYPTTMGDSIDEDGDSDKEDSNGQKSDEEDSNGDKSDEEYIKCDKFDEEQCDDKKSNKEESDSEKEYAKDMELPYQLKRFSFEISLMCANNVNLHSMVIYFLRMLSTFSWERKLLIMVAAFSLNFGEFSLVQCHNKGLSGKLAILKGHESQVLPTITHFIKSILHLTEHIVELAQSSSHNSSTIISIGCYWIVTSILTYGSYFTSRLMSMHSGCFIGGETQLSSLTVKIKDVISDCRPILEKKREVDSYNALCHAFFDENPIPSTSSTLDVLNLVFNAKKGVKQKLIYDGHRNQMVELSLLKNKSLLMLISSSLDIDKCLIFLLEIIQQKAQLRVLWIPILDSPIFWGIKYMEKQYRSLVNNTELLLVENVQKSVSPGFVRFVKDKFFPTFQIGGEPIIVSLDQNGRMVHRNAMHMVLMRGLDICGRMSDLKVLSKRRMADMQMVSKRKMSVEVEIGDIIIPFLQKVLTERVSTMMDLVPGIDGNISEFANKVDGLITDWFGDIQKQIQNLVDSNIFTSKKENDLWKIETWCTKLVLGNLVEENRCIFLIGGHDIQWVKTFESKVMLEIQFNPQSQVKMFYVGSNVKVASMIEVDGKCNVKGNLFSSWLFWSRLRSIFMSRINFIEETHGDEECDEILRKLKKLLAYEANDLVVKEWAMLCKGNKIVVCDQGDKMLKVMNEYDKWKENAIAKGFDQAFKDYHEMLHSTSTSTSLHHHHRCALKYPCNFESVPEDVKCPECCHGFLLPTSVYLVSSA >itb05g23760.t2 pep chromosome:ASM357664v1:5:28814323:28817135:-1 gene:itb05g23760 transcript:itb05g23760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELFKKRLSAFYSTWRIHRHLWGNSDIIVFSTPAQAASNLTGDFFVWLFGEDLAGTTAVFTPSSIFFLCAQESFSRLRSLASYATEVLKIPVSVELNPRRRFSGPRILSSYPTEVMRIPVSVELKPECRRDEEGLSKLDEIIRSMRLRLKSGKSNDDPTCTLTVGCIDKEIPKSKLLMSCVNDFECFAKYRISTVNNAVRSVMVQITACESRIYKNDNLLADNMQFNRGVKRTMEDRVEKSDLIKGFKEMNVSKDEAETSSKVNTDKEMMILENLGWKFEKAANEPPPLVVDQSKTTEPMMEGETSFVPFRLEKEKVVGMQIQCYNESRQFKQPETEKDGVRKDKKAARKGLSVPEQRLTSFYSSWRKYRNELWGDSDVLVVTTPPSSPSGSIAAMLDRPVSSSFFLWLLGRDFPNTTAVFMDRAIYFFCPIESYAKLCLLGLYMTKAAQVSVSVQQKVKAGDESELLNSTLDALWTDRANDHPVIIGYIDGEAPSSKLDEGMFQATNVMRGFLKLLCEEGDGLKSLQSRSLDTTEDKDIENLAENYQQVMSLLSNDAKASSMVSNEQQKDELLQEKHENKDELHKSEEANQLLQEVNGKIGNLPSKFAEMNIVVEGEQCPHSTEEDGSESKLLVAEEGNSPCNAEPVVLAEEEQESQSGNVRVDSSIENACNQSKESVGSDDDWEIIETSHEGQQPNIANNSSWGRYS >itb05g23760.t1 pep chromosome:ASM357664v1:5:28814323:28817198:-1 gene:itb05g23760 transcript:itb05g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELFKKRLSAFYSTWRIHRHLWGNSDIIVFSTPAQAASNLTGDFFVWLFGEDLAGTTAVFTPSSIFFLCAQESFSRLRSLASYATEVLKIPVSVELNPRRRFSGPRILSSYPTEVMRIPVSVELKPECRRDEEGLSKLDEIIRSMRLRLKSGKSNDDPTCTLTVGCIDKEIPKSKLLMSCVNDFECFAKYRISTVNNAVRSVMVQITACESRIYKNDNLLADNMQFNRGVKRTMEDRVEKSDLIKGFKEMNVSKDEAETSSKVNTDKEMMILENLGWKFEKAANEPPPLVVDQSKTTEPMMEGETSFVPFRLEKEKVVGMQIQCYNESRQFKQPETEKDGVRKDKKAARKGLSVPEQRLTSFYSSWRKYRNELWGDSDVLVVTTPPSSPSGSIAAMLDRPVSSSFFLWLLGRDFPNTTAVFMDRAIYFFCPIESYAKLCLLGLYMTKAAQVSVSVQQKVKAGDESELLNSTLDALWTDRANDHPVIIGYIDGEAPSSKLDEGMFQATNVMRGFLKLLCEEGDGLKSLQSRSLDTTEDKDIENLAENYQQVMSLLSNDAKASSMVSNEQQKDELLQEKHENKDELHKSEEANQLLQEVNGKIGNLPSKFAEMNIVVEGEQCPHSTEEDGSESKLLVAEEGNSPCNAEPVVLAEEEQESQSGNVRVDSSIENACNQSKESVGSDDDWEIIETSHEGQQPNIANNSSWGSWIGRAFSSVSLHHKAAGDEASS >itb01g03860.t2 pep chromosome:ASM357664v1:1:2535493:2539917:-1 gene:itb01g03860 transcript:itb01g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALPDLIAGVVSLSPANWWDEINQSVKWQDGIFYSLSGAFALVSAVALIQLIRIEVRVPEFGWTTQKVFHLMNFIVNGVRAIVFGFHKQVFLFHPKVLTLVILDLPGLLFFSTYTLLVLFWAEIYRQARSLPTDKLRLFYISINAGIYVIQGGIWVYLWINDNSAIEFIGKIFIAVVSLIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFVIRCFVVIFQLYFALLFL >itb01g03860.t1 pep chromosome:ASM357664v1:1:2535457:2539922:-1 gene:itb01g03860 transcript:itb01g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALPDLIAGVVSLSPANWWDEINQSVKWQDGIFYSLSGAFALVSAVALIQLIRIEVRVPEFGWTTQKVFHLMNFIVNGVRAIVFGFHKQVFLFHPKVLTLVILDLPGLLFFSTYTLLVLFWAEIYRQARSLPTDKLRLFYISINAGIYVIQGGIWVYLWINDNSAIEFIGKIFIAVVSLIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFVIRCFVVVLSAFDSDASLDVLDHPVLNLIYYVLVEILPSALVLYILRKLPPKRVSAQYHPIC >itb13g18000.t1 pep chromosome:ASM357664v1:13:24996669:24999651:1 gene:itb13g18000 transcript:itb13g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHSTLSLPHHHSKPSSPFLASSPTIPCHLPRFPPDVFHRKSYPLKRSFTCSSGIDEVTFIDPNKESKAELIGSLKLKLLSAVSGLNRGLAASDDDLKKADDASKELEAAAGPVDLEGNLDLLQGRWKLIYSSAFSSRTLGGSRPGPPVGRLLPITLGQVFQRIDVFSRDFDNIVELELGAPWPLPPLDLTATLAHKFELIGTSKIKIIFEKTTVKTTGNLSQLPPLEVPRLPDAIRPPSNPGSGEFEVVYTDSDMRITRGDRGELRVFVIA >itb10g11360.t1 pep chromosome:ASM357664v1:10:16898052:16899566:-1 gene:itb10g11360 transcript:itb10g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVEEGDRGKNTLVPNGENSSGSRSLGSADTYSDVVHKPRREPFGVQKWKWDPKNSLGHTPSRDPIQRHQEREECLLSHMDSGEDIFDLGTDDVFHIEEEKSYCLMGRFAGRFPGMYAIRQLSQSWKVQCSPEKMPNGHVIFRFLKEEDREAVVANGPYSLYGKRLILEVFQERVGLGFDEFCSMPVWVRLPDLPIPCWHKNALSKIASKIGKPICMDDHTSDNRRGLYARVLIDVNCSVQPRKSVLFRLPGSTEVEQKVMYENFFVFCSWCGSDKHFLANCPLGRVEENIKRRLGLATNVQNSEGTPEEEEGNAQYEGPSSAIVENLENGVSMQKDGVMQQNGDSLSNEDKVAMCVPNDSQDICPNEALKESRGVGVEISLAEGDKDAMDASIMDLENVCNTSLDLKDKEFDAVEEGGAKDDGNTSCDQECENQEIPAFGVSGDKQKQKSRKKKKAKEVPIADFFKDLESDKEGGNIPSPQGKKGVASGGGRRKPTSVGQC >itb01g05660.t1 pep chromosome:ASM357664v1:1:3922480:3925284:-1 gene:itb01g05660 transcript:itb01g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFWRHYYDQKPLIQRLYLQFKLSFPSPRFALISTLSSVQDFHNPVIPRFTGTIDSTYTKLLSHLSQIKSLVPGLQIHACVTKLGLSQDSKHRNHLINLYSKCGVFGHAHKLLEESPEPDLVSWSSLMSGYAQNGLGEEAALAFQKMHSLGLKCNEFAFPSVLKACSLKKDLLLGKQIHGVVMVTGFGSDVFVANTLVVLYAKCGELLDSRRLFEEIPERNVVSWNALFSCYTQSDYFGEAVCLFQEMIESGIRPDEYSLSTILNACTGLADIGLGKKIHGYLLKLGYDSDPFSSNALVDMYAKLGDLKKDAIAVFQGIAEPDIVSWNALIAGCVLRECHDQALDMLCQMRRSGMRPNMFTLSSALKACAALQLQRTGKTLHSLLIKKDIVLDPFVSVGLIDMYCKCDLMNNARLIYDLMPEKDLIALNAMISGYSQNEADTECLTLFTEMHEKGIVFDQTTLLVVLNSTAGLQAADVCKQVHALCVKSGFLSDAFVINSLIDSYGKCSLLVDAAKIFEECPIVDLPSFTSLITAYAQYGQGEEALKLYLRLQDMGIIPDSFVCSSLLNACANLSAYEQGKQMHVHVMKHGFMSDTFAGNSLVNMYAKCGSIEDAGRAFSEVPNKSIVSWSAMIAGLAQHGHATKALNLFSEMLSDGISPNHITLVSVLCACNHAGLVAEAKNYFQTMNETFGVEPTQEHYACMIDVLGRAGELDEAIGLINKMPFEANASVWGALLGAARIHKNVQLGEEAAHKLFSLEPEKSGTHVLLANIYASVGLWGNVAEVRRLMKDSKVKKEPGMSWIEAKDMVYSFIVGDRSHPRSQEIYAKLEELGDLMAKAGYVPMVEVDLHDVERRQKEILLSYHSEKLAVAFGLIATPPGAPIRVKKNLRICLDCHTAFKFICKIVSREIIIRDINRFHHFKDGSCSCGDYW >itb01g05660.t2 pep chromosome:ASM357664v1:1:3923852:3925284:-1 gene:itb01g05660 transcript:itb01g05660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFWRHYYDQKPLIQRLYLQFKLSFPSPRFALISTLSSVQDFHNPVIPRFTGTIDSTYTKLLSHLSQIKSLVPGLQIHACVTKLGLSQDSKHRNHLINLYSKCGVFGHAHKLLEESPEPDLVSWSSLMSGYAQNGLGEEAALAFQKMHSLGLKCNEFAFPSVLKACSLKKDLLLGKQIHGVVMVTGFGSDVFVANTLVVLYAKCGELLDSRRLFEEIPERNVVSWNALFSCYTQSDYFGEAVCLFQEMIESGIRPDEYSLSTILNACTGLADIGLGKKIHGYLLKLGYDSDPFSSNALVDMYAKLGDLKKDAIAVFQGIAEPDIVSWNALIAGCVLRECHDQALDMLCQMRRSGMRPNMFTLSSALKACAALQLQRTGKTLHSLLIKKDIVLDPFVSVGLIDMYCKCDLMNNARLIYDLMPEKDLIALNAMISGPQLHCRLAGC >itb04g11280.t1 pep chromosome:ASM357664v1:4:10865890:10867065:-1 gene:itb04g11280 transcript:itb04g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGVIPDVVTYNSLIAGATKHGLLSRCLDLFEEMLDLGIFPDVWSYNTLMHCFFKSGKPDEGYRVFRDILLQGIPPCPTTFNILINGLCKNGYTENAMMLFRYLKRHAFAPQLVTYNILIHGLCKSGRPAPARRLLKELVETGHIPDTVTYTTVMKCCFSSRRFEEGLQVLADMRSKGYIFDSHAYCTVISCLLKAGRTKDAHQCLENMIMNGVNLDIVAYNTLINLYCKNGKLEYAYFLVEDAEKRGLVHDVYTHTILIDGLCKTGDIKGAEHHLECMNKMGFVPNLVAFNCFINGLCKAGQIDHALHVFKWMDEKDCFTYSSMVHGLCRTGRFREASKLLLSCIRGGMKILKSDKEAVINGLRRSGFLNEARKLQSRIRVAKLLHYH >itb07g21350.t1 pep chromosome:ASM357664v1:7:25736632:25738142:-1 gene:itb07g21350 transcript:itb07g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A-associated F-box protein [Source:Projected from Arabidopsis thaliana (AT4G02440) UniProtKB/Swiss-Prot;Acc:Q8LEA8] MSENAFSVLSDDIILNIFYKLEDDPRYWARLACVSTKFSSLIRNLCCKSKCSQAIPAVVSDLLSAAAVAAAAAPPGGWAALYKLAFCCPGLLHSGVLLENSDFGLERELGPDEIFTEPALAQSGGSGSQNPASSVKADPDSEQSDCGWSLFDDLMFDTVCDVSESSQARPHVSEAQQAKPATPSGDSCVLKKRKIAGSKRRKIARSCSHLATGPWNLSREQGIKLLASRFREDCLYICDWPGCLHLEQKRNYMLFRGIFKNFKQSRVWRTINDGNRKKIDLNCAFCNSKQTWDLHSAFCLRRYFGFHDDGEPVVRAYVCENGHVSGAWTDWSLYT >itb05g14800.t1 pep chromosome:ASM357664v1:5:22097221:22099037:-1 gene:itb05g14800 transcript:itb05g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATSLRDIYLDSNRLRFSIPSSIWNLKDLLNLDLSSNFLNGSLHPEIGNLKVSIYINLSSNHFSGYIPTTIGAGLQSLIKFSLANNLLQGSIPDSFGSLICLEYLDLSNNNLTGGIPRSLEKLQYLRGFNVSFNRLSGEIPSKGQFVNFTYQSFMSNEDLCGSPRLKVPPCNVHSNFHPLIRRALQIVLISVAMFIVGISVIIFLLWKCKSKNSIANGVNFLQEIVPLRISYYELQRATQGFSSNNLLGAGSFGSVYKGTFGNGLLFAVKVFNLQVEDAFKSFATECEVLRNLRHRNLTKVGGGE >itb03g08830.t1 pep chromosome:ASM357664v1:3:6661304:6665855:1 gene:itb03g08830 transcript:itb03g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPTILGEGQGNGSVMASSASLECISQTGSALKERNYLGLSDSSSVDSSAASSVVNEKDRLNLKATELRLGLPGSQSPERDLELNGPSTGKFDEKPLFPLLPSKDGIFSSVKASFTGNKRGFLDTMEGSVATEANWMFTIPKSDPHTPQSTGQGNFASNAGIKSSVPQTNMKDKQLKASQEQPRATNATAHNKMSSSNNAINQPAAKAQVVGWPPIRSFRKNTLTSTSKVNDEVDGKPGPGALFVKVSMDGAPYLRKVDLRTYASYQELSSALEKMFSCFTIGQCGSQGAPGRENLSESKLRDFLHGSEYVLTYEDKDGDWMLVGDVPWDMFIESCKRLKIMKGSDAIGLAPRAKEKSKSRN >itb01g31790.t1 pep chromosome:ASM357664v1:1:35419305:35423079:1 gene:itb01g31790 transcript:itb01g31790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] MRKKRKGSEIVCLKQNEILSSTNGSETSSLSNFKSHYSLEDYSRLKKRCKEDYDYGACCEVVGSCKSKTRLAGIAATAPPCGTSSSVSSGRGLKRKIGCIDVATQMGQKNKIDDDYVLGETIGKGKFGSVLLCRSKVTGVQFACKTLLKGEETVHREVEIMQHLSGHQGVVMLEAVYEEEERFHLVMELCPGGRLIDQMANQGSYSEHRAANIFKDLMMVVKYCHDMGVVHRDIKPENILLTASGKIKLADFGLAMRIANGQRLTGVAGSPAYVAPEVLLGDYSEKVDVWSAGVLLHALLIGVLPFQGDSLETVFEAIKNTKLDFHTGKWQSVSKPARDLLERILTRDATARISADEVLSHPWILFYTERTLRTLSTRTKPKHQAGAPSQIMAAASTPQSDRNKRGSKGRAPSESLNGESEESDESGLVDALTVAISHCRISEPKRSRLCVPTSPIREECSSNMTASNLCKAF >itb03g06140.t1 pep chromosome:ASM357664v1:3:4513791:4517136:-1 gene:itb03g06140 transcript:itb03g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLWTFASFLVPVILGVIIVYQLDSFDPVPYPAGELTQGSPAVAPKRNARMHQGSEKIGVGRLSGPEDLAYDPKTGVIYTGCADGWVKRVTVNELSPADSAVEDWVNTGGRPLGLAHGLHGEVIVADAEIGLLNVTSDGKVQLVTDEADGVKFKLTDAVDVAEDGMLYFTDASWKYRMEDFIWDFLEGRPHGRLLSYDPHTKQTKVLVNGLFFANGVAVNPDQSFVIFCETIMRRCKKYYLKGEKKGSMEVFIENLPGMPDNIRYDGEGLFWIGIGMEYTYAFELIQRYPLLRKILGVMEKYGVRPNLEKNGGIFVVDMED >itb03g06140.t2 pep chromosome:ASM357664v1:3:4514197:4517136:-1 gene:itb03g06140 transcript:itb03g06140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLWTFASFLVPVILGVIIVYQLDSFDPVPYPAGELTQGSPAVAPKRNARMHQGSEKIGVGRLSGPEDLAYDPKTGVIYTGCADGWVKRVTVNELSPADSAVEDWVNTGGRPLGLAHGLHGEVIVADAEIGLLNVTSDGKVQLVTDEADGVKFKLTDAVDVAEDGMLYFTDASWKYRMEDFIWDFLEGRPHGRLLSYDPHTKQTKVLVNGLFFANGVAVNPDQSFVIFCETIMRRCKKYYLKGEKKGSMEVFIENLPGMPDNIRYDGEGLFWIGIGMEYTYAFELIQRYPLLRKILGVMEKYGVRPNLEKNGGIFVVDMEGNPVAHYHEHDFTLVSTGIKIGDYMYCGFVKYGFILGLNLTQNPAVASE >itb14g15040.t2 pep chromosome:ASM357664v1:14:18173721:18177701:1 gene:itb14g15040 transcript:itb14g15040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSLHNVSAFDSSFPGESRRRGERESPRTGASTLIQMWREIEGESMVSNTITRFGDRDGKLRSEGVNSVGIFESDGHNNENQTVLGNENQNGTSDYSMDLGEIERQRVRQVFRGWMGDGVKIRSLNTSPSPINGQSKADWLGGSECVKLRIVKEGVQMYNEQRGSNNSDRDEGGAENSPKIEQVSDRVLLKNSGNGVWKGCRALRGLRGRQALLDLLLKAQRERQRELQSLMEGRPVSDFAYRNRIQSLLRGRFLRNDRLIRDERPAAASVAASEIGLLRQRQTVSYLREGFLSRLVHIEPSHGQICGFQSNDSSNGEHNALENVRSQSDIGLDVTDEFRDQSELSNEWREINESQRLGNSETVGEMNQYGSTAKTAETSERGLECDSESWLESAANQQYPTTRDNDVEEHSHVQGSENEWRGNAIQEDSMDAPVGRADSFYIPDDNGVHSVELRELLSRRRVSNLLQSGFRERLDLLIRSYVERQVQASLDWEMDGTESSPDANDQGNGNQDEDHINDIERNNFSPDSLSEPLWDQEMLDTDMLCYSPHPRPGAEWEIINELRTDMDRLQQQMNNMQKMLETCMDMQIELQHSVQNEVFAALNHYAVSKDENAASEDNLLKDKPKWDNVRKGICCLCCTSSIDSLLYRYR >itb14g15040.t3 pep chromosome:ASM357664v1:14:18173721:18177701:1 gene:itb14g15040 transcript:itb14g15040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSLHNVSAFDSSFPGESRRRGERESPRTGASTLIQMWREIEGESMVSNTITRFGDRDGKLRSEGVNSVGIFESDGHNNENQTVLGNENQNGTSDYSMDLGEIERQRVRQVFRGWMGDGVKIRSLNTSPSPINGQSKADWLGGSECVKLRIVKEGVQMYNEQRGSNNSDRDEGGAENSPKIEQVSDRVLLKNSGNGVWKGCRALRGLRGRQALLDLLLKAQRERQRELQSLMEGRPVSDFAYRNRIQSLLRGRFLRNDRLIRDERPAAASVAASEIGLLRQRQTVSYLREGFLSRLVHIEPSHGQICGFQSNDSSNGEHNALENVRSQSDIGLDVTDEFRDQSELSNEWREINESQRLGNSETVGEMNQYGSTAKTAETSERGLECDSESWLESAANQQYPTTRDNDVEEHSHVQGSENEWRGNAIQEDSMDAPVGRADSFYIPDDNGVHSVELRELLSRRRVSNLLQSGFRERLDLLIRSYVERQVQASLDWEMDGTESSPDANDQGNGNQDEDHINDIERNNFSPDSLSEPLWDQEMLDTDMLCYSPHPRPGAEWEIINELRTDMDRLQQQMNNMQKMLETCMDMQIELQHSVQNEVFAALNHYAVSKDENAASEDNLLKDKPKWDNVRKGICCLCCTSSIDSLLYRCGHMCTCSKCAEKLVQENGKCPMCKAPVAEMIQAYFIQQ >itb14g15040.t4 pep chromosome:ASM357664v1:14:18173721:18177701:1 gene:itb14g15040 transcript:itb14g15040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSLHNVSAFDSSFPGESRRRGERESPRTGASTLIQMWREIEGESMVSNTITRFGDRDGKLRSEGVNSVGIFESDGHNNENQTVLGNENQNGTSDYSMDLGEIERQRVRQVFRGWMGDGVKIRSLNTSPSPINGQSKADWLGGSECVKLRIVKEGVQMYNEQRGSNNSDRDEGGAENSPKIEQVSDRVLLKNSGNGVWKGCRALRGLRGRQALLDLLLKAQRERQRELQSLMEGRPVSDFAYRNRIQSLLRGRFLRNDRLIRDERPAAASVAASEIGLLRQRQTVSYLREGFLSRLVHIEPSHGQICGFQSNDSSNGEHNALENVRSQSDIGLDVTDEFRDQSELSNEWREINESQRLGNSETVGEMNQYGSTAKTAETSERGLECDSESWLESAANQQYPTTRDNDVEEHSHVQGSENEWRGNAIQEDSMDAPVGRADSFYIPDDNGVHSVELRELLSRRRVSNLLQSGFRERLDLLIRSYVERQVQASLDWEMDGTESSPDANDQGNGNQDEDHINDIERNNFSPDSLSEPLWDQEMLDTDMLCYSPHPRPGAEWEIINELRTDMDRLQQQMNNMQKMLETCMDMQIELQHSVQNEVFAALNHYAVSKDENAASEDNLLKDKPKWDNVRKGICCLCCTSSIDSLLYRCGHMCTCSKCAEKLVQENGKCPMCKAPVAEMIQAYFIQQ >itb14g15040.t1 pep chromosome:ASM357664v1:14:18173721:18177701:1 gene:itb14g15040 transcript:itb14g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSLHNVSAFDSSFPGESRRRGERESPRTGASTLIQMWREIEGESMVSNTITRFGDRDGKLRSEGVNSVGIFESDGHNNENQTVLGNENQNGTSDYSMDLGEIERQRVRQVFRGWMGDGVKIRSLNTSPSPINGQSKADWLGGSECVKLRIVKEGVQMYNEQRGSNNSDRDEGGAENSPKIEQVSDRVLLKNSGNGVWKGCRALRGLRGRQALLDLLLKAQRERQRELQSLMEGRPVSDFAYRNRIQSLLRGRFLRNDRLIRDERPAAASVAASEIGLLRQRQTVSYLREGFLSRLVHIEPSHGQICGFQSNDSSNGEHNALENVRSQSDIGLDVTDEFRDQSELSNEWREINESQRLGNSETVGEMNQYGSTAKTAETSERGLECDSESWLESAANQQYPTTRDNDVEEHSHVQGSENEWRGNAIQEDSMDAPVGRADSFYIPDDNGVHSVELRELLSRRRVSNLLQSGFRERLDLLIRSYVERQVQASLDWEMDGTESSPDANDQGNGNQDEDHINDIERNNFSPDSLSEPLWDQEMLDTDMLCYSPHPRPGAEWEIINELRTDMDRLQQQMNNMQKMLETCMDMQIELQHSVQNEVFAALNHYAVSKDENAASEDNLLKDKPKWDNVRKGICCLCCTSSIDSLLYRYR >itb01g16140.t1 pep chromosome:ASM357664v1:1:19990160:19992626:-1 gene:itb01g16140 transcript:itb01g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSLRMKRCLTTSDKCWFCEDKVEDIDHALRRCAVAEDVWRKVLPAYHMEMRNVPFRAWLDQGIATKGNANRPQQDNILFAVTIWWIWKWRNEGVFNNSTQPLQSKIQWINNQVKEVNAAFCRLENQQGTSQLGNWEKHSWAKPEDGIVKINYDRAVDYNTTIAGCGGIARDSMGTWLGGYVNKIGSCTPAQVEAWALLRSIQLARKMGFRRVVFEGDSTEIATEMMRNQVSNHVIQNILKACKQEASYLEAWGLSTVPREVNKAADYLAKSSLKFPKGMHVKTNPPEDLLHVIEDDKMAYPSWRYMYNQSR >itb12g23200.t1 pep chromosome:ASM357664v1:12:25034073:25038956:-1 gene:itb12g23200 transcript:itb12g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFGSPGTVSGLSLRIGQSVFAAVSIVVMASASGFSIATAFCYLIASMGLQVLWSFALACLDAHALRMKRDMHTHVLVSLFVVGDWVTATLSLAAACSSAGVMVLFDRDTTICQRMEGLSCPMFQVSISLAFLSWFLLAFSSYVMFWLAASN >itb12g23200.t3 pep chromosome:ASM357664v1:12:25034073:25037514:-1 gene:itb12g23200 transcript:itb12g23200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAYAIACAFWLSYLIASMGLQVLWSFALACLDAHALRMKRDMHTHVLVSLFVVGDWVTATLSLAAACSSAGVMVLFDRDTTICQRMEGLSCPMFQVSISLAFLSWFLLAFSSYVMFWLAASN >itb12g23200.t2 pep chromosome:ASM357664v1:12:25034073:25038956:-1 gene:itb12g23200 transcript:itb12g23200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLFGSPGTVSGLSLRIGQSVFAAVSIVVMASASGFSIATAFCYLIASMGLQVLWSFALACLDAHALRMKRDMHTHVLVSLFVVGDWVTATLSLAAACSSAGVMVLFDRDTTICQRMEGLSCPMFQVSISLAFLSWFLLAFSSYVMFWLAASN >itb12g21550.t1 pep chromosome:ASM357664v1:12:23869426:23870863:1 gene:itb12g21550 transcript:itb12g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFNVPPVVFPSGGNPAPQQRRLPTAPFQPPKSSNPTIPFMSFDIGSAAASTSFSTPQFASTTIGGGSTGFEDEPPLLEELGINTKQIYQKTISILNPFRVKADLHEDADLSGPFLFLMAFGLFQLLAGKIHFGIILGWVIMASVFLYVVFNMLAGRNGNLDLYRCVSLIGYCMLPVVILSAISLFLPGGMAIKVVAGVFVIWSTRVCTRLLVELASCGDEHRGLIAYACFLIYTLFSLLVIF >itb02g25750.t1 pep chromosome:ASM357664v1:2:26522857:26525186:1 gene:itb02g25750 transcript:itb02g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESGIKLPIIDFSDENLKPGCPTWDKVRNQVHKALVEYGCFEATFDKIPIHLRESIFDALKELFDLPLQTKVRNTSNKPFHGYVGQYPMVPLYESMGIDDANLLHNTQHFTNIMWPQGNPNFCNTVQSYSEKLCGLDDMVRRMILESLGVEKYMDEHMNSTNYLLRVMKYKGPECDDTKLGLNAHTDKNIVTILYQNEVNGLEVLTKDGQWINVCPSPNSFIVMIGDSLYAWTNGRLHSPYHRVMMSGNEARYSAGLFSIPKAGYIIKAPQELVDEQHPLLFNPFDHVEFLGFYYSEEGQKCQSALATYCGV >itb09g23690.t1 pep chromosome:ASM357664v1:9:23181728:23187610:-1 gene:itb09g23690 transcript:itb09g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRSFPHNPLQPLFFSHKIPFTSCPLPLESPPQSAIGAHPDDLRVACFSALEQLIRRGLVNSAQKLVQRIIKHSSSVHEAVSAVDFALSRGVELDIKSYDFLIRKLATCGKARMAEAVYVDFILSRGVEPDHYLLNSMVLCYSKLGKLEEAKSQFDRLLAMEIMPCSAACSEIIREFCVQNRVLEGFHVFLEVCDAEFVLNLQCYNELVDGLSSRGYIDEALCVYDLMCDKGVPPTIHLLKKLVFVLCRSGRVEEAELLSLNMESFGFFLDKVMYTALINGYCQKRSMRMAMRLFYRMLKMGCQPDNYTCNTLMHGFLNLGVFEKIPVLHHQMEELGLKLNVVSYQIMISKCCKVFKVDCALALLNSMIQCNLAPTVYCYTPLLAALYKENRLAEVDQLYNQLFDHGLLPDEVLFFTVVKNHVEGHEINLAHNFVAEIAKNGCGIDLSDICSTRNGCGIDLSDICSTSSKSADDLSDICSTSSKSADDIMLETDLLLESADDIMLETDLLLEEIFSRNSGLACVAFNIYMTALCYGGRLEAALLCMDKMSNLSLQPSLPAYNSIIRCLYQKGRGEDAKSLVKVMQDQGLVPSMLTFLIMANEQCKQGDLPSAIGILDQLEDSGMKPSVAIYDSIIGHLTREKRVPEALELFARMREAGTLPDENMFVTMINGLSKNGQAIAAHELFEKMSECGIRPGYRAYTALINGFVKKNMIAKGCLYLDRMFKEGFMPNAALYTSLINQFLRKGEIGFALQLVDLMERTQIEQDMITHVALVSGVCRNIRYISRKWHESQRQFEKPKSMLYDLLCQYTNFSKGKDLKFFFSSRKELKVFALKLISKVEETNFLPNLYLHNGVIAGYCWANNMQGVTMTKSICYLMIHQNKICYLTDMGDDECFTNDTLKAPIGATVPTKSFHSEKVFGSLHLLQLSLFNVALPEIQFQTIPRSNVLES >itb09g23690.t2 pep chromosome:ASM357664v1:9:23181728:23187610:-1 gene:itb09g23690 transcript:itb09g23690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRSFPHNPLQPLFFSHKIPFTSCPLPLESPPQSAIGAHPDDLRVACFSALEQLIRRGLVNSAQKLVQRIIKHSSSVHEAVSAVDFALSRGVELDIKSYDFLIRKLATCGKARMAEAVYVDFILSRGVEPDHYLLNSMVLCYSKLGKLEEAKSQFDRLLAMEIMPCSAACSEIIREFCVQNRVLEGFHVFLEVCDAEFVLNLQCYNELVDGLSSRGYIDEALCVYDLMCDKGVPPTIHLLKKLVFVLCRSGRVEEAELLSLNMESFGFFLDKVMYTALINGYCQKRSMRMAMRLFYRMLKMGCQPDNYTCNTLMHGFLNLGVFEKIPVLHHQMEELGLKLNVVSYQIMISKCCKVFKVDCALALLNSMIQCNLAPTVYCYTPLLAALYKENRLAEVDQLYNQLFDHGLLPDEVLFFTVVKNHVEGHEINLAHNFVAEIAKNGCGIDLSDICSTRNGCGIDLSDICSTSSKSADDLSDICSTSSKSADDIMLETDLLLESADDIMLETDLLLEEIFSRNSGLACVAFNIYMTALCYGGRLEAALLCMDKMSNLSLQPSLPAYNSIIRCLYQKGRGEDAKSLVKVMQDQGLVPSMLTFLIMANEQCKQGDLPSAIGILDQLEDSGMKPSVAIYDSIIGHLTREKRVPEALELFARMREAGTLPDENMFVTMINGLSKNGQAIAAHELFEKMSECGIRPGYRAYTALINGFVKKNMIAKGCLYLDRMFKEGFMPNAALYTSLINQFLRKGEIGFALQLVDLMERTQIEQDMITHVALVSGVCRNIRYISRKWHESQRQFEKPKSMLYDLLCQYTNFSKGKDLKFFFSSRKELKVFALKLISKVEETNFLPNLYLHNGVIAGYCWANNMQGVTMTKSICYLMIHQNKICYLTDMGDDECFTNDTLKAPIGATVPTKSFHSEKVFGSLHLLQLSLFNVALPEIQFQTIPRSNVLES >itb13g22120.t1 pep chromosome:ASM357664v1:13:28480357:28482010:1 gene:itb13g22120 transcript:itb13g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITHKTVAVNGINMHVAELGEGPLVLFLHGFPELWYSWRHQMLFLAAKGYRAVAPDLRGFGDTTGAPTDDPSKFTVLHVVGDLIALLQSIAPDEDKVFVVGHDWGAIIAWNLCLFRPDKVKALVNLSVHYAPRNPNFDTVDAMRAMYGDDHYICRFQEPGEIEAELAPIGVKTCLKSFLTYRKTEPFYFPKGKGISANTDGPISLPSWLPEEDLDYFASRFEKTGFTGAINYYRALKLNAQLTAPWTGAKVMVPAKLIVGEQDLVYHIKGVKEYIHNGGMKNVVPLLDDVVVLEGAAHFINQEVPDEVSKHIYEFLKKF >itb13g17430.t1 pep chromosome:ASM357664v1:13:24465340:24466317:1 gene:itb13g17430 transcript:itb13g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPEDCISEIVSFTSPVDAAVFSVISKRFKASSESDVVWIILPYDIEDYISRSSSYIPVFPTKKDLFLFLSNSPILLDGRRMSFSLDKKTGKKCFTVAPRVLTFTGVNDPIHFITVHEEVSRFAEIGVLHLSENLREICGRINCRMLSEEIQYASYLVYRTVLFGYFTPPVKGVCRFVENESREEAEERASLVSFDERGDSHFLIGTNVPRNRPDGWLEVEIGSFFNVGRNPDNLGEVESIVFAMGDFSMYLNIIVQGIEFRPKPIV >itb15g05200.t1 pep chromosome:ASM357664v1:15:3353409:3354511:-1 gene:itb15g05200 transcript:itb15g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSENLMAMEPWGVFRPGFVDPWFADVFSRETEALTKVLQKSMSEAPAAVLPVFKAEEMVAVGTPSASGPTVSGGSENETAGSKRRSVGGALNGKSKKRKSRAAKRSTTTYITADAAHFRQMVQQVTGFKFNGQLPVAPILKPEPQRAVQRLQPSGGCLPTLDTSAFLLDQNKQTVGSTTATPLLQPAALISPPPPPRMEADASCASAFDLDFFSSFPTLESWKTVM >itb13g03120.t1 pep chromosome:ASM357664v1:13:3072953:3073852:-1 gene:itb13g03120 transcript:itb13g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSFPTQPKWRKVAFGGMQPGFDDNHTDESFLEDMIMNANVVKRDLLKVILDSVSISQYICTVCLVVLVWTYTLKSPLNENSLLVLNVSLLGLGFFTLLLTADMLSFNLLLSYVLKISFFITGLYMLSPIYHTLTRSISSDSIWALTVSLLIIHLFLHNYSGSIVKAPGTLENPTLTSNISLNASIVASLLIASRLPSRLHVFAIVLFSLQVFLFAPLVTYCVKKYSFRLHLCFSFGLMVLTLVLIYQLHTLLFLLLLAVLIFVDLVCPYWLLQLQEYKFEINGPWDEAKLCFAITE >itb09g00980.t1 pep chromosome:ASM357664v1:9:608935:612190:-1 gene:itb09g00980 transcript:itb09g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLYNSVYPLLPLLPSSPKRAHSGKSNSSFSNLHILDKARPRTVISMNSKVDSQAGKRLYLGMDFGTSGARFALIDDDGNMRAEGKREYPLYMKEEAVDWLRSWKETLFSLLEDVPAGFRPYVASISIDGTSATTVILDSTTEEPLSKAFLYNESCPDALPTVKSIAPPNHTVCSGSSTLCKLVSWWNSYESSKKSAVLLHHADWLLWLLHGKLGISDYNNALKVGYDPEAESYPRWLLSQPYSYLLPSVQAPGDSIAFIKEDIKRLYGFPKDCVACAGTTDSIAAFIAARATQPGKAVTSLGSTLAIKLLSTKRVEDARFGVYSHRLDDKWLVGGASNTGGAVLRKLFTDDQIAKLSEQINPLEPSPLDYYPLQTVGERFPVADPKLEPRLHPRPEDDATYLHGILESIARIEAKGYNLLKELGATPVEEVLTSGGGSKNQKWIEIRERVLGIPVRKALQTEAAYGAALLAMKGARQTKVVVT >itb04g05800.t4 pep chromosome:ASM357664v1:4:3754834:3756454:-1 gene:itb04g05800 transcript:itb04g05800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAKTESLEKSSSTEGWTVVLPRRARPKKSSCKTIKAEEQKQEQPWTPQDLKTDPEREAKLMQKMQTCIQKLEKSEFWLTFLNQLQTSETSDRIVNALGSETNMCMVIYGIGSIESYEPPRLQVSLAILMKREFSWIGEMEVFDPIISLTESKVLTALGCSVLSINEHGRRQAVKPTLFFMPHCEAELYDNLLQANWRVDLLNHIVLFGNSFEAYEHHMSVCKDLTSAKSRKHILAARKFSKEVGIHCSDDCFRAFNGSSWHFFSLDSEAALTNLSHD >itb04g05800.t3 pep chromosome:ASM357664v1:4:3754835:3757268:-1 gene:itb04g05800 transcript:itb04g05800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAKTESLEKSSSTEGWTVVLPRRARPKKSSCKTIKAEEQKQEQPWTPQDLKTDPEREAKLMQKMQTCIQKLEKSEFWLTFLNQLQTSETSDRIVNALGSETNMCMVIYGIGSIESYEPPRLQVSLAILMKREFSWIGEMEVFDPIISLTESKVLTALGCSVLSINEHGRRQAVKPTLFFMPHCEAELYDNLLQANWRVDLLNHIVLFGNSFEAYEHHMSVCKDLTSAKSRKHILAARKFSKEVGIHCSDDCFRAFNGSSWHFFSLDSEAALTNLSHD >itb04g05800.t1 pep chromosome:ASM357664v1:4:3754834:3757741:-1 gene:itb04g05800 transcript:itb04g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAKTESLEKSSSTEGWTVVLPRRARPKKSSCKTIKAEEQKQEQPWTPQDLKTDPEREAKLMQKMQTCIQKLEKSEFWLTFLNQLQTSETSDRIVNALGSETNMCMVIYGIGSIESYEPPRLQVSLAILMKREFSWIGEMEVFDPIISLTESKVLTALGCSVLSINEHGRRQAVKPTLFFMPHCEAELYDNLLQANWRVDLLNHIVLFGNSFEAYEHHMSVCKDLTSAKSRKHILAARKFSKEVGIHCSDDCFRAFNGSSWHFFSLDSEAALTNLSHD >itb04g05800.t2 pep chromosome:ASM357664v1:4:3754834:3756559:-1 gene:itb04g05800 transcript:itb04g05800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAKTESLEKSSSTEGWTVVLPRRARPKKSSCKTIKAEEQKQEQPWTPQDLKTDPEREAKLMQKMQTCIQKLEKSEFWLTFLNQLQTSETSDRIVNALGSETNMCMVIYGIGSIESYEPPRLQVSLAILMKREFSWIGEMEVFDPIISLTESKVLTALGCSVLSINEHGRRQAVKPTLFFMPHCEAELYDNLLQANWRVDLLNHIVLFGNSFEAYEHHMSVCKDLTSAKSRKHILAARKFSKEVGIHCSDDCFRAFNGSSWHFFSLDSEAALTNLSHD >itb13g12520.t1 pep chromosome:ASM357664v1:13:18715861:18716211:-1 gene:itb13g12520 transcript:itb13g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTILGFAFPILLTFLQLKYMAKNQVDPFQSHPRTMRVAVASLLLYCMLIGLHRRFSSQRQYRTYAHVFRCTRMLFSGLSLVLTASLFFADEIRPVLCAVCVLFCIGDWAAHHI >itb11g14510.t1 pep chromosome:ASM357664v1:11:11669413:11676712:-1 gene:itb11g14510 transcript:itb11g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGALSLPEFGLEGVDDVEDIPSASEGDSSMSFERFSHLQDLVRMGNNAFRDNRLDEAINFYTKASNAKPNDATILGNRCVSYLRFSLFLKNRSSSDSETRPLSGLDPTIHAGLAFKDAEKVVSLRSSSVKSYILKANALVMLEKYELAQDVIYSGLHIDPLSIPLQNLARFINEGRRSHGKPQRSDDFDCTLCLKLLYEPITTPCGHSFCRSCLFQSMDRCNRCPLCRTVLFLSTRSCAISVTLNNIIQKNFPEEYAERKTENDGLINLGVDLLPLFVMDVILPCQKIQLNIFEPRYRLMVRRIMEGNRRMGMAIVDSATGSIAEYGCEVEITDCEPLPDGRFLLEVESRRRCRIVRNWDQDGYRVAEVEWVHDVLPAEGSRERHELQEMINRTAAFSQQWLQTAQQAARGDRARVAELYRAEGLMPSTQDPEHFSFWLCTLTNRRPPERLELLKLRDTDERIRRALIYMKEDGPACIVQ >itb04g12140.t2 pep chromosome:ASM357664v1:4:11910898:11914601:1 gene:itb04g12140 transcript:itb04g12140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLEIEFLQPEPRPIVQQMEIIPFNKDLIQSLHAKLGFLIQLFDEFRMDGVEAIKDLETKLRDVAFRVEDEIELHLVDLEAFRDLYENDLSYNLVDLFEGWDTEAKKAQHSHRLRDVLQQAIEDIDAIKEEVEKVMMEYKHVIAVQGRETTLDDVKMDGSGSSNHASHTEDIMVGKNNEFETIREMLIQHTSKQLEVVSIQGMGGIGKTTLARRVYEDPSVVSHFDKQIWVVVSQHHNKRQMLLDLLGSKNNSSDEDDLALQLYQSLKGQRYLVVMDDVWSIESWNDVKSCFPDDINGSRVLLTTRVAEVATCIGSNNDFSHQMQFLDQNESWDLFRKKACKSHGVEFETIGRPIVEKCKGLPLAIVVIAGLFSKLNTLDEWKSTANALISSSTSTLYDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYEINANNLTRLWAAEGFVKAFKNESFEAVARRYINELMDRNLIVISRRSSCGRKIKAFRVHDLLHAFCVRECQNQSLLHVADESDSNFPQKGVRWISVSFDDFDISALHSLSKHCRSIFYFLELQAQPPDSINLEFFSLLRVLYVTHHQFHLPSPIVHIHLRYLWLIGALHNPHDSLFSNAWNLQTYSAYAPFRVLLHLKFPQLQYIQCDGFFGAFPKSVLQNLQVISGLQASFHCDQESLIKVPYLKKVSIIMDQINDCIKNLVCLQQLESLSLDFFPRSSGILDMMPQIINSTLLLKNIRKLRFDFMISEWKAINVLSNLPRLEVLKLVDADLGKKWEVPEKVKFCHLICLKIRSHDLMHWEVGADNFPKLERLFLNTCSELRKIPNSFAEIPTLSLIQLERCLPSAVMSAKQIQAEQHEYGNENMIVIQKKTLQVSRSISISFSQLPLIFLPTSFSFITS >itb04g12140.t1 pep chromosome:ASM357664v1:4:11910898:11914601:1 gene:itb04g12140 transcript:itb04g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLEIEFLQPEPRPIVQQMEIIPFNKDLIQSLHAKLGFLIQLFDEFRMDGVEAIKDLETKLRDVAFRVEDEIELHLVDLEAFRDLYENDLSYNLVDLFEGWDTEAKKAQHSHRLRDVLQQAIEDIDAIKEEVEKVMMEYKHVIAVQGRETTLDDVKMDGSGSSNHASHTEDIMVGKNNEFETIREMLIQHTSKQLEVVSIQGMGGIGKTTLARRVYEDPSVVSHFDKQIWVVVSQHHNKRQMLLDLLGSKNNSSDEDDLALQLYQSLKGQRYLVVMDDVWSIESWNDVKSCFPDDINGSRVLLTTRVAEVATCIGSNNDFSHQMQFLDQNESWDLFRKKACKSHGVEFETIGRPIVEKCKGLPLAIVVIAGLFSKLNTLDEWKSTANALISSSTSTLYDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYEINANNLTRLWAAEGFVKAFKNESFEAVARRYINELMDRNLIVISRRSSCGRKIKAFRVHDLLHAFCVRECQNQSLLHVADESDSNFPQKGVRWISVSFDDFDISALHSLSKHCRSIFYFLELQAQPPDSINLEFFSLLRVLYVTHHQFHLPSPIVHIHLRYLWLIGALHNPHDSLFSNAWNLQTYSAYAPFRVLLHLKFPQLQYIQCDGFFGAFPKSVLQNLQVISGLQASFHCDQESLIKVPYLKKVSIIMDQINDCIKNLVCLQQLESLSLDFFPRSSGILDMMPQIINSTLLLKNIRKLRFDFMISEWKAINVLSNLPRLEVLKLVDADLGKKWEVPEKVKFCHLICLKIRSHDLMHWEVGADNFPKLERLFLNTCSELRKIPNSFAEIPTLSLIQLERCLPSAVMSAKQIQAEQHEYGNENMIVIQKKTLQITPDVESALLQQVMSLTPEQLSSLPPDQRQQVLQLQRMLRQPT >itb04g12140.t3 pep chromosome:ASM357664v1:4:11910991:11914438:1 gene:itb04g12140 transcript:itb04g12140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLEIEFLQPEPRPIVQQMEIIPFNKDLIQSLHAKLGFLIQLFDEFRMDGVEAIKDLETKLRDVAFRVEDEIELHLVDLEAFRDLYENDLSYNLVDLFEGWDTEAKKAQHSHRLRDVLQQAIEDIDAIKEEVEKVMMEYKHVIAVQGRETTLDDVKMDGSGSSNHASHTEDIMVGKNNEFETIREMLIQHTSKQLEVVSIQGMGGIGKTTLARRVYEDPSVVSHFDKQIWVVVSQHHNKRQMLLDLLGSKNNSSDEDDLALQLYQSLKGQRYLVVMDDVWSIESWNDVKSCFPDDINGSRVLLTTRVAEVATCIGSNNDFSHQMQFLDQNESWDLFRKKACKSHGVEFETIGRPIVEKCKGLPLAIVVIAGLFSKLNTLDEWKSTANALISSSTSTLYDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYEINANNLTRLWAAEGFVKAFKNESFEAVARRYINELMDRNLIVISRRSSCGRKIKAFRVHDLLHAFCVRECQNQSLLHVADESDSNFPQKGVRWISVSFDDFDISALHSLSKHCRSIFYFLELQAQPPDSINLEFFSLLRVLYVTHHQFHLPSPIVHIHLRYLWLIGALHNPHDSLFSNAWNLQTYSAYAPFRVLLHLKFPQLQYIQCDGFFGAFPKSVLQNLQVISGLQASFHCDQESLIKVPYLKKEAEV >itb06g08610.t2 pep chromosome:ASM357664v1:6:12629324:12633108:-1 gene:itb06g08610 transcript:itb06g08610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSASLLLPAFGFPSINTEKEDTDSMYSMLKTYSFKEEHLNKQSGLKRNAKMKLKTHVPLNNLVVEKEEKDSGEEGKCNEFSLKSKPSISCPEPYVYSSPRPVLDAAATKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSISFFNIDKQETAASRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWTASRSTQPFFYWLDVGDGKELSLKKCQRVDLQRQCIKYLGPKEREAYRVIVEEGKLVYKESGSLLNTEEGSKWIFVLSTARSLYVGQKKKGTFQHSSFLSGGATTAAGRLVAHDGILEAIWPYSGHYLPTEDNFKEFISFLEEHNVDLTNVKRCAIDDDKPSLIGSGSKKETAESESKETGTPSEEVSKPAVSQEEASKPRKARPPPVFDLGKRLSCKWSSGVGARIGCVRDYPLDLQSQALEKVNLSPRVNGAPHQTKDYYNLPIPSPRPSPKIRLSPRLAYMGLPSPRNFSAQ >itb06g08610.t1 pep chromosome:ASM357664v1:6:12629249:12634074:-1 gene:itb06g08610 transcript:itb06g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSASLLLPAFGFPSINTEKEDTDSMYSMLKTYSFKEEHLNKQSGLKRNAKMKLKTHVPLNNLVVEKEEKDSGEEGKCNEFSLKSKPSISCPEPYVYSSPRPVLDAAATKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSISFFNIDKQETAASRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWTASRSTQPFFYWLDVGDGKELSLKKCQRVDLQRQCIKYLGPKEREAYRVIVEEGKLVYKESGSLLNTEEGSKWIFVLSTARSLYVGQKKKGTFQHSSFLSGGATTAAGRLVAHDGILEAIWPYSGHYLPTEDNFKEFISFLEEHNVDLTNVKRCAIDDDKPSLIGSGSKKETAESESKETGTPSEEVSKPAVSQEEASKPRKARPPPVFDLGKRLSCKWSSGVGARIGCVRDYPLDLQSQALEKVNLSPRVNGAPHQTKDYYNLPIPSPRPSPKIRLSPRLAYMGLPSPRNFSAQ >itb09g12350.t2 pep chromosome:ASM357664v1:9:7801948:7808884:1 gene:itb09g12350 transcript:itb09g12350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTRLNGGGEVNRSGPAGMPSFISQTPVSNPMGTEGNTAHTSQVSDFGVLEQYLGFRIGDGANVNQSNLFNSMPASNPSLVAGGSGILNKAIASSNASLPAAAPGSLALQLQKGPQTNQVPLSGANHEIWAERNMADSSSRTDTSSDMDGDDRNQQFDMGQSIAAVASDSSDRSKEKNLDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVYISSMGDQSHGTGTNGALTFNVEYARWLEEQNKRVNELRAAVNAHASDTELRTIVDNVTAHFDEVFKLKGNAAKSDVFHVLSGMWKSPAERCFMWIGGFRPSELLKLLANQLEPLTEQQMAGLYKLQQSSHQAEDAISQGMEALQQSLAETLANGASVPDGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRVLTTRQSARALLVINEYFSRLRALSSLWLARPQE >itb09g12350.t1 pep chromosome:ASM357664v1:9:7801948:7808884:1 gene:itb09g12350 transcript:itb09g12350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTRLNGGGEVNRSGPAGMPSFISQTPVSNPMGTEGNTAHTSQVSDFGVLEQYLGFRIGDGANVNQSNLFNSMPASNPSLVAGGSGILNKAIASSNASLPAAAPGSLALQLQKGPQTNQVPLSGANHEIWAERNMADSSSRTDTSSDMDGDDRNQQFDMGQSIAAVASDSSDRSKEKNLDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVYISSMGDQSHGTGTNGALTFNVEYARWLEEQNKRVNELRAAVNAHASDTELRTIVDNVTAHFDEVFKLKGNAAKSDVFHVLSGMWKSPAERCFMWIGGFRPSELLKLLANQLEPLTEQQMAGLYKLQQSSHQAEDAISQGMEALQQSLAETLANGASVPDGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRVLTTRQSARALLVINEYFSRLRALSSLWLARPQE >itb08g01590.t1 pep chromosome:ASM357664v1:8:1176522:1177157:1 gene:itb08g01590 transcript:itb08g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELERSRAMEAGKKTRGRQKIPMRRIEKDGDRFATFSKRRKGFYKKATELCWLSGCDIGIVLTSPTGKMFSFFNPSPESIIRRVFGRGINLDGGELSQAVDEHSRRRVNELYEMIDGVEARREVLNQKSKALDQSISSNTWWETPVSDFSLEDVERCQAFLEELQTKASICFDALNNGGGGSSISIASQPQNAAVFSPYPLLPSNAGNV >itb10g23270.t1 pep chromosome:ASM357664v1:10:27705067:27707220:-1 gene:itb10g23270 transcript:itb10g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLCRLFLNIFPFLFLLLASLVCSLSFDLPSIGPGDANVRIKLEGNASITDQGIQLTPNEPELELMRNKASRATYVEPLHLWDRASGDLADFTTNFIFTISSDGSNNGYADGLAFFLANFSTPLNVTLTQGGGLGLMNETQSTPPDPFVAVVFDTFSHQTNQAQTNVRININSMLEPVTIKPWLNEIPLGMSNTASITYIASSKILQVNFTGSWKGNYQTDSLSYKVDLTKILPEFVSVGFSAATGWFFEKNTVSSWQFDSTPLWPNNVSASQAPKISPPQQQYTVPAPGPGTSMVSKGRKLKKGLVIAGSSIGVLVFIAVLSIAISAYFKKRGAKRNNHQIILGAAMEGEFEMAGYGPKNFSYSELASATDNFSEEHKLGEGGFGGVYSGFLRDLNLDVAVKRVSSQSKQGIEEYTSEVKIISQLRHRNLVPLHGWCHENGELLLVYEYMPGGSLDSHLFKRKSPLNWRLRYKIAQGLASALSYLHEDWEQCVLHRDIKSSNVLLDSSFNARLGDFGLAWLVDHEQTPEKTYLGGSHFSGIKNVEIQEFEYPSSSSQNSRFTSSSASAGSSLPYTI >itb03g16560.t2 pep chromosome:ASM357664v1:3:15469979:15473534:-1 gene:itb03g16560 transcript:itb03g16560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMFLKDTYRTKMIINLFLPENGDEDELRKLDFASKEWGFFQGWSNWVYKSIEHRAVTNQTKARISTAAFVIPEDQNAEGRGIGMGGSMTVASVQELVRNHPENVPERYIQNNESGPMISQVFPDLPEITVINLSLMAIEMKMKEKSQQKLEWCDLMLLVTTPSKHRSMKYWPQHYRDSRKQSRTIHLDCKRVTDKIFGNLSLLLGLEKEALKDLHREMKQSVRITYYPPCAKPDLVLRISPHSDASTITLLLQDDEISGLQIKYKGRWLPVKPVPQIKYKGRWLLVKPVPSALVNIGDIVEAWSNGMYKSIEHRAVVNEKKARISVATFISPEDEVALLRSWWMTVTVLDSIER >itb03g16560.t1 pep chromosome:ASM357664v1:3:15469979:15470845:-1 gene:itb03g16560 transcript:itb03g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDMANFFVVSGQQKLEWCDLMLLVTTPSKHRSMKYWPQHYRDSRKQSRTIHLDCKRVTDKIFGNLSLLLGLEKEALKDLHREMKQSVRITYYPPCAKPDLVLRISPHSDASTITLLLQDDEISGLQIKYKGRWLPVKPVPQIKYKGRWLLVKPVPSALVNIGDIVEAWSNGMYKSIEHRAVVNEKKARISVATFISPEDEVALLRSWWMTVTVLDSIER >itb02g06130.t2 pep chromosome:ASM357664v1:2:3810102:3811558:1 gene:itb02g06130 transcript:itb02g06130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVGVLFLFLSLVASSYAQSCSNFAFSGNRVFATCNNLPVLNSVLHWTYHQANHTADIAYRHAAVTASNWVAWGLNVGGSGMRGTQCLVAFQNSSGSIHAYTSPIPTSSYATQLAEGSLSFGVSKISAELTGGEIIIFATLALPAGRTNFNQAWQDGSLSGTTPQAHAQTGNNMRSTGTVDFATGQTSAGGGSDSFESVLRRRHVHGVLNAVSWGILMPMGAVIARYLKVFKSADPAWFYLHVACQTTAYAVGVAGWGTGLKLGSDSKDVTQDTHRNIGITLFALGTLQVSKSSPIPIEIYQFLFLTFQIQWSIINKINSIKGRTKRPSKGHAEELPYIYIYIYI >itb02g06130.t1 pep chromosome:ASM357664v1:2:3809820:3812110:1 gene:itb02g06130 transcript:itb02g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVGVLFLFLSLVASSYAQSCSNFAFSGNRVFATCNNLPVLNSVLHWTYHQANHTADIAYRHAAVTASNWVAWGLNVGGSGMRGTQCLVAFQNSSGSIHAYTSPIPTSSYATQLAEGSLSFGVSKISAELTGGEIIIFATLALPAGRTNFNQAWQDGSLSGTTPQAHAQTGNNMRSTGTVDFATGQTSAGGGSDSFESVLRRRHVHGVLNAVSWGILMPMGAVIARYLKVFKSADPAWFYLHVACQTTAYAVGVAGWGTGLKLGSDSKDVTQDTHRNIGITLFALGTLQVFALLLRPKPDHKLRFYWNIYHHAVGYTVIILSVINVYEGFDVLNGQKNWKKAYTGVIIFLGAVAALLEAFTWFIVLKRRSANKQHPAPHHAANGNGYSV >itb01g31630.t1 pep chromosome:ASM357664v1:1:35289680:35290999:1 gene:itb01g31630 transcript:itb01g31630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWRLLSSAVTLTGKSLSFQNRVTTTLLNPNTKTLFQISPLLASHFSTSFLVTKTPKKFRKKRKKKKKESPRTKLVQTQPNLVRHFEQLLLRDNHLRFLTKTKQFLSKQPENILRLDDAGKLHQQLGFPRGRKAVKFIQRHPSIFQIYRHSDGKLWLGFTEFMDELLEEESRIMNDMEDHRILVVRKLLMMSKDKRIALSKIYHNRLLFGIPEDFRDRIVKYPEYFRVVVENDGKRMLELVNWDPLLAVSALEKEFMVDEDKAKKAFKFPVKHGRALDLDEDDERRLNLLNTLPLVSPYSDGSKLDLWTLEAEKFRVGVIHEFLSLTLEKRAYIHNIVEFKDEFCLTKHTYQMLLKQPRTFYLGGTEMNWCVFLRDGYGEDGSLIIKDPQVVFDEKLYRYADMQELQARNDES >itb13g00410.t1 pep chromosome:ASM357664v1:13:326890:329024:1 gene:itb13g00410 transcript:itb13g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLPSIVAPFATTLLLVVLIYHFLFHKRSSQKPPEAGGAWPIIGHLHLLAAPRPAFKILADMADKYGPIFRLRLGAHQVLVVSNSQIAKECFTTNDRALASRPKAIASEIMGYNYAMLGLAPYGHYWRHARKVVMLELLSNRRLEALRRVWESEVRSFTQEIYGRWVRDKDNESEDVKLDMKEWFGKLTMDIMTKILFGQRYDEEGNQTVVTIRRFFDLLGAFVVGDYLPWLRWMDIGGHEKAMKETAKEMDSLVEGWLQEHKRKRDTKPKEEEDFMDGLLSSFGDANDIPKDFGADTIVKATCMAMLSAGTDTTTITLIWALSLVLNNYTVLEKIQAELDTHVGRERHINHSDLNNLTYLQAVVKETLRLYPAGPLALPHEFIDDCIINGYHVPKGTRVLVNVSKVHQDPDFWSDPNAFRPERFLSEHNKIDVKGNDFELIPFSSGRRMCPGTSLAIQVMEFILASLIQGFDLKRISDMPIDMTDGVGLTNMKATPLFASLTPRLPSHLYN >itb11g19600.t1 pep chromosome:ASM357664v1:11:20361534:20362148:-1 gene:itb11g19600 transcript:itb11g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFLSLSLILFSVLLCESRNAPNPPVLDINGKTLRATTKYYVKPLQEDLGGGLDLAPMGNQSCPQHVVQDNNDWLGQVIQFHPVNSKDGVIRESTDLNIAFPNANTGCPESNVWTIIGDVSWYDDTQYVTAGGEIGNPGERTLVNWFKIVKTAKAYKLRFCPDVCSSCDFVCQDVSVTAEGDRGQKYLVLSDAPLEITFAKA >itb02g18080.t1 pep chromosome:ASM357664v1:2:14468354:14472671:-1 gene:itb02g18080 transcript:itb02g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDAVNGGGDVWINDNRFRIVRQLGEGGFAYVYLVKEILSDPAKPGVSKKFKDPSHASENGTYAMKKVLIQNSEQLDLVKEEIRVSSLFSHPNLLPLLDHAIIAVKASEGQSWKHEAYLLFPVHMDGTLLDNATAMKAKKESFSTTDVLQIFRQLCAGLKHMHNFEPSYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQIRSRSEALQLQEWASEHVSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGEAGGSLQLAIVNAQVKWPTGPSDPKYPEALHQFVTWMLQPQATVRPHIDDIVIHVDKLISKFSH >itb11g10420.t1 pep chromosome:ASM357664v1:11:7342859:7343937:1 gene:itb11g10420 transcript:itb11g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRMRARGRGAASRKRGGLEGGVGIMEDLLDISPKQPSPSSPPPSPPPGFSSLSTQTVCRGYVTRQAKASGFARCGALRSLPSTTKRAQRIIPGPKEINNDAAPHNLPLDFEHRTEVLLQFKLTTSLFCLLNILMKFSLLMLIMIDCNGKIVIYICPHV >itb13g20100.t1 pep chromosome:ASM357664v1:13:26925140:26927565:-1 gene:itb13g20100 transcript:itb13g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSITAAMALLSPSISSIAFDNRPSPCPAPTSRRRGGGRRLPVLSSFTTNSALFNRLSSASVAPTTATLSIVKRKVACQAVGESSPPPSDSTVVYQGIYGPWTVEDSDVREVILYRSGLVTAAVSFVLASSAVLLPDDFVLRDLIESNLDILYLLGACGLGLSLYLIHIYVTEIKRTLQAFWAIGVFGSLATYSALAQPAGSSLVQYVIENPTAVWFVGPLFAALTGLVFKEGLCYGKLEAGILTFVIPSVLLGHLTGLMDDGVKVTLLSVWMALFLVFAGRKFTQPIKDDIGDKSVFIFNALPEEEKAALIDKLDLQKYQENSK >itb12g12590.t1 pep chromosome:ASM357664v1:12:11316698:11317282:-1 gene:itb12g12590 transcript:itb12g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKVVGICGLLFMIFTATSPAAHSVTFGPEAVDQWFKMLPHAEEKVTKLHFYFHDILTSKNPTALKIAESDITNKSATLFGFLAMADDPLTVGPEPNSRIIGRAQGIYGSAAFNEIGLLMTLNFVFTEGEYNGSTLSILGRNPILNEYREMPIVGGSGVFRLARGIATAKTYWFNATSNNAIVEYHVVVLHY >itb01g20660.t1 pep chromosome:ASM357664v1:1:26888065:26888662:-1 gene:itb01g20660 transcript:itb01g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGTVEELRLELGEKAKMIEKLKCDGVEKAKMIEKLKCDGVEMAKMIEKLKCDGVEMEHKLSWSMVEMERLKISLEAAESKVTELCDAQEKARGILIKGLGGEIPEKLEEGV >itb10g25850.t5 pep chromosome:ASM357664v1:10:29004947:29010507:-1 gene:itb10g25850 transcript:itb10g25850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEENESCGSTGCGVADSSAPANARHHAKKVEVYNEVLRRLKDLNNHDAQLPGFDDQLWAHFNRLPTRYALDVNVERAEDVLTHKRLLHLACDPANRPAFDVRLVQVAPVSDVNSLESVCLNSPEKEVTRSIHPPPSFGSSPNLEALALEASKSQVQEGNTTLQPSAKLSRYIAQPMHEITFSTVDKPKLLNQLTSLLAELGLNIQEAHVFSTIDCFSLDVFVVDGWPYEETELLRTALEREILKVEKHWWQNKSSSQSLSEGEHNHMVIKCEPDHLTILNDVTDVWEIDPQLLKFEYKIASGSYGDLYKGTYCSQEVAIKILKSDRVNTELHKEFAQEVYIMRKVRHKNVVQFIGACTKPQNLCIVTGVSQ >itb10g25850.t4 pep chromosome:ASM357664v1:10:29004947:29010507:-1 gene:itb10g25850 transcript:itb10g25850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEENESCGSTGCGVADSSAPANARHHAKKVEVYNEVLRRLKDLNNHDAQLPGFDDQLWAHFNRLPTRYALDVNVERAEDVLTHKRLLHLACDPANRPAFDVRLVQVAPVSDVNSLESVCLNSPEKEVTRSIHPPPSFGSSPNLEALALEASKSQVQEGNTTLQPSAKLSRPMHEITFSTVDKPKLLNQLTSLLAELGLNIQEAHVFSTIDCFSLDVFVVDGWPYEETELLRTALEREILKVEKHWWQNKSSSQSLSEGEHNHMVIKCEPDHLTILNDVTDVWEIDPQLLKFEYKIASGSYGDLYKGTYCSQEVAIKILKSDRVNTELHKEFAQEVYIMRKVRHKNVVQFIGACTKPQNLCIVTGVSQ >itb10g25850.t1 pep chromosome:ASM357664v1:10:29001591:29010508:-1 gene:itb10g25850 transcript:itb10g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEENESCGSTGCGVADSSAPANARHHAKKVEVYNEVLRRLKDLNNHDAQLPGFDDQLWAHFNRLPTRYALDVNVERAEDVLTHKRLLHLACDPANRPAFDVRLVQVAPVSDVNSLESVCLNSPEKEVTRSIHPPPSFGSSPNLEALALEASKSQVQEGNTTLQPSAKLSRPMHEITFSTVDKPKLLNQLTSLLAELGLNIQEAHVFSTIDCFSLDVFVVDGWPYEETELLRTALEREILKVEKHWWQNKSSSQSLSEGEHNHMVIKCEPDHLTILNDVTDVWEIDPQLLKFEYKIASGSYGDLYKGTYCSQEVAIKILKSDRVNTELHKEFAQEVYIMRKVRHKNVVQFIGACTKPQNLCIVTEYMSGGSVYDYLHKQKGSFKLPDLLKVGIDVAKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGIMTAETGTYRWMAPEVIEHKPYDQRADVFSFGIVLWELLTGKLPYEYLTPLQAAIGVVQKGLRPTLPKQTHPKLAELLEKCWQHDPTCRPDFSEITESLQQMAKEVGDEGHDRRKQKPSGGFLSALRHRHR >itb10g25850.t3 pep chromosome:ASM357664v1:10:29001832:29010508:-1 gene:itb10g25850 transcript:itb10g25850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEENESCGSTGCGVADSSAPANARHHAKKVEVYNEVLRRLKDLNNHDAQLPGFDDQLWAHFNRLPTRYALDVNVERAEDVLTHKRLLHLACDPANRPAFDVRLVQVAPVSDVNSLESVCLNSPEKEVTRSIHPPPSFGSSPNLEALALEASKSQVQEGNTTLQPSAKLSRPMHEITFSTVDKPKLLNQLTSLLAELGLNIQEAHVFSTIDCFSLDVFVVDGWPYEETELLRTALEREILKVEKHWWQNKSSSQSLSEGEHNHMVIKCEPDHLTILNDVTDVWEIDPQLLKFEYKIASGSYGDLYKGTYCSQEVAIKILKSDRVNTELHKEFAQEVYIMRKVRHKNVVQFIGACTKPQNLCIVTEYMSGGSVYDYLHKQKGSFKLPDLLKVGIDVAKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGIMTAETGTYRWMAPEVIEHKPYDQRADVFSFGIVLWELLTGKLPYEYLTPLQAAIGVVQKGLRPTLPKQTHPKLAELLEKCWQHDPTCRPDFSEITESLQQMAKEVGDEGHDRRKQKPSGGFLSALRHRHR >itb10g25850.t2 pep chromosome:ASM357664v1:10:29001594:29010524:-1 gene:itb10g25850 transcript:itb10g25850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEENESCGSTGCGVADSSAPANARHHAKKVEVYNEVLRRLKDLNNHDAQLPGFDDQLWAHFNRLPTRYALDVNVERAEDVLTHKRLLHLACDPANRPAFDVRLVQVAPVSDVNSLESVCLNSPEKEVTRSIHPPPSFGSSPNLEALALEASKSQVQEGNTTLQPSAKLSRYIAQPMHEITFSTVDKPKLLNQLTSLLAELGLNIQEAHVFSTIDCFSLDVFVVDGWPYEETELLRTALEREILKVEKHWWQNKSSSQSLSEGEHNHMVIKCEPDHLTILNDVTDVWEIDPQLLKFEYKIASGSYGDLYKGTYCSQEVAIKILKSDRVNTELHKEFAQEVYIMRKVRHKNVVQFIGACTKPQNLCIVTEYMSGGSVYDYLHKQKGSFKLPDLLKVGIDVAKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGIMTAETGTYRWMAPEVIEHKPYDQRADVFSFGIVLWELLTGKLPYEYLTPLQAAIGVVQKGLRPTLPKQTHPKLAELLEKCWQHDPTCRPDFSEITESLQQMAKEVGDEGHDRRKQKPSGGFLSALRHRHR >itb15g01350.t1 pep chromosome:ASM357664v1:15:809612:813201:-1 gene:itb15g01350 transcript:itb15g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISDGDLPAGVAEVYSSAGKNPEKIIIDTDPGIDDTVAILMAFQTPHLEILGLTTIFGNVTTKNATRNALLLCEIAGRPDVPVAEGSPEALKRGKPRIADFVHGADGLGNLSLPPPKSKKSEKSASDFLVDKVSEFPGEVSILALGPLTNLALAVKKDSSFASKVKRIVILGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANIDVVGIDITTQVKMTDADLDELRQSNGRHAQFICDTCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTFRKGVVRVETQGICTGHTLMDQGLKGWNTSNPWTGYSPVSVAWTVNVDEVLSYVKNMLMKP >itb03g14520.t1 pep chromosome:ASM357664v1:3:14193994:14196867:1 gene:itb03g14520 transcript:itb03g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCRKIGDPTIRPNSDDSPLAFSTSHPIPELASPSSVRVRVKATSLNFANYLQVQGLYQEKPPLPFIPGSDYSGIVDAVGPKVTKFRAGDRVCTFAALGSFAEFIVADESDLFRVPDGCDLVEAAALPVAYGTSHVALAYRAQLRQNQVLLVLGAAGGVGVSAVQIGKVTGATVIAAARGDEKVQFLKSLGADHVVDVSKDNVIENVRAFLKSRKLRGVDVLYDPVGGKLSKDSLKLLNWGAQILIIGFASGQVPVVPANIALVKNWTIHGLYWGSHKIHRPAVLEDSLNELLSWLSRGLITINISHTFSPSEANLAFSALKDRKAIGKVLLTFGDGKTVQSKL >itb10g02220.t1 pep chromosome:ASM357664v1:10:1860671:1867282:1 gene:itb10g02220 transcript:itb10g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASEAIMPMAVTANAATPPDGVMVDSDEPTNQQGRNRHHRYTHEQIQELETFFRVCPYPNENQRNELSRALELEPNQVKFWFQNKRTQLKALNEHEYNLQLHKEYEKLQEENLQYKIALACIRCRQCGVPCINGFVVEEHQLKLENTRLRNQIYRISSIATRFRFGMMSLLPMQNEAPSEVSFTTIPPSFGEVVKIYGNNVVLNKEVYGRLCSKGRSIAIANPTAGFKTEASRESACVKINRIQLVDAFMNVVTWVEHIEVDNDDNEVVSIYEALLNSDMAFGAKRWVSTLHQRCQRTACTMSLITPPANENLVITPNGKKSTFKLVERMVNNYMSVVSCSVPLQWQILWAGADNVRIMTKKVLNEAGIPSGVHLSAATSFWLPIEPKRVFDFLNDKHQRAKWDIFSSSCALTEVAHIIYGGEIENSVSLFRVENPSMSNTMILQETSSSSTGSYIIYAPVETSDMKLVSQGGDSKQIPLLPCGFVILPDGRPPETGSKTGSSDGSLLTIVFQLLVDSIPTTKLSPESVTMINQLTIETVEKITSCLF >itb05g17940.t1 pep chromosome:ASM357664v1:5:24796370:24798661:-1 gene:itb05g17940 transcript:itb05g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MPPSLEASSSIIDLPLLVQKCASITLVRKARELHGLILTFAPTTSKSPYVYNNILSMYARCGSLTDARALFDNMPQRSTVTYNALISAYSSSPHLGVLVFRLLSQLQNERLRPNGSTFTSLLQASSTIKNRTLGSAVHAQCLKFGFLDNVPIQTSLLGTYSNCGDLDSAYKVFRCMAHADSVAWNSFIFGHIENGEMRESLKLFRSMLRTEVCPTQFTFSMLLNACSRLRDYHTGQLLHAQVILSGAYIDLPLHNALLDMYCSCGDTVAALDVFEKIENPDLVSWNSMIAGYAENGDGEKAVRVFVQFLRRSLCKPDEYSFAAVISATCMFPAADYGKPLHAKAEKAGLQSSVYVGSTLLAMYFSNEEVESAHKIFYSVSEKDVVLWTEMIAGHCRAGDTDGALRFFHGMLQDGLNIDSFALSATLNACAEGATLQQGEMIQSLVVKAGYDSEMSVCGNLVDMYAKNGNLKAAELVFSLTTAPDLKCWNTMLGGYGYHGEPEEAFRTFNKIINHGLEPDQVTFLSLLATCSHCGLVSKAKYFWNCMKEKGIKPGPKHYSCMIALLSRAGLLEEAEGMITEFPLGDYHLELWRILLSSCARYGDLRIGIRAAEQVLSLDADDSATNILLSNLYAAAGRWDAVKEMRRKIKGLMLEKEPGLSWLEAVNNKIHVFSSGDQSHLQNGEMQVVLQTLLGNMTHSGPGEFDLTGW >itb15g16440.t1 pep chromosome:ASM357664v1:15:16020680:16027013:-1 gene:itb15g16440 transcript:itb15g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGLSRNDQGEWMKGFIYGIGTCLEDMAEAWALFKGIQMAKLLGARKVCFESDSKTIVNVITNDSACDSFTDNVLFACKKELANIQAWHLAFIPRELNTAADHLAKLAASRKGTTVLHQPPERNYLPIISLLLLKNRWKTSPIADSRGERHCARRRSLAATALTETNRFTVDEEATTIRPPPVLTATPPAATVA >itb03g03640.t2 pep chromosome:ASM357664v1:3:2134478:2138865:1 gene:itb03g03640 transcript:itb03g03640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLNLLLFDVQNRVKSGVLISQLIMKLFWALIFAVLYNGYLSEGVNSTLSSTRPGVVNIGCILTFDSDVGKVTKVAVEAAVEDVNSDPTVLGGTELNINMLDSNSSGFLGIVEAIRFMETETVAILGPQSSVIAHVISHIANELRVPLLSFAASDPTLSSLQYPFFVRTSQNDLFQMAAIASIIEHYGWREVNAIYVDDDYGRNGIAALADQLAMRRCRISYKAPLKPEPTIDDMRDMLVQVALTESRILIVHTYSPEGLKIFSLGKNLGMADSGFVWIATYWLSTYLDTNGPLSSDIMENIEGAITFRPYIPDSERKRNFTSRWSDLVRRFGTDRHLGLSTYGLYAYDTVRLLAYALDAFFKQGGNISFSIDPRLEEAGSGGLNISMNIFDGGKLLLDKILKTSVTGVTGLFKFTEDKELYRPAFEVINVIGNGIRKVGYWSNYSGLSVVPPESLYSFPPNHSLSSQKLYPVIWAGHTIQKPRGWVFPNNGRQLRVGVPNRVSFLEFVEQAPGSDMFKGYCIEVFTAALNYLPYAVPYKFVPFGDGHNNPDDTELVRGIAEGDYGAAVGDIAITTQRTKMVDFTQPYIDSGLVVVAPVKERGSSAWAFLSPFTPMMWCITGMFFFVIGAVVWVLEHRMNDDFRGPPRKQIETIFWFSLSTLFFAHRENTVSTLGRIVLVIWLFVVLIITSSYTASLTSILTVQHLSSPIKGIESLMTTNDPIGYQLGSFARNYLIEELGIDESRLVPLNLPEDYAKALRDGPSKGGVAAVVDQRAYIELFLSSHCDFSILGQEFTRNGWGFAFPKDSALAVDMSTAILKLSEDGELQRIHDKWLLRSACTSQNTKLEDNRLQLKSFWGLFLISGSVCVLALLVYFALLTRKFMRHYSKPESEPEPSSRGSSRTARLQTFLSFVDEKENSVKCRSKKRELEGVSARSTGEDAE >itb03g03640.t1 pep chromosome:ASM357664v1:3:2134282:2138865:1 gene:itb03g03640 transcript:itb03g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKTIWVKSGVLISQLIMKLFWALIFAVLYNGYLSEGVNSTLSSTRPGVVNIGCILTFDSDVGKVTKVAVEAAVEDVNSDPTVLGGTELNINMLDSNSSGFLGIVEAIRFMETETVAILGPQSSVIAHVISHIANELRVPLLSFAASDPTLSSLQYPFFVRTSQNDLFQMAAIASIIEHYGWREVNAIYVDDDYGRNGIAALADQLAMRRCRISYKAPLKPEPTIDDMRDMLVQVALTESRILIVHTYSPEGLKIFSLGKNLGMADSGFVWIATYWLSTYLDTNGPLSSDIMENIEGAITFRPYIPDSERKRNFTSRWSDLVRRFGTDRHLGLSTYGLYAYDTVRLLAYALDAFFKQGGNISFSIDPRLEEAGSGGLNISMNIFDGGKLLLDKILKTSVTGVTGLFKFTEDKELYRPAFEVINVIGNGIRKVGYWSNYSGLSVVPPESLYSFPPNHSLSSQKLYPVIWAGHTIQKPRGWVFPNNGRQLRVGVPNRVSFLEFVEQAPGSDMFKGYCIEVFTAALNYLPYAVPYKFVPFGDGHNNPDDTELVRGIAEGDYGAAVGDIAITTQRTKMVDFTQPYIDSGLVVVAPVKERGSSAWAFLSPFTPMMWCITGMFFFVIGAVVWVLEHRMNDDFRGPPRKQIETIFWFSLSTLFFAHRENTVSTLGRIVLVIWLFVVLIITSSYTASLTSILTVQHLSSPIKGIESLMTTNDPIGYQLGSFARNYLIEELGIDESRLVPLNLPEDYAKALRDGPSKGGVAAVVDQRAYIELFLSSHCDFSILGQEFTRNGWGFVSSLSLYIFCSSLMYLSWILDCKHFEHAILY >itb04g33740.t1 pep chromosome:ASM357664v1:4:35907640:35907888:1 gene:itb04g33740 transcript:itb04g33740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIERFGMENGFDEDEFYYRNRKEKRARTKDDDVLYGIFADPEEGRAKKPRKKDLEIHIDSDLKDDDRPGDWSIIFWAWIL >itb07g17970.t1 pep chromosome:ASM357664v1:7:22314573:22322798:-1 gene:itb07g17970 transcript:itb07g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLERYWPSYYCTLSSACGSPKGSNWAYEYSLPAQVLNPYASRSLAKILAESQPSSSQVRRNSGKSWTPNAACKDIRLRIMGYAQLVIGPAGSGKSTYCSNLHRHCEATRRTIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELHLGPNGQIELFSHVPVLKNFVEHLKRKNFNVCVVYLLDSQFITDVTKFINGCMASLSAMVQLELPHVNILSKMDLVTNKKDIDNYLNPEPQLLLADLNQRMAPQFQKLNKSLIELVDQYSMVSFMPLDLRKESR >itb10g17170.t1 pep chromosome:ASM357664v1:10:23401799:23406088:1 gene:itb10g17170 transcript:itb10g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCFLLSLLLAISCFLLRSGASYSVSNSSQNLAQVKESCDPGWSSGPSGTKCYKYISNSDSWDDSETNCTNSGGTLAAITSLEELTFVQKLCVEVTKGCWVGGRSSNNTGGLGWKWSDGTSNWNVNLSPKVTNNSSCQNFTCNIDSAVDICTLVTNGTSVLIAERCNVSHAFICMVNAGSKCHNMRCHREYLIILAVVSGLILCSTMAVVIWLLIYKRSKRRKRSRAALALVPPSWKIFTREEIKSITKNFSEGNRLIGDAKTGGTYSGVLPDGSRVAVKRLKRSGFQRKKEFYSEIGRVARLHHPNLVAIKGCCYHHSDRYIVYEFVANGPLDRWLHHIPRGGRCLDWTMRMKIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEDFGAHLMGVGLSKFVPWEVMHERRVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDSVGWQSIFEWATPLVQAHRYVELLDPLISSSSSSQIPEVGVVQKVVDLVYSCTQHVPSMRPRMSHIVHQLQQLAQPPIVK >itb11g22070.t1 pep chromosome:ASM357664v1:11:23889551:23893562:1 gene:itb11g22070 transcript:itb11g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G33320) UniProtKB/Swiss-Prot;Acc:Q8RXN3] MQSSAISFSSPISAPRKHAAGAAASSIYRFNPISLSPSRPAPLQLRLGSANGVAAARKLGSIRCSSDSSSSAPKLSLDGWISSPLPASERESGGVEVRAASVPESAGEASQSNKLGDTLVLGSLFGLWYLFNIYFNIYNKQVLKVFHFPVTVTLVQFAVGSVLVTLMWTLNLYKRPKISGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEFPTVWVVSSLLPIVGGVALASMTEPSFNWAGFWSAMASNLTNQSRNVLSKKFMVKKEESLDNITLFSIITIMSFFLLAPFALFMEGVKFTPAYLQSAGLNVNQIYTRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVTAINAIGTGVALAGVFLYSRVKRIKPKPKTA >itb02g10390.t2 pep chromosome:ASM357664v1:2:6707385:6711662:-1 gene:itb02g10390 transcript:itb02g10390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNTPYNNSHMMTDLEADQRGQIHPYGNVTAFPQPNVHSLLPVRVSGNVGNGFLHPLPEHHNNTLFYGMTQYNRTIPQHPAANLDPALATPSNHHDPYMAAPSATRDFLIPVNHGMHDQLSFSNNHGMVGIQTASHGISNPYVDGVRGSFKIKSAEGIHENLHYHHAMVGSSSSVAPVIARAHESDASLMNATPMLPDHGDSSSVIEDGSQRSVRNGPLVSGPDSVAAQGTNHFVHGNYAGPFQLRGNPWSDMQFNNNTGEGETWSWNQAVHLPYMHGSMAGPIETGNMGIQGYQVRGGNGSLPSFMLPPIPLGHSNVHHIPQSVQGMRGHNINFPPMMAASSSRHSTNAPLISNMNPFPGVVETGTRYMGAFVPAGVRLYRPVRRGFMVEANNVWHRNIPNLRFMPEDVMFAVSMSYSYTFDTHSHTDMHICLA >itb02g10390.t1 pep chromosome:ASM357664v1:2:6707379:6711662:-1 gene:itb02g10390 transcript:itb02g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNTPYNNSHMMTDLEADQRGQIHPYGNVTAFPQPNVHSLLPVRVSGNVGNGFLHPLPEHHNNTLFYGMTQYNRTIPQHPAANLDPALATPSNHHDPYMAAPSATRDFLIPVNHGMHDQLSFSNNHGMVGIQTASHGISNPYVDGVRGSFKIKSAEGIHENLHYHHAMVGSSSSVAPVIARAHESDASLMNATPMLPDHGDSSSVIEDGSQRSVRNGPLVSGPDSVAAQGTNHFVHGNYAGPFQLRGNPWSDMQFNNNTGEGETWSWNQAVHLPYMHGSMAGPIETGNMGIQGYQVRGGNGSLPSFMLPPIPLGHSNVHHIPQSVQGMRGHNINFPPMMAASSSRHSTNAPLISNMNPFPGVVETGTRYMGAFVPAGVRLYRPVRRGFMVEANNVWHRNIPNLRFMPEDGVTMLEIPGYYNGVGDSADQHSDMRMDIDHMSYEELLALGEQIGSVTTGLSEEFITSHLKTKPFTSKTSPVSKGAECSDQNTDFCVICQNDYKDQESIGTVECGHAYHVDCIKKWLVVKNSCPICKSIALPMEQKDL >itb03g04250.t1 pep chromosome:ASM357664v1:3:2657083:2659460:-1 gene:itb03g04250 transcript:itb03g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSYEEEHPVKVFGWAATDTSGHLSPFKFSRRETGEKDVQLKVLYCGVCHSDLHQIKNEWGSTTYPIVPGHEIVGVVTEVGSKVEKFKVGDKVGVGTIIGSCRSCENCANDLEVYCDKVLVTYNDTEIVTYGGYSDIMVADEHFVVHWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSVGKKQEAIERFGVDSFLISHDPKQMQAAVGTLDGIIDTVSVVHPILPLLSLLKTNGKLILVGAIEKPLELPVFPILTGGRKLVGASATGGMKETQEMVNFSAKHNITPDVEIVRMDYVNTALDRLVKNDVKYRFVIDVGKTLNAAT >itb10g16470.t1 pep chromosome:ASM357664v1:10:22724108:22726696:1 gene:itb10g16470 transcript:itb10g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVRYLIGSAGPSGFGSKSTAEEVTELCPDLRSITAIITGGTSGIGAETARVLAKRGARLILPARSMKTAEETKCRILLESPDAEILAMALDLSSLASVRSFVAEFESLNLPLNLLINNAGKFTHKHATSEDGIEMTFATNYLGHFLLTKLLLKKMTETAKQTGVQGRIVNVSSGIHTWFSGDPIRYLRLITNNKSQYDATRAYALSKLANVLHTKELAQRLKMTGANLTANCVHPGIVRTRLTREREGLITDLVFFLTSKLLKTIPQAAATTCYVATDPRLENVSGKYFADCNEASTSKMGSNLTEAARMWLASDKLVSTDSNAPLLDPFQGLD >itb15g01670.t1 pep chromosome:ASM357664v1:15:999791:1000835:1 gene:itb15g01670 transcript:itb15g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNAVVNFRHGHGRSTSTDRFLGVFSPPKADSAIGGGGESDASLVGGGDELNEDDVLWTGRDFTEPQRRSNSGSLSTPRQAFKKPENFGILAALPEQQRKGGRPVIYQKHATTSFSRDIPSIPKPAVDREQSYGYNRNFSQSMPATKFQHSTPMKVPMMPKKAPRNGELADVDIDDDADDEMLPPHEIVARGSARSPKTTFSVLEGAGRTLKGRDLRQVRNAVFRQTGFID >itb01g23480.t2 pep chromosome:ASM357664v1:1:29470096:29472274:1 gene:itb01g23480 transcript:itb01g23480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSQALCSDSASIFTLNSNPKQGLFSNSRELFMDSPNQNSSGLLRFRLAPGSCFENLSHGVDKNGENSAGNDGLDSKFNLGGANGGLVSQGSLNLEESNGSAVLNSQLPPQYPWKIPGVNLVEMDHSGQPKLVSSFMRQNSSPPALFSPQNGYAQLRGDLGGCYRVGNGINGASSRSLSRLKPQMSDLSSVASSLGVLSQITQVENKSYAGTVTDDEKPGNGNSDTRFYSSEFPFSSWSDSPAFAEGKTFNANTQIEDLGPRPQILSHHLSLPKTLKLQESVPCKIRAKRGCATHPRSIAERQTNTADMLDLAVEYIKDLQKQYKTLGETRANCKCSAMQAPLSNRTV >itb01g23480.t4 pep chromosome:ASM357664v1:1:29469812:29473743:1 gene:itb01g23480 transcript:itb01g23480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSQALCSDSASIFTLNSNPKQGLFSNSRELFMDSPNQNSSGLLRFRLAPGSCFENLSHGVDKNGENSAGNDGLDSKFNLGGANGGLVSQGSLNLEESNGSAVLNSQLPPQYPWKIPGVNLVEMDHSGQPKLVSSFMRQNSSPPALFSPQNGYAQLRGDLGGCYRVGNGINGASSRSLSRLKPQMSDLSSVASSLGVLSQITQVENKSYAGTVTDDEKPGNGNSDTRFYSSEFPFSSWSDSPAFAEGKTFNANTQIEDLGPRPQILSHHLSLPKTLKLQESVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQYKTLGETRANCKCSAMQAPLSNRTV >itb01g23480.t1 pep chromosome:ASM357664v1:1:29469759:29472574:1 gene:itb01g23480 transcript:itb01g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSQALCSDSASIFTLNSNPKQGLFSNSRELFMDSPNQNSSGLLRFRLAPGSCFENLSHGVDKNGENSAGNDGLDSKFNLGGANGGLVSQGSLNLEESNGSAVLNSQLPPQYPWKIPGVNLVEMDHSGQPKLVSSFMRQNSSPPALFSPQNGYAQLRGDLGGCYRVGNGINGASSRSLSRLKPQMSDLSSVASSLGVLSQITQVENKSYAGTVTDDEKPGNGNSDTRFYSSEFPFSSWSDSPAFAEGKTFNANTQIEDLGPRPQILSHHLSLPKTLKLQESVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQYKTLGETRANCKCSAMQAPLSNRTV >itb01g23480.t3 pep chromosome:ASM357664v1:1:29469759:29472574:1 gene:itb01g23480 transcript:itb01g23480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSQALCSDSASIFTLNSNPKQGLFSNSRELFMDSPNQNSSGLLRFRLAPGSCFENLSHGVDKNGENSAGNDGLDSKFNLGGANGGLVSQGSLNLEESNGSAVLNSQLPPQYPWKIPGVNLVEMDHSGQPKLVSSFMRQNSSPPALFSPQNGYAQLRGDLGGCYRVGNGINGASSRSLSRLKPQMSDLSSVASSLGVLSQITQVENKSYAGTVTDDEKPGNGNSDTRFYSSEFPFSSWSDSPAFAEGKTFNANTQIEDLGPRPQILSHHLSLPKTLKLQESVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQYKAPLSNRTV >itb15g00290.t2 pep chromosome:ASM357664v1:15:184257:186196:1 gene:itb15g00290 transcript:itb15g00290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGSSDHDLSPSQNPSNNNAAAASSQSQSPSSSIMEPISFKCFVEQNLGRSALFLIYSVLEFVMIVLLLVDGFLAFFSYEFAKFFELKMPCFFCTRIDQALVHRNLNYYYNDTICESHKRDISSLSYCHVHKKISDIKTICENCVLSFAPDKDAPDYYAEDIPVKSLNVSRCSCCMQFLRGEKYTRDMSQAPPPSPKAWRNDEGEDENNVSNPDDNRSSEEGLSLEDSSCKTPSHRGNKFFGIPLSDSPRVSKKTRNMSIDYSNNNDVCLNEGDGGMIQHLKRQVLLERKSMVSVCVELDEERNASAIAANNAMAMITRLQAEKASMQMKASQYQRMMEEQAEYDREEKQILKDMILKREEEIEVLESELDVYREKFGPINLACSEFFEIEGEGDDEFHSLKFQSLSSFGEISCFNDVLDHHEVYLDSDNDRSHHSALLTAIPETKDSSPPSPQKAFCQGDDDDDEEDESPPSPEKLVCDESVGTENNVFLTRESSLMKEREETEEEEEEEEEEEIKS >itb15g00290.t1 pep chromosome:ASM357664v1:15:184257:186196:1 gene:itb15g00290 transcript:itb15g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGSSDHDLSPSQNPSNNNAAAASSQSQSPSSSIMEPISFKCFVEQNLGRSALFLIYSVLEFVMIVLLLVDGFLAFFSYEFAKFFELKMPCFFCTRIDQALVHRNLNYYYNDTICESHKRDISSLSYCHVHKKISDIKTICENCVLSFAPDKDAPDYYAEDIPVKSLNVSRCSCCMQFLRGEKYTRDMSQAPPPSPKAWRNDEGEDENNVSNPDDNRSSEEGLSLEDSSCKTPSHRGNKFFGIPLSDSPRVSKKTRNMSIDYSNNNDVCLNEGDGGMIQHLKRQVLLERKSMVSVCVELDEERNASAIAANNAMAMITRLQAEKASMQMKASQYQRMMEEQAEYDREEKQILKDMILKREEEIEVLESELDVYREKFGPINLACSEFFEIEGEGDDEFHSLKFQSLSSFGEISCFNDVLDHHEVYLDSDNDRSHHSALLTAIPETKDSSPPSPQKAFCQGDDDDDEEDESPPSPEKLVCDESGTENNVFLTRESSLMKEREETEEEEEEEEEEEIKS >itb13g24330.t2 pep chromosome:ASM357664v1:13:30010519:30014406:-1 gene:itb13g24330 transcript:itb13g24330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVIDICMDKELDSVVSVQDVISQDLSSNQDVDHEHASVEGETSMPEENSEGKEYEVKECTAETSIPISRPPEEDDKFKEHDEPSSKSEIMLPEKDIDSEVSKATDINPKSRASVKTATKSVAGNCKTKLTVPQPFALATEKRASNGNRLVGNDVDNITPVNKPSQTNTLQHPTGTKQNQLVLPVATRKPLQPDNKKHPDDEDSCSITNFTASGTKPRTTVASAPIFRCTKRAERRKEFYSKLEEKHQALEAEKSEWEARTKEETEAAIKQLRKSLLFKANPMPSFYHDGPPPKIELKKPPPTRAKSPKLGRRKSCSDAAGSDKGIGDSGQANRHSLGVYRDSTMFGSKSRKHCADVQNGTETFELEDDPNHNKAETTEPVMSERHQEINVDIAVHS >itb13g24330.t1 pep chromosome:ASM357664v1:13:30010511:30014485:-1 gene:itb13g24330 transcript:itb13g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVIDICMDKELDSVVSVQDVISQDLSSNQDVDHEHASVEGETSMPEENSEGKEYEVKECTAETSIPISRPPEEDDKFKEHDEPSSKSEIMLPEKDIDSEVSKATDINPKSRASVKTATKSVAGNCKTKLTVPQPFALATEKRASNGNRLVGNDVDNITPVNKPSQTNTLQHPTGTKQNQLVLPVATRKPLQPDNKKHPDDEDSCSITNFTASGTKPRTTVASAPIFRCTKRAERRKEFYSKLEEKHQALEAEKSEWEARTKEETEAAIKQLRKSLLFKANPMPSFYHDGPPPKIELKKPPPTRAKSPKLGRRKSCSDAAGSDKGIGDSGQANRHSLGVYRDSTMFGSKSRKHCADVQNGTETFELEDDPNHNKAETTEPVMSERHQEINVDIAVHS >itb06g10990.t2 pep chromosome:ASM357664v1:6:15456756:15460169:1 gene:itb06g10990 transcript:itb06g10990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVTSLMRTLEFQFLHPQPRVILSNREQTESLYEKLGRFLVFLDIFEKNADNLPEMRAVIEEIIDVTVQADDDIEEELLTGFRTSVLQQQPTASTKLEETLQRIVDDVENLVQITINTSELVNSNLTVGGSYPQHTSHVDLVHEDTSEVVNSNLTVGGSSSQHNSCEEDAMVGQSEELDKVMSQLLGGKDKQPLQVMALVGMGGIGKTTFAKRIYDEPRVISHFDLRAWTTMSQEHNKGQAILDLCCCVKPSSSAFNNEMKDDLAEQLCKSLSGRRYLIIVDDIWTTAAWDDIHRCFPDDNNGSKILLTTRAKEVAKYAGSGECSYDMRFLNEKEGWGLFHKKFLEKELLERDEFKKVGMNIVRKCHGLPITVVVMAGLLSKTDKSIGEWEKIEKNLNSLLALDLHERFSRILTLSYNHLPSHLKGCFLYLGAFHEDSEIPVKKLIRLWIAEGFVETISHRKKLEEVCRDYLQDLIDRCLVMVSKKCFDGQIKTCTVHDLLLELCSSKAINENLLFLETTGSNHTFGRFLRLSDERWLSIKVVNTDFHISISSKKWRSILCFNSSGMKWFLQATSFKKLIVLDLSKIDFKSGVPQDITDLVFLRYLALASSMLLKHIPLDKNWNLQTLIISEGDDKDAHKLLPHGIWDNLQQLRHLEINHKLQVSIDLLKVQENLQTLYWLSISQCTEEVFKRIPNVKELGIVAGEHDKVLPQDLNNLCCLDYLEKLRVDGSDHPLHLPPQPQGHIFPKNLKELTFVSTRIPWSEMSIISMLSNLEVLKLKNSACKGQVWELTEGRGFPQLKVLIISGTDLKVWKAYRDSPFPKLERLKLKKCFELKEMPDCFERSMTLQLIKLVYCSASLVHSANKIKKDMFDVLDFHTRPDRHQSPC >itb06g10990.t1 pep chromosome:ASM357664v1:6:15456756:15460169:1 gene:itb06g10990 transcript:itb06g10990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVTSLMRTLEFQFLHPQPRVILSNREQTESLYEKLGRFLVFLDIFEKNADNLPEMRAVIEEIIDVTVQADDDIEEELLTGFRTSVLQQQPTASTKLEETLQRIVDDVENLVQITINTSELVNSNLTVGGSYPQHTSHVDLVHEDTSEVVNSNLTVGGSSSQHNSCEEDAMVGQSEELDKVMSQLLGGKDKQPLQVMALVGMGGIGKTTFAKRIYDEPRVISHFDLRAWTTMSQEHNKGQAILDLCCCVKPSSSAFNNEMKDDLAEQLCKSLSGRRYLIIVDDIWTTAAWDDIHRCFPDDNNGSKILLTTRAKEVAKYAGSGECSYDMRFLNEKEGWGLFHKKFLEKELLERDEFKKVGMNIVRKCHGLPITVVVMAGLLSKTDKSIGEWEKIEKNLNSLLALDLHERFSRILTLSYNHLPSHLKGCFLYLGAFHEDSEIPVKKLIRLWIAEGFVETISHRKKLEEVCRDYLQDLIDRCLVMVSKKCFDGQIKTCTVHDLLLELCSSKAINENLLFLETTGSNHTFGRFLRLSDERWLSIKVVNTDFHISISSKKWRSILCFNSSGMKWFLQATSFKKLIVLDLSKIDFKSGVPQDITDLVFLRYLALASSMLLKHIPLDKNWNLQTLIISEGDDKDAHKLLPHGIWDNLQQLRHLEINHKLQVSIDLLKVQENLQTLYWLSISQCTEEVFKRIPNVKELGIVAGEHDKVLPQDLNNLCCLDYLEKLRVDGSDHPLHLPPQPQGHIFPKNLKELTFVSTRIPWSEMSIISMLSNLEVLKLKNSACKGQVWELTEGRGFPQLKVLIISGTDLKVWKAYRDSPFPKLERLKLKKCFELKEMPDCFERSMTLQLIKLVYCSASLVHSANKIKKDMFDVLDFHTRPDRHQSPC >itb06g10990.t3 pep chromosome:ASM357664v1:6:15456756:15460169:1 gene:itb06g10990 transcript:itb06g10990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVTSLMRTLEFQFLHPQPRVILSNREQTESLYEKLGRFLVFLDIFEKNADNLPEMRAVIEEIIDVTVQADDDIEEELLTGFRTSVLQQQPTASTKLEETLQRIVDDVENLVQITINTSELVNSNLTVGGSYPQHTSHVDLVHEDTSEVVNSNLTVGGSSSQHNSCEEDAMVGQSEELDKVMSQLLGGKDKQPLQVMALVGMGGIGKTTFAKRIYDEPRVISHFDLRAWTTMSQEHNKGQAILDLCCCVKPSSSAFNNEMKDDLAEQLCKSLSGRRYLIIVDDIWTTAAWDDIHRCFPDDNNGSKILLTTRAKEVAKYAGSGECSYDMRFLNEKEGWGLFHKKFLEKELLERDEFKKVGMNIVRKCHGLPITVVVMAGLLSKTDKSIGEWEKIEKNLNSLLALDLHERFSRILTLSYNHLPSHLKGCFLYLGAFHEDSEIPVKKLIRLWIAEGFVETISHRKKLEEVCRDYLQDLIDRCLVMVSKKCFDGQIKTCTVHDLLLELCSSKAINENLLFLETTGSNHTFGRFLRLSDERWLSIKVVNTDFHISISSKKWRSILCFNSSGMKWFLQATSFKKLIVLDLSKIDFKSGVPQDITDLVFLRYLALASSMLLKHIPLDKNWNLQTLIISEGDDKDAHKLLPHGIWDNLQQLRHLEINHKLQVSIDLLKVQENLQTLYWLSISQCTEEVFKRIPNVKELGIVAGEHDKVLPQDLNNLCCLDYLEKLRVDGSDHPLHLPPQPQGHIFPKNLKELTFVSTRIPWSEMSIISMLSNLEVLKLKNSACKGQVWELTEGRGFPQLKVLIISGTDLKVWKAYRDSPFPKLERLKLKKCFELKEMPDCFERSMTLQLIKLVYCSASLVHSANKIKKDMFDVLDFHTRPDRHQSPC >itb06g10990.t4 pep chromosome:ASM357664v1:6:15456756:15459866:1 gene:itb06g10990 transcript:itb06g10990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAVTSLMRTLEFQFLHPQPRVILSNREQTESLYEKLGRFLVFLDIFEKNADNLPEMRAVIEEIIDVTVQADDDIEEELLTGFRTSVLQQQPTASTKLEETLQRIVDDVENLVQITINTSELVNSNLTVGGSYPQHTSHVDLVHEDTSEVVNSNLTVGGSSSQHNSCEEDAMVGQSEELDKVMSQLLGGKDKQPLQVMALVGMGGIGKTTFAKRIYDEPRVISHFDLRAWTTMSQEHNKGQAILDLCCCVKPSSSAFNNEMKDDLAEQLCKSLSGRRYLIIVDDIWTTAAWDDIHRCFPDDNNGSKILLTTRAKEVAKYAGSGECSYDMRFLNEKEGWGLFHKKFLEKELLERDEFKKVGMNIVRKCHGLPITVVVMAGLLSKTDKSIGEWEKIEKNLNSLLALDLHERFSRILTLSYNHLPSHLKGCFLYLGAFHEDSEIPVKKLIRLWIAEGFVETISHRKKLEEVCRDYLQDLIDRCLVMVSKKCFDGQIKTCTVHDLLLELCSSKAINENLLFLETTGSNHTFGRFLRLSDERWLSIKVVNTDFHISISSKKWRSILCFNSSGMKWFLQATSFKKLIVLDLSKIDFKSGVPQDITDLVFLRYLALASSMLLKHIPLDKNWNLQTLIISEGDDKDAHKLLPHGIWDNLQQLRHLEINHKLQVSIDLLKVQENLQTLYWLSISQCTEEVFKRIPNVKELGIVAGEHDKVLPQDLNNLCCLDYLEKLRVDGSDHPLHLPPQPQGHIFPKNLKELTFVSTRIPWSEMSIISMLSNLEVLKLKNSACKGQVWELTEGRGFPQLKVLIISGTDLKVWKAYRDSPFPKLERLKLKKCFELKEMPDCFERSMTLQLIKLVYCSASLVHSANKIKKDMFDVLDFHTRPGMSLN >itb03g08800.t2 pep chromosome:ASM357664v1:3:6625544:6627367:1 gene:itb03g08800 transcript:itb03g08800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPSGLYSLEELVVGAPRTLFTSHDTNFFPNGLWIDDESLDPPNHPDFVSSSSSSLLDLLTLPQQPTTFACPHDLQIDHGYAAHFSAAPQDVEDFGVFGQIGNLESDGFSGLRSCGCVEVKMEQGNNGGGGEKKSKSKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLEKIHQLREDTMEEDEKSHIIKSLAPNTRELKSNEAIVRNPPKVHSIFSLHTYF >itb03g08800.t1 pep chromosome:ASM357664v1:3:6625544:6627367:1 gene:itb03g08800 transcript:itb03g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPSGLYSLEELVVGAPRTLFTSHDTNFFPNGLWIDDESLDPPNHPDFVSSSSSSLLDLLTLPQQPTTFACPHDLQIDHGYAAHFSAAPQDVEDFGVFGQIGNLESDGFSGLRSCGCVEVKMEQGNNGGGGEKKSKSKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLEKIHQLREDTMEEDEKSHIIKSLAPNTRELKSNEAIVRNPPKFDVERRNVDTRIEICCATKPGLLLSTVSTIEALGLDIHQCVISCFNDFSFQATCAEATDHRTVLSQEDVKQALFKTAGYGGRCL >itb06g25180.t1 pep chromosome:ASM357664v1:6:26365620:26366390:1 gene:itb06g25180 transcript:itb06g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKISMPNEDPLLLDHHSSSSSSRRKKRQFIAVLVLFFTLILAAIISVLAVLKHHIESEAPVKNPALAIRSVCSPYGYLDYNCYTILSPLRTSSEINPTQIFTLAVRSAITELTPLPSSADPETNSILRTCEAAFNETLSQLTAASTTLGVDPGAGVGNLTTTVSNFKVKTCLDWLQWSSTEAGIKSFGKSTMYASSSFEILRRANMISEMFNPTIKSTLASFLAGWNSTFTVFVFGAQYLFLVVLYCIFLRLY >itb03g23950.t1 pep chromosome:ASM357664v1:3:22386194:22390613:-1 gene:itb03g23950 transcript:itb03g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFYSKTPKHTPGYEEPSVLAAETPFTVSEVEALYELFKKISASVNNDGLIHKEEFQLALFQNKDRRNLFADRIFDLFDIKRNGVIEFGEFVRSLSVFHPNAPTAAKITFAFRIYDLRHTGFIERDELKEMVLALLNESELDLSDDVVETIVDKSFKDADVNGDGRIDPEEWKEFVMKNPSLIKNMTLPYLTDITLAFPSFVVRSEVEDSEM >itb03g23950.t2 pep chromosome:ASM357664v1:3:22386194:22390613:-1 gene:itb03g23950 transcript:itb03g23950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFYSKTPKHTPGYEEPSVLAAETPFTVSEVEALYELFKKISASVNNDGLIHKEEFQLALFQNKDRRNLFADRIFDLFDIKRNGVIEFGEFVRSLSVFHPNAPTAAKITFAFRIYDLRHTGFIERDELKEMVLALLNESELDLSDDVVETIVDKSFKDADVNGDGRIDPEEWKEFVMKNPSLIKNMTLPYLTDITLAFPSFVVRSEVEDSEM >itb04g16380.t1 pep chromosome:ASM357664v1:4:18029111:18031379:1 gene:itb04g16380 transcript:itb04g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICDQTPYPDVCRSLVTTQPQYLAQESVVLEFRNKALRATLAHAQNAHAVISSMATQSLGKLAKLAWADCFELYEDTLYRLNSSMTAATHTNDDVQTRLSAAIANHQTCQIGFADFQLSQYSHALFPNYTTLISDFSKHLKNSLAINKAAAANSNSQQWKGRRLLAGDRVFPAWVPASDRKLLESKAAATAAKANIVVAKDGSGQYKSINEAVSAAVKLSRGAKRFVIYVKKGVYKENVEIKKSMKNIMFIGDGIDATIITGSKNVQDGSTTFRSATFAVSGDGFIARGITFENTAGPQKHQAVALRSGADHSVFYSCSFKGYQDTLYVYSQRQFYRDCDIYGTVDFIFGDAAAVIQNCNIYVRKPMPNQKNTVTAQGRSDPNENTGIVIHNSRVAPSSDLRPVQRSFNTYLGRPWKQYSRTVFIKTALDGFIDPAGWLPWSGDFALKTLYYGEYMNSGAGARTSGRVKWAGYHVIRSVAEAGKFSVGNFLDGNSWLPATGLPYTSSL >itb14g00410.t1 pep chromosome:ASM357664v1:14:283106:284702:1 gene:itb14g00410 transcript:itb14g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYYAALLSLSLSPPPPSLPIFPTGFTPHSSHVLSFSSSSINGLKPAGRRLSGSLLHSRRRVIYDDENEEDGYNAEIAMLEVYSQSVKDEALLVKAVVDEEEVEVIIFKGFSSSLSYGTSPDPTKSVLPARAKIKCIDRVKGPFNPSNIDYIERDIPWDAFKSLIFYFVGEKPR >itb10g13300.t1 pep chromosome:ASM357664v1:10:19494478:19499292:-1 gene:itb10g13300 transcript:itb10g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSCMATTSSISKFNYPIFSSDSYSSERRCLLSLPFKPLPLRKLNAVGKVKSRGNTGTAKAVYTEADWDSVNVSRSGVWSIRDDLEVPTSPYFPVYARGQGPPPMVLERFQSVISQLLQFRIIRCGGAVDDDMANIIVAQLLYLDAIDPTKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGSQSDLEIQGNEMLHHKANLNGYLAYHTGQSLERINQDTDRDYFMSAKEAKEYGLIDGVIMNPMKALQPLPAA >itb07g17740.t2 pep chromosome:ASM357664v1:7:22023257:22031373:-1 gene:itb07g17740 transcript:itb07g17740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMQGTIASRCFRASELFFPKAESLILNYVPSRSSVHLHSPNCATSLRIAHINRYAVFSRRLSTRPSLWESTRTACPSSLGQVNAFLSDFPPKNPQDPVRLPNGFAMFSTDGGALGKKPPVKQEQSGAIVKEGSDNQINDTRIIGTLAKYLWMKDNLEFRLRVVAALGLLVGAKVLNVQVPFLFKLAVDWLTTATGNASTLAQFTAANSTALALFVTPAAVLIGYGIARSCASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLQYHLSRETGGLNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFALITSLSVAAYVAFTLTVTQWRTKFRKEMNKADNDASTRAIDSLINYETVKYFNNENYEAEKYDEFLKRYENAALKTQRSLAYLNFGQNAIFSTALSAAMVLCSNGIMNGVMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKAMFQLLEERAEIRDADNAKALKLHGGAIEFDNVHFSYLADRKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTDSGNIRIDSQDIRGVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARQAAIHDTIMKFPQKYSTVVGERGLKLSGGEKQRVALARAFLKSPAIMLCDEATSALDSTTEAEILGALKSLSNNRTSIFIAHRLTTAMQCDEIIVLENGRVVEQGSHEALLLKQGRYAQLWAQQNTLDALDTAIKLEA >itb07g17740.t4 pep chromosome:ASM357664v1:7:22023261:22031320:-1 gene:itb07g17740 transcript:itb07g17740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMQGTIASRCFRASELFFPKAESLILNYVPSRSSVHLHSPNCATSLRIAHINRYAVFSRRLSTRPSLWESTRTACPSSLGQVNAFLSDFPPKNPQDPVRLPNGFAMFSTDGGALGKKPPVKQEQSGAIVKEGSDNQINDTRIIGTLAKYLWMKDNLEFRLRVVAALGLLVGAKVLNVQVPFLFKLAVDWLTTATGNASTLAQFTAANSTALALFVTPAAVLIGYGIARSCASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLQYHLSRETGGLNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFALITSLSVAAYVAFTLTVTQWRTKFRKEMNKADNDASTRAIDSLINYETVKYFNNENYEAEKYDEFLKRYENAALKTQRSLAYLNFGQNAIFSTALSAAMVLCSNGIMNGVMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKAMFQLLEERAEIRDADNAKALKLHGGAIEFDNVHFSYLADRKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTDSGNIRIDSQDIRGVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARQAAIHDTIMKFPQKYSTVVGERGLKLSGGEKQRVALARAFLKSPAIM >itb07g17740.t3 pep chromosome:ASM357664v1:7:22023261:22031320:-1 gene:itb07g17740 transcript:itb07g17740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMQGTIASRCFRASELFFPKAESLILNYVPSRSSVHLHSPNCATSLRIAHINRYAVFSRRLSTRPSLWESTRTACPSSLGQVNAFLSDFPPKNPQDPVRLPNGFAMFSTDGGALGKKPPVKQEQSGAIVKEGSDNQINDTRIIGTLAKYLWMKDNLEFRLRVVAALGLLVGAKVLNVQVPFLFKLAVDWLTTATGNASTLAQFTAANSTALALFVTPAAVLIGYGIARSCASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLQYHLSRETGGLNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFALITSLSVAAYVAFTLTVTQWRTKFRKEMNKADNDASTRAIDSLINYETVKYFNNENYEAEKYDEFLKRYENAALKTQRSLAYLNFGQNAIFSTALSAAMVLCSNGIMNGVMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKAMFQLLEERAEIRDADNAKALKLHGGAIEFDNVHFSYLADRKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTDSGNIRIDSQDIRGVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARQAAIHDTIMKFPQKYSTVVGERGLKLSGGEKQRVALARAFLKSPAIMLCDEATSALDSTTEAEILGALKSLSNNRTSIFIAHRLTTAMQCDEVFIREFSFASFSLTAFFIFGCWVGYC >itb07g17740.t5 pep chromosome:ASM357664v1:7:22023261:22031320:-1 gene:itb07g17740 transcript:itb07g17740.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMQGTIASRCFRASELFFPKAESLILNYVPSRSSVHLHSPNCATSLRIAHINRYAVFSRRLSTRPSLWESTRTACPSSLGQVNAFLSDFPPKNPQDPVRLPNGFAMFSTDGGALGKKPPVKQEQSGAIVKEGSDNQINDTRIIGTLAKYLWMKDNLEFRLRVVAALGLLVGAKVLNVQVPFLFKLAVDWLTTATGNASTLAQFTAANSTALALFVTPAAVLIGYGIARSCASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLQYHLSRETGGLNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFALITSLSVAAYVAFTLTVTQWRTKFRKEMNKADNDASTRAIDSLINYETVKYFNNENYEAEKYDEFLKRYENAALKTQRSLAYLNFGQNAIFSTALSAAMVLCSNGIMNGVMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKAMFQLLEERAEIRDADNAKALKLHGGAIEFDNVHFSYLADRKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTDSGNIRIDSQDIRGVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARQAAIHDTIMKFPQKYSTVVGERGLKLSGGEKQRVALARAFLKSPAIM >itb07g17740.t1 pep chromosome:ASM357664v1:7:22023257:22031373:-1 gene:itb07g17740 transcript:itb07g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNLEFRLRVVAALGLLVGAKVLNVQVPFLFKLAVDWLTTATGNASTLAQFTAANSTALALFVTPAAVLIGYGIARSCASAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLQYHLSRETGGLNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFALITSLSVAAYVAFTLTVTQWRTKFRKEMNKADNDASTRAIDSLINYETVKYFNNENYEAEKYDEFLKRYENAALKTQRSLAYLNFGQNAIFSTALSAAMVLCSNGIMNGVMTVGDLVMVNGLLFQLSLPLNFLGSVYRETVQSLVDMKAMFQLLEERAEIRDADNAKALKLHGGAIEFDNVHFSYLADRKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTDSGNIRIDSQDIRGVTLESLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARQAAIHDTIMKFPQKYSTVVGERGLKLSGGEKQRVALARAFLKSPAIMLCDEATSALDSTTEAEILGALKSLSNNRTSIFIAHRLTTAMQCDEIIVLENGRVVEQGSHEALLLKQGRYAQLWAQQNTLDALDTAIKLEA >itb09g30450.t1 pep chromosome:ASM357664v1:9:31079060:31083979:1 gene:itb09g30450 transcript:itb09g30450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCCASKGGELNSSSPFYHYPRVSEERQPLSHHGSTALVLSTGLLVDTNLDTSSPDTYRPPPTPRPYETHAGRPRTPPGNQDGHKNEAAAQTKDTETCEEANSGNGTEIADTATKGSDAKVQDNIELTAQKEVVDELEKSGELKKSNEPIVPSLQEEEDVCPTCLEEYDEENPKIITQCEHHFHLSCILEWMERSDTCPVCDQEMVFSA >itb09g30450.t2 pep chromosome:ASM357664v1:9:31079087:31083129:1 gene:itb09g30450 transcript:itb09g30450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCCASKGGELNSSSPFYHYPRVSEERQPLSHHGSTALVLSTGLLVDTNLDTSSPDTYRPPPTPRPYETHAGRPRTPPGNQDGHKNEAAAQTKDTETCEEANSGNGTEIADTATKGSDAKVQDNIELTAQKEVVDELEKSGELKKSNEPIVPSLQEEEDVCPTCLEEYDEENPKIITQCEHHFHLSCILEWMERSDTCPVCDQVSYKPQQLYADAS >itb10g14740.t2 pep chromosome:ASM357664v1:10:21036332:21039375:1 gene:itb10g14740 transcript:itb10g14740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MAGFKKSSNPLRPSNCYNSLYDNPLVNASDNKENDSPNKPQKWSLSGKENAVPGSGAKSDLKPSSLQLCMKKHEPDSTFGSKFVSPIDADGPNSGNLWDHSDSEAAPASSWSTLPNRSLLCRPLPVDIGRCTCVIVKETSPDGFNGGTLYSLYTYEGQGRQNRKLAVAHHRRRNGKSEFIVAQNTKGLLMSSEDSLIGKVTANLMGSKYHIWDQGKLNSGAKQSKLLAVLRFMATVATWSGSYRRIKAWIPKHQSMLLKSTAQIQHINGMPIDWKEQMDKVHQLFSRVPHFNKISKQYELDFRDRGRPGLKIQSSVKNFQLTMEKNGRQTILQLGRVGKAKYVMDYR >itb10g14740.t1 pep chromosome:ASM357664v1:10:21036273:21039403:1 gene:itb10g14740 transcript:itb10g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MAGFKKSSNPLRPSNCYNSLYDNPLVNASDNKENDSPNKPQKWSLSGKENAVPGSGAKSDLKPSSLQLCMKKHEPDSTFGSKFVSPIDADGPNSGNLWDHSDSEAAPASSWSTLPNRSLLCRPLPVDIGRCTCVIVKETSPDGFNGGTLYSLYTYEGQGRQNRKLAVAHHRRRNGKSEFIVAQNTKGLLMSSEDSLIGKVTANLMGSKYHIWDQGKLNSGAKQSKLLAVLRFMATVATWSGSYRRIKAWIPKHQSMLLKSTAQIQHINGMPIDWKEQMDKVHQLFSRVPHFNKISKQYELDFRDRGRPGLKIQSSVKNFQLTMEKNGRQTILQLGRVGKAKYVMDYRYPMTGYQAFCICLASIDAKLCCTV >itb10g14740.t3 pep chromosome:ASM357664v1:10:21036273:21039403:1 gene:itb10g14740 transcript:itb10g14740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MAGFKKSSNPLRPSNCYNSLYDNPLVNASDNKENDSPNKPQKWSLSGKENAVPGSGAKSDLKPSSLQLCMKKHEPDSTFGSKFVSPIDADGPNSGNLWDHSDSEAAPASSWSTLPNRSLLCRPLPVDIGRCTCVIVKETSPDGFNGGTLYSLYTYEGQGRQNRKLAVAHHRRRNGKSEFIVAQNTKGLLMSSEDSLIGKVTANLMGSKYHIWDQGKLNSGAKQSKLLAVLRFMATVATWSGSYRRIKAWIPKHQSMLLKSTAQIQHINGMPIDWKEQMDKVHQLFSRVPHFNKISKQYELDFRDRGRPGLKIQSSVKNFQLTMENGRQTILQLGRVGKAKYVMDYRYPMTGYQAFCICLASIDAKLCCTV >itb03g22000.t7 pep chromosome:ASM357664v1:3:19958699:19961915:1 gene:itb03g22000 transcript:itb03g22000.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGVEGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKGLNDWNSTRVVLLITQGLDISERRIHFLKWFARRANTRLLWIPMAQDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKVSEFASKIQLILNVKLAYVGKNKLIRTIIHKEKLSHYTFNESYLVWRFWTRLRSMFLSRIHYLDAINHFGEECNDEILQGLKKLLAYEGKNTRIEGWALLSKGKKIVVCGHGAKILQVINEYEIWKENIATKGFDEAFKDHHAYFFFFLKKSFLLCS >itb03g22000.t3 pep chromosome:ASM357664v1:3:19958220:19961915:1 gene:itb03g22000 transcript:itb03g22000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPQPTMVLPSPRSQVLDESIIKEQVLSTHNYDGRKFNTNFILSIVENILSVKMGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKGLNDWNSTRVVLLITQGLDISERRIHFLKWFARRANTRLLWIPMAQDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKDSRNCWPMKVRIQELKDGPC >itb03g22000.t2 pep chromosome:ASM357664v1:3:19958220:19961915:1 gene:itb03g22000 transcript:itb03g22000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPQPTMVLPSPRSQVLDESIIKEQVLSTHNYDGRKFNTNFILSIVENILSVKMGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKDSRNCWPMKVRIQELKDGPC >itb03g22000.t5 pep chromosome:ASM357664v1:3:19958189:19961915:1 gene:itb03g22000 transcript:itb03g22000.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPQPTMVLPSPRSQVLDESIIKEQVLSTHNYDGRKFNTNFILSIVENILSVKMGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKGLNDWNSTRVVLLITQGLDISERRIHFLKWFARRANTRLLWIPMAQDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKVSEFASKIQLILNVKLAYVGKNKLIRTIIHKEKLSHYTFNESYLVWRFWTRLRSMFLSRIHYLDAINHFGEECNDEILQGLKKLLAYEGKNTRIEGWALLSKGKKIVVCGHGAKILQVINEYEIWKENIATKGFDEAFKDHHAYFFFFLKKSFLLCS >itb03g22000.t4 pep chromosome:ASM357664v1:3:19958699:19961915:1 gene:itb03g22000 transcript:itb03g22000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGVEGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKGLNDWNSTRVVLLITQGLDISERRIHFLKWFARRANTRLLWIPMAQDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKDSRNCWPMKVRIQELKDGPC >itb03g22000.t6 pep chromosome:ASM357664v1:3:19958189:19961915:1 gene:itb03g22000 transcript:itb03g22000.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPQPTMVLPSPRSQVLDESIIKEQVLSTHNYDGRKFNTNFILSIVENILSVKMGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKVSEFASKIQLILNVKLAYVGKNKLIRTIIHKEKLSHYTFNESYLVWRFWTRLRSMFLSRIHYLDAINHFGEECNDEILQGLKKLLAYEGKNTRIEGWALLSKGKKIVVCGHGAKILQVINEYEIWKENIATKGFDEAFKDHHAYFFFFLKKSFLLCS >itb03g22000.t1 pep chromosome:ASM357664v1:3:19958189:19961915:1 gene:itb03g22000 transcript:itb03g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTLLLSRRIIPQPTMVLPSPRSQVLDESIIKEQVLSTHNYDGRKFNTNFILSIVENILSVKMGIGEEAGVEKLNQVEELINYEQLPTHIRQLSFEIASAGLKSSANNEHSTTINVLRMLCSYCWEDKMVLMLSAFSITLAMPHKLEQLKQKAIVDCITSVLQLTKCVVELKQTKPSNYSMPQSIISALPIASYWIGRSVIGSVGAYYCASPQNQVAQLTTSIAAILHTFSPELEKKRAEESYESLKRALYYSSSSKSEVFRLMFNVKDGEMLFKDKGLNDWNSTRVVLLITQGLDISERRIHFLKWFARRANTRLLWIPMAQDNEASWTTEDEQQFARLKRRMPSIWYWLNNLQKMISPQFIRFVKEQLFPDFQMGGEPIIVSLDQQGRIVHPNIMHMIHIWACAYIEENTLGVQGIYNITALVKEELKKGTSNVDRVVPEINDMISVLVGDIDNKIVAWGRNIEMKIQNLIEQSTPYNNEREIFLWQQEPNCSLDLVVGTRGRNVYLSNYEIQYWFGTEDYIFLYGGNDVNWVREFTTKVRIQELKDGPC >itb10g22100.t1 pep chromosome:ASM357664v1:10:27050383:27055349:1 gene:itb10g22100 transcript:itb10g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MEETFRVGRSLEGTPTWSVASVITVMVFVCLFVERSIFRFGRWLKETRRKALYASLEKIKEELMLLGLISLLLGQWARWITQICVSSSLFSSKFYICSEEDYGTSQTVQFTKSWILSNNTDISSKETSQSFPHPQCGEGREPFVSTEGLEQLHRFLFVLAITHVLYSCIAVGLSMSKIYSWRKWENQVSIGAEMNLQAKVKVMRRQSTFALHHASHPWSRSRVLIWMLCFLRQFRSSIHKSDYLALRLGFITNHKLPFSYNFHKYMVRSMEDEFYEIVGISWPLWGYAIICIFVNIHGLNIYFWLSFIPVILVMVVGTKLQHVVSLLALEIAEPKGPLIGTQVKPRDGLFWFGKPEILLRLIQFISFQNAFEMATFIWSLWGFQDRSCFMKNHAMISIRLTSGVLVQLWCSYSTVPLNVIISQMGSRCRKALIAESVRESLHSWCKRVRQKSKRGTLTTRSVCSLESTVDERDEVTTVASLTLSPCSSSRSLNALDEDGDPTVHPDQLEHDFPFREEHGAEDNVNDTEEGRPETLLQLFQKT >itb10g22100.t2 pep chromosome:ASM357664v1:10:27050383:27055349:1 gene:itb10g22100 transcript:itb10g22100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MEETFRVGRSLEGTPTWSVASVITVMVFVCLFVERSIFRFGRWLKETRRKALYASLEKIKEELMLLGLISLLLGQWARWITQICVSSSLFSSKFYICSEEDYGTSQTVQFTKSWILSNNTDISSKETSQSFPHPQCGEGREPFVSTEGLEQLHRFLFVLAITHVLYSCIAVGLSMSKIYSWRKWENQVSIGAEMNLQAKVKVMRRQSTFALHHASHPWSRSRVLIWMLCFLRQFRSSIHKSDYLALRLGFITNHKLPFSYNFHKYMVRSMEDEFYEIVGISWPLWGYAIICIFVNIHGKEFVFWNATRHFLVFEPSNFTVIYDAGLNIYFWLSFIPVILVMVVGTKLQHVVSLLALEIAEPKGPLIGTQVKPRDGLFWFGKPEILLRLIQFISFQNAFEMATFIWSLWGFQDRSCFMKNHAMISIRLTSGVLVQLWCSYSTVPLNVIISQMGSRCRKALIAESVRESLHSWCKRVRQKSKRGTLTTRSVCSLESTVDERDEVTTVASLTLSPCSSSRSLNALDEDGDPTVHPDQLEHDFPFREEHGAEDNVNDTEEGRPETLLQLFQKT >itb10g22180.t1 pep chromosome:ASM357664v1:10:27115109:27123783:-1 gene:itb10g22180 transcript:itb10g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCYPVPVVPGQTRIGWIGIGVMGGAMASRLLSAGYSVTLYARTPSKAADLQSKGAHLAESPADVARVSDVVFTMLGHPSDVRQIVSEGPKCILSSLNPNGVIVDHTSSHPALARRISDAAVEKNCWAVDAPVSGGDTGAKEGKLAIFAAGNEDVVKWLNPLFDVMGKGTYMGGPGKGQSCKIANQITVGANLLGLSEGLVFAEKAGLDKGKFLEAIRGGAAGSMVMELFGKRMIEKDFRPGGFAEYMVKDMGMGVDVGEEEEGEDVVVLPGAALGKQLFSGMVANGDGKLGTQGLITVIERLNCIEQHNPAKMSTYGYPILPRSEIVVLLSEFGVDSPVSEQDLIQPSPELVEKLYTYLLNLLDILQDDNGQADFAALEQLENPDLHVSSVRIMNLLHKIRGVVAAVEFPDRFTLWDLLRPDPERTKSFLSALLNFCLYKNSKMNDLESVAGQLGDIVMQKEALEEKIYQLNAEIAQCNESREKEMPFVRDVDVKVKELRQAISGLNNHQMSLKSEIKKMKEKAQEMDEKISNVEFALVRSAQENAHLRSKIVQSPDKLQRALEEKKSHQVEAKNAERAVMQSLQDKNAILEVYTKAQKKMSKHLDQMQAIQEQVNSVKSIEKEVKVLKAKLSDEEVLDKSLEAKLVERQGKADQLDEMRKQVEKECALSREEAAKELNNVKTEVESTRCGLELRQRQVEAVITEGDAVTAKMNSVRESGTAKCEALRHKLEEVVKEFTKYSDSVSDLLQRYEMGPLVLTGC >itb10g22180.t2 pep chromosome:ASM357664v1:10:27113679:27123783:-1 gene:itb10g22180 transcript:itb10g22180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCYPVPVVPGQTRIGWIGIGVMGGAMASRLLSAGYSVTLYARTPSKAADLQSKGAHLAESPADVARVSDVVFTMLGHPSDVRQIVSEGPKCILSSLNPNGVIVDHTSSHPALARRISDAAVEKNCWAVDAPVSGGDTGAKEGKLAIFAAGNEDVVKWLNPLFDVMGKGTYMGGPGKGQSCKIANQITVGANLLGLSEGLVFAEKAGLDKGKFLEAIRGGAAGSMVMELFGKRMIEKDFRPGGFAEYMVKDMGMGVDVGEEEEGEDVVVLPGAALGKQLFSGMVANGDGKLGTQGLITVIERLNCIEQHNPAKMSTYGYPILPRSEIVVLLSEFGVDSPVSEQDLIQPSPELVEKLYTYLLNLLDILQDDNGQADFAALEQLENPDLHVSSVRIMNLLHKIRGVVAAVEFPDRFTLWDLLRPDPERTKSFLSALLNFCLYKNSKMNDLESVAGQLGDIVMQKEALEEKIYQLNAEIAQCNESREKEMPFVRDVDVKVKELRQAISGLNNHQMSLKSEIKKMKEKAQEMDEKISNVEFALVRSAQENAHLRSKIVQSPDKLQRALEEKKSHQVEAKNAERAVMQSLQDKNAILEVYTKAQKKMSKHLDQMQAIQEQVNSVKSIEKEVKVLKAKLSDEEVLDKSLEAKLVERQGKADQLDEMRKQVEKECALSREEAAKELNNVKTEVESTRCGLELRQRQVEAVITEGDAVTAKMNSVRESGTAKCEALRHKLEEVVKEFTKYSDSVSDLLQRYEMGPLVLTGC >itb01g21870.t1 pep chromosome:ASM357664v1:1:27876307:27877405:1 gene:itb01g21870 transcript:itb01g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRAPPPLLKHKSWSPDSHREEAWLRRKGNSGDRRERSKSVTDDDLDELRACIELGFGFDPDSPRLDPKLARTFPALELYCAVHRHYGGGSLSRSSSSTTLASTDSDTTSLAGNTLPGPISDLDISDEPEMMKTRLRYWARMVACSVRQNSPIL >itb02g16800.t1 pep chromosome:ASM357664v1:2:12783340:12784374:1 gene:itb02g16800 transcript:itb02g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELENGSVENLRMQIKDAGGHRSESARIGDRLSRMVNEMMAAQGEILGLEAQLAGAEAVKTALTEEKLKSEELERIVAELKETNQQLRAAAIEAAANREAEMQNLEKELAEKDALVERLKLELGQTKELNLSSEKIASETIADLNRKTGKMKAELDEMRSQADRILESEVENALLKVELHKWRSKAAAAEAAEERCKREIFALNRALQLTAAASQQASSSMNNVTVSRKEYEALSNKADEQVTMMEEKLGKLERELMTATHKIREMRTRAEQAISRAEAAEKAKAELEVKIKRRKEKEKEKKEFFKNLRDQINSSREAAATPDIAANTPAIQTLSNVLEIPL >itb13g24520.t1 pep chromosome:ASM357664v1:13:30183013:30185766:-1 gene:itb13g24520 transcript:itb13g24520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDSFQDNNWDLADFDCFVNDVSPSPDLFWDHQSISLRSSEVDTPHYGATSQGKECLESECQRKRGRSDSCNKLGSKACREKLRREKLNDRFAELCSVLEPERPVQTDKMAILGDAIRVLNQLKTESQEYAEMNEKLLEEIKTLKAEKNELREEKLTLKADKEKLEQQLQAMAVPPAGFMPPHPAAYQPGPNKIAVYPSYGYLPMWHYLPPSARDTSQDHELRPPAA >itb13g24520.t3 pep chromosome:ASM357664v1:13:30182019:30185757:-1 gene:itb13g24520 transcript:itb13g24520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDSFQDNNWDLADFDCFVNDVSPSPDLFWDHQSISLRSSEVDTPHYGATSQGKECLESECQRKRGRSDSCNKLGSKACREKLRREKLNDRFAELCSVLEPERPVQTDKMAILGDAIRVLNQLKTESQEYAEMNEKLLEEIKTLKAEKNELREEKLTLKADKEKLEQQLQAMAVPPAGFMPPHPAAYQPGPNKIAVYPSYGYLPMWHYLPPSARDTSQDHELRPPAA >itb13g24520.t2 pep chromosome:ASM357664v1:13:30182728:30185757:-1 gene:itb13g24520 transcript:itb13g24520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDSFQDNNWDLADFDCFVNDVSPSPDLFWDHQSISLRSSEVDTPHYGATSQGKECLESECQRKRGRSDSCNKLGSKACREKLRREKLNDRFAELCSVLEPERPVQTDKMAILGDAIRVLNQLKTESQEYAEMNEKLLEEIKTLKAEKNELREEKLTLKADKEKLEQQLQAMAVPPAGFMPPHPAAYQPGPNKIAVYPSYGYLPMWHYLPPSARDTSQDHELRPPAA >itb04g09160.t1 pep chromosome:ASM357664v1:4:8483099:8486857:1 gene:itb04g09160 transcript:itb04g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQARYVGTGHADLTRFEWAVNIQRDCYASYIGHYPMLAYFAIAENESIGRERYNFMQKMLAPCGLPPEREDD >itb03g24250.t1 pep chromosome:ASM357664v1:3:22890410:22892417:-1 gene:itb03g24250 transcript:itb03g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVISLVIIVAFCVLRFASKSSLLFVVKKWVRVLGDKCYEFQFYRVPQFNQNMQENQLYRKVFTYLNSLPGVEDSEFTNLFAGNKSNEINIVLDSRQVVADNFLGARVNWTNEENEKTGLKCLVMKVRKNDKRRILRSYLQHILSTFDEIEQRRKEVRLYVNVEKNPESSGRWRSVPLTHPATIDTVVMDSDLKNKVKSDLESFLKSKQYYHRLGRLWKRSYLLYGPSGTGKSTFIAAMAKFLSYDVYDIDLSRVSDDSDLKLLLLQTTSKSLIVIEDLDRYLTGNSTGGPTLPGILNFMDGIFSCCGEERVMVFTMNSKDQIDPTVLRPGRVDVHIHFPLCDFNAFKSLANSHLGLKDHKLFPQVEEIFQTGASLSPAEIGEIMISNRSSPSRALKSVISALQTNSEDRAATRHARRLSHSGSARTAEEPSEPGIFGKDGVKEIRKLYGLLRIRSTRKESFEFDAPDKENCKHET >itb06g16870.t1 pep chromosome:ASM357664v1:6:20876098:20880435:-1 gene:itb06g16870 transcript:itb06g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDATLVHSPLVTYASMLSLLISCPPFVILLWYTMVHADGSVLQTWNYLHQHGLKGFLEIWPRPTAIACKIIACYAIFEAALQLLLPGKRHEGPISPTGNRPIYKANGVLAYAVTLVTYLGLWWFGIFNPSIVYDHLGEIYSTLIFGSFIFCVLLYIKGHVAPSSSDSGSSGNIIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEEYGRVSDSMLVNTLLMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVKHPVDLGLQLALYILVAGILCIYINYDCDRQRQEFRRTNGKCTVWGKAPSKIVASYKTDSGETKTSLLLTSGWWGLARHFHYVPEISAAFFWTVPALFNHFLPYFYVVFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVPYRIVPGIY >itb09g14780.t1 pep chromosome:ASM357664v1:9:10060097:10060690:-1 gene:itb09g14780 transcript:itb09g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWRSNNESSGAAYKGVRRRKSSGKWVSEIREPRSPNRIWLGTFPTPEMAAVAYDVAALALKGPHAELNFPNSAASLPVPASNSSRDIQEAAALAAAAAGAAADALRPAGGDHHHQVDNNIVGGGRADETYEQYHHHHQSHNEFVDEDLIFDMPNVIANMAEGMLLSPPRFQQYSYDHYDYDYASTATDHNLWNYP >itb01g19210.t1 pep chromosome:ASM357664v1:1:25179836:25183863:1 gene:itb01g19210 transcript:itb01g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCNLTRRHATGASWRRSGHSSVCLSRAHACRAFQGTNYCICYNEEDNQWLASSTRDKTPSQIAKLFSSPVRYVLCPEEQRTFLPEKRVGRSARSNIPTIITMHHRLMRFRRRTFEVAPSDLISPRRISNVPTLDTIFEEGNFPAATTTTGAGNSSSGVKVLCMVPLVLSLVSYALINRYIEIL >itb12g19980.t1 pep chromosome:ASM357664v1:12:22417560:22419533:-1 gene:itb12g19980 transcript:itb12g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKISITTLAFLHLLLPLLFLVSTPYAAENSSADGDVFLPSAVKEPLAAPAQKPDLEMDPLASKWEIVTQNVGVSAMHMQLMINNKVVWFDTTNLGPSALMQNPRWCRKDLKGLDDCWAHGVTYDPYTAKVVKTLGLTLNPWCSSGGLSSTGKLINTGGYDNGIRGIRIVDPCDTCDFRENIGLASNRWYASQQMLENGDIIVVASQQMLENGDIIVVGGRRSPNYEFIVPDQLKFPNKQFPLRLLVETTDGHLENNLYPFVYLLPDGNVFLFANDRSIIFNPRTGRTIRELPKLPGGSRNYPASGQSALLPLKLTPNTKANDFVKAEVLVCGGNTHEAFKVTERPPRQFPPALKDCGRIVANQVGAQWEIDEMPSRRVMGDMLILPNGDLLLLNGAQTGTAAWDAAEEPNFTPVLYSPNKPKGSRFTQLKLTRIARMYHSSSGVMPDGKILVAGSNTHATYDFRAKYPTDMRVQKFSPPYLAPALQKFRPEILDITPKQLVYGQNFKINIRLDVPADISGIKVTMYPPPFTTHGFSQGQRMLILGLTSVANKTISAVAPPSGKLAPPGYYLIFVVHRGVPSKGMWVHIK >itb08g04050.t1 pep chromosome:ASM357664v1:8:3233766:3236760:-1 gene:itb08g04050 transcript:itb08g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEPGGSAGSLSEAAGGAGGGEMGAGSGSGRRKPSWRERENNRRRERRRRAIAAKIYTGLRAQGNYNLPKHCDNNEVLKALCAEAGWIVEPDGTTYRKGGRPTPMEIGGTSTNITPGSSRNPSPPSSYFASPIPSYQPSPMSSSCPSPTHGDATNVSPHPFAFLRNAIPCSLPPLRISNSAPVTPPLSSPTRVPKPTFNLESLTKESMSALNIPLFASCPTSPTRGPRFPATIPECDESETSTVDSDQWMSLQKCGPNAVPTSPTFNLVKPVAQRIPPNSMMFNKGKSIDFGFENVAMKAWEGERAHEVGLDDLELKLGSGSTRI >itb05g15250.t1 pep chromosome:ASM357664v1:5:22631730:22632324:-1 gene:itb05g15250 transcript:itb05g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSQLKKLRLNGTNLSERDLKVIEMLPQLEVLKLENAFDGKVWKVEEGLFQLKFLLLENKKLKQWRVDEDSFQCLKHLVLRFCYCLEEIPMIMKDIVTLESIELQQCCPSIITSAECTLESQHDAGNNTLEIKIMGPEYDESQESVP >itb12g12400.t1 pep chromosome:ASM357664v1:12:11087009:11089896:1 gene:itb12g12400 transcript:itb12g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQVLIMLNILTPRRDSYSPRAFLFFFLPTTLALLTSLFVLIYISFTSSFFFTPPHHFSHFSNGPSLQLLSDSPNNSLPGSPIADAKERVANDQIIERSIQGALGFFSNSNGSSVNDNEVFYDRDIFMENYKEMNRSLKIYVYPHQQDEPFANVLLPVDFEPGGNYASESYFKKVLMKSHFITKDPLGADLFFLPFSVARLRHDPRVGVNGIQDFTRSYISNISREYPYWNRSGGSDHFYVACHSIGRSAMEKAVEIRINAIQVVCSSSYYLSAYVPHKDASLPQIWPRQDRNLDPTLYKRNKLAFFSGTNNSPVRERLLQCWGNDSQISVHFGRLDRRAYAEELLASKFCLHVKGFEINTARIGDALYYGCVPVIIANHYDLPFADILNWKSFSIVVATLDIPLLKKILVGISDDRYTKLQSNVMKVRKHFQWQICPVDYDAFYMVMYELWLRRSSVRLQ >itb02g19630.t1 pep chromosome:ASM357664v1:2:16939079:16939552:-1 gene:itb02g19630 transcript:itb02g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLRAAAVHTGERERLDVVNNVDRKPFVEDEDSNPAAACPWTLRTRRAGERNGKVVNLAQLAAEEKPENRPRAIQKEIDVIKSLNQNILIFTISHLNSNFLHTGFLQDIFPGSWLMKITPDKYKIPENPDH >itb10g16560.t2 pep chromosome:ASM357664v1:10:22864573:22865850:-1 gene:itb10g16560 transcript:itb10g16560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVGLRLYSCCNCKNQVALHNDVISKAFQGKNGRAFLFSHAMNVVVGSKEDRQLMTGLHTVADVYCFDCHEVLGWKYERAYEETQKYKEGKFILEKSKIMKENW >itb10g16560.t1 pep chromosome:ASM357664v1:10:22864383:22865864:-1 gene:itb10g16560 transcript:itb10g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVGLRLYSCCNCKNQVALHNDVISKAFQGKNGRAFLFSHAMNVVVGSKEDRQLMTGLHTVADVYCFDCHEVLGWKYERAYEETQKYKEGKFILEKSKIMKENW >itb13g19500.t1 pep chromosome:ASM357664v1:13:26495628:26499443:1 gene:itb13g19500 transcript:itb13g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRELLFFLCLGSMMSSTALGKVLLIGRDITLTFADVEALFTPPVESRISGTLYMAEPLNACSPLTNNLDSVHNSTKLPFVLIVRGGTCSFADKVGRAQTAGFKAAIIYDNVVADLATMGGCSAGIQIPAVFVSKASGEILVRFAGDSDMDVWIAPRYEVTWPLMVLWFFLFLAAAAMLVGCCISLRDDIRSHRRIPRHVKEVHGMSSRLVKTLPSSKFTAVKAVVEDNCTSTACAICLEDYSTGDKLRILPCSHKFHAICVDAWLTSRRTFCPVCKRDARTRVDEPLASESTPLLSSTSHSPIRSTLTEAAEDSRPHSLHSSFHQLPHLSTDQSSVDISNASSPASCLDSTHSMVYPSMSSMYQSYSSQPNASSASSIVSSSQHPNPPLQRTDESNPTFSPFNSAHSLPDCQV >itb03g14390.t1 pep chromosome:ASM357664v1:3:14131414:14133481:1 gene:itb03g14390 transcript:itb03g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAALKKGPWSPEEDRKLVSYIMRYGIWNWRQMPKYAGLARTGKSCRLRWVNYLSPDVRRGPFDMEEVAILIHHYQSLGNKWSAIAAQLPGRTDNDIKNFFHTHLKKLLKINNAAAPSRPKPSIRSKRLISQTVATNLINNTVAAAAPPPPPPESPDSWNSENYEVSDNNINFSETPQDNNNNNMMTMMRSSPQVVILESSPNSFAAPLCGEDRFYTAAAPVDVKMNFSSSSDVDATADFWYNMLAEAERL >itb05g22440.t1 pep chromosome:ASM357664v1:5:27873749:27880069:-1 gene:itb05g22440 transcript:itb05g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 2.1 [Source:Projected from Arabidopsis thaliana (AT5G10180) UniProtKB/Swiss-Prot;Acc:O04722] MAIAPTTGVEMTELELSYEFSQTLQKTSSTRRADVEETLQAAVERASWVLNVPEPPGLSRKLEGSVKKTGSFLLTALQRLKKRPVQAALSGCESVFPPLSWGKEYSLVKFKSDVLAGLTLASLCIPQSIGYAALANLDPQYGLYTSVVPPLIYAVMGSSREIAIGPVAVVSLLISSMVPKLEDPATNPAGYTRLVLTVTFFAGTFQAAFGILRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKPLFGISNFTNKTDVVSVLTHIFTSLQHYPWNPYNLIIGFSFLSFILVTRFLGQKHRKLFWLPAMAPLLSVILSTLFVYLTRAENHGVKIVKHVKGGLNPSSLHQLQFNTPHIAEVAKIGFIVALVALTEAIAVGRSFASMKGYHLDGNKEMLAIGVANIAGSLTSCYAATGSFSRSAVNFYAGCETAVSNVVMAVTVLISLQLLTRLLYFTPVAILASIILSALPGLINLNEAYSIWKVDKLDFLACAGAFAGILFASVEIGLLVAVGVSFAKIILNSIQPGIEKLGRLPGAEALFMGIDQYPMAAQTPGVVVIRTKSSLLCFANANFIKARILSLVMEEQKSADETKESSDEKRIVLIVFDMSNIMNVDTSAIVSLKELYDKITSSGVQFVISNPRWQVIHKLRLADFHNKIKGKVFLSIGEAIDACLVVDKNCMQA >itb06g20250.t1 pep chromosome:ASM357664v1:6:23308554:23309513:-1 gene:itb06g20250 transcript:itb06g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTPCIAALSCLLLLLSSFSQCQGQLSPTFYDKTCPNALNIIRTTVRQAVSTERRMAASLVRLHFHDCFVQGCDASILLDESPTIKGEKTALPNLDSARGYDVIEAAKDELEKTCPGIVSCADVLSVAARDAIAAVGGPSWTVKLGRRDSTMASRTIDLPSPFDNLDRLISSFASKGLNTRDMVALSGAHTLGQARCFLFRDRIYGNGTDIDVGFANTRRRNCPKDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYARSPQVFQADFASAMIKMSEIQPLTGQNGIIRKVCGALN >itb05g20220.t1 pep chromosome:ASM357664v1:5:26401010:26403650:-1 gene:itb05g20220 transcript:itb05g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMTKVVVYTVAAAFVILLLSQDHNKLFRKDYSPRGLSRRLGTQPSAPLIFDPIVARRKGFANPEKSEDDDRYFNDKGRLDTGLRLMVLFPILDKDPRDGFVDTKELEYWNTQQAISRLNYRTQRELLLRDKDGDGSVSFSEYLPQFTDEDMERNETGHGGAGWWMIQFKNADVDRNGTLNLYEFRDFLHPEDTRNDNIRRWLLTEKLRQMDVDKDHRLSLREFERGAYQTYKTYKEFETGGKDVPSLVEIFTFLDADKDNFLRVEELKPIFHYLNPGELSYARYYTTYLIREADDNKDARLTLDEMLNHDTLFYDTIYDNEKDEDDIYHDEL >itb04g26240.t1 pep chromosome:ASM357664v1:4:30597041:30600653:-1 gene:itb04g26240 transcript:itb04g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSYWRLVFHWSLLAALAFLFLNASAMSQQRAEETELWSLEKSSMADRLNNSYKSQHEDAVDDPEAIASMVDRIVRNATERRKLGYFSCATGNPIDDCWRCDTNWARNRKRLADCAIGFGRNAIGGRDGKYYVVTDPSDDDAVNPKPGTLRYAVIQEEPLWIVFERDMVITLKQELIMNSFKTIDARGVNVHIANGACITVQFITNIIIHGLHIHDCKPTGNAMVRSSTTHYGWRTMADGDGISIFGSSHIWVDHNSLSKCSDGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDGYERDKAMQVTIAYNHFGEGLVQRMPRCRYGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPANPFAKEVTKRVDSSHGVWKNWNWRSEGDLMLNGAYFTPSGAGASAKYARASSMAAKSSSLVGIITSSSGALPCRKGRQC >itb01g00070.t1 pep chromosome:ASM357664v1:1:34945:39653:1 gene:itb01g00070 transcript:itb01g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKSAMVSDVGAWAMNVVSSVGIILANKQLMSNNGYSFSFATTLTGFHFAVTALVGMISNAAGLSSSKHVPLWELIWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYCREVKMAVVVVVIGVGVCTVTDVKINAKGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAASLLVLGPFVDYFLSEKLILEYKYSSGAIFFILLSCSLAVFCNMSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTVKNILGMLTAVVGMVIYSWAVEIEKQQANAKIVPNSKNSLTEEELRLLKEGVENTPIKDVDLGESKV >itb01g00070.t2 pep chromosome:ASM357664v1:1:34967:39653:1 gene:itb01g00070 transcript:itb01g00070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKSAMVSDVGAWAMNVVSSVGIILANKQLMSNNGYSFSFATTLTGFHFAVTALVGMISNAAGLSSSKHVPLWELIWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYCREVKMAVVVVVIGVGVCTVTDVKINAKGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQAASLLVLGPFVDYFLSEKLILEYKYSSGAIFFILLSCSLAVFCNMSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTVKNILGMLTAVVGMVIYSWAVEIEKQQANAKIVPNSKNSLTEEELRLLKEGVENTPIKDVDLGESKV >itb02g03000.t1 pep chromosome:ASM357664v1:2:1723408:1726102:-1 gene:itb02g03000 transcript:itb02g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVEVNEISAVHSACASFMAKNKNTITQTLMKPSTSTCSSSTHTTAFAVINPEVLESGMSTVGHLADYEVWSEHKGVNFPPSTNDWCNRSLNQSGEEKWAKHYSNRHKILLVGEGDFSFSASLAAAFGSASNITATSLDSERGLWKVYDRAVYNIGELMRKGCKVIHGVNAAAMDSHPSLKGLTFDRIIFNFPFAVISKKSKKSRPRPHRVLVKAFMRAAREMICENGEIHITQRTDGILGELKIEFIAFQQWLELVGVDDFNVSDYPGYSPKWETGSYSNADYFPSKTYKFRRSPKIVPLAAATKPANSSAVSVDCVPKFANAKILCSTNAPNFLTTTSNFDVVPPCFEQSFNLAHQHSKGFCYGLNFNLQPEWYPSPSMILPPYGGAAAYTTAEYHHNFLPSSRYCSNYNFLKQNERVQRQVIKTGFVVAEEEKWIKHYSNRHKILLVGEGDFSFSASLAVAFGSATNMTATSLDSEEFLTFHYCKAFLHLKELKKRGCKVIHGVDATSMAYHPSLMGSMFDRIIFNFPYAGFYNDSSRESKIGCHQSMIWMFLENAKQMIGENGEIHITHKTNGFHQQWNIVSLATQQGLVLVGSVKFNVSDYPGYSNKYGFGGDNSFDCSPSKTYMFQHPRTASM >itb08g00390.t1 pep chromosome:ASM357664v1:8:334552:336033:1 gene:itb08g00390 transcript:itb08g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAKIRNSAYPPTATTLSAYYWETSEEQWTSFLVPMIVLANVVMFVITMFVNDCPHNIIGTTGFGKSSQDNCVVLSLGRFSFQPLRENPLFGPSSSTLEKLGALDWKKIVHEHQEWRLVTCIWLHAGVFHLLANMLSLIFVGVRLEQQFGFARVGLIYLVSGIGGIPFHSTWHLGWSFWCIIWTSWSNAAALLTLLVIILINLGYGILPHVNNFAHIGGFFSGFLLGFVLLLLPQFGWYKRTHLPGVARLMSKYTICQRVSLVAASVLFITGFTVGFVMVFRGQTANAHCNWCHYLSCVPTSKWHCNN >itb05g24630.t1 pep chromosome:ASM357664v1:5:29341012:29341721:1 gene:itb05g24630 transcript:itb05g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSITKQFTTALTSFPSKPHRHRTSFRSFSLAPIKASTAGGNGVNDEGSDPSPPAPPSSTVGIRFKRGSRKRRQQRQKEEEEGGRQGVKAKAPPKEWAAMSLNEKAVELSFPQSLPAGHASPVATIHVQGFRIERDANDDGELMNSKISGVWSSLQSTAGNGV >itb10g17930.t1 pep chromosome:ASM357664v1:10:24020019:24020972:1 gene:itb10g17930 transcript:itb10g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNNLSGTLPNWISSLSNLRFLLLGGNQFEGSIPSQLCDLRNVSLLDLSSNHLSSVLPSCLHKVLFGTKRAFNAMLEPNVYGWTKTWGLKTYSYESQLQIDIYLESDYAASDEEEEVEFVTKSRSESYKGNILNYMSGIDLSFNNFTGPIPHEIGYLSDIHTLNLSNNHFRGSIPTTFSNLKQIECLDLSHNRLNGQIPQELVELNFLSIFSVAFNNLSGRIPDKKQFLTFENISYEGNPLLCGQLLGKSCSTSSTEPSSEPIIENNDPFKETFLWSFIASYIVAFIASAVVFVCCTDYSERILEYVRAKFVVFSF >itb10g17930.t2 pep chromosome:ASM357664v1:10:24020244:24020972:1 gene:itb10g17930 transcript:itb10g17930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPNVYGWTKTWGLKTYSYESQLQIDIYLESDYAASDEEEEVEFVTKSRSESYKGNILNYMSGIDLSFNNFTGPIPHEIGYLSDIHTLNLSNNHFRGSIPTTFSNLKQIECLDLSHNRLNGQIPQELVELNFLSIFSVAFNNLSGRIPDKKQFLTFENISYEGNPLLCGQLLGKSCSTSSTEPSSEPIIENNDPFKETFLWSFIASYIVAFIASAVVFVCCTDYSERILEYVRAKFVVFSF >itb02g14200.t1 pep chromosome:ASM357664v1:2:10396506:10398951:-1 gene:itb02g14200 transcript:itb02g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQIVHESQGGQNDNKDESQRAGDIGRVSWSKELLHILCDLCMQYVEKSKGKNGGVISQRLLWKKIELAFQKKTNLQWDKTKLKNKVDWMRSRWRLWKQLKGKEAELGWDHEKGTISATDEWWDLKIKENSKFEAFREKGLELELENKMDQIFGFYAQGALKFTPIADCTQGHLQQEDELHVHSPDCDDTPHAHLDDMDDGGNNCYNNSASDDFWRDPSLTPTSTPIPMHQDTQFERDVRRRGKRVLENESSQSGTCVRAEDNKKGRTTTLFEKLDNMLQLIGDRSNTRKEVYMLIMNVLNSMITPKHDEVADALAKLCALPGLESGTPEFFFACTLIEDPQKRSILFGLPNDKMRVEYIKFLYEERKGH >itb07g04790.t2 pep chromosome:ASM357664v1:7:3233619:3238524:1 gene:itb07g04790 transcript:itb07g04790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVSSLPLSIIPLILSLILLFPDLSYAAPRAQQVKLICETKLEHNTTAFVPNFVGVMENISDKMRTTGWGTSVLGSGPDRNFGLAQCYGDLSLLDCVLCYAEARTVLPQCFPFNGGRIYLDGCFMRAENYTFFEEYLGPGDTHVCGNSTRKDASFQRTARRAVMQAVSTALNNNGSARSQLSVPGRQNETAYVLADCWKTLNASACRACLQNASASMLGCLPWSEGRALYTGCFMRYSDTNFLNPIPSNDSSSRVSVAVIVVAAVSSAIVLIVGVVIALYFLKQRRIEKKRKGPNDAEKLVKILHDSSLNFKYSTLEKATGCFDEANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEYMHNQSLDQFIFDANKGKALNWEKRFEIIIGTAEGLVYLHENSRNRIIHRDIKASNILLDSRLRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLSEKADVYSFGVVLLEIVSGRQNNRSKATEYTDSLVNIVRYYNLQSLKSSFSALV >itb07g04790.t4 pep chromosome:ASM357664v1:7:3233619:3238524:1 gene:itb07g04790 transcript:itb07g04790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVSSLPLSIIPLILSLILLFPDLSYAAPRAQQVKLICETKLEHNTTAFVPNFVGVMENISDKMRTTGWGTSVLGSGPDRNFGLAQCYGDLSLLDCVLCYAEARTVLPQCFPFNGGRIYLDGCFMRAENYTFFEEYLGPGDTHVCGNSTRKDASFQRTARRAVMQAVSTALNNNGSARSQLSVPGRQNETAYVLADCWKTLNASACRACLQNASASMLGCLPWSEGRALYTGCFMRYSDTNFLNPIPSNDSSSRVSVAVIVVAAVSSAIVLIVGVVIALYFLKQRRIEKKRKGPNDAEKLVKILHDSSLNFKYSTLEKATGCFDEANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEYMHNQSLDQFIFDANKGKALNWEKRFEIIIGTAEGLVYLHENSRNRIIHRDIKASNILLDSRLRAKIADFGLARSFQEDKSHISTAIAGTLSV >itb07g04790.t3 pep chromosome:ASM357664v1:7:3233619:3236900:1 gene:itb07g04790 transcript:itb07g04790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVSSLPLSIIPLILSLILLFPDLSYAAPRAQQVKLICETKLEHNTTAFVPNFVGVMENISDKMRTTGWGTSVLGSGPDRNFGLAQCYGDLSLLDCVLCYAEARTVLPQCFPFNGGRIYLDGCFMRAENYTFFEEYLGPGDTHVCGNSTRKDASFQRTARRAVMQAVSTALNNNGSARSQLSVPGRQNETAYVLADCWKTLNASACRACLQNASASMLGCLPWSEGRALYTGCFMRYSDTNFLNPIPSNDSSSRVSVAVIVVAAVSSAIVLIVGVVIALYFLKQRRIEKKRKGPNDAEKLVKILHDSSLNFKYSTLEKATGCFDEANKLGQGGFGTVYKVRSALSIGSNFTLALYI >itb07g04790.t1 pep chromosome:ASM357664v1:7:3233603:3238524:1 gene:itb07g04790 transcript:itb07g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVSSLPLSIIPLILSLILLFPDLSYAAPRAQQVKLICETKLEHNTTAFVPNFVGVMENISDKMRTTGWGTSVLGSGPDRNFGLAQCYGDLSLLDCVLCYAEARTVLPQCFPFNGGRIYLDGCFMRAENYTFFEEYLGPGDTHVCGNSTRKDASFQRTARRAVMQAVSTALNNNGSARSQLSVPGRQNETAYVLADCWKTLNASACRACLQNASASMLGCLPWSEGRALYTGCFMRYSDTNFLNPIPSNDSSSRVSVAVIVVAAVSSAIVLIVGVVIALYFLKQRRIEKKRKGPNDAEKLVKILHDSSLNFKYSTLEKATGCFDEANKLGQGGFGTVYKGVLPDGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEYMHNQSLDQFIFDANKGKALNWEKRFEIIIGTAEGLVYLHENSRNRIIHRDIKASNILLDSRLRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLSEKADVYSFGVVLLEIVSGRQNNRSKATEYTDSLVNIAWMHFQQGTVHELFDPNLMLHNYHNINVKNEVLRVVHIGLLCTQEAPSLRPSMSKALQMLVKREELPAPTNPPFVDEKTMELNDGWDNQSFPLRDSESASVASVSHSSFYPR >itb03g18120.t1 pep chromosome:ASM357664v1:3:16499705:16506128:1 gene:itb03g18120 transcript:itb03g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALERNNSGGHQIERLRGMACMAAIYNSAEFSIGDRRSAAEVEEDEDRSSSSSSIGRNSDDSPAGQSSSDSEGEEVQSSSKDGALGNLEDLEEVLPIKRGISNFYAGKSKSFTSLSDATSCSSLKDIVKPENPYTRKRKNELARNNFFDRNRNFINRSSSGSMYKRPTNARSSLALAATMCYSENHHSTESFNSTPSSPPCFALPPLPPHPRRSSNDSSLPHPEQKFSSWRSFSLSDLQGAATTATPGIAGLFCIYNFLGSGKWRDELYNINLMPSEFFLKFMKELEGFRVGINIIYLGLCVSSGLCFECGGKDLVAATGSLGPLIPIEGEAYKISMLVNPNHLPVYQMQLLLLEGHCQTREHVHKETQKHAYNNFLDRDQSFVNKSNNGGMHKLPTISRSLLALCCNNELLKNNNSTESFNCTQSSPHFSLPPRPQRSSNESLSHPEQKFSSCCSFSLYDLWKVLLRLQFLA >itb10g25180.t2 pep chromosome:ASM357664v1:10:28594830:28597033:1 gene:itb10g25180 transcript:itb10g25180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPPVSTAAFINAIEFVSAPSRLIGNSAATLFPVSAQFDLSSTHLETLYRLNVGGRLVEPQVDSLGRTWKPDTPFLDPRQMGKPVTVSTSVINYPKGGALTPSIAPPIVYASAVQLADSETIQPDFNITWKLPIDVEYTHLVRLHFADIVSKGLNQLYFNVYINDKMAISALDLSSMVLRLNTAYYKDFVVNSSMISSPLLVKIAPVEDAHGIKNAILNGLEIFRMNNSVGSLNGEYGVDGSRAHAGLVSRNTVAAVGFIMMFGAFIGLGAMAMKWQKRPQDWQKSKSFSSWLLPIHAGDTSPNSKNWLGKSQSIFSSRAGLGWYFSLAELQEATKNWEPSQIIGVGGFGNVYVGVINDGTKVAVKRGNPQSEQGIHEFHTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPLRDHLYGKDLNSLSWKQRLEICIGAARGLHYLHTGSARGIIHRDVKSTNILLDENFIAKMADFGLSKDAPTTEQTHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEVLCARPAINPQLPREQVNLAEWALQWKQKGLLDKIIDPTLVGRINPESMKKFAEAAEKCLEEYGVDRPTMGDVLWNLEHALQLQEASDSLQGETTTDKAVSSPPPPSAAAIAPPLPHASVPAGTHPINPLPSPAQDEDAFNDLSKTAMFAQFNSLNGR >itb10g25180.t1 pep chromosome:ASM357664v1:10:28594400:28597203:1 gene:itb10g25180 transcript:itb10g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLFCLFIVGNNAQDSVIPAKNFQPYVPKDNILVDCGATSATTLPGDRVFQPDAKFLSYEGIEAVASMPSGDDKKDLDAIYLNAKIFESDATYTFPVAQPGWHWIRLHFLPLETPGYDLTAVTFSVETDSLVLLQGFQAENITAPIVKEFLINVTTDHIPLFFRPVSTAAFINAIEFVSAPSRLIGNSAATLFPVSAQFDLSSTHLETLYRLNVGGRLVEPQVDSLGRTWKPDTPFLDPRQMGKPVTVSTSVINYPKGGALTPSIAPPIVYASAVQLADSETIQPDFNITWKLPIDVEYTHLVRLHFADIVSKGLNQLYFNVYINDKMAISALDLSSMVLRLNTAYYKDFVVNSSMISSPLLVKIAPVEDAHGIKNAILNGLEIFRMNNSVGSLNGEYGVDGSRAHAGLVSRNTVAAVGFIMMFGAFIGLGAMAMKWQKRPQDWQKSKSFSSWLLPIHAGDTSPNSKNWLGKSQSIFSSRAGLGWYFSLAELQEATKNWEPSQIIGVGGFGNVYVGVINDGTKVAVKRGNPQSEQGIHEFHTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPLRDHLYGKDLNSLSWKQRLEICIGAARGLHYLHTGSARGIIHRDVKSTNILLDENFIAKMADFGLSKDAPTTEQTHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEVLCARPAINPQLPREQVNLAEWALQWKQKGLLDKIIDPTLVGRINPESMKKFAEAAEKCLEEYGVDRPTMGDVLWNLEHALQLQEASDSLQGETTTDKAVSSPPPPSAAAIAPPLPHASVPAGTHPINPLPSPAQDEDAFNDLSKTAMFAQFNSLNGR >itb02g13040.t1 pep chromosome:ASM357664v1:2:9057794:9063687:-1 gene:itb02g13040 transcript:itb02g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARLFGRALLAAAKSESSGAAAASGTAVREAFQNPLEQFFEADRSVDDEKPVVYGRSWKASELRLKSWDDLNKLWYVLLKEKNMLMTQRQMLYAQNLRFPNPERISKVRKSMCRIKHVLTERAIDEPDPRRSAEMKRMINGL >itb01g15090.t2 pep chromosome:ASM357664v1:1:17382146:17389205:1 gene:itb01g15090 transcript:itb01g15090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQCTFYRKLFTCSPSLTPVQLPSPKETHLWYVKPNEVKSETLLKQYMEILSASEKENVLRLHGDEHRKTALLARALVRTTVARYQINSHVDPRSLKFRKNIHGKPEVEWQHGDHWQPPPLHFNISHTTSLIACGVTVDSPIGIDVEENQRTVKNNILNLARRYFSEHEYKVLCSIGDSQVQHQEFIKLWTLKEAYVKALGIGFSGAPFNTFTIRFKNSVGGSSHLSLNSDSKVDHTISFSRAKWRASYSIPESQSFPKNSQFVQPSVPSFFHETFFFSVLQSYIVHITFPIQTLMFYYYCPYVHFLRHLK >itb01g15090.t6 pep chromosome:ASM357664v1:1:17382224:17389203:1 gene:itb01g15090 transcript:itb01g15090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQCTFYRKLFTCSPSLTPVQLPSPKETHLWYVKPNEVKSETLLKQYMEILSASEKENVLRLHGDEHRKTALLARALVRTTVARYQINSHVDPRSLKFRKNIHGKPEVEWQHGDHWQPPPLHFNISHTTSLIACGVTVDSPIGIDVEENQRTVKNNILNLARRYFSEHEYKVLCSIGDSQVQHQEFIKLWTLKEAYVKALGIGFSGAPFNTFTIRFKNSVGGSSHLSLNSDSKVDHTISFSRAKWRASYSIPESQSFPKNSI >itb01g15090.t1 pep chromosome:ASM357664v1:1:17382146:17389205:1 gene:itb01g15090 transcript:itb01g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQCTFYRKLFTCSPSLTPVQLPSPKETHLWYVKPNEVKSETLLKQYMEILSASEKENVLRLHGDEHRKTALLARALVRTTVARYQINSHVDPRSLKFRKNIHGKPEVEWQHGDHWQPPPLHFNISHTTSLIACGVTVDSPIGIDVEENQRTVKNNILNLARRYFSEHEYKVLCSIGDSQVQHQEFIKLWTLKEAYVKALGIGFSGAPFNTFTIRFKNSVGGSSHLSLNSDSKASEIAVDYLDSPHNSTSNWQFALIELDGSHYAAICTRKDSATDGKTSDSMKLTVWKTIPFLEDVCVSGTDAVVEVNGMTI >itb01g15090.t5 pep chromosome:ASM357664v1:1:17382224:17388620:1 gene:itb01g15090 transcript:itb01g15090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQCTFYRKLFTCSPSLTPVQLPSPKETHLWYVKPNEVKSETLLKQYMEILSASEKENVLRLHGDEHRKTALLARALVRTTVARYQINSHVDPRSLKFRKNIHGKPEVEWQHGDHWQPPPLHFNISHTTSLIACGVTVDSPIGIDVEENQRTVKNNILNLARRYFSEHEYKVLCSIGDSQVQHQEFIKLWTLKEAYVKALGIGFSGAPFNTFTIRFKNSVGGSSHLSLNSDSKSSQQYKQLAVCTN >itb01g15090.t3 pep chromosome:ASM357664v1:1:17382187:17389185:1 gene:itb01g15090 transcript:itb01g15090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQCTFYRKLFTCSPSLTPVQLPSPKETHLWYVKPNEVKSETLLKQYMEILSASEKENVLRLHGDEHRKTALLARALVRTTVARYQINSHVDPRSLKFRKNIHGKPEVEWQHGDHWQPPPLHFNISHTTSLIACGVTVDSPIGIDVEENQRTVKNNILNLARRYFSEHEYKVLCSIGDSQVQHQEFIKLWTLKEAYVKALGIGFSGAPFNTFTIRFKNSVGGSSHLSLNSDSKITLTVLTTVQATGSLH >itb01g15090.t4 pep chromosome:ASM357664v1:1:17386535:17388976:1 gene:itb01g15090 transcript:itb01g15090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQCTFYRKLFTCSPSLTPVQLPSPKETHLWYVKPNEVKSETLLKQYMEILSASEKENVLRLHGDEHRKTALLARALVRTTVARYQINSHVDPRSLKFRKNIHGKPEVEWQHGDHWQPPPLHFNISHTTSLIACGVTVDSPIGIDVEENQRTVKNNILNLARRYFSEHEYKVLCSIGDSQVQHQEFIKLWTLKEAYVKALGIGFSGAPFNTFTIRFKNSVGGSSHLSLNSDSKASEIAVDYLDSPHNSTSNWQFALIELDGSHYAAICTRKDSATDGKTSDSMKLTVWKTIPFLEDVCVSGTDAVVEVNGMTI >itb07g02110.t1 pep chromosome:ASM357664v1:7:1272623:1277527:-1 gene:itb07g02110 transcript:itb07g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQFSGLQGKLPTAFQYLANLKKLQVLSLDNNKLTGGIPSTYGNFSSLLVLSLEHNHLKGPIPHEITRCWDLNILSLGGNDFTGTLSPSFFNMTSIQTFGVTDNSLEGTIPSYIGDTMPNLEGFYFSANKFHGTIPISFPNASKLQILEESFLALDCLKILKSFETSDNIKDFLLVSIVDVNLKEADECEETMIPSFLQILNKLLNMILKFHTICPCFSGRGRNHSWNKAFTCSVEN >itb13g23610.t1 pep chromosome:ASM357664v1:13:29542344:29547321:1 gene:itb13g23610 transcript:itb13g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVESVVVERESVESQVRKKEGGGGEGVMDTPERNKSNQIATPISKFEDSPVFNFLNSLSPIKPVKSVHITQTFNSLSFASLPSVFTSPHVNTLKESRFLRRHQLPDPSKPEFSSDNDHRVERPVGTDLDVDNDASEKEESFDPQSCIVDASVQPSHESPKISAELVRTLDYECSSPMPSSGVKNKSLSQFAGSSVTIIPLVQDVSGKGLMGSEVNMEGVSQVDRNKEAAGCEWESLITDAADLLIFDSPNDAEAFKKAMDSSPRSVPFVANEIQNMETFSTVGFSELVGDGSETQNQSAQPGGGNELHQYAEAQDIIPDSSLNNPVTGGPNEMDAEMVSGLYRGMRRRCLVFEMTGARRQPLDDNSGSSSSLLAEHDGNTTSTEKHLVAVNESSRRILPGIGLHLNALAVTPRDGKGAKHESFASGKQLIIAPSSAANYHLITTSQESLNNSLAVVSSEREIVPMRNGASLNDDASQEPRYVTNEELSQSSPKKKKRKVDSKESEGCKRCNCKKSKCLKLYCECFAAGVYCVEPCLCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSTDSMSETGDDSNKTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSDPDLEEEETDLFEKSVVDRSSQKLAIVQNEIEHIPDSALPATPLRLKRPQMQFPFSSKNKPPRSSFLSIGSSSGSASQGLGRPSFFQSLPKFDKQFETIKEDENEMPDVLQTACRSPISGIKSGSPNSKRVSPPHHHSEFGTSSSPGRRSSRKLILQSIPTFPSLTPNQ >itb04g25950.t2 pep chromosome:ASM357664v1:4:30362157:30367032:-1 gene:itb04g25950 transcript:itb04g25950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVTRRLGHQYLKPSHSVSAFKSFDLLSDYYGIDTPRYASTLTASKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDESSVKAVVAKANVVINLIGREYETRNYSFEEVNHYMAEQLAAISKEHGGIMRFIQVSCLGASPTSPSRMRRAKAIAEQAVLREIPEATILKPAVMIGTEDRILNPWAQFAKNYGFLPLIGGGTTKIQPVYVADVASAIIASLKDDGTSMGNVYELGGPEIYTVHQLAELMYDMIREWPRYVKVPFPIAKAIATPRELLLKKVPFPLPTPSIFNLDVIEALTTDTIVSENALTFEDLGIVPHKLKGYPVEYLIQYRKGGPQYGSTVSEKISPDSYP >itb04g25950.t3 pep chromosome:ASM357664v1:4:30362157:30367050:-1 gene:itb04g25950 transcript:itb04g25950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVTRRLGHQYLKPSHSVSAFKSFDLLSDYYGIDTPRYASTLTASKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDESSVKAVVAKANVVINLIGREYETRNYSFEEVNHYMAEQLAAISKEHGGIMRFIQVSCLGASPTSPSRMRRAKAIAEQAVLREIPEATILKPAVMIGTEDRILNPWAQFAKNYGFLPLIGGGTTKIQPVYVADVASAIIASLKDDGTSMGNVYELGGPEIYTVHQLVNSQLLFSFKKKNKKNLISFYFAH >itb04g25950.t1 pep chromosome:ASM357664v1:4:30362084:30367050:-1 gene:itb04g25950 transcript:itb04g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVTRRLGHQYLKPSHSVSAFKSFDLLSDYYGIDTPRYASTLTASKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDESSVKAVVAKANVVINLIGREYETRNYSFEEVNHYMAEQLAAISKEHGGIMRFIQVSCLGASPTSPSRMRRAKAIAEQAVLREIPEATILKPAVMIGTEDRILNPWAQFAKNYGFLPLIGGGTTKIQPVYVADVASAIIASLKDDGTSMGNVYELGGPEIYTVHQLAELMYDMIREWPRYVKVPFPIAKAIATPRELLLKKVPFPLPTPSIFNLDVIEALTTDTIVSENALTFEDLGIVPHKLKGYPVEYLIQYRKGGPQYGSTVSEKISPDSYP >itb09g30810.t1 pep chromosome:ASM357664v1:9:31390218:31392094:-1 gene:itb09g30810 transcript:itb09g30810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCGVKFLILLLLYVVLLLALDCCGGIHALQSDIDCLKSVRESLEDPLGYLDSWNFNNRSEGFICRFIGVDCWHPDENKVLNIRLSNMELGGQFPLGIQRCSSLTGLDLSSNKLNGTIPPNIAKIVGLITTLDLSSNRFTGEIPVDLANCTYLNVIKLDNNELTGQIPPQFGSLTRIKDFSVANNRLIGAVPHFGNYSIPAQNLANNDGLCGAPLPDCPVHAKKDHRDRDFFLTGFVTGWAIFVLLTLFICLFGIPYAAAIGKNVRVNNASICPEQQDIGNQDMILKLEKFVSRMSFKEMANATSSFSQDNIVGCGTLGKVYKATPPNGWLLAIKRLHETENLDDEFASEIMILGRLRHQNLVPLIGFSAQGKAKLLVYKYIPNGSLHDWLHSTQDRAKALEWPLRMKIAIGVAKALSWLHYSCPLNVVHNGLSSKCILLDHNFEPRISKFWEATITNLNDTASTWNNPVEYGDNFSPFTKDVYCFGIVLLQLITRKEAYELSCSTDIIFGSYTTNLLHIDEVIAHKGFDDTISQFLEIAKNCVKFLPNQRPTMFQVYESLSSISYPWVTDNASEISMDCT >itb01g28560.t1 pep chromosome:ASM357664v1:1:32976942:32982606:1 gene:itb01g28560 transcript:itb01g28560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGMDYARESSTVLITTRFVWPYGGRTVCLSGTFTGWSQWPMTPVEGCPSVFQTICSLPPGYHQYKFVVDGEWRHDENQPFATGNYGVVNTVILPRETDYLPAVASTQTAPNSNMDVDNDTFQRVVRVSDGALDAVSRISQADIEISRHRISAVLSTHMAYELLPESGKVIALDVDLPVKQAFHILHEQGIPMAPLWDFNRGHFVGVLSALDFILIMRELGNHGSNLTEEELETHTISAWKEAKSYINGQINERGGAALRVLVSAGPDDNLKDVALKILQNGVATVPIIHSPSEDGLYPQLLYLASLGEVLKYLCRYFRHSPASLPILQLPINAIPLGTWVPKIGEPNRRPLAMLRPTASLSAALNLLIQAQVGAIPIVDENDSLLDIYSRSDITALAKDKIYTHINLDEMTIHQALQLGEEPYASPYMVGNERCHMCLRSDPLHKVMDKLSKPGVRRLVIVEAGSKRVEGIISLRDVFRFLLG >itb14g00750.t1 pep chromosome:ASM357664v1:14:516047:518534:-1 gene:itb14g00750 transcript:itb14g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICAANESEEEQKQGTSEQQFGPPPEAMFLVLAYLPLYELLCMSQVCRSLRDAVKGDILPWLNIAVEAPLHSRLSDDILMKITAVADGRLATLALLNCFKVTDDGLLRVIQKNPRISKLYIPGCTGITPEGVIKAVQLLTNTNHHRLKTLKISGIYNITKEHLQTLCNLLGKPQNHHHHQNPKNFYHNRKHISAIRLEEDDPFIDIDECPKCGEIRAVFDCPRESCICRGCIICIRRCEECGVCMVGEEDEQCEAACADDLCLGCWLRLPKCSFCNRPYCHQHSNQQCRLPGYEGFLCVICNDNFVQNSSRLLSIIYIK >itb02g08010.t1 pep chromosome:ASM357664v1:2:5012915:5013615:1 gene:itb02g08010 transcript:itb02g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFNLKLKAEFIPHVYSSILLVGRQSSGGVQRTASASRAAAKLWIWPALAAATARRPKELASRRWSWRSLRRRWDVGHIGCTPTTAIMFTVIKEIEPCNAMEYKNELCSWLVHLGAVACGRKSQNILCTVEFLDPRRVGIQIFHW >itb02g09440.t2 pep chromosome:ASM357664v1:2:6024378:6026447:1 gene:itb02g09440 transcript:itb02g09440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHSLKRWRIPPSGILIGLTRRWICTDGGTTVMSFGDGSHGALGLPMSIPGLGSDAYEPTPVPGLPRDVTGIAAGHFHSLAVTREGHVYAWGRNTEGQLGRDRISPRETWNEPKRVEGLEKVRVRAAFASGVVSAALGEDGSLWVWGSSKRGQLGLGEGITETVVPTRVETLLGEYIVSLGWGHALAMTRSGKLFGWGYYADGRIGKIGKELEISPLESNSSEFKSVEAAEQSVLEAMEKEKDMPTIWNPGLVEELQGLKVEDVACGLDHSLVVCSDGTLFSGGSNAYGQLGRTNQDLGMQPVDIGLRTLNIASGLGHSLAVCEVPSSEGPKVFSWGWNQSCQLGRRGPGHIPQQVEGLDGEIPKLVSAGRAHSLVLTAKNEVWAWGCGKNGRLGLCSSADESEPMLVDFSQGFEAWEAMAGLDHSLVLGKIIC >itb02g09440.t1 pep chromosome:ASM357664v1:2:6024376:6026447:1 gene:itb02g09440 transcript:itb02g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHSLKRWRIPPSGILIGLTRRWICTDGGTTVMSFGDGSHGALGLPMSIPGLGSDAYEPTPVPGLPRDVTGIAAGHFHSLAVTREGHVYAWGRNTEGQLGRDRISPRETWNEPKRVEGLEKVRVRAAFASGVVSAALGEDGSLWVWGSSKRGQLGLGEGITETVVPTRVETLLGEYIVKVSLGWGHALAMTRSGKLFGWGYYADGRIGKIGKELEISPLESNSSEFKSVEAAEQSVLEAMEKEKDMPTIWNPGLVEELQGLKVEDVACGLDHSLVVCSDGTLFSGGSNAYGQLGRTNQDLGMQPVDIGLRTLNIASGLGHSLAVCEVPSSEGPKVFSWGWNQSCQLGRRGPGHIPQQVEGLDGEIPKLVSAGRAHSLVLTAKNEVWAWGCGKNGRLGLCSSADESEPMLVDFSQGFEAWEAMAGLDHSLVLGKIIC >itb07g07020.t1 pep chromosome:ASM357664v1:7:5291153:5293128:1 gene:itb07g07020 transcript:itb07g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGGSSPSYYTILGVSEGSSNEELRRAYRKLAMQWHPDKWTKNPSLLGEAKRRFQQIQEAYSVLSDPRRRTLYDAGLYDSREDDGEVEGFADFVQEMVSLMKDVRREGKTYSMEELQTMFWDMAKGFEVPEWPNLSQPPVHLQSSSQWFSGAMTFSEGSATSKGASVDSSMAVPFLDFSYLQMRGVNPFCR >itb14g04210.t4 pep chromosome:ASM357664v1:14:3750338:3755512:1 gene:itb14g04210 transcript:itb14g04210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLHKQDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEVGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb14g04210.t3 pep chromosome:ASM357664v1:14:3751357:3755512:1 gene:itb14g04210 transcript:itb14g04210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLHKQDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEVGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb14g04210.t1 pep chromosome:ASM357664v1:14:3750338:3755512:1 gene:itb14g04210 transcript:itb14g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQIEQLMECKPLTEAEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGKSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEVGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb14g04210.t2 pep chromosome:ASM357664v1:14:3750338:3755462:1 gene:itb14g04210 transcript:itb14g04210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLHKQDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEVGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb03g22550.t3 pep chromosome:ASM357664v1:3:20616150:20621285:1 gene:itb03g22550 transcript:itb03g22550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEDIVKGQFDLEKVSVEEVFQKLKCSREGLTENEGKKRLEMFGPNKLEEKKENKLLKFLGFMWNPLSWVMECAAIMAIVLANGGGKGPDWQDFVGIVTLLIINSTISYIEENNAGNAAEALMANLALKTKVLRGGKWSEQDAADLVPGDIISIKLGDIVPADARLLEGDALKIDQAALTGESLPVTKAAGDVAYSGSTVKQGEIDAVVIATGIRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCICSIGVGVLIEIVVMFPIQHRGYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFVQNASKDDVLLLGARASRVENQDAIDACIVGMLSDPKEARAGIQEVHFLPFNPNDKRTAITYIDNRGDWYRVSKGAPEQIIELCELKEETLKKAHEIIDNFANRGLRSLAVARQSVPEKHKESFGLPWEFVGLLPLFDPPRHDSAETIKRAIELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSNDASIASIPIDELIEKADGFAGVFPEHKYEIVKRLQEMNHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVCAVLTSRAIFQRMKNYTIYAVSITIRIVVGFTLLALIWKFDFSPFMVLIIAVLNDGTIMTISKDRVKPSAMPDSWKLNEIFATGIALGTYQALMTALFFFLVSDTDFFTDIFKVKSLKGSPSELTAAVYLQVSIISQALIFVTRSQSWSFAEPPGILLLIAFLAAQFVATTITVYANWSFARIQGIGWGWAGVIWIYSIITYFPLDILKFITRLALNENASKPMLENEV >itb03g22550.t1 pep chromosome:ASM357664v1:3:20615958:20621319:1 gene:itb03g22550 transcript:itb03g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEDIVKGQFDLEKVSVEEVFQKLKCSREGLTENEGKKRLEMFGPNKLEEKKENKLLKFLGFMWNPLSWVMECAAIMAIVLANGGGKGPDWQDFVGIVTLLIINSTISYIEENNAGNAAEALMANLALKTKVLRGGKWSEQDAADLVPGDIISIKLGDIVPADARLLEGDALKIDQAALTGESLPVTKAAGDVAYSGSTVKQGEIDAVVIATGIRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCICSIGVGVLIEIVVMFPIQHRGYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFVQNASKDDVLLLGARASRVENQDAIDACIVGMLSDPKEARAGIQEVHFLPFNPNDKRTAITYIDNRGDWYRVSKGAPEQIIELCELKEETLKKAHEIIDNFANRGLRSLAVARQSVPEKHKESFGLPWEFVGLLPLFDPPRHDSAETIKRAIELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSNDASIASIPIDELIEKADGFAGVFPEHKYEIVKRLQEMNHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVCAVLTSRAIFQRMKNYTIYAVSITIRIVVGFTLLALIWKFDFSPFMVLIIAVLNDGTIMTISKDRVKPSAMPDSWKLNEIFATGIALGTYQALMTALFFFLVSDTDFFTDIFKVKSLKGSPSELTAAVYLQVSIISQALIFVTRSQSWSFAEPPGILLLIAFLAAQFVATTITVYANWSFARIQGIGWGWAGVIWIYSIITYFPLDILKFITRLALNENASKPMLENETSKAPKQCNEKNYSEMTELEHAPEEATRLTDAEAV >itb03g22550.t4 pep chromosome:ASM357664v1:3:20615958:20619999:1 gene:itb03g22550 transcript:itb03g22550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEDIVKGQFDLEKVSVEEVFQKLKCSREGLTENEGKKRLEMFGPNKLEEKKENKLLKFLGFMWNPLSWVMECAAIMAIVLANGGGKGPDWQDFVGIVTLLIINSTISYIEENNAGNAAEALMANLALKTKVLRGGKWSEQDAADLVPGDIISIKLGDIVPADARLLEGDALKIDQAALTGESLPVTKAAGDVAYSGSTVKQGEIDAVVIATGIRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCICSIGVGVLIEIVVMFPIQHRGYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFVQNASKDDVLLLGARASRVENQDAIDACIVGMLSDPKEARAGIQEVHFLPFNPNDKRTAITYIDNRGDWYRVSKGAPEQIIELCELKEETLKKAHEIIDNFANRGLRSLAVARQSVPEKHKESFGLPWEFVGLLPLFDPPRHDSAETIKRAIELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSNDASIASIPIDELIEKADGFAGVFPEHKYEIVKRLQEMNHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVCAVLTSRAIFQRMKNYTIYAVSITIRIVVGFTLLALIWKFDFSPFMVLIIAVLNDGTIMTISKDRVKPSAMPDSWKLNEIFATGIALGTYQALMTALFFFLVSDTDFFTDIFKVKSLKGSPSELTAAVYLQVSIISQALIFVTRSQSWSFAEPPGILLLIAFLAAQFVSSHCVTAHSILEWPIIVLKKNKTVLVV >itb03g22550.t2 pep chromosome:ASM357664v1:3:20615958:20621319:1 gene:itb03g22550 transcript:itb03g22550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEDIVKGQFDLEKVSVEEVFQKLKCSREGLTENEGKKRLEMFGPNKLEEKKENKLLKFLGFMWNPLSWVMECAAIMAIVLANGGGKGPDWQDFVGIVTLLIINSTISYIEENNAGNAAEALMANLALKTKVLRGGKWSEQDAADLVPGDIISIKLGDIVPADARLLEGDALKIDQAALTGESLPVTKAAGDVAYSGSTVKQGEIDAVVIATGIRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCICSIGVGVLIEIVVMFPIQHRGYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFVQNASKDDVLLLGARASRVENQDAIDACIVGMLSDPKEARAGIQEVHFLPFNPNDKRTAITYIDNRGDWYRVSKGAPEQIIELCELKEETLKKAHEIIDNFANRGLRSLAVARQSVPEKHKESFGLPWEFVGLLPLFDPPRHDSAETIKRAIELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSNDASIASIPIDELIEKADGFAGVFPEHKYEIVKRLQEMNHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVCAVLTSRAIFQRMKNYTIYAVSITIRIVVGFTLLALIWKFDFSPFMVLIIAVLNDGTIMTISKDRVKPSAMPDSWKLNEIFATGIALGTYQALMTALFFFLVSDTDFFTDIFKVKSLKGSPSELTAAVYLQVSIISQALIFVTRSQSWSFAEPPGILLLIAFLAAQFVATTITVYANWSFARIQGIGWGWAGVIWIYSIITYFPLDILKFITRLALNENASKPMLENETSKAPKQCNEKNYSEMTELEHAPEEATRLTDAEAV >itb15g22170.t1 pep chromosome:ASM357664v1:15:24879890:24884232:-1 gene:itb15g22170 transcript:itb15g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPVPRPGSPMNGEACTVASSPLSKSSLSNQNHAPPSAVLPSSIGSLDYALYKAQNFVLGLLSHRSSRPLERSKLRGQIWRRSLLHYFLCFVVGVFIGFTPFLSPNLSVNHVSKNQAFSFENLQIDDDRISNLTSRVENSVAVDNSTVESHAENSKLIGGIESIDSDVHFNQSIDQDLAMDFHKLLIVVIPIEPRPFQAYYLNRLAHTLKLVPPPLLWIVVEMTSQSAETADTLRRTGVMYRHLVCSKNLTEVKDRSVHMRNVALSHIETHHLDGIVYFADDDKTYSLDLFEQMREIRRFGTWAMPRMAENNRQVILEGPICKGSQVLGWHTNDRKKRLRRFQAEMSGFAFNSTILWDPKRWHRPTLEPIRQIDTVKDGFQATAFIEQIVEDESQMEGIPMNCSRIMVWQFDTEFLQPYAFIKNHSSIITSLV >itb15g22170.t2 pep chromosome:ASM357664v1:15:24879890:24884232:-1 gene:itb15g22170 transcript:itb15g22170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPVPRPGSPMNGEACTVASSPLSKSSLSNQNHAPPSAVLPSSIGSLDYALYKAQNFVLGLLSHRSSRPLERSKLRGQIWRRSLLHYFLCFVVGVFIGFTPFLSPNLSVNHVSKNQAFSFENLQIDDDRISNLTSRVENSVAVDNSTVESHAENSKLIGGIESIDSDVHFNQSIDQDLAMDFHKLLIVVIPIEPRPFQAYYLNRLAHTLKLVPPPLLWIVVEMTSQSAETADTLRRTGVMYRHLVCSKNLTEVKDRSVHMRNVALSHIETHHLDGIVYFADDDKTYSLDLFEQMREIRRFGTWAMPRMAENNRQVILEGPICKGSQVLGWHTNDRKKRLRRFQAEMSGFAFNSTILWDPKRWHRPTLEPIRQIDTVKDGFQATAFIEQIVEDESQMEGIPMNCSRIMVWQFDTEFLQPYAFIKNHSSIITSLV >itb07g23980.t8 pep chromosome:ASM357664v1:7:28280040:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLDLEDTRVCF >itb07g23980.t2 pep chromosome:ASM357664v1:7:28279927:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEMNEKIASEGQIVKGLITLLRSSNSIRSIAACNSVLDLSTTSIGRKQLVDLFAIENLIVRCFQAPKSSASIVALLVDGKDTETCPGIVFKEDELSTLLVLAVIILVNSCTIEQLQKIPTMLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLGKYRKARNQYTNGMLSLRCIDN >itb07g23980.t7 pep chromosome:ASM357664v1:7:28280025:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLGKYRKARNQYTNGMLSLRCIDN >itb07g23980.t3 pep chromosome:ASM357664v1:7:28280040:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLDLEDTRVCF >itb07g23980.t5 pep chromosome:ASM357664v1:7:28279927:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEMNEKIASEGQIVKGLITLLRSSNSIRSIAACNSVLDLSTTSIGRKQLVDLFAIENLIVRCFQAPKSSASIVALLVDGKDTETCPGIVFKEDELSTLLVLAVIILVNSCTIEQLQKIPTMLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLGKYRKARNQYTNGMLSLRCIDN >itb07g23980.t6 pep chromosome:ASM357664v1:7:28279927:28283765:-1 gene:itb07g23980 transcript:itb07g23980.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLGKYRKARNQYTNGMLSLRCIDN >itb07g23980.t4 pep chromosome:ASM357664v1:7:28280040:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEMNEKIASEGQIVKGLITLLRSSNSIRSIAACNSVLDLSTTSIGRKQLVDLFAIENLIVRCFQAPKSSASIVALLVDGKDTETCPGIVFKEDELSTLLVLAVIILVNSCTIEQLQKIPTMLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLDLEDTRVCF >itb07g23980.t1 pep chromosome:ASM357664v1:7:28279927:28283769:-1 gene:itb07g23980 transcript:itb07g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLLLHLKKLWEEVHKQMLYGNFGKCTEEGFSYLNNIRTNNLAESVFRLSVDINPCRGPSDVIEVGKRIFGAEGMSLERFMLRNWESSPVLVRSSEMASSKLENIFDSFIESGRLRESVCSFLSSMLRGLVCCPPISSEEHDILHFLKEVSNDLGGPIVYREDIRVVKTDSSELELHYFRTQPGSGNSENRHVLSSTDILKCEQAYKEGYTIALRGMGFRFASIASVADDIASIFGQPSIGVNLYLTPPGCQGLARHSDDHCVFICQLLGAKKWNVFPSPTHQLPRLYESLETRHQLGSERYTTDGCKEILLKEGDILYIPRGCPHSAHTIGDGSGHDATTGFSLHLTLAIEVEPPFEWEGFTHVALDHWYRRQRATQYPFADSESLLLHNLSAILIHVAVKLVGDIDPTFRKACLVGAISSANEDWLLVNQTGIFSSLIGKINSESRFSDVLKYVEAATQKHEDPFAHFKWLRHLSEGEHESDSTGIHLADAKDIFHRVSQCRDAVEAVFYQVKSCFCSEVEFEDARRVYIALLGKYRKARNQYTNGMLSLRCIDN >itb08g15810.t2 pep chromosome:ASM357664v1:8:18025503:18029900:-1 gene:itb08g15810 transcript:itb08g15810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDSACASAVKSILCAACDQFSAELFKAKSGPRPIPVLCNTPSKATSSLSRQQENDDSFCSSVWDACKTIPILNSPFAPSLQTKAGEPQNSTVSKLNDLWQSKDDFCQAFGGNSSDGKAYCYSGEPVKLNSSDSFSLPNGMCLEKIGSGTNYLNMVPHPDGSNRAFFSDQPGKIWLATIPEQDSGQAIGLDESSPFADLTDQVYLDAKFGMMGMAFHPKFAQNGRFFASFNCDKSKSPGCVGRCACNSDVGCDPSKIASQPCQFHTVVAEYSANGTAPNPSLAEKAKPSEMRRIFTLGLPYADSHGGQILFGPEDGYMYVMLGDGGSKGDLYNFAQNKKSLLGKILRLDVDNIPSEEQISDLGLWGNYSVPDDNPFAKEKDMAHEIWALGLRNPWRCSFDAERPNYFLCADIGQDQYEEVDIITKGGNYGWSNFEGPIPFKPKEIAGGKTSSSSIDPIFPVLGYNHSEINKEIGSAAISGGFFYRSQTDPCMYGSYLYGDLYAKNMWAGVETPTNSGNFTKTDLPFGCAHDSPLNCTTVPNTNTNTPLPALGYIFSFAQDNRKDVFILTSTGVYRVVRPSRCGFTCPKEKTVVVGSRPPSPAPAAAHRAYSMELILSSLLLLLGYLVILL >itb08g15810.t1 pep chromosome:ASM357664v1:8:18025478:18030292:-1 gene:itb08g15810 transcript:itb08g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAKFPMICSIFCLLLFCLINPSASHPLCTDLRAPITAKKPLAFCPYNGTVCCDSSKDLQLQKKFVGMNISDSACASAVKSILCAACDQFSAELFKAKSGPRPIPVLCNTPSKATSSLSRQQENDDSFCSSVWDACKTIPILNSPFAPSLQTKAGEPQNSTVSKLNDLWQSKDDFCQAFGGNSSDGKAYCYSGEPVKLNSSDSFSLPNGMCLEKIGSGTNYLNMVPHPDGSNRAFFSDQPGKIWLATIPEQDSGQAIGLDESSPFADLTDQVYLDAKFGMMGMAFHPKFAQNGRFFASFNCDKSKSPGCVGRCACNSDVGCDPSKIASQPCQFHTVVAEYSANGTAPNPSLAEKAKPSEMRRIFTLGLPYADSHGGQILFGPEDGYMYVMLGDGGSKGDLYNFAQNKKSLLGKILRLDVDNIPSEEQISDLGLWGNYSVPDDNPFAKEKDMAHEIWALGLRNPWRCSFDAERPNYFLCADIGQDQYEEVDIITKGGNYGWSNFEGPIPFKPKEIAGGKTSSSSIDPIFPVLGYNHSEINKEIGSAAISGGFFYRSQTDPCMYGSYLYGDLYAKNMWAGVETPTNSGNFTKTDLPFGCAHDSPLNCTTVPNTNTNTPLPALGYIFSFAQDNRKDVFILTSTGVYRVVRPSRCGFTCPKEKTVVVGSRPPSPAPAAAHRAYSMELILSSLLLLLGYLVILL >itb03g04140.t1 pep chromosome:ASM357664v1:3:2579695:2580678:1 gene:itb03g04140 transcript:itb03g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRQMHPQMPQMINPQNVSIQQQQQQQWERMRRRQQSTPRPGGMGANMNVNSMNIEKDRPLVEVKLENPSEFPIDNTSNAINAMSARNSQLLQMQQLRQQQFAAMQASHSQSGNQFRPMANPQIPQVHSPNMGMVRAPPVKVEGFQELMGGDPSMKHDSEENKLTSPK >itb09g06930.t3 pep chromosome:ASM357664v1:9:3999834:4008006:1 gene:itb09g06930 transcript:itb09g06930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSRMEIETLQYNESHALFSSGQISDLLDEPWEQYSDMLLGDSLHFPSCSDFDFGILPCDGDNMLNVKDEEWGPSTWDAGSNNIVSGEGLTRRTNEGVVDGYSNTWDINVNFQHACKSELHFTGYKNRETDCKVATQSWAYDPSYVFSRKQEVCAEDLKDDIIDRKPFSDADAALSNDPPNPFSPCLLPSNSGKSHLVYRKDENEGMPHESGGPHNHLYLVDNTTLENPFLVQNDCALNGLVGDKYGSLPSTSVKQGLDCVKTENIHSLQKTNDSCLLTTAHQSVQSSSLAQRTCAAPDDDDVCILEDISASAQRNPCPPNGKSLVNLQHATFSETSTRVGAGYMRFKPNGEQFVFRTALQDLSQPRSEDKPPDGVLAVPLLRHQRIALSWMVKKETTNPRCSGGILADDQGLGKTISTIALILKERSPSVKTFAAFTKQTEAETLNLDDDDDAPTKLNNGANSCQPNGCSATVAKPSAHAKGRAAAGTLIVCPTSVLRQWFDELHNKVANTANLSVLVYHGCNRTKDPFELAKYDVVLTTYSIVSMEVPKQPLVDDEDETQKHTTDSLNGVSSSRKRKSPLNSGKKSLKGKKGTEGELLDTDVRPLARVAWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRFEPYSSYKLFCSSLKVPIQKHPTSGYRKLQTVLKTVMLRRTKGSQIDGEPILTLPPKRIELKKVEFTQEERGFYCRLEAESRAQFAKYAAAGTVKQNYVNILLMLLRLRQACDHPYLVGGSNSSLDWRSSIEMAKKLPQEKRILLLNCLEASLAICSICSDAPEDAVVTVCGHVFCNQCICEHLTGDDTHCPATDCKTQLIFSSLFSKKILTDSASDQPSLLNNPDYTGSESGETSQPYSFGCSYGSSKIKAALEVLQSLSKSRDCPPMVSSPGCKDEGNCYSENTSDSGSGVSHVKMNSGLDGNSNHSTKIPGEKALVFSQWTGMLDLLEACLKKSSIQYRRLDGTMSVAARDKAVKDFKTIPEVSVMIMSLKAASLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKREMVASAFGEDENGSRQTRLTVEDLEYLFRH >itb09g06930.t1 pep chromosome:ASM357664v1:9:3998209:4008010:1 gene:itb09g06930 transcript:itb09g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTFGRRRSCGNPPQEGAAAAAAKELKSLNFPLKWSTWRCCGTCFRRRNPILRRQEDSSLSFAPHDSRSGVLDGSLHSKERAVIFDPPLHSCSGSLTDLQPIQDHGQFCSDKENAFYSSASNIQDDRLSHSHLIAENNLKASVTSNMVESSRMEIETLQYNESHALFSSGQISDLLDEPWEQYSDMLLGDSLHFPSCSDFDFGILPCDGDNMLNVKDEEWGPSTWDAGSNNIVSGEGLTRRTNEGVVDGYSNTWDINVNFQHACKSELHFTGYKNRETDCKVATQSWAYDPSYVFSRKQEVCAEDLKDDIIDRKPFSDADAALSNDPPNPFSPCLLPSNSGKSHLVYRKDENEGMPHESGGPHNHLYLVDNTTLENPFLVQNDCALNGLVGDKYGSLPSTSVKQGLDCVKTENIHSLQKTNDSCLLTTAHQSVQSSSLAQRTCAAPDDDDVCILEDISASAQRNPCPPNGKSLVNLQHATFSETSTRVGAGYMRFKPNGEQFVFRTALQDLSQPRSEDKPPDGVLAVPLLRHQRIALSWMVKKETTNPRCSGGILADDQGLGKTISTIALILKERSPSVKTFAAFTKQTEAETLNLDDDDDAPTKLNNGANSCQPNGCSATVAKPSAHAKGRAAAGTLIVCPTSVLRQWFDELHNKVANTANLSVLVYHGCNRTKDPFELAKYDVVLTTYSIVSMEVPKQPLVDDEDETQKHTTDSLNGVSSSRKRKSPLNSGKKSLKGKKGTEGELLDTDVRPLARVAWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRFEPYSSYKLFCSSLKVPIQKHPTSGYRKLQTVLKTVMLRRTKGSQIDGEPILTLPPKRIELKKVEFTQEERGFYCRLEAESRAQFAKYAAAGTVKQNYVNILLMLLRLRQACDHPYLVGGSNSSLDWRSSIEMAKKLPQEKRILLLNCLEASLAICSICSDAPEDAVVTVCGHVFCNQCICEHLTGDDTHCPATDCKTQLIFSSLFSKKILTDSASDQPSLLNNPDYTGSESGETSQPYSFGCSYGSSKIKAALEVLQSLSKSRDCPPMVSSPGCKDEGNCYSENTSDSGSGVSHVKMNSGLDGNSNHSTKIPGEKALVFSQWTGMLDLLEACLKKSSIQYRRLDGTMSVAARDKAVKDFKTIPEVSVMIMSLKAASLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKREMVASAFGEDENGSRQTRLTVEDLEYLFRH >itb09g06930.t2 pep chromosome:ASM357664v1:9:3998225:4008010:1 gene:itb09g06930 transcript:itb09g06930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMISNGTANIWSPEELRESASGGGGGGGGEGTEVVEFPAEMVDMEVLWNLLSETESDSSQSRQEDSSLSFAPHDSRSGVLDGSLHSKERAVIFDPPLHSCSGSLTDLQPIQDHGQFCSDKENAFYSSASNIQDDRLSHSHLIAENNLKASVTSNMVESSRMEIETLQYNESHALFSSGQISDLLDEPWEQYSDMLLGDSLHFPSCSDFDFGILPCDGDNMLNVKDEEWGPSTWDAGSNNIVSGEGLTRRTNEGVVDGYSNTWDINVNFQHACKSELHFTGYKNRETDCKVATQSWAYDPSYVFSRKQEVCAEDLKDDIIDRKPFSDADAALSNDPPNPFSPCLLPSNSGKSHLVYRKDENEGMPHESGGPHNHLYLVDNTTLENPFLVQNDCALNGLVGDKYGSLPSTSVKQGLDCVKTENIHSLQKTNDSCLLTTAHQSVQSSSLAQRTCAAPDDDDVCILEDISASAQRNPCPPNGKSLVNLQHATFSETSTRVGAGYMRFKPNGEQFVFRTALQDLSQPRSEDKPPDGVLAVPLLRHQRIALSWMVKKETTNPRCSGGILADDQGLGKTISTIALILKERSPSVKTFAAFTKQTEAETLNLDDDDDAPTKLNNGANSCQPNGCSATVAKPSAHAKGRAAAGTLIVCPTSVLRQWFDELHNKVANTANLSVLVYHGCNRTKDPFELAKYDVVLTTYSIVSMEVPKQPLVDDEDETQKHTTDSLNGVSSSRKRKSPLNSGKKSLKGKKGTEGELLDTDVRPLARVAWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRFEPYSSYKLFCSSLKVPIQKHPTSGYRKLQTVLKTVMLRRTKGSQIDGEPILTLPPKRIELKKVEFTQEERGFYCRLEAESRAQFAKYAAAGTVKQNYVNILLMLLRLRQACDHPYLVGGSNSSLDWRSSIEMAKKLPQEKRILLLNCLEASLAICSICSDAPEDAVVTVCGHVFCNQCICEHLTGDDTHCPATDCKTQLIFSSLFSKKILTDSASDQPSLLNNPDYTGSESGETSQPYSFGCSYGSSKIKAALEVLQSLSKSRDCPPMVSSPGCKDEGNCYSENTSDSGSGVSHVKMNSGLDGNSNHSTKIPGEKALVFSQWTGMLDLLEACLKKSSIQYRRLDGTMSVAARDKAVKDFKTIPEVSVMIMSLKAASLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKREMVASAFGEDENGSRQTRLTVEDLEYLFRH >itb14g07860.t1 pep chromosome:ASM357664v1:14:7254348:7256905:-1 gene:itb14g07860 transcript:itb14g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDESGSISNPEVNGVKLRGSPPPNNPIAFNLNNSAIEVRCPTRRPDIRSVVREEIVMMSRPMLLVFLLMVLIITSQFEWKQQLVSDVEPTPSMSQKQQQISQREEAVKEKIILSQEKNIQRLNELVRSLREQLQQCRNNNESMNGSLNSLAENIIELEQQQILMD >itb09g05390.t1 pep chromosome:ASM357664v1:9:3080242:3080644:1 gene:itb09g05390 transcript:itb09g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGTDTQTNTQNLNPKKDWFFAHVDRRGAYKYLPSTWGIKFNLSSVTNGVYHLRLAIAKANRTDLQAHVNNVDMDHLVFQVMNLGADNTVWNYKA >itb04g05100.t1 pep chromosome:ASM357664v1:4:3154300:3156061:1 gene:itb04g05100 transcript:itb04g05100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKNRHLIVFATILVLQVWACCEATTRWHLDDDNEMMKRYEEWIVEYGRVYANETEKVERFNIFKANVNFIDSFNQEGNHTFRVGINEFADLTNHEFKSSRMGYKSPPYIDTTRFMYENVTEVPTTVDWVEKGAVTAVKNQQKCGCCWAFSAVASIEGITQIKTEKLISLSEQQLLDCDLISLGCHGGWIGAGFLYVLIHGGITTEENYPYTMKKGFCQRKKASQFAAKIKGFQWVPSYNEAALMKAVANQPVSVAIDASGSAFQFYKEGIYGKECKSKVNHAVTAVGYGVEDEGKFWLIKNSWGESWGEKGYVKLMRDTGDKKGVCGITTRSSFPKA >itb05g28640.t3 pep chromosome:ASM357664v1:5:32408800:32416685:-1 gene:itb05g28640 transcript:itb05g28640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLAAEQVVMQEVENVDLSDSELVYHVRDALKSAIQGDMDNYSQLVGVINRDQHLSTEEVALLVTCLKALSGAVSCIDIVHHQSLLSSILRMSMWNYGTDVMDALMELVVSLAASSGQYIDLCLEMLVSNFMPPYTFLQLLSQPRGQARKGQVLDRVHSTLKDIADLVPLSPLRLEKIIKDRMPHIFIKEALIVMYVENMLKLESSPLGELVGSTMLVAIVDRLVDLDVDISWDAILQDDFTKGIFDIELEDLEGPIDNGQQESFDFELQRDMWIDRFFGDSVSAQKLDSLMVLIFEYFISCNDSGCLRRVFDTLLQSFEKTVLTAYKSKFAQFVMFYACSLDPENCGKRFANMLIRIFESSAHLEWRMSAVAYLASYLARARFMDMPFVADCLERLVNWCYNYCKIKTGEINPNPRVHKDFYAGCQAIMYIICFRRGSIHSLFRIKSHLLRMRIEDILRHSLSPLMVCLPSIVEEFLRVAETTCLFSFPDNNAPIGSGLLESEVSMAFGGNQRLDAFFPFDPCLLKKSDRFIRPNFIYWSMVRNTYDEVEDDECTSDEDEVEVCIPGNGMDIVDGGAPRSCQVDNGNLDVEFDYNLNKMSITPKNTLLQRFGGEGAGAGAGLRMPSRIRPCPDSL >itb05g28640.t1 pep chromosome:ASM357664v1:5:32408733:32416685:-1 gene:itb05g28640 transcript:itb05g28640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLAAEQVVMQEVENVDLSDSELVYHVRDALKSAIQGDMDNYSQLVGVINRDQHLSTEEVALLVTCLKALSGAVSCIDIVHHQSLLSSILRMSMWNYGTDVMDALMELVVSLAASSGQYIDLCLEMLVSNFMPPYTFLQLLSQPRGQARKGQVLDRVHSTLKDIADLVPLSPLRLEKIIKDRMPHIFIKEALIVMYVENMLKLESSPLGELVGSTMLVAIVDRLVDLDVDISWDAILQDDFTKGIFDIELEDLEGPIDNGQQESFDFELQRDMWIDRFFGDSVSAQKLDSLMVLIFEYFISCNDSGCLRRVFDTLLQSFEKTVLTAYKSKFAQFVMFYACSLDPENCGKRFANMLIRIFESSAHLEWRMSAVAYLASYLARARFMDMPFVADCLERLVNWCYNYCKIKTGEINPNPRVHKDFYAGCQAIMYIICFRRGSIHSLFRIKSHLLRMRIEDILRHSLSPLMVCLPSIVEEFLRVAETTCLFSFPDNNAPIGSGLLESEVSMAFGGNQRLDAFFPFDPCLLKKSDRFIRPNFIYWSMVRNTYDEVEDDECTSDEDEVEVCIPGNGMDIVDGGAPRSCQVDNGNLDVEFDYNLNKMSITPKNTLLQRFGGEGAGAGAGLRMPSRIRPCPDSL >itb05g28640.t2 pep chromosome:ASM357664v1:5:32408733:32416662:-1 gene:itb05g28640 transcript:itb05g28640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLAAEQVVMQEVENVDLSDSELVYHVRDALKSAIQGDMDNYSQLVGVINRDQHLSTEEVALLVTCLKALSGAVSCIDIVHHQSLLSSILRMSMWNYGTDVMDALMELVVSLAASSGQYIDLCLEMLVSNFMPPYTFLQLLSQPRGQARKGQVLDRVHSTLKDIADLVPLSPLRLEKIIKDRMPHIFIKEALIVMYVENMLKLESSPLGELVGSTMLVAIVDRLVDLDVDISWDAILQDDFTKGIFDIELEDLEGPIDNGQQESFDFELQRDMWIDRFFGDSVSAQKLDSLMVLIFEYFISCNDSGCLRRVFDTLLQSFEKTVLTAYKSKFAQFVMFYACSLDPENCGKRFANMLIRIFESSAHLEWRMSAVAYLASYLARARFMDMPFVADCLERLVNWCYNYCKIKTGEINPNPRVHKDFYAGCQAIMYIICFRRGSIHSLFRIKSHLLRMRIEDILRHSLSPLMVCLPSIVEEFLRVAETTCLFSFPDNNAPIGSGLLESEVSMAFGGNQRLDAFFPFDPCLLKKSDRFIRPNFIYWSMVRNTYDEVEDDECTSDEDEVEVCIPGNGMDIVDGGAPRSCQVDNGNLDVEFDYNLNKMSITPKNTLLQRFGGEGAGAGAGLRMPSRIRPCPDSL >itb05g28640.t4 pep chromosome:ASM357664v1:5:32408800:32416685:-1 gene:itb05g28640 transcript:itb05g28640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLAAEQVVMQEVENVDLSDSELVYHVRDALKSAIQGDMDNYSQLVGVINRDQHLSTEEVALLVTCLKALSGAVSCIDIVHHQSLLSSILRMSMWNYGTDVMDALMELVVSLAASSGQYIDLCLEMLVSNFMPPYTFLQLLSQPRGQARKGQVLDRVHSTLKDIADLVPLSPLRLEKIIKDRMPHIFIKEALIVMYVENMLKLESSPLGELVGSTMLVAIVDRLVDLDVDISWDAILQDDFTKGIFDIELEDLEGPIDNGQQESFDFELQRDMWIDRFFGDSVSAQKLDSLMVLIFEYFISCNDSGCLRRVFDTLLQSFEKTVLTAYKSKFAQFVMFYACSLDPENCGKRFANMLIRIFESSAHLEWRMSAVAYLASYLARARFMDMPFVADCLERLVNWCYNYCKIKTGEINPNPRVHKDFYAGCQAIMYIICFRRGSIHSLFRIKSHLLRMRIEDILRHSLSPLMVCLPSIVEEFLRVAETTCLFSFPDNNAPIGSGLLESEVSMAFGGNQRLDAFFPFDPCLLKKSDRFIRPNFIYWSMVRNTYDEVEDDECTSDEDEVEVCIPGNGMDIVDGGAPRSCQVDNGNLDVEFDYNLNKMSITPKNTLLQRFGGEGAGAGAGLRMPSRIRPCPDSL >itb06g20470.t1 pep chromosome:ASM357664v1:6:23433189:23436870:1 gene:itb06g20470 transcript:itb06g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEDAVRRRNALADYRKKLLQHKELDSRLRTVRENLRAAKKDFNKTEDDLKSLQSVGQIIGEVLRPLDHERMIVKASSGPRYVVGCRNKLDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHASGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >itb11g08450.t1 pep chromosome:ASM357664v1:11:5556185:5563553:1 gene:itb11g08450 transcript:itb11g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFGGPLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDILWEFQPKKIGEGDLLTGGDLYATVNENSLMQHHVALPPDAMGKITYIAPAGQYSLKDTVLELEFQGVKKQYTMLQTWPVRTPRPVAAKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERSGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMLRNIIHFYNLANQAVERGAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEDVLVAKFKKLHDDLIAGFRNLEDETR >itb13g08300.t1 pep chromosome:ASM357664v1:13:10517790:10529151:1 gene:itb13g08300 transcript:itb13g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDDNGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSSSYQNTKTLLEFYEPMVIMARGCFDDTRVTFNGSFDHMNIDSSSVQNLEIIEPMHSGLLGTSNKKRSLFQMLKTTRTFGGTRLLRANILQPLKDIETINARLDCLDELMSNEQLFFGLSQALRKFPKEIDRVLCHFCFKPKKVTNEVLATDNARRSQNLISSIILLKTALDALPLLSNVLKDAKSFLLRNVYESTCENEKYASIRKRFMHERLNSDKIWSLRIGEVIDEDVLHTRVPFVARTQQCFAVKAGVDGLLDMARRSFCDTSEAIHSLAIKYREDFKLPNLKIPFNNRQGFYFSIPQKSIQGKLPNKFIQPNNIFLSEASNVVIVMGPNMSGKSTYLQQVCLIVILAQIGCYIPARYATLRVIDRIFTRMGTIDCLESNSSTFMTEMKETAFIMQNISKRSLVVMDELGRATSSSDGFAMAWSCCEHLLALKAYTIFATHMENLSELAIIYPNVKILHFYVEVKNNRMDFKFQLRDGQLHVPHYGLMLAGVAGLPSSVVETAKRITSTITQKDFQQKTKRLEVNCQQYRDIQMIYRVSQRLICLKYSNQDEDSLRESLQNLKESYISGNI >itb09g03720.t1 pep chromosome:ASM357664v1:9:2049792:2052434:1 gene:itb09g03720 transcript:itb09g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDVEMAAPESGAVVELDEMKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAADAANQANREEVDSRSVFVGNVDYACTPEEVQQHFQACGMVNRVTIRTNKFGQPKGYAYVEFLEPEAVQEALLLNESELHGRQLKVSAKRTNIPGMKQFRPRRPNPYMGFRGRTPYAAPFFYPPYGYGKVPRFRTPMRYNPYF >itb07g15170.t1 pep chromosome:ASM357664v1:7:18120015:18121524:1 gene:itb07g15170 transcript:itb07g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWEKQRLLGSGSYGQVHLAVLKPAGEMKMAVKSAAIQCSCSLERERVFLDALRNCPFIVRCFGEDVSMEHGQHVYNLLLEYAPGGTLHQLIRNTGGIPEDLARLYTYQLLKGIHYMHTLGIIHCDLKPQNVLVFPGKFHGWKQIKLCDFGLAKFFDETNVYGDSHRGTIQYAAPECLAGRSYTAAKDIWAVGCMFVEMVTGKPIWEVDNEDELGMKIPKHFSPSAKSFLKMCLDREPGRRWTAEMLLSHPFLERLGVVVGSKKEEEGFVNPLGALRWSSSRDLFRVLPEKEKEKEVFDLNVAFKEEREEEVFDLNVVFEEEVFDLNVFFKEEWKREEVERCFPGCLVHWS >itb12g04430.t1 pep chromosome:ASM357664v1:12:2903505:2908082:-1 gene:itb12g04430 transcript:itb12g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLIDESIPDSCRNQTQSPSDGAAVAAFLPCESGALHLFHHIHSSHLLRPDHYTLSTVLTACANIQHTVVFGAQLHAFGFRAGLTAFSHVSNALLSFYAKSQDLCGVKRLFSEIQSPDVYSWTTLLSACTKLGEVEYALQVFDEMPRRDVAVWNAIITGCADNGDDEVALNLFQKMHSLGVSHDNYTFASVLSLCSLELWGLGRQVHSMVVKTGFLATTSVINALVTMYFNCKSAVDACWVFEDADEVVDQITYNAMIAGLVSVERNEEALVMFNHMRNIYLMPTGLTFVSLMSSCSDAMISTQIHALVVKQGFEDCTSVSNAAMTMYSNCRDLKATCLIFERIKEKDIVSWNAMITSYALENLSGEAILAYLEMQREGVVADEFTLGSLLSSSQSVADAEMILSIVIKNGLILKIEVSNALVSAFCKLGEIEQAYRYFRDMFTRNLISWNAIISGSQSNGFPMQSLNLFSELLAEGLTPNAYTLSTVLSACAGIPSFQHGKQIHGYILKFGSFLETSIGNTLIALYSKCGILHWSTRVFQIMTERDVVSWNSMISAYAQHGKGGEAVHCFEKMVDSGRVEPDKATFTGVLSACSHAGLVEDGIQIFNSMVNNYGIKPGVEHFSCIVDILSRAGYLDEAEEIVKTKNIEVDSTVWWTLFSSCAAHGDTRLGRIVAGILLETEKNNPAVYVLLSNIYADAGKWEESASVRELMQRYRVIKQPGSSWVRS >itb09g13420.t3 pep chromosome:ASM357664v1:9:8743319:8747786:1 gene:itb09g13420 transcript:itb09g13420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEEALTTAEEEVWNRETVPKVMMIVSSRLPQKDLISLLLLNPWIHRTLISHPSLWLALDFHEMNNAGNRLVAALSLLRYRNVRHINLEFAQDVEDKNLQDVRSKCGNSLQNLETLNLNGCQKISDTGIEAITSICPNLKGFSIYWNVRVTDLGIMHLVRNCKLVVDLNLSGCKNITDKSLHLIADNYQELDSLNLTRCIKLTDSGLQRILLKCYLLQSLNLYALSIFTDEAYKKISLLPNLKFLDLCGAQVMVFF >itb09g13420.t2 pep chromosome:ASM357664v1:9:8743319:8747771:1 gene:itb09g13420 transcript:itb09g13420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEEALTTAEEEVWNRETVPKVMMIVSSRLPQKDLISLLLLNPWIHRTLISHPSLWLALDFHEMNNAGNRLVAALSLLRYRNVRHINLEFAQDVEDKNLQDVRSKCGNSLQNLETLNLNGCQKISDTGIEAITSICPNLKGFSIYWNVRVTDLGIMHLVRNCKLVVDLNLSGCKNITDKSLHLIADNYQELDSLNLTRCIKLTDSGLQRILLKCYLLQSLNLYALSIFTDEAYKKISLLPNLKFLDLCGAQVCKSY >itb09g13420.t1 pep chromosome:ASM357664v1:9:8743319:8747771:1 gene:itb09g13420 transcript:itb09g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEEALTTAEEEVWNRETVPKVMMIVSSRLPQKDLISLLLLNPWIHRTLISHPSLWLALDFHEMNNAGNRLVAALSLLRYRNVRHINLEFAQDVEDKNLQDVRSKCGNSLQNLETLNLNGCQKISDTGIEAITSICPNLKGFSIYWNVRVTDLGIMHLVRNCKLVVDLNLSGCKNITDKSLHLIADNYQELDSLNLTRCIKLTDSGLQRILLKCYLLQSLNLYALSIFTDEAYKKISLLPNLKFLDLCGAQNLTDDGLSCIANCKRLVSLNLTWCVRVTDVGVLAIARGCRSLELLSLFGIVGVTDKSLEALSSFCSNTLTTLDVNGCIGIKNRSRDQLLQLFPKLECFKVHS >itb09g10500.t1 pep chromosome:ASM357664v1:9:6499430:6502394:-1 gene:itb09g10500 transcript:itb09g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCTCQRVRIERYDGYRAENANYRVQREVEDEYEYDDDHGIVGRATFGANVRLHGSSKYVSMFSQQGKKGINQDAMTVWENFGGQKDWYFCGVFDGHGPSGHKVARYVRDVLPSKVSLSLKGNNSWDSSADNNLQGGDVQNHPVFIKTKSNIIKAFKDMDNELEGDSSVETYSSGTTSITVSKLGEHLIIGNLGDSRAVLCTTDDEGALVPEQLSVDLKPNLPSERERITSCQGRVMAMKEEPSVFRVWMPNEDCPGLAMARAFGDFCLKDYGLISTPEVYYRKLSDKDEFVVLATDGVWDVLSNEEVIRVVASAKKRSAAAQLVVERAVRAWKTRYPSSKIDDCAVVCLFFKRQSSALTKSASQIIDDDTCPTNAKTDDGLDTVLDYKVKDDDASELLISPAT >itb01g21750.t1 pep chromosome:ASM357664v1:1:27800097:27802316:-1 gene:itb01g21750 transcript:itb01g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34050) TAIR;Acc:AT4G34050] MAENQTTRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREVTAKHPWNLMTTSADEGQFLSMLIKLINAKNTMEIGVYTGYSLLATALALPEDGKILAMDINRENYELGLPVIEKAGVAHKIEFKEGPALPVLDQLVEDPKNHGTYDFIFVDADKDNYLNYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPMRKYIKYYRDFVLELNKALAVDPRIEICMLPVGDGITLCRRIS >itb05g06580.t1 pep chromosome:ASM357664v1:5:7100823:7109217:1 gene:itb05g06580 transcript:itb05g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTYELIYIAASVLLSSVLLRVADDGWLANLVVLVCYRLYLYIVYLYAGELSPLCLLLLHTFSLVRLHSSARQRAECAIARRPPQQRASSSAGPPPPRDRRFADHSSVVSREAAAPRLPRDFLYCLDTEASNNKKEQSIHGSKLELSDIHYANQMVSILLFYLSSFLFLK >itb08g04000.t1 pep chromosome:ASM357664v1:8:3212739:3215403:1 gene:itb08g04000 transcript:itb08g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLKTRYDLDKMAAAATVSVNAGDIKLRATMTDATVVKGPSLNGLALALEKPGAFIVDYNVPNKDFRFQFMSTVRVLEKPLNLNYIHFKGDKRTTVDGTLVLDPANKVSANHLMGSGNCKLKYTYVHKGQTTFEPSYDFAKNAWDFSVSQRVYGDDVLKAMYQTSNQNLALEWSRNSKLNGSFKISASLNLAEDRKMPKLIAESTWDFEM >itb04g13330.t1 pep chromosome:ASM357664v1:4:13385690:13391077:-1 gene:itb04g13330 transcript:itb04g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPIELTALFEKYGQVDNITSYSARNYTFLYFRRLEDAKAANDALPRTVLGWNPLNKIEFAKPIFIILDQLAEGESSSQSSCPKEFTCGNLPNLSFPFSNVSRPECGLYTLDCGATPYPTITLELGQHQYGVEVNRGNLMFLFDPLLDKYLRKRSCNVFHTGISFPSSASISFDFLSKIMFYKCNRTSSNVSSLQKKIDHYFRGYRSYNNCQNFTLYYIDGDNHTLPAARTLPAECSVIYLPSYGSSRGIDVFVKLNSSILIQWKVSEICAECHYNGGKCLTDTHNNFQCSDAGKKELPKGIHILLQCAIALILFGSFTSCVIAIVLRCRKKKGHAGSSQLSTNWDLEGCKLLGVHVFSYSQLEKATDYFHSDKELGDGGFGTVYHGKLRDGREVAVKRLYQHNYKRLEQFINEIKILTTLRHRNLVTLYGCTSGHSRELLLVYEYIPNGTIADHLHGEKAKDGSLTWPIRMKIALETATALAYLHASDIVHRDVKTTNILLDNNFCVKVADFGLSRFLPNDVTHVSTAPQGTPGYVDPEYHECYQLTDKSDVYSFGVVLVELVSSMPALDTSRHRDVINLATLALNRIARGAFSELIDPSLGFETDGEIMRMATSVAELAFQCLQPKKHMRPTMDDVLETLKEIQGGEFQDETKNDEIDKKNVSSSVEIVLSPESEEVVLLKKIGRFPTSPTSVTDRWVSNSTATCNSV >itb09g12040.t2 pep chromosome:ASM357664v1:9:7571972:7574261:1 gene:itb09g12040 transcript:itb09g12040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQSGSSKIKLRSIPIIRRGYHRARGHGLPQGVQIEEGSNCRDAKPLVELSLPVTARMIGRISCVARAEMEMNVKQVSNGDECEADVIEHAQEYLET >itb09g12040.t1 pep chromosome:ASM357664v1:9:7571972:7575699:1 gene:itb09g12040 transcript:itb09g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQSGSSKIKLRSIPIIRRGYHRARGHGLPQGVQIEEGSNCRDAKPLVELSLPVTARMIGRISCVARAEMEMNVKQVSNGDECEAGPAHYWQCFSIYRAAPT >itb03g07250.t1 pep chromosome:ASM357664v1:3:5308119:5309626:-1 gene:itb03g07250 transcript:itb03g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MLHRQYLLQYNIFRHLLPRHFLQRQLRSKSSRRFNGGRSNHEEDDGNVRRKIENQEANIYLLKSRGQHLLTNPRVLDSIVGKSNVLPTDTVLEIGPGTGNLTLKLVSVAERVIAIEIDKRMVEILNKRAAEHGLGDKLSIVCQGALKTEFPRFDLMVANIPYGISSPLVAKLVFGANAYRSATLLLQKEFARRLLANPGDSEFNRLAVNVKLVADVEFVMDVSKRDFLPCPKVDSSVVKIHPKASIPDVNLDEWWAFTRTCFTKKNKTLGATFKQKRLLMELMKLQESVEDCNLQSGLEIIELASFREMIMNILSSGGFDDKRPSKLSHEELLHLLSLFNQSGISFHGPAKPKNPRQTTFAAACDPT >itb03g03390.t1 pep chromosome:ASM357664v1:3:1969772:1973535:-1 gene:itb03g03390 transcript:itb03g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFFNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDAKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTTNTNSAEQQGGGCAC >itb13g12860.t1 pep chromosome:ASM357664v1:13:19262047:19262934:1 gene:itb13g12860 transcript:itb13g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSRKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECTLAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb04g00540.t1 pep chromosome:ASM357664v1:4:298167:300013:1 gene:itb04g00540 transcript:itb04g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAMGNGWELCVFLAVLKATAVAGGCEGKCCFPAIFNFGDSNTDTGGFWAAFPAQRPPHGMTYFNKPVSRVIVDFLVNWIRLQKWCQLCIIILASTVRLPHSSLVDTGISPFSLAIQFNQFKQFKVMVDEEEQQHGNTKLPPKNIFGKALYIFYIGQNDFLDYIVDLTSLGISGVKHFLPEVVGQIAKIIKDIYLLGGRTFLVLNLAPIGCYPVFLVKLSHNTSDLDQFGCLKSYNNAVVDYNNLLQDALRQTIHDLKDGDVIYVDTHSIILELFQHPTSHGLKYGTKACCGYGGGDYNFNREVFCGNTNVVNGQRVNAGACEDPYNYVSWDGFHLTDAANKVATQAIFSGSYFDPPFPIHNFCDINPLG >itb03g09760.t1 pep chromosome:ASM357664v1:3:7591347:7593012:-1 gene:itb03g09760 transcript:itb03g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYGSLSRALLSTARTATPRSTPSISLLRSPTLLGQRLQSRRLLSGILPRTNGILGCTQSLLPLHSALAAARLTSHIEVEARSCCELSQGT >itb03g09760.t2 pep chromosome:ASM357664v1:3:7591347:7593012:-1 gene:itb03g09760 transcript:itb03g09760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYGSLSRALLSTARTATPRSTPSISLLRSPTLLGQRLQSRRLLSGILPRTNGILGCTQSLLPLHSALAAARLTSHIEVEARSCCELSQGTFFCRTCPDR >itb06g14660.t1 pep chromosome:ASM357664v1:6:19170590:19175772:-1 gene:itb06g14660 transcript:itb06g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLRRKVASGATSAWALGKSLHTVRPGFLTPRACSVVAEEILIHPIGCGQARNFCHLNLPGNSMNLRAQRGAISNLHPGVTLQIWNRAFCSSGADLVDAVVPHMGESITDGTLATFLKKPGDRVEVDEAIAQVETDKVTIDVTSPEAGVIQEYVVKEGDTVEPGTKVAIISKSSGGVDHVAPSEKPSGKDTPQSSSIDEQKEKPKPKVEAPPVKEIPKETKAPSPKRSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFIKAAVSALQNQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIREADKMNFADIEKTINALAKKANDGSISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGNIVSRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >itb02g01610.t1 pep chromosome:ASM357664v1:2:904051:906022:1 gene:itb02g01610 transcript:itb02g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNARKNESAPSEVKSPNMIERAKEEMQTMSHHDKAHVHDKETHGTSDDIDETTSVDEVKGPGVFERVKEELEAVVGAIIHPKK >itb11g04090.t1 pep chromosome:ASM357664v1:11:2172036:2174904:-1 gene:itb11g04090 transcript:itb11g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNKYASLNFNDIYEKRSATATSPNSSSSQATANHSSKTVISNSRIHGHMLVLSRPTPKPIAIPQSQPGPIQHQAKSLSPTPATSLSSSPADRARPESESDFISLRPQGRTGSSPIISSPSLGSPSSTISPLQSPVSKSDRFVPPHLRPGFKGREEKPGGRGGGGPRYGHSVCFPDPHGEDGRPKSGGGHDSMRTTGGEGKFSDFMNRPGSSG >itb11g04090.t2 pep chromosome:ASM357664v1:11:2172036:2174904:-1 gene:itb11g04090 transcript:itb11g04090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNKYASLNFNDIYEKRSATATSPNSSSSQATANHSSKTVISNSRIHGHMLVLSRPTPKPIAIPQSQPGPIQHQAKSLSPTPATSLSSSPADRARPESESDFISLRPQGRTGSSPIISSPSLGSPSSTISPLQSPVSKSDRFVPPHLRPGFKGREEKPGGRGGGGPRYGHSVCFPDPHGEDGRPKSGGGHDSMRTTGGEGKFSDFMNRPGSSG >itb09g13270.t1 pep chromosome:ASM357664v1:9:8613843:8615969:-1 gene:itb09g13270 transcript:itb09g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTSSTEFSKIECSDGVTLDARIFRPAAGEHKGGDSVVVVLVHPYSVLGGCQGLMKGMARGLADRGLTAITFDTRGAGRSTGRASLTGSAEVNDVVSVCKWATSNLSANRIVLVGSSAGAAIAGSAIDKVEEVVGYVSLGYPFGFTASILFGGHQKAILQSPKPKLFVMGTKDGFTSVHQLEKKLSNAAGNNQTHLIEGVSHFQMEGPAFDAQMVNLILHFISSF >itb03g17760.t1 pep chromosome:ASM357664v1:3:16222802:16225265:-1 gene:itb03g17760 transcript:itb03g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSFCLFFFLFLLLSSFFCAESSPYEIEELSWLDGDDHDDEISMVQSRHASLRTCDFSAGKWVFDQSYPLYDPARCPYLSTAVSCSKNGRPDSDYQKWKWKPDGCTIPRFDALEFLGRMRRKRIMLVGDSIMRNQWESLVCLVQSVIPTARKTVTYNGPSMAFHALDYETSVEFCWAPFLVELKQGAENKRILHLDLIEENARYWRGSDVLVFDSAHWWTHSENRSSWDYIMEGTNFFRYMNPMVAYEKGLMTWAKWIDLNVDPRKSRVFFRSMSPKHNRENGWKCFNEREPLEHFSRPRVPQQLVVLKQVLRRMNFPVYLQDISTMSAFRRDAHPSVYGKVVGQEEKQHLRGYKSDCSHWCLPGVPDIWNEMLNAML >itb03g14660.t1 pep chromosome:ASM357664v1:3:14282563:14284511:1 gene:itb03g14660 transcript:itb03g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTMETPNPFHTHRKRVISVLLKGKKSATQLQTLLRNFSHGSQEQSHLVLEIMGSFSEAVSQLKNGPPPPDSALSGGRNSGDNPAKARRGCYNRRKCSDTWINVSNTKEDGGAWRKYGQKQILNSKYPRCYFRCTHKHSQGCKATKQVERISEDEYKTMYFGQHTCQDSFRAPVLVIKSISTVDSTQSPSFECITQDGNGGGDHYDDVVVNQEDHHEFKDQYCMTSGSSGTNNLDVDESCFANLGNLLQSEEMRLLDY >itb04g20910.t1 pep chromosome:ASM357664v1:4:25938763:25939311:1 gene:itb04g20910 transcript:itb04g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLCLSHSLLILLCLSHSFLASLPLSLSSLTSRPPPSTITSRTRRRRAAGNRSEATNQTSASLHCEPVNQTSASIHGGPATRAPQTVTPLPLSQIISLHSSTLTIGPS >itb15g17750.t1 pep chromosome:ASM357664v1:15:18832612:18835207:1 gene:itb15g17750 transcript:itb15g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSIRTRQNQNAPPAAPPSPRVTSSPRVLGSPAATNSPRHVGTPVAKNSPKQAEVGEIDTRAPFRSVKAAVNLFREASNNASNSPKAQPTIIKKATKAPDERVLVKETQLHLIMKELDSLKEQLRNTEATKTQAHKDLERAKRTLNELTGKLETICEAKQAAIEATEAAKVRATELERVQKSTRLGSDDAWKEHVDNEREQYKFSAGALNSAKQELANLRQDFDAVLEAKLAAFQQAGDAQHATSVNQERESEFSKEVATLRQTLAQVKLAPLQAQQENAKLYAEREAHLQSLKAAKQETDQKLKALRELEEAHPGINKTLAAKLEETNATMDVLREQLNNVKISDIADWKDVSSELENSKIGLQQILAEQESLKTLVDSLKVELENVNALRSELRSKAAETDSMAERLEFELDRSKKELEATLARAKPEADNITPKILELSSEAERALQEAEEMKKSAETFRKEAEKAKVAAQEAEKKLEVVLKEVEEAKAAQKIADYKIHSASKKFAAEAATSSDSSNGGGRIKLSAEEYESLSNKVEELKSEADIKVATAMAQVQNINASAKELLEKLEECMKQKEEVQAAIQETLKRAEMDEAAKLVVECELLKFRHKGHNEEVGESSSFGREAYLQMN >itb03g14120.t2 pep chromosome:ASM357664v1:3:13983560:13985477:1 gene:itb03g14120 transcript:itb03g14120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLSGGSGGIGGGGGGGSMLKNIHRAVRAGVSSGGAAAREQPRKHTLNNLQSHASNILTLSTAASAPSSPFSPSAAAPSRSSSPACSEESEWECLDDGREDEEMGNYFYDDEFVFGAVPSLDEVHHAFSALQQVFEPAASKSNTATSALKDTAEEIGIQASSTPSGSSDWMEPSLHICNSRMVRPYVPNRVYEAFHLMQTEPAIKRMVVSLSSDKAVWDAVLNNEAVKELRDSLKQGSTYEESVASNGEPDDVWSWIFSNAKTKMMEVIDKVMTFINDLFQPPEGEKKASEGNTDLLDQGFRTSFFLSVLVLLIVVVSRAQKA >itb03g14120.t1 pep chromosome:ASM357664v1:3:13983560:13985477:1 gene:itb03g14120 transcript:itb03g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLSGGSGGIGGGGGGGSMLKNIHRAVRAGVSSGGAAAREQPRKHTLNNLQSHASNILTLSTAASAPSSPFSPSAAAPSRSSSPACSEESEWECLDDGREDEEMGNYFYDDEFVFGAVPSLDEVHHAFSALQQVFEPAASKSNTATSALKDTAEEIGIQASSTPSGSSDWMEPSLHICNSRMVRPYVPNRVYEAFHLMQTEPAIKRMVVSLSSDKAVWDAVLNNEAVKELRDSLKQVTVPDRAFAGSTYEESVASNGEPDDVWSWIFSNAKTKMMEVIDKVMTFINDLFQPPEGEKKASEGNTDLLDQGFRTSFFLSVLVLLIVVVSRAQKA >itb04g05090.t1 pep chromosome:ASM357664v1:4:3152209:3152745:1 gene:itb04g05090 transcript:itb04g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTRRLIALSEQQVVDCETVSHGCNGGWMHEAFKYVARNRGVTTGANYPYTMRQGPCNQAKAAQAAARITGFQFVPRNNEAAVMQAVANQPVSVAIDAMGRPFQFYKGGLFSMGCTTRTTHAVTAVGYGAMGAQKFWLLKNSWGLQWGEQGYIRMLKDNGRPEGMCGIATQACYPTA >itb13g03840.t3 pep chromosome:ASM357664v1:13:3855264:3861342:-1 gene:itb13g03840 transcript:itb13g03840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSERSDTTVSGAGDDNQQSERPGNSHVEGAADAENRNGELRTASFGKNEMLKALEVVERDSMAIAQSFTSLFASLRTTLSEATSNSIEHMSCFNDAAGRLQECALDAATRGNRYINSSLR >itb13g03840.t5 pep chromosome:ASM357664v1:13:3855264:3858881:-1 gene:itb13g03840 transcript:itb13g03840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSERSDTTVSGAGDDNQQSERPGNSHVEGAADAENRNGELRTASFGKNEMLKALEVVERDSMAIAQSFTSLFASLRTTLSEATSNSIEHMSCFNDAAGRLQECALDAATRGNRYINSSLR >itb13g03840.t4 pep chromosome:ASM357664v1:13:3855264:3858875:-1 gene:itb13g03840 transcript:itb13g03840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSERSDTTVSGAGDDNQQSERPGNSHVEGAADAENRNGELRTASFGKNEMLKALEVVERDSMAIAQSFTSLFASLRTTLSEATSNSIEHMSCFNDAAGRLQECALDAATRGNRYINSSLRLNEEMKGIENLAGQLKILRRNVDALDTAVNRLTRFP >itb13g03840.t1 pep chromosome:ASM357664v1:13:3855264:3861342:-1 gene:itb13g03840 transcript:itb13g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSERSDTTVSGAGDDNQQSERPGNSHVEGAADAENRNGELRTASFGKNEMLKALEVVERDSMAIAQSFTSLFASLRTTLSEATSNSIEHMSCFNDAAGRLQECALDAATRGNRYINSSLRLNEEMKGIENLAGQLKILRRNVDALDTAVNRLTRFP >itb13g03840.t6 pep chromosome:ASM357664v1:13:3855264:3858875:-1 gene:itb13g03840 transcript:itb13g03840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSERSDTTVSGAGDDNQQSERPGNSHVEGAADAENRNGELRTASFGKNEMLKALEVVERDSMAIAQSFTSLFASLRTTLSEATSNSIEHMSCFNDAAGRLQECALDAATRGNRYINSSLR >itb13g03840.t2 pep chromosome:ASM357664v1:13:3855264:3861342:-1 gene:itb13g03840 transcript:itb13g03840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSERSDTTVSGAGDDNQQSERPGNSHVEGAADAENRNGELRTASFGKNEMLKALEVVERDSMAIAQSFTSLFASLRTTLSEATSNSIEHMSCFNDAAGRLQECALDAATRGNRYINSSLR >itb12g18470.t1 pep chromosome:ASM357664v1:12:20764022:20777779:1 gene:itb12g18470 transcript:itb12g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVQSNGQWSLFCPNEAPGLADCWGEEFNKLYTQYETEGKAKKVVQAQNLWFEILKSQIKTGTPYMLYKGSCNRKSNQQNLGTIKSSNLRTESIEYTSPGETAVCNLASIALPRFVKEKAQQLNKEVFETIYYHALKSSSELAAKESPYETYEGSPVSKTNAALDVIKKDNVSAAIFAPAWVYETKQPPDFQTAQNRWWGLVEKSWGISQKYPQVLPLYSNFDQTRVKALTSIWTNPISESLLLSTFMLGPGSCKEKRSSYAPQNGVRYDGIYRIEKCWRKVGIQGFKVCRNLFVRCDNEPAPWTRSCKEKRSSYAPQNGVRYDGIYRIEKCWRKVGIQFVGIFLYAVIMNLLRGQGLVRRSVLHMHQRMEYDMMGFTGLKNVGAKLEFRDLVCRYLFVRCDNEPAPWTRIGRLLKFEKLYTEYERKGVPIESQPSKLVGSRDSINRYFDFGKLAEVTAIVTTNLNKIVDVNFYPVETAKNSNLRHRPIGIGVQDRFLIKLIDFIVQGTLQSDMWGVTPSNQWDWVSLRAMIEKNGVRNSLLVAPMATASTSQILGNNECFDPYTSNIYSRRVLRFLYPPDSEIKQRTLVDMAADCGCYIDQSQSLTSSEFITLHISDSDLSRCSDRLPYLHGQAGSENWNGLSRSCAVADGINKLLSTKDSAFMYAERLTELAAALGFDGWLINMEVKLDVGQIPNLIEFVNHLTETMHSSVHESLVIWYDSVTTDVSCKFTTLLRSELNKHKALLTPARFVQTNAAIDAIKKDNVSAAIFAPEWVCETKQPPDLQTAQNR >itb07g13920.t1 pep chromosome:ASM357664v1:7:16242884:16243717:1 gene:itb07g13920 transcript:itb07g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTPTPRRPFSPLRCIGIILLTTIIIVGLVILILWLAVRPHRPIYFVENGAVHNFNLTTDNRLTADFNFTLKAYNPNKRISISYARVEAQLYFGNEQIAFATWPAAFNQAVRNVTYLPLFLPAKNVTLYGDTTRDFKNERSAGKVDLVIKINARVRFKMGSWRSRYRTLRVICTPTDYYYSSKGFKETKCDTDL >itb10g18490.t1 pep chromosome:ASM357664v1:10:24481053:24483297:1 gene:itb10g18490 transcript:itb10g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPENNKSLSEQILQRLTNIIPTTLSCAFNKATTLGRQIGNLFAIAIKAAWSNVGAVEGLVAAVVLSAAAYYYIVVRKHVPADKKNNGRHQLVFSRSMSVGALHGGQFAIQRLVEYHDARANRASLQSAEDALDSLLNQDLPDFKKLQRVVGRLEMSGKEGKAVERLEAAVKKAGMQGKPHEAYEFDMLLVEMLIYKGDFKKALSRPCLKDESITDARRPLYKAMIHLLLEDCSEEEVERLWKEFRRIQKHFRLSHSSQAPQLHEAARHFDTFKNIVMSLKDDIRHTTLLNAN >itb14g04300.t1 pep chromosome:ASM357664v1:14:3871742:3874535:1 gene:itb14g04300 transcript:itb14g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPYAAAYSSLTCKSRVVSLMGLPVFPLKDPRMKPENGCSRSSGLLRAGTSTVFKSGSYSASKKSKTLFPPRCSLDSSGSKDESSNKDKTPFGYTRKDVLLIGLGVTVAGYGLKGGLEFFGVDSLQAGNVVQLVLVLGLTVGWISTYVFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELQALLEQVEEEKQSLAKGDQV >itb01g23260.t1 pep chromosome:ASM357664v1:1:29330261:29333929:-1 gene:itb01g23260 transcript:itb01g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASEDAARRRTATADYRKKLLQHKELESRVRAARENLRGTKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRNKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYAAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIALPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >itb12g21630.t1 pep chromosome:ASM357664v1:12:23928143:23931602:1 gene:itb12g21630 transcript:itb12g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGYTFQQSLTHESAGIVRQAMSLARRRGHSHVTPLHVASAMLASSSGLLRRACLHSHSHPLQCKALELCFNVALNRLPTSSSNPILGPHSHLPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKVEVEQLVISILDDPSVSRVMREAGFSSSQVKTNVEQAVSLEVCSTKEVAKPLVLGNNNNNNNVSQLRLPSSFSKTVRDDDVMGVLEGLMSKKRRNTVIVGECLATAEGVVRGVIEKINKGEVPGEDMRHVQFISVPLLSLRNVSRDEFEVKLGELRALVKSYISRGVVLYLGDLKWVSEFWSKYADQSSHYYSPVEHMIMELSRLLCGGIGENNNGRLWLMGIASFQTYIKCKTGHPSLETLWSLHPLTIPVGTLALSLKLESDLNGEFGSKALAVQEGSSWALSRQHHNSVEKHLTCCADCLANFNKDARNIASCTAVVKTEPTTTTSLPSWLQKCKEEETTRQTTNNDQECDKISDLCKKWNSICSSIHKQHTLFPEKTLSFSSPSPCSSASISSNDQRSSKLHQSLLSWPVIFESNQSPKEHQFFASENEAAEGTNSMIIPDTKPDLLSNPNSSPNSASSSEASGNHHHLSMECLNRFNEVNSENMNILCRALEKKVPWQKDSIIPEIVSTVLQCRSGLMAKNKGYRGDREKQETWMFFLGVDSEGKERIARELARIVFGSEDNFTPIGISTLSSSPRTRADSTEEEVVSKKRARDEQGRSYLDRFIEAVRENPSRVFFMEDLEQADFHSQKGIKKLIETGSFTPPQDGGEAVAMKDAIVIFTSENSGAASRAPSPPPPPPKHTQEEKPCWDLNVASEDHSDEPNNAGILEAVDKQILFKIQVL >itb09g09420.t1 pep chromosome:ASM357664v1:9:5685801:5690845:1 gene:itb09g09420 transcript:itb09g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDKKSSKQTFGNMDSTAGVERLKRDIPPAHFIFMIRSFSLLDETGNEKHESGVFQACDKKWKLCVYPKGKKKQADGDGDGHISLYLEIVDTNDYPRGWEVHVKFSLFVYDYVQDKYLTVQDAGGKVRRFHYMKTQWGFDQLLSLSTFKDPSNGYLVDDTCAFGAEILVVSDAATKRRECLSMMEETDKKSSKQTFGNMDSTAGVERLKRDIPPAHFIFMIRSFSLLDETGNEKHESGVFQACDKKWKLCVYPKGKKKQADGDGDGHISLYLEIVDTNDYPRGWEVHVKFSLFVYDYVQDKYLTVQDAGGKVRRFHYMKTQWGFDQLLSLSTFKDPSNGYLVDDTCAFGAEILVVSDAATKRRECLSMVKDPTSKTYTWRINDFTSKKNQNIIYSDEFTIEGSKWKLMLYPSGDGSAKGKHLSLFLHLESTDLSNLKDHARKLFARYRLRICNQLKSSENHEYLVRPVFFGVGSSAVSNWGYSNFMSLDQLDSATKGFLVNDTLIVEAEFIMVSMFSDF >itb12g08860.t1 pep chromosome:ASM357664v1:12:6940156:6940431:-1 gene:itb12g08860 transcript:itb12g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPEQSIEVLVHQEDFHTSEEFTDGFPQSWWSEDNKDKEVVLLINVVGSRSTESVTHQVRVQHSYSPSGASIRSDPKSSSSRRQSMSMQR >itb08g08020.t1 pep chromosome:ASM357664v1:8:6890883:6892964:1 gene:itb08g08020 transcript:itb08g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYVTKVRLTSFFAGAATASFAGIYLLHQDYKSCHDSIAQEVKGFQTSLDDRISALEKLKEIESAKH >itb12g14730.t1 pep chromosome:ASM357664v1:12:14894832:14895149:1 gene:itb12g14730 transcript:itb12g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRSDVSFRSQGSSGVVWSNMFYSGELNKIATREYTGEITEVIEPPGVQRSKSATADGTADHVSPPSFDPPSPKLSGCGLCRFFGKPVTSKRNEKKKTQQA >itb05g18420.t1 pep chromosome:ASM357664v1:5:25206261:25207892:1 gene:itb05g18420 transcript:itb05g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDAGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATALALPEDGKILAMDINRENYDLGLPVIEKAGVAHKIDFREGPALPVLDQMIEDGKYHGSFDFIFVDADKDNYLNYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADDRIEICQLPVGDGITLCRRVS >itb04g28320.t1 pep chromosome:ASM357664v1:4:32086814:32091103:1 gene:itb04g28320 transcript:itb04g28320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQNINAKEAALGLSEDASWHAKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEIVDVNLVRDKGTGKSKGFAFIAYEDQRSTNLAVDNLNGAQILGRIIRVDHVTNYKKKEEEDEETLRKKREERGVCRAFQRGECTRGAGCKFSHDEQRAANTGWGHEEDRRSKWDHEKFDDSRKDKRFGQSSSAVDSGVEEKRRYSEKDSKVSKAKHSDMQNYDHKKIDTVDRHSRGDEKQSKRKEREMDNKEDYDEPKSRGDRDRMYEKVRRRDDDDRRDEKSRGDRDRMYEKVRRHDHDSKSREDDDRRGEKSRGEHDGMYEKVRRHGHDSKSREDDVRRDEKSRKHEDDRRSREDGDRRERSRRRE >itb06g01800.t1 pep chromosome:ASM357664v1:6:3112017:3116797:-1 gene:itb06g01800 transcript:itb06g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSEIEHTLRLPPHLLNLALNEAIKGELEGLFVDKVIAQLGLCISVYDIRSIDGGFIFPGDGASTYTVKFRLIIFRPFRGEVIAARLKESNAQGLRLSLGFFDDIYVPAVLMPNPSHSEPDPESRNQVRWIWEYEGEKYPIDGTDEGSLDADGLGPVSWWV >itb06g01800.t2 pep chromosome:ASM357664v1:6:3112570:3116797:-1 gene:itb06g01800 transcript:itb06g01800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSEIEHTLRLPPHLLNLALNEAIKGELEGLFVDKVIAQLGLCISVYDIRSIDGGFIFPGDGASTYTVKFRLIIFRPFRGEVIAARLKESNAQGLRLSLGFFDDIYVPAVLMPNPSHSEPDPESRNQVRWIWEYEGEKYPIDGTDEVVIQSNLMPSLPPSFIMEFT >itb11g13150.t1 pep chromosome:ASM357664v1:11:10115873:10116325:1 gene:itb11g13150 transcript:itb11g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRPTWPVGLTFIVIPDLLTATVVPSVTLALPGQACEGRIIAHRAGVMASAMRGTILTETLIVPVVVMLAFNTKRIWTKSDNHSNEAVTFGAAGVPVPLQTRAPFKFTVFTKLAADGAVNATIATHKNIKNAAYEEPMFCIYLKLSRV >itb11g09790.t1 pep chromosome:ASM357664v1:11:6649107:6650159:1 gene:itb11g09790 transcript:itb11g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKTQLIQDQWFLYGNNSFKNHQDHHETSSSSTSSSFIGENSSSLSSSSSTICSLDTTDDASSSSSPDPSFNGSLYDLSSLMSQLPIKRGLSKFYNGKSESFTSLSRVSSIEELAKKETPLKRKMKACKSYGAGLDAYKSYTSPKPIITKKPSSKISFSSSQSRRGSFTSRSTRPPLIPVQENNLGC >itb03g10540.t1 pep chromosome:ASM357664v1:3:8351152:8352226:-1 gene:itb03g10540 transcript:itb03g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRMVVGKKYENTDIDERGFKGVINEVMQLLAIPNLGDYFPYLGILDFQGLTRRMQVMAKVIDRFLERILDEHEQIGSSDQMTKDFVYIILSIMKSGQTEFQFTRDHVKSILMDMLAGSIDTSSSAIDWIMAELLRNPQVLKKVQQELGSKVGLNRMVDESDLEDLNYLEMVMKESFRLHPPGPLLIPREAREDSTVDGFHIPKKARIIVNVWSIGRDPNVWVDPEKFIPERFEGCNIDYRGRNFEFIPFSSGRRSCPGLQLGITVVRLVVAQLVHCFDWELPNGMLPKELDMTEKFSLVMPRAKHLIAVPHYRLHI >itb12g00710.t1 pep chromosome:ASM357664v1:12:513247:516997:1 gene:itb12g00710 transcript:itb12g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVQYADGEIHSVYSYETTELVHENRNGTYQWIVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGATLTGGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDVVFGGWDISSMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIFDPDFIAANQGSRANNVIKGTKKQQVEQVIKDIKEFKEKTKVDKVVVLWTANTERYSNVVVGLNDTMENLLAALDRNEAEISPSTLYAIACVMENVPFINGSPQNTFVPGLIDLAIRRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKSEEEAKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >itb03g07100.t1 pep chromosome:ASM357664v1:3:5197419:5198907:1 gene:itb03g07100 transcript:itb03g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEIEEVRSLEQPLPLLSLNHVSILVKDVWDSVRFYEDILGFCSVKRPSSLNFRGAWLYNYGIGIHLLENESLQDCDDTVNHPRPINPKDNHISFQCTDVVVVRKRLEEMGMRYVTAVVEEDGITVDQVFFHDPDGYMIEICNCENIPMRPVASLACPFKNPNFNKMEAHRPRAAAAGGATTGFDARPCRRFMETTLMMESLSMDMLNFSF >itb06g09240.t4 pep chromosome:ASM357664v1:6:13450134:13459550:1 gene:itb06g09240 transcript:itb06g09240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MPHAVLNCPLLHDLDIAACHKLSDAAIRSAATSCPLLESLDMSNCSCASDETLREISLTCVNLHVLDASYCPNISLESVRLTTLKVLKLHSCEGITSASMAAIAFSYTLEVLELDNCNLLTSVSLDLARLQNIRLVHCRKFADLNLRSDMLSSITISNCPLLQRINITSNSLKKLVLQKQECLTTLALQCPSLQEVDLTECECLTNSVCEVFSDDGGCPKLKSLVLDNCESLTAVGFSCTKLNALSLAGCRGLTSLELRCPYLEQVSLDGCDHLESASFCPVGLRSLNLGICPKLSVLRIEAPQMTSLELKGCGVLSEASINCPLLTALDASFCSQLKDDCLSATTMLCRLIKSLVLMSCPSVGSDGLLSLRWLPELTYLDLSYTFLVNLQPVYESCLHLKVLKLQACKYLSDTSLEPLYKENALPALSELDLSYGTLCQSAIEELLACCKHLTHVSLNGCINMHDLDWGGNEISYMTTTFPSTLGDIHFQNEQPNRMLQNLTCVGCPNIKKVVIPMAQCFLLSSLNLSLSANLKEVNVACSNLSSLNLSNCCSLEILKLDCPRLNNLFLQSCNIDEEAVEAAISQCSVLETLDVRFCPKICPPSIGKLRAACPGLKRIFSSLAPA >itb06g09240.t1 pep chromosome:ASM357664v1:6:13446524:13459578:1 gene:itb06g09240 transcript:itb06g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MRIWCCLCFGEEEEEEDRKGRKGMREDISGTASEEMENDEPQNFGLGFPWDGQLDAEMELWSQAAEDNAYRNDRLGRDMDFGNLQFEGTNGSLQGFHHLASSSSSGHSAMEVNLNLSLSEEPSSSTALKQDNSDSHNKRPKVHSFSLDWDSPFLDESRYACPVYDGGEESPSMFSALDDQRKDGSPKPEDLEVRMDLTDDLLHMVFSFLGHIDLCRAARVCKQWRTASTHEDFWRYLNFENRLITLVQFEDMCLRYPNATAVNVYGAGAQAIHSLAMKAIYSLRNLEALTLGRGQLGETFFQVLPECHMLKSLAINDATLGNGIQEIPIYHERLRRLQLVKCRVLRVSVRCPLLETLSLKRSSMPHAVLNCPLLHDLDIAACHKLSDAAIRSAATSCPLLESLDMSNCSCASDETLREISLTCVNLHVLDASYCPNISLESVRLTTLKVLKLHSCEGITSASMAAIAFSYTLEVLELDNCNLLTSVSLDLARLQNIRLVHCRKFADLNLRSDMLSSITISNCPLLQRINITSNSLKKLVLQKQECLTTLALQCPSLQEVDLTECECLTNSVCEVFSDDGGCPKLKSLVLDNCESLTAVGFSCTKLNALSLAGCRGLTSLELRCPYLEQVSLDGCDHLESASFCPVGLRSLNLGICPKLSVLRIEAPQMTSLELKGCGVLSEASINCPLLTALDASFCSQLKDDCLSATTMLCRLIKSLVLMSCPSVGSDGLLSLRWLPELTYLDLSYTFLVNLQPVYESCLHLKVLKLQACKYLSDTSLEPLYKENALPALSELDLSYGTLCQSAIEELLACCKHLTHVSLNGCINMHDLDWGGNEISYMTTTFPSTLGDIHFQNEQPNRMLQNLTCVGCPNIKKVVIPMAQCFLLSSLNLSLSANLKEVNVACSNLSSLNLSNCCSLEILKLDCPRLNNLFLQSCNIDEEAVEAAISQCSVLETLDVRFCPKICPPSIGKLRAACPGLKRIFSSLAPA >itb06g09240.t2 pep chromosome:ASM357664v1:6:13446560:13459550:1 gene:itb06g09240 transcript:itb06g09240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MTYCTWSSHFWATLTSVELQGFASSGGQLALMKIFGVEDMCLRYPNATAVNVYGAGAQAIHSLAMKAIYSLRNLEALTLGRGQLGETFFQVLPECHMLKSLAINDATLGNGIQEIPIYHERLRRLQLVKCRVLRVSVRCPLLETLSLKRSSMPHAVLNCPLLHDLDIAACHKLSDAAIRSAATSCPLLESLDMSNCSCASDETLREISLTCVNLHVLDASYCPNISLESVRLTTLKVLKLHSCEGITSASMAAIAFSYTLEVLELDNCNLLTSVSLDLARLQNIRLVHCRKFADLNLRSDMLSSITISNCPLLQRINITSNSLKKLVLQKQECLTTLALQCPSLQEVDLTECECLTNSVCEVFSDDGGCPKLKSLVLDNCESLTAVGFSCTKLNALSLAGCRGLTSLELRCPYLEQVSLDGCDHLESASFCPVGLRSLNLGICPKLSVLRIEAPQMTSLELKGCGVLSEASINCPLLTALDASFCSQLKDDCLSATTMLCRLIKSLVLMSCPSVGSDGLLSLRWLPELTYLDLSYTFLVNLQPVYESCLHLKVLKLQACKYLSDTSLEPLYKENALPALSELDLSYGTLCQSAIEELLACCKHLTHVSLNGCINMHDLDWGGNEISYMTTTFPSTLGDIHFQNEQPNRMLQNLTCVGCPNIKKVVIPMAQCFLLSSLNLSLSANLKEVNVACSNLSSLNLSNCCSLEILKLDCPRLNNLFLQSCNIDEEAVEAAISQCSVLETLDVRFCPKICPPSIGKLRAACPGLKRIFSSLAPA >itb06g09240.t3 pep chromosome:ASM357664v1:6:13450134:13459550:1 gene:itb06g09240 transcript:itb06g09240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MPHAVLNCPLLHDLDIAACHKLSDAAIRSAATSCPLLESLDMSNCSCASDETLREISLTCVNLHVLDASYCPNISLESVRLTTLKVLKLHSCEGITSASMAAIAFSYTLEVLELDNCNLLTSVSLDLARLQNIRLVHCRKFADLNLRSDMLSSITISNCPLLQRINITSNSLKKLVLQKQECLTTLALQCPSLQEVDLTECECLTNSVCEVFSDDGGCPKLKSLVLDNCESLTAVGFSCTKLNALSLAGCRGLTSLELRCPYLEQVSLDGCDHLESASFCPVGLRSLNLGICPKLSVLRIEAPQMTSLELKGCGVLSEASINCPLLTALDASFCSQLKDDCLSATTMLCRLIKSLVLMSCPSVGSDGLLSLRWLPELTYLDLSYTFLVNLQPVYESCLHLKVLKLQACKYLSDTSLEPLYKENALPALSELDLSYGTLCQSAIEELLACCKHLTHVSLNGCINMHDLDWGGNEISYMTTTFPSTLGDIHFQNEQPNRMLQNLTCVGCPNIKKVVIPMAQCFLLSSLNLSLSANLKEVNVACSNLSSLNLSNCCSLEILKLDCPRLNNLFLQSCNIDEEAVEAAISQCSVLETLDVRFCPKICPPSIGKLRAACPGLKRIFSSLAPA >itb01g35740.t2 pep chromosome:ASM357664v1:1:37781229:37783992:-1 gene:itb01g35740 transcript:itb01g35740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNDDKDIHFRKAEFDPDDCPVDCSRPCENVCPANAISLDGEPDQQKGVVVERCYGCGRCFPVCPYDKISAITYIRDATAVAELLVRDDVDALEIHTNGRVPEAFKELWDSLGDSINSLRLVAVSFPDIKDSAASAMNAMYSIMETNLHCYNLWQLDGRPMSGDIGRGATREAIAFALHLASAGDRPKGFLQLAGGTNAHTVDGLKKARLFQTATISDISNDGNFTSSLSSGNALISGVAFGGYARKIVGKVLHSMQSQHGLACIEDHPEQLLQALEESLSLVGTVKCYVSLPSLK >itb01g35740.t3 pep chromosome:ASM357664v1:1:37781229:37783992:-1 gene:itb01g35740 transcript:itb01g35740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNDDKDIHFRKAEFDPDDCPVDCSRPCENVCPANAISLDGEPDQQKGVVVERCYGCGRCFPVCPYDKISAITYIRDATAVAELLVRDDVDALEIHTNGRVPEAFKELWDSLGDSINSLRLVAVSFPDIKDSAASAMNAMYSIMETNLHCYNLWQLDGRPMSGDIGRGATREAIAFALHLASAGDRPKGFLQLAGGTNAHTVDGLKKARLFQTATISDISNDGNFTSSLSSGNALISGVAFGGYARKIVGKVLHSMQSQHGLACIEDHPEQLLQALEESLSLVGTVKCYVSLPSLK >itb01g35740.t4 pep chromosome:ASM357664v1:1:37781229:37783992:-1 gene:itb01g35740 transcript:itb01g35740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MISVNDDKDIHFRKAEFDPDDCPVDCSRPCENVCPANAISLDGEPDQQKGVVVERCYGCGRCFPVCPYDKISAITYIRDATAVAELLVRDDVDALEIHTNGRVPEAFKELWDSLGDSINSLRLVAVSFPDIKDSAASAMNAMYSIMETNLHCYNLWQLDGRPMSGDIGRGATREAIAFALHLASAGDRPKGFLQLAGGTNAHTVDGLKKARLFQTATISDISNDGNFTSSLSSGNALISGVAFGGYARKIVGKVLHSMQSQHGLACIEDHPEQLLQALEESLSLVGTVKCYVSLPSLK >itb01g35740.t1 pep chromosome:ASM357664v1:1:37781229:37783992:-1 gene:itb01g35740 transcript:itb01g35740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSIFRSASLGRIPKAQLNPCGCLKNLDRVKTLVSRTVVPSISASPVESLRKGNWVKLICGASFEDVVDVRNLSLVYTLAGVDCIDCAAEESVVNAVNEGIRAARAIVPIRRPWIMISVNDDKDIHFRKAEFDPDDCPVDCSRPCENVCPANAISLDGEPDQQKGVVVERCYGCGRCFPVCPYDKISAITYIRDATAVAELLVRDDVDALEIHTNGRVPEAFKELWDSLGDSINSLRLVAVSFPDIKDSAASAMNAMYSIMETNLHCYNLWQLDGRPMSGDIGRGATREAIAFALHLASAGDRPKGFLQLAGGTNAHTVDGLKKARLFQTATISDISNDGNFTSSLSSGNALISGVAFGGYARKIVGKVLHSMQSQHGLACIEDHPEQLLQALEESLSLVGTVKCYVSLPSLK >itb07g17080.t1 pep chromosome:ASM357664v1:7:21258176:21263065:1 gene:itb07g17080 transcript:itb07g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLYNEDKTIAVTVNGEIYNHEELRKQLPNHKFWTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFFAARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFEVFPPGHLYSSKSGGLRRWYNPPWFSEAIPSTPYDPMVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITSRYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKAFINAAMSIDPEWKMIKPEEGRIEKWILRRAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAEQHVTDKMMLNAANIYPHNTPTSKEAYCYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDAAWSKNLDPSGRAAIGVHNSAYKNQPPPTVAATTANIIGNVPRMMEVSTPEFTIRG >itb07g17080.t2 pep chromosome:ASM357664v1:7:21258176:21263065:1 gene:itb07g17080 transcript:itb07g17080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLYNEDKTIAVTVNGEIYNHEELRKQLPNHKFWTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFFAARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFEVFPPGHLYSSKSGGLRRWYNPPWFSEAIPSTPYDPMVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITSRYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKAFINAAMSIDPEWKMIKPEEGRIEKWILRRAFDDEEHPYLPKVSINILPNLSTHY >itb03g00720.t1 pep chromosome:ASM357664v1:3:388468:390877:-1 gene:itb03g00720 transcript:itb03g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACSRRSQMESDKPESVSHPVPGKPESISHPEPGKPESTSPSLTGKPESDSHPVPKDTETGSHLELEESGEDTASDSSQSSPRENASNRKDSGADKLASPPGGSPPRSSISSGVSNLTHGSSPREEKASISPDPKPEVEVKKSVKNESLALVKVDHAATAVSGGGGGETRRRLRQRLSSLKISRRENTAKKAALGFRIFGFLFCLVSFSVMVADRKQGWAIDSFQRYKEFRYSLSVNAIGFMYSGAQAIDLIYHSATGNNFFQHPMRHYSDFAIDQAITYLLISASSSAATRVDDWRLNWGKDKFPDMASAAVTMSFLAFIAMAFSSLISGYALCTSKSIHS >itb01g29110.t2 pep chromosome:ASM357664v1:1:33399576:33404508:-1 gene:itb01g29110 transcript:itb01g29110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDALPQLQEVLLEFRAGKMLMEGKRVVPDSRKGLVRIGRGEEGLVHFQWLDRSLNVVEDDQIIVPEEAVFEKVNQSAGRVYILKFHTDDRKFFFWMQEPKDDNDAQLCSSVNFYLNQPLEFAGEEEPEASAPLQNSDDMVEEDISSRAGNLVGPSMGTEATSDVTSSGPVKLADLQRILSNIGSSGEAADPDAGLGLADILRPEFVLPLIEEIPLQQQLATYLPEGQWNPEELIELLQSPPFRQQVDSFTYVLRTGQVDLAQFGIDPSKYKFTVPSFLEALEDSVSGTLQSSESRQDEELRSHTQSSSDPMDEDRQ >itb01g29110.t3 pep chromosome:ASM357664v1:1:33399576:33404482:-1 gene:itb01g29110 transcript:itb01g29110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDALPQLQEVLLEFRAGKMLMEGKRVVPDSRKGLVRIGRGEEGLVHFQWLDRSLNVVEDDQIIVPEEAVFEKVNQSAGRVYILKFHTDDRKFFFWMQEPKDDNDAQLCSSVNFYLNQPLEFAGEEEPEASAPLQNSDDMVEEDISSRAGNLVGPSMGTEATSDVTSSGPVKLADLQRILSNIGSSGEAADPDAGLGLADILRPEFVLPLIEEIPLQQQLATYLPEGQWNPEELIELLQSPPFRQQVDSFTYVLRTGQVDLAQFGIDPSKYKFTVPSFLEALEDSVSGTLQSSESRQDEELRSHTQSSSDPMDEDRQ >itb01g29110.t1 pep chromosome:ASM357664v1:1:33399576:33404559:-1 gene:itb01g29110 transcript:itb01g29110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MDALPQLQEVLLEFRAGKMLMEGKRVVPDSRKGLVRIGRGEEGLVHFQWLDRSLNVVEDDQIIVPEEAVFEKVNQSAGRVYILKFHTDDRKFFFWMQEPKDDNDAQLCSSVNFYLNQPLEFAGEEEPEASAPLQNSDDMVEEDISSRAGNLVGPSMGTEATSDVTSSGPVKLADLQRILSNIGSSGEAADPDAGLGLADILRPEFVLPLIEEIPLQQQLATYLPEGQWNPEELIELLQSPPFRQQVDSFTYVLRTGQVDLAQFGIDPSKYKFTVPSFLEALEDSVSGTLQSSESRQDEELRSHTQSSSDPMDEDRQ >itb04g04670.t1 pep chromosome:ASM357664v1:4:2880258:2883386:-1 gene:itb04g04670 transcript:itb04g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMG1 [Source:Projected from Arabidopsis thaliana (AT5G47960) UniProtKB/TrEMBL;Acc:A0A178UQI5] MSRMYGGGGDYNQKIDYVFKVVLIGDSAVGKSQLLARFSRNDFSIDSKATIGVEFQTRTVVIDDKSVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHIARWLEELRGHADKNLVIILVGNKTDLESDRDVSTEDAKEYAEKENLFFIETSALESINVETAFLNILTEIYRVVSKKSLVANEEAESAGSSSLLKGTQIVLPGPGQEPVSAGSSYSCCRSS >itb09g27000.t1 pep chromosome:ASM357664v1:9:27529084:27529767:-1 gene:itb09g27000 transcript:itb09g27000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKRLIKLAKRWQKFAAIQRKRISFPTINEDADSCTTSSAVYKGHFTIYTADQKRFVVPLSYLENEIIRQLLNMSEEEFGLPSDGPITLPCDAIFMDYIVSLLSHSLSRELENALLFSVTAYCCSSASQHQDGLRNQELLVY >itb08g07160.t1 pep chromosome:ASM357664v1:8:6129351:6134382:1 gene:itb08g07160 transcript:itb08g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKHLTKAAAFSFRLLNTLKNLLKFLLSFPPENGAERSAADDPSDSENILVMGLMQKLELGKAFLPIAVASCFGLYQMRKGADMHFVEQIVIVAFMMGVSLICNGILVPECTPTFARNAELVGMGLVISAVYLLLAIPLMWWLRIILAPCWFLCIRPFIMAGVFVNNKKMVAAKTSTIMHAGATDGKLYLLSSTEILNIEICKTLVAQTWEFPEGTREKYCYEIKCDRYYGKLCSRCHELEAVDQLFVLANVLGCVCIFSGLLLRESKPRFARTADLVGVGLVFAAIFVLFSKHLLWWLRIVPAVCYPIVLVAMVAAKGEGRRDSLEELFYCMI >itb12g26210.t1 pep chromosome:ASM357664v1:12:27207365:27210513:1 gene:itb12g26210 transcript:itb12g26210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKIVTYLAVVFCGAVVSCLTRAEPTEDKRALLDFLGNIHHSPKVNWKEWTSVCSGWVGVTCNHDKSRVIAVRLPAMGLRGSIPVNTLSRLSGLEILSLRANAFSGPFPSDFAELGNLTALYLQSNGFQGSLPADFSGWRNLSILNLSNNEFNGTIPSSISNLTHLTAFSLANNSLSGDIPDLILPSLQLLDLSSNNLTGIVPRSLQRFPDSAFAGNRLSPNVASPPAPVALSPSVSPKKKTTHLSQPAVLGIVIGGCALGFIVVAVLLILCYSQKEHENRASERSLKNDMPVKKAASSSQRGNSNLVFFEGCNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVCVGRKEFELQMEVAGSIRHENVAPLRAYYYSKDEKLMVYDYYNHGSVSAMLHANTGENRLPLDWETRVRIAVGAARGVACIHGKSGGKLVHGNIKSSNIFLNSKQYGCVSDLGLATLITPAAPTLTRTAGYRAPEITDTRKATQASDVYSFGVLLLELLTGKSPVHTAGSDEVVHLVRWVHSVVREEWTAEVFDVELLKYPNIEEEMVEMLQIGMSCAARMPEQRPKMADIVKMVEGIRMVSTGNQTSTGGTTPALTPPMPQTGPSSYKH >itb01g10480.t1 pep chromosome:ASM357664v1:1:9077640:9084513:1 gene:itb01g10480 transcript:itb01g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYLLKLGVEVNALNRKGYTALDVVEADASNSGALAIIPALQEAGAKRCDQLSPIFQDIQQVPDTPSQKSPQNIFWWPNHKSHLHDAASPYRTHKPTSRRRAKQLELQSEGLRNARKTITVVAVLIATVTFAAGVNPPGGFNQETGKALRGHATDFRVFLICNIVALFLSLGIVNVHVSIIPFKRKSMMSLMVATHKVLWASTMFMAAAYISAIWTIMPAGKGTRLVLAEVVTIGGGFTMVVFCGLGWLLISHWFRKHKWRKTKSTKLKNGSPNSSVSRVEEMKVLGKHSIETSSNSDVESSDQDRVVESSSSSEWMKKVNQWRAALKQVADLGGMVSHNQAHGYESRFIKQIVKEAARKLNRKVLLATTTTELGKLESLTTLHADEIAFGHQHTQWRSWLSRLKKAPYSESFVLSSLSRSLVSLSLVKCSLTDDALSTGITSLPSLHFLNLSGNLICSIPQSIIDLGVLKELWLDDCVNLQTLPELPLSLTKLKAAGCTSLQGIRNLPNLWTELFLLVMDCEKLNEVQGLFKLAPISNFDAELVKTLGYLDIEAIRDAEVELFNGLTETRSKYVVQGLYEFGIFSTYFPGSEVPRWFSYKQDVENSVTLKLASYTDTNITGLTISMLYSRCKNPQKFKFFGEGKFGGSFSFFIKVSNISSGLKWIYNPTYIGIPGPNEDLVFLCHWKFGKYLASGDDINVSVVGQSHTLRIKELGLAVNHYFFSPSKYFLLKGRSDGDDPNDTQMKCCLLRCMFYADDTDDDSDVDFDEFECDEEEAEEELEELLRWNSRSFGK >itb04g10730.t2 pep chromosome:ASM357664v1:4:10304328:10307252:1 gene:itb04g10730 transcript:itb04g10730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKLSVEERKALRGSKFAPLPSAPPPRVQPRLAHPGGAIKTNKAAALAKFLERKLQEPNGLASVDPRLVELAVKNAQETVQSSGTSSSGRTVHHVESFVDSEDSTGGEGIKVYVPKKKQSKKQKKEWNKMIRKESKNKKQKVVV >itb04g10730.t1 pep chromosome:ASM357664v1:4:10304328:10307252:1 gene:itb04g10730 transcript:itb04g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKLSVEERKALRGSKFAPLPSAPPPRVQPRLAHPGGAIKTNKAAALAKFLERKLQEPNGLASVDPRLVELAVKNAQETVQSSGTSSSGRTVHHVESFVDSEDSTGGEGIKVYVPKKKQSKKQKKEWNKMIRKESKNKKQKKQKL >itb14g04710.t1 pep chromosome:ASM357664v1:14:4138918:4140366:1 gene:itb14g04710 transcript:itb14g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWSFLTILVEAVVAVAVVEVEVEVEVMIQSVMNVVNLVILLVNAACALAQEDWEVGGVEVPVLDDVGAQVMDEGAIVLLASDPHHVATFLLVVVGVTAGHLLIAVVIEIHHMAMGHQHIAVAVVNHPMQMEIEDLSSEDEEILKDL >itb10g24890.t1 pep chromosome:ASM357664v1:10:28452583:28459542:-1 gene:itb10g24890 transcript:itb10g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIDFAEYRLRCQLRGHEDDVRGVCICDSVGIATSSRDRTVRLWSPDGSNNRDYTMSKILLGHTSFVGPLAWVSPSEEFPEGAVVSGGMDTHIFVWNLATGEKIQELKGHKLQVTGIALDGSDVVSTSVDCTLRRWRKGKQIEVLEAHKAPIQAIIKLPSGELVTGSSDMTLKLWKGATCAHTFVGHTDTVRGLAVMPDLGVLSASHDGSIRLWALSGEVLMEMVGHTSIVYSVDAHVSGLIVSGSEDCFTKIWKDGVCVQSIQHPGCVWDVKFLENGDIVTACSDGIVRIWTTQQDRIADPLELESYENLLYQYKCSRKKVGGLKLEDLPGLEALQTPGTSDGQTKIVREGDNGVAYAWNLREQKWDKVGEVVDGPDDGLTQPVLDGVQYDYVFDVDIGDGEPVRKLPYNRKDNPYATADNWLLKENLPLSYREQIVEFILQNTGQKNFTPDPSFRDPFTGANAYVPGEPSKAPATAAKPTLKHVPKKGMLVFDAAQFDGILKKILEFNSSLQSDSERNNLSINDADLSRLTAIVKILKDTSHYHTSRFSDVDLALVLRLLKTWPLSMLFPVIDILRMIILHPDGANILLKHVNHDNDTLIELIKKVTANPPLPANLLTSIRAVTNLFKNSLFNDWLIKHRGEILDAFSSCYLSSNKNVQLSYSTMVLNYAVLLIEKKDEEGQSQVLSAALEIAEEESVEADSKFRVLVAIGSLMLDGVVRRIAIDFDVENIAKAAKAAKDPKLAEVGADIELIIKQT >itb05g00770.t1 pep chromosome:ASM357664v1:5:664751:665773:-1 gene:itb05g00770 transcript:itb05g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLTFLCPPLQLINTPCSLQPHHISPYRFPQRKMDQFPRVEQDNTHFSRSKSLHLPDLSNNETAAKTHGSAHECDQEDGAGEIFGVILSRSRSVSSGAAPRERTKAEKQKKGLAKVTLAMKRSFSVSGGYCRIHDQHDIINGGGGGENMNPNVFHKRTVKKKGKILKACMRLLGL >itb06g17990.t1 pep chromosome:ASM357664v1:6:21729418:21731668:-1 gene:itb06g17990 transcript:itb06g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALFRLARSQATRLNSTNFRSGFHLGSSGTFPYTHAKTDSNYARQLATVQKRWLSKSIAAEEDNKISIGPRKGNDVGNDEKDTGVIYYGPISNTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTGALHWFVSPYIHKLRWQPGSDSFEVEMMSWLATYIPKTIKFADIKPPETNRPFVTFKANGNFYFVDTEHCHNKALLAKLTPNKPSHDSAFKNL >itb12g19740.t1 pep chromosome:ASM357664v1:12:22160276:22166884:1 gene:itb12g19740 transcript:itb12g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSEEPTGESPTDASPLLMEHTENCESNEHVINIEQSGDPSSSDSSDSESPRGLSSSRQDDRPSARNSQSSSSNGSNSQSPSVTRRGEGFGRHWSPFNTVLWISIELVFTLGQITAAVVVLSVSRHENPQTPLFAWVLGYALGCAVSLPLLYWRYLHRNQAAERRSAQFRQGSRRVNSSSDPNSYITFSLTRSSEDEGGRNTSADNWNVQNNEARNARIVAFVDHFKMALDCFFAVWFVVGNVWIFGGHSSASDAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISFLGVREDMNGMRGATEESINALPTHKFKLMRTVSEISEESGSEVEGGVLAAGTDKERAISGEDAVCCICLSNYEDNDEVRELPCSHFFHTACVDKWLKLNASCPLCKLEIGAEIGAENEHSPLAAEASQQLLASRVECRLAKLLAPRVECSSRDTITINQTLQGSETIFSEGNRFKMGFFSPGNTSKYYVGIMYNNPSNAVVWVANRDKPMNDSRGTIAISEDGNLVVLDGQKTRIWYSDVSEPLSNTTTAQLMDNGNLVLKDGSTGRKLWESFSNPSDCLLRTMKLGTKALRSWRSPSEPFPGSFSFGIQLLNIPQVVIWNSSELYWRSGPWNKQIFIGISQMGSYYNNGFDFTTDSDGNTSLSFDYKNYQSENLYLHLGSNGSLLQTYWDQGKEQWEVTWESHSSECDEYGKCGSFGICNPGELQQVCSCLRGFKPKREVEWGNGNWSSGCVRKEDLQCDRNNSDVNKSKKDGFLKLQMVKVPDFSTWVPSSQGTCETDCSRNCSCLAYSFYPGIGCMHWTGSLIDLQQFSMGGADVYIRLAYSELDLKKESKHKKVIIATAVTIGLLAIAISAYFCWRCLAKHRGKKKQKRVIYLGKTSSPNMSGEDTTRAKLEELPVFDFEIIANATENFDPRNKLGQGGFGPVYKGKLEDGQEIAVKRLSIYSGQGQEEFMNEVVVISKLQHRNLVRLHGCCIEGGEKMLVYEFMPNGSLDTLLFDPQNEEFLDWSKRFMIIEGIGRGLLYLHRDSRLKIVHRDLKASNILLDEQLNPKISDFGLARIFGGNQHQANTQRVVGTYGYMAPEYAMDGRFSEKSDVYSFGVLLLEIVSGRKNSGFYHDEFAISLPAHAWKMWNEERAEEVVDPRIYDRRFEMNMKRCVHVGLLCVQEYPEDRPNVSTVLSMLSSEIAELPGPNQPAFIGRKSCPDTDSSKQSNLSVNSTTITVIEGR >itb14g16570.t1 pep chromosome:ASM357664v1:14:19909857:19913840:1 gene:itb14g16570 transcript:itb14g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRETKRDTGWTPPPLFEEVTIATIAIARTVGWSPPPLHVTVATDLEVGGWRSPIWRWESLLSSSFHRSATGSFASEKLPKFYPKIFQKKSKKSCRSTWKKFCMTS >itb14g18220.t1 pep chromosome:ASM357664v1:14:21318062:21321848:-1 gene:itb14g18220 transcript:itb14g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEIQNEMKEEVADIAPFDPTKKKKKKKVVIQDPADDSVDSLAEKTESLTVSDGLETAFSGKKKKKKPVHTDLLNDEVDNTGDDIDDHFGDDEEAEEIVLHRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRKAGT >itb14g18220.t2 pep chromosome:ASM357664v1:14:21318062:21321848:-1 gene:itb14g18220 transcript:itb14g18220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEIQNEMKEEVADIAPFDPTKKKKKKKVVIQDPADDSVDSLAEKTESLTVSDGLETAFSGKKKKKKPVHTDLLNDEVDNTGDDIDDHFGDDEEAEEIVLHRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTYVITKIALLSLLLPHDLTPSPHPDGSVLMHRQPEHVMTFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRKAGT >itb01g36110.t2 pep chromosome:ASM357664v1:1:37986352:37990613:1 gene:itb01g36110 transcript:itb01g36110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGVKNDLKGRLLCYKQDWTGGLRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRPDLGGSKLFLAWTAWVCVWSAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRLPERENPNLTKYQPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGSLRGLIADYGVPLMVLIWTAVSYIPSQSVPNGVPRRLFSPNPWSLGAYENWTVMKDMLNVPVLYIVGAFIPATMVAVLYYFDHSVASQLAQQSEFNLRKPASYHYDLLLLGFMVLICGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMNENGSLAQVYDRMQEAYQHMQTPLNYQQPSAQGLKELKDPTLQPPSNNGNGNTNAPLDETVFDVEKNIDDLLPVEVKEQRLSNLLQSTMVGGCVAAMPLIKMIPTSVLWGYFAFMAIESLPGNQFWERILLLCTAPSRRYK >itb01g36110.t1 pep chromosome:ASM357664v1:1:37986352:37990613:1 gene:itb01g36110 transcript:itb01g36110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGVKNDLKGRLLCYKQDWTGGLRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRPDLGGSKLFLAWTAWVCVWSAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRLPERENPNLTKYQPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGSLRGLIADYGVPLMVLIWTAVSYIPSQSVPNGVPRRLFSPNPWSLGAYENWTVMKDMLNVPVLYIVGAFIPATMVAVLYYFDHSVASQLAQQSEFNLRKPASYHYDLLLLGFMVLICGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMNENGSLAQVYDRMQEAYQHMQTPLNYQQPSAQGLKELKDPTLQPPSNNGNGNTNAPLDETVFDVEKNIDDLLPVEVKEQRLSNLLQSTMVGGCVAAMPLIKMIPTSVLWGYFAFMAIESLPGNQFWERILLLCTAPSRRYK >itb01g36110.t3 pep chromosome:ASM357664v1:1:37986352:37990613:1 gene:itb01g36110 transcript:itb01g36110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGVKNDLKGRLLCYKQDWTGGLRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGLITAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRPDLGGSKLFLAWTAWVCVWSAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRLPERENPNLTKYQPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGSLRGLIADYGVPLMVLIWTAVSYIPSQSVPNGVPRRLFSPNPWSLGAYENWTVMKDMLNVPVLYIVGAFIPATMVAVLYYFDHSVASQLAQQSEFNLRKPASYHYDLLLLGFMVLICGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMNENGSLAQVYDRMQEAYQHMQTPLNYQQPSAQGLKELKDPTLQPPSNNGNGNTNAPLDETVFDVEKNIDDLLPVEVKEQRLSNLLQSTMVGGCVAAMPLIKMIPTSVLWGYFAFMAIESLPGNQFWERILLLCTAPSRRYKVLEDYHATFVESVPFKTIALFTIFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQFLLPKFFKGAHLQELDASEYEELPALPLSMTRSESRMFSRSVSFADDKIVSRSGSFADDRIYSRSGSFADNKEIMDGMVTRSRGELRRLCSSCNGTPGRDSASPRGGVSEKEKIHINSPRVSELRGEHSPRLGGKGPFSPRSGEARRSNLGKNDHPHCDKDVEQP >itb12g15080.t2 pep chromosome:ASM357664v1:12:15487755:15491099:-1 gene:itb12g15080 transcript:itb12g15080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESALLSASAILPLQNKEVCGVLRKWQSSFYGKGICNGVLVRRSISYAGRRSPLTVSSVLGRKVKKVKKETIVPDPDYRIPVVLLGAAGSLIYTDNLLAAAPIGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLEESGENVFVGGKNRWK >itb12g15080.t1 pep chromosome:ASM357664v1:12:15487579:15491108:-1 gene:itb12g15080 transcript:itb12g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALLSASAILPLQNKEVCGVLRKWQSSFYGKGICNGVLVRRSISYAGRRSPLTVSSVLGRKVKKVKKETIVPDPDYRIPVVLLGAAGSLIYTDNLLAAAPIGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLEESGENVFVGGKNRWKYSTFVNWELWWPNFPVLVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERCGSSKTSSPK >itb07g16620.t1 pep chromosome:ASM357664v1:7:20455019:20457557:-1 gene:itb07g16620 transcript:itb07g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEEKLIAVARHIAKTLGHTDTMTDDILQIFSNFDGRLRDKLSEEDSRGCAALERTLKSLDRQISRYVSVEHPVWSDSADSTAFLEAVDELISAIREWTPMGNEKPVASCLDRAEDLLQQAMFRLEDEFKTLMERGSESFDLTNYRNGESANRDFSSDSEEADDDGGGEIPVAHPITDFDIIIDALPAGTISDLHEIAKRMVAAGYGKECSHAYSTSRREFLEESLARLGIQKLSIDEVQKMQWAELEDEIERWMKAINVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQLLNFADAVAIGSRAPERLFKVLDVYETLRDLMPEFELLFSDQYCVFLRNEAVTIWKRLGEAIKRIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRLTLEQVFEESIAPTGIDYGREGDERAALALSSSSLAVQMAWIMELLESNLEAKSKVYRDSALSSIFMMNNGRYIVQKVKDNELGTLLGDDWIRKHTAKVRQYHVNYKRSSWSKVQGVLKMDNSMSPSQASKTLKDKLKLFNSYFEEICKNQTTWVVFDEQLREELRISVTGTLSPAYRNFIGRVYNIPDFGKHTEKHIRYSVEDLEARINELFQGNGSRK >itb04g33950.t1 pep chromosome:ASM357664v1:4:36015840:36018464:-1 gene:itb04g33950 transcript:itb04g33950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILLAAAIKKTVEISSKLAVEEGSRLFRLREDINWMEGQLRHLQSYLEAVEASNGDDSKVRNLTNDIKELARDVEDILEKFAPQIESFSCLKTVACIFPYCNTAYKFSLEIKKIKVRIKAIDDNRKTFGIMDTSRSVDAENWDLRRSYLHADEPEVIGLDDDCKNLEAKLLDERQEHRFISIIGMPGLGKTTLAKKIYNQVQPHFDCSALVYVSQEPNIRELLKGIARQVGLDQSEDSNLEVDLCTFLREKRYIILFDDIWDNRSWDAIKYGLPYNTKSGSRVIITSRNNDVGRYIGGECSLYSLQPLDSENSWKLFCKQIMIFETNLTYPKELKDIGEQIVGKCGGVPLAIVVTAGMLRARERTENEWKQVLVNIGEDDRDECSRLCALSYKDLPIVLKSCFLYFGLFPKGHEVLAFDLMNMWIAEKLVVQSNGEQEVEKVAESFLNKLLARNLIHVVERKYDGRIKTCRMHGILHNLCVRIGKDNKFCHLLGAKESWSSLKGLRRVACDTKRTTENINLHSQISKVRALMCFTENKDDYSPEKKFFKSISSFRYLRVLSLQFDGFTSGHFPDEFWNLIHLSYIRFRGVALGITMNISNLKNLLTLDIQDCGASLDILKMKQLRHLLLSDMEMPMQHYNCMCHTASSTEVCLENLQTLKWVSSAVLEQIGMHNLPNLRRLGIDDLSKNTFEKLCCPVPPLAKLEELTLNMARMETLPREVRGERWNLSRYQSLVKLHVKGHVFELPNVEGFPPNLVKLSLHCFNVKEDPMRTLKQLPKLKILKLESHTWAELSMMNCSGADSFPQLEMLHIHYFQYLKELISDDVGLPKLKKVIIRNCGDVQKITGRIQSVMGKSQSSDILEGAQLPIIDL >itb15g21180.t1 pep chromosome:ASM357664v1:15:23840573:23844532:-1 gene:itb15g21180 transcript:itb15g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYGISNGLHENIEDGKDIKMSEFEEGELVEMISKTELGENSAVVSKIESQETSAGTEDLFDKDNGSKNKNRRKNRKKRKNKGNKGTQGPNITDINRFVTSVCKRLKEKKSYLVWNAVACLGVSALSDLVKEVEAIQACGGQKTADGRRFRIGGGILWKVIKAREPNAYKDIMKRGKEFEKQLKQVPKMPLIKREAKGATSQNTRGTVTDKLLANASDGSSLPSNMVCDSQEESTSGPERQSVYNRIRMPVSYDDLFEEECAKDVQHNAI >itb15g21180.t2 pep chromosome:ASM357664v1:15:23840558:23844833:-1 gene:itb15g21180 transcript:itb15g21180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYGISNGLHENIEDGKDIKMSEFEEGELVEMISKTELGENSAVVSKIESQETSAGTEDLFDKDNGSKNKNRRKNRKKRKNKGNKGTQGPNITDINRFVTSVCKRLKEKKSYLVWNAVACLGVSALSDLVKEVEAIQACGGQKTADGRRFRIGGGILWKVIKAREPNAYKDIMKRGKEFEKQLKQVPKMPLIKREAKGATSQNTRGTVTDKLLANASDGSSLPSNMVCDSQEESTSGPERQSVYNRIRMPVSYDDLFEEECAKDVQHNAI >itb15g21180.t4 pep chromosome:ASM357664v1:15:23840558:23844833:-1 gene:itb15g21180 transcript:itb15g21180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYGISNGLHENIEDGKDIKMSEFEEGELVEMISKTELGENSAVVSKIESQETSAGTEDLFDKDNGSKNKNRRKNRKKRKNKGNKGTQGPNITDINRFVTSVCKRLKEKKSYLVWNAVACLGVSALSDLVKEVEAIQACGGQKTADGRRFRIGGGILWKVIKAREPNAYKDIMKRGKEFEKQLKQVPKMPLIKREAKGATSQNTRGTVTDKLLANASDGSSLPSNMVCDSQEESTSGPERQSVYNRIRMPVSYDDLFEEECAKDVQHNAI >itb15g21180.t3 pep chromosome:ASM357664v1:15:23840558:23844798:-1 gene:itb15g21180 transcript:itb15g21180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIGLMEIGYGISNGLHENIEDGKDIKMSEFEEGELVEMISKTELGENSAVVSKIESQETSAGTEDLFDKDNGSKNKNRRKNRKKRKNKGNKGTQGPNITDINRFVTSVCKRLKEKKSYLVWNAVACLGVSALSDLVKEVEAIQACGGQKTADGRRFRIGGGILWKVIKAREPNAYKDIMKRGKEFEKQLKQVPKMPLIKREAKGATSQNTRGTVTDKLLANASDGSSLPSNMVCDSQEESTSGPERQSVYNRIRMPVSYDDLFEEECAKDVQHNAI >itb05g18840.t1 pep chromosome:ASM357664v1:5:25499582:25505717:1 gene:itb05g18840 transcript:itb05g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPFHIIASSYHNRLLISHPTSFPFLLHRCLPPLGFPPSPALQRNPPRHGLLLPPQSFRPLVSLSETLAQKIGKAIRRPGAPSKARVYTDINLIRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGIHSTNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLVFEYVNNTDFKVLYPTLSDFDIRYYTYELLKALDYCHSQGIMHRDVKPHNILIDHEKRKLWLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMLAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYHLELDPNLAALVGRHSRKPWSKFINAENQHLAVPEAIDFVDKLLRYDHQERPTAKEAMAHPYLYPVRNAESSRGRSQ >itb05g18840.t2 pep chromosome:ASM357664v1:5:25499582:25505715:1 gene:itb05g18840 transcript:itb05g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPFHIIASSYHNRLLISHPTSFPFLLHRCLPPLGFPPSPALQRNPPRHGLLLPPQSFRPLVSLSETLAQKIGKAIRRPGAPSKARVYTDINLIRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGIHSTNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLVFEYVNNTDFKVLYPTLSDFDIRYYTYELLKALDYCHSQGIMHRDVKPHNILIDHEKRKLWLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMLAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYHLELDPNLAALVGRHSRKPWSKFINAENQHLAVPEAIDFVDKLLRYDHQERPTAKEAMVKP >itb05g28150.t1 pep chromosome:ASM357664v1:5:31245885:31250985:-1 gene:itb05g28150 transcript:itb05g28150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAPSSSSGAPGSADNSATRRISRRPKYSRFTQQELPACKPILTPKWVILAFLLVSVVFIPIGLVSLLASRDVVEIVDRYEADCIPSNYSGNEVQFIQSNLNKNCPRILRVPKHMKQPIYVYYQLDNFYQNHRRYVKSRSDQQLKDRSSEDETSSCEPEDKVNGTVIVPCGLIAWSLFNDTYSFTRNNVQLTVNKTGISWKSDRDHKFGKDVYPKNFQNGSLIGGGTLDPEKPLSQQEDLIVWMRTAALPTFRKLYGKIEVDLNVNDVINVTVGNYYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLAMVFTVIYLVKPRQLGDPSYLSWNRNPGGH >itb05g28150.t2 pep chromosome:ASM357664v1:5:31245885:31250985:-1 gene:itb05g28150 transcript:itb05g28150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLLIHQVVEIVDRYEADCIPSNYSGNEVQFIQSNLNKNCPRILRVPKHMKQPIYVYYQLDNFYQNHRRYVKSRSDQQLKDRSSEDETSSCEPEDKVNGTVIVPCGLIAWSLFNDTYSFTRNNVQLTVNKTGISWKSDRDHKFGKDVYPKNFQNGSLIGGGTLDPEKPLSQQEDLIVWMRTAALPTFRKLYGKIEVDLNVNDVINVTVGNYYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLAMVFTVIYLVKPRQLGDPSYLSWNRNPGGH >itb05g14550.t1 pep chromosome:ASM357664v1:5:21671818:21672574:-1 gene:itb05g14550 transcript:itb05g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLCKQIFLSFLVTLTLTSSINPASAARVVAGARNAEFIRISCQATTYPNLCYTSLSSHASDIRADPQLLAHAALTLTLQTAESTSAVMVKMGKSQGLSHREVGAMRDCVEELRDSVNEIRKSLTEMKQLKGSDFNLKVNDIQTWVSAALTDEDTCTEGFAKGNVKAAVREAIVNVAHMTSNALALINAFAALHN >itb13g25600.t3 pep chromosome:ASM357664v1:13:30915697:30921841:1 gene:itb13g25600 transcript:itb13g25600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIYEIDNDKVVGFENEAAKIINQLVNGSKEREVVLITGMGGLGKTTLVKRVYEEKSVVNHFDKHAWCTISQEYDFEDLLNKVYNQVCGKETKTGSIVEKLRKSLMGWRYLIVLDDIWSLKAWEEFNRVFPSCGNGSRVVLTSRQESVVFDAKPICLPFFTVDESWELLQVKLFKGKECPKELENIGKQISKECGGLPLIVGLVAGLLEGVEKSPQMWQEFLNTLSSQVAFRSRMLSNDVIELSYKHLSHHLKQCLLYFSAFKEDVEIEVSYLIELWISEGFIEIMAVERVEDTAKHYLNHLVGSNLIMVSVRNYDGGILCCVVHDLVHDFCLAKAKEENFLHIIKMKDEVDPILKFTPHRISFHRLGRNKILNELVSWNSPISTILGYPKIHTTRSGVYNGSWVAKKFEHLTILNFEFITVDKSILSEMNSLIHLKYLALYLVGRGSVSPLSLKNLQHLITLKLTSESELHLPKYFLNMKSLRHMTICHYDCHSCPTEPTPAGGIETISGLEVLQSLDLETFLCIRTDGHLLRKLSHLKYLNCAVSPYPFADEIDMLHHLEFLQLHDPRFPKYHENPHLLKIRKFPPNIKEINLESIYLSLSTMSIISQLSNLEALILVACKFEEEEWNVEEEILFCKLKYLELNNPSIRIWNISSAAESFPCLEQVILNGCWKLQTVPYSLADILTLKLISVRGCTDTCERSVKEIEEDVQGMGKDEHLKIILTRFYGRSMTPGCRRRPSGDLVVLTGWR >itb13g25600.t1 pep chromosome:ASM357664v1:13:30915721:30928942:1 gene:itb13g25600 transcript:itb13g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIYEIDNDKVVGFENEAAKIINQLVNGSKEREVVLITGMGGLGKTTLVKRVYEEKSVVNHFDKHAWCTISQEYDFEDLLNKVYNQVCGKETKTGSIVEKLRKSLMGWRYLIVLDDIWSLKAWEEFNRVFPSCGNGSRVVLTSRQESVVFDAKPICLPFFTVDESWELLQVKLFKGKECPKELENIGKQISKECGGLPLIVGLVAGLLEGVEKSPQMWQEFLNTLSSQVAFRSRMLSNDVIELSYKHLSHHLKQCLLYFSAFKEDVEIEVSYLIELWISEGFIEIMAVERVEDTAKHYLNHLVGSNLIMVSVRNYDGGILCCVVHDLVHDFCLAKAKEENFLHIIKMKDEVDPILKFTPHRISFHRLGRNKILNELVSWNSPISTILGYPKIHTTRSGVYNGSWVAKKFEHLTILNFEFITVDKSILSEMNSLIHLKYLALYLVGRGSVSPLSLKNLQHLITLKLTSESELHLPKYFLNMKSLRHMTICHYDCHSCPTEPTPAGGIETISGLEVLQSLDLETFLCIRTDGHLLRKLSHLKYLNCAVSPYPFADEIDMLHHLEFLQLHDPRFPKYHENPHLLKIRKFPPNIKEINLESIYLSLSTMSIISQLSNLEALILVACKFEEEEWNVEEEILFCKLKYLELNNPSIRIWNISSAAESFPCLEQVILNGCWKLQTVPYSLADILTLKLISVRGCTDTCERSVKEIEEDVQGMGKDEHLKIILTRGIMISTDSDVSLANMTGPMKRRHDRNRHWRRELVFADVMKKLSSRLRMNLT >itb13g25600.t2 pep chromosome:ASM357664v1:13:30915697:30922468:1 gene:itb13g25600 transcript:itb13g25600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIYEIDNDKVVGFENEAAKIINQLVNGSKEREVVLITGMGGLGKTTLVKRVYEEKSVVNHFDKHAWCTISQEYDFEDLLNKVYNQVCGKETKTGSIVEKLRKSLMGWRYLIVLDDIWSLKAWEEFNRVFPSCGNGSRVVLTSRQESVVFDAKPICLPFFTVDESWELLQVKLFKGKECPKELENIGKQISKECGGLPLIVGLVAGLLEGVEKSPQMWQEFLNTLSSQVAFRSRMLSNDVIELSYKHLSHHLKQCLLYFSAFKEDVEIEVSYLIELWISEGFIEIMAVERVEDTAKHYLNHLVGSNLIMVSVRNYDGGILCCVVHDLVHDFCLAKAKEENFLHIIKMKDEVDPILKFTPHRISFHRLGRNKILNELVSWNSPISTILGYPKIHTTRSGVYNGSWVAKKFEHLTILNFEFITVDKSILSEMNSLIHLKYLALYLVGRGSVSPLSLKNLQHLITLKLTSESELHLPKYFLNMKSLRHMTICHYDCHSCPTEPTPAGGIETISGLEVLQSLDLETFLCIRTDGHLLRKLSHLKYLNCAVSPYPFADEIDMLHHLEFLQLHDPRFPKYHENPHLLKIRKFPPNIKEINLESIYLSLSTMSIISQLSNLEALILVACKFEEEEWNVEEEILFCKLKYLELNNPSIRIWNISSAAESFPCLEQVILNGCWKLQTVPYSLADILTLKLISVRGCTDTCERSVKEIEEDVQGMGKDEHLKIILTRGIMISTDSDVSLANMTGPMKRRHDRNRHWRRGNK >itb09g29970.t1 pep chromosome:ASM357664v1:9:30668905:30674345:-1 gene:itb09g29970 transcript:itb09g29970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMWWLLVWVAAATVVCGATPFVSGRERVHRNAYATMMYVGTPRDYEFYIATRVMLRSLARLGADADLVVIASMDVPLHWLQTFEQEDGARVVSVENLNNPYKTQSNFDWRFKLTLNKLYAWSLVDYERVVMLDADNLFLQNTDELFQCGHFCAVFINPCVFHTGLFVLQPSMTVFKDMLHELKVGRENPDGADQGFIGGYFPDLLDKPMFHPNTNGTKLEGQYRLPLGYQMDASYYYLRLRWHVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIQWHEQRRQTIGYGAETPIVIIQAVLYLGIMAVTRVARPNLSKLCYRREDSKSIFLIRSGLKMIAIWSILAAYIVPFFAIPCTVHPLVGWSLYLLGVFSFLCIAVNAFLLPMLPILVPWLGVLGALLMMAYPWYSNGVVRALAVFAYSFCASPVAWIALGKILACLNVSVEREGFLPRLAESAPSSGFNKLY >itb03g16150.t1 pep chromosome:ASM357664v1:3:15222291:15223223:1 gene:itb03g16150 transcript:itb03g16150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGTGTLAMNQMEMKSHLGLRREPEFGGGGDGAAATTTNSSGSQSQNPSEGGTHGQEEEVNNEGQEGEEQNGGGSGRRPRGRPPGSKNKPKPPIVVTKESPNALRSHVMEISSGSDIVETIAAFARQRHRGVSVLSGSGIVTNVNLRQPAVPAGVITLEGRFEILSLSGAFLPPPSPPGATGLTVYLAGGQGQVVGGNVVGSLVASGPVMIIAATFTNATYERLPLPEEETPPPPPEAAAAAMQLQPPSEVEVAAATNGGLAADPPPSSSLPLYNLPLNLFSNGGHMPHHEVFWSPPPRPPPSNY >itb06g13890.t1 pep chromosome:ASM357664v1:6:18543299:18546143:-1 gene:itb06g13890 transcript:itb06g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKANNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGEILGRGTKITLHLKEDQLEYLEERRLKDLIKKHSEFISYPISLVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELCKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGDADVEMPALEDDAEAEGSKMEEVD >itb13g00170.t2 pep chromosome:ASM357664v1:13:156456:161827:1 gene:itb13g00170 transcript:itb13g00170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHGVKLAVYLISSFFGDHNAKVIECLLNRGTLTLAQILRFTELSRENVRSCLLVLIHHNCVQALAIQQEGAFGDAPKIITQYMALFDNIIHRMRFPKFIEMVSQELGKECEGIFEGLLQHGRLSLNQILDRHKQMASQGDSGKDAALDSFKSLVNARFVERCPAPEPFIAPPSDDETPAKKRGAKAGKMAEVTIEQRALEAAAPMESLRFLVETDSWNDASDKESNKKTNDIAVGGKRKLDESELLAKDESKVLWRVNFEEFIRRARHKACIANVKARLNDEAAIVLDAILELSRSSETKVKVDNSASLSINSIYDAVIKKEGGLGMDFERIRAALVQLGCETPLIPIDESYSIDLKNIIELARTEEVEPIVLKRFQTPHLLKRKMQSRFYLDSGRMTMWR >itb13g00170.t3 pep chromosome:ASM357664v1:13:156488:160554:1 gene:itb13g00170 transcript:itb13g00170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHGVKLAVYLISSFFGDHNAKVIECLLNRGTLTLAQILRFTELSRENVRSCLLVLIHHNCVQALAIQQEGAFGDAPKIITQYMALFDNIIHRMRFPKFIEMVSQELGKECEGIFEGLLQHGRLSLNQILDRHKQMASQGDSGKDAALDSFKSLVNARFVERCPAPEPFIAPPSDDETPAKKRGAKAGKMAEVTIEQRALEAAAPMESLRFLVETDSWNDASDKESNKKTNDIAVGGKRKLDESELLAKDESKVLWRVNFEEFIRRARHKACIANVKARLNDEAAIVLDAILELSRSSETKVKVDNSASLSINSIYDAVIKKEGGLGMDFERIRAALVQLGCETPLIPIDESYSIDLKNIIELARTEEVEPIVLKRYGREAYRMFRLLSKASRLFLTDEVSSFDQMYLHIHTSILKCLLLLILFLIVS >itb13g00170.t1 pep chromosome:ASM357664v1:13:156454:161928:1 gene:itb13g00170 transcript:itb13g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHGVKLAVYLISSFFGDHNAKVIECLLNRGTLTLAQILRFTELSRENVRSCLLVLIHHNCVQALAIQQEGAFGDAPKIITQYMALFDNIIHRMRFPKFIEMVSQELGKECEGIFEGLLQHGRLSLNQILDRHKQMASQGDSGKDAALDSFKSLVNARFVERCPAPEPFIAPPSDDETPAKKRGAKAGKMAEVTIEQRALEAAAPMESLRFLVETDSWNDASDKESNKKTNDIAVGGKRKLDESELLAKDESKVLWRVNFEEFIRRARHKACIANVKARLNDEAAIVLDAILELSRSSETKVKVDNSASLSINSIYDAVIKKEGGLGMDFERIRAALVQLGCETPLIPIDESYSIDLKNIIELARTEEVEPIVLKRYGREAYRMFRLLSKASRLFLTDEVSDATFVEKKDAVKILLGLWKDDYVEMKKIIVRGTSQSEQMLWSVNKQSLWRHVLDEMYHAALNLRLRITHEIEQEKETLQMPREKLTGELSIKYKRLMKVRIALESALMNLDDAIMLFHDF >itb12g24260.t1 pep chromosome:ASM357664v1:12:25847317:25851980:-1 gene:itb12g24260 transcript:itb12g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPYDCLANPLGAVRLTFDKAVASGSDPSTFDGRDWGATELFRQFLFDDGGLSQVPMLNPSTIRWIQPHTLVRFRGMIQDMLGNEFYVGAYKDGEAWRTNKFADVSQFPMSDSPDMRVWERRLLYCVPVPGQNPWTEPSSEVVMDSLKKSNYYTDLQREKRQREDDPIMNDVDMEANDESHASPPSTKKMREDGIASTSTDSGETLNKGICNTTNMGAEFERSFPCLVKIYDSPESDLKLNDIFEFIGVLTFDTDLSKEKEDNNNVESSLCEDVLVELPPSKVPRLHCFVYQKLNVQDFLSNFPRTEIKSYVVKGIRETLLGHLTSVLGNDRVAAEFMLLHLLSQVHARVDPIAVGKLSLNLTCFSNETASVFRHRLCLAIKNLLPFSQCIPLTVDYLNTALFAPKKDYQTNRLVTGALQFADGTHLTVDETQLQSGTLNSTGVENTRLLKNLLDVQKVEYDFTYYKMEMPTDAQLLILSEAKSNILPADIVLPFRPTSVTSYEEVETDVLGGWRWYLGTMKSLSHTIAPEMHKVLEDDLVAARQADRSLGSQEFSRLLTMGRLISLSFGETTLSIEHWQMVKELERLRKERLNGRM >itb02g09930.t1 pep chromosome:ASM357664v1:2:6395796:6401469:1 gene:itb02g09930 transcript:itb02g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEHIPDGYIFRPTDAELLSYLALYVTGKPVLSTVPITEIDLYNGEEPSEIFKRSREKVMYIFTTLIRRSSSDSRYIRTIRDGKGTWKAQDRGKPIYRRYGNDDDDNQHLRQLIGYKRSLRYEKSGSKYDGRFLMKEYYFPSHIRATLEVYVSTIQAKNYPILAVQWSPEKNAYEWSLSGIPHTADAILVSQSVANYFVNHARNSSSYREEDEQFVRDHLIYNYNTTYTGKDGSGYEEVYLHLLIS >itb13g22930.t1 pep chromosome:ASM357664v1:13:29085607:29086527:-1 gene:itb13g22930 transcript:itb13g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLVLLTNVLVFIEGIIGGAYSSYIFIESFAPDCWALNTGGLSLSSCIRRYRIPTLEAAAKNLCLTT >itb12g23380.t1 pep chromosome:ASM357664v1:12:25128098:25130994:-1 gene:itb12g23380 transcript:itb12g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRKSPPTSPPPPPHVLIFPLPLQGPVNSMLKLAEIFCLHASIHVTFLNTHHVHRRLLTFSDAGDRFAKYPNFRFATLPDGLPEENPRTGDQILELIECIEKFTSPLFREMLTAGAGLHSPATCLLVDGIFTFAVDVAREIGVPLLYFDTISPCGLWSYMCVPKLIEAGELPFPGNDLDSPINSAPGFGGILRRRDMPSLCRAEDINDPIIQLVLKEDKHLPQAQGLIFNTFLGLEGPILAQFRDVVCPNIYAVGPLHSHLKTRLAEGGRNKSRDVQQLAWLAEGDEDKSRDVQQSAGIAEGGGDKLRDVLQPASNSLWREDERCIEWLDRQVERSVLYVSIGSMAVISRDRLMELWYGLVNSGTRFLWVQRPGSVMGGGRDDEDVPEELIQGTEKRGCIVDWAPQEKVLAHSSVGGFLTHGGWNSTLESIVEGVPMICWPYFVDQHVNSRFVGEVWKVGLDMKDLYDRGSIERMIRDLMEDKKDEFLKRASEMAYLAKQSVGRSGSSYQDLDRLIEDIRLMRIGS >itb14g01500.t1 pep chromosome:ASM357664v1:14:1152882:1156290:1 gene:itb14g01500 transcript:itb14g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPSFFTLFLILCHILLLHCFAQTQDDEHEPDECPEEFPCGTLGVLRFPLTQSSRPDCGLLRLDCDAKPYPRIESGGKAYDAKFHDGSSILLLDPNLRNFLDTKSCQFSRNFSSIRKSFQTTLSITYVRTPVQTLFNCSDNNTADGYQSYGCEGFTVYYRDPYTTNMSQDTGYVHRLPPNCSLIQFPAQDEADDVAGEKFFTLTSEFYLTWSLTGDCAKCFGSGGRCLTQTETNEFNCLVSIGSPPAKGKRKRNLKIVIITAACVGGIAIFVILMHFLRKRYFFGQIWNLIRTKTYNEQRLESFLMTTYGSLATKRYNYSEVRKMTESFKNQLGQGGYGCVYKGKMHDGSLVAVKVLKDLKDGEEEFINELGSIIRTSHVNVVTLKGFCFDGRKKVLIYEFMSNGSLERFIYGNKSFIGRQLEWKVLYKIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKLCTNKDSILSSVIGARGTVGYIAPEVVCRSIGGISYKSDVYSYGMMVLEMVGGRRNFDVEVSHTSEMYFPYWIHSRLKLQDLELHGITQEEDDKCVRKMIIVSLWCIQLDPASRPSMSRVVEMLEGNLSNLEIPPKPYFSSPTRSERYSLQHEFLDSVI >itb04g33160.t1 pep chromosome:ASM357664v1:4:35480925:35484490:-1 gene:itb04g33160 transcript:itb04g33160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKIVFSRIQSLDPENASKIMGYILIQDQGDEEMIRLAHGPDAFLFSLINQAKDCLGLSCSSHSTPSSPSPYSPTKFGPFPQSSPRITIPNNGFPASSPSSVWSAGSPAFPRSPLSYAAVVNGSTNPASSGSSSSPSHGFYNGDSAADQLAFLDEPFEPIMSPTGKSDSLIFPYEESPDHHRRRCSVSDGFLGGSDDGGAGLRPCMYFGRKNGFSEPGDGSGPVFGSPNKIEASFNELVRMKALQQQRFAAAAAGASSPFIASGGHHPFSYNKCMNILNDNPRSAPAALMMGEDFRKFGRCRPETNDYSGMGLGGYSNSCSRQIYLTFPADSTFSEEDVSNYFSLYGPVQDVRIPYQQKRMFGFVTFVYPETVKRILAKGNPHFVCDSRVLVKPYKEKGNIPDKKQQHHMDRAKFGTCLSPSGLDSLEPHDLPFGPRMFYNSREAMLRRKLEQEAELQQAIELQERRLMNIQLVDQKNHPNYHHFQPGSYPGIPVPSVSNPLSQNNQAVILDVAADNAKLPWEASEVQENDGGNETSKEQGANHDDPELNKSLENILPDNLFAFPTKSPAENLPPSPAPANADDSSSSDTPSNDIPALSATSTLNMASLQSCALKMPKLTSGQEAIEV >itb04g33160.t2 pep chromosome:ASM357664v1:4:35481027:35484488:-1 gene:itb04g33160 transcript:itb04g33160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKIVFSRIQSLDPENASKIMGYILIQDQGDEEMIRLAHGPDAFLFSLINQAKDCLGLSCSSHSTPSSPSPYSPTKFGPFPQSSPRITIPNNGFPASSPSSVWSAGSPAFPRSPLSYAAVVNGSTNPASSGSSSSPSHGFYNGDSAADQLAFLDEPFEPIMSPTGKSDSLIFPYEESPDHHRRRCSVSDGFLGGSDDGGAGLRPCMYFGRKNGFSEPGDGSGPVFGSPNKIEASFNELVRMKALQQQRFAAAAAGASSPFIASGGHHPFSYNKCMNILNDNPRSAPAALMMGEDFRKFGRCRPETNDYSGMGLGGYSNSCSRQIYLTFPADSTFSEEDVSNYFSLYGPVQDVRIPYQQKRMFGFVTFVYPETVKRILAKGNPHFVCDSRVLVKPYKEKGNIPDKKQQHHMDRAKFGTCLSPSGLDSLEPHDLPFGPRMFYNSREAMLRRKLEQEAELQQAIELQERRLMNIQLVDQKNHPNYHHFQPGSYPGIPVPSVSNPLSQNNQAVILDVAADNAKLPWEASEVQENDGGNETSKEQGANHDDPELNKSLENILPDNLFAFPTKSPAENLPPSPAPANADDSSSSDTPSNDIPALSATSTLNMASLQSCALKMPK >itb04g33160.t4 pep chromosome:ASM357664v1:4:35480925:35484490:-1 gene:itb04g33160 transcript:itb04g33160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKIVFSRIQSLDPENASKIMGYILIQDQGDEEMIRLAHGPDAFLFSLINQAKDCLGLSCSSHSTPSSPSPYSPTKFGPFPQSSPRITIPNNGFPASSPSSVWSAGSPAFPRSPLSYAAVVNGSTNPASSGSSSSPSHGFYNGDSAADQLAFLDEPFEPIMSPTGKSDSLIFPYEESPDHHRRRCSVSDGFLGGSDDGGAGLRPCMYFGRKNGFSEPGDGSGPVFGSPNKIEASFNELVRMKALQQQRFAAAAAGASSPFIASGGHHPFSYNKCMNILNDNPRSAPAALMMGEDFRKFGRCRPETNDYSGMGLGGYSNSCSRQIYLTFPADSTFSEEDVSNYFSLYGPVQDVRIPYQQKRMFGFVTFVYPETVKRILAKGNPHFVCDSRVLVKPYKEKGNIPDKYLLLSSLIVCVILTLHRSNISFFIDNVENNSIIWIEQSSGHA >itb04g33160.t3 pep chromosome:ASM357664v1:4:35480925:35484490:-1 gene:itb04g33160 transcript:itb04g33160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEATKIVFSRIQSLDPENASKIMGYILIQDQGDEEMIRLAHGPDAFLFSLINQAKDCLGLSCSSHSTPSSPSPYSPTKFGPFPQSSPRITIPNNGFPASSPSSVWSAGSPAFPRSPLSYAAVVNGSTNPASSGSSSSPSHGFYNGDSAADQLAFLDEPFEPIMSPTGKSDSLIFPYEESPDHHRRRCSVSDGFLGGSDDGGAGLRPCMYFGRKNGFSEPGDGSGPVFGSPNKIEASFNELVRMKALQQQRFAAAAAGASSPFIASGGHHPFSYNKCMNILNDNPRSAPAALMMGEDFRKFGRCRPETNDYSGMGLGGYSNSCSRQIYLTFPADSTFSEEDVSNYFSLYGPVQDVRIPYQQKRMFGFVTFVYPETVKRILAKGNPHFVCDSRVLVKPYKEKGNIPDKKQQHHMDRAKFGTCLSPSGLDSLEPHDLPFGPRMFYNSREAMLRRKLEQEAELQQAIELQERRLMNIQLVDQKNHPNYHHFQPGSYPGIPVPSVSNPLSQNNQAVILDVAADNAKLPWEASEVQENDGGNETSKEQGANHDDPELNKRY >itb01g23740.t1 pep chromosome:ASM357664v1:1:29653357:29655751:-1 gene:itb01g23740 transcript:itb01g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MGTPAFPNLGKHCSVDDCRQIDFLPFTCDCCHKVFCLEHRSYARHQCPKGNKHDVTVVICPLCAKGVRLIPDEDPNITWQSHVNTDCDPSNYEKATKKRKCPVPRCKEVLTFSNTIKCRDCDVDHCLKHRFGPDHNCPGPKKPEPAFQFLGFLSRKEEPKKAAPAASSSRWTTSLLNAATSGIAKLSNEFNQALQIGRDGGGQGQSNVNKQQVEQCPLCNLRFSTGTALVDHVQKVHEKKGVMNVSIDVCPRCSKGFRDPVSLVEHVERDHGGTSKA >itb15g17240.t1 pep chromosome:ASM357664v1:15:17634741:17645289:-1 gene:itb15g17240 transcript:itb15g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAVEDTVDSVAAERGDRSYAAVISAVGGNNTETSPPELARTPIQNGVSAAVSGNTNQKSASSGQIEADLSLSNEQIHKLISMLQSQVGQNSSGSSIAASVSAATSSASPPHLLHCNKCLGFMPRDRSLRLCLATTPPPTTATSFTLNPPQSPVVSLLRFHQSPLSSRLLASIETKMISPKLWTASASRKNLPTFDEASHNSEQHASQGFTNATNDSTIEEHSSPNQQVVEENIVEQQPSSLEQQIEEENVIEQEHLKPRRSMRTKVAPDLFDSIEMELETLNLSMLASSGTHDELHASKGTTRAAEAGLDNLDSAPSKSKKNQKKGKGSSTSQEVSSKLNAKKEEDHTRNQKID >itb02g19460.t1 pep chromosome:ASM357664v1:2:16590731:16591586:-1 gene:itb02g19460 transcript:itb02g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHTPVVHTLPSRTVASSSPSSTPLIAAVFTVCLRQRCHRRTSYSVPASMSSSPPPEDRRRSAQALQPVRGKSVIPIFVSGLDGWWVGGRGVAVSGSLAGGGCELHLFWFPFLVFYSCSGLVCNCLFFNICTFDFRDIEYCSLIA >itb08g05160.t1 pep chromosome:ASM357664v1:8:4204230:4206255:1 gene:itb08g05160 transcript:itb08g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGAAAFFDEEWECLSKMFSPSENGDFMFQLQCDLENGAMAMANNSQDDCFLSNYSDYSDVVTNNADEFHQYFSQESSNSSGGDHGFNFPSPPIYHSLLQPNDVMMMNLVQSEPLGFFVVDDNIVNSSVPVCCPNEVMGADIAVCSKEMINSGEGHQNVIADSAKNLQQLKRKPEKSAAEDSSENPKKKPRVSRNAQKNKKVALSKSKQSPESGNEDHQEDQEERNAQTSSCSSSDHQDESLELNRDALNSNSSGKARASRGAATDPQSLYARRRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSEDLWMYAPIAYNGMDIGVYQKMLPSL >itb02g17440.t1 pep chromosome:ASM357664v1:2:13444945:13451904:-1 gene:itb02g17440 transcript:itb02g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLTDDNPDLQKQIGCMTGIFQLFDRQNMLTGGRRVVGHSPKRLISGSPHFNDDTSEKEFSSIYQRPAVADNQIHKNVQEKQRLSTESSRPSFSSSSRSSSFSSLDCNRTVQPEPLAFDRVIFPETPSRDQAMNQIKTSQYGRQTLDIRDVVKDSMNRESQVKSTLREEVAESITKHRDSPRPMQLSQTFDGNYGAGLYAKQNTPVDLKESLRVLAKLREAPWYYNEPRQLSRSSSYHSKDGSITISKDAPRFSYDGKETRHLSFESREISKSALKQLPRLSLDSSRETSVQSFHSESKSNFCTKSSQQDAGYANAKSVSLQQTSGTQARPPSVVAKLMGLETLPDSSTPSDGNMRSTKNCQVDDPLPLSRSSDVSDQCKHIQISSSTRNLWKDPTSPRWRNTDSAMKPTSRFPIEPAPWRHFDKTRASEKQTSRNTKTPAKLPNSFPSVYSEIEKRLKDLEFTESGKDLRALKQILEAMQVKGLLETQKVQESKFSGQKEHQQKYASSLQTAKLTHQRMRQSDQVTASTSRGSNSLRHFESPIVIMKPAKLMEESGISPSSVIPLDGSSCPSKHQGAEGFRGRKGAVATTTAKDQTPKASQRVNIINSSDSRINNRASKSSQASARSKESTSGSLKTSGSISPRLQQKKLELEKRSRPPTPPSDSNRSRKQANKQPMESNSPGGRRRPKMSTIQQNDDQLSENEISVRSNGSVISDSKEEIEVTSSLVSFEKNSIQSPMKSVESLISSCIEKRSTSVLSEDGSLAAMVAPEYPSPVSVLDNLVYADESPLPGKRVPEVLDNGYKDPDSVSSNPSDDLFSNNIGSGITPEFNRRKLQNIENLVQKLRRLNSSHDEGRTDYIASLCENTNPDHRYVSEILLASGLLLRDIGSSITVFQFHPSGLPINPELFLVLEQTRASTSVKEEYSSENIAQLKSKEKVHRKLVFDLVNEILATKLAFLGTFSEPWVKPQKLAKNTLNAQKLLRELCSEIEQLQAKSSKCEVEDEDDELKNILWEDVLHRSGSWTDFNHEISAIVLDVERLVFKDLIGEIVRGEGENSRNKPSRRRQLFTK >itb03g26020.t1 pep chromosome:ASM357664v1:3:25439919:25444908:-1 gene:itb03g26020 transcript:itb03g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 18 [Source:Projected from Arabidopsis thaliana (AT5G23530) UniProtKB/Swiss-Prot;Acc:Q9LT10] METKNEVQNPPQIKPKPSIPWRTRITLSLVSAVTDASRRQNGTVNRRLLKIFNITVPPNGNPVNGVKSSDVTLDPARNLWFRVFIPTGDEARNSASLPIIVFFHGGGFVYLSADTKEYDAVCRRFARKVPAVVVSVNYRLAPEHRYPAQYDDGFDVLRFLDDEKNDGILPENADVSHCFLAGDSAGGNLAHHVAKRASESSFRRLKVGGVVAIQPFFGGEERTEAEEKLQKFDHLISVARTDWMWKSFLPGEDRDHQVINVSGPRAADISKLDFPPTIVLVAAFDPLKDWQKKYYNWLKTSGKEAYLVQYPNVAHAFYIFPEVPESKQLIQDVKDFIRTHC >itb02g18970.t2 pep chromosome:ASM357664v1:2:15684649:15687523:1 gene:itb02g18970 transcript:itb02g18970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLTKIRKDKEAEPSEFEESVAQALFDLENTNQELKSDLKDLYINAAVQIDVSGNKKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKRGSAVQRPRSRTLTSVHEAMLEDVVVPAEIVGKRIRYRIDGSKIMKVFLDPKQKNDTEYKLETFSAVYRKLSGKDVVFEYPLTEA >itb02g18970.t1 pep chromosome:ASM357664v1:2:15684614:15687636:1 gene:itb02g18970 transcript:itb02g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLTKIRKDKEAEPSEFEESVAQALFDLENTNQELKSDLKDLYINAAVQIDVSGNKKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKRGSAVQRPRSRTLTSVHEAMLEDVVVPAEIVGKRIRYRIDGSKIMKVFLDPKQKNDTEYKLETFSAVYRKLSGKDVVFEYPLTEA >itb07g00400.t1 pep chromosome:ASM357664v1:7:278474:287980:1 gene:itb07g00400 transcript:itb07g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPAGIQSLPQVLGETNGSQNLILESEKDKKFPESMKLFADNIDHRLISSTTSSTGGILTNFPVLEKNVTSLSSQCCLTSEDSPRTNICGAHDPSIVVPSNVNGSLNADYKVKTSVWPSQKILHSKVEAEYDVQGQEMFSSSTMTAETILKEKTKHLTTKRQNHSTRKCDNAPPKMRRHNVTIQAGQSPVDSSLNLKGASDIDHKELVAVANMVHVAKRVASDTPYWSEMEIFFASVSPEDLDFLNKQGLKEIEGDSKKHENGKAQVSVDSDPLFQGAQSMSRLDRGPSLTQRVLSAVIVEDEVEDLYNQNEGSISFQCTNDTPDHGSLDVMANDPNNCNRVLTEVELGVDLQAPYNCLLDEVSCNRNIASHTQSNQYESSSSHCNESSSNGFLPFPARGDFPVISKEDSGSKFSSKLGTSDISSCSSQYQMLPLDDKIRMELQSIGIPLDSMACPPLEEKSVDQEIVELKVELDKQGIKKTQILSQIDEAIQHESQEEKKNVEQEAMNLLLITAYKKLMATRGGNSSRKAASKVVEGKTKAFANRTLVRYKEYESTGKSCFSEPELKEILFSPPCKKDMKSALSTFRRNFIGGCRKKATRRKSYPRYKAKSGASNAGKAAASSSGGGVQTGESSGSKVRSKRSSSVASLKDDPSSSSGRVKRKKSSVSDEVELLHAPKAIGIEIGAVNGKRSSSSRRAAEAECCGRLKNKAVEEEEVDDVLAWESELHEVPIYLDPAIEDLSKTLSIVVTEKAPSEVSGMHDLEGGWYGGDDDLTTLLNIDEDDMTELDYALRCPWMTFGSPSHK >itb07g00400.t2 pep chromosome:ASM357664v1:7:278474:287980:1 gene:itb07g00400 transcript:itb07g00400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPAESMKLFADNIDHRLISSTTSSTGGILTNFPVLEKNVTSLSSQCCLTSEDSPRTNICGAHDPSIVVPSNVNGSLNADYKVKTSVWPSQKILHSKVEAEYDVQGQEMFSSSTMTAETILKEKTKHLTTKRQNHSTRKCDNAPPKMRRHNVTIQAGQSPVDSSLNLKGASDIDHKELVAVANMVHVAKRVASDTPYWSEMEIFFASVSPEDLDFLNKQGLKEIEGDSKKHENGKAQVSVDSDPLFQGAQSMSRLDRGPSLTQRVLSAVIVEDEVEDLYNQNEGSISFQCTNDTPDHGSLDVMANDPNNCNRVLTEVELGVDLQAPYNCLLDEVSCNRNIASHTQSNQYESSSSHCNESSSNGFLPFPARGDFPVISKEDSGSKFSSKLGTSDISSCSSQYQMLPLDDKIRMELQSIGIPLDSMACPPLEEKSVDQEIVELKVELDKQGIKKTQILSQIDEAIQHESQEEKKNVEQEAMNLLLITAYKKLMATRGGNSSRKAASKVVEGKTKAFANRTLVRYKEYESTGKSCFSEPELKEILFSPPCKKDMKSALSTFRRNFIGGCRKKATRRKSYPRYKAKSGASNAGKAAASSSGGGVQTGESSGSKVRSKRSSSVASLKDDPSSSSGRVKRKKSSVSDEVELLHAPKAIGIEIGAVNGKRSSSSRRAAEAECCGRLKNKAVEEEEVDDVLAWESELHEVPIYLDPAIEDLSKTLSIVVTEKAPSEVSGMHDLEGGWYGGDDDLTTLLNIDEDDMTELDYALRCPWMTFGSPSHK >itb13g26280.t4 pep chromosome:ASM357664v1:13:31603989:31605579:-1 gene:itb13g26280 transcript:itb13g26280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEAQHYTTSQKLDLINKIQRLGVCYQFQKEIEATLQHIFENYDEFNGEEDENNLYVVSLGFRLLRQEGYPVSCNVFEKFKNSEGKFKELLINNVQAILSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEVSEALRQPFHRRLRRLEAKRYISIYKCDETHDTVLLKFAKLYFNMLQKEHQRELGILTRWWKGLDVAKNFPFARDRLVEGYFWTLGIYFEPQYSLARKFFLKVMAMVPIIDDIYDVYGTPNELQLFTDAIQRWDAIAVNELLEYMRVCYVALLDVYAEMEKELAKTGELYRIDYVKTEMKNLANAYLKEDKWFTFQNLRST >itb13g26280.t3 pep chromosome:ASM357664v1:13:31603989:31605797:-1 gene:itb13g26280 transcript:itb13g26280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFSSKYLGRLFPTLFISTQDVFEKFKNSEGKFKELLINNVQAILSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEVSEALRQPFHRRLRRLEAKRYISIYKCDETHDTVLLKFAKLYFNMLQKEHQRELGILTRWWKGLDVAKNFPFARDRLVEGYFWTLGIYFEPQYSLARKFFLKVMAMVPIIDDIYDVYGTPNELQLFTDAIQRWDAIAVNELLEYMRVCYVALLDVYAEMEKELAKTGELYRIDYVKTEMKNLANAYLKEDKWFTFQNLRST >itb13g26280.t2 pep chromosome:ASM357664v1:13:31603989:31605579:-1 gene:itb13g26280 transcript:itb13g26280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEAQHYTTSQKLDLINKIQRLGVCYQFQKEIEATLQHIFENYDEFNGEEDENNLYVVSLGFRLLRQEGYPVSYVFEKFKNSEGKFKELLINNVQAILSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEVSEALRQPFHRRLRRLEAKRYISIYKCDETHDTVLLKFAKLYFNMLQKEHQRELGILTRWWKGLDVAKNFPFARDRLVEGYFWTLGIYFEPQYSLARKFFLKVMAMVPIIDDIYDVYGTPNELQLFTDAIQRWDAIAVNELLEYMRVCYVALLDVYAEMEKELAKTGELYRIDYVKTEMKNLANAYLKEDKWFTFQNLRST >itb13g26280.t1 pep chromosome:ASM357664v1:13:31603989:31605873:-1 gene:itb13g26280 transcript:itb13g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFSSKYLGRLFPTLFISTQVDAPEWQEHQHLREKVKNMIMEAQHYTTSQKLDLINKIQRLGVCYQFQKEIEATLQHIFENYDEFNGEEDENNLYVVSLGFRLLRQEGYPVSCNVFEKFKNSEGKFKELLINNVQAILSLYEASHLRVHGEKILEEALTFTTSHLQSMLPNLSSLLRTEVSEALRQPFHRRLRRLEAKRYISIYKCDETHDTVLLKFAKLYFNMLQKEHQRELGILTRWWKGLDVAKNFPFARDRLVEGYFWTLGIYFEPQYSLARKFFLKVMAMVPIIDDIYDVYGTPNELQLFTDAIQRWDAIAVNELLEYMRVCYVALLDVYAEMEKELAKTGELYRIDYVKTEMKNLANAYLKEDKWFTFQNLRST >itb04g15060.t1 pep chromosome:ASM357664v1:4:16238285:16240866:-1 gene:itb04g15060 transcript:itb04g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGALFFLLLLFPTVFSDLAANTAALLRLQAAVRGRTLRWNTSSPNPCSWEGIKCDSSNSSVIELRLPGDGLSGQIPLNTIGNLTELRALSLRRNSLSGSLPSDLDSCTELLKLHLDGNNFSGEIPVNFNKLTKLRFLRLEENQFNGTLPDLESLSHLRDFNVSFNRLTGPVPVSYSRFPNESSYAGNSLCGGPLDSCPNDNGDGDKLSAGAIAGIAIGTVIGALLVVLALFILWRNRRGRRISAQVPMSPEKPYPVLATDNRELDNGFSNRGVVKKEGNEGIVFFEENNENFSLEELLKASAEVLGKGSAGTTYRAYLDGGGEVIVKRLKNVGLGEKEFREKIEVLGSYRHENLVHLLGYFYGKHERLVVYDPMPISLSEALQGSRGGYRKALTWAARGKIAITAARGIEYLHSRGPKVVHGNLHASNILLTHSNVARLSDFGLLQLAASTPPPKTNHSGYCAPEIAGERKPSSASQKADVYSFGVVLLQLLTGKEGSEEGIELPRWVQSVGEERWMIEVFDPQIMVEEGQNPGAGDQIIQLLQLAISCTAHHPNTRPSMLQVTHRIQHILNT >itb09g15060.t1 pep chromosome:ASM357664v1:9:10335144:10340650:-1 gene:itb09g15060 transcript:itb09g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSSSFRLLWIMMPLFLVAGLAVFSGPRNSFWLFASPFLHGRGGVFNGSSTPQAQETFRPNNSSETSSSSSLRRYSKLEMLEAGLARSRASILRGNPAKDDDFIPNGPMYWNASAFHRSYLEMEKTLKVYVYKEGDPPVFHFGPCMHTYAIEGYFIQAMDITPFRTSDPNQAHLFFLPISVTMLTHVVFIRESHSWTEMKNTAFDYVNVIAHKYPFWNRSLGADHFMVACHDWGPEISFAVPNLHNNSIRALCNANTSERFDPKRDVSIPEIHLPHGTTKGLLGGPSPSERSVLVFYSGGLHGPIRPILMQHWENKSDEDVQIHSYLPKGLSYYGMMRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKEGYIIPFSDVLNWKAFAVIIPVRDIPNLKKILTGISQMEYLKLQMRGIKMRRHFEINNPPKRYDVFHMILHSIWLRRLNIRVRDDIHNLS >itb08g11090.t1 pep chromosome:ASM357664v1:8:10901148:10901662:1 gene:itb08g11090 transcript:itb08g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKNGGRNRCSRATLSGYWKATGKDKKIGVSGEAQRVVVVKKTLVFYKGKLSNGSKTDWTMHEYSLGDVPQPQANWVLCRIFLKKRGGERSQAAFHDFFAAERADLNLAPASSSSSRSSSVTESSNYQTSDHQEATTCSSFKRAY >itb01g16600.t1 pep chromosome:ASM357664v1:1:21019509:21023375:1 gene:itb01g16600 transcript:itb01g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPFCSLLLFLSLFFSLSLCQPPRRALINCGSAVGSVIDGQEWLPDGDYIAVGTPKSVSFPGLDPRLSTARTFPIVGNNFKKFCYVVPVFRGGKYMVRTTYFYGGVNGNPNPPVFDQIVDGTLWSAVNTTQDYLRQTPTYYEGVFLAAGKTMRVCLGANDHTDSDPFISALEILLVGDSLYNSTDFNNFALRLYARHSFGYSGPPIRYPDDQFDRYWEPFGVHSPAEPNLSNVSVSGFWNLPPQKLFQTQLGTNRPVPLELLWPPSPLPNATYHIALYFADDRDTSSGRVFNISLNGLVYYPNLNVTPSGLAVFTNEWLLSGVTNITLNPAPGSSVGPLINAGEIFQVLPLGGKTHTRDVIALERLKGSFKSPPLDWNGDPCLPPQFPWTGVVCSGGSRIRVIALNLTRMGLSGTISPSIANLSALSGIWLGNNNLSGDIPDLSSLKRLETLHLEDNLLGGEISPSLGSIESLNELFLQNNNLTGKVPDSLVRKPGMNLRTSGNPFLSQP >itb01g16600.t2 pep chromosome:ASM357664v1:1:21019509:21023229:1 gene:itb01g16600 transcript:itb01g16600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIPFCSLLLFLSLFFSLSLCQPPRRALINCGSAVGSVIDGQEWLPDGDYIAVGTPKSVSFPGLDPRLSTARTFPIVGNNFKKFCYVVPVFRGGKYMVRTTYFYGGVNGNPNPPVFDQIVDGTLWSAVNTTQDYLRQTPTYYEGVFLAAGKTMRVCLGANDHTDSDPFISALEILLVGDSLYNSTDFNNFALRLYARHSFGYSGPPIRYPDDQFDRYWEPFGVHSPAEPNLSNVSVSGFWNLPPQKLFQTQLGTNRPVPLELLWPPSPLPNATYHIALYFADDRDTSSGRVFNISLNGLVYYPNLNVTPSGLAVFTNEWLLSGVTNITLNPAPGSSVGPLINAGEIFQVLPLGGKTHTRDVIALERLKGSFKSPPLDWNGDPCLPPQFPWTGVVCSGGSRIRVIALNLTRMGLSGTISPSIANLSALSGIWLGNNNLSGDIPDLSSLKRLETLHLEDNLLGGEISPSLGSIESLNEL >itb11g00270.t1 pep chromosome:ASM357664v1:11:122417:123094:-1 gene:itb11g00270 transcript:itb11g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLMERDQIWPLASGSDRPSSDDEESHSNTKEIHRKRLIRKCCGCAAAVLLVAAALIVTLAFTVFKVKNPVITLNGVNIEKLEFLNGTSMPKPGSNMTLRADISVKNLNYASMEYGNTSSSLLYHGTVVGEARGPPGTTRARRTARMNITVDIMTDRIVSQPSLSGDMSKGMLTINSYTRVEGKVKFLKVIEKHLVVKMNCSVSLNVSSRQIQHQECQRRVQL >itb10g20370.t1 pep chromosome:ASM357664v1:10:25954849:25963045:-1 gene:itb10g20370 transcript:itb10g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANGAAEIRYPEEPSAAGGEDCENPEHLSIDLEALWGMLGDKNSDPPQSMLGDSPDEKPQGNSSSTHPFSATSFKPEALDCRIGVSNGSPSDLTGRPVIIDAPKFDSSFQVGSAVHVSDGSLSDWKLPGNESSGVELDMPSYDEPLSASNPAETFDYLGGNWGQYPDILSADIPSSSSSLLQQDFQYKSDFKLLPCNGDKMLNSKEEEQEPPTRYTSTDSMIGNEDLTGSTDEVWDGPTIANSDIKDLNFNYCGVDNSKFLTVMKASDQQHGQSSRFCTKSAHIKDRKDELINRKPFGAAETVIAKELSNPHFPRHLPFHSSKMDILYGKHQNKDMFPEFVNPGYHSNVFIDGTSEMPILINHDHGPDLLVGEKHLSLPTSSVKNQLGCARTENTHISGKTFDSHMATFTPQVFQISSYAEKPYADTDDDDDDDDVCILEDLSAPARPNPCVSKGKSLVTFQHATFSETFNHAGVGQMRLKLNNEQSVFQAALQDLSQPRSEDTPPDDALAVPLLRHQRIALSWMVKKETMNPHCSGGILADDQGLGKTISTISLILKERPSSVRVSTAISKQTEAETLNLDEDTAVDTQLPSKSDSCQANGSPITGAKKGRAAAGTLVVCPTSVLRQWSDELHNKVTSKANLSVLVYHGSNRTKDPLELAKYDVVVTTYAIVSMEVPKQRLVEDEDDETGKRTEGSMELSYSKKRKNPPSSGKKSSKLKKGMDSELLDTYTRPLARVAWFRVVLDEAQYIKNHKTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRFDPYSSHKVFCASLKVPIQKNSSSGYKKLQAVLKTVMLRRTKGTHIDGEPIIALPPKRIELKKVEFTDEERNFYCKLEADSRAQFAKYAAAGTVKQNYVNILLMLLRLRQACDHPLLVGGSNSSSVWRSSTDMAKKLPQEKQIELLNCLEASLAICGVCNDPPEDAVVSICGHVFCNQCICEQLTGDDTHCPAQNCKMRLSVSSVFSKSILVGSLSDQPNAVTDLDCPGSRPCKTSDFSSMGCSSYDSSKIKAALEVLLSLSKPQESTPRIVSRCDQGQMSCSGDSQVKMNLDTNGYSKCSTKIAGEKALVFSQWTGMLDLLEACLKTSSIQYRRLDGTMSIVARDKAVKDFNTIPEVTVMIMSLKAASLGLNLVSACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKREMVASAFGEDENGARQTRLTTEDLEYLFRI >itb01g23400.t1 pep chromosome:ASM357664v1:1:29427635:29429206:1 gene:itb01g23400 transcript:itb01g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNRVRVLMFPWLAVGHISPFFELAKKLADRGFSIHICSTPINLSFVKNKIPDQYSSSIKLIDLHLPESPDLPPHYHTTNGLPLHLNFTLQKSLKMAKPTFSTIMESLKPHLLIYDILMMWAAGVAESHNVPAVRFLTSGAAVCTYFCHMVLRPNAEYPFPELYLRRYEQERAQKLMDERKSGRKYDGGAGEDHGGDGENDGDGAGENDGGGAEEDGGMSNDMVVISSSTEIEGKYVDYLGELLCCKILALGTLVKQPMMKGENECSELMGWLGEKEERSTVFASFGSEYFLSKEDMEEVAYGLEQSQANFIWVVRFPKGNENSNLEEALPKGFLERIEGRGRIVEGWAPQEQILSHRNTGGFMSHCGWNSLVESIEYGVPIIAMPMHLDQPVNAKLMVGIGVGVEVVRDEGGKLHREDVCRVVKDVIKGKVGENVRKNVKIVGENVRLKSIQEMDEAAVLLAQLCNV >itb08g00130.t1 pep chromosome:ASM357664v1:8:144475:146046:1 gene:itb08g00130 transcript:itb08g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFVSNKHRQESTTSNIVTIDVGGQLFQTTKSTLNQAGSQSLFSKLATSDIHTIPFIDRDPELFSILLSLLRTGNLPSKAKAFDSQDLVFESQFYGIEQLLINSMSDPPSAFDLEKSMVLPLSGRDSPASLSTTPQHGSVHVAHASKLTSFDWSLQRKSTILTQFTAIDSLLALSPKIVAAGATDFPGLQIIDVDKGGSVRETLNWRENVTRSDSTVQAIGSSNQYLFTSFESSRRNSNCIMVYDLHNNFKPVIDIGHYEIFGAELDSGIPATKLRWISSLNLLMASGSHSGPAGVSGNIRFWDLRSGVAVCEMKEKLDCFSDITVSDSLCAVFKVGVLSGELFYTDLRKIGDGENMWVCLGDTRKVSTNSSSGRKEGVGSKIESHGNQVFCSKGGNLELWSQVLVGFSNGRDGLEDDRVFRKNSMGRANDSCSNRITHLSFGGNKMFVTRKDQQFVEVWHNSVM >itb07g01560.t3 pep chromosome:ASM357664v1:7:936967:944662:-1 gene:itb07g01560 transcript:itb07g01560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLRAQAIHLIALVVLSSIIAPSLQSGQFCDAGIGYGESGCGISSSKILIKGGTVVNAHHQEVSDVYVEDGIIAAVKPNIKVGDDVKIIDATGKFVMPGGIDPHTHLAMEFMGTQTIDDFFSGQAAALAGGTTMHIDFVIPVKGSLSAGFEAYVEKAKKSCMDYGFHMAITKWDETVASDMEIMVKEKGINSFKFFLAYKGSLMISDDLLLEGLKKCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATSRAIRLAGFINTPLYVVHVMSIDAMEEIATARKSELLFGLLQMEELETTRVNSVCYREFRSSNLAVHLGQRVIGEPVVSGLVLDDSVLWDPDFNTAAKYVMSPPIRAPGHGKALQAALSTGLLQLVGTDHCTFNSTQKTLGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRLTSTECARIFNIYPRKGAIFAGSDADIIILNPNSSFEISSSSHHSRSDTNVYEGRQGKGKVEVTIAGGRIVWENGKLNVVPGSGKYIKMPPFSYLFDGIEKADATHFSSLRAPVKRYKATS >itb07g01560.t2 pep chromosome:ASM357664v1:7:936967:944662:-1 gene:itb07g01560 transcript:itb07g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVWPNFDSKCTITGGIDPHTHLAMEFMGTQTIDDFFSGQAAALAGGTTMHIDFVIPVKGSLSAGFEAYVEKAKKSCMDYGFHMAITKWDETVASDMEIMVKEKGINSFKFFLAYKGSLMISDDLLLEGLKKCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATSRAIRLAGFINTPLYVVHVMSIDAMEEIATARKSGQRVIGEPVVSGLVLDDSVLWDPDFNTAAKYVMSPPIRAPGHGKALQAALSTGLLQLVGTDHCTFNSTQKTLGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRLTSTECARIFNIYPRKGAIFAGSDADIIILNPNSSFEISSSSHHSRSDTNVYEGRQGKGKVEVTIAGGRIVWENGKLNVVPGSGKYIKMPPFSYLFDGIEKADATHFSSLRAPVKRYKATS >itb07g01560.t1 pep chromosome:ASM357664v1:7:936967:944662:-1 gene:itb07g01560 transcript:itb07g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLRAQAIHLIALVVLSSIIAPSLQSGQFCDAGIGYGESGCGISSSKILIKGGTVVNAHHQEVSDVYVEDGIIAAVKPNIKVGDDVKIIDATGKFVMPGGIDPHTHLAMEFMGTQTIDDFFSGQAAALAGGTTMHIDFVIPVKGSLSAGFEAYVEKAKKSCMDYGFHMAITKWDETVASDMEIMVKEKGINSFKFFLAYKGSLMISDDLLLEGLKKCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATSRAIRLAGFINTPLYVVHVMSIDAMEEIATARKSGQRVIGEPVVSGLVLDDSVLWDPDFNTAAKYVMSPPIRAPGHGKALQAALSTGLLQLVGTDHCTFNSTQKTLGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRLTSTECARIFNIYPRKGAIFAGSDADIIILNPNSSFEISSSSHHSRSDTNVYEGRQGKGKVEVTIAGGRIVWENGKLNVVPGSGKYIKMPPFSYLFDGIEKADATHFSSLRAPVKRYKATS >itb15g21810.t1 pep chromosome:ASM357664v1:15:24469760:24470459:-1 gene:itb15g21810 transcript:itb15g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQILMCFVILLFSLCVDTTSERTEGRALLKWKNTLSNAYVLHSWSIANLDNICWNWTGINCNNVGDVYEITLVDFSLSGTLESFDFISFPNLTHFNLHNNSFIGSIPYAIANLSQLNFLDLSLNRFVNFIPPEIGRLRNLQFLNLGFNNLVGEIFSMGQFQQVLSSYPSLDNLTYVQTISMAQYLL >itb13g11590.t1 pep chromosome:ASM357664v1:13:16258364:16260781:1 gene:itb13g11590 transcript:itb13g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLNLDIPENNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKHKRIRSVADLLQDQFGLALVRLENVVRGTISGAIRHKLIPTPQNLVTSTPLTTTFESFFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGPWGSLESPYYEISERSKRVQMLYLSPSRDEYYMLASGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHFRSIFSFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSQSEKCIVGTGLERQAALDSGVLAIAEHEGKIIYTNTDKIVLLGNGNTVSIPLVMYQRSNKNTCMHQKPQIPRGKCVKKGQILADGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTYVTSQGPEKVTSEIPHLEAHLLRNLDKNGIVRLGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAILGIQVSTSKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIHVYISQKREIKVGDKVAGRHGNKGIISRILLRQDMPYLQDGRPVDMIFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTANPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGNPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIKARQEVLGTTIIGGTIPNPEDAPESFRLLVRELRSLALELNHFLVSERNFQINRMEA >itb09g11330.t6 pep chromosome:ASM357664v1:9:7055888:7058967:1 gene:itb09g11330 transcript:itb09g11330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYAHNPSKALIFLLIG >itb09g11330.t5 pep chromosome:ASM357664v1:9:7055649:7058967:1 gene:itb09g11330 transcript:itb09g11330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQWYAHNPSKALIFLLIG >itb09g11330.t1 pep chromosome:ASM357664v1:9:7055623:7058967:1 gene:itb09g11330 transcript:itb09g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQ >itb09g11330.t3 pep chromosome:ASM357664v1:9:7055650:7058915:1 gene:itb09g11330 transcript:itb09g11330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKNQQ >itb09g11330.t4 pep chromosome:ASM357664v1:9:7055650:7058915:1 gene:itb09g11330 transcript:itb09g11330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVNHSFPCH >itb09g11330.t2 pep chromosome:ASM357664v1:9:7055623:7058967:1 gene:itb09g11330 transcript:itb09g11330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEQSRLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVNHSFPCH >itb04g24820.t3 pep chromosome:ASM357664v1:4:29538979:29541905:1 gene:itb04g24820 transcript:itb04g24820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLASETDSLNYLRLGLKGSGKGNSGKPRVLSILSMLLESSVQENEKFLETSQVKDAITIFHGSRAPSLSIQQYVDRIFKYACCSPSCFVVAHIYMEKFIQCTNACLTSFNVHRLLITSVMVAAKFIDDSFFNNAYYARVGGVSTAELNKLEMKFLFGLGFRLHVSVQTFRSYCGFLEKEGNLTLQIERSIQACGIKESWSTNEDPTCAQIAR >itb04g24820.t2 pep chromosome:ASM357664v1:4:29539019:29541905:1 gene:itb04g24820 transcript:itb04g24820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLASETDSLNYLRLGLKGSGKGNSGKPRVLSILSMLLESSVQENEKFLETSQVKDAITIFHGSRAPSLSIQQYVDRIFKYACCSPSCFVVAHIYMEKFIQCTNACLTSFNVHRLLITSVMVAAKFIDDSFFNNAYYARVGGVSTAELNKLEMKFLFGLGFRLHVSVQTFRSYCGFLEKEGNLTLQIERSIQACGIKESWSTNEDPTCAQIAR >itb04g24820.t1 pep chromosome:ASM357664v1:4:29539019:29541905:1 gene:itb04g24820 transcript:itb04g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLASETDSLNYLRLGLKGSGKGNSGKPRVLSILSMLLESSVQENEKFLETSQVKDAITIFHGSRAPSLSIQQYVDRIFKYACCSPSCFVVAHIYMEKFIQCTNACLTSFNVHRLLITSVMVAAKFIDDSFFNNAYYARVGGVSTAELNKLEMKFLFGLGFRLHVSVQTFRSYCGFLEKEGNLTLQIERSIQACGIKESWSTNEDPTCAQIAR >itb12g24880.t1 pep chromosome:ASM357664v1:12:26264011:26264460:-1 gene:itb12g24880 transcript:itb12g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQASAAAIFLSLNLLFFATATATQYPAFPGNGSGTGAGGGGGNGGGANGGGGGGNGGGGIGQCPRDALKLGVCANLLGGLVGAVVGTPPTLPCCSLIAGLADLEAAVCLCTAIRLNVLGINLNVPLALSLVLNNCGRQVPNGFTC >itb01g25520.t1 pep chromosome:ASM357664v1:1:30943677:30945608:1 gene:itb01g25520 transcript:itb01g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSALEAGEASKVAAARGGGIRGINVFDLILRIAAIVGTLAAAAAMGTTNQQLPFVTQFVRFEAQYDDIDAFRMFLIVNAIVCVYLVFSLPLSIIHIMRRRAAKSRIVLIFLDTVMLGALTGAASAAAAVVYLAHYGNSSTNWFSICQQYPDFCQSSSGSLIGSFGAVVALLLLVLLSAIALARSH >itb01g25520.t2 pep chromosome:ASM357664v1:1:30943677:30945608:1 gene:itb01g25520 transcript:itb01g25520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSALEAGEASKVAAARGGGIRGINVFDLILRIAAIVGTLAAAAAMGTTNQQLPFVTQFVRFEAQYDDIDAFRMFLIVNAIVCVYLVFSLPLSIIHIMRRRAAKSRIVLIFLDTVMLGALTGAASAAAAVVYLAHYGNSSTNWFSICQQYPDFCQSSSGSLIGSFGAVVALLLLVLLSAIALARSH >itb01g25520.t3 pep chromosome:ASM357664v1:1:30944297:30945608:1 gene:itb01g25520 transcript:itb01g25520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSALEAGEASKVAAARGGGIRGINVFDLILRIAAIVGTLAAAAAMGTTNQQLPFVTQFVRFEAQYDDIDAFRMFLIVNAIVCVYLVFSLPLSIIHIMRRRAAKSRIVLIFLDTVMLGALTGAASAAAAVVYLAHYGNSSTNWFSICQQYPDFCQSSSGSLIGSFGAVVALLLLVLLSAIALARSH >itb11g21170.t1 pep chromosome:ASM357664v1:11:22654910:22656749:-1 gene:itb11g21170 transcript:itb11g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLHFSPNPNNSNNCPNLSSPMASKRSVIDLGGAAAAETTAAAAEDSSFKRPRHLSSSGDPSVSGEKGEEEEEEGVMDTESTGLRLLGLLLQCAECVAMDNLDDASNLLPEIAELSSPFGSSAERVAAYFAEALSARIISSYLGSYSPLTLKSLTLTHSQKLFAALQSYNSISPLVKFSHFTANQAIFQALDGEDHVHVIDLDIMQGLQWPGLFHILASRSRKIRSIKITGVGSSIELLESTGRRLAEFATSLGLPFEFRPLEGKIGSITDPSQLGVKLGETTVVHWMHHCLYDVTGSDFGTLRLLTLLRPKLITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGADSVERHTVEQQLFGCEIRNIVAVGGPKRTGEVKVERWGEELKRVGFGPVSLAGSPAAQAGLLLGMFPWKGYTLVEESGCLKLGWKDLSLLTASAWQPSD >itb10g17970.t2 pep chromosome:ASM357664v1:10:24037422:24038132:1 gene:itb10g17970 transcript:itb10g17970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAVYSRLARVANAIVVSCYLPLAPDNRLPAATEAGYAAFLWLRALSRGEAREPWLTDRGNFEKVFLIGDSSGANIVHHVAARAGNDDLAPLKLGGAIPIHPGFCRASRSKSELEQPETPFLTLAMLDKFIAFALPEGSTKDHPITCPMGSAAPPISGLKLPPYLYCVAEYDLLKDTEMEFYEAMKEANKDIELLLNSGVGHSFYLNKIAVDMDPVTGSETERLLAEIAKFVEKH >itb10g17970.t1 pep chromosome:ASM357664v1:10:24037030:24038448:1 gene:itb10g17970 transcript:itb10g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVQSFDSFPTPKKEKQVVEEVSGWMRVYDDGSVDRTWTGPPEVKFMADPAPPHLNFVDGVTTKDVIIDENSGRRVRIYLPEKYGEKLPIILHFHGGGFCISQPDWYMYYAVYSRLARVANAIVVSCYLPLAPDNRLPAATEAGYAAFLWLRALSRGEAREPWLTDRGNFEKVFLIGDSSGANIVHHVAARAGNDDLAPLKLGGAIPIHPGFCRASRSKSELEQPETPFLTLAMLDKFIAFALPEGSTKDHPITCPMGSAAPPISGLKLPPYLYCVAEYDLLKDTEMEFYEAMKEANKDIELLLNSGVGHSFYLNKIAVDMDPVTGSETERLLAEIAKFVEKH >itb03g15470.t2 pep chromosome:ASM357664v1:3:14816416:14818971:1 gene:itb03g15470 transcript:itb03g15470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVSTLEGKIYSCKHCGTHLALSDDIVSKSFHCRHGKAYLFSKVVNVTSGPNEDRMMMTGMHTVADIFCVKCGSIVGWKYEMAHEKNQKYKEGKSVLERSVDNSLKNPGYLHCPTQAN >itb03g15470.t3 pep chromosome:ASM357664v1:3:14816416:14818971:1 gene:itb03g15470 transcript:itb03g15470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVSTLEGKIYSCKHCGTHLALSDDIVSKSFHCRHGKAYLFSKVVNVTSGPNEDRMMMTGMHTVADIFCVKCGSIVGWKYVSSD >itb03g15470.t1 pep chromosome:ASM357664v1:3:14816416:14818971:1 gene:itb03g15470 transcript:itb03g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVSTLEGKIYSCKHCGTHLALSDDIVSKSFHCRHGKAYLFSKVVNVTSGPNEDRMMMTGMHTVADIFCVKCGSIVGWKYEMAHEKNQKYKEGKSVLERFKVAGPDGSSYWASHEGVGPDYV >itb04g09690.t1 pep chromosome:ASM357664v1:4:8947999:8950305:1 gene:itb04g09690 transcript:itb04g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCEAESSQFESFPRKALAVEENTIVGVDDDILEIKARLCGSPSSKLQVVALVGMGGIGKTALARKVYEDPLVMHHFYLRAWITVSRQHRVGEMLLGLLRCVCPSSDEIYKKSEQQLAEELRRRLIGHKYLIVMDDVWSSEAWDDVKRCFPDDRNGSRIILTSRVMAVGVHAQPASPPHCLRPLDLHQSWELISQKVFGKTACPSGLEEIGKKIAERCGGLPLAIVVVAGSLAKVSKTRTSWSNFAKMVGSAANEQDKQKCLDILALSYHYLPCHLKPCFLYMGAFPEDYEIPAWTLIRLWIAEGFLPPETKLKSPEEVAEHCLEDLVSRSLVMVAKRRSDGGVKTCVIHDLLRELSLQEGEKENFMYVKKEHSTIYSAYAYNEQRLSFTSGVDIISSKIDTSWKDICSFPNIYQSTPVTSSFLSFGTIGMPTQSETIFLTSAFRLLRVLHLVGHTFIHFPVEITQLIHLKYLALSYRPEFPLSLSKLVNLQTLVLETSGVLILPTEMWEMEQLRHLHLKNTSFWPSHPMTSTKALSNLQTLCFIDLNSCTREVFISISNLKKLGITGSGFCTGVGETKWELYLEHWIRPQQLNGCLTNLLYLKRLETLKFCSILVKNFPCSDAFPPNLKKLSITMCFLVPKSVTTFSKLPNLEILKLKDVNFERYVFELSEQVFKTLKFLLIQSSTLKLWEVANSYHFPKLERLVLKHCFSLKEIPNEIGDIPTLEFMELHHSPAVEQCARRIEDEQRELGNDSFKVYINRSVTS >itb04g19440.t1 pep chromosome:ASM357664v1:4:23804736:23805391:1 gene:itb04g19440 transcript:itb04g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAVLIATPQPANCRRRRTDVTRTSALDRTAAARTAENQRNTKTTKAALRIASPRRQKTSDTPPASLQPQSPPSSSPQLLRETTGNNGGRHEPTSPSFVCLNRQAKSSPCVAVNPPASPGKNSNPAIGKARTAGEQITGEGKKMRSSGRKKNT >itb08g00450.t1 pep chromosome:ASM357664v1:8:361631:363924:-1 gene:itb08g00450 transcript:itb08g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDAGGIPIPTSSVLMAASKHIETRCRSENVAFLKCKKDDPNPEKCLDKGHQVTRCVLGLLKDLHQRCNKEMDAYAGCMHYHTNEFEFCRKEQKEFEKTCSF >itb11g01180.t1 pep chromosome:ASM357664v1:11:536932:540433:-1 gene:itb11g01180 transcript:itb11g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKPNLLCNNMTNSKQQLIDSLTSHISLYNSHSSPSSTSSNPNPRSSILKWFSSLTVHQRQAYLTTVDSNFTQLLFRMLRKLKSNGHGFFIILPDIPDGSAGSSLPSVCFRKSQGLLSRVAEKNEAERLIRDSVRLFGSKEGEGIMDACSSSCSANCLDSLTVSEDLVGSVDAFVGAMDGVSNGGFLRGEESCIGSEWVELDWLKAKGYYSVEAFMANRLEVTLRLSWLNCTNGKKRGVKLKDKVNSAGVAANTFWRKKGCVDWWEKLDEATKEKVFKTALGKVAKSLAAEIVKGRKDVLDDNVWHCGNEVDLLFRCNATTSYHESPANFSGTGEGSLWNKIPTSVSGMPSSLICILRGLSVLQNISTVLFEFQHTYYERERLHFSSLESISSISDCILRKLRVVLTVISLECTKVELLDDGKLNSSLKKNKEKLGPGNNKKSRKNRKVKKPNPVPKLTTDDVALMKSPQDDGLMKSPQDDGFQLARGEDGHICTSSGLDNKLEQMDFDRDTIPSTLRTVGNGKGQNTNSVQSVSRKKRGRSKRKNPSSKISAEAGNCEINCARPSTLSEDGHTTSACISENSVVENLSKVVANEVHNVEPISSCSGAVQMDSTAQLTKGCHSTGCAEGSKNPASLLCQTTESMVENKSSAVALETTNQNINSGVTSVIPVIEFGNNLVTHDMSNGNCNGVNRKSRKHGVTGKQTKVGGSIDVYNSGPANASTYLSYEWPSVAPIHFPSGNSHLPPATDRLHLDVSHNWQSHYHQSYVRTVHHVRNSSIESGRTGIISRPLAMSLDWPPILRGVNGVTPSVTCHYDTGFISRRPSSFQQDLATQGIXK >itb11g01180.t2 pep chromosome:ASM357664v1:11:536932:540433:-1 gene:itb11g01180 transcript:itb11g01180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSKPNLLCNNMTNSKQQLIDSLTSHISLYNSHSSPSSTSSNPNPRSSILKWFSSLTVHQRQAYLTTVDSNFTQLLFRMLRKLKSNGHGFFIILPDIPDGSAGSSLPSVCFRKSQGLLSRVAEKNEAERLIRDSVRLFGSKEGEGIMDACSSSCSANCLDSLTVSEDLVGSVDAFVGAMDGVSNGGFLRGEESCIGSEWVELDWLKAKGYYSVEAFMANRLEVTLRLSWLNCTNGKKRGVKLKDKVNSAGVAANTFWRKKGCVDWWEKLDEATKEKVFKTALGKVAKSLAAEIVKGRKDVLDDNVWHCGNEVDLLFRCNATTSYHESPANFSGTGEGSLWNKIPTSVSGMPSSLICILRGLSVLQNISTVLFEFQHTYYERERLHFSSLESISSISDCILRKLRVVLTVISLECTKVELLDDGKLNSSLKKNKEKLGPGNNKKSRKNRKVKKPNPVPKLTTDDVALMKSPQDDGLMKSPQDDGLMKSPQDDGFQLARGEDGHICTSSGLDNKLEQMDFDRDTIPSTLRTVGNGKGQNTNSVQSVSRKKRGRSKRKNPSSKISAEAGNCEINCARPSTLSEDGHTTSACISENSVVENLSKVVANEVHNVEPISSCSGAVQMDSTAQLTKGCHSTGCAEGSKNPASLLCQTTESMVENKSSAVALETTNQNINSGVTSVIPVIEFGNNLVTHDMSNGNCNGVNRKSRKHGVTGKQTKVGGSIDVYNSGPANASTYLSYEWPSVAPIHFPSGNSHLPPATDRLHLDVSHNWQSHYHQSYVRTVHHVRNSSIESGRTGIISRPLAMSLDWPPILRGVNGVTPSVTCHYDTGFISRRPSSFQQDLATQGIXK >itb03g15390.t1 pep chromosome:ASM357664v1:3:14769196:14771365:1 gene:itb03g15390 transcript:itb03g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAKAAKVYAPKTLQLWRTLLNWAAAFYEIFVQSTPSAAQLLSYVGFRNSSFLSSPPPPQFRPLPVVEVEDKDDSPPSSALPSLQIASGCSDEHHLPRLTVVLDLDETLVCAYETSTLPSIILTQAKEAGLKWFELECISSDKECHDNPKINYVTVFERPGLRTFLKELSKFADLVLFTAGLEGYARPVVDKLDVDRLFIRRLYRPSTTSTEYREHVKDLSFISKDLSRIVMVDNNPFSFLLQPLNGIPCVPFSAGQPHDEQLLEVILPLLEHLSQQKDVRPVLYEMFHMPEWFQKHGIPASRAMNEG >itb14g02460.t1 pep chromosome:ASM357664v1:14:2103629:2107098:-1 gene:itb14g02460 transcript:itb14g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTESVSVAVGYYYFSRQVRRSIVYGEQPRNRLDLYLPKNNDGPKPIVAFVTGGAWIIGYKAWGSLLGQQLSERGVIVACIDYRNFPQATIGDMVRDASLGISFMCNNAAEYGGDPNRIYLMGQSAGAHIAACALVEQAIKEAGEGQNVSWSVSQIKAYFGLSGGYNLLSLVDHFHSRGLYRSLFLSIMEGQESLGKYSPEVVVQDPNVRNAVPLLPPIILFHGTGDYSIPCDSSKKFVDVLNDLGGKAESIMYEGKTHTDLFLQDPMRGGTDEMLEELISRIHYGNPEAFAEAANAPRRKRLVPEFMLKLAGKVSPF >itb11g14620.t2 pep chromosome:ASM357664v1:11:11805520:11809167:-1 gene:itb11g14620 transcript:itb11g14620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLGSSTLLQSQVNGFGGNLKRQIPNSNSLNLSRKGFRTVVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVEQAGCDWIHVDVMDGRFVPNITIGPLIVDSLRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCAERVFLMLRYLHRLLYLRKHLRCQPLFCRE >itb11g14620.t1 pep chromosome:ASM357664v1:11:11804537:11809259:-1 gene:itb11g14620 transcript:itb11g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLGSSTLLQSQVNGFGGNLKRQIPNSNSLNLSRKGFRTVVKASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVEQAGCDWIHVDVMDGRFVPNITIGPLIVDSLRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCAERGVNPWIEVDGGVGPNNAYKVIEAGANALVAGSAVFGAPDYAAAIKGIKTSKRPEAVAV >itb11g07910.t1 pep chromosome:ASM357664v1:11:4997608:5006010:-1 gene:itb11g07910 transcript:itb11g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSQSWYLDLSYDQWTALSVSGPHPAARYKHAATVLDGKLYIAGGSRNGRYLSDIQVFDLKSLAWSTIKSDTEVLPALSGHSVISWGHKLLLLAGHSRSISDTVTVWIIDPESQHCSIMETFGTPPVARGGQSVTLFGSKLIMFGGEDKKRQLLNDIYVLDLETQTWSSVETTQTPPSPRFDHSAALHAERYLLIFGGCSHSVFFNDLHILDLETMEWSCPQLQGDLVSSRAGHAGVTIDENWYIVGGGDNKSGVPETLVLNMSKLVVSVLTSVKGRDPLASEGLTVSSALLDGEHFLVAFGGYNGKYSNEVYVMRLKPRDSLHPKILMSPAAAAAAASVTAAYALTKPEMLDLTEREDSNFKEVQNDTTRKDLSAEINAIREEKKALESSLAEVTAENSGIKAKIEEVNNTHADLSKEFHSVQGQLTSERSRCAKLEAQIAELRKVLDSMQSVEEEVQALRRQKSALEHDMELQTVQGQRPGGVWKWIAG >itb11g07910.t2 pep chromosome:ASM357664v1:11:4997656:5005909:-1 gene:itb11g07910 transcript:itb11g07910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSQSWYLDLSYDQWTALSVSGPHPAARYKHAATVLDGKLYIAGGSRNGRYLSDIQVFDLKSLAWSTIKSDTEVLPALSGHSISWGHKLLLLAGHSRSISDTVTVWIIDPESQHCSIMETFGTPPVARGGQSVTLFGSKLIMFGGEDKKRQLLNDIYVLDLETQTWSSVETTQTPPSPRFDHSAALHAERYLLIFGGCSHSVFFNDLHILDLETMEWSCPQLQGDLVSSRAGHAGVTIDENWYIVGGGDNKSGVPETLVLNMSKLVVSVLTSVKGRDPLASEGLTVSSALLDGEHFLVAFGGYNGKYSNEVYVMRLKPRDSLHPKILMSPAAAAAAASVTAAYALTKPEMLDLTEREDSNFKEVQNDTTRKDLSAEINAIREEKKALESSLAEVTAENSGIKAKIEEVNNTHADLSKEFHSVQGQLTSERSRCAKLEAQIAELRKVLDSMQSVEEEVQALRRQKSALEHDMELQTVQGQRPGGVWKWIAG >itb14g14780.t3 pep chromosome:ASM357664v1:14:17684719:17687400:1 gene:itb14g14780 transcript:itb14g14780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDFTHKLCAGLMFQPLRNSGSPFELVIGSLCIKHPNLFGKSEKLDVLWDKGLYDSNVLIAYRKPRPEWLSQQSLIIQHSVSPEIGIHGIPVDNFSRSESGGVNLSRFSAGLDLSEPASSNWSSKTSIRFEHVRPVSDDGRPISRDLHGFPVTCSGGYHDSMVVLKQESRFARANDQSLTEFTLQIEQGVPILSKWLIFNRFKFVASKGVKLGPAFLLTRWVDNFHHPMTKGLTTGCAPKGLQAQLVPHVLTIPASGVLKFHLAISQLCVMKEYMYL >itb14g14780.t1 pep chromosome:ASM357664v1:14:17684719:17688547:1 gene:itb14g14780 transcript:itb14g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDFTHKLCAGLMFQPLRNSGSPFELVIGSLCIKHPNLFGKSEKLDVLWDKGLYDSNVLIAYRKPRPEWLSQQSLIIQHSVSPEIGIHGIPVDNFSRSESGGVNLSRFSAGLDLSEPASSNWSSKTSIRFEHVRPVSDDGRPISRDLHGFPVTCSGGYHDSMVVLKQESRFARANDQSLTEFTLQIEQGVPILSKWLIFNRFKFVASKGVKLGPAFLLTRLTGGSIVGDIAPYQAFAIGGLNSVRGYGEGAIASGRSCLVAKSELTFPFNPMIDGVVFLDFGSDLGSGRHVPGNPTLRHGKPGTGIGVGYGFRLKSRLGHFHVDSAVNAFEQRTLYFGFSNVTS >itb14g14780.t2 pep chromosome:ASM357664v1:14:17684719:17688547:1 gene:itb14g14780 transcript:itb14g14780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDFTHKLCAGLMFQPLRNSGSPFELVIGSLCIKHPNLFGKSEKLDVLWDKGLYDSNVLIAYRKPRPEWLSQQSLIIQHSVSPEIGIHGIPVDNFSRSESGGVNLSRFSAGLDLSEPASSNWSSKTSIRFEHVRPVSDDGRPISRDLHGFPVTCSGGYHDSMVVLKQESRFARANDQSLTEFTLQIEQGVPILSKWLIFNRFKFVASKGVKLGPAFLLTRLTGGSIVGDIAPYQAFAIGGLNSVRGYGEGAIASGRSCLVAKSELTFPFNPMIDGVVFLDFGSDLGSGRHVPGNPTLRHGKPGTGIGVGYGFRLKSRLGHFHVDSAVNAFEQRTLYFGFSNVTS >itb01g04830.t1 pep chromosome:ASM357664v1:1:3295705:3299853:1 gene:itb01g04830 transcript:itb01g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKQVNVGDLGRRRRSSFRRRTMLPGGRIQPLIADLASDCGRFPEIESQGSEQGDFAQKLICKKEIDADQQGSYFEEVISAYYDLSSSDGSQSSAASKNIVSERNMRKKLNERLNQTQSSTASPPSPRFSLHATPVSISGRR >itb14g11930.t1 pep chromosome:ASM357664v1:14:13540207:13558465:-1 gene:itb14g11930 transcript:itb14g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELTNTLVASVQSHLKGSVSPSQFVSCFLKGYGRRRAGKLCKNARQMLPWKEIGCVVSPVFMDGSGCKTMFGPMDNKVKLEQVDCKIKPEPLNYMNKLRGIRKEIKERTRWAPYARPTKVVDSTPEWKSNTDKNVSAMFDTIEKNKSIKLEILILNRTSYAQTIENIFALSFLVKDGRVAISVAENGSHFVSPKGADGACTVLPNNMAYKNFIFRFDYNDWKVLLETNAAFSFPSLCSFTLLLPPLLCYKQNTQFLSRINKISIIKLKPPTALLSATKRFSLISAMAAVVTSFLQTTVARPSVFSTRRIFSGCSNADAKEVSWNRLASTHHVSSVRHLHRSFTSASPTFGKGVTRAKLESDESKPVTGLPINLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDSPEDVPEDIKANKRYAGSSNWTVKEVAECVKQDYGSIDVLVHSLANGPEVTKPLLETSRKGYLAAISASSYSYISLLQHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNTISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKELDIPKAKDH >itb13g24760.t1 pep chromosome:ASM357664v1:13:30354419:30362278:-1 gene:itb13g24760 transcript:itb13g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTRLNSVVFQLTPTRTRCDLIIIANEKKEKIATGLLDPFLAHLKTAQDQIAKAGYSIVLEPKSHNDASWFTKGTVERFVRFVSTPEILERVHTIESEIIQIEEAITIQGKIDIDHGHVEDHQEKSLGSLEGNKSTAGVNEEKAIVLYEPGAHQPEKSDSASQGENSRAQLLKVLETRKSVLQKEQGMAFARAVAAGFDIDHMAPLVSFADCFGASRLRDASLRFMGLWKKKHETGQWLEIGATEAIASQPDASIMNASGIVFSNSEMASEGDGKLSMDGTAGERQRIEQQVPNNQQESFQNQFSQPMFPPWAMHHPPSALPLYQPYPVQGVPYYQPYSGNGSFYHPPYPPMKDPRMGVVHRTGGKRQSMDGDGSSEKSLDDSDLDNEDPQYQEHRKKAGRSRKRQSGKVVIRNINYISKAKNSDSNSGSGSDSESNADGEDLLNTTSRSSKTKGSSGKFLNELNSYDKEEDTINEKETDGGHWLAFQNLLFREKDEDNHTDKDHMFMTDEGVRRKQHSIGDDPIALGGRGSDDLHDSRINDIHKVSTNMSRLSRGSNDGVLFSNRGHANTVGQMDIQFAETEGRKVMSRTANDDFMVPGRATDLRLRNSLDPLAENGVGSATNKSQKTFSNGMDDESFIVPFRSMTLDGSVADNRTAIDMDSELPATPKNTANNSHGERSQVNYEPSDLGLIPERDAEKCSSGYDPSWDYEMQVSVKDTVSQDKGKKEGTNNVKKGPHKSDKDRRPKAASDLDKKRVGGPIRKGKMSKTSPLEDARARAEKIRSFKTDIQKMKKEKEEADLKRLEALKLERQKRIAARGSSSSARSTAPSSQTRRLSTKLSPSSVRGSKFSDTEPGSSSPLQRTKIRTPTESHDSQKASKSTKVSDGHLANDRLTRSASSLSDPNKESNGVTPDSKTSKARLRRLSEPKTISSKPVASVKAQRSEPVSKPRVRNSESASKSKLSDYPESKKISAIMDLDKKKAATLPELKIRTPKEVLDVHLEKSAVKDTMKVNDSGPSGNSESTEPFMKNRKLPHESEGDEIIVEKNVVMLESEKPSSLAPKQQSDVDDRGEKTAVVSEYAFIDAPPSPFEGFVRDPIPGRFLEQLNSQEAGANSVEETPKFASIDPAVRPHYQAPYARVSSIEERCTGYSEYAKAPPANSELASVEPAPKAYVDDAKTVTVYNIQEGSEKAQVKEPSKGFKRLLKFAKKTQSSISGDRTLESDSNSAVGLKQDDNATSTASSSEVYTLKNLISQDEVPSTGNAVQKSRHFSLLSPFRGKTTEKKPAS >itb11g22470.t1 pep chromosome:ASM357664v1:11:24417247:24419197:-1 gene:itb11g22470 transcript:itb11g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAHKLYQHPSITSYFDKRAWVTVSQEYNLEQMLRCLLSCVTATASRDGQDQLAKRLRKHLKDQRYLIVMDDIWSTTAWDNVQRCLPDDNNGSRILLTSRLKEVAEYASSGNSPLNMPFLDANESWNLYCKVFGKIEFPLVFEQIGRDIVKKCKGLPLAITIVASLLSKTKEEEKWKNVAKSVIGDSNEACSRILYLSYNQLPHHLKVCFLYFGIFEEDYEISVKMLVRLWAAEGFLSTVRHVDLEKVAMKCLEDLVDRNLVIVSKHSYNGEMKKIRIHDLLRDLCLREAKLENLLNVNEIQKPCRWISHTSATFLYDEIFRLKEIPRGFADITTLVLIQISKCRYSLVASAK >itb13g25420.t1 pep chromosome:ASM357664v1:13:30802484:30806910:-1 gene:itb13g25420 transcript:itb13g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPEGDEWRSCAAGGRSALLLQESLENPSQVLFLKYEEIKEEPEVQLRRIAAFLGCPFSQEEEETGVVGGISRLCSFESLSNLEINKTGKGGLDFGNSNNGYFRKGKVFSLTVVTSILYKKEGNILPKQILFSPSSSSFMNEEPLLPSLFPLSSGYSTWYQSFLDGAPTPPHSPAKQTRKDAQIRRLLVLQYKTILFLLEN >itb10g01380.t1 pep chromosome:ASM357664v1:10:1002140:1003225:-1 gene:itb10g01380 transcript:itb10g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPSVDQNGKKKGAWSKEEDDTLRAYVLKHGHQNWRQLPNLAGLSRCGKSCRLRWVNYLKPGIKRGNFTRDEDQLILNLHKQLGNKWSAIAARLPGRSDNEIKNHWHTCLKKFDNVGVIESSKTQSSHSDDAQSVQSSDKSQQQHLAPFDLQAAMETLPFSPKTEPFSYFMDSAVLSSEEGTSNDDDDDDSRELEQEIFVPDRLFVDEEIQSLLNDFP >itb08g08780.t1 pep chromosome:ASM357664v1:8:7742916:7746674:-1 gene:itb08g08780 transcript:itb08g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLVNSMKDAASKELLHVSHNHHNYKKLLHDLIVQSLLKLKEPSVLLRCRENDVELVADVLHSAKEEYAAKAGVHPPEVIIDNIHLPPAPSHHGAHGLFCSGGVVLASRDGKIVCENTLDARLEVLFRKKLPEIRKLLFGQAGAC >itb01g17960.t2 pep chromosome:ASM357664v1:1:22817468:22825534:1 gene:itb01g17960 transcript:itb01g17960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRKFFYKKPPEGLLEISERVFVFDCCFSPNVLQEDEYKTYMGGVVNKLCDHFQDATFMVFNFKEGDNQSPLENVLNDYEMTVVNYPRQYENCPVLTMEMIHHFVKSTESWLSIGMTNLLLMHCEHGGWPVLSFMLAAFLIYRKQFNGEQKTLDMIYKQAPRELLHLMSPLNPLPSQLRYLQYVSRRKMEPQWPPADKALTLDCVMLRGIPNIDGKGGCRPIFRIYGQDPLKPGNRTSKKLFSTGKNSKSVRYLKQSACDLVKIDIHCDILGDVVLECITLDDDLEREKMMFRVMFNTSFIRSNALMVNRDEIDILWNVKDQFPKDFRAEIVFSEVDSRSSNIKAPSLHSAEEKGLPVEAFDKCKELFSDVNWPDSSIDENQNSLQTRAANTLQEKSETSSSLAAGKEKPLKSSHQMDARQMGTENNTTEKVKGNDSREVEQTTSSSNLTSQGPLHSSPPLPATANVPPSTPVSSAHSLHPSASIVRSHAIRNPAPQNAGFRSTSCSNSDSIPNVASPSPPLPPNQEVQSIPIASSQPPPPCLESNSIPLASPSVKSTSIPPSPPRVESTPTPPPPPPNASPPLPPAPNLGSASAPHPPPPSTSSASPSAPPLGCLSRSNAVSIQSNSGAKASAPPPPGAIGSIPPPPGAIGSIPPPPGAIGGAIGSIPPPPGAIGSIPPPPGAIGSIPPPPGAKGNIPPPPGAKGGPPPPPGATGGPPPPPGATGGPPPPPGGKGGPPPPPGGKGGPPPPPGANSAAQGGNPPPPPGAKGKLPSRTSASKTTQTKRTPLKPYHWLKLTRVMQGSLWAETQKPEEAAQAPEFDMSELETLFSATVPDSGRRNGGGKSGNAAGAKADKVHLIDLRRAYNCEIMLTKVKIPLPDLMCSVLALDDQALDIDQIENLIKFCPTKEEMELLKNYKGEKENLGKCEQFFLELMKVPRVESKLRVFSFKIQFCCQVSELRHSLSIVNSASEEVRTSIKLRRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRSRNNKMNLMHYLCKIQLKCLAEEMQAISKGLEKVVQELAASENDGPVSDFFCKSLKMFLKYAEKDVKAVSSLYAEVGRNCDALPVYFGEDPARCPFEQAVATLLNFTRMFRKAHEENVKQAEFERKKALKEAELEKAAQQNAAAAAAAAGEGIGPRLPHASLPVKKPSSYRDSGFLWRKKYSSTWQ >itb01g17960.t1 pep chromosome:ASM357664v1:1:22816887:22825534:1 gene:itb01g17960 transcript:itb01g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRKFFYKKPPEGLLEISERVFVFDCCFSPNVLQEDEYKTYMGGVVNKLCDHFQDATFMVFNFKEGDNQSPLENVLNDYEMTVVNYPRQYENCPVLTMEMIHHFVKSTESWLSIGMTNLLLMHCEHGGWPVLSFMLAAFLIYRKQFNGEQKTLDMIYKQAPRELLHLMSPLNPLPSQLRYLQYVSRRKMEPQWPPADKALTLDCVMLRGIPNIDGKGGCRPIFRIYGQDPLKPGNRTSKKLFSTGKNSKSVRYLKQSACDLVKIDIHCDILGDVVLECITLDDDLEREKMMFRVMFNTSFIRSNALMVNRDEIDILWNVKDQFPKDFRAEIVFSEVDSRSSNIKAPSLHSAEEKGLPVEAFDKCKELFSDVNWPDSSIDENQNSLQTRAANTLQEKSETSSSLAAGKEKPLKSSHQMDARQMGTENNTTEKVKGNDSREVEQTTSSSNLTSQGPLHSSPPLPATANVPPSTPVSSAHSLHPSASIVRSHAIRNPAPQNAGFRSTSCSNSDSIPNVASPSPPLPPNQEVQSIPIASSQPPPPCLESNSIPLASPSVKSTSIPPSPPRVESTPTPPPPPPNASPPLPPAPNLGSASAPHPPPPSTSSASPSAPPLGCLSRSNAVSIQSNSGAKASAPPPPGAIGSIPPPPGAIGSIPPPPGAIGGAIGSIPPPPGAIGSIPPPPGAIGSIPPPPGAKGNIPPPPGAKGGPPPPPGATGGPPPPPGATGGPPPPPGGKGGPPPPPGGKGGPPPPPGANSAAQGGNPPPPPGAKGKLPSRTSASKTTQTKRTPLKPYHWLKLTRVMQGSLWAETQKPEEAAQAPEFDMSELETLFSATVPDSGRRNGGGKSGNAAGAKADKVHLIDLRRAYNCEIMLTKVKIPLPDLMCSVLALDDQALDIDQIENLIKFCPTKEEMELLKNYKGEKENLGKCEQFFLELMKVPRVESKLRVFSFKIQFCCQVSELRHSLSIVNSASEEVRTSIKLRRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRSRNNKMNLMHYLCKVLGERLPELLTFYQDLSNLEAATKIQLKCLAEEMQAISKGLEKVVQELAASENDGPVSDFFCKSLKMFLKYAEKDVKAVSSLYAEVGRNCDALPVYFGEDPARCPFEQAVATLLNFTRMFRKAHEENVKQAEFERKKALKEAELEKAAQQNAAAAAAAAGEGIGPRLPHASLPVKKPSSYRDSGFLWRKKYSSTWQ >itb01g07340.t1 pep chromosome:ASM357664v1:1:5653598:5656741:1 gene:itb01g07340 transcript:itb01g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAVILLLGFILTPLQANNVSISWVQNATDKGAVCLDGSPSAYYFDKGQGEGANNWLIYLEGGGWCVNQTDCLVRTTYSRGSSKHMQQSIDFIDFLSNNFTKNPEFYNWNRVYVPYCDGSSFTGDIEAPDTVTNVTYRGARIFKATMDDLLSMGMNCAENALLTGSSAGGLATMIHCDRFRELLPLFARVKCLPIAAYFVHEENLLGSKQFEPAFDALIDLHGSAGVLPPLCTSIMRPSLCLFPQYLLLFVKTPVFIAMSAFDQIQIRMNLFREDEVCLVSHNCTDDRKVAMQELRWDVLAALPKALPLFRGMWITNCIAHHLIYFSTLKIIGNKTYAEVFHDWYFDYNYLQVIDTTLEPRDCSEYGIYPQALN >itb01g07340.t2 pep chromosome:ASM357664v1:1:5653598:5656741:1 gene:itb01g07340 transcript:itb01g07340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAVILLLGFILTPLQANNVSISWVQNATDKGAVCLDGSPSAYYFDKGQGEGANNWLIYLEGGGWCVNQTDCLVRTTYSRGSSKHMQQSIDFIDFLSNNFTKNPEFYNWNRVYVPYCDGSSFTGDIEAPDTVTNVTYRGARIFKATMDDLLSMGMNCAENALLTGSSAGGLATMIHCDRFRELLPLFARVKCLPIAAYFVHEENLLGSKQFEPAFDALIDLHGSAGVLPPLCTSIMRPSLCLFPQYLLLFVKTPVFIAMSAFDQIQIRMNLFREDEVCLVSHNCTDDRKVAMQELRWDVLAALPKALPLFRGMWITNCIAHHLIYFSTLKIIGNKTYAEVFHDWYFDYNYLQVIDTTLEPRDCSEYGIYPQALN >itb07g22180.t3 pep chromosome:ASM357664v1:7:26656011:26659907:1 gene:itb07g22180 transcript:itb07g22180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAATTNRGGVPTDSVDSVVTLDQVPRWIDAEQRYLYANEDPAFPNTYFPDPLAPASGTESNENGILSKFPVDHEVNSKIYLWRGDPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTKAYDLPARRVIHTVGPKYAIKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQEDQIKAIVFCTTTSADTEIYKRLLPLYFPRDKQEEAIAITKLPADVGDENGETVIDERKIRIKPLPKTKKAVPRESQTTLDIPINDVGLVRRNSSYLDSYLDPTFMSLIKDPDQRRKEQWERTIEEQNRWNCFKMLGYGDLGGPPLSAAEEYSLHSRYLAKANSVNLSDIAEMKIIYRGGVDSEGRPVLVIVGAHFLLRCLDLERFILHVVKEFEPLIQKPYTIVYFHSAASLQILTDLSMWMLSISPYQ >itb07g22180.t1 pep chromosome:ASM357664v1:7:26655984:26661502:1 gene:itb07g22180 transcript:itb07g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAATTNRGGVPTDSVDSVVTLDQVPRWIDAEQRYLYANEDPAFPNTYFPDPLAPASGTESNENGILSKFPVDHEVNSKIYLWRGDPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTKAYDLPARRVIHTVGPKYAIKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQEDQIKAIVFCTTTSADTEIYKRLLPLYFPRDKQEEAIAITKLPADVGDENGETVIDERKIRIKPLPKTKKAVPRESQTTLDIPINDVGLVRRNSSYLDSYLDPTFMSLIKDPDQRRKEQWERTIEEQNRWNCFKMLGYGDLGGPPLSAAEEYSLHSRYLAKANSVNLSDIAEMKIIYRGGVDSEGRPVLVIVGAHFLLRCLDLERFILHVVKEFEPLIQKPYTIVYFHSAASLQMQPDLGWMKRLQQILGRKHQRNLHAIYVLHPTFGLKAAIFALQLLVDNEAWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVHQRP >itb07g22180.t4 pep chromosome:ASM357664v1:7:26655984:26661502:1 gene:itb07g22180 transcript:itb07g22180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAATTNRGGVPTDSVDSVVTLDQVPRWIDAEQRYLYANEDPAFPNTYFPDPLAPASGTESNENGILSKFPVDHEVNSKIYLWRGDPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTKAYDLPARRVIHTVGPKYAIKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQEDQIKAIVFCTTTSADTEIYKRLLPLYFPRDKQEEAIAITKLPADVGDENGETVIDERKIRIKPLPKTKKAVPRESQTTLDIPINDVGLVRRNSSYLDSYLDPTFMSLIKDPDQRRKEQWERTIEEQNRWNCFKMLGYGDLGGPPLSAAEEYSLHSRYLAKANSVNLSDIAEMKIIYRGGVDSEGRPVLVIVGAHFLLRCLDLERFILHVVKEFEPLIQKPYTIVYFHSAASLQMQPDLGWMKRLQQILGRKHQRNLHAIYVLHPTFGLKAAIFALQLLVDNEVS >itb07g22180.t2 pep chromosome:ASM357664v1:7:26655984:26661502:1 gene:itb07g22180 transcript:itb07g22180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPGAATTNRGGVPTDSVDSVVTLDQVPRWIDAEQRYLYANEDPAFPNTYFPDPLAPASGTESNENGILSKFPVDHEVNSKIYLWRGDPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTKAYDLPARRVIHTVGPKYAIKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQEDQIKAIVFCTTTSADTEIYKRLLPLYFPRDKQEEAIAITKLPADVGDENGETVIDERKIRIKPLPKTKKAVPRESQTTLDIPINDVGLVRRNSSYLDSYLDPTFMSLIKDPDQRRKEQWERTIEEQNRWNCFKMLGYGDLGGPPLSAAEEYSLHSRYLAKANSVNLSDIAEMKIIYRGGVDSEGRPVLVIVGAHFLLRCLDLERFILHVVKEFEPLIQKPYTIVYFHSAASLQMQPDLGWMKRLQQILGRKHQRNLHAIYVLHPTFGLKAAIFALQLLVDNERMHVIDSQAWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVHQRP >itb03g28200.t1 pep chromosome:ASM357664v1:3:28915451:28918585:-1 gene:itb03g28200 transcript:itb03g28200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSLPCNNITISTLACTLVLLFFLCKLFHAIMYKPNNNGRNQKLAPEPLGALPIIGHLHLLMAGGKPPHLILASMADKYGPIFRIRLGAQQTFVISNSKIAKECFTTNDKLLATRPKALASEIMGYNYNIFAIAPYGPYWRHIRKTVVLELLSGRRMEFLRPKRESHVRKSIKRTFQHWSDHKDAATGAVTVEMKQTFDRVVTNMLVSILFGEDEVEEEGKLDKSIHRLFRLFGEPVVADFIPWLRWLDIGGHERAMRQTAVEMDNFVNKWMEEHRRNRNFKSKEEEDFMDAMLSLFDGVPNQSLPRGYDTDCVIKSTCLTILLAATDTTSITLAWALSLVLNNYKVMERIQDELDTYIGKERCVEEPDVKQLIYLQAVIKETLRLYPPAPLALPHEAIEDCTIDGYHIKKGTRIVPNFVTIHRDPLVWANPNEFIPERFLTDHKDVDVKGNHFELIPFGSGRRMCPGMSFGLQIVQLTLASQIHSFDMKRLSNEPIDMTESVGLTNHKATPLEALLVPRLASNLYG >itb14g00080.t2 pep chromosome:ASM357664v1:14:46091:54051:-1 gene:itb14g00080 transcript:itb14g00080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLLLWFQLKVMGIYLSSPKTEKFSEDGENTRLRYGLSSMQGWRATMEDAHAAILDLDTSTSYFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYLAGDIATSVQKAFFRMDEMMRGQRGWRELAVLGDKLNKFTGMIEGLIWSPRNSEGNDQVDDWAFEEGPHSDFSGPTSGSTACVAIIRGNHLVVANAGDSRCVISRKGQAYNLSRDHKPDLEVERERILKAGGFIHNGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTASPDINTVELCEDDDFIVLACDGIWDCMSSQQLVDFIHEQLHSESKLSTVCERVLDRCLAPSTAGGEGCDNMTMLLVQFKKPIYSGSCEPAELSPPSDDKLPIVDEKSHASSEVEAEPKPVECGSSS >itb14g00080.t3 pep chromosome:ASM357664v1:14:46091:48577:-1 gene:itb14g00080 transcript:itb14g00080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYNLSRDHKPDLEVERERILKAGGFIHNGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTASPDINTVELCEDDDFIVLACDGIWDCMSSQQLVDFIHEQLHSESKLSTVCERVLDRCLAPSTAGGEGCDNMTMLLVQFKKPIYSGSCEPAELSPPSDDKLPIVDEKSHASSEVEAEPKPVECGSSS >itb14g00080.t1 pep chromosome:ASM357664v1:14:46052:54245:-1 gene:itb14g00080 transcript:itb14g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENTRLRYGLSSMQGWRATMEDAHAAILDLDTSTSYFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYLAGDIATSVQKAFFRMDEMMRGQRGWRELAVLGDKLNKFTGMIEGLIWSPRNSEGNDQVDDWAFEEGPHSDFSGPTSGSTACVAIIRGNHLVVANAGDSRCVISRKGQAYNLSRDHKPDLEVERERILKAGGFIHNGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTASPDINTVELCEDDDFIVLACDGIWDCMSSQQLVDFIHEQLHSESKLSTVCERVLDRCLAPSTAGGEGCDNMTMLLVQFKKPIYSGSCEPAELSPPSDDKLPIVDEKSHASSEVEAEPKPVECGSSS >itb14g00080.t4 pep chromosome:ASM357664v1:14:46052:54051:-1 gene:itb14g00080 transcript:itb14g00080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENTRLRYGLSSMQGWRATMEDAHAAILDLDTSTSYFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYLAGDIATSVQKAFFRMDEMMRGQRGWRELAVLGDKLNKFTGMIEGLIWSPRNSEGNDQVDDWAFEEGPHSDFSGPTSGSTACVAIIRGNHLVVANAGDSRCVISRKGQAYNLSRDHKPDLEVERERILKAGGFIHNGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTASPDINTVELCEDDDFIVLACDGIWDCMSSQQLVDFIHEQLHSESKLSTVCERVLDRCLAPSTAGGEGCDNMTMLLVQFKKPIYSGSCEPAELSPPSDDKLPIVDEKSHASSEVEAEPKPVECGSSS >itb14g00080.t6 pep chromosome:ASM357664v1:14:46052:50424:-1 gene:itb14g00080 transcript:itb14g00080.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLIHSYIAGKVVAKFCAKYLHQQVLKHEAYLAGDIATSVQKAFFRMDEMMRGQRGWRELAVLGDKLNKFTGMIEGLIWSPRNSEGNDQVDDWAFEEGPHSDFSGPTSGSTACVAIIRGNHLVVANAGDSRCVISRKGQAYNLSRDHKPDLEVERERILKAGGFIHNGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTASPDINTVELCEDDDFIVLACDGIWDCMSSQQLVDFIHEQLHSESKLSTVCERVLDRCLAPSTAGGEGCDNMTMLLVQFKKPIYSGSCEPAELSPPSDDKLPIVDEKSHASSEVEAEPKPVECGSSS >itb14g00080.t5 pep chromosome:ASM357664v1:14:46052:54051:-1 gene:itb14g00080 transcript:itb14g00080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENTRLRYGLSSMQGWRATMEDAHAAILDLDTSTSYFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYLAGDIATSVQKAFFRMDEMMRGQRGWRELAVLGDKLNKFTGMIEGLIWSPRNSEGNDQVDDWAFEEGPHSDFSGPTSGSTACVAIIRGNHLVVANAGDSRCVISRKGQAYNLSRDHKPDLEVERERILKAGGFIHNGRVNGSLNLARAIGDMEFKQNKFLPAERQIVTASPDINTVELCEDDDFIVLACDGIWDCMSSQQLVDFIHEQLHSESKLSTVCERVLDRCLAPSTAGGEGCDNMTMLLVQFKKPIYSGSCEPAELSPPSDDKLPIVDEKSHASSEVEAEPKPVECGSSS >itb07g02710.t1 pep chromosome:ASM357664v1:7:1765061:1767041:1 gene:itb07g02710 transcript:itb07g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQDSLTKFQKQQEKCQSTLSSIAAKAGTSRPTPSKSSNSSANAKPPAPAVKFSNDTERLQHINTIRKSPVGAQIKRVINLLLDTRQAFTPEQINEQCYVDINANKAVFDSLRNNPKVHYDGRRFSYKAKHDLKNKDQLLTLIRRYGEGIPIIDLKDAYPTVMEDLQSLKAAGQVWLLSNFDSQEDIAYPNDPKVRTNKVDEDIKQLFLEIELPRDMLDIEKDLLKNGMKPATNTAKRRAMAQVHGMANKPKTKKKKHEISKRTKLTNAHLPELFANLKSSS >itb04g00370.t1 pep chromosome:ASM357664v1:4:237458:246084:-1 gene:itb04g00370 transcript:itb04g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREETRCGHPTAEIAQEATVLEFYSPACQLCNSLLNFVTEVKKRNSDWLNIVYVDATSDQWLPEVHIFCSLGLAQHLRCLFLHEINQFLALSGDLDASAPRRMSEPPKVRLVRCPKCENLLPEVTDFSVYQCGGCGAVLRAKNENGELETFSDKSDEERAVGVSEKFEKVMNLSDGSENDVKSNASSSCSIDDERRGILRDSVENYRSNLTSKSDKWVLEDDDDAELCEIIDEHSQEKIVEQLQKFVPECESESENGLPNLEEIPNWRSGETSKAAEAFHRRRRVDDMEMYETGDEQSQERTMKQFQNSTVQYANEMNLQRPVRIPDWRSGEARNAEVSQRGRRIGIGIDGSMYAVSNNSADASSSYQVGSSYGYQPPLRSGNSEESSSSGFAKAEYSEDERAELLRKLDELKEQLTRPCEVIDNPKDKFPLDRKIINQDPYGDSHDWFPDGSSRVVNRGSMHYPVSNQAATRPPLPNHYQEPSLVLGGHGFYPPGHTSSHPQLFGDPLRSQRLRTDPYQTIPGQFQQHPSHPHIAGHYPNNEIIDHLQPYAPHVNRHHPSCICFHCYKTNQNLVQALPTAFGDGRFSNVPGNPIFYHHENPGRFGPQDYDPRIPSALPMKTQNPQSQRRWPGDLNSDVGVLVRRRPPGLQPPSSGHRCRPVAGGAPFLTCHNCLELLHLPKRAFLGDRKQRKMKCGACSSIMVFAVANKRLISVREQVKKNGEMFTTDDNLTQESSYSHGHINQPSTIFSSEDFDNSRYDFHAMDEEHRSLSAGQASSVKSMEVRSHRSKSSSMSAEEGNLVASAATAINSNPVELPMKDKVSPPPAGSPLQDHFDYSTKYNTANRFENGNLSGRSSPDKMMLKKNSSRQTSMKDVSVATEMDISSNEYANTGSSLESGESSKGDRLNSNKTTESFFAGIAKRSFKSKHVAGEERSNVTVNGHLIPDQLIKKAERVAGKINPGHYWYDFRAGFWGVMGGPCLGIVPPFIEEFNYPMPENCAGGNTGVFVNGRELHNKDLQLLCSRGLSSERDRSYIVEISGRVLDEDTGEELDSLGKLAPTVERMKRGFGMKVPKRAA >itb04g21570.t1 pep chromosome:ASM357664v1:4:26661041:26663207:-1 gene:itb04g21570 transcript:itb04g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) UniProtKB/Swiss-Prot;Acc:Q9T0G0] MMDLVNSVLNLVVPPASLVMLALAWPTLSFISACEWLYNLFYGEEIMEDKVVVITGASSGIGEQIAYEYAKRRANLVLVARRENRLRGISENARLLGAKNVLITAADVVKEEDCRKFILETINFYGRLDHLVNTASLGHTFYFEEASDTTVFPILMDINFWGNVYPTYVALPYLRQSRGRVIVNASVENWLPLPRMSLYSAAKAGLVNLYETLRFEVGNSIGITVATHGWIGTEMARGKIMVEEGAEMQWKEEREVHATGPVEEFAKLIVSGACRGDEYVKYPSWYDTFLLYRVFCPNVLNWTFRLLFDNLSARTTSFIGTGRPVTMESSSSSPMRLEAPSPAGRPVIVLQQHKME >itb04g20300.t1 pep chromosome:ASM357664v1:4:24962712:24965884:-1 gene:itb04g20300 transcript:itb04g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYAHITSTIFSCFCFCFFLPIVYSELPQDQKNIMGRLYDMIHDNTATSFAWIGTNRGSDPCSWKGVSCSPNNSSITNISLHLFSISNPDTLPLLCGIKTLESLDVSNNQLSSIPDGFITGCGGISGLKVLNFSSNKLKGPLPIFSGFGVLESLDLSMNSLSGNIDLQLDSLSSLKNLDLSYNNFVGHIPTSLGKSNALVELQLSVNHFDGQIPSQIVKYENLALIDLSLNNLSGPIPESLGNLSSLKILILSANKLIGTIPKTLGNITTLFRFAANQNYFVGNVPLGITSNLNNLDLSYNNLSGTIPLGLLSPPNLQYVDLTNNKLEGSIPANMGSTLVRLRLGNNSLAGPIPPKPFQSLQNLTYLELENNQLNGNIPLELLSCKNLALLNLAQNKLAGPLPADIGNLINLQVLKLEMNGFVGGIPDSISKLNKLQRLNMSWNSLTGIIPDSISTLHTLTNLDLRENGLNGSIPNSIGNLNNLLELQLGNNQLSGLIPEMPMQLQIALNLSHNLFTGPIPTTLTRLTALEVLDLSNNTFSGQIPESMTRMSGLTHLILANNHLSGVVPTFPKYVELSLGGNRQLIFPPPPSPQNAPAVSGKKKLSVAVVIVIAVAVAAVAVGLFTCIALSISKRFYRVEDENLHPRDDISQPQVIQGNLLTANGIHRSSIDFKKAMEAVAESSNIILKTRFSTYYKAVMPSGGSYFVKRLNWSDKIFQLGTHERFGGELEHLGKLSNSNVMIPLAYVLAADSAYLFYDFAPAGTLYDVLHGSTETTLDWASRYSIAIGVAQGLAFLHSINSSPILLLDLSSKSIMLKSLNEPQLGDIELCKLIDPSKSTGNLSTVAGSVGYIPPEYAYTMRVTVAGNVYSFGVVLLELLTGKAAVSQGTELAKWVLSNSAQQNKWDHILDFGVSKTSVAVRSQMLSVMKVALACVSVSPEGRPKMKSVLRMLLNAR >itb03g03110.t1 pep chromosome:ASM357664v1:3:1757085:1759106:1 gene:itb03g03110 transcript:itb03g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDVAAGNGTGGESIYGSKFDDENFEMKHERKGMLSMANVGPNTNGSQFFITISQLTHLNGKNVVFGKVIKGLGLVRTIERVPTGENDYPDVNVLIEDCGEIPEGEDDGTCNFFKDGDTFPDWTLDLDVKPDEVSWWINATNSIKAVGNEHYKKQDYKMAIRKYTKAVQYLDFCWEKNNIDDVNIKILMKMRSQIFSNCSACKLKLGDFEGALLDTEYAIRDAKDDAKVFYRQGQAYMGLNAIDKAAESFKKAFELDPSDGGIKKELAVARKKIADRQNQEKKAYSRMFQ >itb12g24800.t1 pep chromosome:ASM357664v1:12:26216462:26222093:1 gene:itb12g24800 transcript:itb12g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGASRNLTEEERKGYKELTWDDKEVCRFYMVRFCPHDLFVNTRSDLGPCPKVHDPKLKESFEKSPRHDSYVPKFEGELAHFCEKLVMDLDRRVRRGRERLDQEDVPPPPPPISAEKSEQLSVLEEKIKNLLEQVESLGEAGQVDEAEALMRKVELLNVEKTALTQQSQQSNVLMMAQEKKMALCETCGSFLIANDAAERTQSHVTGKQHVGYGMVRDFLSEFKAAREKAKEDERLAREKEVEERKKQKEKEYESRHRSGSSDRDRYRDRDYGRERDRYRERDREHERSYDRNGRGARDRDRGSDWKYSNSRNGRDRNRERDRSRSRSPVRPSHRSSTKSPVHQC >itb12g24800.t2 pep chromosome:ASM357664v1:12:26216464:26222093:1 gene:itb12g24800 transcript:itb12g24800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGASRNLTEEERKGYKELTWDDKEVCRFYMVRFCPHDLFVNTRSDLGPCPKVHDPKLKESFEKSPRHDSYVPKFEGELAHFCEKLVMDLDRRVRRGRERLDQEDVPPPPPPISAEKSEQLSVLEEKIKNLLEQVESLGEAGQVDEAEALMRKVELLNVEKTALTQQSQQSNVLMMAQEKKMALCETCGSFLIANDAAERTQSHVTGKQHVGYGMVRDFLSEFKAAREKAKEDERLAREKEVEERKKQKEKEYESRHRSGSSDRDRYRDRDYGRERDRYRERDREHERSYDRNGRGARDRDRGSDWKYSNSRNGRDRNRERDRSRSRSPVRPSHRSSTKSPVHQC >itb09g11930.t1 pep chromosome:ASM357664v1:9:7479897:7484734:-1 gene:itb09g11930 transcript:itb09g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVDMKVEDKAVENGEEEDKKTTIGGRKRKLGGIRTMPFILANEICDRFAAVGFHANMITYLTEVLNLPLVKASNTLTNFGGTSSFMPLVGALIADSFAGRFWTIIVGSIIYVLGMVSITTSAIMPQLRPPPCPTKKNCTEASNLQLWVLYICLLLTSIGSGGIRPCVITFAADQIDMTKSKVEGRKWNFYNWYYFCMGLATLTSLTVIVYIQDNVSWAWGLGIPTIAMGLSVVAFVLGSPLYKKVKPGGSPLVRLAQVIVASVKKRKIAIPADSSHLYENKELDAALSSNGRLLHTDQFKFMDRAAVVDGSDMRESNQPNLWRLATVHRVEELKCILRMVPVWAAGILLITSYSHVGSFTIQQARSMDRHLSQSHSFQIPPATMSVFTVLTVLIGLALYERVFVPFIRRFTGNPAGITCLQRMGIGFAVNILATVVSALIEIKRKAVAERYNLLDEPAAIIPISVFWLIPQYCLHGIAEVFMSVGHLEFLIEQSPESMRSTAAALNSLTNSIGNYMGTLVVSLVHSYTGRGNEGNWLPDRNLNRGKLENYYWLITGIQALNLVYYVTCAKFYRYKPLEEVTASEETDVELAKDKLPLDSVKGAKGDGKEVEVSRNGKYSM >itb15g18900.t1 pep chromosome:ASM357664v1:15:20793875:20796067:-1 gene:itb15g18900 transcript:itb15g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVASASEYLVITGVGITDIKISKKAWVLPGQSFTVFDVSPVNYTFEVQAMSAEKLPFILPAVFTIGPRVDDESSLLKYAKLISPHDKLSHHVKELVQGIIEGETRVLAASMTMEEIFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEARMKGEIGSKSREGQTLQNAARIDAETKIISTQRQGEGKKEEIKVKTEIKIFENLREAEVAEANADLAKKKAGWAKEAEVAEVEAKKAVALRDAELQKEVERMNALTVTEKLKAEFLSKASVEYETKVQEANWELYKKQKAAEAYLYQKEKEAEAQKAMAEADLYKRQQILDGELYAKQKEAEGLKAIAEAQGVYLRTLLGALGGNYAALRDYLLISGGVFQQIAKINAEAVKGIQPKISIWTNGDAAASAADGGALGEVAGVYKMLPPLFQTVQEQTGMLPPAWMGKMDTNN >itb04g03510.t1 pep chromosome:ASM357664v1:4:2148984:2152610:1 gene:itb04g03510 transcript:itb04g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTAKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEEGEAKARELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKSTSTNPAQSQQSGGCAC >itb03g29520.t1 pep chromosome:ASM357664v1:3:30418442:30421740:1 gene:itb03g29520 transcript:itb03g29520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRYSDARILQQENHQATEKILDFSTSPSANIPVDNLSPGLPPPHFPVPPNSGHSVAGFPTPHFPVPPNSGYNVRGFPTPHFPVPPNSGYNVRGLPPPHFPVPPNSGYNVPGLPPPHFPVPPNSGYNVPSLPSPHFPVPPSSGHSVAGFPTPHFPVPQNSGYNVPGLPPPHFPVPPNLGYNVPGLPPPHFPVPPSSGHSVAGFPTPHFPVPPNSGYSVAGFPTPHFPVPPSSGHSVAGRPIPDFPVSR >itb03g16340.t1 pep chromosome:ASM357664v1:3:15342013:15343798:-1 gene:itb03g16340 transcript:itb03g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVGTMEVTLVNARGGGIDPYVLIQYRGQEHKSSIARGEGSNPTWNEKFNFRVEFPVADDEYKLLLNIMDHDTFSEDDYLGQTTVHLKEVFELGIENGTYELHPHKYSVVGSDQSFCGEIQVAISFSPKGSVDTEEYGGWKESDY >itb06g13680.t2 pep chromosome:ASM357664v1:6:18366792:18372353:-1 gene:itb06g13680 transcript:itb06g13680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNSAVPNKNNDCRSYPLYKFVREGLGTELLIGEKVRSLGEECDKVFTYPIRTREGEELTMAKLLQPERSVDLRSSEQMSSGRFMAYSPSPSSPHIAALRSTSSSLVDQEKYLAELLAERNKLSPFVPVLPLCYRLLNQEILRVTTILENALILDQSGLEHASPMASGGLFSNAGANMDRWASRFQSEKMSGLVQPSSSQNWLGGQSSSSGLIVKRSIRIDIPLEQYPSYNFVGRLLGPRGNSLKRVEASTDCRVLIRGCGSIKDPLKQNISTFLVFDLNFFMDIKAIIKFIIYCYSKEELMRGKPGFEHLNEPLHVIVEAELPVEIIDARLAQAREILEDLLKPVDESQDFYKKQQLRELAVLNGTLREEGSQMSGSMSPFNTLGMKRAKTTTG >itb06g13680.t1 pep chromosome:ASM357664v1:6:18366792:18372353:-1 gene:itb06g13680 transcript:itb06g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNSAVPNKNNDCRSYPLYKFVREGLGTELLIGEKVRSLGEECDKVFTYPIRTREGEELTMAKLLQPERSVDLRSSEQMSSGRFMAYSPSPSSPHIAALRSTSSSLVDQEKYLAELLAERNKLSPFVPVLPLCYRLLNQEILRVTTILENALILDQSGLEHASPMASGGLFSNAGANMDRWASRFQSEKMSGLVQPSSSQNWLGGQSSSSGLIVKRSIRIDIPLEQYPSYNFVGRLLGPRGNSLKRVEASTDCRVLIRGCGSIKDPLKQNISTFLVFDLNFFMDIKAIIKFIIYCYSKEELMRGKPGFEHLNEPLHVIVEAELPVEIIDARLAQAREILEDLLKPVQDESQDFYKKQQLRELAVLNGTLREEGSQMSGSMSPFNTLGMKRAKTTTG >itb07g11760.t1 pep chromosome:ASM357664v1:7:13289505:13290083:-1 gene:itb07g11760 transcript:itb07g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRSREEVAAEAEALAMANCLVLLSRPASGGAAGKTPPSGWEFECKTCQKKFKSFQALGGHRASHKRPKIDQQKLQHNNVNIKKRHECPVCGLEFDLGQALGGHMRKHRDQLHSDDKKSPDSLTVTTVKNEEHSAGIKKKNEVLFDLNFTLLENDSIVHRRFVPTTLDLFI >itb10g16610.t2 pep chromosome:ASM357664v1:10:22896915:22900280:-1 gene:itb10g16610 transcript:itb10g16610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTGTDAQTKLVKDIILYAASTALSLVVMFTGLRYLDPNREASKKAVEFRKQLSKRLGRTLIHTTPYEDIIACDVVNPADMDVEFDSIGGLDGIKEALFELAILPLKRPELFVHGKLLRPVRGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRSGTENEALTIMKTEFMALWDGFTTDQCARVMVLAATNRPFDLDEAILRRFSQAFEIGKPDRVDRIKILQVILKGETIDNNIDFNHIAGLCEGYSGSDIFEVCKKAAFFPVRDYLKDEKNGRKSQGPRPLSQSDLVIAFAESRKAEVAAYKGPCLVVDRSDDYEVIFAIYIVLDFLDQCSYKINRVCDLKFFTVGLYSI >itb10g16610.t1 pep chromosome:ASM357664v1:10:22896915:22900280:-1 gene:itb10g16610 transcript:itb10g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTGTDAQTKLVKDIILYAASTALSLVVMFTGLRYLDPNREASKKAVEFRKQLSKRLGRTLIHTTPYEDIIACDVVNPADMDVEFDSIGGLDGIKEALFELAILPLKRPELFVHGKLLRPVRGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRSGTENEALTIMKTEFMALWDGFTTDQCARVMVLAATNRPFDLDEAILRRFSQAFEIGKPDRVDRIKILQVILKGETIDNNIDFNHIAGLCEGYSGSDIFEVCKKAAFFPVRDYLKDEKNGRKSQGPRPLSQSDLVIAFAESRKAEVAAYKGPCLVVDRSDDYEDLD >itb01g01500.t1 pep chromosome:ASM357664v1:1:870056:872201:-1 gene:itb01g01500 transcript:itb01g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSAPRPAPRRAPPPAPVNHAPPPAPVQSSGGGSMLGNIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTVQHEMVGGPAPDAQAPTTNSVGGSDACGMHTKAFQDCLNSSGNDISKCQFYMDMLSECRRNSMLNA >itb14g17830.t1 pep chromosome:ASM357664v1:14:21009940:21010608:1 gene:itb14g17830 transcript:itb14g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTLRTPLPPITVVTSSGEDSDEPAKDMIHSMLSYDGNVMLAAAVMSLLLVILFVLLLHLYAKWFLTHARHHRSGSSVAVPQVLGAGTGGGLSPHFHAFRIEVERAWFRNSPPANNKKKGVAMSVISSIPVFAYKGMGKGDGNGLECSICLSGFEEGEVGRELPKCRHAFHAECIDMWLYSHSSCPICRAPVTAREITYSSSPPRDDRNTPLEITVEVPNI >itb14g04900.t1 pep chromosome:ASM357664v1:14:4245350:4247247:-1 gene:itb14g04900 transcript:itb14g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGFGRGFGGRGRGGDRGGRGRGGRRGRRDTEEEKWVPVTKLGRLVKEGKIRSLEQIYLHSLPIKEYQIIDTLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWKETRFIKSPFEEYTDILAKPTTKFVQIEEVAEKVDA >itb03g00830.t1 pep chromosome:ASM357664v1:3:451527:456965:1 gene:itb03g00830 transcript:itb03g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT5G14880) UniProtKB/TrEMBL;Acc:A0A178UBD9] MILRRPVMDIEGWGRGNPVKKESWRTVLALAYQSLGVVYGDLATSPLYVYKSTFAEDIHHSETNEEIFGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVSTLPNGQVADEDLFEYKKDGIHSANKGLGLSLRSTLEKHQWLRRILLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKHHHEYVEVPVACVILVFIFSIQHYGTHRIGFLFAPIVVTWLLCISAIGLYNIFRWNPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFAVYPSLILAYMGQAAYLSKHHTLETDYRIGFYVSVPEKLRWPVLGIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKITHTSSKFHGQIYIPEINWTLMVLCLAVTIGFEDTKHISNASGLAVITVMLVTTCLMSLVIVLCWHKSVFLAIFFIFFFGTIEALYFSASLIKFLDGAWVPVALSFIFLLIMYIWHYGTLKKYEFDVQNRVPINWLLQLSPNLGIARVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLIFLCIKSVPVPHVRPEERYLVGRIGPKEHRVYRCIARYGYRDVHMDDVEFEKDLLCSIEQFIRSETPPEAPEHGDLIDFDDDERMTVIGTTSTYLDGKTMSDDGENMSAATATSTIELREISSPEMMTGRRVRFLVPESPQMDPSVRAELRDLMEAREAGMAFILGRCYVKAKSGSSFMKKVVIDLGYDFLRRNSRGPTYALSFPRASTLEVGMIYLV >itb04g17460.t1 pep chromosome:ASM357664v1:4:20312275:20316852:-1 gene:itb04g17460 transcript:itb04g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKEISSSSGLSSGEGVVRKERENGRDLSVSSGRRERVEVVSEAEGSGQNGRDQKKEEEKEGNARPPRGERKRSKPNPRLSNPPKHLHGEQVAAGWPAWLSEVAGEAINGWIPRRADTFEKLDKIGQGTYSNVYKAKDALTGKIVALKKVHFDNLEPESVRFMAREILILRSLDHPNVVKLQGLVTSRMSCSLYLVFDYMDHDLAGLAASQGIKFTEAQVKCYMHQILSGLEHCHKLHVLHRDIKGSNLLIDSEGLKIADFGLASFFDPNKKQPMTSRVVTLWYRPPELLLGATEYGVGIDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSIPLIETLLAIDPAERQTATAALRSEFFRTKPYACEPSSLPKYPPSKEMDAKRLDEEARRLRVDGRRNADVVRRSRQRDRATKAIPAPEANAELQANIDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSHHHHIDTSLHPPEVPFTSINFSYSKDPIQTWSGPLREPAAAAVNPRRRSKPSKKDSHKDKNSVKF >itb02g23850.t1 pep chromosome:ASM357664v1:2:24104691:24105216:-1 gene:itb02g23850 transcript:itb02g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVSQLVILHLLVGNLVLSVGSASGDAKEMMLDSESSRRALYQFRYRYLSYSVLQKDNIPCARRGPSYYFCHIHHPIRPYSRGCSRFTRCGGRGR >itb15g11680.t2 pep chromosome:ASM357664v1:15:9462041:9468291:1 gene:itb15g11680 transcript:itb15g11680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDGCFILEFVIRSFLRALGGVREYDDDPIYNISGMERYIVRDMLLLENQLPFFVLQSLYDMIIGRSGNIEFSDMVEFVFRIKIGKMNIFSLLGSTELNPQEIKHLLQAVHIFCRPPQPLINNGKIQLQQEEACSSCCFWEQPQKSTFDSSIVRAASELQEAGVDIKKVKETTTTTLFDIKFNHGVLEIPSFTLFDLTETFLRNLIAYEQHSWDVRPKYFTDYAVFMDDLINTEKDVNLLRLKDVLLNGLGDEKEVTHLFNNLCRGIRPSSNDDFYYKDVYNELNGHYKKSWNVAMAKLRRNYFHSPWAGISTFAAILLLSLAIVQTVVAALDLYK >itb15g11680.t3 pep chromosome:ASM357664v1:15:9462041:9468291:1 gene:itb15g11680 transcript:itb15g11680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDREGNTHLRELIICFEKIKDLKPHFSSEVCIYRVHEKLRTANPEAYTPLTISIGPNHHKPCNSRLWELEGLKEFYTLSLLNRAQGVGVEECWKKLKELEGRAKSYYNDHVRGLGDEFVKMLLLDGCFILEFVIRSFLRALGGVREYDDDPIYNISGMERYIVRDMLLLENQLPFFVLQSLYDMIIGRSGNIEFSDMVEFVFRIKIGKMNIFSLLGSTELNPQEIKHLLQAVHIFCRPPQPLINNGKIQLQQEEACSSCCFWEQPQKSTFDSSIVRAASELQEAGVDIKKVKETTTTTLFDIKFNHGVLEIPSFTLFDLTETFLRNLIAYEQHSWDVRPKYFTDYAVFMDDLINTEKDVNLLRLKDVLLNGLGDEKEVTHLFNNLCRGIRPSSNDDFYYKDVYNELNGHYKKSWNVAMAKLRRNYFHSPWAGISTFAAILLLSLAIVQTVVAALDLYK >itb15g11680.t1 pep chromosome:ASM357664v1:15:9462041:9468291:1 gene:itb15g11680 transcript:itb15g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDGCFILEFVIRSFLRALGGVREYDDDPIYNISGMERYIVRDMLLLENQLPFFVLQSLYDMIIGRSGNIEFSDMVEFVFRIKIGKMNIFSLLGSTELNPQEIKHLLQAVHIFCRPPQPLINNGKIQLQQEEACSSCCFWEQPQKSTFDSSIVRAASELQEAGVDIKKVKETTTTTLFDIKFNHGVLEIPSFTLFDLTETFLRNLIAYEQHSWDVRPKYFTDYAVFMDDLINTEKDVNLLRLKDVLLNGLGDEKEVTHLFNNLCRGIRPSSNDDFYYKDVYNELNGHYKKSWNVAMAKLRRNYFHSPWAGISTFAAILLLSLAIVQTVVAALDLYK >itb15g11680.t4 pep chromosome:ASM357664v1:15:9466368:9468291:1 gene:itb15g11680 transcript:itb15g11680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDREGNTHLRELIICFEKIKDLKPHFSSEVCIYRVHEKLRTANPEAYTPLTISIGPNHHKPCNSRLWELEGLKEFYTLSLLNRAQGVGVEECWKKLKELEGRAKSYYNDHVRGLGDEFVKMLLLDGCFILEFVIRSFLRALGGVREYDDDPIYNISGMERYIVRDMLLLENQLPFFVLQSLYDMIIGRSGNIEFSDMVEFVFRIKIGKMNIFSLLGSTELNPQEIKHLLQAVHIFCRPPQPLINNGKIQLQQEEACSSCCFWEQPQKSTFDSSIVRAASELQEAGVDIKKVKETTTTTLFDIKFNHGVLEIPSFTLFDLTETFLRNLIAYEQHSWDVRPKYFTDYAVFMDDLINTEKDVNLLRLKDVLLNGLGDEKEVTHLFNNLCRGIRPSSNDDFYYKDVYNELNGHYKKSWNVAMAKLRRNYFHSPWAGISTFAAILLLSLAIVQTVVAALDLYK >itb07g03430.t1 pep chromosome:ASM357664v1:7:2302498:2305298:1 gene:itb07g03430 transcript:itb07g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MASSSFRSTCTTLVKRGALFKRGFAAKASSSPFYSAGSGSARREKDDAAEDGEGDSVPTAGISRPLSEILKDLNKKVPDSLIRVRTETDGFPIKYIPWHIVNRIMNLHAPEWSGEVRSITYSADGKSVSVVYRVTLYGTDAEIYRESTGTVSVDEPGYGDAVQKAEAMAFRRACARLGLGLHLYHEEMS >itb13g18140.t1 pep chromosome:ASM357664v1:13:25153874:25158476:-1 gene:itb13g18140 transcript:itb13g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNDSKSPPARRRGSPSRRNSSHRERSPVRQRSSHGADKPSSRNRSPKRVRSRSPASHSPVREKPSSRSRSPKRVRSRSPASHSPVREKPSTRNRSPKRRKSVSPLPHSPTRDKTSGHRKSPKRLRSTSPESSPKMEKSRSPSPRTRRLMRVQAEKEAGREYDKNHGKGGDRTRHLEKDSDRHEPIERRERRSGRDSYNNGSSKSRHERSASPDHSHRRQHRSRSPAVTNRRERDEGTHTRGDDHRNNDNDSLTKMKAAEEALQAKEKVQEKPSFELSGKLAAETNRVRGITLLFNEPPDARKPDVRWRLYVLKNGEVFNEPLYVHRQSCYLFGRERRVADIPTDHPSCSKQQAVLQYRQVEKENPDGTLSKQVRPYIMDLGSTNGTFINDNRIEAQRYYELLEKDTIKFGNSSREYVLLHENSAG >itb09g16460.t1 pep chromosome:ASM357664v1:9:11667364:11667690:-1 gene:itb09g16460 transcript:itb09g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPSNQTAFPHRAGILFKIQYAVNWNEKGAAADQKYSSQISDLHSFMTPFVSSNPRQAFLNYRDIDVGINHHGAHSYEEGKVYGERDFMGNFERLVTMKSRVDSLA >itb11g06350.t1 pep chromosome:ASM357664v1:11:3779256:3779666:-1 gene:itb11g06350 transcript:itb11g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSKRNDGGALVAKPPAADDRKSASLSASSITVSKKIIIKSADMKDDMQKEAVDIAIAAFEKNSVEKDVAEHIKKEFDMKHGPIYPTAMAARLGSTTQT >itb14g19990.t2 pep chromosome:ASM357664v1:14:22478900:22482445:-1 gene:itb14g19990 transcript:itb14g19990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGISSARQRNPRGFMALLSSAACEWFLMFLLFVDAALSYLLTKFARYCELQTPCLLCSRLDHVFGNKKPGYYQSLLCSNHREEISSLVYCHVHGNLADVRGMCEECFVSLAAENRLTMNKTWMDMYTKKNPLASSLGPWSCSCCNKSWKTRSSPQKLVQLTPVGCGASTASVKSPLPRTPGCSRFNKQDSFKKIRDQLSGPKSPCSPGKIGVNHLSNVGYTELKFTSDSESEVASDDEGGYYGLCRTNSSNSGNGGHPAKTFVQKSSTDGVALGKTRQSAEPDQFDLDQSMQLNANKDSILISHKNHSLGELDWEPFNPKPHSPIMPKAMPLDDTPQLPGVSEVPHASGEISKPNISHFHMSGPSSLSDCIPFTSLPSSPSAVKIPEVLNMTDSNHKGHNSVIDDTTVTTGNSDDRASETPTHMNLVDASAVRHRDGEIYHMPAEQFVSSSDSPRIAEEAKSLLQTSAPEMDFLKNRAYDHHDDIQRSDGSSFDGKHVLQKTTSLERSESGSESGDGFILSEIEGESMVDRLQRQVEHDQKALKDLYKELEEERNAAAIAANEAMAMINRLQEEKAALHMKASQHLRMMEEQAEYDMEALERANDLLVEKDKETQDLEAQLEQYRKMYRDGSGVSDLQKDDFKLKGSKGIVENHTVAQVENNCIWFCDLKAAKKSSVLNFKNEKIYISP >itb14g19990.t3 pep chromosome:ASM357664v1:14:22478896:22482445:-1 gene:itb14g19990 transcript:itb14g19990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGISSARQRNPRGFMALLSSAACEWFLMFLLFVDAALSYLLTKFARYCELQTPCLLCSRLDHVFGNKKPGYYQSLLCSNHREEISSLVYCHVHGNLADVRGMCEECFVSLAAENRLTMNKTWMDMYTKKNPLASSLGPWSCSCCNKSWKTRSSPQKLVQLTPVGCGASTASVKSPLPRTPGCSRFNKQDSFKKIRDQLSGPKSPCSPGKIGVNHLSNVGYTELKFTSDSESEVASDDEGGYYGLCRTNSSNSGNGGHPAKTFVQKSSTDGVALGKTRQSAEPDQFDLDQSMQLNANKDSILISHKNHSLGELDWEPFNPKPHSPIMPKAMPLDDTPQLPGVSEVPHASGEISKPNISHFHMSGPSSLSDCIPFTSLPSSPSAVKIPEVLNMTDSNHKGHNSVIDDTTVTTGNSDDRASETPTHMNLVDASAVRHRDGEIYHMPAEQFVSSSDSPRIAEEAKSLLQTSAPEMDFLKNRAYDHHDDIQRSDGSSFDGKHVLQKTTSLERSESGSESGDGFILSEIEGESMVDRLQRQVEHDQKALKDLYKELEEERNAAAIAANEAMAMINRLQEEKAALHMKASQHLRMMEEQAEYDMEALERANDLLVEKDKETQDLEAQLEQYRKMYRDGSGVSDLQKDDFKLKGSKGIVENHTVAQV >itb14g19990.t1 pep chromosome:ASM357664v1:14:22478700:22482445:-1 gene:itb14g19990 transcript:itb14g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGISSARQRNPRGFMALLSSAACEWFLMFLLFVDAALSYLLTKFARYCELQTPCLLCSRLDHVFGNKKPGYYQSLLCSNHREEISSLVYCHVHGNLADVRGMCEECFVSLAAENRLTMNKTWMDMYTKKNPLASSLGPWSCSCCNKSWKTRSSPQKLVQLTPVGCGASTASVKSPLPRTPGCSRFNKQDSFKKIRDQLSGPKSPCSPGKIGVNHLSNVGYTELKFTSDSESEVASDDEGGYYGLCRTNSSNSGNGGHPAKTFVQKSSTDGVALGKTRQSAEPDQFDLDQSMQLNANKDSILISHKNHSLGELDWEPFNPKPHSPIMPKAMPLDDTPQLPGVSEVPHASGEISKPNISHFHMSGPSSLSDCIPFTSLPSSPSAVKIPEVLNMTDSNHKGHNSVIDDTTVTTGNSDDRASETPTHMNLVDASAVRHRDGEIYHMPAEQFVSSSDSPRIAEEAKSLLQTSAPEMDFLKNRAYDHHDDIQRSDGSSFDGKHVLQKTTSLERSESGSESGDGFILSEIEGESMVDRLQRQVEHDQKALKDLYKELEEERNAAAIAANEAMAMINRLQEEKAALHMKASQHLRMMEEQAEYDMEALERANDLLVEKDKETQDLEAQLEQYRKMYRDGSGVSDLQKDDFKLKGSKGIVENHTVAQV >itb10g05140.t1 pep chromosome:ASM357664v1:10:5199716:5201698:1 gene:itb10g05140 transcript:itb10g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFANLLRRRCSSSLPLLLGTVVVLWFCSSHHVTATGSKVEYLPGVQPEGPLPFELETGMLFFCCNDARIGSKSATGDRYGRCLQVDGEAISVAKIDRVIHIGPKHSVTNTVRVVWVVHQFVSAHSFFNMLPIKIKFHGMKSTPFHLHCPLLCIHKFDYTPSMET >itb09g27480.t1 pep chromosome:ASM357664v1:9:27761932:27765974:1 gene:itb09g27480 transcript:itb09g27480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTMEKGPLAGQAVELKPGISVRVGRVVRGNNLTIQDSGISSKHLTIEFNSSDGGKWFIRDLGSSNGTFLNDGKLDPSCPACLSDCDVIKIGELTSIKVQIGDAARARTRSRRNAGRKAATDAAISDAAAENCELGLVSEDDNRASSRPRRNPRRKAATDTTTSDDGNAAVKCELGLVSEDANRASSRPRRNPRSKAATDTATADDGDAAEKCELGRVDEELGNNNKGKTGRPPRPRTRTQAQRDSESVKAELQPEGTGLENAGISMTRSSSKAENFDGGASQVETDDIEIVENSSAIETVAQFADENGDQRRTRNARGRGRGRGNRELRNLVPIEQPLTKPKRVTRSKKQINLQAESVTTEEEEGAAKMSISKEHAQCKVEAIRSMEEERQVEGYNAVEELVNLREEGNNGVLNTSGANKDKNGVLERAKCQDESIQHMEEDGQVEGNHTVEEQLTFEEEACQGLPNVSGGNMGKPDVEEVNLEILTLGEWLDYLEIYVPKQIIDVTEEMILEMRKKAEKLQEFMLHQKNAKENTTSPLQAARPLLTVVACRSSLTSCSLAICRSPNLISNSVAVSGMEAEKVLMDYYIPDYILLPRIELGGELLLTYRTLLNQNQGYDLQEGLPTRSDTRLQFSVKAFLDQVRNAKEMRVDSWHILM >itb10g21450.t1 pep chromosome:ASM357664v1:10:26656416:26661088:1 gene:itb10g21450 transcript:itb10g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVKGISLLVSVIMAWISGLGFVRAATDPMDLQALEVIYTSLNSPSQLTGWKASGGDPCSESWKGVACQGSNVVSIEISGLELNGTLGYMLNGLKSLKTLDMSSNSIHDSIPYQLPPNLTSLNLAYNNLSGSLPYSINDMILINYLNLSSNSLYQSIGDVFTNHSDLETLDLSFNKFSGDLPPSLSSLPKLSTLHLQDNELTGTLDVLVDLPLNDLNVANNNFSGWIPEELISIPNFIYNGNSFANSPAPPPPHFTPPPPSRRSHNRNRSPPDLHKEQGSDAQPPNPDNSNRNRKRGLSAGAIIGIVLGSLSFVLFAIFGLVFCLRRFKWKEIAARPSAGRLTGNTNKVLVSREALEQRAIDLKPLPMEKMRVDSIQGRNGSLKRVNSAISATPYTVAALQTATNSFCQESLVGEGSIGRVYRADFPNGKILAIKKIDSAVLSLQEEEDNFLEVVTKMSKLRHTNIVPLVGYCVEHGQRLLVFEYVKNGSLHDMLHFADERNTMLTWNARVKVALGTARALEYLHEVCLPSIIHRNFKSANILLDDGLNPQLSDCGLAALTPNMENQVTSTQMVGSFGYSAPEFVLSGIYTVKSDVYSFGVVMLELLTGRKPLDTSRTRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVTRQSSDEPGFAYRTPEHDSTDMPF >itb06g20590.t1 pep chromosome:ASM357664v1:6:23526818:23530313:1 gene:itb06g20590 transcript:itb06g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSQFALVGSFDSASRSSASSFTHSNGLSLRSSGISIPSRTTFPAIRRRISCQTASPATSLSSVSGKESTTQKDFLHISDFDKETILKILDRAREVKALIKSGDRTYQPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPNDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKYSSVPVINGLTDYNHPCQIMADALTIIEHVGHLEGTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDEKTVDIARQAGVSKIEITNDPKEAVKGADVVYSDVWASMGQKEEAAHRRQVFQGFQVDENLMKLAGPKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVMGL >itb08g00470.t1 pep chromosome:ASM357664v1:8:384067:390451:1 gene:itb08g00470 transcript:itb08g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVKQYANQIEAGVLDRRHVLKDFSQMLDYQIEKIVLFLLEQQGVLAKRIAGYNEQQGVLQEQPDISKRHELREAYRDVGRDLLKLLFFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLAELQDHPGSYLSIYDQPALPVQDSVVDSIKAAADRLTHSTNFLNFLGQHALIMQEDLPIPVDQEHVDDQSYHFMSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIALFAGNTMYALAYDLKSITILLIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKISKITFNQDTLPGWVMAFAWLIYLIWLCICFKEPARQHEENHAPQESTSDYTVLEKGPTQPLLLKSEEQKDGEDDQECDGSEEGVEDSHKPANSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFHWSTGRVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLVSEIMVCVGILLSFQVLVAYSVPQYVVSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGESRLLNVTLLPSLLICITSIIATCLTYNSLY >itb08g00470.t4 pep chromosome:ASM357664v1:8:387278:390451:1 gene:itb08g00470 transcript:itb08g00470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLAELQDHPGSYLSIYDQPALPVQDSVVDSIKAAADRLTHSTNFLNFLGQHALIMQEDLPIPVDQEHVDDQSYHFMSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIALFAGNTMYALAYDLKSITILLIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKISKITFNQDTLPGWVMAFAWLIYLIWLCICFKEPARQHEENHAPQESTSDYTVLEKGPTQPLLLKSEEQKDGEDDQECDGSEEGVEDSHKPANSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFHWSTGRVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLVSEIMVCVGILLSFQVLVAYSVPQYVVSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGESRLLNVTLLPSLLICITSIIATCLTYNSLY >itb08g00470.t2 pep chromosome:ASM357664v1:8:385935:390434:1 gene:itb08g00470 transcript:itb08g00470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVKQYANQIEAGVLDRRHVLKDFSQMLDYQIEKIVLFLLEQQGVLAKRIAGYNEQQGVLQEQPDISKRHELREAYRDVGRDLLKLLFFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLAELQDHPGSYLSIYDQPALPVQDSVVDSIKAAADRLTHSTNFLNFLGQHALIMQEDLPIPVDQEHVDDQSYHFMSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIALFAGNTMYALAYDLKSITILLIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKISKITFNQDTLPGWVMAFAWLIYLIWLCICFKEPARQHEENHAPQESTSVDYTVLEKGPTQPLLLKSEEQKDGEDDQECDGSEEGVEDSHKPANSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFHWSTGRVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLVSEIMVCVGILLSFQVLVAYSVPQYVVSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGESRLLNVTLLPSLLICITSIIATCLTYNSLY >itb08g00470.t3 pep chromosome:ASM357664v1:8:386010:390451:1 gene:itb08g00470 transcript:itb08g00470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVKQYANQIEAGVLDRRHVLKDFSQMLDYQIEKIVLFLLEQQGVLAKRIAGYNEQQGVLQEQPDISKRHELREAYRDVGRDLLKLLFFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLAELQDHPGSYLSIYDQPALPVQDSVVDSIKAAADRLTHSTNFLNFLGQHALIMQEDLPIPVDQEHVDDQSYHFMSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIALFAGNTMYALAYDLKSITILLIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKISKITFNQDTLPGWVMAFAWLIYLIWLCICFKEPARQHEENHAPQESTSDYTVLEKGPTQPLLLKSEEQKDGEDDQECDGSEEGVEDSHKPANSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFHWSTGRVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLVSEIMVCVGILLSFQVLVAYSVPQYVVSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGESRLLNVTLLPSLLICITSIIATCLTYNSLY >itb04g18920.t1 pep chromosome:ASM357664v1:4:22746704:22748468:-1 gene:itb04g18920 transcript:itb04g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEEHPNGPITPLLSNTQLLQSLVDSIPRAQSFRGKWGLIRTKLSSLDTHLSELSPSAAAASVNSGISAEILRSVSVALSDALCISIRCQSSTPHGGKLRTQNDIDSVSAKLDNLNRDLEVLNRSGVLNESGAVSSKRETVRAESRSLITRLQIGTAESKNSALDSLLGLLYEEDKNVLIAVAQGIVPVLVRVLDSTSSWEVKEKTVAAIAKISTVDSSKRVLIAEGSGLLHNLLRIIESGSVVARESSCIALQTLSHSKENARAIGSRGGISSLLQICETGTPHCQAMAASVLKNLALFPEIKEHFVEGNATTILLGLSNSGTTLAQENAIACLSNLISGDDDNLKLLVAKEGGIESLKNFWDSAPSLQSLESPIQMVGALSSCPLIAGAIVENGFLSRIVGVLSCGVLGVRIAAARALYEITAAGYSGSRTRKQLGEMGCIPPLVAMLEAKATAEKDAAARALSSLLIWAGNRRIFKKEEKGIVSVVQLLDPVTHRNVDKRHPISILSSLAHSKEWRKQMAAAGTSGQLQKLAEMNVDGAKKLLDCLGRSKLWVVFSRP >itb12g19760.t1 pep chromosome:ASM357664v1:12:22170961:22175093:-1 gene:itb12g19760 transcript:itb12g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/TrEMBL;Acc:F4J6K6] MRSAQSSCRDRTQEFNNVAEKLRKPVSSPASSGGAVDGGGGAKAEATRPAVAFHSEFNKKASKIGLGIHQTSQKLSKLAKLAKRTSVFDDPTVEIQELTAVIKQDITGLNAAVVDLQLLSNSQNESGSMSTDTTTHTTTVVDNLKNRLMSTTKEFKEVLTIRTENLKVHENRRQLFSSNASKESTNPFIRQRPLATRAAASSSASVSPPPWVNNSASSSQLPLRNKADGESQPLLQQQQGQQQQQLAPLQDSYMQSRNQALHSVESTIHELSSIFTQLATMVSQQGELAIRIDENMEDTLANVEGAQGQLVRYFNSISSNRWLMIKIFLVLIVFLMIFLFFVA >itb02g16830.t1 pep chromosome:ASM357664v1:2:12841232:12846722:1 gene:itb02g16830 transcript:itb02g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTSYTLGSYYLRDLNVGLGGCSWLTANSDIVWNGGHRFNVLLPQPTMDHDLGPQKEICRQMMLKHESTFKYQVYELHRLYRRQKELMEETRNRELLAHSLKLPLVSEAMSEISMETHLPSRNVSGIGPSFPSPQMFQEPSRFVAGNNEDLATHKRAMKDDFEGCEHSSSQRKKSRKRMLDLELPGDLYIDSEEENEVQVLKTPITNLWPVNESKLASFYPEGSSMSDSSCRKTNLLFDLNEPAQPDESDFLSSSSLLESDSSQHDIVNQNQDLSEKEKSIADCSFPNKETLLDITVKEGHYDACKISSHLEKDKENGLLPCNTEAGGDRSDLNQSVVESCCLTPSNECKRRKTLDSKMSEKNCAEANIGVPSFVSSLGKSINDSKEFPILVQALPCINDTSLNKCHKSSISKPRLSGRKSSLNKIKPAGSHSTREADSVNATGTKDKVTDRSGKYEAADSNLDLIPTDDRELSTCINLTERDLKAPISPENRECSPPRADSQETRLDDREVSDRLAADTLVLILSSGVHKYSKTGIEQPKDSIGCLDWFAAIASTLVNDHGKRIEATKKNVANSKAKYCARKVKVENVKETVDASLPCRLKKTKSEMETKDRACSGRRKARSGHAKGMKSSKALNSNACSHSKQQTDGKKLNSDERRLKVWGSKKKRMARGAISTPVFRFRDLVFQAKGEQISSV >itb02g16830.t2 pep chromosome:ASM357664v1:2:12841232:12846722:1 gene:itb02g16830 transcript:itb02g16830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTSYTLGSYYLRDLNVGLGGCSWLTANSDIVWNGGHRFNVLLPQPTMDHDLGPQKEICRQMMLKHESTFKYQVYELHRLYRRQKELMEETRNRELLAHSLKLPLVSEAMSEISMETHLPSRNVSGIGPSFPSPQMFQEPSRFVAGNNEDLATHKRAMKDDFEGCEHSSSQRKKSRKRMLDLELPGDLYIDSEEENEVQVLKTPITNLWPVNESKLASFYPEGSSMSDSSCRKTNLLFDLNEPAQPDESDFLSSSSLLESDSSQHDIVNQNQDLSEKEKSIADCSFPNKETLLDITVKEGHYDACKISSHLEKDKENGLLPCNTEAGGDRSDLNQSVVESCCLTPSNECKRRKTLDSKMSEKNCAEANIGVPSFVSSLGKSINDSKEFPILVQALPCINDTSLNKCHKSSISKPRLSGRKSSLNKIKPAGSHSTREADSVNATGTKDKVTDRSGKYEAADSNLDLIPTDDRELSTCINLTERDLKAPISPENRECSPPRADSQETRLDDREVSDRLAADTLVLILSSGVHKYSKTGIEQPKDSIGCLDWFAAIASTLVNDHGKRIEATKKNVANSKAKYCARKVKVENVKETVDASLPCRLKKTKSEMETKDRACSGRRKARSGHAKGMKSSKALNSNACSHSKQQTDGKKLNSDERRLKVWGSKKKRMARGAISTPVFRFRDLVFQAKGEQISSV >itb15g00420.t1 pep chromosome:ASM357664v1:15:256512:258311:1 gene:itb15g00420 transcript:itb15g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVMEFHAHFITSGRSKDSIFLSSIISFTALSPAGDLGYAHSVFSRVNAPNAFMFNTMIRGYASSSDPGQAIVLYNGMLRYGIAPNNYTFPFVIKALSKGKFCLHGEAIHCSVIKYGHVLDLHVANSLLNMYASFGLSNEVSKVFDEIPEPDVVSWNVIIDDLSQNSCLDNALCAFTEMSRQEVEPNSVTFLALVSACTKTSDFYTGKLIHLHIIKSGISISENLGNGLLDMYCKYGDLESAEKMFSRMQVKTVFSWTSLLDGYIQKGELERAMVIFHQMPIKDTTAWNVMLSGFVEAGDVNSAEKIFREMPERDLVSWNSMIIGYAQNNKHIQSLHLLKEMLNLGMELDRITLAGVFSVCGYTGSLFLGESIHSYMEKKNIKGEEVEAALMDMYSKCGASGEALKVFEMIATKSVLAWTVLIVGLAMNGLTNEALSYLHQMCHAGVKPNEITFLGALCACSHAGLVEEGKRLFSAMIQVYGLTPRPEHYSCMVDLLGRAGLLKEAEKFIQDLPAETDAAGTWGALLGACRMHGGEVQMAEKIARKLTEIDQFHSGRYVLLSNIYAAENRWHDAENVRKKMKASGVQKLPAFSFIELN >itb10g21430.t1 pep chromosome:ASM357664v1:10:26641769:26645468:-1 gene:itb10g21430 transcript:itb10g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEHRMLGLMLCVSGLIVSWVGVESQCIPGCDALASYYVWNGANLTFMSKVFLTTIKNIQTYNPQITDPNSIQFRSRINVPFSCGCVNGGFMGHRFDYRVRPGNTYNRIAKLIYSNLTSADMLARVNRYSPGNISVGSVVNVTVNCSCGDGRVSEDYGLFITYPLRPGQSLPRIAYEFGLPAKLLQDYNPGVNFSQGSGGISAGAIAGITIGSVFGVVALAACSYFILYRTKKIEEESFLQTTSDEHNTNEHVQDPANLIRNTAVSGRIDATSPRPTGITVDKSVEFSYEELAKATDNFSMATKIGQGGFGCVFYGELRGENAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGLGRDPLAWPTRVQIALDAARGLEYIHEHTVPVYIHRDIKSANILIDKNFRAKVADFGLTKLTEVGSTSLHTRLVGTFGYMSPEYAQYGDVSPKIDVYAFGVVLYELISAKEAIVKTNEVVSEARGLVALFEDVLDRTDPAEGIRKLVDPRLGDDCPLDSVCKMAHLAKACTHENPQLRPSMRSIVVALMTLSSSSEDWDIGSFYENQGLVHLLSGR >itb06g09470.t1 pep chromosome:ASM357664v1:6:13762243:13763404:-1 gene:itb06g09470 transcript:itb06g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIIFLLVISIYILPLAISDSGLPPLIRLPSDVILDTAGNPVVAGAKYYAIPALTDVEGGISVANLNTTGNPSACPTHVVINVTLAAVGRPITFYPLNREAEASRAAEASRAAANGDAIIRQYPLNVAFEKSPDPSDPCAKENVWKLNHEASEATIVTGGVIGKEDDIGNWFRIEKNINGRGYLFNWWPSLCLYCRIGYFRIGTVGDGHQLGINYRDESLYPFEFVKAE >itb09g07370.t1 pep chromosome:ASM357664v1:9:4264367:4265554:1 gene:itb09g07370 transcript:itb09g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGALLLLLHISFVVLIASSFTVLALNDDILSTNNIAQPPHHTSLPPAPSPKPPHKHRNHHHKHPLAPAPSPLPPKPPTHPSPPPPPPKAPTHPSPPPPPKAPAHPSPPPPKAHTPPPPSFHHSPPPPPSPTVPKKKPIAVRGLVYCKYCKYRGIDNLYKSTPIKGAVVKLACNNTKYHLSETTTTDKNGFFLFYMPKIVSSAGYRKCKVYLVKSPLTQCSVKTNYKLGKAGAPLIPTPLKKPAPYNLYTVGPFAFEPSKKVPCPR >itb11g01380.t1 pep chromosome:ASM357664v1:11:640068:641087:1 gene:itb11g01380 transcript:itb11g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAMASLEYDLAIFDSINHLLHQQDLPEFMFSDTGFEGTGNEASSSLGDSGETEATTEVGTVAPPEWKRYRGVRRRQWGKFAAEIRDPARGGARRWLGTYETPEEAGLAYDRAAFELRGTKALLNFPGLAAAGIPEPVRVKRQKPKRRARPPSPSSLEHGGGGASKKRKVNVLNSLAAATAKLGSQTMLEMFQKDNSSVSIIDAQVDLEECLCFSEN >itb12g27490.t1 pep chromosome:ASM357664v1:12:27841414:27848335:-1 gene:itb12g27490 transcript:itb12g27490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDESLEETHVANGSSLSVNDVSFASKSRKNPIMENTETANLISMNSSYASLSENSDHKPSTRSPDVPGTSDVFMVPKMEGPKASEDPDDSMSGIVTGGETRNDLQTLAMEGSDDSDDEEHDVKVCDICGDAGREDLLAICCKCTDGAEHTYCMREMLEKVPEGDWLCEECKYEQDMETQKQNKTGKVNGINKTYPSGQQTAIINSDHPGKAEPKCSDFNGEAGTKEYSYLKSSGKRRMDNAEISSPPKRQALESLSGSPKSQSPNKVSSLSHESSFKNSEKGKVKVHPLSTGAILTNDTSASDPRLKTSQGTFSKSNSFNSFISNPKVKLVDEAFPSKRKLIRETISTTSKEGPIRAMGKSMSFKPTSSNRFSCAESVGKMSSPRFSHDQDMKAKKLTKEQSLFERKNSLRSESMAGSTISSKFDKKSTSHCDIMSNNRDYKAINPDGKPMTMSKSSEEVKKQVLHFDGDSPAKGVSNCEEKPNQANPKEDSSSGSCVTEKPPCVANQVLLDGLLQPKEYSEEKTRESSGSHSNQNITANEKTTCQKCKGSDHLAQFCKVDKPVIDAPVVKNSREATNSTDDLKAAIEAAMLRKPGICRKSRVPDQSENFSAVNVNNGTSSQDQLTSAHSKRNINCAMKVHERLAISRTSTVCYSNNAKQLGVLPAEGPRRTGDAGTIVVTNENSSILHAQQHFPVAMSILLKAVIPEHQYIWRGDFEVHKSGKTLDLCDGIQAHLSTCASPRVFDAAKKFPCKVLLNEVSRLSTWPMQFREFGVREDNIALFFFAKDLGSYEKSYKALLRNLMKHDLALQGSFGGIELLIFPSNQLPENFQRWNMMFFLWGMFRGKKASCSQRVPGVEKPLTQDILRAVAPSPENMCSAGPMENSVCSTPASDTEIPASKVSKSASSHKVVECIASDCKSEMECTSVQNAEGDSNPNTCDQMSVPSASQADRHNTLHDIASVGSSSKESSNNNDATPSGVSLDYRSNDESAPLVRDNMNEDPTKNVLGSSGVNHNKRSHSASSETDQAIPSSGHTNNFVEEVHRNKKWKPTSSGSYGGNDETSTLEGLGNAEKFLFPVDPQPIGSSIQWKVHDPLQDNQFHNKVPNLNLALGDETKPEIPPFLVGKEEKKTMEDYTSDSAAAKANVEDGSASLSLSLSFPFPDKVVQSSSVSKTELSPLFHFGGLGEKPDQ >itb12g27490.t4 pep chromosome:ASM357664v1:12:27842844:27848654:-1 gene:itb12g27490 transcript:itb12g27490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDESLEETHVANGSSLSVNDVSFASKSRKNPIMENTETANLISMNSSYASLSENSDHKPSTRSPDVPGTSDVFMVPKMEGPKASEDPDDSMSGIVTGGETRNDLQTLAMEGSDDSDDEEHDVKVCDICGDAGREDLLAICCKCTDGAEHTYCMREMLEKVPEGDWLCEECKYEQDMETQKQNKTGKVNGINKTYPSGQQTAIINSDHPGKAEPKCSDFNGEAGTKEYSYLKSSGKRRMDNAEISSPPKRQALESLSGSPKSQSPNKVSSLSHESSFKNSEKGKVKVHPLSTGAILTNDTSASDPRLKTSQGTFSKSNSFNSFISNPKVKLVDEAFPSKRKLIRETISTTSKEGPIRAMGKSMSFKPTSSNRFSCAESVGKMSSPRFSHDQDMKAKKLTKEQSLFERKNSLRSESMAGSTISSKFDKKSTSHCDIMSNNRDYKAINPDGKPMTMSKSSEEVKKQVLHFDGDSPAKGVSNCEEKPNQANPKEDSSSGSCVTEKPPCVANQVLLDGLLQPKEYSEEKTRESSGSHSNQNITANEKTTCQKCKGSDHLAQFCKVDKPVIDAPVVKNSREATNSTDDLKAAIEAAMLRKPGICRKSRVPDQSENFSAVNVNNGTSSQDQLTSAHSKRNINCAMKVHERLAISRTSTVCYSNNAKQLGVLPAEGPRRTGDAGTIVVTNENSSILHAQQHFPVAMSILLKAVIPEHQYIWRGDFEVHKSGKTLDLCDGIQAHLSTCASPRVFDAAKKFPCKVLLNEVSRLSTWPMQFREFGVREDNIALFFFAKDLGSYEKSYKALLRNLMKHDLALQGSFGGIELLIFPSNQLPENFQRWNMMFFLWGMFRGKKASCSQRVPGVEKPLTQDILRAVAPSPENMCSAGPMENSVCSTPASDTEIPASKVSKSASSHKVVY >itb12g27490.t2 pep chromosome:ASM357664v1:12:27841414:27848694:-1 gene:itb12g27490 transcript:itb12g27490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDESLEETHVANGSSLSVNDVSFASKSRKNPIMENTETANLISMNSSYASLSENSDHKPSTRSPDVPGTSDVFMVPKMEGPKASEDPDDSMSGIVTGGETRNDLQTLAMEGSDDSDDEEHDVKVCDICGDAGREDLLAICCKCTDGAEHTYCMREMLEKVPEGDWLCEECKYEQDMETQKQNKTGKVNGINKTYPSGQQTAIINSDHPGKAEPKCSDFNGEAGTKEYSYLKSSGKRRMDNAEISSPPKRQALESLSGSPKSQSPNKVSSLSHESSFKNSEKGKVKVHPLSTGAILTNDTSASDPRLKTSQGTFSKSNSFNSFISNPKVKLVDEAFPSKRKLIRETISTTSKEGPIRAMGKSMSFKPTSSNRFSCAESVGKMSSPRFSHDQDMKAKKLTKEQSLFERKNSLRSESMAGSTISSKFDKKSTSHCDIMSNNRDYKAINPDGKPMTMSKSSEEVKKQVLHFDGDSPAKGVSNCEEKPNQANPKEDSSSGSCVTEKPPCVANQVLLDGLLQPKEYSEEKTRESSGSHSNQNITANEKTTCQKCKGSDHLAQFCKVDKPVIDAPVVKNSREATNSTDDLKAAIEAAMLRKPGICRKSRVPDQSENFSAVNVNNGTSSQDQLTSAHSKRNINCAMKVHERLAISRTSTVCYSNNAKQLGVLPAEGPRRTGDAGTIVVTNENSSILHAQQHFPVAMSILLKAVIPEHQYIWRGDFEVHKSGKTLDLCDGIQAHLSTCASPRVFDAAKKFPCKVLLNEVSRLSTWPMQFREFGVREDNIALFFFAKDLGSYEKSYKALLRNLMKHDLALQGSFGGIELLIFPSNQLPENFQRWNMMFFLWGMFRGKKASCSQRVPGVEKPLTQDILRAVAPSPENMCSAGPMENSVCSTPASDTEIPASKVSKSASSHKVVECIASDCKSEMECTSVQNAEGDSNPNTCDQMSVPSASQADRHNTLHDIASVGSSSKESSNNNDATPSGVSLDYRSNDESAPLVRDNMNEDPTKNVLGSSGVNHNKRSHSASSETDQAIPSSGHTNNFVEEVHRNKKWKPTSSGSYGGNDETSTLEGLGNAEKFLFPVDPQPIGSSIQWKVHDPLQDNQFHNKVPNLNLALGDETKPEIPPFLVGKEEKKTMEDYTSDSAAAKANVEDGSASLSLSLSFPFPDKVVQSSSVSKTELSPLFHFGGLGEKPDQ >itb12g27490.t3 pep chromosome:ASM357664v1:12:27841386:27848654:-1 gene:itb12g27490 transcript:itb12g27490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDESLEETHVANGSSLSVNDVSFASKSRKNPIMENTETANLISMNSSYASLSENSDHKPSTRSPDVPGTSDVFMVPKMEGPKASEDPDDSMSGIVTGGETRNDLQTLAMEGSDDSDDEEHDVKVCDICGDAGREDLLAICCKCTDGAEHTYCMREMLEKVPEGDWLCEECKYEQDMETQKQNKTGKVNGINKTYPSGQQTAIINSDHPGKAEPKCSDFNGEAGTKEYSYLKSSGKRRMDNAEISSPPKRQALESLSGSPKSQSPNKVSSLSHESSFKNSEKGKVKVHPLSTGAILTNDTSASDPRLKTSQGTFSKSNSFNSFISNPKVKLVDEAFPSKRKLIRETISTTSKEGPIRAMGKSMSFKPTSSNRFSCAESVGKMSSPRFSHDQDMKAKKLTKEQSLFERKNSLRSESMAGSTISSKFDKKSTSHCDIMSNNRDYKAINPDGKPMTMSKSSEEVKKQVLHFDGDSPAKGVSNCEEKPNQANPKEDSSSGSCVTEKPPCVANQVLLDGLLQPKEYSEEKTRESSGSHSNQNITANEKTTCQKCKGSDHLAQFCKVDKPVIDAPVVKNSREATNSTDDLKAAIEAAMLRKPGICRKSRVPDQSENFSAVNVNNGTSSQDQLTSAHSKRNINCAMKVHERLAISRTSTVCYSNNAKQLGVLPAEGPRRTGDAGTIVVTNENSSILHAQQHFPVAMSILLKAVIPEHQYIWRGDFEVHKSGKTLDLCDGIQAHLSTCASPRVFDAAKKFPCKVLLNEVSRLSTWPMQFREFGVREDNIALFFFAKDLGR >itb04g06300.t2 pep chromosome:ASM357664v1:4:4083559:4084532:-1 gene:itb04g06300 transcript:itb04g06300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHAKEEMHVTGDDDKELMVNSASEFWETFLHVTSIRVLVVENDDSTRRVISALLMNCNYEVIGASNGLEAWKILEDETNQIDLVLSEVVIPYLSGLDLLCKIRSHKPRSNIPVIMMSSHDLMSLVFKCLSNGAVDFLVKPVRKNELKNLWQHVWRSSHNSNGSASVGGKETKSSDDDGEDSRSSSDLKTGGGNE >itb01g04590.t1 pep chromosome:ASM357664v1:1:3101770:3104158:-1 gene:itb01g04590 transcript:itb01g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLYIVFYSTYGHVEKLAHEIKKGADSVEGVEAKLFQVPETLSDEILGKLGAPAKSDVPIISPNDLPEADGFIFGFPTRFGMMSAQFKAFLDATGGLWRTQALAGKPAGLFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYSFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPSQLELEQAFHQGKYIAAITKKLKAGSA >itb04g09920.t1 pep chromosome:ASM357664v1:4:9162330:9162770:-1 gene:itb04g09920 transcript:itb04g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKTQNILLLIVGMATYIALIALSTQPDSYFGNVYGVHIINGFTNNSSLPLVVWCNSGDGDDIGGRALQERDDFSWSVKPTLWTNFLFSCTMKFDRQRRKFAAFAQGRDVSRCSATRRCFWLVKEDGFYFSVDGINWRKDFSWI >itb11g01130.t1 pep chromosome:ASM357664v1:11:519589:520816:1 gene:itb11g01130 transcript:itb11g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSHLLPGWLAALLTEKFFSACIAHEDARKNEKNIFCLDCCVAICPHCLTPHRSHRLLQVRRYIYHDVLRLKDAEKLMDCVSVQSYISNSEKVVFLNQRPITRPCRGSAKLCIICDRAVQESFLFCSISCKLQHMMKTGVKLSDHICNRDSLVLPEPGLGDDLLTPETVLEPTVSISAGSASSVSGGECRILASTATTETVKKKRSTLSAPPVRSASQPAFSKVLPAAAVMNRRKNRPHRSPLQ >itb10g05270.t1 pep chromosome:ASM357664v1:10:5360538:5367049:-1 gene:itb10g05270 transcript:itb10g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHPGTDATAFRECLALSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRRTVLQEAIVSTAVAGAIVGAAIGGWLNDKYGRRTAILCADFLFLVGAIIMATAPSPALLIVGRIFVGLGVGMASMTSPLYISEASPAKVRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGVPAVVQFILMLLLPESPRWLYRKGREAEAEAILRKIYSPEQVGAEIEALKESVEKEIQDKQASEKVTLLTLLQSTTVRRGLIAGVGLQIFQQFVGINTVMYYSPTIVQLAGIASNQTALLLSLVTAGLNAVGSVVSIYFIDRTGRKKLLVFSLIGVVLSLGFLSAVFHEATSHSPAVSNAETAHFNASSTCPDYRGAASPRLWDCTSCLKASCGFCASHQNKLLPGACLISDDNMKDACHEEHRLWYTRGCPSSFGWLALIGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGICGGIAATANWISNLIVAQSFLSLTHAIGTSWTFLVFGVISVVALLFVLICVPETKGLPIEEIEKMLEGRALQLKFWNKKEKVAKDGANV >itb04g23260.t1 pep chromosome:ASM357664v1:4:28396896:28397951:1 gene:itb04g23260 transcript:itb04g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSGEPRANNNGFNGGLIFREAFQMLTTTLLTLLLPLSFLILARLAIARAIAGGVSVSVSVSVHPYTSLLVDLFLNSNATLLHALLPLLAIATLTHALNARLTFVKSQAPVVVSGTRLYTCWVLLCTLQVCVGLGIEGSIAANVVGVSSLLNVNRQRSLLSRALFFLGLHQTMLFWSKTVVKPVVDDTIFGFSRRDRWVDKAAMAASFGGLWWWKLREEVEALVVVAEVKRDLSMGVGVADFVGWWLYYLTITIGMVKVVKTVIWLPLIFFCRRIHQDANTVSLRNWRINDNV >itb14g20200.t1 pep chromosome:ASM357664v1:14:22669009:22676190:1 gene:itb14g20200 transcript:itb14g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEREDAYAPPVSVESVHRVAVPPPQPFVKSFANTLKETLMPDDPLRQFKNQSPRRKLVLGLQYVFPILEWGSKYSLAYFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLIYAVMGSSRDLAVGTVAVASLLTASMLGSEVNAAENPTLYLHLAFTATFFAGLFETALGVFRLGFIVDFLSHATIVGFMAGAATVVILQQLKGILGLDRFTHATDVVSVMKSVFSQTHQWRWESAVLGIAFLFFLMLARFLSQRRKNLFWISAMAPLTTVILGTLLVYFTHAEKHGVQVIGELKKGLNPPSIMDLNFGSAYLTLAIKTGIVTGVLALAEGIAVGRSFAMFKNYNIDGNKEMIAFGMMNIVGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAFAVMVTLLVLTPLFYYTPTVVLSAIIIAAMLGLIDYNAAIHLWHVDKFDFFVCISAYIGVVFGSVTVGLVTAVGLSLLRVLLFVARPRTLVLGNLPDTKIYRNVEQYPKTDSVPGILILDIGAPIYFANASYLRERILRWIDDEEDKLKDSGETSLQYLILDMGAVGNIDTSGISMLEELKKNADRRDLKFVLVNPGAEVMKKMSKSKLIETIGQDWIFLTVGEAVGACKYMLHSYKPKSDIDSV >itb05g14500.t1 pep chromosome:ASM357664v1:5:21615548:21616795:-1 gene:itb05g14500 transcript:itb05g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALLAIIAFFLTSVSIYLLWTLFRLVHTAWWTPRRLRRVMSSQGIIGPPYSFPHGNTKQISNMRSQSMGKPMFDVSHDIFARIQPHVHTWKEIYGKKFLTWHGTKPYLFITEPELIKEVLANKEGAYPKMDLDGYAKKLLGEALITNEGEKWAKVRKLANHTFHAESLKVRLV >itb00g00500.t1 pep chromosome:ASM357664v1:16:4048340:4050087:1 gene:itb00g00500 transcript:itb00g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSRIRDILIEKSGGVPECIRVLSKCDEPAGFSSAEVYELIRPRAQKGADFKFIWKGFIPPKFSVTSWMCLKGRLPTKDRLKKFLEMDETCSFCGKEQENSNHLFFSCDFSKQVWEEVRAKLGITRKTCSLKGAIKWVYRDTRGSRVHSKIGPVAILCTVYHIWRTRNALLCTVYHIWRTRNALLYDGIQAEVPKTIIIILQQVFKIAFKLAPRGSRVHSKIGPVAILCTVYHIWRTRNALLYDGIQAEVPKTIIIILQQVFKVAFKLAPSLVLVDSKSLRFVPTLLVGVVVVTPLFRPAWHQRLLIXSQGCLVVWALRLCQFGFSGFKIIKVCPYPPCRSCGSDSPFPTRMASAVVDTPMYLRLKRYPSPPCRGCGEGMSIQCAGVGGLMAPLPTRCAGVGGLMAPLPTRYQRLRGTLDYLTGGS >itb04g24190.t1 pep chromosome:ASM357664v1:4:28946459:28947729:-1 gene:itb04g24190 transcript:itb04g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MASTTAPQSFFCILQRCRRTELRQSPAVERRRTPYGCRRVRIFATQGPRPQRQTAPPGVDTRIHWENEDEGWIGGNSSSSTQEQLKADEKELLGEKFSELLNSSTDSHYQFLGVGANADVEEIKAAYRRLSKEYHPDTTSLPLKAASEKFMKLKEVYEVLSNEEKRKFYDWTLAQEAASKEAEKLRMKFQDPHMQEVENWESVPDMVDRLGGKNMELSSQAKSALTFDILIILFSICCIVYAIYFKEQY >itb02g17850.t3 pep chromosome:ASM357664v1:2:14094140:14101986:-1 gene:itb02g17850 transcript:itb02g17850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEDWKSLWPISSIFSPPLLLPNEGSSSSKRRHVEKNPIGPLIFNPCQETLTQLLNSPSLAPRLPPPYPDLTLPRFILTSSTTNQSIASTIASNMGPQVSDTIHNFNALQLLRCPKIKANDNNMHNTVLAFFPTGDNYDQLGFTMLNLNDSKLNVKKFKDGKDFVVHSRKLNHRILRLLVNPVTDFDCFYSSSGNYCYSTLSYTCIGYIMVCTMYSVHWYSVKMPKEVGSIVLDYAGYAGAKLFQGSAVVHACWSPHLHEECVVLLESGKLFLFDVSYWLKNERLLNIEGKKLSVSFDNLNENERWLSCEFSWHPRVLVVAHSSTVFLVDLRFDKCEVCTLLKIELFSVGKFDRFVALSRSDSSGFCFAAASNNLLLLCDVRKPSMPVLQWTHSLQNPEYVTVFQLSELRPITEDDNFKWASESGHCILLGSFWNCGFVLFCYGPDNERGPVLSEISTVCNSLYSWGLPSELSLSGRDCFCGSCLIRRDCLKDLLPDWIDWKQKKDIILGFGILENDLHVQWDNCEKSVGFSLIRLMSSGKLEAQRYSAAWEFDKILEAAHKESTFSIEDNFLYDIGNGENKLQKKHEYLKIEFLKEYLNGNVAKIVSRRQIELQNYAEENQSKFHQEICEKLKICGITALRSPQIISDMLKDARFPTSIHEISLKSIWGSLPMNLLALAFSACKLSDPHLKQKRATSNVGDILVKNPLPFPFGNTSSCSDKTSEKVQLSNALVSPVLPTHILILLRDQQLVERDILPVDDELRLNCDKVMEAVHALQSSSPYGDNPVSLADDTDSMPNAAEKLNVLGIHRPTFSSPDVALEKSEYKMYETFVYQKRQEPISDAQDKVTAVELFDEGCPLQLKFDGCDFDLTPTELDLFQQSEMKRVEGDLMVHFLQDITCNTVGSKEGYGGPPWDFVTLRFTAAEHVADVGEEDSVFTIKMHIGGDLVMRPRLSYKNGIVEYFDHFNCDEGNILDLRMMVKQLRFCDKKVQFWYKYGNTRKPKVMKLSSDADILGLITDILKNKELDIYVEHHYDDQWDYEVEIDTLMHDAVESEDDISGSVGEEFVEVQGVDVRDTATSSHGQGLDGLEKQNEEFAEVECNLSKQVLRSLCDSDSDEGVSGLVNVFEERNLKKEGFKFVTGMVFNSAREFKWAVEYHEALRQKDVKFKKNDARRVEEDHEVQGQFEDVVVETQVPDFVLNEMVSAL >itb02g17850.t2 pep chromosome:ASM357664v1:2:14100522:14101986:-1 gene:itb02g17850 transcript:itb02g17850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEDWKSLWPISSIFSPPLLLPNEGSSSSKRRHVEKNPIGPLIFNPCQETLTQLLNSPSLAPRLPPPYPDLTLPRFILTSSTTNQSIASTIASNMGPQVSDTIHNFNALQLLRCPKIKANDNNMHNTVLAFFPTGDNYDQLGFTMLNLNDSKLNVKKFKDGKDFVVHSRKLNHRILRLLVNPVTDFDCFYSSSGNYCYSTLSYTCIGYIMVCTMYSVHWYSVKMPKEVGSIVLDYAGYAGAKLFQGSAVVHACWSPHLHEECVVLLESGKLFLFDVSYWLKNERLLNIEGKKLSVSFDNLNENERWLSCEFSWHPRVLVVAHSSTVFLVDLRFDKCEVCTLLKIELFSVGKFDRFVALSRSDSSGFCFAAASNNLLLLCDIMREDLFFLRFLQSATHSIRGDSLQNFLCLVVIVFAEVVS >itb02g17850.t1 pep chromosome:ASM357664v1:2:14099257:14101986:-1 gene:itb02g17850 transcript:itb02g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEDWKSLWPISSIFSPPLLLPNEGSSSSKRRHVEKNPIGPLIFNPCQETLTQLLNSPSLAPRLPPPYPDLTLPRFILTSSTTNQSIASTIASNMGPQVSDTIHNFNALQLLRCPKIKANDNNMHNTVLAFFPTGDNYDQLGFTMLNLNDSKLNVKKFKDGKDFVVHSRKLNHRILRLLVNPVTDFDCFYSSSGNYCYSTLSYTCIGYIMVCTMYSVHWYSVKMPKEVGSIVLDYAGYAGAKLFQGSAVVHACWSPHLHEECVVLLESGKLFLFDVSYWLKNERLLNIEGKKLSVSFDNLNENERWLSCEFSWHPRVLVVAHSSTVFLVDLRFDKCEVCTLLKIELFSVGKFDRFVALSRSDSSGFCFAAASNNLLLLCDVRKPSMPVLQWTHSLQNPEYVTVFQLSELRPITEDDNFKWASESGHCILLGSFWNCGFVLFCYGPDNERGPVLSEISTVCNSLYSWGLPSELSLSGRDCFCGSCLIRRDCLKDLLPDWIDWKQKKDIILGFGILENDLHVQWDNCEKSVGFSLIRLMSSGKLEAQRYSAAWEFDKILEAAHKESTFSIEDNFLYDIGNGENKLQKKHEYLKIEFLKEYLNGNVAKIVSRRQIELQNYAEENQSKFHQEICEKLKICGITALRSPQIISDMLKDARFPTSIHEISLKSIWGSLPMNLLALAFSACKLSDPHLKQKRATSNVGDILVKNPLPFPFGNTSSCSDKTSEKVQLSNALVSPVLPTHILILLRDQQLVERDILPVDDELRLNCDKVMEAVHALQSSSPYGDNPVSLADDTDSMPNAAEKLNVLGIHRPTFSSPDVALEKSEYKMYETFVYQKRQEPISDAQDKVTAVELFDEGCPLQLKFDGCDFDLTPTELDLFQQLKTQDLNFHKSFQPYQEYLINSERFL >itb04g22290.t1 pep chromosome:ASM357664v1:4:27464030:27468206:-1 gene:itb04g22290 transcript:itb04g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPAPATAAVSNNSSSNNNMSGQFGDTTYTKVFVGGLAWETQKDTMKKYFEQFGEILEAVVITDKASGRSKGYGFVTFRDPEAAMRACVDAAPVIDGRRANCNLASLGVHRSKPSTPNKHGGGGGGRNFMVMNAFQGGFGGAAAAAAAFPSPSNFSHYAIQQGIPYNLYGYSSYSPDYTYPTGYYSVYGGLTGQFPMYGTGSSGLLSGAAAAFYPYLNFGEGNGGGSTGYTAASQGYGGVQYPHHLFPYSATVNTTAGYPAQHFANPISLAHTAPMQSGLTMAALRAPLPHR >itb11g07890.t1 pep chromosome:ASM357664v1:11:4987509:4991559:1 gene:itb11g07890 transcript:itb11g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPPQDGAGVVAPPTSLAPGFRFHPTDEELVRYYLRRKACGKPFRFQAVSEIDVYKSEPWELAEYSSLKNRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRSVRHKSQTIGMKKTLVFHSGRAPDGKRTNWVMHEYRLADEELVKAGVVQDAFVLCRIFQKSGLGPPNGDRYAPFIEEEWDDDTALVIPGGEAEDDAANGDDAHVEGNELDQAAALCKAPQSPVEPQGLPFVCKRERSEDPEPLSLAQAKRSKHDDPSSSNANGSEDSTTIQEPPTAMMTTTTTTNYSPALLEFPLLGSIEPKESHPTNVPTFDSSTLEKSVPPGYLKFISNLENEILNVSMERETLKIEVMRAQAMINILQSRIDLLNKENEDLRRVVRGG >itb06g21580.t1 pep chromosome:ASM357664v1:6:24190102:24194265:-1 gene:itb06g21580 transcript:itb06g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELVIASSSTDAGVSCWDLHSGAEHLSYKNCSSPSHGLAIVAGRFLASSQLRQTRSSSGSIFYWSWNKPQVEVKSFPAEPITPLVSNADGSFIAGGGASGDIYLWQVASGKLLKKWHAHYRGVTCLVFIDDQSLLVSGAEDGSIRVWSLIMVFDDFLRQQAKRPFEHSFSEHTLKVTDIVAGSGGANAIIISASEDRTCKVWSLSRGVVLRDIVFPSIIDAIALDPGEHVFYAGGRDGKIYIGALNALAHSNSNFGPNIVGSLTEHSKGITCLAFSMDGSLLVSGSEDGTIRVWDTKNHNITRIFRHGKGPVNNILVVRPPSHLSARTSITSHPSSTKRPGLPMPPPLEKYTNSSDENNDVKAFIAPQNIPNVHLEASYVSCQTMNNQIRELEQRGSSAATEIETERLKVEHKKSMQMLQQWQKTYRDLHQFCVSEILNGEQIGNADGQGM >itb06g21580.t2 pep chromosome:ASM357664v1:6:24190102:24194265:-1 gene:itb06g21580 transcript:itb06g21580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELVIASSSTDAGVSCWDLHSGAEHLSYKNCSSPSHGLAIVAGRFLASSQLRQTRSSSGSIFYWSWNKPQVEVKSFPAEPITPLVSNADGSFIAGGGASGDIYLWQVASGKLLKKWHAHYRGVTCLVFIDDQSLLVSGAEDGSIRVWSLIMVFDDFLRQQAKRPFEHSFSEHTLKVTDIVAGSGGANAIIISASEDRTCKVWSLSRGVVLRDIVFPSIIDAIALDPGEHVFYAGGRDGKIYIGALNALAHSNSNFGPNIVGSLTEHSKGITCLAFSMDGSLLVSGSEDGTIRVWDTKNHNITRIFRHGKVNNILVVRPPSHLSARTSITSHPSSTKRPGLPMPPPLEKYTNSSDENNDVKAFIAPQNIPNVHLEASYVSCQTMNNQIRELEQRGSSAATEIETERLKVEHKKSMQMLQQWQKTYRDLHQFCVSEILNGEQIGNADGQGM >itb11g11170.t1 pep chromosome:ASM357664v1:11:8135643:8141106:-1 gene:itb11g11170 transcript:itb11g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQQSYKHQHHHSDTEVMVVGIDKRKSYSGPLSGPLNKRSGKKSARFNLPGDSSSELGSPSPRSANDDAYVEITLDVREDSVAVHSVKTAAGADVEDPELALLARGLEKKTTLGSSLVRNASSRIRQVSQELKRIVRSHPSGRIDRNKSAAAQALKGLKFISKTDGSSGWAAVEKRFDQLTTSSNGLLPRAKFGECIGMNNSKDFAGELFDALARRRNITSDSINKQQLQEFWDQIADQSFDSRLQTFFDMVDKDADGFINEEEVREIISLSASANKLSNIQKQADEYAAMIMEELDPSNLGYIKIENLEMLLLQAPNQSVRGHDSKNLSQMLSQKLRPTVEPNPLVRWFKHFNYFLIDNWQRIWVLLLWIGAMAGLFAWKYVQYKNRAAYDVMGVCVCLAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVVAVGVAIGVGIHAIAHLTCDFPRLLHASPKKYAPMVQFFGEQPESYWHFVKGWEGVTGIIMVVLMAIAFTLASPWFRRGRVSLPRPFNKLTGFNAFWYSHHLFVIVYTLLIIHGIKLYLTHKWYKKTTWMYLAVPMVIYACERLLRAFRSSIKPVKILKVAVYPGNVLSLHMSKPQGFRYRSGQYMFVNCAAVSPFEWHPFSITSAPRDDYVSVHIRTLGDWTRQLKTVFSEVCQPPPNGRSGLLRADYLQGENNPNFPKVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNMMAVEEEESGVESGNGGVSNNGGATKNFKTKKAYFYWVTREQGSFDWFKGIMNEVAEMDNRGVIEMHNYCTSVYEEGDARSALITMLQSLHHAKNGVDIVSGTRVKSHFAKPNWRNVYKRIALNHTDSKVGVFYCGAPALTTELRQLALDFSRKTSTKFDFHKENF >itb11g11170.t2 pep chromosome:ASM357664v1:11:8135643:8141087:-1 gene:itb11g11170 transcript:itb11g11170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQQSYKHQHHHSDTEVMVVGIDKRKSYSGPLSGPLNKRSGKKSARFNLPGDSSSELGSPSPRSANDDAYVEITLDVREDSVAVHSVKTAAGADVEDPELALLARGLEKKTTLGSSLVRNASSRIRQVSQELKRIVRSHPSGRIDRNKSAAAQALKGLKFISKTDGSSGWAAVEKRFDQLTTSSNGLLPRAKFGECIGMNNSKDFAGELFDALARRRNITSDSINKQQLQEFWDQIADQSFDSRLQTFFDMVDKDADGFINEEEVREIISLSASANKLSNIQKQADEYAAMIMEELDPSNLGYIKIENLEMLLLQAPNQSVRGHDSKNLSQMLSQKLRPTVEPNPLVRWFKHFNYFLIDNWQRIWVLLLWIGAMAGLFAWKYVQYKNRAAYDVMGVCVCLAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVVAVGVAIGVGIHAIAHLTCDFPRLLHASPKKYAPMVQFFGEQPESYWHFVKGWEGVTGIIMVVLMAIAFTLASPWFRRGRVSLPRPFNKLTGFNAFWYSHHLFVIVYTLLIIHGIKLYLTHKWYKKTTWMYLAVPMVIYACERLLRAFRSSIKPVKILKVAVYPGNVLSLHMSKPQGFRYRSGQYMFVNCAAVSPFEWHPFSITSAPRDDYVSVHIRTLGDWTRQLKTVFSEVCQPPPNGRSGLLRADYLQGENNPNFPKVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNMMAVEEEESGVESGNGGVSNNGGATKNFKTKKAYFYWVTREQGSFDWFKGIMNEVAEMDNRGVIEMHNYCTSVYEEGDARSALITMLQSLHHAKNGVDIVSGTRVKSHFAKPNWRNVYKRIALNHTDSKVGECNLTIFFISHLASLP >itb06g09930.t2 pep chromosome:ASM357664v1:6:14167488:14170691:1 gene:itb06g09930 transcript:itb06g09930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSIGIAKVSSNMAPELEVAIVKATSHDDDPAGEKYIREILNLTSYSRGYVSACVLAVSKRLGKTRDWIVALKCLMLVHRLLNDGDPVFQQEIMYATRRGTRLLNLSDFRDEAHSNSWDQSAFVRTYALYLDQRLEMILFERKQSGTGTEIERYGPREEQRWRSPPGSNRGYDYDYNEFRDEPGYGMRRSRSSGDVRESSQQMKDVTPLREMNPERIFGKMSHLQRLLDRFLSCRPTGLAKNERMILVAMYPMVKESFKLYADICEVLAVLLDKFFDMEYQDCVKAFDAYASAAKQIDELIGFYNWCKDIGLARSTEYPEVQRITSKLLDTLEEFVRDRATVTKSPERKVETTQPVIEEEPAPDMNEIKALPPPENYAPPPPPEPEPPKPVVQETGDLVDLREEGVTADDQGNKFALALFAGPGGSKANGSWEAFPSNGEPEVTSAWQNPAADSDKADWELALVETASNLSKQKAAMGGGLDPLLLNGMYDQGIVRQHVSTTQLSGGSASSVALPGPGKSTTPVLALPAPDGTVTTVGQDPFAASLNIPPPSYVQMADIEKKQQFLMQEQMVWQQYARDGMQGQASLTKISTGAYYTPGQPPMMPYGMPPVNGMGMPPAGYYHTPY >itb06g09930.t1 pep chromosome:ASM357664v1:6:14167478:14170691:1 gene:itb06g09930 transcript:itb06g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSIGIAKVSSNMAPELEVAIVKATSHDDDPAGEKYIREILNLTSYSRGYVSACVLAVSKRLGKTRDWIVALKCLMLVHRLLNDGDPVFQQEIMYATRRGTRLLNLSDFRDEAHSNSWDQSAFVRTYALYLDQRLEMILFERKQSGTGTEIERYGPREEQRWRSPPGSNRGYDYDYNEFRDEPGYGMRRSRSSGDVRESSQQMKDVTPLREMNPERIFGKMSHLQRLLDRFLSCRPTGLAKNERMILVAMYPMVKESFKLYADICEVLAVLLDKFFDMEYQDCVKAFDAYASAAKQIDELIGFYNWCKDIGLARSTEYPEVQRITSKLLDTLEEFVRDRATVTKSPERKVETTQPVIEEEPAPDMNEIKALPPPENYAPPPPPEPEPPKPVVQETGDLVDLREEGVTADDQGNKFALALFAGPGGSKANGSWEAFPSNGEPEVTSAWQNPAADSDKADWELALVETASNLSKQKAAMGGGLDPLLLNGMYDQGIVRQHVSTTQLSGGSASSVALPGPGKSTTPVLALPAPDGTVTTVGQDPFAASLNIPPPSYVQMADIEKKQQFLMQEQMVWQQYARDGMQGQASLTKISTGAYYTPGQPPMMPYGMPPVNGMGMPPAGYYHTPY >itb09g07830.t1 pep chromosome:ASM357664v1:9:4678029:4680351:1 gene:itb09g07830 transcript:itb09g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFIVTMLLSFLFLLLRTSPENTAAAAPYTSLFSFGDSLADTGNLLHLSPETNRPPHFALPPYGETFFHTPTGRFSDGRVVIDFIADSLGLPFLPPYVGEEKNESGRRNFGQGVNFAVAGATALDDEFFKKIGVHLTPNISLDCQLNWFKEMLPTICHSSSECNEFLKSSLVVVGEIGGNDYNHAFLGGIPTDFIKSYVSKVVSKIGSVIHELIGLGAVTLLVPGELPKGCSAAYLATYMSSNQTDYDPETGCLNWLNDFAKYHNSLLINELNHLQELHPHATIIYADYYNAAMQFYLTPDKLGFKEGALVACCGAGGPYNFNASVQCGYPPTKGCADPNLYANWDGMHLTEAAYKWLAHGILTGAYTNKNLNIISSSFKATS >itb10g08190.t1 pep chromosome:ASM357664v1:10:10280638:10281074:1 gene:itb10g08190 transcript:itb10g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWSAGKLVLILGAVHWNPEAPPRTLPRVRLRFPTGRRFLFPQIDEEGRRQFILPKNRKRNAMPGRGDGKRNGSEERNWGSQCFSTLNEMRQPRDALLLTPQGSETELNLSLG >itb11g13940.t1 pep chromosome:ASM357664v1:11:11030167:11032414:-1 gene:itb11g13940 transcript:itb11g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFQSHWDVLTTAAKENEVTALYKSIQDDPEILNKINDIPFVDTPLHVAAANGNTLFAVETMAIMPSFCKKLNGEALSPLHVALQNGRLETARHMVKLDSGLVRVGGRGKMTPMHYLVSVTKNGDAEKLEVLIDFLTACPEAVKDVTVECKTPMHVAIDSRSFEAFGVMFGWLWRTGKINLLYMEDINGNSALRLAAEAEQTIKYTQQSGVECPKIIRKAFKRIRENTKEIIASAKAKEGLNRLKFFLSPETPMQKLVRYSAHVHKGMSMEIRNVVLVVAVLVATAVYQATLTPPNAVLHTKDPTSSNIMLTPSPITKNVHYKHHFTLFVTMNTLAFNLALGVMLFVLPFVLYSVFLHLALYFMSVSFLIMLHVTGGEEHKTSASVLMYISLGLFGVTYCARLFIASLKTVLWTPWWIGKPYRVMCKLIGCMGKGMQNSFQELQLQMKTVGWSGDPHGSYYRQ >itb04g00770.t1 pep chromosome:ASM357664v1:4:419528:422109:-1 gene:itb04g00770 transcript:itb04g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLVQLFKGYFENFTQRQDGVGRLTSDGARGLISGVAKKGYVLLLLVLLHILPYCDSKAGATFETCSLPPTHLLLSLFLFQIFLCFLLADSIFKSANSNSPHIMKKFVLRLELSDSNDKRKALKTIAALPGIDEIAMDMNSKQVTVIGNVDPVTVVSKLRKHWTTGIISVGPAKEPEKKEEPKKEEPKKEEEKKEEPPKEEGKKEGEAKKEEGKKDDEKKEPVVIMPYYRQYYYPPVNSYYNYAHHHSIEENPNACVIC >itb02g08570.t1 pep chromosome:ASM357664v1:2:5405780:5406861:-1 gene:itb02g08570 transcript:itb02g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIEMRVHMDCGGCESKIRKALQKLKGVDEVDIDMTMQKVTVTGWADQKKVLKTVRKTGRRAEIWQFPFNNPEMVNHNNHVAGYYPQQSYGGPATFHCTSQPPSSSYNYYKHGYDNFDRSFRLGRGNSGNIFGSRIGGTFSDENPHSCSIM >itb08g16360.t1 pep chromosome:ASM357664v1:8:18522196:18524503:-1 gene:itb08g16360 transcript:itb08g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSMALNSCQVYIPGRRPVLKRGGIRCSSASVSASSTNFDLKTYWATLIKEINQKLDEAIPVQYPNQIYEAMRYSVLAKGAKRAPPIMCVSACELFGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDPFRRGKPTNHTKFGVDMAILAGDALFPLGFQHIVSHTPTDLVPENQLLQVITEIARAVGSTGMAAGQFVDLEGGPNAVGFVQEKKFGEMGECSAVCGGLLAGASDEEIEMLRRYGRAVGVLYQVVDDIREAKTESVEKNKKKGKSYVSVYGVEKAMEVAEELRGQAKKELDALEKYGDKVIPLHSFVDYAAYRGFNVDVE >itb01g19450.t1 pep chromosome:ASM357664v1:1:25554906:25558587:1 gene:itb01g19450 transcript:itb01g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKPPLFHSKLLCISLLYLSAALFLALRTLLSTADCLFRRPPYVPIQTSFSYNSSYGENKHALPTLRPSCVSPVFFSGYWEVVREIEGVCRDSSSSLGSGNLRYVQGDGAGSFGGNFTAKKRFSYFDHGDDLKEIPCGFFKPFPVSNSDKTAMEGCNGVVVVSAMFNDHDKIRQPKGLGSQTLNTVCFFMFVDDVTINGLHNYNLISTKSKVGVWRIVRVDKERLYENAAMNGVIPKYLTHRLFPNAKYSIWADAKMRLVVDPLLLVHSLVVKEGVDMAISKHPLYVHTMEEAMATARWKKWRDVEALRVQMETYCENGMQPWTSKKPYPSDVPDSAVIIRKHSKATNLFSCLLFNELEAFNPRDQLPFAYVRDSMNPKLRLNMFEVEVFEHVAVEYRHNLKHGGASGTQVGPARAKMASSADGLSNIGSKCDGYLLQMWGKSK >itb06g07160.t1 pep chromosome:ASM357664v1:6:10518861:10522307:1 gene:itb06g07160 transcript:itb06g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEIRLACSVTSMFRGFLFPFEYNFLGPELFPAAWLRNTLPALKLSADWGNEPSPPLLDLLSCCCTIKKASSTETKSKSEQCDLPIKFTEQILEEEFSGFAKVFDREVFDRVLEEEFSENNDQHEGLEKSYEKTYALEDSPFLEENLQLPQFIKSSHIQFVVFVRREFRSSKLRHHLSRILQCILQIVRIESAMHVEGVLLKACNPGGEPFLEDEISLELKEEEDGECYRMLRNRERSYNGNARIAELLLGSDLSKCGVDVNAISRVLLQSCKSSLHTNGYYRDEGRKIKAHIERRNLELNIQYCFKVVVHTEFRRDWGEALRMYDDAYHVLRDIVCTSTRLPPIQCVVGIKTIAKQLNFNWQAMAMAAASLAYGSEKKNNEITLVFNLGGGTFDVSVIEVXRHRRIQLTCSFVSGDRSAEDPWSAGKSKSEQCDLPIKFTEQILEEEFSGFAKVFDREVFDRVLEEEFSENNDQHEGLEKSYEKTYALEDSPFLEENLQLPQFIKSSHIQFVVFVRREFRSSKLRHHLSRILQCILQIVRIESAMHVEGVLLKACNPGGEPFLEDEISLELKEEEDGECYRMLRNRERSYNGNARIAELLLGSDLSKCGVDVNAISRVLLQSCKSSLHTNGYYRDEGRKIKAHIERRNLELNIQYCFKVVVHTEFRRDWGEALRMYDDAYHVLRDIVCTSTRLPPIQCVVGIKTIAKQLNFNWQAMAMAAASLAYGSEKKNNEITLVFNLGGGTFDVSVIEVGDGVFEMLSTSGDTHLGGDDFDKRIGDWLSSNFKEDDGIDLLEFLLKLNGQQELLEIQSH >itb04g00730.t1 pep chromosome:ASM357664v1:4:402241:403012:-1 gene:itb04g00730 transcript:itb04g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDKAKNFVGEKLAEMEKPEASIADVDIKGVGFDGFSFLAKVDVKNPYSVPIPICEIKYELKSAGRVIASGTIPDPGSIKGKDTTALDVAVKVPHSVVVNLARDIGGDWDIDYQLDIGLIIDLPVVGNFTIPLSQSGEIKLPTFSDFWKKPEAEAEAA >itb10g00110.t4 pep chromosome:ASM357664v1:10:77898:79564:-1 gene:itb10g00110 transcript:itb10g00110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSIWALADSKVGLWREAELESWDENLKLGRVVFRDNGSCIKLGVESISLSEYAEVSDEEESCAGSEQSDSSDYEEEDSPRGLGFVESTNLQSGVQTETTLFAKWENHTRGIASKMMANMGYHEGMGLGATGQGILDPISVRVLPSKQSLDHAVEGQKNEEAKEHHGKKRSRGGKRKREKRFAEAARAAKEEEELKPDVFSLINSQLAVHAESLNGSWSCSKKHENKGESKKEGGRRGLLAYEDEIKELRMRVEKLEEMVNRNRREKVVFEAAMRKLNETRKALSDAEAAHASASSEVVNKEKEKRWLKF >itb10g00110.t3 pep chromosome:ASM357664v1:10:77466:83000:-1 gene:itb10g00110 transcript:itb10g00110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNEEEERSLEEQLELQLQEQNDSLRDLTEALSSDPSNIELLSVQEELIQSIKEVEEGLLHLKRARLLREVDNAIQGSKNFSKDAKVEPLDPKVVAVHPFLDQNYSDVEAEPHAPVDPNYSVGSKCRFRSTDGRWYNGLIVQLEGSNSALVSFLTPTSESMLVGIDVPKSSLKKFVPTVWGPSMVGSSIWALADSKVGLWREAELESWDENLKLGRVVFRDNGSCIKLGVESISLSEYAEVSDEEESCAGSEQSDSSDYEEEDSPRGLGFVESTNLQSGVQTETTLFAKWENHTRGIASKMMANMGYHEGMGLGATGQGILDPISVRVLPSKQSLDHAVEGQKNEEAKEHHGKKRSRGGKRKREKRFAEAARAAKEEEELKPDVFSLINSQLAVHAESLNGSWSCSKKHENKGESKKEGGRRGLLAYEDEIKELRMRVEKLEEMVNRNRREKVVFEAAMRKLNETRKALSDAEAAHASASSEVVNKEKEKRWLKF >itb10g00110.t1 pep chromosome:ASM357664v1:10:77466:83000:-1 gene:itb10g00110 transcript:itb10g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNEEEERSLEEQLELQLQEQNDSLRDLTEALSSDPSNIELLSVQEELIQSIKEVEEGLLHLKRARLLREVDNAIQGSKNFSKDAKVEPLDPKVVAVHPFLDQNYSDVEAEPHAPVDPNYSVGSKCRFRSTDGRWYNGLIVQLEGSNSALVSFLTPTSESMLMCKFFLQQRCRFGSSCRLSHGIDVPKSSLKKFVPTVWGPSMVGSSIWALADSKVGLWREAELESWDENLKLGRVVFRDNGSCIKLGVESISLSEYAEVSDEEESCAGSEQSDSSDYEEEDSPRGLGFVESTNLQSGVQTETTLFAKWENHTRGIASKMMANMGYHEGMGLGATGQGILDPISVRVLPSKQSLDHAVEGQKNEEAKEHHGKKRSRGGKRKREKRFAEAARAAKEEEELKPDVFSLINSQLAVHAESLNGSWSCSKKHENKGESKKEGGRRGLLAYEDEIKELRMRVEKLEEMVNRNRREKVVFEAAMRKLNETRKALSDAEAAHASASSEVVNKEKEKRWLKF >itb10g00110.t2 pep chromosome:ASM357664v1:10:77466:83000:-1 gene:itb10g00110 transcript:itb10g00110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQICVSIYLEKKNIVGHEVGIDVPKSSLKKFVPTVWGPSMVGSSIWALADSKVGLWREAELESWDENLKLGRVVFRDNGSCIKLGVESISLSEYAEVSDEEESCAGSEQSDSSDYEEEDSPRGLGFVESTNLQSGVQTETTLFAKWENHTRGIASKMMANMGYHEGMGLGATGQGILDPISVRVLPSKQSLDHAVEGQKNEEAKEHHGKKRSRGGKRKREKRFAEAARAAKEEEELKPDVFSLINSQLAVHAESLNGSWSCSKKHENKGESKKEGGRRGLLAYEDEIKELRMRVEKLEEMVNRNRREKVVFEAAMRKLNETRKALSDAEAAHASASSEVVNKEKEKRWLKF >itb13g04940.t1 pep chromosome:ASM357664v1:13:5860022:5860954:1 gene:itb13g04940 transcript:itb13g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERNLPAVPHGLVAANSPAVSPLSIPNSPAQPLFRSPLSIPNSPAQPLFQTPTPSPYSFSVASTRLATRPPEHLFNLLLRSLAVLLSFVAAISLAAPSSRRGKGTEIFYYHTELLYSFVVYVLTFFYSAFQLFKGVCDIGYRGILISDKTSDYTSFIFDQLAAYLVISSSSVAAIAIQHMRTNAPLWKASRIAVGMSFPTFVVIAISALLSGYKLCKRIIW >itb10g24850.t2 pep chromosome:ASM357664v1:10:28436615:28441041:1 gene:itb10g24850 transcript:itb10g24850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSLQSIAVTKIPRRSFAEKPFLCGQTVKPLPCNTVKRCPDSRKLKFLDFRAQASGTTKFSSVAPESKDVNLKDGNVVFVAGATGRVGSRTVRELLKLGFQVRAGVRSIQRAEPLVKSVKQMKLDNVADGGTSPIERLEIVECDLEKSKIVPALGNASTVICCIGASEKEVFDITGPYRIDYQATKNLIDAATTAKVGHFILLTSLGTNKFGFPAAILNLFWGVLCWKRRAEEALLASGLPYTIVRPGGMERPTDTYKETHNITLSQEDTLFGGQVSNLQVAELMAFMVKNPTVSYYKVVEVIAETTAPLTPLGDLLTRIPSKKKVSPAKDPGSATQPAVSAVTIPKITSTPTEKESTPTISIEAKPLSPYTAYADLKPPTSPTPSPPSIVDKVSFPAEASKTTETIDPNLAKVEVQKTTPLSPYTALSHFLVLT >itb10g24850.t1 pep chromosome:ASM357664v1:10:28436615:28441041:1 gene:itb10g24850 transcript:itb10g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSLQSIAVTKIPRRSFAEKPFLCGQTVKPLPCNTVKRCPDSRKLKFLDFRAQASGTTKFSSVAPESKDVNLKDGNVVFVAGATGRVGSRTVRELLKLGFQVRAGVRSIQRAEPLVKSVKQMKLDNVADGGTSPIERLEIVECDLEKSKIVPALGNASTVICCIGASEKEVFDITGPYRIDYQATKNLIDAATTAKVGHFILLTSLGTNKFGFPAAILNLFWGVLCWKRRAEEALLASGLPYTIVRPGGMERPTDTYKETHNITLSQEDTLFGGQVSNLQVAELMAFMVKNPTVSYYKVVEVIAETTAPLTPLGDLLTRIPSKKKVSPAKDPGSATQPAVSAVTIPKITSTPTEKESTPTISIEAKPLSPYTAYADLKPPTSPTPSPPSIVDKVSFPAEASKTTETIDPNLAKVEVQKTTPLSPYTAYEDLKPPTSPIPTQSRSKAASTTAEAQVQLNVPTNGPPTVSASRHSPYLVYDDLKPPTSPTPSTPTVSQIKTAQSPAAAKPEGTLHVNASKERPLSPYTAYEDLKPPTSPSPSSPKL >itb10g24850.t3 pep chromosome:ASM357664v1:10:28436615:28441041:1 gene:itb10g24850 transcript:itb10g24850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSLQSIAVTKIPRRSFAEKPFLCGQTVKPLPCNTVKRCPDSRKLKFLDFRAQASGTTKFSSVAPESKDVNLKDGNVVFVAGATGRVGSRTVRELLKLGFQVRAGVRSIQRAEPLVKSVKQMKLDNVADGGTSPIERLEIVECDLEKSKIVPALGNASTVICCIGASEKEVFDITGPYRIDYQATKNLIDAATTAKVGHFILLTSLGTNKFGFPAAILNLFWGVLCWKRRAEEALLASGLPYTIVRPGGMERPTDTYKETHNITLSQEDTLFGGQVSNLQVAELMAFMVKNPTVSYYKVVEVIAETTAPLTPLGDLLTRIPSKKKVSPAKDPGSATQPAVSAVTIPKITSTPTEKESTPTISIEAKPLSPYTAYADLKPPTSPTPSPPSIVDKVSFPAEASKTTETIDPNLAKVEVQKTTPLSPYTAYEDLKPPTSPIPTQSRSKAASTTAEAQVQLNVPTNGPPTVSASRHSPYLV >itb01g32830.t2 pep chromosome:ASM357664v1:1:36199779:36201406:1 gene:itb01g32830 transcript:itb01g32830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKATQNRFLRFLALPMRCLSRTRDCYINGMNNYAVVNQPKAPSRVQRSYSVSSQGSNDSEDYRELLKAASMRGMEGRIELERLMQQYMKQQQAAAAAMAMRSSQRVRRSSSVAMGRIDEESGAGEDDSNHGVDEMKYPRSKSYAVNNKRSTVIGF >itb01g32830.t1 pep chromosome:ASM357664v1:1:36199779:36201406:1 gene:itb01g32830 transcript:itb01g32830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKATQNRFLRFLALPMRCLSRTRDCYINGMNNYAVVNQPKAPSRVQRSYSVSSQGSNDSEDYRELLKAASMRGMEGRIELERLMQQYMKQQQAAAAAMAMRSSQRVRRSSSVAMGRIDEESGAGEDDSNHGVDEMKYPRSKSYAVNNKRSTVIGF >itb11g07770.t1 pep chromosome:ASM357664v1:11:4860608:4861910:-1 gene:itb11g07770 transcript:itb11g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTGIPRSKEKQPMEGCKTDRETKKASENVATTPVFINHAAIAWEESRRKWVGDTSQKTQSIAKDPIISWSMTYEDLLSTNEPFAERIPLPEMVDFLVDVWYDEGLFD >itb01g26220.t1 pep chromosome:ASM357664v1:1:31442129:31446964:-1 gene:itb01g26220 transcript:itb01g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWKKRASFCLSSLLLLLFVAGVFARVHSNGGSDLRTAEKEELQSSHNSTTMAARLAEVEEALSKQAVDDPEEVASMVAENIRNSTERRKLGYFSCGTGNPIDDCWRCDPNWHNNRQRLADCGIGFGRNAIGGRDGQLYVVTDHEDDDPVNPKPGTLRHAVIQDEPLWIIFKRDMVITLKEELIMNSFKTIDARGANVHIANGACITIQFITNVIIHGLHVHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHFAHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPVNPFAKEVTKRVETSQSEWRGWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSLVGAITATAGPLACRQGRTC >itb09g10240.t1 pep chromosome:ASM357664v1:9:6381567:6385824:1 gene:itb09g10240 transcript:itb09g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MRTRLISAAILFAFALSLFSIESKTLDPYKVLGVDKNASQREIQKAFHKLSLKYHPDKNKEKGAQEKFSEINNAYDILSDEEKRKNYDLYGDEKGAPGFAGGSGGDQGGYTYFTSGPGQNGFNFKPGSWQNMGGQGGSKSFSFSFGGAGNQGSSFGFGLDDMFSNFFGGGMGGGSHSGGFSSSGRSQSGTRSTVKSIPSINSETYRNEIADKGITWLLLSYTSNVKDVESYESIIGEVASSLQGVLKVGKVNCKTDASLCKELGIHPRNAPKLFIYSFKSLESGSMLEYHGDLDVKSLKSFCQEHLPRFSKRVNLNHFNFASEAAGGLPKVILLSTKKDTPVIWRALSGLYRKRIVFYDAEIHDVSDPAVKKLGVDALPAVVGWLSNGEKHILKTGISVKDLKSAIQDLSGLLENFERKNKKARPSQAESEFKQIPLLTGSNMDDICGENSPVCIIGVFRSSKSRAKLEKILLSVSQKSLVRRQNSPNGPRDSISYALLDAAKQESFLKAFDKSGFKSTDCLLLAYKPRKGKFAVLKDEVTEEDAEKFIGAILSGDIHFSKTKQNPSAR >itb09g10240.t2 pep chromosome:ASM357664v1:9:6381567:6385824:1 gene:itb09g10240 transcript:itb09g10240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MRTRLISAAILFAFALSLFSIESKTLDPYKVLGVDKNASQREIQKAFHKLSLKYHPDKNKEKGAQEKFSEINNAYDILSDEEKRKNYDLYGDEKGAPGFAGGSGGDQGGYTYFTSGPGQNGFNFKPGSWQNMGGQGGSKSFSFSFGGAGNQGSSFGFGLDDMFSNFFGGGMGGGSHSGGFSSSGRSQSGTRSTVKSIPSINSETYRNEIADKGITWLLLSYTSNVKDVESYESIIGEVASSLQGVLKVGKVNCKTDASLCKELGIHPRNAPKLFIYSFKSLESGSMLEYHGDLDVKSLKSFCQEHLPRFSKRVNLNHFNFASEAAGGLPKVILLSTKKDTPVIWRALSGLYRKRIVFYDAEIHDVSDPAVKKLGVDALPAVVGWLSNGEKHILKTGISVKDLKSAIQDLSGLLENFERKNKKARPSQAESEFKQIPLLTGSNMDDICGENSPVCIIGVFRSSKSRAKLEKILLSKSLVRRQNSPNGPRDSISYALLDAAKQESFLKAFDKSGFKSTDCLLLAYKPRKGKFAVLKDEVTEEDAEKFIGAILSGDIHFSKTKQNPSAR >itb01g06700.t1 pep chromosome:ASM357664v1:1:4911632:4913221:1 gene:itb01g06700 transcript:itb01g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHGVHSVALDISDDHQTSDASETSCNNNFIFTLPFIQKVIAEIFGTYFLMFAGCGAAAVNADKGTVTFPGVAIVWGLAAVAMIYSVGHISGAHFNPAVTIAFATCRRFPCVQVPAYILAQMIGATAASGTLRLLFNKEHYHFVGTTPAGSDLQSLVIEFIITFYLVFVISSVATDSRAVGELAGLAIGATILLNVMFTGPITGASMNPARSLGPAIVSNRYRGIWIYMLGPTAGAIAGAWVYNILRLKNKP >itb05g10320.t1 pep chromosome:ASM357664v1:5:15454450:15454740:1 gene:itb05g10320 transcript:itb05g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSAPLTENVSRAEIRPQPPQLQSTFFDMYQEVDTFDNDSWWVGQITGKIGNRYYVYFENTGEEILYHKDSIRIHLNWVHHSWVPNQTRIQPVF >itb15g17620.t1 pep chromosome:ASM357664v1:15:18509819:18511698:-1 gene:itb15g17620 transcript:itb15g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEKTTVMFLTVDLKCSSCYKKVKKVICKIPQIRDQIYNEEENKVRITVVCCSPEKIRDKLCYKGGGVIKSIEIVDPPKPPKPAEKPKEAPKAEKPKEAPKADKPKEAPKAEKPKEAPKADKPKEAPKADKPKEAPKPEAEKSKDAKKSEKPKGPPAPEPVPVPMKQPEPISNVPAPGQVTVMPVTHGFPPPMYCYEPSYEWYGTPVAPPPPLPPPRPCYENYPNLCRCGQARGYYGGCRCDYTYFSEENTSGCTIM >itb15g17620.t2 pep chromosome:ASM357664v1:15:18509819:18511698:-1 gene:itb15g17620 transcript:itb15g17620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTVDLKCSSCYKKVKKVICKIPQIRDQIYNEEENKVRITVVCCSPEKIRDKLCYKGGGVIKSIEIVDPPKPPKPAEKPKEAPKAEKPKEAPKADKPKEAPKAEKPKEAPKADKPKEAPKADKPKEAPKPEAEKSKDAKKSEKPKGPPAPEPVPVPMKQPEPISNVPAPGQVTVMPVTHGFPPPMYCYEPSYEWYGTPVAPPPPLPPPRPCYENYPNLCRCGQARGYYGGCRCDYTYFSEENTSGCTIM >itb13g16770.t1 pep chromosome:ASM357664v1:13:23702203:23703126:-1 gene:itb13g16770 transcript:itb13g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNSHGLTLSRSDPRFSYLSIISSTRPDPRRAVFFPVHLRNPRLRVRTGRIKSKLNDAVSVAEPKSFYDLLGIPETGSLLEIKQAYKQLARKYHPDVSPPDRVEEYTQRFIQVQEAYETLSDPRRRALYDRDMAKEQPFIFLILAVAV >itb03g09780.t1 pep chromosome:ASM357664v1:3:7600882:7602820:1 gene:itb03g09780 transcript:itb03g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASSIYIFVSVILVFLLALKRLSRKTYHNLPPTPFPKLPILGHLHLIKLPLQRTLHQISLKYGPIFALQLGVRRVVVVSSPAAVEECFTKNDVVLADRPPSVAGNYLTYNYTLVTSANYGDHWRNLRRICSLEIFSTTRLNSFKSVRQDEVKILLQKLVQTSRHGFGTVELKSKFTELSFNIILRMTSGKRYFGLDEDDKEAMAFRELIKDVFKRGGEVLDFLPFLRWIGYKNLEKNMKKLSERLDSFLQGLVDEHRHDKSRNSMIDHLLSLQESQPEYYTDEIIKGLMMVMLLAGTDTSAVTMEWAMAILLNHSEVLDKARAEIDNLVEVLDKARAEIDNLVGQERAIEEEDLPKLKYLQSIILETLRLFPAGPLLIPHYSSADCKIALLIPHYSSADCKIGGYDIPRGTMVLVNAWAIHRDPKVWEDSTSFRPERFDGGEVESTKVLTFGMGRRSCPGSGLAQRVVGLALGSLIQCFEWQRVGEEEVDLAEGEGVTAPKAEPLVARCKARDFAHKILSQNA >itb01g28710.t2 pep chromosome:ASM357664v1:1:33090764:33094181:-1 gene:itb01g28710 transcript:itb01g28710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEFPTSNNVADDMDNGDFPEDKPTLKVGEEAPIGNTGLKKKLLKEGEGWETPGSGDEVEVHYVGTLLDGSQFDSSRDRGTPFKFKLGQGQVIKGWDEGIKTMKKGEKALFTIPQEMAYGESGSPPTIPPNATLQFEVELLSWMSVKDICKDGGIFKKILVEGEGWQKPKDVDEVFVKYDARLEDGTVVSKADGVEFTVEDGYFCPALSKSVKTMKKGEKALLSVKPQYAFGEKGRPAATPEERDVPPNASLQINLELVSWKTVSEVTNDRKVLKKTIKEGEGYQLPNDSAVVKVKLMGKLQDGTVFVKKGYDKEPFEFTIDEEQVIDGLDKAVKTMKKGEIAVVTIQPEYAFGSSESPQELAVVPGNSTVYYEVEMVSFIKDKESWEMNTQEKIEAAKAKKEQGNVLFKAGKYERASKRYEKAVNFIEYDSSFSDEEKQAVTVLKVSCNLNNAACKLKLKDYKQAVKLCTKVLEIDSRSVKALYRRAQAYIQLADLDLAELDIKKALEIDPDNRDVKVEYRILKEKIRDYNKKDAQFYSNIFAKMSKMEQVKSAGATAKQEATPMVIDSKA >itb01g28710.t1 pep chromosome:ASM357664v1:1:33090605:33094181:-1 gene:itb01g28710 transcript:itb01g28710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEFPTSNNVADDMDNGDFPEDKPTLKVGEEAPIGNTGLKKKLLKEGEGWETPGSGDEVEVHYVGTLLDGSQFDSSRDRGTPFKFKLGQGRFSFNSGQVIKGWDEGIKTMKKGEKALFTIPQEMAYGESGSPPTIPPNATLQFEVELLSWMSVKDICKDGGIFKKILVEGEGWQKPKDVDEVFVKYDARLEDGTVVSKADGVEFTVEDGYFCPALSKSVKTMKKGEKALLSVKPQYAFGEKGRPAATPEERDVPPNASLQINLELVSWKTVSEVTNDRKVLKKTIKEGEGYQLPNDSAVVKVKLMGKLQDGTVFVKKGYDKEPFEFTIDEEQVIDGLDKAVKTMKKGEIAVVTIQPEYAFGSSESPQELAVVPGNSTVYYEVEMVSFIKDKESWEMNTQEKIEAAKAKKEQGNVLFKAGKYERASKRYEKAVNFIEYDSSFSDEEKQAVTVLKVSCNLNNAACKLKLKDYKQAVKLCTKVLEIDSRSVKALYRRAQAYIQLADLDLAELDIKKALEIDPDNRDVKVEYRILKEKIRDYNKKDAQFYSNIFAKMSKMEQVKSAGATAKQEATPMVIDSKA >itb06g22160.t1 pep chromosome:ASM357664v1:6:24550520:24551926:-1 gene:itb06g22160 transcript:itb06g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVVVLGVFVLQLIISSLYGHADAGSPVKFLPGFQGPLPFHLETGYIGVGDVQFFYYFIKSESNPKSDPLLIWLSGGPGCSSLSGLIYEIGPITFVRVEYNGSIPELITNPYSWTKTASIIFLDLPVGTGFSYATIPPAKRSNTLQTTHQAYEFALKWLLEHQEFMSNPLYIGGDSFAGQLVPVITQVISDGKTSLHYIVFIY >itb11g21720.t1 pep chromosome:ASM357664v1:11:23419286:23427457:1 gene:itb11g21720 transcript:itb11g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MSPTSVLFASLRRGGRRFSPLLCFHHPRFLSTQLDYPLTHPIYSIWAANTSLGKTLVSAGLSISFLSSAPDRKFVYFKPVQTGFPQDSDSRFVYKKFSEFASLHRPERSVLASNHVLRASASASEELLNRECGSGIVSLGWHEENLVGNAGGLEASELVCKTLYAWREALSPHLVAEKEGARVRDVELLEMLESCLGNGSETWVQKGGRNVDMMCVVETAGGVASPGPSGSLQCDLYRPFRLPAILVGDGKLGGISATISAYETLKLRGYDVVAIVFEDHGLLNEAPLLSYLRDRVPVLVLPPVPQEMSNNLMEWFYQSQDIFNYLQEIMCLASRERLERFHYMTKKAREIFWWPFTQHKLVSEERVTMIDSRCGENFAVHKVKNADSIVQQFDACASWWTQGPDASLQIELARDMGYATSRYGHVMFPENVYEPALECAEILLEGVGKGWASRAYFSDNGSTAIEIALKMALRKFLFDHKVLLENSNGNGADRYIDLKVLALRGSYHGDTLGAMEAQAPSPYTGFYQQPWYTGRGHFLDPPKVSLCRNVWTICLPKNIQPDNANVEDLSFWSRDDIFDKKRDVSSLADVYSSYISQELTENLDPRGFMSIGALIIEPVIQGAGGMEMIDPLFQRILTKECQSRKIPVIFDEVFTGFWRLGRESAAELLSCQPDIACYAKLMTGGVIPLAVTLASEAVFEAFFGDSKLKALLHGHSYSAHALGCTAAVKSMKWFKDCKSNSNLTCDGRLLKELWDENLVQQISLLPAVGRVVVLGTLCAMELQAEGSNAGYASLYAANLIQKLRGDGIYMRPLGNVIYVMCGPCTSPQVCSNILEKIYTRLKDLSEAKIEPLAQTNLV >itb01g34970.t1 pep chromosome:ASM357664v1:1:37420512:37422901:-1 gene:itb01g34970 transcript:itb01g34970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKIIFGRQSFYRQYFQHPSTLISNLNISNRLFSGDSSSLNLPPTELSGLTPVDSLVNGCDYNHWLVVMDPPDGYPLRDQIVHRYIQTLTLAVGSEEEAKRSIYSVSTKYYYAFSCKIPENLTHSIKALPGVRWVLPDSYLPPGEDGYGGEPFVDGEVVPYDEKYHCNWLSCEDEDVPKKTRR >itb04g13080.t3 pep chromosome:ASM357664v1:4:13088723:13089933:-1 gene:itb04g13080 transcript:itb04g13080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSKLQRQLPTIHIDESVKMENAWVEALSLDSRDFNANFIHCIAGYIFIFKKIVLKCLSNEGLHSTAIYLLSTLSTFSWEAKLTTMLASLAIIHGEFSVKGLAHQINLTYISSNVLLIATSNHSITLRYNIQHYLLQTTGLQEVLCSCLCNTFCPPSYNIMGIKSQNQLKEQPSLAAKVKDIYI >itb04g13080.t1 pep chromosome:ASM357664v1:4:13088007:13089933:-1 gene:itb04g13080 transcript:itb04g13080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSKLQRQLPTIHIDESVKMENAWVEALSLDSRDFNANFIHCIAGYIFIFKKIVLKCLSNEGLHSTAIYLLSTLSTFSWEAKLTTMLASLAIIHGEFSVKGLAHQINLTYISSNVLLIATSNHSITLRYNIQHYLLQTTGLQEVLCSCLCNTFCPPSYNIMGIKSQNQLKEQPSLAAKVKDIYI >itb04g13080.t2 pep chromosome:ASM357664v1:4:13088007:13089933:-1 gene:itb04g13080 transcript:itb04g13080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSKLQRQLPTIHIDESVKMENAWVEALSLDSRDFNANFIHCIAGYIFIFKKIVLKCLSNEGLHSTAIYLLSTLSTFSWEAKLTTMLASLAIIHGEFSVKGLAHQINLTYISSNVLLIATSNHSITLRYNIQHYLLQTTGLQEVLCSCLCNTFCPPSYNIMGIKSQNQLKEQPSLAAKVKDIYI >itb09g23500.t4 pep chromosome:ASM357664v1:9:22816794:22820173:-1 gene:itb09g23500 transcript:itb09g23500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MASLLSSSSLRHFPSKTPLTPPSYGAAFFLNLPKSTRPCRSSFECSAGQTGFFQKLGRLIKEKAKNDVDKLFSGFSKTRNNLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPRITIKIVESLRDDIYAGRLKTGSEIKDALKRSILEFLTKAPKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLANRLKNEGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAETEKAKASSVLSQAVKRGKEQGVDIVLCDTSGRLHTNYSLMEELVACKKTVSKIVPGAPNEILLVLDGTTGLNMLPQAREFNEVVGVTGFILTKLDGSARGQCSG >itb09g23500.t1 pep chromosome:ASM357664v1:9:22816794:22820173:-1 gene:itb09g23500 transcript:itb09g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MASLLSSSSLRHFPSKTPLTPPSYGAAFFLNLPKSTRPCRSSFECSAGQTGFFQKLGRLIKEKAKNDVDKLFSGFSKTRNNLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPRITIKIVESLRDDIYAGRLKTGSEIKDALKRSILEFLTKAPKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLANRLKNEGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAETEKAKASSVLSQAVKRGKEQGVDIVLCDTSGRLHTNYSLMEELVACKKTVSKIVPGAPNEILLVLDGTTGLNMLPQAREFNEVVGVTGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGIDDLQPFDAETFVNAIFS >itb09g23500.t3 pep chromosome:ASM357664v1:9:22817677:22820173:-1 gene:itb09g23500 transcript:itb09g23500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MASLLSSSSLRHFPSKTPLTPPSYGAAFFLNLPKSTRPCRSSFECSAGQTGFFQKLGRLIKEKAKNDVDKLFSGFSKTRNNLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPRITIKIVESLRDDIYAGRLKTGSEIKDALKRSILEFLTKAPKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLANRLKNEGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAETEKAKASSGMDIGFYIFFVNR >itb09g23500.t2 pep chromosome:ASM357664v1:9:22816794:22820173:-1 gene:itb09g23500 transcript:itb09g23500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MASLLSSSSLRHFPSKTPLTPPSYGAAFFLNLPKSTRPCRSSFECSAGQTGFFQKLGRLIKEKAKNDVDKLFSGFSKTRNNLAVIDELLLYWNLSDTDRVLDELEEALLVSDFGPRITIKIVESLRDDIYAGRLKTGSEIKDALKRSILEFLTKAPKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLANRLKNEGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAETEKAKASSVLSQAVKRGKEQGVDIVLCDTSGRLHTNYSLMEELVACKKTVSKIVPGAPNEILLVLDGTTGLNMLPQAREFNEVSVVDELGIPVKFVGVGEGIDDLQPFDAETFVNAIFS >itb12g25860.t1 pep chromosome:ASM357664v1:12:26985160:26988983:1 gene:itb12g25860 transcript:itb12g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLWKLMFLLEPAPVSLILTAVLVSYCSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAIASASSLYFCLSPYFAQIKSQFGLSDPYVSRCCSKSFTRIQAFLTFMCVGIVVAWLVSGHWILNNLLGISLCIAFVSHVRLPNIKICAVLLLCLFVYDIFWVFYSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGNAAADFMMLGLGDMAIPSMLLALVLCFDHRKSRESAPPSKGDGSSSKGFKYIWYALTGYSLGLISALAAGILTRSPQPALLYLVPSTLGPIIVISWMRRELAELWEGSVPNINEKTHFTEV >itb12g25860.t2 pep chromosome:ASM357664v1:12:26985289:26988956:1 gene:itb12g25860 transcript:itb12g25860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLWKLMFLLEPAPVSLILTAVLVSYCSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAIASASSLYFCLSPYFAQIKSQFGLSDPYVSRCCSKSFTRIQAFLTFMCVGIVVAWLVSGHWILNNLLGISLCIAFVSHVRLPNIKICAVLLLCLFVYDIFWVFYSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGNAAADFMMLGLGDMAIPSMLLALVLCFDHRKSRESAPPSKGDGSSSKGFKYIWYALTGYSLGLISALAAGILTRSPQPALLYLVPSTLGPIIVISWMRRELAELWEGSVPNINEKTHFTEV >itb03g23090.t1 pep chromosome:ASM357664v1:3:21222981:21225394:1 gene:itb03g23090 transcript:itb03g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKLSEGKDDPWLRSENNHTGRVYWEFDPNLGTTEEQEQVEKLRAKFHKNRFEIKHSSDLLMRMQFEREKAQEMGLQQVKVENEEEISEEAVVRTLRRGLRFYSTIQAEDGYWPTDYGGPLFLLPCLVIGLSVIGELNAILQEEHQKEILRYIYNHQNVDGGWGLHIEGHSTMFCTALNYVTLRILGEEKDGGNGAMKEARKWILDHGGATFIPSWGKIWLSVVLL >itb10g14080.t1 pep chromosome:ASM357664v1:10:20342115:20345371:1 gene:itb10g14080 transcript:itb10g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDWYLDALVKFVESHAELLIEGTLVLKLNPVGLHYVHSRLEALAELESLLAGAPVDYFRAYVSDLGDHRALEQLRRILCHLTSLKVFSVLPPPARDPSPLSLLPFTRLKVLELRGCDLSTSAAKGLLQLRQSLEKLICHNSTLLPAVETLDLSRNKFSRVDNLRKCTRLKHLDIGFNHLRTVAAFSSEVSSHIVKLVLRNNALTTLRGIENLKSLEGLDLSNNIISNFFEIEILGGLSSLQNLWLQGNPLCCARWYRAQVLSFFTYPDKLKLDEKKHTKSDIWKRQILIARRQRRPASFGFYSPARDDAEPEGIINTKKKKVPRFVSINDAEESTCLYSDDDSVSFVNEIHNKEKNAISYEESGIVDLMNRIEFIKKENNGLWLQEFKDWIDCDSCVVGVNSKGTNFKAERGKERKGKMRGKQNDESSRYVSNSVQFSGEDGNMEVLDSDTFFAETSLSFSEKKYTDQISETESKIFVGHVDGNFNSMKSTRNNQENVKILNDEVLHSGHARISPFDSSEFSSLDNSFTTIPSFSTTLDASHGSPPHYKEDILYQRHNLEEEFLQLSSEPFFVASSDTDTSSSKDDSVQYGEFISPKINF >itb04g20100.t4 pep chromosome:ASM357664v1:4:24536794:24539183:-1 gene:itb04g20100 transcript:itb04g20100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRNHGGDLGPYFILDDLWESFKEWSAYGAGVPLVLNESDSVVQYYVPYLSGIQLYIDPARHIVGPRRPGEESDGDSYRETSSDDGSEYVAGRGANSIKGKQNQQNLMNATANGFNKLSLRNDGFMESLADENETSNPPGLLIFEFLEQNPPQNRQPLTDKISSLASQFPDLKTYRSCDLAPSSWISVAWYPIYRIPIGPTLQSLDACFLTFHSLSTQAESSKFFTSSFVPEP >itb04g20100.t2 pep chromosome:ASM357664v1:4:24536087:24539183:-1 gene:itb04g20100 transcript:itb04g20100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRRRSNGGGENRFYCPPAMRRQQQQMQAAAKSKSDKRTADSDDGASSTSTATTTTTTPSTTASFSTNLDRFLEYTTPKVPAQLLSKTSMKSLRNHGGDLGPYFILDDLWESFKEWSAYGAGVPLVLNESDSVVQYYVPYLSGIQLYIDPARHIVGPRRPGEESDGDSYRETSSDDGSEYVAGRGANSIKGKQNQQNLMNATANGFNKLSLRNDGFMESLADENETSNPPGLLIFEFLEQNPPQNRQPLTDKISSLASQFPDLKTYRSCDLAPSSWISVAWYPIYRIPIGPTLQSLDACFLTFHSLSTQAESSTRNDLLHNHGSSTTRGMHDNGMPMKLSLPSFGLASYKFKVSFWFPSETYESQRVNSLLREADRWLRLLQVNHPDYRFFLSRNTYFR >itb04g20100.t1 pep chromosome:ASM357664v1:4:24536087:24539183:-1 gene:itb04g20100 transcript:itb04g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRNHGGDLGPYFILDDLWESFKEWSAYGAGVPLVLNESDSVVQYYVPYLSGIQLYIDPARHIVGPRRPGEESDGDSYRETSSDDGSEYVAGRGANSIKGKQNQQNLMNATANGFNKLSLRNDGFMESLADENETSNPPGLLIFEFLEQNPPQNRQPLTDKISSLASQFPDLKTYRSCDLAPSSWISVAWYPIYRIPIGPTLQSLDACFLTFHSLSTQAESSTRNDLLHNHGSSTTRGMHDNGMPMKLSLPSFGLASYKFKVSFWFPSETYESQRVNSLLREADRWLRLLQVNHPDYRFFLSRNTYFR >itb04g20100.t3 pep chromosome:ASM357664v1:4:24536809:24539183:-1 gene:itb04g20100 transcript:itb04g20100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRRRSNGGGENRFYCPPAMRRQQQQMQAAAKSKSDKRTADSDDGASSTSTATTTTTTPSTTASFSTNLDRFLEYTTPKVPAQLLSKTSMKSLRNHGGDLGPYFILDDLWESFKEWSAYGAGVPLVLNESDSVVQYYVPYLSGIQLYIDPARHIVGPRRPGEESDGDSYRETSSDDGSEYVAGRGANSIKGKQNQQNLMNATANGFNKLSLRNDGFMESLADENETSNPPGLLIFEFLEQNPPQNRQPLTDKISSLASQFPDLKTYRSCDLAPSSWISVAWYPIYRIPIGPTLQSLDACFLTFHSLSTQAESSKFFTSSFVPEP >itb04g20100.t5 pep chromosome:ASM357664v1:4:24536087:24539174:-1 gene:itb04g20100 transcript:itb04g20100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRRRSNGGGENRFYCPPAMRRQQQQMQAAAKSKSDKRTADSDDGASSTSTATTTTTTPSTTASFSTNLDRFLEYTTPKVPAQLLSKTSMKSLRNHGGDLGPYFILDDLWESFKEWSAYGAGVPLVLNESDSVVQYYVPYLSGIQLYIDPARHIVGPRRPGEESDGDSYRETSSDDGSEYVAGRGANSIKGKQNQQNLMNATANGFNKLSLRNDGFMESLADENETSNPPGLLIFEFLEQNPPQNRQPLTDKASILSCRGLVNLYLSM >itb02g17670.t1 pep chromosome:ASM357664v1:2:13802103:13810058:-1 gene:itb02g17670 transcript:itb02g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNVTRPPIIEHKGFATRPCGYTEPCSRTSSFVARYHYNHGCGCRFHHFPSKLLSSLSSNRSQARWLASRDEQTIGATVVVGVVADRRGTTVAVAAAEVRQSLAAVATAVFFCLRPFIFPAAQQGNQRPWAPAWRRFAPLFPARQSR >itb03g01520.t1 pep chromosome:ASM357664v1:3:853444:853725:1 gene:itb03g01520 transcript:itb03g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSRDAICYLSSADYCIDLFMTECIIVHQRSLLAMFNTVLQYIRLLNYQNSSESKQPGSKDEHVFGLLRFSYVSGLFGEGEVDEAEVQANRV >itb01g18980.t1 pep chromosome:ASM357664v1:1:24930541:24932042:-1 gene:itb01g18980 transcript:itb01g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKILRSLTPCFYIKRGDQASIIGGVVEFIKELHQVEQSLEAKKRRKSLRPSPVPSPRLPETPLFLNNNNLNSFKEVGACCNSPVADVEARISGTNVILRTVSRRIPGQIVRIITVLERLSFEILHLNISSMEDTVLYSFVVKIGLECQLSVEDLALEVQQSFRSETAAYIKEIEN >itb07g01030.t1 pep chromosome:ASM357664v1:7:648794:654908:-1 gene:itb07g01030 transcript:itb07g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKDGGFGVSSENGKKKKKLVFAVNGERFELPNAHPSTTLLSFLRSHTLYKSPKLGCGEGGCGACVVLVSKYDPVHSQVEDYTVSACLTLLCSVNGCSVTTSEGLGNSRDGFHPIHQRFAGFHASQCGFCTPGMCVSLFSALINADKLHRPQPPQGFSKLTVSEAERAISGNLCRCTGYRPIADACKSFAADVDIEDLGLNCFWNKEDSREVKVGKLPFYDPGIRICTYPEFLKTGKEQKQKSGILLGSERCHWDCPVSLEELQGLLGCDLGENGERVKLVVGNTGMGYYKDFEEYDRYIDLRYISELSVIKRDCSGIEVGATVTISKLILNLREESEDLGLSGKIVLGKIADHMEKIGAGFIRNTASVGGNLVMAQKTRFPSDIATLLLAVGSSVVIMEGQKQEKIGLEELFERPELDSRTVVLSVWIPFRATMKTGGSCDSRLLFEAYRAAPRPLGNALPYVNAAFLADVCATRNGYLINHVRLAFGAFGTKHSVRARKVEDFLTGKTLSVNVLYEALKLVKATVVPQPGTSHPEYRSSVISSFLFKFLQGFIAFDPTISRGLPDNTATSIEICQNENRGLLSSGNQCVNFSKDYYPVGKPIAKSGASIQASGEAVYVDDIPSPSNCLYGAFIYSTQPLAWVKGIKFKSDSVPDGVAAVITYKDIPTRGENIGSITMCGSEPLFADHLTRCAGDRIALVVAESQRQADAAARMAIVEYDTENLDPPILSVEDAVKKSSFFEVPPMLIPERVGDFSKGMAEADHKILSTKISLGSQYFFYMETQTSLAVPDEDNCMLIYSSSQCPESAHSVIARCLGVPEHNIRVVTRRVGGGFGGKAVRAMPISTACALAAYKLNRPVRIYLDRKTDMIMAGGRHPMEVTYSVGFKWSGKITALHLDILINAGIEVDLSPVLPNNIIGGVSKYNWGALSFDVKVCKTNEFTKSFMRAPGELQGSFVAEAVMEHVASLVSRDVDSVRGENLHTFESLKAFYRQNAGELVDYTLPAVMESLARSSRFLERTEMIQRFNQQNRWKKRGISRVPVVAEVLVSPTPAKVSILSDGSIVLELGGVELGQGLWTKVKQMAAYALGLGLALCDQPEELVGKVRVVQSDTLSIVQGGYTSGSTTSESSCEAVRLCCNVLIERLSPLKTTLQDQTGSVDWNTLILQAYNAQVNLAANSYYVPDINFTRYLNYGAAVSEVEVDILTGESRILRADIAYDCGQSLNPAVDMGQIEGAFVQGVGFFMLEEYLTNADGLVVSDSTWTYKIPTIDTIPHQLNVDVLNTGHHKNRILSSKASGEPPLLLAASVHCATRAAIKEARKQLFGLGIQPFGRRKQLLEFDDFSNFFLEVPATMPVVKKHCGLDYAEKFLEFLLSHQPN >itb07g23710.t1 pep chromosome:ASM357664v1:7:28075699:28080311:1 gene:itb07g23710 transcript:itb07g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCSDCFSDLLCGEDSDIIFSGGDEETPECSSSDLAESLPIEADLDESIAGLMDDERNFVPGIDYAERFLSQSLSAAAREDCIHWMLKVQRYYGFQPLTVYLAVNYFDRFLYSRRLPTNGWPLQLLSIACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIRRMELLVLTVLDWRLRSITPFNFLGFFAFKLDPTGTYTGFFISRATEIILSNIREASFLEYWPSCIAAATILCAANDLPNFSLVNAEHAESWCGGLIKEKIISCYQLMRKIAIELSARRYPKVLPQVRVMSRPSISSSDTSSSSSSSSPSYKRRKLNNSVSLSMDDERESSG >itb04g15190.t1 pep chromosome:ASM357664v1:4:16347694:16349972:1 gene:itb04g15190 transcript:itb04g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSASSNYNKSPAPHKEPPTGIPVGGPPPNQAPAVWSSGLCDCCDDASNCCITWCCPCITFGRIAHITDKGATSCCASGALYCLIAAFIGCPCLYSCFYRSKLRKDYMLPESPCGDCLVHCCCESCALCQEYRELKHRGFNMSLGWEGNMERQNMGVGMTAPGVQGGMNR >itb07g04780.t1 pep chromosome:ASM357664v1:7:3224319:3228237:1 gene:itb07g04780 transcript:itb07g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMASLSKWVFLSIFFLSINRSVSDPRASELALICTNRTAPQSQRQSYVVNFVAALDALTPLVDSKKYGETVIGAGNATVYAFGECMRDLSQTDCNLCFAQIKTQILRCLPFQRLVRGGRLFYDGCFVRYDDYVFFNESLSLSDRTVCGSEDFGGNSRLLRRNAAALVGELGRKAAANDGFFTAAVGNGNSTVYGLAQCWEFVRGKSCEECLAAAVSEIGSCLPKEEGRVLNAGCYMRYSTKKFYDNSTIPATNQSGRSSSNHLPVILATTLGFVAFLLILGSVAFIVRKRIVKQRKERKQLGAMLVTVNKSKLNFSYETLERATNYFDDSNKLGQGGSGCVYKGVLPDGQAVAIKRLFFNTTQWVDHFFNEVNLISGIHHKNLVKLLGCSITGPESLLVYEFVPNQSLYDYTSARKNLPPLSWEQRYKIILGTAEGLAYLHEETELCIIHRDIKLSNVLLDEDFTPKIADFGLVRLFPEDKSHISTAVAGTLGYMAPEYVVQGKLTEKADVYSFGVLVIEVVSGKKNSSFYQTSVSLLQQHWNLFRAGKLYEAVDASLEESTREEATRQLQIGLVCVQASPELRPSMSAVVKMISGEQDIPEPTQPPFLTSNSATGSSSSYPKRGVVTPYSQPASSTQSSVNTMTESWIEPR >itb07g04780.t2 pep chromosome:ASM357664v1:7:3224319:3228237:1 gene:itb07g04780 transcript:itb07g04780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKMASLSKWVFLSIFFLSINRSVSDPRASELALICTNRTAPQSQRQSYVVNFVAALDALTPLVDSKKYGETVIGAGNATVYAFGECMRDLSQTDCNLCFAQIKTQILRCLPFQRLVRGGRLFYDGCFVRYDDYVFFNESLSLSDRTVCGSEDFGGNSRLLRRNAAALVGELGRKAAANDGFFTAAVGNGNSTVYGLAQCWEFVRGKSCEECLAAAVSEIGSCLPKEEGRVLNAGCYMRYSTKKFYDNSTIPATNQSGRSSSNHLPVILATTLGFVAFLLILGSVAFIVRKRIVKQRKERKQLGAMLVTVNKSKLNFSYETLERATNYFDDSNKLGQGGSGCVYKGVLPDGQAVAIKRLFFNTTQWVDHFFNEVNLISGIHHKNLVKLLGCSITGPESLLVYEFVPNQSLYDYTSARKNLPPLSWEQRYKIILGTAEGLAYLHEETELCIIHRDIKLSNVLLDEDFTPKIADFGLVRLFPEDKSHISTAVAGTL >itb08g14600.t1 pep chromosome:ASM357664v1:8:16326793:16329236:1 gene:itb08g14600 transcript:itb08g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGVLLKLLDGMNSGVKATSEHRSSLLQVTDIVPAELDDNDLWPKHGFYIKVSDSSHSIYVSLPFEQDDLVLSNKMQLGQFIYVDRLEQGSPVPVARGAKPLPGRHPLVGTPEPLMGLREKGDKNNPNFSAPRRGSWGTPQIGASPLVRKPVPLDFDQITPVKHGGNVPMSPVIRGRGVGKDESGLRSSVGGALLSKFVEAKVESSPALVRKSCATPTMLKFPRSRSLGNRGAGSRIIKSPLPSSEKKSCSPPPNSRNSKMAASPNTCAESQNPLPCPKTSTVLQPQCLDSPPDNGSLPMNLPGKLSMLGKEAVQQREKAQKVALQALRDASATENLVRSLKMFSNLSRAAKAEAPAASFDQFLEFYEQLVQAKAEMVSIQAAIAASEMAQNPNIEPKGGGKEHENNHQSPSVLHETTAEEKRNSDSKRRAALYKSIASFPERSDQKSSILGKHLRSNQKSASTPLGKLLLQQQQAESSVENDENKKPGGASSISGLSNTIKLGQQIEDEAGNWFMEFLEKALEKGMKNPKVPQSLLLKVINWVEVEQCDSSKRPVHSRAANIARKLRIKVKNP >itb01g22150.t1 pep chromosome:ASM357664v1:1:28097685:28099177:-1 gene:itb01g22150 transcript:itb01g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIKSPAPAAEFNFDSACTTPYMSAPSSPQRFGNFFYSAPASPTRVSALAGGGVPFDWEEEPGIPKLKEDEDYEDDDEFAFDFSGQLEKSSFSAADELFDGGKIKPLKPPPRFQYEGKPPDSPKSPKQRIKEAFSPRHRRKDFDPFSAAIEQSRRDDKDDNDSSNQRRGRERNADPTAVRSNSRHKGTRSLSPFRISDLLLDRDENTCSSSSSSSSSSAAVSSFISMWYRKWKLKDLLLFRSASEGRASSKEMMNKYAMLKKSHHHDDVKNASFRSTESAGSTSRRRGPVSAHELHYTVNRAASEEMKRKTFLPYKQGLLGCLGVHPTVPEISKGLASMSMPRTRQQ >itb14g18250.t1 pep chromosome:ASM357664v1:14:21339813:21343878:-1 gene:itb14g18250 transcript:itb14g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRSQGMNHFCFHINRRIVMKICSVYQLLCSLFVLATLFSLALGDLSSDEQALLAFSNSVPHGRKINWNPGNPICSWVGVNCSEGTAPRVVAVRLPGIGLTGPIPEKTLGKLDALRTLSLRANFLNGSLPSDLTSLPSLHYLFLQHNNFSGDIPTTFSTQLYILDLSYNSFSGSIPAAVQNLTQLIGLNLQNNYLSGPIPNLVPPRLKHLNLSYNHLNGSIPSSLQKFPNYSFVGNLLLCGLPLIPCSPLQPPSPAPGTPPRKQGHKKKLKLGIIIAIAVGGAVLLFLVALFIFLCYLKKKESDDRGARKEKAPTRGRSEKPMEEFGSGVQEPEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSFGTAYKAILEESTTVVVKRLKEVIVGKRDFEQQMEIIGAVGQHPNVVPLRAYYYSKDEKLLVYDYFSRGSLSMLLHRNKTIERTPLDWETRVKIALAAARGIAHIQSTGGAKFSHGNIKSSNVLLNQDFDACVSDFGLAPLMNFPAAPSRHPGYRGPEVIETRKHTHKSDVYSFGVLLLEMLTGKLPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVARVPDMRPNMDEVVRMIEEVRLSDSDNRQSSEEIKSRDS >itb14g18250.t2 pep chromosome:ASM357664v1:14:21339813:21342529:-1 gene:itb14g18250 transcript:itb14g18250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFCFHINRRIVMKICSVYQLLCSLFVLATLFSLALGDLSSDEQALLAFSNSVPHGRKINWNPGNPICSWVGVNCSEGTAPRVVAVRLPGIGLTGPIPEKTLGKLDALRTLSLRANFLNGSLPSDLTSLPSLHYLFLQHNNFSGDIPTTFSTQLYILDLSYNSFSGSIPAAVQNLTQLIGLNLQNNYLSGPIPNLVPPRLKHLNLSYNHLNGSIPSSLQKFPNYSFVGNLLLCGLPLIPCSPLQPPSPAPGTPPRKQGHKKKLKLGIIIAIAVGGAVLLFLVALFIFLCYLKKKESDDRGARKEKAPTRGRSEKPMEEFGSGVQEPEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSFGTAYKAILEESTTVVVKRLKEVIVGKRDFEQQMEIIGAVGQHPNVVPLRAYYYSKDEKLLVYDYFSRGSLSMLLHRNKTIERTPLDWETRVKIALAAARGIAHIQSTGGAKFSHGNIKSSNVLLNQDFDACVSDFGLAPLMNFPAAPSRHPGYRGPEVIETRKHTHKSDVYSFGVLLLEMLTGKLPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVARVPDMRPNMDEVVRMIEEVRLSDSDNRQSSEEIKSRDS >itb14g18250.t3 pep chromosome:ASM357664v1:14:21339867:21342650:-1 gene:itb14g18250 transcript:itb14g18250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFCFHINRRIVMKICSVYQLLCSLFVLATLFSLALGDLSSDEQALLAFSNSVPHGRKINWNPGNPICSWVGVNCSEGTAPRVVAVRLPGIGLTGPIPEKTLGKLDALRTLSLRANFLNGSLPSDLTSLPSLHYLFLQHNNFSGDIPTTFSTQLYILDLSYNSFSGSIPAAVQNLTQLIGLNLQNNYLSGPIPNLVPPRLKHLNLSYNHLNGSIPSSLQKFPNYSFVGNLLLCGLPLIPCSPLQPPSPAPGTPPRKQGHKKKLKLGIIIAIAVGGAVLLFLVALFIFLCYLKKKESDDRGARKEKAPTRGRSEKPMEEFGSGVQEPEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSFGTAYKAILEESTTVVVKRLKEVIVGKRDFEQQMEIIGAVGQHPNVVPLRAYYYSKDEKLLVYDYFSRGSLSMLLHRNKTIERTPLDWETRVKIALAAARGIAHIQSTGGAKFSHGNIKSSNVLLNQDFDACVSDFGLAPLMNFPAAPSRHPGYRGPEVIETRKHTHKSDVYSFGVLLLEMLTGKLPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVARVPDMRPNMDEVVRMIEEVRLSDSDNRQSSEEIKSRDS >itb12g04800.t1 pep chromosome:ASM357664v1:12:3176164:3188761:1 gene:itb12g04800 transcript:itb12g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MASTMAERTELAKLCRSKDWSKAIRVLDSLLSKSCLIQDICNRAFCYGQLELHKHVIKDCDKALQLDSSVLQPYILKGHALSAMGKKVEAMQVWQQGYDHAVQQCADLKQLMELEELLTIARKNSTISGDNGFMQLSGSGALLSRNPVETSENHESNGRLEPFRKPKDTEKAVKEISKDNCQSNGSHNKVRENSKDNIQSNGSHHKQTNGTCDIPDKLGSRSTVCGDVNDMSVECSGSSAVTNESSESSLVTNEPSESSIVTNEPSESSIVTNESSELLETNELSEILSQLSNKCEVRVELSDDDKKSKRFSVSKTSKTKSVTLDFRLSRGIAQVNEGRYADAISIFDQILEEDPTYPEALIGRGTAYAFQRELDSAISDFTKAIGSNPSAGEAWKRRGQARAALGESAEAIADLTKALEFEPNSADILHERGIVNFKFKDFKAAAEDLSSCVKVDKSNKSAHTYLGLALASIGEYKKAEAAHMKAIHIDPNFVEAWAHLTQFYQDLANSAKALECLHQLLQIDAGFAKAYHMRGLLLHGMGEHRNAIKDLSVGLTLDSGNVECLYLRGSCYHAIGEYKDAVKDYDAALDLELDSMEKFVLQCLAFYQKEIVLYTASKLNSEFCWFDIDGDIDPLFKEYWCKRLHPKDVCEKVYRQPPLKDSLKKGKLKTLHFASTKQTLALLQAADSIGRKIQYNCPGFLPNKRQHRMAGLAAIEIAQKISRVWRTIQVEWKHLHKGTSKSGKRARRKERINPPSRNRGGAGCSTSSFSETSSYGTTDDRPAGRPTMSWQDLYSLAVKWRQISEPCDPVVWINKLSEEFISGFGSHTPLILGQAKVARYYPNFERTLNAAKAVIKENKSVFDKNDNILDLSENGKLQEIMSAESCHDLYRVIGEDFWLATWCHSMAFEGKRLEGTRITVVKMGEVGYDFSIRTPSTPARWDAFDTEMTSAWEALCDVYCGETYGSTKLEALENVRDAILRMTFYWYNFMPLSRGSAVVGFVVLLGLLLAANMEFTGSIPEGLQVDWEAILESDPSSFISSVKKWLYPALKVNTSWKGYADVSSTFEMTGSVVAALSYSSD >itb02g18640.t1 pep chromosome:ASM357664v1:2:15038573:15046876:-1 gene:itb02g18640 transcript:itb02g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPAVSIEPFNRLVKLTARAFYDDFATKGDQPKSGRSDNRGIAVVILDALTRRAWVREEELAKELKLHTKQLRRTLRFFEEEKLITRDHRKESAKGAKVYNAAVAAAIDGHTGKEGEEKVKMHTHSYCCLDYAQIFDVVRYRLHRMKKKLRDELDSKNTVQEYICPNCGKRYTALDAIRLISPDDDYFHCESCNGELVAESDKIASQGLADGDDNARRHRRETLEKMLRRMEEQLKPLMDQLARVKDLPVPEFGSLQAWELQAGSVARGAGDLNGNDPSKSSQGGTPMPFVGETKVEVAFSGQDEKGDNIKSEGSSSPMKVLPPWMIKQGMNLTKEQRGEAIKQEKMDGTSAPMGLSDDKKSEASKDDVKNIQDEYVKAYYAALLQQQYHQQEAAAKKVVKEEQSTDDAPSMSTERQVGMKFKREDDDEGDDVEWEDAIPAGSGSNTTGNFKVGDLNVQAEATEDEDDDDIDWEEG >itb09g02760.t1 pep chromosome:ASM357664v1:9:1561311:1563017:-1 gene:itb09g02760 transcript:itb09g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYRIATLINPKTHKSTHFGAARRQTIGSYSSFKKSFSSQAWLSSPKASSYANLEAIIPTMSEIMESSRAQNLDLQLQTLGPFFRITARNLTTKNELGRAEGLTRVWFGGKILHLDSIKLNRETLGMEKSIFGIGVFIGAVAIRHGYDCGCTKAELLAINDTDLYHSKLVRFYRRIGFKVVQEVKGESLGDIGHMLIWGGIGTRMDANVEDLLIKWCARFKPSSSDRSAVRTRQQF >itb10g16460.t1 pep chromosome:ASM357664v1:10:22691062:22697596:-1 gene:itb10g16460 transcript:itb10g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWAMDFDCNMKNIKDSISRAKAAGAVIRLGPELEITGYGCEDHFLELDTVSHAWECLKELLLGDWTDGILCSFGMPVVKGSEHYNCQVFCLNRKILMIRPKMWLANDGNYRELRWFTAWKRRDLEDFQLPSEISEALSQTTVPFGYGYIQFLDTAVAAEVCEELFVPSPPHGELALNGVEVFMNASGSHHQLRKLDLRIRAFISATHMRGGVYMYSNQQGCDGGRLYFDGCSCVVVNGDLLAQGSQFSLKDVEMVFAEIDLDAVASLRASISSFQEQASCKPKVSAVVVAYKLCQSFNLQRSLSSPLKIRYHSPEEEISLGPACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGSMCQLVVKEIANGDEQVKADAMRIGHYTDGKFPTDSKEFAKRIFYTVFMGSENSSEATTSRAKLLADEIGSWHLNVSIDVVVSSLISLFQTLTGKRPRYKVDGGSNTENLALQNIQARVRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEALRGYLTKYDCSSADINPIGSISKTDLRTFLRWAAIHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYDELSVYGRMRKIFRCGPVSMFKNLCYKWGTKLTPKEVADKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNVRWPYQFRKIDELVNELDGDRVAITQSTAQETADVTTDGGMGVVAAGAGNPRAGV >itb10g16460.t2 pep chromosome:ASM357664v1:10:22691062:22697516:-1 gene:itb10g16460 transcript:itb10g16460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWAMDFDCNMKNIKDSISRAKAAGAVIRLGPELEITGYGCEDHFLELDTVSHAWECLKELLLGDWTDGILCSFGMPVVKGSEHYNCQVFCLNRKILMIRPKMWLANDGNYRELRWFTAWKRRDLEDFQLPSEISEALSQTTVPFGYGYIQFLDTAVAAEVCEELFVPSPPHGELALNGVEVFMNASGSHHQLRKLDLRIRAFISATHMRGGVYMYSNQQGCDGGRLYFDGCSCVVVNGDLLAQGSQFSLKDVEMVFAEIDLDAVASLRASISSFQEQASCKPKVSAVVVAYKLCQSFNLQRSLSSPLKIRYHSPEEEISLGPACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGSMCQLVVKEIANGDEQVKADAMRIGHYTDGKFPTDSKEFAKRIFYTVFMGSENSSEATTSRAKLLADEIGSWHLNVSIDVVVSSLISLFQTLTGKRPRYKVDGGSNTENLALQNIQARVRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEALRGYLTKYDCSSADINPIGSISKTDLRTFLRWAAIHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYDELSVYGRMRKIFRCGPVSMFKNLCYKWGTKLTPKEVADKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNVRWPYQFRKIDELVNELDGDRVAITQSTAQETADVTTDGGMGVVAAGAGNPRAGV >itb04g25720.t1 pep chromosome:ASM357664v1:4:30248033:30254643:1 gene:itb04g25720 transcript:itb04g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSGASYGCVSCVGRNSWYEDLDSYFPIRPECQADVPKTRFKTRAGKTLSQRRWNAAFSLDGQLDIAAVLRRIQRGGIHPSIKAAVWEFLLGCFDPSSTFEERNELKQQRREKYAAWKAECQKIEPTVGSGRLMTSITDNGQNLENITVPGFSQGNNDSFDKTLIQWKLSLSQIGLDVLRTDRSLVFYENEANRAKLWDILAVYAWVDKDISYVQGMSDICSPMAILFENEADAFWCFEHAMRRLRENFMCTANSVGVQAQLNTLSQIVKTIDPKLHRHLEELDGGEYLFAFRMLMVLFRRELSFVDSLYLWEVMWAMEYNPHIYSSYVESHHTTENVKLSNKELKQYGKFERKYAKTGWTDHRNALAVFLVAAVLETKQKRLMKEARGLDDIVNIMGEVTGNLDAKKALDGALKVHEKYLNKASFIALIVYYRILRESWGKVYDFHSDYCSLEVKDDEHKDEEKESEIQIGFPTDVKHVAHIGWDGPSAVDNPTWMNEFKGSGALKSAPLGPPTDSKENPEIKWVSEDSKGRRAPKSESRDAGVEGSSKPRRHSVANDSTAAAAAAAGGGGGDSPKSSKPRQTRRSKASSDGAKIKDPAAAADGGPDVPKKSRRKKSRDFNADGSIRSTRTSKSSGTAAGASTPDAAADQSSQDSTNANPPSSKTQEDGENPVLF >itb11g06490.t1 pep chromosome:ASM357664v1:11:3882727:3894566:1 gene:itb11g06490 transcript:itb11g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLPSSTSNNNKRSLSSSDDKSPSPKRHKSLPVNKAENDNADSSEKSTGPAENPKESSSTGPPPEAAAADGGASSPKAEDTTAVSVATPVPQGVTPVIVDKPCSIGVRKHEITKAPWCRLLAEYPQNSTMSISMSSFSVGSNKSANLLIKDQTVSGILCTIRLTQREGNSVAVLESKGKKGSVQVNGKTIRKNTTHVLNSGDEIIFGLLGSHAYIFQKLPYDRILKSASSDLRAGVGKLLHVERRAGDASAVAGASILASLSSLRQDLSRLKPTSKVRDRNYPTNELPSFPVGHEDELDGPEVNSATNVGVNYDADVGVHSKNLPIDGNLDSVLESGNVEEREWTRDSTQASTSGMSLRCAVFKEDIQGRIIDGRDIDVSFDNFPYYLSENTKNVLIAASYIHMKHREQVKLTSELPTVNPRILLSGPAGSEIYQEMLAKALAHYYGAKLLIFDSHSFLRGLSSKEAELLKEKYSVQKTTNGTDQIPRAPEWAKASGHSSGKAVMASSSDAPLGLESHPKMDIDDAPSLVGTSKNSMFRTGDKVKFIGSADGLYSNSSAKRGPTIGSRGKIMLHFEDNPLSKIGVRFEKHMPDGVDFGGLCDPGHGFFCKANELRLEASGAEDLDKSLINTLFEVVYDESRNSPFILFMKDAEKSMAGNSDFSTFKSRLEKLPDNVIIIGSHAHTDTRKEKSHAGGLLFTKFGGNQTALLDLAFPDSFGRLHDRGKEVTKTAKLFTKLFPNKVTIHMPQDEALQASWKQQLERDAETLKTKGNLNSLRTVLSRNGLECDGLETLCIKDHNFSIESAEKVIGWALSHHLMQNPQADSDARIALSPESSIQYGIDILQAVQNESKSLKKSLKDVVTENDFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVSLPDAPNRAKILKVILAKEDLSPDIDLDSVAGMTDGYSGSDLKNLCVAAAYRPIREILEKEKKEQAAALAEGKAPPTLCSSADIRPLNLDDFKHAHEQVCASVMSESINMTELVQWNELYGEGGSRRKKSLSYFM >itb11g06490.t2 pep chromosome:ASM357664v1:11:3882722:3894566:1 gene:itb11g06490 transcript:itb11g06490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLPSSTSNNNKRSLSSSDDKSPSPKRHKSLPVNKAENDNADSSEKSTGPAENPKESSSTGPPPEAAAADGGASSPKAEDTTAVSVATPVPQGVTPVIVDKPCSIGVRKHEITKAPWCRLLAEYPQNSTMSISMSSFSVGSNKSANLLIKDQTVSGILCTIRLTQREGNSVAVLESKGKKGSVQVNGKTIRKNTTHVLNSGDEIIFGLLGSHAYIFQKLPYDRILKSASSDLRAGVGKLLHVERRAGDASAVAGASILASLSSLRQDLSRLKPTSKVRDRNYPTNELPSFPVGHEDELDGPEVNSATNVGVNYDADVGVHSKNLPIDGNLDSVLESGNVEEREWTRDSTQASTSGMSLRCAVFKEDIQGRIIDGRDIDVSFDNFPYYLSENTKNVLIAASYIHMKHREQVKLTSELPTVNPRILLSGPAGSEIYQEMLAKALAHYYGAKLLIFDSHSFLRGLSSKEAELLKEKYSVQKTTNGTDQIPRAPEWAKASGHSSGKAVMASSSDAPLGLESHPKMDIDDAPSLVGTSKNSMFRTGDKVKFIGSADGLYSNSSAKRGPTIGSRGKIMLHFEDNPLSKIGVRFEKHMPDGVDFGGLCDPGHGFFCKANELRLEASGAEDLDKSLINTLFEVVYDESRNSPFILFMKDAEKSMAGNSDFSTFKSRLEKLPDNVIIIGSHAHTDTRKEKSHAGGLLFTKFGGNQTALLDLAFPDSFGRLHDRGKEVTKTAKLFTKLFPNKVTIHMPQDEALQASWKQQLERDAETLKTKGNLNSLRTVLSRNGLECDGLETLCIKDHNFSIESAEKVIGWALSHHLMQNPQADSDARIALSPESIQYGIDILQAVQNESKSLKKSLKDVVTENDFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVSLPDAPNRAKILKVILAKEDLSPDIDLDSVAGMTDGYSGSDLKNLCVAAAYRPIREILEKEKKEQAAALAEGKAPPTLCSSADIRPLNLDDFKHAHEQVCASVMSESINMTELVQWNELYGEGGSRRKKSLSYFM >itb09g29650.t2 pep chromosome:ASM357664v1:9:30378493:30383114:-1 gene:itb09g29650 transcript:itb09g29650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKDFWKPRNRKCQKNSSAIPMISQVMVATRGATDAFSGVGRHVNNSLRHLGVKNIEAGIGCGIGFGHGFGIGLAVKPGVVHQIQSSLAQLAAKAMMKLGMAPNLSVGQGIVPKSIQTGMGVVSETSTHNPLGNVMPVQPKVLENFMPKDGNSSFFSSNETSDLEKNPLRSLSTSYSSRTENVISNFLQTPLFKGGENEANELTERLRSENNLLHLVLKHQKVIEELMQENEKLRQILVEDLKVSPAKLQASYSSGIKSPCSECFDCRRKQRRR >itb09g29650.t1 pep chromosome:ASM357664v1:9:30378493:30383510:-1 gene:itb09g29650 transcript:itb09g29650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGIDSTINMDPKSGVIVTKEREWMTRIENPFTLKVGQVFTGFGIGCGIGIGVGRPLNLGAIPMISQVMVATRGATDAFSGVGRHVNNSLRHLGVKNIEAGIGCGIGFGHGFGIGLAVKPGVVHQIQSSLAQLAAKAMMKLGMAPNLSVGQGIVPKSIQTGMGVVSETSTHNPLGNVMPVQPKVLENFMPKDGNSSFFSSNETSDLEKNPLRSLSTSYSSRTENVISNFLQTPLFKGGENEANELTERLRSENNLLHLVLKHQKVIEELMQENEKLRQILVEDLKVSPAKLQASYSSGIKSPCSECFDCRRKQRRR >itb09g29650.t3 pep chromosome:ASM357664v1:9:30378530:30383437:-1 gene:itb09g29650 transcript:itb09g29650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGIDSTINMDPKSGVIVTKEREWMTRIENPFTLKVGQVFTGFGIGCGIGIGVGRPLNLGAIPMISQVMVATRGATDAFSGVGRHVNNSLRHLGVKNIEAGIGCGIGFGHGFGIGLAVKPGVVHQIQSSLAQLAAKAMMKLGMAPNLSVGQGIVPKSIQTGMGVVSETSTHNPLGNVMPVQPKVLENFMPKDGNSSFFSSNETSDLEKNPLRSLSTSYSSRTENVISNFLQTPLFKGGENEANELTERLRSENNLLHLENEKLRQILVEDLKVSPAKLQASYSSGIKSPCSECFDCRRKQRRR >itb01g24440.t2 pep chromosome:ASM357664v1:1:30076654:30082323:1 gene:itb01g24440 transcript:itb01g24440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISIPSLLIYRHRPRRLATALSSIRQLNLSLFSHSTSPSSLCVESSAQSPAANAGSGRSGSLAAPPPQLDGVQKIEVNPPKGTRDFPPEDMRLRSWLFQNFREVSQLFGFEEVDFPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPDVTAEAELISSIITFFKRIGITSLDVGFKVSSRKVLQEVLHCYSVPEHMFSRVCVIIDKLEKIPIEDIKKELKSAELSEVAIEELLQVLSVKSLEKLEEKLGTDAEALADLKKLFSLAEKYGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDKLLSTFGGDDLPACGFGFGDAVIVELLKEKGLVPELSLQVDNIVCSLDPDLQGAASSVAAILREKGQTVDLVLENKPLKWVFKRAARINAGRLILVGSDEWRRGTVAVKILSSGDQYEVQVKELQ >itb01g24440.t1 pep chromosome:ASM357664v1:1:30076654:30082323:1 gene:itb01g24440 transcript:itb01g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGTRAAARSLKAESMPAISIPSLLIYRHRPRRLATALSSIRQLNLSLFSHSTSPSSLCVESSAQSPAANAGSGRSGSLAAPPPQLDGVQKIEVNPPKGTRDFPPEDMRLRSWLFQNFREVSQLFGFEEVDFPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPDVTAEAELISSIITFFKRIGITSLDVGFKVSSRKVLQEVLHCYSVPEHMFSRVCVIIDKLEKIPIEDIKKELKSAELSEVAIEELLQVLSVKSLEKLEEKLGTDAEALADLKKLFSLAEKYGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDKLLSTFGGDDLPACGFGFGDAVIVELLKEKGLVPELSLQVDNIVCSLDPDLQGAASSVAAILREKGQTVDLVLENKPLKWVFKRAARINAGRLILVGSDEWRRGTVAVKILSSGDQYEVQVKELQ >itb08g15620.t1 pep chromosome:ASM357664v1:8:17780438:17783835:-1 gene:itb08g15620 transcript:itb08g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRVKGFWGSVVVIGVIVWLLMVTALQNLERGSMKEVDMRIDENTNFHRKMDLINFISKRRVPNGSDPIHNRKAGNSRRPPGQAFEGDFNMP >itb11g06660.t2 pep chromosome:ASM357664v1:11:4009799:4012463:1 gene:itb11g06660 transcript:itb11g06660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRQTGRPRGFGFITYADPAVVDTVIAETHIINGKQVEIKRTIPKGSAESKDIKTKKIFVGGIPTVVTEDELKSFFSKYGKVVEHEIIRDHVTKRSRGFGFIVFDNEQVVDNILADGNMLDMSGTQVEIKKAEPKKPSYSAPAPAYGSEPRGRGYADNYGGFGNNYSSFDSGGFGPASYRSYGGLGGGRFGEYGYGAGEYGGRYGDFAGGDFGGGYRGEPSLGYSSRFGSYAGGLGGGYAGSGLGPYGRGGGGYGGFGGAGSGAGYDSGPGAGYGGPGGMYGNRAGYSGPSGSGRYHPYAR >itb11g06660.t1 pep chromosome:ASM357664v1:11:4009375:4012463:1 gene:itb11g06660 transcript:itb11g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRFNFGDGASPGKIFIGGLAKDTTLDQFVKYFGKYGDITDSVIMKDRQTGRPRGFGFITYADPAVVDTVIAETHIINGKQVEIKRTIPKGSAESKDIKTKKIFVGGIPTVVTEDELKSFFSKYGKVVEHEIIRDHVTKRSRGFGFIVFDNEQVVDNILADGNMLDMSGTQVEIKKAEPKKPSYSAPAPAYGSEPRGRGYADNYGGFGNNYSSFDSGGFGPASYRSYGGLGGGRFGEYGYGAGEYGGRYGDFAGGDFGGGYRGEPSLGYSSRFGSYAGGLGGGYAGSGLGPYGRGGGGYGGFGGAGSGAGYDSGPGAGYGGPGGMYGNRAGYSGPSGSGRYHPYAR >itb13g21900.t1 pep chromosome:ASM357664v1:13:28390221:28392586:1 gene:itb13g21900 transcript:itb13g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVFSDAITAVASTHNSKFRLNRHHGFTSNNVVSLSDDQQSLPSSASAFVQISAAFSPTDAVAPPPVRSNRTRTAPRRLARKTRRVRRKLLGGGGGEDDGGEFGFFFDGGDDDGAFGGGNSWGGGSGWNFGGYGGSNWDEPSSNSISDPAFDFVYEVLSWIVFSNCLHFAFKKVVRIVAGGLGDPAREKVPMRLTPVC >itb04g02170.t1 pep chromosome:ASM357664v1:4:1314292:1315521:-1 gene:itb04g02170 transcript:itb04g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPFSNIPNEIIPHILLQLPMKAVIRCQCVCKQWRSLIDDSDFKLSYCGQRRVIILSLDSKSQDYNWNFRFLLVRSTSHDSGLQRHELPFGEAAYPLINRASDEYPVSSLCSCNGIVLLMAKRDILLWNPSTRCSTKVLESPYPEKSNSVLLGGLCYDSCTRDYKAVLSIRPLIGPGPLDREFGNPFVISTSLNHEEWRPVQFPYNLDSTRGGVEFHNTFHWWASDIENWHSNMDYFSGGNRNRIVYFDPVCDEFKILPTPELRQNFPIVGLGVIDDCLSIACTVHKQKNSIPITIQVLIMKEYGIQESWMTAFAVQINTQYPYTIASYGLTFYSQKNNAQEVLFLHAMNWSEGKVYVYDRKKDELREVPLDFPKNDSGRRGIWCVSMCFYVESLASLPLQPHMIN >itb06g17680.t1 pep chromosome:ASM357664v1:6:21471764:21476858:1 gene:itb06g17680 transcript:itb06g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLELSPSSSSHAIKASTFHSSKLSYVPSRISHQRRLNVRPPPRTRHAKVFCSVTSKEVQAPVAVPTEDPKKKSECYGVFCLTYDLKAEEETSSWKKLINVSVSGAAGMISNHLLFKLAAGEVFGPDQPIALKLLGSERSREALEGVAMELEDSLYPLLREVSIGIDPYDVFRDAEWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKINGLPVKEVIKDTKWLEEEFTEKVQKRGGALIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSTGVYTNGNPYGIAEDIVFSMPCRSNGDGDYELVKDVIFDDYLWSRIKTTEAELLAEKKCVAHLTGEGIAVCDLPGDTMLPGEM >itb06g19830.t1 pep chromosome:ASM357664v1:6:23032390:23039590:-1 gene:itb06g19830 transcript:itb06g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 28 [Source:Projected from Arabidopsis thaliana (AT4G16630) UniProtKB/Swiss-Prot;Acc:Q9ZRZ8] MVTSDFVFEPPSDEEVEYAHDGESEEEEEDDDDIEEKEISSRAKNKKSQSPWDFSTYSESVADEHARRSTTSVDYKISKALQQRAGPIAADDDEEEDDSDSDYEPHHQEDYVPEDDDDDVDTSGVDRKSFFASAEGVSFHANSFMELHLSRPLLRACEALGYAKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPTLERLLYRPKNRPAIRVLILTPTRELAVQVHSMIEKLAQFMTDIRCCLVVGGLSVKEQVSALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIRELVRLCPKRRQTMLFSATMTEEVDELIKLSLNKPLRLSADPSAKRPSTLTEEVVRIRRMREGNQEAVLLALCSKTFTSKVIVFSGTKQAAHRLKILFGLAGFKAAELHGNLTQAQRLGALELFRKQEVDFLIATNVAARGLDIIGVQTVINFACPRDLTSYVHQVGRTARAGREGYAVTFVTDNDRSLLKAIVKRAGSRLKSRIVAEQSIIKWAKIIDQMEEQVAEILQEEREEMILRKAEMEATKAENMIAHKDEIYSRPKRTWFMTEKEKKLVAKAAKGSMEKGRDSVNKVISAEQAEDLKMKEKRKREREKNLPRKKRRKLEAARELLEDENGSDERNEKTKKDKKGINLVDLAYRRAKSVKAAKRAADAGKIIRKEGKKTKRPTKVNQSRTEEMRDLFKADMSEEKKKRSHSGGVKKKSSFKSKSRYKRK >itb04g29060.t1 pep chromosome:ASM357664v1:4:32568488:32570368:1 gene:itb04g29060 transcript:itb04g29060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXIP4 [Source:Projected from Arabidopsis thaliana (AT2G28910) UniProtKB/TrEMBL;Acc:A0A178VV16] MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKKSAPKSAGSAAEPDNPYANFQGLLALARITGSNADEARGACKKCGRVGHLTYQCRNFLSVKEDSGKDKDEEGIEAAVLSRLEKWKGKKATAESEESSEEEESESSDSEYDSEMERAIAEKYGKNSKKVSRKVKSSGKSKNDGLDDDDSDSAERKKRGRSKKRRSGKRGHEDSEEENEEKDRRKRRKEKRRRRDESSDDDEERHRRKRKSRKEKRRRRSHRHPSDSDESSDDSPPRRKRRSRRSGTSSDSDACSSDDLRVGRDKKRSEKRSRNCHDDD >itb08g07840.t1 pep chromosome:ASM357664v1:8:6761936:6762202:1 gene:itb08g07840 transcript:itb08g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLLLSDSEAVFESALGLYDLNLAAMVALNSQKDPKEFLPYLPERLHDSRRASAPSETPPGKNLTTAAPPMIIVFSNSTWTSELQL >itb07g02560.t1 pep chromosome:ASM357664v1:7:1652323:1652918:1 gene:itb07g02560 transcript:itb07g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKVNLMPEGNGEKWDDHSLGQVEGIMVYHNSIAVRSLGFLCVKDNINQMSEQHGKCLGKCEMIILDYPTEFFIGVYGFYYTSSSNTHVIRCITFVTNKATYGPFGGSPYSTAESAFSLQLWGKESNRITGFFGTSVNTNLTSFGVYIQKSTPRQPGKSDGNEVKSEV >itb02g23320.t1 pep chromosome:ASM357664v1:2:23163685:23168585:-1 gene:itb02g23320 transcript:itb02g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSKKDSSGNGDGNANNVVKVKRTRKSVPRDSPPQRSSVFRGVTRHRWTGRYEAHLWDKNSWNEAQSKKGRQGAYDDEETAAHAYDLAALKYWGQETILNFPLATYEKELKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYVKWLRPDQNPNNLQPNPNPSNDAVSDHHDELITTQDQGLSFLHQQQQPQQSSGSSETASPPPHDPSAAAASSSALGLLLQSPKFKEMLERTSAATNFPATPPEPDRPRRSFPDDIQTYFDCEDSSSFVEEHDIIFGDLSSFDSSMFHCELDA >itb13g05430.t1 pep chromosome:ASM357664v1:13:6485905:6494026:1 gene:itb13g05430 transcript:itb13g05430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGSGGSLLCISSTHNMLSSVLCVCFRVLLFDEKKNIRVNWFSIEEDMQPLQAGVLKAISFGFLSEADAAKISVKQVGAANEVVDPALGLPNPTFKCNTCGAQDGKRLVAPGGLSTSSNGKHALCCEGHFGLINLQYTVLNPYLMPEIAQLLNKICPGCKSVRLNRVKNAASTSGQSQLGNCKYCDVKIKSYPPMKFKVSRRDKFRRTALTAEVNEKVSKKFGLASDYWNIIPYDAQTESFDTSDESLKPNMRLLTHAQVYSILKEIDSEILEASFKRKNSMFLNCLPLTPNCHRIMESGHNMLFLRIEKKPSTAESLSGSASASGLKFVKELIIGKRTNHTFRMVVVGDPMINLWDIGVPRHVAEGLQIGEQLNRWNSEKLSEVCHLVILQNGRMTVQRNGELVCITASAKLRTGDIVYRPLVDGDIVLINRPPSIHQHSLIALFVKILPVDSVVSINPLICSPLRGDFDGDCLHGYVPQSMDSRVELRELVALKKQLTDGQSGQNLLALSHDSLTAAHLIMEDGVLLNRFQMQQLQMLCPHLLQLPDIIKPMPVGSFSWTGKELFSMLLPSDFDYDFPSNGVHISQGEIISSNGSSWLGSTDGNLFDSLVKHYGEEAIEFLNTAQEVLCEWLSMRGLSVSLSDIYLSDPDSHENMLDDISCGLQEAERLSHISQLMEDYNRDFLIGCSEGNENCINFEIEQQKSVARSQASIFASKREFRNIQTLFYSYASKENSLLAMLKAGSKGNLLKAVQHSMCLGLQCASALLSFQIPYNLSCVGWNDDKFHPHNIPEYSGSYIPCAVVKNSFLAGLNPLECFVHSLATRESNFGGHADVSGTLTRTLMFFMRDIYTGYDGTVRNAYGNHIVQFSYHTEHPDHAQEDHFAPNIMAGHPVGSLAACAISEAAYSALDQPISILESSPLLNLKKVLESGVQKRSGGKTASLFLSQNLGRWAFGFEYGALGVKSHLERVLFSDIVSTVMIWFSPEKCRDKRSSPWICHFHVNKRIAKKRGLKLQSITNALNRHYTATKVKANSQLPNLKITCEDCYLAGLLEEDSKFCITASIVGVSKSSSDCDILRDVVIPFLLGTVVKGFPAFKKVDILWKDGSFASKSSKKSSGELYLRVFMSENCARTKFWSELVNSCLQIMEMIDWERSHPDDIQDTSVAYGIDVAWISFLSGLNSAISEIGKRIVPEHLVLTADYLTSTGEFTALNSKGLADQRKANGLYAPFSQACLKSPGEAFVKAAKMETVDDLRGSAEALILGKTPPIGTGFHFDILYSGKGYEPLSKSTDVYSLLSMNSSLRRQNGMVNPKGNNESPMKSLPQHLSKAFSFDDIKKLSLALKNILSSYRINEPLRDSDKSIVMKALYFHPKREEKIGMGAEEIKVGQHEKHQSRCFIVERSDGTSVDFSYHKCVQNAIELIAPEKAKSFHSRWPERKL >itb12g06820.t1 pep chromosome:ASM357664v1:12:5038294:5042060:-1 gene:itb12g06820 transcript:itb12g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLFNFHSSRLQIKQVHFLLLHFTPFSSIPLQSSASPTKPTTNNASSDNFRGIAKHVISNCSHLRDNTKSLKHYFLTLSCISPETVRKFWRVRLLKPQDVLEILLGFQCGGDNFVLEPKKVESLLGIFKRASEQTKGFEHLPQSYKIMASMLVRVRLFEEVESLLSMAGTRGILLEDHEIFSDLIQGYVDELQLKKAVSNYDRMRILGLVPSLSCYKVLLEFLVQLNETQLGSQIFVDAIELGLGRTIAEGCIYGSVVRLLCAEGKVQDARNLVKKVLTLGIKPNDLVLNSMVTGYCEKKDYDDILSFFVEVRCLPDVYVANKIIHSLCTDFGSECANSFRLKLEELGFCSNEITFGILIGWSCHEGKLKDAFVYFSEILSRSLKPQIYSYDSLLSGLFKQDMWKHSQEILNEMKDKAEVLHLSTLRVLLAGYCKARQFAEVKAVVVQMANCGFIQLTPLEDPLTKAFTLLGLGPSAVKIQRDSELGYSKAEFFDDLGNGLYLDTDLEKYETAMEKVLDDAMLPDFESQILNCIGSKDIKETMLMVDNMARWGQELSLPVFSTLVKGLCECRTSMKTVIRLMEENPKFKYQLDQETSNKLAQAYGKKGFMHRARIIVNGMLQQHLSVENQTHTVLLLGLCKKGDRRALANYLQLAQRYNWVPGLKDGKALLRCLCQQGLLGKALGLFEAVLVHYPHKDFVAFNEFLEHLCDSGYTNSALVLVDTLISHGYIPDHGTYCLLINGFCKEKKFAKAFVLSETMLSKNFLLPIDVCIKLIPQLCRTGNIEKAVVLKDICAKEKPSASLYVNCVLLHGFCQSGMVGEASKLCQEMQGQGLVLDKEVYNLLVQGYFQAKDFKKIGELLGVMIRKNLNLTVASYRNFLHLMCAEGKLHLALNLKEFMLKERNLPHTLIYNILIFRLFSVNKTSIVNTLVHEMESEGLLLEEVTYNFLIQGFSRCKDVSSSLQYLKAMMQKDLRPNNRSLREVIKCLCCNGELEKALNLCEEMESRGWTLGSVIQNVILEGLLSRGNLREAVQFLDRIAIKGLIPGNIDYDVLIKWFCQHGNVDKAVDLLNIMLKKGNAPDSTSFDYVIQSFCSCNMLDLALDFHTEMLCRNLRTNISTWNTLVRSLCKGGRVAEAENLLDLMVRIGEIPSREMYYAVINEYRSEKSFSKASQVLHTMQQSGHEPDFDTHWSLISNLNNSNDKNGGEKKGGFLSRFLSEVGFSRKNPNAKKG >itb06g04930.t1 pep chromosome:ASM357664v1:6:7709350:7710496:1 gene:itb06g04930 transcript:itb06g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYSSTLIFLLVSILILSCFAQVPPNNTFKLVNEGELGPYVVEYRADYRVLDVFNNPFQLCFYNTTPNAYTLALRMGTVRSELRMRWVWEANRGRPVRDGATFELRQDGNLVLADVDGRVAWQSGTPNKGVVGFKLLPNGNMVLHDTKGNFVWQSFDYPTDTLLVDQSLRLSGPRKLVSRASEAKNANGPYTMILEPNRLGFYNQTKNSGRPILYHDTSKWFSVGKSSLEEVKFNAKTQTLKWEYKVAKSSKLGAHVLAQPKFNTTLTYLRLEIDGNLKTYTFYSDAEENFHWGESFKLF >itb03g01990.t1 pep chromosome:ASM357664v1:3:1124659:1125076:1 gene:itb03g01990 transcript:itb03g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGGGEAPAAQSHEKASSSPAPKPLAAAAPQPADHSKQVAAGILSSNANNYVRGDGQNCGNFLTERRSTKVQAAPGGGSSLGYLFGGGSGN >itb05g13180.t1 pep chromosome:ASM357664v1:5:20069181:20074867:1 gene:itb05g13180 transcript:itb05g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPHLISTYILSITIQFSTKSLNSTSELKKEAMSNPNAVLLEAYMMRKFLHKQHNTKTVENKATTPNHAAENKNISSSSSSGGCFPSMFKKVHPSAAPPPCGKDSGTVSDNIRSEAMSSPSALVVEAYAMRKIQKEKMKALENNNTSTGNLEGGMKITSSGGCFPMMLKKVHPSAAQPLGYASRPAETSRDA >itb06g16980.t1 pep chromosome:ASM357664v1:6:20946293:20951617:-1 gene:itb06g16980 transcript:itb06g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLTIFLTLVWAISLLYGEMFAFWIPSLWTCSWPPPSSSMDKVDNPGDYVKIAVLTDPQLMDKTSLNLAPKSLALEMAQFYTDLYMRRAFLSSVLPFRPDIILFLGDYFDGGPFLSNEEWQESWSRFKHIFNLDMVQQTKNIKLFYLSGNHDIGYSAFHPQMPEVISRYKKEFGVRNYHFTVGKVNFIAVDAQTLDGHPEGNESSATWKFIKDVSKNITSSPSVLLTHIPLYRPDDTSCGPHRSSSIINQRINRAEHDEEIVYQNYVTEERTNYLLELIKPALILSGHDHDQCTVVHTTKYGAVKEHTLGTISWQQGNLFPSFMLLSVSNLTSSDGSKSADAISTTLCFLPVQTFIYIWYLVLLIMTVLIVLFWPTYGLPFLHHLSEYKKSLISLSMFGGGVKEKNDDEICEYEEVWDAEGTMHLIKKTSKARPTYSSESSLERGNAVMRSAARRQNVEIDITMPADAVKLPSRANRSKIKLVIRLFRTIFIIAAFNVPLYMMLLFKDWIDK >itb06g16980.t2 pep chromosome:ASM357664v1:6:20946293:20951597:-1 gene:itb06g16980 transcript:itb06g16980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSCLMKRNHDIGYSAFHPQMPEVISRYKKEFGVRNYHFTVGKVNFIAVDAQTLDGHPEGNESSATWKFIKDVSKNITSSPSVLLTHIPLYRPDDTSCGPHRSSSIINQRINRAEHDEEIVYQNYVTEERTNYLLELIKPALILSGHDHDQCTVVHTTKYGAVKEHTLGTISWQQGNLFPSFMLLSVSNLTSSDGSKSADAISTTLCFLPVQTFIYIWYLVLLIMTVLIVLFWPTYGLPFLHHLSEYKKSLISLSMFGGGVKEKNDDEICEYEEVWDAEGTMHLIKKTSKARPTYSSESSLERGNAVMRSAARRQNVEIDITMPADAVKLPSRANRSKIKLVIRLFRTIFIIAAFNVPLYMMLLFKDWIDK >itb05g02640.t1 pep chromosome:ASM357664v1:5:2112341:2114726:-1 gene:itb05g02640 transcript:itb05g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKRARLCDLRVEVFNAGDFMSRLPDEILISVLSCLPLKEAVATAIFSRRWRNLWKSIAGLEFNSETILGGRDIFSKSLNTERIKYVNWVNRVIRQHNGLVIDSFKISFDLDKGSKSAIDNWVKVALSKNVKRLELDLLEYEDSIRDTMRNYTFPSKLFISRQSNMAAFTGLRFLTVLSLRCVNVSDQVLESFLLHCPLLEVLSVHGSGGLVNVKVVGLALKLNSLEIVFCLGLKTIELQDTTLSSLSYLGPAVTFIFKNVPKLEEVSVGMGRSGLENNVFGQLACCFNQLEILTLVIYRPQAIVNFSNIPELPKLKELVLKIGAFDDESLLQFTSLLQHSPHLERFVLQLIWLCPTKTRRRMLKGEAFRHESLKVVEICGYFGRPSDAEIAMYFIQNAPALQKLVIDPRNQILKHSSRPVEVEETARRYAHQQLTLRVPQGVELVIY >itb13g04790.t1 pep chromosome:ASM357664v1:13:5651915:5654894:-1 gene:itb13g04790 transcript:itb13g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQDSLTKFQKQQEKCQSTLSSIAAKAGTSRPTPSKSSNSSANAKPPAPAVKFSNDTERLQHINTIRKSPVGAQIKRVINLLLDTRQAFTPEQINEQCYVDINANKAVFDSLRNNPKVHYDGRRFSYKAKHDLKNKDQLLTLIRRYGEGIPIIDLKDAYPTVMEDLQSLKAAGQVWLLSNFDSQEDIAYPNDPKVRTNKVDEDIKQLFLEIELPRDMLDIEKDLLKNGMKPATNTAKRRAMAQVHGMANKPKTKKKKHEISKRTKLTNAHLPELFANLKSSS >itb03g03070.t1 pep chromosome:ASM357664v1:3:1729858:1731643:-1 gene:itb03g03070 transcript:itb03g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLQRRKCTQECVFAPYFPPDQPQKFANIHKVFGASNVSKLLNELNASQREDAVNSLAYEAEYRLRDPVYGCVGLISLLQQRLKQVQRDLNTAKRELANYIGPNAALAPFIQQAGFMQHPNHPSSSGVMQYNMQPMMMGLPTGIPHSNQLIIRDHQQIHPHPHPQQQQQLIESHQLMIGEADLIGSYEQQHQNPQQFDLMRFNGFESGGGGPGPVTATGFHQMNAAPAAITPSLALGAYDNPYPIQQPSQPEHCHPHHHHHHDHHQIQLQPQLLLQQQQQPQQLQIQQQQPPPQPETPQQQQQRAKSDEGGGRSVGPLC >itb04g28570.t1 pep chromosome:ASM357664v1:4:32280061:32281934:-1 gene:itb04g28570 transcript:itb04g28570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENGAQRRSYVILVPYPFQGHMTPMLQLGHILYSRGFSIVVAHTELNAPRPSDHPEFAFHSLADGMNEKKIELTKKLEAIYAMVENCRVPFRDYLVKQVEEKGDQLACIIYDNLMFFVDPVASQLHLPTIVLRPFNAVYLQILLHILENTDTIFPLKESRLQDPIPEFHPLRYRDMPFNFANPDVREAVRQFFLTTHDIRSSEAIIWNTVEDLEHSWLSRLQERYKVPIFPIGPMHKIASTRKTSLIEEDESCFPWLDKQAPQSVLYVSTAGSIAETNETDFAEIAWGLAGSGRPFLWVVRPGSIQGSEWTERLPEGFEARVGDTGRIVKWAPQKEVLAHGSVGGFWSHCGWNSTLESLGEGVPMICRPHFADQLVNARFLVQEWKVGVGLEELERGAIAETVRRVMVGEESEELRKRAMEMKRKLEASLQKDGHSHRALDKLVHFISSLPVSSINGVTP >itb02g02600.t1 pep chromosome:ASM357664v1:2:1519419:1523830:1 gene:itb02g02600 transcript:itb02g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFTAATAVGFNSNQRIWRSKPSPFDSVSAGTIRIATAGGSGGWSGFVVSRATVSSSTPDAVGKDKKKRRRRKKKTELQNDDGEEEEESKENEASEITSSINGEDQSSSSVSVIKRLDEVNPVGLGRRSRQIFDEVWRKFSGLGQISRTNRTDDEASLLIREGGPMCEFAIPGAQNTTVLVVGATSRVGRIVVRKLMLRGYTVNVLVRSADQEVAEMLPTSVELVIGDVGEPSTLADAVQGCNKIIYCATARSTVTVDLNRVDYQGVYNLTKALQDYNNKLAQMRAGKSSKSKLLIAKFKSEDSLKEWEVRQGTYFQDVIATKYDGGMDAKFEFTETGEAVFSGYVFTRGGYVQLSKKLSLPLGYTLDRYEGLVFSVGGNGRSYIVILEAGPSVDTTQSKMYFARISTKAGFCRVRVPFSSFRPVNPDDPPLDPFLVHTLTIRFEPRRQRSIEGPDGVQQDLRSFKLILEYIKALPTGQETDFVLVSCTGLGIEPSRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALVFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVSESGKELYELVAHLPDKANNYLTPALSVLEKNT >itb02g02600.t2 pep chromosome:ASM357664v1:2:1519419:1523830:1 gene:itb02g02600 transcript:itb02g02600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFTAATAVGFNSNQRIWRSKPSPFDSVSAGTIRIATAGGSGGWSGFVVSRATVSSSTPDAVGKDKKKRRRRKKKTELQNDDGEEEEESKENEASEITSSINGEDQSSSSVSVIKRLDEVNPVGLGRRSRQIFDEVWRKFSGLGQISRTNRTDDEASLLIREGGPMCEFAIPGAQNTTVLVVGATSRVGRIVVRKLMLRGYTVNVLVRSADQEVAEMLPTSVELVIGDVGEPSTLADAVQGCNKIIYCATARSTVTVDLNRVDYQGVYNLTKALQDYNNKLAQMRAGKSSKSKLLIAKFKSEDSLKEWEVRQGTYFQDVIATKYDGGMDAKFEFTETGEAVFSGYVFTRGGYVQLSKKLSLPLGYTLDRYEGLVFSVGGNGRSYIVILEAGPSVDTTQSKMYFARISTKAGFCRVRVPFSSFRPVNPDDPPLDPFLVHTLTIRFEPRRQRSIEGPDGVQQDLRSFKLILEYIKALPTGQETDFVLVSCTGLGIEPSRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLMEEPGGQRALVFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVSESGKELYELVNNPLLFYTRYSLTLITLSLLFKPKPSNASAALPFTTITFLHVILLH >itb01g14670.t1 pep chromosome:ASM357664v1:1:16786227:16786784:1 gene:itb01g14670 transcript:itb01g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRVHDRRRRLRWRVLDRPRRLPAGEVDDGGRLREKRRRGDGALIPLDCKGGEKAAGVSGSTAASGASGAIIVSLCFLVVRRWTVDGAACGERQRRRLTDTGPSAMAVAQTADSGRRPLSASLFSGNSLLATDGLCCVARSLVLGQLNA >itb13g24480.t1 pep chromosome:ASM357664v1:13:30152736:30153320:-1 gene:itb13g24480 transcript:itb13g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNKYLLPERRQPSRLQRRAPSSIQINRAAAAHWNVAIPLLSPLVSSPESSNNLTAAINLYSNSSSNKKDEVARKEPEKAPGPAPAVLKRWQHPAMPFCQEQAPPFVPFVQHTLIDYQKF >itb08g01930.t1 pep chromosome:ASM357664v1:8:1546095:1547832:-1 gene:itb08g01930 transcript:itb08g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAEDHSAVQDGEEDHSQCGGSGGGSGGAGGSGGGSGGAGEAEEDPAALEETEEDPVALEEGRIRRRQWKRWRIRRWKRWIIRRRGGSGGSSGGRRWKRRSWRRRWKRRIIRRGRTANGGSSGGLEEAAEDPAARKLRVRKRRSSGGAGGSGGSSGGAGGSGGSSGGAGGSGAASGGVGGSGKSSGGAGGSGTSSSSGKGSGKSSGGAEGSRKSSSSAEESRKSSSSAEESRKSSSSAEESRKSSSSAKESKKSSSSAEGSGKSSSSAEGSGKSSGGAEGSGKSSSSAEGSGKSSSSAEGSRKSSSSGEGSGSGKSSGDAEGSGKSSGDAEGSGKSSGDAEGSGKSSGGAEGSGKSSGGTEGSGKSSGGSEGSGKSSGGSKGSGKSSGGGSGGGNAGAGGGASGGSHAGVGASGHGHGGASAGVGGGLSAGAGASAGVGAGAGAGAGAGAGGGGGVNGAGSGGGGAHGGGHAGAHASASAHAGLSFGAQAHAHAHAHASAAGEGHSQASSHSNIANR >itb13g26400.t1 pep chromosome:ASM357664v1:13:31687220:31688961:-1 gene:itb13g26400 transcript:itb13g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSCQDFKVGKCGGQKVVDGESMPLVLNPAEPCENRVDSLLESLEKNKEWFEKMIIKNSAVLLRGFNVENAKEFNDIIEVLGWEDIRYVGPAPRTHVYKRVWTANEGPLSEFIYYHHEMVLFKESPKKVILFCEVPPPEGGETPFVPSFKITERMVEEFPEAVEEMEKKGLKYTFSAPSKSDVGSMRGRGWEDAFGTSDPVEAEKRANGLGMEVEWLPNNGMKTILGPRKLTRVFEGRKGRRMWFNTLVGMHGKEISSATMADGSEIDAGVVKRCGEIIEDESIQFKWEKGDVLFLDNMALFHGRRPSLPPRKVLVATCK >itb04g06280.t1 pep chromosome:ASM357664v1:4:4065165:4068782:-1 gene:itb04g06280 transcript:itb04g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL7 [Source:Projected from Arabidopsis thaliana (AT5G02800) UniProtKB/Swiss-Prot;Acc:Q0WRY5] MGWFPCSGQSARKKGCKNIAVIDPNPSDKSRSRSRNSLNSQDVSVKDGGANQIAAKTFTFRELAAATRNFRGDCLVGEGGFGRVYKGRLDSNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLTPGKKQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEGFYPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLEIITGKRAIENSKVGGEQNLVSWARPLFKDRKKFKQMADPMLQGQYPLRGLYQALAVAAMCVQEQPNMRPAIADVVTALSYLASQKFDPESQAAQNTRWSPSASPRMKKDSDKKQNGTNGSERDRTTRFK >itb12g20680.t1 pep chromosome:ASM357664v1:12:23133464:23134579:-1 gene:itb12g20680 transcript:itb12g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVNVKRQGSEAGDGGCDAVKRQKMAAICDVGEAEDGEDMMAWLTLDDEAMTELSKLLDSETTPQQQGCRVRFIEDPYSPPVIFQSSAYITINGNEESCGSSFSDLDSSMMASIDMGGVTGVFGGLSKKEKGCAWGPDADEARGWMLEKDALETERLLVNDAYGCDWSNRWIDDGDHTDDSIWTNNFLGDM >itb11g11070.t4 pep chromosome:ASM357664v1:11:8038892:8042232:1 gene:itb11g11070 transcript:itb11g11070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDCGKKRVPEPKLCFSDQMKLDNTGYSENNSRFTSFFSKDSYSPILPGLPDDVAKYCLALVPRSYFPAMGGVNTRWRSFIQSKEFIMVRKLAGLLQEWLFVLTADADGEQSHWEVLDCLGHKHHQLPQMPVPIKAGYGVVVLNGKLLVMGGYSMTGGSGSASPEVFQYDSCLNSWSTLSSMNVARYDFACAEVNGMVYAVGGYGVEGESLSCAEVYDPDTGKWTVIESLRRPRWGCFACGFDGKLYVMGGRSSFTIGNSRFVDVYSPERHAWCEMKNGCVMVTAHAVLGKKLFCIEWKNQRKLAIFNPEDNSWKMVAVPVTGSSSIGFRFGILDEKLLLFPVQEDPGYTTLLYDPNAAPGSEWQTSEIKPSGSCLCSVTIKA >itb11g11070.t3 pep chromosome:ASM357664v1:11:8038863:8042187:1 gene:itb11g11070 transcript:itb11g11070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDCGKKRVPEPKLCFSDQMKLDNTGYSENNSRFTSFFSKDSYSPILPGLPDDVAKYCLALVPRSYFPAMGGVNTRWRSFIQSKEFIMVRKLAGLLQEWLFVLTADADGEQSHWEVLDCLGHKHHQLPQMPVPIKAGYGVVVLNGKLLVMGGYSMTGGSGSASPEVFQYDSCLNSWSTLSSMNVARYDFACAEVNGMVYAVGGYGVEGESLSCAEVYDPDTGKWTVIESLRRPRWGCFACGFDGKLYVMGGRSSFTIGNSRFVDVYSPERHAWCEMKNGCVMVTAHAVLGKKLFCIEWKNQRKLAIFNPEDNSWKMVAVPVTGSSSIGFRFGILDEKLLLFPVQEDPGYTTLLYDPNAAPGSEWQTSEIKPSGSCLCSVTIKA >itb11g11070.t5 pep chromosome:ASM357664v1:11:8039225:8042232:1 gene:itb11g11070 transcript:itb11g11070.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDCGKKRVPEPKLCFSDQMKLDNTGYSENNSRFTSFFSKDSYSPILPGLPDDVAKYCLALVPRSYFPAMGGVNTRWRSFIQSKEFIMVRKLAGLLQEWLFVLTADADGEQSHWEVLDCLGHKHHQLPQMPVPIKAGYGVVVLNGKLLVMGGYSMTGGSGSASPEVFQYDSCLNSWSTLSSMNVARYDFACAEVNGMVYAVGGYGVEGESLSCAEVYDPDTGKWTVIESLRRPRWGCFACGFDGKLYVMGGRSSFTIGNSRFVDVYSPERHAWCEMKNGCVMVTAHAVLGKKLFCIEWKNQRKLAIFNPEDNSWKMVAVPVTGSSSIGFRFGILDEKLLLFPVQEDPGYTTLLYDPNAAPGSEWQTSEIKPSGSCLCSVTIKA >itb11g11070.t1 pep chromosome:ASM357664v1:11:8040121:8042232:1 gene:itb11g11070 transcript:itb11g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVICGMLGGERNSRSLESRSSIDADGEQSHWEVLDCLGHKHHQLPQMPVPIKAGYGVVVLNGKLLVMGGYSMTGGSGSASPEVFQYDSCLNSWSTLSSMNVARYDFACAEVNGMVYAVGGYGVEGESLSCAEVYDPDTGKWTVIESLRRPRWGCFACGFDGKLYVMGGRSSFTIGNSRFVDVYSPERHAWCEMKNGCVMVTAHAVLGKKLFCIEWKNQRKLAIFNPEDNSWKMVAVPVTGSSSIGFRFGILDEKLLLFPVQEDPGYTTLLYDPNAAPGSEWQTSEIKPSGSCLCSVTIKA >itb11g11070.t2 pep chromosome:ASM357664v1:11:8038863:8042187:1 gene:itb11g11070 transcript:itb11g11070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVDCGKKRVPEPKLCFSDQMKLDNTGYSENNSRFTSFFSKDSYSPILPGLPDDVAKYCLALVPRSYFPAMGGVNTRWRSFIQSKEFIMVRKLAGLLQEWLFVLTADADGEQSHWEVLDCLGHKHHQLPQMPVPIKAGYGVVVLNGKLLVMGGYSMTGGSGSASPEVFQYDSCLNSWSTLSSMNVARYDFACAEVNGMVYAVGGYGVEGESLSCAEVYDPDTGKWTVIESLRRPRWGCFACGFDGKLYVMGGRSSFTIGNSRFVDVYSPERHAWCEMKNGCVMVTAHAVLGKKLFCIEWKNQRKLAIFNPEDNSWKMVAVPVTGSSSIGFRFGILDEKLLLFPVQEDPGYTTLLYDPNAAPGSEWQTSEIKPSGSCLCSVTIKA >itb07g03650.t1 pep chromosome:ASM357664v1:7:2434226:2436130:1 gene:itb07g03650 transcript:itb07g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVAVAPASHIPQEEKARSDILLFNRWSYDDVQVNDLSVEDYITATAGKHPTYTPHTAGRYQMKRFRKAQCPIVERLVNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTSGARQSAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >itb04g03800.t1 pep chromosome:ASM357664v1:4:2379536:2385239:-1 gene:itb04g03800 transcript:itb04g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHHHHPGINSSSSGNNIPVSEVYWTLVAKADKKFSKIRDLPYYHRNRYDTYFSKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSEASYLSESYIFYEAVLTREYFKDGMFQDVNLACKQLRLISRFLTVCLVLNRREMVYQLVNQLKMLLDECKRAFQETDFKEWKVVIQEIVKFLKADTAFMNIRPLRYSVALDLHIDCLPHVVEAKRKLRLRDAILCSYHPNEVKFSELTLDTFRMLQCLEWEPSGSFYQTSGAPSSGAGSSTGQNGTPGPSCVNYSQDIIDPTLPPNPRKSILYRPTLTSFIAVLATTCEELPPDGVLLIYLSASGSCQNYVSSLSHSGASLGIPENIFRGFQSQFNDSESAYTSPLNPECDNSNPASAKEDPLSRHSGGLHIGSRGGGGLSDVFPCDLLPFTRKPLFLVIDSNISGDFKALNGAEKGESVAMLLSPTTSFPLPAMDSSRQTNQSSFTIFLAAPLQAFTLMLGFTGSDVEMDLFNKAEKLLSSSLNQWGQLLATSDNLNAVWAQILSDPLLRRLLLRFIFCRAVLALYASSFNKTEYLPECIPPLPDSVDPTSPTCQSTIQQLANIFGAANKFSLSEDIILPESRNTED >itb01g30490.t1 pep chromosome:ASM357664v1:1:34501639:34503797:-1 gene:itb01g30490 transcript:itb01g30490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MAFIFEDHIERVLWTDQQISDRVSQLASEITRDFTVNGATAVAALPSAAPVVVGVATGAFLFLADLVRKINLPITVDVIRVESYGSGTVSSGKPKISCDLKIDVRGKHVILVEDIVDTGNTLCRLIEHLKSKGASSISVCALLDKPSRRKVNFELVGDGKFYRGFECPDYFVVGYGLDFTELYRNLPYVGVLKPEVYK >itb05g19130.t1 pep chromosome:ASM357664v1:5:25722756:25724098:1 gene:itb05g19130 transcript:itb05g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPSRRFGGGGSLGGILHPKPRASPLVSILLVLFCHVLGLGGINKVALSRIDGEMTCTSEVSRTLAYLNKAYGDSMHRVLHVGPDSCSVVSKLLKEEETEAWGVEPYDIEDADVNCKSLVHRGVVRVADIKFPLPYRPKSFSLTVVSDATDYLSPRYLNKTLPELARVSSDSLIIFTGENEELNMVVKVFQSDQPGGE >itb09g21310.t1 pep chromosome:ASM357664v1:9:19223401:19223790:1 gene:itb09g21310 transcript:itb09g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEALDALKHKEGANKSAISKYIESKYGGVSDAHSKLLTFHLERIMKQGGDLLFLKNNYIKPGPDAPPKRDCGRRLKPKGLVASGTIFAPPGLVAVQERNPMRLPLPRRPRRRLFLHPRPGGHVPSRR >itb04g33760.t1 pep chromosome:ASM357664v1:4:35910266:35912959:-1 gene:itb04g33760 transcript:itb04g33760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLPQINHFLLTFLTFVVTGLCICSGSNNNTGICKEEEKQALLCFKEDSKIQRNWVDRADCCTEWEGVVCDNVTDHVIELHLSTNEDNLHRGKLSSCLLEVKQLRYLDLSGLNFSESHIPNFLGSFVNLQYIYLSSTRFEGIIPHQLGNLSHLHTLRLGNYALKADSLDWLSSLSNLKVLDLSLANLSMVHNLAEVINMLPSLHELYLSGCHISKLSHPLGHNKSSLQVLDLGYNKFNTVIFRWIFNLDSVISLQLSRCGFLSPFPDDPWNLTSLTTLDIHANQFYGHLPNSLWNLTSLSDLYLSSNMFTGEILKPIGKLNMLQSLYLYNKKLYGPLPENLGYSFPMLETLHIGDNMLEGIVTENHFVNLTMLRHLEASRNRLTLNVSVNWIPPFQLGELYLSGWKLGPQFPTWLQYQYSIFELDISNAGIQSEVPSWFWRSFQCRFLNLSHNQLRGKIQSVSLLKQTPYAFVYLRSNQFNGPLPRISSNTMELDLSNNSFSGDISNFLCHAQNVPYKLKILHLGGNRLFGEISNCWMHWPKLKVINMGNNQLIGSIPDSIGLLDQLKSLDMHKNMLFGPIPPSLQNCTLLLKIDLAENGFTGKIPRWLGTRLLDLTIFRLKSNKFYGELPLEFCHLKSLRILDLSNNNLSGVVPWCLKNLTAMINEEAIQDKEFDMGYSFYAWGFGESAIVTTKGREYEYYTIILTLFAGMDLSSNNFSGDIPIELANLVRLRSLNLSRNNLTGSIPMEMGNMRVLESLDLSRNQLSGKIPSSFSSMSTLAVLDLSYNNLSGKIPSGTQLQGFNASCYIGNNLCGPPLSQSCSVDDGKIPKNENKGDDSSEVDWFYVSMAIGFAVGFWAVCGSLFLVRPWRIVYFQFLDDKLKSALVWAHALRV >itb10g03640.t1 pep chromosome:ASM357664v1:10:3409717:3410893:-1 gene:itb10g03640 transcript:itb10g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIAEDGTQSIRMTYYPPCPKPELVTGLTPHSDATLITILHQVNGVDGLQVKSDDGNWIPVKFLPDAFVVNVGDILEMFSNGLYKSVEHRVMVDSTKERISIAMFFNAKFEAEIGLARCLINEENPPAFRRMKMEEYVKEFFSRKLDGKSFLDRMRIQKVNAKPDIIGQNLIS >itb10g17550.t1 pep chromosome:ASM357664v1:10:23739946:23741772:-1 gene:itb10g17550 transcript:itb10g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit III, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31330) UniProtKB/Swiss-Prot;Acc:Q9SHE8] MSLTIPTNLSKPINPTSKLITKPARAATILCSAAASSNNGSSEPSSSSSSSSLKAFSAALALSSVLLSTPVLPASADISGLTPCKESKQFAKREKQQIKKLEAAIKKYDPDSAPALALKATIEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRDEKKPTQKEIIIDVPLANRLVWRGFIWPVAAYREYVTGELIDPNV >itb01g08280.t1 pep chromosome:ASM357664v1:1:6673956:6678529:1 gene:itb01g08280 transcript:itb01g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCFFEGPNTSFSEFCELQSLQDLISNSQSHPSPSPSVTNTSSSSSSFNKHSLVGEEMMQPTPMEKKRKSRKASQSKSVKESDKGKRKRERMNNNGDEDKELEPILLSEKMKEEKKIKGVDEEAPVGVGYIHVRARRGQATDSHSLAERVRREKISERMKVLQGLVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMKLASENPMFYDGGLDILHAPAPAGINMEQGEQEQWNPTMRSSTEIGAVLSETKSDLTLMPTTIANCDYQFQFIATPTSLHYQHPHFLNPIPINQGNGQLLWDMGEQRPKIH >itb11g10960.t1 pep chromosome:ASM357664v1:11:7808616:7809458:-1 gene:itb11g10960 transcript:itb11g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAPPPNATKPLAIFSPTTAANKIGFRISRATSFGITRASDPKSPNSDGQNLPLVPQEDLVYLVKLGAGSLAGAAAIKYGSVIFPEVTKPNLIEAIAIITAPVVVAIVLLIKQSRVN >itb15g06940.t1 pep chromosome:ASM357664v1:15:4693280:4697813:1 gene:itb15g06940 transcript:itb15g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWIGQRANKEINSVGDDINSLSTSIEGGAKWLVNKIKGKMQKPLTELLKEYDLPVGIFPRDATNYEFNEETRKLTVYIPSICEVGYKDSSVLRFATTVTGYLEKGKLADIEGMKTKVMIWVKVTAISSEKSKLHFSAGMKKTRNRDAYEVNRSAVNVDKF >itb03g20690.t2 pep chromosome:ASM357664v1:3:18550977:18555658:-1 gene:itb03g20690 transcript:itb03g20690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MVYLDQINEDTSRKTALNPNQWIEFKLEDRAPVSHNTHLFRFSFDPSAKLGLDIASCIITRAPIGQQDAEGKPKFVIRPYTPISDPDAKGHFDLLIKVYPEGKMSQHFASLKPGDKVEVKGPIEKLKYRPNMKKHIGMIAGGSGITPMLQVIEAILKNPDDNTQISLLYANVSPDDILLKKKLDVLAATHPNLKIFYTVDSPTKEWRGGSGFISKDMVIKGLPPPSDDTLIFVCGPPGMMNHVSGEKDKREQGELSGVLKEVGYTENMVYKF >itb03g20690.t1 pep chromosome:ASM357664v1:3:18550704:18556306:-1 gene:itb03g20690 transcript:itb03g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MSLLFKRLAKAAPIAFSQAFRGESKSSFAGFRFPFGAVAAVAGGASYFYYFSSPNLVYLDQINEDTSRKTALNPNQWIEFKLEDRAPVSHNTHLFRFSFDPSAKLGLDIASCIITRAPIGQQDAEGKPKFVIRPYTPISDPDAKGHFDLLIKVYPEGKMSQHFASLKPGDKVEVKGPIEKLKYRPNMKKHIGMIAGGSGITPMLQVIEAILKNPDDNTQISLLYANVSPDDILLKKKLDVLAATHPNLKIFYTVDSPTKEWRGGSGFISKDMVIKGLPPPSDDTLIFVCGPPGMMNHVSGEKDKREQGELSGVLKEVGYTENMVYKF >itb05g11120.t1 pep chromosome:ASM357664v1:5:17057744:17058022:-1 gene:itb05g11120 transcript:itb05g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPIIIILCHLSPGWRDLVELVAAYLFLAPSRVTSAVEICSPDVKGRSNYGVLSATRGCPRFWFLRSLPRLVILWSVQIDYGDLSHIRWFA >itb07g22210.t1 pep chromosome:ASM357664v1:7:26692331:26696771:-1 gene:itb07g22210 transcript:itb07g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRAAALTLSRIATKPKSTDPNSPANPPRRFADFASSASAPIFRLLNLKSFSAVPWPSPLYIDEFECNPVDFAQSPKRKAVEESGKIPIKAYFLSTSIDLKSLQAEHFRNVIPPASRSSNYTVLRFQNFPLSTAIGIGENADCFHHMVVFQYGSAVLFNVDDDEAECYLQTVRRYATGLLREMKKDDYAVKEKPSLVEDMEGCPDYIVLKNLDTDSIRVISSVLGQSIALDYFVSQIDGMVEEFANINREMERTGNFTMDRKKLFQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQILEYLSEEYEVTQRFANLNFKLKFVEHNIHFLQEVLQNRKSDLLEWVIIVLLAIDDIVSVYEILRESTAVPM >itb07g22210.t2 pep chromosome:ASM357664v1:7:26692331:26696741:-1 gene:itb07g22210 transcript:itb07g22210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRAAALTLSRIATKPKSTDPNSPANPPRRFADFASSASAPIFRLLNLKSFSAVPWPSPLYIDEFECNPVDFAQSPKRKAVEESGKIPIKAYFLSTSIDLKSLQAEHFRNVIPPASRSSNYTVLRFQNFPLSTAIGIGENADCFHHMVVFQYGSAVLFNVDDDEAECYLQTVRRYATGLLREMKKDDYAVKEKPSLVEDMEGCPDYIVLKNLDTDSIRVISSVLGQSIALDYFVSQIDGMVEEFANINREMERTGNFTMDRKKLFQLVGKANSNLADVILKVGLFERSAHCRLLDSS >itb10g15470.t1 pep chromosome:ASM357664v1:10:21677054:21678269:1 gene:itb10g15470 transcript:itb10g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVECVFLFFAVILLFTQISHSQARLNSIVAFPQPALPSFNINTTSLSHQDGGSCVFTVSISTSCSSPSRTRDQISLAFGDAYGNQVYAPRLDDPSIGTFERCSTDTYEISGPCTYQICYLYVYRSGYDGWIPYDITVYGYYTKAVTFHYDVPIPPGIWYGFDYCSAGAKPKAEWRWSFL >itb15g21630.t1 pep chromosome:ASM357664v1:15:24328558:24330953:1 gene:itb15g21630 transcript:itb15g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNINHSVSTSAIPSSQNPQSSPETESRPPSLVPGSNPRHYSNTDPIYCMQLDMELDFENWISPERTPDSMFILVSAVWTYSCSLDGASTLSFLLSQPQRIYIALQYDKQTLLSNMTDYSASRNKFGTIGCDTAFLFIVLK >itb02g19760.t1 pep chromosome:ASM357664v1:2:17025296:17026101:-1 gene:itb02g19760 transcript:itb02g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFNLMILAVLLLLLAEAQFSSAACNVQQLTPCLSALTSNTKPSHLCCQRLNQQKPCFCEYLKNPNLKAYVNSPAAKKAIKTCKVSIPKC >itb11g05460.t1 pep chromosome:ASM357664v1:11:3227093:3230851:1 gene:itb11g05460 transcript:itb11g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLNSSSLWLSLFFFFFNSPEISLGSNVLTAAGKSLTLAVTLVSENGTFEMGFFTPGQSSYYAGIWYKQISPQTVIWVANREAPISSPDMDSAQLNIKNGNLVLTTGSGNSIWSTNLTSPWNSVQATLLETGNLVLSDGPYSNATPPLWQSFDYPADTLMPHARLGYNKRTNEKQSLISWRNSEDPAPGPYSLEMDPTIEQYVVKWNGIEQYWSSGPWDGRAFKDIPNPMYSFNYVNNVNESYSTYFLNDPSVLSRFTISVTGQIQLFTWSNSGSWQSVFSRPEKQCDVYASCGPFGLCKENSSSVCECLPGFSINSDKDWRLKDFSGGCARNVKLQCATNASAPKDHFDPTTNMKLPRQPQNVTVRSSEQCESFCLRNCSCTAYAYDSNNGCLIWNDEFLVLSKDDRNGSTIRIRLAGAEHGAVQVGNHKSSGKLKAIIPATIAGVILVVCVFFYIYYRHRRNAKHRENESNNQEIQLHEAYDDSDREGMIEEHDEKGIDVPFFSFESILVATNNFSDANKLGRGGFGPVYKGVFQGGREIAVKRLSNESGQGNNEFKNEVILIAKLQHRNLVRLLGYCIKGKEKILLYEYMPNKSLDTWIFDRNLSAQLDWEKRFDIILGIARGLLYLHHDSRLRVIHRDLKTSNILLDEEMNPKISDFGLARIVEGKRTEANTNKVVGT >itb11g05460.t2 pep chromosome:ASM357664v1:11:3227093:3230851:1 gene:itb11g05460 transcript:itb11g05460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLNSSSLWLSLFFFFFNSPEISLGSNVLTAAGKSLTLAVTLVSENGTFEMGFFTPGQSSYYAGIWYKQISPQTVIWVANREAPISSPDMDSAQLNIKNGNLVLTTGSGNSIWSTNLTSPWNSVQATLLETGNLVLSDGPYSNATPPLWQSFDYPADTLMPHARLGYNKRTNEKQSLISWRNSEDPAPGPYSLEMDPTIEQYVVKWNGIEQYWSSGPWDGRAFKDIPNPMYSFNYVNNVNESYSTYFLNDPSVLSRFTISVTGQIQLFTWSNSGSWQSVFSRPEKQCDVYASCGPFGLCKENSSSVCECLPGFSINSDKDWRLKDFSGGCARNVKLQCATNASAPKDHFDPTTNMKLPRQPQNVTVRSSEQCESFCLRNCSCTAYAYDSNNGCLIWNDEFLVLSKDDRNGSTIRIRLAGAEHGAVQVGNHKSSGKLKAIIPATIAGVILVVCVFFYIYYRHRRNAKHRENESNNQEIQLHEAYDDSDREGMIEEHDEKGIDVPFFSFESILVATNNFSDANKLGRGGFGPVYKGVFQGGREIAVKRLSNESGQGNNEFKNEVILIAKLQHRNLVRLLGYCIKGKEKILLYEYMPNKSLDTWIFDRNLSAQLDWEKRFDIILGIARGLLYLHHDSRLRVIHRDLKTSNILLDEEMNPKISDFGLARIVEGKRTEANTNKVVGTYGYMSPEYALDGLFSTKSDVFSFGVVVLEIVSGKKNSGFYHLDESSNLLGYAWRLWSEERTKDLVDQPLLPLEPNHETEVFKCINVGLLCVQEDPGVRPSMSNVLIMLGSDSMSIPRANQPAFVARARMTSGTSSSTSSSKPYSNNQMTITVQEPR >itb01g04250.t1 pep chromosome:ASM357664v1:1:2886094:2888303:1 gene:itb01g04250 transcript:itb01g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIWFCLLMRLITKTSSDLALLLHCRNTTTYTPNSTYKANLDSLLSILSSNDTRENGFYHARVGGEGSNDTVYGLFLCRGDVPPEVCKSCVVEAGVTLPDLCNNGKTAIIWYDNCMVRYSEKSMLGIIDLSTGVEWTNKENGTEPNRYMQFVGKVFDQIITRASSGSDKKFAVLEANFSVFEMVYALGQCTPDLSNVECQICLRNVTAKLPSFDFGSRGARVVYPSCNIRYEQYPFYYLSALAAPPPPPLVHPYLPRTTLPKSVRSKGKASQWTRCSSKEAVERFNTRSSRIHE >itb14g05790.t1 pep chromosome:ASM357664v1:14:5049217:5050333:-1 gene:itb14g05790 transcript:itb14g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRVLQTCGAAFLAIAHIICRRMVELKGPIVSSMSQKLAFFSTCATALPSIDDLEIRWLSFLGSLDDYILKSERIAEVVFPPSARLFDKIEELACATLFLPRKVEDGLDKFPIIMQQVPFLDWALVHLIAWLNFLISALTHWGSNPTREKEITIDMSCNNNDNLDQNNNNNNLNNSEESAEPTDKKAACFAPEDQKHVPLSEDICPTNSADSESEPTTSYSSLVVPNLSMQSMETDLISSPVSSTSGSTVTDNTDTSFLIDSWLPSMTDSVGSGKCSYKEILTKGVKEEAEGEPPDDVLQEKGETPVEDDGKMKKHSAPEDHQRVKPMVFEAKGGEISRGKIVKKKKKGKAEKDQILRLFDASWSLS >itb01g03170.t2 pep chromosome:ASM357664v1:1:2089185:2092250:1 gene:itb01g03170 transcript:itb01g03170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSMKHEQKMKETGVEQNYIVSSQTPYSYPNPPHYSGQEAAMPPTFLATLWQEEGPTIMFMDVLNGSNVQGIIRNDDGNMEEVADATIGYYANKFSAATSVTTKNYAHEESASTMSFSSHIKACSDSEPSYNNLFLDLTLG >itb01g03170.t1 pep chromosome:ASM357664v1:1:2089185:2092250:1 gene:itb01g03170 transcript:itb01g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPENSRLPTSGFTRTYARSKAPRLRWTEELHRRFVHAVERLGGEERATPKLVLQLMDVKEITIAHVKSHLQMYRSMKHEQKMKETGVEQNYIVSSQTPYSYPNPPHYSGQEAAMPPTFLATLWQEEGPTIMFMDVLNGSNVQGIIRNDDGNMEEVADATIGYYANKFSAATSVTTKNYAHEESASTMSFSSHIKACSDSEPSYNNLFLDLTLG >itb01g03170.t3 pep chromosome:ASM357664v1:1:2090770:2092250:1 gene:itb01g03170 transcript:itb01g03170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTFLATLWQEEGPTIMFMDVLNGSNVQGIIRNDDGNMEEVADATIGYYANKFSAATSVTTKNYAHEESASTMSFSSHIKACSDSEPSYNNLFLDLTLG >itb03g30070.t1 pep chromosome:ASM357664v1:3:31422889:31423872:1 gene:itb03g30070 transcript:itb03g30070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNILLPLLHIIPLLLNFQLLCHGKVFMEYIGATGKPVTFDQVPIEQGIDFHFVLSFAIDADPSANPANGTFLPYWASTLTPDSVAAIKAEYPNVKALASLSGWSLHDKKLNWYKPADPQLWITNAFTSLQEIINTYHLDGIDIDYERFPRHNESFAYCIGELIALLKNQSVISVATIAPYYSTVIPYIQLYENYGDLIDYVNHQFYTDKVRTPEGYLEAFKLRTAQFGKEKVLPSYEVNGRGIQGDAFFQALQLLEKNGFDIKGAMIFSADASAGNNYYYERKIQAFLLNSTHV >itb01g00280.t1 pep chromosome:ASM357664v1:1:144059:145905:-1 gene:itb01g00280 transcript:itb01g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTVLVLRSRKRWATLKWIRKKHSGKTRRTSRHWSAPKWQRCGLPRLATCPASVWDSHDPAQGKDDHPPVSDMPSFSLGFTQDDPTQGNDDHPPNHQGPVTPAPHGANRGMQLEDLLPKNQESPQPPVPAVAADRKGKRPLNQEAKPFRLLFSPVRGYPTVPIPPIYMVWMWVFNCPNTNCDEVLFLHNAQTATWSDFMTLKEGVNVTTAVVDAWSTVLIAREKSRGWGTPSRLFASTTTTVGTVVNTIGTRDERIELFKARLDADLRASDHVGTATLDMYLFPIIQDGHYYVMSVNFNQYKFDIIDCDSKQVPNDKKYHDAPVDLLDMLSEYVESKRQWARAIHARNLRPKRMQMSWRDATPNADSAIFAMRHMESFTGQTARTWQCGLQRGNRQQLNNLRKRFMHNILLAEANEYMHNVSSRVGRYDCDRITRPSH >itb09g28520.t1 pep chromosome:ASM357664v1:9:29114920:29118016:1 gene:itb09g28520 transcript:itb09g28520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVTFVLGQLSTLTREEYSLLGGIRDDAQDVENAFNRLTAVLKVADETEEINPEVKEWVKIIRELVYDTEDVLDEFQFRFGGDRTNGGFRNRIKNKYTSVKNLRARRRIALELQRIKARVNKIFHEQPRLLTTSDHTIHNHNKRVYDSRRDALLLKDSDLVGIDNPKLSLVNRLLAVDKDLRVLSVVGMAGLGKTTLVKKVYDDARVVNHFQLRMWLTVSETFNADELLKDAIRQLTKQTKQKLPQDFAAMNTDKLKEFINNILSGQSYIVVLDDIWDIDDWRAIKYSFPRQSFGSRIVITTRNSEIGASTSCETRGGDVYPLESLSLEDSWTLFCRKTFFSESCPQHLVNISENILKRCGGLPLAIVVIAGVLATKNESIEEWKRFQHNLNIPLDSNVGGMKNMKNLLSLSYYDLPNYLKYCFLYLSIFPEDVIIEKMRVIRLWIAEGFIKENNQQQEKEEVAEVYLNELLHRNLIQIVERTSDGKMKGFRVHDILREIILSKSEEQNFTTIIATRQNKEPFNKFRRLAIHRFDDHILKFTSSKMHLRSLQFFEPLSSSTVSSSLPKMFTAKYIPLKVLDLRDSELEEIPEEVFNLFQLKYLSLRRTKLRSVSKSIGRLQNLETLDLKHTNVIELPAELLKLCKLRHLLVYRYQDTWINPWISTQSFNAPFKIGGLVCLQKLFWIQANDTLGIKIVSEIGKLTQLRRLGVQKLRQEDGKEFCLSLEKLTNLCSLSLTSTSEDEVLDIQHPLHVPLGLQRLYLKGRLEMVPRWLSSLVGLTTLHFSWCRLPDENPLLFLQDLPMLVHLSLATKSYEGEGLCFKAKKFSKLKYLFIYELEALKWIRMEEGAMHHLEKFQLGECKLVEQMPMGIQHLSDLKVITIYDLADKFNANLDSESKRSEIYAKISHIPEINISHVIDGKRKFFFL >itb01g17120.t1 pep chromosome:ASM357664v1:1:21795517:21798595:1 gene:itb01g17120 transcript:itb01g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGVYSSHRPTVMVTNDDGVDALGLRVLVRVLVSTNRFNVLVCAPDSEKSAVSHSITWRHAVSVKRVEISGATAFSVAGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNGVPSVALSYDWVRGKSNDNDFTLAAEACVPIISTILADIKNKTYPQNCFLNITVPTDVLNHKGYQLTQQGTSFIRMGWKQVASETHGGKMLSTMTMDLTPSQSTEPSVVSTEQENFLFTREVRAIQVDDDGADYCSVQDGYITITPIGALFNVDVDCLTFFKQWVPSVGQHCTPAL >itb12g03790.t1 pep chromosome:ASM357664v1:12:2492411:2495903:1 gene:itb12g03790 transcript:itb12g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFFFTHQAYKIGVKKKTRLHQLQLSSSSLSHWIGLGLMGKGGGCVPSKSKLQRTNSNNRSKAPEPVISGDPNSAAADTDANLATDPAALLQTLKIFIVFYSMYGHVEGLARRMKKGVDAVDGVEAVLYRVPETLSDDVLRQMRAPPKDDDIPEITSAAELPAADGLLFGFPTRYGCMAAQMKAFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSIRGGTPYGAGVFAGDGSREPTETELALAEHQGRYMAAVTKRLAHG >itb10g18740.t1 pep chromosome:ASM357664v1:10:24585077:24587520:1 gene:itb10g18740 transcript:itb10g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTMGLNMLLLMAMVATNILSLYHLSSNIQSISPAANVAAVPDHLIRQLQTIRATINHLTSLQSPAPPSSRKPSVSIPSDLLLYAHMSPIASACKDYPDLLHQYMNYTPFALCPADSAIADSLILRGCHPLPRRRCFSRTATAAPTSLPKNPFSSLPENAVIWKNYNCKSFSCLAKSNPGMGFDMKIEQSRFFSSKSDLDLPIPQLLQIAKSAKSVIRLGLDIGGGTGTFAAQMKLQNMTVVTTTMNLGAPYNEAVALRGLVPLHVPLQQRLPVFDGVMDLVRCGHAVNRWIPATMMEFLFFDVDRVLRGGGYFWLDHFFSKKVDLEKVFQPLIWKLGYKKVKWAVADKTDTAGVKNQEVYLTALLQKPVSR >itb14g14110.t4 pep chromosome:ASM357664v1:14:16488140:16490681:1 gene:itb14g14110 transcript:itb14g14110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKFEKTLSLLKGALDYESFRDVDMVIEAVIEDINLKQKIFADLEKYCPPHCILGKRGVFEDYEDKAVQKDVGDDRASVYSSAQTVAAAPVVSPNITGAAGGAPVVSPNITGAAGGWPGSNAISISQQAELAGSNAISISQQAELAKKALHENVCRLKESHGRTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMPNLRDFVSIICSFLQVWCI >itb14g14110.t5 pep chromosome:ASM357664v1:14:16488140:16490681:1 gene:itb14g14110 transcript:itb14g14110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKFEKTLSLLKGALDYESFRDVDMVIEAVIEDINLKQKIFADLEKYCPPHCILGKRGVFEDYEDKAVQKDVGDDRASVYSSAQTVAAAPVVSPNITGAAGGAPVVSPNITGAAGGWPGSNAISISQQAELAGSNAISISQQAELAKKALHENVCRLKESHGRTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMPNLRDFVSIICSFLQVWCI >itb14g14110.t1 pep chromosome:ASM357664v1:14:16488140:16490681:1 gene:itb14g14110 transcript:itb14g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKFEKTLSLLKGALDYESFRDVDMVIEAVIEDINLKQKIFADLEKYCPPHCILGKRGVFEDYEDKAVQKDVGDDRASVYSSAQTVAAAPVVSPNITGAAGGAPVVSPNITGAAGGWPGSNAISISQQAELAGSNAISISQQAELAKKALHENVCRLKESHGRTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMPNLRDFVSIICSFLQVPLTMKALEMWTWLFRGIHDPTQTLEELYSLLLIYGHVLA >itb14g14110.t3 pep chromosome:ASM357664v1:14:16488140:16490681:1 gene:itb14g14110 transcript:itb14g14110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKFEKTLSLLKGALDYESFRDVDMVIEAVIEDINLKQKIFADLEKYCPPHCILGKRGVFEDYEDKAVQKDVGDDRASVYSSAQTVAAAPVVSPNITGAAGGAPVVSPNITGAAGGWPGSNAISISQQAELAGSNAISISQQAELAKKALHENVCRLKESHGRTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMPNLRDFVSIICSFLQVWCI >itb14g14110.t2 pep chromosome:ASM357664v1:14:16488140:16490681:1 gene:itb14g14110 transcript:itb14g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKFEKTLSLLKGALDYESFRDVDMVIEAVIEDINLKQKIFADLEKYCPPHCILGKRGVFEDYEDKAVQKDVGDDRASVYSSAQTVAAAPVVSPNITGAAGGAPVVSPNITGAAGGWPGSNAISISQQAELAGSNAISISQQAELAKKALHENVCRLKESHGRTVASLSKTEENLSSSLSNVTTLENSLAAAGEKYIFMPNLRDFVSIICSFLQVPLTMKALEMWTWLFRAEAFMIQLKLWKSFTHYY >itb07g19920.t1 pep chromosome:ASM357664v1:7:24351468:24353543:1 gene:itb07g19920 transcript:itb07g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQSSFTLLLNANTTYNLLLIPSLQHRKDISKNLRTVSTLDCFDLETSSSIAFSQGVEKHKKQERYACKQILQVLTNGIEVKFQRNALSVIDGPTGNEEDDDLEFKNFQWNGSDMGVNGRSIALFPDFPWLPWR >itb15g13120.t1 pep chromosome:ASM357664v1:15:11084827:11085977:1 gene:itb15g13120 transcript:itb15g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLSFLSLIFCVAVMLNCAYLSAAAASGDHELVSWMMPAGSGGRCKGSVAECLGDAEELEMESESSRRILATTRYISYGALQKNNIPCSRRGASYYNCRPGAQANPYTRGCSAITRCRG >itb15g19570.t1 pep chromosome:ASM357664v1:15:21927131:21934491:-1 gene:itb15g19570 transcript:itb15g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIALDAQENWFAGFFDVDGHIAVPNRSRTRSRSRSRPSPVVVRRPASRVRRSAVAAVVQPQPSSLRPSLTVSVTLSGQLCSSLCTSQESSVHSSSFITNEYGDLLVSCGLIGEVVKVYEDLELWDFLAASIISLYKLWSSGERERKRERKWKRDKLVSGKLCWNVSLHRVKLNGTMKADED >itb07g06810.t3 pep chromosome:ASM357664v1:7:4958676:4964396:1 gene:itb07g06810 transcript:itb07g06810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MNMPFKEFFTEYGEASQYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSEATRIMREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMECDLHHVIKTNDNLTPEHYQFFLYQLLRGLKYMHSANVLHRDLKPKNILANADCKLKLCDFGLARVSFGDAPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFGEMLTGKPLFPGKNVVHQLDIITDLLGTPSTETISRIRNEKARRYLSSMRKKYPIPLSQKFPDIDPLALRLLERLIAFDPKDRPSAEEALADPYFHGLANVEEEPSARPISRFEFDFERRKLTKDDIRELVYREILEYHPQMLQEYLHGIDHTSFLYPRYVKNNWRSVGIRSFQSFTFTSLAPATLKFF >itb07g06810.t4 pep chromosome:ASM357664v1:7:4958676:4964399:1 gene:itb07g06810 transcript:itb07g06810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MPFKEFFTEYGEASQYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSEATRIMREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMECDLHHVIKTNDNLTPEHYQFFLYQLLRGLKYMHSANVLHRDLKPKNILANADCKLKLCDFGLARVSFGDAPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFGEMLTGKPLFPGKNVVHQLDIITDLLGTPSTETISRIRNEKARRYLSSMRKKYPIPLSQKFPDIDPLALRLLERLIAFDPKDRPSAEEALADPYFHGLANVEEEPSARPISRFEFDFERRKLTKDDIRELVYREILEYHPQMLQEYLHGIDHTSFLYPRFCNDLFKKLISFGAFYFSSSLHVHFFFSWWSQAIIKIKIYLIIFLLMQHPFLKSFMPFSS >itb07g06810.t2 pep chromosome:ASM357664v1:7:4958676:4964399:1 gene:itb07g06810 transcript:itb07g06810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MPFKEFFTEYGEASQYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSEATRIMREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMECDLHHVIKTNDNLTPEHYQFFLYQLLRGLKYMHSANVLHRDLKPKNILANADCKLKLCDFGLARVSFGDAPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFGEMLTGKPLFPGKNVVHQLDIITDLLGTPSTETISRIRNEKARRYLSSMRKKYPIPLSQKFPDIDPLALRLLERLIAFDPKDRPSAEEALADPYFHGLANVEEEPSARPISRFEFDFERRKLTKDDIRELVYREILEYHPQMLQEYLHGIDHTSFLYPRFCNDLFKKLISFGAFYFSSSLHVHFFFSWWSQAIIKIKIYLIIFLLMQHPFLKSFMPFSS >itb07g06810.t5 pep chromosome:ASM357664v1:7:4958676:4964396:1 gene:itb07g06810 transcript:itb07g06810.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MPFKEFFTEYGEASQYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSEATRIMREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMECDLHHVIKTNDNLTPEHYQFFLYQLLRGLKYMHSANVLHRDLKPKNILANADCKLKLCDFGLARVSFGDAPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFGEMLTGKPLFPGKNVVHQLDIITDLLGTPSTETISRIRNEKARRYLSSMRKKYPIPLSQKFPDIDPLALRLLERLIAFDPKDRPSAEEALADPYFHGLANVEEEPSARPISRFEFDFERRKLTKDDIRELVYREILEYHPQMLQEYLHGIDHTSFLYPRYVKNNWRSVGIRSFQSFTFTSLAPATLKFF >itb07g06810.t1 pep chromosome:ASM357664v1:7:4958676:4964399:1 gene:itb07g06810 transcript:itb07g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G01450) UniProtKB/Swiss-Prot;Acc:Q84M93] MNMPFKEFFTEYGEASQYEIQEVVGKGSYGVVAAAVDTHTGEKVAIKKINDVFEHVSEATRIMREIKLLRLLRHPDIVEIKHIMLPPCRREFKDIYVVFELMECDLHHVIKTNDNLTPEHYQFFLYQLLRGLKYMHSANVLHRDLKPKNILANADCKLKLCDFGLARVSFGDAPSAVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFGEMLTGKPLFPGKNVVHQLDIITDLLGTPSTETISRIRNEKARRYLSSMRKKYPIPLSQKFPDIDPLALRLLERLIAFDPKDRPSAEEALADPYFHGLANVEEEPSARPISRFEFDFERRKLTKDDIRELVYREILEYHPQMLQEYLHGIDHTSFLYPRFCNDLFKKLISFGAFYFSSSLHVHFFFSWWSQAIIKIKIYLIIFLLMQHPFLKSFMPFSS >itb03g07570.t1 pep chromosome:ASM357664v1:3:5595329:5597835:1 gene:itb03g07570 transcript:itb03g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELKEMKDGIGSISRRGSEGGRHWRSRTRSHIAPDVAPSDPIEQGQWANLPPELLLDIIRRVEESETSWPARTVLVSCASVCRSWREITKEIVKTPEECGKLTYPISLKQPGPRDSPIQCFIKRDRATSIYRLYFGLTPSEDASDKLLLAAKKIRRATSTDFVISLVADEFSRASNTYVGKLRSNFLGTKFTVYDSQPTHDSVVQHNQPSRRFHSKQVSPRVSACNYSIATISYELNVLRTRGPRRMQCVMHSIPVTSIQEGGTAPTPTSFSQFLDDKPSHTPVSKAKDNPNMDSSSPGHSGSLVPEPPSQEPLMLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFHAFAICLSSFDTKPACE >itb04g10480.t2 pep chromosome:ASM357664v1:4:10039574:10040151:-1 gene:itb04g10480 transcript:itb04g10480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQKMDELANKVETSVHLQEFPDLPQEIILDILLRLPVKSLLRFRCVSKCWLSLISSPQFIKTHLEFSKKFGPKRLALMATRFGQPEICSTYSIVCENSCIVRVVELNHFQKTADIPSLCILGSCNGLLCLLTSSYKLSIWNPSTRQTSIIDDPGIRFPASY >itb04g10480.t1 pep chromosome:ASM357664v1:4:10038991:10040151:-1 gene:itb04g10480 transcript:itb04g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQKMDELANKVETSVHLQEFPDLPQEIILDILLRLPVKSLLRFRCVSKCWLSLISSPQFIKTHLEFSKKFGPKRLALMATRFGQPEICSTYSIVCENSCIVRVVELNHFQKTADIPSLCILGSCNGLLCLLTSSYKLSIWNPSTRQTSIIDDPGYETKDVGYVRYGFGYDESHDDYKLVKVFGSPPPIDEPHGMYETTIMVYSRNAKSWRVIPGFYYSENFPGDNGIFLNGVVHLGGSNRSWDFCSEIYAFDLAAETLRTITLPGSYEDQRPFWRLGVLGGNILVACCQCSPETLHIWALKNYGMVEECWGKLVSFSLDYDISISPVFVTQNVDEVLLQYDLDKLLLYRLRDDSSVLIQMFPPNNHLLIQMATYNESLVSYA >itb01g25330.t1 pep chromosome:ASM357664v1:1:30792344:30792907:1 gene:itb01g25330 transcript:itb01g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFPTLFGSLNMAFYSWFIFNCHNPNDDANSVLTFLTSVLLNFLVLKFQSLDTTPFQTHPKTTYVSVAAFLGYVVARATHLRLSSWLRYRPTYAYAVNHFALTFGSLAVVSLASLLFPDRARPVLYVLSVVLLAAEPGFMVYKTMREYQIQVRRRRRMCALFVSLTRIMAFRRRVQRQLELEILPA >itb01g29190.t1 pep chromosome:ASM357664v1:1:33488840:33490464:1 gene:itb01g29190 transcript:itb01g29190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLNGEERAKTLAQIAICCEEWGFFQLVNHGIPEELLDRVKKVSSECFKHEREEAFKTSKPVELLKEVVNKGDSERLESVDWEDVFLLTDHQEWPSNNQQFKETMKEYRIELKKLAERVMEVMDENLGLPKGYIKKAFNGGEGDGAFFGTKVSHYPPCPHPERVNGLRAHTDAGGVILLFQDDQVDGLQILKDGKWLDVQPVPNAIVINTGDQIEVLSNGRYKSVWHRVMAKPDGNRRSIASFYNPSLKATISPAPELVEKDKNNEEAEQGYGYPTFVFEDYMSVYSLQKFLPKEPRFQAVRAM >itb13g22630.t1 pep chromosome:ASM357664v1:13:28908535:28915003:1 gene:itb13g22630 transcript:itb13g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVGTLLSKMNLLTTSDHGSVVSINLFVALICACIIIGHLLEESRWMNESITALMIGICTGVVILLTSGGTSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNISTIMLFGALGTLISFIIISLGAIGIFKKMNIGSLEIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSIVLFNAVQNFDLSNINTLVVLQLFGNFLYLFILSTLLGVVAGLLSAYIIKKLYFGRHSTDREIAIMICMAYLSYMLSELFSLSAILTVFFSGIVMSHYTWHNVTESSRVTTKHAFATLSFIAEIFIFLYVGMDALDIEKWKFVSDSPGTSVEVSSILLGLVLVGRAAFVFPLSFLSNLIKKSRGEKIGFRQQFIIWWAGLMRGAVSMALAYNQFTRSGYTQLRENAIMITSTITVVLFSTVVFGLITKPLIRILLPSPKHLSRMVSSEPVTPKSFTVPLLDSEVDLDGHVPPRPNSLRMLLTTPSHTIHYYWRKFDDAFMRPVFGGRGFVPYVPGSPTEQNEPQWQ >itb04g01420.t2 pep chromosome:ASM357664v1:4:798400:799820:-1 gene:itb04g01420 transcript:itb04g01420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYFVDLLTADGTIYHKSCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQSSKSSERENSMTKASSKYSAMFSGTQDKCAACTKTVYPLEKVTMEGESYHRSCFKCAHGGCPLTHATYAALDGVLYCKHHFAQLFMEKGNYQHVLKAAHKKNASASADSEGAEEEEGSAAPDNDKEVAEEETPES >itb04g01420.t1 pep chromosome:ASM357664v1:4:798037:799895:-1 gene:itb04g01420 transcript:itb04g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYFVDLLTADGTIYHKSCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQSSKSSERENSMTKASSKYSAMFSGTQDKCAACTKTVYPLEKVTMEGESYHRSCFKCAHGGCPLTHATYAALDGVLYCKHHFAQLFMEKGNYQHVLKAAHKKNASASADSEGAEEEEGSAAPDNDKEVAEEETPES >itb10g00550.t1 pep chromosome:ASM357664v1:10:359408:361450:1 gene:itb10g00550 transcript:itb10g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-1 [Source:Projected from Arabidopsis thaliana (AT5G26220) UniProtKB/Swiss-Prot;Acc:Q8GY54] MVFWIFGYGSLVWNPGFEYDEKIIGYIKDYRRVFDLACIDHRGTPEHPARTCTLEQSEGAICWGAAFCVRGGPEKEKKAMEYLERRECEYDQKSLIDFFTEKDSVNPALTGVFVFMSTPDKVNNKYYLGPAPLEDMAKQIATAFGPCGNNREYIFKMEKALYDIGHEDDYIIELANEVRKALGIAGSGSPKEKKLLSSTHIPLKSHLSPVKVCPLPEAVPVVAIDS >itb10g08800.t1 pep chromosome:ASM357664v1:10:11270993:11273200:1 gene:itb10g08800 transcript:itb10g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPSFGSLSVTTTSADDMERPTARTRQPQNPHFEITDRMPHLTDPNVVVAMRLAIVDVAQTRSLLKALGDRPDHEMVDTAKAKLAEMDAFLSKQLDDEGKRKEAEKEREMYKAVIELDEMHQAYEVLLKEAKRRLGRIYDVAVAGGDVAAALEGREDHMNSGG >itb10g08800.t2 pep chromosome:ASM357664v1:10:11270993:11273200:1 gene:itb10g08800 transcript:itb10g08800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPSFGSLSVTTTSADDMERPTARTRQPQNPHFEITDRMPHLTDPNVVVAMRLAIVDVAQTRSLLKALGDRPDHEMVDTAKAKLAEMDAFLSKQLDDEGKRKEAEKEREMYKAVIELDEMHQAYEVLLKEAKRRLGRIYDVAVAGGDVAAALEGREDHMNSGG >itb08g17610.t1 pep chromosome:ASM357664v1:8:20279191:20280288:1 gene:itb08g17610 transcript:itb08g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNNPKPFFIPKCFLFSLLISIPFLLLFSHRTSFSSLTSSSAAAVNRQSEEEGLKIRPGYASYESYIQRQLNKTLNPKLRKIWTTRDWARKIQVFTAFFGDLKGATLLSNSSKALCIGARMGQEVEALRRVGVSDSIGIDLVPSPPLVVRGDFHRQPFAADTFDFEFSNVFDHALYPDKFVGEIERTLKPGGVCVLHVSVTKQTDKYSANDLYSIEPLKKLFKQSELVRTRTIDGFGLDTEVVFRKKKKTQSQR >itb13g22020.t1 pep chromosome:ASM357664v1:13:28441036:28443512:-1 gene:itb13g22020 transcript:itb13g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKATVGISVLALFLVVAAVSVAVVVTRKEDSPSEHDRASTAPHVSTSTKNAVDSVCKPTLHSETCKKKLSAATNSTDTKELVEVAFNVTMEEISDAMKKSKTLQDAAKDPRTSEAFKVCQGLLEDSIDDIRRSLDKMLDYTAAASNLKLYMNDIKVWLSGALTFENTCLDGFQGTKGDNAEKMKKLLATAQQLTGNTLEMVNEIHEAITSLDIPGFSRRLLKNPGDNNLPGWVTTAQRRLLESTAPLTPDAVVAKDGSGKYKTINEAIATIPLKGKKPFVIYIKAGVYQEYVVLTKKMLHVVLVGDGPTKTKITGDRSYIGGFQTSETATVIAKGDGFMAKNIGIENSAGAQKHQAVALRVQGDEAVIYNCRIDGYQDTLYVHAHRQFYRDCTVTGTIDFVFGNAAAVFQNCTLEIRKPLISAGKGQSCMVTAQGRAQADEPTGIVILDSQIIASPEYLSSPSPIVSFLGRPWRQHSRTVIINSKIDAPIAPEGWSPFQGTWGLTDCWYGEFGNTGKGADLSKRAKWPGIKGLMSKEQAEGFGPGKFIMGDTWISDAGVPYDAGLTTNQPSPSSSPSPSPS >itb07g00040.t2 pep chromosome:ASM357664v1:7:46421:48248:-1 gene:itb07g00040 transcript:itb07g00040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 9 [Source:Projected from Arabidopsis thaliana (AT1G76110) UniProtKB/Swiss-Prot;Acc:Q9SGS2] MSGGGCGMDGTNRLPSPLASHDDVVKDSTLFWETLKGFHGVMGTKCMVPIIGGKELNLHVLYVEVTRRGGYNQVVSEKKWREVSGVFEFSPTTTSASYALRKHYFSLLFRYEQLYFFRLELELEEGLLVDDHHHTPAGPGQQQQYYSATGTIDGKFECGYLVSMKLGSEVLNGVLYHQSPSTSSSLCTAIVPYVVPPQTPRTRRRRKKKGSGGDPFRPKPNRSGYNFFFAEKHSMLKSLYPSREREFTKMIGESWNNLSAEERVVMFGIVCVYM >itb07g00040.t1 pep chromosome:ASM357664v1:7:46421:48248:-1 gene:itb07g00040 transcript:itb07g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 9 [Source:Projected from Arabidopsis thaliana (AT1G76110) UniProtKB/Swiss-Prot;Acc:Q9SGS2] MSGGGCGMDGTNRLPSPLASHDDVVKDSTLFWETLKGFHGVMGTKCMVPIIGGKELNLHVLYVEVTRRGGYNQVVSEKKWREVSGVFEFSPTTTSASYALRKHYFSLLFRYEQLYFFRLELELEEGLLVDDHHHTPAGPGQQQQYYSATGTIDGKFECGYLVSMKLGSEVLNGVLYHQSPSTSSSLCTAIVPYVVPPQTPRTRRRRKKKGSGGDPFRPKPNRSGYNFFFAEKHSMLKSLYPSREREFTKMIGESWNNLSAEERVVYQNYGLKDKERYQRELKEYRDKKKKMMMMAP >itb12g26280.t1 pep chromosome:ASM357664v1:12:27263769:27267911:1 gene:itb12g26280 transcript:itb12g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein MTM1 [Source:Projected from Arabidopsis thaliana (AT4G27940) UniProtKB/Swiss-Prot;Acc:Q944H5] MSIERDKMVEESKHDEGPWLSPAEEQSRIIDIANNISVISEPVVITDDPCLELIQPEHSSTSSDDQLGLVERSLSAAGAAILSAVLVNPLDVVKTRLQAQAAGNMYSHPLSNMTSRMAMFGPHMLFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFYKIIRQEGISRLWRGTNAGLALAVPTVGIYLPCYDIFRNKLEEYAAQNAPSLMPYTPLLAGSLARSLACTSCYPIELAKTRMQAFKDMHKGKKPPGVWKTLFEVISSVKSTANASNTIQSYRALWTGLGAQLARDVPFSAICWSTLEPARRRLLGLTGDEDNAARILGANFSAGFLAGSLAAAATCPLDVAKTRRQIEKDPIRALTMTTRQTLLEVWRDGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHHQYATP >itb12g26280.t3 pep chromosome:ASM357664v1:12:27263769:27267911:1 gene:itb12g26280 transcript:itb12g26280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein MTM1 [Source:Projected from Arabidopsis thaliana (AT4G27940) UniProtKB/Swiss-Prot;Acc:Q944H5] MSIERDKMVEESKHDEGPWLSPAEEQSRIIDIANNISVISEPVVITDDPCLELIQPEHSSTSSDDQLGLVERSLSAAGAAILSAVLVNPLDVVKTRLQAQAAGNMYSHPLSNMTSRMAMFGPHMLFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFYKIIRQEGISRLWRGTNAGLALAVPTVGIYLPCYDIFRNKLEEYAAQNAPSLMPYTPLLAGSLARSLACTSCYPIELAKTRMQAFKDMHKGKKPPGVWKTLFEVISSVKSTANASNTIQSYRALWTGLGAQLARDVPFSAICWSTLEPARRRLLGLTGDEDNAARILGANFSAGFLAGSLAAAATCPLDVAKTRRQIEKDPIRALTMTTRQTLLEVWRDGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHHQYATP >itb12g26280.t2 pep chromosome:ASM357664v1:12:27264042:27267908:1 gene:itb12g26280 transcript:itb12g26280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein MTM1 [Source:Projected from Arabidopsis thaliana (AT4G27940) UniProtKB/Swiss-Prot;Acc:Q944H5] MSIERDKMVEESKHDEGPWLSPAEEQSRIIDIANNISVISEPVVITDDPCLELIQPEHSSTSSDDQLGLVERSLSAAGAAILSAVLVNPLDVVKTRLQAQAAGNMYSHPLSNMTSRMAMFGPHMLFADLRCSPSCTRAGVHGTVAICPPDCFQYKGTLDVFYKIIRQEGISRLWRGTNAGLALAVPTVGIYLPCYDIFRNKLEEYAAQNAPSLMPYTPLLAGSLARSLACTSCYPIELAKTRMQAFKDMHKGKKPPGVWKTLFEVISSVKSTANASNTIQSYRALWTGLGAQLARDVPFSAICWSTLEPARRRLLGLTGDEDNAARILGANFSAGFLAGSLAAAATCPLDVAKTRRQIEKDPIRALTMTTRQTLLEVWRDGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHHQYATP >itb01g06830.t1 pep chromosome:ASM357664v1:1:5059171:5064204:1 gene:itb01g06830 transcript:itb01g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGTVPPELGNLINLQYLFLSDNYLTGELPKELNALTNLGALSLGCNNFTGKMPSFQHLKSLLLLELEASGFEGPIPENNFVPTGLARLRISDLNGAVSSFPTLNDMTNMTELILRRCNISGNLPDLHHMTKLRMIDLSFNNLEGGISGLQGLQGLQGLNENELEDKMQYLEIGKCLKQCTKDWYSFHINCGGGNVSIGDTTYDADKDSVGPAKFFFNRENWLASNTGDDLFDTDQYIAKNISVINVKDSEIYRTARLSPLSLTYYGRCLANGNYTVKLHFAEIVIRDNRSFQSFGRRIFDVYIQGTLLDGTVIAVKQLSSKSSQGNREFLNEIGMISCLQHPNLVKLYGCCVEGKQLLLVYEYLENNSLAHALFGSEECQPKIDWPSRQRICLGIAKGLAFLHEESAIKIVHRDIKATNVLLDKELNPKISDFGLAKLNDDGNTHISTRVAGTIGYMAPEYAMWGYLTYKADVYSFGIVALEIVAGKSNMKYRPDENFICILDWALDLQKKGNLMELIDPRLGSDFDKEETLRMTKVALLCTNPSPVLRPSMSAVVNMLEGHDDILEYKSDQHEFNFEAMRDHYDEMLPNSSDSPNISDCTYLKKEIN >itb12g17650.t1 pep chromosome:ASM357664v1:12:19752902:19757234:-1 gene:itb12g17650 transcript:itb12g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMADKLAYFKAITGVEDSELCTEILSAHGWDLELAISAFISENNRSEAATSSEPPIDNSTSYNAGTNQDHRETGMLVAGGGGPPGLAWKLVTLPFSIISGSLGLVSGAIGLGVWAASGVLSYSLGMIGLNSGRNGHASAPLVSVSASAQEAMAFVEGFDREFGSTRPNFVSEGFMDALQRSRHAYKLLFVYLHSPDHPDTPVFCERTLCNEALTAFVNENFVAWGGSIRASEGFKMSNSLKASRYPFCAVVMAATNQRIALLQQVEGPRSPEELLTVLQRVLEESAPVLISARLEAEERRTNIRLREEQDAAYRAALEADQARERQRQEEQERLAREAAETERKRKEEEEARERAAREAAEREAALAKLREEKARSLGPEPEKGPDVTQVLVRFPTGEREGRRFYSTARIQSLYDYVDSLGSLEMDSYKLVSNFPRTVYGSDKLEMSLKEAGLHPQASLFIELNS >itb07g10720.t2 pep chromosome:ASM357664v1:7:11880537:11884556:1 gene:itb07g10720 transcript:itb07g10720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSALSLRLSPTQIYPFTLPLHKSSSPLPAFRIGYGPVFNPLLQRPLALSSTPSVYYNKRRFAPVKASRGESPYEVLGVSPAATPNEIKRAYRKLALKYHPDVNKEPNAQEKFMRIKHAYNTLLNSKSRKKYDSGSSTSNDSYYTTWGSQSRTAKDEDFYGFEDFFKDLQEEFRNWEATSASQGKPKSLWEELAEIGEEFVEFLEKELNITDPDAEEEKAQNNNAYSGAEGMGSGTQNKATDKRNNIEENLDDIEAALAQLKKELGL >itb07g10720.t1 pep chromosome:ASM357664v1:7:11880537:11884556:1 gene:itb07g10720 transcript:itb07g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSALSLRLSPTQIYPFTLPLHKSSSPLPAFRIGYGPVFNPLLQRPLALSSTPSVYYNKRRFAPVKASRGESPYEVLGVSPAATPNEIKRAYRKLALKYHPDVNKEPNAQEKFMRIKHAYNTLLNSKSRKKYDSGSSTSNDSYYTTWGSQSRTAKDEDFYGFGNFFRDVQITLEDFFKDLQEEFRNWEATSASQGKPKSLWEELAEIGEEFVEFLEKELNITDPDAEEEKAQNNNAYSGAEGMGSGTQNKATDKRNNIEENLDDIEAALAQLKKELGL >itb13g04740.t1 pep chromosome:ASM357664v1:13:5619150:5620812:-1 gene:itb13g04740 transcript:itb13g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYANEIDIFDNKLSLNATYIITDAIVSIPRGNLVLPDERYNCLWTLTRKCTVTVVPDEDKLEVMEDPEIDEISFSHFHKYINTMKKISVMAIVIHKLPRKHVDSKNGKIDAADFVLVDKQAKQVIFTLWGKYSKVEGVELEKQLTIGNFPVILAKNVEVTNYSELLSVSASKLVDMDKKNESIDMQNVKEKLDNKTFKVELLCKKQNFRGTEQVRYSIISLQEQKKIAHAKRVKRKLIYDDTDDNTSDEHIPKLLEILTMKLPIKKSKLVKLG >itb06g19230.t1 pep chromosome:ASM357664v1:6:22617548:22621870:-1 gene:itb06g19230 transcript:itb06g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSPPFSLHRYPTPANPTSAAAEYVAATFFPANLNRFHWPRKPFLPTSSVQSVFRSFPSSLSSRSPNLLRPFAAAANHNGDNNNNGGGRNDGGGGGDWWDDFFNFDEKHLFLLPFSCMFTNENNTFASVTSCKPLLLLLVSVSSSVTCGLLLASLVQAKTNGEENDTETLYEIRGGKRVELVADHFKDEFIVPRTVCFWSPRGSDSKPSSFRDFTVDLWMQCRNLAMSLMLPEGFPESVTSDYLEYSLWRAVQGVAAQISGVLATQALLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKILLSNYGRHFDVHPKGWRLFADLLENAAYGLEILTPAFPHLFVHIGAVAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKGIGIMLGIALANYTSSSTPLALASFGVITWIHMFCNLKSYQSIQLRTLNPYRASLLFSEYLLSGLVPSVKEVNNAEPLFPVFPALCLKPAYEAQAEDLSREAKNAAAHIVDRLQLGSRLSDIVKSREDALALLDLYKNEGYILAEHEGRFCVVLKENSSPQDMLKSMFHVNYLYWLEKNAGILSSGVCSDCRPEGRLQMSLEYVEREFCHVKNDSEIVGWMADSLIARPLPNRIRPGYPIASPVMAG >itb02g02200.t1 pep chromosome:ASM357664v1:2:1222587:1225666:1 gene:itb02g02200 transcript:itb02g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFDWIHGKIHGKQSVSKPNPNTTPYASHASEKEEFSDWPNGLLAIGTFGNNTAKDSAEKSSKNLQETPSSSCEDQQDQELTAEEVGELSKELKLLVHKHSVNGSEKDKVALQKLFDCLQNMEEDEEETAITELISESCGKEESTKQHGKEVQMEDKSSSHISKKSLSFLLKKAILCRGGFQFTPPPPPPPPLPLRERFPAETKFEKSRMEKILRAILHKKIYPQASNPRAATKKYLDNNLYLCENTDDDSEDEAVGKQASEGRSKWVKTDSDFIVLEI >itb02g02200.t2 pep chromosome:ASM357664v1:2:1222587:1225666:1 gene:itb02g02200 transcript:itb02g02200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFDWIHGKIHGKQSVSKPNPNTTPYASHASEKEEFSDWPNGLLAIGTFGNNTAKDSAEKSSKNLQETPSSSCEDQQDQELTAEEVGELSKELKLLVHKHSVNGSEKDKVALQKLFDCLQNMEEDEEETAITELISESCGKEESTKQHGKEVQMEDKSSSHISKKSLSFLLKKAILCRGGFQFTPPPPPPPPLPLRERFPAETKFEKSRMEKILRAILHKKIYPQASNPRAATKKYLDNNLYLCENTDDDSEDEAVGKQASEGRSKWVKTDSDCKPSSRPYNFL >itb15g15110.t1 pep chromosome:ASM357664v1:15:13650801:13657398:1 gene:itb15g15110 transcript:itb15g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKYLCAAFLLWAVVCTALPAAYSDNNLLRVGLKKRPLDLESIKAAKGARLGGKYGKGVNKKLGDSDEGIVSLNNYLDAQYYGEISIGSPPQNFTVIFDTGSSNLWVPSSKCYLSIACYFHSKYKSSKSSTYTQIGKSCSITYGSGSISGFLSQDDVQLGDLLVKDQVFIETTREPSLTFIIAKFDGILGLGFQEISVENVVPVWYNMVEQGLVDEPVFSFWLNRDPKAEVGGELVFGGVDPKHFKGEHTYVPVTQKGYWQIDLGDFLIGNSSTGYCEGGCAAIVDSGTSLLTGPTAVVTEINYAIGAEGVVSAECKEVVSEYGEMIWDLLVSGLRADQVCSELGLCFLNGAWHESSIIKTVVEKEAEGNLTSNPLCTTCEMAVIWLQNQLKQKGIKEKVFEYVDQLCEKLPSPDGESVIDCNSISSMPNVTFVIGDKDFVLTPEQYILKTGEGIAAVCVSGFLALDVPPPQGPLWILGDVFMGAYHTVFDYGNLQVGFAEAA >itb13g21880.t3 pep chromosome:ASM357664v1:13:28374915:28376882:-1 gene:itb13g21880 transcript:itb13g21880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSAGISDLYIDFPGQKITLVGWADPEKIVKAIKKTRKSAVICSHTEAEPPEPPPQPPEQGSEGESTSPPAEAPPAEAAQPTEPPKEPPKDPGPENHHPAEEKPCPEAKIQTDQPAKHKDVEEVHVVYHYPPDYNYGSSFSHGYGGQWGYYHPGGGTGFRPDQPPPPLGSRFRHEPFAANNSPSFRYEPPSPPPPGGSRFRQELPPPPHNPGFRQEPPPPPYSSGFRQEPPPPPPPSSSRTRQEPPPPPPPSSSRSRQEPPPPPPTYTPELKHSGFRQEPPQPPSGSRLRQEPPPPPPTYNPEFKHPGFRQEPPQPPSSSRLRQEPPPPTYSPEFKHSGFRQEPPQPPSSSRLRQEPPPPTYNPEFKHSGLRQESPQPPSSSRLRQEPPPPPPPSYSQELKNEPPPYQNGSGFRQEFPQPIYVSHSYNTYKPSPYVTGYEYARSPPRYMNYNRPDPYTEDMYPRPRRYTGYDYVQSNNSPPRYTNYDHYTQQDYRRPEHYSEDYHNGNLSSMFSEENPNACTIS >itb13g21880.t2 pep chromosome:ASM357664v1:13:28374679:28377499:-1 gene:itb13g21880 transcript:itb13g21880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSQKMQRTQITEIQVRMDCNGCVQKIKKALHGIHGISDLYIDFPGQKITLVGWADPEKIVKAIKKTRKSAVICSHTEAEPPEPPPQPPEQGSEGESTSPPAEAPPAEAAQPTEPPKEPPKDPGPENHHPAEEKPCPEAKIQTDQPAKHKDVEEVHVVYHYPPDYNYGSSFSHGYGGQWGYYHPGGGTGFRPDQPPPPLGSRFRHEPFAANNSPSFRYEPPSPPPPGGSRFRQELPPPPHNPGFRQEPPPPPYSSGFRQEPPPPPPPSSSRTRQEPPPPPPPSSSRSRQEPPPPPPTYTPELKHSGFRQEPPQPPSGSRLRQEPPPPPPTYNPEFKHPGFRQEPPQPPSSSRLRQEPPPPTYSPEFKHSGFRQEPPQPPSSSRLRQEPPPPTYNPEFKHSGLRQESPQPPSSSRLRQEPPPPPPPSYSQELKNEPPPYQNGSGFRQEFPQPIYVSHSYNTYKPSPYVTGYEYARSPPRYMNYNRPDPYTEDMYPRPRRYTGYDYVQSNNSPPRYTNYDHYTQQDYRRPEHYSEDYHNGNLSSMFSEENPNACTIS >itb13g21880.t1 pep chromosome:ASM357664v1:13:28374915:28377499:-1 gene:itb13g21880 transcript:itb13g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSQKMQRTQITEIQVRMDCNGCVQKIKKALHGIHGISDLYIDFPGQKITLVGWADPEKIVKAIKKTRKSAVICSHTEAEPPEPPPQPPEQGSEGESTSPPAEAPPAEAAQPTEPPKEPPKDPGPENHHPAEEKPCPEAKIQTDQPAKHKDVEEVHVVYHYPPDYNYGSSFSHGYGGQWGYYHPGGGTGFRPDQPPPPLGSRFRHEPFAANNSPSFRYEPPSPPPPGGSRFRQELPPPPHNPGFRQEPPPPPYSSGFRQEPPPPPPPSSSRTRQEPPPPPPPSSSRSRQEPPPPPPTYTPELKHSGFRQEPPQPPSGSRLRQEPPPPPPTYNPEFKHPGFRQEPPQPPSSSRLRQEPPPPTYSPEFKHSGFRQEPPQPPSSSRLRQEPPPPTYNPEFKHSGLRQESPQPPSSSRLRQEPPPPPPPSYSQELKNEPPPYQNGSGFRQEFPQPIYVSHSYNTYKPSPYVTGYEYARSPPRYMNYNRPDPYTEDMYPRPRRYTGYDYVQSNNSPPRYTNYDHYTQQDYRRPEHYSEDYHNGNLSSMFSEENPNACTIS >itb12g08520.t1 pep chromosome:ASM357664v1:12:6700721:6701677:1 gene:itb12g08520 transcript:itb12g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHGKKAMKTKYENRKTSILKKSKELSTLCDVQVCVIVVSPDGKLETWPDNLNDVKAVLSTVKESRKPIRSENLREIGDRSRLCSRIKIPKSVKGKEIDVENCGNNGAGVVDEEELYDVSGADQENNNAKQDNTFGTGIPAGMSHVDMRVNQTPTSHYSYYHQWNGNGNGNGEINCEKFGKYIGDMVTNQESYNLAAYYGCGSSSSSMNPKTQFCNMHPNQEPSPHQYEMGSSSSSAFNFGFNFSNQQQPTPLIAYHHQQHYDVIGNNISALNTTDGLNNNMVHPNQEPTLAYQQYVMGNISSSLAYQHYDIGIWE >itb03g15140.t1 pep chromosome:ASM357664v1:3:14564201:14565509:-1 gene:itb03g15140 transcript:itb03g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLSFGSSPSRNSSRRSRGAKQLFLRPYRRRLLRSDARVFCCNELFTYNMKMDKKIMLKHVFAYN >itb04g09020.t1 pep chromosome:ASM357664v1:4:8317557:8331881:1 gene:itb04g09020 transcript:itb04g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKINYARGGWPFTTVNKNCKAGTQARRQGLWAVENKFSDTITHSRIFSRTKTNRHTYSSIAAATNKQEDDSADSIASYVSSTPISSCAKLVVNHLKLIFLTMHTIISNSQSKLDIFLLTGGCQVKVKGSFGCGAVMVKVLCVEKDNIEKGIVDFISEHRIKKLVMGAAACRHYSSLFQICTSLVVINVFPYFADLYTKRMFDLLVSAYSTVSVQDTALFLGMNVGDATNYYSNGHASTVSSVLFSNLKTGVRKGAWDKVEIYFHEIQVKAIDKLVSDS >itb03g09010.t1 pep chromosome:ASM357664v1:3:6841442:6843063:-1 gene:itb03g09010 transcript:itb03g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDVCSTGLVLGLGFSCSDQASKVDKPARKPAAAMSFEPSLTLSLSGETFDRQAAAVKKVAAAAVVANKVCVDHQSADLYRQDSAASSYSNASVKRERESEEAEMERVSSRVISDEDDDGGNGRKKLRLTKAQSALLEESFKQHSTLNPKQKQDLARELSLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKEVQELKALKLAQPFYMQLPAATLTMCPSCERIGGAAVEASNKSPFTMAPKPHFFNPFTNPSAAC >itb01g18090.t1 pep chromosome:ASM357664v1:1:23025984:23028901:1 gene:itb01g18090 transcript:itb01g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTFMERAVSLPTTSMIPSANLASEMIIHRVIIERIKLRNCFFHKPWCEWSQYRDLGGGRVAFVNQDFLQYSWGGVGWGWVDQASFCEEEIGLVESGFLLSKNPLTQPLRVLVRRLRSHAHCRSK >itb15g11910.t1 pep chromosome:ASM357664v1:15:9783204:9786733:-1 gene:itb15g11910 transcript:itb15g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSDQRLCSQGAVRCVVIFVGLFLVLYIVRPSILWSSNLRSSVLDSCPLCSCDCDEDSTLPLPLDVLNSSFADCAKDNPEMNEEMKKDIITLLSEEINLLKNVTSDSLEHTNALITGAKRASSHYQREAEKCNTGMETCEEARERAQAALIEERKLSELWESRARDFGWNDE >itb11g02960.t1 pep chromosome:ASM357664v1:11:1510214:1514438:1 gene:itb11g02960 transcript:itb11g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDVTAPLSPGKTEFSENEYLHVEEWLMAVLQDHCADFKLEFEFSFLRCVLSRFQVKMRCNACWQELEGQAVSTTCSHIFCTEDAKKILSNDAACPICDQALSKSLMKPVDINPSDEWINMANSGISPQILMKSAYRSVMFYIGQKELEMQFKMNRMVAQCRQKCEVMQQQFSEKLEQVQTAYQKMGKRCQMMEQELENLCKDKKELQEKFAEKCRQKRKLDEMYDQLRTEYESVKRSAIRPAVPNMYSRTEPELFSNAANMMNNRDHMRKDWSVATPDTPGPREDIWPPPARQHSSNSGPLEISSGSPAKQSGIRMDTGNRMAGARPMFGLGTATGTGGSNPSMTLRNLILSPIKKPRLSRGQPQMFTL >itb11g02960.t2 pep chromosome:ASM357664v1:11:1510214:1514438:1 gene:itb11g02960 transcript:itb11g02960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDVTAPLSPGKTEFSENEYLHVEEWLMAVLQDHCADFKLEFEFSFLRCVLSRFQVKMRCNACWQELEGQAVSTTCSHIFCTEDAKKILSNDAACPICDQALSKRWPTLGYHLRFVSLQFAPYVSCVPRVMKSAYRSVMFYIGQKELEMQFKMNRMVAQCRQKCEVMQQQFSEKLEQVQTAYQKMGKRCQMMEQELENLCKDKKELQEKFAEKCRQKRKLDEMYDQLRTEYESVKRSAIRPAVPNMYSRTEPELFSNAANMMNNRDHMRKDWSVATPDTPGPREDIWPPPARQHSSNSGPLEISSGSPAKQSGIRMDTGNRMAGARPMFGLGTATGTGGSNPSMTLRNLILSPIKKPRLSRGQPQMFTL >itb08g12200.t1 pep chromosome:ASM357664v1:8:12297049:12303694:1 gene:itb08g12200 transcript:itb08g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MSLLHVIGFGLKLGNLLLTLCSLVVTLISMNWLSNGGVMTTKTLLDDGEEILTKLWGKISENISKIQHSYSQYIGSKKVRKNWWGLLVIWLGFGAVLAFCAFWCLSTQAMEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSVIDQRTFARYTERTAFERPLTSGVAYAVRVLHPEREQFEREQDWTIKRMDPQFHENEYNVDNLEPSPIQEEYAPVIFAQDTIAHVISVDMLSGKEDRENVLRARASGKGVLTAPFKLLKTNRLGVILTFAVYKKDLPSNATPNERIEATYGYLGGVFDIESLVEKLLQQLASKQTILVNVYDTTNLSDPISMYGTNVSIDDLEHVSSLNFGDPFRKHEMHCRFKQKPPWPWLAIITSFGIITIVLLLGHIFHATINRIAKVEDDYHEMMELKKRAEAADVAKSEFLATVSHEIRTPMNGVLGMLHMLMDTELDVTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVSFDPRAILDDVLSLFSGKSQEKGVELAVYISDKIPKLLIGDPGRFRQIITNLMGNSIKFTEKGHIFVTVHLAEEVVVEHESSYALSGFSIEHESSSTLSGFLVADRRQSWKKFKAFQEGFSSFKLTSDQINLIVSVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVHLMKGEIGFVSLPKTGSTFTFTAVFANGSFSSNELKGQHINDESNSVFSEFKGMRALVVDPRPVRAQVSKYHIQRLGIYVKVVPDLNHGYTCLSTEKTNINIVLVEQEVWDMDSGMATEFVEKLRSYDISCSPKLFVLANCASATRANTSIFGVSTPFVIMKPLRASMLAASLQRALGVNNRGNYRNGGLSGVPLSELLHKRKILVVDDNPVNLRVANAALRKYGADVVCIDSGEQAISHLRPPHRFDACFMDIQMPKMDGFEATKRIRELERQANSQNEHGELLVNASNWHVPILAMTADVIHATNEQCLKCGMDGYVSKPFEPEQLYREVSRFFHVKSN >itb12g24550.t1 pep chromosome:ASM357664v1:12:26039806:26042043:1 gene:itb12g24550 transcript:itb12g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGKILRFSDYAHYLVCTLLFFGAFYILIISTNPNQSMNPLSLFNEQNPPCITSPPTTEKHRLKMYRDKLEEALAGASTENKTVIIAVVNKAYVDGDKSMLDLFLDGFWVGEGTRALVKHLLIVAVDQTSYERCAFLGLHCYKLRTDGVDFAGEEVFMSPDFIKMMWRRTQFLGDVLKLGYSFIFTDTDVLWLRNPFPRLSDLNETLDLQISTDLFNGDDWPELNPINTGFYMVRSNNRTISLFNSWYGLKNSSNGKKEQDVLNELISQGALAELGLKVKFLDTQFFSGFCQDSTDVKSVVTVHANCCKTITAKLADLMAVIHDWQRFKSFSGDANQTAVFHWSPHDRCTDSWKH >itb01g30100.t1 pep chromosome:ASM357664v1:1:34192257:34194833:1 gene:itb01g30100 transcript:itb01g30100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIAAATVCSLTTKHQYSASAFAPSSSTMLSHLSSLQFPRELRSLPMRIHGSKSQSRRRAFPIVEAKKQTFSSLDDLLANYDKPILVDFYATWCGPCQFMVPVLNEVSDSMKDKIQVVKIDTEKYPSIADKYQIKALPTFILFKDGKPCDRFEGALAAKQLIERIESALRS >itb09g03990.t1 pep chromosome:ASM357664v1:9:2213401:2217503:1 gene:itb09g03990 transcript:itb09g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCNNSNNMLLISNGELVRSNNFGDTTLRLDCFGYRGSEGSTIASCGANSKAKRIVQMNNTVDDGCGLVLGLGPTPSFPCDDYYSVGGNKSKGFTALLNQDLTSEHDSILKLGLSGSIDDASNVIEFSVSNHHSDQLSSDGSRLSIPVVDEGSTSAKKSGGYMPSLLLAPRMENSEVLMQSRKLLELGAKSHCHLPQMSSEPSAISDYSRSTISEPVGTVTSSDRRVSNPKRCMFTGCTKGARGATGLCIGHGGGQRCQKFGCNKGAESRTAYCKAHGGGKRCQYLGCTKSAEGKTDHCIAHGGGRRCGYPGGCTKAARGKSGLCIKHGGGKRCKVEGCTRSAEGQVGLCISHGGGRRCQYPGCSKGAQGSTMYCKGHGGGKRCIFAGCTKGAEGSTPLCKAHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKVENCEKSAQGSTDFCKAHGGGKRCSWGEGKCEKFARGRGGLCAAHSSLVQGRETNKGSMIGPGLFRGLVPSTSTAITSFENNHSSSIVSATSDSANSLGHPSKRRQLIPPQVLVPLSMKASASCSGPRNSEKPERTSAIGVPDASKSFEFAIPEGRVHGGGLLSLLGGNLKNAIV >itb12g19140.t1 pep chromosome:ASM357664v1:12:21442093:21443890:-1 gene:itb12g19140 transcript:itb12g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKSAQDVLVAAEALHGESDNIGFLEHLLDDFTKNGVGGEAYKDAMDLVKNGGSPLDQFEIIPLIPMNIGDLYFSFTNPSLFMLLTLSLVLLLLYFVTKKGGGNSVPNAWQSLVELIYDFVPNPVNEQIGGLSGNVKQKFSPCISVTFTFSLFRNPQGCLTKNLSTALLSSTVLCFIVKSELQALDLVGNPNHLLRQALYPYSFSAVSS >itb13g06120.t1 pep chromosome:ASM357664v1:13:7280909:7284047:-1 gene:itb13g06120 transcript:itb13g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILHVIVLCPHWNKPYAAATITGGSHPDIEAPPLNFVVSSPQRRTRKKKQLLTLIRDFAAEKSQGERRVSDLKNRVGELRSEIEIATANIEEAMKRKNGPTAAIKCLHDSDSFVDVNFVGDVCLKVRKAEVVLREESPNEVRAGYEEFKTNVHALFLAVRNSNVALVRKLLSIGADVNQKLFKGYSTTIAVRDTPGDKRSLFDHVTCNISASVDDVNIPGALALDVIEQAEVEVERLDQLKASKMKEIVFKRQTELEEIFSLAHIEIDRYRSC >itb03g06000.t1 pep chromosome:ASM357664v1:3:4253485:4255583:1 gene:itb03g06000 transcript:itb03g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSRTADSSSGCTAFSELSRIGGYRQLSAAESEDSNANGTILPKPNLKVYSLAELKVVTSNFKPEMVLGTGGFGTMFKGWVDENTLAPSKPGSGMIVAIKRLSPGSLREQSEVNLLGKLSHPNVVKLIGYCQEDEEQLLVYEFMQKGSLDNHLFRRGDAIQWDLRLKIAIGAARGLAFLHTSERQVIYGDMRTSNILLDGNYNAKMSDFGLVRLGPRVMGAEGYAAPEYIATGHVSVKTDVYSFSVILLEILTGLRAIDRNRPTNQHFLVKWVKSMDLSHQKGKLKSIMDPKMEGQYCTKAALQVAQLALRCLENEPCKRPSMNEVVQILEEIEAMGNSLDGN >itb02g11670.t1 pep chromosome:ASM357664v1:2:7801846:7806550:1 gene:itb02g11670 transcript:itb02g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISAVRQSGPLAIHGSDFFRPKSPAPAPKLCVLPPLPALKPCRSSILSLAKPVHVSSVETFGSLKERSRGDDREKRALVTCQAYEADRSQPIEEPAAKSEAARKVKIGIYFATWWALNVVFNIYNKKVLNAYPFPWLTSTLSLAAGSLIMLISWALRIAETPKTDLDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPPAVYLSLIPIIGGCSLAALTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILTPFAIAVEGPQMWTVGFEKALSQIGPNIIWWMLAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAILGTFLYSQAKQ >itb04g10250.t2 pep chromosome:ASM357664v1:4:9544467:9545372:-1 gene:itb04g10250 transcript:itb04g10250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNSSSLLPPRPRRHLMEKNRRQQMRGLYGRLASLVPHEKSLEKSTACDVLDHATNYIKQLKNNINELKARKDSLQLPVVIAVNESERGESLEINIVCGLEKKKLLKMDKVFRILQEEGAEVVSATNSTVGFSIYHTILCKV >itb04g10250.t1 pep chromosome:ASM357664v1:4:9544467:9545371:-1 gene:itb04g10250 transcript:itb04g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNSSSLLPPRPRRHLMEKNRRQQMRGLYGRLASLVPHEKSLEKSTACDVLDHATNYIKQLKNNINELKARKDSLQLPVVIAVNESERGESLEINIVCGLEKKKLLKMDKVFRILQEEGAEVVSATNSTVGFSIYHTILCKAFSPRIGIDTIRVQERLKNFISSLI >itb05g26140.t1 pep chromosome:ASM357664v1:5:30185276:30187472:-1 gene:itb05g26140 transcript:itb05g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G54270) UniProtKB/Swiss-Prot;Acc:Q9S7M0] MASMAAAASSTTVVRATPFLGQTKNANSLRDAVPMGPAKFTMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWLNVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLADDPTTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >itb07g07900.t1 pep chromosome:ASM357664v1:7:6182717:6183130:1 gene:itb07g07900 transcript:itb07g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRKMLDDREAEGKRAVKVKAAPAAEDDGGESKKKQQAAEAEDEEVEEFYAILKRIRVAVKYFEKGKGGKKAEARPAAVKPWSPSFQREDFDGAAAEEEDEEEEEEDAAAGLDLNAEPDCRRKTGKITSGKNRL >itb08g14610.t1 pep chromosome:ASM357664v1:8:16344876:16346886:1 gene:itb08g14610 transcript:itb08g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVAFSFLVLATIFALLFGQNGVDSAKFTITNNCPYTIWPATLTGGGQQTPTGFELAQGASNSFNVAPQWTGRIWARTQCSTDQAGKFSCKTADCGSGQLACNGAGAIPPASLVEFTLGGWQNQDFYDVSLVDGFNLPVSIVPDTANCKSTSCPIDVNAKCPSELAVPDSTGAVVGCKSACVAFNQPQYCCTGAYGTPATCPPTDYSRIFKGLCPEAYSYAYDDQTSTFTCNTGETNYKITFCP >itb07g06770.t1 pep chromosome:ASM357664v1:7:4905897:4912553:1 gene:itb07g06770 transcript:itb07g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMEFFGLLKIRVIRGINLAVRDTLSSDPYVVITMGHQKVKSPVIKDNCNPVWNVEMTLAIRDPNLPIILTLYDKDTFTVDDHMGDAEIDIQPYLEAMKLVSQGSQSGAKVGRIDPSWENCLADESCIVWKDGKLTQEMILRLRHVECGEVEIQIEVIDAPSPKEKFFNSCGAHKDARKNEKNIFFLDCCQAICLHCLAPHCSHRLLQIRRYIYHDVLRLKDAEKLLDDCVSVQCYISNSERVVFLKQRPMARPCRGSSKICIICDRAVQDAFLFCSIFCKLQHMMKSGVKLSDHICNRESLVLPELLGVGDDQMTPETAVEPTASGESGGASAGGMETTTTTTEIVKKKRSVRSCVRPD >itb12g17520.t1 pep chromosome:ASM357664v1:12:19612110:19617813:1 gene:itb12g17520 transcript:itb12g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HLB1 [Source:Projected from Arabidopsis thaliana (AT5G41950) UniProtKB/Swiss-Prot;Acc:Q9FHY8] MSSTAQQLESQNGSPESAPAESPAPPLTADSKPAAPSSTEPDPDDATIQPTEPPPVGEEDPEPPEMKDNEIHSGEDEPANMEPSESLIQSDEPANHSDPKPDDPEDRTVQSNVVDGNPKFRKGDEGNRTFTMRELLNELKNGGEDSEARDAGTPHSQESNRTDHTDAALELINNVTGADEEGRSRQRILTYAARRYASALERNPEDYDALYNWALVLQESADNVGPDSGTPSKDALLEEACKKYEEATRLCPTLNDAYYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQTIVKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDLSRTGGAINSKDVSPNELYSQSAIYIAAAHALKPNYSVYTSALKLVRSMLPLPYLKVGYLSAPPAGNPLAPHSDWKRSQFVLNQEGLHQLSKVEQRRSLSSNSGDSTSPSRQAIKVDVPDIVSVSACADLTLPPGAGLCINTIHGPIYMIADSWECLDWWLDAIRLTYTIYARGKSEVLAGIITG >itb10g02080.t1 pep chromosome:ASM357664v1:10:1688131:1696984:1 gene:itb10g02080 transcript:itb10g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSKDDVVQLIKRFGAFLTVRISNLLRSMDTKSVGALAGLALAIVFTWRLLRSPSGTQRRRPKRQAAPSSSSGASSHTNATITTSVVNPSDDSRAQNVIDEFFQPVKPTLGQIVRQKLSEGRKVTCQLLGVILEETTPEELQKQVTVRSSVLEVLLEITKYCDLYLMERVLDDESEKKVLLALEDAGVFTSGGLVKDKVLFCSTENGRISFVRQLEPDWHIDINPEIVTQLARFIKYQLHISPTKPERMAANVFNSPSLEQFFGCV >itb15g22870.t1 pep chromosome:ASM357664v1:15:25610216:25611706:1 gene:itb15g22870 transcript:itb15g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCPLQLPCDILDLPQLRYLHVDKRCSQFLPCLVKNDLQTLYWFKVASSDEKPNFRMVPNLMELGIYIEGQLAPSHLGSLVHLHLLEKLKFEVGRVERFYLPTGFPPNLKKLTLCYTYLPWKEMDTIGELPHLEVLKLKDFAFCGPTWKPSKQGFRELKALLISLSNLEHWNASSNNFPVLERLVLSYCWELKQVPINFAKITTLNLIVLECCYSSLVMTSAMQISSAKSKALR >itb15g14440.t1 pep chromosome:ASM357664v1:15:12698554:12702587:-1 gene:itb15g14440 transcript:itb15g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCFEALLNTYFTDGAEIEISSDDDGFRGAWYEGKVVRSVSKKVKKNASKKEHRVQVVVEYATLMADDGDTQPLQETVDIVQVRPRPPRERRRTFNFNEEVDAYCNDGWWEGIVMKVLEGRKYSVFFRASKEQLDFAESQLRLHREWVNGDWAPPLEHENDEEIVTPTEKKRSNDIGQEIFSQGMKVEVSSDEEGFKGAWFAATVVKQLDSGNYVIEYQNLRNDDDTEFLQEEVDHLHIRPCPPDIERVNSFKVQEKVDALYNDGWWVGMISKVLKGKKYIVHFSNTNEELEFKHVDVRPHQDWIDGKWIMASKAKQLSSRTSKSKS >itb10g19400.t1 pep chromosome:ASM357664v1:10:25262056:25263754:1 gene:itb10g19400 transcript:itb10g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKILCSSMLFLITIILGVWSCCLVASSRVTFDHENSMRLRHQQWMAHHGKVYADASEEDMRFNVFKNNVERIETFNAGPDKGYELGVNKFADLTNEEFRQLTTGEFVRQDEESGLVSASESKHFRYGEATDLPSAVDWREKGAVTPIKDQGDCGSCWAFATVAAVEGIHQIKTGNLVSLSEQQLVDCNRNRKNTGCNGGWPNLAFQFIAKTNGLTTEKNYPYKAKDGVCKANKTLLKAAAISGFEKVPSNNETALMQAVAHQPVAVLIDSDFDFQFYKKGVFAGKCKVELNHAVTAVGYGTTGDGKKYWVIKNSWGTTWGDNGYMKIQRDYADKRGLCGLAKAPSYPTI >itb02g04480.t2 pep chromosome:ASM357664v1:2:2664218:2667585:-1 gene:itb02g04480 transcript:itb02g04480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSKPPPVGNIPKLPRDEVVGIAKKCWNKFRKEATFALYTPFIVCLASGTLEVETFGQCVSQGVYFVKPFDQALEFAEANAEDDDAKHRIRELRKTTLDKLNLHHDSLVQEWGSDVVKDTTQNRATTKCTEFLLDTATGKLATPCEKTKLSAYTLGAVTSCIRLYAYIGKELQGLIDGKSNHRYKKWIENYFSDSFQEFSLQTEDLLDKLSVSLTGEELDIIEKLYSQGIKHEIDFFLAQPLIQKAVVPLLGEHNLEDRRFMIFSAFDLTCTHVDSCAILAKLAISTAPKSDQIRTENQIARMTLSDLTNIWEDLSKNYKNGYDQCIENILATEKAEKFDFEGLLEALEQLSDFEKKANLRVIESGLLKGLSLEDIKQVGGSLILQDGCMNFFQTVIKKDVDVRVLSSCWCGDLIRSAFSSGGLNDELKVRANELEFKESSCTGKIVKRVESPIDKLQAKLLVKNCGSDRKKRLDVYIGDSVGDLACLLEAYAGIVVGSNSSLTRLGTHFGVRFIPLFRGVVDQQKEREVGSGILYTASSWAEIHAFVIGS >itb02g04480.t1 pep chromosome:ASM357664v1:2:2664218:2667676:-1 gene:itb02g04480 transcript:itb02g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSKPPPVGNIPKLPRDEVVGIAKKCWNKFRKEATFALYTPFIVCLASGTLEVETFGQCVSQGVYFVKPFDQALEFAEANAEDDDAKHRIRELRKTTLDKLNLHHDSLVQEWGSDVVKDTTQNRATTKCTEFLLDTATGKLATPCEKTKLSAYTLGAVTSCIRLYAYIGKELQGLIDGKSNHRYKKWIENYFSDSFQEFSLQTEDLLDKLSVSLTGEELDIIEKLYSQGIKHEIDFFLAQPLIQKAVVPLLGEHNLEDRRFMIFSAFDLTCTHVDSCAILAKLAISTAPKSDQIRTENQIARMTLSDLTNIWEDLSKNYKNGYDQCIENILATEKAEKFDFEGLLEALEQLSDFEKKANLRVIESGLLKGLSLEDIKQVGGSLILQDGCMNFFQTVIKKDVDVRVLSSCWCGDLIRSAFSSGGLNDELKVRANELEFKESSCTGKIVKRVESPIDKLQAKLLVKNCGSDRKKRLDVYIGDSVGDLACLLEAYAGIVVGSNSSLTRLGTHFGVRFIPLFRGVVDQQKEREVGSGILYTASSWAEIHAFVIGS >itb10g14440.t2 pep chromosome:ASM357664v1:10:20835056:20837728:-1 gene:itb10g14440 transcript:itb10g14440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKTVIKWPKQITACLVEQLIKAEKDIQKAILIFDAATAEYTNGFRHDNGTFGIIISRLLSANRFKSAEDMLARMKEENCMITEDVFLSMCRAYGRVHKPLDVVRIFQKMKDYDCEPTQKSYITVFSILVNENRLKTAFKFYRYMREKGIPPSTASLNILIKALCKNDKTMDAAFNIFREMPKHGCNPDPYTYGTIINGLCKLGRILEAKELFREMEAKGCLPTVITYTALIHGLCLCNDLDGATGLLEDMKIKLVEPNVFTYSCLMDGLCKSGRSLQAMELLEMMISERKVPNIITYSTLIHGLCREGKLREALEIFDKIKLQGLQPDAGLYWKIISLFCEINKFQEAANYLDEMVLSGVLPNRVTWSLHVKIHNTVVQGLCVGNDPNRAFQLYLSIRTRGISVEAETFELLVDHFCKKGDLHKSARIIEEMVIDGCIPGTGTWTAVLDAFWDRRKAKEATELAFSELMAKLVECKTPSLVEH >itb10g14440.t1 pep chromosome:ASM357664v1:10:20835056:20838455:-1 gene:itb10g14440 transcript:itb10g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKTVIKWPKQITACLVEQLIKAEKDIQKAILIFDAATAEYTNGFRHDNGTFGIIISRLLSANRFKSAEDMLARMKEENCMITEDVFLSMCRAYGRVHKPLDVVRIFQKMKDYDCEPTQKSYITVFSILVNENRLKTAFKFYRYMREKGIPPSTASLNILIKALCKNDKTMDAAFNIFREMPKHGCNPDPYTYGTIINGLCKLGRILEAKELFREMEAKGCLPTVITYTALIHGLCLCNDLDGATGLLEDMKIKLVEPNVFTYSCLMDGLCKSGRSLQAMELLEMMISERKVPNIITYSTLIHGLCREGKLREALEIFDKIKLQGLQPDAGLYWKIISLFCEINKFQEAANYLDEMVLSGVLPNRVTWSLHVKIHNTVVQGLCVGNDPNRAFQLYLSIRTRGISVEAETFELLVDHFCKKGDLHKSARIIEEMVIDGCIPGTGTWTAVLDAFWDRRKAKEATELAFSELMAKLVECKTPSLVEH >itb10g01030.t1 pep chromosome:ASM357664v1:10:747522:752661:1 gene:itb10g01030 transcript:itb10g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKYRPSSSFNTPFCTTNSGAPVWNNTCALTVGSRGPILLEDYHLVEKIQNFTRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPLIVRFSTVIHERGSPETIRDPRGFAVKMYTREGNWDLVGNNFPVFFIRDGTQFPDVIHAFKPNPKSHIQENWRILDYLSHLPESLNTFAWFYDDVGIPTDYRHMEGFGVHTFTMINKEGKASYVKFHWKPTCGVKCLLEEEAIRIGGENHSHATQDLYESIAAGNYPEWKLYIQVMDPDHEDRFDFDPLDTTKIWPEELIPLQPVGRMVLNKNIDNFFAENEMLAMDPAHIVPGIYFSDDKMLQARVFAYADTHRHRLGPNYMLLPVNAPKCAYHNNSYDGYMNFVHRDEEVDYFPSKFDNTRNAERFPTPLRIVTGRRDKCVIEKENNFKQPGDRYRSWAPDRQDRFINRWVKALSEPRVTHEIRSTWISYLTQADRSLGQKVASRLNIRPTM >itb06g23760.t1 pep chromosome:ASM357664v1:6:25555789:25560519:-1 gene:itb06g23760 transcript:itb06g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSIVWFRRDLRVEDNPALAAGVRAGAIIALFIWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTTLITKRSTDSVSSLLEVIKSTGASHLFFNHLYDPLSLVRDHRAKEILTAQGVTVRSFNADLLYEPWEVVDDEGHPFTTFNAFWDRCLSMPYDPEAPLLPPKRIVSGDVSRCPSVNLVFEDELEKGSNALLARAWSPGWRNADKALTAFINGALLEYSQNRRKADSATTSFLSPHLHFGEVSVRKAFHLVRMKQVLWANEGNIAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKYFPWVINEAYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRLVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRELDRIDNPQFEGYKFDPNGEYVRRWLPELVRLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKARLQDALAQMWQLKAASRAAIENGMEEGHGDSTDLIAFPQDMQMETNHEPVRNNNPATIRADEDQMVPSITSFLRDEESSMDLRNPSVDSRAEVPTTEAQMRNNTRGQTVMQAVRNININTNNPPQPNIRRRNSEDSTADSSNSSRGVVPVWSPSSTNYSDQFAGDDNNNAIGTTSTSYLQRHQQPHQLMNWQRLPQTG >itb05g26820.t1 pep chromosome:ASM357664v1:5:30506586:30509449:-1 gene:itb05g26820 transcript:itb05g26820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPSHHHLHHPTVILNSLDDPTLPAPAFRPEKRAVNELDFFKRENSDLDSAMDEALVSKGNGRRVGDEAVNHPPVLDTGLDLLGPSKKSMVFHGALPATMEHKATVEEERSLYLTALREELERMNSENQRLKSMLNQVHEKYNALKMHYAYILEHQHTLKPEIPEDDKSGKLDQMNDGFAEGNEKKRKLTDGMKEEHSHSSPEGAGASPPCPEDNTREESPDKVQQKLVGRSNGEHSDNLLPAAADQHAPAAKKARVSVRIPCDTPYCSDGCQWRKYGQKMSKGNPCPRAYYRCTMTSTCPVRKQIQRCAEDRSVMIVTYEGEHNHPLPPAARPMASTTSAAATMLLSGAARSADGGVRPANLDALPANFLPTISTFAPVPTITLDLTNPMATQPQTPPPFHSPNPPLPPGIVSAAAAALTRNPSFTAALVSAIASIIGGNNNAAQPPPPTELSDQDVKPSPSIESNAQAKVL >itb14g17370.t1 pep chromosome:ASM357664v1:14:20626182:20629834:-1 gene:itb14g17370 transcript:itb14g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSATLLPVITAPFPRICRKSSVTPATSRIGAKGLRTMTVGCVYKDPNAPVEERVKDLLSRMTLQEKIGQMAQIERLVATPSAITHRFIGSLLSGGGSNPSKYAASEEWADMIDGFQKAALETRLGIPMLYGVDAVHGNNNVYGATIFPHNIGLGATRDEDLVRRIGVATALEVRASGAQFAFAPCVAVSRDPRWGRCYESYSEDTEVVRKLSSLVTGLQGQVPEGHPNRYPFVDGRNKVVGCAKHFVGDGGTDKGKNEGNAITSYDDLMNVHLAPYLDCLSQGVCTVMASYSSWNGRKMHSDHHFLTEILKHKLGFKGFLITDWEAVDRLSDPYGSNYRECILSAINAGIDMVMVPFRFELYLDELLCLAQSGEIPMARIDDAVERILRVKFISGIFEHPFADRSLLEVVGCKEHRELAREAVRKSLVLLKNGKNPEKPFLPLDKNAKKILVAGTHGDDLGYQCGGWTSTWEGSSGRITIGRTILDAIKEVMGEGTEIVFEPNPTPETFDGQDFAFAIVAVGEPPYVETGGDNPHLTIPLNGPEIASLVADRAPTVMILISGRPMVIEPQVLDKVESLVAAWLPGSEGNGITDVLFGDYPFQGKLPMTWFRTVDQLPVHAQENPIDPLFPFGFGLIA >itb13g19360.t1 pep chromosome:ASM357664v1:13:26356705:26362439:1 gene:itb13g19360 transcript:itb13g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPALVPEWLRSTGSITGGGSSGHHFHSDISSTTRNRSSRSINDKDSPRSQFLDRSSSSNSRRSLSSNSSKHPYSSFTRSHRDKNHDREKERSANLELWESNSPDPFGSLLTSRVEKNSLRRSQSLVSRKPGELVPRRTEDTKNGMNNIQNNANGVLSGGSNLNGDQKVSFEKDFPSLGTEEKPVGRVSSPGLTSAIQSLPIGNTALLGGEKWTSALAEVPAIIGSNGVGNSSSQQTGSVILSPGTSGASTGLNMAEALSQGPARARATSQVPDKTQRLEELAVKQSRQLIPVTPTMPKALVSSSSDKLKQTKSAVRASEMVVAAKSIQLQPYSSQLTSQPRGRARSDTPNTSHVGKFLVLRPVATSVPKDAPSLTNTAGGKVANEPPSVSPLSPLTNSSTPKVSALEGKAAALTLNPRPTTEKKLSMAQAQSRSDFFNLMRKKTSTKTTVLPVSGAVLSPSNLVGDSNKEGVSNSPLSSVVEDGQITSNGDPHGTHDKAQQCSDGGELCINGMIDPDEEAAFLRSLGWDENAGEDEGLTEEEINAFYQEYMKLKPTLKIYKGAHAHMQSEFYGKSGAAATESSASELEA >itb04g05380.t1 pep chromosome:ASM357664v1:4:3465420:3468150:-1 gene:itb04g05380 transcript:itb04g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSDEEEDAELQEPLSEQNEQKEVKAAASQSPAATREVPPPSRIENKQAEPPSKKQTLEFSHPSQPSELKLPDASFLLNSPSMPANLDRASDHSSRVAAAMAENATKKRDLSGPASSSYPRSKVPKGPLPHMKNFPDTVGGNLLPPQLAGRSNVVTEDISKLFVRKQANSSS >itb04g29840.t2 pep chromosome:ASM357664v1:4:33108989:33112840:-1 gene:itb04g29840 transcript:itb04g29840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARQRSLEVPRKRSQPAVSSSESQKISPRSLSHGVKTSPRAVPQLKTAVPECKSPSQSYAISKLKDRSSKITEQRSPQSFGSEVTKLESRISEPQTDLKKVKDQLSSTEAVKIQAEQDIELLKMQFAVMSSILEGSEKQLLKQTAASEETYASELQSSFKQESQTLQSELGVTDSTALLSSLCGIKQSKSQLGLNSSKENLKGSFLLAEDMETQLRDNGESVAQAQPRVNETLLQLEAAKKTVETLRSDGLKAMNVYGPVTSELDKSRAPVNLLEEIACKLPGTIFDCRSPQSMCDQNEIGQNEENRKMLEAEISSMKSEIEHLRAALEAVEIRYNEEQTRSTLEIRGVYELMEQMKSISGNRETVLKEEVDNLKVEIEGLKANLMDKETELQGICDENETLRLENMQSGQRKYALEQELQNSKVDIENLKANLMHKETELQKISEENKMLMLEVRAREPSKGNVNHEVDAELERAKAAGQEALMKLGYMKEEVEKSNRQAARVAEQLDVSQAMNAELEAELRRLKIHSDQWRKAAEAAAAILSAGNGRFMDRTVSMDSQHWHRSGKLSPPFSEGIDEDFLKRKNANMLKRIGILWKKAPK >itb04g29840.t3 pep chromosome:ASM357664v1:4:33108772:33112244:-1 gene:itb04g29840 transcript:itb04g29840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAVMSSILEGSEKQLLKQTAASEETYASELQSSFKQESQTLQSELGVTDSTALLSSLCGIKQSKSQLGLNSSKENLKGSFLLAEDMETQLRDNGESVAQAQPRVNETLLQLEAAKKTVETLRSDGLKAMNVYGPVTSELDKSRAPVNLLEEIACKLPGTIFDCRSPQSMCDQNEIGQNEENRKMLEAEISSMKSEIEHLRAALEAVEIRYNEEQTRSTLEIRGVYELMEQMKSISGNRETVLKEEVDNLKVEIEGLKANLMDKETELQGICDENETLRLENMQSGQRKYALEQELQNSKVDIENLKANLMHKETELQKISEENKMLMLEVRAREPSKGNVNHEVDAELERAKAAGQEALMKLGYMKEEVEKSNRQAARVAEQLDVSQAMNAELEAELRRLKIHSDQWRKAAEAAAAILSAGNGRFMDRTVSMDSQHWHRSGKLSPPFSEGIDEDFLKRKNANMLKRIGILWKKAPK >itb04g29840.t4 pep chromosome:ASM357664v1:4:33108772:33112244:-1 gene:itb04g29840 transcript:itb04g29840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAVMSSILEGSEKQLLKQTAASEETYASELQSSFKQESQTLQSELGVTDSTALLSSLCGIKQSKSQLGLNSSKENLKGSFLLAEDMETQLRDNGESVAQAQPRVNETLLQLEAAKKTVETLRSDGLKAMNVYGPVTSELDKSRAPVNLLEEIACKLPGTIFDCRSPQSMCDQNEIGQNEENRKMLEAEISSMKSEIEHLRAALEAVEIRYNEEQTRSTLEIRGVYELMEQMKSISGNRETVLKEEVDNLKVEIEGLKANLMDKETELQGICDENETLRLENMQSGQRKYALEQELQNSKVDIENLKANLMHKETELQKISEENKMLMLEVRAREPSKGNVNHEVDAELERAKAAGQEALMKLGYMKEEVEKSNRQAARVAEQLDVSQAMNAELEAELRRLKIHSDQWRKAAEAAAAILSAGNGRFMDRTVSMDSQHWHRSGKLSPPFSEGIDEDFLKRKNANMLKRIGILWKKAPK >itb04g29840.t5 pep chromosome:ASM357664v1:4:33108989:33111346:-1 gene:itb04g29840 transcript:itb04g29840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAFLESPMIAQFFGHLISRLVYSFVYRQRSLEVPRKRSQPAVSSSESQKISPRSLSHGVKTSPRAVPQLKTAVPECKSPSQSYAISKLKDRSSKITEQRSPQSFGSEVTKLESRISEPQTDLKKVKDQLSSTEAVKIQAEQDIELLKMQFAVMSSILEGSEKQLLKQTAASEETYASELQSSFKQESQTLQSELGVTDSTALLSSLCGIKQSKSQLGLNSSKENLKGSFLLAEDMETQLRDNGESVAQAQPRVNETLLQLEAAKKTVETLRSDGLKAMNVYGPVTSELDKSRAPVNLLEEIACKLPGTIFDCRSPQSMCDQNEIGQNEENRKMLEAEISSMKSEIEHLRAALEAVEIRYNEEQTRSTLEIRGVYELMEQMKSISGNRETVLKEEVDNLKVEIEGLKANLMDKETELQGICDENETLRLENMQSGQRKYALEQELQNSKVDIENLKANLMHKETELQKISEENKMLMLEVRAREPSKGNVNHEVDAELERAKAAGQEALMKLGYMKEEVEKSNRQAARVAEQLDVSQAMNAELEAELRRLKIHSDQWRKAAEAAAAILSAGNGRFMDRTVSMDSQHWHRSGKLSPPFSEGIDEDFLKRKNANMLKRIGILWKKAPK >itb04g29840.t1 pep chromosome:ASM357664v1:4:33108772:33112474:-1 gene:itb04g29840 transcript:itb04g29840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARQRSLEVPRKRSQPAVSSSESQKISPRSLSHGVKTSPRAVPQLKTAVPECKSPSQSYAISKLKDRSSKITEQRSPQSFGSEVTKLESRISEPQTDLKKVKDQLSSTEAVKIQAEQDIELLKMQFAVMSSILEGSEKQLLKQTAASEETYASELQSSFKQESQTLQSELGVTDSTALLSSLCGIKQSKSQLGLNSSKENLKGSFLLAEDMETQLRDNGESVAQAQPRVNETLLQLEAAKKTVETLRSDGLKAMNVYGPVTSELDKSRAPVNLLEEIACKLPGTIFDCRSPQSMCDQNEIGQNEENRKMLEAEISSMKSEIEHLRAALEAVEIRYNEEQTRSTLEIRGVYELMEQMKSISGNRETVLKEEVDNLKVEIEGLKANLMDKETELQGICDENETLRLENMQSGQRKYALEQELQNSKVDIENLKANLMHKETELQKISEENKMLMLEVRAREPSKGNVNHEVDAELERAKAAGQEALMKLGYMKEEVEKSNRQAARVAEQLDVSQAMNAELEAELRRLKIHSDQWRKAAEAAAAILSAGNGRFMDRTVSMDSQHWHRSGKLSPPFSEGIDEDFLKRKNANMLKRIGILWKKAPK >itb07g20800.t2 pep chromosome:ASM357664v1:7:25134702:25144099:1 gene:itb07g20800 transcript:itb07g20800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t7 pep chromosome:ASM357664v1:7:25134705:25144042:1 gene:itb07g20800 transcript:itb07g20800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t6 pep chromosome:ASM357664v1:7:25134705:25143992:1 gene:itb07g20800 transcript:itb07g20800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t3 pep chromosome:ASM357664v1:7:25134705:25143992:1 gene:itb07g20800 transcript:itb07g20800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t1 pep chromosome:ASM357664v1:7:25134702:25144099:1 gene:itb07g20800 transcript:itb07g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t8 pep chromosome:ASM357664v1:7:25136401:25144087:1 gene:itb07g20800 transcript:itb07g20800.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t5 pep chromosome:ASM357664v1:7:25134705:25144042:1 gene:itb07g20800 transcript:itb07g20800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb07g20800.t4 pep chromosome:ASM357664v1:7:25134705:25143992:1 gene:itb07g20800 transcript:itb07g20800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACCYENENNGGDENSFVDPDALTHIEEKIQDVLGHFRKDFEGGVSAENLGSKFGGYGSFLPTYQRSPSWSNPRTTPDVPNNNILRSPKNLNLEVGRQNSLVSSSTSLSARLGAASTNSVLVPATRASIANVDTKTGTHSARAEESTLISKNPKKRASSSDQKCLKVRIKVGSENLSTQKNAEIYSGLGLDVSPSSSLDDSHDDSEGLTRDLWDASDESPTSIIQFMTSVPFHRSLLLSPLSDDLIHLTEKDSLQGNTVCKPLRKAFTETSGVMLNGSGYTVGNGKILDERKLKSFEKNANCNDNGIQDSVGLPSKKEIDVGTLSCEDLISKTLKLPLLMSSCTTVSDPIKHSKPVDSLRTDVHCMVKEESLDGAPKDVFQPISDMETDRTEKSNRKGNSCGGAWESKKSNRFDNSTGYSNKEGNYGVQADVSANARQNKDRKALNTDFLGIPELSGCRKVALNNEDGLKLANGKEQVTSGGKKKLRGSQVHDADGGKVLNDGFVTDSSLTHKCKKSSSSNTSTSTHDSDDFKNHIRARSTYMELFGDLEVEAEDEIGLGKMHKLEMLKDSGDVGKTTLVEYNDTVKERSNASKIEKSFVSAEQPRLASDMNAPCGNVTNLTFGPSGPTAEAPVLKEDWVMCDKCQTWRLLPFGTDPRSLPKKWLCRMLDWLPGMNHCDISEEETTKALRSLYQFHPAATTASTFKTENNDHHNPGGNLLGVASVDDLHSNHMHVTDASGKKKYGSKVLPNENKQDCPTQFSDKTNENFQAIQNNNGLNNTIQSSVDENRYHKYSGQSNTSMMEKGRQKQKERKKLLNNHSDGGDKNAKLRNKNEKNLESSTASKKMKRDDLNYNDENWMVDIAGEKPTRSSSNSLSRSAFVKDQNKNKYKDSNIDAKKSVVPDRSPQIHLPLSSSDGSVHVGKCDIKDSFKKRKENECQYAETCNKMFPGVGCNSRDTTDLVEETCENDQRKGKKGRKSHSVMKDSSGKKSNEGNGERGRSKEQQQVVGQHLDGTMSQQSLDAMGSLKRGLGPMGTLQPSPAATSSSSEFSGSQKNKTSLQELRASPVESVSSSPLRIPTSDKFVSTMSLGRKDDCQDAGFFSVLSPRRSSDGEDDGSSYQYEILKKDQNLDVRHQGSLSGHMPCAKLSQYTLHSPDTANPRDAAVCTDPLPQGDHYAFKAQNLEKDLDDKDGRNGHLHNNSGIVLKSGKGSSSRPKAGQNKFQDTDRSAIGSDKIKKKPIPEKDPSRKSLNKVVKGHSKCTDNNCTDVRMDGSEKQALLSDSDDGRLTKKPFSDKEEGIASSGRGKSLPVLADSGKLGLTAGLQPVSGSQKDAANLLSVDTFEGDASKAKQGKEDHSQSRDQPTSLQHNSPNIRKFRDLDAPSPARREPSSQAATNAVKEATNLKHLADRLKNSGSSESTSLYFQAALKFLHGASLFESCNTDSMKNNEMTQSRQIYSSTAKLCEFCAHEYERSKDMAAAALAYKCMEVAYMRVIYFSHTSANRYRNELQAALQIFPQGESPPSSASDVDNLNNSLAVDKVASAKGVGSPQVTVTPVITARNRSNFMRLLNFAQEVSFAMDASRKSRAAFAAANPRKGEALCKEGMLSVRKALDFNFQDVDGFLRHVRIAMEAI >itb10g05190.t1 pep chromosome:ASM357664v1:10:5260717:5272914:1 gene:itb10g05190 transcript:itb10g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTNLLRRKCCTSFSLLLGTIVVLGFSSSQFFVALASAGSKVEYIPGFQHEGPLPFELETGYVGVGKSEDVQLFYYFVKSESNPSIDPIVLWISGGPGCSSFFALAEELGPLTFDVPKNNLTLPTLSLNPYSWTKVASFIYLDLPVGTGFSYAKSFKNYTSNSIETSYHAAEFLRKWLADHFEYQSNSFYVGGDSFSGMTVPTVVQAISYGIEARLKPLVNLKGYLIGNGFTFRMDHLDYLLRSARGFGIIPDELYQSIEECGGGTAPDMNYGLICQFKVGIFLVLSDINFGQNFLETNCYLQEENGVSSEQSSVAKRFRGFAVRALDRYFVCNGPKVSSRWANDGSVQEALHVRKGTIEEWEQCREDLPYHKTVFDSRPYHAILSQKGYRSLIYNGDGDLYVPSLSAEAWTKAMKYPIIDDWRPWFINNQVVGYTRTFSNNMTYAKIKGSAHIAPTVTPLECLVMFKRWISYEKL >itb04g32940.t1 pep chromosome:ASM357664v1:4:35316219:35323088:-1 gene:itb04g32940 transcript:itb04g32940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKFASCCWTGQNGPVHEDHNPDDEEANEVSDLPTFREYTVEQLRVATSDFAVENIVSEHGEKAPNVVYKGKLDNQRRIAVKRFNRSAWPDARQFLEEARTVGQLRNNRLANLLGCCYEGDERLLVAEFMPNETLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDDDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRIASRCLQYEPRERPNPKSLVTALIPLQKETEVPSHVLMGIPVNGESMSLSPLGEACLRSDLTAIHEILEKLGYKDDEGAATELSFQMWTNQMQDTLNSKKKGDVAFRHKDFRAAIECYSQFIDVGTMVSPTVYARRCLTYLMSDMPQEALNDAVQAQVISPIWHIASYLQAVSLFALGRENEAQIALKEGAVLEEKKNATS >itb10g20170.t1 pep chromosome:ASM357664v1:10:25811738:25815278:-1 gene:itb10g20170 transcript:itb10g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCCSFITFNATPPRRTLFSLRPPRFSGESTHSHGVSLAESLQSETLEILEWPAVCSQLAAFTSTSMGLSTAQSARIPLGRSPEESRRLLAQTSAAVAVPRPLDFSGIEDVSRIVDTAVAGQMLSIREICAVKRTLIAARSLLKQLEEISFQSELCERWSPLIEILQNCDFLVELAHHIEFCIDCDLSVILDRASEELEIVRSERKRNMENLELMLKQVSSRIFQAGGIDRPLVTKRRSRMCVGVRASHRSLLPNGVVLNVSSTGSTYFMEPEGAVELNNMEVKLSSEERNEEQAILSSLTSEIAQSKMKIMCLLDRMLEMDLAFARAAHAHWMNGVCPALSSENCDDQRFNDLSVDIEGIKHPLLLECSLRRLPDIVRFKSRSSLYSDQRNDATDFESVTRSSAFPVPIDIKIEHGTRVVVISGPNTGGKTASMKTLGLASVMFKAGMYLPAQNKPRLPWFDLILTDIGDNQSVEQNLSTFSGHMLRLCKILEAASESSLILVDEIGSGTDPSEGVALATSILQYIKERVNLAVVTTHYGDLTRLKEKDNRFSNAAMEFSLDTLQPTFRILWGSMGESNALMIAKSIGVNESIINRAQSWVNKLTPDKMQEQKGLLYQSLVEERDRLETQAKKAASLHSNIMNLFQEIRDEAQDLDEREKALKTKETQKIQQEVRAVKRELEAVLKDFENQLKTIDILEVNSLIKKSESAISSIIEAHQPSKELVRETGQSFYTPRVGEQVLLKSLGNKLATIVEEPGDDETVLVQYGKIRVRVDKSNIRALPTNSATVSVPSSRTQGQGIKNLKELRSLTAANNITQDSYGPVVQTSKNSVDLRGMRAEEASHHLRMAIDARPPNSVLFVVHGMGTGVIKELALKLLRDHPRVVKFEQESPLNYGCTVAYIK >itb07g06320.t1 pep chromosome:ASM357664v1:7:4465875:4472807:1 gene:itb07g06320 transcript:itb07g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHAIIERALSSLGKGFDLTSDFRLKYCKGKERLVMLNDTHTRELLVPGFGAIGDVSIDIKCDKGDRTRYQSDILDFNQMSEFFNRKSAVPGKIPSGMFNSMFGFQSGSWATDAGNTKCLGMDGYFIILFDVHIDRYPLVLVDEVRNAVPSSWDPAALARFIEKYGTHIIVGLGIGGQDVVLVRQDKSSNMEPSQLKNHLDNLGDQLFTGICNFSPHQIKTKEQYKPPEAFNVFDPQPNVFNTFSSVTAKNGITVICSKRGGDLSANTHCEWLLTVPSMPDAIHFNFIPITSLLKGVPGKGFLSHAINLYLRYKPPIADLQYFLDFQAHKIWAPVHNDLPLGPTTNRSIRPPALQFNLIGPKLYINPTQVTVGKRPVTGMRLYLEGVKCNRLGVHLQHLSNTPVLLEDKMQDGGTWRGSEESVDERYFEPIQWKKFSHVCTAAVKYDPTWASSPDAFIVTGAQLHVKSYDSKNVLHLRLLFSRVSNACIVQSQWVQCSSENPTKTTSFLSALSTTISGSSEKDKPPTPQVVIDSGVYPLGPPMPIHTQKLLKFVDTSQLCRGPSDSPGHWLVTGAKLDMDRGKICLHVKFSLLNICSS >itb12g03010.t1 pep chromosome:ASM357664v1:12:1981934:1989333:1 gene:itb12g03010 transcript:itb12g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQPAVASTAAAAVVAGDAVVVGGEAASAVAVGVARTSGGSEKRKRGRPPRGQVTVTPKPQQPRKILEEEDVCFICFDGGSLVLCDRKGCPKAYHPSCIKRDESYFSSKAKWNCGWHICSVCQKASHYMCYTCTYSLCKGCTKNADYVCVRGNKGFCSTCMKTIMLIENKDEANKEKVQVDFDDITTWEYLFKVYWIDLKGKLSLTLNELIQAKRLVNEASIGSVVGGRVDQAEVRGGSETAIACLSTENVTSADNSETEKFWYYRDPTGKIQGPFSTSQLRGWSNGGFFPPDLKVWKNDEHDQAVLLTDVLHQQSQKSSQITGDVAVQSSGLGAVSDNKGSFGLHDSSSGTVSESEQTKILLPQGVEKVCLNVSNENVRTHESGTHSFLDLLKGNTSLSDKSQEHSQLHLSSQVKMHVGVTPLEKGFEGGRFHSFSGHGNQNSCGSTAFQETVVQGHEMHSNSKNCSVQSSGQNWESLPITTSKPMHSVTHFASVPKTEPPEQNGRMNFTALLNHTPEVQAAEELLSLSSGFPIQRSNIRDVSSPSPSGEDMCGRTTETKEHSTSNVPVQDSGRSWSSASSLVINEWDSGLVSNPPLKAAPETVGDHVATPTSDTDHLTTSSPPHLISNVSNWQPIEFTTLDEESVSDLLAEVDALESQSGLGSPASALRCSKETIPRCKNEYFNFFEELNPMPDPAKNVPLGSLHHDR >itb12g03010.t2 pep chromosome:ASM357664v1:12:1981934:1989333:1 gene:itb12g03010 transcript:itb12g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTCTYSLCKGCTKNADYVCVRGNKGFCSTCMKTIMLIENKDEANKEKVQVDFDDITTWEYLFKVYWIDLKGKLSLTLNELIQAKRLVNEASIGSVVGGRVDQAEVRGGSETAIACLSTENVTSADNSETEKFWYYRDPTGKIQGPFSTSQLRGWSNGGFFPPDLKVWKNDEHDQAVLLTDVLHQQSQKSSQITGDVAVQSSGLGAVSDNKGSFGLHDSSSGTVSESEQTKILLPQGVEKVCLNVSNENVRTHESGTHSFLDLLKGNTSLSDKSQEHSQLHLSSQVKMHVGVTPLEKGFEGGRFHSFSGHGNQNSCGSTAFQETVVQGHEMHSNSKNCSVQSSGQNWESLPITTSKPMHSVTHFASVPKTEPPEQNGRMNFTALLNHTPEVQAAEELLSLSSGFPIQRSNIRDVSSPSPSGEDMCGRTTETKEHSTSNVPVQDSGRSWSSASSLVINEWDSGLVSNPPLKAAPETVGDHVATPTSDTDHLTTSSPPHLISNVSNWQPIEFTTLDEESVSDLLAEVDALESQSGLGSPASALRCSKETIPRCKNEYFNFFEELNPMPDPAKNVPLGSLHHDR >itb06g07220.t1 pep chromosome:ASM357664v1:6:10557037:10568694:-1 gene:itb06g07220 transcript:itb06g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPRPGSYVAAVAGNAESSQRTPPVERSPAQDSPIERSPVHIRNPQRNLTHCLPLIPIIGHEDVDFQAPLNTQTQPRDNPVENEIHSNNGSPQQVHIQVDSINNDNTGSQSASIEPQDTIIPQPVDNSPLSTGHSIESAPAHVNDMRPCTSDPPLQPRRAPAVFSSDLATGSLASPPPSLLDATLSPPYLSLVGVACMRRESAIVYYLLVSSSPTPSSTFSIMVSEPPRRGSYAAALSGEQNPERVATTPINRSPARSSSTTAPQRSTIPQQRGYNMEELDNPFFFSASDEKVERQNTFTNLNLNSLEINQANAVHQTQDLTSEVVAVVNSYNGRRTGNTNRNAKCTFCGMNGHTIEKCFKKHGYPPGWVPGYKSKGKQTDAANSSLSSSEGSGSTLDRMERMERLLSTLQNQIGQSSTDRSRTTASVSLIPKLTEEDSTDEVLDSGQSWDDSWFS >itb03g03580.t2 pep chromosome:ASM357664v1:3:2100080:2102095:1 gene:itb03g03580 transcript:itb03g03580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANVCDVNHLDADVLLPPRKRLLAGLKKQNSDVNSYTPSPSTASSSSTGSEFDTRLNNLLRSHLSKPGLSNEEIIETSRLAAVEAAKVAKAARAAAEEKAAKAAKAVSAAKNALELVANINKEAASRDRQSKKNKTKKHVAVQTLYNKHKGIENSGTDEELARKLHTAINSSPRISKSSAVEMRNHKHKRLKTSPPSEKGTVSNGVTSLEGHQLSKSNGHGVGGLPDSKKGSVPETGMLRLDLNISKFNNSDHPVMENAKMLQFSTDESSKMENWEGEATNTAETTGEHMGRKKGRFKQKKLPLSICNFRDQKPQRGAEI >itb03g03580.t1 pep chromosome:ASM357664v1:3:2100078:2102095:1 gene:itb03g03580 transcript:itb03g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANVCDVNHLDADVLLPPRKRLLAGLKKQNSDVNSYTPSPSTASSSSTGSEFDTRLNNLLRSHLSKPGLSNEEIIETSRLAAVEAAKVAKAARAAAEEKAAKAAKAVSAAKNALELVANINKEAASRDRQSKKNKTKKHVAVQTLYNKHKGIENSGTDEELARKLHTAINSSPRISKSSAVEMRNHKHKRLKTSPPSEKGTVSNGVTSLEGHQLSKSNGHGVGGLPDSKKGSVPETGMLRLDLNISKFNNSDHPVMENAKMLQFSTDESSKMENWEGEATNTAETTGEHMGRKKGRFKQKKLPLSICNFRDQKPQRGAEI >itb06g13200.t2 pep chromosome:ASM357664v1:6:17830930:17835864:-1 gene:itb06g13200 transcript:itb06g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFPSLHRTDPAGFLVFSAIPGRNALAGSFPFVRKQHYLRKSQRLSRAGALKDWQEYEQAVKDKDLTRALRFLKDIPVQSNEESWIDPSGYPSELDWARAARQDRNWEVLDTCLNADDMRLVGSAYAFLKDRGLLPNFGKCRSIVLEGPRDVTPTLLKSSTGLDVAKLSPKKWGLSGSSSFVLIALLAGTSFLVNQGIDIRPNIAAVLGLTFLDATFLGGSCLAQISSFWPPYRRRILVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLQNELAEGRLSGTAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICILLDPPLSVAQMSNQARWSVMQSYNLLKWHKRAHRAAVKAMEGGHGLSVIIKRIEEAMSSSR >itb06g13200.t1 pep chromosome:ASM357664v1:6:17830930:17835864:-1 gene:itb06g13200 transcript:itb06g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFPSLHRTDPAGFLVFSAIPGRNALAGSFPFVRKQHYLRKSQRLSRAGALKDWQEYEQAVKDKDLTRALRFLKDIPVQSNEESWIDPSGYPSELDWARAARQDRNWEVLDTCLNADDMRLVGSAYAFLKDRGLLPNFGKCRSIVLEGPRDVTPTLLKSSTGLDVAKLSPKKWGLSGSSSFVLIALLAGTSFLVNQGIDIRPNIAAVLGLTFLDATFLGGSCLAQISSFWPPYRRRILVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLQNELAEGRLSGTAFDRIITFWLV >itb01g25220.t6 pep chromosome:ASM357664v1:1:30685711:30694845:-1 gene:itb01g25220 transcript:itb01g25220.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHFTKMKNRQDSQKAPKKLPSRQTSHDSQNDQQNNTTETPVADAGSVSASGNGNRKISREDIELVQNLIERCLQLYMNRDEVVKTLLNRAKIAPAFTTLVWRKLEEQNADFFRSYYIRLKLKKQILLFNQLLEQQYVLTKHNHIVPLAPLQNRINCMPVNNLPMGYPVLQQPPIPAAGHLHLDSMTISSFHVVNGVPAPCNYYPVQMNSGNDMVIETSASDMAVAVPHSNAYSSMADMPISPASVASSGHFPFTGSEILEMGVDTSALDTAFPSHFANSAGLQLPSDNCAGNSLSSGQFPWMFSFSDLTEDLPNLEDLGDLGNYHGSTFLHSGSDILLDSPEQEDLDLGDLGNYHGSTFLHSGSDILLDSPEQEDLVVDEFFVDFGSGPTCPQTDEEKP >itb01g25220.t3 pep chromosome:ASM357664v1:1:30685711:30694699:-1 gene:itb01g25220 transcript:itb01g25220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHFTKMKNRQDSQKAPKKLPSRQTSHDSQNDQQNNTTETPVADAGSVSASGNGNRKISREDIELVQNLIERCLQLYMNRDEVVKTLLNRAKIAPAFTTLVWRKLEEQNADFFRSYYIRLKLKKQILLFNQLLEQQYVLTKHNHIVPLAPLQNRINCMPVNNLPMGYPVLQQPPIPAAGHLHLDSMTISSFHVVNGVPAPCNYYPVQMNSGNDMVIETSASDMAVAVPHSNAYSSMADMPISPASVASSGHFPFTGSEILEMGVDTSALDTAFPSHFANSAGLQLPSDNCAGNSLSSGQFPWMFSFSDLTEDLPNLEDLGDLGNYHGSTFLHSGSDILLDSPEQEDLVVDEFFVDFGSGPTCPQTDEEKP >itb01g25220.t2 pep chromosome:ASM357664v1:1:30685379:30694699:-1 gene:itb01g25220 transcript:itb01g25220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECCIFDMKIGQDSQKAPKKLPSRQTSHDSQNDQQNNTTETPVADAGSVSASGNGNRKISREDIELVQNLIERCLQLYMNRDEVVKTLLNRAKIAPAFTTLVWRKLEEQNADFFRSYYIRLKLKKQILLFNQLLEQQYVLTKHNHIVPLAPLQNRINCMPVNNLPMGYPVLQQPPIPAAGHLHLDSMTISSFHVVNGVPAPCNYYPVQMNSGNDMVIETSASDMAVAVPHSNAYSSMADMPISPASVASSGHFPFTGSEILEMGVDTSALDTAFPSHFANSAGLQLPSDNCAGNSLSSGQFPWMFSFSDLTEDLPNLEADLGDLGNYHGSTFLHSGSDILLDSPEQEDLVVDEFFVDFGSGPTCPQTDEEKP >itb01g25220.t1 pep chromosome:ASM357664v1:1:30685379:30694813:-1 gene:itb01g25220 transcript:itb01g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHFTKMKNRQDSQKAPKKLPSRQTSHDSQNDQQNNTTETPVADAGSVSASGNGNRKISREDIELVQNLIERCLQLYMNRDEVVKTLLNRAKIAPAFTTLVWRKLEEQNADFFRSYYIRLKLKKQILLFNQLLEQQYVLTKHNHIVPLAPLQNRINCMPVNNLPMGYPVLQQPPIPAAGHLHLDSMTISSFHVVNGVPAPCNYYPVQMNSGNDMVIETSASDMAVAVPHSNAYSSMADMPISPASVASSGHFPFTGSEILEMGVDTSALDTAFPSHFANSAGLQLPSDNCAGNSLSSGQFPWMFSFSDLTEDLPNLEADLGDLGNYHGSTFLHSGSDILLDSPEQEDLVVDEFFVDFGSGPTCPQTDEEKP >itb01g25220.t5 pep chromosome:ASM357664v1:1:30685711:30694845:-1 gene:itb01g25220 transcript:itb01g25220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHFTKMKNRQDSQKAPKKLPSRQTSHDSQNDQQNNTTETPVADAGSVSASGNGNRKISREDIELVQNLIERCLQLYMNRDEVVKTLLNRAKIAPAFTTLVWRKLEEQNADFFRSYYIRLKLKKQILLFNQLLEQQYVLTKHNHIVPLAPLQNRINCMPVNNLPMGYPVLQQPPIPAAGHLHLDSMTISSFHVVNGVPAPCNYYPVQMNSGNDMVIETSASDMAVAVPHSNAYSSMADMPISPASVASSGHFPFTGSEILEMGVDTSALDTAFPSHFANSAGLQLPSDNCAGNSLSSGQFPWMFSFSDLTEDLPNLEDLGDLGNYHGSTFLHSGSDILLDSPEQEDLVVDEFFVDFGSGPTCPQTDEEKP >itb01g25220.t4 pep chromosome:ASM357664v1:1:30685711:30694845:-1 gene:itb01g25220 transcript:itb01g25220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHFTKMKNRQDSQKAPKKLPSRQTSHDSQNDQQNNTTETPVADAGSVSASGNGNRKISREDIELVQNLIERCLQLYMNRDEVVKTLLNRAKIAPAFTTLVWRKLEEQNADFFRSYYIRLKLKKQILLFNQLLEQQYVLTKHNHIVPLAPLQNRINCMPVNNLPMGYPVLQQPPIPAAGHLHLDSMTISSFHVVNGVPAPCNYYPVQMNSGNDMVIETSASDMAVAVPHSNAYSSMADMPISPASVASSGHFPFTGSEILEMGVDTSALDTAFPSHFANSAGLQLPSDNCAGNSLSSGQFPWMFSFSDLTEDLPNLEDLGDLGNYHGSTFLHSGSDILLDSPEQEDLDLGDLGNYHGSTFLHSGSDILLDSPEQEDLVDEFFVDFGSGPTCPQTDEEKP >itb03g10300.t1 pep chromosome:ASM357664v1:3:8137776:8147424:1 gene:itb03g10300 transcript:itb03g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEVTSRSSDHTAAEDENRGEMQLIHRRMRRLSLHLNPALSPDFPDRGLEMVMCAGKAKVLQVRTEVLLQYMKGRHRDIQDKVYEYFKSRPDLQTPIEISKDEHRELCMRQLRGLVHEAGIRPFKYVVDDPVKYFAMAEAAGSVDMSLGIKLGVQYSLWGGSVLNLGTKKHRDKYFEGIDNLEYTGCFAMTELHHGSNVQGLQTVATFDPLKDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLLLPTHDSKGVSDMGVHAFIVPIRDLNTHKTLPGVEIHDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAHASVGVLKLAVTIATRYSLLRQQFGPPKEPEISILDYQSQQQKLMPMLASAYAFHFATLHLVERYSDMKKSHDEELIGDVHALSAGLKAYVTSYTAKSLNTCREACGGHGYATVNQFGSLRNDHDIFQTFEGDNTVLLQQLAGFLLKQYGEKFRGGTLSVTWNYLRQSMKSYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLQSVAVRLQKHSKTLGGFGAWNRCLNHLLTLAESHIESFILEIFIRAVKRCPDASSRAALKLVCDLYALNRIWNDIGTYRNVDYVAPNKAKAIHKLTEYLCFQVRNTAKELVDAFDLPDFVIRAPIALQDSEQVYSQYAHYAGF >itb03g10300.t2 pep chromosome:ASM357664v1:3:8137800:8145474:1 gene:itb03g10300 transcript:itb03g10300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEVTSRSSDHTAAEDENRGEMQLIHRRMRRLSLHLNPALSPDFPDRGLEMVMCAGKAKVLQVRTEVLLQYMKGRHRDIQDKVYEYFKSRPDLQTPIEISKDEHRELCMRQLRGLVHEAGIRPFKYVVDDPVKYFAMAEAAGSVDMSLGIKLGVQYSLWGGSVLNLGTKKHRDKYFEGIDNLEYTGCFAMTELHHGSNVQGLQTVATFDPLKDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLLLPTHDSKGVSDMGVHAFIVPIRDLNTHKTLPGVEIHDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAHASVGVLKLAVTIATRYSLLRQQFGPPKEPEISILDYQSQQQKLMPMLASAYAFHFATLHLVERYSDMKKSHDEELIGDVHALSAGLKAYVTSYTAKSLNTCREACGGHGYATVNQFGSLRNDHDIFQTFEGDNTVLLQQLAGFLLKQYGEKFRGGTLSVTWNYLRQSMKSYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLQSVAVRLQKHSKTLGGFGAWNRCLNHLLTLAESHIESFILEIFIRAVKRCPDASSRAALKLVCDLYALNRIWNDIGTYRNVDYVAPNKAKVLVFLNLLQKKILSPVCLIQYNHNFSELASENCDLLISCNL >itb01g10470.t1 pep chromosome:ASM357664v1:1:9071123:9075839:1 gene:itb01g10470 transcript:itb01g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRQFAVIVKEIVRARPEFASKKDSNGCIPLHLACSKGHLEATKELLEVDSDLCFRQDKEGRTPLHWAAVKGRVNIIYELIDASLESAHMLTCDCPLSKIEEEHVGEDLTSVSPHHLNSALVPYHYSFELAVSGHLSVLFPRSCFREFQSLDLKGWKAHLSVEYPIFPALPTDALSPALLLGAIFFLEGQVAPVKFV >itb14g15680.t1 pep chromosome:ASM357664v1:14:19003065:19007374:1 gene:itb14g15680 transcript:itb14g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSMQLGVIGALFLSVASSVSIVICNKALMSNLGFQFATTLTSWHLLVTYCTLHVALKLKFFENKPIDMKTVTLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLNKQFSRNIKLSLGVLLVGVGIASVTDLQLNFVGTVLSLLAIITTCVGQILTNTIQKRLNISATQLLYQSAPFQSAILFVTGPLLDQFLTNQFVFSFNYSPFVLGFIALSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTARNIIGILVAIVGMALYSYFCTHETKRLKSHSNGELSSLSQDIDRDNTTPLLVAKNISYQQEKENHGAKDSLV >itb02g25330.t1 pep chromosome:ASM357664v1:2:26115458:26116253:-1 gene:itb02g25330 transcript:itb02g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGLVWDDKFLASLVNQKEETLTDQLPDSQLKQSEEQSKEQPPAAAPAEPMVSSRNAGSIQRSRSNGGGRGFRTGKVSPAIEPPSPKVSACGLCGPFAKQEKGRRRSKIPGGKRKVR >itb01g13120.t1 pep chromosome:ASM357664v1:1:13065364:13066137:1 gene:itb01g13120 transcript:itb01g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFLQVSIAIIALVAIAQPSFGQAACDSDCIKGFIDAHNAAREMVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKQYYDKASNSCTSGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb01g34570.t1 pep chromosome:ASM357664v1:1:37190719:37194083:-1 gene:itb01g34570 transcript:itb01g34570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESCCTAKFSLYVLVCIGLVAFAGMMAGLTLGLMSLGLVDLEVLIQSGRPQDRIHASKILPVVKNQHLLLCTLLIGNSLAMESLPIFLDKLVPSWAAILVSVTLILMFGEILPQAVCTRYGLTVGATVAPFVRLLLWLFFPVAYPISKVLDWMLGKDHSALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPIAKAFSVDLDGTLNLETLNSIMTMGHSRVPVYYRNTANIIGLILVKNLLAVNSEDSVPIRKMIIRKIPRVSENMPLYDILNEFQKGHSHIAVVYRDLNETRETWTKSKDTEDTGAKSVPRDADKALSKADDDQIAKKSPPRTPAFKKRHRGCSFCILDLEKTPIPEFPPNQEAVGVITMEDVIEELLQEEILDETDEYVNIHNKIKVNMNASQEKSSEWNSTQMLLPSGSESTGPK >itb14g06560.t1 pep chromosome:ASM357664v1:14:5822426:5823741:1 gene:itb14g06560 transcript:itb14g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMEATDLCFSILQSPLPRLETPRTTIFCKLCGSRRHALRQSGLALAFRSSVLNPHIHFSILVSRPLDFCFSASGLLVRSSPSPSHSPANALT >itb13g13760.t1 pep chromosome:ASM357664v1:13:20331054:20337182:1 gene:itb13g13760 transcript:itb13g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPLIFVHKRRSDSKSSRRDRGAADAAAKSKPRLKRCNALKNIDFEPCTSPSSEDFLISLKWSDKKSFRIEGDRAEIETFFEELGFSGPEDFEIPPAAWEASMKARSPCHVLPRLCNSPPVEFSDSVRVTDGRFPVNGEPDNDTVTRFPGSGSVSSVFNSKIEANDSEFPEICRGNHRHIDRCVGSSSGTPLRGEICETVSRFDDSVAFNKTEPARFACDGGGGGGGIKGVRPPVLTPPPAMPFPVGNDTLLGWGTVSSSMPVDDMKLGFLSPERMIADAEIADKEGANIEVDEEVENDIRTQLGFNDLHSASCSFTTNDDDSSSTTTELMSIISPNGRFIRIITGWQKGQLLGRGSFGSVFEGIADGGFFFAVKEVSLLDQGDDARQSIHQLEQEIALLSQFEHDNIVQYFGTDKDDSKLYIFLELVSQGSLLSLYQKYHLRDSQVSAYTRQILFGLKYLHDRDVVHRDIKCANILVDAAGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNRRNSGYGLAADIWSLGCTVLEMLTRQFPYCHLEHIQALFKIGRGEPPHVPNTLSGDAQDFIRSCLQVDPNARPTASQLLEHPFVKHPLPSPLSSPSPRYLGMRF >itb12g10370.t1 pep chromosome:ASM357664v1:12:8419793:8421014:1 gene:itb12g10370 transcript:itb12g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQESAMAGRWSLQGMNALVTGGTRGLGHAIVEEFASLGATVYTCSRNQKELDECLKIWKDKGYHVFGSTCDILQASERENLIQLVSKQFDGKLNILINNVARIIPKDTLKSNAEDYSIVIGTNLEASLHFSQLAHPLLKTTGNGSIVFISSCASFVYAPVHTIYAASKGAINSLAKNLACEWASDSIRVNVVAPWPMQTSLTESSKKEHGKIVEALIQRTPQHRLAEPREVSAAVAFLCFPAASFVTGQIICVDGGATIYGL >itb12g05790.t1 pep chromosome:ASM357664v1:12:4319819:4321270:-1 gene:itb12g05790 transcript:itb12g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSRSKKKMLECNSTNCRRNRGVFLQPDPDEDLSFRFSTASESAKDRGRPSPMTMGYYDDVVSWADSPFAGSSFENPTSPVSRSPWHAHSSAPPPAAADDDCYSSTGPMGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNQKEFTFFKSNSGLVKAIIIAGERIFTGHQDGKIRVWKVSNKNPSVHKRIGTLPTFKACIKKSIKPSNYVETRKNHNAVWIKHFDAISSLILSEDSTLLYSSSWDKTIKVWRIEDSKCLESISAHDDAVNSVVLGFDGLVFSGSADGSVKVWRREFKGKRTKHLLVRTLLKQECAITSLVIDPLDTHIYCGSSDGLVNFWDRNMDVLPSGVLRGHKLAVLCLAAAGNLVFSGSADTNICVWRRDGNDHLCLSVLNGHGGPVKCLAVEEDGAGANKYWILYSGSLDKSVKIWKVLSELPPMQFPQHAAAVAAGAEAGGFAPPQPALSAQASRILRSRREW >itb12g12640.t1 pep chromosome:ASM357664v1:12:11387649:11390737:-1 gene:itb12g12640 transcript:itb12g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNKVSTVYPLDVYARLCVIDNVEKLGISRHFSKGIRNVLDETYSSPTPPQLVLWHSGYCEQMATASLQLVLYLNFWTRVSINSIACGCPEDVYAILDLYQALEVLCNSDEYVSEKHSRWYENLRQELSSDSLFSDIHTRQIHKQVEDVLNFLFYANLERIANRSRAKSLPGDHPEYHHLFKLMSTCGRLLNDFRGFERESKEGKLNALSLREWQCD >itb15g01750.t1 pep chromosome:ASM357664v1:15:1039448:1047810:1 gene:itb15g01750 transcript:itb15g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLHHQNLASSSSVNPPVRNYHLNRRVVVLDHLRFNRCYTRKRNRIKLSSLEVGNFDCSHRFSDFGRHGKKNFKSRRMDHLLPSASADDGVTVNESPKPSTSGDIEEMRAKLALSLESEDNSYGLVQALHDAARVFELGIRQQVSLSRMPWFSTAWLGVDKTAWVKVLSYQASVYSLLQAANKVSSRGDGRGRDINTFIQRSLSKQSAPLESVINNKLLANQPEVFEWFWSEQIPAVVNTFVNYFQKDKCFIAATAVKGPSSPGNTYHVQLLMLALSCIEAITKLGPAKVSCPQFFSIIPDTLGRLMDMLIENIPLQQAYNSLKTIGLHREFLVHFGPRAAACRARNEQGTEEAMFWVDLVQKQLQRAIDRERIWSRFTTSESIEILEKDLAIFGFFIALGRTTQSFLSANGFGAMDKPIEGLEPIEGLVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGFSSNTKYKSEHKSNEEVPPNAEAVLLVLDVCSYWIQSFIKYSRWLVNPSNVKAARFVSTAHDILQKCVGELGIEKSHTGAYLQNEKEPDSFDKAMESVEEALIRLEGLLQELHVSSPGTGKEHLKAACSDLERIRKLKKEAEFLEASFQAKAASFEQGDSAACSSPLMNESRQYSGDKDSMDTNNRSTRARGLWSFLVREPNKASDDPSSTVTGNDDESIKQAAENTRTTVSKSDEIQRFEILRSELVELERRVKKSADPNEYEEEKFQMAAESAQMVRQNKKENIIEKSLDKLKESTTDVWQGTQLLAIDVAAATELLRRTVIRDELTEKEKQALKRTLTDLASVIPIGFLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLALLRQLKKMKEMEAEVKPKETAEE >itb12g03170.t1 pep chromosome:ASM357664v1:12:2104102:2107543:-1 gene:itb12g03170 transcript:itb12g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQHANWSPYDNNGGTCVAVAGADYCVIAADTRMSTGYNILTRDYSKIIKLADKCVMASSGFQADVRALQKLLAARHLIYQHQHNKQMSCPGMAQLLSNTLYYKRFFPYYSFNVVGGLDNEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSENEAIDLVKTCFASATERDIYTGDRLEIVVLNSEGIRREEMELRKD >itb10g21210.t1 pep chromosome:ASM357664v1:10:26513343:26518575:1 gene:itb10g21210 transcript:itb10g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSKSKSRQGDVNGYRQGRGVATGYQPVTESHQKASSTHAQTYTQPERSYNPQPNPQSYAPIHVPAKPSVASVPQPIRPVQRPEPNNILGKPFEDIKAHYSLGKELGRGQFGVTYLCTEISTRRPYACKSILKRKLVSKNDKEDIKREIQIMQHMSGQPNIVEFKGAFEDRQSVHLVMELCAGGELFDRIIARGHYSERAAADLIRQIVNVVHICHFMGVMHRDLKPENFLLSSKDENAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEADVWSAGVILYILLSGVPPFWAETEKGIFDCVLKGEIDFESDPWPSISNGAKDLVRRMLTLDPRKRISSASVLEHPWLREGGEASDKPIDSAVLSRMKQFRAMNKLKQLALKVIAENLSEEEIKGLKAMFANMDTDNSGTITYEELKSGLTRLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQHFDTDHSGFITRDELKSAMMEYGMGDEATIKDIIDEVDTDNDGRINYSEFCAMMRSGTQPQQKLF >itb10g23300.t1 pep chromosome:ASM357664v1:10:27727561:27729935:-1 gene:itb10g23300 transcript:itb10g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALCRFLNIWVFLFLLLPYLVFSLSFNLPSIGPGDANVIKLEGDASITDQGIQVTPDDEAKSKLNDKAGRAAYVEQLHLWDRASKDLADFTTNFTFTITSDGNIGYADGLAFFLANFSTPMNRTLTHGGGLGLMNETESTPEDPFVAVVFDTFSHLTNEPRTNVSININSMLEPVTIKPWLIEIPEGMSNTASISYIASSKILQVNFTGSWKGNYQTDSLSYKVDLTKILPEFVSVGFSAATGWFFEKNTVSSWQFDSTPPGSGSGSVSVSSPAPSPKETHSFPPLPAQNHTNISNLGNPGGKNKKKGLEIAGFSVGALILLLLVALAIYTCFKKIWAAKGDNQIPLDPSRDDTQSTLVRAMDSEFQKAGSGAKKFSYSELATATNNFSEERKLGEGGFGGVYLGFLRDLNLDVAVKRVSQQSKQGVEEYASEVKIISRLRHRNLVPLHGWCHEKGELLLVYEYMPGGSLHSHLFKRKSPLNWMLRYKIAQGLASALSYLHEDWEQCVLHRDIKSSNVLLDSSFNARLGDFGLASLVNHEKAPEKTYLGGTPGYVAPECYFTFKTSKESDVYSFGIVALEIACGRKSILPNVPEGEKSLVDWVWDLYGMGKVLEAADPKLCGNFEEQEMERLMVIGLWCANPDNTSRPNISQVEHCLKFQVQLPILPPKMPKPAYSNSAVSYPASYSSRPEVESHTNSTRNTRLSSSSVSAGSSTSASLPHTS >itb14g07800.t1 pep chromosome:ASM357664v1:14:7179208:7184049:1 gene:itb14g07800 transcript:itb14g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDLKRQQLPFTVVKPPSGDFRRFSADHPRHSPPQQPDDIIVNTPPLKWKSDMVSHSQVPSDQDPSFGYAVNSPMQTPVSGKARKAQRVPRTSKSRRYVSQNAVDDGSPSGNNVTPVGSCRYDSSLGLLTKKFINLIRHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRTSDFDGVSNLQAEVEKLMLKERRIDEQIREMQDRLRDLSEDENNKGWLFVTEEDIKGLPCFQNETLLAIKAPHGTTLEVPDPDEAVDYLQRRYRIILRSTMGPIDVYLVSQFEKKLEEINAVDVAPSIHSTSGANENATAMVETEESRGNEVLQEKETERASSNVGTSQDFTSGIMKIVPDVDNEADYWLLSDADVSITDMWTTEPKVNWSALNLVHEDYTTANISTPRAQTPPVAAETPSAANTAVSLPAV >itb12g08170.t1 pep chromosome:ASM357664v1:12:6326498:6328694:-1 gene:itb12g08170 transcript:itb12g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICELQLRSLVLLSWGLWAIVFALGEDLLSCEAKSPAASGYHCDQIRSQTHCTTFALLFTNSYYSSLSNLSFYLGINRFHLAEANALSADTEFLPLNYPLFIPIHCKCVGGFYGAELRKPSIKGETFYGIAKSLEGLTTCKAIQEKNPNYPRELLKDNLPLLVPLRCSCPISTYHNKIFLSYPVIRGDTLPDLALKFNTTLESIIAANNRSESSTTLLIPIDTSKLILGSLAKPHQPKLGYPAARIDGRNNNYNPHKRKPRKMPMIGIYIGVSVVAFAAAIAILAAFLFIHWKRKKVSSCKDGNGDLELQQLNLSVRTASEKKVSFERSHQDDVLHTPRKISICTYTIEELERATESFNPSNLIEDSVFHGRLNGKNLAIKQTHTHNISRIDFELFIDTIHHHPNIIRLLGTCLTQNPNSFLVFEYAKNGSLKDWLHGGLAMKNQFIASCYCFLSWDQRLRICLGVASALQFMHQIMNPPYVHKNIKSRNIFIDEDFNAKVGNFGMAKCPVSEAQQSYLANPSSWNKGYLAPEFTEQDIASPSIDIFAFGVVLLEVLSGEPPITRENGKGEAKVRLCDKIKMILGAENADELRDWIDSGLGENYPFEAAVTVANLARSCVEDDPSSRPHAGEIVEKLSRLVEELPQGEQFPMCESTCKPLVKAAAATASTM >itb14g16470.t1 pep chromosome:ASM357664v1:14:19807241:19807636:-1 gene:itb14g16470 transcript:itb14g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSGGNHICFGKYALQALEPAWITSRQIEAGRRAMTRNARRGGKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVVKPGRILYEMGGVTKKIARRAISIAASKMPIRTQFISSEIEEKSKTTRKES >itb13g05240.t1 pep chromosome:ASM357664v1:13:6219396:6221761:1 gene:itb13g05240 transcript:itb13g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDMLRTKKTKSGVTGALSPAKSGGRGGDEVAVWEVRPGGMLVQKRSSDCNQSSVTIPTIKVKVKYGSSYHEAKISSQASFGELKKVLAGATGLHPEEQKLMYKERERDSRAFLDVAGVKDGSKLVLMEDEVSRERRYLESQKTAKMEAASREIKAVRLGIDKLAKQVADVEMDVYGGKKVAETVILNMIELLMMELIKLDGIAAEGDVKLQRRMQVKRVQKYIETLDMLKVKNCTLQSNSKNIRVAFQQQHRIITTGQILPPAAPMYNQQKHKNIGGGGGGRFPAGPVVVTTQWETF >itb01g24300.t1 pep chromosome:ASM357664v1:1:29977554:29980532:1 gene:itb01g24300 transcript:itb01g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDSPGSDSPGTRMGLVGAGAGAGSPRGSVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCRERGCWHTHCTSAVDLALDTLSAARSFGVEQLALLTQKQLASMVEKASIEDVMRVLIASGKQEMQQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEEIRHKSSLARSSLLAHHHHRHDLGAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGAAGRTPLHIAAEMVSPDMVSVLLDHHADPNVRTADGITPLDILRTLTSDFLFKGAIPGLAHIEPNKLRLCLELVQSAAMVISREEGNAAANNNSSSGVIYPAALAMNEEHHHRNIPSSGSGNMVNLNLDSRLVYLNLGAVENSGGGGGHHSQRETMTTRQNSCDPPSMYHHSHEY >itb04g20670.t1 pep chromosome:ASM357664v1:4:25539998:25542862:-1 gene:itb04g20670 transcript:itb04g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSANIFPFFFFFSLLALCFLPSVRPLSTLAISETGNQTLLICSLVQDSSRPPGSASINCTSFPAGSVLIPLNPSVSYSGLVGGNGFLCALTSSFSSNSTMVCWRFSVNGTGMAYKRIYRGPRIGEFGSGNSRICGIVENSGRLQCWQWPEFRQSNESAIASNLALGNDFVCGLSESRKIQCLGSDSNVTDNVPNGTYSDLAAGPRYACGVSAEGRLDCWGDMGGEKPDGEFAGVALGENRGCAIRRSNGTVVCWGENGFSLPANLQGRHFMALAARETVFCGVETANSTLYCWGNSFFDLNPKVFEEVVPGPCKSSCLCAPLPLYAKFCSQNLMICQPCTENPPSQPPPPPPPAAVPPPASGNRSRWDGENVAFLVVGCAGSLIGVLALICYLFSRYCTITGGSRIHDSGRLDEEEAASEHGEAQPEAAPARVLEKRLSQLLSLGNGGHLEEFSLLVLQKVTDNFSEEHRIGTGSFGSVYHAILEDGRRVAIKRAEVSASSSYAGAGGSKYRQEDKDSAFLNELEFLSRLHHKNLVRLLGYCDDSNERLLVFEYMENGTLHDHLHRLETSPLMSWTARIRVALDAARGIEYLHEYAVPQVIHRDIKSSNILLDATWTAKVSDFGLSLMGPQDDESHLSLRAAGTMGYMDPEYYRLQVLTTKSDVYSFGVVLLEVLSGHKAIHKNENGVPRNVVDYVVPYIVQDNIARVLDPRVPPPTPFEIDAIAYVGYLAVDCVMLHGRDRPTMTEIVNNLERALAACLAPPIFSRSNTDSSTYDRLATNSLQVKQEI >itb09g22020.t1 pep chromosome:ASM357664v1:9:20319094:20321013:-1 gene:itb09g22020 transcript:itb09g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVFQNAHKLFVRFSKRNFSVRTSTYNVTRSSHFQDQVHCICGNEDDNVVSWTLMISNFVKRNQPQKAIELFKRMLTSNLKPNYVTVLSSIRASSCMDSDNLTMGIHCFAIKMGFELKMPIITALLGFYSVWDMEAAWKLFLQAPEKDFIMWSAMISAFVKIGEYILAIDLFKEMQFCGVLLNYVCILSILPACANLGNLRFGREVHGFSIKRSFTSHVNVQNSLVDMYTKCGSLKESIYVFRHIEHKDLVSWKNIILGCVKNHCHRKALRFFYEMQFCCFDIDETIITEVIGASSKLNETKAGLGIHCFALKSGFLKCISVVTALLQMYANFGSIETARILFDSLSQKDIIAWSAMISAYAQSEQPSNALAIFKRMQLEHGKPNEFAFHSLLPACSSMAAQDFGEIIHTQVMKLGYTSNAFLASALIDMYCKFGRIQQGKTIFDENPDKDLICWSSMINGYGINGHGNEALECFLDMLSHGIQPNDVVFISVLSACSHCGLEYEGWNWFRAMEEKYDITPKLAHYACMVDMLSRQGNVEEALEFVNKMPIEPDKRIWGALLAGCRKTHGSTELVAKQLISLDPTNASYYVILSNLYADQGRWEEVEKLRRLMDGKKRKKGMGYSVVEVNDSMHRMEL >itb04g16860.t1 pep chromosome:ASM357664v1:4:18867160:18876458:1 gene:itb04g16860 transcript:itb04g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRREKMVVERFAALVVAMAVAVVVGGNLSFIQSLPHRILLDTDVDTDDLFALLYLLKLNRSEFDLKGVTISSNGWSDAGHSVNHIYDMLYMMGRDDIPVGVGGEGGILDNGTILPNVGGYLPILEQGVGTIGYCRYRQAVPVGIGGRLDVDTNFGLRKSFLPQGKRRYRPLEQPTAQQVLVDTISGGPTSVLMTGAHTNLAIFLMSNPHLKQNVEHIYVMGGSVRTGCGCDMNGGRSCECANNVGNLFTDFTTNPYAEYNVFMDPFAAYQVIHSGIPITLVPLDATNTIPITAGFFDTFERNQHTYESQYIFKSLKMVHDTTNDHQFYKNYFMWDLFMCGVAASIMSKQQAQNGKGENEFAEMKYMNITVITSNQPYGVSHGSNPFFDACNTPKFELKRDGVHSGYIQTGIRDASCLVKTRTGKCRDGYTPVVEGPEGVSVLVAVRAKPNHHVHSPLDRAFYVNFLDVINRPQQTGRFNFTTEYPNYKQLLYKPDLRGKQKLGKNVVFDMDMSAGDFLALFYLLKLPVEVINLKAILVSPTGWANAATIDVIYDVLHMMGRDDIPVGLGDVFPLNIANPVNPRVGDCKYQKVIPHGSGGFIDSDTLYGLARDLPRSPLRYTAENCGAPRDTDHPQLRQPLALQVWESVVKSLDPKSKITILANGPLTNIAKIVGGGETMWSAIQEVVVVGGQIKTSSNDSSNKGNVINLLPSNKFAELNMFLDPLAAKTVFASKLNITLIPLATQRKVSSFLQIISALNPKETPEAVFAKRLLSTLHHLQIKSHRYQHTGTFVGEILGSVLVAGDVSALKPTFGIKKIKVCATGVESDDGQMVITDEKQGKRVKVLENVDASAYYHLYANILGDGKQSAVVGSFLEQTRRWSTPLNTSLSTL >itb05g01100.t1 pep chromosome:ASM357664v1:5:940764:943729:1 gene:itb05g01100 transcript:itb05g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRFLVPLVLLSAILVFSPTTTSKTNSSIAKPTCDDHCGDVSIPFPYGLTEDCALNSEFFINCTTSPDGSPKPLLGHGILEVRNISVEGQLVIMSFITRQCSQKGKSTPRQQGGYWSGSWKLYVNQTANKFVAVGCDTFANVYAYGDDRSYRTGSNCMATCNSTQDVITNGTCSGFGCCETEIPNVARNVYYSVDSLNYYNDTADDVNNCSYAFVVQKEEFTFSSTMLTRTWDIETLPMVLDWTISNQTCLTACQGNTTCVAVNGEGYRCGCKEGYEGNPYLSPGCQDIDECANGQHNCSQNAICSNTKGGYECSCKEGYHGDGKGALGCTSSNHRLIMLVLGIALGTIILLISCFCVYLVYRRRKSVHMREKFFRENGGLILQRKIAQGTASPGTTRIFTAEELRKATNNYDQTRIVGQGGFGIVYKGHLLDGRTIAVKKSKVMDQTQVEQFINEVIVLSQINHRNIVKLFGCCLETETPLLVYEFISNGTLSEHLYSKDKASTIPWPIRLRIATETAEVLSYLHSAASPPIIHRDVKPANILLDNNYTTKVSDFGASRLVLQDETALMTMVQGTFGYLDPEYMQTHQLTEKSDVYSFGVVLLELLTGRRAVFYNGPVEERSLSEHFLSSLKTNQLFKILDVNIVCEGNTDELQEIALLAKRCLNVKGEDRPTMKEVAMELGGFGRATKHPWTNNSQTSMESQALLIDPPIHFGYDVTSSITTTEYDSLKHHMELPTAGR >itb02g07830.t1 pep chromosome:ASM357664v1:2:4902706:4905801:1 gene:itb02g07830 transcript:itb02g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSVPANDSVSKGFDFTASDDILCSYEDYSNHASSNGTLHSDPAVASTSAKEFQKNRMTRSSVFAAPPYRLPEESSFNEDVMVTVDMTMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEVQSDMIRDHGAADSKLNSLEKNLQEVHRSVQILRDKQELAEAQKELAKIRLAQKESNSGNNSQQNEERNMQPICDTKMSGNSSEVNGLQLALALPHQVAPRPSLTTRPVEHHQLPPVPAPPPISSQAISQPQGYYLPPPQLSHGQYIPPVPHYQTSQAQEHTRLQPQSVQSQLHVTPQVQAAANYQQQQQWVQQLPHQAPQSQPQPRLQQQQQARPSSPAVFPPYLLSQPNPTPVIAPNTMSMQMPMSQPLASSPEGGIRYGYAGAGKPVQPETPVQHLKPTLRAPGEGYGLATAHPSISQGNGYGIYDGEGARSHSTPQPHFPQGGYPQSSFPAQNPQPAPSTTNAMARPPQLIRNHPYKELTEKFMHMGYRGDHVINVIQKLEETGQPVDFNSVLDRLNGHSSCVPYRGW >itb01g31940.t1 pep chromosome:ASM357664v1:1:35555642:35568581:1 gene:itb01g31940 transcript:itb01g31940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRNAEVPPGEGGNFPPVSSNDQEQNRAANKVYKTGPLFISSKGIGWTSWKKRWFVLTRTSLVFFRSDPTAAPQKGGEANLTLGGIDLNSSGSVVVKEDKKLLTMLFPDGRDGRTFTLKAETLEDLLEWKTALDEALANAPSAALMVGQNGVFQANGADASSEQSKDRPPMKSLVIGQPVLLALEEIDGTPSFLEKALKFLEEHGLKVEGILRQAAYVDDVERRIREYEQGKVEFSADEDAHVIADCIKYILRELPSSPVPASCCSALLDACRVDRGNKVNAIRSAILETFPEPNRRLLQRILVMMRHVVAFKNENRMSTSAVAACMAPLLLRPLLSGECELEHTIDLGGDGSLQLLQAAAAANHAQAIVITLIEEYDNIFGEGSVQPELYSDSDGSGSGSEELTDDDGSYEGSDYDDEGEEECEEDDGDDADEGSDADIIEDSERASNATSETGNDGASKVSSPRSPELGNALETKGRIDSTESNAESSDMSPSQNSRNYATVPAVQRQALLGRVPGKKKKSIDAVGIAHDDDAELERLEATKTDLQNRIAEEAKVNQVLQEGMEKRRGTLHERRLALEKEVARLQELLEKEQELRMIFAAQLTNPQQSIPSTINKTVKTKLEEIAQIEVDVMNLKQKAADIEAELNKQREQNMKYHTDAGIPHQKLNYQGKLKDKAEGDKDKDKKNDFQLAVNKPLLNFNSPAASFAASVESLLATSRPATIPKKSGSKTEGATTTSALSKLTNRLNFLKEQSLQPPEKPGQNNTDREKSESSSSSSSGGAPDRTRNKVSPRTGPR >itb05g28020.t2 pep chromosome:ASM357664v1:5:31180983:31183579:-1 gene:itb05g28020 transcript:itb05g28020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVGSLKEADLKGKRIFVRVDLNVPLDENLKITDDTRVRAAVPTIKYLVQHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGIEVKMANDCIGEEVVKMVAELPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSTKIAVIESLLEKVDLLLLGGGMIFTFYKAQGYQVGSSLLEEDKLGLATSLIEKAKTKGVSLLLPTDVVVADKFAADANSKVVKASEIPDGWMGLDVGPDTIKSFGEALDTTKTIIWNGPMGVFEFDKFAAGTEAIAKKLAELSEKGVTTIIGGGDSVAAVEKVGLAEKMSHISTGGGASLELLEGKVLPGVLALDEA >itb05g28020.t1 pep chromosome:ASM357664v1:5:31180983:31184158:-1 gene:itb05g28020 transcript:itb05g28020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVGSLKEADLKGKRIFVRVDLNVPLDENLKITDDTRVRAAVPTIKYLVQHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGIEVKMANDCIGEEVVKMVAELPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVSNPKKPFAAIVGGSKVSTKIAVIESLLEKVDLLLLGGGMIFTFYKAQGYQVGSSLLEEDKLGLATSLIEKAKTKGVSLLLPTDVVVADKFAADANSKVVKASEIPDGWMGLDVGPDTIKSFGEALDTTKTIIWNGPMGVFEFDKFAAGTEAIAKKLAELSEKGVTTIIGGGDSVAAVEKVGLAEKMSHISTGGGASLELLEGKVLPGVLALDEA >itb13g18280.t3 pep chromosome:ASM357664v1:13:25258950:25262448:1 gene:itb13g18280 transcript:itb13g18280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMSASSSRRYAVVSGANRGIGHEICRQLANEGVVVVATARDEKRGMEAVEKLKQSFDEHLIVFHQLDVADGLSISSLVDFVTTKFGKLDILVNNAGVHGLIIEGDGLILNEMIEAESSRIISANEELETELKSDGALIETYELVEQCININYSGTKRMVEAFLPLLQLSHSPRIVNVSSTLGNLKLLSNEMARKVLSNGESLTEEKVDEVVNEFLKDYKEGPMIAQAKGWPRYASAYKVSKAAVNAYTRILAQKYPNFRINCVCPGYVKTDMTLNVGQLTPEEGAENIVKLALLPDDGPSGLFFCKKNVMAF >itb13g18280.t1 pep chromosome:ASM357664v1:13:25258950:25262448:1 gene:itb13g18280 transcript:itb13g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMSASSSRRYAVVSGANRGIGHEICRQLANEGVVVVATARDEKRGMEAVEKLKQSFDEHLIVFHQLDVADGLSISSLVDFVTTKFGKLDILVNNAGVHGLIIEGDGLILNEMIEAESSRIISANEELETELKSDGALIETYELVEQCININYSGTKRMVEAFLPLLQLSHSPRIVNVSSTLGNLKLLSNEMARKVLSNGESLTEEKVDEVVNEFLKDYKEGPMIAQAKGWPRYASAYKVSKAAVNAYTRILAQKYPNFRINCVCPGYVKTDMTLNVGQLTPEEGAENIVKLALLPDDGPSGLFFCKKNVMAF >itb13g18280.t2 pep chromosome:ASM357664v1:13:25258950:25262448:1 gene:itb13g18280 transcript:itb13g18280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMSASSSRRYAVVSGANRGIGHEICRQLANEGVVVVATARDEKRGMEAVEKLKQSFDEHLIVFHQLDVADGLSISSLVDFVTTKFGKLDILVNNAGVHGLIIEGDGLILNEMIEAESSRIISANEELETELKSDGALIETYELVEQCININYSGTKRMVEAFLPLLQLSHSPRIVNVSSTLGNLKLLSNEMARKVLSNGESLTEEKVDEVVNEFLKDYKEGPMIAQAKGWPRYASAYKVSKAAVNAYTRILAQKYPNFRINCVCPGYVKTDMTLNVGQLTPEEGAENIVKLALLPDDGPSGLFFCKKNVMAF >itb05g17740.t1 pep chromosome:ASM357664v1:5:24637602:24640966:-1 gene:itb05g17740 transcript:itb05g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGTCPSPRPSPAVLLRRSQNPNFISYNTAPCFPYVRCTLNPTCATMETQFQGRMFILGMGFVGEFFAADLKSKGWTVTGTCTSAAKKKKLDEMGYDAFVFDAKDPLPEVLDVMKCHSHLLISIPPVPGTGDPMLQHRKLLNNRLKSGDLQWLGYLSSTSVYGDRSGAWVDEEFPARPTTESARARLAAEEGWLQLGLDLEIASQVFRLGGIYGPGRSAVDTILKQGTLSKSQLMRSSKHFTSRIHVADICQALNASIQKPSPGRIYNIVDDDPAPRKEVFRFAESLVEKKWPDSIKQCNLTEDAPSSLGPKGAYNGGEKRVSNGRMKEELGVRLLYPTYKTGLASIVERVACPPLQAD >itb14g05440.t1 pep chromosome:ASM357664v1:14:4747522:4749947:1 gene:itb14g05440 transcript:itb14g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 [Source:Projected from Arabidopsis thaliana (AT3G26600) UniProtKB/TrEMBL;Acc:Q38957] MKQRIYDQKYSAGLPPFPTQINFELFDSPSDFSTSPTQLFNPSIITSSAMAASAPPPPVEEKRIEEVLAYPILLSDQIKDAAKEADSFKLECSEIGKQVDRLCQMLRSVARLFTTGAGSTYERPVRRIVAEVSKNLDRALTLVRKCKRRNVLRRVVTIVSAADFRKVLNFLDSSVADMKWLLNVFDGEGGGGGIVLTLPPIASNDPIVSWVWSYIASLHLGQLNDKIEAANELASLAKDNDRNKKIIVEEGGISPLLKLLKDNSSPESQLAASMALFNLANDEERVRAIIDELGVPIIVHVLGDSPMRVQIWVANLVARMAEYCPHAQEDFARENVIRPLVTLLSFDILMDDLKLKVGKQSIHSIVQINKEMEKKSSGSYSYRRTLGSPLSRHYSEGSSRGGSHRKERENEKPEVKLKLKVSCAEALWMLAKGSVSNSRRITETKGLLCLAKLVETEQGDLQLNCLMAIMEITAAAESNADLRRAAFKTNSPAAKAVVDQLLKVIKESNSPKMQVSAISSIGCLARTFPARETRVIGPLVEQLSNRNLDVAAEAANSLGKFVYPENFLCVEHSKTIIEFKAVPPLMRLLRGNERSQYPAFVLLCYLALHAGNNDALDQGRVRTALEGADRTLFTLHPELKELMQTATYHLNVYHSGLLPQRQPYSP >itb04g18770.t1 pep chromosome:ASM357664v1:4:22439310:22443965:1 gene:itb04g18770 transcript:itb04g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGRVQKELQECNRDVQVSGIKVSPRGDSLTHLSGTIPGPIGTPYEGGTFKIDITLPDGYPFEPPKMKFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSIQALLSAPEPDDPQDAVVAQQYLREYQTFLGTARYWTEAFAKTSLGVDEKVQKLVEMGFPEAQVRSTLELVGWDENAALERLCSSA >itb02g00750.t3 pep chromosome:ASM357664v1:2:432161:436432:-1 gene:itb02g00750 transcript:itb02g00750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAKPTSRSVLFSLLLLLLLAISLSSEPTAPDEESDDLGDLQELIALDEEADLSSEGGRDESKDKPSGAELLSRAQRIVLELNSDNTKSAIDGNEYVLVLGYAPWSETSAELMPRFAEAATALKESGSPVLMAKVDAERHPKIASNLGIKGFPTMLLFVNGSSQPYTGGFSAQEIVIWTKKKTGAPVLRINSINEATGILQKLSMFVVGLFDGFEGPDYEEYKKAAQIDNAIQFIETSSTEILEVLFPDAKPAKLFLGLVKSEPEKYTSFEGTFNADEILQFLEDNKFPLVSVLTELNSAKVFSSTSKLQVFVFAEPDAFKDLLEPLQDVAREYKSKIMLIYADIRDDNLVKPFLTLFGLEEPKDIIVIAFNYTIGSKYLLESTATPRSIKGFCLGVLDGTVPPYYKSQPVPDNKDASILAVVGKTFDDLILNSQKNILLEVCGFIELKRTSPFVLSRGL >itb02g00750.t2 pep chromosome:ASM357664v1:2:432161:436432:-1 gene:itb02g00750 transcript:itb02g00750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAKPTSRSVLFSLLLLLLLAISLSSEPTAPDEESDDLGDLQELIALDEEADLSSEGGRDESKDKPSGAELLSRAQRIVLELNSDNTKSAIDGNEYVLVLGYAPWSETSAELMPRFAEAATALKESGSPVLMAKVDAERHPKIASNLGIKGFPTMLLFVNGSSQPYTGGFSAQEIVIWTKKKTGAPVLRINSINEATGILQKLSMFVVGLFDGFEGPDYEEYKKAAQIDNAIQFIETSSTEILEVLFPDAKPAKLFLGLVKSEPEKYTSFEGTFNADEILQFLEDNKFPLVSVLTELNSAKVFSSTSKLQVFVFAEPDAFKDLLEPLQDVAREYKSKIMLIYADIRDDNLVKPFLTLFGLEEPKDIIVIAFNYTIGSKYLLESTATPRSIKGFCLGVLDGTVPPYYKSQPVPDNKDASILAVVGKTFDDLILNSQKNILLEILILFRVQDTHTMVHHLRYYKQANGEIGQAFQGFRKFGLRKNRCFRE >itb02g00750.t1 pep chromosome:ASM357664v1:2:432161:436432:-1 gene:itb02g00750 transcript:itb02g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAKPTSRSVLFSLLLLLLLAISLSSEPTAPDEESDDLGDLQELIALDEEADLSSEGGRDESKDKPSGAELLSRAQRIVLELNSDNTKSAIDGNEYVLVLGYAPWSETSAELMPRFAEAATALKESGSPVLMAKVDAERHPKIASNLGIKGFPTMLLFVNGSSQPYTGGFSAQEIVIWTKKKTGAPVLRINSINEATGILQKLSMFVVGLFDGFEGPDYEEYKKAAQIDNAIQFIETSSTEILEVLFPDAKPAKLFLGLVKSEPEKYTSFEGTFNADEILQFLEDNKFPLVSVLTELNSAKVFSSTSKLQVFVFAEPDAFKDLLEPLQDVAREYKSKIMLIYADIRDDNLVKPFLTLFGLEEPKDIIVIAFNYTIGSKYLLESTATPRSIKGFCLGVLDGTVPPYYKSQPVPDNKDASILAVVGKTFDDLILNSQKNILLEIHTPWCITCDTTSKQMEKLAKHFKGLENLVFARIDASENEHPKLQVDDYPALLFYSARDKSNPIKFPTKSSLKDLATLINKRLKEQDAEIKDEL >itb07g04750.t5 pep chromosome:ASM357664v1:7:3196081:3209638:-1 gene:itb07g04750 transcript:itb07g04750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t6 pep chromosome:ASM357664v1:7:3196081:3209638:-1 gene:itb07g04750 transcript:itb07g04750.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLWSNLLHQRFLPSSLLEYRSRIGSPSYLGGSSLFQSQVNSQKRKPPFLTELCTDRFALQKKKFPMAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKDRWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t7 pep chromosome:ASM357664v1:7:3196081:3209638:-1 gene:itb07g04750 transcript:itb07g04750.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLWSNLLHQRFLPSSLLEYRSRIGSPSYLGGSSLFQSQVNSQKRKPPFLTELCTDRFALQKKKFPMAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t2 pep chromosome:ASM357664v1:7:3196081:3209776:-1 gene:itb07g04750 transcript:itb07g04750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t1 pep chromosome:ASM357664v1:7:3196081:3209638:-1 gene:itb07g04750 transcript:itb07g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t4 pep chromosome:ASM357664v1:7:3196081:3209776:-1 gene:itb07g04750 transcript:itb07g04750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLWSNLLHQRFLPSSLLEYRSRIGSPSYLGGSSLFQSQVNSQKRKPPFLTELCTDRFALQKKKFPMAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t3 pep chromosome:ASM357664v1:7:3196081:3209638:-1 gene:itb07g04750 transcript:itb07g04750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLWSNLLHQRFLPSSLLEYRSRIGSPSYLGGSSLFQSQVNSQKRKPPFLTELCTDRFALQKKKFPMAHKPSVSGSIQAKLATNPSSEIGEKFNLEGNMELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb07g04750.t8 pep chromosome:ASM357664v1:7:3196081:3208850:-1 gene:itb07g04750 transcript:itb07g04750.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MELQVDVKPPTSGHVLVDFKVTSSSKGLFLHWGAIKSGKEWVLPHHRPNGTIVYKNRALRTPLVQSGSYAVLRLDIDDPAIQAIEFVIYHEPQNKWIKNSDNNFHVKIPPREPQRPLRLPRSNVSVTEDLVQMQAYLRWERKGKQTYNPQQEKEEYEAARTELLEEITRGTSIVDIRARLMKKNDKVELKEQAPAKTKGTLPIELVQTQAYIRWEKAGKPFYSSVEQNKEFEEAKKELQLELEKGISLEELRKKVVKGEIQTKVAKKQEKRHYSSMEKIQRKKRDVAQLINKDASGEQILGAAQVLSRIERFSKSKEGQVDGPIITKKIYKIGNSELMVLVAKPSGKTKVYLATDLNEPVILHWALSRKPGEWLAPPANVLPYGSVSSHHYAETQFSSCVSDNLSDRIQSLEIGIGDGDFTGMPFVLLSGRNWIKDRGSDFYVDFSTQPKQDGEGTAKALLDKIANMESEAQKSFMHRFNIAADLVTEATNAGELGFAAILVWMRFMATRQLIWNKNYNVKPREISRSQDRLTDMLQDVYISCPQYRGLLHMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDIVICQALIDYLNSDFDISIYWKTLNSNGITKERLLSYDRAIHSEPNFRRNQKEGLLHDLGSYMRTLKAVHSGADLESAIGNCMGYKAEGEGFMVGVHINPVSGLPSGFRELLQFILNHVEDNNVEALLEGLLEAREELRPLLSGPNNRLKDLLFLDIALDSTVRTAVERGYEELNASNPEKIIYFISLVLENLALSVDDNEDFVYCLKGWNQALSMSKSGNNSWALFAKSVLDRTRLALASKAEWYHHLLQPSAEYLGSKLGVDQWAVSIFTEEIIRAGSAASLSSLINRLDPVLRQTAQLGSWQIISPVEAVGYIVVVDDLLSVQNKSYDKPTILVAKNVKGEEEIPDGTTAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILAELQAKEGRVLSLKPTSADIVYSEVKDDDILRSIDITDGDSSQSLTLVKKHFAGRYAIVSQEFTSELVGAKSRNIASLKGKVPSWIGIPTSVAIPFGVFEQVLSDTTNQGVATKLQVLKKRLSEGEFSILGEIRKTVLELSAPSQLVKELKDKMKSSGMPWPGDESPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSTGDSSEIYAEVVKGLGETLVGAYPGRAMSFACKKNDLSSPQVLGYPSKPTGLFIRPSIIFRSDSNGEDLEGYAGAGLYDSVAIDEAEKVVLDYSCDPLITDSNFRQSILSGIVSAGRVIEELNGSPQDIEGVVKDSKIYVVQTRPQM >itb12g19420.t1 pep chromosome:ASM357664v1:12:21833885:21841787:1 gene:itb12g19420 transcript:itb12g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQESSSKPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSADVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVEFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLENFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDDLLANIATLSSVYHKPPDVFVTRVKTIQKAEDDEYPEGSEAGYSESSAHSVDSRASSASSSSNAPHPATRQLTTKAAAPLALPDLLDLGLESSNSAIVSVDEPAMPTGPPLPVVLPASTGQGLQISAQLTRRDGQIFYSMLFENNSQIPLDGFMIQFNKNTFGLAAAGPLQVSQLQPGASASTLLPMVLFQNISPGPPSTLLQVAVKNNQQPVWYFNDKILLHVLYSEDGKMERSTFLETWKSLPDSNEISKDFPEVVINSAQATLDRLATYNMFFIAKRKNSNQDVLYLSAKIPRGVSFLIELTATIGSPGLKCAIKTPNPEMAPLFFESFEPLLKS >itb12g18530.t1 pep chromosome:ASM357664v1:12:20826113:20826983:1 gene:itb12g18530 transcript:itb12g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKETTTAELYFVFMNRDPEYERLRSNSTKKGSHELDLYLSKKHDEFLATTLEPGTYNKTLSLAIVDAFAVQITDHQANVLRSAKEVRVVEKNQELA >itb13g24230.t1 pep chromosome:ASM357664v1:13:29957265:29959738:1 gene:itb13g24230 transcript:itb13g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKSHLSWNVVIHAENLDAKGLMLQKAIIIRLLDDFAAKKATKALGYYLAVTTLDKVGEGKVRENTGDVLFPVEFNCISFKIFRGEILEGVVHKILKHGVFLRCGPIEHIYLSHQKMSDYRYVPGENPIFMNDKMSKIEKETVVRFIVIGEKYVEAEKEFQAVVSLEGDYLGPVSQNSV >itb10g23600.t3 pep chromosome:ASM357664v1:10:27868382:27872231:-1 gene:itb10g23600 transcript:itb10g23600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYKCCETGFFIHIIVIVFLVAFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDGLITAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAFTAPVVRVLVWICFPIAYPISKLLDFLLGHENKALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELHEKTASDAMTPISDTFAIDINATLDRDLMSLILEKGHSRIPVYYEQPTNIIGLILVKNLLTVHPEDNIPVKNVTIRRIPRVLETMPLDDILNEFQKGHSHMAVVVRQSNKKAEQTATEASPNG >itb10g23600.t1 pep chromosome:ASM357664v1:10:27867704:27872513:-1 gene:itb10g23600 transcript:itb10g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYKCCETGFFIHIIVIVFLVAFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDGLITAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAFTAPVVRVLVWICFPIAYPISKLLDFLLGHENKALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELHEKTASDAMTPISDTFAIDINATLDRDLMSLILEKGHSRIPVYYEQPTNIIGLILVKNLLTVHPEDNIPVKNVTIRRIPRVLETMPLDDILNEFQKGHSHMAVVVRQSNKKAEQTATEASPNDVVPELKVDIDGEKTPLHKSLKTKQRSLQKLKSFPNTGSNSFKATRSKKWKDMYADILPMDGNPLPKLPEEEEVVGIITMEDVIEELLQEEIYDETDHQVEES >itb10g23600.t2 pep chromosome:ASM357664v1:10:27867898:27872513:-1 gene:itb10g23600 transcript:itb10g23600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYKCCETGFFIHIIVIVFLVAFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDGLITAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAFTAPVVRVLVWICFPIAYPISKLLDFLLGHENKALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELHEKTASDAMTPISDTFAIDINATLDRDLMSLILEKGHSRIPVYYEQPTNIIGLILVKNLLTVHPEDNIPVKNVTIRRIPRVLETMPLDDILNEFQKGHSHMAVVVRQSNKKAEQTATEASPNDVVPELKVDIDGEKTPLHKSLKTKQRSLQKLKSFPNTGSNSFKATRSKKWKDMYADILPMDGNPLPKLPEEEEVVGIITMEDVIEELLQEEIYDETDHQVEES >itb10g14880.t1 pep chromosome:ASM357664v1:10:21184486:21190090:1 gene:itb10g14880 transcript:itb10g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCADNKCDFVVTMKSEEVVKARLGEYYEHWLPMSNLDLLIPPLDFGVFFCYYNNNDNRCIEKMVGVIKKALGETLVSFYPFCGEVVYNGHGEPEIQCNNRGVNFKHAYADVELKNLDLFRPDVSVHGKLIPDNTTTGKAGVLSVQVTELKCGGLVVGCTFDHRVADAHSANMFMLAWANTARENNNTSFLPSFRRSLLNPRRPPSHYPSLDEMYLFRSSLPPPPIHDDDDDDDTDHLISRIYYVPSDQIALLQSLATGNGQRRSKLESFSAFLWKTVAQGCKGDGKRCRLGVVVNGRQRMMKIYVGNVLSVPYSEASVMELRATPLSGIAERVHACLENAARESHFLALIDWVEVHRPEQAIVRVYCKEEGQEAAVVVSSGLQFPVSQVDFGWGAPGFGSYHFPWGGQTGYVMPMPSVHCNGDWIVYVHLLKRYLHVLETKAAHVFKPLNHMYLNSSFPASPPVDIIIKQ >itb03g18270.t1 pep chromosome:ASM357664v1:3:16584863:16592533:-1 gene:itb03g18270 transcript:itb03g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20540) UniProtKB/Swiss-Prot;Acc:Q8GZ92] MLTCIARSKQSGDESFDQPEKFDPNAAPQSKQAIKNLTSQIKDMALKASGAYKNCNSCTSQPMQRRNGGGGAADLVAAQDKFRWSYRRTGSFSSSSTAGRKELEARLKGISSGEVTPTSMSASASGRRVDPVVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKYQAQKWWAENYDKVMELYNVQRLNRQAFPLPTPPRSEDESLKIESAEESPVTPPLNKERLPRTLYRPIYSSSESLEQQSMNSRYNYDSCGVASTPKLSSISGAKTEISSIDASASAASMRTSTSRSGELSISNASDLESEWVEQDEAGVYITIRALPDGKRELRRVRFSRENFGEMHAKIWWEENRARIHKQYL >itb15g22770.t2 pep chromosome:ASM357664v1:15:25522676:25524538:-1 gene:itb15g22770 transcript:itb15g22770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSCSHFSHSTLNAFVHVNCLLAGAIAEDKIAGHLSGNHASGIQPSQNNNYEGENRTGPFIRKCESHKEANRAAAADRRSKEPAQASSAANSSISASGGGHHNEMSNYPNIFTPYKIISAIKACETPRIRCSLAAAVIVVLCYVGFPILGSGAVKSIILFRPLIFLLLTNISIVVSHILLDKGTVKIQPEASNGPSADDTDLADQVGKALENGLMAQKIIGALFIDSSVYAISVICSISFAQKLGW >itb15g22770.t1 pep chromosome:ASM357664v1:15:25522676:25525389:-1 gene:itb15g22770 transcript:itb15g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGREARRRRIVDKGSDRLALITGQIQNLQPGAESESPEARHSHTASCPPYLSRDHQQPDHPSLGAIAEDKIAGHLSGNHASGIQPSQNNNYEGENRTGPFIRKCESHKEANRAAAADRRSKEPAQASSAANSSISASGGGHHNEMSNYPNIFTPYKIISAIKACETPRIRCSLAAAVIVVLCYVGFPILGSGAVKSIILFRPLIFLLLTNISIVVSHILLDKGTVKIQPEASNGPSADDTDLADQVGKALENGLMAQKIIGALFIDSSVYAISVICSISFAQKLGW >itb11g23530.t1 pep chromosome:ASM357664v1:11:25538516:25541305:-1 gene:itb11g23530 transcript:itb11g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPRGLEEVSTVSWSRSRSRLVGGRGAGWLAVAFAEQASRVAEQRWSQSRSRAGWQSRSRRLAGLVGPVGEIRRFGRAVKTWQRRRVREFQKQNTVGWSVVVVAVAVAEAKARRGLVAGRSRGGEGWSPVAGRGGEGWSPVAVAERLRARRRSLVPVTERLRAGRRRPRSWSESPSLAVRTSQLRSSQGNRN >itb08g05050.t1 pep chromosome:ASM357664v1:8:4125959:4127734:1 gene:itb08g05050 transcript:itb08g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPKKMEKTPGCCFFCIMKEQDPTIRKPKLRAFFKAMGDLVIQDQELVVVLSGIWSIAMANPNDGELPAAGVLKCMAELIKKALENADWLMRDQNIYVPYYAAHIIGSYTMNNAASAAKAADSGVVPPLVALLRGKMTWVEQRVAVRALGHLASYEKTFESVAIFEQEIVELAMDLASTCLETVYNEFVRIKDRAEKVKLKYHRDLVTRGVGGLEMENRKAEEWASQLQCWSLHLLNCFAVKERSLDLICTPKFLRDLSGMWGGLMNHTSPGGIGLIRVLCYNKFGRKCISESPETLKTLCNLSRSSDDWQYMGIDCLLLLLSDVDTRYKVLETSALCLVDLVELRTLRGRLNLGETITRLLLRDFKKIRSRKVKILKNSEAERALGELWGLKVERRKREQSMSEEKLEEKRVMATLMKQQGHHSFNLGKFSEALITYTEALEFCPLKHRKERAVLYSNRAQCRLLIGEIDGAISDTTRALCLSNPPNSHAKSLWRRSQAYDMKGLAKQSLMDCIMFISTCFRSESAANPKKGVKIIPYYAVRMITKQMDSTWIFSNCNGTQKHNSIGDNVDDNNKSKSKTRNCRQQKAR >itb04g02730.t2 pep chromosome:ASM357664v1:4:1652428:1654969:1 gene:itb04g02730 transcript:itb04g02730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTWLSLPATPTSFAPYNSNSARSPTVTRFSLTDRSLTAKDNLLRLISDQDRGLRTQSDSQKLSQIVSAIDDVAAAGRDTVTTGTSLSATWRLLWTTEKEQLFIIKNAPFFGTRAGDVLQVIDVENMTLNNVITFPPDGVFFVRSNIEVASSQRVNFRFTSAVLRGKGWEFPLPPFGQGWFDTVYLDDEIRVVKDIRGDYLVVERAPYNWKE >itb04g02730.t1 pep chromosome:ASM357664v1:4:1652428:1654969:1 gene:itb04g02730 transcript:itb04g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTWLSLPATPTSFAPYNSNSARSPTVTRFSLTDRSLTAKDNLLRLISDQDRGLRTQSDSQKLSQIVSAIDDVAAAGRDTVTTGTSLSATWRLLWTTEKEQLFIIKNAPFFGTRAGDVLQVIDVENMTLNNVITFPPDGVFFVRSNIEVASSQRVNFSMNYIVTRRDAIKQEYDRTI >itb04g02730.t3 pep chromosome:ASM357664v1:4:1652428:1654969:1 gene:itb04g02730 transcript:itb04g02730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTWLSLPATPTSFAPYNSNSARSPTVTRFSLTDRSLTAKDNLLRLISDQDRGLRTQSDSQKLSQIVSAIDDVAAAGRDTVTTGTSLSATWRLLWTTEKEQLFIIKNAPFFGTRAGDVLQVIDVENMTLNNVITFPPDGVFFVRSNIEVASSQRVNFRFDTVYLDDEIRVVKDIRGDYLVVERAPYNWKE >itb02g00510.t1 pep chromosome:ASM357664v1:2:344407:345430:1 gene:itb02g00510 transcript:itb02g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVYTCRPHNVPKMRALLLLLFVTFSFEFVPFAVSSRMLPSSSSSSDNAVVVDFKGGQLKLGEPYYVLSAASPQPAEGLCLDDIKSNETSTDCPHNVVQCTMFYKSFLGMPVIFSSPDNTTTSTTNTTSNSTTDEGLVKENTSYKIQFSGVTGSCAKETVWGLTFEHDTTCEFVTTDPAAPPVEFQLKKQGSGYAIVYCEIIPLPRIPVCFSVGFHQFGTYYSRLVVGLDIEAVEFFFVKKTTNVTALPHASS >itb01g19330.t1 pep chromosome:ASM357664v1:1:25305022:25310255:1 gene:itb01g19330 transcript:itb01g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MATRRPLQRILSRVGSVRNFSSAQPEANSASPSQHLIGLESECSAHNYHPIPIVFSRAKGSTVWDPEGNMYLDFLSAYSAVNQGHCHPRIMNALVKQAENLTLSSRAFYNDKFPVFAERLTSMFGYDMALPMNTGAEGVETALKLSKKWGYIKKGIPKDEAIIVSCCGCFHGRTLAAISMSCDNEATRGFWPLLPGNLKVDFGDAAALERVFKEKGDRIAGFLFEPIQGEAGVIIPPDGYLKAVRDLCSKFNILMIADEIQSGLARSGRMLACDWEGVRPDVIILGKALGGGVLPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLDVIRDEGLAERSAQMGEQLRLQLTKIQQRFPEFIKEIRGRGLFNAVELNSKALFPVTAYDVCLKLKERGILAKPTHDTIIRLTPPLSMSDHELQQGSEALHDVLELDLPKMQKEKPTTPSHAASVNVCDRCGRNL >itb10g24940.t1 pep chromosome:ASM357664v1:10:28478901:28481768:-1 gene:itb10g24940 transcript:itb10g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFKNPSILFLLCLVLHSLGSASNCVSGSQSFIGVNYGTYADNLPAPAQTAKLLQSTSIGKVRLYAADPAILKALAGTGIGVVLGTGNGDIPKLASDPKFATQWVESNVLAYYPATKIVVVTIGNEVMTFGDAGLISQLLPAMQNVQDALNAASVGRKIKVSTVHAMAVMGASEPPSAGAFNSDVADTMKALLQFHKKNGSPFMINPYPFFAYQGDPNRPGNLEFCVFQPNSGRYDNGTGITYMNMFDAQVDAVYSALKGMGFKDVEIVVAETGWAHDGGDKEAGASVENAKAYNGNLIKHLRSMVGTPLMPGKSVDTYIFALYDENMKPGAGSERSFGLFKPDLSPSYDVGLTKSGQTPVAPKAPGAAPVPRRAPGATPATRKSPSAAPVAHTTAPKAAPGTRRAPGAPPVTRGTTAAAPGAPGALAGNPVTSMTPTSAGNPATPAGQSGSVGSGTAKSCRPPHSLPLVLVIMAAFILVKAHKEEGKLL >itb10g24940.t2 pep chromosome:ASM357664v1:10:28478901:28479907:-1 gene:itb10g24940 transcript:itb10g24940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDVEIVVAETGWAHDGGDKEAGASVENAKAYNGNLIKHLRSMVGTPLMPGKSVDTYIFALYDENMKPGAGSERSFGLFKPDLSPSYDVGLTKSGQTPVAPKAPGAAPVPRRAPGATPATRKSPSAAPVAHTTAPKAAPGTRRAPGAPPVTRGTTAAAPGAPGALAGNPVTSMTPTSAGNPATPAGQSGSVGSGTAKSCRPPHSLPLVLVIMAAFILVKAHKEEGKLL >itb02g05940.t2 pep chromosome:ASM357664v1:2:3691104:3698518:-1 gene:itb02g05940 transcript:itb02g05940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRAVPHSADDDPSQSRSKRKRTSQNVESLETGTAGQGLSDGKKASYHCNYCNKDISGKIRIKCAVCSDFDLCIECFSVGAEVQPHKSNHPYRVMDNLSFPLICPDWSADEEILLLEGIEMHGLGNWSDVAEHVGTKSKQQCVDHYNAIYMNSPCFPLPDMSHVMGKNREELLAMAKELGELKKGYTAVGDVNVKEETPFLGRVKMEDQRKEASTGRASSCLASADVVPGGAPTGAGKRVSMGPSKDGHDGIKLEDSHADRSVGEKKPRNSGDEGPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTDAERELKLRVLHIYLKRLDERKRRKDFILERNLLYPDPFEKDLNPEEKEICRGYRVFMRFHSKEEHEVLLRSVIEEHRILRRIQDLQEARAAGCRTSAEAERYVEQKRKREVEENTRRVKESMQTAANGKYVQRANSIKEEHDSSPRGGLRGPVVLDSSGKDFSSTPSEHFVGNAVDNWDVSGFIGADLLSESEKQLCGEIRILPTHYLNILETLSTGILSGNITKKSDAHGLFNVDPSKVDRVYDMLVKKGFAPA >itb02g05940.t1 pep chromosome:ASM357664v1:2:3691104:3698550:-1 gene:itb02g05940 transcript:itb02g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MGRSRAVPHSADDDPSQSRSKRKRTSQNVESLETGTAGQGLSDGKKASYHCNYCNKDISGKIRIKCAVCSDFDLCIECFSVGAEVQPHKSNHPYRVMDNLSFPLICPDWSADEEILLLEGIEMHGLGNWSDVAEHVGTKSKQQCVDHYNAIYMNSPCFPLPDMSHVMGKNREELLAMAKELGELKKGYTAVGDVNVKEETPFLGRVKMEDQRKEASTGRASSCLASADVVPGGAPTGAGKRVSMGPSKDGHDGIKLEDSHADRSVGEKKPRNSGDEGPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTDAERELKLRVLHIYLKRLDERKRRKDFILERNLLYPDPFEKDLNPEEKEICRGYRVFMRFHSKEEHEVLLRSVIEEHRILRRIQDLQEARAAGCRTSAEAERYVEQKRKREVEENTRRVKESMQTAANGKYVQRANSIKEEHDSSPRGGLRGPVVLDSSGKDFSSTPSEHFVGNAVDNWDVSGFIGADLLSESEKQLCGEIRILPTHYLNILETLSTGILSGNITKKSDAHGLFNVDPSKVDRVYDMLVKKGFAPA >itb07g15480.t1 pep chromosome:ASM357664v1:7:18556356:18557359:1 gene:itb07g15480 transcript:itb07g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNTIISPRHLHTHFTLKPTTPRPYKTRLAVVAQQQQPLTAAAKFSISDEELQSRGFNLHTTFTDLNLDHLNSVFAAVGFPRRDTAKIQVALEHTDALLWVEHQKAKRPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVMERIVTKLLDKGITNIALYSEPRVLGFYRSLGFVADPDGIRGMVYSRKQKKKR >itb14g17500.t1 pep chromosome:ASM357664v1:14:20738691:20740723:-1 gene:itb14g17500 transcript:itb14g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAAATCLHWSQPSVSRSPSFAQTLASAISSPSQKRRSLVCSEGVLLCRFMQRQERSGFSGAHSPNRRVRLTRRACSGSQSSFWNEEFSFGAMFSDNQRPFDAVEPPDWAGREEMIPASIEMKANRVDLPLSLRIIKRKKQWEKGLREAGESAYCSVKKAFSNMVLIIRELNTYILQMREMLSYEELQGVLIRVEKEIHASFVWLFQQVFSHTPTLMVYVMILLANFSVHSMASSASLQPYVAPPIEAVSIVDDNQHFLDQKFDSSAIKTFSVSSSNGNSTTIDGSNGGGGGKYRPVANGTDGDGGFDRQLSSMTRSSEDESVSGQVAREDELRLWDSIVDEASRMQSVVLRDESLDHEAIFVSPVYAKIESDDYSDYFRTEMMYQIGLAQEPNNSMLLANYAQFLHLVAQDYDRAEEYFKRATGVEPRDADALNKYATFLWQVRKDLWGAEQRYQDAVAAAEPNNSFYAACYAHFLWSTGGEDTCFPLDTSDE >itb12g28430.t1 pep chromosome:ASM357664v1:12:28335363:28337140:1 gene:itb12g28430 transcript:itb12g28430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPLPLLSPPKGRSSIDIKGKTTLLLEHLHVSNQHLRIIAKPASFLLKLADQLSLNPLMIINEKGWPAVSRWLGGGWPGRRWLGERAVAVGGGEAGCAGGGEARWLCGRWRWAVAKCGGGAVAGGGLFEEEERRRIEEENADLKFS >itb04g02980.t1 pep chromosome:ASM357664v1:4:1846486:1851538:-1 gene:itb04g02980 transcript:itb04g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVIAIREKLRGKIGQTKVKRYWPGKAPEWADEAEEDGDIRTTRTAALEKAFPSKDGSDVGRRDDPRLRRLAESRIDNREEVRADHRRIRQAEIVSTIEEENKRLERLDEEDEDEDALDEKRRRIREKLLQREQEEALPAEEEEEAEEEEEEESEYETESEEETTGIAMVKPVFVPKSERDTIAERERLEAEEQALEELMKKRLEERKVETKHLIVEKIREEEEIQKNLETEANIADVDTDDEMNEAEEYEAWKAREIARIKREREDRDAILKEKEEIEKLRNMTEEERKEWERRNPKPGSASKQKWKFMQKYYHKGAFFQSNSDDRAGTAGADNIFSRDFSAPTGEDKLDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDTLRAKYNAKMAAVNAPIAKPKGKKLKDWETR >itb10g19850.t1 pep chromosome:ASM357664v1:10:25526986:25528142:1 gene:itb10g19850 transcript:itb10g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGKPEGAMEIFGAQAHIYKHACNYVNSMVLATAIQLNIPDIIHTHGKPITIPNLVSALKLPPHKSNAIFRIMRLLTHNGFFEEEEEGYVLTASSRLLLKSEIQNLSPYARFMVDPVLLASWQVLGDWFSRNEESSSAFETAHGAPMWEICNTNSLFNNAFNEGMASDSQMMRLIVKDCGQVFEGVSTLVDVGGGTGMISKLILEAFPRLKCTVLDLPHVVAKQPECQNLGFLGGDMFHSIPSADAIMFRHVMHNWSDEDCVKLLKKGREAIIANKGKVIIDDMVLGAEKGNERDITEMKLIFDVLMMVLVTGRERTEKEFERLFIESGFTHYKIIPIFGLTSLIEVFP >itb12g07870.t1 pep chromosome:ASM357664v1:12:6034338:6041484:1 gene:itb12g07870 transcript:itb12g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMLNLYTRTCRQHFQNSVSFPVISKPSNFSIKTELRFPYSLTIQDTRIRFRGFICSSNSTLENDILVPTGHGNTWKSENEILGSLRRWADFINSVLPGGCWWSLSDCKEEDDYPNAEKPITGLNAVCRMWEFIADDKWAIYTGFGALTVAALAEIRIPSVLAASIFSAQRGETMLLYRNLKLLVLLSLISGICSGLRRGSLGYANTAMLKNLRKRLFGSVLSQDISFFDRRPVGELTSRLGTDCQRLSNTIGNDIHMMVRSAIQGTGALIHLMTLSLPLALSTIIICFVLSTIFLVYSRYQKKAAKVTQEFTALTVEVAQEAFSLVRTIRTYGMEREEEQRFKHCLQSLASVGMRESVAYGFWNLSFNGLYRSTQVFAVMLGGMFIMTGHISAEQLTKYVLYCEWLIFAAWRVQDNMSSFLQSVGACEKVFQLLDLFPSDQHLSQVVKRLTRSIQFVNVSFQYPSRKMVPCLKNVSFSIQANEVTAIVGASGSGKSTLINLLLRLYEPTDGQIMIDDFALRELDINWLRRNIGYVGQEPHLFHLDIKSNISYGCSKSATREDIEQAAKQAYAHEFICSLPHGYDTIVDDHLLSGGQKQRIVLARAILRDPAILILDEATSALDAESEYYIKEFLHALKHDNDDGNASRSVIVIANRLSMIEVADKVVVLDRGEIVELGTHSDLVRQNGMYAKLVKMQTDMLP >itb12g07870.t2 pep chromosome:ASM357664v1:12:6034338:6040767:1 gene:itb12g07870 transcript:itb12g07870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMLNLYTRTCRQHFQNSVSFPVISKPSNFSIKTELRFPYSLTIQDTRIRFRGFICSSNSTLENDILVPTGHGNTWKSENEILGSLRRWADFINSVLPGGCWWSLSDCKEEDDYPNAEKPITGLNAVCRMWEFIADDKWAIYTGFGALTVAALAEIRIPSVLAASIFSAQRGETMLLYRNLKLLVLLSLISGICSGLRRGSLGYANTAMLKNLRKRLFGSVLSQDISFFDRRPVGELTSRLGTDCQRLSNTIGNDIHMMVRSAIQGTGALIHLMTLSLPLALSTIIICFVLSTIFLVYSRYQKKAAKVTQEFTALTVEVAQEAFSLVRTIRTYGMEREEEQRFKHCLQSLASVGMRESVAYGFWNLSFNGLYRSTQVFAVMLGGMFIMTGHISAEQLTKYVLYCEWLIFAAWRVQDNMSSFLQSVGACEKVFQLLDLFPSDQHLSQVVKRLTRSIQFVNVSFQYPSRKMVPCLKNVSFSIQANEVTAIVGASGSGKSTLINLLLRLYEPTDGQIMIDDFALRELDINWLRRNIGYVGQEPHLFHLDIKSNISYGCSKSATREDIEQAAKQAYAHEFICSLPHGYDTIVDDHLLSGGQKQRIVLARAILRDPAILILDEATSALDAESEYYIKEFLHALKHDNDDGNASRSVIVIANRLSMIEVADKVVVLDRGEIVELGTHSDLVRQNGMYAKLVKMQTDMLP >itb02g16700.t1 pep chromosome:ASM357664v1:2:12663086:12667125:1 gene:itb02g16700 transcript:itb02g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDEGGALPTTTFAAQKRAGTLPTPNGGGARGGRASSTPSRGRQIHRAFNTLKITILCGFVTILVLRGTIGFGNLASSQALAEAEAENLNLIAETNRIIAEIRSDSEPEEEEEGGTLDANPTFILGPKISDWDEQRKEWVQRNPEFPIIVNGKPRILLVTGSPPGPCDNPVGDHYLLKGIKNKIDYSRVHGIEIMYNLVHLDSEMTGYWEKLPLIRRLMLSHPEIEWFWWMDSDALFTDMTFEIPLSKYDNHNLVIHGYSDLLFDQKSWTALSTGSFLIRNCQWSLELLDAWALMGHKGPVREEAGKILTANLKGRPDFEADDQSALVYLLLSKKDTWMDKVFIENSYHLHGYWAGLVDKYEEMIEKFHPGFGDDRWPFVTHFVGCKPCESYGEYSAERCLRSMERAFNFADNQILKLYGFKHRGLLSPHVKRIRNETDAPLQNVDQYDLRRAKHPSGLLNSQQS >itb07g24250.t1 pep chromosome:ASM357664v1:7:28505147:28508491:-1 gene:itb07g24250 transcript:itb07g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVLASFLVVFAVCFRPLVCQQPNTDGFYVSDFLKKMGFSSPQIENLSAHFCAWEGVFCDAERVAVVKLVASGLGLSGVVPENTIGKLAKLESLDLSDNGITGLPSDVWSLGSLRSFNLSKNLVSGNLPSNIGNFGQLESLDLSFNNFSGSIPEAMSSLERLQVLNLSRNRFESRIPFGIMNCQALVSLDLSENKLGDSLHDGFGEAFPNLQFLNLAGNGIQGKGSDLSGMVSLTYLNISSNLFQGSVFGVFDVFEGALVVIDLSRNQFQGNISEVNFSSSFNWSSLVYLDLSENQITGEFFPQLNDAWSLKHVNLAYNRFTPREFPHIDMLSGLEYVNFSGTNLIGEIPSGLSTLSSLKALDLSRNHLSSRIPSLNTKTLQILDVSYNNLSGDIPLSLIEIIPSMERFNFSYNNLTFCASDIPPAVLHSAFIGSSNGCPIAANPDLLNKKAPSHRGFKLALALTFSMVFMLLGLLILAFGCRRKTTIWAVKQNSYKEDQQHISGPFSFQTDSTLWVADVKQANSVPVVIFEKPLLNFTFADLLSATSNFDRDTLLAEGRFGPVYRGFLPGGNHVAVKVLVHGSTMTDQEAVRELEYLGRIKHPNLVPLTGYCLAGDQRIAIYHYMENGNLQNLLHDLPLGVQTTEDWSTDMWEEEDVNGIQNVRSDGLLTTWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDMNLEPRLSDFGLAKIFGYSLEDEITNGSPGYTPPECLQPAEMCSPNAITPKSDVYGFGVVLFELITGKKPVGDDYPEDKDSNLVSWVRGLVKKSQASRAIDPKVRGTGPETEMVEALKIGYLCTAEHPSKRPSMQQIVGLLKDIESTTAQ >itb02g15790.t1 pep chromosome:ASM357664v1:2:11493872:11494800:-1 gene:itb02g15790 transcript:itb02g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEEAEKHGSPKKTEEEKKHKEKKKDKHEDDDNEEEKGEKSGDKENKKKKEKGDKAKEKAGKEKKKKNPEDKKDPVKLKQKLEKLDAKIQDLMAKRQEILNLLNQAENHAPNPSAET >itb09g21850.t2 pep chromosome:ASM357664v1:9:20050516:20056959:-1 gene:itb09g21850 transcript:itb09g21850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSTAPYCSVPTAVVVLWLLSCSALVHGGDIVHHDDVAPRRAGCDNNFVLVKVATWINGKEEMEFVGVGARFGPTLESKEKRANQTRLALADPPDCCSKPRNKLTGEAILVHRGNCSFTTKANVADDAGASAILIINNQTELFKMVCEGNETDLVIGIPAIMLPQDAGLSLIENIMNKSMVSVQLYSPRRPVVDVAEVFLWLMAVATILCASYWSAWTAREAAIQQDKLLKDGSDEYCGMEATQSSGVVDINTMSAILFVVIASCFLIMLYKLMSLWFIEILVVLFCIGGVEGLQTCLVALLSCFRWFEHAAETFVKIPLLGAVSYLTLAVSPFCIAFAVMWAVFRRVSFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDLFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRFENLILKYHVKPLVLFEPSIS >itb09g21850.t1 pep chromosome:ASM357664v1:9:20050502:20056959:-1 gene:itb09g21850 transcript:itb09g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSTAPYCSVPTAVVVLWLLSCSALVHGGDIVHHDDVAPRRAGCDNNFVLVKVATWINGKEEMEFVGVGARFGPTLESKEKRANQTRLALADPPDCCSKPRNKLTGEAILVHRGNCSFTTKANVADDAGASAILIINNQTELFKMVCEGNETDLVIGIPAIMLPQDAGLSLIENIMNKSMVSVQLYSPRRPVVDVAEVFLWLMAVATILCASYWSAWTAREAAIQQDKLLKDGSDEYCGMEATQSSGVVDINTMSAILFVVIASCFLIMLYKLMSLWFIEILVVLFCIGGVEGLQTCLVALLSCFRWFEHAAETFVKIPLLGAVSYLTLAVSPFCIAFAVMWAVFRRVSFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLGCAFLYDLFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLSKKSLRAGYFLWAMMAYGLGLLITYLALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLKHLWTKGDPDRPCPHVQLQPAE >itb12g01560.t1 pep chromosome:ASM357664v1:12:1046332:1047865:-1 gene:itb12g01560 transcript:itb12g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDLKTTVASLNARAGLHMQRYDNGRRQVVGCVPYRYKDSDETSSLKAEDAFEVLVISPQRKRKVKLFPKGGWETDETMEAAGLRETMEEAGVVGEIEGKLGTWRFEKGKKPCEGHMFPLLVKEQLDSWPEEDIRNRQWMSVREARKVCKQWWMKEALEALVGHVTKQKNAGLLSAIGLSQPTLFS >itb01g29000.t5 pep chromosome:ASM357664v1:1:33293372:33297937:1 gene:itb01g29000 transcript:itb01g29000.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPNSYTPLEQGFYYGGYDNGSGNWAEHSNEVNANNLHVVPPAMYNDGNPSLFFPPSYGYDAQMAYGQFSPIGGPLSPIMIDGQLYSPHQVPVSPSYYPPPISPGLPHVTSALPASQTELMAPGSSGHESLVDSMLYGTGSGYYLPFGSFGGGELPGNSNLGFYKYQNDFGSGEPLTNRPNSLDSGGRYVSPLTSAAVYPQPIGLLGSYEQNAAQGLGLAPGSSARHYIQGGSYPSSNYASGSVWETGHQNHFTTGKGGRRQREQDSVHISTESLGMSSDRNRGPRASKPKSKSSPEENPSSGIHKDLDSTPSFQLDQFNRPDFVVDYEQAKFFVIKSFSEDNVHKSIKYSVWSSTPLGNRKLDAAYREAKELNSSCPVFLLFSVNASGQFCGVAEMLGPVDFDNDAEYWQQDRWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTHSRDSQEVKLEQGIEILKIFKNYEAETSILDDFTFYNEREKALLERKAKHRAISTGKTAPALAADPINQLADSLAETLHLEGSKQLPQKDRE >itb01g29000.t2 pep chromosome:ASM357664v1:1:33291163:33297937:1 gene:itb01g29000 transcript:itb01g29000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNQQGFDRFAPNSSPGERTELPAVAEQPISPKDERIVSANHSPDAAISVVPVNAKDQSVSSETSAALSTMHPPNSYTPLEQGFYYGGYDNGSGNWAEHSNEVNANNLHVVPPAMYNDGNPSLFFPPSYGYDAQMAYGQFSPIGGPLSPIMIDGQLYSPHQVPVSPSYYPPPISPGLPHVTSALPASQTELMAPGSSGHESLVDSMLYGTGSGYYLPFGSFGGGELPGNSNLGFYKYQNDFGSGEPLTNRPNSLDSGGRYVSPLTSAAVYPQPIGLLGSYEQNAAQGLGLAPGSSARHYIQGGSYPSSNYASGSVWETGHQNHFTTGKGGRRQREQDSVHISTESLGMSSDRNRGPRASKPKSKSSPEENPSSGIHKDLDSTPSFQLDQFNRPDFVVDYEQAKFFVIKSFSEDNVHKSIKYSVWSSTPLGNRKLDAAYREAKELNSSCPVFLLFSVNASGQFCGVAEMLGPVDFDNDAEYWQQDRWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTHSRDSQEVKLEQGIEILKIFKNYEAETSILDDFTFYNEREKALLERKAKHRAISTGKTAPALAADPINQLADSLAETLHLEGSKQLPQKDRE >itb01g29000.t1 pep chromosome:ASM357664v1:1:33291163:33297937:1 gene:itb01g29000 transcript:itb01g29000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNQQGFDRFAPNSSPGERTELPAVAEQPISPKDERIVSANHSPDAAISVVPVNAKDQSVSSETSAALSTMHPPNSYTPLEQGFYYGGYDNGSGNWAEHSNEVNANNLHVVPPAMYNDGNPSLFFPPSYGYDAQMAYGQFSPIGGPLSPIMIDGQLYSPHQVPVSPSYYPPPISPGLPHVTSALPASQTELMAPGSSGHESLVDSMLYGTGSGYYLPFGSFGGGELPGNSNLGFYKYQNDFGSGEPLTNRPNSLDSGGRYVSPLTSAAVYPQPIGLLGSYEQNAAQASFQGLGLAPGSSARHYIQGGSYPSSNYASGSVWETGHQNHFTTGKGGRRQREQDSVHISTESLGMSSDRNRGPRASKPKSKSSPEENPSSGIHKDLDSTPSFQLDQFNRPDFVVDYEQAKFFVIKSFSEDNVHKSIKYSVWSSTPLGNRKLDAAYREAKELNSSCPVFLLFSVNASGQFCGVAEMLGPVDFDNDAEYWQQDRWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTHSRDSQEVKLEQGIEILKIFKNYEAETSILDDFTFYNEREKALLERKAKHRAISTGKTAPALAADPINQLADSLAETLHLEGSKQLPQKDRE >itb01g29000.t3 pep chromosome:ASM357664v1:1:33291163:33297893:1 gene:itb01g29000 transcript:itb01g29000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPNSYTPLEQGFYYGGYDNGSGNWAEHSNEVNANNLHVVPPAMYNDGNPSLFFPPSYGYDAQMAYGQFSPIGGPLSPIMIDGQLYSPHQVPVSPSYYPPPISPGLPHVTSALPASQTELMAPGSSGHESLVDSMLYGTGSGYYLPFGSFGGGELPGNSNLGFYKYQNDFGSGEPLTNRPNSLDSGGRYVSPLTSAAVYPQPIGLLGSYEQNAAQGLGLAPGSSARHYIQGGSYPSSNYASGSVWETGHQNHFTTGKGGRRQREQDSVHISTESLGMSSDRNRGPRASKPKSKSSPEENPSSGIHKDLDSTPSFQLDQFNRPDFVVDYEQAKFFVIKSFSEDNVHKSIKYSVWSSTPLGNRKLDAAYREAKELNSSCPVFLLFSVNASGQFCGVAEMLGPVDFDNDAEYWQQDRWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTHSRDSQEVKLEQGIEILKIFKNYEAETSILDDFTFYNEREKALLERKAKHRAISTGKTAPALAADPINQLADSLAETLHLEGSKQLPQKDRE >itb01g29000.t4 pep chromosome:ASM357664v1:1:33291335:33297937:1 gene:itb01g29000 transcript:itb01g29000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPNSYTPLEQGFYYGGYDNGSGNWAEHSNEVNANNLHVVPPAMYNDGNPSLFFPPSYGYDAQMAYGQFSPIGGPLSPIMIDGQLYSPHQVPVSPSYYPPPISPGLPHVTSALPASQTELMAPGSSGHESLVDSMLYGTGSGYYLPFGSFGGGELPGNSNLGFYKYQNDFGSGEPLTNRPNSLDSGGRYVSPLTSAAVYPQPIGLLGSYEQNAAQGLGLAPGSSARHYIQGGSYPSSNYASGSVWETGHQNHFTTGKGGRRQREQDSVHISTESLGMSSDRNRGPRASKPKSKSSPEENPSSGIHKDLDSTPSFQLDQFNRPDFVVDYEQAKFFVIKSFSEDNVHKSIKYSVWSSTPLGNRKLDAAYREAKELNSSCPVFLLFSVNASGQFCGVAEMLGPVDFDNDAEYWQQDRWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTHSRDSQEVKLEQGIEILKIFKNYEAETSILDDFTFYNEREKALLERKAKHRAISTGKTAPALAADPINQLADSLAETLHLEGSKQLPQKDRE >itb03g07580.t3 pep chromosome:ASM357664v1:3:5601255:5603368:-1 gene:itb03g07580 transcript:itb03g07580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAQSSPENNNVSYSAADLSVLERQRAIFQRLYHPIIHDNHLAGAGAPAPENPFPALLDGNLTNFASLRHAEENSPNAAVADVHGRETGKLSRSSSSITAAAVPTSSKANGTATSTRKRKAEEDKKWEGETGDVQSEITVKCERETSGSSASKENKKNSGSVSGDDVQKSNYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVVGKAGMLDEIINYVQSLQKQVEFLSMKLAALNPRLEFNMDNLFPKELPAYMGSFPAAAMPLEAVNAAFLHYNPLQQLATTTSRGGGATMETDMARSDVQQMLPHHQRKENNPSESIPELYLDSSYFPVSSTTLRPTLQIYFYYVKDVWQLVISG >itb03g07580.t1 pep chromosome:ASM357664v1:3:5601210:5603505:-1 gene:itb03g07580 transcript:itb03g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAQSSPENNNVSYSAADLSVLERQRAIFQRLYHPIIHDNHLAGAGAPAPENPFPALLDGNLTNFASLRHAEENSPNAAVADVHGRETGKLSRSSSSITAAAVPTSSKANGTATSTRKRKAEEDKKWEGETGDVQSEITVKCERETSGSSASKENKKNSGSVSGDDVQKSNYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVVGKAGMLDEIINYVQSLQKQVEFLSMKLAALNPRLEFNMDNLFPKELPAYMGSFPAAAMPLEAVNAAFLHYNPLQQLATTTSRGGGATMETDMARSDVQQMLPHHQRKENNPSESIPELYLDSSYFPQLQGWESECWQTQTPFNGSFH >itb03g07580.t4 pep chromosome:ASM357664v1:3:5601210:5603383:-1 gene:itb03g07580 transcript:itb03g07580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAQSSPENNNVSYSAADLSVLERQRAIFQRLYHPIIHDNHLAGAGAPAPENPFPALLDGNLTNFASLRHAEENSPNAAVADVHGRETGKLSRSSSSITAAAVPTSSKANGTATSTRKRKAEEDKKWEGETGDVQSEITVKCERETSGSSASKENKKNSGSVSGDDVQKSNYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVVGKAGMLDEIINYVQSLQKQVEFLSMKLAALNPRLEFNMDNLFPKEVYIIHGIRSCLIFKIGFLI >itb03g07580.t2 pep chromosome:ASM357664v1:3:5601255:5603383:-1 gene:itb03g07580 transcript:itb03g07580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCAQSSPENNNVSYSAADLSVLERQRAIFQRLYHPIIHDNHLAGAGAPAPENPFPALLDGNLTNFASLRHAEENSPNAAVADVHGRETGKLSRSSSSITAAAVPTSSKANGTATSTRKRKAEEDKKWEGETGDVQSEITVKCERETSGSSASKENKKNSGSVSGDDVQKSNYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVVGKAGMLDEIINYVQSLQKQVEFLSMKLAALNPRLEFNMDNLFPKELPAYMGSFPAAAMPLEAVNAAFLHYNPLQQLATTTSRGGGATMETDMARSDVQQMLPHHQRKENNPSESIPELYLDSSYFPLQGWESECWQTQTPFNGSFH >itb15g03700.t1 pep chromosome:ASM357664v1:15:2320441:2322154:1 gene:itb15g03700 transcript:itb15g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKIISAILGSFAIAYVCDRAVSDQKIFGGTTPKTVANNDWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSE >itb06g08450.t1 pep chromosome:ASM357664v1:6:12417049:12420320:1 gene:itb06g08450 transcript:itb06g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCLDIYVNHKHHCKPFNRGSVLMPKSFQLKISSSPKEVVLRGKQSNRHTIRAKAVSVGQESKTEPGSGSHQTTSDAPSSRKKVMVIGGDGYCGWATALHLSNKNYEVAVVDNLVRRLFDHQLGLDSLTPISSIHNRIRRWKSLTGKDIQLFVGDICDFEFLAEAFTSFEPDAVVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFKEDCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGISATDLNQGVVYGVRTDETTMHEELVNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKRGEFRVFNQFTEQFSVNELAALVTKAGEKLGLEVKTISVPNPRVEAEEHYYNAKHTKLIKLGLKPHLLSDSLLDSLLNFVVQYKDRIDTKQIMPSVSWRKIGVKPKTVAA >itb01g26180.t1 pep chromosome:ASM357664v1:1:31397682:31404284:-1 gene:itb01g26180 transcript:itb01g26180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSEVQYAVQAIKTTPDNESPWRYLRGLYKGDVQSLLRDPQVTSVLLEILTSKANHVYALSMLLDLCCHGFEPSQELRSAVHDLSPESCSSDPILIKEICSILETVDPMRINYWNWRKSTTTPAQSTQCHNEDRLAVTHRATAPPRHTGTPPPPVRLYPPPGHPRPRLHRPSTPPPLHQAMEVVESTDLDFTNDVERIKKNWQDFINKKEYEISCLKESMAKSQSVIDEGDALIAKVMLLIDDKKSLIPPIIKKLEKIHKEDKDLSTMLRKGSENVEEKGSENVEESYVVKYKEDVAAFEAQLKRKSIHLELLVEQSSLIKRHRKNNSREIVEVAKQLSDVIGILTEARDALMGYKLAAEKIKDQLVMNNKETYWVPDFVKEKRFHNWLENARDWGVSRSRFWRTPLPVWISEDGKEIVVMDSIDKLEKLSGEKVCIVDVAIFVLS >itb14g20490.t1 pep chromosome:ASM357664v1:14:22846543:22850070:1 gene:itb14g20490 transcript:itb14g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLRLARTSVAAVRHFSAEATAAEATAVATKPIAAGGGSGSPATTSKGGRDTLGRRLLSLVYAKRSAVITIRMWKEEGHAVRKYELNRVVRELRKLRRYKHALEVCEWMRIQDDIHLLSGDYAVHLDLIAKVRGMNSAEKFFEDIPDKMKDHATCSALLHCYVQQQDCVKAEALMEKMSECGFVKHPLPYNHMLSLYISTGQLEKIPAMIQELKKNTSPDLVSYNLWLAVCASLNDVETAEKIFLELKKVKIDPDWVTYSTLTSIYIRSSQNEKAASTLRDMEKRVFKRTRVAFPSLISLYTSLKNKSEVHRVWKKMKSIFHKLNDSEYSCMISSLLKLDEFEEALKTYTEWESVSVTKDTRISNLILAAYVNKNQLGMAEDFREKMAQKGIPISYTTWEVLTSGYMKHKKMNEALDSFKNAVDSVKKWDPNVKMVREMFSLLEEQGNVELAEDLLVTLRRAGHVNTEIYNLLLRTYMKAGKMPLIVAERMKKDNVRMDTETQELIKLTSKMCVAEVPNHLS >itb09g18520.t1 pep chromosome:ASM357664v1:9:14437333:14441042:1 gene:itb09g18520 transcript:itb09g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDNREEEEEKPLGRCSVFTYGVGHMLNDITQCCWYTYLLLYLTDIGLSPSSAAIVTLVGQFADAFTTIIAGELIDRFGHFKIWHGAGCIMVGVSFISLFGSPCIPCKILGSDSPTVQTVGYCISAVVFCSGWSCTQISHMSMVNCITLNESSRIACVSCRNAFTMVASLMLYAVTFFVFTNNAEDIKAQYRWMAFISIFIGGVFVIIFHLGIKEPRKKDDGHEKNGSNTPWTYWLKKVLYYQVASIYVFTRVVTNVSQTFLAVYVINDLRMNQSAKSLVPAIIYLCSFITSVLLQELEWSGKRLKIFFAGGGILWLLCGGAVMLLPINMNAFMYALSVFIGIANALMMVTSIGMESALVDKHLDGSAFVYGSMGFIDKVLCGVALYFLESFEDADPVPCNPAHACFSVTRFSLGFIPGIAALLGVIVSFTMKQLHTSHKKPLAEPLLA >itb02g14420.t1 pep chromosome:ASM357664v1:2:10512094:10512609:1 gene:itb02g14420 transcript:itb02g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYKHLMDIVHTAKYDNSWKLHGVKSEVIDEPP >itb07g04350.t1 pep chromosome:ASM357664v1:7:2924769:2928261:-1 gene:itb07g04350 transcript:itb07g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTINTGLPMPENTTRATDTTPLVPRTGPITAHNPVHEIIPKATNLNPRSLTTYNTPPTAYPVQQAYVPATTTNVSAIAPPSQVVTPLQAKIIPTGRGIRKRGDFLASSEDTAMMDHVRGTHDVDAVHYKVKPLLHLIEDIMPRANATIPGYVQSVQTQPARLDAILEEKVVHSGLNEVIEAFAYPAYRTSFEIIGGYSTNRDAHSITLSVLKLLANYWWDAKAAIAFAAFAQQYGEFGLLVRLYPTDPLAKSVAAIVQITELMESSESSRAVSSKFREVAKLADKMLEVTHKIIAFKEIASEEKSLKVKYRVNLYKELAESLSPVTAEQENIMAKASYYVVKAAVTCSLVILNLLAVGRDYYSSTEEELEISTLTHKLSYILGDLQKALQKSTQEIGKIKHTIKRKILEETLARTHTDNKYSAELITCGENDPTPIIHGAGLEMKKHGLDILRRKYVFLLVSDLDIPDEVITMLRHMYLDSKQDPSRAESQFEIVWLPIVDRRSPWTEAKENQFKLVKANMPWHSVSHPSKIDEAVYGYVKEVWGFTHKPLLAVVDPQGKLTNVNALPMFWIWGSVAFPFNKLKEESLWDETSWSMALLADSIDQNLFTWLNEGKYICLYGGEDMDWIRNFTKRTRYVAQQARIPLEMLYVGKMSVKERIKRNSATIQEEGLSSILQDPTMIWFFWERLESMWFLKGEKTLAMRGDDKTYIIPEESRDPILQEVKAILSYDGSNRCWAVFSRGLGEMTKGEGSNVVKVLNNYDSWKHEVTDVNAFIPALDKQLRGLYTKHHCTRMVVPAAVGHYPETVACVECGRTMEKFFMYSCCLDEYEN >itb02g14380.t5 pep chromosome:ASM357664v1:2:10473805:10477923:-1 gene:itb02g14380 transcript:itb02g14380.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVESVFGTGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNSECWVLHSTAEYAEGVIAQTGLQKPSNATLSKVAEELLREFESINQIMPQPLFQKAHRWLVS >itb02g14380.t3 pep chromosome:ASM357664v1:2:10472951:10477921:-1 gene:itb02g14380 transcript:itb02g14380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNSECWVLHSTAEYAEGVIAQTGLQKPSNATLSKVAEELLREFESINQIMPQPLFQKAHRWGSAFPATSIAEESKCLWDAGKRLAICGDFCVSPNVEGAIVSGMAAAAKFVEIHSSL >itb02g14380.t6 pep chromosome:ASM357664v1:2:10474231:10477921:-1 gene:itb02g14380 transcript:itb02g14380.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNRYMLSHFEQKLQ >itb02g14380.t4 pep chromosome:ASM357664v1:2:10473805:10477921:-1 gene:itb02g14380 transcript:itb02g14380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNSECWVLHSTAEYAEGVIAQTGLQKPSNATLSKVAEELLREFESINQIMPQPLFQKAHRWLVS >itb02g14380.t2 pep chromosome:ASM357664v1:2:10474231:10476256:-1 gene:itb02g14380 transcript:itb02g14380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNRYMLSHFEQKLQ >itb02g14380.t8 pep chromosome:ASM357664v1:2:10472951:10476256:-1 gene:itb02g14380 transcript:itb02g14380.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNSECWVLHSTAEYAEGVIAQTGLQKPSNATLSKVAEELLREFESINQIMPQPLFQKAHRWGSAFPATSIAEESKCLWDAGKRLAICGDFCVSPNVEGAIVSGMAAAAKFVEIHSSL >itb02g14380.t1 pep chromosome:ASM357664v1:2:10472951:10477923:-1 gene:itb02g14380 transcript:itb02g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVESVFGTGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNSECWVLHSTAEYAEGVIAQTGLQKPSNATLSKVAEELLREFESINQIMPQPLFQKAHRWGSAFPATSIAEESKCLWDAGKRLAICGDFCVSPNVEGAIVSGMAAAAKFVEIHSSL >itb02g14380.t9 pep chromosome:ASM357664v1:2:10473805:10476256:-1 gene:itb02g14380 transcript:itb02g14380.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNSECWVLHSTAEYAEGVIAQTGLQKPSNATLSKVAEELLREFESINQIMPQPLFQKAHRWLVS >itb02g14380.t7 pep chromosome:ASM357664v1:2:10474231:10477923:-1 gene:itb02g14380 transcript:itb02g14380.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVESVFGTGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNRYMLSHFEQKLQ >itb02g14380.t10 pep chromosome:ASM357664v1:2:10472951:10477923:-1 gene:itb02g14380 transcript:itb02g14380.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIAAKVAVIGAGISGSVCASTLAKNGISVTLFESARGPGGRMSQRRETTEDGRELFFDHGAPYFSATNPDVLSLVHDWESRGLVSEWKEKFGSFDCISKKYINFEEESLSLKYMGVPGMNSICKALCKEPGVESVFGTGVGRMEWLDREGLWSLTGLDGKKLGYFKGVVASDKNTCSPRFTSVTGQPPPLDLTMVPDLALKIKEIPVSPCFALMLAFEDHLPGMPVKGFSIKNSKVLNWAYCDSSKPGRSTNRGSYCSNWTSETLQCNIEQSGRRTT >itb03g14230.t1 pep chromosome:ASM357664v1:3:14043329:14046341:1 gene:itb03g14230 transcript:itb03g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRIALFLILFSLSLANENPDAHVFPRPLVIEYPENIEPRFDDEVRLKCASWRFAGEANNLNPWKTIPEECAGYVKDYMTGKGYESDLLRASEEAGVYAQNVELGGDGKDVWVFDVDETLISNLPYYYEHGFGLEVFDSVEFDKWVEKGVAPAIKSSLKLYQDIKRLGFKAFLLTGRSDRHREVTVENLKNAGFQDWDKLVLRLPEDHVKSATTYKSEKRSQMVEEGFRIVGNFGDQWSDLLGSSMSQRSFKLPNPMYFIP >itb10g04210.t1 pep chromosome:ASM357664v1:10:4002778:4004391:-1 gene:itb10g04210 transcript:itb10g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQHQRLLDNTSYEAEEFGGEIKVSKPNSSSTVNWKWWFELTFYTLLTLSGLESSILLSRIYYDQGGNSKWLMASIQTAAFPFLIPFLFISSSPKIHPNFSSNPPPSLLILALVSTVLGLLLAATDVFYSLAIDYLPASTYSLLNSSQLAFTALFSFLLNAQSFTPYIINAVILLTFSPMLLIFSQETTSSEVASKEDYMLGVLFTIAASACPALLFSLTQLVFEKIIKRETLKDTVILTVSQSFVATVVTLVGLFASGEWKGLRREMEGYKQGVFGYNLNLFLTAVACQAFFVGSFTLTFKVSSLFSNVVMRLATPLTPLLSMLLLHEEMSGLKVMSLLLSVWGFASYIYQQYLDDLEGLPTSKEISDIKTLD >itb08g08270.t1 pep chromosome:ASM357664v1:8:7215664:7220968:-1 gene:itb08g08270 transcript:itb08g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDTTRTIVGIIGGWVAVVVVVGVGVAVVVVVVVEVRGGGGGWSRGGWWVRGGRCGGPTIVQIWKKKSVEQYSAAPYLATFLNCGVWAFYGTPIVHPNSLPLLTVNGSGVAFAIVYLFAFLYCSDRKKRFKVALIVLAEVVFMATHAILVLTLAHNWKLRSAIVGSTAGACNILMYASPLAIMKLVITTKSVEYMPFSISLCSFVTGVCWTVYALLPIDPYILGVSGLNSDEDIPLPTAEIPPDSPNSPESPPVILAQDSELDNTKTIPPPEPRRSTRVGITPTHLSDYASQNATRRTSPHDISNFMSYENLSQANKVFSANVLDAKKPKTYNEAIKKDCWI >itb13g26170.t1 pep chromosome:ASM357664v1:13:31537358:31540371:-1 gene:itb13g26170 transcript:itb13g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSNLPLSVLMSNQTQMHEVSRRSAGFHPSIWGDYFLPYSSQPKEAEDVQEWQEHRQLKEKVKNMFADAPSISSQKLELINKIQLLGVSYQFEKEIEAMLQLIFKNYYEFNVEKDENELYVVSLHFRLLRQHGYHVPCSVFEKFTECDGKFKESLTNNVEAILTRHHILGSQVNEALKRPIYKRLTRIEARRYISIYEANETHDIVLLKFAKLDFNMLQKEHQQELGNLTRWWKALDVPKNLPFARDRLVECYFWMLGVYFEPHYSLARRFLLKVIAMTSLVDDIYDVYGTLDELHLFTEAIQRWDAVLVNELPEYMRVCYVTLLDVYAEMEKELVVRGESYHIIYAKNEMKKLVRAYYEEAKWFHNRCTPKFEEYMKVSLITGAYMMLSTTSIVGMQGDSVTKEAFDWMSKEPLIVQAASIICRLMDDMVGHEFEQQRGHVDSAVECYMKQYGKSKEETFNAFHERVSNAWKDINQECLNPTAFPMSILIRVVNLARVIDLLYKDGDTYTHSAAELKAIITSILIDPIF >itb06g15020.t1 pep chromosome:ASM357664v1:6:19373461:19375059:1 gene:itb06g15020 transcript:itb06g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLEAIKGGGGSMKVGTTGTISVLMSRELESVKSAAKTPASSCTKKPPSICSFVAGAATSPKRTRPKSSPNEASSSRTRNGKGHKSPEVGRKAKNHTRKAHEIPILEPETSSVDETPVTTKPVRRGLRIVEIVDIKCGSTDKPQASPLKNPLKKLSFSKLSGSPV >itb06g15020.t2 pep chromosome:ASM357664v1:6:19372448:19375059:1 gene:itb06g15020 transcript:itb06g15020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLEAIKGGGGSMKVGTTGTISVLMSRELESVKSAAKTPASSCTKKPPSICSFVAGAATSPKRTRPKSSPNEASSSRTRNGKGHKSPEVGRKAKNHTRKAHEIPILEPETSSVDETPVTTKPVRRGLRIVEIVDIKCGSTDKPQASPLKNPLKKLSFSKLSGSPV >itb04g31240.t1 pep chromosome:ASM357664v1:4:34160997:34170650:1 gene:itb04g31240 transcript:itb04g31240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MEEEEAANGSGPSAVTTETKVDETDDGPIIGPGPAPRARPKRPLQFEQAYLESLPSANMYEKSYMHRDVVTHVAVSSADFFITGSADGHIKFWKKKPAGVEFAKHFRSHLGPIEGLSVSTDGMLCCTISNDRSVKIYDVVNYDMMAMIRLSFVPGSVEWVYKQGDVKAKLAISDCNSPFVHIYDARSGSNDPIQSREVHLAPVKVMKYNHIFDTVISADEKGIIEYWSPTTLQFPEEVVNFKLKSDTNLFEFVKCKTNISSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDVPLYRLEAIDFGRRMAVEREFEKTENAPQPNAVFDESSNFIIYATLLGIKIVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKLRKLPAAAANANESKEPLMDPTLLCCAFKKHRIYLFSRREPEEPDDTSKGRDVFNEKPPAEELLAVSDIGKAVTTSLPDNVILHTTMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIRNFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVLKGMDVVQAIEKVKVDKNDKPYQDVKILNVTVPKS >itb04g31240.t2 pep chromosome:ASM357664v1:4:34161007:34170650:1 gene:itb04g31240 transcript:itb04g31240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MEEEEAANGSGPSAVTTETKVDETDDGPIIGPGPAPRARPKRPLQFEQAYLESLPSANMYEKSYMHRDVVTHVAVSSADFFITGSADGHIKFWKKKPAGVEFAKHFRSHLGPIEGLSVSTDGMLCCTISNDRSVKIYDVVNYDMMAMIRLSFVPGSVEWVYKQGDVKAKLAISDCNSPFVHIYDARSGSNDPIQSREVHLAPVKVMKYNHIFDTVISADEKGIIEYWSPTTLQFPEEVVNFKLKSDTNLFEFVKCKTNISSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDVPLYRLEAIDFGRRMAVEREFEKTENAPQPNAVFDESSNFIIYATLLGIKIVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKLRKLPAAAANANESKEPLMDPTLLCCAFKKHRIYLFSRREPEEPDDTSKGRDVFNEKPPAEELLAVSDIGKAVTTSLPDNVILHTTMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIRNFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVLKGMDVVQAIEKVKVDKNDKPYQDVKILNVTVPKS >itb09g13980.t1 pep chromosome:ASM357664v1:9:9218561:9218893:-1 gene:itb09g13980 transcript:itb09g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRATAMDGRREAQLGRKHGRRRRLREEEDSSVAGKPSSAIAAAVRATPSPPASASRTSSVRCRQPLFRPSSSVTPGRRRTTRIRRAGNFDEKETAYNREELKKPIEF >itb13g13900.t1 pep chromosome:ASM357664v1:13:20469969:20477104:-1 gene:itb13g13900 transcript:itb13g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLETAAKENNVDILYETIIPENPNILKEIEDTPFAHTPLHVAASHGNTIFAAEMMSLMPSFSRKLNCEGLSPLHLALQNGHLETAREMIELESGLIRVAGRRKITPMHSLVSVMGNDENDDVKKKVDLLIDFLEACPEAVTDVTVEGKTPLHIAVASKRVDAFKVLFGWVCRTGQNSVLDKHDIDGNNLMDLANKNTDHQTEVMRILKGFVSLTKENKQGHTPTERGQGCSNKIVEIKQEKEDISKEHRRTFFMSQETFVQTTIRIIVRAHSGMSMDKRNMMLVVATLVATAAYQVVLQPPTGIAPATPDHTTTTQIASDSSFFGLSMSTRGSLFNVFVPLNTLALNLSLALILFVLPFDLGSGMLHLTLLAMSVSYITVLEYILEKHKLPLVISEFIYGMFAVVLIVHLNVRTLRVRSRMANRDQSRKVKISRDLSQKLSNFNLQIKTVLRSPALTTKDGNKDKPKCQ >itb10g13730.t1 pep chromosome:ASM357664v1:10:19933621:19939567:1 gene:itb10g13730 transcript:itb10g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRDGEPTERTRKMVKKRDIKEKQRRRTKKEEELGEDVLLGLRSVIELGFPSLSEEGIWWWSFRDLRFKDCTLSLSGRSFDIQEDGF >itb07g02080.t3 pep chromosome:ASM357664v1:7:1241348:1245032:-1 gene:itb07g02080 transcript:itb07g02080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPQLEFHYSNSAMPYNSIGSFMDFFGAMTYDHVNYIFADASYAPESLYPSVYTNQYKFGFSEAGNFCYYDYSHDHVLNNQVSGNEVQDRHLENPSTIAESESVAADMHQQEHLGANSMECPRGHHNTRVSEVVWQDNIDPDNMTYEELLELGEAVGTQSRGLSQDLISLLPVTKFKRGLFSRRKSRTERQDPFTLT >itb07g02080.t1 pep chromosome:ASM357664v1:7:1241348:1245032:-1 gene:itb07g02080 transcript:itb07g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPQLEFHYSNSAMPYNSIGSFMDFFGAMTYDHVNYIFADASYAPESLYPSVYTNQYKFGFSEAGNFCYYDYSHDHVLNNQVSGNEVQDRHLENPSTIAESESVAADMHQQEHLGANSMECPRGHHNTRVSEVVWQDNIDPDNMTYEELLELGEAVGTQSRGLSQDLISLLPVTKFKRGLFSRRKSRTERCVICQMEYKKGDRQITLPCKHAYHKACGSRWLSINKACPICYSDVVIKAPKQ >itb07g02080.t2 pep chromosome:ASM357664v1:7:1241348:1244693:-1 gene:itb07g02080 transcript:itb07g02080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPQLEFHYSNSAMPYNSIGSFMDFFGAMTYDHVNYIFADASYAPESLYPSVYTNQYKFGFSEAGNFCYYDYSHDHVLNNQVSGNEVQDRHLENPSTIAESESVAADMHQQEHLGANSMECPRGHHNTRVSEVVWQDNIDPDNMTYEELLELGEAVGTQSRGLSQDLISLLPVTKFKRGLFSRRKSRTERCVICQMEYKKGDRQITLPCKHAYHKACGSRWLSINKACPICYSDVVIKAPKQ >itb06g02030.t1 pep chromosome:ASM357664v1:6:3434879:3437154:-1 gene:itb06g02030 transcript:itb06g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIERLSTKDDLSSHMSSQYSLNNNILPSLGALSNRKANIVLTFFVAYLDKSTYLLIDDPKKIAWRYIRNGFILDVISTIPSKAVRHLWPLCFFQPYGYFTMLRLWPLRRVSSMFARLEKDKNLSYFWVRVAKLICVTLFTVHCAGCFYYHIALLNPNPKETWLSLTMNDDDKDSIWGNYVTSIYWSITTLTTTGYGDLHAVTTSEKIFVMVYMLFDLGLTSYLIGNMTNLVVHVTSRTRKFRDTIEAATSFSLRNQLPVRLQEHMLAYLSLRYRIDAEGLQQQETLDALPKAIRSSISHYLFPC >itb03g11740.t1 pep chromosome:ASM357664v1:3:10304903:10305728:-1 gene:itb03g11740 transcript:itb03g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIEEISTSSLHQKLEISGGWCKIGSGLRQILVGSRSSFLAIRRCRIHDGRAPPAAALISAVVWQFQIHSSLFSLSNYLRQRNFCSRQQLSIGGDRIYLRSIFGQALIWHISSLISAAT >itb08g13550.t1 pep chromosome:ASM357664v1:8:14625413:14626080:1 gene:itb08g13550 transcript:itb08g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKLSFSCLAWATIESSLHDALSSFGKVLESKTGRSRGFGFVTFKDEQPMSDAIEGMNGQSLDGRNITVNEAQSRGSGGRGGCFRGGRCEGGGDGGYSRRDGGYGGGGYGRRDGGYGSDGYSRGKRYCRAGDGGIWRS >itb15g23730.t1 pep chromosome:ASM357664v1:15:26526244:26530100:1 gene:itb15g23730 transcript:itb15g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIGLKTLQLLSRCSKQRHGFLYSHQKRSFSALPSYTQHDYLQEQVLVEGRAKSRAAILNRPSALNSLTSSMVARLNRLYNSWEENSEIGFVVMKGSDQAFCSGADVVTLHQLINEGKVEECKIFFQTLYNFVYLLGTYLKPNVAILDGVTMGSGAGIALPGMFRVATDRTVYSSPESQIGFHPDGGASYYLPRLPGYLGEYLALTGEKLNGVEMIAYGLATHYVLKERLPWIEERLGKLMTDDRSVIESSLAQYGDLVYPDRRSVLHKFEMIDKCFSPDTVEEIIEALENEAAESYDEWCATTLKKIKAASPLSLKVTLNSIREGRFQSLDQCLAREYRISLNWISKQVSGDFCEGVRARLIDKDFSPKWDPPRLEDVTKDMVESFFAPLDEFESELNLPTSIREPSV >itb02g07670.t1 pep chromosome:ASM357664v1:2:4792040:4793648:1 gene:itb02g07670 transcript:itb02g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDESYGTVEGDGVHPTSRGPFDDDAYIGYDPSQRYDFGVPPAAEEDDGGMPNPPPPPNDYFGGDSLQSPESYGFVGSVNQEYSPSPFEASPPQDSHASNIHSKPYDIGADSEGLFSSAGDGGGNGPLLPDPSQMKEEGAAFREWRRQNAIFLEEKEKKEKEMRNQIIHEANEYIRTFYEKRKQNYETTKAHNRESEKLYLANQEKFHKEADKQYWKAIAELVPREVANIEKRGRKKEEEKKPGITVVQGPKPGKPADLSTMRQIFQKLKVKPPPHMIPPPPPPAKDQKDGKKDDTNKQETTKDSKLDNEAAKDNGKATNEANKKDDNKVVTPTDDKPVEPPKTEIPASVSSEGEKEKKVDTDSTSHAS >itb09g05120.t1 pep chromosome:ASM357664v1:9:2901747:2905058:1 gene:itb09g05120 transcript:itb09g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MAAVSMMFGVSASSHASFTPKGIGNSSAFFTSKTPFRFSSPISFQPFPRFLSRESYRVKATVIQETEDQVVIEESSPPKSSPGSGRGSNGEPPDNTSSNGLEKWVIKLEQSVNIFLTDTVIKMLDTLYHDRNYARFFVLETIARVPYFAFMSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYLMTVFMYALSPRMAYHFSECVENHAFETYDKFIKAQADELMKLPAPKVAVKYYTEGDLYLFDEFQTSRLPNTRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTHGSIRSPHSYSDESSEDISECDLSQADCEGIVDCLKKSVSDSQVIRG >itb09g05120.t2 pep chromosome:ASM357664v1:9:2901748:2904611:1 gene:itb09g05120 transcript:itb09g05120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MAAVSMMFGVSASSHASFTPKGIGNSSAFFTSKTPFRFSSPISFQPFPRFLSRESYRVKATVIQETEDQVVIEESSPPKSSPGSGRGSNGEPPDNTSSNGLEKWVIKLEQSVNIFLTDTVIKMLDTLYHDRNYARFFVLETIARVPYFAFMSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYLMTVFMYALSPRMAYHFSECVENHAFETYDKFIKAQADELMKLPAPKVAVKYYTEGDLYLFDEFQTSRLPNTRRPKIGKYNYLWH >itb01g29570.t1 pep chromosome:ASM357664v1:1:33803927:33805183:-1 gene:itb01g29570 transcript:itb01g29570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGLFDIYIESYKVIYRCRKIFSQITLSLVLPLAIIFLAQKEVSQLIFSNLTHTESQLENSQPGSPRYQRLSDHLTSDFAALLLFKFVYLTCLIVFSLLSTSAVVYTVACVYTARDLSFRKVMSVVPKVWKRLMLTFLSTFLVFFVYNIVATASLVIWFFLALSFRFLADIPLWVGVAVYTVGLLYMSIIWQLANTVSVLEDSYGFKAMSKSKHLLKGKMVLGVVFFLKLHLALFLVNVIFKKFVVDGPHFHLGMLGRVGFGLLCLLVLFKLVVFGLVTQTVIYFVCKSYHHENIDKSALSNHLEVYLGEYVPLKSKDVQLEQYQV >itb01g29570.t2 pep chromosome:ASM357664v1:1:33804045:33804659:-1 gene:itb01g29570 transcript:itb01g29570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPKVWKRLMLTFLSTFLVFFVYNIVATASLVIWFFLALSFRFLADIPLWVGVAVYTVGLLYMSIIWQLANTVSVLEDSYGFKAMSKSKHLLKGKMVLGVVFFLKLHLALFLVNVIFKKFVVDGPHFHLGMLGRVGFGLLCLLVLFKLVVFGLVTQTVIYFVCKSYHHENIDKSALSNHLEVYLGEYVPLKSKDVQLEQYQV >itb02g09970.t2 pep chromosome:ASM357664v1:2:6419655:6422192:-1 gene:itb02g09970 transcript:itb02g09970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKKVSPFRLSSLIRLEKNPKLALQLFLNPNPGQTHNASPIRYSILSYDRIICKLGRAKMFDEMETILEKLKEDTRVIPKEVIFCNVISFYGRARMPERAVRTFHRIPDFRCPRTMKSANSLLSSLLLCREFAKMEEIISCFGNYGCPDVCTYNILINACCILGDLTNAWNVFDEMRSRGPLPNVVTFGTLINGLCSNLEMEKAIELKECMIREFKLKPNAYLYAALIKGLCKDNKLDVAITMKEEMLRKRVELDSAIYATLISAFYKNGRKDEVQGLLEEMNKNKCKCDTVTYNAMIHGLSEEGDFDSAFGVLNQMQEQEAEPDVISYNVIIRGLCKKGKLREANELFEDMPRRKCTPDVVTYRILFDGLCDGMQFREAALILDEMVFKGLSPRPESTSRFITGLVQGGDMELLLRALNTSARGNLIGADVWRLIISTVCKEEKLFEASEIFSSMTTE >itb02g09970.t3 pep chromosome:ASM357664v1:2:6420519:6422192:-1 gene:itb02g09970 transcript:itb02g09970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKKVSPFRLSSLIRLEKNPKLALQLFLNPNPGQTHNASPIRYSILSYDRIICKLGRAKMFDEMETILEKLKEDTRVIPKEVIFCNVISFYGRARMPERAVRTFHRIPDFRCPRTMKSANSLLSSLLLCREFAKMEEIISCFGNYGCPDVCTYNILINACCILGDLTNAWNVFDEMRSRGPLPNVVTFGTLINGLCSNLEMEKAIELKECMIREFKLKPNAYLYAALIKGLCKDNKLDVAITMKEEMLRKRVELDSAIYATLISAFYKNGRKDEVQGLLEEMNKNKCKCDTVTYNAMIHGLSEEGDFDSAFGVLNQMQEQEAEPDVISYNVIIRGLCKKGKLREANELFEDMPRRKCTPDVVTYRILFDGLCDGMQFREAALILDEMVFKGLSPRPESTSRFITGLVQGGDMELLLRALNTSARGNLIGADVWRLIISTVCKEEKLFEASEIFSSMTTE >itb02g09970.t1 pep chromosome:ASM357664v1:2:6419655:6422192:-1 gene:itb02g09970 transcript:itb02g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKKVSPFRLSSLIRLEKNPKLALQLFLNPNPGQTHNASPIRYSILSYDRIICKLGRAKMFDEMETILEKLKEDTRVIPKEVIFCNVISFYGRARMPERAVRTFHRIPDFRCPRTMKSANSLLSSLLLCREFAKMEEIISCFGNYGCPDVCTYNILINACCILGDLTNAWNVFDEMRSRGPLPNVVTFGTLINGLCSNLEMEKAIELKECMIREFKLKPNAYLYAALIKGLCKDNKLDVAITMKEEMLRKRVELDSAIYATLISAFYKNGRKDEVQGLLEEMNKNKCKCDTVTYNAMIHGLSEEGDFDSAFGVLNQMQEQEAEPDVISYNVIIRGLCKKGKLREANELFEDMPRRKCTPDVVTYRILFDGLCDGMQFREAALILDEMVFKGLSPRPESTSRFITGLVQGGDMELLLRALNTSARGNLIGADVWRLIISTVCKEEKLFEASEIFSSMTTE >itb06g16010.t1 pep chromosome:ASM357664v1:6:20219345:20220238:1 gene:itb06g16010 transcript:itb06g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNLPVIAKKFWSIVRAALFMLKQGICKRKLMLDLNLMLKRGKIAGKAAIHNLMLHHGNHHHHHLSVFSAAAAHRRSSYPALPNEYEFSCSNSPAYYKKSKHHALHFPCAHAPPTDDDGVVTVNSLMKALEMHALQSETASPALPGFGRTPLVRQLRVTDSPFPLRDSEVDCHVDQAAEEFISRFYKGLRAAKELNI >itb11g03850.t1 pep chromosome:ASM357664v1:11:2074115:2076399:1 gene:itb11g03850 transcript:itb11g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIVSRRVNMIAAHLAAHEDISTAPTHVFPVICSSNLNSVIRRCDNRMHFARQGSSSQGCFMRPSSYEQGNPSAIPPKSIGYANTASNASDTPMFSRPSSVEPSKKSVQQIAYVAQCYKYQYSECSPEAPKFARPCGTRTEESRVQSRPRNYASETKGWSPKMDVAESRLNYMVMVELPGVNVSDIKVEVSDQNLMISGNRPTPWKVATYLCDSVSAYHKRDILQGPYQLAWPLPSNVNKDSVKAEFFDGLLRVIVPKLS >itb05g02950.t1 pep chromosome:ASM357664v1:5:2386701:2387387:-1 gene:itb05g02950 transcript:itb05g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKSVKSTLIRWGKMLQSGKVKERCTNDNDVMLNKLKQQIKRMQQYNDKMKRRRDIEDRKFLIKEYNVLMKNTSTMTKEQLGYHQQYSEMIKRSWNNQMSDSSHYLL >itb12g20560.t1 pep chromosome:ASM357664v1:12:23044912:23048155:1 gene:itb12g20560 transcript:itb12g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKIVVIFDFDRTLINDDSDRWVIEHLGLTQLFNQLRLTLPWTSLMDRMVEEIHSQGKTVEDIAECLKHVPLHPQTISAIKSAHALGCDLKVVSDANQYYIATILKHHGLYDCFSDIISNPTTIDGEGRLRIFPYHDLASPHSCNLCPPNLCKGLVMKHIQASIPEKAYARFIYLGDGRGDYCPTLKLDSGDHIMPRKEFPLWECIFNNPGLIKAEVHEWSNGEELEMILLQLINKISKENNI >itb07g07520.t1 pep chromosome:ASM357664v1:7:5726559:5727975:1 gene:itb07g07520 transcript:itb07g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLVWQRKEGRKGGYSRNTSSDPTSDLERGRSRLFGILIFSYSELEEATSNFDPSKELGDGAFGTVYYGILGDGREVAVKRLHERNCKRMEQFANEISILTRLKHQNLVTLYGCSTRHSRELLFVYEYIPNGTIADHLHGKRAADRLLTWPIRMKIAVETAAALVYLHASDIIHRDVKTSNILLDNNFCVKVADFGLSRLFPANVTHASTTPQGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELVSSMPALDMRRHTDEIHLAKLAMSKIVTGAFDELIDSSLGYENDTEINRMTTSVAELAFQCLQPDKDMRLTMEHVFESLKEIQGNELSNDDERVNIEETNVSKEEEVRGKAMRWTWGGPSLADGVILKHVAATKSSS >itb07g13900.t1 pep chromosome:ASM357664v1:7:16221363:16222217:1 gene:itb07g13900 transcript:itb07g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEFQDFADQMMPCKGPRTKRPRASPPVGVAVDSSPSRDGDSTKHPPVGVAFDSSPSEDSGSSLVHSPASSCSQMSMATQEDENMAHCLILLAKSAGNDVKVERISCRKSSDADTAAAVATVTAVATTTSVYECKTCNRKFPSFQALGGHRASHSKPKLIPEDHKKSTPLDHQEIQDKTLEKTNKTKIHECLICGSLFFSGQALGGHMRRHRPLHINTTTTMKDASMEDDDDKEKPKPVFTLDLNLPAPDDDEADDEDTTMFEFSDKQQSSAFSTSAMVDCHY >itb03g22060.t2 pep chromosome:ASM357664v1:3:20030687:20034898:1 gene:itb03g22060 transcript:itb03g22060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSWKREYYYADENPNRRGVSGRYFKSRSSKWMWMMASAFFPPVVDCQSPRGGRSTCPSLMELCIHKIREDVSKFGSFSVLPRDDMLLKEYPGIKDSWIDVVSSQGSSLLSLDISSSEVTDYSLFLLKNCSSLQALTFDYCDLITEKGFKQISGFQKLTCLGFKNSTALTAEGMQCLSSLVNLVKLDLERCPRIHGGLVHIEGLTRLESLTIRCCKCIVDSDMKSLAGLVNLKQLQTPCVNITDSGVFYLKGLRKLVLLNMEGCHITAACLDYLSDLPSLQYLNLCRSSLKDAGCEKFSTLGNLEVLNIGYNDITDECLTHLQGLTKLESLNLDSCRIGDDGLANLAGLVNLKNLELSDNKIESDGIQHLSGLTNLEDLNLSFTLVTEDGLRNLSGLRSLKSLNLDIRQITDSGLAALTGLTGLTHLDLFGAQITDSGTKYLQCMLSVLLHPITICFERFHRFQCRMKLPFWVQFAFVLEITNLHCFEKKVILSGVGRIHLGKKKSKNGLNTQTSCVEFHKFFMFPLDFKNLHSLDICGGGLTDDGVKNLKHLSSLTVLNLSQNLDLTDKTLEHLSGMLYAIGFQDYHAC >itb03g22060.t1 pep chromosome:ASM357664v1:3:20030687:20034898:1 gene:itb03g22060 transcript:itb03g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSWKREYYYADENPNRRGVSGRYFKSRSSKWMWMMASAFFPPVVDCQSPRGGRSTCPSLMELCIHKIREDVSKFGSFSVLPRDDMLLKEYPGIKDSWIDVVSSQGSSLLSLDISSSEVTDYSLFLLKNCSSLQALTFDYCDLITEKGFKQISGFQKLTCLGFKNSTALTAEGMQCLSSLVNLVKLDLERCPRIHGGLVHIEGLTRLESLTIRCCKCIVDSDMKSLAGLVNLKQLQTPCVNITDSGVFYLKGLRKLVLLNMEGCHITAACLDYLSDLPSLQYLNLCRSSLKDAGCEKFSTLGNLEVLNIGYNDITDECLTHLQGLTKLESLNLDSCRIGDDGLANLAGLVNLKNLELSDNKIESDGIQHLSGLTNLEDLNLSFTLVTEDGLRNLSGLRSLKSLNLDIRQITDSGLAALTGLTGLTHLDLFGAQITDSGTKYLQYFKNLHSLDICGGGLTDDGVKNLKHLSSLTVLNLSQNLDLTDKTLEHLSGMLYAIGFQDYHAC >itb03g22060.t3 pep chromosome:ASM357664v1:3:20030687:20034898:1 gene:itb03g22060 transcript:itb03g22060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSWKREYYYADENPNRRGVSGRYFKSRSSKWMWMMASAFFPPVVDCQSPRGGRSTCPSLMELCIHKIREDVSKFGSFSVLPRDDMLLKEYPGIKDSWIDVVSSQGSSLLSLDISSSEVTDYSLFLLKNCSSLQALTFDYCDLITEKGFKQISGFQKLTCLGFKNSTALTAEGMQCLSSLVNLVKLDLERCPRIHGGLVHIEGLTRLESLTIRCCKCIVDSDMKSLAGLVNLKQLQTPCVNITDSGVFYLKGLRKLVLLNMEGCHITAACLDYLSDLPSLQYLNLCRSSLKDAGCEKFSTLGNLEVLNIGYNDITDECLTHLQGLTKLESLNLDSCRIGDDGLANLAGLVNLKNLELSDNKIESDGIQHLSGLTNLEDLNLSFTLVTEDGLRNLSGLRSLKSLNLDIRQITDSGLAALTGLTGLTHLDLFGAQITDSGTKYLQCMLSVLLHPITICFERFHRFQCRMKLPFWVQFAFVLEITNLHCFEKKVILSGVGRIHLGKKKSKNGLNTQTSCVEFHKFFMFPLDFKNLHSLDICGGGLTDDGVKNLKHLSSLTVLNLSQNLDLTDKTLEHLSGLTGLVSLNVSNSRITNDGLQHLRPLKSLRSLSLESCSVTAEELKKLQLSALPNLVKFRPEL >itb01g03690.t1 pep chromosome:ASM357664v1:1:2407743:2408084:1 gene:itb01g03690 transcript:itb01g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQFLNSLNHEGNLCSLFSSFASSSPSSNLLLLCDRIREEMLRRRPSKIEVKIEDKVELEEARKRGGAAAAATAVTTSTATSTATGATSLLHHFDRSAVDPSSKSHRIGLSS >itb06g04460.t1 pep chromosome:ASM357664v1:6:7077566:7077931:1 gene:itb06g04460 transcript:itb06g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRNYQNKGDPRRNNGRNMGGKNESSKGESRCNQGSSTNKFNKEGFTIWNNSRYGALENLEEDTEEEAQEEYTMMERPDGPTGAILSGKGKRPQTQTIEAQLLNDKSVHNRENAKEREC >itb13g10720.t1 pep chromosome:ASM357664v1:13:15619938:15620756:1 gene:itb13g10720 transcript:itb13g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRTFILNGAHRHFSPLLSQKCPIRLLTSHCRRNSLFCYSSSPSCSSAQFTPQLRNESEMESDQWQRRWNVVEILEERGLVESITSENLRSICSNPNLPPLKVYCGFNPTAESLHLGNLLGLIVLSWFLRCGHKVVALLGGATGRIGDPSSKSVERPELDYVTLNHNIAGIFANIRQVLLSPDSSYLTSNIQILDNYDWWKDVKFLDFLRDVGRFARVGTMMSKESVKKRLENVEQGMSYAEFTSLPWMCSSNFVRENFLALTERQYLVY >itb03g06040.t1 pep chromosome:ASM357664v1:3:4280380:4280946:1 gene:itb03g06040 transcript:itb03g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSANSNHALLLLLLPALLLPYQATSDPHQPKFKSAHFTIYQHDTFNKSAYLVTQGLPGDWDLVTLGTPFGSLLVFQDVLTATQDPDSEVVGSADGISIASRFDGSLTVSTVKFSLNTTVYKGTLSFVGGTHVSEASDHPVVGGTGDFLFVTGYGTSTLVKLEGVASVYRIDLYLYWPPYGTPKTSI >itb03g09890.t1 pep chromosome:ASM357664v1:3:7674249:7676240:-1 gene:itb03g09890 transcript:itb03g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPNGRRKDMDRVKGPWSPEEDELLQQLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHARFGNKWATIARLLAGRTDNAIKNHWNSTLKRKCSSMSADEGIDLADRLQQQPLKRSVSAGAAVTLSGLHFNPGSPSGSDVSESSLPVMSPSHVFKPIARTGGVLPPPVETPPPPANDPPTSLSLSLPGVDSSSDVSPRLTESTQPISPIQLFSSAIHTPPPPPPLPVPFQQPLEKFDLGGGAPPPPMACPIPPKEAVPAPAQQDRVFLPFSQELLAVMQDMIKTEVRNYMMGVEQQQQPQPSQQQRYHQHQHHQQQQQFQFQQQQQLQNGIGRGMCMQRATSNDGLRYAAAATVNRVGVNRLE >itb09g28740.t1 pep chromosome:ASM357664v1:9:29332302:29334342:1 gene:itb09g28740 transcript:itb09g28740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRHVEVLGVNRMGKNIKKSPVHQPNFANNSDFAPRPQPPSQVYNINKSDFRNIVQQLTGSPSHNTSLPRPLQNPSKNPAGTRLQRIRPAPLMPMNQPRVSAQSRFAHIPPQPLCSNNYVSPAAAAADCSRPLSPAQNPFNPIDVWENSDKSPISAYMQYLQGSIIDSAGPNQAQPHQGPVCNQPSSDYLANPPLPSPRFLGPPLPSPWMNGDAPILSPQIMNGVPPPPPPFLPSPTSQFLLPSPTGFVNLFSPCTPYPLFSPGAQHPPPLSPKFSFSPMGQPGILGAGPRSPLSPSYGFPLSPSGFFPVCSPRWRDQ >itb06g06590.t1 pep chromosome:ASM357664v1:6:9201666:9203612:-1 gene:itb06g06590 transcript:itb06g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKENGPPPSKRQAVPSSEDPNKPVLGKPTYDGVIAGKVSGRKWKQARTHRSSAVQVSRKGKTLEQRVKEKEIKKAYKERINELKEEIRQNKIEKRQKREEREKRKKDNILKSGTKFQKITNPKTLKKIAKSAKHKKLLKVVSYDLLKAGNNKK >itb06g06590.t2 pep chromosome:ASM357664v1:6:9201666:9203612:-1 gene:itb06g06590 transcript:itb06g06590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTIDFRCLDEGFGGKTYKRKRAENEAPNDVSEEDAAAMEVEKENGPPPSKRQAVPSSEDPNKPVLGKPTYDGVIAGKVSGRKWKQARTHRSSAVQVSRKGKTLEQRVKEKEIKKAYKERINELKEEIRQNKIEKRQKREEREKRKKDNILKSGTKFQKITNPKTLKKIAKSAKHKKLLKVVSYDLLKAGNNKK >itb04g02230.t1 pep chromosome:ASM357664v1:4:1334229:1334588:-1 gene:itb04g02230 transcript:itb04g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSNLPQDIIRLILLQLPVKCVIRCQCVCKQWRLLIDDSDFKFSYRGQIRVILLSTEYKSKERWKRSIVRSTSHDLRLRRHKWPFGEESPLIRAAFESHLRVLCSCNDTAGAKS >itb07g08960.t1 pep chromosome:ASM357664v1:7:7605320:7607487:-1 gene:itb07g08960 transcript:itb07g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTAARLRKRFWFVFIVLFVLWYLLLYVVDWSALPGRTSTAENFQSEAIQSHPADPLDATTEIPSSHGQDNVSVHEETPPPEKKGDLVPDLDGLEKELEPLLKQNNGKSSCSGRYVYMHDLPARFNDDLIKQCKLLDPWVDMCAYTANQGLGMEVGNPGKIVQARDWFYTHQFSLEPIFHARMKQYDCLTNDSYKAAAVFVPYYAGFDVARYLWADFNASVLDSGARDLVNWLRERPEWKVMWGKDHFFIAGRVTWDFRRLGTTWGNSLLVMPESENMSALTIESSPWDKNDFAIPYPTDYHPSSDEEVAQWQSKMRKQRRGTLFSFAGAERPSMQDPIRSQVMKQCVQSRRKCKLLECKSEDKNCEKATDVIKLFQRSIFCLQPPGDTFTRRSIFDSILAGCIPVLFNPASFYVQYIWHLPKDFTNYSVLIPEDDVKENKVSIERVLARIPRRRVAAMREQVIRLIPKVIYANPRGRLKRVEDAFDLAVKGVIGRVERLRNKEEKEFDEQKSWKYYTFGTVEKHEWDHYFRRRNLTAR >itb01g29390.t1 pep chromosome:ASM357664v1:1:33641921:33642244:1 gene:itb01g29390 transcript:itb01g29390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESASQLRFGGECYQPEIQDVSPQMGSGGRFRKGKYDRTAYMRQLSYTDTIYGDAVLDDSMVQVQTSRCYYATNEGYNFNDDWYGYNFMYGGKGGKDGAECFDDSL >itb10g07240.t1 pep chromosome:ASM357664v1:10:8517971:8519496:-1 gene:itb10g07240 transcript:itb10g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDVYNFVACDQESKRVSTTTSFVDATEIQGRDIDASNKTGRPMDPASICDVTGKLRSFFAEHLSPEQLTHDLFNHLKSVRVLGLRGCKLQKLPKEVGNLHHLRYIDLSYSKVEELPDAIFFLDNLQTLDLEGCECLSKLSEGIGNLRHLRYIDLSKSNIDELPDTICSLDNLRTLNLGGCKRISRLPQGIGKLCHVRYIDLSKCNINELPDTICTLDDLQALNLRGCKRFSRLPQGIGNLRHLRFIDLNWSKVEELPNTIVFLDNLEILNLGGCQRLSTLPEEIGNLRHLRYIDVSWSKIEELPSSICSLDNLQILNLTGCQRLFKLPEGLKICAI >itb02g10480.t1 pep chromosome:ASM357664v1:2:6765479:6768386:-1 gene:itb02g10480 transcript:itb02g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKSSADQESEVKNGAQEFKAHVFSSSSELLEKLHEKWNSVERIPYPAMYSSFYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIVLDGYLYELDAHLDRFLRSASKARIVSPHSRSELRSILIQLAAVSKCRKGTLRYWLSAGPGDFLLSPAGCPTSAFYAVVIYEDFEQCKEGVKVITSSVPMKPIIFATMKNVNYLPNVLSKMEAEEKGAFASIWVDEEGYIAEGPNVNVAFITHEKELILPKFDKILSGCTALRLLELAPKLVEQGRLTGVKTGDLTVDEAKGAAEMMFVGSTLPILPIIAWDEKPIGDGKVGELTMALSDLLWEDMVAGPDTQRIPVPYE >itb02g10480.t3 pep chromosome:ASM357664v1:2:6765629:6768375:-1 gene:itb02g10480 transcript:itb02g10480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCFHCPNKLLEKLHEKWNSVERIPYPAMYSSFYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIVLDGYLYELDAHLDRFLRSASKARIVSPHSRSELRSILIQLAAVSKCRKGTLRYWLSAGPGDFLLSPAGCPTSAFYAVVIYEDFEQCKEGVKVITSSVPMKPIIFATMKNVNYLPNVLSKMEAEEKGAFASIWVDEEGYIAEGPNVNVAFITHEKELILPKFDKILSGCTALRLLELAPKLVEQGRLTGVKTGDLTVDEAKGAAEMMFVGSTLPILPIIAWDEKPIGDGKVGELTMALSDLLWEDMVAGPDTQRIPVPYE >itb02g10480.t2 pep chromosome:ASM357664v1:2:6765479:6768356:-1 gene:itb02g10480 transcript:itb02g10480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSLKLMSFRHPLSYLYELDAHLDRFLRSASKARIVSPHSRSELRSILIQLAAVSKCRKGTLRYWLSAGPGDFLLSPAGCPTSAFYAVVIYEDFEQCKEGVKVITSSVPMKPIIFATMKNVNYLPNVLSKMEAEEKGAFASIWVDEEGYIAEGPNVNVAFITHEKELILPKFDKILSGCTALRLLELAPKLVEQGRLTGVKTGDLTVDEAKGAAEMMFVGSTLPILPIIAWDEKPIGDGKVGELTMALSDLLWEDMVAGPDTQRIPVPYE >itb02g08960.t1 pep chromosome:ASM357664v1:2:5657601:5664672:-1 gene:itb02g08960 transcript:itb02g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETELPLQKLEVGQSEGGYSEHPVSVFRRDPSFSGWFDEDGAFHSPQLGDVNICGGAEDSDFELPHLHHSESEIGDGSLEAERQQLRGTFWERMRGGFDLGEVSARHGKESYVPFDVENGSKDGRSSDSSVGERFVNYHDTSRPFSRSPISVTDVLKTLFFILVWYIFSTILTMYNKTLLGDHLGKFPAPLLMNTVHFTMQAILANTITWIWSERFKPTIPMSWKDYFLRVVPTALGTALDVNLSNESLVFITVTFATMCKSAAPIFLLFFAFAFRLESPSFKLFGIILIISVGILLTVAKETEFQFWGFILVMLAAFMSGFRWTMTQILLQTHQHPMPSYLLTFCACLKNPLTLMSYVTPVMALSTALLSLFLDPWNEFSKSHHFNSSWHITRSCLLMLFGGTLAFFMVLTEFILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLGTIMVGVSLFNWYKYKKLQKGQSSEDEIGRSVTKDATTKYVILEEMEDQEDDGP >itb11g18570.t1 pep chromosome:ASM357664v1:11:18946411:18949027:1 gene:itb11g18570 transcript:itb11g18570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMHYYYSLLPLFIFIFFLCKLLFSSPRDAKNSPPSPPKLPILGHILQIGSYPHRYFHQLSKQYGPLILLQFGSVPVLVASSPDAARAIMKDHDLAFSDRPASRMASRLLYDYKVVGSAPYGEYWRQMRSICVLHLLSNTRVQSFRNVREEETGLMVQKIRQSCGSVMNLSNVFFELTNDILCRVVLGRKYSNKERGNGEKDFKLLLAEFLELLGTFDVGDYIPWLAWVNRINGLDRRVGKNAKELDEFIDGVVEEHIGLKKEEGDALDFVHILLQIQREDKIGFAIHRDSVKALVLDMFSAGTHTVYTLLEWTMAELIKNPEVMKKLSSEVRRLKTSDDLETMQYLKAVIKETLRLHPPIPLLVPRKAFQDVKVMDFHVATGTKVIVNSWAIGRDPIVWENPEEFKPERFLYSNVDYKGMHFELIPFGAGRRGCPGVAFTTNVIELALATLVHEFDFASTEDDLDMSEGIGLTTNKKIPLTVIATPRVG >itb03g02410.t1 pep chromosome:ASM357664v1:3:1366088:1369230:-1 gene:itb03g02410 transcript:itb03g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIENITVTKEKRSRKSKQVVIDEKSPLLPTKHGEDAGFDEFNGASFSGAVFNLSTTIIGAGIMALPATMKVLGLFLGIAMVIFMSFLTEASIELLIRFSRTSKSVSYGGLMGDTFGKFGRIILQSCILVNNIGVLVVYMIIIGDVLSGTTEDGIHHTGVLEGWFGAQWWNGRLCILLVTTIGIFTPLACLKRIDSLKFTSTLSVALAVVFLAVTVGITIYKIINGTVLMPRLLPDVDNLTSLFKIFTVVPVLVTAYICHYNVHSIDNELEDSTQIKSIVRASLTLCSSVYVLTSLFGFLLFGDGTLDDVLANFDADLGLPLGSLLNDVVRVSYAAHLMLVFPIVFYPLRLNLDGLLFPSARPLVLDNVRFAAISIGLIAVVFLGANFIPSIWDAFQFTGATAAVCIGFIFPACIALRDRYGIATKRDKILCVFMIVLAVFSNLVAIYSDAYTLFKKNASKPE >itb10g13470.t3 pep chromosome:ASM357664v1:10:19704481:19706443:1 gene:itb10g13470 transcript:itb10g13470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSPVAIHITGFKKFHGVAENPTEIIVSNLQDYMKKKGTPKGLILGSCSVLETAGQGALVPLYQTLQSALRNDESEPSHSRRVIWLHFGVNSGASKFAIERQAVNEATFRCADEMGWKPQKIPIVPMDGAISRIRETSFPVEEITKALAMMGYEVTTSNDAGRFVCNYVYYHSLRFAEQYGIKSLFVHVPLFSTIDEETQMQFAASLLEVLASVS >itb10g13470.t1 pep chromosome:ASM357664v1:10:19703793:19706443:1 gene:itb10g13470 transcript:itb10g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSPVAIHITGFKKFHGVAENPTEIIVSNLQDYMKKKGTPKGLILGSCSVLETAGQGALVPLYQTLQSALRNDESEPSHSRRVIWLHFGVNSGASKFAIERQAVNEATFRCADEMGWKPQKIPIVPMDGAISRIRETSFPVEEITKALAMMGYEVTTSNDAGRFVCNYVYYHSLRFAEQYGIKSLFVHVPLFSTIDEETQMQFAASLLEVLASVS >itb10g13470.t2 pep chromosome:ASM357664v1:10:19703941:19706443:1 gene:itb10g13470 transcript:itb10g13470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSPVAIHITGFKKFHGVAENPTEIIVSNLQDYMKKKGTPKGLILGSCSVLETAGQGALVPLYQTLQSALRNDESEPSHSRRVIWLHFGVNSGASKFAIERQAVNEATFRCADEMGWKPQKIPIVPMDGAISRIRETSFPVEEITKALAMMGYEVTTSNDAGRFVCNYVYYHSLRFAEQYGIKSLFVHVPLFSTIDEETQMQFAASLLEVLASVS >itb09g01420.t1 pep chromosome:ASM357664v1:9:880363:884772:1 gene:itb09g01420 transcript:itb09g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCATLKSSAHLPRETEFFGGRIRGSVNNNVLVSQSRKSLRLDGNKRKIKPGVAFSVLTRENGTETLTVEAPILERRRANPKNVAAIILGGGAGTQLFPLTNRAATPAVPLGGCYRLIDIPMSNCINSGVNKIFVLTQFNSASLNRHISRTYFGNGVSFGDGFVEVLAATQTQGETGMKWFQGTADAVRQFTWVFEDAKNKDIDNIVILSGDQLYRMDYMDLVQNHIERNSDITLSCATVGDSRASDFGLVKIDRRGRVVQFCEKPKGTDLKAMQVDTTLLGLPPQDARLNPYIASMGVYVFKTDVLLRLLRWRYPTSNDFGSEILPAAVMEHNVQAYIFRDYWEDIGTIKSFYDANLALTEEFPKFEFYDPKTPFYTSPRFLPPTKIDNCKIKDAIISHGCFLRECTVEHSIIGERSRLDCGVELKDTLMMGADNYETESEIASLLADGKVPIGVGENTKIRNAIIDKNVRIGKDVVITNKDGVQESDRPDEGFYIRSGITIIMEKATIRDGTVI >itb15g01720.t1 pep chromosome:ASM357664v1:15:1024725:1027955:-1 gene:itb15g01720 transcript:itb15g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTSDRKSAITNFIPFLPLLPSKENGDDRRSYHSFSAFVFFWGTILTCFHLVFISCGLDPPTRLRLLVKVLVGLAFMFSTSILLQILACVLYNNWWPMLSALMYVLVPMPCMFFGGGSTQFLISRDGGGWIDAAKFLTGASAVGSIAIPIILRHADLIGTGAMFIEFTSFFIFVCTVMCFHQASLDDEW >itb03g21130.t1 pep chromosome:ASM357664v1:3:18922479:18923922:-1 gene:itb03g21130 transcript:itb03g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFDTAEQAARAYDEAAILMSGRNAKTNFPITHDSEGEPKTTEPNNQKHATIPSSSSKALSEILHAKLRRCSKVPTPSLTCLRLDTENSHIGVWQKRAGQSSDSKWVMTIELQKKNGGGNGERVEEGMMDCSKKAPEIMVDGGRGVRSGLDEEERLALQMIEELLQINTPNCPSFDAQAEENSFCI >itb15g22540.t1 pep chromosome:ASM357664v1:15:25225106:25229433:1 gene:itb15g22540 transcript:itb15g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRMMPALYRAAVEGKHEDYYEALRQTAMTVADNQVTPSGNTVLHVAALHGQKQFVENILETEEDRVASSMLFSRNNKDETVLQCAAEKGYADIVSLIITATKKYKDVELGVGVTKMIGMRDKVKDTALHKAVRMGHLEVVKLLVKEDSEFEYHANDDGETPIYIAAELQFHGCLVEMLNTCKKPTYGGPLGRNALHAAILSGIGYTNISKSVKILFLEYRTESSCREFATECTQSLLEKKMCLCEEIDDFGWSPLHYAVKIYNIKAARMILERKPSAAYIYAGSDKEWTTTFHIAARKGKVEMMKEILNMCPDCWEMVNSKGQNVLHEAILSKKVNVIRHIESYDHFDNLIHQKDEDGNTPLHLLAVNRYSVIDKYIKERRMLNYFAFNKKHQTPIDIAVSGYKLLPNVEIREGSRALLNSRKFANRIRNIPEHEDNISDKAEKEIEAMIGMGKTSIVVATLILTMTFAAGITVPGGYQQEKGYPLLLRNTAFKAFVITNTLAFLCSFCSIVIHIAMVSEASRYSRSFGTVASLIFAQGVLLAFSCYGVVIAFLCAMYVILVPLLPLAITDLILGIFIFIFAFSVCTYYYLYAT >itb01g21390.t1 pep chromosome:ASM357664v1:1:27521395:27527413:1 gene:itb01g21390 transcript:itb01g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDQAFLDVPASLRFQSLPAEKKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEQNGAESFTLNIGRNPVAIFGVSKVLLPREFFPINPIGLNKPSGGGGGVGGFSGGVAQPPDISLSPDNSPVLYSPPSNLSSPPGFGDNPSSDLSSPPGFGDNPSEATIAAANVHRPPPLVAPIYFVRIYSCRLSRTSRMANQLGNLVESIKSKVRKLKKSKKPYVKMDKSSSVKVEIRSRKARQIIDKTLRAADHPGQRSLS >itb03g23910.t2 pep chromosome:ASM357664v1:3:22287904:22293453:-1 gene:itb03g23910 transcript:itb03g23910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNPLAINGEKQNHRDREAAEVPASPATHLFHTTALNCCIVAVVGCTTKINVDVIKKGLQCTLVNHPRFSSILAMDDKRGKKRWIPTKVNVDDHIICPDLEPDMQSADEFVENYASSLTTKSLDLSKPLWEIHILNVKTSEANSIAILRIHHSLGDGISIISLVLACTRKTSDPNSLPTIPSSNRTRPKIGFFRQLFLSTWLCLILILNTVLDITLFLATILFLKDTDTPLKAKIGDAFSPRRLVHRTVSLDDIKLVKNAMNVTINDVMMGMLQAGMSRYLNRKYGIIKGSTTNNKNNLPKNVRLRGAIMFNIRPSTGIQVLAEMMEKKSRAKWGNKIGYALVPLFIGVQQNPLDYIRKAKATIDRKKLSMESRFSFTSARILLKLFGVQAAAALITRVICNTTVSISNVVGPQEEISFFGHTMAFSAPTVYGVPHVSIFCVTIITQYKIISFLVQ >itb03g23910.t3 pep chromosome:ASM357664v1:3:22288582:22293189:-1 gene:itb03g23910 transcript:itb03g23910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNPLAINGEKQNHRDREAAEVPASPATHLFHTTALNCCIVAVVGCTTKINVDVIKKGLQCTLVNHPRFSSILAMDDKRGKKRWIPTKVNVDDHIICPDLEPDMQSADEFVENYASSLTTKSLDLSKPLWEIHILNVKTSEANSIAILRIHHSLGDGISIISLVLACTRKTSDPNSLPTIPSSNRTRPKIGFFRQLFLSTWLCLILILNTVLDITLFLATILFLKDTDTPLKAKIGDAFSPRRLVHRTVSLDDIKLVKNAMNVTINDVMMGMLQAGMSRYLNRKYGIIKGSTTNNKNNLPKNVRLRGAIMFNIRPSTGIQVLAEMMEKKSRAKWGNKIGYALVPLFIGVQQNPLDYIRKAKATIDRKKLSMESRFSFTSARILLKLFGVQVYTFLIMTLFGK >itb03g23910.t1 pep chromosome:ASM357664v1:3:22286412:22293453:-1 gene:itb03g23910 transcript:itb03g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNPLAINGEKQNHRDREAAEVPASPATHLFHTTALNCCIVAVVGCTTKINVDVIKKGLQCTLVNHPRFSSILAMDDKRGKKRWIPTKVNVDDHIICPDLEPDMQSADEFVENYASSLTTKSLDLSKPLWEIHILNVKTSEANSIAILRIHHSLGDGISIISLVLACTRKTSDPNSLPTIPSSNRTRPKIGFFRQLFLSTWLCLILILNTVLDITLFLATILFLKDTDTPLKAKIGDAFSPRRLVHRTVSLDDIKLVKNAMNVTINDVMMGMLQAGMSRYLNRKYGIIKGSTTNNKNNLPKNVRLRGAIMFNIRPSTGIQVLAEMMEKKSRAKWGNKIGYALVPLFIGVQQNPLDYIRKAKATIDRKKLSMESRFSFTSARILLKLFGVQAAAALITRVICNTTVSISNVVGPQEEISFFGHTMAFSAPTVYGVPHSLTILFQSYCNKMTISMAVDPEVIPDPYQLCDDLEESLVMFREAVLTTSPLHALP >itb10g00450.t2 pep chromosome:ASM357664v1:10:300472:303157:1 gene:itb10g00450 transcript:itb10g00450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRICLLYSGYRECRHLAPPQQRWISSTPQLASWMEKVKSVFTGQKPSENFTLLSFANELSNARKLSNWKQYVVGRSSDATFADAFARQEAILRCLGGFDPTGENLQTSHKQEAAKLCKCTITDVENALAKYTWAKEAQKKLERLKEEGKPMPKSMAEVQQLMGSSPLDLARSNLAKSGQISRNALCPCGSKKRYKRCCGKD >itb10g00450.t1 pep chromosome:ASM357664v1:10:300472:303157:1 gene:itb10g00450 transcript:itb10g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRICLLYSGYRECRHLAPPQQRWISSTPQLASWMEKVKSVFTGQKPSENFTLLSFANELSNARKLSNWKQYVVGRSSDATFADAFARQEAILRCLGGFDPTGENLQTSHKQEAAKLCKCTITDVENALAKYTWAKEAQKKLERLKEEGKPMPKSMAEVQQLMGSSPLDLARSNLAKSGQISRNALCPCGSKKRYKRCCGKD >itb13g02700.t1 pep chromosome:ASM357664v1:13:2625324:2626615:-1 gene:itb13g02700 transcript:itb13g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFQKLSYMEAFLKKEYNNAVGGAPIRRHLLNKIRDFALKAEDAIEIQLTDILQQNAHQGELHRTLQKVAKEAEELLKLINNEAAASSSGGSLQCSPQFEEEEEDIIMLWGEEYYFSLRNHLLYNILSRERVVLPIVGVPGIGKTTLCKKLYADKAVVSNFDIRAWITIPPTYNGNVQQLLCHLLQSMSPTPLNEEIDMLGITVSQLKEQLHRHLKYKTYLIVLDDVTRTLLWDDIRQCFPVDSYGSRILLTTPFTDVAVSSRNVGISSRPCLT >itb05g01160.t1 pep chromosome:ASM357664v1:5:971079:976156:-1 gene:itb05g01160 transcript:itb05g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESSAALSFKEIRRRDPTFSLPDFVSEVQEVIRPVLEAFYKGDIEVLKKYCASTMVERCKAEHAAFNSQGYVFDNKVLHISEVEVRETKLMGETPIIILAFQTQQVYCLRDKLGSITEGGKDSIHTVYYAWAMELVDADEAGEGAVCPLWRLREMQQFGVAALI >itb05g01160.t4 pep chromosome:ASM357664v1:5:972557:976152:-1 gene:itb05g01160 transcript:itb05g01160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQECVLTILFLGLFKWLVFYKTD >itb05g01160.t6 pep chromosome:ASM357664v1:5:971143:975907:-1 gene:itb05g01160 transcript:itb05g01160.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESSAALSFKEIRRRDPTFSLPDFVSEVQEVIRPVLEAFYKGDIEVLKKYCASTMVERCKAEHAAFNSQGYVFDNKVLHISEVEVRETKLMGETPIIILAFQTQQVYCLRDKLGSITEGGKDSIHTVYYAWAMELVDADEAGEGAVCPLWRLREMQQFGVAALI >itb05g01160.t7 pep chromosome:ASM357664v1:5:971143:975907:-1 gene:itb05g01160 transcript:itb05g01160.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESSAALSFKEIRRRDPTFSLPDFVSEVQEVIRPVLEAFYKGDIEVLKKYCASTMVERCKAEHAAFNSQGYVFDNKVLHISEVEVRETKLMGETPIIILAVSMF >itb05g01160.t8 pep chromosome:ASM357664v1:5:973600:976152:-1 gene:itb05g01160 transcript:itb05g01160.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKLFFSDARQPPF >itb05g01160.t3 pep chromosome:ASM357664v1:5:971079:976156:-1 gene:itb05g01160 transcript:itb05g01160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESSAALSFKEIRRRDPTFSLPDFVSEVQEVIRPVLEAFYKGDIEVLKKYCASTMVERCKAEHAAFNSQGYVFDNKVLHISEVEVRETKLMGETPIIILAVSMF >itb05g01160.t2 pep chromosome:ASM357664v1:5:971079:976156:-1 gene:itb05g01160 transcript:itb05g01160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESSAALSFKEIRRRDPTFSLPDFVSEVQEVIRPVLEAFYKGDIEVLKKYCASTMVERCKAEHAAFNSQGYVFDNKVLHISEVEVRETKLMGETPIIILAVSMF >itb05g01160.t5 pep chromosome:ASM357664v1:5:971143:975907:-1 gene:itb05g01160 transcript:itb05g01160.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDFLLSKRQPVFHQLLVPQQVASSRGATSLRLGQAYGYVCNRQFSVFNEFSQKLKGEVNRNKEFQQSVKELKDKAEELQGVKEDLKARTKQTTEQLYKHVDGVWTEAESTAKKVYANVGEKVSAAKEEVKETFGYGKQDSEGSNVNSDSCSSNTKDTNHSTSGEKQQQHQKPDFHGTAETVFGKVKCAASSISSKVLPSIQKLKEAKPIDLAKKGYTIVVDELKGTASKRKHLEAPLESPKANIERSTRTDIVVLPSKESRWSKKWEAFKEKMQGNPLFKRVRGASEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESSAALSFKEIRRRDPTFSLPDFVSEVQEVIRPVLEAFYKGDIEVLKKYCASTMVERCKAEHAAFNSQGYVFDNKVLHISEVEVRETKLMGETPIIILAVSMF >itb03g07970.t1 pep chromosome:ASM357664v1:3:5964903:5965389:-1 gene:itb03g07970 transcript:itb03g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLSNNHLDDPMAMISEYYSGVYTHNFVPEQVEAKPRRRRRKSKAGEGGDGGVEMMRKRKLSDEQVKLLEQSFWDEHKLETGRKDRLASELGLDPRQVAXEPPCSVEEQEDRGRIL >itb11g05990.t1 pep chromosome:ASM357664v1:11:3579698:3584255:-1 gene:itb11g05990 transcript:itb11g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSALPAFLPRPTLLLLLTILSATTVTALYGPSSPVLQLNPSNFKSKVLDSNGIVLVEFFAPWCGHCQALTPIYEKVASVLKGVATVAALDADAHQSFAQEYGIRGFPTIKVFVPGKPPVNYEGPREARPISDFAYKQIKALLKDRLDGKTGGSSQKSEPSASIELNSKNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWKKAASKLKGKVKLGHVDCDAEQSLMSRFNVQGFPTILVFGADKDKPSSYDGARTASAIESFALDQLETNVSPPEVTELTGPEIMEEKCGSAALCFVAFLPDILDSKAEGRNKYLEMLLSVAEKFKGKPYSFVWAAAGKQPDLEKHVGVGGYGYPALVALSRKAYAPLKSAFQHDQIKEFVREASIGGKGSLALAGTPNIVKTEPWDGSDGEIIEEDEFSLEELMADDSPAKDEL >itb11g11940.t1 pep chromosome:ASM357664v1:11:8842478:8845255:-1 gene:itb11g11940 transcript:itb11g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAANMKRKDGNNSNRSLETLLDLDKSDQLSKNNVANKQQPSPRKLIPGKGLEYESLSYSVTKMQKKDGVKINKEVYLLNDISGQAIRGEVLAILGPSGAGKSTFLDALAGRIARGSLEGSVRIDGKPVTTSYMKMISSYVMQEDQLFPMLTVFETLLFAAELRLPPSVSRPEKKKRVDELLDQLALTSAAHTYIGNEGKRGVSGGERRRVSIGIDIIHKPSVLFLDEPTSGLDSTSAYSVAEKVKDIAKSGSIVVMTIHQPSFRIQMLLDRIIVLARGRLVYSGTPTDLASYLSVFGRPVPDGENSLEYLLDVIKEYDESTVGLDPLVLYQRDGTKPDQVAMTPIPKTPKAAHTPPVKSSPWAKPLGLISNQFSGSNSTDSKQLSYNDEDEDEFDNSLERRTAHMPNSIHGGVYNPRLASDFYKDFSLWLYNGVKGTPHRPPTWTPARTPGMASGKTSTSGARSSLNSSENMASQPTTALPITPLVFSSEPQSYAPSSYEEFETEEVLDEPENRPKFANKWLREVAVLCWRTGLNVIRTPELFLSRQIVLTVMALVLSSLFKKLEDYDLKTVNRLLNFYIFAICLAFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLVVYLPFFAIQGLTFSAITTIFLKLHCSLTNFCLIIFSSLITTNAYVMLISALVPSNITGYAVVIATTALFFLTCGFFLKSSQIPIIWRWLHYISAIKYPFEALLINEFEDAKHCYTGNVSDLSPGPLGEVKHSSDQPICGLAGLAGDDVLVSMNIKGGIWGDIAILLAWGVLYRLLFYVVLRFYCKNERK >itb15g17510.t1 pep chromosome:ASM357664v1:15:18172287:18172742:-1 gene:itb15g17510 transcript:itb15g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEVEVVNREDRINNNEVDQIVDNVDENVVENVVEMEAAQEEGREDVQDSFMPDFHDVFEAQQDYFTWANEENQVDGDVVAEHENQTGGDAHQISPIEVTNLEENMTARQDEQQDDPQDNQQDDQTRENSNGAENAQILKALDDLKEEI >itb13g25570.t1 pep chromosome:ASM357664v1:13:30903196:30904265:1 gene:itb13g25570 transcript:itb13g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRHMVIGHSCLESCPMEGPSVMEPIPHGSEILQTLDLRTSLAITDTHLLRKLPGLKYLRCRVSKLYPFAEIEFLHHLESLTLITRDAGNSHLLNDLKLTKFPSNVKEIRFSGITLSSSAISIIAQLSKLEALTLSQCLFDEGLEWNVDEETQFCKLKYLKLFILNGTRFWIVSNAAESFPCLEQLILVGCDQLEGLSYSFADISTLKLISVVNCPGVHSSVKEIQEELQNMGSEQLTVHINW >itb03g16600.t1 pep chromosome:ASM357664v1:3:15497056:15510459:1 gene:itb03g16600 transcript:itb03g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNYSTSRSPGSSKFQLGGSASLASRLRSSSLKKPPEPLRRAVADCLSASTPANHGTSSSAAESSRTLRDYLASHQTTDLAYGVILDHTLAERERSPAVVAKSVALLKRYLLRYKPSEETLLQIDRFCLSIIAECDMTTNRKLAPLSRPLSQQSGASAASTTVSPLPVSSFASAGLVKSLNYVRSLVAQHIPKRSFQPAAFAGAPLASRQALPSLSHLLRKSFNSQLNPSNVKESPKNKENSTVSASDSPILEEVDTLEDHDFMAVDVFKWRWCRDQQLSSTLPNSDQILNPKDVGGHNFLEVGAAALLVGDMEAKMKGEPWRIFGSAEMPYLDQLLQPSLLTTVTNSASARAHLRAITALKRSKAGPNQIWEDSPVSTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETPAPNALFMTASSKLSNNSGKPSIDVAASVLVKLVIDMYVLDSELAAPLTLSMLEEMINAPRLASKARAFDLILNLGIHAHLLEPPAADEASTIAEEYSEEAYFDCETQFSPERKKSTGNSSAIDKFECWILGILYEVLLHLVQMEECEESVWASALSCLLYFVCDRGRIRRSRLRGLDIRVVKVLIYVSTKNSWAEVVHSKLIGMLTNMFYEIPEESDKALSAIPVFLIQQVDLIGGMEFIFVELMLSNSREERRNLYLVLFDYVVHQINEACIVAGISEYSDDEVQPIATLLMRADIPEALHISIKLGVEGILELLQRSTSTQLSRYPNSDRLITFLEKVVEKLEVLIKSFTHLDKEFAHSRQITKSLKTLKSIDEVLLIKKGIDGVSGNSIHMQAKLSWATLHSLLHSERQACRHNGYLWLGDLLIAEITEARDASIWSSIRKLQQKINSLAKVNDYSPDLDIPLSIWLMFGMLKSKNNLIRLGFLFVLERLLMRCKFLLDESEVQHAISGEAVGNIHDKSRLEKANAVIDIMSSALSLMAQLNETDCLNILKMCEILLSQLCLKVSSNATTPGAKDTGWNKKGDTGELLSQYLEEPFRETSTKHGKSKDPPICETASMAALLLHGQAIAPMQLVARVPTDLFYWPLIQLAGAATDNIALGVSVGSKGRGNVPGATSDIRATLLLLLIGKCTDDPAAFDDVGGEPFFRALLDDIDSRVAYYSSTFLLKRRMSEEPESYQRLLHSLVSKAQQSNNEKLLENPYLQMRGILQLSSD >itb07g24280.t1 pep chromosome:ASM357664v1:7:28521179:28521618:1 gene:itb07g24280 transcript:itb07g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKALFSRAISCINSQTKKLKPLHWLKISRAVQGSLWAETQKSETAKAPEIDISELESLFSTAVPNSDKASSGRKGNSSTSLGEKPEKVKLVIYVPFGCYIYKCTISLLTIRFLDF >itb13g18820.t1 pep chromosome:ASM357664v1:13:25715961:25718983:1 gene:itb13g18820 transcript:itb13g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALATPVLPSLSIISNTLPSSNLKTSLSFPIQTSPKIGGLSIKCVRVGGVEIPNNKRVEYSLQYIHGVGRSRARQILADIGMDNKITKELSQEELITLRDEVSKYMIEGDLRRFNALAIRRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKKVAIAGKKKAPR >itb15g13780.t1 pep chromosome:ASM357664v1:15:11871515:11875839:-1 gene:itb15g13780 transcript:itb15g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVPLLIRLPSFPYRPSPFGRWVWKPHRHFRYTSMATQTHFEPQPTNDLNSLDNYPLPLSPPLPLVSKDVELNRALTASSRSALFSLSHNDVIFEDDWLIAVNKPQGIYCESVLSAVPNLLADTNNEHGTKVPELHLANRLDRDTSGVMVITKSHKVAAKLVKAFTEHKVRKTYLALCVGPAPKWGQIIVRSGHGRSKHGAWRVYAASDVGRTLPGGSVVKDMQTSFQALSINGFGCLEAISESGKEGGGDLLIVEEKSPVDFDMKKDEILIRACPQSGRTHQIRLHCQYLGIPIRGDIKYEGVYEWKGKTYDGHELHAETLSFTHPVSGQSILLQAPLPLWANQALGLQR >itb01g30010.t2 pep chromosome:ASM357664v1:1:34112043:34114648:1 gene:itb01g30010 transcript:itb01g30010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIIAICLRLERLAIEKSQGKAKVVGCVMSLIGAMVFTLYKGPTLYPPKAMQKSHLSANTTYTKQDWIRGSLLILGGNLTWSLWIIMQAPIMKQYPAKLRLVTLQCSICCVVSTIWGAIMERDLSSWKLGWDINLLSVAYCGIVVTGIAYWLQAWVVEKKGPVYASIFNPLALMLTAFFSVLFLNETLHWGSVLGAALLVLGLYGFLWGKQKEQKNMEVVVNQSKEGVELDTISHTSTDIQVIVVNQSIEVVELDTISRTSADAQVVAVDAPKT >itb01g30010.t1 pep chromosome:ASM357664v1:1:34112041:34114648:1 gene:itb01g30010 transcript:itb01g30010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGIVKRHKAFGIMVIIQLTSTGMTLLSKAAMSRGMKPSVFVAYRQAFAALALAPFAFFFERHNSASLSFKLLCKICILSLCGLNLSLNLCYIGFNYVSATFVTAATNTIPAMVFIIAICLRLERLAIEKSQGKAKVVGCVMSLIGAMVFTLYKGPTLYPPKAMQKSHLSANTTYTKQDWIRGSLLILGGNLTWSLWIIMQAPIMKQYPAKLRLVTLQCSICCVVSTIWGAIMERDLSSWKLGWDINLLSVAYCGIVVTGIAYWLQAWVVEKKGPVYASIFNPLALMLTAFFSVLFLNETLHWGSVLGAALLVLGLYGFLWGKQKEQKNMEVVVNQSKEGVELDTISHTSTDIQVIVVNQSIEVVELDTISRTSADAQVVAVDAPKT >itb13g24490.t1 pep chromosome:ASM357664v1:13:30154071:30162839:-1 gene:itb13g24490 transcript:itb13g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNQDYLKTVVPSQLLAERGSNLVVINPGSANIRIGRAQQDSPLNIPHCIARRTSQVPKRNVQDQMLNSQVTTAQHMERERAYDIIASLLKIPFLDEEVPNSSYPRKMGRVDAYPPQNNKKETPFTWTEVFENTPPPPPSTGGDNNITNGETSEPPIAKESNNTMELSSGERKYKEYICGEDALRISPNEPYCLRRPIRRGHLNISQHYSMQQVLEDLQTIWDWILTEKLNIPQHLRHMYAAILVVPETFDNREIKEMISIVLRDLQFSLTVVHQEGLAAIFGNGLPTACIVNMGAQVTSVICVEDGVALPSTLISLRYGGEDISRCLLWTQRHHQTWPPIRTDALTKPVDLLTLNKLKESYCMIREGEVEAVAQVHSYEDGMVPGSHKSRLTALNVPPMGLFYPTLLVPDVYPPPPRSWFNDYDDMLEDTWHMDFPGTGAFPMWESYPIFQSKPKREDNIGLAEAIAKSILSTARIDLQRKLFCSMQLIGGVALTDGLIPAVEERVLHAIPSHEAIDTVEVLQSRTNPSFVSWKGGAVLGILDFTRDGWINREDWIRSGIDTRIRRKYKDSYYLQAHTMAYINS >itb12g15690.t1 pep chromosome:ASM357664v1:12:16131152:16132633:-1 gene:itb12g15690 transcript:itb12g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATLPLHRRRSPASLPRHPPLVVSLPHRRYSLRQHQFMPATRRKPAILPQPPLPPKRKERTMKMWRSQMRKPLNTSPKSKEFWSCSRRIGIWCLVRFEPDMLAFSGCYYGGGDQERYELGEIWKRWDTLPCPLTLNEVGLMLRALGFKNDTYLCVASGEIYGGEKTLQPLRELFPNFYSKEMLAGEEELKPFLPYSSRMVAIDYIVCEESDVFVTNNNGNMAKILAGRR >itb10g09480.t1 pep chromosome:ASM357664v1:10:12584526:12585553:-1 gene:itb10g09480 transcript:itb10g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFVGNSGLCGDIEGFCDERDERKNTGYAWLLRSILVLARLVLIVGVMWFYWKYMNFKKAKPVIDRSKWSLMLFHKLGFDEYYILDGHDEDNVIGCGLSRKVYKVVLRGGCCEEDQEEFEAS >itb12g06340.t1 pep chromosome:ASM357664v1:12:4720438:4723897:1 gene:itb12g06340 transcript:itb12g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYERELGMLPEDCISCILCRTSPLDAFHLCLVSSTFRSAAGADSVWEGFLPSDYAQIVAKSTVPLKFSSKKDLFLQLCNSILIDDGNKSFALEKSTGLKSYMLSARELSIRYGYAPDHWAWKYTPESRFAEVAELKTICRLEIQGKIRTETLSPNTKYGAYMVMKMTDGAFGLDAIPCEMSVAVGNDTEATGGTAYLRKPAEVVAKQWLEYALYRNRKEKLKSRVNGGGEERQLRERGDGWMEIELGGFFTGEENEGEVTMRLTELQCTLIIFAMSMSFVVKLAFLGYIYHQISPKPSALVEMDITCTKNAQFYHKD >itb12g24430.t1 pep chromosome:ASM357664v1:12:25956487:25956846:1 gene:itb12g24430 transcript:itb12g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNTITRLILHGINLAKELEADLPNLASQPPEAVSASCEEIIRVFTSVRERLAPPQPPPSSLQPYSLAMIQEAPPQQPRQPAGAGQALDLFHQVSGGEQAAAGGSGADVAEPSRRRR >itb05g01110.t1 pep chromosome:ASM357664v1:5:946386:947537:1 gene:itb05g01110 transcript:itb05g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLGFVTLLLLCGIVMVVKHANAQQMKPCTLECDGRAEYMICPYEQGSKVKQFQVAGSLCMNCCGAENLGCILFDQSGTPYCNEDRSFRSETKEMKPCTKECDGRAEYMICPRLQGSKMMKVGGSLCTNCCAAENMGCILLDENQTPYCHKEERSFIRKMAA >itb14g10880.t1 pep chromosome:ASM357664v1:14:12372219:12374039:-1 gene:itb14g10880 transcript:itb14g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRGIDEARNETDILDRIFAGLVAIAGNSSCYINASRLTDSNSLLSWSWQTCSDLVFTISTGNDTMFPPSSFDIESYIEQCKSAYGVPPRPHWVTTYFGGYDIKLVLKRFGSNIVFTNGLRDPWSAGGILENISDSIIAVVTKNGSHALDLFTAKKTDPEWLMKQRNTSLDIIEGWIYKYYDDLHALNLINN >itb05g16930.t2 pep chromosome:ASM357664v1:5:24023190:24027238:1 gene:itb05g16930 transcript:itb05g16930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGIPVELGQLNQLQVLDVSRNSLSGSIPSELGNCSKLSILVLSNLWDPLPSPSDSAVDGSTAQLASTNDEYNFYEGTIPAEITGLSSLQMIWAPRATIEVKFPSSWSACTSLEMVNLGLNYYMGEITGVFSNCKSLRFLDLSLNKLTGELVEDLQVPCMNVFDVSENSLSGPLPRFKNSTCARLGHVNRDPLELYDMSSAYLSFFTLRAMLETTLSLFGDGFGPAVVHNFGGNNFTGQLPSMPIAPETLGEQSAYAFLAGSNNFTGPFPENIIEQCHHMRSLIVNVSNNGLSGLVPTDIGSNCGSLKLFDVSKNQFSGTIPPSVGHLVSLVSLNLSWNILQGQIPSTFGLMKDLKYLSLTGNKFSGSIPTSLQQLKSLEVLDLSSNLLSGQIPKDFVNLRNLTDLLLNNNNLSGEIPSGFVNVTTLRSFNVSFNNLSGPLPPNGNLMKCNSVLGNPNLQSCHIFSPFPSTDQLGILGDSPSASTPPSTSSSQRKGNGGFNSIEIASITSAAVIVSVLIALIVLFFYTRKWSPRSRVAGSIRKEVTVFTDIGAPLTFEDVVQATGNFNASNCIGNGGFGATYKAQVAPGVLVAVKRLAVGRFQGFQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRVLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDEDYNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVGWACMLLRQGRAKEFFTAGLWDLGPRDDLVEVLHLAVVCTVESLSTRPTMKQVVRRLKQLQPPSC >itb05g16930.t1 pep chromosome:ASM357664v1:5:24023172:24027238:1 gene:itb05g16930 transcript:itb05g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSVIKWYHLHKSLKLLLLIWAFSSVALGLDSDQAALLEFKASVSDPAGVLSSWSSSSSDHCSWPGVSCASNSRVVALNISGGGNSGSLSCAKVAQFPLYGFGIRRSCSNNNVKLVGKISSALAKLTELSVLSLPFNELSGEIPEEIWGMENLEVLDLEGNLVTGSLPSELKGLNKLRVLNLGFNKVVGGIPSSLKNCVGLQVLNLAGNQMNGTIPGFIGEFRDLRGLYLSFNQLNGPIPSEIGQYCGNLEYLELAGNFLTEGIPRSLGSCRQLKSILLYSNMLEEGIPVELGQLNQLQVLDVSRNSLSGSIPSELGNCSKLSILVLSNLWDPLPSPSDSAVDGSTAQLASTNDEYNFYEGTIPAEITGLSSLQMIWAPRATIEVKFPSSWSACTSLEMVNLGLNYYMGEITGVFSNCKSLRFLDLSLNKLTGELVEDLQVPCMNVFDVSENSLSGPLPRFKNSTCARLGHVNRDPLELYDMSSAYLSFFTLRAMLETTLSLFGDGFGPAVVHNFGGNNFTGQLPSMPIAPETLGEQSAYAFLAGSNNFTGPFPENIIEQCHHMRSLIVNVSNNGLSGLVPTDIGSNCGSLKLFDVSKNQFSGTIPPSVGHLVSLVSLNLSWNILQGQIPSTFGLMKDLKYLSLTGNKFSGSIPTSLQQLKSLEVLDLSSNLLSGQIPKDFVNLRNLTDLLLNNNNLSGEIPSGFVNVTTLRSFNVSFNNLSGPLPPNGNLMKCNSVLGNPNLQSCHIFSPFPSTDQLGILGDSPSASTPPSTSSSQRKGNGGFNSIEIASITSAAVIVSVLIALIVLFFYTRKWSPRSRVAGSIRKEVTVFTDIGAPLTFEDVVQATGNFNASNCIGNGGFGATYKAQVAPGVLVAVKRLAVGRFQGFQQFDAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRVLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDEDYNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVGWACMLLRQGRAKEFFTAGLWDLGPRDDLVEVLHLAVVCTVESLSTRPTMKQVVRRLKQLQPPSC >itb09g10050.t1 pep chromosome:ASM357664v1:9:6227594:6229304:-1 gene:itb09g10050 transcript:itb09g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATSATSSFIGTRLPEIHSGPARFQARFGFKKAPPKKAPSKSTFSTDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNVAGDIIGTRTETADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELIEGSSYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLAADPEKKATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGFFS >itb04g28340.t3 pep chromosome:ASM357664v1:4:32095117:32100121:-1 gene:itb04g28340 transcript:itb04g28340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAKENSVTIMDHREGKRTETFEEDPMVIPRRIMDKWKPQRLDELPEAFTGGWVGYFSYDTVRFVEKKKLPFSNGPLDDRFLPDLHIGLYDDVVVLDHVEKKACAIHWVQLDRFSSVEEAFNDGMDRLEALISKVHNIVTPRLAAGLIKLHTSHFGSAKISTMTSEDYQNAVLKAKEHILDGNIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKKGKITNRPLAGTVRRGLTSREDYMLENQLLHNKKQCAEHIMLVDMARNDVGKVSKIGSVEVEKLMNIERFSHVMHISSTVTGEQLDHLSSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYGGGFGGISFSGDMDIALALRTIVFPTAMRHDTMYSYKDENKRREWIAHIQAGAGIVADSNPLDEQRECENKAAALVRAIDLAESSFIE >itb04g28340.t1 pep chromosome:ASM357664v1:4:32094959:32100168:-1 gene:itb04g28340 transcript:itb04g28340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRLLSHLPLSSGIQHCCTTKASVNLFPSSSDSHKWTVKCSAVSPPPLDQAVSFKEAARHGNLVPLYRSIFSDQLTPVLAYRCLVKEDDREAPSFLFESVNVGLKVESNGRYSVIGAQPAMEIVAKENSVTIMDHREGKRTETFEEDPMVIPRRIMDKWKPQRLDELPEAFTGGWVGYFSYDTVRFVEKKKLPFSNGPLDDRFLPDLHIGLYDDVVVLDHVEKKACAIHWVQLDRFSSVEEAFNDGMDRLEALISKVHNIVTPRLAAGLIKLHTSHFGSAKISTMTSEDYQNAVLKAKEHILDGNIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKKGKITNRPLAGTVRRGLTSREDYMLENQLLHNKKQCAEHIMLVDMARNDVGKVSKIGSVEVEKLMNIERFSHVMHISSTVTGEQLDHLSSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYGGGFGGISFSGDMDIALALRTIVFPTAMRHDTMYSYKDENKRREWIAHIQAGAGIVADSNPLDEQRECENKAAALVRAIDLAESSFIE >itb04g28340.t2 pep chromosome:ASM357664v1:4:32095117:32100168:-1 gene:itb04g28340 transcript:itb04g28340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRLLSHLPLSSGIQHCCTTKASVNLFPSSSDSHKWTVKCSAVSPPPLDQAVSFKEAARHGNLVPLYRSIFSDQLTPVLAYRCLVKEDDREAPSFLFESVNVGLKVESNGRYSVIGAQPAMEIVAKENSVTIMDHREGKRTETFEEDPMVIPRRIMDKWKPQRLDELPEAFTGGWVGYFSYDTVRFVEKKKLPFSNGPLDDRFLPDLHIGLYDDVVVLDHVEKKACAIHWVQLDRFSSVEEAFNDGMDRLEALISKVHNIVTPRLAAGLIKLHTSHFGSAKISTMTSEDYQNAVLKAKEHILDGNIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKKGKITNRPLAGTVRRGLTSREDYMLENQLLHNKKQCAEHIMLVDMARNDVGKVSKIGSVEVEKLMNIERFSHVMHISSTVTGEQLDHLSSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYGGGFGGISFSGDMDIALALRTIVFPTAMRHDTMYSYKDENKRREWIAHIQAGAGIVADSNPLDEQRECENKAAALVRAIDLAESSFIE >itb09g10670.t1 pep chromosome:ASM357664v1:9:6592847:6594337:1 gene:itb09g10670 transcript:itb09g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVQYAAGPSRNAFRFSYFQFIFSLLVGLSSAQLSPDFYSETCPDALSIIKTTVSAAVDSNPQMGAVMLRLHFHDCFGCDASILLDDTANFTGEKTAGPNGNSVMGYDVIDSIKAGLENSCPGVVSCADIISIAARDSVVLLGGPSWSVLLGRRDSTTASLTAANTNIPGTNSNLNQLISSFSNKGLSTRDLVALSGSHTIGRARCKLFRDRIYQGANINASYASFLQAKCPQSGGDNTFIPLDTTTPISFDNAYFKNLKGQKGLLHSDQQLFIGGVTDSIVDTYSSDYSIFSRDFANAMVKLSNLSPLTGRRGQIRKNCRKIN >itb11g11020.t1 pep chromosome:ASM357664v1:11:7835313:7840260:1 gene:itb11g11020 transcript:itb11g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFLSPSTSPHAFFASSSSSSTYSTANNIKLFAKSRRALTFVAKASGNDDDEPAFNPFGFVTDNPSSRNAIQLPESPAEDGNVGQMLYRIEDKGKEYGSYVKSGGFRWFVRETGSPGSRRGTIVFLHGAPTQSYSYRVVMSQLADYGFHCFAPDWIGFGFSDKPQSGYGFDYTEKEFHEQFDKLLDVLGIASPFFLVVQGFLVGSYGLTWALKNPSKISKLVILNSPLTPSSPVPGLFQQLRIPFLGEFTCQNAVMAERFIEAGSAYVLKLEKADVYRLPYLSSSGPGFALLDSAKRANFKDIQSQISSGFASGSWDKPILVAWGISDKYLPQSVAEEFQKGNPDSVELKLIEGAGHMPQEDWPEKVVDALRVFL >itb11g11020.t2 pep chromosome:ASM357664v1:11:7835313:7839210:1 gene:itb11g11020 transcript:itb11g11020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFLSPSTSPHAFFASSSSSSTYSTANNIKLFAKSRRALTFVAKASGNDDDEPAFNPFGFVTDNPSSRNAIQLPESPAEDGNVGQMLYRIEDKGKEYGSYVKSGGFRWFVRETGSPGSRRGTIVFLHGAPTQSYSYRVVMSQLADYGFHCFAPDWIGFGFSDKPQSGYGFDYTEKEFHEQFDKLLDVLGIASPFFLVVQGFLVGSYGLTWALKNPSKISKLVILNSPLTPSSPVPGLFQQLRIPFLGEFTCQNAVMAERFIEAGSAYVLKLEKADVYRLPYLSSSGPGFGTSHSYYHFSISLTCYNYAFA >itb11g11020.t3 pep chromosome:ASM357664v1:11:7835313:7837457:1 gene:itb11g11020 transcript:itb11g11020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFLSPSTSPHAFFASSSSSSTYSTANNIKLFAKSRRALTFVAKASGNDDDEPAFNPFGFVTDNPSSRNAIQLPESPAEDGNVGQMLYRIEDKGKEYGSYVKSGGFRWFVRETGSPGSRRGTIVFLHGAPTQSYSYRVVMSQLADYGFHCFAPDWIGFGFSDKPQSGYGFDYTEKEFHEQFDKLLDVLGIASPFFLVVQGFLVGSYGLTWALKNPSKISKLVILNSPLTPSSPVPGLFQQLRFHF >itb12g19000.t1 pep chromosome:ASM357664v1:12:21295758:21296492:1 gene:itb12g19000 transcript:itb12g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIESAAALRILVEIVDREAGGSDSDGEAQLVAAVVSDLDDVYLEEQYLHLHATELGELVLGDLPGDGALVLKIVSVYFEAAGFFQDVVAGGLRADEEESEGDEEYGEQLNGRRHFLRSTSEESNVMADIGNASGSNI >itb03g08310.t1 pep chromosome:ASM357664v1:3:6213913:6216633:1 gene:itb03g08310 transcript:itb03g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLSIFVHSFLLFMVGLSVGIISTSYRLNNFSSFPFTVPASIFSVSTPSSLSSPQSPPPLLPLNASSTKLDEKKFLESHNMTDDELLRLAASSAAKTSKDVAKVAFMFLTPGPLPLAPLWEKFFEGHQHLFSIYIHAHPSYNQSFPDTSVFYGRTIPSQAVFWGTISMIDAERRLLANALLDLSNQRFVLLSDSCIPLFNFTTTYHYLMASTLSFLQSYDDPRKPGRGRYSPRMAPTITLHQWRKGSQWFQIRRDLAAKIVSDHKYYPVFRDHCLPPCYSDEHYLPTLVNILWPDASSNRSVTWVDWSRGGSHPRKFGWIDVKLGVLDKIRFGGKECVYNGNTTRICFLFARKFLPNTSGPLLRLAPSLLA >itb09g12560.t1 pep chromosome:ASM357664v1:9:7938623:7940859:-1 gene:itb09g12560 transcript:itb09g12560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAVEPQFHVLAVDDSLIDRKLIERLLKTSSCQVTTVDSGSKALQFLGLNEDDQKNPIQSSVSPNNHQEVQVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRISRCLEEGAEDFFLKPVRLSDVNKLRPHMVKNKKAGEQEIQESSSSEESSAESGMTDVQSQAESNDNRCNKRKALDEGFALKRTRPRCNSLSDFSDL >itb15g15290.t1 pep chromosome:ASM357664v1:15:13937546:13937776:1 gene:itb15g15290 transcript:itb15g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSFSLFGMFKSKASKKVWNGEDYPRDDMVVNAYKTWRSDEDRGTMWVAEPGIDSKATLFINGKTKFWSNSDS >itb07g20110.t1 pep chromosome:ASM357664v1:7:24571091:24574249:-1 gene:itb07g20110 transcript:itb07g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYCVVDAFTDSAFKGNPAAVCLLEEDRSDEWLQAVAREFNISETCYLTPIAHFSDSGNSTATPHPKFRLRWFTPVTEMDLCGHATLAAAYFLFLYGFVKTDIIEFLTLSGILTARRVPDKKEGFFVELDFPIVHVLETNYAEIPPILKNFNKASVVEINKTDKDDLFVVLPSGEAVAGLQPQFNEIQKCPFRGVIVTGPASPGSGFDFYSRFFAPKLGVLEDPVCGSAHCALASYWHKMLGKRDFIAYAASPRSGVVNVRIDEENQRVFLQGKAIAVMEGSLLV >itb07g20110.t2 pep chromosome:ASM357664v1:7:24571115:24574249:-1 gene:itb07g20110 transcript:itb07g20110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPVKYCVVDAFTDSAFKGNPAAVCLLEEDRSDEWLQAVAREFNISETCYLTPIAHFSDSGNSTATPHPKFRLRWFTPVTEMDLCGHATLAAAYFLFLYGFVKTDIIEFLTLSGILTARRVPDKKEGFFVELDFPIVHVLETNYAEIPPILKNFNKASVVEINKTDKDDLFVVLPSGEAVAGLQPQFNEIQKCPFRGVIVTGPASPGSGFDFYSRFFAPKLGVLEDPVCGSAHCALASYWHKMLGKRDFIAYAVQALSQF >itb14g01830.t2 pep chromosome:ASM357664v1:14:1483628:1489849:1 gene:itb14g01830 transcript:itb14g01830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSNSSSSSSSSSPPPPPPAAASSDNDRNHDPNPNPNPSPNSIDQSLDAIENQLASISMSYPETESEAELDFDPPGASQLNELRNGSFHEEIEEAGGEEEEEEPNFGNFLDEASSSRAGSSTLIWRSNSEVDETDALPSPSSSAYAGERGSSVSSSRGSGIGDEICEVGNDDSGDGVSESNLQWAPGKRHGDEDDASLSWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLIRAGKHQVAFLVKGPIYLVCISCTEEPYESLRGQLELLYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFNWNPATFLHAYTCLPLAYATRQAAGAILQDIADSGVLFAILMCKHKVISLVGAQKASLHADDMLLLSNFVMSSESFRTSECFSPICLPRYNPMAFLYSYVHYLDVQTYIMLLTTNSDAFFHLKDCRIRIEQVLLKSNVLNEVQRSMVDGGMRVEDLPVDPGVRSGSVSPHLGQPRPTTGHTENIRDAFFGTGGPAGLWHFMYRSIFLDQYVSSEFSSPINTAKQQKR >itb14g01830.t1 pep chromosome:ASM357664v1:14:1483628:1489849:1 gene:itb14g01830 transcript:itb14g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSNSSSSSSSSSPPPPPPAAASSDNDRNHDPNPNPNPSPNSIDQSLDAIENQLASISMSYPETESEAELDFDPPGASQLNELRNGSFHEEIEEAGGEEEEEEPNFGNFLDEASSSRAGSSTLIWRSNSEVDETDALPSPSSSAYAGERGSSVSSSRGSGIGDEICEVGNDDSGDGVSESNLQWAPGKRHGDEDDASLSWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLIRAGKHQVAFLVKGPIYLVCISCTEEPYESLRGQLELLYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFNWNPATFLHAYTCLPLAYATRQAAGAILQDIADSGVLFAILMCKHKVISLVGAQKASLHADDMLLLSNFVMSSESFRTSECFSPICLPRYNPMAFLYSYVHYLDVQTYIMLLTTNSDAFFHLKDCRIRIEQVLLKSNVLNEVQRSMVDGGMRVEDLPVDPGVRSGSVSPHLGQPRPTTGHTENIRDAFFGTGGPAGLWHFMYRSIFLDQYVSSEFSSPINTAKQQKRLYRAYQKLYTSMHDKEIGPHRTQFRRDQNYVLLCWVTPDFELYAAFDPLADKALAIKICNRICQWVKDVENEIFLLGASPFSW >itb06g19850.t1 pep chromosome:ASM357664v1:6:23047274:23047636:-1 gene:itb06g19850 transcript:itb06g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYNAPVVQGRDSVDEQMNVTCEVHQLLGNNRVRAVAMSDTDGLMRGMEVIYTGAPISVPVGGSTLGRIFNVLGEPVDNLGPVDTHTTSPIHRSAPAFIQLDTKFSIFETGIIPRGQN >itb11g12340.t1 pep chromosome:ASM357664v1:11:9189756:9190433:1 gene:itb11g12340 transcript:itb11g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPSLTKPTASPSLNSLSSPAKPLPVESIVFPFPFPLKLVVSSAFPFSIRREQAKGSNGGEVQRRLQQQAPAGMVNSGEQSRWCWLLQLRLASRLLVIVVPRPTACDEQSSGWPLLSLTAARNGIRRPSLYSGGLRRS >itb15g09650.t1 pep chromosome:ASM357664v1:15:6910437:6915748:1 gene:itb15g09650 transcript:itb15g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATWNIAVALVGVVALYLAAAVKAEDPYRYFTWNVTYGTIYPLGVPQQGILINGQFPGPEIQCVTNDNLFINVFNSLDQPFLLSWNGIQNRRNSYEDGVYGTTCPILPGQNFTYMLQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAADWTFLIGDWYKANHTTLKTVLDNGHRLPPPDGILINGRGPNGNWFTVEQGKTYRIRISNVGLENSLNFRIQGHTMKVVEVEGTHTMQTTFSSIDVHVGQSYSLLFTADQPPMDYYIAVSSRFTVDILTTTAVLHYSNSNRPVSGPPPGGPTIQIDWSINQARAIRSNLSASGPRPNPQGSYHYGMIPTSRTIKLANSPGQVNGKQRYAVNSVSFIPADTPLKLADYFKIGGVFRAGSISDYPYGGGIYQDTSVMNVDYRAFVEIIFQNDENIVQSWHINGYQFWVVGMDGGEWTPGSRNEYNLRDAVARSTVQVYPNAWTAIYMALDNVGMWNIRSEYWARQYLGQQLYMRVYTDSTSLRDEYPIPRNAHLCGRAVGHHTRPARITSSNSGN >itb11g01680.t1 pep chromosome:ASM357664v1:11:846593:850584:-1 gene:itb11g01680 transcript:itb11g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLPGSLVKPHRIKRRPPPSLIRCNVAEPLKFKDNGRPSLPAQIGSDATFPSFLTSNQFRKLSIPQNQNDTRLRIFSGTANPALSQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVHGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHAHNVAEVMNLIGDVRGKVAVMVDDMIDTAGTISKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIVTNTIPVAEQNYFPELTVLSVANLLGETIWRVHDDCAGGFEPYSSLGID >itb11g01680.t3 pep chromosome:ASM357664v1:11:849084:850551:-1 gene:itb11g01680 transcript:itb11g01680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLPGSLVKPHRIKRRPPPSLIRCNVAEPLKFKDNGRPSLPAQIGSDATFPSFLTSNQFRKLSIPQNQNDTRLRIFSGTANPALSQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVHGQVYVMEYLILVFINSG >itb11g01680.t2 pep chromosome:ASM357664v1:11:847397:850584:-1 gene:itb11g01680 transcript:itb11g01680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLPGSLVKPHRIKRRPPPSLIRCNVAEPLKFKDNGRPSLPAQIGSDATFPSFLTSNQFRKLSIPQNQNDTRLRIFSGTANPALSQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVHGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHAHNVAEVMNLIGDVRGKVAVMVDDMIDTAGTISKGAALLHQEGAREVYACSTHAVFRYLCGSVCWC >itb06g22030.t1 pep chromosome:ASM357664v1:6:24477813:24479654:1 gene:itb06g22030 transcript:itb06g22030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTFTASSLLCTESESSLNDELEEGDDCTLITAEDEDDDGYIQILLDREIAMNGGLQKFDLSQVINENWAQKARSDAIDYILETGGLFGFRMQTSFLSISYLDRFLSRKSIDGEKYWAIKLLAIACLSLAAKMEEFRVPALSQYPMEDFTFKSSLIQRMELLVLNTLDWKLGLITPLAFTHYFLSKFSTVSERKSAAVSRTMEIILSVIRDANLMSHRPSVVAAAATLLALDGGLIKESMELKINALPSGGCLKLDDVLSCYNQMREVEKNEFPKPCTVASSLGSKRKRTDDDVLGL >itb01g23610.t1 pep chromosome:ASM357664v1:1:29546340:29546735:1 gene:itb01g23610 transcript:itb01g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKWELLNDIKNKLQQLSLSISKSDNRRLIYVVEGFVKGMEDIIAEIATIKSEIIELRADMVVMEQRERRFRELMREALQTLVAVVGDFTTFIIIILGIYGCAIAHMSIAQLICLCDCVWVYTTMDKLGF >itb05g14360.t1 pep chromosome:ASM357664v1:5:21452624:21457622:-1 gene:itb05g14360 transcript:itb05g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGSHSSPVSHRLCASRSPPSVTLRSGGHSLAPQTVRHSDLTSSLHRLSGSTDLTSASDPSAALPHCKEPEGEQKQIQLKEAIAIFTSTTQRPKKKWKQGRWWWKGWEESQLSLTASPSILSSSSYPRRWVHPKLTLFGFTLSPMAEALSLYGDFIACHVTVGDGCTAVLTTQASTKVYKSVELKCSEQLLEARIGSGSLLAVIPDPVTCYSTAKYSQRQVFRIAPDSNLLLVDWITSGRHERGEKWEFDLYKSTNHIFLDGDQPLFLDTLMLEQQGITSIAERMRDYQVVATLILLGPKLKNVQNQIQQDVKRIMSQNLHIPSSFGSGHYANKPNNHSLAKPSFVASCSPFGPKGVGVVTRVAAVTTESVYNFLRTQLSSLKPLLGVSPYC >itb09g13840.t1 pep chromosome:ASM357664v1:9:9071497:9074447:-1 gene:itb09g13840 transcript:itb09g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKFIVVLVLSLCAIFPLSLATSNDFQYCSKKKNYDVKVTRVDITPYPIQRSVDTTFTISASTDKSISSGKLTIEVKYFFFSVYSEDHDLCSEASCPISAGDFVIAHTQALPGITPPGSYTLTMKVKDDKNNELSCINFDFSIGFLAEEPKAVADS >itb13g00220.t1 pep chromosome:ASM357664v1:13:172203:175472:-1 gene:itb13g00220 transcript:itb13g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAKFVRQHHISFFGLLETKLPPYKVDLLIQRHFSNWHCFVDFNIIQRGRIILAWNPSKVDCSILDVSPQVIHCSLRCKITNKNFLCSAVYGLYSVVERRLLWEKLISLGPLQSLPWLIGGDFNVVKDPEEKQGGQIPTSYMTRDFVECCAHLNLTGAPSSGNLFTWTNGRVKAKLDRVLINIPWLISGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGCIVRGSKQYILAIRLKAFKRHLRELNKLEFGHISEKAKRANEEFQAFIQDFKVESARDEDRERLKHLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHQDGTVNFVLDHNGEPTTSIDQELEETSGLTVSIEKSNIFIAGIRDDRLDFSGMPKGQLPVRDSALFKKIFEARDKISLAKGGLQNAKEFLHNSVNNNKFQVSQVYDLLREKSQPTFAWRFVWRSYIPRKFSFITWLAIHQRLPTKDRLPFLDINTDCSMCVGAKETAQHLFFKCPFSLQVWNEVRMHFGFHKCTNAIRSSIKWINRLHGGARPRSKAITIALICTIYHLWRNRNRVHHDEDWLPINGLVKNIAKDVYRVIFYLYPTT >itb10g08120.t1 pep chromosome:ASM357664v1:10:10266158:10268000:-1 gene:itb10g08120 transcript:itb10g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKLYTFEGELGAGRFRYSYFFLEKKLSREEEEEDFEVLERSIQARYARSSYVEYEEASDDVDQQALLPCVRDPKLWMVKCAIGCEREVAVCLMQKCIDRGSQLQIRSVIALDHLKNYIYIEADKEAHVREACKNMRMIYPAAKIMLVPIKEMSGVLSVESKAVDLARDTWVRLKTGSYKGDLAKVADVDNVKQRVTVKLIPRIDLQALANKLEGREVPNRKAIIPPTRLMNIDEAR >itb13g03310.t1 pep chromosome:ASM357664v1:13:3224745:3226839:-1 gene:itb13g03310 transcript:itb13g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTFERKNIVSIVGLPGVGKTTLAKRVYGFQKAAKCYVRAWVTVPQEYKGNTLSQLTEKLHKHFGSSHQKMFFLVLDNIPNTRALDDILKCLPNDSLQIRILLTTQFNTVGSDAATFGNVHKMCILDPKRSWDLFCKKLSPKELRAPKFVEIAQHVVKECEGLPRSIVAVAERLSKCGYILKEWKKIEKEVETLGPLYNDAEHLRKLTLSYNQLPQHLKVCFLYFAIYPKGFKINVKRLIRIWIAEGFVRQMECKGFGRELVKELEEEGYCYLDGLVNSGLVSISKWEHKMTNVCWIHSSLWDFCTRETKKEGLLCAMYTQKDFGLPLDMFVNSCRWLSLYSHRLDYYTLFTTNNPRSLFFFQGDYVKSVSFKLLRIVDLSALQIFKIVPLYLRDLVFLRYLSIPPWFEGLDDVVSNNQNLQTVIVCGGEPQLRTGHFHLSFRIWELPHLRHLELGNYYEERCIGSSQSPGSCRNPTIYLDYIRCLSKLETLTVIVPANSMTRLEQPLFSTGLKKLRLSGTNLPIMKLPWVLRALKVLKLENAFSGQVWGSFEQFSNLKVLLIEATNLQKWEVRCSADFPKLKCLILRRCYCLEEIPFEVSFIDTLETIKVEQCPPCVVTSAMKIQEKRKWFQKEANNPWEAYNIRRARLIRIDAALTPLTVVVYDVIHDFS >itb05g00060.t3 pep chromosome:ASM357664v1:5:49210:52644:1 gene:itb05g00060 transcript:itb05g00060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRRSSGEDYSEEEEEYRIEDLGEGSNKSSWKKFSFRNNINCIPSSRQRQTPHSCHGFLIHPDNRWYLLWTQFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDVVVRFFVAYRDAHSYRIVFNPNQIAIRYLKSRFLVDVLGCFPWDAIYKACGRKELVRYLLWIRLSRALRVTEFFEKLEKDIRINYLFTRIVKLFVVELYCTHTAACIFYYLATTLPPSQEGYTWIGSLRLGDYSYAHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNIREMIFVMAYVSLDMILGAYLLGNMAALIVRGSKTERFRDKMADLIKYMNRNKLGKNISKEIKNHVRLQYESSYPEAEILQHIPVSIRAKISRKLYEPYIREVPLFKDCSYGFIKQIAIRVHEEFFLPGEVIMEQGNMADQLYFVCHGKLGEVRNAAEEEEEENGTDGSSSLTSLDTYSTIGEVSVLCNIPVPYTIQVGELSRLLRIDKQTFLEIVGMYFSDGRNIINNLLEGKDPNLGKKILESDITLNISKHESELAMRLNCAAHEGDLYRLRCLIGAGADPAGVDYNGKSPLHLAASKGHEDIAQFLVQKGVNINLRDNFGNNPLFEAIKGGHDHVTCLLVKAGASLDIEDAGSCLCNVVAKKDIQFLRRLLDNGVNPNSKNYDLRTPLHLAASEGFYQMSSLLLEAGATVFAMDRYNFIYFNFYLTQWSSSSGK >itb05g00060.t1 pep chromosome:ASM357664v1:5:49210:52991:1 gene:itb05g00060 transcript:itb05g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRRSSGEDYSEEEEEYRIEDLGEGSNKSSWKKFSFRNNINCIPSSRQRQTPHSCHGFLIHPDNRWYLLWTQFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDVVVRFFVAYRDAHSYRIVFNPNQIAIRYLKSRFLVDVLGCFPWDAIYKACGRKELVRYLLWIRLSRALRVTEFFEKLEKDIRINYLFTRIVKLFVVELYCTHTAACIFYYLATTLPPSQEGYTWIGSLRLGDYSYAHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNIREMIFVMAYVSLDMILGAYLLGNMAALIVRGSKTERFRDKMADLIKYMNRNKLGKNISKEIKNHVRLQYESSYPEAEILQHIPVSIRAKISRKLYEPYIREVPLFKDCSYGFIKQIAIRVHEEFFLPGEVIMEQGNMADQLYFVCHGKLGEVRNAAEEEEEENGTDGSSSLTSLDTYSTIGEVSVLCNIPVPYTIQVGELSRLLRIDKQTFLEIVGMYFSDGRNIINNLLEGKDPNLGKKILESDITLNISKHESELAMRLNCAAHEGDLYRLRCLIGAGADPAGVDYNGKSPLHLAASKGHEDIAQFLVQKGVNINLRDNFGNNPLFEAIKGGHDHVTCLLVKAGASLDIEDAGSCLCNVVAKKDIQFLRRLLDNGVNPNSKNYDLRTPLHLAASEGFYQMSSLLLEAGATVFAMDRRGITPLDEARVNGNKKLIKLLEDVKNTQISMHQYKSPIEKIHDAISDNY >itb05g00060.t2 pep chromosome:ASM357664v1:5:49220:52991:1 gene:itb05g00060 transcript:itb05g00060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRRRSSGEDYSEEEEEYRIEDLGEGSNKSSWKKFSFRNNINCIPSSRQRQTPHSCHGFLIHPDNRWYLLWTQFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDVVVRFFVAYRDAHSYRIVFNPNQIAIRYLKSRFLVDVLGCFPWDAIYKACGRKELVRYLLWIRLSRALRVTEFFEKLEKDIRINYLFTRIVKLFVVELYCTHTAACIFYYLATTLPPSQEGYTWIGSLRLGDYSYAHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNIREMIFVMAYVSLDMILGAYLLGNMAALIVRGSKTERFRDKMADLIKYMNRNKLGKNISKEIKNHVRLQYESSYPEAEILQHIPVSIRAKAIRVHEEFFLPGEVIMEQGNMADQLYFVCHGKLGEVRNAAEEEEEENGTDGSSSLTSLDTYSTIGEVSVLCNIPVPYTIQVGELSRLLRIDKQTFLEIVGMYFSDGRNIINNLLEGKDPNLGKKILESDITLNISKHESELAMRLNCAAHEGDLYRLRCLIGAGADPAGVDYNGKSPLHLAASKGHEDIAQFLVQKGVNINLRDNFGNNPLFEAIKGGHDHVTCLLVKAGASLDIEDAGSCLCNVVAKKDIQFLRRLLDNGVNPNSKNYDLRTPLHLAASEGFYQMSSLLLEAGATVFAMDRRGITPLDEARVNGNKKLIKLLEDVKNTQISMHQYKSPIEKIHDAISDNY >itb04g00960.t2 pep chromosome:ASM357664v1:4:519229:520975:1 gene:itb04g00960 transcript:itb04g00960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFMIVAGPYLGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEQKVKEYCEKYAKAEDVGAVPEEMSSDEELSENEYDSSDEAVAGPVDP >itb04g00960.t1 pep chromosome:ASM357664v1:4:518825:520975:1 gene:itb04g00960 transcript:itb04g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPSESPYLGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEQKVKEYCEKYAKAEDVGAVPEEMSSDEELSENEYDSSDEAVAGPVDP >itb06g14300.t1 pep chromosome:ASM357664v1:6:18876441:18878125:1 gene:itb06g14300 transcript:itb06g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVEVDLSGRFGRYNELLGCGAVKKVYRAFDQEEGIEVAWNQVKLCNFIDNEPALDRLFSEVRLLKALKNKNIIALYSVWTDEQRAMLNFITEVCTSGNLRDYRKKHKQVSIKALKKWSKQILRGLDYLHTHEPCVIHRDLNCSNIFINGNIGEVKIGDLGFATIVGKSRNAHSVLGTPEFMAPELYDEDYNELVDIYSFGMCVLEMVTKELPYSECENVVKIYKKVTSGVRPQAMEKIKDPEVKAFIERCLGQPRSRPSAADLLQDPFFNGIGDNDDGDNADKNSNKPS >itb15g18210.t1 pep chromosome:ASM357664v1:15:19695737:19698248:-1 gene:itb15g18210 transcript:itb15g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHDKDHNIVEIEEDHGFEIPTHLSESNHDELVNNGEFNIGEIEQDASENVKSDVNNATIELCIGMEFQSLDDALMCYTNYAKHEGFGIRKSRILKSRKNQMVIGQEFVCSKEGYRAKKYLQQDNRKKPPPDETRMGCKAMISVSRKDEAKWVISKFTRDHNHVLASPSSARFHRVHRKRTKSQGTLIDVLDESGIRPSKIMSVLVTESGGIDRMDNNCQLRQMKRTDFDENNEDIKICDSFYVSSS >itb03g01970.t1 pep chromosome:ASM357664v1:3:1121541:1124113:1 gene:itb03g01970 transcript:itb03g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSRRETPKKRPSKRIVHQSSAVGGVGGSSRWGRNRSSRKDGIVIQDPALAAAILFQQQMQNGGGGGGGLAFDRSASLRYPGSNSKKNHQALPRSSSSRARSLTDPLLQPHQLVNKDIKLDELETSHFVLVHGGGFGAWCWYKTIALLEGAGFKVTAIDLTGSGIHSFDTNSIASLSQYVKPLTDFFEKLGDGEKVILVGHDFGGACISYAMELFPAKVSKAVFIAAAMLTSGQSTLDMFSQKTDSNDLMQQAQIFIYANGNNNPPTAIDLDKSLLRDLLFNQSPAKDVALASVSMRPIPFSPVLEKLSLSDLKYGSVRRFYVETPEDNAIPIALQQNMITQSRPEQVFHLKGADHSPFFSKPQALHKTLVEISMIP >itb02g15490.t1 pep chromosome:ASM357664v1:2:11268926:11269553:1 gene:itb02g15490 transcript:itb02g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINKLRCGCSLLDAAVGHRLTAAGASLVEDQFPDFTEAVTKYLKLPCRLKSFAAEAHIAPPFVTAAGASPNRFPDFTKQNRHDCV >itb03g04510.t2 pep chromosome:ASM357664v1:3:2860760:2863132:-1 gene:itb03g04510 transcript:itb03g04510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKKEKNMKVKLNQKSSPEMVKQQSNTSPGLGKQWPFSYVVSPQPELSLSTAAPPKNLVFQDFLGGTLPRHDHDTPSSSNQQATASMTIFYSGGVHFFNDISPEKMANQSKTTEAMNETINALTDTEILSPNMQIPPQNLAPSPSPPPPDNFFEFYGKGALAMARKATLARFLEKRKHRLIYAASPYSHPVGKSSNNSPQAPKNALEFNFMLPEEEETSSSAVDLESRCSTSIL >itb03g04510.t1 pep chromosome:ASM357664v1:3:2860667:2863132:-1 gene:itb03g04510 transcript:itb03g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKKEKNMKVKLNQKSSPEMVKQQSNTSPGLGKQWPFSYVVSPQPELSLSTAAPPKNLVFQDFLGGTLPRHDHDTPSSSNQQATASMTIFYSGGVHFFNDISPEKAELIMKMANQSKTTEAMNETINALTDTEILSPNMQIPPQNLAPSPSPPPPDNFFEFYGKGALAMARKATLARFLEKRKHRLIYAASPYSHPVGKSSNNSPQAPKNALEFNFMLPEEEETSSSAVDLESRCSTSIL >itb03g04510.t3 pep chromosome:ASM357664v1:3:2860667:2863087:-1 gene:itb03g04510 transcript:itb03g04510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKKEKNMKVKLNQKSSPEMVKQQSNTSPGLGKQWPFSYVVSPQPELSLSTAAPPKNLVFQDFLGGTLPRHDHDTPSSSNQQATASMTIFYSGGVHFFNDISPEKAELIMKMANQSKTTEAMNETINALTDTEILSPNMQIPPQNLAPSPSPPPPDNFFEFYGKGGIISILAC >itb03g04510.t5 pep chromosome:ASM357664v1:3:2860667:2863087:-1 gene:itb03g04510 transcript:itb03g04510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKKEKNMKVKLNQKSSPEMVKQQSNTSPGLGKQWPFSYVVSPQPELSLSTAAPPKNLVFQDFLGGTLPRHDHDTPSSSNQQATASMTIFYSGGVHFFNDISPEKVHISNYFFFGPFTLIHNLIGFLKIIRLN >itb03g04510.t4 pep chromosome:ASM357664v1:3:2860667:2863087:-1 gene:itb03g04510 transcript:itb03g04510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKKEKNMKVKLNQKSSPEMVKQQSNTSPGLGKQWPFSYVVSPQPELSLSTAAPPKNLVFQDFLGGTLPRHDHDTPSSSNQQATASMTIFYSGGVHFFNDISPEKVHISNYFFFGPFTLIHNLIGFLKIIRLN >itb03g07720.t1 pep chromosome:ASM357664v1:3:5739005:5744909:-1 gene:itb03g07720 transcript:itb03g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVSRGLRIGSKRSISGDSHFQRLFSTQANVGGSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMNKDGSCQGVIALNMEDGTLHRFRAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGEKQKPLEKDAGEKTIAWLDKLRNSNGSLPTSNIRLNMQRVMQNNAAVFRTQETLEEGCKLIDKAWESFHDVKLKDRSLIWNSDLIETVELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWENEKVKLDYRPVHMNTLDDEIESFPPKARVY >itb10g15160.t1 pep chromosome:ASM357664v1:10:21436812:21440410:-1 gene:itb10g15160 transcript:itb10g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEDVQDHCNHLFTQQEIVSLYERFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASMQQKIELIFKVYDSDGNGKISFNNIMEVLQDLTGPFMSDEQRENVLSQVLREAGYTRDSLLYLDDFIKVFDYPGLKMEVEIPVD >itb10g15160.t2 pep chromosome:ASM357664v1:10:21437147:21440410:-1 gene:itb10g15160 transcript:itb10g15160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEDVQDHCNHLFTQQEIVSLYERFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASMQQKIELIFKVYDSDGNGKISFNNIMEVLQDLTGPFMSDEQRENVLSQVLREAGYTRDSLLYLDDFIKV >itb01g09160.t1 pep chromosome:ASM357664v1:1:7379042:7379980:-1 gene:itb01g09160 transcript:itb01g09160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATTQHGGIGGFRQPRNGGAAATHHPPRPRLIPKRGQVVRNAMHTLFSCFLPHRTVSPIDDTLRKEDKNTLTLPNNGKKVKSHTDSGVWELGPTNCLTNIGSRLENSFGDP >itb12g10300.t1 pep chromosome:ASM357664v1:12:8364069:8366084:-1 gene:itb12g10300 transcript:itb12g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIKLVMLVAFFAAQLCYATSGDLEFTFNGFRSANLSLDGIAEITSNGLLSLTNETNHQIGRAFYPMPINFKNSPNASAFSFSTTFVCAMVTQIPSLCYGLTFAITQPGGLPGAFSYPYFGLFNETNSYGKDNNHVVAVELDTLQNPQFDDIDSNHVGIDINGLKSVVAKSAGYYQDNKFHNFSLCSGKPLQVWVEYDGRAKQMNVTVAPLSVGKPSKPLMSFAIDISPDVLETVSIGFTSASGSYASSEYVLGWSFKINGIAQELDPSKFPKLPWVGPKRKSRFLVIGVPVIAIFSLVFIVCGVGYYVLRKRKFAELLEDWELEYGPHRFNYKELYFATKGFSDKQILGAGGFGSVYRGVLPNSQGDIAVKKIYHQSTHGMRAFVAEVVSMGRLCHRNLVPLLGYCRRKRELLLVYQYMPNGSLDRYLFGNPIRTLSWNQRFQVIKGVASALFYLHEEWEQVVIHRDIKSSNILLDSEWNGRLGDFGLARLYDHGSDPQTTHVVGTHGYLAPEHIRTGKATPSTDMFAFGAFLLEVACGKRPIEQKEPNEVLILVEWVFSWWSRGEILQAVDSKLRENYVSEEVDIVLKLGLLCSLLDTEFRPSIRQVVHYLEGSIALPELSLLTLSTAGLTISRCEGFDDFVMSLSYSRETIDSHYSSNTTSVIYEGR >itb10g18820.t1 pep chromosome:ASM357664v1:10:24634487:24635606:1 gene:itb10g18820 transcript:itb10g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLKPSDHLVIVQGRRRRRYGRMVSGQGIPYAGAFAAGQATDFKMFETINRKPFIDASNSSGIKLEDIKD >itb11g02350.t3 pep chromosome:ASM357664v1:11:1184680:1188652:-1 gene:itb11g02350 transcript:itb11g02350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPQTQVFSSFRTINSDLYPTKLHLKKLKFKPLKISASLESSEEEPPESEKFDRVKLAFAKAKAYKKDIQIKPIPKTVQNTVSESAETQEQIDGSKDEANVSEKQELPSAVKLAFQRANEYKNTEIVGSSEKKPASLESSSPISEEETPESEKVDPVKLAFAKAKAYKKEVQTNPIPKIVQNPVPESAETEKQGGGSEEEANVSEEQEVPSAAKLAFERAKEYRKNKEVMGSGTGLQGSEKKPGLNDKGIVKDPGNSLPKMKESKKEEFTISSIDFMGLNFSDKKDGKRMPAGLVPILDPFPEDDDLPEVEILVGDTSKFGDAAATKPKPVLEEEMDAYKPKVSTWGVFPRPSNISKTFGGGRTLNPGEALETTEEKAAKQARSRQLLAAYKAKKGLVIEPKLKLECQKALSDGDSLMELGKLREAIPLYEKVMDRLSFQTELYGLAALQWSICQDSLQS >itb11g02350.t2 pep chromosome:ASM357664v1:11:1184681:1188652:-1 gene:itb11g02350 transcript:itb11g02350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPQTQVFSSFRTINSDLYPTKLHLKKLKFKPLKISASLESSEEEPPESEKFDRVKLAFAKAKAYKKDIQIKPIPKTVQNTVSESAETQEQIDGSKDEANVSEKQELPSAVKLAFQRANEYKNTEIVGSSEKKPASLESSSPISEEETPESEKVDPVKLAFAKAKAYKKEVQTNPIPKIVQNPVPESAETEKQGGGSEEEANVSEEQEVPSAAKLAFERAKEYRKNKEVMGSGTGLQGSEKKPGLNDKGIVKDPGNSLPKMKESKKEEFTISSIDFMGLNFSDKKDGKRMPAGLVPILDPFPEDDDLPEVEILVGDTSKFGDAAATKPKPVLEEEMDAYKPKVSTWGVFPRPSNISKTFGGGRTLNPGEALETTEEKAAKQARSRQLLAAYKAKKGLVIEPKLKLECQKALSDGDSLMELGKLREAIPLYEKVMDRLSFQTELYGLAALQWSICQDSLQRDCNRQNVYRRNEARSMYEKLQSHPNIQVSKKAKQFAFSFQAMEMMKVRGSTNSPKATGYQNYFDAFVEDKADYPLKEAEVDEGTLSQALPYIIFLVSPVFIVLLISALKGN >itb11g02350.t1 pep chromosome:ASM357664v1:11:1184680:1188652:-1 gene:itb11g02350 transcript:itb11g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPQTQVFSSFRTINSDLYPTKLHLKKLKFKPLKISASLESSEEEPPESEKFDRVKLAFAKAKAYKKDIQIKPIPKTVQNTVSESAETQEQIDGSKDEANVSEKQELPSAVKLAFQRANEYKNTEIVGSSEKKPASLESSSPISEEETPESEKVDPVKLAFAKAKAYKKEVQTNPIPKIVQNPVPESAETEKQGGGSEEEANVSEEQEVPSAAKLAFERAKEYRKNKEVMGSGTGLQGSEKKPGLNDKGIVKDPGNSLPKMKESKKEEFTISSIDFMGLNFSDKKDGKRMPAGLVPILDPFPEDDDLPEVEILVGDTSKFGDAAATKPKPVLEEEMDAYKPKVSTWGVFPRPSNISKTFGGGRTLNPGEALETTEEKAAKQARSRQLLAAYKAKKGLVIEPKLKLECQKALSDGDSLMELGKLREAIPLYEKVMDRLSFQTELYGLAALQWSICQDSLQRRNEARSMYEKLQSHPNIQVSKKAKQFAFSFQAMEMMKVRGSTNSPKATGYQNYFDAFVEDKADYPLKEAEVDEGTLSQALPYIIFLVSPVFIVLLISALKGN >itb11g02350.t4 pep chromosome:ASM357664v1:11:1184680:1188652:-1 gene:itb11g02350 transcript:itb11g02350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPQTQVFSSFRTINSDLYPTKLHLKKLKFKPLKISASLESSEEEPPESEKFDRVKLAFAKAKAYKKDIQIKPIPKTVQNTVSESAETQEQIDGSKDEANVSEKQELPSAVKLAFQRANEYKNTEIVGSSEKKPASLESSSPISEEETPESEKVDPVKLAFAKAKAYKKEVQTNPIPKIVQNPVPESAETEKQGGGSEEEANVSEEQEVPSAAKLAFERAKEYRKNKEVMGSGTGLQGSEKKPGLNDKGIVKDPGNSLPKMKESKKEEFTISSIDFMGLNFSDKKDGKRMPAGLVPILDPFPEDDDLPEVEILVGDTSKFGDAAATKPKPVLEEEMDAYKPKVSTWGVFPRPSNISKTFGGGRTLNPGEALETTEEKAAKQARSRQLLAAYKAKKGLVIEPKLKLECQKALSDGDSLMELGKLREAIPLYEKVMDRLSFQTELYGLAALQWSICQDSLQRHVHNNDPFSSSSLACHNLTLFCS >itb04g27470.t1 pep chromosome:ASM357664v1:4:31467506:31468401:-1 gene:itb04g27470 transcript:itb04g27470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFRLSDMIPNAWFYKLRDMNTTRTRNSHPKKKHAPPSSSPTSTATTTFYHLSSPPHSYYHTTAYNPFSDPPKRKTVYKPSEIQIAQTGTTSSSEEDGRDDDSSSTLPELIGLEESVDFRPRKPVSKVSGVRLRGNDSPRIAVRKIRRSSARNKRAEKMELPESVAMVKASVDPQKDFKESMMEMIEENDMKCSRDLEELLACYLSLNPEEYHHLIIKAFEQIWFELPHLDL >itb09g11250.t1 pep chromosome:ASM357664v1:9:7034295:7036234:1 gene:itb09g11250 transcript:itb09g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTVSMLDSTMEVDKLTNQIFSILENKFLFGCDDPIQMAPSVAGAGKSLETGKVRVLSIDAGGSTDGVLAAKSLAHLEESLRRKSGKANAHIADFFDVVAGSGVGGVLAALLFTRRKDGGPLFTAEEALRFIVDNGRKISRAEPARIFRRSFKPSKAFKKVFGDLTLKDTLKAVLIPCYDLTTGAPFLFSRADALEMDACDFLMADVCAATVANRALELKSVDGRTKNSAVGGGVAMNNPTAAALTHVLNNKHEFPFSVGVEDLLVVSLGNGESDSITGNLKTSPASFVNIVGDGAADMVDQAISMAFGESGSKSNYVRIQGHGILGKKKERKMESSASIAEEMLAQKNVESVLFQGKKLAQSSNLEKLDLFAGELVKEQDRRKTSVLPVVVLKQASAASPRTSSATTLSSISSC >itb13g23020.t1 pep chromosome:ASM357664v1:13:29136599:29138135:1 gene:itb13g23020 transcript:itb13g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEPTNHKTMLSLANCFNGCGTLPAPITGGQGTRIWNLSDKPIELQVRVGSILKKAHSLKPGSSKRFKSKNIYKNYMPGKGKKNSAVGMKTLLYYYDETCHPYIWIHDSGCDFSRMVKQQYISLEDLRDCSEIRIFRDHQRGCIAVRKKPRGDLC >itb01g24020.t1 pep chromosome:ASM357664v1:1:29801061:29802661:1 gene:itb01g24020 transcript:itb01g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKHSVREDLGSGEEEKIPPVRRRGRPLKPVKDEIEEEDEVEKVVEVEEDGDNMKSNILSKDIKNQAAENGKKRKRSSQVKENGDSAKEEKGLGTKTNANDLIKSVGFRQNGSRRKSKPRRAAEVGFECR >itb01g24020.t2 pep chromosome:ASM357664v1:1:29801891:29802661:1 gene:itb01g24020 transcript:itb01g24020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKHSVREDLGSGEEEKIPPVRRRGRPLKPVKDEIEEEDEVEKVVEVEEDGDNMKSNILSKDIKNQAAENGKKRKRSSQVKENGDSAKEEKGLGTKTNANDLIKSVGFRQNGSRRKSKPRRAAEVGFECR >itb15g22940.t1 pep chromosome:ASM357664v1:15:25704829:25707599:1 gene:itb15g22940 transcript:itb15g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGWFFEYKVAGIYDEYEIKSKLGLVYSEAKCEHLLRTLKEMVREIEDVEEGFLMIKEGIDEGVWDTYQSALELENEVIVGFHIDIKTIVNRLCYSHFMRSVFTILRKTNIHKFRKYVENPVLKLQVMPLVGEGGIGKTTLAKRVYGHPTTIASFDIRARVVLSQVPNLKEILIGLLSCILHITSEIYTLDDAQIGEQLCTSLMGKKYLIFLDDIWTTAAWDAIKGYFPENFSGSRILVTTRFTKVAKYLSADLYHVKYQTLENRWELFYGKVFRQSQFVDYEDKLSKLRIAERIVYGCSGLPLEVVVIAGLLAVNKESVEIWRDVEDTLDGVDRYDNEDRISKILSLSYNYLPPHLKPCFHYFGVFPEDNVIPVKKLINLWVAEGFLMPLENMSLEEVAESYLHDLINRGLVQINELSIDGRVNSCKVHDRVLEVCVRQAINGNALCIINDNHDPNASHWLSCQTSHWPITQASYGNFSPYKIFSVLSFGKDVYHTKCRLVYPCLKLLRVLDLSFVKWSRGMPSEITDLVHLRYLALSTIGSLYKLRFFKLKNLLTLIVTSWMEKCPLKLPCDILGLPQLRHLHVDKRCSQYLPCLVKNNLQTLYWLKVASSDEKPNFGMLPNLIELGICIEGQLANSHLGSLVHLHLLEKLKFEVRRVERFCLPTGFPPNLKKLTLRYTYLPWKEMDTIGKLPHLEVLKLKDFAFCGPTWKPSEQGFRELKALLISRSNLKHWDASSNHFPVLELLVLRYCWELKQIPINFENIGTLKLIVLEEENVESVKSFEEESEKSSEEESVGRYKELSVGSSDQESLKARKRVMKNLKKCQNP >itb04g19420.t4 pep chromosome:ASM357664v1:4:23784616:23786754:1 gene:itb04g19420 transcript:itb04g19420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MAASAIRAGALVTLQELNPSSPFFKQGASLRVTGKLQEYNVETDSAVISNGNASLRIDTERLNISIRPGSIYQFIGELHIGPSDENETMFIAPGS >itb04g19420.t2 pep chromosome:ASM357664v1:4:23784653:23786737:1 gene:itb04g19420 transcript:itb04g19420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MAASAIRAGALVTLQELNPSSPFFKQGASLRVTGKLQEYNVETDSAVISNGNASLRIDTERLNISIRPGSIYQFIGELHIGPSDEAILKARVGRNVDGMDLNLYHQSLQLLRNFVDEQTNSRTQ >itb04g19420.t3 pep chromosome:ASM357664v1:4:23784643:23786740:1 gene:itb04g19420 transcript:itb04g19420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MAASAIRAGALVTLQELNPSSPFFKQGASLRVTGKLQEYNVETDSAVISNGNASLRIDTERLNISIRPGSIYQFIGELHIGPSDEAILKARVGRNVDGMDLNLYHQSLQLLRNFVDEQTNSRTQ >itb04g19420.t1 pep chromosome:ASM357664v1:4:23784616:23786754:1 gene:itb04g19420 transcript:itb04g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MAASAIRAGALVTLQELNPSSPFFKQGASLRVTGKLQEYNVETDSAVISNGNASLRIDTERLNISIRPGSIYQFIGELHIGPSDEAILKARVGRNVDGMDLNLYHQSLQLLRNFVDEQTNSRTQ >itb04g03990.t1 pep chromosome:ASM357664v1:4:2499142:2501031:-1 gene:itb04g03990 transcript:itb04g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKPEFQERGLLSNLAGFAAAHHLPGAHGGAHHRPPPLGYPHHPPPAAGAYPPAGGYPHPPPPSPGYSPEGYPLAGAQPPPPAGYPPAVAYPPPSGAFPQQGYPPAGYPPPSSSHQGAAGQGSNKFGTMLAGGAAAAAAAIGAHHLAHGSHHHHHMHGGYYGHHGKFKHGKFKQGKYGKPFKRWK >itb11g10790.t1 pep chromosome:ASM357664v1:11:7712001:7713809:1 gene:itb11g10790 transcript:itb11g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNLGSPRPKLTTFGAVKIQSFVKYNGLLISSKPKKPLVACQASTPEAQFSINLSWPVTTVSAAVEAPNSNTSISTPSLVSPAGKDALLARASEEGFVVIQSPWVGLFRRCGTLKGKSCPPCCKENQVVDKDQILCYIDQLGWEIPVEADVCGEVVSILLEDGAPVGYGDPVMIILPSSPGVKELP >itb04g00330.t1 pep chromosome:ASM357664v1:4:218464:218841:-1 gene:itb04g00330 transcript:itb04g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESYRHRVCVIGGAGYVGSSLVKKLIDEGYTVHATLRSLSDESKVGLLKSFGGADERLKLFEADLCNKSEEIEQAIHGCEFVFLVARPHRKPEG >itb09g11740.t1 pep chromosome:ASM357664v1:9:7317505:7318791:-1 gene:itb09g11740 transcript:itb09g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MDYGSILDTIGGEITGVMAPVSICMLLVVLIVYSLSSPTNPTATIQTAANLVYLENPSDSTAQKLEGALLNALVFVILIAVVTFVLVALYYFRFTNFIKHYVRFSVFFVLTSMGGSIFLSLIQHFNIPVDAITCALFLFNFSIVGVISVFAQGVPILLNQMYMVAVGIIVAVWFTKLPEWTTWVCLVMLALYDLVAVLAPGGPLKILVELASTRNEELPALVYESRPRVQRSRSPGVGLLLAGFSNGRSVELTAISGNTSSDGDDQNVSGLVGGNNPHSAINVPNENNEIEVSTEYEIAGETSPLMTSSNSTERQLANGEIRRNENRETRERESEEEERERGIRLGLGDFIFYSVLLGRAAMFDLMTVYACYLAIICGLGCTLILLAVCRHALPALPISIALGVMFYFLTRLLMEPFVVGASTNLIMF >itb07g06930.t1 pep chromosome:ASM357664v1:7:5245131:5245869:1 gene:itb07g06930 transcript:itb07g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISFHGDLFHEILGDRPHHLPSMSKVMHAVEGQWGTEGCTTIFKYTQGGKTETSETVMDIIDNEKKIVKYRVVKGDLLKSYKSFIVTAEVETNGDNNFVTWTIVYEKLKEEIPEPLTFMEYLFTLTKEMDDHHTKSNP >itb13g22910.t1 pep chromosome:ASM357664v1:13:29072507:29077994:1 gene:itb13g22910 transcript:itb13g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVNNIIRPPRAEYDPKDDLLDEEFMLKGKWYQRKDLELKNIRGDVLKCSHYMPIISPEGKALPCVIYCHGNSGSRADASEAAIILLPSNITVFALDFSGSGLSGGEHVTLGWNEKDDLKSVVEYLRRDGNISSIGLWGRSMGAVTSLLYGAQDPSIAGIVLDSPFSNLVDLMMEVAGTNAIRLPKFTLKFAIQFMRRSVLKKAKFDIMELDTIKVARRCFVPLLLGHAVDDDFIQPHHSDRIFDAYMGEKNIIKFDGDHNSQRPQFYFDSISIFFNNVLQPPDDDTFFDIPPDYFGKVCSVHEVKYSDDLLTSPIALSSTKDTSKQIHAQRSISSTQQGVVAYPAPSSSNLISFELSNGDPCGPGVLASIDDDEYVEYSLDNLTDFSESMEDENKMIMEAVKESLKDLETRPSRAEELSSSTKQPESLSDHDSQGSATEQPVPSNESATTPIVTNGHGSAPELQVADTNKTSAGPPNLIPSANESENSGASADSNVSVDNLSSASLDLVDHTKVTVTVIKNPTTNIMNGLLRRWDLNFFRNR >itb13g22910.t2 pep chromosome:ASM357664v1:13:29072507:29077994:1 gene:itb13g22910 transcript:itb13g22910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVNNIIRPPRAEYDPKDDLLDEEFMLKGKWYQRKDLELKNIRGDVLKCSHYMPIISPEGKALPCVIYCHGNSGSRADASEAAIILLPSNITVFALDFSGSGLSGGEHVTLGWNEKDDLKSVVEYLRRDGNISSIGLWGRSMGAVTSLLYGAQDPSIAGIVLDSPFSNLVDLMMEVAGTNAIRLPKFTLKFAIQFMRRSVLKKAKFDIMELDTIKVARRCFVPLLLGHAVDDDFIQPHHSDRIFDAYMGEKNIIKFDGDHNSQRPQFYFDSISIFFNNVLQPPDDDTFFDIPPDYFGKQGVVAYPAPSSSNLISFELSNGDPCGPGVLASIDDDEYVEYSLDNLTDFSESMEDENKMIMEAVKESLKDLETRPSRAEELSSSTKQPESLSDHDSQGSATEQPVPSNESATTPIVTNGHGSAPELQVADTNKTSAGPPNLIPSANESENSGASADSNVSVDNLSSASLDLVDHTKVTVTVIKNPTTNIMNGLLRRWDLNFFRNR >itb13g22910.t4 pep chromosome:ASM357664v1:13:29072507:29077994:1 gene:itb13g22910 transcript:itb13g22910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVNNIIRPPRAEYDPKDDLLDEEFMLKGKWYQRKDLELKNIRGDVLKCSHYMPIISPEGKALPCVIYCHGNSGSRADASEAAIILLPSNITVFALDFSGSGLSGGEHVTLGWNEKDDLKSVVEYLRRDGNISSIGLWGRSMGAVTSLLYGAQDPSIAGIVLDSPFSNLVDLMMEVAGTNAIRLPKFTLKFAIQFMRRSVLKKAKFDIMELDTIKVARRCFVPLLLGHAVDDDFIQPHHSDRIFDAYMGEKNIIKFDGDHNSQRPQFYFDSISIFFNNVLQPPDDDTFFDIPPDYFGKVCSVHEVKYSDDLLTSPIALSSTKDTSKQIHAQRSISSTQQGVVAYPAPSSSNLISFELSNGDPCGPGVLASIDDDEYVEYSLDNLTDFSESMEDENKMIMEAVKESLKDLETRPSRAEELSSSTKQPESLSDHDSQGSATEQPVPSNESATTPIVTNGHGSAPELQVADTNKTSAGPPNLIPSANESENSGASADSNVSVDNLSSASLDLVDHTKVTVTVIKNPTTNIMNGLLRRWDLNFFRNR >itb13g22910.t3 pep chromosome:ASM357664v1:13:29072708:29077994:1 gene:itb13g22910 transcript:itb13g22910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISPEGKALPCVIYCHGNSGSRADASEAAIILLPSNITVFALDFSGSGLSGGEHVTLGWNEKDDLKSVVEYLRRDGNISSIGLWGRSMGAVTSLLYGAQDPSIAGIVLDSPFSNLVDLMMEVAGTNAIRLPKFTLKFAIQFMRRSVLKKAKFDIMELDTIKVARRCFVPLLLGHAVDDDFIQPHHSDRIFDAYMGEKNIIKFDGDHNSQRPQFYFDSISIFFNNVLQPPDDDTFFDIPPDYFGKVCSVHEVKYSDDLLTSPIALSSTKDTSKQIHAQRSISSTQQGVVAYPAPSSSNLISFELSNGDPCGPGVLASIDDDEYVEYSLDNLTDFSESMEDENKMIMEAVKESLKDLETRPSRAEELSSSTKQPESLSDHDSQGSATEQPVPSNESATTPIVTNGHGSAPELQVADTNKTSAGPPNLIPSANESENSGASADSNVSVDNLSSASLDLVDHTKVTVTVIKNPTTNIMNGLLRRWDLNFFRNR >itb01g00680.t2 pep chromosome:ASM357664v1:1:305779:309344:-1 gene:itb01g00680 transcript:itb01g00680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNKYTSLNFNDIYEKKTAHRPNSTSSSSPSSNKTVISNSRIHGHMLVLSRPTPKPISIPQPQTDPIQQKPKFQGTDTAISAPAPEKTRSDPDLDPISLRPQGRTGSGPIIYSPPVCSTSPLQSPLPKSDRFVPPHLRPGFVGREERPVTGGRGGGGSNRMGNIGSSLNAYGENGRPKSGGGYERTMIGGEAESAIFMNRPRSGGARPRSSG >itb01g00680.t1 pep chromosome:ASM357664v1:1:305917:309294:-1 gene:itb01g00680 transcript:itb01g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNKYTSLNFNDIYEKKTAHRPNSTSSSSPSSNKTVISNSRIHGHMLVLSRPTPKPISIPQPQTDPIQQKPKFQGTDTAISAPAPEKTRSDPDLDPISLRPQGRTGSGPIIYSPPVCSTSPLQSPLPKSDRFVPPHLRPGFVGREERPVTGGRGGGGSNRMGNIGSSLNAYGENGRPKSGGGYERTMIGGEAESAIFMNRPRSGGARPRSSG >itb04g02690.t2 pep chromosome:ASM357664v1:4:1620008:1620958:1 gene:itb04g02690 transcript:itb04g02690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRREFLTFEMVGTEMALGGGPQRGTAAAAAANMRRRRTGGGGASGAAAGGASGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFRREA >itb04g02690.t1 pep chromosome:ASM357664v1:4:1619918:1621405:1 gene:itb04g02690 transcript:itb04g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGPQRGTAAAAAANMRRRRTGGGGASGAAAGGASGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFRREA >itb15g09350.t1 pep chromosome:ASM357664v1:15:6611783:6615393:1 gene:itb15g09350 transcript:itb15g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTMRLLIVLLFSAALVTPTSCSSRDVEVLLRVKTRQLGDSGGVLGDWNESARGAPCHWTGITCDRRSRAVVSINLGGLEVSGEFPADFCRISTLRRLNLSDNYFGGEINADSFSLCSHLEFLDISSNILVGELPELRREFSNLTTFDLQANNFSGEIPAGFFRMPKLRELYLVNNLFNGSVPESLSNLTELTHLVIAYNPFKPSPLPPSIGRLKKLQFLFAREANLMGAIPDSIGRLVNLRILDLSLNALSGKIPETIGGLKSAEEILLFGNQLLGELPDAFSNLTSLAFFDASQNMLTGRIPESLARLHLELLHLNDNDLEGEIPESLSLNPKLSDLKLFNNRLSGKLPENLGMNSELMDFDVSGNNLEGPLPPNLCSKKMLRNLILFNNRFSGSIPEPYGECSSMRYVRIQNNELSGKLPIGFWSFPECELFELRENKFEGTILPSISNAREMMQILISDNNFSGELPVEICELPDLVVMDMSRNRFSGPFPTCLTRLQNLQKLHLQENLMGGEIPQSVGSWTALTDLNLSFNQLTGKIPSSLGSLPVLNYLDLSWNMISGEIPASLTKLKLNTFNLSNNRLEGKVPSEFDNSFFLSSFVGNPGLCSSINFNGLPSCMKHRSTHSHLLVILAPCAVILVGLLICLLIKAKNLIYGRKRSKQSLKRIAFQKIMFKESELLASLINENIIARGGSGQVFRVKLKNGQMVAVKKLWEANRECESEVVFKAEVETLGSIRHKNIVKLLYGCMGKDFKILVYEYMENGSLGDVLHGEEGVVLDWPTRFNIAVGAAQGLAYLHHDCVPPILHRDIKSNNILLDKDFRPKVADFGLAKMLHRGAQVQESGEVMSQVAGSYGYIAPEYAYTLRISESSDVYSFGVVLLELISGKRPNDECFGDDNNMVKWASNITIEEERNGFGDELYQIVDPRMNPSPRDFEEIKKLLNVALLCTSAFPVDRPSMRRVVKLLKDISR >itb05g14110.t1 pep chromosome:ASM357664v1:5:21161952:21165996:-1 gene:itb05g14110 transcript:itb05g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGLAMKASLQVLLLCCFALANANVVNGWNPDGFISIDCGLAEGASYSDYNIGGLDYWPDAGFLESDTGISSYIQKSYITDHIDKHLWTVRSFPEGDRNCYDLSGSSEQVVKGKRVLIRASFLYGNYDGKNETPSFELHLGVEMWDRVQFRGPLDVVRKEIVHVPSSNILKVCLVCTDQGTPFISALELRPLKDDAYPTNASVAMNESLVGFKRYNYGAEDKHSIIRYPDDKRDRLWFPYDDASPKFISLSPIPPNPNEEVDENSYEVPSKVMETAVSKETAEENLTISWDPKHPTMDYYVYLHFAEVTRLLANQSREFKIYTNDDKLWFDKPISPAYFSIDTIYPESATSKAQWNLTLLKTQSSNLPPLINAMEIYIVKRFLKSQTRETEVLAMANIKSNYRLKRDNWVGDPCLPEAYLWEGLRCRYNNDYDPPIITHL >itb01g03000.t1 pep chromosome:ASM357664v1:1:1958169:1960594:1 gene:itb01g03000 transcript:itb01g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDVSEEIVGCDSAPTSAPGSPKDRIKFLCSLGGKIIPRPTDGHLKYVGGETRVISIPRDIKFQELMKKLTYQMEGEMALKYQLVPEDLDALVSVKSDEDLRYMIDECERVAAGGSPRLRAFLFPAKPILLDSLNHSVEAIEQRYIDAINGIVRGGNGNNVAAVGLTKPPAARHSLGQNQNQNHAVFSVSSTCSSPRSPDDDAASNHDHLMMNGGGAWSSGGKNNRMHKVQSMPSFGSLNNVQQQQQSSNGHYNAPYEFSPSHHVNYRQQQQRHGYQNGSRPTATLDHFRGVNRMVSGRAMGNPGPALQYNNNSYYAARQSRQPFSMMGGQFDDFYERSEKGAMSASQSPLRLSPRYGHAFRPWDAHVAPVEIPHF >itb04g33560.t2 pep chromosome:ASM357664v1:4:35765359:35767539:-1 gene:itb04g33560 transcript:itb04g33560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFENDDDSSSFFDLFTENLPSPGLAPPPYAASPSPTRWLFNHGGLTGNPVTEMGTDENAAAAALTESEMNEEGVNHPQRDSDNRSVNTECDSLFDMEGGMVMSPQFMGFSGDEPLFLTPDMVMSTSPTRTNYFPPPSGDSIGNSNPLAEGVMESSPMMKRLIYLKLSSCSKLRRLPELCQLSLLPCLLSWERYSGVTPCLLSWERYSGVTPCLLSLECLCQNLVIELGNGEQILKMRLNVKLKPAYLQVDFSSENSNAICSSQALKVESRGGMIMERISVNHQWRYAFCSKMHPWSVILELLDVVVRLLLYLGENNYSFGISVSSSSSSSSVNTKMHSLLYFERTFLEMQHLNSLERQFLDHFERLVQTGVAELLSGEEIKLQYLQEGEVGTASQKNHSVSILPPLLEAECRMMQLLGKRRRDILKVSSSTFKRARRDFGITRRSLEEVGSASEENHTAQYNAAGTGGMLLQQEDSSSEPHIPESSATNEERNVVEFKSIDAKGGNSSSDKTNTISRWEKDHGITRQLLQQMFGKSRDAAAKTLEGELTLQFYHIFDVVVLEDEAIRRKVSKG >itb04g33560.t1 pep chromosome:ASM357664v1:4:35764450:35767539:-1 gene:itb04g33560 transcript:itb04g33560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFENDDDSSSFFDLFTENLPSPGLAPPPYAASPSPTRWLFNHGGLTGNPVTEMGTDENAAAAALTESEMNEEGVNHPQRDSDNRSVNTECDSLFDMEGGMVMSPQFMGFSGDEPLFLTPDMVMSTSPTRTNYFPPPSGDSIGNSNPLAEGVMESSPMMKRLIYLKLSSCSKLRRLPELCQLSLLPCLLSWERYSGVTPCLLSWERYSGVTPCLLSLECLCQNLVIELGNGEQILKMRLNVKLKPAYLQVDFSSENSNAICSSQALKVESRGGMIMERISVNHQWRYAFCSKMHPWSVILELLDVVVRLLLYLGENNYSFGISVSSSSSSSSVNTKMHSLLYFERTFLEMQHLNSLERQFLDHFERLVQTGVAELLSGEEIKLQYLQEGEVGTASQKNHSVSILPPLLEAECRMMQLLGKRRRDILKVSSSTFKRARRDFGITRRSLEEVGSASEENHTAQYNAAGTGGMLLQQEDSSSEPHIPESSATNEERNVVEFKSIDAKGGNSSSDKTNTISRWEKDHGITRQLLQQMFGKSRDAAAKTLEVSTSTLKRACRDFGINRWPNHKGKRPNNCSLNQKQAVKKHKGIQPSLALPPEEATTTLQGNSIMSVKVTYEDNTIRFPLPSSSTLKYLEEQLETRLKICLENFSIMYQDEEADWISLTCDLDLMYGMNVLRSSGKTVVKMKIKPNFG >itb02g14820.t1 pep chromosome:ASM357664v1:2:10755306:10765129:1 gene:itb02g14820 transcript:itb02g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G44730) UniProtKB/TrEMBL;Acc:A0A1I9LSV1] MPQEMSNLSSAFSNYSPGGKLRGLKSLVHSEDTFNDLDFAQRKAEEAAARRYEAAEWLRQMDHGAPAVLPKEPTEEEFCLALRNGLILCNVLNKVNPGAVHKVVEIPMVDVSCEGAAQSAIQYFENMRNFLVAVGRMQLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGTVRITSSPKASSSSFAGSDSADESLDDSESSNFEQLLEFLQLPSEFSAEESSAANAMAFFFDRFGLSLLKAYLTERNGIEDLPLNSMVIDAVLRKAVRDLSGLLVSQSNQLRVLLKQILGDNCSPISRSEFLEAISNYLSHRTSLISSDISKFCICGGKRELSLHSISYSASNEVVDLHQKELEELKNLYRRTRLEVLHYQSGWEEEFRRFEHHIKGLEVAADSYHKVLDENRQLYNQVQDLKGTIRVYCRVRPFLPEQSDTQSSVDYIGENGDIMIVNPHKHGKDARKIFTFNKVFGTQVTQSQIYADTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDMTTEDTWGVNYRALRDLFYISQTRSDIIEYEVGVQMIEIYNEQVRDLLVIDGTNRRLDVRNNSQLNGLNVPDASLIPVRCTEDVLDLMKIGQKNRAIGATALNERSSRSHSILTVHVRGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAKKSSHIPYRNSKLTQVLQDSLGGQAKTLMFVHINPDLNALGETVSTLKFAERVASIDLGAARSSKETGEIRDMKEEISNLKLALEKKEAELEHLQKGVNTRGAASPLRMHRNGANSSFKPESSQLSVDETRSTEVRSSSSGKQRRSPFPSKFIDKDSIPKMSLITEEGPVPSNKARSPSPPVRRSVSTDRGAHIKSRIKPEMSENPPVMKLPFPARVPVTVNKSLTGVPSIFPSSGSLQGPHGSRGSLKQENITDVLYSLQRMNVGKIQPDTEQEQFKQVLNVRQGGIRKGKHDGKAKAKHQLSTKIQITSDVSVTLLSDGSSMDEAQRSDASEAENDHYIPVGSHAGTIRMTKNLPRSFSRNSQNVEPREMTQRMESLLDKPSYTNNILPNMKEPGISFIPEFRKPNDKPSNGTSTLRSAREVNNSSAPEMRRSRSTPRGKFMV >itb12g04910.t1 pep chromosome:ASM357664v1:12:3244041:3244337:1 gene:itb12g04910 transcript:itb12g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAERDYYVAPEEENRLDNNSIEADAVPKAGAIPVAPVTGAIPVAGVAPVAHQPVKEDREQESKKDNQMNTQTLGRNVKFEEKKSRESCCKRKSRN >itb14g03930.t1 pep chromosome:ASM357664v1:14:3539959:3540333:1 gene:itb14g03930 transcript:itb14g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRGIIVAAAFICLIACTHHVSAAGAGAGNRRPLDIEMRDPKDNIEDVVPIQNRKLLDIEIENPEDYTLDDAPIQNRRLLDIEIENPEDYTLDDAPIQNRRLLDIEIENPEDYTLDDAPIQN >itb06g24760.t1 pep chromosome:ASM357664v1:6:26195977:26198162:1 gene:itb06g24760 transcript:itb06g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQGDQKTMLQKQKHFCKLCSRSFPCGRSLGGHMRSHLIGNSSENPERSRNSGSGIDGSVHTSYILRENPRKTCKFAAESSGGGGEDALLQRQNDKVCKECGKGFPSWKALFGHMKCHSEKITMISTNNNKSKMEEAADSWNVVVADNSCHSDDSEAANKNNNAPNRKKRSRSRRVTTATTKRYQVVNNAATTNSSTLTAANSASPCVSENAVEHEHEEVAMSLIMLSRDVGSWGGLASVTECSDNNSEFLGIRSSANSEIKAKKLGADEKLKKPKSRGSGIPISGGNNNKMKVSEADRYRDCNLVIDRAGFEKSEVDVPSNAQGSSDKRKQKRIERDDEFDQNEVWFSSLDSEKKIRFECSTCNKSFHSYQALGGHRASHKKAKDGSDKNTDHYSAAADSSEQHSILGFADKVVESSSKKIKVAAHHECPICFKIFPSGQALGGHKRSHLIAEAKANQQPTQIIQKPSSVPETRDFLDLNLPAPIEEEEDKESHQSSGFQPWWIVGNSYNHEQLVQPTF >itb13g23460.t1 pep chromosome:ASM357664v1:13:29456689:29457443:1 gene:itb13g23460 transcript:itb13g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQSAEAGGGDDYSDVTLRPLEASDAEDYLECLSDENVRKFCSWEPVTTVEAAAEYIAGRAAGHPWYRGICVKGKAVGSVSVNPFKGCDSCRAEIGYALASKYWGKGIATKAVKMAAASVFVEWPHLERLEAVVDVDNPGSQRLLEKAGFKREAVLRKYYLLKGKPRDAVMFSLISTDPEVTFFM >itb05g18100.t1 pep chromosome:ASM357664v1:5:24924524:24926109:1 gene:itb05g18100 transcript:itb05g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSILVAVLLAWALIKVLLCLSAKRRSSKGKNLPPGPFPLPLFGNLHLLGNKPHLSLTRLAQKYGPVMNLKLGMINTVVISSSAMAKQALQKQDLAFSTHRSIADALRANNHSQFSVVFLPVASRWRALRKIMNSNIFSGNRLEINQQLRAKKIEELIIYCQKSSEVGEAVDIGRAAFRTTLNLLSNTIFSKDLTDPYSDSGKEFKDLVWNMMLEAGKPNLVDYFPFLEKFDPQGVRRRLTCHFTKALDLFQDLIDERLEERKIKGNKNEDALDSLLNVSQERPEEIDMTHIQHMFLDLFAAGTDTSSSTLEWAMAELLKNPEVMAKAQAELADVINKGKPIQEYDVTHLPYLQCIVKETFRIHPPVPFLIPRKVEHDVNLCEYTIPNDSQILVNVWAMGRDPSIWENPLVFKPERFWNIGMDVRGQDFELIPFGAGRRICPGLPLAVRTVPVMLGSLLNSFEWKLEGGIAPMDLDMDEKFGITLAKACPLRAIPIPI >itb02g10320.t1 pep chromosome:ASM357664v1:2:6640950:6644353:1 gene:itb02g10320 transcript:itb02g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHEEHGQRCSNSSSDDRGLECGGFGRSSKRLKQKRVPQRGLGVAQLERIRLEEQHKKDATLLSATVLSSFPAVSHTNSSTCLQIQQQLPSIVSPAFRPHLSSPSIPLPPPIDLTSPRPAFRSIPSPHIPKINGMHPSPVVPLSLSKSLSVGVVERSWSGMMVPGHGHWPKLWNGDDNLEGENHRMDHQGVEFRPSMKFPIEPHSPILPLPSMLQRSERHEQPVSSSMVNVSTGISSSSSSSSSVLEFLMEPPSNQNYNGKNYTPMWPEEVKMVGNKRAHPFTSDYPPIPVFHRKFPPGYDLSISRTNELASCCVDCPANVESRNLPTRECPANLKALSESNPGSFTNGSGTDFLTLAPPSSVSLPRSDPRYHLRSRSSYPHNQDASNQGRADELIQPSRVSAGSDQPHIFSFFPSTGQEDSPKSSSHEGESSEGVDLNLKL >itb12g25620.t1 pep chromosome:ASM357664v1:12:26819077:26820778:1 gene:itb12g25620 transcript:itb12g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQSNGSTSSSRTSYWTNERHGHFLNSLEASFVRNMFGKHDDDDDDDDDRRLDRVLPDSAESTLDLGSGNGRRRGHSSTGLQDILRKRVDADKASRRPSSKSFTSNQDQVVPQLKYGKEDKDAENNVDASQDRRRQN >itb13g05810.t1 pep chromosome:ASM357664v1:13:6963327:6965347:1 gene:itb13g05810 transcript:itb13g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVTKADNCKQGSEESLKFEKVYQYHGECLLWKKNLNLRSIKLYWCDPSIVAFAKYFQDWQVGIGNAIFEFKIPKYDESQIEESVQDNLAIKYDLEPNFTCGLCSNPIIWIILSIWKGLRS >itb07g16570.t1 pep chromosome:ASM357664v1:7:20406965:20407570:-1 gene:itb07g16570 transcript:itb07g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVIPCGALDLIRIVHLNGQVEEIAHPITAGEVLNNNPNHVLSKPSSSQGMVRRIMILSPESELKRGSIYFLIPATSVPDKKKNKKRVYNVNSAARDVVSPSEKKSPCRRHRRRSGKVGIWRPHLESISEDY >itb04g08350.t1 pep chromosome:ASM357664v1:4:6627017:6632615:1 gene:itb04g08350 transcript:itb04g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATMVSSAGGLLAMLNESHPELKLHALTNLNTFVDYFWPEISTSVPVIESLYEDEEFDQRQLAALLVSKVFYYLGDLNDSLSYALGAGPLFDVSEESDYVHTLLAKALDEYASRKTKAAESNDEAADVDPRMEAIVERMLDKCIVDGKYQQAIGMAIECRRLDKVAEAIVRSDNLDAALTYCSNLSHNYVNRREFRREVLRLLVDVYQKSASPNYLSICQWLMFLDKPNDAAAILEKLLRSDNRNDALMAFQIAFELVESENQVFLLSVRDQLSSPKLQPSEPVQSSSTDPGTAQTGNTDAHEDAQMMDDSQVSSRDASIVDPKEATYAERLAKIKGILSGETAIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHSGHLQQGRSLMAPYLPQSGAGGGGSPYSEGGALYALGLIHANHGEGIKLFLRDSLRSTNVEVIQHGACLGLGLAALGTADEDIYDDIKSVLYTDSAVAGEAAGLGMGLLMVGTASEKAGEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAIVGLAVFSQFWYWYPLIYFISLSFSPTAFIGLNYDLKVPMFEFMSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSARAKARASKKEAEKANAEKISGADSSSTGTSSLKGKSTDRDGESMQVDIPAEKKTEPEPSFEILTNPARVVPAQEKYIKFLEDSRYVPMKSSPSGFVLLKDLRPEEPEVLSLTDAPSTTSNAAGGSVTAQQGSASAMNVDDEPQPPPAFEYTS >itb01g05670.t1 pep chromosome:ASM357664v1:1:3927352:3929155:1 gene:itb01g05670 transcript:itb01g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSYNRRRPSAAAAAGGAVKTPMRKPVFVKVDQLQPGTSGHNLTVKVLNCNTVLEKKPTTNTASLAGPRRPPHNTRIAECLIGDETGTILFTARNDQVELMKPETTVILRNAKIDMFKGSMRLAVDKWGRIEVTEPADFTVKEDNNLSLVEYELVNVEE >itb07g11490.t1 pep chromosome:ASM357664v1:7:12941286:12945070:-1 gene:itb07g11490 transcript:itb07g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAGLTAKLIELVNEISGISEFRCTVKKQYCNLARRLKLLTPMFEEISDIKEAVPESSLKALVSFKAGLQSAKDMLKFGSEGSKIYLALEREHIMNKFQEVTVQLEQALSGISYEKLDISDEVKEQVELVLSQFRRAKGRVDTPEDELYEDLLSVYEKSSNAATDPAVLRRLVERLQLSGIDDLMQESLTLHEMVSASVGDPGQRIEKMSMLLRKIKDFVQIDNPDIDSSRDKSSRRTGQACTEQSLKAPVIPDDFRCPISLELMRDPVIVATGQTYERSCIEKWLEAGNNTCPKTQQTLAGTTRTPNYVLRSLIAQWCEANGIEPPKRPCDSQPSKKTSVCSPVDRSKIETLLCKLASVNHEDQQTAAGEIRLLAKRNADNRVAIAEAGAIPLLVNLLSIPDSRIQEHAVTALLNLSICEDNKGRIISSDAVPGIVSVLKRGSMEARENSAATLFSLSVVDENKVTIGALGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPILIRLLTEPQGSMVDEALVMLAILASHSEGKAVIGSAMVVPLLVDVIANGTPRNRENAATVLVHLCSGDQQNLVEAQELGVMAPLLDLVQNGTERGRRKATQLLEKMNRFVEQQKQVKARTQTQNQLSLPSSSSTALSS >itb12g26230.t1 pep chromosome:ASM357664v1:12:27216479:27218542:1 gene:itb12g26230 transcript:itb12g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYTGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYESTARSWTQKYAMG >itb08g04310.t1 pep chromosome:ASM357664v1:8:3448565:3451455:1 gene:itb08g04310 transcript:itb08g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLQRFDAHSKTNEETVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >itb09g24730.t1 pep chromosome:ASM357664v1:9:24531348:24532070:-1 gene:itb09g24730 transcript:itb09g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKFEEVEFRLIIFRERNKVADAVAKLTREHPSDSLLFSVPLASLVFSRSPPEHPNNSLVFSVPLAGLVFSVSLVSTPNDSLVFSVPFASTPVTLWCSLFPCPLWSIDDRQGILI >itb12g00850.t1 pep chromosome:ASM357664v1:12:593778:596883:-1 gene:itb12g00850 transcript:itb12g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MRTLRILSSYLKNRPAGFFNPLKRRFHSTCYMRSGDRRNVPVERSAPVVAASWRSYILPGAVLGGFGGLVLFLHYNDERRAIPKGQGVKFERSSIQGPIIGGPFSLIGTDGRFVTEQNFLGNWVLLYFGYTSSPDVGPAEVQKMSKTINILGSKQDCKILPVFVSIDPQRDNPSHLRAYIREIDPSIVGLTGHVTAVRQMAQEYRVFFRKVDEEGGDYLVESSDNMYLMNPNMEVVRSFGVEYDAEDLAEAIEREVKKAGNRHSG >itb12g00850.t2 pep chromosome:ASM357664v1:12:593778:595831:-1 gene:itb12g00850 transcript:itb12g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MYGQSRRFHSTCYMRSGDRRNVPVERSAPVVAASWRSYILPGAVLGGFGGLVLFLHYNDERRAIPKGQGVKFERSSIQGPIIGGPFSLIGTDGRFVTEQNFLGNWVLLYFGYTSSPDVGPAEVQKMSKTINILGSKQDCKILPVFVSIDPQRDNPSHLRAYIREIDPSIVGLTGHVTAVRQMAQEYRVFFRKVDEEGGDYLVESSDNMYLMNPNMEVVRSFGVEYDAEDLAEAIEREVKKAGNRHSG >itb04g31870.t1 pep chromosome:ASM357664v1:4:34547771:34551549:1 gene:itb04g31870 transcript:itb04g31870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPELGGGWGKRVVVIGGGVAGSFIAKSLQFHGDLTLIDPKEYFEIPYASLRGMMEPSFVERTLIHHKDYLTNGRLVVSKAVNITNSEVLTEEGRSLCYDYLVLATGHIDSAPKTRKERLAEYQAENEKIKDANSILIVGGGPTGVELAAEIAVDFPDKKVTLVHRGPRLLEFIGPKAADKTLDWLRSKNVEVKLMQSVEVSVNSGRRDTYITSSGETIKADCIFQCMGKPMGSDWLKGTLMKDSMDNLGRLKVDENLRVKGHKNIFAIGDITDIKEIKQGYFAQKHAKIAANNLKLLMNGGNERKLAAYKPHSPVAIVSLGRHDAVAQFPYTTVIGLIPGLIKSKDLFGHNMELKEESGIGNPPRQGGVAGRRVVVIGGGVSGSLVAKSLQLRADVTLIDLKDYFEIPFASSRGMIEPAISERSVIHHKDYLPHGCLVVSKAINVTRSEVLTEDGRSVAFDYLVIATGHDNPIPRTRTQRLAEYQAEYEKIRAAGSILIIGGGPTGVELAAEIAIEFPAKKVTLVHDGSRLLKFIGRNAASKALGWLRSKNVEVKLRQSVDPNSISDGSKTYVSSRGEIIQADCVFLCTGKPAGSAWLKETMLRDSMDNLGRLKVDEGLRVKGHGNIFAIGDITDVKEIKQGSSALKHALIAAKNLEMMMSSGQRDGKLAAYNRRSSPTVTVSLGREEAVTEMAFGTAIGVLPGLIKCKDLYVGETRKLLGLNY >itb07g03910.t1 pep chromosome:ASM357664v1:7:2634608:2635336:-1 gene:itb07g03910 transcript:itb07g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASLSNIPIDIIRHILLQSPVKSVIRCQCVCKQWRSLIQDDSDFKLSYRGQKRVIILLDEFDRFVVRSTSHDLRLQRHKWPFGEGYQLIRARNKYFVTLLCYCNGLVLVVTERDLLLWNLSSRCSTKVLERPYPYPESILAGLCYDSCTRDYKAVLVHYSAYGPKLVLSASLNHKEWRPIEFPYSCLHSSIKCGVNFRNTFYWWVVSGINQDWDILYWDNKIIYFDPVSDEFRNLLTPN >itb10g23090.t1 pep chromosome:ASM357664v1:10:27628907:27630001:-1 gene:itb10g23090 transcript:itb10g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNELQPCQQCPAWISPNLSHVSALLQLRQQVNLPSFPNSSAFSANALSPGISTLLNGSPNLEAAQTNETNGVFLPMLPDLNILPPPATNNQYLSESQPAGRRVLPIDTSVKKFLIFDQSGDQTRLFIGPSFSPQNELLSKKIVGNYGSQPENLGTLSGHSSPMEPIIEEPLNRTHGSCKGSEMHEDSEEINALLYSDGDEDEEHDDDDDVDGEDDEVTSTGHSPFMVTQSISDHQQLRQLSEDTASSDGSSKRQRLHDGGYKKSFTHTATSAKIPKSCTNVDDGESSCVKESNSHMCNREKKIRIRETLRVLQSLILDINSNNDPLLVIDEAINYLKFMKLKVKEMGVELELPQAHAPFPQ >itb10g16240.t1 pep chromosome:ASM357664v1:10:22498437:22508321:-1 gene:itb10g16240 transcript:itb10g16240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKFTVEVGPAKEANGEKPSIGPVYRSVFAKDGFPPPIDGMDCCWDVFRLSVEKYPNNRMLGRREIVNGKAGKYVWMTYKEVYDVVMKVGSSIRSCGVEQGGKCGIYGANCPEWMISMEACNAHGLYCVPLYDTLGAGAVEFIISHAEVTIAFAEEKKMPELLKTFPNAAKYLKTVVSLGKVAPEQKEEFEKFGVVVYPWEEFLLLGKDKHFDLPVKKKTDICTIMYTSGTTGDPKGVMISNNSIVTLIAGVKRLLECANEALTANDVYLSFLPLAHIFDRAIEECFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYSGLQQKISTGGLVKNTMFNFAYAFKFHNMKKGRRHYEASPLCDKMVFSKVKAGLGGRVRIILSGAAPLASHIETFLRVVACAHVLQGYGLTETCAGTFVSLPNQLDMLGTVGPPVPNVDVCLESVPEMGYDALSSIPRGEICVRGDTLFSGYYKREDLTQEVMIDGWFHTGDIGEWQPNGSLKVIDRKKNIFKLSQGEYVAVENLENIYGEISVIDMIWIYGNSFEYFLVAVINPNKPLVEKWAEQNGLAGGFEALCENPSVKEYILGELSKTAKEKKLKGFEVIKAVHLDPVPFDMERDLLTPTFKKKRPQLLKYYQNIIDIMYKNTNSAK >itb05g24780.t1 pep chromosome:ASM357664v1:5:29435574:29437202:-1 gene:itb05g24780 transcript:itb05g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPTSHHSHFSPLSSLSLLPPPPPSSLQAVMECVELGAIKRFFRPQAALKMTHQQGYLENEFAAACNGQAGAGAISGDDLFVDELLNLSNEFVEAEEKKEEEEKVDEKPVEKREECVENLSVTLASVSPQKGEREAEAVSFPVTDDFASVPGSELSVPTDDLDNLEWLSHFVEESFSDEYSLTCPAGKLLPLPSEKNNHLSRSETPVQGKPSFISPVQTKARTKRARTGVRVWPVGAPPSFTESSASSSSSSSSSSTTTLSSSPPNRWFMDQLIGKPVAKKPSMHSAQQSRRCSHCGVQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSRELHSNNHRKVLEMRRKKEAETGPGLGSPVQSF >itb06g12360.t1 pep chromosome:ASM357664v1:6:16980572:16982078:1 gene:itb06g12360 transcript:itb06g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILAKPIQLADLVTKNVDGVSSFRQDYYEIKVKTEKLAALLRQAARASGDLYEWPTRRIIEDAEQVLDKALGLILKCRASGLSKVFTIIPAAAFRKTTVQLENSIGDVSWLLRVSTPADDRDDEYLGLPPIGANEPILCLIWEQIAILCSGSLEERADAAASLVSLARDNDRARERVESGRAARPRSGERRTHRECGCLPGVCENSQRRAYEVQVVVAWAIAELAASYPKCQDHFAQTNTIHLLVSHLAFETIQEHSKYTIPTKHQMSIHKVVMSNSNPNAQESESNIPHPLSNGIGKQIHNVVTDTLAMKSSNLAPQGNLPNEVVAKAKANNPKRANNPNSAKIARQRQLSLRHNFTVYSFVTESRALLCFSILLEKGADDVQYYSAMALMEITPVVEQHSDLHRSAFKPNAPAAKAVVDQFLKIIEKADSDLLIPNIRAC >itb03g13950.t1 pep chromosome:ASM357664v1:3:13880989:13886436:-1 gene:itb03g13950 transcript:itb03g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNHKSPVIADAAPVNNSRIGIASLLPYSPADVMKSSSPTHKNRSKDFSIDHISNENDVLYYNWTLRYPSALASFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRAAVKNVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVHPVTSDYKCISSTDKQGKEVALFQPAIEFLPMIDEVFRLLVEITRDIAGANVENNKFCVSVHYRNVEEQSWETIGESVNQLLKHYPRLRLTHGRKVLEIRPVLDWDKGKAVEFLLESLGLKDCDDVLPIYIGDDRTDEDAFKFLRVGNRGYGILVSSTPKESNATYSLRDPSEVMEFLKLLVTWKK >itb03g13950.t3 pep chromosome:ASM357664v1:3:13881104:13886384:-1 gene:itb03g13950 transcript:itb03g13950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNHKSPVIADAAPVNNSRIGIASLLPYSPADVMKSSSPTHKNRSKDFSIDHISNENDVLYYNWTLRYPSALASFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRAAVKNVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVHPVTSDYKCISSTDKQGKEVALFQPAIEFLPMIDEVFRLLVEITRDIAGANVENNKFCVSVHYRNVEEQSWETIGESVNQLLKHYPRLRLTHGRKVLEIRPVLDWDKGKAVEFLLESLGLKDCDDVLPIYIGDDRTDEDAFKFLRVGNRGYGILVSSTPKESNATYSLRDPSEVMEFLKLLVTWKK >itb03g13950.t2 pep chromosome:ASM357664v1:3:13880989:13886436:-1 gene:itb03g13950 transcript:itb03g13950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNHKSPVIADAAPVNNSRIGIASLLPYSPAGTTFSHKLFLTIPRMKPIILDDLQSNTWLDVMKSSSPTHKNRSKDFSIDHISNENDVLYYNWTLRYPSALASFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRAAVKNVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVHPVTSDYKCISSTDKQGKEVALFQPAIEFLPMIDEVFRLLVEITRDIAGANVENNKFCVSVHYRNVEEQSWETIGESVNQLLKHYPRLRLTHGRKVLEIRPVLDWDKGKAVEFLLESLGLKDCDDVLPIYIGDDRTDEDAFKFLRVGNRGYGILVSSTPKESNATYSLRDPSEVMEFLKLLVTWKK >itb03g13950.t5 pep chromosome:ASM357664v1:3:13881145:13886429:-1 gene:itb03g13950 transcript:itb03g13950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNHKSPVIADAAPVNNSRIGIASLLPYSPAGTTFSHKLFLTIPRMKPIILDDLQSNTWLDVMKSSSPTHKNRSKDFSIDHISNENDVLYYNWTLRYPSALASFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRAAVKNVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVHPVTSDYKCISSTDKQGKEVALFQPAIEFLPMIDEVFRLLVEITRDIAGANVENNKFCVSVHYRNVEEQSWETIGESVNQLLKHYPRLRLTHGRKVLEIRPVLDWDKGKAVEFLLESLGLKDCDDVLPIYIGDDRTDEDAFKVMANIPEFET >itb03g13950.t4 pep chromosome:ASM357664v1:3:13881104:13886384:-1 gene:itb03g13950 transcript:itb03g13950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNHKSPVIADAAPVNNSRIGIASLLPYSPAGTTFSHKLFLTIPRMKPIILDDLQSNTWLDVMKSSSPTHKNRSKDFSIDHISNENDVLYYNWTLRYPSALASFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRAAVKNVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVHPVTSDYKCISSTDKQGKEVALFQPAIEFLPMIDEVFRLLVEITRDIAGANVENNKFCVSVHYRNVEEQSWETIGESVNQLLKHYPRLRLTHGRKVLEIRPVLDWDKGKAVEFLLESLGLKDCDDVLPIYIGDDRTDEDAFKFLRVGNRGYGILVSSTPKESNATYSLRDPSEVMEFLKLLVTWKK >itb03g13950.t6 pep chromosome:ASM357664v1:3:13881104:13884067:-1 gene:itb03g13950 transcript:itb03g13950.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSNHKSPVIADAAPVNNSRIGIASLLPYSPAGTTFSHKLFLTIPRMKPIILDDLQSNTWLDVMKSSSPTHKNRSKDFSIDHISNENDVLYYNWTLRYPSALASFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRAAVKNVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVHPVTSDYKCISSTDKQGKEVALFQPAIEFLPMIDEVFRLLVEITRDIAGANVENNKFCVSVHYRNVEEQSWETIGESVNQLLKHYPRLRLTHGRKVLEIRPVLDWDKGKAVEFLLESLGLKDCDDVLPIYIGDDRTDEDAFKFLRVGNRGYGILVSSTPKESNATYSLRDPSEVMEFLKLLVTWKK >itb10g14100.t1 pep chromosome:ASM357664v1:10:20358159:20362188:1 gene:itb10g14100 transcript:itb10g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFKKGADNRNLENIMDTDKAAKIIGKNNNNNNVGAKQRSRKLIPGQGLEFNNLSYSVMKKQKKDGVWINKEAYLLHDISGQAIRGEVMAIMGPSGAGKSTFLDALAGRIARGSLEGTVRINGKPVTTSYMKMISSYVMQDDQLFAMLTVFETFMFAAEVRLPPSIPRDEKKKRVNELLDQLGLTSCAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKSGSIVLMTIHQPSFRIQVLLDQITVLARGRLVYSGSPNDLSGFLSGFGRPVPDGENGLEYLLDVIKEYDESTVGLDPLVQYQFDGVKPNQFSMNTVPKTPKTIKTPRNHPKSPWIKPMSFISSQFSIGNSAADYGHEEDDDDDDFTNSLERKTAHTPMQSGVYNPRLASHFYKDFSVWVYNGVKGTPRRQPTWTPARTPGVSGYRTPGISGVRPNFSPEPPSFSSYAPSSFEIEEILDEPENREKFANPWIREVAVLCWRTTLNVIRTPELFLSREIVLTVMALVLSSLFKNLHHFNFLTINRLLNFYIFTICLVFFSSNDAVPTFIQERFIFIRETSHNSYRASSYVISSLLVYLPFFAIQGFTFAVITKFILHLNSSLVNFWLILYASLITTNAYVMLVSSMVPSYITGYAIVIATTALFFLTCGFFLKRTQIPSVWIWLHYISAIKYPFEALLINEFRVSKRCYTGEISELSPGPLGDVKRSSTACGAGLEGRDVLNSMDIHGGSIWIDIAILLAWGVLYRFLFYFVLRFYSKNERK >itb12g01320.t1 pep chromosome:ASM357664v1:12:935214:935859:-1 gene:itb12g01320 transcript:itb12g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLLVVSVALALAGYAAAQAEGPPAASGGAASGGKEEVSDVKSNVEVQNLGRKSVMEFNKRLKVKENPENGAKRLIFTEVIKAEKQVVAGVKYYLTIKATTSDGQTKTYDAEMWVKPNETVHEMLAFAPAAA >itb03g06520.t1 pep chromosome:ASM357664v1:3:4750510:4750794:-1 gene:itb03g06520 transcript:itb03g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWEEHLGKVEECFKKPETLECVTRVNEIARDNWEKYTAESFTAPLQGHLLRYPLDVNRDGRVSSLSGFENFPDVGGKIMGAYSPVILDLLTT >itb14g06700.t1 pep chromosome:ASM357664v1:14:5935265:5936101:-1 gene:itb14g06700 transcript:itb14g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPKTQNSITNLKLMIIVCSFLFMAVMVLIRSNVTISSSPNPICPTPTEECNKISPSLANVLVHYATSNITPQQTLKEISVSLRILDKKSPCNFLVFGLGHDSLMWSALNHGGRTVFLEEDKSWIDQIRRRMPSLESYHVKYDSKVSRAEELMGIGMGIECREVVDPRASKCGLAIKNLPDEVYEVDWDVIMVDAPTGYHDEAPGRMNAIYTAGLMARNRENGETDVLVHDVDRVVENKFSKAFLCEGYFVEEEGRIRHFTVPSHRVRLGRPFCPRI >itb05g21950.t1 pep chromosome:ASM357664v1:5:27523163:27524808:-1 gene:itb05g21950 transcript:itb05g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASGIEWDLRKIDQYECYDEFAWEVQWQKEGDSLARYLVRIGEMEESIKMIQQAREGIPGGPYENLEIRRFDRIVYFVAAILNF >itb06g22950.t1 pep chromosome:ASM357664v1:6:25113105:25115321:1 gene:itb06g22950 transcript:itb06g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVIVTLLTITLVSTTAVGFDSCVSDKGDPEDLSVIHIYGKCSPFNAPSPSSSWANTVINMAAKDPERLSYLSSLVAQRPTSAPIASGQNVLNIGNYVVRAKIGTPGQLMFMVLDTSSDNAWVPCSGCYGCSSAVFAPNASATYASMDCTLPECTQVRGLACPSAGAGAGACLFNQSYGGDSSFSATLSHDSLRLGTDIIPNYAFGCINAVSGNNVPPQGLLGLGRGSMSLLSQSGPLYSGVFSYCLPSFKSYYFSGSLKLGPLGQPKNIRTTPLLKSPHRPSLYYVNLTSVSVGRVTVPIAPELLAFDPVSGSGTIIDSGTVITRFAKPAYEAIRDEFRNRVSGPFSTIGARLPFSTIGAFDTCFAVTHESVAPAITFHFQGLDLVLPMENTLIHTSAGNLACLAMAAGNSVLNVIANLQQQNLRILFDTANSRLGIARELCN >itb07g12370.t1 pep chromosome:ASM357664v1:7:14125350:14125595:-1 gene:itb07g12370 transcript:itb07g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSNPQQRQANTIPPRRGQITAQVFESVFKTLNSVAREALGWMNDGGDGENGRGGGGGGGDGGNGGGGGGFFRIFLAV >itb12g11660.t1 pep chromosome:ASM357664v1:12:10035657:10041716:1 gene:itb12g11660 transcript:itb12g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEQVGSDSNVVHSYTARCIEKLLLVKDDGAIARYTAVDISPFLLVLMTNLFQAMEKPESEENQYIMKCIMRVLGVSKISREVALHCITGLANVLNRVCQNPKNPVFNHYLFESVVVLVRRACEKDPSFVPGFEGSPDDIGERSLVRLLQAFLRKAPHELNQQGRLSNVLGIFNTLLDSQPSCHGSVVLVSSNLKETLHRLLPNWKKTFELPWKSLMAAMAALSSSNLEEDASYSSKLV >itb10g21780.t1 pep chromosome:ASM357664v1:10:26836874:26841811:-1 gene:itb10g21780 transcript:itb10g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLDADAAVAATAKRKLDEYSDDAFVSECLIPAAVRMRKDQAGPTSAADSAQVSDFRSSSAADVSTSRSSASFAAASESSSAFASFSVLPKFSGQFQFFVRPLSGGSTLVLRANSDDLVESIHDKICTSTKIPVFEQRLIYRGKQLQCDQTLAECGIQKDAILELVGRMRSTSHPQAWQFIDDMVWKIFELCKSKTLLPTGCIKTMLMEFIAKIPRDYVVDKASEYLQIFLSSGAPAALVILYMSPVIHYRDCAEESIRTFIISSKANLNKPMYVHFAPIIIEFCKLLNRAVGVNDPLYILCRSSLGSIVESVGKDLIKIEDILPFLSELAIKLTESLGLSAQSSTFMGPSANEVNDFIAFMHPVKREVEVTCAGPITLPLREGTCDGDHGKHCASYYREEIKLLHFIFVDLLEKMGLCLRRMEERLRAREKGEAEPFVIGWYQYLAILKELNGIANLFKGADELFWEMLRQRKVSLCYLVVRFAKKSDDHSWIMKHKEVTNFEARRYLAMMMLPEVKDEYDELHEMLIDRSLLLRESFEYIANADPDALRGGLFMEFKNEEATGPGVLREWFFLVCQSIFNPQNALFIACPNDCRRFFPNPASSVNQLHLEYFRFSGRVIALALMHKIQVGIVFDRVFFLQLAGNTISLEDIQDADPFLYNSCKQILEMDAELVDQDTLGLTFVCEIEELGSRKTVELCPNGKSTVVDSKNRKQYVNLLIQHRFVTSIAEQVAHFAKGFADIISSFNLQRSFFQNLDLEDLDWMLYGSETSVSVEDWKAHTDYHGFKESEPQISWFWEIVGKMTAEQRKVLLFFWTSVKFLPVEGFSGLPSRLYIYRNSEPFDRLPSSHTCFYRLCFPRYPSMAVMQDRFNIITQEHVGCSFGTW >itb11g06190.t1 pep chromosome:ASM357664v1:11:3684872:3688036:1 gene:itb11g06190 transcript:itb11g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVAAVLRKAVDVGVDLGVQAGSRLYWVSEDVDWIEREMRCIQSYIADAQAKQTSDSRVANLVNEIEDLAHHVIDILERLPPQNSSSRGFLKRVACFIPYVHSAHVFALEMEKIRRRVEEIDRRRRSYGILDSASLVYGVVAIVGMPGLGKTTLGKRIYKHVQPRFDCSAMIYVSREPQVGELLLHIARQVGCPDLGEEWSREGPEGKLCSYLRDKKYVILLDDIWDVETWDALRLGFPSDPKSGTRIIITSRDTAVGRYIGGKSSIHEMKPLAPDQSWKLFCNQVMMPEHSAETAYPKEQIVETCGGVPLSIVVIAGVLRERGTITNQYSWTEILEDIRHQHYGQQDRCTRFVSLSYDNLPIPLKDCFLYLGIFPKGHEILAFDLINMWVAEKFIFQQDHEDQEDEDVAEMYLNTLVSRNLVQVASTRCSGRIRSCRIHDILHNFCVLEANEKRFFRRLNSMRSSSGQSVLNRVQRVAINFNTDLNLENNISFSGMSHIRALLCFKTSRQRDIRFCEMRIKHFPRFSLLRVLNVELKYSRPHFPTAFWNLNHLRYIRLRGATGITFPPKISRLKNLQVLDVLKCSPVFFPQTILRMKQIRHLLLPYWPSRGAIIPQRSWDDFSWFYPPVKVCLPNLQTLHMVSSEDLERCRLFHFTSLRKLGIFDVSEELINRLCCSMDAFQKLENLFLHTEFCLFEGLDVSRLNLSGYCSLRRLRIKAPWGTLPRHQTFPPNLSELSLSSNKIVEDPMMQLKWLPWLRILKLKNSALLSEAQLMDCSGPEAFPELQMLHIENVSNLRELISDDHIGLPKLEKVVIRNCIHLTRITGKISIAAKSTGTGTVSLQMGQSRHSPSSSEQTQYTNEPVSLQKDRSRHDPSSSEQARHTNEPVSLRKDRSRHDPSGSKQAQHTTEPVSLQKGLSRHSPSRSKQARHTNEPVSLQRDLSRHSPSGSQQARHTNELVSLQIGCF >itb12g23570.t1 pep chromosome:ASM357664v1:12:25246416:25252333:-1 gene:itb12g23570 transcript:itb12g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMSGFYVGAPRGGGGGGSKCGRWNPTSDQVKVLTELFRSGLRTPTTDQIQKISSQLSFYGKIESKNVFYWFQNHKARERQKRRRVVLVDETKEDNLIPQHQRFSDINTKYNEIPDAERVIETLQLFPLNSTRESEPESEKLRVFGNDEGGCNNNKENYSSFGYTINGAEQISSRLIEKVIVHPLVLLSVVDHYNRVARDTKKRVVGVLLGNSSRGTVDVTNSYAVPFEEDDKDPSIWFLDHDYHGSMFSMFRRINAKEHVVGWYSTGPKLRQNDLNIHALFNDYVPTPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLQEIRSYLELVVDGRLPLNHEILYHLQDVFNLLPNLNVAELIKSFAVKTNDMMLVIYLSSLIRSVIALHNLINNKLLNKEHEKAEDSKPVAVPTAAGS >itb08g09290.t2 pep chromosome:ASM357664v1:8:8535926:8539025:-1 gene:itb08g09290 transcript:itb08g09290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRNTTRLISGTVRYCLTESSASSVARNVSRSGARAISSFPARCAAASDASHLEKKMPRRRLGPVYKFLLQEEGESFANKENIPKIALKHVSVSFTGQGESTSKVQTTAEASTKPHKKGGKQNQNASAANKARIRSINTAGTKVIMRLDVQNADWLSERVRERIMLMEKDRINRDGKLELTSSKTRKQELEPALF >itb08g09290.t1 pep chromosome:ASM357664v1:8:8535275:8539025:-1 gene:itb08g09290 transcript:itb08g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRNTTRLISGTVRYCLTESSASSVARNVSRSGARAISSFPARCAAASDASHLEKKMPRRRLGPVYKFLLQEEGESFANKENIPKIALKHVSVSFTGQGESTSKVQTTAEASTKPHKKGGKQNQNASAANKARIRSINTAGTKVIMRLDVQNADWLSERVRERIMLMEKDRINRDGKLELTSSKTRKQENNYEEVVTKMNKLLEKFQAIINAASYAPLPTPKKVVEKIANFRAERADC >itb09g14050.t1 pep chromosome:ASM357664v1:9:9245294:9249208:-1 gene:itb09g14050 transcript:itb09g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNVRLRREYLYRKSLEGKERLLYEKKRKIKEALEEGKPIPTELRNEEAALRQQIDLEDEHTAVPRSTIDDEYAHAAEKDPKILITTCRNPSAPLTQFAKELKIVFPNATRMNRGGQVISEIIETCRSHDFTDVILVHEHRGIPDGIIISHLPFGPTAYFGLLNVVTRHDIKDKKAMGTMPEAYPHLILNNFSTKLGERTVNILKHLFPVPKPDTKRIITFANQSDYISFRHHIYEKRGGPKSLELKEIGPRFELRLYQIKLGTMDQDEAQIEWVIRPYMNTSKKRKLLGD >itb07g03480.t1 pep chromosome:ASM357664v1:7:2334954:2339173:-1 gene:itb07g03480 transcript:itb07g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MERQPFISRWDRQQRPLRLARRGGLLSQRPKLGLTSWKRLFRYLLISLAVIAILPPLYFHFKLRRFHQVQERKCSWLRNPPLICAHGGDSSKAFPNTITAYQIALSSQVDCIEVDVSRSSDGVLFALHDRDLQRISGNDTSKVGYLSSKEIKELFASHKLQQTYHDLGVPTIEDALKLISGSVQQVILDIKIGPPLYEKGLAGDVVSSYEKLGCKNCVVWAKSDNIPRDVLSLAPDAMVGYIVMMDPSTGTRSQLLRMRGAGVVGVYHPLIDNKLVKTLHARNKKVYAWTVDDEESMNKMLIEHADAIVTSNPSALKRVMQAARAQCLGDGFSFSAQ >itb09g06580.t1 pep chromosome:ASM357664v1:9:3790460:3791594:-1 gene:itb09g06580 transcript:itb09g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMGGGSALWEGGISGGGRGEGDGVWPSSKNKVRGLHGSSPPPFLIKTYEMVDDPLTNYIISWSHSGDSFIVWNHLILASDILPRFFRHSNFSSFIYQLNNYGFRKIGLQRWEYENVWFQAGKKHLLMNIKSRHHKLRKMAQRGSLECQYEMKEELEILRDDQNMITAEMQKLKQMQEDMQSQMCAFKNHVRMVETKSREELTVLAKAMNKVMMKEVLKYVRERKEQKLDLQGSGEMSKSGEGKDEIIEVDTSESSKSSGAETGKDKEEEEQQKEEVKLDDLMISKMLMDEAEDVIAKTTSLEEEEVVVVNLEL >itb10g04020.t1 pep chromosome:ASM357664v1:10:3795429:3797585:1 gene:itb10g04020 transcript:itb10g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIIYCAFTFVLFLLAHLGGSADIKVAAKAGVDISPELLKAKAWTEACAATTPSTIVIPKGTFEMKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVVRIKTWPNSHPGVVTDIHYGPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb04g04360.t1 pep chromosome:ASM357664v1:4:2690992:2692251:1 gene:itb04g04360 transcript:itb04g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKLGPPSKNSITHFSHPHPLELITTPSSSSLLSSHSCSACKLQLLSGSPFYACKTCNFSLHSDCFKLHKEITHPFHSHHVFTLLPQTPYPSGRFTCDACGEQSDGFSYRCTPCGLDLHVQCAVLPLSITHRSHHHPLQIVFPSVHSRMEVFSCDVCKSLGQGKFWKYRCHSCDFDAHLNCTKMLLSQSSQQGQTTVNASASRVSPPPLPRPNLGFRPQVPQQFNRPNLQNLMTPNVNLQGMNNGGRQNFEELVRAYVENYSRLYREQQAALGGVGGGGLSTQSGNYGGSFPGLDSYGGGGGGGGGGLDFLQGLNGGGGVNGGGDEYLRLLNGGGGGGLDFLQLLNAAGGSGGAGGLDYLQAFAGGGGGGGGGGTAALLQALMGGGGGVSNLSNILGGDGGASMINTLAGLFGGLNF >itb14g17300.t1 pep chromosome:ASM357664v1:14:20561842:20569633:1 gene:itb14g17300 transcript:itb14g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKVCENEQFVPQGFKAAKDVFKKKNTSSAIGGTGAFHGSSSAANDRSRGQRRDNFSHGKHPKGPQTSGNVGSSWGNKNSVGQNVMGLRRSEASLWLSLINKLSKKSLLPVVIFCFSKTRCDKSADNLPGTDLTTSSEKSEIRIFCDKAFSRLKGSDRNLPQIVRIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVVKVLFSTETFAMGVNAPARTVAFDHLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVIVLCRDEIAEERDLKNVMVGSATKLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPERQQLLMRKRAQPKKSVECIKGEPAIEEYFEMYSEAEMYSKQISESVMQSPVAQQYLIAGRVVVVRSQQGQDHLLGVVVKTPASNNKQYIVLVLTPDLPSTLQSQSGTGKPNDKKAPDFQILIPKSKRGLDDEYCPSVTSRKGSGIINIKLPHHGNAAGVNYEVRGVENKEFLCICNVKIKIDQVRLLEDVSAGAYSYTVQQLLGLKSEGNKYPPALDPVKELKLKDVDLVEAYYKWSNLLQKMAQNKCHGCVKLDENIKLAGEMKRHKEELERLEFQMSDEALQQMPDFLGRIDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQMDDLEPEEAVAIMSAFVFQQKESKAPSLTPKLAQARKRLYDTAIRLGELQAQFKLQIEPREYAEENLKFGLVEVVYEWAKGTPFADICELTDVPEGVIVRTIVRLDETCREFKNAAAIMGNSALYKKMETASNVIKRDIVFAASLYITGI >itb03g12640.t1 pep chromosome:ASM357664v1:3:12227105:12229367:-1 gene:itb03g12640 transcript:itb03g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFEYRTSLPTTTAPLPPTATAPPSHRRHRSAAQQTSTNISLGSSLTPTGKSSWLSPNGHFAFGFYQQGSSGYVVGIFVAGIPERTPVWTANRDTNPIFPSNSTLVLKSDGRLVVLLIMSFDNPTNTLLPGQSLSNGKELVSSASGNDDTCGIFRIKMQSDGNLVQYPVQTTDTGENAYWASNTAGQGGFPKEKYIYIAKLDVDGIFRLYSRPFGHGNWSVLWSTTADKCAPKGICGVNAFCTTMDTESECRCLPGFDFVIPGNWSSGCIRNFTALSCHSTGGGDIDYEMRALDNTTWQSNSYAVLKALTKEECEQTCLEDCNCDAVLFKDGECRKDRLPLKYGRRSKGDSNSALIKIGLTIRRGGLVDHRVRYN >itb09g07340.t1 pep chromosome:ASM357664v1:9:4252688:4255229:1 gene:itb09g07340 transcript:itb09g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVTSWIRRTKFSHTVYHRLEAARLNSLPITVQPGRNLGTKSRPGTTVVNPMTRPTVAQIQRNPSTNKQRAASPLPEMKLSDTFKEARSNSKRFSTPHSRRRERDNGIVGKLLQKDSFEKKVNSLKSSSGSNSPLTSSPHRHFPLVKFHDKIKGRKESAWTKYFDHSGGRVTSVDSVDDCMIDLSELFLGLRFAHGAHSQLYHGKYKDEPVAVKIIRVPDDDENGNLGFRLENQFNREVTLLSRLHHQNVIKFVGACRKQPVFCIITEYLPEGCLRAFLHKLDHKSLPLQKLVVMALDIARGMEYIHSQGVIHRDLKPENILINEDFHLKIADFGIACEEAYCDPLADDPGTYRWMAPEMIKRKPYGRKVDVYGFGLILWELVTGSIPYEDMTPVQAAFAVVNKNMRPTVPVDCPPAMKALIEQCWSLHPEKRPEFPQIVKLLEQFESSLADNGTLDLVVPDSTFQDHKKGRLLHRIQKLGPTQSNTSPMPKPKFA >itb12g23580.t1 pep chromosome:ASM357664v1:12:25259366:25261732:-1 gene:itb12g23580 transcript:itb12g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHREEDVRLGANKFSERQAIGTAAQQDKDYSEPPAAPLFEEGELMSWSFYRAGIAEFMATFLFLYITVLTVMGVVKSESKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFGKTLYMGKGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDEAWNDHWIFWVGPFAGAALAALYHQVVIRAIPFKSN >itb13g25990.t1 pep chromosome:ASM357664v1:13:31355647:31356319:-1 gene:itb13g25990 transcript:itb13g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASACRYHRIEEGERGGYWWLRRWWTGGFGGGGEREREGTVVVKVASAGLECWTGGLGGSGELVMVAAAEVWACGCCCLGVTAMVTSEVWAPTIAVVAAGLPAEVLKIEED >itb03g06650.t2 pep chromosome:ASM357664v1:3:4831037:4835679:1 gene:itb03g06650 transcript:itb03g06650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKTLFRHMLCSVCSCGQVQTGSFLDVAAPNGLFGLGFEKISVPSILSQEGYIADSFSMCFGHDGVGRINFGDKGSLDQEETPFNLNPLHPRYNVSVAQIRVGTNIIDSEFAALFDTGTSFTYLEDPPYTKLTESFHSQIQDPQYALDPRIPFEYCYEMSPNSSTSLVPTMSLTMKGGGHLVIYDPIIVMSMQSQLIYCLAVLKSQDYNIIGQNFMTGYRFVFDREKATLGWKKFDCYDIEKASMSLNKTSVQPAVAVGNGTAIESDGKNNFAQKSFAFPVYQMPIFGLIYSCLVFLVLLV >itb03g06650.t4 pep chromosome:ASM357664v1:3:4831117:4835725:1 gene:itb03g06650 transcript:itb03g06650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKTLFRHMLCSVCSCGQVQTGSFLDVAAPNGLFGLGFEKISVPSILSQEGYIADSFSMCFGHDGVGRINFGDKGSLDQEETPFNLNPLHPRYNVSVAQIRVGTNIIDSEFAALFDTGTSFTYLEDPPYTKLTESFHSQIQDPQYALDPRIPFEYCYEMSPNSSTSLVPTMSLTMKGGGHLVIYDPIIVMSMQSQLIYCLAVLKSQDYNIIGQNFMTGYRFVFDREKATLGWKKFDCYDIEKASMSLNKTSVQPAVAVGNGTAIESDGKNNFAQKSFAFPVYQMPIFGLIYSCLVFLVLLV >itb03g06650.t5 pep chromosome:ASM357664v1:3:4830655:4835709:1 gene:itb03g06650 transcript:itb03g06650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLIFLITATGLLVSSSHARIFTFELHHRFSDPVKKLAAQRTGGVSFPVDNWPAKGSLDYYTQLAGHDRFLHGRRLAKSDGKLTFADGNSTFRISSLGFLHYATVTLGTPGMKFLVALDTGSDLFWVPCDCGNCTSTDDSTLYNSDFALSIYNLNGSSTSKKVTCSNSFCYHRNNCLGTFSHCPYSVSYLSSETSTSGILVEDILHLKTDDNEEDFVQAYVVFGCGQVQTGSFLDVAAPNGLFGLGFEKISVPSILSQEGYIADSFSMCFGHDGVGRINFGDKGSLDQEETPFNLNPLHPRYNVSVAQIRVGTNIIDSEFAALFDTGTSFTYLEDPPYTKLTESFHSQIQDPQYALDPRIPFEYCYEMSPNSSTSLVPTMSLTMKGGGHLVIYDPIIVMSMQSQLIYCLAVLKSQDYNIIGQNFMTGYRFVFDREKATLGWKKFDCEFSALSIQIPTVQFPKQGMKFMSVGN >itb03g06650.t6 pep chromosome:ASM357664v1:3:4831117:4835725:1 gene:itb03g06650 transcript:itb03g06650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVALDTGSDLFWVPCDCGNCTSTDDSTLYNSDFALSIYNLNGSSTSKKVTCSNSFCYHRNNCLGTFSHCPYSVSYLSSETSTSGILVEDILHLKTDDNEEDFVQAYVVFGCGQVQTGSFLDVAAPNGLFGLGFEKISVPSILSQEGYIADSFSMCFGHDGVGRINFGDKGSLDQEETPFNLNPLHPRYNVSVAQIRVGTNIIDSEFAALFDTGTSFTYLEDPPYTKLTESFHSQIQDPQYALDPRIPFEYCYEMSPNSSTSLVPTMSLTMKGGGHLVIYDPIIVMSMQSQLIYCLAVLKSQDYNIIGQNFMTGYRFVFDREKATLGWKKFDCEFSALSIQIPTVQFPKQGMKFMSVGN >itb03g06650.t1 pep chromosome:ASM357664v1:3:4830579:4835725:1 gene:itb03g06650 transcript:itb03g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLIFLITATGLLVSSSHARIFTFELHHRFSDPVKKLAAQRTGGVSFPVDNWPAKGSLDYYTQLAGHDRFLHGRRLAKSDGKLTFADGNSTFRISSLGFLHYATVTLGTPGMKFLVALDTGSDLFWVPCDCGNCTSTDDSTLYNSDFALSIYNLNGSSTSKKVTCSNSFCYHRNNCLGTFSHCPYSVSYLSSETSTSGILVEDILHLKTDDNEEDFVQAYVVFGCGQVQTGSFLDVAAPNGLFGLGFEKISVPSILSQEGYIADSFSMCFGHDGVGRINFGDKGSLDQEETPFNLNPLHPRYNVSVAQIRVGTNIIDSEFAALFDTGTSFTYLEDPPYTKLTESFHSQIQDPQYALDPRIPFEYCYEMSPNSSTSLVPTMSLTMKGGGHLVIYDPIIVMSMQSQLIYCLAVLKSQDYNIIGQNFMTGYRFVFDREKATLGWKKFDCYDIEKASMSLNKTSVQPAVAVGNGTAIESDGKNNFAQKSFAFPVYQMPIFGLIYSCLVFLVLLV >itb03g06650.t3 pep chromosome:ASM357664v1:3:4830579:4835694:1 gene:itb03g06650 transcript:itb03g06650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKKTLFRHMLCSVCSCGQVQTGSFLDVAAPNGLFGLGFEKISVPSILSQEGYIADSFSMCFGHDGVGRINFGDKGSLDQEETPFNLNPLHSPRYNVSVAQIRVGTNIIDSEFAALFDTGTSFTYLEDPPYTKLTESFHSQIQDPQYALDPRIPFEYCYEMSPNSSTSLVPTMSLTMKGGGHLVIYDPIIVMSMQSQLIYCLAVLKSQDYNIIGQNFMTGYRFVFDREKATLGWKKFDCYDIEKASMSLNKTSVQPAVAVGNGTAIESDGKNNFAQKSFAFPVYQMPIFGLIYSCLVFLVLLV >itb02g16660.t1 pep chromosome:ASM357664v1:2:12625907:12633482:1 gene:itb02g16660 transcript:itb02g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAFYRWLLERYPRSVEPAVEETPATVNGVTVPIDTTGPNPNGYEFDNLYLDMNGIVHPCFHPEGLPPPKTYDDVFMAVFKYLDRIFSIIRPRKLLFMAIDGVAPRAKMNQQRARRFRAAKDAADAADAASGIENLRGIHESKGADSSDTKRLDSNVITPGTEFMAMLSSALQYYVQTRMNEDPGWKGIKVILSDASVPGEGEHKIVSYIRLQRNLHGFDPNTRHCLYGLDADLIMLALATHEVHFTVLREDVRKAHSKDRGPKHLKHVQVAECGKELENFISQQKFQLLRIWVLRDYLAYDLQIPDSAVKIDLERLIDDFVFMCLFVGNDFLPHMPSLEISEGAIDLLMNVYKKEFVQMGGYLTNSFEVNLKRVEHFIQAVGSCENRIFKKRIQARKEWERPMRHHSTKSGPKGNQTKSHANFKTALEDDKVKLGEEGWKDRYYAEKFGVESVEDCDRVRRDTVLKYAEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFHGFDQLEITFTLGKPFKPFDQLMGVLPAASAQALPLSYRELMTDPLSPILDFYPPDFELDINGKRHSWQAVCKLPFIEEFRLLSEIGKVENTLTDEEKKRNSLGLDMLFIHGSHPLGGKIFSFCERNKDNPKLTQTKVKRKINPKFSHGMNGYMYISDKPVCPIEIPSPIDGMDMIRDNKVICVFYKVPSFHPHITRLPEGVILPGKAIKKHDIKPPPMLWHEKTAITTGRVTVRPMPPKSISGSCLARLAHRLVSENLIVPRQGNGVNMALQAPLSNPTCPDDENLDECRKRKRKAEKRKAQHERKRQRLKQLEDAIADPSQKSSIGPAVYACNNAPEQCTMILGNISGDISTCA >itb02g16660.t2 pep chromosome:ASM357664v1:2:12625919:12633379:1 gene:itb02g16660 transcript:itb02g16660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAFYRWLLERYPRSVEPAVEETPATVNGVTVPIDTTGPNPNGYEFDNLYLDMNGIVHPCFHPEGLPPPKTYDDVFMAVFKYLDRIFSIIRPRKLLFMAIDGVAPRAKMNQQRARRFRAAKDAADAADAASGIENLRGIHESKGADSSDTKRLDSNVITPGTEFMAMLSSALQYYVQTRMNEDPGWKGIKVILSDASVPGEGEHKIVSYIRLQRNLHGFDPNTRHCLYGLDADLIMLALATHEVHFTVLREDVRKAHSKDRGPKHLKHVQVAECGKELENFISQQKFQLLRIWVLRDYLAYDLQIPDSAVKIDLERLIDDFVFMCLFVGNDFLPHMPSLEISEGAIDLLMNVYKKEFVQMGGYLTNSFEVNLKRVEHFIQAVGSCENRIFKKRIQARKEWERPMRHHSTKSGPKGNQTKSHANFKTALEDDKVKLGEEGWKDRYYAEKFGVESVEDCDRVRRDTVLKYAEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFHGFDQLEITFTLGKPFKPFDQLMGVLPAASAQALPLSYRELMTDPLSPILDFYPPDFELDINGKRHSWQAVCKLPFIEEFRLLSEIGKVENTLTDEEKKRNSLGLDMLFIHGSHPLGGKIFSFCERNKDNPKLTQTKVKRKINPKFSHGMNGYMYISDKPVCPIEIPSPIDGMDMIRDNKMCIL >itb02g03960.t1 pep chromosome:ASM357664v1:2:2369726:2374772:1 gene:itb02g03960 transcript:itb02g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MAKKLGKKARKFAKKNLQSVHRRQRKNKVLFKKRSSSKGEQNTAENKAGTRERTNGRSIHDDVFEDTSLDAAFIEDESDIAEDASDSDGYLSEESTGEHATESEPGNALEDNNDACSLSMENRKIFEDLTIKKKKLERLKKKDPDFCKFLERYKDVEVHQKGGTDSDEDEMNNNVTDSVDEDNLGEGNRKILNDAVIGSWRQLVKDDCRESAVVSILNAYRTACHYGAESVPHHFQSSDAFCNLILFVLSEADNLIRGLLQISSSNSEKEVIRELNNTSKLKKAKPLIKSYLRSTLFLLNQVTDSEILAFALTRLRASLVFFTAFPSLLNRLIKTTVHLWATGGGVLSSASFVIIWDAAATFTSDCFENCIVKTFVAYLAQSRVSEVVNVKHMQFLRNSLVDLFSVDVERCSKKAVLSISQLAKVLRWGLHTKKREAVKKICSWEYTNCVDLWVAFISANIRNYDLQPLFFTMVQLINGVACMFAGPRYFPLRLKCIQWLNSLSNSSGTFVPVASFVLDVLEYKIVKEGGKPGNAVKFDSVLKLPKHCLKSRTFQEECVFSAIEQLSAHFAQWSYHISFPELATIPIIRLKKFCEMTSTDSSKRVINRLIDQVEQNVGFVQKKRDEVAFSPNDHQSVELFLQLEKSSLKAAPFTQYYKSVHDKAALRTLRKNEKMSLPKPKRKRGQLRDGVDADAASADKERHNPPKNDDAMVNLKGKKQRTVKA >itb02g03960.t3 pep chromosome:ASM357664v1:2:2369726:2374772:1 gene:itb02g03960 transcript:itb02g03960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MAKKLGKKARKFAKKNLQSVHRRQRKNKVLFKKRSSSKGEQNTAENKAGTRERTNGRSIHDDVFEDTSLDAAFIEDESDIAEDASDSDGYLSEESTGEHATESEPGNALEDNNDACSLSMENRKIFEDLTIKKKKLERLKKKDPDFCKFLERYKDVEVHQKGGTDSDEDEMNNNVTDSVDEDNLGEGNRKILNDAVIGSWRQLVKDDCRESAVVSILNAYRTACHYGAESVPHHFQSSDAFCNLILFVLSEADNLIRGLLQISSSNSEKEVIRELNNTSKLKKAKPLIKSYLRSTLFLLNQVTDSEILAFALTRLRASLVFFTAFPSLLNRLIKTTVHLWATGGGVLSSASFVIIWDAAATFTSDCFENCIVKTFVAYLAQSRVSEVVNVKHMQFLRNSLVDLFSVDVERCSKKAVLSISQLAKVLRWGLHTKKREAVKKICSWEYTNCVDLWVAFISANIRNYDLQPLFFTMVQLINGVACMFAGPRYFPLRLKCIQWLNSLSNSSGTFVPVASFVLDVLEYKIVKEGGKPGNAVKFDSVLKLPKHCLKSRTFQEECVFSAIEQLSAHFAQWSYHISFPELATIPIIRLKKFCEMTSTDSSKRVINRLIDQVEQNVGFVQKKRDEVAFSPNDHQSVELFLQVCYSID >itb02g03960.t2 pep chromosome:ASM357664v1:2:2370696:2374772:1 gene:itb02g03960 transcript:itb02g03960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MENRKIFEDLTIKKKKLERLKKKDPDFCKFLERYKDVEVHQKGGTDSDEDEMNNNVTDSVDEDNLGEGNRKILNDAVIGSWRQLVKDDCRESAVVSILNAYRTACHYGAESVPHHFQSSDAFCNLILFVLSEADNLIRGLLQISSSNSEKEVIRELNNTSKLKKAKPLIKSYLRSTLFLLNQVTDSEILAFALTRLRASLVFFTAFPSLLNRLIKTTVHLWATGGGVLSSASFVIIWDAAATFTSDCFENCIVKTFVAYLAQSRVSEVVNVKHMQFLRNSLVDLFSVDVERCSKKAVLSISQLAKVLRWGLHTKKREAVKKICSWEYTNCVDLWVAFISANIRNYDLQPLFFTMVQLINGVACMFAGPRYFPLRLKCIQWLNSLSNSSGTFVPVASFVLDVLEYKIVKEGGKPGNAVKFDSVLKLPKHCLKSRTFQEECVFSAIEQLSAHFAQWSYHISFPELATIPIIRLKKFCEMTSTDSSKRVINRLIDQVEQNVGFVQKKRDEVAFSPNDHQSVELFLQLEKSSLKAAPFTQYYKSVHDKAALRTLRKNEKMSLPKPKRKRGQLRDGVDADAASADKERHNPPKNDDAMVNLKGKKQRTVKA >itb11g05020.t1 pep chromosome:ASM357664v1:11:2936934:2938609:1 gene:itb11g05020 transcript:itb11g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGGHGNGSRGRSYGLLLMLAFGAAIFGVMILHKLRERRIYNLLVQEKDHQLHSLQLLLQKEKDNAKDAKRQVEETKAKMQKLRTKKLNLETAILEMESTISSLREEQRTIASTLEEKQNEIKTLMDRNRGGENRESARFSAMEEALRQKEAEIEELKQRVESPVKVWSVSVDDPSNLPINLTAKAAGKLSNADADAGSNENINNNNGGETGAQTEVSSKKYHKKEDSQEVSSNTSMGNTINRSEVRTIIGGDQAGNIVNSERNGVMKLGKAYSSEILGRRTRGKRRRISITNRGGSEISLMKSETEGVDSMRSRKPFTESMESERDKRTEDGRERRNEYTLEDPKAELPNRLDAETVKSNLDRNDIDAIIAANEKSAADTGDKHGRIDNGKTEQQMDEGQEIKVTSTEYEKSPSNLQNMPANSTVYEDSRLLNKTENQKSEENGQPEVTNTIRDNKDTEDHEASRITERDLKEDDPEVEDDQETETEIDTEAVTSRNSTSDAEEDDETEF >itb15g01050.t1 pep chromosome:ASM357664v1:15:576068:577554:1 gene:itb15g01050 transcript:itb15g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVSTTLSKLLKPTSASTAAAAKPFALLSSKTAALSPPISSAFSLRLPHLRRRPGALRFSTAPRISVGEKLPDATLSFFESSSDDLQTVSISDLTAGKKAILFAVPGAFTPTCSQKHLPGFVEKAAEIKAKGVDTIACISVNDAFVMKAWKENLGVKDEVLLLSDGNGEFTKAIGCELDLTDKPVGLGVRSRRYAMLVEDGVVKVLNLEEGGAFNVSSAEDMLKAL >itb10g09730.t1 pep chromosome:ASM357664v1:10:13234889:13236731:-1 gene:itb10g09730 transcript:itb10g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQFSTASAFHRRSHHRCCSLRPPPRPHLRLSHRSIAFAPATAPAVVPLPLSLTLTPLPPAPPRRLHCDASTAADSHYHSLRSTETKGSKSLLFAAGLRPTPAQATAVQEWTLPEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEMAGLGNFAKGHFIR >itb07g04580.t1 pep chromosome:ASM357664v1:7:3076980:3078388:-1 gene:itb07g04580 transcript:itb07g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSTNNIKFLCSYGGKILPRHPDGKLRYYGGETRVLSVDRSISFSELLVKLGEMYGASVSLRCQLPAEDLDALVSITSDEDLANLIEEYDRCGSIKIRAFLSPPKSTKKGSPPLLSTASSSTSNSSMSPRLPYTAPRFLASSRGGKCIHQMSKLSVMSPCYEKRGGKPPQYAHHLGPGNTGHIYLIHHGNYWQ >itb01g02180.t6 pep chromosome:ASM357664v1:1:1416941:1420188:1 gene:itb01g02180 transcript:itb01g02180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNQFQEKMNQIKADDEMPLWGLQVFGYQKTLPKLDFPELTRCRFLQSFLKNELNSLVELKTDEGQSFLEGLLRDGWLLKLISSHGRLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILSSKSEFDLQNFEIEWLPDYSELISALDVYGFLLDAPSKSSSSMELDHLDSDSSSPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLVLILDECLHSLISFFSDNEFDVSCVKIAKSLTCRFAFCVVFYSIHFV >itb01g02180.t2 pep chromosome:ASM357664v1:1:1416573:1421283:1 gene:itb01g02180 transcript:itb01g02180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNQFQEKMNQIKADDEMPLWGLQVFGYQKTLPKLDFPELTRCRFLQSFLKNELNSLVELKTDEGQSFLEGLLRDGWLLKLISSHGRLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILSSKSEFDLQNFEIEWLPDYSELISALDVYGFLLDAPSKSSSSMELDHLDSDSSSPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLVLILDECLHSLISFFSDNEFDVSCVKIAKSLTCRLATDISCLRAVDSISVRDAHSKRLKSAAAFEFLIACFDSKVSDAEGILKSIISINLRDESFDLQKMYIYLILVENWLFCDPMLKDNPELIEMWAVCLRNCTCQISSTDLRSYALKVRSKASYLSQGNAKKW >itb01g02180.t5 pep chromosome:ASM357664v1:1:1416573:1420188:1 gene:itb01g02180 transcript:itb01g02180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKADDEMPLWGLQVFGYQKTLPKLDFPELTRCRFLQSFLKNELNSLVELKTDEGQSFLEGLLRDGWLLKLISSHGRLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILSSKSEFDLQNFEIEWLPDYSELISALDVYGFLLDAPSKSSSSMELDHLDSDSSSPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLVLILDECLHSLISFFSDNEFDVSCVKIAKSLTCRFAFCVVFYSIHFV >itb01g02180.t4 pep chromosome:ASM357664v1:1:1416576:1421283:1 gene:itb01g02180 transcript:itb01g02180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNQFQEKKTLPKLDFPELTRCRFLQSFLKNELNSLVELKTDEGQSFLEGLLRDGWLLKLISSHGRLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILSSKSEFDLQNFEIEWLPDYSELISALDVYGFLLDAPSKSSSSMELDHLDSDSSSPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLVLILDECLHSLISFFSDNEFDVSCVKIAKSLTCRLATDISCLRAVDSISVRDAHSKRLKSAAAFEFLIACFDSKVSDAEGILKSIISINLRDESFDLQKMYIYLILVENWLFCDPMLKDNPELIEMWAVCLRNCTCQISSTDLRSYALKVRSKASYLSQGNAKKW >itb01g02180.t3 pep chromosome:ASM357664v1:1:1416573:1421283:1 gene:itb01g02180 transcript:itb01g02180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKADDEMPLWGLQVFGYQKTLPKLDFPELTRCRFLQSFLKNELNSLVELKTDEGQSFLEGLLRDGWLLKLISSHGRLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILSSKSEFDLQNFEIEWLPDYSELISALDVYGFLLDAPSKSSSSMELDHLDSDSSSPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLVLILDECLHSLISFFSDNEFDVSCVKIAKSLTCRLATDISCLRAVDSISVRDAHSKRLKSAAAFEFLIACFDSKVSDAEGILKSIISINLRDESFDLQKMYIYLILVENWLFCDPMLKDNPELIEMWAVCLRNCTCQISSTDLRSYALKVRSKASYLSQGNAKKW >itb01g02180.t1 pep chromosome:ASM357664v1:1:1416581:1421266:1 gene:itb01g02180 transcript:itb01g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTDEPLDFEYEDPPAISNKKKKVIGLDDLLNDFHKENKKVTKRSKVQKAYDSDDDIDAREATFHNCVNQFQEKMNQIKADDEMPLWGLQVFGYQKTLPKLDFPELTRCRFLQSFLKNELNSLVELKTDEGQSFLEGLLRDGWLLKLISSHGRLERSIAKWSFNMLLYSPQQQLGAAACSFWCRILSSKSEFDLQNFEIEWLPDYSELISALDVYGFLLDAPSKSSSSMELDHLDSDSSSPPQNIRSWIKFVSVICKVRNICSVFSTEEVEDLIVVMICMFMDRQLLGLVLILDECLHSLISFFSDNEFDVSCVKIAKSLTCRLATDISCLRAVDSISVRDAHSKRLKSAAAFEFLIACFDSKVSDAEGILKSIISINLRDESFDLQKMYIYLILVENWLFCDPMLKDNPELIEMWAVCLRNCTCQISSTDLRSYALKVRSKASYLSQGNAKKW >itb05g23670.t1 pep chromosome:ASM357664v1:5:28731197:28736296:-1 gene:itb05g23670 transcript:itb05g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSEQILFRLRELLREDSLGAFFNFVDYIFSEDESFRDPAYHLYEVAMHKCSNALSTQLCSAINSSPNTETKMRCSTLFARFLVLQSPMLHQQYARFKTFFIQLLQEEEEWKVLKIHCTCVSRIAAQIFPKQDWPELLELMLEWLSSPNSTLTRLASIVLLFTLIPECPDTFIPHVDTISSEFQRLMDEGCEDNTARIATVGAAAKFILHLATPSKYEQYYGLLRGMISVLGGAVYDQDLACKALDAMTILAKEKPRFFTLVHMTQLAEAMLKMASHTNTNSNENMRQLAVNFFIAVGEGGDEGKGTIQSLPDNVIKGLLTQLLQMLMWEAAWNEAHVSDSDEGKMTMCYYADESLSRLAIVLGGDVVVPNSLDLLPGFFEDDDDWKKRYAAVLSLGLIASGCSKMLVQYLESSVKKAMALVFDHQPHVRWAAIYAISEFSKYLSPYFQEQCHEEVIPALLKCMDDSGHPRVQTGKTLLNETALSGLASLADSTKDDFQPFYPMVMTYLKVILIKEASNCMLVAKTLKCITSIAMALGKVVFSTDLQEIFYALLSEHNRGDIDGQVKCYLLQAWGLLCKSLGEDFRPYLSASMPLLIKDLKERSIILEEMLWGCNMICCFAYHIKGGLHMWIEKALNVVIPLVNFKFDEKVRVAAISAMPLLLRSAADAVENELPIPRFLDSPIIDFAKMIIPALGEALEIASTWAPDQKEIIDTILKVLSACFTRKKEREIMAKYRLDIRKPEVVKEEIQEEQKCFREASVRICVQILLKRLYAQKLIPTVLYVERMWQKESTPEERRMALNIFSDIAVLCREHGLRLYPEHIQFLFKACNDTNPDIQQIAACAIGIYAEFDDREAFKEHLQDGLSSLEAIFQHAGEGSLQHLMAKEAAVCAYGKLCFFLCEEIDPYQNIATWLLHLPLKCNFDEAKAAHGLLCSMVDKLETRVTGPEDDGYMSTIITIMAEVLRIGGELATEETKSQMTQQLRMFSKKLGWKFVAICEALHPAQQNILRTYLEIQLI >itb01g23420.t1 pep chromosome:ASM357664v1:1:29434681:29436116:1 gene:itb01g23420 transcript:itb01g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKNRLRVLMFPWLAVGHISPFFELAKKLADRGFCIHICSTPINLSFIKNKIPNQYSSSIKLIDLHLPESPDLPPHYHTTNGLPLHLNFTLQKSLKMAKPTFSTIMESLKPHLLIYDFLMPWAAGVAHSHNVPAVRFVTSGAAVCSYFCHIFYRPNAAYPFPELYLRRYEQERAQKLMDERRSGRKYDDGAAGGDHGGAGENDGGEMSKKNMVVISSSTEIERKYVDYLGELLNCKVLALGTLVKQPMMKGENECSELMGWLGEKEERSTVFASFGSEYFLSKEDMEEVAYGLEQSQANFIWVVRFPKGSENPNLEEALPKGFLERVKGRGRVVEQWAPQELILSHRNTGGFMSHCGWNSLTESIEYGVPIIAMPMHLDQPVNAKLMVGIGVGVEVVRDEGGKLHREDVGRVVKDVIKGKVGENVRKNVKIVGENVRLKSIQEMDEAAVVLAQLCNV >itb08g07300.t1 pep chromosome:ASM357664v1:8:6241420:6244322:1 gene:itb08g07300 transcript:itb08g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQSFSGNLKKALAGLRRIDLEGLRWRVFDAKGQVLGRLASQISTVVQGKDKPTYAPNWDKGDMCIVINAKDVCVTGRKLTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFAGSEHPFGDRPLEPYVMPPRQVREMRPRARRAMIRAQKKAEQQQGGATVSKMGKRKEVEAAENNA >itb08g07300.t2 pep chromosome:ASM357664v1:8:6241420:6244322:1 gene:itb08g07300 transcript:itb08g07300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQSFSGNLKKALAGLRRIDLEGLRWRVFDAKGQVLGRLASQISTVVQGKDKPTYAPNWDKGDMCIVINAKDVCVTGRKLTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFAGSEHPFGDRPLEPYVMPPRQVREMRPRARRAMIRAQKKAEQQQGGATVSKMGKRKEVEAAENNA >itb12g01470.t2 pep chromosome:ASM357664v1:12:1007563:1011389:1 gene:itb12g01470 transcript:itb12g01470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGQGRPWRGRGSGGSLRGGRATGAPRNRGPFDLHARPSSHTIAKELFSEMGELIRYAIHYDKYGRTSGSAEVVFARRSDALKAVKRYNNVLLDGRPMKIEVIGSKAEFPLLADVKVVGGASGRQVLVNQARGPAWTKGAAGGGPMQGSGQRGGGRLRNRRGRRQGQGRGWKKAAVDKSADDLDIELDNYHG >itb12g01470.t1 pep chromosome:ASM357664v1:12:1007512:1011530:1 gene:itb12g01470 transcript:itb12g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGQGRPWRGRGSGGSLRGGRATGAPRNRGPFDLHARPSSHTIAKSFHRTNYLPWQGIRATGLLSGLDTGTKLFVSNLDIVVSNDDIRELFSEMGELIRYAIHYDKYGRTSGSAEVVFARRSDALKAVKRYNNVLLDGRPMKIEVIGSKAEFPLLADVKVVGGASGRQVLVNQARGPAWTKGAAGGGPMQGSGQRGGGRLRNRRGRRQGQGRGWKKAAVDKSADDLDIELDNYHG >itb12g01470.t3 pep chromosome:ASM357664v1:12:1008188:1011530:1 gene:itb12g01470 transcript:itb12g01470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGQGRPWRGRGSGGSLRGGRATGAPRNRGPFDLHARPSSHTIAKSFHRTNYLPWQGIRATGLLSGLDTGTKLFVSNLDIVVSNDDIRELFSEMGELIRYAIHYDKYGRTSGSAEVVFARRSDALKAVKRYNNVLLDGRPMKIEVIGSKAEFPLLADVKVVGGASGRQVLVNQARGPAWTKGAAGGGPMQGSGQRGGGRLRNRRGRRQGQGRGWKKAAVDKSADDLDIELDNYHG >itb12g05400.t1 pep chromosome:ASM357664v1:12:3985412:3987088:1 gene:itb12g05400 transcript:itb12g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKFLCTFALLLLSNLVEGANINIAAKPNVDIAPELEKAWKDACAATGASTIVIPKGTFPMGQIKLAGPCKGPIDLQIQATLKASSDIKTLDRDKEWLTFRYVDKLTVSGGGVLDGQGTAAWAANDCKKTGVCNNLPNNLSLNFLTNSVIRDITSLDSKLFHVNVLAGKNLTFDHFTIKAPGDSHNTDGIHIAKITDVNVIDSVIGTGDDCISIGDGTENLHITNVTCGPGHGISVGSLGKTPGEEPVKGVFLKDIKFIGTDNGVRIKTWPNSHPGVVTDIHYENIEMEDVKNPIVIDQEYCPNNECSKQKPSQVKLSKISYKNIKGTSATEEAVIFACSSGVPCEGVEVGDINLTFKGGAAKTVCSNVKPKGGAAKTVCSNVKPTLTGKQVPPITCGGGAAAPGGGAAAPGGGAAAPGGGAAAPGGGAASAPA >itb02g20930.t1 pep chromosome:ASM357664v1:2:19288436:19292691:1 gene:itb02g20930 transcript:itb02g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAALLLLLLVLTTVSIVQCERVRFNTEGLSRESFPAGFVFGTASSAYQVEGATDVHKYGRGPSIWDTFLKTPDGTGKVNWKGVAYYNRLINYMLEKGITPYANLNHYDLPQALQDSGVEFGRVDYGDYAEFCFKTFGDRVKNWFSFNEPRVVAALGYDTGFFAPGRCSNCTQGNSATEPYVVAHNLILCHAIAAQRYRAKYQAKQKGRFGILLDFVWYEPLTRSKADNYAAQRAKDFHIGWFLHPIVYGEYPKTMKNIVGDRLPKFTPDEVKMVKGSIDYVGINQYTTYYVYDAYPKTPCILSYQNDWNVGFAYARNGVPIGPRANSEWLYMVPWGLYKAVTYVKERYGNPLMFLSENGMDYMGNLTLAESLNDTKRINYYKSYMAELKRVVDEGANLFGYFAWSLLDNFEWRIGYTSRFGIVYVDFDTLKRYPKMSAYWFKNFLLRNNH >itb08g03530.t1 pep chromosome:ASM357664v1:8:2881848:2883705:-1 gene:itb08g03530 transcript:itb08g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNFTLLFALWHLFLVAAPPPRNIFAAAAGGGRWDLLLPNIGIAAMHMQLLNNDKVVMFDRTDFGLSNISLGGGRCRRDPYELVVKVDCTAHSVEYDVAENTVRPLMVQSDVWCSSAAVMPDGTFVQTGGWNDGDHVVRVYKPCNDKKCDWVEIPGALHRWRWYATNHILPDGRQIIIGGREEFNYEFYPKTPGADLLYNLPFLAETNDPGAENNLYPYVFLNVDGNLFIFANNRAILYDYFKHAVVRLYPGMPGGEPRSYPSTGSAVLLPLKVFQGPGIVVEVLICGGAPKGAFPASEKGVFMGGLNSCGRIRINDPNPVWAMEAMPMARLMGDMVTLPTGDILIVNGAARGSAGYGDGRDPVQAPVLYHPDNPVGTRFEVLNPSGIPRMYHSSAILLRDSRVLVGGSNPHPNYVFGNALFPTELRLEAFSPPYLDPTTVGLRPHITFPGSHSKFGYGKLIEIQFTAAGPVDGNLVTVTMVAPSFTTHSFSQHQRLLDLGGGGTVKPVGINTYHMTAVTPNSGNLSPAGYYMLFVVHKGIPSEGIWIQMV >itb14g17070.t1 pep chromosome:ASM357664v1:14:20392101:20394439:1 gene:itb14g17070 transcript:itb14g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVQEFYANTNGFMLNRHSEAANPRLNGQKRRDLDSFQDFPASCAAGGEEEEDYGDYSDAVLKYINQMLMEEEDLENRPCMLHDCIALQATEKYFSDVLHGSDHADLSSSNASSDPNDYGASSDCLFSTPDSQSGSVSPGSDGDFPAPNLLLPHSNTINLELEDRSPPKGKRNHYSNKEDESAEKQRNKQLATSTHETEPPLEKFNEVLLCNIQEPRKKSDDEFKGGAAPRRRKKRESHKEVVDLRGLLTQCAQAIANYDGRAVNELLAKIRHHSSPRGNGMERLAFYLANALEARLNGAGTAIFTVQFSNNISAANILKAYHMYIKASPFKKISNIYANHYIMKMAVGKHALHVIDFGVLYGFQWPCMIQSLANRPGGPPKLRITGIDLPQPGFKPAERVEATGARLKKFCEQFNVPFEFKAIAKRWETITLEDLEIERDEILAVNCLYRLENVPDETVVPDSPRDTVLGLIHKIRPDIFIHGVGNGAYNSPFFTTRFREAVFHFSTLFDMFEATVAPEDEDRRLFEETVLGRNALNVIACEGTARVERPETYKQWKGRNKRAGFRQVPLDQELVKLVKDKARSDYHKDFSVDGDGKWLLQGWKGRVVYALSCWKPAME >itb14g17070.t2 pep chromosome:ASM357664v1:14:20392101:20394439:1 gene:itb14g17070 transcript:itb14g17070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVQEFYANTNGFMLNRHSEAANPRLNGQKRRDLDSFQDFPASCAAGGEEEEDYGDYSDAVLKYINQMLMEEEDLENRPCMLHDCIALQATEKYFSDVLHGSDHADLSSSNASSDPNDYGASSDCLFSTPDSQSGSVSPGSDGDFPAPNLLLPHSNTINLELEVIFESADRSPPKGKRNHYSNKEDESAEKQRNKQLATSTHETEPPLEKFNEVLLCNIQEPRKKSDDEFKGGAAPRRRKKRESHKEVVDLRGLLTQCAQAIANYDGRAVNELLAKIRHHSSPRGNGMERLAFYLANALEARLNGAGTAIFTVQFSNNISAANILKAYHMYIKASPFKKISNIYANHYIMKMAVGKHALHVIDFGVLYGFQWPCMIQSLANRPGGPPKLRITGIDLPQPGFKPAERVEATGARLKKFCEQFNVPFEFKAIAKRWETITLEDLEIERDEILAVNCLYRLENVPDETVVPDSPRDTVLGLIHKIRPDIFIHGVGNGAYNSPFFTTRFREAVFHFSTLFDMFEATVAPEDEDRRLFEETVLGRNALNVIACEGTARVERPETYKQWKGRNKRAGFRQVPLDQELVKLVKDKARSDYHKDFSVDGDGKWLLQGWKGRVVYALSCWKPAME >itb13g17610.t1 pep chromosome:ASM357664v1:13:24578244:24580901:1 gene:itb13g17610 transcript:itb13g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MFPSSSVSWKMAVAAAKTPTTLIHSDHRHPHFSKLHITKELSFSKIFSKPHKFYQLKTHQNFTLFSTSTPSSADPNSHLTRLCLQSQLDQAVSFLNSRQDLRSDIEEETFVYLIRLCEFKRAYKEGCLICSVVLSLMSQLSLRLGNSLLSMLVKLGNLGDAWYVFGKMAERDVFSWNVLIGGYAKNGYFDEALDLYHRMLWVGYRPDVYTFTCVLRTCGGIPDWRIGLSLFFLMREFGYYPNLMTMTSVISACEVLCDERLGRALHGYVAKMEYGDEVSLGNSLIQLYSAVGNLGEVQKVFWRIECKDVVSWTAMISAYQNNDLPEKAVETYKTMEMVGVKPDEITIASVISACASLGFLDMGIKLHELAKRMGFVAYIIVANTLIDLYSKCGYIDKALEVFYAIPRKDVISWTSIILGLRINNRSFEALIFFRKMTLTMDPNSITLISSLSACARIGALMCGKEIHAYVLRNGMAFDGFLPNALLDLYVRCGKMGPALNLFNMQQQDVAAWNILLTGYAQRGQGELAIELFDRMINSNLKPSEITFISLLCACSKSGMVNQGLEYFDIMESKFFIKPNLKHYACVVDLLGRAGQLEDAYDFIQKMTIKPDIAIWGALLNSCRIYRHLELGELAARHILEMDEVNLGYYTLVCNLYFDCGKWDEVARLKKLMREKGLDIDPGCCWIEVKGKVHAFLSGDDFHPEIKQINEVLKGFFDKMKAAGLDEPERSLVDEVEASKAEIFCGHSERLAIAFGLLNSVPGMPISVTKNLYMCQSCHNLVKFISMAVRREISVRDCEGFHHFKDGSCSCGDNGFWGKS >itb03g22600.t1 pep chromosome:ASM357664v1:3:20653362:20655550:-1 gene:itb03g22600 transcript:itb03g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSCVGIKGAYWPSDSSFPPSAIDTTLFTHIYYAFLNPNNVTFKFDVDDLTAKLLRGFTSTLRSKNPPAKTLFSVGGASAGGSVFARMASTAATRKSFIHSSIHVARKFGFDGIDLDWEMPQNPEEMEHFGVLLGEWRVEIKKEALRNRRPQLLLAAATYFSVDFFLDKVYRAYPVAAINENLDWINAMCYDYHGSWDTSATGAQAALFDPKSNISSSYGLGKWIEAGLLRSKLIMGLALYGRTWKLKDASLQGIGAPAVDAGPGRGALTFNEIEAFNMEKNATVVYDAATVSVYSVAGTSWIGYDDPRSISVKVKYARTLKLRGYFFWAVNGDHEWRISKQAKQTWDYYQR >itb04g05540.t1 pep chromosome:ASM357664v1:4:3609517:3613239:1 gene:itb04g05540 transcript:itb04g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLVRTIELEFLQPLPRPIIRRNQLIPANENLIQNLHQKLGDLIEMLDENRMDGIQAIKHLETKLRDVAFRVEDEIEFLIVDLCEKEENEELLAGIAGLCVDEEEEELLAAKAGLFVEIPESSIDAVEEEEDLGIAADLFVEKPQVGEIDAQRSRHSFKLGCILEEAIRDIDAIHKELSKVKMEYVAAAASTTRCSDVMMMAGAGDSSHHQHASHSQPQQGSIMVGKNNEFHIVKAMLIEHSSRQREIVSIKGMGGIGKTTLARGLYEDPSVASRFDVRAWVVASQYHNKLQMLTDLLKSMGCVGSGTQEDQLTQQLYKKLMHQRYFVVIDDIWSVDAWNSVKACFPDNANGSRVLLTTRSAQVAAFIGSNSDFSHQMQFLEEGESWNLFQEKTSKSLGSEFDMIERQIVKKCKGLPLAIVVAAGLFSKLHTLDEWKNVAEALNSPATTTIDEECSNILSSSYNHLPHSLKACFLYLSLFSEDEVIQVKQIVKLWVAEGLVKASKDMSFDAVARKHVQELKDRNLILVSQPSSCGKKAKAFRMHDLVHSFCVREAKKENLLHVVCENESSFSPKYFRWSAVDSAKEIQREQHEQGNDNMVVIEKWTIKE >itb04g05540.t2 pep chromosome:ASM357664v1:4:3609517:3613239:1 gene:itb04g05540 transcript:itb04g05540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLVRTIELEFLQPLPRPIIRRNQLIPANENLIQNLHQKLGDLIEMLDENRMDGIQAIKHLETKLRDVAFRVEDEIEFLIVDLCEKEENEELLAGIAGLCVDEEEEELLAAKAGLFVEIPESSIDAVEEEEDLGIAADLFVEKPQVGEIDAQRSRHSFKLGCILEEAIRDIDAIHKELSKVKMEYVAAAASTTRCSDVMMMAGAGDSSHHQHASHSQPQQGSIMVGKNNEFHIVKAMLIEHSSRQREIVSIKGMGGIGKTTLARGLYEDPSVASRFDVRAWVVASQYHNKLQMLTDLLKSMGCVGSGTQEDQLTQQLYKKLMHQRYFVVIDDIWSVDAWNSVKACFPDNANGSRVLLTTRSAQVAAFIGSNSDFSHQMQFLEEGESWNLFQEKTSKSLGSEFDMIERQIVKKCKGLPLAIVVAAGLFSKLHTLDEWKNVAEALNSPATTTIDEECSNILSSSYNHLPHSLKACFLYLSLFSEDEVIQVKQIVKLWVAEGLVKASKDMSFDAVARKHVQELKDRNLILVSQPSSCGKKAKAFRMHDLVHSFCVREAKKENLLHVVCENESSFSPKYFRWVSIQFGRFNRQTLYSSLKSCRSIFLFVSLVDRLNLFLNSKFGRLIRVVYNGKIDLKYLVHLRCLLAPAARPALLKALVISDPFFCWNLQTLSASSIDKMSVLEFPQLQHLRSNGPLSDFPICFHQNLKSIGWLRVDHCTKEFFTSIPYVEKVMIRCEYIWKDGINNIAYLHQLHSLSLSGFLYPLIPNNNCIASCKNLMKLNFRCVSFEGETFNNFSKLPKLEVLVLQKCRWAGDEWKLLEHESFDQLIYLSISTSDLECWEASASNFPNLEHLFLDFCEYLEKIPAEFAEIPNLKSIELWECLQSAVDSAKEIQREQHEQGNDNMVVIEKWTIKE >itb04g05540.t3 pep chromosome:ASM357664v1:4:3609517:3613239:1 gene:itb04g05540 transcript:itb04g05540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLVRTIELEFLQPLPRPIIRRNQLIPANENLIQNLHQKLGDLIEMLDENRMDGIQAIKHLETKLRDVAFRVEDEIEFLIVDLCEKEENEELLAGIAGLCVDEEEEELLAAKAGLFVEIPESSIDAVEEEEDLGIAADLFVEKPQVGEIDAQRSRHSFKLGCILEEAIRDIDAIHKELSKVKMEYVAAAASTTRCSDVMMMAGAGDSSHHQHASHSQPQQGSIMVGKNNEFHIVKAMLIEHSSRQREIVSIKGMGGIGKTTLARGLYEDPSVASRFDVRAWVVASQYHNKLQMLTDLLKSMGCVGSGTQEDQLTQQLYKKLMHQRYFVVIDDIWSVDAWNSVKACFPDNANGSRVLLTTRSAQVAAFIGSNSDFSHQMQFLEEGESWNLFQEKTSKSLGSEFDMIERQIVKKCKGLPLAIVVAAGLFSKLHTLDEWKNVAEALNSPATTTIDEECSNILSSSYNHLPHSLKACFLYLSLFSEDEVIQVKQIVKLWVAEGLVKASKDMSFDAVARKHVQELKDRNLILVSQPSSCGKKAKAFRMHDLVHSFCVREAKKENLLHVVCENESSFSPKYFRWVSIQFGRFNRQTLYSSLKSCRSIFLFVSLVDRLNLFLNSKFGRLIRVVYNGKIDLKYLVHLRCLLAPAARPALLKALVISDPFFCWNLQTLSASSIDKMSVLEFPQLQHLRSNGPLSDFPICFHQNLKSIGWLRVDHCTKEFFTSIPYVEKVMIRCEYIWKDGINNIAYLHQLHSLSLSGFLYPLIPNNNCIASCKNLMKLNFRCVSFEGETFNNFSKLPKLEVLVLQKCRWAGDEWKLLEHESFDQLIYLSISTSDLECWEASASNFPNLEHLFLDFCEYLEKIPAEFAEIPNLKSIELWECLQSAVDSAKEIQREQHEQGNDNMVVIEKWTIKEVHLSPLPFCYCLLLFQSHYRVSSYPNIYGVYNLFSVTHDSLTPASSDDELEP >itb01g30530.t1 pep chromosome:ASM357664v1:1:34517369:34520312:-1 gene:itb01g30530 transcript:itb01g30530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTFLEPNPNYLSYNTAPFSSNIIPDYDYSCYQDLDFFCADNHHLFSDDFTSSNDNNTFGYPSSDLPQTPLVLPEKSNNSTTGSSSCSSDGMPTTNYMPVKCKRETMKGPKMKEKRAIAFRTRTDLEVLDDGYKWRKYGKKKVKSNSHPRNYYKCSSGGCKVKKKVERDQDDAKYLITTYEGVHNHENLYVIYYQGMPATLASNGLSLPAASQPY >itb05g00480.t1 pep chromosome:ASM357664v1:5:393007:400388:1 gene:itb05g00480 transcript:itb05g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNISTREALEISSQQEYLKLKARYEALQRTQRNLLGEDLGPLNSKELESLERQLDMSLKQIRSTRTQMMLDQLTDLQRKEHALNEANKSLKQRLMEGNQLNLHWNPNPQDMGYGRQTAHHSQGDVFFHPLECEPTLQIGYPTDPITVAGPSVNNYMPGWLP >itb07g04230.t3 pep chromosome:ASM357664v1:7:2846056:2850637:1 gene:itb07g04230 transcript:itb07g04230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASETPSVQYCNIAEQPIATLVTSPVPTFQKQQRHCFGNTRPGEFPLSANPSIVLHVLTGCNLDPQDLAILEATCSFFRQPAHFPPDFQLSLAELAALDMCHKRAVFKPMTEEEQQDLKQRCGGSWKLVLRYLLAGEACSRRESSQAIAGPGHSIAVTSKGVVYAFGSNNSGQLGVGTTEEEWRPRHIRSLQGIRIIHAAVGAGRTMLISDAGRVYAFGKDSFGEADYGVQGNKTVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFCWGNEHKLGHQTEPTDLEPRPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTRTDEKVPRLIEQFRTLNLQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEDCESAPKVVEALSNIKAVHVATGDYTTFVVSEDGDVYSFGCGESSSLGHNGVVADGQVNRHSNILSPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGVALAANQTERANPERVEVDLS >itb07g04230.t1 pep chromosome:ASM357664v1:7:2846056:2850637:1 gene:itb07g04230 transcript:itb07g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASETPSVQYCNIAEQPIATLVTSPVPTFQKQQRHCFGNTRPGEFPLSANPSIVLHVLTGCNLDPQDLAILEATCSFFRQPAHFPPDFQLSLAELAALDMCHKRAVFKPMTEEEQQDLKQRCGGSWKLVLRYLLAGEACSRRESSQAIAGPGHSIAVTSKGVVYAFGSNNSGQLGVGTTEEEWRPRHIRSLQGIRIIHAAVGAGRTMLISDAGRVYAFGKDSFGEADYGVQGNKTVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFCWGNEHKLGHQTEPTDLEPRPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTRTDEKVPRLIEQFRTLNLQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEDCESAPKVVEALSNIKAVHVATGDYTTFVVSEDGDVYSFGCGESSSLGHNGVVADGQVNRHSNILSPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGVALAANQTERANPERVEVDLS >itb07g04230.t2 pep chromosome:ASM357664v1:7:2846056:2850637:1 gene:itb07g04230 transcript:itb07g04230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKRAVFKPMTEEEQQDLKQRCGGSWKLVLRYLLAGEACSRRESSQAIAGPGHSIAVTSKGVVYAFGSNNSGQLGVGTTEEEWRPRHIRSLQGIRIIHAAVGAGRTMLISDAGRVYAFGKDSFGEADYGVQGNKTVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFCWGNEHKLGHQTEPTDLEPRPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTRTDEKVPRLIEQFRTLNLQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEDCESAPKVVEALSNIKAVHVATGDYTTFVVSEDGDVYSFGCGESSSLGHNGVVADGQVNRHSNILSPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGVALAANQTERANPERVEVDLS >itb07g04230.t4 pep chromosome:ASM357664v1:7:2846056:2850637:1 gene:itb07g04230 transcript:itb07g04230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASETPSVQYCNIAEQPIATLVTSPVPTFQKQQRHCFGNTRPGEFPLSANPSIVLHVLTGCNLDPQDLAILEATCSFFRQPAHFPPDFQLSLAELAALDMCHKRAVFKPMTEEEQQDLKQRCGGSWKLVLRYLLAGEACSRRESSQAIAGPGHSIAVTSKGVVYAFGSNNSGQLGVGTTEEEWRPRHIRSLQGIRIIHAAVGAGRTMLISDAGRVYAFGKDSFGEADYGVQGNKTVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFCWGNEHKLGHQTEPTDLEPRPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTRTDEKVPRLIEQFRTLNLQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEDCESAPKVVEALSNIKAVHVATGDYTTFVVSEDGDVYSFGCGESSSLGHNGVVADGQVNRHSNILSPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGVALAANQTERANPERVEVDLS >itb04g25610.t2 pep chromosome:ASM357664v1:4:30178786:30182873:-1 gene:itb04g25610 transcript:itb04g25610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTALSRSSGSRKRQREPDSGDSDQSLSLEDNLTFSDTMVALRIMRAQFPHIEKVSIQPFVLQSQLYSSVKDRTQVDRELESLKREKALRVFKLNTGQDDHAIMLIDDYLSQRMEEKKQDDLPVFEWFKTHVISSKLDPSIGHDELCSLLSLGGKVKEEHVSLLINAGLLTRQLIDPNMYWFAIPNVGPVLKGLSQGRKELLSLLNRRKFKEMMMATLEKKRLRLSPLDMRFHLRDLIGSGHLRTVEAPSGLIVKVAKD >itb04g25610.t1 pep chromosome:ASM357664v1:4:30178222:30182863:-1 gene:itb04g25610 transcript:itb04g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTALSRSSGSRKRQREPDSGDSDQSLSLEDNLTFSDTMVALRIMRAQFPHIEKVSIQPFVLQSQLYSSVKDRTQVDRELESLKREKALRVFKLNTGQDDHAIMLIDDYLSQIERVIKRMEEKKQDDLPVFEWFKTHVISSKLDPSIGHDELCSLLSLGGKVKEEHVSLLINAGLLTRQLIDPNMYWFAIPNVGPVLKGLSQGRKELLSLLNRRKFKEMMMATLEKKRLRLSPLDMRFHLRDLIGSGHLRTVEAPSGLIVKVAKD >itb04g25610.t4 pep chromosome:ASM357664v1:4:30179419:30182863:-1 gene:itb04g25610 transcript:itb04g25610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTALSRSSGSRKRQREPDSGDSDQSLSLEDNLTFSDTMVALRIMRAQFPHIEKVSIQPFVLQSQLYSSVKDRTQVDRELESLKREKALRVFKLNTGQDDHAIMLIDDYLSQIERVIKRMEEKKQDDLPVFEWFKTHVISSKLDPSIGHDELCSLLSLGGKVKEEHVSLLINAGLLTRQLIDPNMYWFAIPNVGPVLKGLSQGRKELLSLLNRRKFKEMMMATLEKKRLRLSPLDMRFHLRDLIGSGHLRTVEAPSGLIVKVAKD >itb04g25610.t3 pep chromosome:ASM357664v1:4:30178222:30182863:-1 gene:itb04g25610 transcript:itb04g25610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTALSRSSGSRKRQREPDSGDSDQSLSLEDNLTFSDTMVALRIMRAQFPHIEKVSIQPFVLQSQLYSSVKDRTQVDRELESLKREKALRVFKLNTGQDDHAIMLIDDYLSQIERVIKRMEEKKQDDLPVFEWFKTHVISSKLDPSIGHDELCSLLSLGGKVKEEHVSLLINAGLLTRQLIDPNMYWFAIPNVGPVLKGLSQGRKELLSLLNRRKFKEMMMATLEKKRLRLSPLDMRFHLRDLIGSGHLRTVEAPSGLIVKVAKD >itb07g08510.t1 pep chromosome:ASM357664v1:7:6734248:6735427:1 gene:itb07g08510 transcript:itb07g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKARTASSSICQFLARSFSNAAPASSTLSSSGMVNPTFTLDVSSRVGSSMPLKMMRIGTLIHNLEMRPGQGPKLVRGWGTVAKIMTEPSSSSRYCEIKLPSGVKKLIDVRCWATIGQAPNAEHGSKKLYKAGQNRWRGIRPKVRGVAMNPVDHPHGGGEGKSKSSGSHGKGSRTPWGKPTKCGYKTGPLKRKK >itb10g15570.t1 pep chromosome:ASM357664v1:10:21775488:21780132:-1 gene:itb10g15570 transcript:itb10g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENNKFNFKDKLSLRVPFMAAASNSKSNQVSVSQPPPLPFQDPAMSNQTCFGALQAAASSSFPPPENFMNKDNVNAEAYDVGELDQAFLHFLNLQAQDPSSSQDQQNYGMRLPNTLNMFPSEPMHVNPSPTARSSSPSMELSNPKNKALLASALASEPLKLEGSGSGSESRRSSTSSGGGRDESGTLNAKLEGSGSGSESRRSSTSSGGGRDESGTLNAKLEGSGSGSESRRSSTSSGGGRDESGTLNAKLEGSGSGSESRRSSTSSGGGRDESGTLNAKLEGSGSGSESRRSSTSSGGGRDESGTLNAKLEGSGSGSESRRSSTSSGGGRDESGTLNAKAYIQQLEASRIKLIQLEQQVELAQARGVRITENGNVVGVGHGHPLSLTKITPGAAFDVEYARWIEEEHRIICQLRNAVDEVMLSENELKRYVDACFAHYAQMTHLNSMVAKSDVLHLVSGMCWTPVERCFIWIGGFRPSHLLKVIMSQLELLTEQQMLGMIALQQSTQEAEEALSQGMETLHHTISDIISSDVLFYPSNLASCMAQMAAAITNLYTLETFVRQADNLRIQTIQRLYHILTVVQVARCFLIITDFFHRLRTLSALWLGRPRQD >itb05g02980.t1 pep chromosome:ASM357664v1:5:2400119:2402688:1 gene:itb05g02980 transcript:itb05g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRNPLPPHLLIFPLPLQGPVNNMFKLAELLCLAGVNVTFLLTDHIHRRLSIYANIHSRFRRYPGFQIATIADGLPADHPRDGNQFIEIFDSLKSPESKAVFRDLLCGYDGGIRGPVTCVIADGILGFALDVANDVGIPVVSTRTVSPACLWIFFCLPKLIQSQEIPFPGNDLDELIKNVPGTETFLRRRDLPGFCRAGDISDPNVRLYETEGQINSRARGLILNTFEELDGSILSQIRTVCPNLYPIGPLHAHLKTKLLAGETPATASPAASSSLWQEDRSCIAWLDKQPLRSVIYVSFGSIATLTKDQLMEFWYGLVNSGQKFLWVIRPDSVAGKDWESQAPAELTQGTKERGYIVGWAPQEEVLAHSAVGGFLTHNGWNSTLESVYEGVPMICWPYFLDQQVNSRFVEAVYRLGLDMKDTCDRVTIERIVRELMEVRKDEFLQEAKKMGELAKRSILEGGSSYTSFERLVSDIKTWSPRS >itb09g03230.t1 pep chromosome:ASM357664v1:9:1811547:1814913:1 gene:itb09g03230 transcript:itb09g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNESSWAQSGDAGGGFMGKTPYSHPHLNPNPNPSSNPKKKQKHFHQSANGRPSDDSPVVTQTASDDAYSFNQRPIESNGFNFGGYLTYNITSYTKSELNELRKRLVSELEQIRNLKDRIESGHLSTTVNNPRSHGKSKKISANKRPVSFGSNKDPKKFCNGVDNVRNVGVVSGGGINGIGLENMMKDCRQILSKLMKHKNGWIFNTPVDAASLGLHDYHQIVKRPMDLGTVKSNCAKNLYPTPSEFASDVRLTFNNALLYNPKTDQVHGWADQLLARFEDLFRPIQEKLNRIESERRDFLSADELQGSSWNHIPTPERPKKPKPSPIPQVSKKQERLQNHSSASTPSAPPPPPNPPPRQQSPVPTPSPMRAPPVKPQVVPARGTTVKQPKPRAKDPNKREMSIEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDGDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALISNLTAPTAAAAAMTSNDEGDGAALSEKMDSPKKPKKGDAGDEDVDIDDDMPATSFPPIEIEKDEGGGREPDNGSSSSSSSSSSSSDSSSSSGSDSGSSSGSDSDADDAQS >itb09g03230.t4 pep chromosome:ASM357664v1:9:1811545:1814809:1 gene:itb09g03230 transcript:itb09g03230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNESSWAQSGDAGGGFMGKTPYSHPHLNPNPNPSSNPKKKQKHFHQSANGRPSDDSPVVTQTASDDAYSFNQRPIESNGFNFGGYLTYNITSYTKSELNELRKRLVSELEQIRNLKDRIESGHLSTTVNNPRSHGKSKKISANKRPVSFGSNKDPKKFCNGVDNVRNVGVVSGGGINGIGLENMMKDCRQILSKLMKHKNGWIFNTPVDAASLGLHDYHQIVKRPMDLGTVKSNCAKNLYPTPSEFASDVRLTFNNALLYNPKTDQVHGWADQLLARFEDLFRPIQEKLNRIESERRDFLSADELQGSSWNHIPTPERPKKPKPSPIPQVSKKQERLQNHSSASTPSAPPPPPNPPPRQQSPVPTPSPMRAPPVKPQVVPARGTTVKQPKPRAKDPNKREMSIEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDGDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALISNLTAPTAAAAAMTSNDEGDGAALSEKMDSPKKPKKGDAGDEDVDIDDDMPATSFPPIEIEKDEGGGREPDNGSSSSSSSSSSSSDSSSSSGIYPLRDSNNIVFTSGIVREC >itb09g03230.t3 pep chromosome:ASM357664v1:9:1811547:1814913:1 gene:itb09g03230 transcript:itb09g03230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNESSWAQSGDAGGGFMGKTPYSHPHLNPNPNPSSNPKKKQKHFHQSANGRPSDDSPVVTQTASDDAYSFNQRPIESNGFNFGGYLTYNITSYTKSELNELRKRLVSELEQIRNLKDRIESGHLSTTVNNPRSHGKSKKISANKRPVSFGSNKDPKKFCNGVDNVRNVGVVSGGGINGIGLENMMKDCRQILSKLMKHKNGWIFNTPVDAASLGLHDYHQIVKRPMDLGTVKSNCAKNLYPTPSEFASDVRLTFNNALLYNPKTDQVHGWADQLLARFEDLFRPIQEKLNRIESERRDFLSADELQGSSWNHIPTPERPKKPKPSPIPQVSKKQERLQNHSSASTPSAPPPPPNPPPRQQSPVPTPSPMRAPPVKPQVVPARGTTVKQPKPRAKDPNKREMSIEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDGDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALISNLTAPTAAAAAMTSNDEGDGAALSEKMDSPKKPKKGDAGDEDVDIDDDMPATSFPPIEIEKDEGGGREPDNGSSSSSSSSSSSSDSSSSSGSDSGSSSGSDSDADDAQS >itb09g03230.t2 pep chromosome:ASM357664v1:9:1811545:1814809:1 gene:itb09g03230 transcript:itb09g03230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNESSWAQSGDAGGGFMGKTPYSHPHLNPNPNPSSNPKKKQKHFHQSANGRPSDDSPVVTQTASDDAYSFNQRPIESNGFNFGGYLTYNITSYTKSELNELRKRLVSELEQIRNLKDRIESGHLSTTVNNPRSHGKSKKISANKRPVSFGSNKDPKKFCNGVDNVRNVGVVSGGGINGIGLENMMKDCRQILSKLMKHKNGWIFNTPVDAASLGLHDYHQIVKRPMDLGTVKSNCAKNLYPTPSEFASDVRLTFNNALLYNPKTDQVHGWADQLLARFEDLFRPIQEKLNRIESERRDFLSADELQGSSWNHIPTPERPKKPKPSPIPQVSKKQERLQNHSSASTPSAPPPPPNPPPRQQSPVPTPSPMRAPPVKPQVVPARGTTVKQPKPRAKDPNKREMSIEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDGDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALISNLTAPTAAAAAMTSNDEGDGAALSEKMDSPKKPKKGDAGDEDVDIDDDMPATSFPPIEIEKDEGGGREPDNGSSSSSSSSSSSSDSSSSSGIYPLRDSNNIVFTSGIVREC >itb07g11250.t7 pep chromosome:ASM357664v1:7:12661495:12663808:-1 gene:itb07g11250 transcript:itb07g11250.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSST >itb07g11250.t4 pep chromosome:ASM357664v1:7:12662255:12663716:-1 gene:itb07g11250 transcript:itb07g11250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSST >itb07g11250.t6 pep chromosome:ASM357664v1:7:12661495:12663808:-1 gene:itb07g11250 transcript:itb07g11250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSST >itb07g11250.t5 pep chromosome:ASM357664v1:7:12661997:12663716:-1 gene:itb07g11250 transcript:itb07g11250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSST >itb07g11250.t2 pep chromosome:ASM357664v1:7:12661520:12663716:-1 gene:itb07g11250 transcript:itb07g11250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSST >itb07g11250.t1 pep chromosome:ASM357664v1:7:12661520:12663716:-1 gene:itb07g11250 transcript:itb07g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSST >itb07g11250.t3 pep chromosome:ASM357664v1:7:12661495:12663808:-1 gene:itb07g11250 transcript:itb07g11250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSASTSATTPPLHRRRSPVSLPRHLPLVVSLVGFCSRLCVNAGFCSLPLVVSMPRRPHSLRQLYGCRLSSAALRLYLCLRSSTDFACLFS >itb11g19170.t1 pep chromosome:ASM357664v1:11:19833087:19833992:-1 gene:itb11g19170 transcript:itb11g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLTLVPFLKLLVSTSSLTPDLAAALHRRNQRREPLLNSTVGKKNRGNWKKSPSPPLLLEVGINGGSPELCTLPRTNAGGLESHVRCCCRLLCSVLPDAITSEMEVGALNFAAILLIHCRRKSFFAVDDEFLENDEMKRWRSLFAVAVEW >itb03g02760.t2 pep chromosome:ASM357664v1:3:1593427:1597491:1 gene:itb03g02760 transcript:itb03g02760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEVSSGEMVGDRVTTACKHKRSKSFPVRTGMEDPEASNRLKLDMGHSDDCVESKKKPLSNDEVQNSLRQEIMQLEKRLQDQVSVRCALEKALGYGSSSHKITAETTLMPKPATELIREIAVLEFEVGHLEQYLLSLYRQAFDQQLPSVSPPTKDDRLKSPVVTPRRRLDFSKSDVSSRKETSTSQVNGQKETNGIAEDKLFDSGVQRSHSSLSQRSALSNRTSPPEDPLGKAVRACHSQPLSMMEYAQNTSSNIISLAEHLGTRIADHALETPNKLSEEMIKCMCTIYCKLADPPLTNPGVSSPTSSFSSISAFSPKYQGDIWSPGFRNDSSFDVRLDNPFHVEGLKDFSGPYSTMIEVQCIYRDNQKLGDVEPLLQNYRSLISRLEETDPRKLTHEEKLAFWINVHNALVMHAFLAYGIPQNSMKRAFLLLKAAYNVGGHVISADLIQTSILGCRMSRPGQWIRLLLSSRGKFKTGDERQAFMIEHPEPLLHFALCSGNHSDPAIRLYTPKRILQELEAAKEEYIRATFGVSKDHKILLPKVVESFAKDSGMCPASVTEMVQQSLPDSLRKNIKKCQQGKGRKNFEWIPHNFAFRYLIFKELVR >itb03g02760.t1 pep chromosome:ASM357664v1:3:1593333:1597491:1 gene:itb03g02760 transcript:itb03g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEVSSGEMVGDRVTTACKHKRSKSFPVRTGMEDPEASNRLKLDMGHSDDCVESKKKPLSNDEVQNSLRQEIMQLEKRLQDQVSVRCALEKALGYGSSSHKITAETTLMPKPATELIREIAVLEFEVGHLEQYLLSLYRQAFDQQLPSVSPPTKDDRLKSPVVTPRRRLDFSKSDVSSRKETSTSQVNGQKETNGIAEDKLFDSGVQRSHSSLSQRSALSNRTSPPEDPLGKAVRACHSQPLSMMEYAQNTSSNIISLAEHLGTRIADHALETPNKLSEEMIKCMCTIYCKLADPPLTNPGVSSPTSSFSSISAFSPKYQGDIWSPGFRNDSSFDVRLDNPFHVEGLKDFSGPYSTMIEVQCIYRDNQKLGDVEPLLQNYRSLISRLEETDPRKLTHEEKLAFWINVHNALVMHAFLAYGIPQNSMKRAFLLLKAAYNVGGHVISADLIQTSILGCRMSRPGQWIRLLLSSRGKFKTGDERQAFMIEHPEPLLHFALCSGNHSDPAIRLYTPKRILQELEAAKEEYIRATFGVSKDHKILLPKVVESFAKDSGMCPASVTEMVQQSLPDSLRKNIKKCQQGKGRKNFEWIPHNFAFRYLIFKELVR >itb13g08120.t1 pep chromosome:ASM357664v1:13:10418677:10419630:1 gene:itb13g08120 transcript:itb13g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSYLHDNCSWRFFLFPITIRSQQSSRPRFLFVRSNPHGASLPFPSRHLEGSSSSFYYFALQLGSFSVVVRQRFGLVFRRVILFWRRGAMHVSDGLQSAVVGCNNGGCQWFPLKIYPFRTSSQESVPASRGEGFKSSVCLSLETKRMPMKWRDTRNNIDCGVGFILCGTWRVILGKVLVVGSAG >itb04g33350.t1 pep chromosome:ASM357664v1:4:35615643:35616598:1 gene:itb04g33350 transcript:itb04g33350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSCRRRKPKRSRKSTAFKSSPPPSKAAASEDSAKNGGDHEGDGGGGIGAADDVCSTPKAERFRIPAMDTCPPAPKKRRVMTSWPPPSLRRSSNTPPVAFFAPPDIELFFYFALRGGVSV >itb01g28540.t1 pep chromosome:ASM357664v1:1:32956290:32958354:-1 gene:itb01g28540 transcript:itb01g28540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVASSVPVKVGHIDDVQELRKTKPSSIPERFVRDMTERASSVVTPSSSSSHLNIPLIDLQNLFNNSPQFLAELSNLSVACQQWGFFQVINHGIELRLLEKMEKVAMEFFMLPLEEKKKYPMAPGSVQGYGQAFVFSEHQKLDWCNMFALGVEPHFIRNPKLWPTKPADFSETLEAYSREIRKLCEKLLKYIGRSLGLSDEDVFEKMFGVSVQAVRMNYYPACPRPDLVLGLSPHSDGSALTVLQQGICTTLDEGLQILKDDTWLPVHPIPNALVINIGDTMEVLTNGRYKSVEHRAVTHKEKDRLSIVTFYAPSYEIELGPMEELVNEDNPIKYRRYNHGDYSKHYVTNKLQGKKTLEFAKIHH >itb02g07350.t1 pep chromosome:ASM357664v1:2:4552943:4558124:-1 gene:itb02g07350 transcript:itb02g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFSDDPITREFYIPTYIFKPEVVGETESSPDVPSCPVLVFVNSRSGGQLGGDLLTTFRSLLNKYQVIDLGEEAPDQVLRRLYLNLEKLKSTGDVLSSKLEEKLRIIVAGGDGTAGWLLGVVSDLKLSRPPPIATMPLGTGNNLPFAFGWGKKNPGTDTQSVLSFLIQVKDAKEMNMDSWHLLMRMKAPRQGSCDPIAPLELPHSLHAFHRVSESDELNVEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKQNPEKFKNQLVNQKTYAKLGCTQGWFAASVFHPSSKNIAQLAKVKVMKRGEWTDLKIPHSIRSIVCLNLPSFSGGLNPWGTPNVNKRRDRDLTPPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLGQVKMLATQDCRSRSYLDPSTPHHEGEDGDSGGEEDSISEEQRKFGAADTFKIPDEIDISQIS >itb07g13180.t1 pep chromosome:ASM357664v1:7:15314904:15320644:-1 gene:itb07g13180 transcript:itb07g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCSILLSNCNPRRLCYHEQLGSTLNHLFIFCYSSNSLVERLNPSRPTSTRPFPDYSPKKPSIRDTELVYQVSITVKQRRYETLRRTLKSFESKIRSDHFVWVLMSIRNDYKLVLDFYNWWCVRRNPSLEARCIVVHIATSSEDTKMARELIREFWIKPSLDIDLSFVHFLEKLIYTYKDWGSNPYVFDIFFQVLIEVGFLVYARKFFDKILNYGIVLSVCSCNLYLSSLSNSNGGHIMALKVFSEFSNLGVAWDTESHNIMVHSLCRIGRVKEAHNLLLQMELRGCIPDVVSYSTVINGYCTAGELEPVLKVIEEMQGKGLKPNKHTFNSIILLLCKTGKVSYAEEVLREMISQGTTPDNVVYTTLIDGFCKAGVVTAAYRLFNEMQCLNIIPDLVAYTALICGLCQTGKFAEAYKLLHDMLDCGLEPDEFTYTTLIDGHCKVGEVQVAFSLHNQMVQMGLVPNVVTYTALADGLCKQGELDMATELLQEMCRKGLELNIYTYNSLINGLCKAGNIVQALKLMKDMEASGIPPDTFTYTTLMDAYCKSGEIAKAHDLLRDMLCSRIQPSIVTFNVLINGFCTSGMLEEGEKLLGWMVEKGIMPNATTYNSLMKQHCIRNNMRVAAEICKGMCSKGVMPDGNTYNILIRGHCKGRNMKEAWYLHKEMVEKGYKPTIDTYHALIKGFLKRKKFSEAKGVFEEMRREGLSTDKELYCIFADMRFEEGDFDRALQICDEAIEKCLINKTNDWVT >itb04g06000.t1 pep chromosome:ASM357664v1:4:3897347:3900356:-1 gene:itb04g06000 transcript:itb04g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDHENASQSSDMEMENGIDVVDEEDQNWDDWEADEEDNIVNSELACLFCDSRQSSGPALFQHCASSHHFDFEKIKNDLHLDFYGCFKLINYIRSEVAENRCWSCRITCKSKQDLQNHLHEMIQYENNALPWEEDKYLKPFLQEDALLYSFVEDDEGEDDTMSVDNNELARELSSFERIKIDDYEPALEEDESGLRACCENGGKKVVASTAGGSCSNGNSLGNSKVKAVDANDCNLALHRKAEDEEQTNYFSDIAKQKIKNVNKNYFGSYSSFGIHREMISDKERTDAYRRAIVENPSLFRGSTVMDVGCGTGILSLFAAQAGASRVMAVEASEKMAGVATQIAKENGLLWNNSLTGVIEVVQGMVEELDGTRNIQPNSVDVLISEWMGYCLLYESMLSSVLLARDKWLKPGGAILPDTATMFVAGFGRGGTGITFWDNVYGFNMSCIGKELAEEASRFPIVDIVDSSDVVTSTAVLQAFDLVTMKTEEMDFTAIVELEPKNGGSLDSTPESKPKTTWCYGLVLWFETGFSGRFCKEMPTNLSTSPYQPKTHWSQTILTFREPVAMSSGRSSGCDKSEAVGTDACPAVRMQSRISIARGIQHRKIDISVEVTAVGPDGRKRSWPGQLFNL >itb01g12830.t1 pep chromosome:ASM357664v1:1:12514759:12518179:1 gene:itb01g12830 transcript:itb01g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINAFFRPCTSKTADASSISSDLFHREGTKKNYPDITVTYKRRNSEPCGDSKDGSNGEAPKKTDSRSSIVKFGLPTSGKVLNKKRKYAQFHLEFGNKKRKYAQFHLEFGQSDFFLHTCNVCNFQYATGQEGDNVCNFQYATGQEGDEKVHQAFHKNYTVGIPFKGWQNERIIPIPSFEAGRIILVLNDDSPPWRNKVQEVVKMMEVELGEGWIYNQQCKVYLFISSRRIGGCLVAEPIKEAYRIVSSSQGKKSSVAAEREVSQRSTVLQFGGVSFQREKVRRDPPKERLDGLDDDLNGVILCEKEAVPALCGIRAIWVTPSNRRKHIASYLLDAARKSFCNGQVLLRSELAFSQPTSVGKVFISSYTSSSSFFVYTSSGSK >itb10g12060.t1 pep chromosome:ASM357664v1:10:18008643:18011693:1 gene:itb10g12060 transcript:itb10g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSSGDRDERSREVAAMRPEGSPADQFAPLASVFRRRLLTGIGTASLVAVGANFGGITSFLLGVSPESARSLKLDVLYPIGGYSRCLEANEGFEFLYPSNWVGDQTLLYRTAEKVERSLDPPALNSGEKRRRNVNEPVVAFGPPGSSGELNVSVIVSPVPIDFSIEAFGGAKEVGEAIVKTITSSTSKRPQVKGTLIQSNIREDSLMNVKYYILEFQVEGSSFQRHNVAVCCARRGRLYTLNAQAPQSLWPMVKSDLYRIADSFVLTS >itb09g05970.t4 pep chromosome:ASM357664v1:9:3417855:3419858:1 gene:itb09g05970 transcript:itb09g05970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGISTPVHEITKCIHIALLCVQESVPDRPKMIEVLQMLNNLSIRLPEPLDPGLFICGSFSSEASSQFTKNVKSISDQYVRKMQKKAKSYAKNVDESGSSIEISPVESHIIKYELITIQNATNKFSKANNLRRGRYGSIYKGKLENGLEVAVKRLSEYSTHGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREIILVYEFLPNGSLDEFLFDRIKCGYLDWGRRYKIIESIGKGLVYLHEDSRLRIVHRDVKVRNILLDADLNPKIADFDLAWFFASDETHCEYQPVMGTFGYLAPEYLQKGELSIKTDVYSFGVLVLEIICGQKCFDVLNERTIGRFLTSYVSINISIEMHCIEFS >itb09g05970.t1 pep chromosome:ASM357664v1:9:3416316:3420381:1 gene:itb09g05970 transcript:itb09g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDFDMAKLFALDKIHDSTSIIMRTSRYMAPEYVLQGEISVKSDVYSFGMLILEIVSGHRISSFQNGESTNDDLLSYAWTHWKGGSTSNVIDPMLRGISTPVHEITKCIHIALLCVQESVPDRPKMIEVLQMLNNLSIRLPEPLDPGLFICGSFSSEASSQFTKNVKSISDQYVRKMQKKAKSYAKNVDESGSSIEISPVESHIIKYELITIQNATNKFSKANNLRRGRYGSIYKGKLENGLEVAVKRLSEYSTHGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREIILVYEFLPNGSLDEFLFDRIKCGYLDWGRRYKIIESIGKGLVYLHEDSRLRIVHRDVKVRNILLDADLNPKIADFDLAWFFASDETHCEYQPVMGTFGYLAPEYLQKGELSIKTDVYSFGVLVLEIICGQKCFDVLNERTIGRFLTSYAWKQWINGSPSNIIHPMLRGVSSPVDDIIKCIQVALLCIQVKAEDRPTMSEVVQMLSNLSMSLPVPLAPPGDDSISKPFLPPLSSIFVVKC >itb09g05970.t2 pep chromosome:ASM357664v1:9:3416316:3420381:1 gene:itb09g05970 transcript:itb09g05970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYVLQGEISVKSDVYSFGMLILEIVSGHRISSFQNGESTNDDLLSYAWTHWKGGSTSNVIDPMLRGISTPVHEITKCIHIALLCVQESVPDRPKMIEVLQMLNNLSIRLPEPLDPGLFICGSFSSEASSQFTKNVKSISDQYVRKMQKKAKSYAKNVDESGSSIEISPVESHIIKYELITIQNATNKFSKANNLRRGRYGSIYKGKLENGLEVAVKRLSEYSTHGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREIILVYEFLPNGSLDEFLFDRIKCGYLDWGRRYKIIESIGKGLVYLHEDSRLRIVHRDVKVRNILLDADLNPKIADFDLAWFFASDETHCEYQPVMGTFGYLAPEYLQKGELSIKTDVYSFGVLVLEIICGQKCFDVLNERTIGRFLTSYAWKQWINGSPSNIIHPMLRGVSSPVDDIIKCIQVALLCIQVKAEDRPTMSEVVQMLSNLSMSLPVPLAPPGDDSISKPFLPPLSSIFVVKC >itb09g05970.t3 pep chromosome:ASM357664v1:9:3416316:3420381:1 gene:itb09g05970 transcript:itb09g05970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGISTPVHEITKCIHIALLCVQESVPDRPKMIEVLQMLNNLSIRLPEPLDPGLFICGSFSSEASSQFTKNVKSISDQYVRKMQKKAKSYAKNVDESGSSIEISPVESHIIKYELITIQNATNKFSKANNLRRGRYGSIYKGKLENGLEVAVKRLSEYSTHGNLEFKNEVTLIAKLQHRNLVRLFGYCQEGREIILVYEFLPNGSLDEFLFDRIKCGYLDWGRRYKIIESIGKGLVYLHEDSRLRIVHRDVKVRNILLDADLNPKIADFDLAWFFASDETHCEYQPVMGTFGYLAPEYLQKGELSIKTDVYSFGVLVLEIICGQKCFDVLNERTIGRFLTSYAWKQWINGSPSNIIHPMLRGVSSPVDDIIKCIQVALLCIQVKAEDRPTMSEVVQMLSNLSMSLPVPLAPPGDDSISKPFLPPLSSIFVVKC >itb01g28890.t2 pep chromosome:ASM357664v1:1:33216499:33219332:1 gene:itb01g28890 transcript:itb01g28890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMRNSTAEAAAAASSSEVMMEIEASKPQGNGMVVGGLSPLSETLWKEKTNTEFMGDVSARLAWKDLTVMVTLGNGETQNVLEGLVGYAEPGTFTALMGPSGSGKSTLLDALSGRLAANAFLSGRIVLNGHKAKLSFGTAAYVTQDDNLIGTLTVRETISYSAQLRLPDRMPRTEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIAVEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFETKDDPLEKITTAEAIRRLVDFYHTSQYYQEASVKVEEMSKVKGTVLDSGGSQASFLMQAFTLTKRSFVNMSRDFGYYWLRLVIYIVVTICIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVHPAILQTYLLPHSTINYYSTIH >itb01g28890.t1 pep chromosome:ASM357664v1:1:33216422:33220678:1 gene:itb01g28890 transcript:itb01g28890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMRNSTAEAAAAASSSEVMMEIEASKPQGNGMVVGGLSPLSETLWKEKTNTEFMGDVSARLAWKDLTVMVTLGNGETQNVLEGLVGYAEPGTFTALMGPSGSGKSTLLDALSGRLAANAFLSGRIVLNGHKAKLSFGTAAYVTQDDNLIGTLTVRETISYSAQLRLPDRMPRTEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIAVEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFETKDDPLEKITTAEAIRRLVDFYHTSQYYQEASVKVEEMSKVKGTVLDSGGSQASFLMQAFTLTKRSFVNMSRDFGYYWLRLVIYIVVTICIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVAAFVISNTFSAMPFLILITFLSGTVCYFMVRLHPGFMHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIITGAGIQGIFMLVSGYFRLPNDIPKPFWRYPMSYISFHFWALQGQYQNDLKGLVFDNQSPDLPKIPGEFILENIFQIDVNRSKWVDLSVLFSMIIIYRVIFFIVIKINEDVTPWIRGYIARRRMQQKNGNQTTTVAPFGLTQSPSLRSYVPAPPKK >itb15g21720.t1 pep chromosome:ASM357664v1:15:24393501:24394916:1 gene:itb15g21720 transcript:itb15g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEFFHGGYYEGEFSPDKRVLEKNGDNFIVEELLDLPNDDGLVAVTDGGALEATGDSSTVTALENSCNSSFSGEMTCHHLLDGQFSSDFSVPYDDLAELEWLSNIVEEPFSSEDMEKMQLISGIKARNDEASEAQIVQPDNTRVNAVPMFRPDVSIPAKARSKRSRVAPGNWTSRILAVSGPTTNTTSTTTITTATAIMPTPTISSSSESDIALNHPRKRMKATMKKKESSASGGAGAAAALSNNSEGRRCLHCDTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLAKHSNSHRKVLEIRRQKELLRAQHQQPQFLHQNMMFDVSNANDYLIHQHVGPDYRQLI >itb10g19920.t1 pep chromosome:ASM357664v1:10:25583256:25585693:-1 gene:itb10g19920 transcript:itb10g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYKILQVDKDANDEDLKKAYRKLAMKWHPDKNPTNKKEAEDKFKQISEAYEVLSDPQKREIYDQYGEEGLKGEVPAPNGGGGGGATFFQTGDGPNVFRFNPRNANDIFAEFFGSSSPFGGGMRGGNSRFSSSLFGDDMFSSFGDGRPMSSVPRKAPPIERKLPCSLEELYKGTTKKMKISREIADMSGKTLPVEEILTIDIRPGWKKGTKITFTEKGNEEPNVIPADLVFIIDEKPHGTFTREGNDLVVTHRISLAEALTGYTVHLTTLDGRKLTIPINSVIHPDYEEVVPREGMPIPKEPTKRGNLRIKFNIKFPTRLTPEQKSGIKKLLAS >itb12g01740.t1 pep chromosome:ASM357664v1:12:1182814:1187473:1 gene:itb12g01740 transcript:itb12g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGVFIEPSSSSPSNPDLSLHISLPVAAGNDLSAGNAKSAVAQMYTDLSLARPTRTNDQERRFVSMDSSAGQRNEVWEDRQFVRGTKQGQGQHFLGFQGGNDGVSGDIGGLSPPIKGIPVYQSRGFPFLGSDRHPRYADPKICFHSSPYFSGGGLDHLSILNNTDTASAAAAYGTSLAAAGRFNGLSSPYQVPQHHHQYGVSGASHHHHLSDAPPSHGGLTMRSRFYPKLPAKRSMRAPRMRWTSTLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTSSKPAASSGQSDGSGDEDQSSLGSAGPGGGDRSSIHQFMDQRASALQPEPEFLSPTAVWGNSSSGEGLLETSSNETNGMRSSSFPMQQRSEHQHIQEWESDETPKRCIGWEQTNPSLEFTLGRPDWIPKDHH >itb12g16070.t1 pep chromosome:ASM357664v1:12:16572212:16574109:-1 gene:itb12g16070 transcript:itb12g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGPGNDIRAKALREKLREGGASVAYNVNPVADQFQRAFQTSTFCNRLYSFFNKRWFFDQVLNDFLVRSFLRFGYEVSFEALDKGAIEILGPYGISYTFRRLAERISQLQSGFVVRRMRYDPWPPAWWGRLLRCG >itb05g21360.t1 pep chromosome:ASM357664v1:5:27155028:27155817:-1 gene:itb05g21360 transcript:itb05g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLILAIAVLAIASSFAHASDPSQLQDFCVAINDSKAPVFVNGKICKNPMEVDADDFLLRGLNKPGNTSNPLGSAVSAVNVNNLAGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPQGLIHFQFNVGHSNAIAFAGLSSQNPGVITIANAVFGSDPPIDPKVLTKAFQVEDKVIEYLQKQFWYNNNN >itb04g31480.t1 pep chromosome:ASM357664v1:4:34342999:34344372:1 gene:itb04g31480 transcript:itb04g31480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKQTQDRRFRVLMLPWLAHGHLVPYLELANELHHRNFDIHFCSTPVLLSSVKTSSSFHYDKIHTVEINLPGGDFPELLNPSRHTTKDLPRHLILTLCKAFRMASPSFCHILDQIKPDLLIYDIFQPWATEAAASRKIPAVCYGITGAASMAFAHYLLRHRTTSGFPFSEICMRPHELKNLRKNYKNVDLDERIAILRAMELSTKVLLLNTSREIEGKYIDHLSAVLGKTTVPIGSLIRVQEKEKEKEKDVEMMKWLDGKQKHSTLYLSFGSEYYLSREEIQEIAKGLELISSANFIWVIRFPAGEETPLEEALPNGFLERVKGRGIIVEKWAPQTKILGHPSIGGFVMQCGWNSFLESIHFGVPIIAIPMHSEQFVSARMAVELGIATEVMRDDDGRLYGEDIAKAVKSVVEDKSGEEMRGKVREVNAQMKMKGKQGIDNTAALLSELCLSNNL >itb09g27410.t1 pep chromosome:ASM357664v1:9:27697709:27698142:1 gene:itb09g27410 transcript:itb09g27410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNKKLIKLVKRWQKFVAIRRKRISLPRLNDDADSCSTSSAVSKGHFAIYTADQKRRGLSRELENALLTSVTSSRCSSASLHHERSRNQELLVC >itb14g12020.t1 pep chromosome:ASM357664v1:14:13617149:13619827:-1 gene:itb14g12020 transcript:itb14g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPLLYLLFFVFPLHTFAQNNATIAVNQTLNTASEPWVSSPSGVFAFGFQKIQATEDQFMLCIWYANIPQRTIVWHANTSAAPQGSTVRVDSGSGLILSDPQGNKLWSPGDGLSQVIDHGFLNDTGNLVLVGRDSRVVWDTFSHPTDTLLPTQEMEIGGILISRRSETNFSQGRFYLRMLGDGNMILSTKSVSTNLDFDVNYYNSTTSDPTISDYKLRFTEMGSLYILKGSNQTVEITPPLSTYSESYLRVSLNFDGVLSLYSTPKISTVNPTWVSTWSQPENICTAIHGDYGSGACGYNNVCSLDLTTKRPSCKCPQGYSLIDPSDEYGSCGTNFLQTCDEKGSSEDLYEIIEVNNTNWPFNDIAHINPCGDDECKASCLNDCLCDVAIYGGSGCWKKRLPLSNGIHGPNIDAKAFLKLRKNGFLEKQNNGFPDKKKDHGTLIVVGSVLLGSSVFINFLFITTTCLGFSFIYKRKIKKPNPSVQSNLHCFSYNELAKATNGFREEIGRGASAIVYKGEIPLGSENIVAVKKLDRVAHDSEKEFKVEVNVIGQTHHKHLVRLLGYCNEGQHQLLIYEYMRNGSVASFLFSDLTPSWSERTKIAFGIAHGLTYLHEECNTQIIHCDIKPQNILLDEDYNARISDFGLAKLLRVNESRTHTNIRGTKGYVAPEWFRNNKVTVKVDVYSFGILLMEIITGRRSTTNVINGRLRICC >itb14g12020.t2 pep chromosome:ASM357664v1:14:13615260:13619827:-1 gene:itb14g12020 transcript:itb14g12020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPLLYLLFFVFPLHTFAQNNATIAVNQTLNTASEPWVSSPSGVFAFGFQKIQATEDQFMLCIWYANIPQRTIVWHANTSAAPQGSTVRVDSGSGLILSDPQGNKLWSPGDGLSQVIDHGFLNDTGNLVLVGRDSRVVWDTFSHPTDTLLPTQEMEIGGILISRRSETNFSQGRFYLRMLGDGNMILSTKSVSTNLDFDVNYYNSTTSDPTISDYKLRFTEMGSLYILKGSNQTVEITPPLSTYSESYLRVSLNFDGVLSLYSTPKISTVNPTWVSTWSQPENICTAIHGDYGSGACGYNNVCSLDLTTKRPSCKCPQGYSLIDPSDEYGSCGTNFLQTCDEKGSSEDLYEIIEVNNTNWPFNDIAHINPCGDDECKASCLNDCLCDVAIYGGSGCWKKRLPLSNGIHGPNIDAKAFLKLRKNGFLEKQNNGFPDKKKDHGTLIVVGSVLLGSSVFINFLFITTTCLGFSFIYKRKIKKPNPSVQSNLHCFSYNELAKATNGFREEIGRGASAIVYKGEIPLGSENIVAVKKLDRVAHDSEKEFKVEVNVIGQTHHKHLVRLLGYCNEGQHQLLIYEYMRNGSVASFLFSDLTPSWSERTKIAFGIAHGLTYLHEECNTQIIHCDIKPQNILLDEDYNARISDFGLAKLLRVNESRTHTNIRGTKGYVAPEWFRNNKVTVKVDVYSFGILLMEIITGRRSVVKSVDDKGEETYWRVGTIPNGCLERSTGWLPSIPGC >itb03g15650.t1 pep chromosome:ASM357664v1:3:14936279:14936764:-1 gene:itb03g15650 transcript:itb03g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSIIPTRIHSLEAKTGMPVLRVSIGGGEDIDMATQSAVASRLYKVVAWVVRGQEFVTPFVEGKLDPIWNRRFEVFIDPAVMSTAFLHVEVIRASSDEPGTSRSAAVVGRARIPLPKLPGPPPPSSFRRYGLTVPHGGEVRPGGHIYVLMEIRGRFTEE >itb08g15340.t1 pep chromosome:ASM357664v1:8:17464060:17465910:-1 gene:itb08g15340 transcript:itb08g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPCQPNQEPDSDQSSNPKTLYSDSSSSSSKSFSSLASQPSLPSVPSLTASISSLIEHPLSSKASHHCLATLKGGGAYIFCLALAGKHLYCGSSNGDILVSGRDPSQDGNSNSSLRLVAQSGSAVKSIVILGDKLISAHQDHRIRVWKIDNELTHHRKHNYYKCIATLPTLNDRCMKLFSAKNYVEVRRHKKCTWVHHVDTVSAMALSADGALLYSVSWDRTLKLWRTSDFKCLQSIWNAHKDAVNAVAVSPDGLAVYTGSADKKIKVWEVNAGDKRRLSLAATLEKHKSTVNALALSTDGSVLYSGACDRSIIVWEKDGGGGPMVVAGALRGHTKAILSLAVVAELVVSGSADKTVRMWKRGVGKSYFCVGVLEGHSGPVKCLTATLDTKNSNTKDEEGNCGNSYMVYSGSLDCDVKVWRIWSQF >itb07g18850.t1 pep chromosome:ASM357664v1:7:23284685:23288482:-1 gene:itb07g18850 transcript:itb07g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQNWRWILGLIYIFLVASIWIAASFVVQSVVDAGVSPFLVTYICNSLFVVYIPIVEIGRYLQDKYGSVLFWQKRESCSLGELREDEEVILLGDDNSGSHFQADASSPSGVVAEGKEINIVVSRKDVIDECAADIGLDSKGRWTRIRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSTASSLFTFLVSVVFLGEKFTWVKLLSVLLCMGGTIIVSLGDSKSGISVAASNPIVGDILALLSSAFYAVYITLIRKKLPDDDDEKSGQVSMAQFLGFLGLFNLLIFFPVILVLNFAKLELFTALTWKQLGLITGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPLAAIVDTLTGNSPGLPDCIGAAVVMLGFAGINMPSNACSKSEEEQVGIELEDGNSHQDHGTPNLSKL >itb11g03000.t1 pep chromosome:ASM357664v1:11:1528175:1530482:-1 gene:itb11g03000 transcript:itb11g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWNLEDKWKVSTKAAAAIGLCACAAASLLVAAVWVVLRRRKTAADGGGVEEAKEVLMRSVRWSGACKWEEKRGRGKGRERGSLSPLLVGGPVGWQSHSSDSPVWQRPILMGEKCELPSFSGLILYDDEGRPLHNNNNNNNVSPHHHQVDMSAAIERVTLKELL >itb09g07210.t1 pep chromosome:ASM357664v1:9:4177511:4178684:1 gene:itb09g07210 transcript:itb09g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFEQSIAKPPLELSLPLAGQPDKKTRQEIAQIFRSQKPGDSTLYRLPNGNFLGVSHGGETPSHPRSVIVMEDIFCIFSGALDNTPDLRKYYGLSRQATEAMIMVEAYKVLRDRAPYPPDQVIKDLQGKFAFILFDSKDSTLFLARDREGSVPLHWGATGDGSLICCSDAELIKAASGKCYTPFPPGCIFLSESGLTSFDHPLHKVKAMIREDDDGSVNAIIFQVDFYTRLHSIPRRGSASNWAGTTVVEGE >itb02g24200.t1 pep chromosome:ASM357664v1:2:24556378:24558847:1 gene:itb02g24200 transcript:itb02g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEVVENEELIVWSGNQPEKKPTGGSAPPAIDDGGGIEMEAAAGEAVPKPNTAGALKGMARSQLYEICAANRWSPPVFDCCSDDGPNHLKMFKLKVRVEISREHKATTLVCFSNPFPRKKAAAENAAEGALWFLNHLGYRSNNQ >itb02g07090.t2 pep chromosome:ASM357664v1:2:4422645:4428501:1 gene:itb02g07090 transcript:itb02g07090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGPIVGTVFKGLQEQVQTQAQYALNFKDQFKEMQTQLELMQAFLNDCKDDKSKSQTVKTASKHLRDLTYVADDIVTGCHIREDYKRTIESTFCLPSCKEVVYRWDMGRKLTEINQQLQNMHNMHKMHEILDKVGQKSKPPAPSSRKPTWLVPQKIVGLEKETEKITNWMFTGNVSFIGIAGMGGLGKTTIAQKVFNHKQVKDRFRKRIWVCVSQTYDEGELERSILDMLGVEYKGCNEGELQTKISELLLKNNTLIVLDDVWSLKGGGWWALISTAFQETAKHNGCIIVTSRIKNVIESMARQGFNNNVEIHEPKLLGKVESEKLFCKFVFGPTKTKFNGSELDKVGKQIVHKCNGLPLAIKIVGGVMSGVKPRTPAEWMKKCEKFREVIIEKVEGSVNVIDSLQLSYDELPDHLKQCLLCFAIYPDDHEIEVEQLKRWWVGEGFIRGTETPNKEAYKYLLQLINRFLVEVTKKRDFDGRVYKCKMHDMVREMVIRNAKDDGFCDFSDKGKHIATPESLHLGVTKDTSFQSLIRNKKLRALILTTCDSIGFNKRIALAKVKTLRVLDLSRLKLDGISVDDLWHWITSQKLLAYLNLQDVADLKEIPPSIQKLWGLKILVLEGCKSLRSLPSPMACFPRLRVLDVGNCSSLLWIPQGLSKVSSLQELFGFKIPKGNQQEACRLSDLEKLKQLKVLQVDIMEGSLIRDDDLTALESLEKLRVLSINANGITDDELLQKLKKLCVPKGLKHLYLRRFCLEATPAWISPSSLPKLQFLCIEDSKELTQFSENFHEDGWMIEGLSLKFLPKLKEEWEWLQKEAMPQLRYLEVSHCNSLKSYPYHTDDLYIWEKPRDEMEDDKEVERNAYEEDNEEECNTCED >itb02g07090.t1 pep chromosome:ASM357664v1:2:4422645:4428501:1 gene:itb02g07090 transcript:itb02g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGPIVGTVFKGLQEQVQTQAQYALNFKDQFKEMQTQLELMQAFLNDCKDDKSKSQTVKTASKHLRDLTYVADDIVTGCHIREDYKRTIESTFCLPSCKEVVYRWDMGRKLTEINQQLQNMHNMHKMHEILDKVGQKSKPPAPSSRKPTWLVPQKIVGLEKETEKITNWMFTGNVSFIGIAGMGGLGKTTIAQKVFNHKQVKDRFRKRIWVCVSQTYDEGELERSILDMLGVEYKGCNEGELQTKISELLLKNNTLIVLDDVWSLKGGGWWALISTAFQETAKHNGCIIVTSRIKNVIESMARQGFNNNVEIHEPKLLGKVESEKLFCKFVFGPTKTKFNGSELDKVGKQIVHKCNGLPLAIKIVGGVMSGVKPRTPAEWMKKCEKFREVIIEKVEGSVNVIDSLQLSYDELPDHLKQCLLCFAIYPDDHEIEVEQLKRWWVGEGFIRGTETPNKEAYKYLLQLINRFLVEVTKKRDFDGRVYKCKMHDMVREMVIRNAKDDGFCDFSDKGKHIATPESLHLGVTKDTSFQSLIRNKKLRALILTTCDSIGFNKRIALAKVKTLRVLDLSRLKLDGISVDDLWHWITSQKLLAYLNLQDVADLKEIPPSIQKLWGLKILVLEGCKSLRSLPSPMACFPRLRVLDVGNCSSLLWIPQGLSKVSSLQELFGFKIPKGNQQEACRLSDLEKLKQLKVLQVDIMEGSLIRDDDLTALESLEKLRVLSINANGITDDELLQKLKKLCVPKGLKHLYLRRFCLEATPAWISPSSLPKLQFLCIEDSKELTQFSENFHEDGWMIEGLSLKFLPKLKEEWEWLQKEAMPQLRYLEVSHCNSLKSYPYHTDDLYIWEKPRDEMEDDKEVERNAYEEDNEEECNTCED >itb03g07820.t1 pep chromosome:ASM357664v1:3:5810515:5813380:-1 gene:itb03g07820 transcript:itb03g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVETALDGQTDFASVRTLTLDGAVKCVHGRLPPPALFERFQNLQHLSIANIGVSSLEQFPRLHNLQKLILSDNRIAAGLEFLVEAGLNSLRDLDLSNNRIQDIDDLRPLAELKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEDEEEDEEEEDDDPGSGEVDGEDRPYRVSNGHKAGSDGVLDVDEDESDADEEEAETSRGASRVKQANSHQQSNESTGRLASTEGEIDGHEQGEDEDDEDDNGETGEEEQGVEDDHDGEFDDDEDAEDEEEDNDAGYLVQPVGQVEVDAGGSDMEAANGDEDHEFDGGVEEEDDDDDDGEVQEVPPSQSTTKKRKRDGDEDDGSDDDNVVDCGKSSKRR >itb12g05570.t1 pep chromosome:ASM357664v1:12:4087711:4087998:1 gene:itb12g05570 transcript:itb12g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVSSSLVFLLFLIIIILSNHLFFIEARKMAANSRLRRFQNHRAVGHAGEERPRALVVAAKGSPRRRYMEGAVDSFRPTAPGHSPGIGHSIHD >itb09g16630.t2 pep chromosome:ASM357664v1:9:11787883:11791338:-1 gene:itb09g16630 transcript:itb09g16630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYGNSLHWRRSVVDSPLSGKESPVFGLAAARPGEDFQFAADNSFGCSFSSPLDWNDEQLRDLMEGDNGDSGDHVVPYPFQSERNVPSAEGEVIINQNDAKASNLKPADRKKTFDLHNTKHAANEALPASAFMDSWPDLYMSKTDQDLSSALESDNAAGTLDGTGELDSDSGFFQKRDGNASNMEILDDLDMILSNYNPDFCQTSLPSTQLLHNQLSTRGCENMNDFITPNISSQAFPEPFIKEKIALESGGKAPAFSMELDTGFAAMPDSSLDEVFLEAHSKSKEDHEANQLQDLFRYQPISFDKLNSHYISMNQPCPSVAFPQPVQSRYNSKSTPYPSVVHPQPMQLHYNTGSMPYPGSELASSVSMDFASQYSMPGLSQFRDKQVYQQSVPCSSYVSAPYRSNAIKMSSGRPIESLAMTPMTRVENLRKQQQMRPMVAIQKLQTTDHSVVAHGNFFVEGKSQLERNDSNIARMAVDDHPVKDPILYQLLEIIQKLDTRVKVNIRDSFFRLAQNAEQRQYANNTSGMNTDSNASIVNKEDINNKVETETNLIDRGVAHLLFHQQLSEEFYYEGNPSSSLSFAR >itb09g16630.t1 pep chromosome:ASM357664v1:9:11787591:11791338:-1 gene:itb09g16630 transcript:itb09g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYGNSLHWRRSVVDSPLSGKESPVFGLAAARPGEDFQFAADNSFGCSFSSPLDWNDEQLRDLMEGDNGDSGDHVVPYPFQSERNVPSAEGEVIINQNDAKASNLKPADRKKTFDLHNTKHAANEALPASAFMDSWPDLYMSKTDQDLSSALESDNAAGTLDGTGELDSDSGFFQKRDGNASNMEILDDLDMILSNYNPDFCQTSLPSTQLLHNQLSTRGCENMNDFITPNISSQAFPEPFIKEKIALESGGKAPAFSMELDTGFAAMPDSSLDEVFLEAHSKSKEDHEANQLQDLFRYQPISFDKLNSHYISMNQPCPSVAFPQPVQSRYNSKSTPYPSVVHPQPMQLHYNTGSMPYPGSELASSVSMDFASQYSMPGLSQFRDKQVYQQSVPCSSYVSAPYRSNAIKMSSGRPIESLAMTPMTRVENLRKQQQMRPMVAIQKLQTTDHSVVAHGNFFVEGKSQLERNDSNIARMAVDDHPVKDPILYQLLEIIQKLDTRVKVNIRDSFFRLAQNAEQRQYANNTSGMNTDSNASIVNKEDINNKVETETNLIDRGVAHLLFHQQLSEEFYYEGNPSSSLSFAR >itb04g03810.t1 pep chromosome:ASM357664v1:4:2386981:2396436:1 gene:itb04g03810 transcript:itb04g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDASTTMEHFAAAACCISHEFHRRASRNPNRIAVIHACGGARLAREFHRIHKNGSGEQTIIDFDEFISEKTTSLRPTVYEGDRCFTFSDILSAVDSLSSRLRRILDGANDSHLIKPRSGNGVLRDHLVQVENSESLESSAQQFNELQKTYTPRVIGVYMEPSIEYIITVLSVLRCGEAFMPLDPSWPKERILWVLSSSKANLLVGCGSSVDSNFHQLDKLDWLIDDGSCPIFRISMEDYIQKKDCDSSHLVWPCERERLRSFCYLMYTSGSTGEPKGVCGTESGLLNRFLWMQELYPLQLEDLLLFKTSISFIDHLQEFLGALLATCTLVIPPFNQLKENLFYIVNILQEYLIGRIVAVPSFMRAILPALESAYFRRIQSSLKVLVLSGETFHLSLWKILVKILPQTAILNLYGSTEVSGDCTYFDCESLPLILEHESLSGVPIGLPLQNCDVLLLGDDDPNEGEICVSGLCLSAGYFCYPSVLPLDYVELSQNHDIKSTDHRVQQYFRTGDFARKLQNGNFIFLGRKDRTVKISGHRIALEEVENVLREHPEVADAAVIFRNDKRDTSLLEAHLIMKENNEHVEILRSITNWIARKLPPAMIPVRFFFTDSFPMSSSGKVDYNLLADSCVCNAGFHSEVDETQDIDLIQAIEKAFCDGLRVDKISHNADFFEMGGNSISAAYVSYTLGINMKDLYTFPTPKKLQMALLRKEISFNHDLRSGAPEGVNYEGQGNSNILSLYSREPHHNRRMPLNENSFKRLKMDSNLYIESNYVSARDYSYSNLGLINCSFSRCNYVKHGEECGGNICGSVRSQEFPCGRKISIREFWKVDMESCVDASPLVVYKESNVYVFIGSHSQKFICIDAKSGVVQWMVELQGRIECSAAIVGDFSQVVVGCYEGNIYFLNFSNGSTCWSYQTCGEVKSQPVVDKPRHLVWCGSHDHNLYALDYKNYCCIFKIPCGGSVFGSPAVDEMQGKLYVASTSGRVTAVSIKAQLYSVLWIKELGVPVFGSLSINFSYEIVICCLVDGSVLALDASGSVVWKGRTGGPIFAGPCTSHTLPSQVLICSRDGIIYSFDLENGKLLWKHSVEDPITASAYIDESLLLSCDDHTLPRRFICVCTSSGGIRVLQVNLDHNEAAKQSGEDVIQEFAKLDLEGDIFSSPVMIGGTIFVGCRDDYVHCLKLERQIPT >itb04g03810.t2 pep chromosome:ASM357664v1:4:2386981:2391548:1 gene:itb04g03810 transcript:itb04g03810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDASTTMEHFAAAACCISHEFHRRASRNPNRIAVIHACGGARLAREFHRIHKNGSGEQTIIDFDEFISEKTTSLRPTVYEGDRCFTFSDILSAVDSLSSRLRRILDGANDSHLIKPRSGNGVLRDHLVQVENSESLESSAQQFNELQKTYTPRVIGVYMEPSIEYIITVLSVLRCGEAFMPLDPSWPKERILWVLSSSKANLLVGCGSSVDSNFHQLDKLDWLIDDGSCPIFRISMEDYIQKKDCDSSHLVWPCERERLRSFCYLMYTSGSTGEPKGVCGTESGLLNRFLWMQELYPLQLEDLLLFKTSISFIDHLQEFLGALLATCTLVIPPFNQLKENLFYIVNILQEYLIGRIVAVPSFMRAILPALESAYFRRIQSSLKVLVLSGETFHLSLWKILVKILPQTAILNLYGSTEVSGDCTYFDCESLPLILEHESLSGVPIGLPLQNCDVLLLGDDDPNEGEICVSGLCLSAGYFCYPSVLPLDYVELSQNHDIKSTDHRVQQYFRTGDFARKLQNGNFIFLGRKDRTVKISGHRIALEEVENVLREHPEVADAAVIFRNDKRDTSLLEAHLIMKENNEHVEILRSITNWIARKLPPAMIPVRFFFTDSFPMSSSGKVDYNLLADSCVCNAGFHSEVDETQDIDLIQAIEKAFCDGLRVDKISHNADFFEMGGNSISAAYVSYTLGINMKDLYTFPTPKKLQMALLRKEISFNHDLRSGAPEGVNYEGQGNSNILSLYSREPHHNRRMPLNENSFKRLKMDSNLYIESNYVSARDYSYSNLGLINCSFSRCNYVKHGEECGGNICGSVRSQEFPCGRKISIREFWKVDMESCVDASPLVVYKESNVYVFIGSHSQKFICIDAKSGVVQWMVELQGRIECSAAIVGDFSQVVVGCYEGNIYFLNFSNGSTCWSYQTCGEVKSQPVVDKPRHLVW >itb04g06840.t1 pep chromosome:ASM357664v1:4:4524659:4525526:1 gene:itb04g06840 transcript:itb04g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSFLFFGVPESVTPMTPASGGPQFEFDESDVWSNNSNGFNNDVVSSSDTTTRRSAIPSSRAAAKKSSAAKARGVDRPASLPVNIPDWSKILGGEYKDRRRESEDEDEDEDGRVPPHVYLARTRVASLSVHEGIGRTLKGRDLSRVRNAIWKQTGFED >itb04g34070.t3 pep chromosome:ASM357664v1:4:36152370:36155094:1 gene:itb04g34070 transcript:itb04g34070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQQAASMMNQQQQQHMMCMSQGQMMNINPHQQQIQQPPMMNRKYGMWPQPPHQPIVDQSQLQFNPNAMKHQGFMAGNQSKPLGPRKNWKGKKVSKNDNRRKEKSLMVGSTAGIGNGSVNGGLVGASAPGSYQPPTLNELQWQNRLKARKFFPKKKMHNNYNNNGNNNSNNRTAPYAPRNTTSFLIRAKKSGGIASLVSPYPVTPAVLPTPILSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSPGQELEHHEDDEEDQGGSSESDVEEHVEVERRLDHDLSRFEMIYPNCGEYNNVLENRVDDQDTHIAQLEEENLILKERLFLMESELGDLRRRLQSLERHNHSGEDNEEVVENDSENDSGSHADAHSMEDNNLELVAENIGVDVKGKLDSIDKDIKSSRRMKNDMMETEHWNHEIVEAAKTKEIAAECESEKIDEDLEGKEVNKQQNIEVKEDVDLEGKDVNKQQGIEVKEDRDLEGKEGHKQHDVEVKEDGSKPKIEASYSVAAEDVNMLEACRAEDDINAQMQPKNEAEGNE >itb04g34070.t1 pep chromosome:ASM357664v1:4:36152361:36155178:1 gene:itb04g34070 transcript:itb04g34070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQQAASMMNQQQQQHMMCMSQGQMMNINPHQQQIQQPPMMNRKYGMWPQPPHQPIVDQSQLQFNPNAMKHQGFMAGNQSKPLGPRKNWKGKKVSKNDNRRKEKSLMVGSTAGIGNGSVNGGLVGASAPGSYQPPTLNELQWQNRLKARKFFPKKKMHNNYNNNGNNNSNNRTAPYAPRNTTSFLIRAKKSGGIASLVSPYPVTPAVLPTPILSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSPGQELEHHEDDEEDQGGSSESDVEEHVEVERRLDHDLSRFEMIYPNCGEYNNVLENRVDDQDTHIAQLEEENLILKERLFLMESELGDLRRRLQSLERHNHSGEDNEEVVENDSENDSGSHADAHSMEDNNLELVAENIGVDVKGKLDSIDKDIKSSRRMKNDMMETEHWNHEIVEAAKTKEIAAECESEKIDEDLEGKEVNKQQNIEVKEDVDLEGKDVNKQQGIEVKEDRDLEGKEGHKQHDVEVKEDGSKPKIEASYSVAAEDVNMLEACRAEDDINAQMQPKNEAEGNE >itb04g34070.t2 pep chromosome:ASM357664v1:4:36152370:36155125:1 gene:itb04g34070 transcript:itb04g34070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQQAASMMNQQQQQHMMCMSQGQMMNINPHQQQIQQPPMMNRKYGMWPQPPHQPIVDQSQLQFNPNAMKHQGFMAGNQSKPLGPRKNWKGKKVSKNDNRRKEKSLMVGSTAGIGNGSVNGGLVGASAPGSYQPPTLNELQWQNRLKARKFFPKKKMHNNYNNNGNNNSNNRTAPYAPRNTTSFLIRAKKSGGIASLVSPYPVTPAVLPTPILSPSREVLVDMAKEEWGVDGYGSMKGLIRLRSPGQELEHHEDDEEDQGGSSESDVEEHVEVERRLDHDLSRFEMIYPNCGEYNNVLENRVDDQDTHIAQLEEENLILKERLFLMESELGDLRRRLQSLERHNHSGEDNEEVVENDSENDSGSHADAHSMEDNNLELVAENIGVDVKGKLDSIDKDIKSSRRMKNDMMETEHWNHEIVEAAKTKEIAAECESEKIDEDLEGKEVNKQQNIEVKEDVDLEGKDVNKQQGIEVKEDRDLEGKEGHKQHDVEVKEDGSKPKIEASYSVAAEDVNMLEACRAEDDINAQMQPKNEAEGNE >itb15g23170.t1 pep chromosome:ASM357664v1:15:25919385:25922613:-1 gene:itb15g23170 transcript:itb15g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFTILRKTNIYKFRKYVENPVLKLQVIPLVGEGGIGKTTLAKRVYGHPTTIASFDIRAWVVLSQVPNLKEILIGLLRCVSPITSEIYTLDDAQIAKQLCTSLMGKKYLIFLDDIWTTAAWDAIKGYFPENFNGSRMLVTTRFTKVAKYLSTNPYHVKYQSLENLWELFSRKVFRQSQWVPSVEIEEMLSKHWIAERIVFGCSGLPLAVVVIAGLLATEKESLEIWEDVAETLDRVDRYDNDNRISKILLLSYNYLPSHLKTCFHYFGVFPEDNIIPVKRLINLWVAEGLLMPHENMSLEEVAESYLHDLINRGLVQINELSIDGKVKSCKVHDRVHEVCVRQAIKGNALCIINDNRAPKASHWLSCQTSHWPITQASYGNCPPYKIFSVLCFGKDVYHSKCRLVYPCLKLLRVLDLSLVKWSQGLPCEITDLVHLRYLSLSTIGSLYELQFHKLKNLQTLIVTSWIEKCPLHLPCDILGLPQLRHFHIDKRCSQYLPCLVKNNLQTLYWLKVASSDEKPNFKMVPNLLELGIYIEGHLERSHLKSLVQLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLRYTYLPWKEMNTIGELPHLEVLKLKDFAFCGSKWKPSKQGKCKDKALESGLEGKLFSSSSLG >itb11g18560.t1 pep chromosome:ASM357664v1:11:18943707:18944156:1 gene:itb11g18560 transcript:itb11g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVPWPERNYQDNESLDALLFPFPFVYFHFFPLQIAILISQRHKKLTNITPKASNTRTYPPNWLLPPSLLPPTIQAIWSAPSLLPPTIQAIWSAHSLLPPTIQAIWSAHATSIRFRAGPRRLLARGGQSDHEGPPRLGLLEAPRQQLG >itb03g26150.t2 pep chromosome:ASM357664v1:3:25613517:25617900:1 gene:itb03g26150 transcript:itb03g26150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREESSTLLMLLKSGEDQGRLLEDIVGEFKSKFPPPRYFNACVSLALILEAKFLKPSQRLVAFAILHQVYSSQEPSSNPFSSLLVNAACDEDAEKYERAFILLLLGSANKKEVLKQSASDYMKSFDPSQYPFPQSEQLQQQFGVKALPEPFNCLFKSNSVKNVISDPDVPRVCDINSTGLDLQPGYKPKIGSGDVDETITGLLSNLSLEGLQPQWIRPCPPMLPVLDGELVWLNPDNSYDLLWDHGMCADTSRGAAVRELIAKALKGPLAPTQQEKVLFELANDPKLVYHCGLTPRKLPVSILET >itb03g26150.t1 pep chromosome:ASM357664v1:3:25613516:25619409:1 gene:itb03g26150 transcript:itb03g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREESSTLLMLLKSGEDQGRLLEDIVGEFKSKFPPPRYFNACVSLALILEAKFLKPSQRLVAFAILHQVYSSQEPSSNPFSSLLVNAACDEDAEKYERAFILLLLGSANKKEVLKQSASDYMKSFDPSQYPFPQSEQLQQQFGVKALPEPFNCLFKSNSVKNVISDPDVPRVCDINSTGLDLQPGYKPKIGSGDVDETITGLLSNLSLEGLQPQWIRPCPPMLPVLDGELVWLNPDNSYDLLWDHGMCADTSRGAAVRELIAKALKGPLAPTQQEKVLFELANDPKLVYHCGLTPRKLPELVENNPVIAVEALVKLVNSPEIADYFTVLVNMEMSLHSMEVVNRLTQAVELPTEFIHMYITNCISSCENIKDRYMQNRLVRLVCVFLQSLIRNKIIDVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLENNNNASP >itb14g20600.t1 pep chromosome:ASM357664v1:14:22917793:22919965:1 gene:itb14g20600 transcript:itb14g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTRLMQPLMCKQKLPLLHIPSSGGIDLQILQRRPEKGKVVVVLGATGAGKSRLSIDLATRFTAEVVNSDKMQVYQGMDIVTNKITEEERNHVPHHLLGVIEPTKNFTATNFCSMASRTINSISGRGNLPVIAGGSNSFIEALVDDCRFKTMYDVMFLWVDVAMPVLHAFVSERVDRMVEQGMIEEARKMFDPKNADYSRGLRKAIGVGEFHRYFRAEPTANADTRARLLGEAIDAVKINTCQLACRQLEKIQRLRNVKGWKLHRVDATEVFRRRASGVAGDDAEELWENLVAEPSATIVTRFLHDFGPLIYADVTSIRPAVMGRAMVAATH >itb01g35160.t1 pep chromosome:ASM357664v1:1:37510544:37512634:1 gene:itb01g35160 transcript:itb01g35160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYTPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKIIYRRYAGLFFSLCVDMTDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLIVDEFILAGELQETSKKAIIERLNELEKLE >itb03g26430.t1 pep chromosome:ASM357664v1:3:25973162:25974776:-1 gene:itb03g26430 transcript:itb03g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIATTEHGGTGGFRQPRSGGATTKPRRRRLRLIPKRGQVFLLLPACKNTNPNSRMSTDLHCTQPLPLSQSLAALYCSVLTPDGGRSAVATVRHLAPSGNRGMSLLGVPSRREGAILVREGEEETLCRRPAIERTSESCCITATAPRSAAAFQRELRLSAQRETVPALSFDLEPPTAHEGASLTVAGVKRLENDSQTLIENVNE >itb03g17440.t4 pep chromosome:ASM357664v1:3:16058282:16060716:-1 gene:itb03g17440 transcript:itb03g17440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSMVLMLFLSASSIIYTVCGIGANWGTQSTHRLPPDIVVRLLRENGFQRVKLFDADYDTLRALSNSGIEVMVGIPNDMLLTMASSKAAEKWVSENVTDHIKNHNVNIRYVAVGNEPFLETYNGTYLRTTYPALQSVQSALVKAGLGNQVKVTVPLNADVYDSSSGLPSGGDFKADIHDFVLRIVKFLSDNGCPFTVNIYPFISLYIDPGFPVDYAFFDGNATPLNDGGTIYSNMFDANHDTLVWALRKNGFGNLPIIVGEIGWPTDGDRNGNLQYAQKFNQGFMAHISDGKGTPMRPGQINAYLFSLIDEDAKSIAPGNFERHWGIFYYDGQPKYSLNLGTTNSGSLVPARGVQYLLRKWCVLDPNARLDDPQIAPSVSFACSLADCTSLGYGTSCGNLNAQGNISYAFNSYYQINNQNDQACKFSGLGAVVRSDPSTQSCRFGIMIQPYYGSADRILGHPRTLHLVSGFILSLWTILELHSLPMISL >itb03g17440.t3 pep chromosome:ASM357664v1:3:16058282:16060911:-1 gene:itb03g17440 transcript:itb03g17440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSMVLMLFLSASSIIYTVCGIGANWGTQSTHRLPPDIVVRLLRENGFQRVKLFDADYDTLRALSNSGIEVMVGIPNDMLLTMASSKAAEKWVSENVTDHIKNHNVNIRYVAVGNEPFLETYNGTYLRTTYPALQSVQSALVKAGLGNQVKVTVPLNADVYDSSSGLPSGGDFKADIHDFVLRIVKFLSDNGCPFTVNIYPFISLYIDPGFPVDYAFFDGNATPLNDGGTIYSNMFDANHDTLVWALRKNGFGNLPIIVGEIGWPTDGDRNGNLQYAQKFNQGFMAHISDGKGTPMRPGQINAYLFSLIDEDAKSIAPGNFERHWGIFYYDGQPKYSLNLGTTNSGSLVPARGVQYLLRKWCVLDPNARLDDPQIAPSVSFACSLADCTSLGYGTSCGNLNAQGNISYAFNSYYQINNQNDQACKFSGLGAVVRSDPSTQSCRFGIMIQPYYGSADRILGHPRTLHLVSGFILSLWTILELHSLPMISL >itb03g17440.t2 pep chromosome:ASM357664v1:3:16058397:16060377:-1 gene:itb03g17440 transcript:itb03g17440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSMVLMLFLSASSIIYTVCGIGANWGTQSTHRLPPDIVVRLLRENGFQRVKLFDADYDTLRALSNSGIEVMVGIPNDMLLTMASSKAAEKWVSENVTDHIKNHNVNIRYVAVGNEPFLETYNGTYLRTTYPALQSVQSALVKAGLGNQVKVTVPLNADVYDSSSGLPSGGDFKADIHDFVLRIVKFLSDNGCPFTVNIYPFISLYIDPGFPVDYAFFDGNATPLNDGGTIYSNMFDANHDTLVWALRKNGFGNLPIIVGEIGWPTDGDRNGNLQYAQKFNQGFMAHISDGKGTPMRPGQINAYLFSLIDEDAKSIAPGNFERHWGIFYYDGQPKYSLNLGTTNSGSLVPARGVQYLLRKWCVLDPNARLDDPQIAPSVSFACSLADCTSLGYGTSCGNLNAQGNISYAFNSYYQINNQNDQACKFSGLGAVVRSDPSTQSCRFGIMIQPYYGSADRILGHPRTLHLVSDDCDSSIVCFVIV >itb03g17440.t1 pep chromosome:ASM357664v1:3:16058282:16061143:-1 gene:itb03g17440 transcript:itb03g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSMVLMLFLSASSIIYTVCGIGANWGTQSTHRLPPDIVVRLLRENGFQRVKLFDADYDTLRALSNSGIEVMVGIPNDMLLTMASSKAAEKWVSENVTDHIKNHNVNIRYVAVGNEPFLETYNGTYLRTTYPALQSVQSALVKAGLGNQVKVTVPLNADVYDSSSGLPSGGDFKADIHDFVLRIVKFLSDNGCPFTVNIYPFISLYIDPGFPVDYAFFDGNATPLNDGGTIYSNMFDANHDTLVWALRKNGFGNLPIIVGEIGWPTDGDRNGNLQYAQKFNQGFMAHISDGKGTPMRPGQINAYLFSLIDEDAKSIAPGNFERHWGIFYYDGQPKYSLNLGTTNSGSLVPARGVQYLLRKWCVLDPNARLDDPQIAPSVSFACSLADCTSLGYGTSCGNLNAQGNISYAFNSYYQINNQNDQACKFSGLGAVVRSDPSTQSCRFGIMIQPYYGSADRILGHPRTLHLVSGFILSLWTILELHSLPMISL >itb02g23220.t1 pep chromosome:ASM357664v1:2:23002363:23003161:-1 gene:itb02g23220 transcript:itb02g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSEMERHQQLTPPSPFTLEKIRQRITPEKKTQVESRRSAADDRRRVTTTPTSSRSPIYQNQIHRMFAGHNCCSEDQLVVQSLAITVHGQREERGRPPLLTPPPSVNLLLLTFVDGREADTRLTFFHLLSTEMEKEDHAAIQLVCPPEKRHHRSITPPSTMLHRLSAGTTAPTHGNDLQRERRPEARSC >itb05g11160.t1 pep chromosome:ASM357664v1:5:17111603:17112040:-1 gene:itb05g11160 transcript:itb05g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDTIVKKSGIKPTNDILEIGPGVGNLTKKLLEAGKSVRAVELDPRMVLELQRRFQGTPPSKLKIIQGDVLKCDLPYFDICVANIPYQW >itb09g11030.t1 pep chromosome:ASM357664v1:9:6898821:6899649:-1 gene:itb09g11030 transcript:itb09g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEATRQTPVMNTRKQPSTEPKRRWRGRNICLIITAVVILLAVIAVVVGVTLFKPKHAVTTFDSVTLRDFDLSIDAPRLAVHVNLTVDARVSVTNPNRLGFKYDSTSVLLRYRGLNIGDAPIFAGEIGARETTSMNVSVTIFGDRLLTSSQFFSDVISGVVPLSTYTRLTGKVHILFKIRVKSVTTCDLVINIASTTLSKQSCHFHTNI >itb07g02760.t1 pep chromosome:ASM357664v1:7:1787363:1792023:-1 gene:itb07g02760 transcript:itb07g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVSAAEGAAAVASSNSTKQAYVPPHLRNKPATSDPPKQPATGSYASAAAAVAVAASGNDRVHYGGPPQQGGSRWNGPRNEFRGGYGGGGGGGGGGGGHGGWNTRVGGWDRSRERESNPFGDEGDQPFDEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDAVNNNIRRCKYVKPTPVQRYAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMRGQFLRPPRPRMALPLALILSPTRELSCQIHEEARKFAYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLEMIRYLALDEADRMLDMGFEPQIRKIVQQTDMPPPGVRQTMLFSATFPKEIQRLAADFLANYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLLAQMANGANGKQCLTLVFVETKKGADSLEHWLCINNFPATAIHGDRTQQEREQALRAFKSGRTPILVATDVAARGLDIPLVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNSSIARSLADLMQEANQEVPAWLSRYAARSSHGGKSRRGAGRFGGRDFRRESNFNRGNPDYYSGGNTGGGYSGFGGGYNPSYGAGVASAWD >itb07g02760.t2 pep chromosome:ASM357664v1:7:1787363:1792023:-1 gene:itb07g02760 transcript:itb07g02760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVSAAEGAAAVASSNSTKQAYVPPHLRNKPATSDPPKQPATGSYASAAAAVAVAASGNDRVHYGGPPQQGGSRWNGPRNEFRGGYGGGGGGGGGGGGHGGWNTRVGGWDRSRERESNPFGDEGDQPFDEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDAVNNNIRRCKYVKPTPVQRYAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMRGQFLRPPRPRMALPLALILSPTRELSCQIHEEARKFAYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLEMIRYLALDEADRMLDMGFEPQIRKIVQQTDMPPPGVRQTMLFSATFPKEIQRLAADFLANYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLLAQMANGANGKQCLTLVFVETKKGADSLEHWLCINNFPATAIHGDRTQQEREQALRAFKSGRTPILVATDVAARGLDIPLVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNSSIARSLADLMQEANQEVPAWLSRYAARSSHGGKSRRGAGRFGGRDFRRESNFNRGNPDYYSGGNTGGGYSGFGGGYNPSYGAGVASAWD >itb07g02760.t3 pep chromosome:ASM357664v1:7:1787363:1791778:-1 gene:itb07g02760 transcript:itb07g02760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSWADSVSAAEGAAAVASSNSTKQAYVPPHLRNKPATSDPPKQPATGSYASAAAAVAVAASGNDRVHYGGPPQQGGSRWNGPRNEFRGGYGGGGGGGGGGGGHGGWNTRVGGWDRSRERESNPFGDEGDQPFDEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDAVNNNIRRCKYVKPTPVQRYAIPIALAGRDLMACAQTGSGKTAAFCFPIISGIMRGQFLRPPRPRMALPLALILSPTRELSCQIHEEARKFAYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLEMIRYLALDEADRMLDMGFEPQIRKIVQQTDMPPPGVRQTMLFSATFPKEIQRLAADFLANYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLLAQMANGANGKQCLTLVFVETKKGADSLEHWLCINNFPATAIHGDRTQQEREQALRAFKSGRTPILVATDVAARGLDIPLVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNSSIARSLADLMQEANQEVPAWLSRYAARSSHGGKSRRGAGRFGGRDFRRESNFNRGNPDYYSGGNTGGGYSGFGGGYNPSYGAGVASAWD >itb15g18700.t1 pep chromosome:ASM357664v1:15:20480077:20483889:1 gene:itb15g18700 transcript:itb15g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKDDWIAAALMDDAVVVEMLFRLKHSYLSPPPSDASLFLSLPPFHWGNRQPRSKPPKWSATARRSPTTPLSWSGGSASPSDGGCDESSRPPPSSDLSSVFRSKCTVTNEIFRNISSQRSKRKKTFAELKEEENSLLKERVHLERELASMHVTLNQQRARSDNLKKIKFDLNLESENEQPVYLESTKTRPSVLPRHNTTKDEPVSSDSCRPQNNRCFILPDLNMEPSEEEIGLLWD >itb15g18700.t2 pep chromosome:ASM357664v1:15:20480077:20483889:1 gene:itb15g18700 transcript:itb15g18700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKDDWIAAALMDDAVVVEMLFRLKHSYLSPPPSDASLFLSLPPFHWGNRQPRSKPPKWSATARRSPTTPLSWSGGSASPSDGGCDESSRPPPSSDLSSVFRSKCTVTNEIFRNISSQRSKRKKTFAELKEEENSLLKERVHLERVCSLHFANLFSCLFTRVCMLCLIAIWG >itb07g04460.t1 pep chromosome:ASM357664v1:7:2985104:2987806:-1 gene:itb07g04460 transcript:itb07g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSLKRKRPPMIEIPNVLREISAAEEPRACATAAQDDAVWFSAKGVGVFSLKGRKKFMEDTHKIVSCPHAKKGFFGVYDGHGGSKAAEFVAENLHSNILDMLKNSPGSAAKEDAIKAGYLKTDSEFLKKGICSGACCVTALIEGEEIIVSNLGDCRAVLCRSGVAEVLTRDHRAGQEDERRRIEDKGGYVEIHRGAWRVHGVLSVSRSIGDAHLKDWVVAEPDTKRMCLTADMQYLVLASDGLWDKVENQEAIDMVMQSCQPEPKDADLKESVFEFDCISTSPSSKLKRISLVKSNKGNESDFGTENGSPAQKSLRISLAKSSSRAGYSPCSNRSADIWKETDDEFSRETETPLKARRISLAHSSIRAGCSPCSTKSADAWKETDDEFSRENESPLKARRISLAHSSIRAGCSPCSKRSVVDSWKENEEKSGLVDACKKLASLAVTKGSLDDVTVMIIDLAHFKDLS >itb04g03760.t1 pep chromosome:ASM357664v1:4:2359774:2360463:1 gene:itb04g03760 transcript:itb04g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIREKMDDVHAMRKAKPELKEEEKAEKGRVKVVHKIRLAKEAKAGMVLHANKAKEKLAAYDNDHDLHPIAYLQP >itb04g31620.t1 pep chromosome:ASM357664v1:4:34404260:34404874:1 gene:itb04g31620 transcript:itb04g31620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINFLAIVTVFLTILHLTSAGDPDILTDFVLPPDVQSADPNFFTYTGFRSLVNAPPPAAFKVTKASMAEFAALNGQSVSYAVLQYPGGSVNPLHTHPRASELLFLTIGTLEVGFIDTTNKLFTQTLQTGDLFIFPKGLVHFQYNADPDKLAVAVSAFGSANAGTVSIPSTVFNTSIDDAILAKSFKTDVYTIQKLKAGFAPKP >itb12g01040.t1 pep chromosome:ASM357664v1:12:726331:735544:1 gene:itb12g01040 transcript:itb12g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVVLTLKYFAGPDVPRYVFFTVGYTWFCSISIIILVPADVWTTIIGHDKGGISFFWIWSYWSTFLLTWLVVPLIQGFEDAGDFSLVERLKTSIHVNLVFYLIVGSIGLFGLILLISMQKIRGVKLLGFAMACSNTFGLVTGAFLLGFGLSEIPKSLWRNADWTTRQKVLSHKVAKMAVKLDDAHQELSNTIVVAQATSKQMSRRDPLRPCMDVIDNMIVRLFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRIAREEYYRYKSEYQTYVTEALELEDTIKNYERRNTTGWKFISSFRPERTGTLGPFLDTTELIWRCILHKQLEKVSSVMLGCMSAAILLAEATLLPRGVDLSIFSILIKSVGNQEVLVQVFAFVPLMYMCLCTYYSLFKAGMLMFYSLTPRQTSAVGLLMICSMVARYAPPISYNFLNLINLGDGKKTIFEQRMGNIDDAVPFFGKGFNRIYPLIMVIYTLLVASNFFDRVISFFGNWKIFRFQPEADDLDGFDPSGLLILQKERGWLEEGRNIGEHVLPLARNFNGTSTDLESGGNGTDRSGFEMKTSDSNKDDLKGSSSRHPKSEARRYSGSREAISSKYAAALKEQSKPAVNTEQANVPSTKVSLLDAGTSKPIDSVKGPTGLAARWASMKAGLQTFKTNLEAKGFPPLRQVGEFVPLRQSQDTPHLSRHSSSESLDEIFQKLKRPSADHNEDDDIMDGR >itb10g20620.t1 pep chromosome:ASM357664v1:10:26120991:26122782:1 gene:itb10g20620 transcript:itb10g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGIAHASNKEYPGKLTGYVIVTCMVAAMGGLIFGYDIGISGGVTSMDSFLERFFPDVYRKQALDSSTNQYCKFNSETLTMFTSSLYLAALVSCMVASYVTRKLGRRISMMAGGFIFLVGALLNGFAQALWMLIVGRVLLGLGIGFANQSVPLYLSEMAPSKYRGALNIGFQLFITVGILIANVANYFFAKIEWGWRLSLGGAVIPALIITVGSFFLPDTPNSMIERGSHGEAKSMLRRIRGVDNVDEEFNDLVAASEASNQVKHPWKNLVQRKYRPQLVMSILIPSFQQLTGINVIMFYAPVLFKTIGFGSNASLISSVITGLVNVLATLVSVAFVDRAGRRFFFLEGGIQMLICQIIVTVCIGVKFGTSGNPGDLPMWFALVVVIFICTYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSFNMIFTFVVAQVFLNMLCHLKFGLFIFFACFVLIMTVFIYFLLPETKNIPIEDMSVVWTKHWFWKKFTEADFPGNSMGDIEIV >itb13g18700.t1 pep chromosome:ASM357664v1:13:25619559:25622894:-1 gene:itb13g18700 transcript:itb13g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPNSIAKPLNTNRISNYYPSILVRYNCQPPTTQPLNTNQISNRYPSIPEELEKLDRMEKASGACKEMLKIVETRPDPLLPQTNGPINPSWDRWFEGPQDASGCRCLIL >itb09g01220.t1 pep chromosome:ASM357664v1:9:740668:741664:1 gene:itb09g01220 transcript:itb09g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSALPVMARYFLIDFSYMAVAFLDRISLYAESRSQNRISRQALQFYQTNYGFKQPLNVMCSSRFLQKWRSAGEIEQRLSSVLAEKAVIWASNCIVQELGGGGYDGLKVYSCDHQSSMSGKSCISQIAKQQSSKLVFATDNLYFSKKLLKLAGVPVLLLKNTVLMLMKPSRAQRQFAKAEAEAQKAEAEEFAKQRKRKAGKAEAEEFGKQRKRKAGKAEAEEFAKQRKRKSH >itb15g12450.t1 pep chromosome:ASM357664v1:15:10359602:10361929:1 gene:itb15g12450 transcript:itb15g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKHSTEQEASKTGPSSILTTPETSTPPPPQPLWPTVSLDSQSRISHSHSSDLYASTPPRLHAAVEQISTPPPAAGHQPTTRICSRPPSRPPHADATRPPRLLFLLWLHGLKLLIPPHADTTSLHCLLSCSPLGDALSLTSGNLVELLTG >itb03g20160.t1 pep chromosome:ASM357664v1:3:18070758:18072779:-1 gene:itb03g20160 transcript:itb03g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRLEATKQYYATSTLVLGYALCSSLLALGLLHHDAFTWETAKKFLPAAFVFFLAIFTNTNLLSHANVDTFIVFRSCTPLLVALADTAFRKQPWPSKLTFLSLVIILGGAIGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLALMMAPLFWIITGEFGHVLVSVGSSTGSLFNPVAFFAVSISCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHATPIGLLCLLFTIVGGVLYQQSVTGVSSAPPQQESKSHGDDYADGDEEKGISGEEEEEREKLVSMNNGIQTTTPQSQH >itb01g25740.t1 pep chromosome:ASM357664v1:1:31090686:31091727:1 gene:itb01g25740 transcript:itb01g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGHNEGSHAKPGQSSHHNHPAPTKAELMSSAKLLGEAAKSKLHNDPNKPNKTDKAELAGAAADLLNAASHYGKLEEKGMGKYVGKAEDYLHKYHTSHSQTATAAAGSAHHSAAAAQHAHSSGHSGGNNQGDHHSGGYGEYIKMAEGIFKKHDESSTTTQSHSGNKYGEYAKMAGDFLKKH >itb13g00510.t1 pep chromosome:ASM357664v1:13:396783:398421:1 gene:itb13g00510 transcript:itb13g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSLQAIVAALATSFLLLTFLYNLLCHKGTSSSQEPPEAGGAWPIIGHLHLLSAARPTFKILSDMADKYGPIFRLRLCAQQVLVVSDSRIAKQCFTTNDRALAGRPKAIASEIMGYNYAIVGLRPYGHYWRYVRKVIMLQLLSTPRLEVFGRVLESGVRSFTQDIYKSWLKDRNKNGSEDVKVDMKEWSAKLIMDVTMQMLFGQRYEEEGSRTVVVTVRRFFELMGVTLVGDYLPWLRWLDIGGHEKAMKETAKEMDTIMESWLQDHKTKRNTKSKEEEDFMDGLLSSFEDDKDNIPKDFDADTIVKATCIAVLLAATDTTTVTLTWAISLVLNNYSVLEKIRVELDTLVGRERDVNKFDLNNLTYLQAVVKETLRLYPAGPLLLPHESIDDCMVDGYHISKGVRMLMNVSKIHRDPRFWSDPNVFRQERFLSEHKDIDVKGNHFELIPFGSGRRMYPGISLALQILELALASLIHNFNLNRISDEPIDMTESVGLTIMKKTPLYALLTPRLSSHLYR >itb01g25760.t1 pep chromosome:ASM357664v1:1:31098097:31099953:-1 gene:itb01g25760 transcript:itb01g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIKQMRMKFSGAEEDNKDGSRRTIAQKSQSLKDTKRGPSWLERQLSSRRMSQDYDSKEGSIDYAVAVAAAAYAIKSLEDSIRDQRKGPVPEKNVTIRTATIVDTGKPIAPTSSIRKAPTFMNSTNGVASSKPEIEAAGKIDRPAATSFRKTPTFGERLLDRPATQKPGTPVNLPSRQFSSQPSTPRGPSPTKVVKGGPRADVWEQEELDKIRKRYEKLNSTIDEWETKKTKKAKRHLEETEADLDTRRAKALAHYRNEVERIVNISEGAKRQAEQNQQNEELKVKEKASKYRYTGNAPSTCWCL >itb01g25760.t2 pep chromosome:ASM357664v1:1:31098097:31099800:-1 gene:itb01g25760 transcript:itb01g25760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYDSKEGSIDYAVAVAAAAYAIKSLEDSIRDQRKGPVPEKNVTIRTATIVDTGKPIAPTSSIRKAPTFMNSTNGVASSKPEIEAAGKIDRPAATSFRKTPTFGERLLDRPATQKPGTPVNLPSRQFSSQPSTPRGPSPTKVVKGGPRADVWEQEELDKIRKRYEKLNSTIDEWETKKTKKAKRHLEETEADLDTRRAKALAHYRNEVERIVNISEGAKRQAEQNQQNEELKVKEKASKYRYTGNAPSTCWCL >itb01g25760.t3 pep chromosome:ASM357664v1:1:31098097:31099690:-1 gene:itb01g25760 transcript:itb01g25760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFHICVFNKVLTSFNRMKFSGAEEDNKDGSRRTIAQKSQSLKDTKRGPSWLERQLSSRRMSQDYDSKEGSIDYAVAVAAAAYAIKSLEDSIRDQRKGPVPEKNVTIRTATIVDTGKPIAPTSSIRKAPTFMNSTNGVASSKPEIEAAGKIDRPAATSFRKTPTFGERLLDRPATQKPGTPVNLPSRQFSSQPSTPRGPSPTKVVKGGPRADVWEQEELDKIRKRYEKLNSTIDEWETKKTKKAKRHLEETEADLDTRRAKALAHYRNEVERIVNISEGAKRQAEQNQQNEELKVKEKASKYRYTGNAPSTCWCL >itb14g15730.t1 pep chromosome:ASM357664v1:14:19040935:19050884:-1 gene:itb14g15730 transcript:itb14g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPPLSASSPIEAILDKENFTLEELLDEEEIIQECKALNGRLINFLRERTQVEHLLHYIVDEPLDHADSKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLESSRPHSALLAGYFSKVVICLMLRKTIPLMNYVKAHHDVFAHMVDLIGITSIMEVLVRLVGADDHSYPNSTDVLQWLADSNLLEMIVDKLSPSCSPEVQANAAETLCTITRNSPSPLATKLSSSSFVARIFDHALEDAHSKSALVHSLSVCISLLDPKRSIPSSMMYAFRSQHMYEAPMHVDSDTIGAMLPKLGALLMLLNVSSDEKILPTTYGELRPPLGKHRLKTVEFISVLLKTANEVAETELISSGTILRILDLFFEYPYNNALHHYVESIIYTCLESKNSVIIDHLFQECNLVGKMLQTDKQPTLSSDVNQATIPAAGKKAPRMGNIGHLTRISNKLVQLGNNDSRVRAHLEKVTEWSEWHATVLQDRNKTENVYRWACGRPTALQDRTRDSDEEDVHDRDYDVAALANNLSQAFRYTIYDNDDAEEGHGALDRDDEDVYFDDESAEVVVSSLRLGDDQGSSLFTNSNWFAFQDDRIGGAPMSTSASDLMEEINLNGAPNGGSSNSDDEVVVGAEDELPESKGFTDGKTSSNVNNIFNGFTGINTANGVGLNAQDAKAGASGDMDFFRFETSENDDPFGDRPIPEWVAWGDSTDLQAGGSSLNPFLDQTNSDGEVVNSVEATTTSPPPPNSTSSGESPPNDASTSPDSNKTTSCVYGSSPKAAVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKRSSVPKLPENENTDESAASMKEFNDANYWRVDQEVAVLE >itb14g15730.t2 pep chromosome:ASM357664v1:14:19040935:19050884:-1 gene:itb14g15730 transcript:itb14g15730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPPLSASSPIEAILDKENFTLEELLDEEEIIQECKALNGRLINFLRERTQVEHLLHYIVDEPLDHADSKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLESSRPHSALLAGYFSKVVICLMLRKTIPLMNYVKAHHDVFAHMVDLIGITSIMEVLVRLVGADDHSYPNSTDVLQWLADSNLLEMIVDKLSPSCSPEVQANAAETLCTITRNSPSPLATKLSSSSFVARIFDHALEDAHSKSALVHSLSVCISLLDPKRSIPSSMMYAFRSQHMYEAPMHVDSDTIGAMLPKLGALLMLLNVSSDEKILPTTYGELRPPLGKHRLKTVEFISVLLKTANEVAETELISSGTILRILDLFFEYPYNNALHHYVESIIYTCLESKNSVIIDHLFQECNLVGKMLQTDKQPTLSSDVNQATIPAAGKKAPRMGNIGHLTRISNKLVQLGNNDSRVRAHLEKVTEWSEWHATVLQDRNKTENVYRWACGRPTALQDRTRDSDEEDVHDRDYDVAALANNLSQAFRYTIYDNDDAEEGHGALDRDDEDVYFDDESAEVVVSSLRLGDDQGSLFTNSNWFAFQDDRIGGAPMSTSASDLMEEINLNGAPNGGSSNSDDEVVVGAEDELPESKGFTDGKTSSNVNNIFNGFTGINTANGVGLNAQDAKAGASGDMDFFRFETSENDDPFGDRPIPEWVAWGDSTDLQAGGSSLNPFLDQTNSDGEVVNSVEATTTSPPPPNSTSSGESPPNDASTSPDSNKTTSCVYGSSPKAAVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKRSSVPKLPENENTDESAASMKEFNDANYWRVDQEVAVLE >itb02g14640.t1 pep chromosome:ASM357664v1:2:10648682:10649437:-1 gene:itb02g14640 transcript:itb02g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVHFRPSIRSSFESRLCFGLSDPRTVVTIEVVLKYKRRIFLRNRRGYLIDFYEINSDFNACFDFPKLLICELKAKIGQWMSGLSPSLCAQLSHRIFVYAQQVAFANARHRLNDNGGANKVMAFVEIEEPELKPTDNDRVQDYTGGVRADLHDDGGIILYFSNNEESSKPPRGLSWDEINGLKQERFKNGTDSEEESLMCSICLEEFSTGVKITPLPCSHTFHHNCIASWLQKHVSCSLCRFDITQQCS >itb10g23670.t1 pep chromosome:ASM357664v1:10:27908221:27909005:1 gene:itb10g23670 transcript:itb10g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKVSSFWLACICISMFVGMITPSCNAQNSPQDYLAVHNAARQAVGVGPMTWDNAVAKAAQDYANTRVGDCRMVHSGDRRYGENLAWGSGDFMTGRRAVELWVAEKQDYDYGTNTCRPGKVCGHYTQVVWRKSVKLGCARVQCRNNLGYLVVCNYSPPGNYVGERPY >itb09g07330.t3 pep chromosome:ASM357664v1:9:4247292:4249870:1 gene:itb09g07330 transcript:itb09g07330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDFVTKEVFCQHKGKEPHFAHGLCRSCYAEFIELSGGLMGGSDPPAFQNSEKERLAKPSVQEGEFTSEESRDNGKGNTMADSHASAATDNGGDTSQKFANMPSVDDETSTDSLSDIDDAEMNGYIHTEEESRKKRIIWEELNKEYIQEQAAKEAAAAALKNLDGLSDDVQAAYELAAAAAAAVAKSREKRRQKRAAEAKTAGPAQTAAEATRKMLQKKRLSSKINFDVLETLFDDDNPPAKESKLETKLQEDEADTVHKSCKEKQCESQEDEECYREDMFYHDEYNDCDYTNEDDF >itb09g07330.t2 pep chromosome:ASM357664v1:9:4246906:4249870:1 gene:itb09g07330 transcript:itb09g07330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDFVTKEVFCQHKGKEPHFAHGLCRSCYAEFIELSGGLMGGSDPPAFQNSEKERLAKPSVQEGEFTSEESRDNGKGNTMADSHASAATDNGGDTSQKFANMPSVDDETSTDSLSDIDDAEMNGYIHTEEESRKKRIIWEELNKEYIQEQAAKEAAAAALKNLDGLSDDVQAAYELAAAAAAAVAKSREKRRQKRAAEAKTAGPAQTAAEATRKMLQKKRLSSKINFDVLETLFDDDNPPAKESKLETKLQEDEADTVHKSCKEKQCESQEDEECYREDMFYHDEYNDCDYTNEDDF >itb09g07330.t4 pep chromosome:ASM357664v1:9:4246856:4249870:1 gene:itb09g07330 transcript:itb09g07330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWMQTGRKPGGICGAALYISALSYGFRYSRSDVVKVVHICEATLSKRLVEFETTDSASLTIEELECKAKEIEEENRSCKLPDTMPRDFVTKEVFCQHKGKEPHFAHGLCRSCYAEFIELSGGLMGGSDPPAFQNSEKERLAKPSVQEGEFTSEESRDNGKGNTMADSHASATDNGGDTSQKFANMPSVDDETSTDSLSDIDDAEMNGYIHTEEESRKKRIIWEELNKEYIQEQAAKEAAAAALKNLDGLSDDVQAAYELAAAAAAAVAKSREKRRQKRAAEAKTAGPAQTAAEATRKMLQKKRLSSKINFDVLETLFDDDNPPAKESKLETKLQEDEADTVHKSCKEKQCESQEDEECYREDMFYHDEYNDCDYTNEDDF >itb09g07330.t1 pep chromosome:ASM357664v1:9:4246856:4249870:1 gene:itb09g07330 transcript:itb09g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWMQTGRKPGGICGAALYISALSYGFRYSRSDVVKVVHICEATLSKRLVEFETTDSASLTIEELECKAKEIEEENRSCKLPDTMPRDFVTKEVFCQHKGKEPHFAHGLCRSCYAEFIELSGGLMGGSDPPAFQNSEKERLAKPSVQEGEFTSEESRDNGKGNTMADSHASAATDNGGDTSQKFANMPSVDDETSTDSLSDIDDAEMNGYIHTEEESRKKRIIWEELNKEYIQEQAAKEAAAAALKNLDGLSDDVQAAYELAAAAAAAVAKSREKRRQKRAAEAKTAGPAQTAAEATRKMLQKKRLSSKINFDVLETLFDDDNPPAKESKLETKLQEDEADTVHKSCKEKQCESQEDEECYREDMFYHDEYNDCDYTNEDDF >itb09g07330.t5 pep chromosome:ASM357664v1:9:4247452:4249870:1 gene:itb09g07330 transcript:itb09g07330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIELSGGLMGGSDPPAFQNSEKERLAKPSVQEGEFTSEESRDNGKGNTMADSHASAATDNGGDTSQKFANMPSVDDETSTDSLSDIDDAEMNGYIHTEEESRKKRIIWEELNKEYIQEQAAKEAAAAALKNLDGLSDDVQAAYELAAAAAAAVAKSREKRRQKRAAEAKTAGPAQTAAEATRKMLQKKRLSSKINFDVLETLFDDDNPPAKESKLETKLQEDEADTVHKSCKEKQCESQEDEECYREDMFYHDEYNDCDYTNEDDF >itb09g07330.t6 pep chromosome:ASM357664v1:9:4246856:4249870:1 gene:itb09g07330 transcript:itb09g07330.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWMQTGRKPGGICGAALYISALSYGFRYSRSDVVKVVHICEATLSKRLVEFETTDSASLTIEELECKAKEIEEENRSCKLPDTMPRDFVTKEVFCQHKGKEPHFAHGLCRSCYAEFIELSGGLMGGSDPPAFQNSEKERLAKPSVQEGEFTSEESRDNGKGNTMADSHACMRCLNYFPELGIFSFPVIILLFMFSAFLYSNVIFISAAATDNGGDTSQKFANMPSVDDETSTDSLSDIDDAEMNGYIHTEEESRKKRIIWEELNKEYIQEQAAKEAAAAALKNLDGLSDDVQAAYELAAAAAAAVAKSREKRRQKRAAEAKTAGPAQTAAEATRKMLQKKRLSSKINFDVLETLFDDDNPPAKESKLETKLQEDEADTVHKSCKEKQCESQEDEECYREDMFYHDEYNDCDYTNEDDF >itb01g06390.t1 pep chromosome:ASM357664v1:1:4570350:4572539:-1 gene:itb01g06390 transcript:itb01g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAKRWRVLSGSENWEGLLDPLDSDLRRYLIHYGSMIGAVYDSFITEPTSKYTGLSRYARKNLLQETGLDKANPFKYTITKYFYTPSTKCGAQGGYHVRSVRADAVMKESNWGGYVAVATDKGKEVLGRRDIVVVWRGTETMSELIEDFRIAMVKPPIIFAEDNGSLVHEGWYEMYTSTYQDSHLNSKSARDQVREEVSRLLDVYKNEEVSITVTGHSLGSSLATLNAVDLAANPLNNSDNVLVTAIMFACPKVGNESFKNAFSQLKNLRALRVVNQHDLVPKLPIWAFEAGTIFLKSYIDVGVQFDINASKSDYVAPDNFNILKWHGLIIYLHGIDGFQGPQGGFKPQGYFDIPQVNKHGGMLKVEKCPVPTEWWIEKNKGMVQKDDGTWILDDHEADDVVFA >itb14g21350.t1 pep chromosome:ASM357664v1:14:23404480:23404794:1 gene:itb14g21350 transcript:itb14g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAAQSPPSTKEEGHRSSVGRCFPSPFTVPLLLCSSSTSFKLLHNCLVGKYGVDGKDLLVMLNIVTNKK >itb13g17570.t1 pep chromosome:ASM357664v1:13:24553221:24556391:1 gene:itb13g17570 transcript:itb13g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITSEGRRITKLDQILLNGNNIAILVPGGSPDTE >itb03g26310.t1 pep chromosome:ASM357664v1:3:25826582:25827160:-1 gene:itb03g26310 transcript:itb03g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVDISLENCKNCVNLPPFGELPLLKSLRLEGLPIEYVDNELQGEGLIRMRFPSLTSLNMNDLPKLRKLSRQEGQELFPHLRNLDISNCPLFMSVPENLLNNLNHLESLYISCLETLQMLPTSLSSLTHLQYLYISRCPNLVSLPQSIQRMGSLKILLITGCPELQRRYDKGNGEHWDYIAHVPKVCITP >itb04g28050.t1 pep chromosome:ASM357664v1:4:31897482:31898469:1 gene:itb04g28050 transcript:itb04g28050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSEELETTNSWHSTDLNAFTSHYFRHHGLLLFTLLLCFLIFLLAFFFFYFINKFTGGANFPAPRPAEAPPPTKGLDAESIQTLPAVAYVSLGVKDMSECSICLGLFEEVEIVKVMPECCHVFHGACVDTWLSTRPSCPLCRASLDSVSA >itb08g09650.t1 pep chromosome:ASM357664v1:8:8921287:8926383:-1 gene:itb08g09650 transcript:itb08g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNGDLERGGGTKGRNGAYPPRNYAAVPPSSSSSVYYVETSEKQWTSWLVPMIVVANVAMFIVIMFVNNCPNNDERRRFGRNGECVARFLGRLSFQPLRENPLFGPSSSTLEKLGALEWNKIVNDHQGWRLFSCIWLHAGVVHLLANMLSLVFIGIRLEQQFGFVRVGLIYLLSGIGGSVMSSLFIQHSISVGASGAVFGLLGAMLSELITNWTIYANKAAALFTLVVIIAINLAVGILPHVDNFAHIGGFISGFLLGFVLLLRPQFGWLERQNLPAEGRHKSKHTIYQYVFLVVALILLIVGFAVGLTMLFRGENANDRCSWCHYLSCVPTSRWQCDNN >itb08g09650.t2 pep chromosome:ASM357664v1:8:8921287:8926383:-1 gene:itb08g09650 transcript:itb08g09650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNGDLERGGGTKGRNGAYPPRNYAAVPPSSSSSVYYVETSEKQWTSWLVPMIVVANVAMFIVIMFVNNCPNNDERRRFGRNGECVARFLGRLSFQPLRENPLFGPSSSTLEKLGALEWNKIVNDHQGWRLFSCIWLHAGVVHLLANMLSLVFIGIRLEQQFGFVRVGLIYLLSGIGGSVMSSLFIQHSISVGASGAVFGLLGAMLSELITNWTIYANKAAALFTLVVIIAINLAVGILPHVDNFAHIGGFISGFLLGFVLLLRPQFGWLERQNLPAEGRHKSKHTIYQYVFLVVALILLIVG >itb10g16340.t1 pep chromosome:ASM357664v1:10:22575268:22577970:1 gene:itb10g16340 transcript:itb10g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEREEFSVSGPLHLDVVNWDNADHRRSVAACLVQGVYILERDRQEKRQGDEALAPPWWKFFNFKLYRQLVDDADSSIFGAVYEYTPPGCNSNELAVKCPRYVIAFRGTITKGDAFSRDLELDMHIIRNELHFSSRFETGIQAVRSVVATFGSSNIWLTGHSLGAAIAMLAGKTMAKTGVFLEAFLFNSPYLSAPVERIKDKKVKHGIRIATSVITAGLAFASSVKSNNRQKNLSRGSSFAAISRWVPCLFVNPADHICSEYIGYFEHRKKMEDIGIGAIENLATQHSVGGLFMNLLGKDSEEPMHLIPSAALTVNLTPSPDFKEAHGIHQWWRPALRLDSKTYLYS >itb10g16340.t2 pep chromosome:ASM357664v1:10:22575268:22577970:1 gene:itb10g16340 transcript:itb10g16340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEREEFSVSGPLHLDVVNWDNADHRRSVAACLVQGVYILERDRQEKRQGDEALAPPWWKFFNFKLYRQLVDDADSSIFGAVYEYTPPGCNSNELAVKCPRYVIAFRGTITKGDAFSRDLELDMHIIRNELHFSSRFETGIQAVRSVVATFGSSNIWLTGHSLGAAIAMLAGKTMAKTGVFLEAFLFNSPYLSAPVERIKDKKVKHGIRIATSVITAGLAFASSVKSNNRQKNLSRGSSFAAISRWVPCLFVNPADHICSEYIGYFEHRKKMEDIGIGAIENLATQHSVGGLFMNLLGKDSEEPMHLIPSAALTVNLTPSPDFKEAHGIHQWWRPALRLDSKTYLYS >itb15g06450.t1 pep chromosome:ASM357664v1:15:4290314:4294560:-1 gene:itb15g06450 transcript:itb15g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTIPQLISFFFSCFFVFIGNWQRSSIHLRLLRPRGVPVIHELTKCSQERASVSTWKPDFPFHIALEVNILVALIILFCYFVTVFCILDLPSSFKRTGNAERCACTREGLSVRLRLELVSSHLTIMPLMRLGRYTAMSGSVI >itb09g28250.t1 pep chromosome:ASM357664v1:9:28876657:28878177:1 gene:itb09g28250 transcript:itb09g28250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCAWRNPIYTALATSSSQSDIFWSRKREISIAADPIICRRTKIFTMIDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >itb12g05710.t1 pep chromosome:ASM357664v1:12:4260520:4263411:1 gene:itb12g05710 transcript:itb12g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCTQQKHFVLIHGACHGAWSWFKLKPLLEIAGHKVTALDLAASGVDPQKLHHLRTLRDYTSPLLEFMSALPDGEKVILVGHSLGGMNIALAMENYPRKISVAVFLSAFMPDTVHGPSYVLDEYNERTPAEAWLDTQFLPYGTTEDPLTSMFFGPQFMSKKLYQLCSPEDIALGNMLIRPSSLFLEDLSKAKKFSNEGYGSVKRAYVICTEDIGIPLDFQRWLIENIGVAEVKEIKDADHMAMLSKPQQLCQSLLEISSDDE >itb15g05710.t2 pep chromosome:ASM357664v1:15:3686648:3691579:1 gene:itb15g05710 transcript:itb15g05710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFGLREVVIVLLVWSSLVGYSEQAQSFEVRTLLRVRELLNSPASLRSWNNETDFCNAETSSSVTVVCYEGSITQLHIISEKGTPQLPENFSIDAFVSSLVKLPSLKVLKLVSLGLWGPLPGKISRLSGLEILDLSSNFFHNAIPREISSLINLQTLILDGNKFTGRLPDGLGLLSDLAVLSVKNNSFRGPLPDTLGDLQNLRILALSRNNFTGNVPDLSNLENLQVLDLEDNSFGPKFPLFGSKIVSLVLRRNRFTSSIPENVQSCHQLEHLDVSSNGLIGPFPSSLLSLPLISYLSIAENKLTGMLFENLPCNSALNFVDLSANLLSGRLPSCLHSGSKTKVVLYTGNCLETGDKNQHPVSFCRNEALAVGIIPRHHRKKMGSKAILALSICGSVIGGAILLAVAFLVVGSFRAKKTVRKTPTRLIMESASTAYTSKLFSDARYITQAMKLGSLSVPSYRTFSLEELEEATNNFDTSTFVGEGSTSQIYRGRLRDGSYVAIRCLKLKRSNSIQNFMHQIEFISKLRHQHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISDKHSRRRLNWAQRVSAAIGIAKGIQFLHTGIVPGVFSNDLKITDILLDQNLVAKISSFNLPILDESTGKASLQDFPSGSKELNGARERCNEKLDVYDFGVILLEIITGRRINTKKDMKILKEQLQASLTGDDAWRRSVVDPRVKNSSSDESVKTMVEICYRCLLEDPADRPSVEDVLWNLQFAAQVQDACRGDSQSSDSSPISPFQPSRQIAIR >itb15g05710.t1 pep chromosome:ASM357664v1:15:3686648:3691579:1 gene:itb15g05710 transcript:itb15g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFGLREVVIVLLVWSSLVGYSEQAQSFEVRTLLRVRELLNSPASLRSWNNETDFCNAETSSSVTVVCYEGSITQLHIISEKGTPQLPENFSIDAFVSSLVKLPSLKVLKLVSLGLWGPLPGKISRLSGLEILDLSSNFFHNAIPREISSLINLQTLILDGNKFTGRLPDGLGLLSDLAVLSVKNNSFRGPLPDTLGDLQNLRILALSRNNFTGNVPDLSNLENLQVLDLEDNSFGPKFPLFGSKIVSLVLRRNRFTSSIPENVQSCHQLEHLDVSSNGLIGPFPSSLLSLPLISYLSIAENKLTGMLFENLPCNSALNFVDLSANLLSGRLPSCLHSGSKTKVVLYTGNCLETGDKNQHPVSFCRNEALAVGIIPRHHRKKMGSKAILALSICGSVIGGAILLAVAFLVVGSFRAKKTVRKTPTRLIMESASTAYTSKLFSDARYITQAMKLGSLSVPSYRTFSLEELEEATNNFDTSTFVGEGSTSQIYRGRLRDGSYVAIRCLKLKRSNSIQNFMHQIEFISKLRHQHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISDKHSRRRLNWAQRVSAAIGIAKGIQFLHTGIVPGVFSNDLKITDILLDQNLVAKISSFNLPILDESTGKASLQDFPSGSKELNGARERCNEKLDVYDFGVILLEIITGRRINTKKDMKILKEQLQASLTGDDAWRRSVVDPRVKNSSSDESVKTMVEICYRCLLEDPADRPSVEDVLWNLQFAAQVQDACRGDSQSSDSSPISPFQPSRQIAIR >itb04g28890.t1 pep chromosome:ASM357664v1:4:32453929:32457821:-1 gene:itb04g28890 transcript:itb04g28890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFTGLPDSVSSFKVEDEFLFSSFQQSQDIPNNYLDDSLPDFMGVPLITPSPDSGYFPPPAELDSPDDQDADPVLKYLNQILLEENIDEKPSMFHDPIALKAAEKSFYEALAKGPPSPYQSHVSYNTESPDSLFGNSCEPSTSSSTFGSSNTDPLWIVEPGESKSSFASYPPDHFFHSSFETTSERSNGSLDGFGGNTNVQMDSLSNANVLANIFTDTESILQFKRGMEEASKFLPASNQLVIDLDQYSLPPKTEELPRDTVIKAENNERDSSHNSSRGKKHHHLEDDGFEEERSSKQSAVYVEEELSELFDKVLLCNPNECVLTTRPPEVDKGVPHNVPNSGKSRSKKQGATNEAVDLRTLLISCAQSVAADDRRTANEQLKQIRQHSSPNGDANQRLANVFANGLEARLAGTGTQLYAALAPKRITAFEKLKAYQVYMSACPFKKIAMTFANKMIHKISLGAPTLHVIDFGILYGFQWPILIQHLSSRPGGPPKLRVTGIDLPQPGFRPAEMIEETGRRLGKYCERFGVPFEYNAIATQNWETIKIEDLKLVSGEVVAVNCLYRFKNLLDETVAVDSPRDAVLSLIRKINPSIFVHAVINGSYSAPFFVTRFREALFHFSALFDMFDATIPRDDQHRFHFEQEFYGRETLNVIACEGVERVERAETYKQWQVRTMRAGFKLLPLNPELMTKLREKKAAGGYHRDFLFDEDGKWMLQGWKGRIICASACWVPA >itb04g28890.t2 pep chromosome:ASM357664v1:4:32453929:32457774:-1 gene:itb04g28890 transcript:itb04g28890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFTGLPDSVSSFKVEDEFLFSSFQQSQDIPNNYLDDSLPDFMGVPLITPSPDSGYFPPPAELDSPDDQDADPVLKYLNQILLEENIDEKPSMFHDPIALKAAEKSFYEALAKGPPSPYQSHVSYNTESPDSLFGNSCEPSTSSSTFGSSNTDPLWIVEPGESKSSFASYPPDHFFHSSFETTSERSNGSLDGFGGNTNVQMDSLSNANVLANIFTDTESILQFKRGMEEASKFLPASNQLVIDLDQYSLPPKTEELPRDTVIKAENNERDSSHNSSRGKKHHHLEDDGFEEERSSKQSAVYVEEELSELFDKVLLCNPNECVLTTRPPEVDKGVPHNVPNSGKSRSKKQGATNEAVDLRTLLISCAQSVAADDRRTANEQLKQIRQHSSPNGDANQRLANVFANGLEARLAGTGTQLYAALAPKRITAFEKLKAYQVYMSACPFKKIAMTFANKMIHKISLGAPTLHVIDFGILYGFQWPILIQHLSSRPGGPPKLRVTGIDLPQPGFRPAEMIEETGRRLGKYCERFGVPFEYNAIATQNWETIKIEDLKLVSGEVVAVNCLYRFKNLLDETVAVDSPRDAVLSLIRKINPSIFVHAVINGSYSAPFFVTRFREALFHFSALFDMFDATIPRDDQHRFHFEQEFYGRETLNVIACEGVERVERAETYKQWQVRTMRAGFKLLPLNPELMTKLREKKAAGGYHRDFLFDEDGKWMLQGWKGRIICASACWVPA >itb15g16710.t1 pep chromosome:ASM357664v1:15:16667031:16671358:-1 gene:itb15g16710 transcript:itb15g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALLGLKRRSNDFTTNDRKRNCNRVNEPQDIEREGMVGEITFEILKLPFEIICNILSRLPLKTIICCRSVCKKFLKILKDPYFANVHLARAPSTTASLILQSYGGNKGFYFYTIDLDETSHAISDSTGPHKFPSPCRSHHELTKQNAEFCFQSRHVTFVGSCNGLLCLYSSLPKPFYYVCNPILGESVVLPPPTPLAPDSTYYLSGFGFCPKTKQYKVVRFLCSVSVNHVTNSVSKEMAAEIYTLGSDSWRRIGKAPCPKRGGSFDPFVNGSLHWIIETTKTSEQICSFDLNTEQFRTLPSPLHFDANYIKKVSWINIGTLGGHLCLCYIYDDACLEVWVMKDYGVKESWSREFSIDIKFYCGLKVENLRQPVKFLNNGDLWLMCSSNSLASYNPRKGTFRDFKALAKWKSEVIALVPSFVSLNDAMSSKNLRVESLSRRIPKIYC >itb15g03020.t1 pep chromosome:ASM357664v1:15:1906951:1910875:1 gene:itb15g03020 transcript:itb15g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGISALINSHHPNPFHISVSFFHSTPILERRRRQWDPSVNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDQHDSSSSQGSSWFQRDFRSYAPNSSRLRNKGHRKWRRFDFTEDLDFEVESFFRTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWRFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDVKNAIGFINLQLWTEFYLQSVNYHYQKKRFRRFNAKQTLLRNVSAFAEDFFQNLHHESDQHDSSSSQGSSWFQRDFRSYAPNSSRLRNKGHRKWRRFDFTEDLDFEVESFFRTGFGGNYYYTWFVNEDPQQRRSSRYSNNYRHSKWRFTDEEDYDDESTESDMYESDLKSERLALGLNPSGPLNLEDISIMCIKMASXLQKRNSRSAVLLTNCCVIKWLLTNHLLDSGAHEYWRLSAWHFEALHDAAAIVFSIAGILALEEKLKA >itb11g10970.t1 pep chromosome:ASM357664v1:11:7810474:7815134:1 gene:itb11g10970 transcript:itb11g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATIIDEKVLNDCLNEITRALLQADVQFKLVRDMQTNIKRIVNLDDLAAGHNKRKIIQQAVFNELCKILDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQRKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSQELMPKGREKESQAKIKRYMTIMDSMTNEELDSTNPKLMTESRIMRIARGSGRLVHEVMEMMEEYKRLAKIWSKMKGLKIPKKGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSAKDMMGMFGGGDK >itb01g33730.t1 pep chromosome:ASM357664v1:1:36722816:36726879:1 gene:itb01g33730 transcript:itb01g33730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRARTNLQPMKAPGKLEKEKMGVQSNKRMHTEKPMINRRKAIREKKMALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSSTLELLAEVAVLEEEVVRLEEKVVHFRQGLYKEAVYISSSKRNMDNLAEQCDSCKSPKLKQTKLSPQVEAHSAASTGKHMPSHSDDGVLKEDQSYLSSKQNQKSPNVNSQTVRTPVKRLPIENRPIEKRLDPQKLQLEGKIVDHWSTEERNSVTQDRKASGDDSPNKISENIVKCLLNVFSRMSSKRTRSSAETLSSLPAMTFCDSSVTTQFKDPYAISLKFGKRDIGPYKHLFAVEAPSINPNRSTVSVFLVRRLKLLLEKLESVKLKGLTHQQKLAFWINIYNSCMMNAFLENGIPESPEMVVALMQKATINVGGHLLNAITIEHFILRLPYHSKFTFEKGIKNDEMTARSIFGLEFSEPLVTFALSCGSWSSPAVRVYTASKIETELEVAKREYLQAAIGISSAKKTVAIPKLLDWYLLDFAKDLESLLDWICLQLPSELGKEALSCLERGNNEPLSQVLQIIPYEFSFRYLLCM >itb14g05900.t1 pep chromosome:ASM357664v1:14:5157923:5164460:-1 gene:itb14g05900 transcript:itb14g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDKAIANGCRIDQAMDGLKEKLEAVYQASGGRKINIISHSMGGLLVTSFMSLHTDVFSKYVNKWIVIACPFQGAPGCINDSLLTGVQFVEGFESYFFVSRWTMHQLLVECPSIYEMLPNPDFQWSKTPEIQVWRKMSEDGGTSVELESYGPKESVTLFEEALKTNELEYNGNTVALPFNFSILNWAVGTRKIVQSAQLPKGIDFYNIYGTAFDTPFDVCYGSEKEPIEDLSDICHTLPEYSCVDGDGTVPSESAKADNFEAVERVGVCAGHRELLSNENVFELIKSWLGVMETGKVNRKSCRVMDGSLS >itb03g11680.t1 pep chromosome:ASM357664v1:3:10139710:10140012:-1 gene:itb03g11680 transcript:itb03g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEGSMSSPVLRCFVDAALSVDHGLVGFGALIMNNDDLYVAAKAWILNCSLDPYHAELMAIKEALSLLKEENWSNVIIFSEEYIVKQKDQLLIIMVNKT >itb05g16110.t1 pep chromosome:ASM357664v1:5:23391669:23398824:1 gene:itb05g16110 transcript:itb05g16110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVSRGLRIPSDRSIAGNSHFRRLFSSQTNVGGSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFRATSTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYYGEVVTIKGNDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEQQKPLEKDSGEKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKTWDSFHDVKLKDRTLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWENEKVRLEYRPVHMNTLDDEIESFPPKARVY >itb10g20680.t1 pep chromosome:ASM357664v1:10:26149426:26151655:-1 gene:itb10g20680 transcript:itb10g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSRLSLALSLIALALAGYSIYTHTQSAMESQPIKALPAWLQLPTFQSANVLSYYPSGRKSSPGMLSDEACVFSAVKEVVDAAIDNETRMGASLIRLFFHDCFVDGCDAGLLLNDTPTFTGEQTAFGNLNSVRGFEVIEQAKQNAVAKCADTPVSCADILSIAARDSFERFSGATYTVTLGRLDARTANLTGANTQLVGPSENLTEQVRKFGIKGFNERELVALLGSHTLGFARCPVLCNSVNINPVRVPGLQCNCPVTNTDPGLVGLDPTPDTFDQRYYSDLVNGQGLLFSDQQLMNSTTTSDAVTTYRDSIDTFLADFAAAMVKMSNLPPSAGVELEIRDVCSRVNDVSVASV >itb10g03900.t1 pep chromosome:ASM357664v1:10:3719158:3722999:-1 gene:itb10g03900 transcript:itb10g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MDALRKQASKFKEQVAKQQQAVIKQFSASGYESSDVVVIDEVEMQMHQHLEKLYRSTRSGRDFQKELVKATETFTAIGYKHIEAGTKLSEDCCKYGVENVNDEVLSKSASIYGDARKHVEKEVEDLNRLLYNQVLEPLRAMITGSPLEDARNLAQRYSRMRQEAETQAVEVSRRQARVKEAPIPENVAKLHAAEAKMQELRANMAVLGKEAAAALSAVESQQQRLTYQRLVAMVEGERQYHERVAVILGNIEAEMVSEKQRKEATPPIVAPSATPIIHSSEKTKYFLAEVIHSFEAETEKELSLSKGDFIVVRKVAPSGWSEGECQGRAGWFPSTYVERRQRVPNNYTAAEVY >itb03g10030.t1 pep chromosome:ASM357664v1:3:7786945:7787994:1 gene:itb03g10030 transcript:itb03g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGQVGGGKRKNERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDIAVYYLRGPSARLNFPELLAGDGGGLSDLSAASIRKKAIEVGSRVDATQSSMGVEDDDDRRRRHGRENSPATAQTPPGLLKACWFEEKPDLNKKPEPEDPDVDYW >itb04g30980.t1 pep chromosome:ASM357664v1:4:33942973:33945959:-1 gene:itb04g30980 transcript:itb04g30980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILRFRKLCYVEAVKCSSGKRNGDEKKRENVEKVGKKQQRKEGRCIDSCCRVIGYLCTTWWLLLFLGNFLPGLKAPADSPGARLKREGLTGFHPVVLVPGIVTGGLELWEGRPCAQGLFRKRLWGGSFVEMFKRPLCWLEHLSLDNETGLDPPGIRVRAVPGLVAADYFAPGYFVWAVLIENLARIGYEQKNMYMAAYDWRLSFQNTEVRDQALSRLKSKIELMYATNGNKKVVVVPHSMGVLYFLHFLKWVESPPPMGGGGGPSWCAKHIKAIMNVGPAFLGVPRSFSSILSAEGKDISFIRSMAPGLFDETFRRFQTMEHVMRVSRTWDSVVSLIPKGGETLWGNLDWSPEEEYNCSSVTKKYQLTSILSNSNKTDLRSSQIKAATKYGRIISFGKASSELPSSQLSIFDVQEDVHQSVPNNRCGGVWTEYNQMSKASVQKVAENKAYTAKTAIDLLRFVAPNMMKRAESHFSHGIADDLDDPKYKHYKYWSNPLETK >itb10g24840.t1 pep chromosome:ASM357664v1:10:28432117:28433310:-1 gene:itb10g24840 transcript:itb10g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALQVEEILLLLLLVFRFTSAASVSGLPPSFPPPLEQSPHRTDETYAPPLVATILFTLGFQELSTAVVSANISAASPITIFAPADSSLATCPTCSLSLLLQEHSVPGLYPLHLLRKLASATKIHTLAENRCLTVTTTVGVARDQDPGKVFVNGAEVVQPDIFHNSVMVIHGVEGFLTHLSTKSCNMEEMTTMSFPPQPLESTTGTMMISIMRLMQNDAILRLESIGFRIVALAMKVKYAEISKLKAMTVFAIDDANLLAGNGLLYLSNFHFHVVPNKRILAPELVNLPAKTVLPTMDGEHKLVVTSTGGGGALAPLRINYVKITALNLLHNSKIVVHQVSAPFPHMIDQTAQDSIAHIGQSQSQCDFNSTDGTCNIDHAANAPLFPADSERHFGL >itb07g24370.t1 pep chromosome:ASM357664v1:7:28616995:28620416:-1 gene:itb07g24370 transcript:itb07g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKIKQYTNVLDRPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPVEGQQRPRTTILIKFSDEVLRREARLG >itb07g24370.t2 pep chromosome:ASM357664v1:7:28617575:28620416:-1 gene:itb07g24370 transcript:itb07g24370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKIKQYTNVLDRPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPVEGQQRPRTTILIKFSDEVVSYIFYANFISPVALLWFYASVD >itb03g08560.t1 pep chromosome:ASM357664v1:3:6422148:6427341:-1 gene:itb03g08560 transcript:itb03g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MFDSLIFTQIKSSRISVPSFSNFRRKSSKRLKMALPPVLSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGEQLWDLIEGLQANNLLFYTHLLTGYIGSVSFLEMVLRVVDKLRTINPKLVYVCDPVLGDEGKLYVPMELVSVYREKVVPVASMLTPNQFEAELLTGLNIKSEQDGREACNILHAAGPSKVVLTSIHIDGNLLLIGSHQKAKGQSPKQFKIAILKIPAYFTGTGDLMTSLLLGWSNRYPDDLDKAAELAVSSLQALLQRTLTDYQRAGYDCKSSSLEIRLIQSQDHIRNPEVKYKADRYH >itb01g21900.t3 pep chromosome:ASM357664v1:1:27891122:27893900:1 gene:itb01g21900 transcript:itb01g21900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHRTAPPPGCGIPASSPSCTDEFTGSTADASFLTAENFRDALHHTANAKLGDCTRWTNEKHNLYLDILEASFVKQLYQSTLLSRCSEQNKNNRNVVKELPAKMDRVFEQFPALQASCNKNNIERDQPALYTATPSSHAQQLYEADLHKCHKLHSEKKCLQEKRKFPCGLAKRSELHTDLQNSVCSMTGKLNSTPYMHLVIFCFYIYWVY >itb01g21900.t1 pep chromosome:ASM357664v1:1:27891065:27894319:1 gene:itb01g21900 transcript:itb01g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHRTAPPPGCGIPASSPSCTDEFTGSTADASFLTAENFRDALHHTANAKLGDCTRWTNEKHNLYLDILEASFVKQLYQSTLLSRCSEQNKNNRNVVKELPAKMDRVFEQFPALQASCNKNNIERDQPALYTATPSSHAQQLYEADLHKCHKLHSEKKCLQEKRKFPCGLAKRSELHTDLQNSVCSMTEGSGQNFVDGCEENTDRKRRKAATGDISSHEVHDQIVPCGKFDSPTIASST >itb01g21900.t2 pep chromosome:ASM357664v1:1:27891065:27894319:1 gene:itb01g21900 transcript:itb01g21900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHRTAPPPGCGIPASSPSCTDEFTGSTADASFLTAENFRDALHHTANAKLGDCTRWTNEKHNLYLDILEASFVKQLYQSTLLSRCSEQNKNNRNVVKELPAKMDRVFEQFPALQASCNKNNIERDQPALYTATPSSHAQQLYEADLHKCHKLHSEKKCLQEKRKFPCGLAKRSELHTDLQNSVCSMTEGSGQNFVDGCEENTDRKRRKAATGDISSHEVHDQVKMHMFP >itb07g12890.t1 pep chromosome:ASM357664v1:7:14934034:14935608:-1 gene:itb07g12890 transcript:itb07g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPAAISSFHFPPGVRFHPSDQELIVYYLFNKVNSHPLPACIITDVKFYNYNPWDLPKLAIFGEDEWFFFSPRDRKYPNGARPNRTTASGYWKATGSDRPILSPDGSKIGVKKALVFYIGKAPSGLKTDWNMMEYRLPDTSIKPSRPKGSSMRLDDWVLCRVRQKGNMSKNAWEAQPSPQELLSYLPNNEKLPSVNDDPLRDINTNYLLTKDNSLIYSMFLQGLIPLENILRAASQFSSDGKYYTGYEHESGKVNTQVTNSSLQSFFGSSKRKHDDGTKYQNPHDLIKTISDGNKSEDFLLSNMLATNHRNFYNENQPPVDTFSGIQYQMP >itb13g13070.t1 pep chromosome:ASM357664v1:13:19540661:19541868:1 gene:itb13g13070 transcript:itb13g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSDPKTWVPYWNTRDCSQGFCSLYCPQWCNYKITPPPPPSSSPIHSHRPYFSPLIIAIAGILATGFLLISYYAVMSKYCRNRTGSTEPDPGSSDEDQPAGKNGLGEARIKSIAVFKYKMGDGAVDGSDCPVCLGEFQDDDRLRLLPSCRHAFHVACIDPWLKSNSNCPLCRASIVLSMDQERASDDDEPEIPIADNGQHSVIPIADNGQHSVIPIADNHGWENQEIIRRSLSMNYVFHQSGRLSVADILRMDDDDHFPDSRLRHTLSLSRAKFLFPMLNRGRLATIPF >itb09g09090.t1 pep chromosome:ASM357664v1:9:5444971:5445462:1 gene:itb09g09090 transcript:itb09g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNEAVKLDDGQLAELREIFRSFDINNDGSLTQLELGSLLRSLGLKPSSDQLETLIQKADKNNNGLVEFSEFVALVAPELLPAKSPYTEKQLKQMFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRISFQEFSQAITSAAFDNSWA >itb08g05980.t1 pep chromosome:ASM357664v1:8:5104518:5116170:-1 gene:itb08g05980 transcript:itb08g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYLSDISHMGDQIQLQDIAAFVAACRKSVISCLATIAKKRPAHYNFILSALLDFDPNFEMTKGGHTASIQYSLRTAFLGFLRCTHPAILESREKLQKALRAMNAGDAADQVLRQLDKMMRNNERASREARMNKDDQPSNHLPVSGDATKKRATPSDNEDSSINHDLSAKRVRYVPNNHVVPPVERNDSGKDYVNGVPQKAPVAVNAPNLVEQMISMIGALISEGERGVESLEILIAKIPPDVMADIVITNMRHLPRNPPSFTKFDTLPLTQQSDFSSAPLNGVVPIGSSVSKQTVALSSQLPVSVSNAVNSSISEMSTSLPPDSKRDPRRDPRRLDPRRVTVGIGVPPPPIIEDNINPMQPVVQSEVDSSNTFNRPLMVPILPTSENMPVSQNPKIEADNTLESLDSALADWSAPKEEIQVEEAERSVPDTEENAIADITFSSAGKLEQDSMAQMPSNVLMIDEVYSPSSVETDQRSPPISNTIASEDVCDYLPSVPPYIELTEEQQRSVETLAIEQIIDSYKRLKGADNKQTGMAMLSCLIAQINAGADVAVMVQKHILSDYQQQKGHEVVMHVLYHLRTLMLSDSDERSSSAASLYDQVLLGVAKFLLDTFPATDKSFSRLLSEVPYLPESAMRLLVDLCSENYSGKDGRDGDRVTQGLGAVWGLILGRPPNRQACLDIALKCAVHSKEDVRAKAIRLVTNKLYVLSHTSENIEQFAMNTFLSAVDNRVSGPEDSISGATNQRKEVEVGSQETSVSGSQSSDTGISENDSLRGSQFDSQSDSALSSAQAQRLKPSLLQHVFDNYGRAPKAVKQAIHRHMPILIRAIGSSNPELLHIISDPPQGCENLLTLVITILSEGTTPPPDLIAVVKRLYETKLKDASILIPMLSSFSKSEVLPIFPRLVSLPLDKFQIAMARILQGSAHTGPALSPAEVLVAIHDINPERDGLPLKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVNRQVWKMPKLWVGFLKCVSQTQPHSFPVLLQLPPPQLEGALNKYAGLRGPLAAFASQPNIKNSLPRPTLAVLGLLESNLQQPHISPSLHPSEASPSVHGATLT >itb12g01570.t1 pep chromosome:ASM357664v1:12:1049767:1051998:-1 gene:itb12g01570 transcript:itb12g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTELKTAVSLAARTGRHLQRYDNGRRQVVGCVPYRYKDSGETSSLMAEDAFEVLVISPQRISKGMLFPKGGWETDETIEAAALRETVEEAGVLGEIECKLGTWSFETKNGKNSCEGHMFPLLVKEQLDSWPEKEIRQRHWMSVREARKVCKQWWMKEALEALVGHVTKQRNAGILSAMGLSQGTLFSIRCGAQIVPPPTMASAEEA >itb12g01570.t2 pep chromosome:ASM357664v1:12:1049782:1051995:-1 gene:itb12g01570 transcript:itb12g01570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTELKTAVSLAARTGRHLQRYDNGRRQVVGCVPYRYKDSGETSSLMAEDAFEVLVISPQRISKGMLFPKGGWETDETIEAAALRETVEEAGVLGEIECKLGTWSFETKNGKNSCEGHMFPLLVKEQLDSWPEKEIRQRHWVYMHLHSLIHKTPFFIKRKTVLNW >itb12g00660.t1 pep chromosome:ASM357664v1:12:488584:489887:1 gene:itb12g00660 transcript:itb12g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGTLEYISDMMSSSHSHKHKKKKKQLQTVELKVRMDCDGCELKVKKALSSLSGVKTVDINRKQQKVTVTGYVEANKVLKKAKSTGKKAEIWPYVPYNLVAQPYAAQAYDKKAPPGYVRRVDNPTTGTATRFDDPYVTIFSDENPNACSVM >itb13g20560.t1 pep chromosome:ASM357664v1:13:27384753:27391020:1 gene:itb13g20560 transcript:itb13g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEPNISTREALQEISSQQEYLKLKGRYEALQRSQRNLLGEDLGPLNSKELESLERQLDMSLKQIRSTRTQLMLDQLMDLQRKEHELNEANKSLKQRLMEDANHLTLEWNPTAQEVEYGRQAIQSDGDHGFFHHLECEPTLQIGYQTDHHITVAAAGPSVNNFMPEWYP >itb03g27510.t1 pep chromosome:ASM357664v1:3:27368410:27373633:-1 gene:itb03g27510 transcript:itb03g27510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVHVMKPLSLCFQILLLLLLAVSVFSWKKEEFRNCNQTPFCKRARSRKPGTCNLRASYVSVSDGDLVAKLLPKEGSSEIEDPVKPLVLSISVYQDGIMRLKIDEDPSLDPPKKRFEVPDVILDEFSSKKVWLKRFKEETLDGDSSASSVIYLCDNYEGVLRHDPFEVFVREKGSGKRVVSINSNGLFDFEQLRKKQEGDDWEERFRSHTDTRPYGPQSISFDVSFYGADHVYGIPEHASSLALKPTRGPGVEEFSEPYRLFNLDVFEYITDSPFGLYGSIPFMISHGKARGTSGFFWLNSAEMQIDVLSSGWNSDESSKLMLPSDKERIDTLWMTEAGIVDAFFFVGPGPKDVARQYGSLTGTPSMPPLFAIAYHQCRWNYRDEEDVYHVDSKFDEHDIPYDVLWLDVEHTDGKRYFTWDRTLFPNPEEMQEKLAAKGRHMVTIVDPHIKRDDSYHIHKEASEKGYYVKDATGKDYDGWCWPGSSSYTDLLNPEVRSWWADKYSLNNYVGSTPHLHIWNDMNEPSVFNGPEVSMPRDVLHLGGVEHRELHNVYGLYFQMATADGLLKRGDGKDRPFVLARAHFAGSQRYGAIWTGDNSADWDHLRISVPMVLTHGLAAISFTGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTELMREAIHVRYMYLPYFYTLFREANTSGIPVARPLWMEFPGDEKTFTNDEAFMIGNSLLVQGIYTERAKHVSVYLPGDQSWYDLRSGTAYKGGLTHKLEALEESIPAFQRAGTIIPRKDRFRRSSTQMENDPYTLVIALNSSQAAEGELYIDDGRSFDFKEGAYIHRRFIFSAGTLTSLNLTPSSGSKKYLSDCRVERIIVLGLSGGPNSALVQPGNNKVEVEKGPLLLLETQGPSVLTIRKPNVRVGDDWSIKIL >itb06g25220.t1 pep chromosome:ASM357664v1:6:26378201:26381001:1 gene:itb06g25220 transcript:itb06g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFRRPQRVKEIPKAGSFPNPASTSRETSTPTRTTSSEDKVHESHPLPVPTRSEKSSSIHSRGGSGQAPKSEIEILSSPDLKSFLLSVLVDATDNFNNLLGEGGFGEVYKGWLDKDTLNASKSGEGMPVAVKRLNFRGVQGHKEWLSEVKLLGQLHHPNLVKLTGFCLEGEHRLLVYEFMPSGSLENHLFGRHAGTSPFSWETRMKVAVGAARGLSFLHDSNPQVIYRDFKASNILLDAELNVKLSDFGLAKLGPTGDNSHVSTQVMGTQGYTCPEYMTTGRLSAKCDVYSFGIVLLELLTGRRVMDKSLGQGQTLVDWVRPCLHEKRSVARIMDIRLEGQYPRNAAYFAANLAFQCTRPETKRRPNMTYVLGILEQLQSSKHIAHHSSAHHHHRRHPSRQSDISEQLNCSSQKSAKPGHVRVSHSSRVVDCSEAEAEWDR >itb06g25220.t2 pep chromosome:ASM357664v1:6:26378201:26381001:1 gene:itb06g25220 transcript:itb06g25220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFRRPQRVKEIPKAGSFPNPASTSRETSTPTRTTSSEDKVHESHPLPVPTRSEKSSSIHSRGGSGQAPKSEIEILSSPDLKSFLLSVLVDATDNFNNLLGEGGFGEVYKGWLDKDTLNASKSGEGMPVAVKRLNFRGVQGHKEWLSEVKLLGQLHHPNLVKLTGFCLEGEHRLLVYEFMPSGSLENHLFGRHAGTSPFSWETRMKVAVGAARGLSFLHDSNPQVIYRDFKASNILLDAELNVKLSDFGLAKLGPTGDNSHVSTQVMGTQGYTCPEYMTTGRLSAKCDVYSFGIVLLELLTGRRVMDKSLGQGQTLVDWVRPCLHEKRSVARIMDIRLEGQYPRNAAYFAANLAFQCTRPETKRRPNMTYVLGILEQLQSSKHIAHHSSAHHHHRRHPSRQSDISEQLNCSSQKSAKPGHVRVSHSSRVVDCSEAEAEWDR >itb04g33430.t1 pep chromosome:ASM357664v1:4:35684029:35687300:1 gene:itb04g33430 transcript:itb04g33430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEYKYWATLELEKTQFRRKKKQLESRASMEDFGASLEELRKTFRSGKTREESWRRSQLKALLRLVQEKEDEISMALKQDLGKHHVEAYRDEIGPLVKSIEFAMAGLKGWMSGKKAKLPFAAFPAKAEIIPEPLGLVLVFSAWNLPIGLALEPIIGAIAAGCVAVLKPSEQAPACSSVIAKSISAYLDPKAFKVIEGGSLVSERLLEHKWDKIFFTGSAAVGRLIMTAAAKHLTPVTLELGGKCPAIVDSLSSSWDKDIALKRILAGKFGTCSGQACIVIDYILVERKFSSELVQLLKKYIQAVFGDNPKQTHSVARIVSKKHFTRLKSFLDEPKVKASLVYGGLMDEDNLFIEPTLLVDPPLNAAVMTEEIFGPILPIITLEKIEDSIDFINFRPKPLTIYAFTHNETLKNKIVSQTSSGSLVFNDTIVQYAADTLPFGGVGESGFGRYHGKFSFDTFSHEKVIARRSYLIDFWFRYPPWDFKKLQLLKAGYRFDYVSIVLMFLGLKRTY >itb04g33430.t2 pep chromosome:ASM357664v1:4:35684029:35686810:1 gene:itb04g33430 transcript:itb04g33430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEYKYWATLELEKTQFRRKKKQLESRASMEDFGASLEELRKTFRSGKTREESWRRSQLKALLRLVQEKEDEISMALKQDLGKHHVEAYRDEIGPLVKSIEFAMAGLKGWMSGKKAKLPFAAFPAKAEIIPEPLGLVLVFSAWNLPIGLALEPIIGAIAAGCVAVLKPSEQAPACSSVIAKSISAYLDPKAFKVIEGGSLVSERLLEHKWDKIFFTGSAAVGRLIMTAAAKHLTPVTLELGGKCPAIVDSLSSSWDKDIALKRILAGKFGTCSGQACIVIDYILVERKFSSELVQLLKKYIQAVFGDNPKQTHSVARIVSKKHFTRLKSFLDEPKVKASLVYGGLMDEDNLFIEPTLLVDPPLNAAVMTEEIFGPILPIITVRIDFLLTYKYSSTVFYGNICIKSIVLLLWQLEKIEDSIDFINFRPKPLTIYAFTHNETLKNKIVSQTSSGSLVFNDTIVQVSSSIMLSPRQKL >itb09g00520.t1 pep chromosome:ASM357664v1:9:410179:415902:-1 gene:itb09g00520 transcript:itb09g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLYPQVFDSNPDSNSPFVSNPSKSPSSGSSLYPTIDMNDLAENLFPDKEDERKPDSEHPAAFESSEEVIIRIPGSIVHLIDKDRSIELASGEFTIVQLKQDNNVVAILARVGAEIQWPLAKDEAAVKLDESHYFFNLRTPPEADSDDEGEGEIRVDSSENMLNYGLTIAAKGQEGVLRELDGVLGKYSAFRVEKVEKGVAEKWGVMAKDVSPAEMEKKEKKDAVEKSSAAYWTTLAPNVEDYSSSVARLIAAGSGHLVKGILWCGDVAVDRLNWGHQFLTKKMGKGATSEISPAAMRRMKRVKKMTKMSEKVATGILSGVVKVSGFFTSTIANSKAGQKFFSLLPGEIVLASLDGFNKVCDAVEVAGRNVMSTTSTVTTGLVSQRHGEQAAEMTREGFDAAGHAIGTAWAVFKIRKALNPKSSIKATSLVKAAAAEAKLKAKTNLSPKKKPSAILQISERRKRSEEETEITLGLLLMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGNDTVVLGVEKKSTPKLQDSRSVRKIVNLDDHIALACAGLKADARVLVNRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIIGFDPYTGVPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAVMTREHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPSKET >itb15g04820.t1 pep chromosome:ASM357664v1:15:3124478:3126944:1 gene:itb15g04820 transcript:itb15g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTYNERETERLAKVARHLEPWRDLTGKVVMVTGASSGLGREFSLDLAKAGCRVIVAARRLDRLKSLCDEINGAGSGGSSSVPPPCAVAIELDVSADGPAIEAAVLKAWESFGHIDALVNNAGIRGTRQTPLDLTEEEWNDNIRTNLTGTWLVTKYVCLLMRNAKLGGSVINISSISGLNRVQFMGSLAYSCSKSAVNTLTMAMALELGAYGIRVNSISPGIFKSEITKNLVTKDWLKTVIQRAVPLRTYGTTDPALTSLIRYLIHDSSEYVSGNIYVIDAGSTLPGLPLFSSL >itb07g02470.t1 pep chromosome:ASM357664v1:7:1605580:1606328:-1 gene:itb07g02470 transcript:itb07g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTHRSSASHILVLLFFFFHLFACFSPGEGTGKIHSRRLLASSVTSFSANLGEINGAMVEPEKAVENGLRKKPPSASNPIQNNIHH >itb08g08320.t1 pep chromosome:ASM357664v1:8:7263584:7263904:1 gene:itb08g08320 transcript:itb08g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKGEPYIRTLLTTAMLPTMADRSFQVWARVMTQIERAAMKATSARTMRPTLNLFFLSEQKRNTNKKTISMAMPAALVVITRKLLGCTVGSPYNTQMPQLRNVAR >itb15g07320.t1 pep chromosome:ASM357664v1:15:4961876:4963458:1 gene:itb15g07320 transcript:itb15g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEIIEELFLELEISSERSQFQFLLLDYLYCAGCLVVGLVIECYRFGEVLDGRYEIIASHGKGVFSTVVRARDLKAKPGDPEEVAIKMIRNNDTMYKAGMEELVILKKLVGADPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFISLKHLKNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFVGKNEITPYLVSRFYRAPEIILGLPYDHPIDMWSVGCCLC >itb13g20420.t1 pep chromosome:ASM357664v1:13:27239598:27244306:-1 gene:itb13g20420 transcript:itb13g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PBS1 [Source:Projected from Arabidopsis thaliana (AT5G13160) UniProtKB/TrEMBL;Acc:A0A384K8Z2] MGCFPCFDSREEETLNPQKERDDRKEVHPVVPSNMSRLSSGADRLKSRGSTGSKRETSGLKDLPGVQIAAHTFTFRELAAATSNFRPESFIGEGGFGRVYKGRLANGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMRIAAGAAKGLEHLHDKANPPVIYRDFKSSNILLDEGFSPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTLPPGEHNLVAWARPLFNDRRKFAKLADPRLQGQFPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYDPNAAPGYRFSAAAADKDDKRGARISRNEDGSGGGSGRKWDLDGSEKEDSPRETARMLNRDADRERAVAEAKMWGENFREKRRQNGELEGSEKDDSPRETVKMLNRDLDRERAVAEAKMWGENFREKRRQNTNGNFDGNNG >itb04g24240.t2 pep chromosome:ASM357664v1:4:28974064:28977862:1 gene:itb04g24240 transcript:itb04g24240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEVGDVTPTGVQHDDQTVSREDFGKMLFGCEHYRRRCKIRAPCCNEIFTCRHCHNEAKSGLSDPKERHELVRRDVKQVAGVCLNCGVKFGDYFCEICRLYDDDTTKDQYHCNECGICRIGGRENFFHCQKCGSCYSVGLRDNHMCVENSMKSYCPICYEFLFESLKSTMIMKCGHTMHMDCYREMVHQNQLRPVHNCCLPFLSLS >itb04g24240.t1 pep chromosome:ASM357664v1:4:28974064:28977832:1 gene:itb04g24240 transcript:itb04g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEVGDVTPTGVQHDDQTVSREDFGKMLFGCEHYRRRCKIRAPCCNEIFTCRHCHNEAKSGLSDPKERHELVRRDVKQVAGVCLNCGVKFGDYFCEICRLYDDDTTKDQYHCNECGICRIGGRENFFHCQKCGSCYSVGLRDNHMCVENSMKSYCPICYEFLFESLKSTMIMKCGHTMHMDCYREMVHQNQLRPVHNCCLPFLSLS >itb09g05990.t2 pep chromosome:ASM357664v1:9:3435318:3437948:1 gene:itb09g05990 transcript:itb09g05990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPFCLPLLTLPNSNKRFISFLLFKKMARPKISKWLIILLVLLTCNFSNAKETQPITETSKLQHFLNSVHQWRTRVSQSEDGNLKLGAPMVLVGILCFIAATISSAGGIGGGGLYVPILTIVAGFNLKTSSSFSAFMVTGGIIANVVCNMCVTNPKRGGKILIDFDIALLSEPCMLLGVTIGVICNVVFPEWLITALFAVFLAWCTTKTFKSGVSYWKQESQGLRRCGGREVEKGLLEEKSFDGNEGAVLKDKRNCRVGIPWTKFGMLFVIWFCFFVLYILRGNRYGKGIIPLETCGVGYWLISSLQIPLAVIFTAWILFAKESSSASQEQEEAGEGIKGLSNRLVFPMMAFLAGLLGGVFGIGGGMLISPLLIQVGIAPEVNIPYSLHTAFSFSHFRFHRIQKT >itb09g05990.t1 pep chromosome:ASM357664v1:9:3435318:3437948:1 gene:itb09g05990 transcript:itb09g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPFCLPLLTLPNSNKRFISFLLFKKMARPKISKWLIILLVLLTCNFSNAKETQPITETSKLQHFLNSVHQWRTRVSQSEDGNLKLGAPMVLVGILCFIAATISSAGGIGGGGLYVPILTIVAGFNLKTSSSFSAFMVTGGIIANVVCNMCVTNPKRGGKILIDFDIALLSEPCMLLGVTIGVICNVVFPEWLITALFAVFLAWCTTKTFKSGVSYWKQESQGLRRCGGREVEKGLLEEKSFDGNEGAVLKDKRNCRVGIPWTKFGMLFVIWFCFFVLYILRGNRYGKGIIPLETCGVGYWLISSLQIPLAVIFTAWILFAKESSSASQEQEEAGEGIKGLSNRLVFPMMAFLAGLLGGVFGIGGGMLISPLLIQVGIAPEITSATCSFMVFFSSTMSAVEYLLLGMEQVNSALILSSICFVASLIGLVVVQRAIEKHGRASLIVFSVGTVMALSTVLITSFGVIDVWEDYRTGKYMGFKPPC >itb04g33050.t1 pep chromosome:ASM357664v1:4:35395648:35397842:-1 gene:itb04g33050 transcript:itb04g33050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYPILFPFQLHTNSIIFSLYIIPSHHHSIPLCLFLSSMPKMATMLSILKFSILIFVILFQNQASMAAHSSTLKPRGNNRMYGVTEGSLRPQECSPKCGFRCSKTAFKKPCLFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >itb14g12210.t1 pep chromosome:ASM357664v1:14:13815017:13819085:-1 gene:itb14g12210 transcript:itb14g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANGAGFSATPAEEDLLETPVLDADRANLLQSIAEQGGYAYVSMEALAAGGDVRAAEAAREMAWEQLHLGPWHSVLPIWRDAYSMACLHVAKLHYAAGEFSQAIRALDMGLIMGGPALRADLNLAIRKASKKASIALDSSSVQNHNIVSQEVNMAEISRILPARSLSRKLVGKRSALSLEGFIKDHYVSGTPVIIRGCLDQWPAKSKWNDLNYLRSVAGFRTVPVEIGKHYLCPEWKQELITFSEFLERIQSNDPTSTETTYLAQHPLFDQIQELRQDILIPDYCSAGGGEIKSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPATISEELYPHSEAMLSNSSQVDLDNIEETEFEKIMEVEFEDCILEEGEMLYIPPKWWHYVRSLTTSFSVSFWWNNSSET >itb04g23060.t1 pep chromosome:ASM357664v1:4:28255671:28258178:1 gene:itb04g23060 transcript:itb04g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEIIVQKSLPPLDVPSSGLDAKPQGSAVLQFVPSIRSGSFADIGPRRYMEDEHIKIDDLSTHLGSLFTFPKPSAFYGIFDGHGGPEAAAYVRKNVIRFFFKDANFPQTSEVNDAFLGEVENSLRKGFLLADLALADECNVSSSSGTTALAAVVLGRLLMVANAGDCRAVLCRKGEAFDMSQDHRPNYALERRRVEELGAFIDDGYLNGVLSVTRALGDWDMKLPRGSPSPLIAEPELRQIVLTEDDEFLIIGCDGIWDVMSSQQAVSLVRRGLRRHDDPEQCAKDLVMEALRLNTFDNLTVIIVCFTSFDHPEPSPTRNRRLRCCNLSAEAIGSLRSLLNGNGSS >itb04g23060.t2 pep chromosome:ASM357664v1:4:28255671:28258036:1 gene:itb04g23060 transcript:itb04g23060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICQDAKPQGSAVLQFVPSIRSGSFADIGPRRYMEDEHIKIDDLSTHLGSLFTFPKPSAFYGIFDGHGGPEAAAYVRKNVIRFFFKDANFPQTSEVNDAFLGEVENSLRKGFLLADLALADECNVSSSSGTTALAAVVLGRLLMVANAGDCRAVLCRKGEAFDMSQDHRPNYALERRRVEELGAFIDDGYLNGVLSVTRALGDWDMKLPRGSPSPLIAEPELRQIVLTEDDEFLIIGCDGIWDVMSSQQAVSLVRRGLRRHDDPEQCAKDLVMEALRLNTFDNLTVIIVCFTSFDHPEPSPTRNRRLRCCNLSAEAIGSLRSLLNGNGSS >itb15g02780.t2 pep chromosome:ASM357664v1:15:1757467:1760042:1 gene:itb15g02780 transcript:itb15g02780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMGSEIETVEQRLKSFLSQLQSEFGILDRIVYKNKNQHRRCSYFQYLMKVRRDLRLLKSANPEEIFSASFDVIHGKRPKQKVQLLESLKRRRTNSNKHNFLDRLLGVAHLLSQMTEPMLKAATQVSTLLARSFFMGFSLTVLALLARIRVLVQQMLLDVVFVFNRVSSLSQKEQAVRLTQERFEVFREYYPAKQQPTFLECIWETDKYRLIEKKGASEVENQEKKIKEDACIETSKIKYQSVEIFLGEDECDKTVPGEVNVERSSSLNKKTVPGDVERSSSLNKKDKSSLSSPIPEINDDEAQVPPGSDIAVSPDKSIVSTGMLKSDAEVKIKPKAKRNVAFVSIKRPAPSLSSVNEPGHCLQGNEKGGSSTTEEDPFFSLLTGGNKNSTLF >itb15g02780.t1 pep chromosome:ASM357664v1:15:1757495:1760042:1 gene:itb15g02780 transcript:itb15g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMGSEIETVEQRLKSFLSQLQSEFGILDRIVYKNKNQHRRCSYFQYLMKVRRDLRLLKSANPEEIFSASFDVIHGKRPKQKVQLLESLKRRRTNSNKHNFLDRLLGVAHLLSQMTEPMLKAATQVSTLLARSFFMGFSLTVLALLARIRVLVQQMLLDVVFVFNRVSSLSQKEQAVRLTQERFEVFREYYPAKQQPTFLECIWETDKYRLIEKKGASEVENQEKKIKEDACIETSKIKYQSVEIFLGEDECDKTVPGEVNVERSSSLNKKTVPGDVERSSSLNKKDKSSLSSPIPEINDDEAQVPPGSDIAVSPDKSIVSTGMLKSDAEVKIKPKAKRNVAFVSIKRPAPSLSSVNEPGHCLQGNEKGGSSTTEEDPFFSLLTGGNKNSTLF >itb07g07120.t1 pep chromosome:ASM357664v1:7:5417280:5420127:-1 gene:itb07g07120 transcript:itb07g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDHLSDLFEVKSRRNKKRKLMQTVDIRVKMDCDGCERRVRNAVSSMKGAKSVDINRKQNRVTVSGYVEPKKVLKKVQSTGKKAELWPYVKYDLVSYPYAPGAYDKKAPSGYVRNVPQAIIPSPNTERFTSMFSDENPNACSVM >itb05g25520.t1 pep chromosome:ASM357664v1:5:29891756:29892811:1 gene:itb05g25520 transcript:itb05g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLPHPSRENLIGRQLLLDEPARRRPCPVDVERAARRRPKKPTTADWAPVGSRRPFSSSRRSPTGFVQLAPPTGLCSSRRRLEQRSDLEINFQI >itb02g12510.t1 pep chromosome:ASM357664v1:2:8566802:8568099:1 gene:itb02g12510 transcript:itb02g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLLQRSKRVRIWRSSFAGGMRGRPSQFRLLSSKTHFLRRFLLPQKGTIIEKLTEETLRYWNHLTELLSICEAQRQIGEAYLNETSSRSHQILRVVSVGQRLKEGCHINHMKHKLLVMKMK >itb14g05630.t1 pep chromosome:ASM357664v1:14:4905443:4911826:1 gene:itb14g05630 transcript:itb14g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPWGNIGDWAAEAEREEAEERELAVSAAAAAGDSQKYPSLREAATPKQKKKTKMSLQQFTMQSSYGSGHGYGSTSRLTPEEMLRLPTPPKDRSAPEMQQGFSNYGARSPGRIRDRDLDGEASWGGDRRQFGGFDNEQRKGPPSRAPDFDQPSRADEVDNWASAKKPLPSYNSTPTQAPARSKYGEKGIDWRKIDLVSEHQCNERPESEEERKLIEEREALQSSGEGKKKWNSKARACLPPLQPLSISRPKAEEWPSAGSDDLGVWPNNPPTPRVRLGPITIQETSTFEQPPREFQFKRDKLAFFNKECSKIVGHIYLGSETIAKDREILRHNGITHVLNCVGFICPEYFKGDLVYKTLWLRDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWKKEQSFEDAFQHVKATRGVTNPNMGFACQLLQCQKRVHALPVSPTSVLRMYRIAPHSPYDPLHLVPKMLGEPGVKALDSRGAFLVHVPSAIYVWIGRHCVSVMSDNARAAADQVVRYEKAQGPVLILKEGEEPSEFWEALGNEKFFVNKLEIKNEGIVSARNSMNASSITSSVGLRKVSEYDLDFEIFHKAVAGGVVPPFPLSGTGFETCLPARANGWNRLRQKFASGVMKEFILSSKMSNNDVKASQGLGMIDASLEISPVEPSSPSSPQCESPDSFSSYATSSPSWLKDPCKDTECATPEPLLSPTPSFSSLDSLSCFLPRKPKSSSTSPSLSSTSDYSSSFTFSPTSSNWSDLSYLSAQPSPSGLESKDPYVLHGSLKEDPCLLCKRTLTQTEESFPTTHMARVTNTCSPCRGVSPSPLIAECKGSHPPPRMMLTSIDETPQLPSNLVRTWSFSRPDVEDVVMRGDECNNLEDGSVELSSEEAMVDSDTLVTDSEFHGRVQDSNEGEIVYDAPDANHRVQTTNVALYEWPSMHKFELPCGPLDSRAVYLIFVPDVSVDTKNNNVLYVWIGRDVPWRQRNCQSINNDSMWDDGHIQWEAVGHNFLLEKHLVRSVVQIVNQGKEPDHLLKNLLTLPLSRTH >itb09g19110.t1 pep chromosome:ASM357664v1:9:15242923:15245422:1 gene:itb09g19110 transcript:itb09g19110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCIFFHRVLRQTGPSTVEPCTSITDERHLSKINRAFMDKKNPGKEVCDEDLTRESLIAISYLAPEKEPASGYKPEDLTSDPIAKTKNAEGDDIYRSKLISISYQQSPDPKDQPVSPGEING >itb05g12510.t1 pep chromosome:ASM357664v1:5:19091334:19096999:1 gene:itb05g12510 transcript:itb05g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIALKSLFSVLGCVMIATLIYTIIADGHPFRIQLLTPWLTATLIDFYFNIVAIGAWVIYKESNWISAVLWVVLLICLGRNKPERQNKLCVAVAKVVFIALGCLMLGTLIYTFVTNGSPFRKEVYTPWTIATFIDFYINVVALSVCIKL >itb05g12510.t2 pep chromosome:ASM357664v1:5:19091334:19096999:1 gene:itb05g12510 transcript:itb05g12510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIALKSLFSVLGCVMIATLIYTIIADGHPFRIQLLTPWLTATLIDFYFNIVAIGAWVIYKESNWISAVLWVVLLICLGSITTCGYIVLQFFKLSSKESMQDPVFYVLLRHGKMNKPERQNKLCVAVAKVVFIALGCLMLGTLIYTFVTNGSPFRKEVYTPWTIATFIDFYINVVALSVCIKL >itb12g25460.t1 pep chromosome:ASM357664v1:12:26707242:26712386:-1 gene:itb12g25460 transcript:itb12g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSTMMRLSMESERSVKVIVVEDDYDSDPTCVHMLRKLNFQVEVVKHPKDAFDTLVRTRGEFDVVISDVNMPDINRFQQMIAQQFGLPVLLICDEKQEGTFGQKMQNFLRKPVSAYELKDLWQIITAHQKNCKLSIDKNAVPNKILRVMNEPGLTREHVSSHLQKYRKFKISGSKRMNKLARNDKVYHTQQSQQDVSSSYSSHHASSKGCHLGLSSFEQDEQMQNLTVSFFAKNENENLRVEGGKQQSLVSPVLENNIIYQETTVQMQIANNYAYDDNTARQDNSYGIGYHWIDFANDDFYNQLTINQCLPNQVRYY >itb15g05380.t1 pep chromosome:ASM357664v1:15:3454587:3460096:1 gene:itb15g05380 transcript:itb15g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLRQRFLNGSEEEKPEENLKVKVYDESKKIWRVALPGILSRVASFGSIVVTQSFVGHISELDLAGYALVQTLTVRFVNGILIGMSSATETLCGQAYGAGQYHMMGVYLQRSWIVDFITLTVLLPFFIFATPIFRLLGEESSIATSAGYVSYWFIPFIYNFVFSLTIQMFLQAQQKNRIIAWLSVMQFIIHIPLSWLLVYKLDYGVPGAMIALSISSWLVVIGEFIYILGGWCPETWKGFSMAAFKDIFPVVKLSISSGIMVCLELWYNAVLVLLAGYMKNAEVAISAFSICLNINGWEFMISLGFLGAACVRIANELGRGDAKAARFSIKVLVSTSLIIGVVFFVLCLVFGSKIGYLFTNEQEVADSVADLSLLLSFSVLLNSIYPVLSGVAVGAGLQSTVAIINLCCFYLIGIPIGALLGYVAHLQVKGIWIGMICGVVTQSAALCYMTWRTDWDEEVFKAQQRLKRWYMKSSEVTHQNPS >itb05g00550.t1 pep chromosome:ASM357664v1:5:458552:460402:-1 gene:itb05g00550 transcript:itb05g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRDVKVLGLVLLLVGCGGGVAAAKDDLKDKCGKESTKVTDCLMYAIGTADAPSKQCCDSVSSIKETDPVCLCYVIQQVHKGDSSVTGLGIKEDRLLKLPSACKLANASTTNCPKLLNLPAGSPDAAIFTNATTSHATSTTPGASPGASSTSQPTTAGGDSAGFKHAPQLAGVPLLAAAVAVVLWAFPSVV >itb04g31800.t1 pep chromosome:ASM357664v1:4:34515118:34516058:-1 gene:itb04g31800 transcript:itb04g31800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHKKIGKFKKIFTIMSHNADCGCANPNPNQVSVPKPISAAQKPSPPPIRRPSSSTCQSNYVDDEEDYSSSTVGSTSSHFSRSPNDDEDGKIGPHFRGSVAVVKESDDPYLDFRQSMIQMMAARDLWSEEGLEELLKCFLRLNSPLHHQIIIQAFTEIRHGGAGISNRQR >itb01g28790.t1 pep chromosome:ASM357664v1:1:33148557:33152183:-1 gene:itb01g28790 transcript:itb01g28790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSDCCLLLPRTCAEKPQLFSFSRGRITYSPPLPTKISASLSFTQPRGFGFAIEGDRKRSGFAQTSAVRQLTGSLTSAEGLRFAIVVARFNEIITKPLLEGALDTFKRYSVREEDVDVVWVPGSFEIGVVAEKLGKSRKYQAILCIGAVVRGDTTHYDAVANSAASGVLSAGLNSGVPCIFGVLTCDDMEQAINRAGGKSGNKGAEAALTAIEMASLFEHHLKTLQ >itb08g16370.t1 pep chromosome:ASM357664v1:8:18532365:18533042:1 gene:itb08g16370 transcript:itb08g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVAFCWEKGKAGFGLLAINNSGKLVVYRSGDSKWTVINDSARSKACYDDVIAKGERFYAVDRCGRAVVLNLDCESGPGLKAVAHSSGFGGHQKYLVESGGDLLMIDKYLIFNSSIMETTLKLKVYKLDEHGEKWVELASLGNRIVFLGDKCAFSVSVSVSELNSECKGNCVVLFEQLYEEEDDEEVNMEGIGVVDLENDGFWPVSSYTGYSELFCPSPDFFLL >itb06g26020.t1 pep chromosome:ASM357664v1:6:27188780:27189354:1 gene:itb06g26020 transcript:itb06g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIEARRWSSCSQSPESPDEEERVETSPEVPSLASAVLPLRNANNRRLPFQPAPPSSSPEEPAPRYSSCSPESVGWGGSEWGIQNHCRLRISPCHNADATVARRRSPW >itb01g26330.t1 pep chromosome:ASM357664v1:1:31528900:31530411:1 gene:itb01g26330 transcript:itb01g26330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVAEVLHMNGGNGHTSYAKNSLLQRNVIHMTKPITQQAMTSLYTSLDNPKTISIADLGCSSGPNTFLAISNLVKAVDDHRKKLRRPHSPEFQIYLNDLPTNDFNTIFQSLPKHEEDLRREIGEGCRLCYFNGVPGSFYGRLFPTNSLHFVHSSNSLNWLSQVPKGIKENKGNIICVATASPPNVIKAYYDQFESDFSTFIKCRSKELVNGGRMVLTMQGIKSENTTFYIWELLALSINDLVLEGLVEEEKLNSFNFPLYLPTLAEIKFLVEKDGSFTIDRLEASQIHWTGIGIDDNDDNTTISDEDINGAGNNVAMFIRAGLEPVLVSHFGEGIIDELFHRYSKKIAHSMSTYPEKAKFTSVTVSMTKI >itb06g16370.t1 pep chromosome:ASM357664v1:6:20525799:20529623:-1 gene:itb06g16370 transcript:itb06g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP90D1 [Source:Projected from Arabidopsis thaliana (AT3G13730) UniProtKB/TrEMBL;Acc:A0A178VDZ8] MDITLWTSIAALFFLSLLLFFKKKLFTSSKNKTRNLHLPLGNLGWPFLGETLDFISCAYSDRPESFMDKRSLMYGKVFKSHIFGSPTIVSTDAEVSRSILHSDSKTFVPSYPKSLTELMGKSSILLINGSLQRRIHGLIGAFFKSPHVKAQITTHMRTFVCHSMSTWRQDRPIYIQDEAKHAKKEMVKLIHGIIEARREKKTSNVITNDVAEVLLNDNSEELTDELISDNMIDLMIPGEDSVPVLITLAIKYLSDCPAALQQLTDENLRLKRLKEKQGEPLSWSDYSSLLFTQNVISETLRMGNIIIGVMRKAVKDVEIKGYLIPKGWCALAYFRSVHLDDTLYDFPYQFNPWRWQKKDIVNSCSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWKAEEDSIINFPTVRMKRKMPVYVKRRKDF >itb07g22370.t1 pep chromosome:ASM357664v1:7:26839765:26844768:1 gene:itb07g22370 transcript:itb07g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSVNLSKKCSSAALLPIGEPRKQQVSELTVGLDEADVLIRKMDLEARSLQPSLKAALLAKLREYKSDLNKLKKEVKKLTSSGSDQAAHDALLEGEMADAHAASNQRGRLAMSTERLNDSSERIKESRRVALETEDLGISILEDLHQQRQTLLHSHNKLHGVDDAIDKSKKVLTSMSRRISRNKMIVGGIIAALVLAIIIIQVGPTWNQRKKNIYRDGVNGKISSNFLLRPSNPLRSSIPIRFLLRIELREAIVVSYIGSECGVLRKAIVVSEIRIMDSLSSVSPSFVLPPGKPLRHHHHRRRISVSSKVKLARQLRLSFSSGIPRCSFGRTSESLDRRRTPETYCKLGGCGGEGGDHDEEEGRRREEEVESALRMDGTIPGTPNEFVKQVSSRAYDMRRHLQQSFDSSSYDVLEANPWRETSKPVYVLTHRENQLCTMKTRRNQSEVERELGLLFSKGGKWRNQAKQTGTGTKFQMVVEDVREGVLVIFMFFFPSFALHHS >itb05g21150.t1 pep chromosome:ASM357664v1:5:27068537:27069649:-1 gene:itb05g21150 transcript:itb05g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLLTSLLLFPLAIAANSGPLPAFKRIYQFGDSLSDTGNLLRWGKMFYPADRLPYGETYFRKATGRFSDGRLILDHIAAALKLPFIDAYLNTNASFAHGVNFAVAGATANDLDFFTKRNVTMPTFKPPISQQLKWFEAHVNFTCRRARRRSASCSGKFGTNSLFIFGEYGGNDYFPAFQQGKSIDEVKSYVPYTVAAIVRGIKRIVKLGAKRIIVPGPLPFGCMPSQLSAFSGVADPTDYDEFGCLKPYNSFISYHNRYLRALVSSLHREFSGKGVVIVYADYYGGFMDILRNVTQLGFDKGGLLKACCGIGGEYKFDHDRPCGTDGVGSCSEPGRAVHWDGVHLTDAAYHHISQFVIDQVFSKLAF >itb15g20150.t1 pep chromosome:ASM357664v1:15:22663957:22666198:-1 gene:itb15g20150 transcript:itb15g20150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKISWKSVIPCCYKPDEEELLGGSKKQPRKQSSFQRLSLSDFDDPTSPLSADDLSNSFIGAKLHKFTFTELREATHSFSSLNLLGEGGFGPVYKGFIDDKLRQGLKAQPVAVKVLDTEGLQGHREWLTEIIFLGQLRHSHLVKLIGYCWEDEYRLLVYEYMARGSLENQLFGKCSIALSWGTRMKIAVGAAKGLAFLHEGDKPVIYRDFKASNILIDSDYTAKLSDFGLAKDGPEGDDTHVTTRIMGTHGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSLDKARPSGRQNLVEWARPLLRDPKKLSRFIDRKLEGHYCTTGAQTAALVAYKCLSHSPRPRPIMADVVKILEPLQDFKDSSSQQAQTPDLINGCDSNGEGKSNRKRRIRLRRVMASYSDTVLYKKHGNGKHYLKHCSEE >itb02g15950.t1 pep chromosome:ASM357664v1:2:11650188:11651988:-1 gene:itb02g15950 transcript:itb02g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDFRKNLWGYINLRVIRSAWHYKRPEHLFAAVLLFCVHCDNILREHAIYTERNLKGANLHHKAFHLDSSTLVYHHFTKIASGQIIKFTGPSPHRPRVVAGCLAAFCLRWLLEHIDSVN >itb04g22670.t1 pep chromosome:ASM357664v1:4:27902039:27902801:-1 gene:itb04g22670 transcript:itb04g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDFRLKGTSCLVTVYGLCPLFSLIKLILSKKKKLTPCLERSRRPCLEWSRRPAWNGADGAGGPAWNGAGGLPGTEPEPCLERSRMPAWNGAGGPAWNTEPEALPRTRSLTGPAWNGVGGPAWNGAGGPAWNGDGALPRTEPVPCLERRSRSPVWNGAGALPGTEPIWNGAGALPGTEPRP >itb09g13260.t1 pep chromosome:ASM357664v1:9:8609075:8614784:1 gene:itb09g13260 transcript:itb09g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDMEAQIHNLEQEAYGAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRDLLTKVNADDLIQRIREWRKVGGNQPVHDQLASPTISGSRKRQRTSQPLHLPFGASSESLHHQSIAPSTQPSTPAAKWGPTSGSGGINHKPLAGHGSSSTIRSRDPLVGRKVMTRWPEDTNFYEAVISDYNPVDGRHALVYDVNTPNETWEWVDLKEIPPDDIRWIGDDPGISRGGGGGGGGGGGGSGQVSAFSIQRGGSFSRDQCRKEVRAVQNGVMKKAASDEIEILHTETLIKKVEKVIDASPPNLIEIQKAKKMLKDHEKSLMDVIAKLADACDRGNDGQQILHRNSQYNHLRVDIDPETRGCGSSQEMARVQGISDQQDEEIVVI >itb09g13260.t2 pep chromosome:ASM357664v1:9:8609075:8614784:1 gene:itb09g13260 transcript:itb09g13260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEVIDSSGTDDDLPQSQYNRAPTRSIVGNGRSNVGSAIYQRMHSDMEAQIHNLEQEAYGAVLRAFKAQSDALTWEKEGLITELRKELRVSDDEHRDLLTKVNADDLIQRIREWRKVGGNQPVHDQLASPTISGSRKRQRTSQPLHLPFGASSESLHHQSIAPSTQPSTPAAKWGPTSGSGGINHKPLAGHGSSSTIRSRDPLVGRKVMTRWPEDTNFYEAVISDYNPVDGRHALVYDVNTPNETWEWVDLKEIPPDDIRWIGDDPGISRGGGGGGGGGGGGSGQVSAFSIQRGGSFSRDQCRKEVRAVQNGVMKKAASDEIEILHTETLIKKVEKVIDASPPNLIEIQKAKKMLKDHEKSLMDVIAKLADACDRGNDGQQILHRNSQYNHLRVDIDPETRGCGSSQEMARVQGISDQQDEEIVVI >itb08g12760.t1 pep chromosome:ASM357664v1:8:13176869:13181649:-1 gene:itb08g12760 transcript:itb08g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIIYFIIFHLFTLHLQFCGVVSLNSDGLSLLSLKSAVDGGGRAFSDWNENDDTPCRWTGIACTNVSGSAEPRVVGIALSGKNLRGYIPSELGTLVYLRRLNLHGNNLYGSIPEPLFNGTSLHSIFLYDNNISGPLPASICNPPRLQNLDVSNNSLSGSLLKNLRNCRQLRRLILAKNKFSGEIPAGVFPELSNLEQLDLSSNSFNGSIPGDIGELKSLSGTLNLSFNHFNGKIPKSLGDLPLTVSFDLRNNSLSGEIPQTGSFANQGPTAFLDNPMLCGFPLRKSCKNDSVNVSPRNQGLPQGNSRTNNPQEGLKPGFIILICVANAAVVAFIGLVLVYFYWKKKDSGGCSCAGKGKLGGNEKRKSCGIIPCAGEFQNNDSEVESEKGTPSPRGSGGEGDLVAIDKGFDFELDELLRASAYVLGKSGLGIVYKVVVGNGMPVAVRRLGEGGEQRYKEFVSEVQAIGRVKHPNIVRLRAYYWAPDEKLLITDFISNGSLASALHGRNGEPLPSLTWSARLKIARGTARGLAYLHECSPRKFIHGDIKPSNILLDHDLQPYISDFGLNRLINITGNNPPSSGGLIGGALPYFKSVQAEGTNKYRAPEAWIPGSRPTQKWDVYSFGVVLLELLTGKSPEFSPPTTSTSTEVLDMVGWVRRGFEEEKALSDLVDPLLLQEVHAKKEVLAVFHVALACTERDPEVRPKMKTVSENLERA >itb01g12800.t1 pep chromosome:ASM357664v1:1:12486557:12489873:1 gene:itb01g12800 transcript:itb01g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLLRLRLAVTLSLSLVSVVSSVMTDPNDLAILGEFRKGLENPELLKWPADGGDPCGSPAWPHLVCSEGRVEQINVMKLGLRGPLPHNLNQLSRLTHLSLQNNQFNGKLPSLSGLSQLQYAYLDYNSFDTIPSDFFKGLVNLEVLALDGNPLNSTTGWSLPNDLQDSAQLINLTLMNCNLAGPLPEFLGNMSSLEVLLLSMNRLSGAIPGSFKDSMLKMLWLNDQSGEKMSGPIDVVATMTSLTSLWLHGNSFSGKIPEGIGNLTYLQDLNLNTNNLVGLIPDSLVDMPLDHLDLNNNHFMGPLPKFKAKNVTCESNPFCQTQPGASCAPEVVSLLEFLDQVNYPSKLVESWSGNDPCAGPWWGLDCDANRKVIVINLPKSNLSGALSPSIANLDSLTHIYLGSNNLYGPIPQSWAGLKSLEVLDLSNNNLSPPLPHFNLPSMKLLLDGNSLFNSNSNPSGKNNTSSGGSSVPTKGSSSSAVERKSSTKSRIAVVVAPVASFALLVFLAVPMSIYACKKRNNDRNLQAPPASLVIHPRDPSDSDRVVKIAVASNTNGSVSSLTGSGSASVHSGESHLVEAGNLVISVQVLRNVTKNFAPENELGRGGFGVVYKGELEDGTTIAVKRMECGVISSKALDEFQSEIAVLSKVRHRHLVSLLGYSVEGNEKILVYEYMPQGALSKHLFHWKSSKLEPLSWKRRLNIALDVARGMEYLHTLAHQSFIHRDLKSSNILLGDDFRAKISDFGLVKLAPDGGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELMTGMMAIDEDRPEESQYLVTWFWNVKSSKERLMAAIDPTLDAKEDALDSILTIAELAGHCTAREPSQRPDMGHAVNVLAPLVEKWRPIDDDPEEYCGIDYSLPLNQMVKGWQESEGKDTSYMDLGDSKGSIPARPTGFAESFTSADGR >itb03g18980.t1 pep chromosome:ASM357664v1:3:17124407:17128033:-1 gene:itb03g18980 transcript:itb03g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIFIFQFFHAAMQNLTRKWRQPRSNSFFDEEDQFSLPTRDDSRPIDSHEQEELVRTLERAQAQQSLLWRSIFAGLLFCYVGFLIFSIYQQAYFPWELRYHAYFMYEVDPQSIIAADWVAIMVCLMTIKGMLHHSKYQRRWLLFSCCPGLLLAGFWLHHMLRLARFRWDILWLPFGPLSGAGICLYVNHLLNDSSEEVRKLRSYMYSYKAS >itb03g18980.t2 pep chromosome:ASM357664v1:3:17125120:17128023:-1 gene:itb03g18980 transcript:itb03g18980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIFIFQFFHAAMQNLTRKWRQPRSNSFFDEEDQFSLPTRDDSRPIDSHEQEELVRTLERAQAQQSLLWRSIFAGLLFCYVGFLIFSIYQQAYFPWELRYHAYFMYEVDPQSIIAADWVAIMVCLMTIKGMLHHSKYQRRWLLFSCCPGLLLAGFWLHHMLRLARFRWDILWLPFGPLR >itb11g16580.t2 pep chromosome:ASM357664v1:11:14886849:14900067:1 gene:itb11g16580 transcript:itb11g16580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDVVISSDDSSEDSELRAIDEYKDESPTGDSASSDFRTLPAWASGHSRPSAKNLSPKRTAIANGSSVNFNGVNASSSVHPSYGDRFGASSSRASADDRNDHDKNSSQQTLKRMLPGSFYSSGPFSRPSLVENVGSSQIRQTQEKSYQSAWSTPKDAGAIHMKDHSSRGIGALYTGVNDPLHHPGMGDERAAGTDERLIYQAALQDLNQPKVEATLPDGLLSVSLLRHQRIALAWMLQKETSSVHCLGGILADDQGLGKTVSMIAIIQTQRSSQEKAKSKNVGVMKAQALNLDDDDENTGTTSQEPKQSAEPDDFQVIPEASTMKGFRRKRPAAGTLVVCPASVLRQWARELDEKVTNEAKLNVLIYHGGNRPRDPVELAKYDVVITTYAIVTNEVPKQPVVEDDDDEQKNGERYGLSSQFSNYKKQKNTYVKKKGKKGRNGIDTDDFDPGCGTLARVSWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNSIDELFSYFRFLRYDPYADYKTFCSGVKYPIAMNSIQGYKKLQAILRAIMLRRTKGTLIEGQPIINLPPKTIHLKKVAFSAEERDFYNRLEAASRSQFKAYAAAGTVRQNYANILLMLLRLRQACDHPQLVKGQSSDSVKRTSTEEVKKLPKETLKSLLKLLETSPLCQVCRDPPEYAVVTLCRHVFCYQCVSEYLSGEDNTCPAHGCKKQLHPDIVFSEAVLKRCTSDNPDNDPLNLSVYDDKSIMGKKYCSSKIRACLEILNSFCKSEDSSSDSDILVQSNGETSSIENKNSIPHTEGPIKAIVFSQWTGMLDLVERSLNQSGIPYERLDGTMTLGARDRAVKEFNTNPKVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTIQDTVEDRILALQEDKRTMVASAFGEDHAGGTASRLTVEDLRYLFRL >itb11g16580.t1 pep chromosome:ASM357664v1:11:14886849:14900209:1 gene:itb11g16580 transcript:itb11g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDVVISSDDSSEDSELRAIDEYKDESPTGDSASSDFRTLPAWASGHSRPSAKNLSPKRTAIANGSSVNFNGVNASSSVHPSYGDRFGASSSRASADDRNDHDKNSSQQTLKRMLPGSFYSSGPFSRPSLVENVGSSQIRQTQEKSYQSAWSTPKDAGAIHMKDHSSRGIGALYTGVNDPLHHPGMGDERAAGTDERLIYQAALQDLNQPKVEATLPDGLLSVSLLRHQRIALAWMLQKETSSVHCLGGILADDQGLGKTVSMIAIIQTQRSSQEKAKSKNVGVMKAQALNLDDDDENTGTTSQEPKQSAEPDDFQVIPEASTMKGFRRKRPAAGTLVVCPASVLRQWARELDEKVTNEAKLNVLIYHGGNRPRDPVELAKYDVVITTYAIVTNEVPKQPVVEDDDDEQKNGERYGLSSQFSNYKKQKNTYVKKKGKKGRNGIDTDDFDPGCGTLARVSWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNSIDELFSYFRFLRYDPYADYKTFCSGVKYPIAMNSIQGYKKLQAILRAIMLRRTKGTLIEGQPIINLPPKTIHLKKVAFSAEERDFYNRLEAASRSQFKAYAAAGTVRQNYANILLMLLRLRQACDHPQLVKGQSSDSVKRTSTEEVKKLPKETLKSLLKLLETSPLCQVCRDPPEYAVVTLCRHVFCYQCVSEYLSGEDNTCPAHGCKKQLHPDIVFSEAVLKRCTSDNPDNDPLNLSVYDDKSIMGKKYCSSKIRACLEILNSFCKSEDSSSDSDILVQSNGETSSIENKNSIPHTEGPIKAIVFSQWTGMLDLVERSLNQSGIPYERLDGTMTLGARDRAVKEFNTNPKVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTIQDTVEDRILALQEDKRTMVASAFGEDHAGGTASRLTVEDLRYLFRL >itb09g14410.t1 pep chromosome:ASM357664v1:9:9696340:9699118:-1 gene:itb09g14410 transcript:itb09g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKQDFYLDSIESVHKSIELQPIIALKNYIVSASPEEHSIPISDVSKKGLRFGVRTKVARFLRQYPSVFEEFTGPKHNLPWFRLTQRAIQLDKEEREVYRAFKDDLLLRLKKLVLMSCVSFGDKKVLPLKIIQGMQWYLGFPDDFLTDPENNLPKSFEIVEMGDGLKGLALNNEGNEKVLSVMQRNAMKRGVYDGGVNETIAFPTFPSKGLRLRRKIIDWYDEFQKLPYVSPYENCSAIRPDSETAEKRVVGLLHELLSLFVEHAAERKKLLCLRKYLGLPQKVHKAFERHPHSFYLSLKNKTCTAILKEAYCDKGAIEVHPLAKVRKKYINLVKESAFILKSRRFNNRPRDQGNMNVKDLDCTEDVNEKTGIEAA >itb14g06810.t1 pep chromosome:ASM357664v1:14:6056378:6059560:1 gene:itb14g06810 transcript:itb14g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEFHDWEVLQLSPGSDSGNQFKFGEFGADSDGVIRNDYFSVDDEVRYLKTVAAADVVGAGSGASDNPNWIDPALSREGSDEFCPELEVDRPEIRMLEEFEGKGEIGFLLDKEKIEGGFGGINETGNNFEEFKSGWGGNVYGGAKFEEFEDKDKELVGEKEENLCYGSEVFADKEHETLPTGGETLNKLVEVEVEVKNSIEEFNSGWGGNGYGYGGAKLDEFEDKELVVEKEENLCEGSEVFTEKEHEIMPTGGESETMNKIVEVEVKKAEVEKKSVVWWKAPFELLKYCMFRFKPVWTFSMAAALIGFAILGRRIYNVKKKMRSLELKVTMDDKKVSQFMSRAARLNEAFSVVKRVPVIRPQLPATGVAPWPVMTKLALQEA >itb09g12970.t1 pep chromosome:ASM357664v1:9:8267089:8271784:1 gene:itb09g12970 transcript:itb09g12970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANGSGDFSSKMELDAFRHLFPMQFHDSHHAKSIRPDAREIREARYTTVVLGSVASADGSALVKIGSTTMLAAIKMEIMTPTAESPDEGSIAVEFHMPPICSPVVRPGRPADTAPVISKQLLETITSSGMIDLKELCLFSGKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLRIPIVSLNDDGRVVLAPKNKDKNDSEELKGPANKEEKRKLKLKNVPFSLTCVLHKSYIIADPTAEEESLMETVVTVVLDSSYQLLSLYKPGGPAVANTSAIQGCVTLAWQRINELKKILHEAISDMEVD >itb04g29590.t2 pep chromosome:ASM357664v1:4:32919479:32922039:-1 gene:itb04g29590 transcript:itb04g29590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHILVPIVALLVVSVSALNSVNIDCGSSSSSYKDENGISWSGDDDIFMQNGGSQAVQATNSINSPVLNSLRFFTSGKKNCYNVEVVEDSQYLIRASFYYGNYDNKASPPTFDLLLDENLWAAIVFEKDEPVHEEVIYVTKWPIVSVCVAQTKPAQFPFMSALQVSNLDSSMYAGFSSPSFLQLATRAAFGSNVTIRYPDDDYDRIWGTNGGNMILENNAPSIDVSIADNPPEAVLRNALTTSKTSIETAIPALPFPLYINMYFSEVAELNSTQQRSFVMYLGKEPVSDTISPPYGGVREESFNFTVTSDTVLSLSATSDSTLPPLVNAMEIFLVRQQTQDSTNINDWTALVALQKTFAVLEEGSDPCLPAPFSWDWVNCSTDAKPRITTLHLAGYGLSGELPEDLGTMDALESIDLGNNSLRGPIPEFLSKLRNLKYLNLADNEFSGPIPASLSTNKNLQLMYVIAFILVEII >itb04g29590.t1 pep chromosome:ASM357664v1:4:32918959:32922039:-1 gene:itb04g29590 transcript:itb04g29590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHILVPIVALLVVSVSALNSVNIDCGSSSSSYKDENGISWSGDDDIFMQNGGSQAVQATNSINSPVLNSLRFFTSGKKNCYNVEVVEDSQYLIRASFYYGNYDNKASPPTFDLLLDENLWAAIVFEKDEPVHEEVIYVTKWPIVSVCVAQTKPAQFPFMSALQVSNLDSSMYAGFSSPSFLQLATRAAFGSNVTIRYPDDDYDRIWGTNGGNMILENNAPSIDVSIADNPPEAVLRNALTTSKTSIETAIPALPFPLYINMYFSEVAELNSTQQRSFVMYLGKEPVSDTISPPYGGVREESFNFTVTSDTVLSLSATSDSTLPPLVNAMEIFLVRQQTQDSTNINDWTALVALQKTFAVLEEGSDPCLPAPFSWDWVNCSTDAKPRITTLHLAGYGLSGELPEDLGTMDALESIDLGNNSLRGPIPEFLSKLRNLKYLNLADNEFSGPIPASLSTNKNLQLIVTGNPDTCATGKSCETKDIPASPGSPPPPKSSIRKKKKGGNKLGLILGITIPVGLIIWGLVLTVVILHLRRKRAPVSMAAPITELANQQTSQKA >itb05g12210.t1 pep chromosome:ASM357664v1:5:18706864:18715702:-1 gene:itb05g12210 transcript:itb05g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQHCKYRGPTRLGSSKYMYPVIGMGDMMSNTPDENPEFYNWHRVHVAYCDGSSFTSDVDGVDPLSPPISRRFPNCPSPITVAAKQSSQPQPRSPPAEAVAKCFDEIVALHSPWKLFSVNGSVLFCCAALPSFLLGTQDGTEVQFKSVTLQKYISVENGGGSGVTVDKDTPLSWETFRLWRVSESIFQFRSTNGQFLSCNDNGGNVTATVQSPSDSETFYVERNYNNRVHIKLKNGGYLQVNFLCVYP >itb02g18070.t1 pep chromosome:ASM357664v1:2:14431419:14432329:-1 gene:itb02g18070 transcript:itb02g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHVYGGSEECHSNESGWTMYIGSPTTDDYEEDNLNSNNVNGDSYEEEEENSDDSMASDASSGPSHWWVNGKIHSKNDKDSIVMMRGKNGNSDPKYNNPSSSLKMKKKKNGGGEKEEESSVDSPKGAQKAAAKSHKERRSCGFWKGKTGK >itb06g05300.t1 pep chromosome:ASM357664v1:6:8056864:8063186:1 gene:itb06g05300 transcript:itb06g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYIADEDYDCLFKVVLIGDSGVGKSNLLSRFTRSEFSLTSEKTIGLQFTTRILCVDDTKVKAQIWDTAGQERYRAPASAYYRGAIGALLVYDVTRHVTFESVERWLKEIRDHTDQNIVIMLVGNKADLHHLRVVSTEDAKAFADKEGTYFMETSALASLNVENAFTEVLSQIPHHVACRKAPEVGYYDYDYLFKMVLIGDSGVGKSNLLSRFTRNEFSIEPKLTVGLQFASRMQRVNNQVVKAQIWDTAGREREVVKRATGSHRSKYCHNVGGKQGRLTSLTCCFYRGCKGLC >itb06g05300.t2 pep chromosome:ASM357664v1:6:8056864:8063186:1 gene:itb06g05300 transcript:itb06g05300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYIADEDYDCLFKVVLIGDSGVGKSNLLSRFTRSEFSLTSEKTIGLQFTTRILCVDDTKVKAQIWDTAGQERYRAPASAYYRGAIGALLVYDVTRHVTFESVERWLKEIRDHTDQNIVIMLVGNKADLHHLRVVSTEDAKAFADKEGTYFMETSALASLNVENAFTEVLSQIPHHVACRKAPEVGYYDYDYLFKMVLIGDSGVGKSNLLSRFTRNEFSIEPKLTVGLQFASRMQRVNNQVVKAQIWDTAGRERYRAPASAYYRGAIGALLVYDVTRHITFENVERWLKELRDHTDQNIVIMLVGNKADLHHLRVVSTEDAKAFAEKEGAYFVEASALQSLNVEIAFTDVVTQIHHYVRRKALEVGDDPSALPKGETINVGGKDGVSA >itb01g34150.t1 pep chromosome:ASM357664v1:1:36983810:36987135:1 gene:itb01g34150 transcript:itb01g34150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLQNLDTSVIVVAIAFYIVFHYLSHNNKSRKQKLLPEADGGWPIIGHLYIFSGSKLPHVALGNMADMYGPAFTIRIGVQRVLVVSDWKLAKELSTVHDVHISTRPKFRAAKHLGYNYIMLAFTPYGPYWREVRKLISTELLSNRKLEQLKHIRVSEIDISIKELYKFWAEKKNSEPSGCVQVEMKKWFGDITLNYELFLLYLSYLDFHPLLLWICPYQIASKRYFGTAEVSDEKEGQHCQRVLRGFLHYLGVFVPADTLPFLG >itb01g34150.t2 pep chromosome:ASM357664v1:1:36987163:36988126:1 gene:itb01g34150 transcript:itb01g34150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVAKEMDSLVEEWLQEHHWKKEATGDGSTVRGSKEDFMDVMLSRIEGIDLNGFNADSVIKSTCINLIAGGADTTSVMLTWALSLMMNNSHVLKMAQEELDMVVGRERKVNESDIKNLVYLQAIVKETFRMYPGAPLGGPRMFTEDCTISGFHVPKGTWLFFNLWKLQRDPQVWSSPHEFKPERFINSHKDLDVLGQDFELIPFGAGRRICPGITFSLQMVHLVLANLLHSFELSNVSNEGIDMTETAGITNLKAIPLEILIVPSLSPNLY >itb03g18830.t1 pep chromosome:ASM357664v1:3:17032516:17039074:-1 gene:itb03g18830 transcript:itb03g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSPPEVPMELHAVNREKLLNSLRAHLSSSSRPSQGFVLLEGGKEQTRYCTDHEELFRQESYFAYLFGVKEPGFYGAIDVASGDSVLFAPRLPAAYAVWLGEIKPLSYFKEIYKVNKVFYTDEIVNVLLDLYKGMGNPLLFLLHGLNTDSSNFSKPAEFQGIENFERDLNTLHPILAECRVIKSDLELALIQFANDISSEAHIEVMRKIRVGMKEYQLESMFLHHTYMFGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTFHDGDMALLDMGAEYHFYASDITCSFPVNGKFTSDQSLIYNAVLDAHDAVISTLKPGINWVDMHKLAEKVILESLKKGNLIMGDVENMMAERLGAVFMPHGLGHFLGIDTHDVGGYLKGAERPKELGLSSLRTSRELLEGMVITVEPGCYFIDALLVPAMENTKTSKFFNHEEIRRFRGSGGVRIESDVRITSDGCINMTKCPRKIEDIEAVMAGAPWTIKKTSVGCVNGGI >itb03g18830.t2 pep chromosome:ASM357664v1:3:17032516:17038265:-1 gene:itb03g18830 transcript:itb03g18830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQESYFAYLFGVKEPGFYGAIDVASGDSVLFAPRLPAAYAVWLGEIKPLSYFKEIYKVNKVFYTDEIVNVLLDLYKGMGNPLLFLLHGLNTDSSNFSKPAEFQGIENFERDLNTLHPILAECRVIKSDLELALIQFANDISSEAHIEVMRKIRVGMKEYQLESMFLHHTYMFGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTFHDGDMALLDMGAEYHFYASDITCSFPVNGKFTSDQSLIYNAVLDAHDAVISTLKPGINWVDMHKLAEKVILESLKKGNLIMGDVENMMAERLGAVFMPHGLGHFLGIDTHDVGGYLKGAERPKELGLSSLRTSRELLEGMVITVEPGCYFIDALLVPAMENTKTSKFFNHEEIRRFRGSGGVRIESDVRITSDGCINMTKCPRKIEDIEAVMAGAPWTIKKTSVGCVNGGI >itb07g24300.t1 pep chromosome:ASM357664v1:7:28529145:28532853:-1 gene:itb07g24300 transcript:itb07g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MACSVADSSFLILTPSKRPILGNKIAQLSFPGITSEGLHKIRTKQSRCSISFRKTNVIRAVAVPVAPSPAESAEDRKLLCERYGFRQIGEPLPDNVTLKDIIDTLPKKVFEIDNFKAWKTVLISVTSYSLGLYMIAKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLVEDIVGTLAMMPLIYPYEPWRFKHDRHHAKTNMLEEDTAWHPVWKEEFDSAPLLRKALIFAMGPIRPWMSIAHWLVWHFNLNKFRPSEVNRVKISLACVFAFMAIGWPLIIYKTGIIGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKYSNEWNAAQAQLNGTVHCDYPRWVEILCHDINVHVPHHVSPRIPSYNLRDAHKSIQENWGRYLNEATWNWRLMKTIMTVCHVYDKERNYIPFDELAPTESQPITFLKKVMPEYA >itb01g23120.t1 pep chromosome:ASM357664v1:1:29185960:29188094:1 gene:itb01g23120 transcript:itb01g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDIIDIIVYVCLQFNSHLHLQIPITCLHSYKGVVVVTSTFPNRPTQPFHFRIQFPKSNLLRIPLYSSVYIPPHYPIFLTPYKKFPPTKHFSHAMTDPNAVNITAGAGAGAGGTIPVIQIRQPRRLPDFLLSVNLKYVKLGYHYLMTHLLTLCLVPLMAVTLVQAAQMDYQDLHNMYRNLLYNLVSIVVFSAILVFGFTLYIMTRPRSVFLVDYSCYRPPVELQVPYKKFMDHSRLTGDFDDSSLDFQRKILERSGLGEETYLPEAMHNVPPTINMASARAEAEQVMFGCLDNLFQNTKINPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNVRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAILLSNKSADKRRAKYKLVHVVRTHKGADNTAFRCVYQEQDVTGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVTRKLLKNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPVHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRIRKGNRVWQIAFGSGFKCNSAVWKALRNVKPSPNSPWEDCVHRYPVELPN >itb11g08890.t1 pep chromosome:ASM357664v1:11:5830113:5833337:1 gene:itb11g08890 transcript:itb11g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGNDAVAYDSAEQIILRWDSTASEDAREKMIFGGDRQEIDLYLSAVDEIQRSMESASLSDDQSKANSAIQIAMARLEDEFRSILIAHTSPIEAESLLESSSSSSRVGYSPRTSSSEMSLGEQEFKSFEFEEESSLTKELELEESRRSSSSYRSMSSFREIDLMPLDAIHDLRSIAVRMISAGYLRECVQVYGSVRKSAVDASFRKLGIEKLSIGDIQRLEWEALETKIRRWIRAAKLCVRILFASEKKLCEQIFEGLGTATDDAYFTETIKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALSDLLPDIEIVFESKSSESIRVQATEILSRLGEAVRGILSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLVELIVSNPSTGSRYSSDPNTPDMDFTEAEGQTPLALHLIWIIVILQFNLDAKSKHYKDTSLAHLFMMNNVHYIVQKIKGSPELREMIGDDYLRKLTGKFRQAATNYQRSTWVRVLYCLRDEGLHVGSFSSGVSKTALRERFKTFNAMFEEVHRTQSTWLIPDTQLREELRISMSERLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLENAVLDFFEGNPVSQHLRRAPH >itb12g10200.t3 pep chromosome:ASM357664v1:12:8253042:8256921:-1 gene:itb12g10200 transcript:itb12g10200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQCRKLSGSSTANSERALKEKKWDALVIGGGHNGLTAAAYLARSGLSVAVLERRHIIGGAAVTEELVPGFKFSRCSYLQSLLRPCVIKELELKRHGLKLLKRNPSSFTPCRDGRYLMLGRDKQHNYSEISKFSERDAKAFTRYENQLDKFCKFLDPLLDSSPPETLQGNSSFNARLHNKLEKSAFWSHCLRSALTLGQNDLLEFMDLLLSPASKVLNNWFETDVLKSTLGTDAVIGTTASVHTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSLAIANAAREAGAIVVTSAEVSELIIEDGGRASGVLLADGTPVYSSVVLSNATPYKTFMDLVPKDVLPDDFLRAIKLSDYSSVSSHLFIFCLE >itb12g10200.t1 pep chromosome:ASM357664v1:12:8249346:8256921:-1 gene:itb12g10200 transcript:itb12g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQCRKLSGSSTANSERALKEKKWDALVIGGGHNGLTAAAYLARSGLSVAVLERRHIIGGAAVTEELVPGFKFSRCSYLQSLLRPCVIKELELKRHGLKLLKRNPSSFTPCRDGRYLMLGRDKQHNYSEISKFSERDAKAFTRYENQLDKFCKFLDPLLDSSPPETLQGNSSFNARLHNKLEKSAFWSHCLRSALTLGQNDLLEFMDLLLSPASKVLNNWFETDVLKSTLGTDAVIGTTASVHTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSLAIANAAREAGAIVVTSAEVSELIIEDGGRASGVLLADGTPVYSSVVLSNATPYKTFMDLVPKDVLPDDFLRAIKLSDYSSATTKINLAIDRLPQFKSCNLSHPDAGPQHTGSIHIGSECFEDMAAAAQDAENGVPSRRPIIEMTIPSVLDKTISPPGKHVVGLFIQYTPYKPCDGSWEDPTYREAFAQRCFSLIDEYAPGFSSSVIGYDMLTPPDLEREIGLTGGNIFHGSMGLDSLFLMRPVKGWSNYRTPLQGLYLCGSGAHPGGGVMGAPGRNAAHVVIQDIKKQ >itb12g10200.t2 pep chromosome:ASM357664v1:12:8251342:8256921:-1 gene:itb12g10200 transcript:itb12g10200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQCRKLSGSSTANSERALKEKKWDALVIGGGHNGLTAAAYLARSGLSVAVLERRHIIGGAAVTEELVPGFKFSRCSYLQSLLRPCVIKELELKRHGLKLLKRNPSSFTPCRDGRYLMLGRDKQHNYSEISKFSERDAKAFTRYENQLDKFCKFLDPLLDSSPPETLQGNSSFNARLHNKLEKSAFWSHCLRSALTLGQNDLLEFMDLLLSPASKVLNNWFETDVLKSTLGTDAVIGTTASVHTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSLAIANAAREAGAIVVTSAEVSELIIEDGGRASGVLLADGTPVYSSVVLSNATPYKTFMDLVPKDVLPDDFLRAIKLSDYSSATTKINLAIDRLPQFKSCNLSHPDAGPQHTGSIHIGSECFEDMAAAAQDAENGVPSRRPIIEMTIPSVLDKTISPPGDFSCFLQ >itb10g04910.t1 pep chromosome:ASM357664v1:10:4859194:4863399:1 gene:itb10g04910 transcript:itb10g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCWWAEDPNCDEFKYHLARIPDYLWVAEDGMTVNSLGSQNWDSTFATQAIIASGMVEEYGNCLKKAHFYIKESQLKENPKGDSKSMYRGLTKGSWAFSDQDQSWGEKADVQRLYEAVDFLLYLQSPDSGGFSIWEPPVPQPYMELLNPSEFFADVVVEREHIEPTGTIISAFAVFRCQYPNYRPIEIDMSIAKAVQYLENQQQPDGSWYGYWGICFVYGTCFALLGLAAAGKNYENSEAIRKAVHFYLSKQNQEGGWGECLESCPSMKYIPLEGNRTNFVQTSWAMLGLMYSGQAERDPTPLHKAAKLLINAQMEDGDFPQQDIAGAFMKNCMLHYPLYRSYFPLWALAEYRKHLWTSKSH >itb04g01350.t1 pep chromosome:ASM357664v1:4:767332:770297:1 gene:itb04g01350 transcript:itb04g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGVGVVDECKLKFLELKSKRNYRYIVFKIDEASQAVVVEKLGSHEETHVQFANSMPPNECRYAVYDYDFTTDENCQKSKIFFVAWAPETAPIRSKMVYASSKDRFRRELDGVQVELQATDASEMSLDTFKGRAY >itb12g04950.t3 pep chromosome:ASM357664v1:12:3287941:3295372:1 gene:itb12g04950 transcript:itb12g04950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILYFPQENQHHRHLKPLSFKGLILPDTILGSIATPLSFKGLILPDTILGSIATGEYNSIPTLCSLRTAGRQLKGGETPIRSLRPPSARVLPRQPRLDLPVRQEGS >itb12g04950.t1 pep chromosome:ASM357664v1:12:3283055:3285793:1 gene:itb12g04950 transcript:itb12g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPSIHQSSPGGSTHRNRSCYPKPVAKHRRSMSDHSQQDVSSDEDAAPSSDDGLGGCDFSDGIPDECLALIFQSLSSGDRKSCSLVCRRWLLVEGQSRHRLSLNAKSDVLPHVPAIFSRYDSVTKLALRCDRKSVSINDEALVQISLRCSNLTRLKLHGCRDVTDVGMAAFALNCKSLKKFSCGTCMFGAKGMNALLDHCSSLEELSVKRLRGINDGLAAEPIGPGAAASSLKSICLKELYNGQCFGPLIIGSKNLRTLKLLRCLGDWDSILENVASRPNCLVEMHLERLQVSDAGLIAVSNCLELEILHLVRTPECTDEGVVAVAKNCKLLRKVHIDGWRTNRIGDDGLLAIAENSANLKELVLIGLNPTFISLSAIASNCQQLERLALCGSETIGDTEVSCIASKCGALKKLCIKGCEVSDDGITAFAWGCPNLVKIKVKKCKRVTGGAADSLRAVRHSLAVNLDVDEIEAEPVDGSTSDAGAPDEGVEFHSAVSTVTSGGGGGGGGGSGSVVVDADMPSSSNGGRSLASKSRGSAGTDL >itb12g04950.t2 pep chromosome:ASM357664v1:12:3283055:3285771:1 gene:itb12g04950 transcript:itb12g04950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPSIHQSSPGGSTHRNRSCYPKPVAKHRRSMSDHSQQDVSSDEDAAPSSDDGLGGCDFSDGIPDECLALIFQSLSSGDRKSCSLVCRRWLLVEGQSRHRLSLNAKSDVLPHVPAIFSRYDSVTKLALRCDRKSVSINDEALVQISLRCSNLTRLKLHGCRDVTDVGMAAFALNCKSLKKFSCGTCMFGAKGMNALLDHCSSLEELSVKRLRGINDGLAAEPIGPGAAASSLKSICLKELYNGQCFGPLIIGSKNLRTLKLLRCLGDWDSILENVASRPNCLVEMHLERLQVSDAGLIAVSNCLELEILHLVRTPECTDEGVVAVAKNCKLLRKVHIDGWRTNRIGDDGLLAIAENSANLKELVLIGLNPTFISLSAIASNCQQLERLALCGSETIGDTEVSCIASKCGALKKLCIKGCEVSDDGITAFAWGCPNLVKIKVKKCKRVTGGAADSLRAVRHSLAVNLDVDEIEAEPVDGSTSDAGAPDEGVEFHSAVSTVTSGGGGGGGGGSGSVVVDADMPSSSNGGRSLASKSRFGLFVGRNLMPCTFRRWSNRNGSFNDSQ >itb03g20140.t1 pep chromosome:ASM357664v1:3:18055636:18058296:-1 gene:itb03g20140 transcript:itb03g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHDSSITSSSGSSSSSCSAAHVDQIDSLLAGAGYMVRSSDLRHVAQRLEHLESFMVNSPMGSEISQHLGNDVVHQNPSDLGSWVDSLLSELHPPPVPEFAAPCPSDSNYVTAGPTGWSECEAMQQQPQIVSPSHLTVVTATEQEDSGIRLVHALMTCAVSVQRGEFSLAGSLIDELQLLLTRVNSGCGIGKVAGYFIDALSKRLYTPQGVNLMGSAYEDEILYSHFYEAAPYLKFAHFTANQAILEAFHGHDCVHVIDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLKLAELARSVNVRFAFRGVAASRLDDVKSWMLQVGSNEAVAVNSIMQLHKLLGPDPIRGSPIDTVLGWIRSLNPKVVTVVEQEANHNQPEFLDRFTESLYYYSTMFDSLEACPAQPEKALAEMYIQREICNVVCCEGVARVERHEPLGKWKARLTGAGFKPLNLGSNAFKQASMLLTLFSAEGYNVEETEGCLTLGWHSRPLIAASAWQASRD >itb09g13660.t1 pep chromosome:ASM357664v1:9:8921753:8923630:1 gene:itb09g13660 transcript:itb09g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFENRFAAADTATQSQERSDEVLKMVDEETDFSKTQGVSKGCFGRDQLKYLVMEAIRGGCQGHRAEMYAPRVAKCLAALEGRDKANVDDLKKAGRSSNEF >itb15g14730.t1 pep chromosome:ASM357664v1:15:13151312:13151629:-1 gene:itb15g14730 transcript:itb15g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISINGGIHGDFYCRSRKSGERRRGGDGGHRRSGDGFAEICFTKVEGRGSDRNEVRDGDHPGQPAGALLSGSGGVGDGNGRLSRRRLFKHWSRGELKEAAQCN >itb11g04670.t1 pep chromosome:ASM357664v1:11:2477579:2481349:-1 gene:itb11g04670 transcript:itb11g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGLPNNSASRVFDFASDDILCSYEDYGNQEKSARSHSDPAVGSNSAKEFHKSRMTRSSMMPTPAYIPPEDSSFNQDVIDAVEKSMKKYSDNLMRFLEGLSSRLSQLELYCYNLDKSIGEMRSDLVHDHGETDSKLKSLEKHLQEVHRSVQILRDKQELADAQKELAKLQLAQKESGSANNSQQNEERTSQPVSDTKRSDSPSELHGQQLALALPHQVAPQTPHTTRPMEQPQQPSVPPISSQVMTQSQGYYLPPTQMSLAPTQLSQGQYMPSDSQYRTPQMQEVARLPPQPAQTQLNQTTQVQSGFPYQQQWVPQLPQQTQQPQPQQPSMQPQARPSSSAVYPPYLPSQPNPTPEMVPNNMPMQVPFSGIPQTVASRPEGMPYGSGVASRPIQPQAPTQHLKPAFGAPGDGYGVSGPHPSLAPGNAYVMYESEGARGHPPQQPLFPQGAYPPSSFSLPNPPQPANANVMVRPPQVMRNPPYNELVEKLASMGYRVDHILNVIQRMEESGQPVDFNAVLDRLNGHSSGGSQKGW >itb14g02960.t1 pep chromosome:ASM357664v1:14:2680525:2682956:1 gene:itb14g02960 transcript:itb14g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFVSVVCGGLLLEAASNDPNLTLDYYKSTCPSVLGIVRKEMECAVLSDPRNAAFILRLHFHDCFVQGCDGSILLDDTVTLKGEKSAPQNRHSLNGFKIIDRIKNWLESECPGIVSCADILTIAARDAVLLVGGPYWDVPLGRKDSKSAGYEVVETNMPTADESLLSIISKFLYQGLSVTDMARCVNFRRRIYGDFPITTSPLNPISQVYLNNLKSICPPIEGENYNETAMDYLTPHLFDNSYYHTLLRGEGLLNSDQNLYSSLLAIEETRELVEKYAANPIAFFQQFAESMVKMGNITNPEAHENGEVRKTCRFVNA >itb07g06140.t1 pep chromosome:ASM357664v1:7:4321963:4324366:-1 gene:itb07g06140 transcript:itb07g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPFHPGPADPSMLEFQPRHRCTSIWDDPNYDPHLSTHGFRAISLESTTTLDDPTIDRDATLTRINNISLDNLGEHNFIVNVPDEDYYAARQEDIVQARRSDRRRERRHMRSGLVRGGRVGRGGQLILAAESVEDDDDDDEGEDEAEAGPYQVATTMPSRSGASYGDVGSSYHHITNIGQSSGTQYSGFSQFSGEQNAFSGEQYVTPSKAIYHSGRSPIIFAPPHIIASTPRPTFNNEPVYYPVIDFQTEPPFDQYGMGLDLQLAPPTAPELQEEGGNGPLRRSSRPHVAPSCGTHSRRR >itb13g00110.t1 pep chromosome:ASM357664v1:13:112263:115248:-1 gene:itb13g00110 transcript:itb13g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREVSSLSHCFAAFNTPRTNRNSLVCRASSVRVHGNPSLTHQSWNLAHLLNPITSFDNSVSQIKLHYNLFFIAQRRNSIFQKAPPRSLKFTVRNQWFALNKEEISSDFDWDELDQELEEEDEEEEEDEGSPWEGAVVYRRNPSVSHLEYCTTLERLGLGKLSTKVSKSRASVMGIRVTKDVKDYPEGTPVLVSLDVTTKKHKVVRLDGIIRTVITLDCNRCGEPAAETIFSNFSLLLSEEPIKEPNTLDMGTMFGSKNFEALEEDDDDSLIDIEDQLYFPLENKAIDISKNIRDLVHIEITLDATCDPQCKGLCLRCGTNLNIHSCKCLEQNMEAKGFSPLGGLRKKMQ >itb15g21220.t1 pep chromosome:ASM357664v1:15:23908890:23912095:1 gene:itb15g21220 transcript:itb15g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTPSYNHQTTEQPRRTSFTKQRKEGLPLTITTQTWQRSGRCPRGTVPIRGVRNQNKGVEIRKKPSIFLRDKGSDGDRKLYLLHQNRSLAILHTEGFSYLGAKGDIRVHNPHVQSDDEYSTSQVTLSGGGPYNAYEEIQSGWAVNPSVYGDRQTRYFTYWTVDGSNHTGCFDLTCPGFVQTSHEIALGAAIHPISSPDGLPHEISIYIHQDPFTSNWWVQYGETIIGYWPSELFKDLKYRAKTVQWGGEVYSSYLGNRQPHTTTAMGSGRFPDIIYGTSGYVKRMRILGNSMEFVPPSWVYPYTDEYRCYGIFYLNDYSDDPEFYFGGPGRNKLCP >itb08g00850.t1 pep chromosome:ASM357664v1:8:619389:621081:-1 gene:itb08g00850 transcript:itb08g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKVWTAMLVAVVMAVAMTTEAQQVPSCAMQLIPCADYLNATTKPPPSCCDPLKEAVTKELPCLCNLFKEQSLLKSLNINVTQAMELPKLCGIPGDITACNAPSPGSSPSPGASPSSIPPPATPGGDNNNGVAGGTPAIAISSLLVMAAAMLF >itb13g03810.t1 pep chromosome:ASM357664v1:13:3813578:3818929:-1 gene:itb13g03810 transcript:itb13g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGRQMQRSSSTGHNNRQFSDNFIDTAFNNKWLQSAGLHHLHSSNPNVPPLQDFGFYGNNGGGQGSKLGRNMPRSVNGGSNEDASTPPACSRSSSMRKNGSLEGMSPNELSPGLLDLHSFDTELISEVPSNYNGFSLKQPLAARSFDDSDPYISTNKLGNGGRGLADNNLLKSFSADKEKANVAKIKVVVRKRPLNRKEISKKEEDAVAIDPHNNSLTVHETKLKVDLTEYVERHEFVFDAVLNENVSNDEVYLETVAPIVPLIFQRTKATCFAYGQTGSGKTYTMQPLPLKAAGDVFSLMHHNYRNQGFQLFVSFYEIYGGKVFDLLNERKKLCMREDGKQQVCIVGLQEYRVSEVETVKELIEKGNATRSTGTTGANEESSRSHAILQLAIKRFVDGNASKPARIVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKGSGPKREPPSLSNLRNSIAVPLSSVLPTVSGSEENMANVSQETSRANLTKQTEKEPFQHVERVPSGRSQFNLADDQKRRTGHIDIKENDSDYFEEGRGQEKPSWMVNKKGDSFQISTLEDKMRKNGHKDLPHFGTDNINSEDDLNTLLKEEEALLNAHRKQVEETMDLVREEMNLLVEVDQPGNQLDDYISRLHRLLSQKAAGIMQLQTRLAEFQKHLKEQNVFIPAATN >itb02g14460.t3 pep chromosome:ASM357664v1:2:10538215:10540558:1 gene:itb02g14460 transcript:itb02g14460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGQNNCPDGKMSSPSTTAANRVVNSSHSAAGAAATYTTIPIPASGVVQRSIQNFYAYFNRCRPWPEFLSTANTFDLPASTSDVWIRLRRNSNYFAVNYSILISCCAAASLLGTPILLAVVVLLFALWLILYFFREDPIVVFGRHIGDQLVIAVLVIGSALILWITGVLNNLLIGVGVGISLSAIHGIFRNPEGLFLDEDDAVLNGLVSNSHDGGIS >itb02g14460.t2 pep chromosome:ASM357664v1:2:10538215:10540637:1 gene:itb02g14460 transcript:itb02g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGQNNCPDGKMSSPSTTAANRVVNSSHSAAGAAATYTTIPIPASGVVQRSIQNFYAYFNRCRPWPEFLSTANTFDLPASTSDVWIRLRRNSNYFAVNYSILISCCAAASLLGTPILLAVVVLLFALWLILYFFREDPIVVFGRHIGDQLVIAVLVIGSALILWITGVLNNLLIGVGVGISLSAIHGIFRNPEGLFLDEDDAVLNGLVSNSHDGGIS >itb02g14460.t4 pep chromosome:ASM357664v1:2:10538215:10540558:1 gene:itb02g14460 transcript:itb02g14460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGQNNCPDGKMSSPSTTAANRVVNSSHSAAGAAATYTTIPIPASGVVQRSIQNFYAYFNRCRPWPEFLSTANTFDLPASTSDVWIRLRRNSNYFAVNYSILISCCAAASLLGTPILLAVVVLLFALWLILYFFREDPIVVFGRHIGDQLVIAVLVIGSALILWITGVLNNLLIGVGVGISLSAIHGIFRNPEGLFLDEDDAVLNGLVSNSHDGGIS >itb02g14460.t1 pep chromosome:ASM357664v1:2:10538215:10543198:1 gene:itb02g14460 transcript:itb02g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGQNNCPDGKMSSPSTTAANRVVNSSHSAAGAAATYTTIPIPASGVVQRSIQNFYAYFNRCRPWPEFLSTANTFDLPASTSDVWIRLRRNSNYFAVNYSILISCCAAASLLGTPILLAVVVLLFALWLILYFFREDPIVVFGRHIGDQLVIAVLVIGSALILWITGVLNNLLIGVGVGISLSAIHGIFRNPEGLFLDEDDAVLNGLVSNSHDGGIS >itb08g02640.t1 pep chromosome:ASM357664v1:8:2261097:2263213:-1 gene:itb08g02640 transcript:itb08g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFINVGTEKAFQAEGRWKRWCGTGPFHTTPCLHPPPQDPASTEGLIGITVDAKHAGGRKLTVKELSAIIGVSASFRFLLLLSACFILYKVIKRRKMKKLREKFFKRNGGLLLQQQLLAKEGTIEKAKIFTADELDKVYDHFNANRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENQLEPFINEVVILFQINHRNVVKLLGCCLETEVPILVYEFIPNEVASALAYLHSATSIPIYHRDIKSINILLDEKFRAKVSDFGTSRSISIDQTHLTTIVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLAELSTEQKPISFEVKDDEDRSLVTRFLLYIEENRIMEILDKEVSKQGKMKDVMAIVLLAQRCLNLNGKKRPTMKEVAMELDTIRASHPQLHSPRLGLKTLEVDSNFTV >itb03g15760.t1 pep chromosome:ASM357664v1:3:14992504:14994129:-1 gene:itb03g15760 transcript:itb03g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSQGERVRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTQEEVAWYLGKRMAYVYKAKTKKGGSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGNKVRVFMYPSNI >itb01g27590.t3 pep chromosome:ASM357664v1:1:32329341:32330807:-1 gene:itb01g27590 transcript:itb01g27590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANIELIFIPAAGVGHLVSALQTAKLLLQRQPQLSITVLMMKLSFAPDANINSYIDSLLAGDKDMNPRLKFVLLPEDLEALKGHTDILSMFKAFIESQKAIVRDYCVNEIRKSSAKRLAGFVVDMLCTSMMDVAEEFGVPTYVFYPPGASMLGLQFHLQTLVDDHGEDASEFKDSDPDLNIPTHSKPFPVKLLPKVWAEFFETSRQIRRAKGIIVNTFFDLERHALESLSNDKRVPPIYPVGPILNLDHHPKKNQQDSVNHILKWLDDQPDSSVVFLCFGSVATFPESQLKEIAYALESSGQRFLWTLRKPPGPGSIIATEYSNLEEVLPEGFLERTKNIGKVIGWAPQTAVLAHPAVGGFVSHCGWNSALESIWFGVPMAAWPIGSDQQAHAFVLVRDIGMAVEIKMDYRTDSRDPTIHLPIVPENVSAKEIEMGITSLMDRSTTNPIRIKAKELKEKSRKALEEGGSSFNFLESFIENVMNNLN >itb01g27590.t1 pep chromosome:ASM357664v1:1:32328929:32330807:-1 gene:itb01g27590 transcript:itb01g27590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANIELIFIPAAGVGHLVSALQTAKLLLQRQPQLSITVLMMKLSFAPDANINSYIDSLLAGDKDMNPRLKFVLLPEDLEALKGHTDILSMFKAFIESQKAIVRDYCVNEIRKSSAKRLAGFVVDMLCTSMMDVAEEFGVPTYVFYPPGASMLGLQFHLQTLVDDHGEDASEFKDSDPDLNIPTHSKPFPVKLLPKVWAEFFETSRQIRRAKGIIVNTFFDLERHALESLSNDKRVPPIYPVGPILNLDHHPKKNQQDSVNHILKWLDDQPDSSVVFLCFGSVATFPESQLKEIAYALESSGQRFLWTLRKPPGPGSIIATEYSNLEEVLPEGFLERTKNIGKVIGWAPQTAVLAHPAVGGFVSHCGWNSALESIWFGVPMAAWPIGSDQQAHAFVLVRDIGMAVEIKMDYRTDSRDPTIHLPIVPENKIQFTFMCQPSYLGA >itb01g27590.t2 pep chromosome:ASM357664v1:1:32328932:32330807:-1 gene:itb01g27590 transcript:itb01g27590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANIELIFIPAAGVGHLVSALQTAKLLLQRQPQLSITVLMMKLSFAPDANINSYIDSLLAGDKDMNPRLKFVLLPEDLEALKGHTDILSMFKAFIESQKAIVRDYCVNEIRKSSAKRLAGFVVDMLCTSMMDVAEEFGVPTYVFYPPGASMLGLQFHLQTLVDDHGEDASEFKDSDPDLNIPTHSKPFPVKLLPKVWAEFFETSRQIRRAKGIIVNTFFDLERHALESLSNDKRVPPIYPVGPILNLDHHPKKNQQDSVNHILKWLDDQPDSSVVFLCFGSVATFPESQLKEIAYALESSGQRFLWTLRKPPGPGSIIATEYSNLEEVLPEGFLERTKNIGKVIGWAPQTAVLAHPAVGGFVSHCGWNSALESIWFGVPMAAWPIGSDQQAHAFVLKIQFTFMCQPSYLGA >itb05g16990.t1 pep chromosome:ASM357664v1:5:24081451:24082375:1 gene:itb05g16990 transcript:itb05g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTGSQRSRFFMAFHVLLFLISLLLLHGATTSKTAATAASSCGNGTAAGGCASPANRRIEDEDEDAPGREFLGSLAPTAYLNAAKSLIKSPVCKADIYGNCIKPVNSQERPCDTYNRCKRSG >itb03g26810.t1 pep chromosome:ASM357664v1:3:26416203:26422574:-1 gene:itb03g26810 transcript:itb03g26810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKRKPFPLAEKPTDLKPNELVFRVRFTNEIFRDYGDYVKQINLYRQRIWMCKLTGKSNLTYEEALVSEKAAGKEAQRFPKELMAPVLRDVQFSMLSLKDLANTIAIKLQGCLSEGSELYGTMNSCVYPCKIVRVLENEKPLYEVAWQDKYDKVRENTLLKEEDLIVKKLPCSKGALKLFIRESTYHSIPWVLHDKLAKDHGIPTHPPEELKGRFSLQDGLVVTNRKRKKSGDRKNDAEVDKDEFLDPKRKNTGREQHKGFTNEEIFENGTSETLPIKYPIDDLLVQPSDSDIQLTERSPLRWDFNVPMHCVGDLLMVWDFCISFGRLLQLSPFSLEDFENAVCHKESNVVLIVESHAALLRLLIKDNGEYFTAIQKKKRKSKITLITWTEYLCDFLEMTGIAELSAHISTIKRGHYGLLDVHVKLAILRELVAQALESDLFKEKLDEDMDKRQALAAARRDEALEEGRKRREEKERLKIQSTGKDAMNGHGNSVDPLNVKKNVDAPKKGSDDSESELEDVTFKNAKKQKINEKDAAEYMDDSPKKSANKDEMEESPEKCKDHQRAAHKMRKNEIKETIENRSKEQRKEYLDREIEKTIIRTNPLGKDRDYNTYWFFRRDARIFVESSDSMRWGYYYTKDELDALLGSLNVKGVRERALKKQLENFYGKISLEIQKRSKEAALRVAMEEAVLRRSTRVRAPPRDNPALAFLKYINKWKEE >itb03g26810.t4 pep chromosome:ASM357664v1:3:26416203:26422574:-1 gene:itb03g26810 transcript:itb03g26810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKRKPFPLAEKPTDLKPNELVFRVRFTNEIFRDYGDYVKQINLYRQRIWMCKLTGKSNLTYEEALVSEKAAGKEAQRFPKELMAPVLRDVQFSMLSLKDLANTIAIKLQGCLSEGSELYGTMNSCVYPCKIVRVLENEKPLYEVAWQDKYDKVRENTLLKEEDLIVKKLPCSKGALKLFIRESTYHSIPWVLHDKLAKDHGIPTHPPEELKGRFSLQDGLVVTNRKRKKSGDRKNDAEVDKDEFLDPKRKNTGREQHKGFTNEEIFENGTSETLPIKYPIDDLLVQPSDSDIQLTERSPLRWDFNVPMHCVGDLLMVWDFCISFGRLLQLSPFSLEDFENAVCHKESNVVLIVESHAALLRLLIKDNGEYFTAIQKKKRKSKITLITWTEYLCDFLEMTGIAELSAHISTIKRGHYGLLDVHVKLAILRELVAQALESDLFKEKLDEDMDKRQALAAARRDEALEEGRKRREEKERLKIQSTGKDAMNGHGNSVDPLNVKKNVDAPKKGSDDSESELEDVTFKNAKKQKINEKDAAEYMDDSPKKSANKDEMEESPEKCKDHQRAAHKMRKNEIKETIENRSKEQRKEYLDREIEKTIIRTNPLGKDRDYNTYWFFRRDARIFVESSDSMRWGYYYTKDEVSMLLHALFFYFPYVFPNPQSFSLVTA >itb03g26810.t2 pep chromosome:ASM357664v1:3:26416297:26422547:-1 gene:itb03g26810 transcript:itb03g26810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLTGKSNLTYEEALVSEKAAGKEAQRFPKELMAPVLRDVQFSMLSLKDLANTIAIKLQGCLSEGSELYGTMNSCVYPCKIVRVLENEKPLYEVAWQDKYDKVRENTLLKEEDLIVKKLPCSKGALKLFIRESTYHSIPWVLHDKLAKDHGIPTHPPEELKGRFSLQDGLVVTNRKRKKSGDRKNDAEVDKDEFLDPKRKNTGREQHKGFTNEEIFENGTSETLPIKYPIDDLLVQPSDSDIQLTERSPLRWDFNVPMHCVGDLLMVWDFCISFGRLLQLSPFSLEDFENAVCHKESNVVLIVESHAALLRLLIKDNGEYFTAIQKKKRKSKITLITWTEYLCDFLEMTGIAELSAHISTIKRGHYGLLDVHVKLAILRELVAQALESDLFKEKLDEDMDKRQALAAARRDEALEEGRKRREEKERLKIQSTGKDAMNGHGNSVDPLNVKKNVDAPKKGSDDSESELEDVTFKNAKKQKINEKDAAEYMDDSPKKSANKDEMEESPEKCKDHQRAAHKMRKNEIKETIENRSKEQRKEYLDREIEKTIIRTNPLGKDRDYNTYWFFRRDARIFVESSDSMRWGYYYTKDELDALLGSLNVKGVRERALKKQLENFYGKISLEIQKRSKEAALRVAMEEAVLRRSTRVRAPPRDNPALAFLKYINKWKEE >itb03g26810.t3 pep chromosome:ASM357664v1:3:26416203:26422574:-1 gene:itb03g26810 transcript:itb03g26810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKRKPFPLAEKPTDLKPNELVFRVRFTNEIFRDYGDYVKQINLYRQRIWMCKLTGKSNLTYEEALVSEKAAGKEAQRFPKELMAPVLRDVQFSMLSLKDLANTIAIKLQGCLSEGSELYGTMNSCVYPCKIVRVLENEKPLYEVAWQDKYDKVRENTLLKEEDLIVKKLPCSKGALKLFIRESTYHSIPWVLHDKLAKDHGIPTHPPEELKGRFSLQDGLVVTNRKRKKSGDRKNDAEVDKDEFLDPKRKNTGREQHKGFTNEEIFENGTSETLPIKYPIDDLLVQPSDSDIQLTERSPLRWDFNVPMHCVGDLLMVWDFCISFGRLLQLSPFSLEDFENAVCHKESNVVLIVESHAALLRLLIKDNGEYFTAIQKKKRKSKITLITWTEYLCDFLEMTGIAELSAHISTIKRGHYGLLDVHVKLAILRELVAQALESDLFKEKLDEDMDKRQALAAARRDEALEEGRKRREEKERLKIQSTGKDAMNGHGNSVDPLNVKKNVDAPKKGESELEDVTFKNAKKQKINEKDAAEYMDDSPKKSANKDEMEESPEKCKDHQRAAHKMRKNEIKETIENRSKEQRKEYLDREIEKTIIRTNPLGKDRDYNTYWFFRRDARIFVESSDSMRWGYYYTKDELDALLGSLNVKGVRERALKKQLENFYGKISLEIQKRSKEAALRVAMEEAVLRRSTRVRAPPRDNPALAFLKYINKWKEE >itb01g17000.t1 pep chromosome:ASM357664v1:1:21531350:21536302:1 gene:itb01g17000 transcript:itb01g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRFIVETDCMVMVAKLKQKQCDTTYLGVVVRSILELMRSCEQPVPQLPPSPTEAPQAVLSVENRNRNPTVCRRLELREGERSALYVNLRPQPPHGAAYPLAKASSKLEGHLKGISCLAFSNALNVLISSGIDAQVVVWDCNKWEKQRSTVLQISPDWSPTELSETIIQFHQDHKRFLAVHETQLSIYEACTLHCVKQWTMRNFCTRICHATFSCNNQFVYAVMRDGIVLILDASHLCPRFEIDPSTYIPSDIRLPVISHLNRLLVISREEGEDDVKSSCPLCPRHTRATMAGTKGRDPANSNR >itb03g13540.t1 pep chromosome:ASM357664v1:3:13629007:13632135:-1 gene:itb03g13540 transcript:itb03g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSNSNTESSLCPCNCYKVANLAETILDATQSGHLKDRYVLGGQLGWGQFGVIRVCSDKFTGEVLACKSIAKNRLVSQEDVRSIKLEIEIMTRLYGHPNVVDLKAVYEEEDYVHLVMELCAGGELFHQLEKHGRFSETEARVVFRHLMQVVMYCHDKGIVHRDLKPENILLATKASSSPIKLADFGLATYIRPGESLRGTVGSPFYIAPEVLAGGYNQAADIWSAGVILYILLSGIPPFWGKTKSQIFDAVRAADLRFPSEPWDSISYSAKELIKGMLCTDPCHRLTAQQILDHTWVRDKSRLLQVPSAQDKSITACSNEGILCSSFMRREQDISFGTGSAIACDAQSSPAFTCRSSFSSFLAGPLTPYFESGGFSFQSSGGSNTQEFSTPVSSMPSFAFFSPSPSIDQGRCELDSLPNPSKEDLIRKETGVGELFMMADTCVCYEQVAAGGLERKALEVKKGGGSNVVSRMAGIHSRRNHTIGLGELEQLDLMVTESVIRWASCTCLPTATSLRSSLVC >itb03g13540.t2 pep chromosome:ASM357664v1:3:13629066:13632144:-1 gene:itb03g13540 transcript:itb03g13540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSNSNTESSLCPCNCYKVANLAETILDATQSGHLKDRYVLGGQLGWGQFGVIRVCSDKFTGEVLACKSIAKNRLVSQEDVRSIKLEIEIMTRLYGHPNVVDLKAVYEEEDYVHLVMELCAGGELFHQLEKHGRFSETEARVVFRHLMQVVMYCHDKGIVHRDLKPENILLATKASSSPIKLADFGLATYIRPGESLRGTVGSPFYIAPEVLAGGYNQAADIWSAGVILYILLSGIPPFWGKTKSQIFDAVRAADLRFPSEPWDSISYSAKELIKGMLCTDPCHRLTAQQILDHTWVRDKSRLLQVPSAQDKSITACSNEGILCSSFMRREQDISFGTGSAIACDAQSSPAFTCRSSFSSFLAGPLTPYFESVQVLVLTKVAVSWIPCQIHLRKI >itb09g02800.t1 pep chromosome:ASM357664v1:9:1580823:1582778:-1 gene:itb09g02800 transcript:itb09g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNQGPPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPQGYPPQGYPPQGGYPPQYPPPQYAAPPPQQQSGSSGCLEGCLAALCCCCLLDACF >itb01g06250.t1 pep chromosome:ASM357664v1:1:4449673:4452166:-1 gene:itb01g06250 transcript:itb01g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPVFKTPFNGYSVKFSPFYENQLAVATAQNFGILGNGRVHVLQLNPNGPISQLAAFDTADGVYDVCWSEAHDSLIIAASGDGSIKLYDLSLPPTNNPVRSFQEHTREVHGVDYNTVRKDSFLSASWDDTVKLWTVDRNASVRTFKEHAYCVYSAVWNPRHADVFASASGDSTARIWDVREPGSTMILPAHEHEILSCDWNKYDDCIIATASVDKSIKVWDVRNYRVPIAVLNGHGYAVRKVRFSPHKGSVLLSCSYDMAVCMWDYMVEDALIGRYDHHTEFAVGVDMSVLVEGLLASTGWDELVYVWQNGMDPRAP >itb01g14890.t1 pep chromosome:ASM357664v1:1:17007278:17010843:1 gene:itb01g14890 transcript:itb01g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNLDQFHPENGDRQRELKAFDETKAGVKGLVDAGITKLPTIFIHPKTPQNSSNNISTANKHNIPIIDLQGIHGNEKKRREVVAAIGEASETWGFFQVVNHGIPGTVLEEIKRGVRGFHEQDTEVKKEWYTRDQSRRVIYNSNFDLYTAPAANWRDSVLCIMAPNPPHPHELPPVCSDILIKYSKEVQKLGGVLFELLSEALGLHPNYLNNIECNKGLNLLGHYYPACPQPDLTLGTTKHSDNDFLTILLQDDDIGGLQVLHQNQWIDVPPTPGALVINIGDLLQLVTNDRFKSSEHRVLASQCGPRISVACFLSTYLFMSSRIYGPIKELLSDENPPKYRETTVEEYIAHFHAKGLDGTSALLDFKL >itb07g20490.t1 pep chromosome:ASM357664v1:7:24883045:24883659:1 gene:itb07g20490 transcript:itb07g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLNELTILCGVDVAIVMYNSFESRPVIWPSAGEFLQRITRLLNLPYAEQTRRMMSHESFVEQRLHKLSTKLLKVKKDNREGEMNALMHKILSGERTIDSLSSIDLNDLGWVLNSNLAKIGNKAEEIMRHSSTLASSTLAPAQTLTAFLPGAHSFTTASPFVVSLGTSNVVAPADVFSRYQGTGMQTGPTYGGPGPRYSQNP >itb02g09330.t1 pep chromosome:ASM357664v1:2:5949444:5952464:-1 gene:itb02g09330 transcript:itb02g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDNINAHTEEIYMPECGSIGGEVTAALNLETEKMAKEMSQYHPYAFQASGPRNVSTPSWRDLINSSWKNGNYKRTVMACFVQSVYLLELDRQENRKEGNALAPNWWIPFKYTLAETLTDERDGSIFGAVFKWDRAAALADLVPIRPSGAPTAVLALRGTLLKTPTMRRDIQDDLRYLAWESLKGSVRFSVAQKALKSLAGKYGSRNICIAGHSLGAGFALQVGKTLAKEGIYIEAHLFNPPSASLAMYMRNIGEKARFGWNKLRSMLPFSSADQTQTSSEESAAKALQLGLGQWVPHLYINNSDYICCYYIDSGETHNNLQAAGKVNNARTTNAQVAAKLFMSSSKGKQKFLEAHGLEQWWSDNLELETALNNSRLISQQLKSLYGLPASSLQTQGKR >itb09g29670.t1 pep chromosome:ASM357664v1:9:30390391:30393351:-1 gene:itb09g29670 transcript:itb09g29670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSSSGRLSQSPPRSSPSSLLPARSSPNLKLTLAGELPTFNPRSYIAAKERDRVRFAENAVHLIPLILVLSVIVLWLFSDPDSVVM >itb09g20770.t1 pep chromosome:ASM357664v1:9:17750076:17753751:-1 gene:itb09g20770 transcript:itb09g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNTLGVTLGFHDAMSVSMPYFRDFKEDFDSDAVARQYWRSITNNAPYNSSNLKANLITRNALKAIRLAFVINLSGRTANRNKVYKQDLFYMWCMENEVGINMGVQARKWLQTQQKPKVQTVFIGPFVTRLCFGLGLLDRLMGERVEGCTISFSVGEFFAAELKLGDNDAPDLEASDDDDEDEEENEEEDAAQEQGPIPMDWDTTQNFHRHLHDEQMNYWREQHTWQEGHFTSISARQDVHTEDLNRMRRAVEENDRRIAALEARFDRQFQPFCDD >itb08g06050.t1 pep chromosome:ASM357664v1:8:5207188:5211615:1 gene:itb08g06050 transcript:itb08g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEIEAPALKLAGEDVKDDEISPIEEVRLTVPNTDDPTLPVWTFRMWVLGLVSCVLLSFLNQFFSYRREPLIITSITVQMATLPIGRFMAAALPAAKFRVPGFGSRQFSLNPGPFNMKEHVLITIFANAGFAFGNGSAYAVGIVNIIIAFYRRKISFFAGWILIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRTLHEKEEEEGGGGEEVNGGAGNQRRRMSRAKFFVIALCCSFCWYFFPGYIFQTLSSISWVCWVFPNSVTAHQLGSGLNGLGLGALTLDWSTIASFLFSPLVCPFFAIANVFVGYVVLMYVVIPISYWGLNVYSAKNFPIYSSDLFIAQGQGYDISAIVNKEFELDIDQYGKQGRISLSTFFTLSYGFGFATIASTITHVALFYGREIYDRYQASSKGKMDIHTKLMKNYKDIPSWWFYLLLVVTIVVSLALCIFLKDEVQMPYWGLIFAAALAFMFTLPISIITATTNQTPGLNIITEYIMGVIYPGKPIANVCFKTYGYMSMTQAIAFLSDFKLGHYMKIPPRSMFLVQFIGTMIAGTVNMGVAWWLLHSVDNICHQDKLSNSPWTCPSDRVFFDASVIWGLVGPKRIFGNEGNYRALNWFFLGGILGPIIVWLLHKKFPSQSWIPLINLPVLLGATASMPPATALNYTSWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLYFTVGLENKSVSWWGTNDPEHCDLATCPTAKGIAVDGCPVF >itb13g22750.t1 pep chromosome:ASM357664v1:13:28988927:28990604:-1 gene:itb13g22750 transcript:itb13g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MEVSQLQNSFLGYMAELFREGFLDAQFSQLQQLQDESNPTFVAEVVTLFFEDSERLLNDLNTTLNQPDVDFKKVDAHVHQLKGSSSSIGAQRVKNVCVAFRNFCEEHNIEGSLRCLQQVKQEYLLVKNKLETLFRVSQTQIPHFY >itb14g06120.t3 pep chromosome:ASM357664v1:14:5302036:5304722:1 gene:itb14g06120 transcript:itb14g06120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIFSYTPLRQLLQMFIAIMFFHVSEYFLAIAIHGKSKVTLKSLLISKNYGVAMVFCLLEYHVEIYFFPQMKEHWWINNLGLAMVVIGEIIRKLAIVTAGQSFTHLIKIYHEEHHKLVTHGIYRYIRHPSYCGFLIWSVGTQIMLCNPISTIAFAIVVWRFFYERIPYEEYFLRQFFGSQYEDYAEEVPSGVPFVK >itb14g06120.t2 pep chromosome:ASM357664v1:14:5303147:5304722:1 gene:itb14g06120 transcript:itb14g06120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIFSYTPLRQLLQMFIAIMFFHVSEYFLAIAIHGKSKVTLKSLLISKNYGVAMVFCLLEYHVEIYFFPQMKEHWWINNLGLAMVVIGEIIRKLAIVTAGQSFTHLIKIYHEEHHKLVTHGIYRYIRHPSYCGFLIWSVGTQIMLCNPISTIAFAIVVWRFFYERIPYEEYFLRQFFGSQYEDYAEEVPSGVPFVK >itb14g06120.t1 pep chromosome:ASM357664v1:14:5302036:5304722:1 gene:itb14g06120 transcript:itb14g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKDLCFDCEAQFISLVLHSSSTFSEIFSYTPLRQLLQMFIAIMFFHVSEYFLAIAIHGKSKVTLKSLLISKNYGVAMVFCLLEYHVEIYFFPQMKEHWWINNLGLAMVVIGEIIRKLAIVTAGQSFTHLIKIYHEEHHKLVTHGIYRYIRHPSYCGFLIWSVGTQIMLCNPISTIAFAIVVWRFFYERIPYEEYFLRQFFGSQYEDYAEEVPSGVPFVK >itb04g31160.t1 pep chromosome:ASM357664v1:4:34116895:34120155:1 gene:itb04g31160 transcript:itb04g31160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVRYAGADRMARSPPRVPLRGRDRGFSPPRRDRDRRYSPRSDRYALTSRTRKHDYSPSRLDERDYLPPRAREHCYSPPRAQEHQYSPPRAQERAQERQYSPPRARERQYSPSRARERQYSPSRARERQYSPARARERRYTPPPPSSAQDQKHSQAPRLPIRDYLSPMRSQNREFMPNGNLNEADGRRGEYFHELERSRRMEKTYGGPDFGELKGVDSSNYGGVERWYGQSQSLRHHGTDGRNGGRHDGPPNSRRKYEFRDGLRATHGDSTSLKYQFSNLDDPRKSDVLDDPVEHGFSGARVSSELGNEGRPYLGLADSRSVPLEVDRRLRSSYEPPPLDIGVPARSSGFGDAGSYALGSHNFRMKLHRAEQNPLQGTLNLDELPTERQGLRDAYMETSTLNSLHNSKYDSSYMASSSHLNAFSCRSPATVRNGIDSSFGENIHIPSDGIETCMGRLCEPMGCGQNSGIRSPKGQVDDNGIYLQSYFAQTEERHGSRMYSDIHGTKAIPNEFYRKNVGVSEEYNHQDASGASFMDPNYDELSHQENMIGGKPWDYIPSKQGQSTLGYFGASGALEKREQEMKILEYEGNNPDYERVLYKGHRSPCMLHNNEMDEHPCTFQERSDVLYRDNNPHLDEMNDSIQQRLIDKNQILPKSSTSRFKRKYGKDRKLSICGDLGIISSSNGRNAHRQGDNADIYLEGDKYSVSISKKLKVNHSKYGKNRRMSTTMASRLSKTSKYGNRDIKKRLGPYPQDVDATHSSVKKYSSLKHRLGPPPRKSHSTLPWLKNLTSYKVSEDLNDLDESLPDQRDDCLESSVTQQKSEPLENLEDFKQLVDNAFFKFAKHLNETPARRTKYRNQGTSSCLKCIICGRFSLEGGTANLH >itb04g31160.t2 pep chromosome:ASM357664v1:4:34117402:34120155:1 gene:itb04g31160 transcript:itb04g31160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQNREFMPNGNLNEADGRRGEYFHELERSRRMEKTYGGPDFGELKGVDSSNYGGVERWYGQSQSLRHHGTDGRNGGRHDGPPNSRRKYEFRDGLRATHGDSTSLKYQFSNLDDPRKSDVLDDPVEHGFSGARVSSELGNEGRPYLGLADSRSVPLEVDRRLRSSYEPPPLDIGVPARSSGFGDAGSYALGSHNFRMKLHRAEQNPLQGTLNLDELPTERQGLRDAYMETSTLNSLHNSKYDSSYMASSSHLNAFSCRSPATVRNGIDSSFGENIHIPSDGIETCMGRLCEPMGCGQNSGIRSPKGQVDDNGIYLQSYFAQTEERHGSRMYSDIHGTKAIPNEFYRKNVGVSEEYNHQDASGASFMDPNYDELSHQENMIGGKPWDYIPSKQGQSTLGYFGASGALEKREQEMKILEYEGNNPDYERVLYKGHRSPCMLHNNEMDEHPCTFQERSDVLYRDNNPHLDEMNDSIQQRLIDKNQILPKSSTSRFKRKYGKDRKLSICGDLGIISSSNGRNAHRQGDNADIYLEGDKYSVSISKKLKVNHSKYGKNRRMSTTMASRLSKTSKYGNRDIKKRLGPYPQDVDATHSSVKKYSSLKHRLGPPPRKSHSTLPWLKNLTSYKVSEDLNDLDESLPDQRDDCLESSVTQQKSEPLENLEDFKQLVDNAFFKFAKHLNETPARRTKYRNQGTSSCLKCIICGRFSLEGGTANLH >itb12g23860.t1 pep chromosome:ASM357664v1:12:25491521:25495207:1 gene:itb12g23860 transcript:itb12g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFQGGRKTLFNMKGGGRKNGNLYVFAVVFSVFVFGCFMYNEDVKSIAEFPISAHKSGEPEPEIQETTATLPVPENVTKVPAGDDLPDSSRSLSSVTEIGHRRTDEETEGEKIELPAGDQEQGREDGDDDERKQDGEEEEVEEEESIELPPESCDLYTGEWVFDNGTHPLYKEAECEFLTAQVTCMRNGRRDSMYQNWRWQPRDCSLPKFKPKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVAPPGRKSLNKTGSLSVFRIEDYNATVEFYWAPFLVESNSDDPTMHSILNRIIMPKSIKKHGKNWKNVDYLIFNTYIWWMNTFTMKVLRGSFDEGATEYDEVERPVAYRRVLSTWSKWVDKNVDPNRTQVFFMSMSPLHIKSLDWENPNGIKCAKEITPILNTSMPLNVGTDYRLFSIASNITGTMKKPVHFINITKLSEYRKDAHTSVHTIRQGKMLTPEQQADPNTYADCIHWCLPGLPDTWNEFLYSHIISRS >itb12g00620.t1 pep chromosome:ASM357664v1:12:458248:458980:-1 gene:itb12g00620 transcript:itb12g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSQILAQIYTMTLLFFTILVLELVILLRSISGAVYDSGDRPLTTTQYLKLIEEKIPATVYDAAAEAAPECTVCLSAFEEGEAIRKLKCKHVFHKDCLDTWLQQCAATCPLCRRKLLPEEVVLRYRQRRNPPEYEGSDEELVYLLSALHGNYLRRFM >itb15g21200.t1 pep chromosome:ASM357664v1:15:23900128:23900782:-1 gene:itb15g21200 transcript:itb15g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFCVLLTSRLSHPPISLFLSLSHTHRDISMAVSSSAASLLALTAVLVTVFSLIGVSVAADAPAPAPTSSVGSLSPSLAAGFAVAAVSLLFGSALRI >itb07g00910.t1 pep chromosome:ASM357664v1:7:578314:579083:-1 gene:itb07g00910 transcript:itb07g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTRAVKFISCGCCRARSPSPVCEDFIPKSELLQDEDADILSLYLPDFNEEQVSVEVTRSKTLSVSGLRPTKANRWVRFHQEFPISSNCNQSEISHEFKDGILCVRQPKLVEKQDNLAQPESSQPQKLATPKVEGYGRPRKFFNVALLSLLLVGVVLCVNNILD >itb10g12130.t1 pep chromosome:ASM357664v1:10:18100623:18102074:-1 gene:itb10g12130 transcript:itb10g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEILCFEDEVPAKLTGQVIICSIIAAFAGLMFGYDIGISGGVTSMNEFVEKFFPDVYAKKHRIEEDNYCKYDDQMLQLFTSSVYLAAVIGSFFASHCCKRYGRKLTIQLSCVFFIISLVLKTTAVNLVMLISGRFFVGFGVGFGNQAVPLFISEIAPANRRGFLNVLFQFLITIGSSLANIVNLLSSKYVGSNSWRVSFGIAAIPAIFLGLGSLMVVDTPTSLIQRGKNEEGLKALKKIRGVENVEKEYEDILHCTEMAKRVKTPFRNLLMKRSSWPQLFCGTILQIFLELTGINVIMFYAPVLFQTMGLGANASLLSTVIIGVVNSVSTVVAIFGADYFGRRALLIQGALQMLVAQGVVGGILAVYLKATNTIPKFAAVIVVALSCVFVMGFAWSWGPLGWLIASEIYPLGTRTAGFFIAVSANMIFTFIVAQAFLTMLCTMKSGIFFMFASFVFVMGLFVVFLLPETKGIPIDEMKERV >itb03g05010.t1 pep chromosome:ASM357664v1:3:3323305:3324472:-1 gene:itb03g05010 transcript:itb03g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSTVLLLLYLLAFTLLSTTSLVFSHDGWSPTSSAAASLQSEWRPARATYYAPADPEDAVGGACGYGDLEKSGYGKATAGLSTVLFERGQICGACFEVKCVEDLRWCIPGTSIIVTATNFCAPNYGFEPDGGGHCNPPNAHFVLPIEAFEKIAIWKASNMPIQYRRIKCRKEGGVRFTVSGAGIFISVLIRNVAGTGDIVAVKIKGSRTGWLPMGRNWGQNWHINANLRSQPLSFEITSSDRVTLTSYNVAPKNWNYGQTFEGKQFEA >itb11g12600.t1 pep chromosome:ASM357664v1:11:9553032:9553442:-1 gene:itb11g12600 transcript:itb11g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAHRKAKSWAAFRPNLELEESDDDNLYQYTSLRDIMAQQRGSGAEGGSAFDSSAISIRNSLVKHAASAYVQSATIVTHRDPDWVVAGVWGKVKNVFVGFTSCWHAHVRIHFHAFFTTAIRFLDCLVNTITRAF >itb12g02860.t1 pep chromosome:ASM357664v1:12:1882107:1882658:-1 gene:itb12g02860 transcript:itb12g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLTGLAGEKTLQSSFIRDEDERPKVAYNRFSDEIPVISLKGIDDGRRKGICDEIVKACEEWGIFQVVDHGVDADLIAQMTSLATAFFALPPEEKLRFDMSGGKKGGFIVSSHLQACMHSFILYPHLILYIYIYIYIYIYIYIYIYIYIXWQKRRPQPWSAIWLLFWLMMESPLEQTLAF >itb15g06090.t6 pep chromosome:ASM357664v1:15:3995235:4001723:-1 gene:itb15g06090 transcript:itb15g06090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVTQVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g06090.t2 pep chromosome:ASM357664v1:15:3995308:4001738:-1 gene:itb15g06090 transcript:itb15g06090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEAGYQGKISLLVDEAAALNLKRVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVTQVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g06090.t1 pep chromosome:ASM357664v1:15:3995235:4001738:-1 gene:itb15g06090 transcript:itb15g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEAGYQGKISLLVDEAAALNLKRVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVTQVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g06090.t5 pep chromosome:ASM357664v1:15:3995235:4001721:-1 gene:itb15g06090 transcript:itb15g06090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVTQVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g06090.t7 pep chromosome:ASM357664v1:15:3995235:4001723:-1 gene:itb15g06090 transcript:itb15g06090.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEAGYQGKISLLVDEAAALNLKRVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g06090.t3 pep chromosome:ASM357664v1:15:3995235:4001723:-1 gene:itb15g06090 transcript:itb15g06090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEAGYQGKISLLVDEAAALNLKRVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVTQVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g06090.t4 pep chromosome:ASM357664v1:15:3995308:4001738:-1 gene:itb15g06090 transcript:itb15g06090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKKRKNKKKKNKQNRTTENVLSGAGQVAPHDQNHVSESQEDTTDTGEMQNNDAARIDRDPNRHLSTNHPTLAEGDKQYWVDREAIYEEKIKQLEKEKNVYVQKEAILEENIKQLLSEKSETLQKESKVEERIRQLENEKFMHIHKGASLEEKNLQLQKEKDILLQQMTVLEAQISQLQNERDSWLQKEVRLEEKIKQMEEERDAWIQKENLMETTISSLNTSNAFLQTGVTQVKELEELRNSNALENQLLKVTVNTLQSQVQALEKSAASSSTGRKMHTSENGEVNSEMEATHAVVQKLIAENSELVEKVNELYIELQRRDSVKELSSSPMTENAESTYNTNTTYITNSSSHGLELMSEMANGREISSADNPALISTEVLPVSKSMIQSTEDVNDKAKMNGLDKANARDDSMDLVSSGVESSEIVQIPLDETEADEAKESEIIPDDQKPTAEEVPLSDAPLIGAPFRLISFMARYVSGADLVDKNSATAR >itb15g21640.t1 pep chromosome:ASM357664v1:15:24338107:24338768:1 gene:itb15g21640 transcript:itb15g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEESKWEGKATAELKGAKAQKVWETLLQDFSAVHKWLPAVDTCDLVEGAKGEVGAVRYVGSTVPASEEGGEAKVNWCKERLVKADHGGRSLSYQVLDNNVGMKEYVATLTVLSSDGGGELGCQIEWSYVSDPIVGMTREGFSGYISFSLQAMAEKMDKELQSAA >itb15g05770.t1 pep chromosome:ASM357664v1:15:3713131:3715814:-1 gene:itb15g05770 transcript:itb15g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSANLVGKRGVIRAADFIITVLSFIVFLALDFLDALLCVLYRFIDDFLEGSSAASSCYCSRGGEESEVSETLCRRKNVFRGMLGGFTHFAGIFKNARGGGRGRGNRWSDCGCESCVSWMKNGVTDDLRLHFVVTQPPNKDKLEDVDGKGAENVIFLHGFMASSSFWTQTVFPYLSEEDSRQKYRLFAVDLLGFGKSPKPMDCLYTIRDHIEMIEKTVIVPFEMKSFHLVAHSMGCVIAVALAAKYSKSLKSITLIAPPYFPSSREDASLAALEKLAARRVWPPLLFGSAFMSWYEHLGRCVCFLFCRNHRTWERILKLITRRDVHFVVVDMTRHTHHSAWHSMHNVICGGAKVMDKYLETLRICGVKMNVIQGSKDQVVPLECSRNMKMQVGDNAEVKVIANADHTSVVVGREEEFTRDLEQFWASITTSSDVA >itb02g04590.t1 pep chromosome:ASM357664v1:2:2733340:2736366:-1 gene:itb02g04590 transcript:itb02g04590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAVTFFLKFAVIFGLSAALLAADVAEGRRAFFVFGDSLVDNGNNNFLATSARADSPPYGIDYPTHRPTGRFSNGLNIPDIISEKLGSEPTMPYLNPQLDGQKLLVGANFASAGVGILNDTGIQFHHHIRISPPPPLPLIISSAALRRRQAATRPFSSSENSNLWITKPGDVKERRRRRSSSTKHHLIPKSELPRPLSPRQDSKQ >itb10g13590.t1 pep chromosome:ASM357664v1:10:19800719:19804927:-1 gene:itb10g13590 transcript:itb10g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLRTRMDVDVLHANYYLGALFYALIMLLVDGFPELSMTVTRLEVFFKQRELYFYPAWAYAIPATILKFPLSLLESIVWISITYYGIGFSPEAGRFFRQLLVLFLVHMSSISLFRFLAAFFKTVDVATTTASMPVWLKWGSWVSPITYGELGLALNEFLAPRWQKMPPTNVTIGDRVLKSRGLHFDGYLYWVSVGALFGFTILFNLGFTLALSFLKPPGSRVIISKEKFSKIQGSGESLHESSVEKTSESSNPGGMVLPFQALSVVFQDVQYYIDMPAAMKEHGFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIKIGGYPKVQETFARVSGYCEQTDIHSPQITVEESAIFSAWLRLPPEIDSKTKSEFVKEVIETIELDEIKDMLVGMPGTSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVATGRTIVCTIHQPSIDIFEAFDELILLKTGGKMIYWGPLGRHSCKMIEYFEGISGVPKIKDNYNPATWMLEITSTSTEAELGLDFAEIYEKSSLYKNNKELVKKLSVPPQGSKQLHFPTTFSQNGWVQFKTCLWKQYWSYWRSPSYNLARCIFMLIISFILGLLFWDQGKKIENQQDLFNVLGSIFTAVILCGINNSISVLPYVSTERAVLYRERFAGMYASWAYALAQVIIEIPYLFAETVVFTAITYPMIGYYGSAYKAFWYFYVMFCTLLYYNYLGMLLVAMTPSFPIAVILQSGFYNMFSLFSGFLVPKPQLPKWWIWFYYIMPTSWSLNGMLNSQYGDVEEDIKVFGEKKTMDAFLRDYFGYHHDQLPIVAVVLIMFPLLFACLFTLCIQKLNFQRR >itb10g13590.t2 pep chromosome:ASM357664v1:10:19800719:19804927:-1 gene:itb10g13590 transcript:itb10g13590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLRTRMDVDVLHANYYLGALFYALIMLLVDGFPELSMTVTRLEVFFKQRELYFYPAWAYAIPATILKFPLSLLESIVWISITYYGIGFSPEAGRFFRQLLVLFLVHMSSISLFRFLAAFFKTVDVATTTGSLSILFVLLFGGFIIPRSSMPVWLKWGSWVSPITYGELGLALNEFLAPRWQKMPPTNVTIGDRVLKSRGLHFDGYLYWVSVGALFGFTILFNLGFTLALSFLKPPGSRVIISKEKFSKIQGSGESLHESSVEKTSESSNPGGMVLPFQALSVVFQDVQYYIDMPAAMKEHGFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIKIGGYPKVQETFARVSGYCEQTDIHSPQITVEESAIFSAWLRLPPEIDSKTKSEFVKEVIETIELDEIKDMLVGMPGTSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVATGRTIVCTIHQPSIDIFEAFDELILLKTGGKMIYWGPLGRHSCKMIEYFEGISGVPKIKDNYNPATWMLEITSTSTEAELGLDFAEIYEKSSLYKNNKELVKKLSVPPQGSKQLHFPTTFSQNGWVQFKTCLWKQYWSYWRSPSYNLARCIFMLIISFILGLLFWDQGKKIENQQDLFNVLGSIFTAVILCGINNSISVLPYVSTERAVLYRERFAGMYASWAYALAQVIIEIPYLFAETVVFTAITYPMIGYYGSAYKAFWYFYVMFCTLLYYNYLGMLLVAMTPSFPIAVILQSGFYNMFSLFSGFLVPKPQLPKWWIWFYYIMPTSWSLNGMLNSQYGDVEEDIKVFGEKKTMDAFLRDYFGYHHDQLPIVAVVLIMFPLLFACLFTLCIQKLNFQRR >itb15g07580.t1 pep chromosome:ASM357664v1:15:5186025:5190513:-1 gene:itb15g07580 transcript:itb15g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSSLEHDGVVGGKEGFGEKEEENESMFSMKNFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGILSGILFQIFYGLIGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQAEGVAHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTIPSATAVYWAFGDELLDHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTAMYAINIFIVGWVFVVGFGFGGWASVTNFVRQIDTFGLFAKCYQCKPPKPVALPPRAAPNVTGHH >itb05g04600.t1 pep chromosome:ASM357664v1:5:4217186:4231431:-1 gene:itb05g04600 transcript:itb05g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAGPLCLQSRSEEREVRQPAGTSVNIIVGSHVWIEDPDIAWLDGEVNKISGNEAEIQTSDGKTVVRKLSNVYPKDTEVPHGGVDDMTKLHYLHEPGVLQNLKVRHELNEIYTYTGNILIAINPFQKLPHLYDTHMMHQYKGAPFGELSPHVFAVADVAYREMVNEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAVTEGRTVEQQVLEDIEKFKLGHPNTFHYLNQSKCHELVGVSDDAHDYLATRRAMDIVGIHKEDQDAIFRVVAAVLHIGNIAFAKGKDMDSSVLKDDKAKFHLKTAAELLMCNPVALEDALCKRVMVTPEEVIKRSLDPHSAALSRDALAKTIYSRLFDWLVDKINVSIGQDVNSKCIIGVLDIYGFESFKVNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYKKEAIDWSYIEFVDNQDVLDLIEKKPGGVVALLDEACMFPKSTHETFSNKLYQTFKNHKRFIKPKLSRTDFIVSHYAGEVLYQSDQFLDKNKDYVVPEHQDLLSASNCSFVKGLFPPLPEESKSNKSSKFSSIGSRFKLQLQSLMDTLNATEPHYIRCIKPNNLLKPGIFENQNILQQLRCGGVLEAIRISCAGFPTRRPFFEFINRFGLLAPEGLVGNYDEKVACRNVLEKWGLKGFQIGKTKVFLRAGQMAELDARRAEVLNSAAKVIQGRIRTHNARKLFLAIRKAAVSMQALCRGRVAGKLFEKLKKEAAALRIQAHTRRHQARKSYVRLRNSVLVVQTGLRSMDAHKRLRFRKQTKAATLIQAQWRCHKTFRLYKRLKRATILAQCRWRGKVARRELRKLKMAARDTGALQEAKNKLEKELEELTWRLQLEKRLRTDLEEAKTQEIAKLQESLEAMQKKVDEAKALAVKEQEAAKKAIDEAPPVVQETPVYVEDTEKLESLTEEVKSLQASLEHEKQDSDDLRRKYAEAQESNEEYRTKLEETEKKNNQLQESVNRLEEKLTNMESENKVLRQQAVSMTPNKFLSGRSRSFIQRSSESSHSFGETRMHVELHSPSMNQRDFPEVEDKPQKSLNDQQQENQDLLVRCIAQNLGFSGNKPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAVEKAQDNNDILAYWLSNASTLLLLLQRTLKASSAAGMAPQRRRSSTSLFARMTVSQSFRGTPHGVNLSFGNGGLSGGVDTIHQVEAKYPALLFKQQLTAYVEKIYGLIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANSAAQQALIAHWQGIVKSLGIFLKTLKGNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYTATDEYAGQSWDELKHIRQAIAFLVKPYPPSIYSSNHVCLTANTI >itb14g12820.t2 pep chromosome:ASM357664v1:14:14565007:14574897:-1 gene:itb14g12820 transcript:itb14g12820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MPLSLSLSLLSSVSLRSSSPPAYLRTPTSVSLSTRSLLPPSVHRRRRRCCFLNFSRRHCLPPAAAQFYSEEDELLEIEGSDTEDDEEESLDVDALEREAKQAAREFSESLSRQLRTEDEKTSEKETRKQKRLKSTSSVPDHLLPKVVIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGEYEFMVVDTGGVLTISKLQDDVMDELAISTTIGMEGIPLATREAAVAKMPSMIERQATFAVEESSVIVFVVDGQAGPTAADVEIGDWLRKNYSHKCIILAVNKCESPRKGIMQASDFWSLGFSPLPISAISGTGTGELLDHVCSGLKKVEKYRLIDTAGIRKKATVASSGSITEALSVNQAFRAIRRSDVVALVIEAMSCITEQDCKIAEKIEKEGKGCLIVVNKWDTIPNKNQSTAIDYEQDVRGKLRVLGWAPIVYSTAIAGHSVDKIIVTADAVEKERSRRLTTATLNQVVREAVAFKSPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFSETYRRYMEKQLRSSAGFSGTPIRLLWRSRRKMEKDGGKVSRETSTTLERRLEVAK >itb14g12820.t1 pep chromosome:ASM357664v1:14:14565007:14574897:-1 gene:itb14g12820 transcript:itb14g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MPLSLSLSLLSSVSLRSSSPPAYLRTPTSVSLSTRSLLPPSVHRRRRRCCFLNFSRRHCLPPAAAQFYSEEDELLEIEGSDTEDDEEESLDVDALEREAKQAAREFSESLSRQLRTEDEKTSEKETRKQKRLKSTSSVPDHLLPKVVIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGEYEFMVVDTGGVLTISKLQDDVMDELAISTTIGMEGIPLATREAAVAKMPSMIERQATFAVEESSVIVFVVDGQAGPTAADVEIGDWLRKNYSHKCIILAVNKCESPRKGIMQASDFWSLGFSPLPISAISGTGTGELLDHVCSGLKKVEDTEALEDEENYIISVAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTGSDGQKYRLIDTAGIRKKATVASSGSITEALSVNQAFRAIRRSDVVALVIEAMSCITEQDCKIAEKIEKEGKGCLIVVNKWDTIPNKNQSTAIDYEQDVRGKLRVLGWAPIVYSTAIAGHSVDKIIVTADAVEKERSRRLTTATLNQVVREAVAFKSPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFSETYRRYMEKQLRSSAGFSGTPIRLLWRSRRKMEKDGGKVSRETSTTLERRLEVAK >itb05g04500.t1 pep chromosome:ASM357664v1:5:4083655:4093212:-1 gene:itb05g04500 transcript:itb05g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMLSSSILDELTKMNISAIAYSTLALLAIWVVHWTYRWRNPKCNNGVLPPGSMGLPLIGETLQLTIPSPSLDLHPFINTRIKRYGSIFKTSIAGRPVVVSADPDFNTFLLKHEKKLIEPWSMDTTAKVFGQELQNSKRYTRNIALNHFGVEAIRKLKLLPQMETFIRLALLKWSTQDSIELKTTGISMTVDFASNQIFSGDLEDSSSTIGNMYKDLLQGLMSFPINLPGTTYHKCMQVQKKVNEAMREVLRKRMSGRGGRHQEAEDLLEHLIKDMESMEFLSEDFAVHLLFGLLFVASDSVSTALAFIFKLLAEHPNVLEELTAEHETILKNRENPDAPLTWEEYKSMTFTLQVINEILRLVNTAPGLFRRAITDIPINGYTIPSGWVILIAVSALHLNPDTFEDPLAFNPWRWQCLERYEKLIGSEELLKGNAATRVLVANYTQTSRQGMTLLRTPQRTPANKQDAIMMGAENQRRLSQSQTPLLGGENPMLHPSDFSGVTPKKKEIQTPNPLLTPSATPGGTGLRS >itb01g05040.t1 pep chromosome:ASM357664v1:1:3430741:3432423:1 gene:itb01g05040 transcript:itb01g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMEAFANQFAAIFVLFFVTSFVMMKAEAAHHKVDHVTCSNRGSKCFLKYLTCPYQCPQTHPKDPTAQACFLDCYSPKCEAVCKSRKPNCDGPGAACYDPRFIGGDGIVFYFHGKKNEHFTLISDTNLQINARFIGLRPLNRTRDFTWIQALGIMFGSHNFTIAAAAAENWDDQTDHLEFRYDGASAVIPQGQSSQWTSPDGSLKLERTFPRNSATVTVADIAEVSANVVPVTEQENAIHGYGIPANNSFAHLEVQFRFFSLSPRVEGVLGRTYRPDFRNPAKPGVEMAVVGGEDKFRTSSLLTADCNSCVFAPGNVTADVEREYYGTVDCATGGTDGGYGIMCKK >itb10g18470.t1 pep chromosome:ASM357664v1:10:24450525:24451165:1 gene:itb10g18470 transcript:itb10g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTASLIAIAGIILGWITIEMACKPCLEKGREAMDRNLNPDYDPDDHDIRAPLNPDPTPAEAYSTPSSAVKTV >itb09g26810.t1 pep chromosome:ASM357664v1:9:27452410:27453164:1 gene:itb09g26810 transcript:itb09g26810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKKWQKFAAIRRKRISFPSLNDDTDCCSTSSAVSEGHFVVYTDDQKRFVVPLSYLENETIRQLLNMSKEEFGLPSDGPITLPCDAAFMNYIISLLSRGLSKELENALLISFTSSRCSLASLHQEGWRNQELVVC >itb15g05420.t1 pep chromosome:ASM357664v1:15:3481457:3486565:1 gene:itb15g05420 transcript:itb15g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNTEPLLKQSEQNGETNGGHLEDEYFCYDESCAGCRVELLKRADAGVPVKHVFFISMVTLCGSLPITSLFPFLYFMIRDFHIAEREEDISYYAGYLGSSFMLGRALTSVVWGIIADRYGRKPVVIIGTVTVVIFNALFGLSVNYWMAIITRFLLGALCGIIGTMRAYASEICRREYHALGISAVSTAWGIGLVIGPAVGGYFAQPAEKYPGTFSAESFFGKFPYFLPCLMISMFALVTTVMSFWLPETMHTHKKEHKKEHDVTQNSKQPEHGVPTSQKSLLKNWPFISSILVYCVFQLHDIAYLEIFSLWAVSPRKLGGLSYTTADVGQVLAITGIGLLLFQLFAYPLVENVLGPVMISRIGAALSIPLLSSYPCIALLSGLCLSVVLNCASMLKNVLSVSITTGLLILQNRAVSQEQRGAANGISMSAMSLFKTIGPAVGGSLLSWSQLRQDAKFMPGDQLVFFVLNVFELLGLFMTFKPFLQLPEDDISKSKQDGAAEDNYIQHRIALPELP >itb13g20780.t1 pep chromosome:ASM357664v1:13:27513603:27516935:1 gene:itb13g20780 transcript:itb13g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKIIAVCQSGGEFVTNKEDGSLTYTGGEAYALDLDDQTTLDDFKQELADTFQCVTNGMTIKYFLPGNKKTLITVSKDKDLKRMINFFQDSDQVDVFVMAEEAAVRNVSIMPASRSSRTTVSEADLTPPTPMDLINPNDQIVVDTPIDISPIGVFPTINDERHRRAAMQWENTITGVDQRFSSFAEFREALHKESLQDIFGKDCYHGYCLRYLAEKLNKDLKGQFSHEARRLMVQDLYAAAYASKLELFERCAESIKAISPEAYNWVIRSEPDHWANAFFGGARYDQLTCNFGQPFYDWVAEVNELPITQMVDVLRGKMMELMYTRRVESSQWVTKLTPCMEQKLQNEIVTARSLQVLLSHGSTFEVRSETVDSVDIDHWDCSCKGWQLNGMPCCHAIAVFECLGSSPYDYCSRYFTTECYRLTYAESINPVPNVEKPVNGEQQIIAVVTPPPNKRPPGRPKMKQADPMDIIKRQLQCSKCKGLGHNKKTCEKVNRIEVAEQEQEQEQEEQPESLLLTGITTEEPEPEHEPDPPQVGA >itb05g23170.t1 pep chromosome:ASM357664v1:5:28358248:28363912:-1 gene:itb05g23170 transcript:itb05g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDTEIPFENNKVYVAVSEDVQDGFLTLDWALRKWANQSIVVVILYAVNNICKDYVCTPLGKFPASSVSEETLKDLEQNEEAKTQRILSKYMAFCDKVKTEILRIEKCNEPVEKIMVDLISGIRITKLVMSLKFMRPVCWKSRGTISGSFYVQRQKPGVIEDEKGAILARSREKRPTFRSYVGKMFNETYSAKGKKPCDLHSSSTSGHQWEKYREEIELYFTQLSSNAAEVSGSEAADELFQKLAKKPDMADNMTREEKIARIHEIQEVIQQIRKEVKANPARLARAKWAMSFCISRTREEKRAKIQEIQEVIQQIRKEAKANSARLAKAEWAISLCSSRAVELGGCINEEIAKRAELEKEFCSTKEELNRLQTETEEKKAMLSSILLLRDQLSRKLHLLSQAISQAELQMEEETRAQAAMVQEADKVRRQRDVFLRRIEFCNGNDAMGSGEQSFDYRQFTAAEIRAATDDLSERLRIKSGGDWTNVYKGCLNSTLVAVKMYSNSGIGDSEEAFQSKVKLLGSIRHPNILSMLGFCSELKCIVFEYMPNGCLRDILFSTYITSKRRDRSLNWLTRIHIAAEVSTGLSFLHEAKPRSMVHGRLNPSRILLDRNNVAKFGGFMPSMCYDASEISSDIRAFGNLILQLLSGRNWGGLVEEAIMMDQTALINVLDQKAGNWPLDLAVELGGIAVKCLGNHENEGQDVSMAMVARDMDKVKKKGDEVVRSAEFAVANEGDSDVEEDAEIPSAFLCPIFQDIMQDPHIAADGFSYEVEAIGEWLRSGHDTSPMTNLKLKHSLLTPNHVLRSLIHDWQKKRSDLPSTALVMFEVDNLDRRLISGNEMEPDPDSDSAKKKGRRADEVSVWRLKHLQRPLPPPHHNNNRKLFHNYSNHIFSRRGPGHPARHNHLTLHLRRPEAPRLYILSHRHLPADIHRRRRISPGEAVLHGGDAVDLPRN >itb10g06960.t1 pep chromosome:ASM357664v1:10:7916563:7918852:1 gene:itb10g06960 transcript:itb10g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTAASTVAVPSGEFLLNPVRLSGPCAGPITFSVTGVLKAPEKTFLDIPEWILLEDLQGITVTGNGVLDGQGAYAWSVNPCKVDPNCKPLPTNLKFGNIVHGRIEGITSRDSKFFHILIDGCKHVEIENIRITAPADSKNTDGIHIGQSQYVQVQNVDIGTGDDCISFGPGSTNVVISQVNCGPGHGFSIGSLGKSENEPDVNGIIVTNCNITNTTNGLRIKTWAPSTPNKVYNITYQDIILNGVQNPIVIDQHYCPNNACQDQV >itb15g20900.t1 pep chromosome:ASM357664v1:15:23561478:23563637:-1 gene:itb15g20900 transcript:itb15g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFNVTDQEGNKITDEAMLDYIMKTTTSETTEGRRALLKWKNTLDFNADVLHSWSIANLHNICWNWTGITCNNVGAIYKIKLDDFSLSGTLESLDFVSFPNLTRFSLYNNNFTGSVPYAIANLSHLVFLDLSSNCFVSFISSEIGRLTKFRLLNLGGNHLRGTIPSKISYLQHLTSLSLNDNSLTGQIPEAIFSNLSNLQTFDCGGNLFHGPFPPSLVKLSKLKQLVLSKNSFYGSIPPTIGNLSSLTKSSSWLQHVGRKYS >itb15g09880.t2 pep chromosome:ASM357664v1:15:7141884:7152769:-1 gene:itb15g09880 transcript:itb15g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAFPNLGKHCSVDDCRQIDFVRFTCNRCHKELLQFFSIPNRCSSTFLDSFYVSLYPASCRFLYSEFAALFLLSCPGSAPCPPPQTITLPPAVAQPPKDEGERCKYGRVYVYDLPSMFNEDLALKNCTDLHLWQCFLVSSFCHHKAESSHRATIPFNSSLAHRCCLVAETGIRRGTEVESRRRKSLNQSSFLHPSSHAKTAVRCMSNLPENAVYGGPKPQDPNQRTTLATLRQKHRKGEPITMVTAYDYPSAVHLDTAGIDICLVGDSAAMVVHGHDTTLPITLDEMLMHCRAVAKGAKCPFLVCDLPFGSYESSTTQAVDTAVRVLKEGAIDAIKLEAGAPSRITAAKAIVESGIAVMGHVGLTPQAISVLGGFRPQGRNVCSAVKVLETALAFQEAGCFSVVLECVPPPVAAATTSALKIPTIGIGAGSFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYGHVGVEINRALLQYKEEVTNGSFPGATYSPYKISAADMDGFLHELQKLGFDDAASAAATAAEKIQTPPK >itb15g09880.t1 pep chromosome:ASM357664v1:15:7140887:7152769:-1 gene:itb15g09880 transcript:itb15g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAFPNLGKHCSVDDCRQIDFVRFTCNRCHKELLQFFSIPNRCSSTFLDSFYVSLYPASCRFLYSEFAALFLLSCPGSAPCPPPQTITLPPAVAQPPKDEGERCKYGRVYVYDLPSMFNEDLALKNCTDLHLWQCFLVSSFCHHKAESSHRATIPFNSSLAHRCCLVAETGIRRGTEVESRRRKSLNQSSFLHPSRILSSNSKRYPFRMAFFNSITRSLVRKSLTSHAKTAVRCMSNLPENAVYGGPKPQDPNQRTTLATLRQKHRKGEPITMVTAYDYPSAVHLDTAGIDICLVGDSAAMVVHGHDTTLPITLDEMLMHCRAVAKGAKCPFLVCDLPFGSYESSTTQAVDTAVRVLKEGAIDAIKLEAGAPSRITAAKAIVESGIAVMGHVGLTPQAISVLGGFRPQGRNVCSAVKVLETALAFQEAGCFSVVLECVPPPVAAATTSALKIPTIGIGAGSFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYGHVGVEINRALLQYKEEVTNGSFPGATYSPYKISAADMDGFLHELQKLGFDDAASAAATAAEKIQTPPK >itb07g21090.t1 pep chromosome:ASM357664v1:7:25460766:25464089:1 gene:itb07g21090 transcript:itb07g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARYHQITVSLVFLAILPPLISSARDGDDFPSAYEVVESFDFPVGILPVGITGYDIDWKTGKFDAYLKDSCSFSLEGSYQLKYNSRISGDIQNGRITNLSGVSVKVFFLWLNIVEVIRSGDDLQFSVGIASASFSLDNFAVSPRCGCGLDCKSLGNEDHAEIRSNPFVSSI >itb02g08490.t1 pep chromosome:ASM357664v1:2:5334691:5336911:-1 gene:itb02g08490 transcript:itb02g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMRRDNKTDERAVEVEKDRVPKMASHFESLAEKVADDTAAADFRPAAVRVTETTVATTQHEDQGKTARERVAHDKQTQAGRHADIQQNPRPVRVGGIEFSSGQDARSGRDETTRRQGFEERPGGVKFEVHSGQGGGQSERRDESGLSLEEIGKYRQTAQQKSIDALQTAQHKYQKAKDTTNQNVQSGFQYLADKAGAAKDTALQKSQQAYDATKDSLSSAGKTAKDSTISGTQQAKDTLSGAGQTAKDYTTQSAQQTKNTLSSAKDYTVQSAQQAKDTLSSAGQTAKDYTAQSAQKTKDTLSSAGQTAKDYTTQSAQKTKDTLSSAGQTAKDYTVQSAQQAKDTISGAGQTAKDYTVQTAQQAKETLSKAGQAAKDYTVQAKDYASQKAGEAGNKASEAKERGAEMSKNAASYAGQKVTEAKDVTVETGKGAVGYAGKVAETLKDTATVGGWGAAQLTAGTAAQATKVAAGVAATVAGYAGKTAGAAKDVVAGAGRTAADYATDKLAAAKDYVVSAEESAAEYAARKKEEAKRQSQAKKQEPAKGEPGGRSSFISSEGNQETRRGEEGNQETRRGEGNRTKGEATGGGSTFISSSERKKGSTQETRRGEEGGWSTMLEPVGKMQTEYYEEGTGGEGGRGNKEQGGGGEGGAGVFQAIGETIVEIGKTTKDLMTGSDDQEPRYDEKESESTGMERKSYHHHTK >itb09g08640.t1 pep chromosome:ASM357664v1:9:5147925:5153113:1 gene:itb09g08640 transcript:itb09g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAETINPRDVCIVGVARTPMGGFLGSLSSLSATKLGSIAIESALKRANIEPSLVEEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYIAEARKGSRLGHDTLVDGMLKDGLWDVYNDCGMGVCAELCAENHNLSREDQDNFAVQSFERGIAAQNAGAFSWEIVPVEVSGGRGKPSTIVDKDEGLGKFDASKLRKLRPSFKETGGTVTAGNASSISDGAAALVLVSGEKAVKLGLTVIGKIKGYADAAHAPELFTTAPALAIPKAIKNACLEASQVDYYEINEAFAAVALANQKLLELDVEKVNVHGGAVALGHPLGCSGARILITLLGVLKQKNGKYGVGGVCNGGGGASALVLELV >itb04g05740.t1 pep chromosome:ASM357664v1:4:3718603:3720738:1 gene:itb04g05740 transcript:itb04g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIPHVFWGFTTHNALTMQFCKGHKVGKALAEALSEMIFVHGFLHGDLHPGNVLDSPEGRNVFSLVTSVNGVLLDFGICKELDEAFRLNYCQLWEALIFKDSNKIQQLGEYFGAGRNVNVDRHNRLREENGTRAVCEYVHEGVGDASRVTEQVREHVSISGAVDEEIEQMRGLEEECLGVKGAGDGCGLICEKVSEISDMVLQDQSVRLEINLELEKGLISTPPSEFAMKRAKRQRRNGVKIPNVSVSNHCSGSNSSLSLEDWFEGLKGKMEYDEVRIQLIEEARQDIILFLERSFVEMGRKVCAKNSNELALKAASLLLDRMKEDELDSSGSSKKQNKEMSEDRGEL >itb09g06910.t1 pep chromosome:ASM357664v1:9:3989701:3990018:1 gene:itb09g06910 transcript:itb09g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVRSLTWTKRRKKKKHCNNGGLLWRMRAAVKKAVNGGGRRQAKFQYDPSSYALNFDDGVGDDERAKYAVFTHRRRHDSCETENCSKIATAVPTLVCVLWMET >itb05g20840.t1 pep chromosome:ASM357664v1:5:26816177:26819985:-1 gene:itb05g20840 transcript:itb05g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKGKENPDTLSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGITNEFSSAAYQAKPMKPKRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGITSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb05g20840.t2 pep chromosome:ASM357664v1:5:26816306:26819841:-1 gene:itb05g20840 transcript:itb05g20840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKGKENPDTLSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGITNEFSSAAYQAKPMKPKRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGITSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb05g20840.t3 pep chromosome:ASM357664v1:5:26816306:26819841:-1 gene:itb05g20840 transcript:itb05g20840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSASSNKTQSRFPLQEEYLQRKTSRENLDRFIPNRSAMDFDYAHYMVTKGKENPDTLSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVEGITNEFSSAAYQAKPMKPKRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGITSELVTIDDENGPVTSVKWAPDGKHIAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb06g21250.t2 pep chromosome:ASM357664v1:6:23907297:23913664:1 gene:itb06g21250 transcript:itb06g21250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETGHHHHHHPPPPQGIPPPPPPVMPLGAARGPVYPPAEQLLHLHYCIHSNPSWVQTVLLAFQHYVVMLGTTVMIVNTLVPQMGGGAGDRARVIQGLLFTSGVNTLLQTLLGTRLPTVMGPSFAYLISALLVINDLSSDTFPSEHERFLHTMRAIQGSLIVSSFINIILGYGKVWGNLTRFFSPIVIAPLVIVLGLGLFSRGFPQLADCVEIGLPMLILLVVCQQYLQHVVHHHQLARSILERFALLFCVGLVWAFAAILTVAGAYNHVKERTALTCRTDRANLISSAPWIKIPYPFQWGTPIFRASHVFGMMGAALVTSAESITTFYAASRLAGATAPPAFVISRSIGLQGIGQLIDGFFGGVVGTAASVENVGLLGLTRVGSRRVVEISSAFMIFFSIFGKFGAFFASIPLPIFAAIYCILYGIVAAVGVSYIQFANNNSMRNIYVLGVSLFLGISIPQYFVMNTDISGHGPVKTQAGWVRKPTFLNLAILLTDSKCW >itb06g21250.t1 pep chromosome:ASM357664v1:6:23907292:23913664:1 gene:itb06g21250 transcript:itb06g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGETGHHHHHHPPPPQGIPPPPPPVMPLGAARGPVYPPAEQLLHLHYCIHSNPSWVQTVLLAFQHYVVMLGTTVMIVNTLVPQMGGGAGDRARVIQGLLFTSGVNTLLQTLLGTRLPTVMGPSFAYLISALLVINDLSSDTFPSEHERFLHTMRAIQGSLIVSSFINIILGYGKVWGNLTRFFSPIVIAPLVIVLGLGLFSRGFPQLADCVEIGLPMLILLVVCQQYLQHVVHHHQLARSILERFALLFCVGLVWAFAAILTVAGAYNHVKERTALTCRTDRANLISSAPWIKIPYPFQWGTPIFRASHVFGMMGAALVTSAESITTFYAASRLAGATAPPAFVISRSIGLQGIGQLIDGFFGGVVGTAASVENVGLLGLTRVGSRRVVEISSAFMIFFSIFGKFGAFFASIPLPIFAAIYCILYGIVAAVGVSYIQFANNNSMRNIYVLGVSLFLGISIPQYFVMNTDISGHGPVKTQAGWFNGILNTIFSSPPTVAMIVGTILDNTLEARNTVEDRGLPWFVPFHRRKGDSRNEEFYSYPIRFGEYMPTRFMR >itb11g11080.t1 pep chromosome:ASM357664v1:11:8042536:8043792:1 gene:itb11g11080 transcript:itb11g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSSKLRLLLGNGNATLRRLKTGAAFSAAASMEVEVKLRLPDSASHQRLSTVLSPYHLKTHAQENVFFDGASAELSSNLAVLRLRFYDLDSRCVISLKGKPLISNGISRIEEDEEQLDPNIGRACAAEPWRLLLIDDCKIVQRVKSEYAIGEKGLVCLGGFRNLRGVYEWNGLKLEVDETHYEFGMGYEIECESSEPEIAKNLIEELLNSHEIQYSYSEVSKFAIFRSGKLPHQ >itb05g04910.t1 pep chromosome:ASM357664v1:5:4569699:4577738:1 gene:itb05g04910 transcript:itb05g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRTAGGGGGGVDLLSERAAMMREAVQQSQSITDNMVSILGSFDHRLSALETAMRPTQIRTHAIRRAHENIDKTLKAADVILGQFDLYRQAETKILKGPHEDLESYLKAIEQLRSNVKFFSNNKSFKSSDGVLSHSNTLLAKAISKLEEEFKHLLSSYSKAIEPDRLFECLPNSLRPSAGSPGDQASGKNPSSNSHAEHDNNGTENAVYTPPTLIPPRVLPLLRDLAQQMIQAGHQQQLVKIYRDARSPVLEESLRKLGVEKLSKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDQIFEGFDSLRDQCFAEVTMGSVAVLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEIEELFQGKACNEIRESAFGLTKRLAQTAQETFSDFEEAVEKDATKTAVSDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENGEESNSQLASVTMRIMQALQTNLDGKSKQYKDLSLTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRIAWAKILQCLSIQGLTSSGGSGSVGGVDGQNSSGVSRAIVKDRLRIFNSQFEELHQRQTQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGPLVDNGKNPQKYIRFTAEDLEHMLGEFFEGKTVNDPKR >itb01g10840.t1 pep chromosome:ASM357664v1:1:9432051:9437288:-1 gene:itb01g10840 transcript:itb01g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MFSPLIISPQCRVYTLACSWWQGFHHFSKSGRVSFRFKVLASHKYGPDSRYNRAENRRSRSTLTTQYKGKAKDSLCTKTTFRHEISDQINISKVDAYKSEITELETIEYHDIRQKIVENKELAKLVTLIVFDIETTGFSRENDRIIEIALRDLGGGENSTFQTLVNPGRDVLNEHIHGISTQMVRRAAVPRMEDLIPILLQYVRSRQKAGGYVVWIAHNARTFDVPFLISELRRCSYSIPSNWLFIDTLPLAREAMKKYGGGSKVKLQAMGEHYKIPLDGSAHRAMSDVNLLTLVFQTLSFELKLTLSELVGQHSVWFSEVGNSKKKKNNSSKL >itb01g10840.t2 pep chromosome:ASM357664v1:1:9432160:9436441:-1 gene:itb01g10840 transcript:itb01g10840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MFSPLIISPQCRVYTLACSWWQGFHHFSKSGRVSFRFKVLASHKYGPDSRYNRAENRRSRSTLTTQYKGKAKDSLCTKTTFRHEISDQINISKVDAYKSEITELETIEYHDIRQKIVENKELAKLVTLIVFDIETTGFSRENDRIIEIALRDLGGGENSTFQTLVNPGRDVLNEHIHGISTQMVRRAAVPRMEDLIPILLQYVRSRQKAGGYVVWIAHNARTFDVPFLISELRRCSYSIPSNWLFIDTLPLAREAMKKYGGGSKVKLQAMGEHYKIPLDGSAHRAMSDVNLLTLVFQTLSFELKLTLSELVGQHSVWFSEVGNSKKKKNNSSKL >itb05g15640.t1 pep chromosome:ASM357664v1:5:23031056:23032258:1 gene:itb05g15640 transcript:itb05g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGRKSLASRVKSMANLAISRISILKNQHHVRCSYARSDIVQLLHLGHHDRALLRAVLVIKEQNMCDALAMMENYCHLLIERRALLDSNMECPEELREGISGLIFAASRFGDFPELQQLRHIFASTFGQEFTLQCVELSKSCGVHPKMIEKLSTHLHEAAGREKRLKIVNQIAIDSGIGLRADENMDNNQDKQRSQSTQEE >itb03g05670.t1 pep chromosome:ASM357664v1:3:4020814:4024524:1 gene:itb03g05670 transcript:itb03g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVHLASPESNGTNHEDGNDSGFRTLDPTAPTFILPAETFLQAAVSLKDQVVDMTWKARGGSAVTKMDPTVYTGLLGTAYTCLRSFQATGNRHDLRLCADIVDTCASAARATIRHTTFLCGRGGLYALGAVAANYCGDQQKRDFYLDCFFEVAQEKALPVGPEEGGFGMSYDLLYGRAGFLWAALFINQHLGSEAVPNEILMPVVEAILEGGRAGSSDNSICPLMYRWHGTRYWGAAHGLAGILNVLLHFPLSEDGVEDVKATLRYMTNNRFPHSGNYPVSEGNPRDKLVQWCHGATGIGITLCKASQVFPNDREFRDAAIEAGEVVWKSGLVKKVGLADGTAGNAYASLSLYRLTGESIYEERARAFGSFLYHNAGDIMAGSQGDGAAADHAYSLFQGLAGVACLWFDLIAPNNSRFPGYEI >itb03g05670.t2 pep chromosome:ASM357664v1:3:4020859:4024524:1 gene:itb03g05670 transcript:itb03g05670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVHLASPESNGTNHEDGNDSGFRTLDPTAPTFILPAETFLQAAVSLKDQVVDMTWKARGGSAVTKMDPTVYTGLLGTAYTCLRSFQATGNRHDLRLCADIVDTCASAARATIRHTTFLCGRGGLYALGAVAANYCGDQQKRDFYLDCFFEVAQEKALPVGPEEGGFGMSYDLLYGRAGFLWAALFINQHLGSEAVPNEILMPVVEAILEGGRAGSSDNSICPLMYRWHGTRYWGAAHGLAGILNVLLHFPLSEDGVEDVKATLRYMTNNRFPHSGNYPVSEGNPRDKLVQWCHGATGIGITLCKASQV >itb12g21100.t1 pep chromosome:ASM357664v1:12:23500670:23503415:1 gene:itb12g21100 transcript:itb12g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGIGSRNQKTFRPKKSAPSGSKGAELQKHIHQTLGSGNLREVVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTPTTCPTMNAGPRYEYRWADGVAIKRPIEVSAPKYVDYLMDWIEKQLDDESIFPQKLGAPFPANFQDVVKTVLKRLFRVYAHIYHSHFKKIVSLKEEAHLNTCFKHFVLFTWEFQLIDEGELAPLHELVGSILQA >itb12g21100.t2 pep chromosome:ASM357664v1:12:23500670:23503078:1 gene:itb12g21100 transcript:itb12g21100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGIGSRNQKTFRPKKSAPSGSKGAELQKHIHQTLGSGNLREVVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTPTTCPTMNAGPRYEYRWADGVAIKRPIEVSAPKYVDYLMDWIEKQLDDESIFPQKLGAPFPANFQDVVKTVLKRLFRVYAHIYHSHFKKIVSLKEEAHLNTCFKHFVLFTWVSFVE >itb01g20680.t1 pep chromosome:ASM357664v1:1:26898094:26899805:-1 gene:itb01g20680 transcript:itb01g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPGFGLFNPDNPQVNGSGLTKSIPTCLENANKSRPYAVVSLMAASSSKPSLCHDEEISYSFEELDLSFGSFTPGEILNIIRSSRSSNMKKTNAFVRMAKMQKGSVELLKRIVYEDPMQWQEILDEILECILEEITNEYGHHLFLMILERCDLHQFQTIIDTLELNEALLINASFMKHGSIAIQGFIKKLKLKGLAHSITSLLSKRFVELMTSEHGRYLVLQCIYTFGAKENEVLFSCAMHHFKEVATTKYGCSSLNDFLKLMSIVLENALALNDEEAIHKICDRLKMEFINLPRRGSGSLVQTCTRASKYGMKSVVESLLQASENTLLELASERFGTYVIQVALILTRTHDVELYELLAASLKPLNFALSLTKYGTQVNLMMEAL >itb04g11570.t1 pep chromosome:ASM357664v1:4:11249202:11253957:-1 gene:itb04g11570 transcript:itb04g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSHHLLFISLALLLFFPLACFSRPHLRRVLLSHETGSYAVIFDAGSTGSRVHVFHFDESLDLLPIGDGVEFFLKTTPGLSSYADDPEAAAKSLEPLLLEAEGVVPTELQPDTPLELGATAGLRMLDGDASDKILQAVRDMFKNESNFKYKAEWVSILEGTQEGTYFWVAMNYLLGNFGKGYQNTIATIDLGGGSVQVSYAISGDTFANAPTPQDGEEPYVQEKYILGAQYYLYVHSYLNYGQLASRAEMFKASGNSTNPCVLEGYDGYYTYNGVSYKVTAPPNGASLKNCRKLVRKVVDFRAPCKYKNCTFNGVWSGGEGAGMENVYISSFFYDIAADVGIIDQEKASKIVKPRSYLVAAKLACETKFEDVKSTFPNAVEKDYPYLCMDLVYQYTLLVDGLGLHPYKEITLMKEVEYKNYMVEAAWPLGCAIDVISSSANKLLSKY >itb11g20540.t2 pep chromosome:ASM357664v1:11:21725054:21726737:-1 gene:itb11g20540 transcript:itb11g20540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFSKIGNAIPFSSLFRQLEQDVETVVKALQPGALGIIEHKFNLEEIQKAKDTVERAVANWQRHAKTEKHNPYLKDFIDG >itb11g20540.t1 pep chromosome:ASM357664v1:11:21722698:21726737:-1 gene:itb11g20540 transcript:itb11g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFSKIGNAIPFSSLFRQLEQDVETVVKALQPGALGIIEHKFNLEEIQKAKDTVERAVANWQRHAKTEKHNPYLKDFIDG >itb01g14400.t1 pep chromosome:ASM357664v1:1:16220806:16225875:1 gene:itb01g14400 transcript:itb01g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWSDGSTIRLARLLAPTSSQEVGRSQRQVRRSIVYGEQPRNSSAPELVGLLSELNEAHEELDNKVNPLLSKDDWWMLRRTWKANGGRLEIPLGYLQHACIWVDLKAFTATLDRDIGSSSSMPSASGYRSHIIHGTEKEIA >itb08g06130.t4 pep chromosome:ASM357664v1:8:5262772:5266583:-1 gene:itb08g06130 transcript:itb08g06130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSLLLQATRFAFHVLSWYTSIRAIESDKESCYQHCLKCWVLFAIITLLEWTFAEFVLWIYYWPHVKGLAYLLLALPYSGSASFIYSRYVKPYITPDSCVHSIFLIPEMINFTFGKQNECTDAALAHIEEYEEEKWESLFNHKGESDLTLNQHSKPQKVQKQWNCAVCEVSATSKNYVGKHMKGTKQNQEEELIATNKLARSAQEYREEVSARSVICETYYQPANLKNVQKEWSCPLCLVSTSGEKNLREHMQGKKHKLKEKEFKEIEAVCLKEVQSASKINAADYRVTGLLESLKLIQLTGLFNRPIKLCSWKKPDRGWIKLNTDGSVDKGHAGFGGLLRDHNGAPICAYVCKAPRVDSFMVELWGIWRGVQLASNMGIKFIWVESDSMSAVKSINREQPLYAPMASACLECIWETLTKFDKYRVSHSYRESNKAADCLSKIEVKGRDDVVLLPVDFPRCLKKMIQDDAQGKWYYRS >itb08g06130.t1 pep chromosome:ASM357664v1:8:5262754:5266588:-1 gene:itb08g06130 transcript:itb08g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSLLLQATRFAFHVLSWPSVTVVCPLYTSIRAIESDKESCYQHCLKCWVLFAIITLLEWTFAEFVLWIYYWPHVKGLAYLLLALPYSGSASFIYSRYVKPYITPDSCVHSIFLIPEMINFTFGKQNECTDAALAHIEEYEEEKWESLFNHKIESYHTLEQKSSLKEIQYEEVRENFVTFKGESDLTLNQHSKPQKVQKQWNCAVCEVSATSKNYVGKHMKGTKQNQEEELIATNKLARSAQEYREEVSARSVICETYYQPANLKNVQKEWSCPLCLVSTSGEKNLREHMQGKKHKLKEKEFKEIEAVCLKEVQSASKINAADYRVTGLLESLKLIQLTGLFNRPIKLCSWKKPDRGWIKLNTDGSVDKGHAGFGGLLRDHNGAPICAYVCKAPRVDSFMVELWGIWRGVQLASNMGIKFIWVESDSMSAVKSINREQPLYAPMASACLECIWETLTKFDKYRVSHSYRESNKAADCLSKIEVKGRDDVVLLPVDFPRCLKKMIQDDAQGKWYYRS >itb08g06130.t3 pep chromosome:ASM357664v1:8:5262772:5266583:-1 gene:itb08g06130 transcript:itb08g06130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSLLLQATRFAFHVLSWYTSIRAIESDKESCYQHCLKCWVLFAIITLLEWTFAEFVLWIYYWPHVKGLAYLLLALPYSGSASFIYSRYVKPYITPDSCVHSIFLIPEMINFTFGKQNECTDAALAHIEEYEEEKWESLFNHKIESYHTLEQKSSLKEIQYEEVRENFVTFKGESDLTLNQHSKPQKVQKQWNCAVCEVSATSKNYVGKHMKGTKQNQEEELIATNKLARSAQEYREEVSARSVICETYYQPANLKNVQKEWSCPLCLVSTSGEKNLREHMQGKKHKLKEKEFKEIEAVCLKEVQSASKINAADYRVTGLLESLKLIQLTGLFNRPIKLCSWKKPDRGWIKLNTDGSVDKGHAGFGGLLRDHNGAPICAYVCKAPRVDSFMVELWGIWRGVQLASNMGIKFIWVESDSMSAVKSINREQPLYAPMASACLECIWETLTKFDKYRVSHSYRESNKAADCLSKIEVKGRDDVVLLPVDFPRCLKKMIQDDAQGKWYYRS >itb08g06130.t2 pep chromosome:ASM357664v1:8:5262754:5266588:-1 gene:itb08g06130 transcript:itb08g06130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSLLLQATRFAFHVLSWPSVTVVCPLYTSIRAIESDKESCYQHCLKCWVLFAIITLLEWTFAEFVLWIYYWPHVKGLAYLLLALPYSGSASFIYSRYVKPYITPDSCVHSIFLIPEMINFTFGKQNECTDAALAHIEEYEEEKWESLFNHKGESDLTLNQHSKPQKVQKQWNCAVCEVSATSKNYVGKHMKGTKQNQEEELIATNKLARSAQEYREEVSARSVICETYYQPANLKNVQKEWSCPLCLVSTSGEKNLREHMQGKKHKLKEKEFKEIEAVCLKEVQSASKINAADYRVTGLLESLKLIQLTGLFNRPIKLCSWKKPDRGWIKLNTDGSVDKGHAGFGGLLRDHNGAPICAYVCKAPRVDSFMVELWGIWRGVQLASNMGIKFIWVESDSMSAVKSINREQPLYAPMASACLECIWETLTKFDKYRVSHSYRESNKAADCLSKIEVKGRDDVVLLPVDFPRCLKKMIQDDAQGKWYYRS >itb03g22080.t1 pep chromosome:ASM357664v1:3:20049230:20056010:-1 gene:itb03g22080 transcript:itb03g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLVVGVPWLRMSLIPKEVAPPIFRQSSLYCRNGSARNSHSVYYHCFPKRAFSVSASSTQTYSTLNRGAQGEEVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFILRIEDTDLERSTRESEEAMLSDLSWLGLNWDEGPGIGGNYGPYRQSERNSLYKEYAEKLLQSGHVYRCFCSNEELEKMKEIAKLKQLPPVYTGKWASATEEEVQEELEKGTPYTYRFRVPSEGRLKIDDLIRGEVSWSLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQFKEMGYLPQAMVNYLALLGWGDGTENEFFSLEQLVEKFTIERVNKAGAIFDSTKLRWMNGQHLRSLPSEELIQIIGSCWKDAGILTESGGDFIQEAVQLLKDGIDLISDSEKALSNLLSYPFHETLSSPEGKPVLEDRLSEVAESLLAAYSSGELFGALEEGQPGWQKWVKSFGKSLKRKGKSLFMPLRVLLTGKLHGPDIGSSVALLYKAGNSGVVASQVGFIPLDERFKMLREVDWESFSKDPPILESAGAATH >itb06g23000.t1 pep chromosome:ASM357664v1:6:25150421:25153225:1 gene:itb06g23000 transcript:itb06g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSHSAVSSLLCTEDSGSIFCDEVDGVGNEFLGDEFGDKWFPKNHRTNLWESLTGLPVYSEECLVWMIHRESEHLPASDYLTRLRTGDLVIEARVQALDWIFKAHAHFNFGPLCAYLAVNYFDRFLSAYELPKGKAWTMQLLAIACLSLAAKMDEDGAPSCLDIQVGDANLVFEAKAVKRMELLVLSTLNWRMQSVTPASFVDFFFKKINGDRDASRFSIAKSLQLVQSMLKGIYFLEFRPSEIAAAVVISVSVETEAIDIEKALSALTPYVQKDRVIYCLKIMELPLLSSYGKDLRASIPFVPQSPIGVLDAACLSYKTDDSGVKRRRLNGPREMDHTT >itb06g23000.t2 pep chromosome:ASM357664v1:6:25150795:25152797:1 gene:itb06g23000 transcript:itb06g23000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSHSAVSSLLCTEDSGSIFCDEVDGVGNEFLGDEFGDKWFPKNHRTNLWESLTGLPVYSEECLVWMIHRESEHLPASDYLTRLRTGDLVIEARVQALDWIFKFDILINCNGGLAQQAHAHFNFGPLCAYLAVNYFDRFLSAYELPKGKAWTMQLLAIACLSLAAKMDEDGAPSCLDIQVGDANLVFEAKAVKRMELLVLSTLNWRMQSVTPASFVDFFFKKINGDRDASRFSIAKSLQLVQSMLKGIYFLEFRPSEIAAAVVISVSVETEAIDIEKALSALTPYVQKDRVIYCLKIMELPLLSSYGKDLRASIPFVPQSPIGVLDAACLSYKTDDSGVKRRRLNGPREMDHTT >itb14g07890.t1 pep chromosome:ASM357664v1:14:7281031:7286470:1 gene:itb14g07890 transcript:itb14g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDNIPLFFFLLKAAVQSHLSRWSHRCRVSSGQQPTVSHILPCLPDLLLESSAAGHKPYTTVDCATQIEGGTEEAGDVFDRNAAYPTSLSRPSSPCHLFRMDR >itb12g25600.t1 pep chromosome:ASM357664v1:12:26801100:26802534:-1 gene:itb12g25600 transcript:itb12g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLTVGRVIGEVVDNFTPSVRMTVTYNSNRQVFNGHELMPALIAAKPRVEIGGDDLRAAYTLIMTDPDAPGPSDPYLREHLHWIVTDIPGTTDASFGREIVRYEAPKPVIGIHRYVFLLFKQRARHSVRAPPSRDRFNTRGFCEEQGLGTPVAAVYFNAQRETAARRR >itb04g31380.t1 pep chromosome:ASM357664v1:4:34285912:34288377:1 gene:itb04g31380 transcript:itb04g31380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSKTTSISPCLFVFSFTNVGNITAGMKCKPTTPTKCATMNSFSPASEQITEIAAPKKTPRPGRPNSCPLTPVDFLERAATVYGDCPSVVYNDTAYTWSETHERCLRLASSISRLGIEKNDVVSVLAPNIPAMYELHFAAPMAGAILNTINLRLDARTVSIQLLHSESKLVFVDRQSSSLVLEAISLLPTTSLSQRPILILISDDEESDFRGFHDCYENMVRGGDPGFSYVGPESEWDPIALNYTSGTTSSPKGVLYSHRAAFSVTFTSLLHWWVPRQPVYLWTLPMFHANGWSYTWGMAAVGGTNVCLRRVDPASINDAIEKHGVTHMCGAPVVLNMLANYNCANRKPLASPVDILTAGAPPPAAVLERVEALEVKDRLKDVIICGGENLSSVEVESVLYMHPAVDEAAVVARPDKFWGETPCAFVSLKKGMMAKPTEKEMREFCKERLPLFMVPRKVVFLAALPKTSTGKIQKFLLRYLSSADAMNANLSAIGVEINQA >itb09g08830.t1 pep chromosome:ASM357664v1:9:5263691:5264830:1 gene:itb09g08830 transcript:itb09g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFDDTSFWNELNLPSLLQFENELYTLLEPTVEGEQVIDVEPVAQVVPPVLPKPLEEVAVEEKKKTPVKKTLCDSRTITKEMISSYFHLPISEAAVQLKLGLTTLKNRCRILGISRWPRIKLLKLEDEDDKEIPISSPEQDYRPFFNHAENPPLDFHFNNPTSSPTGKTLHQIQQSCCFAEQLDFDWGLVTDMLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELSVSEDKLKRMCTKLGIKRWSYRKLQSMDNLLENLQYLSKDKTYAVRKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb08g14030.t2 pep chromosome:ASM357664v1:8:15633749:15634838:1 gene:itb08g14030 transcript:itb08g14030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTFPFPTTKQSNLHLLRYGRNERCACRRGNPSRRRASAEEADHNLLCNSAPSINGDLAKSRPPLTCQDLATRGGWISSDARSSNSRLFLHHIVHFLFGRRVSACTSLFIAFLVQLKLCRFWKMSRQLQRESDEVYSGAEL >itb08g14030.t3 pep chromosome:ASM357664v1:8:15633749:15634838:1 gene:itb08g14030 transcript:itb08g14030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTFPFPTTKQSNLHLLRYGRNERCACRRGNPSRRRASAEEADHNLLCNSAPSINGDLAKSRPPLTCQDLATRGGWISSDARSSNSRLFLHHIVHFLFGRRVSACTSLFIAFLVQLKLCRFWKMSRQLQRESDEVYSGAEL >itb08g14030.t1 pep chromosome:ASM357664v1:8:15633749:15634838:1 gene:itb08g14030 transcript:itb08g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTFPFPTTKQSNLHLLRYGRNERCACRRGNPSRRRASAEEADHNLLCNSAPSINGDLAKSRPPLTCQDLATRGGWISSDARSSNSRLFLHHIVHFLFGRRVSACTSLFIAFLVQLKLCRFWKMSRQLQRESDEVYSGAEL >itb11g09930.t1 pep chromosome:ASM357664v1:11:6773658:6774304:-1 gene:itb11g09930 transcript:itb11g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAPCSEPSRRPLSSKRPKRDRDERVTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYSTPEMAARAHDVAALSIKGTSAILNFPHLTDWLPRPASLHPRDVQAAAAKAAAMEDLNSGACSSSSPSQEEEEELAEIIELPTLNGSFESSSELKLVDSTEWWVLDDEFCGYFVDHQVGEGESVIL >itb02g06230.t1 pep chromosome:ASM357664v1:2:3872188:3874043:1 gene:itb02g06230 transcript:itb02g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGAEADKKPGAGAGAGGDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGNL >itb15g01070.t1 pep chromosome:ASM357664v1:15:595987:606045:1 gene:itb15g01070 transcript:itb15g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGSSIPELKDFQIGSWVPATPAKPGLLTLEPICKTVQENQQITANWSDLQTIPQDFCLGQINQLEPGGFLQGNDALTRDDRCDLTNLVTDGGVNSWEAAVASKSSMQEGALNLENFSIDHADNWNNVSFGNLLALAHAAGTTAPVENAPAHTAFDPLFSSQNADGSSVSSRISFNLNSPPGRDAASSSNSFQFAPITPVQNKGRQSMLNLNLDINEIPILKDVQENVEESDLQGNKEQSVLVRELSEMMENHKPDKTGELVAEANNAEANKTPQQKPRRKKHRPKVVVEGKAKRANKPRAPNTPGTEEIKAEKRKYTPRKGVDKPAATPLDEDSHIINPEIMSPGSSKTPKVDRKHPKRNRGAATPTDEDSNVTNPETTPPGSKETPKVKRMYPQRNQVQKPTKDPIEEGSSETIQPETASRPRRSSRRFLNFDFVDLEEYENFIEPSSNFDNSHVKNMCEQVKSMPAVRLEQDEKSDAELTEVNISYDLINHEIGKSISQPGTQIPDPSNPSKIDLRCDKLMDGNQTKCTKGKCKIIFSDATHDKEDYLPEMTAPQCASSSQNSSNCSSNVCLTEEAQLRGSKRQHSCLAEAELYKTSIAGIHYNSLQAYEAILSDFDRSIGMPFPTIYKKKRTEKGQIPATSCSKNLNTETHNVNATSQFEVHGVQTNPALQSPKYLQPLNTDVLKRKRSKGLTRVRDLASLHEICKQFPTYSSRKAAAKQHTGHLNTCMEALVAGTRPTMKTKKRSKRNSLNGTVAPNTHAQHQYTRTPIGSLPALMWRGSSPIDEIVERFTYLNINADNQGQYTLSTRNVKFQRETALVLYQRDGTIAPFTGVKKRKPRPKVDLDDETTRVWKLLLQDINSQGIDGTDEEKTKWWEEERKVFRGRADSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSMAARFPLKSKISEQLHEERTNITIEEPEVCIMDPDDTIAWHENSLNQPTPGQDSMAFREMGCKDEVVNSELTEGTSNCIKSTENFKTKDVDSSEKDPEDVHYGLTLDRPAKWINEEEASFHSGQTEADNVLSSQNSGVSSQNSVNSSHTQTVDITESTSLCSTSFIQLLQMAGTSMLHGVYNQENNSANMDMPNQQRDCSAEFQKNKEDDKLPVSIGEHYALEQSESSTESPNQATNQKIIAGENPMVNSDSQIHTEESNCNLLLDQECPTSLDIQDITGRASTVVDSLSNSDGQNKHLDTVDKRSSNPSKEKGGRSGTEKQNAVNWDHLRQQALASGKKRERTMNTMDSLDWEAVRCADVNEIAETIKERGMNNMLAERIKDFLNRLLKEHGSTDLEWLRDVPPDKAKEYLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQGTLYELHYHMITFGKVFCTKSKPNCNACPMRAECRHFASAFASARLALPAPEDKSIVPAFENKPAEQNTVDTINPLQLLLPQSNEQSVAQYGVNNSQPIIEEPATPEPIIEVPSTPVPDQIPSEADIEDAYSEDPNEIPTINLNLIQLAQNVKMFVKNNMELNQVEMSKALVALTPEAASIPMPKLKNISRLRTEHNVYELPDNHPLLEGLEKRDPDDPCSYLLAIWTPGETANSVQPPEMRCDSQESGKLCQEETCFACNSIREAQSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPIDVPRDWLWDLHRKTVFFGTSIPTIFKGLSTEDIQHCFWRGYVCVRGFDRKTRAPRPLMARLHFPASRLTKNKRKTDES >itb10g02770.t1 pep chromosome:ASM357664v1:10:2391369:2396716:1 gene:itb10g02770 transcript:itb10g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGQLPASLGNLSFLSLLDMSHNLLYGNIPKELGHLQRLKTLLLPTNNLTGQIPQQLGNLHRLEVLDMSTNNFVGSIPTSIFNISTLQSINLNLNGLSGTLPNNLCGNIPNLIELYLDRNRLSGPLPTNLSSCSWLQSLVLRRNEFTGFLPRGIGGLEMLRLLDLGVNRLTGEIPQEFGNLRNLRILNLRANHITGVLPKTIFNLTSLQLMQLLTNNLIGSIPREIGNLTSIQELDLYENSFSGTIPQEVRRLNEVEVLDFHSNNLSGLIPEVIFNITTLRIIDLSMNQHWGSLPSTIGHALPNLEQLYVSETMLSGVIPQSLSNCSQLSHIILGFNYLTGSIPKSLGDLRFLSFFSVVGNGLSSESSSPELSFIASLTNCRELYILDLSLNDFHGVLPKSIGNFSSSLHDLGIAGNGLRGSIRGEIGNLSGLQTIEMTGNELGGIIPDTLKGLQRLERLYLGNNKLRGPLPSSLCKLEKLGLIYLMENQISGPIPECLGNLISLRYIHLRSNRLMGRIPPNIWIMKDLLELDLCSNSLSGALSPDIGSLKAITLMCLSENRFSGTIPSTLGSLQNLISLLLAKNNLNGQIPETIAGMLSLESLDLSHNNLSGPIPMSLQALKYLVHFNVSFNSLRGEIPSNEYGSEGLVSTRCDVYSYGIMLIEAFTRKKPDSEMFFGNVSLRSWVQESLPNRVIDVIDADLVASSDANFNAKVKCLSSIMELALNCSVETPIERMNMKDVHLALHKIKLLLLTN >itb01g32840.t3 pep chromosome:ASM357664v1:1:36203770:36210866:1 gene:itb01g32840 transcript:itb01g32840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDGAIVSKTAEEGVEFYIKDGHFCKALPKAIKTMKRGERVNLIVQPQYAFGDRDKDSTSGFPLVPPDSVLSIDLELVSFKPVIDVTGNLGVLKKILKEGEGAHTANEGAAVSIRYSGLLEDGTLFEKKGLDGGKPLEFTTDEEQVVSGLDRAVTTMKKGELAIVTVKPDYGFGSDEVKCDFATVPPFSTIVFEVEMLDFKREKAPWEISSHERIEVASRKKEEGNALFKIGKYQRALKRYDKAVDFVSEDGPFGDDDQNMIKSLRVSCWLNGAACCLKLDDFEGAIKQCSMVLSIEWSNVKALYRRAQAYMKTNDLHLAELDIKRSLEIDPQNREVKLMQKNLKQLQVESNKRDANLYTTMFARMLNENSPATKRLKAGTNEDKTEDDIMAMEVDKDPVNSNPTPPRE >itb01g32840.t2 pep chromosome:ASM357664v1:1:36202575:36210867:1 gene:itb01g32840 transcript:itb01g32840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSLATAANFIDENDEFDEEPGEVIESAPPLKVGEEREIRCSNATSLKKKLLKCGDDWESPELGDEVTVHYVGSLLDGTKFASTRGDDQPLTFKLGQGKLVTGLDHGIITMRKGEKALFTLPPELAFGATGSDGVPPNAATLFEVELISWITVVDVCKDGGIIKRIMEKGDLTGITGPPGDLDEVLGHFCKALPKAIKTMKRGERVNLIVQPQYAFGDRDKDSTSGFPLVPPDSVLSIDLELVSFKPVIDVTGNLGVLKKILKEGEGAHTANEGAAVSIRYSGLLEDGTLFEKKGLDGGKPLEFTTDEEQVVSGLDRAVTTMKKGELAIVTVKPDYGFGSDEVKCDFATVPPFSTIVFEVEMLDFKREKAPWEISSHERIEVASRKKEEGNALFKIGKYQRALKRYDKAVDFVSEDGPFGDDDQNMIKSLRVSCWLNGAACCLKLDDFEGAIKQCSMVLSIEWSNVKALYRRAQAYMKTNDLHLAELDIKRSLEIDPQNREVKLMQKNLKQLQVESNKRDANLYTTMFARMLNENSPATKRLKAGTNEDKTEDDIMAMEVDKDPVNSNPTPPRE >itb01g32840.t1 pep chromosome:ASM357664v1:1:36202569:36210920:1 gene:itb01g32840 transcript:itb01g32840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSLATAANFIDENDEFDEEPGEVIESAPPLKVGEEREIRCSNATSLKKKLLKCGDDWESPELGDEVTVHYVGSLLDGTKFASTRGDDQPLTFKLGQGKLVTGLDHGIITMRKGEKALFTLPPELAFGATGSDGVPPNAATLFEVELISWITVVDVCKDGGIIKRIMEKGDLTGITGPPGDLDEVLVRYTAMTFDGAIVSKTAEEGVEFYIKDGHFCKALPKAIKTMKRGERVNLIVQPQYAFGDRDKDSTSGFPLVPPDSVLSIDLELVSFKPVIDVTGNLGVLKKILKEGEGAHTANEGAAVSIRYSGLLEDGTLFEKKGLDGGKPLEFTTDEEQVVSGLDRAVTTMKKGELAIVTVKPDYGFGSDEVKCDFATVPPFSTIVFEVEMLDFKREKAPWEISSHERIEVASRKKEEGNALFKIGKYQRALKRYDKAVDFVSEDGPFGDDDQNMIKSLRVSCWLNGAACCLKLDDFEGAIKQCSMVLSIEWSNVKALYRRAQAYMKTNDLHLAELDIKRSLEIDPQNREVKLMQKNLKQLQVESNKRDANLYTTMFARMLNENSPATKRLKAGTNEDKTEDDIMAMEVDKDPVNSNPTPPRE >itb03g04620.t1 pep chromosome:ASM357664v1:3:2938410:2943358:1 gene:itb03g04620 transcript:itb03g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGLPSSQGSIDLNIAAKDVGLILSQEIQFGSLVPALSRTHESRDSLSDNGENGESEGNEVVDKRNMKVTKNLFSNQNNSRSSFSIREPEGDDCLLEMLESDSDIIDLGEDEVFNIEEEKFFCLLGRFAGRFPGAFAIYQLINYWKVNCKLEKVANGHVIFWFKNEADRDKVAGEGPYFVYGKRLFLDFLPDGFVLKNEDFCVLPIWIRLPGLPKKCWHVKALSKIATRIGKPIFMDRHTKEMKKSDFARILVDVDCSFKPCDSITFRMPDGSLWTQKVFYEYLPLFCSRCGGEKHVNASCPLSRVERNLLHREKDKALHSPVKDAQNLEDDVIPYEIQNSLNIPSGTDALEMRPEKSDSIPLTFEKGETSHANLKGVFGDKESLSNEGEHCLVGNSGEDHVNQQGLCDKDSNICNEVADPFGVLCDSDHLNLPPDGPNYKSSKYSKDLATGGNVKQKRKKKEKDQLNSLKDFFADLEDTPVPPRDNLVAGGGGDFNTVLSSLERRGSVEPSRYDIGDFEYCCMNLGLRDAFSTGNHFTWSNGSKEAKLDRCLINEYWLLNNLACHAEFKNMVSTSDHSQIIIKVFGQHKSGNRPFKFMNMWLKHPNFKKVVEDTWSNPVEGTKQFILASYLKALKAPLKSLNKMEYGYISERVKRANEEFTIAHESLDVISATEFEREQVRILREKALFLMEAERMYFSQKLNTKHLLESDRSSRYFHNLVNKRNSAKVIPSILDNNGVPTTSLEQVGELLVKYYSNMIGKAKERVQTVDSYFSQGPGGLSSSEVFEIIRPRAHKSFVFKTIWRCFIPPKFAFTSWLCRFIPPKFAFTSWLCLRNRLPTKDNPWLCLRNRLPTKDNLKFLDIEDKCTLCGKEPEDIEDKCTLCGKEPENVNHLFFSCDFSKQVWEEIRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb09g15280.t2 pep chromosome:ASM357664v1:9:10541552:10543074:-1 gene:itb09g15280 transcript:itb09g15280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELELESGKPHFVLIPLLAQGHMIPMVDMARLLAKHGAKVTLVITPGNASRLSSTIHRARESERLEIHILEIPFPCQEHGLPHGCENLDSLASPVLIRNFYAALDALREPTETYLEETFPPPSCIVSDKCLSWTSKTARRFQVPRLVFHGMCCFSLLCSNNIMLHKPHLSVKSDRVPFPIPGLPFSVEIAKAQLPGAFVTLPDLDDIRGQMQEAESSAYGVVVNTFPELERGCVEEYRKAVNKKVWCLGPVSLCNRDVMDMYHRGSRASIDEKQCLEWLDSQRENSVLYACLGSQCRLVPSQLIELGLGLEASGYPFVWVIKTGERYSELEKWFVEERYEERIKGRGLVIKGWAPQVLILNHPAIKGFLTHCGWNSTIEGVCSGVPMITWPMFAEQFLNEKMIVEVLRIGIRVGVDVPVRWGEEERVGVVVVRDEVAKAVEKLMGGGEEGENRRKRARELGLLAKSAMEDGGQAHVNISDLVQDIMNTSIKRCNQ >itb09g15280.t1 pep chromosome:ASM357664v1:9:10541552:10543074:-1 gene:itb09g15280 transcript:itb09g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELELESGKPHFVLIPLLAQGHMIPMVDMARLLAKHGAKVTLVITPGNASRLSSTIHRARESERLEIHILEIPFPCQEHGLPHGCENLDSLASPVLIRNFYAALDALREPTETYLEETFPPPSCIVSDKCLSWTSKTARRFQVPRLVFHGMCCFSLLCSNNIMLHKPHLSVKSDRVPFPIPGLPFSVEIAKAQLPGAFVTLPDLDDIRGQMQEAESSAYGVVVNTFPELERGCVEEYRKAVNKKCLEWLDSQRENSVLYACLGSQCRLVPSQLIELGLGLEASGYPFVWVIKTGERYSELEKWFVEERYEERIKGRGLVIKGWAPQVLILNHPAIKGFLTHCGWNSTIEGVCSGVPMITWPMFAEQFLNEKMIVEVLRIGIRVGVDVPVRWGEEERVGVVVVRDEVAKAVEKLMGGGEEGENRRKRARELGLLAKSAMEDGGQAHVNISDLVQDIMNTSIKRCNQ >itb02g09240.t1 pep chromosome:ASM357664v1:2:5879479:5882624:-1 gene:itb02g09240 transcript:itb02g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRRMCRRNLWGLLVFIQLGCTLVVCSGSNVKGEISSTQRDITTPITTVPTANPTTPTTTTPVLNPTVSNPDSTTTNPVNPVMTPFPTSTTSPPSSSSASWCVASQSASQIALQVALDYACGYGGADCSAIQPGGGCYNPNSIRDHASYAFNSYYQKNPIPNSCNFGGTAVTTNTDPSSGTCQYPSTSTSASILNTTNSSGSRVFGAGPITPSTSKAVGTSSFPSFYYQLTLVVMCLVAVGNHRR >itb02g09240.t2 pep chromosome:ASM357664v1:2:5879479:5882624:-1 gene:itb02g09240 transcript:itb02g09240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRRMCRRNLWGLLVFIQLGCTLVVCSVSGSNVKGEISSTQRDITTPITTVPTANPTTPTTTTPVLNPTVSNPDSTTTNPVNPVMTPFPTSTTSPPSSSSASWCVASQSASQIALQVALDYACGYGGADCSAIQPGGGCYNPNSIRDHASYAFNSYYQKNPIPNSCNFGGTAVTTNTDPSSGTCQYPSTSTSASILNTTNSSGSRVFGAGPITPSTSKAVGTSSFPSFYYQLTLVVMCLVAVGNHRR >itb05g21530.t1 pep chromosome:ASM357664v1:5:27241455:27241796:-1 gene:itb05g21530 transcript:itb05g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGDVWSQLGPSLAALMFIWTLYQNYFPNQIRTYIITHAHKIYCFFYPYIHITFHEFENEELFDRSKVFVTIERYLSYNSSNNAKRLVAKGVKDSTHPRVGSQHGQPRRGD >itb14g20410.t1 pep chromosome:ASM357664v1:14:22773112:22775720:-1 gene:itb14g20410 transcript:itb14g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKQELEKRRNSLREDVGGKRVFKRSEIEQKRLQRLHEEEKREAEAKALRKKQSEQQNNSGADSSSNPNSNSDNLSKSNAEFNASSSKPQTLTDEQKIDSLNLSRQEVIRRLRFLKQPVTLFGEDDEARLDRLKYVLKAGLFEVDDSDMTEGQTNDFLRDIVELKKRQKSGILSERKRKATEDAEDKEGGGGDDDLSGDGGSSGVDYDKDLKRMKTNFEELCDEDKILVFFKRLLNEWNQELDEMTETEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLLMVQCCIERDYLAAMDHYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTAPSKAVEFNSLANGSDLQSLLAEEKSSGGSHSSEEKLRIMPA >itb05g25670.t1 pep chromosome:ASM357664v1:5:29949755:29950540:1 gene:itb05g25670 transcript:itb05g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKLQVDGGKESEGKKWVFAEIAICAPLTSISTKPKEGNAYHSDEDRGSTTPTSRDSRIPERLPCPPAPRKRRPASSSCRYNGAREFFDPPDLESVFIRHVERAN >itb09g28540.t1 pep chromosome:ASM357664v1:9:29134264:29134635:1 gene:itb09g28540 transcript:itb09g28540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLYLSKSYEGQELCFKAKKFSKLKELVIDNFKALKWIRMEEGTLDHLEEFVLTDCKLVEHIPMGIEHLSNLKVITIYDLGDKFNAKFESEREKSDIYAKIFHIPKIYISHVIDGKRKFFF >itb15g13520.t2 pep chromosome:ASM357664v1:15:11521610:11529630:1 gene:itb15g13520 transcript:itb15g13520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHRLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVSDLKVFSTFNEDLYKEITQLLTLTNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCNPPNGALAPTAVNLPTAAVAKPTAYTSLGAHGPFPPTAAAANANALAGWMANAAASSSVQAAVVTASSLPVPPNQVSILKRPITPPATLGMVEYQNADHEQLMKRLRPVQSVEEVTYPTVRQQASWSLEDLPRTVAFTLHQGSIVTSMDFHPSHTTLLLVGSNNGEITLWEVSGREKLVSKPFKIWDIQACTMPFQASAVKDAPFSVNRVAWSPDGTFVGAAFTKHLIHLYAYTGPHDLRQHLEIDAHVGGVNDLAFAYPNKQLCVVTCGDDKLIKVWDLTGRKLFNFEGHEAPVYSICPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGAIKRTYTGFRKKSIGGVVQFDTTQNHFLAVGEDTQIKFWDMDNVNMVTFTDAEGGLLPLPRLRFNKEGNLLAVTTADNGIKILANTVGMRSLRSAEAPPFEALRSPIEAASMKVSGSSVTALTPVNCKVERSSPVRPSPILNGVDSISRNMEKPRTMEDVADRTKPWQLTEIVDPVQCRMVSMPESSDAKVARLLYTNSGVGILALGSNGIQKLWKWSRNEQNPTGKATANVVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLNILVSSGADAQVSFRSILHPHVNERLMNNHSFNELWNSA >itb15g13520.t1 pep chromosome:ASM357664v1:15:11521569:11529630:1 gene:itb15g13520 transcript:itb15g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHRLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVSDLKVFSTFNEDLYKEITQLLTLTNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCNPPNGALAPTAVNLPTAAVAKPTAYTSLGAHGPFPPTAAAANANALAGWMANAAASSSVQAAVVTASSLPVPPNQVSILKRPITPPATLGMVEYQNADHEQLMKRLRPVQSVEEVTYPTVRQQASWSLEDLPRTVAFTLHQGSIVTSMDFHPSHTTLLLVGSNNGEITLWEVSGREKLVSKPFKIWDIQACTMPFQASAVKDAPFSVNRVAWSPDGTFVGAAFTKHLIHLYAYTGPHDLRQHLEIDAHVGGVNDLAFAYPNKQLCVVTCGDDKLIKVWDLTGRKLFNFEGHEAPVYSICPHQKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGAIKRTYTGFRKKSIGGVVQFDTTQNHFLAVGEDTQIKFWDMDNVNMVTFTDAEGGLLPLPRLRFNKEGNLLAVTTADNGIKILANTVGMRSLRSAEAPPFEALRSPIEAASMKVSGSSVTALTPVNCKVERSSPVRPSPILNGVDSISRNMEKPRTMEDVADRTKPWQLTEIVDPVQCRMVSMPESSDAKVARLLYTNSGVGILALGSNGIQKLWKWSRNEQNPTGKATANVVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKSVPIQLPAGKAPTGDTRVQFHADQVRLLVAHETQLAIYDASKMERIRQWIPQDALSAPISYATYSCNSQLVYASFNDGNIGVLDADSLRLRCRIAPSAYLSQTGSQAVYPLVIAAHPQEAHQFAVGLTDGSVKVIEPLESEGGKWGVSPPLDNGMLNGRATSSSAASNHVSEQVQR >itb11g08540.t1 pep chromosome:ASM357664v1:11:5618190:5624393:1 gene:itb11g08540 transcript:itb11g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLKSSRSRSHASGMPAKGSARDAGTNLEENLNIFKSDSFDADVYVQSKCHSLNEKEIRQLCSNLMDLKKASAEEMRRSVYANYTAFIRTSKEISDLEGELSSIRNLLSTQATLVHNLAEGVHIDSLSDSLPDSATNSSSNDEISEPSDIENWLTEFPDHLDVLLAERRVDEALSSLDEGEHIASEAKENKTLSPALLLMLQNSITESRQRLADQLADIACQPSTRGAELRAAISALKKLGDGPRAHSLLLNAHSQRYQYNMQSFRPSSTSYGGAYTAALSQLVFSAIAQAATDSLAIFGNEPSYTSELVMWATKHAEGFALLVKRHALTSSAAAGGLRAAAECVQIALGHCQLLEARGLALCPVLLKLFRPSVEQALDANIKRIEESTAALAAADDWELNYPPTSIRQSSAYQYKLSISAHRFNLMVQDFFEDVGPLLSMQLGGKALEGLFQVFNSYVNTLIKALPGSLEEEVSIEGSGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSFNQGNHKDESQRRSDRQTRHPEQREWKKRLVSTVDRLKDSFCQQHALDLIFTEEGDSHLTADMYINMDGNADEMEWFPSHIFQELYVKLNRIAAIAADMFVGRERFATLLLMRLTETVILWLSQDQSFWDDIEEGPTPLGPLGLQQFYMDMTFVRCFASQGRYLSRNLLRVVNDIISKAMSAFSTTGMDPYSVLPEDEWFTEVCQDAMDKLSGKPKAANGERDLNSPTASVSAQSVSSVRSHGSY >itb03g16940.t1 pep chromosome:ASM357664v1:3:15700599:15701932:-1 gene:itb03g16940 transcript:itb03g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSVCSSCDHAEEDYIDMEVASHSSISNHSKCPPPHPREFEFQMFSTSSQRDTTTSPADELFYKGKLLPLHLPPRVEMVEKLLQNPNACTNKPENYDEVDVFEESACIFTTTNHTPTSNNTPFQSCNISPSESFRVSGELNPDDDYFVEHATQEVGIFSSDENSKRFQTRKLKLIKQSSALSSKLKASRAFLRSLFAKSSCSSSEASAVTSRITKKGCVPLASEDATVSKKDPFGQIQRYGYQSSSSLAKSFNKENEGSFSGSFRMVSTTKSSHSEIENSIQAAIAHCKKSQQQIQTRKTATDLGFCSLSASRVICEEQERPELCRG >itb12g17480.t1 pep chromosome:ASM357664v1:12:19529486:19533357:-1 gene:itb12g17480 transcript:itb12g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) UniProtKB/Swiss-Prot;Acc:Q9SGU9] MAETIHQNVNNVSNKRRPGSEEWERDEMLKAKKGGKPGVQMWDDPAAALASARHEFGEHGGVNMSIEASATFTVMEPESLRRMFAGELGPDHDYFIYSRHFNPTVLNVSRLMAAMEGTEAAYCTASGMSAISSVLFQLLSSGDHVVAARTLYGGTHALLTHFLPRVCNITTTFVDIRDLAAVKDAIVEGKTKVLYFESVANPTLTVANIPELSRIAHDKGATVVVDNTFSPMVLSPAKLGADVVVHSVSKFISGGADIIAGAVCGPASVVNAMMDLCDGPLMLLGPTMNAKVAFELSERIPHLGLRMKEHCNRAMQFATRLKKVGIRVIYPGLEEHPDHALFKSMANKGYGYGGLIGVDMETEERANRLMNLLQNHTQFGFIAVSLGYYETLMSCSGSSTSSEMNTEAKELAGISPGLIRMSVGYTGTLEQKWGQLEMALSRMQQDSSAGLFQKS >itb07g13530.t1 pep chromosome:ASM357664v1:7:15803642:15808658:1 gene:itb07g13530 transcript:itb07g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNDKLMRFIVPLSCLRHPTFLRLLEKAAEEYGFEHKGALMLPCRPSELERILELPSNIMEEQIGTLVQVKSLTALRLLQTSVLYAKGSSSSTAGTIARFSLFVRNQFLLWFIEHGGPFLVHSAVSCFGCTXXXXXXXXVHPKQETALWTRNGPPCSMNQSKNWFLTKRENLAMVPAVEDELPLAYKTEVCKSLSAVL >itb11g09600.t1 pep chromosome:ASM357664v1:11:6502613:6504482:1 gene:itb11g09600 transcript:itb11g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMELVSIIVVMAYVTWQVLIVGIIATIGSKYVQEYYQHSARELMRINGTTKAPIMSYATETSLGVATIRAFDMVDRFFQNYLKLVDADAKVFLSSNGAMEWLVLRTEILQNLTLFVAAFFLILVPTGYLPSGLVGLSLSYAFVLTGTQVFLSRWYSSLANYVISAERIKQYMHLTPEPPAVVEDNRPHSSWPSKGRIELVDLKIRYRSNAPLVLKGITCTFSEGTRVGVVGRTGSGKTTLISALFRLVEPYSGQIIIDGIDVCSIGLKDLRLKLSIIPQEPALFRGSVRTNLDPLGLYSDDEIWKALEKCQLKDTISKLPHQLDSSVSDDGENWSMGQRQLFCLGRVLLKRNRILVLDEATASIDSATDATLQKIIREEFCNCTVITVAHRVPTVIDSHMVLVLSFGKLVEYAEPSKLMEETNSAFSKLVAEYWSSCTRNSLPEFNH >itb12g08200.t1 pep chromosome:ASM357664v1:12:6363950:6367811:1 gene:itb12g08200 transcript:itb12g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MILADNGFDVWISNIRGTRYSRRHVSLDANTDPDYWNWTWDDLVVHDLPAVVDLVFRTTGQKVHYIGHSMGTLMALASFSEGRLVDKVKSAALLSPIAYLSHMTTELGLMAARAFVGEITTIFGLAEFNPKGEPVSQFLKALCAQPGVDCFDLMSALTGKNCCLNSSTVELFLKYEPQSTSTKNLVHLAQTVRDGVLAKYDYGNNDFNLAHYGEARPPRYNLTNIPRDIPMFISYGGEDALSDVKDVESLLDYLKFHDVLKLHVQYIKDYAHADFIIGITAKDVVYNQIINFFTNLH >itb12g08200.t2 pep chromosome:ASM357664v1:12:6363906:6367811:1 gene:itb12g08200 transcript:itb12g08200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MRRSSMAASSVAVLVSLPVLLLLVGPSMGSSRFPSSLIAQPEPGMCGTVVSVHGYKCEEYEATTDDGYILSVQRIPQGRHGGGGGGRPLRPPPVLLQHGVLVDGTTWLLNSPEQSLAMILADNGFDVWISNIRGTRYSRRHVSLDANTDPDYWNWTWDDLVVHDLPAVVDLVFRTTGQKVHYIGHSMGTLMALASFSEGRLVDKVKSAALLSPIAYLSHMTTELGLMAARAFVGEITTIFGLAEFNPKGEPVSQFLKALCAQPGVDCFDLMSALTGKNCCLNSSTVELFLKYEPQSTSTKNLVHLAQTVRDGVLAKYDYGNNDFNLAHYGEARPPRYNLTNIPRDIPMFISYGGEDALSDVKDVESLLDYLKFHDVLKLHVQYIKDYAHADFIIGITAKDVVYNQIINFFTNLH >itb12g09410.t1 pep chromosome:ASM357664v1:12:7391854:7396451:-1 gene:itb12g09410 transcript:itb12g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITANPASVAYRRRSAVEKKQTPTTLDLAAANGAAAVTPPSTGYVGLAAGGAAIKELGHHSVRTETQNAVQAVKKPLPTSNGVVSFSRRAGTAKKVPKPAKLRWLTVVSVVTKNLLLLMILVGLVEMVRRLVIDSRTQSSDGLAVMPGDFEGKLAEMEAFVKKTTKMMQVQVDVIDKKLENEIRTVKGEFSEKLEGKETELESKLKELEGRTGNLETLMSKTEATNWLSKEEFDKFLEEFKERKGSEISNVNLDEVRVYAREIVEREIEKHAADGLGMVDYALASAGAMVVKHSEPYIIGKGGSSSWIPLPNQNTVHTDAQKILTPSFGEPGQCFPLRGSRGFVQVRLRTAIIPEAVTLEHVAKSVAYDRSSAPKKCRVSGWLQGQATTDVTANSENMFLLTEFTYDLEKSNAQTYNVLEYAATSVVDTIRFDFASNHGSTLHTCIYRLRVHGREPNSVSMLA >itb12g09410.t2 pep chromosome:ASM357664v1:12:7391854:7396451:-1 gene:itb12g09410 transcript:itb12g09410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding SITANPASVAYRRRSAVEKKQTPTTLDLAAANGAAAVTPPSTGYVGLAAGGAAIKELGHHSVRTETQNAVQAVKKPLPTSNGVVSFSRRAGTAKKVPKPAKLRWLTVVSVVTKNLLLLMILVGLVEMVRRLVIDSRTQSSDGLAVMPGDFEGKLAEMEAFVKKTTKMMQVQVDVIDKKLENEIRTVKGEFSEKLEGKETELESKLKELEGRTGNLETLMSKTEATNWLSKEEFDKFLEEFKERKGSEISNVNLDEVRVYAREIVEREIEKHAADGLGMVDYALASAGAMVVKHSEPYIIGKGGSSSWIPLPNQNTVHTDAQKILTPSFGEPGQCFPLRGSRGFVQVRLRTAIIPEAVTLEHVAKSVAYDRSSAPKKCRVSGWLQGQATTDVTANSENMFLLTEFTYDLEKSNAQTYNVLEYAATSVVDTIRFDFASNHGSTLHTCIYRLRVHGREPNSVSMLA >itb02g05640.t1 pep chromosome:ASM357664v1:2:3462074:3464354:-1 gene:itb02g05640 transcript:itb02g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWIVWFMGFLLLCCSGFVVSGGIHNLMNATSGIELPEHPSFNAVSSSSSSSGCSFGAAKKSTTTVSLVAHQKVDSNGDEEREAVKFHLKHRSEGRGKMGKKDAVFESTTRDLSRIQTLHTRITQKKNQNTISRLKKDKKNFPAGAPAAPLPETSISGQLMATIGSGVSLGSGEYFMDVFVGTPPKHYSLILDTGSDLNWIQCLPCYDCFEQNGPHYNPKDSSTFRNITCQDPRCNLVSSPDPPQPCKSESQTCPYYYWYGDSSNTTGDFALETFTVNLTTPAGKPEFRRVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSNSSVSSKLIFGEDKALLSHPQLNFTGLITGKPNQVETFYYVQIKSILVGGEPLNIPEDTWNLSPEGAGGTIVDSGTTLSYFADPAYKIIKEAFATQVKRYPVVKDFPILDPCYNVSSTSGGLEALDLPSFGILFGDGAVWNFPIENYFIEIEPNEVVCLAILGTPRSALSIIGNYQQQNFHILYDTKQSRLGFAPTKCSDA >itb07g05260.t1 pep chromosome:ASM357664v1:7:3567435:3568278:-1 gene:itb07g05260 transcript:itb07g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAQQLKEYDGTDPSKPIYVAIKARIFDVTAGKSFYGPGGDYSIFAGKDASRALAKMSKNAEDVSPSLEGLSDKEIGVLTDWEKKFEAKYPIVGIVV >itb03g21460.t2 pep chromosome:ASM357664v1:3:19281854:19289306:-1 gene:itb03g21460 transcript:itb03g21460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQECVYRGALSEGKNMSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMQHFGELVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRKDRSKGVDILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTNSARSIMLGELKKLIEANPLFRDKLSFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVTNPLMGAVPKSGGFPSLGSHGPFQPSPAPLPTSLAGWMANPSQIPHPQPSAGPIGFTPPSNAGLIKRPRTPPTNNPPMDYQTADSEHVLKRSRPFGISDEVHNMSVSILPVGYGNQNHGQSSCSTDDLPKAVVMTLNQGSAVRSMDFHPVHQILLLVGTSSGEIMIWELGSRERLAHKSFKVWDIEACSATLQASMAKEYSASINRVMWSPDGSLFGVAYSKHIVHIYSYHGADDLRNHFEIEAHIGSVNDIAFSYPNKQLCIITCGEDKLIKVWDTVTGTKQYTFEGHEAPVYSVCPHHKENIQFIFSLATDGKIKAWLYDNAGSRVDYDAPGRSSTTMAYSADGLRLFSCGTNKEGESFLVEWNESDGAVKRTYLGIGKRSAGIVQFDTTKNRFLAAGDEFSVKFWDMDNVNMLTTTDADGGLPASPCLRFNKEGMLLAVSTSENSIKILANADGARLLRTMENRPFDVSRVTSAAVKPPAMGNFSAPNGPVVPTIVDRVTPMTSIVAMNGESRNLADVKPRIADEPAEKSRIWKLTEISEPSQCRSLKLPDNLPATKISRLIYTNSAFAILALAANAVHKLWKWPRNERNPAGKANAGIAPQLWQPASGILMTNDISETNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHHKRITGLAFSNALNVLVSSGADSQLCVWSTDAWEKQTSKCLQIPVGRSAASLADTRVQFHQDQIQLLVVHETQIAIYEAPKLDCRRQWVPREASAITHATYSCDSQSIFVSFEDGGVGVLTATNLRWRCRIYPTSYLTPNPSLRVHPLVIAAHPSDPNQFALGLSDGSVIVLEPPESEGKWGTLPPNENGAGPSAPSSDQPQR >itb03g21460.t1 pep chromosome:ASM357664v1:3:19281854:19289497:-1 gene:itb03g21460 transcript:itb03g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHRLEQESGFFFNMQHFGELVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRKDRSKGVDILVKDLKVFSAFNEDLFKEITQLLTLENFRDNEQLSKYGDTNSARSIMLGELKKLIEANPLFRDKLSFPTLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHSCGQSQPNGARAPSPVTNPLMGAVPKSGGFPSLGSHGPFQPSPAPLPTSLAGWMANPSQIPHPQPSAGPIGFTPPSNAGLIKRPRTPPTNNPPMDYQTADSEHVLKRSRPFGISDEVHNMSVSILPVGYGNQNHGQSSCSTDDLPKAVVMTLNQGSAVRSMDFHPVHQILLLVGTSSGEIMIWELGSRERLAHKSFKVWDIEACSATLQASMAKEYSASINRVMWSPDGSLFGVAYSKHIVHIYSYHGADDLRNHFEIEAHIGSVNDIAFSYPNKQLCIITCGEDKLIKVWDTVTGTKQYTFEGHEAPVYSVCPHHKENIQFIFSLATDGKIKAWLYDNAGSRVDYDAPGRSSTTMAYSADGLRLFSCGTNKEGESFLVEWNESDGAVKRTYLGIGKRSAGIVQFDTTKNRFLAAGDEFSVKFWDMDNVNMLTTTDADGGLPASPCLRFNKEGMLLAVSTSENSIKILANADGARLLRTMENRPFDVSRVTSAAVKPPAMGNFSAPNGPVVPTIVDRVTPMTSIVAMNGESRNLADVKPRIADEPAEKSRIWKLTEISEPSQCRSLKLPDNLPATKISRLIYTNSAFAILALAANAVHKLWKWPRNERNPAGKANAGIAPQLWQPASGILMTNDISETNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHHKRITGLAFSNALNVLVSSGADSQLCVWSTDAWEKQTSKCLQIPVGRSAASLADTRVQFHQDQIQLLVVHETQIAIYEAPKLDCRRQWVPREASAITHATYSCDSQSIFVSFEDGGVGVLTATNLRWRCRIYPTSYLTPNPSLRVHPLVIAAHPSDPNQFALGLSDGSVIVLEPPESEGKWGTLPPNENGAGPSAPSSDQPQR >itb10g13860.t1 pep chromosome:ASM357664v1:10:20150737:20151117:1 gene:itb10g13860 transcript:itb10g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVDPNFVGLEGHGGPKRHGRRGPKQRRRVVASVCVQGKDEGWRPACVCKARKESGGWRVYARQGRRVAAGVCVQGMERGVQRKEGGVQEKPRGKVCKVEDQPVRSGGGGAGSPPMRRPPITIFV >itb07g05560.t1 pep chromosome:ASM357664v1:7:3827170:3831439:1 gene:itb07g05560 transcript:itb07g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRPKNPHFIKPIHQGFKNGVVSTYISPYVCGFLHFSAVSFKGFAFFHFQNIPTAFLDKYLKGQGLKLAILRRGDKSWRVKIRGGRMVGDGWEKFAAENGLNVGEFLVFRQEGDTVVFDVSVFNPTRCERDCPLPHGGCPLPQPSNNLCSDARDTTSTPPAGHPYFVSTIKPYCLNMQHLYLPMEFARSNGLVTAEKREMILRDDKERSWSVVVGREGHQFSLRRGWQAFRRANCLKVGDAYKFELIKTGKTPIAKFHLLGTTSSSSAENPHFVDTIKPYCLRKSAHLYLPLGFARSNGLVAAEKREMILRDDKERSWPVVLGRMGHHVGLLRGWQAFQMAKGLKEGDAYKFELIKSGKKPIAKFYSRDTTSTPPAGHPYFVSTIKPYCLNMQHLYLPMEFARSNGLVTAEKREMILRDDKERSWSVVVGREGHQFSLRRGWQAFRRANCLKVGDAYKFELIKTGKTPIAKFHLLGTTSSSSAENPHFVDTIKPYCLRKSAHLYLPLGFARSNGLVAAEKREMILRDDKERSWPVVLGRMGHHVGLLRGWQAFQMAKAVLKAKTCVFLAHMAMGPNGDCSRSLFWRRRLKEAVAGMF >itb01g28520.t1 pep chromosome:ASM357664v1:1:32930778:32931499:1 gene:itb01g28520 transcript:itb01g28520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSCAGGSNDEGGSRAEQDQQLLLPIANVGRIMKQRLPHNAKISKEAKETMQECASEFIGFVTGEASDKCRRERRKTVNGDDICWALGTLGFDDYVGPLKRYLHIFREQEGDQRSVLNNNNNEDSVMEEDHLHAPLHSPSSSPGQPSTNPVARGEAPPPFPAPPHFFNSTS >itb07g13770.t3 pep chromosome:ASM357664v1:7:16107653:16110347:-1 gene:itb07g13770 transcript:itb07g13770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLYSMWVFVLVVLVLVWSPGQANGAAENSMAKQRAEKMIRQLNLFPKHDLNRGPAGHSPAGADSPRLVEKKFKLNLLGDSGATVEDLGHHAGYYRLLDTVDARMFYFFFESRSNKSDPVVIWLTGGPGCSSSLALFYENGPFYIADNQSLIWNDYGWDKASNLIYVDQPTGTGFSYSSSEQDLRTNENGVSNDLYAFLQEFFKAHPEYANNDFFITGESYAGHYIPALGSRVNQGNKKKEGIPINLKGLAIGNGLTNPELQYPAYPDFALDNKLISKSDRDDLMQLVPDCQEAARQCNSVGGHACGEANNRCQVIFNQILGIAGNINVQNVLYSLFLRYILPNAIH >itb07g13770.t2 pep chromosome:ASM357664v1:7:16107653:16110347:-1 gene:itb07g13770 transcript:itb07g13770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLYSMWVFVLVVLVLVWSPGQANGAAENSMAKQRAEKMIRQLNLFPKHDLNRGPAGHSPAGADSPRLVEKKFKLNLLGDSGATVEDLGHHAGYYRLLDTVDARMFYFFFESRSNKSDPVVIWLTGGPGCSSSLALFYENGPFYIADNQSLIWNDYGWDKASNLIYVDQPTGTGFSYSSSEQDLRTNENGVSNDLYAFLQEFFKAHPEYANNDFFITGESYAGHYIPALGSRVNQGNKKKEGIPINLKGLAIGNGLTNPELQYPAYPDFALDNKLISKSDRDDLMQLVPDCQEAARQCNSVGGHACGEANNRCQVIFNQILGIAGNINYYDIRRKCDGGSLCYNFSNVETFLNTQSVKDALGVGGIDWVSCSSEVYDYMSSDWFKNLAVGIPSMLEDGINLLVYAGEYDLICNWLGKLLFLIIITTTLLDKGQ >itb07g13770.t1 pep chromosome:ASM357664v1:7:16107653:16110347:-1 gene:itb07g13770 transcript:itb07g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLYSMWVFVLVVLVLVWSPGQANGAAENSMAKQRAEKMIRQLNLFPKHDLNRGPAGHSPAGADSPRLVEKKFKLNLLGDSGATVEDLGHHAGYYRLLDTVDARMFYFFFESRSNKSDPVVIWLTGGPGCSSSLALFYENGPFYIADNQSLIWNDYGWDKASNLIYVDQPTGTGFSYSSSEQDLRTNENGVSNDLYAFLQEFFKAHPEYANNDFFITGESYAGHYIPALGSRVNQGNKKKEGIPINLKGLAIGNGLTNPELQYPAYPDFALDNKLISKSDRDDLMQLVPDCQEAARQCNSVGGHACGEANNRCQVIFNQILGIAGNINYYDIRRKCDGGSLCYNFSNVETFLNTQSVKDALGVGGIDWVSCSSEVYDYMSSDWFKNLAVGIPSMLEDGINLLVYAGEYDLICNWLGNSRWVQAMQWSGQGGFGAAPNVTFSVDGQEKGIQKSYGPLTFLKVHDAGHMVPMDQPEASLEMIRRWMHGQLSKP >itb04g23590.t1 pep chromosome:ASM357664v1:4:28596815:28599052:-1 gene:itb04g23590 transcript:itb04g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTLLSFIFLFCFFFTAPLFFCNAYPAHRHHNHPRFASHDYRDALTKSILYYEGQRSGKLPSNQRISWRRNSGLSDGSAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVLEFGGLMKGELQNAREAIRWATDYLLKATVHPDTIYVQVGDANRDHACWERPEDMDTPRSVFKVDKNNPGTEVAAETAAALAAASLVFRRCDPTYSKLLIRRAIRVFAFADKYRGSYSNGLRKYVCPFYCSFSGYEDELLWGAAWLHKATKNTAYLNYIQRNGQTLGAAETDNTFGWDNKHVGARILLSKAFLVQRIQTLHDYKSHADNYICSLVPGTPLTQAKYTPAGLLFKMSDSNMQYVTSTAFLLVTYAKYLTSAHSSVNCGGVIVTPKRLRNVAKKQIDYLLGDNPLRMSYMVGYGPRFPQRIHHRGSSLPSVATHPAKIQCNSGFRVMSSNAPNPNILVGAVVGGPDQQDRFPDQRSDYEQSEPSTYINAPLVGALAYLAHSFGQL >itb01g10130.t2 pep chromosome:ASM357664v1:1:8421822:8426372:1 gene:itb01g10130 transcript:itb01g10130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPLLLPHAFANGLSTNHQRISKAQFQCFLMGASENGGNGKEVALIKRRELIGLGLGASAGFLMGSSSALAAGLPPEEKPRLCDDACEKELENVPMVTTESGLQYKDIKVGGGPSPPVGFQVAANYVAMVPSGQIFDSSLEKRQLYIFRVGSGQVIKGLDEGLLSMKVGGKRRLYIPGSVSCVCTIKFSLAWMRLEM >itb01g10130.t1 pep chromosome:ASM357664v1:1:8421822:8426372:1 gene:itb01g10130 transcript:itb01g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPLLLPHAFANGLSTNHQRISKAQFQCFLMGASENGGNGKEVALIKRRELIGLGLGASAGFLMGSSSALAAGLPPEEKPRLCDDACEKELENVPMVTTESGLQYKDIKVGGGPSPPVGFQVAANYVAMVPSGQIFDSSLEKRQLYIFRVGSGQVIKGLDEGLLSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPNSPVIFDVSLEYIPGLEDDEE >itb11g02380.t1 pep chromosome:ASM357664v1:11:1203723:1203992:1 gene:itb11g02380 transcript:itb11g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKLQNLAPHVDLGPTPTLKPFLPKSPPFVSLSTLSLSTLCCRPSEKPSALQAKSPARTVIGKITVIVCCKIPEESMQSSGVVSGVT >itb13g22420.t1 pep chromosome:ASM357664v1:13:28695761:28700547:1 gene:itb13g22420 transcript:itb13g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKPSFNPYTTLTKAMKANLRSRAMASSSSFSSRNLISLFNRTPKVTPVDFFNHRYSAFFSTHIVGDSPILVRDFIHKALYDPKHGYFSQRSDSVGVLDRSIKFNQLEGRKVYMKHLDRIYKQSGISWFTPVELFKPWYGHGIAEAILRTANLSVPLKIYEIGGGSGTCAKGILDYIKLNAPTRVYEHMTYTSVEISTALAAKQIQTVGEVHSHASKFKVECRDAADRSGWGEVNNQPCWVIMLEVLDNLPHDLIYSENQTSPWMEVWVERQPQRSELSELYRPVQDPLITSCMEIIDMKGDGLSNSSKLTSMAKKAWAKVFPRPRRCWLPTGCLKLLEVLHGALPKMSLIASDFSFLPDVRIPGERAPLVSTKRDGSSSDYNSYLEAKGDADIFFPTDFWLLECMDHYCSGWMKQQQDISTKLGKKRRTLTLDTSAFMEEFGLPSKTRTRDGYNPLLDDFKNTKFYLSVPTHNIK >itb13g22420.t2 pep chromosome:ASM357664v1:13:28695761:28700547:1 gene:itb13g22420 transcript:itb13g22420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLGDFDVKVRDFIHKALYDPKHGYFSQRSDSVGVLDRSIKFNQLEGRKVYMKHLDRIYKQSGISWFTPVELFKPWYGHGIAEAILRTANLSVPLKIYEIGGGSGTCAKGILDYIKLNAPTRVYEHMTYTSVEISTALAAKQIQTVGEVHSHASKFKVECRDAADRSGWGEVNNQPCWVIMLEVLDNLPHDLIYSENQTSPWMEVWVERQPQRSELSELYRPVQDPLITSCMEIIDMKGDGLSNSSKLTSMAKKAWAKVFPRPRRCWLPTGCLKLLEVLHGALPKMSLIASDFSFLPDVRIPGERAPLVSTKRDGSSSDYNSYLEAKGDADIFFPTDFWLLECMDHYCSGWMKQQQDISTKLGKKRRTLTLDTSAFMEEFGLPSKTRTRDGYNPLLDDFKNTKFYLSVPTHNIK >itb06g03940.t1 pep chromosome:ASM357664v1:6:6423025:6427360:-1 gene:itb06g03940 transcript:itb06g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAEIIGSALIEPFKLVSGSIYCTIKNRLKIHSNYNHLERDITLVLGLKSRLRSGVDDDELQHLLPTTQVQDWLSRVEELEKNFNNCVRSITSDAASCCSLSLHCKLSNNIAKQIVKAKQLIEEGKPFENMIVGVVPVARPVQYIEVTSIKNQPTASRNLAKMMDLLNSEEYKRIGVWGMGGVGKTTLVKNLNNELTKHHQTFNIIIWVVVSQNATVESVQSKIGERLGLPMMNKEVSKESMGSHLYNRLKGQRFLLILDDIWEGINLDVVGIPNEHSGSKIILTTRDFNVCQQMLTNIDFQVGRLHPEEAWNLFRETVEEEVVDDDQIKPIAEAIVEECDGLPLAIIMVGASLRKKRKVGLWECALHALKKSEPSRIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEIDTYKLVQYWVAEGLVDEHQNFEQLQNEVLRIVHCLKDCCLLEEGQLANHVKMHDVVRDVGVWIAKSLEEGCKSINKTGISGTRVMKRVLFMESSIEFLPNSNTQCPEASTLVLQGNWRLLEVPNSFLQGFQMLRILDLSDTKIKFLPHSLLQLGELRALLLERCNELFELPSLATLGMLQVLNCSASAITKLPEDLEKLTNLRQLDLSHTYKLRQISSQKLSNLCSLEFLNMMGSATKWGMRKANDENVPFEKLLCLDQLISFHIDLEDISDGSAEHVSWLGRINSFFVNVTHDHNRSYGTYAPNTKSVFFSGFLFSGNESIGWLLVHAFCCEIRHCKGVHLMLHNMVRSGPFLNLKRLVIGGCCISVKPSSQGCVSITQCDLLPNLEELYLNKLTELESISDLSNFLGRLSFTKLRSICVFDCYQLENLFAMDGTLQELAKLKSITIRRCKKLSQVFKNASTNNFVPMLKSLHLDRLPELEEICKADESWNSLKQLSVRECSMLVKLPLSVQSAVNIESIRGEQKWWNQLQWDNQNLKMHLHPLFKPRG >itb06g03940.t2 pep chromosome:ASM357664v1:6:6423025:6427360:-1 gene:itb06g03940 transcript:itb06g03940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAEIIGSALIEPFKLVSGSIYCTIKNRLKIHSNYNHLERDITLVLGLKSRLRSGVDDDELQHLLPTTQVQDWLSRVEELEKNFNNCVRSITSDAASCCSLSLHCKLSNNIAKQIVKAKQLIEEGKPFENMIVGVVPVARPVQYIEVTSIKNQPTASRNLAKMMDLLNSEEYKRIGVWGMGGVGKTTLVKNLNNELTKHHQTFNIIIWVVVSQNATVESVQSKIGERLGLPMMNKEVSKESMGSHLYNRLKGQRFLLILDDIWEGINLDVVGIPNEHSGSKIILTTRDFNVCQQMLTNIDFQVGRLHPEEAWNLFRETVEEEVVDDDQIKPIAEAIVEECDGLPLAIIMVGASLRKKRKVGLWECALHALKKSEPSRIRGVEEKVYKPLKWSYDSLQGQQLKSCFLFFCLFPEDFEIDTYKLVQYWVAEGLVDEHQNFEQLQNEVLRIVHCLKDCCLLEEGQLANHVKMHDVVRDVGVWIAKSLEEGCKSINKTGISGTRVMKRVLFMESSIEFLPNSNTQCPEASTLVLQGNWRLLEVPNSFLQGFQMLRILDLSDTKIKFLPHSLLQLGELRALLLERCNELFELPSLATLGMLQVLNCSASAITKLPEDLEKLTNLRQLDLSHTYKLRQISSQKLSNLCSLEFLNMMGSATKWGMRKANDENVPFEKLLCLDQLISFHIDLEDISDGSAEHVSWLGRINSFFVNVTHDHNRSYGTYAPNTKSVFFSGFLFSGNESIGWLLVHAFCCEIRHCKGVHLMLHNMVRSGPFLNLKRLVIGGCCISVKPSSQGCVSITQCDLLPNLEELYLNKLTELESISDLSNFLGRLSFTKLRSICVFDCYQLENLFAMDGTLQELAKLKSITIRRCKKLSQVFKNASTNNFVPMLKSLHLDRLPELEEICKADESWNSLKQLSVRECSMLVKLPLSVQSAVNIESIRGEQKWWNQLQWDNQNLKMHLHPLFKPRG >itb06g24020.t1 pep chromosome:ASM357664v1:6:25736563:25738034:-1 gene:itb06g24020 transcript:itb06g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALQALLAATGSFLFMTIIFAVIYALCKDPKKHTRRHPPPRARTAPAAELSSIESATFDPALTRIEIGELARVTRDFSPDLIIGDGSFGLVYRAKLASGVTVAVKKLAPDAFQGLREFHAEMETLGKIQHPNIVKMLGFCIAGSDRILIYEYVAKGSLDQWLYDMSSMGYDVAGTAKCPKLLDWETRIKIVQGVARGLAYMHTLYTPIIHRDIKASNILLDAEFGAHIADFGLARLMDRSHSHVSTQVAGTMGYMPPEYIYGCMMATTPGDVYSFGVLMLEIATGRRPNFPFAGGDGYEIRLVEWVTNMVSQKRYMEMIDPNLSRENLPENDVINYFAIATMCVSEDPKVRPTMSDVVGMLE >itb13g20020.t1 pep chromosome:ASM357664v1:13:26882552:26887330:-1 gene:itb13g20020 transcript:itb13g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISKLSNPGLAASSSFTSRRSSPAPKCFVDIRNKSSFDARISSSKLLAFAKPSLNGSLVVRCSQGGGNGSPIKRTSLHDLYEKQGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISTSNAYNDQFKELVQAGKDIESLYWELVVKDIQDACKLFEPIYDETEAADGYVSVEVSPRLADDTEGTIEAAKWLHKKVDRRNVYIKIPATAPCIPSIKEVIANGISVNVTLIFSIERYEAVIDAYLDGLEASGLNDLSKVTSVASFFVSRVDTLVDKLLEKIGTPEALDLRGKAANAQAALAFQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGSVARTIDANVSEAEGIYNALDKLGVDWKQVGTQLEVEGVDSFKKSFDSLLDSLQEKANSIKLVSL >itb09g26660.t1 pep chromosome:ASM357664v1:9:27353073:27353887:-1 gene:itb09g26660 transcript:itb09g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKIAYVGRRGKLIEELSRACDYTFKRYQFKRFWARLYSVASSRIQYLNKVGLDEGSDEILQGLRKLLTYEDECTPIGSWALLGKGKRIIACDMGDKILGVLNEYEKWKNNAHVNDFEQAFKDCYEMLNSSSSSHQHPCCALNYSSNLDKISEAESCPQCNQNMHKFVTFSCCHGPTNYLYYEEEDDYLL >itb06g11850.t1 pep chromosome:ASM357664v1:6:16391110:16395054:1 gene:itb06g11850 transcript:itb06g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSSLLKTHNSFHGRLPALSLIFRQKMAAQIFTGTRILSEDGATPLEIMLVDTSGGSGLIPAALPFPIKLEVVVLDGDFPREGDVAAGPWTIHDFNKNVVKERTGKRPLLAGDAFVSMTNGLAHLSNNIEFTDNSSWIRCRRFRLGARVVHVGNGAGESVDIREAITNSFMVKDHRGELYKKHYPPALDDDVWRLKNIGKDGKFHKKLASNGVNTVQDFLKLSEVDRNKLRTILGGGMSEKMWDVTYKHASTCEIGNKLYISQGDNYTLFLNPICQLVRAIVDGQIYASGYNHLTIFPKGCMANLVKNAYQNWSSLQVCDLVHEPALLTQGREEGFLETVFSKQLPS >itb03g00570.t1 pep chromosome:ASM357664v1:3:280486:281685:1 gene:itb03g00570 transcript:itb03g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKKIIEELVDGVKHILSMMEVEASAKMNSLEAKVEKATKRMNEAMLRELEVHEPFPCFDGSDPRGWIIKCLRFFRIYSCFTEHQKVVYATQHFEGKAEVWIQQLMKGEGKENLEWRGFVKAILCRFDDGDLLRISEI >itb06g24410.t1 pep chromosome:ASM357664v1:6:25981470:25987330:1 gene:itb06g24410 transcript:itb06g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRAFGTDGRKTTHDFLSLYAPAEQDPRPRQAGGYLRTHDFLQPLEQAEGTVGKEESKVEVTIAEKPPPPPSMEHNLPGGIGTYSISYLQRVPKPEGSIFTMAQPSSTDRNDENSNCSSYSGSGFTLWDETAVKKGKTGKENSSGERHVLRETGVNTGGQRPVAMEWPSQSSSNLKHSTAAFSSFSSSQQLAAQNNQSFLNMITSAKSAQEEDEDEDEEEFVIKKEPSPHPKGNLSVKTVGKSNDQKPNTPRSKHSATEQRRRSKINDRFQMLRDIIPHSDQKRDKASFLLEVIEYIQFLQEKVQKHEGSYQSWEHEPTKLPWNNCQRGAQGFIDHSQGTNNASDPALIFASKFADSKPGISRTIPVNGQKAEPDMSTALIKDGGHQQPMPLPFDPNIFPLCGTRNIASQPLPKLVSGKDKSTSQHQPQFPQSRSNTSNYTVASNEPKDQDPTIESGTISISSIYSQGLLSTLTTALQSSGVDLSQASISVHIDLGKRANGRLNSSASTIKGDDVSTSNQPIPHSLVTSAGEESSQALKRIKTS >itb06g24410.t2 pep chromosome:ASM357664v1:6:25981470:25987322:1 gene:itb06g24410 transcript:itb06g24410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRAFGTDGRKTTHDFLSLYAPAEQDPRPRQGGYLRTHDFLQPLEQAEGTVGKEESKVEVTIAEKPPPPPSMEHNLPGGIGTYSISYLQRVPKPEGSIFTMAQPSSTDRNDENSNCSSYSGSGFTLWDETAVKKGKTGKENSSGERHVLRETGVNTGGQRPVAMEWPSQSSSNLKHSTAAFSSFSSSQQLAAQNNQSFLNMITSAKSAQEEDEDEDEEEFVIKKEPSPHPKGNLSVKTVGKSNDQKPNTPRSKHSATEQRRRSKINDRFQMLRDIIPHSDQKRDKASFLLEVIEYIQFLQEKVQKHEGSYQSWEHEPTKLPWNNCQRGAQGFIDHSQGTNNASDPALIFASKFADSKPGISRTIPVNGQKAEPDMSTALIKDGGHQQPMPLPFDPNIFPLCGTRNIASQPLPKLVSGKDKSTSQHQPQFPQSRSNTSNYTVASNEPKDQDPTIESGTISISSIYSQGLLSTLTTALQSSGVDLSQASISVHIDLGKRANGRLNSSASTIKGDDVSTSNQPIPHSLVTSAGEESSQALKRIKTS >itb06g24410.t3 pep chromosome:ASM357664v1:6:25982921:25987303:1 gene:itb06g24410 transcript:itb06g24410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLPGGIGTYSISYLQRVPKPEGSIFTMAQPSSTDRNDENSNCSSYSGSGFTLWDETAVKKGKTGKENSSGERHVLRETGVNTGGQRPVAMEWPSQSSSNLKHSTAAFSSFSSSQQLAAQNNQSFLNMITSAKSAQEEDEDEDEEEFVIKKEPSPHPKGNLSVKTVGKSNDQKPNTPRSKHSATEQRRRSKINDRFQMLRDIIPHSDQKRDKASFLLEVIEYIQFLQEKVQKHEGSYQSWEHEPTKLPWNNCQRGAQGFIDHSQGTNNASDPALIFASKFADSKPGISRTIPVNGQKAEPDMSTALIKDGGHQQPMPLPFDPNIFPLCGTRNIASQPLPKLVSGKDKSTSQHQPQFPQSRSNTSNYTVASNEPKDQDPTIESGTISISSIYSQGLLSTLTTALQSSGVDLSQASISVHIDLGKRANGRLNSSASTIKGDDVSTSNQPIPHSLVTSAGEESSQALKRIKTS >itb12g10390.t2 pep chromosome:ASM357664v1:12:8442629:8446641:-1 gene:itb12g10390 transcript:itb12g10390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLWKLFGACKAQGSLTQLHCHLIKTGLIQDVTFVARLSDFYTTFELFKNALKLVDEIPSRSVYIWNRILQRCCREKRYEEALSIFSYLFSCEKPDSFTVGSALKACSGLMAVNFGKTIHSLIKKHDILDSNLFIGSGLIEFYSKCGKMGEALRVFEEYHNPDIVLWTALVSGYEQNGEPERSLTTFAELIMSDGVSPDNVALVSVVSACTQLSNMNVGRSIHGFAIRSCFDIYLPLLNAFLNLYAKTGDILAAENLFSVMEEKDVISWGSMISCYAHNGAANKALSLFNEMIVRGVEPNSASFISSLQACEAAGNLVEGRKIHELAVLKGFGLDILVSTALIDMYMNCCCPREAIMVFEEMPNRDAVSWFAVLHGCVKNGMTHKSMVIFHDMLARGIQPDANAMVKILTACSELGVLQQVCCLHSRVIRSGFDNNSFVRASLIECYAKCGSLGDAINVFEEIKDRDVVIWSSMLAAYGIHGQARESISLFSQMIRSSVSRPNNVTFLSILAACSHAGLVKEGIEFFNTMVHHYDLIPESKHYSVLVDLLGRTGELDQSISIINQMKNRIGADVWGALLGASRIHHNAEIGENAARNLFQLDPDNMGYHVLLSNIYAVDGKWDDASELRTSIKDRGLKKIPGESVVLLN >itb12g10390.t1 pep chromosome:ASM357664v1:12:8442629:8446641:-1 gene:itb12g10390 transcript:itb12g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLWKLFGACKAQGSLTQLHCHLIKTGLIQDVTFVARLSDFYTTFELFKNALKLVDEIPSRSVYIWNRILQRCCREKRYEEALSIFSYLFSCEKPDSFTVGSALKACSGLMAVNFGKTIHSLIKKHDILDSNLFIGSGLIEFYSKCGKMGEALRVFEEYHNPDIVLWTALVSGYEQNGEPERSLTTFAELIMSDGVSPDNVALVSVVSACTQLSNMNVGRSIHGFAIRSCFDIYLPLLNAFLNLYAKTGDILAAENLFSVMEEKDVISWGSMISCYAHNGAANKALSLFNEMIVRGVEPNSASFISSLQACEAAGNLVEGRKIHELAVLKGFGLDILVSTALIDMYMNCCCPREAIMVFEEMPNRDAVSWFAVLHGCVKNGMTHKSMVIFHDMLARGIQPDANAMVKILTACSELGVLQQVCCLHSRVIRSGFDNNSFVRASLIECYAKCGSLGDAINVFEEIKDRDVVIWSSMLAAYGIHGQARESISLFSQMIRSSVSRPNNVTFLSILAACSHAGLVKEGIEFFNTMVHHYDLIPESKHYSVLVDLLGRTGELDQSISIINQMKNRIGADVWGALLGASRIHHNAEIGENAARNLFQLDPDNMGYHVLLSNIYAVDGKWDDASELRTSIKDRGLKKIPGESVVLLN >itb01g10960.t1 pep chromosome:ASM357664v1:1:9600356:9605464:1 gene:itb01g10960 transcript:itb01g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAETSPSQIRGLLISLKEFLIVFGMLLGYTVGSLMVEVVAGWRYVPTPILVIIDVQPKELGIATKCGAVKRYWMQSPVSERQAGGLRTNRPSGAEQEESKSSGEAPRLTWASTAGTKPTQAKPRARPGPQPQGRAWSKQL >itb07g06270.t1 pep chromosome:ASM357664v1:7:4415055:4420210:1 gene:itb07g06270 transcript:itb07g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVLGSGSEQIDPRELSKEDPNGPGRGGKLRVDHNSSVEPGSAPCDLGWAWAAVQVSNPIRLCCRPQFGLGARSKEDSRVRNPNSRHLIHVQFFGIRAMTTSSPPPSNSSNNEGESAEPRDFESERVFSCNFCKREFSTSQALGGHQNAHKQERALAKRRQGLLDTVAPYVGPHYHPYYHPYSAFSSHLPFYNSFGNRSLGVMPNYSSAIHRLPSSYHHPSFLAENSNYSRLGGGEKWSPRSLLTRPESIQGNNNSSAFATTGFVANSFKLEINNKGQLGSGIKVDDNHTSADDSKTEGKNNALLGDDEDKGQDQEDASGIDLSLKL >itb13g14560.t2 pep chromosome:ASM357664v1:13:21177876:21180133:1 gene:itb13g14560 transcript:itb13g14560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNVICLNKQVENFEHVTVPELEKQLDNKAQQLLQNYLFVVSAGGNDYSFNYFLGLPNANLTLQAFTSHLTTTLSHQLKRLYKLGARKFVVMSLNPNGCSPSAKARNPRRSGCIQYLNRAAHLFNHNLRTLVDAILPEMPASNIVFVNAYKVIRDIIKNHASNDASNACCEVPSIDEGGNGILCKKVGSICANRSNHVFFDALHPTEAVNAVIARKAYTSIRKTEVYPMNVKQLSQI >itb13g14560.t1 pep chromosome:ASM357664v1:13:21177876:21180282:1 gene:itb13g14560 transcript:itb13g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNVICLNKQVENFEHVTVPELEKQLDNKAQQLLQNYLFVVSAGGNDYSFNYFLGLPNANLTLQAFTSHLTTTLSHQLKRLYKLGARKFVVMSLNPNGCSPSAKARNPRRSGCIQYLNRAAHLFNHNLRTLVDAILPEMPASNIVFVNAYKVIRDIIKNHASNGFVDASNACCEVPSIDEGGNGILCKKVGSICANRSNHVFFDALHPTEAVNAVIARKAYTSIRKTEVYPMNVKQLSQI >itb11g05170.t1 pep chromosome:ASM357664v1:11:3063337:3064928:1 gene:itb11g05170 transcript:itb11g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKNITVLEQIPVAPSPEGTPKITLRFTFLDVIWVHRDPVHRLIFYQHPISRTHFLETLIPAMKHSLSLTLRHYSPLAGRLIVSPDNSILPEIRYVEGDTVPLVLAESEGDFGHLTSDHAKSCTDFHPLVPALPPVSRAPDGSAVVPVLALQVTLFPDVGICVGVTNHHVIGDASSIFSFMKAWEFFSNLADKTTSSVSLPPEFLPSYDRTVIRDPKGLESLFWDNIKNIKIEDTHVHRVPLITNRVRVTYILTRDDIQRLKNHILAHRPEQKHVSSFTVICSYVWTCLVKSRYGPETENTDNEDEIFGCAAECRARLDPPLPENYFGNCLTFVVVFAKTKQLTGEKALVDAAALIGDSIRGQLYNKESGVFKGAEDWFALLSTAKPDRSLSVAGSPKFDYYELDFGWGRPKKFEFASIDLFSAFSLSKARDIEGGLEVGLSLPLTQIHSFSTIFTHDLKAL >itb05g11960.t1 pep chromosome:ASM357664v1:5:18277346:18280037:1 gene:itb05g11960 transcript:itb05g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDPGQMMSSSGGGGTTEAAAESGSATAAAASNSGGSSGAGAVPGGIFGEESERNSGGNRWPRQETLALLKIRSDMDAVFRDSSLKGPLWEEVSRKMAELGYHRSSKKCKEKFENVFKYHKRTKEGRTAKSDGKTYRFFDQLEAFHTPTPAPPPMVVTAPAGNPSRPVAAAMPHQFTVSSGQNTSPAFPAPLRAAFAPIPAANNAAFPAGNALSYSTSSSTSSDEDIQRRHRKKRKWKEFFERVMGDVIVKQEEMQRKFLEALEKRERDRTVREDAWRAQETARIKRERDILLQERSMAAAKDAAVINLLQKLSDQNNNVLQIPSITNNSDPIIQIQVQIPETLSTPPLAPPPPLPQPQEPSPISVAPPASMPFSIQTPTPEKTAAGENFTPPPPPSSSRWPKPEVQALIDLRTSMDMKYQENGPKGPLWEEISAAMRRLGYNRNPKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYTTKKAKLEIKPESNPNTARPELANGDDNNVDDDGDYDDDEDDAGGYEIAANMQYSLAQQATHSHEEEEERK >itb09g20230.t4 pep chromosome:ASM357664v1:9:16980197:16984653:1 gene:itb09g20230 transcript:itb09g20230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNCGKSSLRNVRKFSQSQLVFQLCSFSDIAQKKTKLAPLQERKMIDRFRIWAKGGDGGNGCNSFRRSRHNRFGRPDGGNGGRGGDVILECSPAVWDFSGLSHHINARRGGHGTSKNMIGSRGTDEVVQVPVGTVIHVVEGELPSAVQKVSSTTLDPWDIPGTLATDSSECSLQSRPTCETETTFKKTASPCVDNSTSTRLAQDSSHVQIPRSRMLERSQSEGQDSDDAVSGSEWEDEGEQSENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTDEEVASLSAGSPGSKVVLVLELKSIADVGLVGMPNAGKSTLLGALSKAKPTIGHYAFTTLRPNLGNVNYYDFSVTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLGDNKGIPPWEQLKDLVLELEHYREGLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIELCRLKLDNIVLDR >itb09g20230.t6 pep chromosome:ASM357664v1:9:16982936:16984653:1 gene:itb09g20230 transcript:itb09g20230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLNVLQQSGILVNARRGGHGTSKNMIGSRGTDEVVQVPVGTVIHVVEGELPSAVQKVSSTTLDPWDIPGTLATDSSECSLQSRPTCETETTFKKTASPCVDNSTSTRLAQDSSHVQIPRSRMLERSQSEGQDSDDAVSGSEWEDEGEQSENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTDEEVASLSAGSPGSKVVLVLELKSIADVGLVGMPNAGKSTLLGALSKAKPTIGHYAFTTLRPNLGNVNYYDFSVTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLGDNKGIPPWEQLKDLVLELEHYREGLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIELCRLKLDNIVLDR >itb09g20230.t5 pep chromosome:ASM357664v1:9:16981899:16984718:1 gene:itb09g20230 transcript:itb09g20230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNCGKSSLRNVRKFSQSQLVFQLCSFSDIAQKKTKLAPLQERKMIDRFRIWAKGGDGGNGCNSFRRSRHNRFGRPDGGNGGRGGDVILECSPAVWDFSGLSHHINARRGGHGTSKNMIGSRGTDEVVQVPVGTVIHVVEGELPSAVQKVSSTTLDPWDIPGTLATDSSECSLQSRPTCETETTFKKTASPCVDNSTSTRLAQDSSHVQIPRSRMLERSQSEGQDSDDAVSGSEWEDEGEQSENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTDEEVASLSAGSPGSKVVLVLELKSIADVGLVGMPNAGKSTLLGALSKAKPTIGHYAFTTLRPNLGNVNYYDFSVTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLGDNKGIPPWEQLKDLVLELEHYREGLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIELCRLKLDNIVLDR >itb09g20230.t3 pep chromosome:ASM357664v1:9:16980193:16984718:1 gene:itb09g20230 transcript:itb09g20230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGTDEVVQVPVGTVIHVVEGELPSAVQKVSSTTLDPWDIPGTLATDSSECSLQSRPTCETETTFKKTASPCVDNSTSTRLAQDSSHVQIPRSRMLERSQSEGQDSDDAVSGSEWEDEGEQSENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTDEEVASLSAGSPGSKVVLVLELKSIADVGLVGMPNAGKSTLLGALSKAKPTIGHYAFTTLRPNLGNVNYYDFSVTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLGDNKGIPPWEQLKDLVLELEHYREGLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIELCRLKLDNIVLDR >itb09g20230.t2 pep chromosome:ASM357664v1:9:16980193:16984718:1 gene:itb09g20230 transcript:itb09g20230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNCGKSSLRNVRKFSQSQLVFQLCSFSDIAQKKTKLAPLQERKMIDRFRIWAKGGDGGNGCNSFRRSRHNRFGRPDGGNGGRGGDVILECSPAVWDFSGLSHHINARRGGHGTSKNMIGSRGTDEVVQVPVGTVIHVVEGELPSAVQKVSSTTLDPWDIPGTLATDSSECSLQSRPTCETETTFKKTASPCVDNSTSTRLAQDSSHVQIPRSRMLERSQSEGQDSDDAVSGSEWEDEGEQSENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTDEEVASLSAGSPGSKVVLVLELKSIADVGLVGMPNAGKSTLLGALSKAKPTIGHYAFTTLRPNLGNVNYYDFSVTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLGDNKGIPPWEQLKDLVLELEHYREGLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIELCRLKLDNIVLDR >itb09g20230.t1 pep chromosome:ASM357664v1:9:16980193:16984718:1 gene:itb09g20230 transcript:itb09g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNCGKSSLRNVRKFSQSQLVFQLCSFSDIAQKKTKLAPLQERKMIDRFRIWAKGGDGGNGCNSFRRSRHNRFGRPDGGNGGRGGDVILECSPAVWDFSGLSHHINARRGGHGTSKNMIGSRGTDEVVQVPVGTVIHVVEGELPSAVQKVSSTTLDPWDIPGTLATDSSECSLQSRPTCETETTFKKTASPCVDNSTSTRLAQDSSHVQIPRSRMLERSQSEGQDSDDAVSGSEWEDEGEQSENVEYNVAELTEPCQRIVIALGGNGGLGNVSTGKTSKKTQKGNDSDTVDAAEYTDEEVASLSAGSPGSKVVLVLELKSIADVGLVGMPNAGKSTLLGALSKAKPTIGHYAFTTLRPNLGNVNYYDFSVTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAATLGDNKGIPPWEQLKDLVLELEHYREGLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNGIELCRLKLDNIVLDR >itb03g20540.t1 pep chromosome:ASM357664v1:3:18465217:18465675:1 gene:itb03g20540 transcript:itb03g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCGTEIAMVVFSPSDKAFTFSNPDLNMVLTKYFVRNPTTEANISELLVRNHREANMRMKTAQINILEAQIDEEMLVNQALREAERGRPSISSLQLPELQSIKHNMETLYHQVIEKLNQFFMMGAQSQAMPTHFGTNGDAGSSGSCFTLF >itb08g00180.t1 pep chromosome:ASM357664v1:8:172876:187626:1 gene:itb08g00180 transcript:itb08g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRAVEKGVLGQNTSASRSGSFNIPPGPVYYPTEEDFRDPLEYIYKIKEEAEKYGICKIVPPKNWKPPFALDRDLFTFPTKTQAIHQLQARCASCDPKTFELEYNRFLEEHCGRKGKKRVVFEGAELDFCKLFNAVKRFGGYEKVAKEKKWGEVFRFVRSGGKITECAKHVLSQLYLEHLYDYEEYCAKLNKVRNKGCKRRSIPDRKSVHEFESSSSKRRRKNTEGERHEMHKAKEEEFDQICEQCKSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCLECLNSEKDSFGFVPGKDFSLEAFRRVDDRAKRKWFGSSSVSRVQLEKKFWEIVEGLAGEVDVLYGNDLDTSVYGSGFPRGTDQRPTTVGAEIWDEYCASPWNLNNLPKLQGSVLQAVHQNIAGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYHHWGEPKCWYSVPGSEVHAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPCVLQENGVPVYSVLQEPGNFIITFPRSYHSGFNFGLNCAEAVNFAPADWLPHGGFGAELYQLYRKAAVLSHEELLCVVAKNDLDTKVSPYLKMELLRVYNKEKSWRERLWRNGIVNSSTMSPRKQPQYVTTEEDPTCIICQQYLYLSAVACSCRPSAFVCLEHWEHLCECKANKLCLLYRHTLAELRDLVLFTDKHCSEDAAKNIQNQALSSIDSAALSKKVKGNSVNHEQLADKWLLKCNKILQSPYSSDAYINALKEAEQFLWAGHEMDPVREMAKKLIESQNWAQNVRNCLSRLESWSCNGDHDFEKVQMELVDNLLSLSPAPCTDSAYLKLKDYQKKARVLIQEIDSVLSSCPKISVAELDNLQSKILGLPIYVKESENLIRILSSVKAWKDTARKCISEKFPAAVEADVLYKLQEEIPDLQVELPEVKILLDLIGKVELCRSECKKMLEGSISLKELELLINEWDAFTVNILELELLKQYHKDAVSWKSRVKSILVNISDREDQEHVVDELTCIQRDASSLKIQVEELPYIEIELKKASCRVKALKALHGKTSIDFIEHLMVEASTLHIEKENIFIDISGIYAIAVSWEEKAKHLLGSKADISEFEDAIRASENLIVILPSLDDIKDAISLAKSWLLKSRAFITDNSPAAFTPCFLHKVEDLKELASQSKLLKISLREQPLIQTLLDKCMKWKQDACSLLNDADCLLNVDVMGDQNFSSLSQKLEHQISLMESAIQAAHYLGFEFDMISKLQGACSTFQWCVKALSFSSTVPSIEEIEKSLEIAGRFPTIYASCRLCIVLFDGVIWLKKALEVSVPSNLRRYNLEDAEEVLRQSQNVHVSSPVIVSELKKAIGKHNLWLEQVQLFFSLDLRDRSLDMLLQLKELGSNDAFNCSEMDMVFSEVHKIEEWKHRCVDMFWTSGDANILCSALLEIRNTLGRSFCIFDGSSCSKIQDLCIFCSTATDNQKLLTCSSCKDCFHLGCIGQPSLETSVPFTCPYCQFMRSGKISKSGYLLKIGRKRFELKELNKLLSDAEDLCLWIEEISLLSQIVDKGLELSACLGEILDFALACVDKDLSVVSTKLCVALKAVDVTGFHECESNCKLELALSRNSWRVGAQKLMDGPQKPTIQQLRQHLKEGLAISISSKDYFRQRLNEVRNIGLQWADTAKKVAADGGALQLDKVFDLISEGENLAVNCEKELKLLRDRSMLYCICRRPNDQRPMIACDKCDEWYHFDCIHLSSSSLPKTYICPACIDEREDADNASIPTSEEGCGIGKPKEPQTPSPRHTEARRKSRKLKAAIDIAIAIGEEKAAAPRQCSNVVGLLLWRNRKPCKRAARKRTDLESLSHWFSSVNNSNS >itb04g12630.t1 pep chromosome:ASM357664v1:4:12364269:12364562:-1 gene:itb04g12630 transcript:itb04g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAVQKAGGPNIPIVVSESGWPSDGGLAATPQNAATYYSNLIAHVSGNSGTPMKPGTIETYLFAMYDENLKRGDAVENHFGLFLPDKTPKYQLNFN >itb11g00730.t1 pep chromosome:ASM357664v1:11:332774:334008:-1 gene:itb11g00730 transcript:itb11g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPNHILSYSDYFITGEINQGYTIIVDSFPFKFSFHATLSIILTVKSAAGSRLPITDVRNAVSGRLYSLQTSPAIDLNCVLLLSAAIILYRSSGGISFGSNPIGLSFD >itb01g29870.t2 pep chromosome:ASM357664v1:1:33988647:33991724:1 gene:itb01g29870 transcript:itb01g29870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFAGKPFSAPYIAARADLDQMPDTPTRGTRHRRVQSETFFRFPDFDDDMLIDGVVSDFNFDNPAAPPLPQSPDTSVQPANSADSSSTGPGFNPKSHAHFRSLSVDSDFFDGLEFGATSAADAATPTLTEKKALGSGPRHRHSNSMDGSFPTTVEIDSISARKATGADRLAELALIDPKRAKRILANRQSAARSKERKVRYTSELEKKVQTLQTEATTLSAQITLLQRDTSGLTAENKELKLRLQALEQQAHLRDGIPTLNYYVSFIDLIQ >itb01g29870.t1 pep chromosome:ASM357664v1:1:33988647:33991738:1 gene:itb01g29870 transcript:itb01g29870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFAGKPFSAPYIAARADLDQMPDTPTRGTRHRRVQSETFFRFPDFDDDMLIDGVVSDFNFDNPAAPPLPQSPDTSVQPANSADSSSTGPGFNPKSHAHFRSLSVDSDFFDGLEFGATSAADAATPTLTEKKALGSGPRHRHSNSMDGSFPTTVEIDSISARKATGADRLAELALIDPKRAKRILANRQSAARSKERKVRYTSELEKKVQTLQTEATTLSAQITLLQRDTSGLTAENKELKLRLQALEQQAHLRDALNETLRDELQRLKLEAGEIQATGGNNFNRGPQPRLPPQPQTFVHHGNHHHQQIQQQQQQQLQRPDSTASRPSLSGQAMPSFQNFSQRAG >itb12g06660.t1 pep chromosome:ASM357664v1:12:4946602:4949112:-1 gene:itb12g06660 transcript:itb12g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRYDIVIFGASGFTGKYVIREALKFLNAPSSPLKSLALVGRNPSKVAQALEWASHPNPPPPQIAILTADITADDRSSLRRIASRAKIVLNCAGPFHLYGEPVVAACVDAGCDYLDITGEVEFPERIEACYQEKAAANSSLVVCACGYDALVAELALMFNSRQWVSPAAPNRVEAYLSLESDKRVVFNQTSFDSLVHDVANEDNLQQLRRSKPARAHPVIPGPPPKGKILEYKKEIGLWAVMFPSLEVPAVQRTLGFLTENPKGAPGVNGSAQQIEKRQAFWSTMKPAHFATNWTSKSFLGVIRYIIIGMWIGLLGRFCIGRWLLMRFRPVFSLGLFSNGGPTEEEVASASFKRWCIGHGYSDASLLASKGSMKPDMKIITRVTGPEIGYSATPITLIQSALVLLNQRHDLPKGGVFPAGIIFGHTDLQQRLQQNGISFDVISKETFPKKI >itb03g16010.t1 pep chromosome:ASM357664v1:3:15158115:15160740:-1 gene:itb03g16010 transcript:itb03g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPYTSLLRRRHLFVALLLLLSCAGSALSARRCADCGSSPVPYPLSTGPDCGDPSYKVRCDNNGGLVFDTLNNTYPITSITPESQRLTIAPSSFIPGACVTDDISTGGILLNSSLPFNITSSNTVLYLNCTETLLNSPLNCTASSLCHAYINSSLGGGACTNAPICCTFRAGGSSTSDKMRVRSSGCSAYRSFVNLDESLPVSRWPAPAMELQWVSPPEPLCRSQSECDSDSTCGTDGNSGGGVSRCFCNSGLHWDAIVGSCVRNVCQDGCGSDKTALIAGLTSGLGVALVAAVIGFLVYKRYQRIREARERLASEREAILNAGGVKTAKLFSGKEIKGATNNFSRDRLLGVGGYGEVYKGVLDDGRVVAVKCAKLGNTKGTDQVLNEVRILCQVNHKSLVRLLGCCVELEQPLMVYEYIPNGTLLDHLQGDKRKHLAWSHRLNIAQATAEGLAYLHFSAVPPIYHRDVKSSNILLDEKLNAKVSDFGLSRLAHTDMSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFNRDQDDVNLAVYVQRLVEEERIMDAVDPILKEGASNLELETMKALGFLAIGCLEERRQNRPSMKEVVEEIEYIISIAMAKDEK >itb09g07640.t1 pep chromosome:ASM357664v1:9:4504495:4506758:1 gene:itb09g07640 transcript:itb09g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKDDNEASNSNSPACAACRHQRKQCKAKECVLWPHFPSDRMEEFQAVHRVFGISNVTKMLRELSNDERAAAAASLLWEAHSWTEDPVHGPLGKFNRLEQELNALKASLFQYQRAAMDDNSNNILPQEVKESLVISNGGFSNTYPANFGQRWSDSVTPYKPQEIVASCLQLPQQQPPINPPIPGLIRGDGYVGTMYSVPPDLPFIRDDDFVGTIFSSPPIRGEDNIGTSFNSLSYSNVKEDGGTTFSTSPSLSIRREDRVGTSFNRLSRSNNVRGTVFSTSPSLQISGKDRVGTSFNRRLSGSNVRGEENCGTIFSTSPSLPIKGKDRVGTSFNSLSHFNFRGDQDNLRTQFTSSVNLPVKRDGDPAAFCQQHPRNSKPDDARGLFAPCYERIFNQAIKAEDKAGLL >itb13g17640.t1 pep chromosome:ASM357664v1:13:24605907:24608423:-1 gene:itb13g17640 transcript:itb13g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRAKTPGTTPLLLPIKRSLACVISTASDSAAPSPLRPKRTVNSKPPASPPGRQRLVDQRWLTSLLSSRHLEYSKCKELIIQLTPDQFDVLFSDLSPSSLMPLTALKFFHIASRSFGFRFCVRSYCNLLRLLVDSDLETPARLLLIRLIDGKSPALFVNPDNKHAEVAATLVDLNGVLETHSAVRIYDLLIHVCCTQFKSAGFDIALDVFQILASKGLFPSLKTCNFLLSSLVKENELQKSYQVFDILIRSVIPDVYTFSIAINAFCKGGRVEEAKLLFQKMEEVGVAPNVVVYNNLIHGLCKDGNLEEAFRLKDEMICKGLKASVVTYSMLINFLVKLEKYDEACCILKEMSQNGLLPNEIIFNTMIDGYCKMGNLDDALRVKDEMLMQGFVPNSVTCNSLVKGFCKMNQIDKAEQLLEKMLLEGLCINLGSFTSVISGLCTNSSFESALHFTREMILRNLKPNDGLLTILVGGLCKNRKYSEAVDLWFLLLHRGFTANTVTSNALIHGLCESGNMQEAFKLLKVMLDRHLQMDMITYNTLICACCKDGNLDGAFKLREEMVKQGIAPDISTFNLLLHGLFNKHRTDEALMLWNESQNMGLVCNVHTFGVLINGFCKAGLVEKGRYFFDEMLRQGIAPNSVVYNTLIWAYSRHGNLAEALKLSDEMRIKSYLPTDATYSSLIHGMCNIGHLEEARCLIDEMRKEGLSPDVVCFTALIGGYCKLGQMDKARSVLEEMYLWNLQPNKITYTIIIDGYCRSGKIKEATRSLAEMVKKGITPDSVTYNVLSNGFYKEGKIETVFGLCDHMSVAGPGLDEVTYTSLIDWLPQPSVVGNQE >itb09g21510.t1 pep chromosome:ASM357664v1:9:19544467:19546615:1 gene:itb09g21510 transcript:itb09g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAKALFFFLLINLLSVSFCDDAAVMSKLLAALSPTPSDWSNSTHPCLWDNVSCESRNVVTINLVSQSLSGELPSELTHLASLQTLYVQLNSLSGPLPCFANMSRLERLYLDSNQFSSIPQDFLLGLTNLKTFTISDNHKLSPWTIPSYLVESTWLESFSASNASISGVIPNFFGSFPSLQILKLSYNNLIGSLPGSFGSSEIHNLWLNNQQQGLSGTIDVLSSMTQLNQVRLQANAFTGPIHDLSKCGPMPDFGFNFEIDLGNGFCKDTPGPCDPQGNVITVNLEKQHFSGTISPALANLTSLRNLYLNDNNLTGPIPESLTTLPNLQVLQVSNNNLFGHIPVFPPSVKYPHGGNLFLGKNVSTSGGSPGSGQNSDAPIPSDNALSGNSNGSSISARMIVGVVIAVVIVVVLVFLVSYKCYMKRQHKMKVSVKRTAVSTGINKWDMVDCGRTFPAQEDRNIAIPIQVLEKATNVFSQDNVLGSGGYGVVYLGELDDGTKVAVKKMKDGATHTKGMNEFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQGTLGHHLFEWEKHGFDPLAWKQRVTIALDVARGIEYLHSLAHQSFIHRDIKSSNILLSDDMRAKVADFGLVRKAPNDKSSFETRVAGTFFF >itb01g02300.t1 pep chromosome:ASM357664v1:1:1486094:1487818:1 gene:itb01g02300 transcript:itb01g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGGYIQKFDSEQCDLEIMRLWVHRTSKIAPIYRYLHVPAQREPHHPSHLLRAGAEAVWFTKVICILCARRSSGLDVFGCDYFRENLNPLIAFDVIEHLNGNLNNPRLAFSFFQFARLNLNLVHSVSTFQLLLKSHCEIGLHDSVRLLFNYMRADGYLPDRSLIEFVVLTLANAGEFEFAKEILISESQVNNEKCGNFSPLLHNKFLSLLLKKNRVDEAVCFFRDYILKSQSFCWDTCTFNIVIRGLCQVGRVDRAFPFFNGMKNYGCLPDLVTYNTLINGLCGIGDVDRALGLLREVQLLDRFSPDVVTYTSVISGYCKLGRMDEALNLMEEMSSFRIPPTLVTFNVLINGFGKVGDMVSALKMYERMSRGGCLPDVVTFTSLIDGHCQSGDLDQGLKFWDDMNERKLYPNAYTFSIIIIALCKKNRLIEACEILRQLKWRTDIVPQPFIYNPVVDGLCKGGNLEQANAIAAEMEAKGCCHDKITFTILILGHCMKGRMLEAINIFNKMLAVGCAPDKITISSLTSVLLKAGMAKEAYRIQNAASQDSLAVMPSSEGTVPFRTNMEVPIAA >itb13g23910.t2 pep chromosome:ASM357664v1:13:29699461:29706470:-1 gene:itb13g23910 transcript:itb13g23910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMRETSEQHCVIKTQLQNAMNAAQKSSKTGQSGKGPPNQELVSGKAQEQISPPPGRNRGRRRGRGGRKSDQGEAFMRPSSRPCTAKHKPVIAGQPAGEAVVVEAVLPNGSAQNAGNMCNLDLGFPSSRKALSFAPRPGYGQLGVKCIVKANHFLAELPDKDLNQYDVTITPEVSSRTVNRAIMAELVKLYKESDLGMRLPAYDGRKSLYTAGELPCSWKEFTVRLIDEDDVINGPKRERVYKVVIRFVARANLYHMNQFLAGKRADGPQEALKILDIVLREASIKRYCPVGRSFFSPDIRRPQPLGDGLEAWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLSEKQITSLLKVTCQRPRDRENSVLQTVQHNSYDQDPYAKEFGIKISEKLASVEARVLPAPWLKYHETGKEKDCLPHVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIHTARPEQVEKALKHVYHSCINKLKGKELELLLAILPDSNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPARGTVSGGMIRDLLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMPESGGGGGGVKSGKGGGESGVRPLPALKENVKRVMFYC >itb13g23910.t3 pep chromosome:ASM357664v1:13:29699461:29706470:-1 gene:itb13g23910 transcript:itb13g23910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMRETSEQHCVIKTQLQNAMNAAQKSSKTGQSGKGPPNQELVSGKAQEQISPPPGRNRGRRRGRGGRKSDQGEAFMRPSSRPCTAKHKPVIAGQPAGEAVVVEAVLPNGSAQNAGNMCNLDLGFPSSRKALSFAPRPGYGQLGVKCIVKANHFLAELPDKDLNQYDVTITPEVSSRTVNRAIMAELVKLYKESDLGMRLPAYDGRKSLYTAGELPCSWKEFTVRLIDEDDVINGPKRERVYKVVIRFVARANLYHMNQFLAGKRADGPQEALKILDIVLREASIKRYCPVGRSFFSPDIRRPQPLGDGLEAWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLSEKQITSLLKVTCQRPRDRENSVLQTVQHNSYDQDPYAKEFGIKISEKLASVEARVLPAPWLKYHETGKEKDCLPHVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIHTARPEQVEKALKHVYHSCINKLKGKELELLLAILPDSNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPARGTVSGGMIRDLLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMPESGGGGGGVKSGKGGGESGVRPLPALKENVKRVMFYC >itb13g23910.t4 pep chromosome:ASM357664v1:13:29699461:29706813:-1 gene:itb13g23910 transcript:itb13g23910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMRETSEQHCVIKTQLQNAMNAAQKSSKTGQSGKGPPNQELVSGKAQEQISPPPGRNRGRRRGRGGRKSDQGEAFMRPSSRPCTAKHKPVIAGQPAGEAVVVEAVLPNGSAQNAGNMCNLDLGFPSSRKALSFAPRPGYGQLGVKCIVKANHFLAELPDKDLNQYDVTITPEVSSRTVNRAIMAELVKLYKESDLGMRLPAYDGRKSLYTAGELPCSWKEFTVRLIDEDDVINGPKRERVYKVVIRFVARANLYHMNQFLAGKRADGPQEALKILDIVLREASIKRYCPVGRSFFSPDIRRPQPLGDGLEAWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLSEKQITSLLKVTCQRPRDRENSVLQTVQHNSYDQDPYAKEFGIKISEKLASVEARVLPAPWLKYHETGKEKDCLPHVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIHTARPEQVEKALKHVYHSCINKLKGKELELLLAILPDSNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPARGTVSGGMIRDLLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMPESGGGGGGVKSGKGGGESGVRPLPALKENVKRVMFYC >itb13g23910.t1 pep chromosome:ASM357664v1:13:29699461:29707140:-1 gene:itb13g23910 transcript:itb13g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMRETSEQHCVIKTQLQNAMNAAQKSSKTGQSGKGPPNQELVSGKAQEQISPPPGRNRGRRRGRGGRKSDQGEAFMRPSSRPCTAKHKPVIAGQPAGEAVVVEAVLPNGSAQNAGNMCNLDLGFPSSRKALSFAPRPGYGQLGVKCIVKANHFLAELPDKDLNQYDVTITPEVSSRTVNRAIMAELVKLYKESDLGMRLPAYDGRKSLYTAGELPCSWKEFTVRLIDEDDVINGPKRERVYKVVIRFVARANLYHMNQFLAGKRADGPQEALKILDIVLREASIKRYCPVGRSFFSPDIRRPQPLGDGLEAWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQYTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLSEKQITSLLKVTCQRPRDRENSVLQTVQHNSYDQDPYAKEFGIKISEKLASVEARVLPAPWLKYHETGKEKDCLPHVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIHTARPEQVEKALKHVYHSCINKLKGKELELLLAILPDSNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPARGTVSGGMIRDLLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMPESGGGGGGVKSGKGGGESGVRPLPALKENVKRVMFYC >itb12g07780.t1 pep chromosome:ASM357664v1:12:5941326:5948827:-1 gene:itb12g07780 transcript:itb12g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTYGGSQSIEDDVQDLVHKPLQMNEVELTQSENQGSDERAQTENSEEDDSEEIEEEDNDDFIEDDSGDSDDKDSTPSEDDDNEQSEDDEEFETSMSYQNDGMSMLCAMYCYLVLNSSPPNDVPNSSPPPSLVPHSSPPPSYVRRELQDSDSERNILASPNNVPTPPSSNSDPKDNRITIHPIGTTSSPPNDVPNSSPPPSLVPHSSPPPSYVRRELQDSDSERNILASPNNVPTPPSSNSDPKDNRITIHPIGTTSFDPCSAHRGIVPCLTRTFPKAVRTYNDAPRHVKDVWFNEFREAVTRGENEPGSGPCIFANKLYDSPPTAAGLLIRVPGKPTIHIRSKCFRPPHSLGKAKDKGTHKLKSRDVVVSSSKLTTWRVTDGGPGFKFSGLSATGILDHLAVANYMDDCDYDYNDVHE >itb13g19990.t1 pep chromosome:ASM357664v1:13:26862580:26868240:-1 gene:itb13g19990 transcript:itb13g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MSGKEIRSPEVPQGTPTPSRMGTPANRKKLGMYFIESDDRRTALGGGYTGGATPVNIRGKPISDLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLVGLTGITLCATLKVLVPNQDDCDQLALLLGSCEPAKPWQMTYLYTALYVTGFGAAGIRPCVSSFGADQFDERDRNYKQHLDRFFNFFYLSVTVGAIIAFTAIVYIQIQHGWGSAFGSLAAAMGLSNVVFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNVPWDKSEFVGLYELPGKRSAVKGSSKIPHTDDFRFLDKAALQLKEDGISPSPWRLCTVTQVEEVKILIKLIPVPACTIMLTLILTEFLTLSVQQAYTLNTHIGRLKLPVTCMPVFPGLSIFLLLSLYYSVFVPFSRRITGHPHGASQLQRVGIGLAVSIASVAWAGVFERYRRNYAIKHGYEASFLTAMPDLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMRSIGSAYAAVAGGLGCFAATILNSIVKAVTGGKEEEGRQSWLSQNVNTGRFDYLYWLLTLLSVVNFCGFLYAARRYQYRKKPGAETGLPAAKTELPTVGE >itb13g19990.t2 pep chromosome:ASM357664v1:13:26862580:26867756:-1 gene:itb13g19990 transcript:itb13g19990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MDSDTYSGMSGKEIRSPEVPQGTPTPSRMGTPANRKKLGMYFIESDDRRTALGGGYTGGATPVNIRGKPISDLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLVGLTGITLCATLKVLVPNQDDCDQLALLLGSCEPAKPWQMTYLYTALYVTGFGAAGIRPCVSSFGADQFDERDRNYKQHLDRFFNFFYLSVTVGAIIAFTAIVYIQIQHGWGSAFGSLAAAMGLSNVVFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNVPWDKSEFVGLYELPGKRSAVKGSSKIPHTDDFRFLDKAALQLKEDGISPSPWRLCTVTQVEEVKILIKLIPVPACTIMLTLILTEFLTLSVQQAYTLNTHIGRLKLPVTCMPVFPGLSIFLLLSLYYSVFVPFSRRITGHPHGASQLQRVGIGLAVSIASVAWAGVFERYRRNYAIKHGYEASFLTAMPDLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMRSIGSAYAAVAGGLGCFAATILNSIVKAVTGGKEEEGRQSWLSQNVNTGRFDYLYWLLTLLSVVNFCGFLYAARRYQYRKKPGAETGLPAAKTELPTVGE >itb13g19990.t3 pep chromosome:ASM357664v1:13:26862580:26866653:-1 gene:itb13g19990 transcript:itb13g19990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MEGLTGITLCATLKVLVPNQDDCDQLALLLGSCEPAKPWQMTYLYTALYVTGFGAAGIRPCVSSFGADQFDERDRNYKQHLDRFFNFFYLSVTVGAIIAFTAIVYIQIQHGWGSAFGSLAAAMGLSNVVFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNVPWDKSEFVGLYELPGKRSAVKGSSKIPHTDDFRFLDKAALQLKEDGISPSPWRLCTVTQVEEVKILIKLIPVPACTIMLTLILTEFLTLSVQQAYTLNTHIGRLKLPVTCMPVFPGLSIFLLLSLYYSVFVPFSRRITGHPHGASQLQRVGIGLAVSIASVAWAGVFERYRRNYAIKHGYEASFLTAMPDLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMRSIGSAYAAVAGGLGCFAATILNSIVKAVTGGKEEEGRQSWLSQNVNTGRFDYLYWLLTLLSVVNFCGFLYAARRYQYRKKPGAETGLPAAKTELPTVGE >itb11g07540.t2 pep chromosome:ASM357664v1:11:4635613:4641031:-1 gene:itb11g07540 transcript:itb11g07540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSHSDGKMEGWLYLIRLNRLGLQYCRKRYFILEDNCLQSYKAVPTTATDLEEPLRSAIIDSCIHVQDNGRESFHRKVSFTFTLCNTSNHDDQLKFGANSPEEAARWIRCLRDAAINPEKNLRAPAIRKWQPFSLSVSNRMSRKQSIDWNDASSTPVDAMTSDVVGPSPWKIFGIQNGLRLFKEAKDRHSNGKHWDGHPAIMAVGVIDGTSESIFKALMSLGPSRSEWDFCFSRGSVVEHLDGHTDIIHIQLTNHWLPWGLKRRDLLLRRYWRREDDGTYVILYHSVIHSKCPPQEGYVRATVKSGGHVITPVNQGKSSTVKHMLAVDWKSYLRKTTSKSITICMLGGIAALREMLKAKSGSYFLDPDMDELTIDIQLPVSEKEEIKNEVVVTERTEEDTTEKYPSACSSIVELDDVADEFFDVPEASDDEHSDLGWPRSTTPEFQVETHPPKLSSAACFVRKLQDLAVQKNIQEIVGGEEGAKGCYGCTLPKDASYNMPCSWAAADTSSFLIRGEKYLQDHSKITAKGTLTQMVGADWLQSEVREDNLAARPGSIVQKYAAQDRPEFFFVVNIQVPGATRYSLALYYMLKTPLAENPLLERFVNGDDAFRNSKFKLIPYISKGSWIVKQSVGKKSCLIGQALEVNYFKGKNYLELDVDVGSSTVARGVINLVLGYLNNLVIEMAFIVQVSDERTNEFQCFIISRLL >itb11g07540.t1 pep chromosome:ASM357664v1:11:4635613:4641031:-1 gene:itb11g07540 transcript:itb11g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSHSDGKMEGWLYLIRLNRLGLQYCRKRYFILEDNCLQSYKAVPTTATDLEEPLRSAIIDSCIHVQDNGRESFHRKVSFTFTLCNTSNHDDQLKFGANSPEEAARWIRCLRDAAINPEKNLRAPAIRKWQPFSLSVSNRMSRKQSIDWNDASSTPVDAMTSDVVGPSPWKIFGIQNGLRLFKEAKDRHSNGKHWDGHPAIMAVGVIDGTSESIFKALMSLGPSRSEWDFCFSRGSVVEHLDGHTDIIHIQLTNHWLPWGLKRRDLLLRRYWRREDDGTYVILYHSVIHSKCPPQEGYVRATVKSGGHVITPVNQGKSSTVKHMLAVDWKSYLRKTTSKSITICMLGGIAALREMLKAKSGSYFLDPDMDELTIDIQLPVSEKEEIKNEVVVTERTEEDTTEKYPSACSSIVELDDVADEFFDVPEASDDEHSDLGWPRSTTPEFQVETHPPKLSSAACFVRKLQDLAVQKNIQEIVGGEEGAKGCYGCTLPKDASYNMPCSWAAADTSSFLIRGEKYLQDHSKITAKGTLTQMVGADWLQSEVREDNLAARPGSIVQKYAAQDRPEFFFVVNIQVPGATRYSLALYYMLKTPLAENPLLERFVNGDDAFRNSKFKLIPYISKGSWIVKQSVGKKSCLIGQALEVNYFKGKNYLELDVDVGSSTVARGVINLVLGYLNNLVIEMAFIVQADTAEELPEYLLGTCRLNHLDASKAVHTKSIS >itb04g23190.t1 pep chromosome:ASM357664v1:4:28350906:28351542:1 gene:itb04g23190 transcript:itb04g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKAMGGMEDADWCKKGAEVEVSLEDEGFRGSWYTATVLRTVSKKNNKMFVEFHTLMCDDDDTKPLRQFVNFILARPIPPRESARSFGLSEEVDAFHCDGWWEGIVTEVVDDSTYSLFFRSSREQIQFPQSQLRLHREWFHGQWVPSFPDPPPSPSQS >itb05g12450.t1 pep chromosome:ASM357664v1:5:19007248:19008339:1 gene:itb05g12450 transcript:itb05g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMNPNFLGPNMMSTPPSYTNPNSNNLHFPPQMLQDYDYDYAQDFELSYVNTLLNDDYHHHYSNVVNNPFASSSSSIFSHPLPPPTIVQDKSSTTSASSGSSGFDGMLPTTTTYPMQEVKSMRQMVNTRKAKERHSIAFRTKTELEILDDGYKWRKYGKKKVKSNSNPR >itb02g14930.t1 pep chromosome:ASM357664v1:2:10836437:10840810:1 gene:itb02g14930 transcript:itb02g14930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKEEMIKQLGGLMEDIDESLKRTFKNVHQGFPTETLVRFLKARDGHVSKAHKMITDCLNWRLQNEIDNILAKPIIPSELYRGIRESQLVGMSGYSKEGLPVIAVGVGLSTFDRASVHYYVQSHIQMNEYRDRVILPFATRKFGRHISTCIKILDMTGLKLSALNQIKLMTTISTIDDLNYPEKTETYYIVNAPYIFSACWKVVRPLLQERTRKKVQVLSGSGRDDLLKIMDYASLPHFCKREGSGSSRHSRNGTIDDCFSLDHDFHQQLYDYIKQQAELVEPAGLIKEGSFHVAVPEPDPDDANIAKTLASEFQRLGENGISKSFREVKINGEVKAE >itb10g24150.t2 pep chromosome:ASM357664v1:10:28132940:28135466:1 gene:itb10g24150 transcript:itb10g24150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHQSFVYHALGGGAVADVLLWRRWYGSVTVLLGSTALWFLFERAGYNILSFIANVLLLLVLILFFWAKSASLLNRPLPPLPDLEVSDEWVVKAGAVMRIWVNHLLTVAHDIAIEGNVKLFIQVAFGLWAVSYVGSFFNFLTLFYMGVIFSLVIPPLYESCQDQIDDKLILAHNIILSKYKIINDTILKKIYVPLNKEKKFQ >itb10g24150.t1 pep chromosome:ASM357664v1:10:28132945:28135466:1 gene:itb10g24150 transcript:itb10g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHQSFVYHALGGGAVADVLLWRRWYGSVTVLLGSTALWFLFERAGYNILSFIANVLLLLVLILFFWAKSASLLNRPLPPLPDLEVSDEWVVKAGAVMRIWVNHLLTVAHDIAIEGNVKLFIQVAFGLWAVSYVGSFFNFLTLFYMGVIFSLVIPPLYESCQDQIDDKLILAHNIILSKYKIINDTILKKIYVPLNKEKKFQ >itb02g14480.t1 pep chromosome:ASM357664v1:2:10549437:10552693:1 gene:itb02g14480 transcript:itb02g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERECSALESAQDNEAISNINAEKLDTNSAEQQVSNNGCGVAEQKQMNGKGNEAVEEAGDMPPVVDVNSTPILLSTKGKGLRKWRRIKREPWMDGDTNVDTSKMLKRGLPHSGNNPAKPGNFSAGVMQKSEGSNSSTAAFVRSPGQLLDCFGGIGDTGAVNGPAFMAGTDSENSEGQSSRSSTAASAPKMRYTKPVVEHGRDKSWVSLSGNSSVNSVQLGQKGKNKMESSKKPRGERDKIEIENSHSSMESDSRSSNFVFRGTDFVANNGTKEGRSMNYDGENSDEAEDSVRKDSSYFQASYSRESNGGFGDFLQEGLAAEASWEAKNEKSENHGTSTDFDPLAESIFTLQTAQEALEKEVEKFKALGMDDVLFDDPLGNGSRPSEFTSDDLNDHGPSTSDQWQSGDSVHCSFDSQISEVISLKQIVKLSESKLVEARTMLKLKEAKISELEAIISNSSRNEAGSIETELESLFKQKLEAEVEFLVISKAVRNQKTSAQISLLEDQKALAKTQAEMANKNGCAPKKAALPNCDDERSQNYSENIIATADDDETVKLPKEVFKYTWCFFLQLVLLVLVVGLFLLQLSPHQAEVIPT >itb07g08550.t1 pep chromosome:ASM357664v1:7:6764388:6769764:1 gene:itb07g08550 transcript:itb07g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKELLNDLESLKKMLSDPTQCQLVDKIQLHAEHLSALTNSGPLRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRGFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLAEINPDVVLESYTLNITTVDGFETFMSSLQNKSFCPNKEGSGVDLVLSCVDNYEARMVVNQACNELNQIWMESGVSENAVSGHIQLLIPGETACFACVPPLVVASGIDERTLKREGVCAASLPTTMGVVAGLLVQNTLKYLLKFGHVSPYLGYNALKDYFPTMEMKPNTQCSNAACLERQREYILAKPARDAAAKAKMEEEASKLIEIPIHDDNEWNISVLDDSDLDSANANSSGVLPEGLVHELPTADEFPNIPPSETTCPVDDLEELRKQLDALNAN >itb15g03960.t1 pep chromosome:ASM357664v1:15:2491540:2492244:1 gene:itb15g03960 transcript:itb15g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATNKKTLKKKKKTLMERGIIDNFSNNGPTSSAVQLYRPINAGTLTAIAGEVIDRICRFVRSLAVRIRLIWSYNPDYNSDSDSDFLLDDEEVIIHISEDDSVLDDGEVTYLSDDEEVTYLSYASGEAYPRIVTVIYGENSGAAATRGVDQKRQAAIKSLERKIIEYDEKGGCGCCVICLEELTAGTEVAVMPCNHCSFHDGCLSTWLERSLCCPLCRHKISGPPLPEPSLEL >itb11g20960.t1 pep chromosome:ASM357664v1:11:22346105:22349157:-1 gene:itb11g20960 transcript:itb11g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPYPGLQDSMVHSLFQVQSKAWDVDVVTDLSDHDMVVHILSLPLSPIAVHDNWYWIFEHRGAYSIKRLQDSMVHSLFQVQSKAWDVDVVTDLSDHDMVVHILSLPLSPIAVHDNWYWIFEHRGAYSIKRRCAQGILPTSTALSARRIQVPTLWPFCTNADESLVHLFLHCDHTKHLWSVVDCSPNHVLNFTFMEAFLASFLEGGGEKAVCVAGLAAFLESIWYVHNQVVWNATSLSNFNIPSMVHAQVESWKQARIPTEGAQSPEASRGS >itb09g06720.t5 pep chromosome:ASM357664v1:9:3861850:3864889:1 gene:itb09g06720 transcript:itb09g06720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t2 pep chromosome:ASM357664v1:9:3861850:3865045:1 gene:itb09g06720 transcript:itb09g06720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t6 pep chromosome:ASM357664v1:9:3861850:3864889:1 gene:itb09g06720 transcript:itb09g06720.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t4 pep chromosome:ASM357664v1:9:3862127:3865045:1 gene:itb09g06720 transcript:itb09g06720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t3 pep chromosome:ASM357664v1:9:3862327:3864973:1 gene:itb09g06720 transcript:itb09g06720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t9 pep chromosome:ASM357664v1:9:3862030:3864165:1 gene:itb09g06720 transcript:itb09g06720.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t7 pep chromosome:ASM357664v1:9:3862127:3864165:1 gene:itb09g06720 transcript:itb09g06720.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t8 pep chromosome:ASM357664v1:9:3861850:3864122:1 gene:itb09g06720 transcript:itb09g06720.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb09g06720.t1 pep chromosome:ASM357664v1:9:3861850:3865007:1 gene:itb09g06720 transcript:itb09g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIFNMVPRLIRTTLDTGVVHFAVNGVCEKDWHIVKEMSNSYPCVVPNFGIHPWYIAERTPNWLKTLKEYFDATPEAAVGEIGLDKGSMGKKIDFADQVEVFRQQLQLAKELKRPASIHCVRAYGDLLEILKSMGPFPSGVILHSFLGSAEMVPEFAKLGAYFSLSGFLMSLKESKAKKMLRSIPIERILLETDAPDAFPKLNNPDTLFLIEDETSASKESGGEGQIPIPDNDSSAKTLSSSSMPKETLNHPANIHHVLHYVASLLDMSEEKLADLSFSNAMQLFSYEGSKVQQ >itb06g03580.t1 pep chromosome:ASM357664v1:6:5981376:5981861:-1 gene:itb06g03580 transcript:itb06g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTLVIAVSKERAERDTCRQNLHLATTCPDTPKTIITVQIETPASLSFDLQTKQLTMESGTGKQERRNAADTHKMSPEEVKRAGVEESKRPPGHNPGTVLHQRRQLPFSLTTIAIAGVAISGAVWYFTLYAKKKPEASAADVAKVAAGGGGPESTHPRK >itb06g10320.t1 pep chromosome:ASM357664v1:6:14658737:14660737:-1 gene:itb06g10320 transcript:itb06g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSLNSEPVFEDGDEYEDEGECTLVEHENEIHETQKPALPTVGLEFVSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKRKERYRAKLSCSNAGFKKKSEANHPRPETRTGCPAMVVIKLVDSKRWRIVEVELQHNHPVNPEIKRFYKSHKKMILAAKQISQSEPVTEVHTIKLYRTDVVDDPGDSYPEVNERDSGNPVNLSKHLELKDGDSHAVYNYFYVFSVGMIPIKENESLGAFFDGYVHKHTTFKEFVDRYDLALQRKHLQEAMADHESRNSVFELKTKCNFELQLSKVYTKDVFKNFQTEVEGMFSCFHTRQVSVNGPIMTFVVKERVEVEGGVKEVRQYEVLYETTRMEVRCICSLFNFKGYLCRHALNVLNYNGVEEVPSQYILPRWHRDFKRKFPGDFGLSDIDLDDPAKLCTSLYGRALQVVEEGAQSQEHYQAVLQELETLLNKFSVVQDNLV >itb01g28290.t2 pep chromosome:ASM357664v1:1:32793467:32801257:1 gene:itb01g28290 transcript:itb01g28290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKMDNDSEEEEDTAIKPDDAVIVCARNEDDVSHLEVWILEDSTDADLNMYVHHDIIIPAFPLCTAWLDCPLKGGEKGNFIAVGSMEPAIEIWDLDIMDEVQPSVILGGIAEKKKGKKGKKKSIKYKKDSHTDSVLGLAWNKEYRNVLASGSADKSVKIWDVSTGTCNLTMTDHVDKVQSVAWNPFKPQILLSGSFDRSVLLKDVRQPSHEGFKFSVADQVESLKWDPNAEHSFLVSLENGTITSFDIRAANPSSTSEIKPNFTLHAHDKAVCSISFNPLVPNLLATGSLDKTVKLWDLSNNQPSCIATRNPKAGAVFSVSFSDDCPFLLAIGGSKGKLQMWDILSEDAIAKKYEQYAQKTRSKSK >itb01g28290.t1 pep chromosome:ASM357664v1:1:32793467:32801257:1 gene:itb01g28290 transcript:itb01g28290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAISWVPKGVAKSVPAAAEPPSKEEIEEILKSGILTKSDEAENGEADEETDQEMDVDTSRKDNNDEVDHESAVADAHNEDSKDTTVDLTDALRELDMDNYDDEEDGIELFGPGLKDLYYASNDMDPYLKDKDDNDSEEEEDTAIKPDDAVIVCARNEDDVSHLEVWILEDSTDADLNMYVHHDIIIPAFPLCTAWLDCPLKGGEKGNFIAVGSMEPAIEIWDLDIMDEVQPSVILGGIAEKKKGKKGKKKSIKYKKDSHTDSVLGLAWNKEYRNVLASGSADKSVKIWDVSTGTCNLTMTDHVDKVQSVAWNPFKPQILLSGSFDRSVLLKDVRQPSHEGFKFSVADQVESLKWDPNAEHSFLVSLENGTITSFDIRAANPSSTSEIKPNFTLHAHDKAVCSISFNPLVPNLLATGSLDKTVKLWDLSNNQPSCIATRNPKAGAVFSVSFSDDCPFLLAIGGSKGKLQMWDILSEDAIAKKYEQYAQKTRSKSK >itb01g32100.t1 pep chromosome:ASM357664v1:1:35687298:35692420:-1 gene:itb01g32100 transcript:itb01g32100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SICKLE [Source:Projected from Arabidopsis thaliana (AT4G24500) UniProtKB/Swiss-Prot;Acc:Q9SB47] MEESEKRRERLKAMRQEAAQAGTQDEVENSMDLANPLIESQTAQPGIAEPYSRPRFDFYTDPMAAYSADKRKSMHSPRVSQPYSTPPRPGHSDSPAYHAQGSYFLDQRPQQAHGVHHTFSPLQSSLPGTLPENPPNVWGSPGGIHTSNFPPNYPRSSNFANHRYGPGSPGFGHVRGSPGFDHGRGSPGFDHGRGSPDFYYGRGSPGFDHGRGSSGFDHGRGRGYVFSNSPRPYSGRAYSPYQGSGRGRGRWQGNNVSSSSMQSGRRGSGNRECSSAEARPDLYYNKSMIEDPWEQLQPTIWKKVNTPSKGIDTPDSHKSWLPNSVSMKKARFAEVQVKLSTQQSLAEYLAASFNEAANEGVNDESSA >itb15g14990.t2 pep chromosome:ASM357664v1:15:13486550:13489893:1 gene:itb15g14990 transcript:itb15g14990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGASQFFFLLCLLISCSYGASSASINFDEHTDLKREKQQVQAFHLSRKDMQNYVPAWVNPMNHDQLMGDPSTPTTPVTNPVTTPPAANLPPAGIVTVPATTPTPSAQTPPNPVSSPLPVPSTNPNPVNTPLPAVTNPVTTPSGGGFPVTPPAAATNAPPVSGGQSWCVARSGVPEITLQSALDYACGIGGADCSGIQQSGSCYNPNSLQNHASFAFNSYYQKNPLPTSCDFGGTAIVTSTNPSSGSCVYPTSGSSSSSSSPLTQNPTPSAPITTPTTTPTTTSSSGTGLLPE >itb15g14990.t1 pep chromosome:ASM357664v1:15:13486550:13490304:1 gene:itb15g14990 transcript:itb15g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGASQFFFLLCLLISCSYGASSASINFDEHTDLKREKQQVQAFHLSRKDMQNYVPAWVNPMNHDQLMGDPSTPTTPVTNPVTTPPAANLPPAGIVTVPATTPTPSAQTPPNPVSSPLPVPSTNPNPVNTPLPAVTNPVTTPSGGGFPVTPPAAATNAPPVSGGQSWCVARSGVPEITLQSALDYACGIGGADCSGIQQSGSCYNPNSLQNHASFAFNSYYQKNPLPTSCDFGGTAIVTSTNPSSGSCVYPTSGSSSSSSSPLTQNPTPSAPITTPTTTPTTTSSSGTGLLPDSGTPPTLLNASNPVPGGEMPGLGGAIPLGNTSTISMSPILQPFVGYIFLLASICTGTLALKI >itb14g01550.t1 pep chromosome:ASM357664v1:14:1177504:1181649:1 gene:itb14g01550 transcript:itb14g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREERHRYNHDLVPLAALISREMRNEKMEKPTVRYGCAAQSKKGEDFFMMKTDCQRVPGNPSSSFSVFAIFDGHNGNAAAIFSRDYLLVHVLSAIPRGLGRDEWLQALPRALVAGFVKTDKEFQRRGQTSGTTATFVIVDGWTVTVASVGDSRCILDTQGGDVAILTVDHRLEENAEERERVTTSGGEVGRLSIFGGAEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSNVGGRLIIASDGIWDALSSEMAAKSSRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPETVVQPSITPKKYNKLQALLFRKKSATKPSKKLSAVGIVEELFEEGSAMLAERLGSEDSNGPSMSGLFICAVCQVDLGASEGISVHAGSIFSTSSKPWQGPFLCTDCRNKKDAMEGKRPSGVKVA >itb03g27180.t1 pep chromosome:ASM357664v1:3:26927168:26931292:1 gene:itb03g27180 transcript:itb03g27180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAKYAELRHLKYKPIGSRKRSGRYMPYSNVDSFLAICKILGLDGIDLFSPSDVVEKRNTRKVCICVRAVSKKVRSKQLNVPNFDMVTCAVAMPKDMVGGIKRSLETSKSSISSSSSYNSSYNYQRSIVRQRNLIEACDRNYDSCSEGSDEAESKYMGGGEETEESYCSSTNKFNDSAAVNSDCESLPVAHSGTGCGTEDGGYDNDAEGNYYISDYLAFSDSIIGGHEGNNTPVLRDGEDNMLNFFSTGIDSFRGRSGPQALHEKKNSDDIEDDLEVSSTASVSSILDRALNLEFDEHLDVVGSLLVVEKGEEDCLIISNCNSQDHQIAGTREGLCSQGANLENSSGIDQSSVVPAAISSFDINQVVLAMEDDDDNDKCSSSALKGSNDANILQSPEIPNQCDMKDMDKNLLAENGSTGKDGTFAGLSKDKCDTIPKDMDGNLLAQNASNTKDGTIIPGLSPKDKVCQKPLLLKTVKTVASGTAIFGLLFLLLHLRRERGKSGDANRKLVQSKKFIPNKEGQSGATARIYPAEKLKFKNY >itb03g27180.t2 pep chromosome:ASM357664v1:3:26927168:26931292:1 gene:itb03g27180 transcript:itb03g27180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLELSGGGASQSSFRELDDVFLQTQTRIWLGEVLNMRLDEQIPISDLLADGDLLCEVSKVIWNMLTAKYAELRHLKYKPIGSRKRSGRYMPYSNVDSFLAICKILGLDGIDLFSPSDVVEKRNTRKVCICVRAVSKKVRSKQLNVPNFDMVTCAVAMPKDMVGGIKRSLETSKSSISSSSSYNSSYNYQRSIVRQRNLIEACDRNYDSCSEGSDEAESKYMGGGEETEESYCSSTNKFNDSAAVNSDCESLPVAHSGTGCGTEDGGYDNDAEGNYYISDYLAFSDSIIGGHEGNNTPVLRDGEDNMLNFFSTGIDSFRGRSGPQALHEKKNSDDIEDDLEVSSTASVSSILDRALNLEFDEHLDVVGSLLVVEKGEEDCLIISNCNSQDHQIAGTREGLCSQGANLENSSGIDQSSVVPAAISSFDINQVVLAMEDDDDNDKCSSSALKGSNDANILQSPEIPNQCDMKDMDKNLLAENGSTGKDGTFAGLSKDKCDTIPKDMDGNLLAQNASNTKDGTIIPGLSPKDKVCQKPLLLKTVKTVASGTAIFGLLFLLLHLRRERGKSGDANRKLVQSKKFIPNKEGQSGATARIYPAEKLKFKNY >itb03g27180.t4 pep chromosome:ASM357664v1:3:26927168:26930759:1 gene:itb03g27180 transcript:itb03g27180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLELSGGGASQSSFRELDDVFLQTQTRIWLGEVLNMRLDEQIPISDLLADGDLLCEVSKVIWNMLTAKYAELRHLKYKPIGSRKRSGRYMPYSNVDSFLAICKILGLDGIDLFSPSDVVEKRNTRKVCICVRAVSKKVRSKQLNVPNFDMVTCAVAMPKDMVGGIKRSLETSKSSISSSSSYNSSYNYQRSIVRQRNLIEACDRNYDSCSEGSDEAESKYMGGGEETEESYCSSTNKFNDSAAVNSDCESLPVAHSGTGCGTEDGGYDNDAEGNYYISDYLAFSDSIIGGHEGNNTPVLRDGEDNMLNFFSTGIDSFRGRSGPQALHEKKNSDDIEDDLEVSSTASVSSILDRALNLEFDEHLDVVGSLLVVEKGEEDCLIISNCNSQDHQIAGTREGLCSQGANLENSSGIDQSSVVPAAISSFDINQVVLAMEDDDDNDKCSSSALKGSNDANILQSPEIPNQCDMKDMDKNLLAENGSTGKDGTFAGLSKDKVCQIKGHCYI >itb03g27180.t3 pep chromosome:ASM357664v1:3:26927168:26930894:1 gene:itb03g27180 transcript:itb03g27180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLELSGGGASQSSFRELDDVFLQTQTRIWLGEVLNMRLDEQIPISDLLADGDLLCEVSKVIWNMLTAKYAELRHLKYKPIGSRKRSGRYMPYSNVDSFLAICKILGLDGIDLFSPSDVVEKRNTRKVCICVRAVSKKVRSKQLNVPNFDMVTCAVAMPKDMVGGIKRSLETSKSSISSSSSYNSSYNYQRSIVRQRNLIEACDRNYDSCSEGSDEAESKYMGGGEETEESYCSSTNKFNDSAAVNSDCESLPVAHSGTGCGTEDGGYDNDAEGNYYISDYLAFSDSIIGGHEGNNTPVLRDGEDNMLNFFSTGIDSFRGRSGPQALHEKKNSDDIEDDLEVSSTASVSSILDRALNLEFDEHLDVVGSLLVVEKGEEDCLIISNCNSQDHQIAGTREGLCSQGANLENSSGIDQSSVVPAAISSFDINQVVLAMEDDDDNDKCSSSALKGSNDANILQSPEIPNQCDMKDMDKNLLAENGSTGKDGTFAGLSKDKCDTIPKDMDGNLLAQNASNTKDGTIIPGLSPKDKVCQKPLLLKTVKTVASGTAIFGLLFLLLHLRCVQCF >itb10g14690.t1 pep chromosome:ASM357664v1:10:21003502:21004140:1 gene:itb10g14690 transcript:itb10g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSAASQLSTTDAFNCKKQLGGNGKLWSEEEEIAFLRSLGWEENGDDEDEGYITQEEFATLSATTNGGDAFNSKKQVVGNGKLWPEEEEIAFLRSLGWEENGDDDDDEEGYITQEEFVTLSAITNGGDALNCKKHVGNCELCLEEEEIAFLRSLGWEENGDEDDEEGDLSEEEIATFYTYVSNHIHQLKLKPALRYTLLHHNTAIFSFST >itb11g17690.t1 pep chromosome:ASM357664v1:11:17679642:17685195:-1 gene:itb11g17690 transcript:itb11g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLGWIVMFGAAKPACAVMYLWFAEIATFRRGSAGVVAGNGQGSGEEFITFSVLTPLFTCCRVIVGILLKNCSYGQQPADSGGGKQTLVAFNLICNRLTAKTCERGRDARRQRGHQGRGRIIGRRSRLEPPSPLAVTAGGLPLPHRTSSPASLTRIDREPPPHSAEARSVRVPRRGPSAGAPRFYRGRNVDRTDQPSHRPIREIAQPPSPDGADRNPNPRRPLRDCRDQRECCR >itb10g03740.t1 pep chromosome:ASM357664v1:10:3486915:3490833:1 gene:itb10g03740 transcript:itb10g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMETDSQSLGASLSVPSVQQLAKDNPTVVPTRYIRDGIESPAPLFSAADFPVIDLQKLLSENSGGDSSELEKLHLACKDWGFFQLINHGVETSLVEKTKNEVQQLFNLPLEEKKKYGKVAGDPQGFGQLFVVSEEQKLDWADLFYIRTLPPHVRSPHLFPKLPEPFRDTLDAYSLELHKLAMKVLSLVAKNLGIKDEEMTMLFEEGMQSIRMNYYPPCPQPELVMGLSPHSDPGGLTILLQVNETQGLEIRKDGAWIPIVPIPNAFIVNVGDSLEIFTNGAYRSIEHRAIVSRGMDRISVATFLSPRLDAELGPAISLITPQNPANFKRVTVTDFFRLFFGRKLDGKSQVDAFRVNK >itb15g15280.t1 pep chromosome:ASM357664v1:15:13927271:13928009:1 gene:itb15g15280 transcript:itb15g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSFSLFGMFKSKASKKVWNGEDYPRDDMVVNAYKTWRSDEDRGTMWVAEPGIDSKATLFINGKTKFWSNSDS >itb12g23340.t2 pep chromosome:ASM357664v1:12:25112371:25114839:1 gene:itb12g23340 transcript:itb12g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVHSMSGRSGGSGNGPSMASSPSTNTRLEAKHDDWNLIYQAAGEVAKLKMNAGDGPFRSQCLPGAPRNISSVYSVNVPQSAIPDVHFREARGDQQEYIMWNRQQLLQNRRNRVGLEPGPFLESERRRGQVFGWPSQQFSSRSNMKTAFSGTGAGKRECAGTGVFLPRRYGDYNGNTPTDSRKKTACPVALHTGMNKKLVDSTGLVQPQPPYITDDMMISRRRAAMLSQQVKNLRPDGGINHEPQLPQEWTY >itb12g23340.t1 pep chromosome:ASM357664v1:12:25112371:25114839:1 gene:itb12g23340 transcript:itb12g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTQSELLLPAEILDGDLRMDPFAFGSKTKPDPSSLCFPAKFPYHVFSSPAEIESDEDDFLAGLTRRLTRVSLDRAYNYTSAHRHTENGSLLSGSPESMLNRVHSMSGRSGGSGNGPSMASSPSTNTRLEAKHDDWNLIYQAAGEVAKLKMNAGDGPFRSQCLPGAPRNISSVYSVNVPQSAIPDVHFREARGDQQEYIMWNRQQLLQNRRNRVGLEPGPFLESERRRGQVFGWPSQQFSSRSNMKTAFSGTGAGKRECAGTGVFLPRRYGDYNGNTPTDSRKKTACPVALHTGMNKKLVDSTGLVQPQPPYITDDMMISRRRAAMLSQQVKNLRPDGGINHEPQLPQEWTY >itb12g09240.t1 pep chromosome:ASM357664v1:12:7272428:7272745:-1 gene:itb12g09240 transcript:itb12g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAIVFTRQRQTAALGDDGSGLSGDLSAFSRRSPARSETALAVSTAGGPWQRRNLCRIYVSGDSNPGVMAPTLFVGGTAQPPRRRCCSAASSGSTPASLLFF >itb04g01100.t2 pep chromosome:ASM357664v1:4:609860:612409:-1 gene:itb04g01100 transcript:itb04g01100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSTSEESYGLGFQASPYSVDESDQSPTKTAGSGDSFAFCRTNSEASTDENCSSERGLGSPVSCALLKSPTRLALSRLGMKHHKNVADDDDPETVDLELEMMKEKFSKLLLGEDMSGSGKGVSTAVTISNSITNLYASVFGQHQKLEPLHPDKKAMWIREMNCLLSVCDYIVEFVATSQILQNGSPVEVMTSRPRSDIYMNLPALRKLDNMLLEILDSFEATEFWYTEEGSTSGKTRSGSFRRIIQPQPQPQRKEEKWWLPVVCVPSGGLSEKSRKNLRHKCNCANQIHKAAMAINNCILSEMGIPESYIASLPKSGKASVGESIYRYMNAAEKFSPEYLLDSLNISSEHEALELADKVEASMYTWRKKACLAHSKSSWDMVKDLMDETDRTDKNHVLAERAETLLFSLKQRYPELSQTTLDTCKIQCNKDVGKAILESYSRVLEGLAFNIVAWIEDVLFVDKTMKNQQ >itb04g01100.t1 pep chromosome:ASM357664v1:4:609860:612771:-1 gene:itb04g01100 transcript:itb04g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSTSEESYGLGFQASPYSVDESDQSPTKTAGSGDSFAFCRTNSEASTDENCSSERGLGSPVSCALLKSPTRLALSRLGMKHHKNVADDDDPETVDLELEMMKEKFSKLLLGEDMSGSGKGVSTAVTISNSITNLYASVFGQHQKLEPLHPDKKAMWIREMNCLLSVCDYIVEFVATSQILQNGSPVEVMTSRPRSDIYMNLPALRKLDNMLLEILDSFEATEFWYTEEGSTSGKTRSGSFRRIIQPQPQPQRKEEKWWLPVVCVPSGGLSEKSRKNLRHKCNCANQIHKAAMAINNCILSEMGIPESYIASLPKSGKASVGESIYRYMNAAEKFSPEYLLDSLNISSEHEALELADKVEASMYTWRKKACLAHSKSSWDMVKDLMDETDRTDKNHVLAERAETLLFSLKQRYPELSQTTLDTCKIQCNKDVGKAILESYSRVLEGLAFNIVAWIEDVLFVDKTMKNQQ >itb15g19040.t1 pep chromosome:ASM357664v1:15:21023896:21028131:1 gene:itb15g19040 transcript:itb15g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLGRKKSNVGGMKQQGKGGGDRTSKAFDEDTAVFINMSQELKEEGNRLFQRRDHEGAMLKYEKAIKLLPGNHIDVAYLRSNMAACYMHMGIGEYPRAINECNLAIEVAPKYTKALLKRAKCYEALNRLDLAWRDVNYVLNIEPNNLTALETADSIKMQMEEKGQKIEEKEIVLAPEYVTSSPSKSVKDKSKKRRSNKYDKKKVEEVGAKKVEEEDEKKTEDKAVVEEKISIKEEKVVTKNVKLVFGEDIRWAQLPVNCSIRYAREIVMDRFPNLKGALLKYRDEEGDLVTITTNDELRLAEASVDPQGSLRLYIAEVSPDKEPVYEMSVEESEELQSRNSKSSRVSEDDNTKVTGGGKKGPICVEEWIVQFARYFKNHVGIECDSYLDIHEIGMKLYSEAMEDTVTSENATPLFDIAAGKFQEMTALALFNWGNIHMSRARKRVHITEDDSTDSVLEQVKSAYEWVRKEYAMAGKRYKEAVELKPDFYEGLLALGQQQFEFAKLCWYYEIASGTELGTGPSAEVLDLYNKAEDSMERGMQIWEEMEEERLNGLSKCEEHKALLRKMGLDGLLKDVTPEESEERAANMRSQIYLLWGTLLYERSVMEYKLNLPTWEECLEVAVEKFELAGASQTDIAVMIKNHNSNDTALEGFKIDEIVQAWNEMYDSNRWRIGVPAFRLEPLFRRRVPHLQSVLETY >itb04g33700.t1 pep chromosome:ASM357664v1:4:35881175:35884344:1 gene:itb04g33700 transcript:itb04g33700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENWRKQGGSAASPCAACKLLRRRCAKDCVFAPYFPADEPHKFASVHKVFGASNVNKLLQEDEFTLRKRQVPRKVQDLPEHQRGDAVSSMVYEANARMRDPVYGCVGAISSLQQQVDALQTQLAITQAEAVHMRMRHPFSSSYSPENASPSSSRNAHTTHGGDPFGTDMAVDQNNVGDSFW >itb06g16830.t1 pep chromosome:ASM357664v1:6:20840585:20846381:-1 gene:itb06g16830 transcript:itb06g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLCSSFFIYFLIPFLSFSLPSIPAATANGGECIMPAGERRPPLFIFGDSFMDSGNNNYINTTTLDQANFRPYGETFFDAPTGRFSDGRLISDFIAEYAGLPLVPPFLQPAEKHEYYGGANFASAGAGALVQTFQGEVIDLGTQLEYFNKVKAWYRGKIGSAESRKAFARAVYLFSIGTNDYLSPFLTDSKLLTSYSHSHYVDMVIGNLTQVIQDIYRSGGRKFGLLNLGDLGCIPGMRQLNPPTKSGCLPQVSKLSRLHNRALHKMLVKMGNHFKGFRYVLYDFNHNLKQRINHPSKFGFKEGKIACCGTGRFRGVFSCGGKRPVREFEVCENPKEYVFWDSYHLTESVHKQMADHMWNQPKLGLYTLKNLFQCL >itb13g21850.t1 pep chromosome:ASM357664v1:13:28361713:28365384:-1 gene:itb13g21850 transcript:itb13g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMATAAGAVVLMYYVLSRRLSAKEEDEVGGEYSKSPGCRSVKRRLSRRPAQAPATWLETISTLAETLRFTYSETLGKWPIGDLAFGINYLIRRQGNLRVASVYAGDNCLQLKGADIIAQLYEYLRLLTLCYLFSKKPFPVFLETAGYCQEDVLLQKPKAGLLKPAFTILRDKNLKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLNDGGIGNLVLGYAHCGMVAAARWIAKLSIPFLLKALEENPDYEVKVVGHSLGGGTAALLTYILREQKDLSSITCVTFAPAACMTWELAESGKHFITTIINGADLVPTFSTASIDVLRTEVVMKRAQDVAQAVVKTRSTLSSWSCIGPRRRVVSHSPKVDDLPEAPLITQRTSESFVSEIVTSAPEASEVEYCSSSSGSGQEDTDEEDDTKQVEKAIAASTIEAAITEGELWYELEKELERQEQEADVHGQEEAAAAIEIAEEEKVLVDAVEIHTPISSADVSDNLQFYPPGRIMHMVSIPSSDASDSGSGSHDASTDEERVGIYETPRELYSKLRLSKTMINDHYMPMYKKMMELLIRELENEEDML >itb04g26950.t2 pep chromosome:ASM357664v1:4:31119471:31127385:1 gene:itb04g26950 transcript:itb04g26950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLPRRVETWIKDQSARIMSLTRQPLQWRMVVKWPWGDGKGQRKRIQEEYERRKKQLKDLCYAVKAESVPDLQDILCCMVLSECVYKKPASEVIRAVNKFKADFGENVISIERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADVNIFQGAIFHEDPAEDPLNEIESTQVDIQKDNVENFSKTMDLMPKPTKTMPKPAVHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAVLATLAILRVVAASSKEHEKVQVKCVTFSQPPVGNAALKDYVNSKGWQNYFKTYCIPEDLVPRILSPAYFHHYNAQSLPTPADVGGSSSFSKPRGVDTQKSEKGKENEGEQLVLGVGPVQNPFWRLSRLVPLEGVRNRLYRYTGKKAEPLESSASSGSALLSSVNDITTAPQSLEIQEGSDGITLRPLPQTNEDTSGELKNGKSSASNGDKGARRIIPSLPSYVPFGQLFLLGNSSIEFLSSAEYSKLTSVRSVIAEVRERFQSHSMRSYRARFQRIYELCMSDDTSTFLGMEQGKKQFPQLQKWLGISVAGGAVELGHIVESPIIRAATSIVPLGWSGVPGDKNSDSLKVDISGFGLHLCTLIQARVNGHWCSTTVESFPSQPVYSANHGELPEIQHMQISVGAPLRRPPKHHMGDDVLLPMYSPINSTHIDLQKKQDVTSSEGNFLRPDGLDLAIFCTTDFTTVSREVDIRTRRVRLIGLEGAGKTSLLKAILDQGRSTFSDCAENLHLDADVQEGILGGLCYTDSAGVNLQNLKMEASHFRDELWTGIRDLSKKTDLIILVHNLSHRIPRYSESTTTQPKPALSLLLDEAKSLGIPWVLAITNKFSVSAHQQKAAIDSVLHAYQASPSTTEVINSCPYVLPSASTAPLSWKTEGVGPKEIIGAHKLIFAPLKLVTRPFRKKDSVFPVEGVSTLCEVIHRVLRSYEEAALQEFAKDRLFFELIKEHAAAANATREAKAKENALSAATVGASLGAGLGIVLAVVMGAGSAWRKP >itb04g26950.t4 pep chromosome:ASM357664v1:4:31119471:31126129:1 gene:itb04g26950 transcript:itb04g26950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLPRRVETWIKDQSARIMSLTRQPLQWRMVVKWPWGDGKGQRKRIQEEYERRKKQLKDLCYAVKAESVPDLQDILCCMVLSECVYKKPASEVIRAVNKFKADFGENVISIERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADVNIFQGAIFHEDPAEDPLNEIESTQVDIQKDNVENFSKTMDLMPKPTKTMPKPAVHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAVLATLAILRVVAASSKEHEKVQVKCVTFSQPPVGNAALKDYVNSKGWQNYFKTYCIPEDLVPRILSPAYFHHYNAQSLPTPADVGGSSSFSKPRGVDTQKSEKGKENEGEQLVLGVGPVQNPFWRLSRLVPLEGVRNRLYRYTGKKAEPLESSASSGSALLSSVNDITTAPQSLEIQEGSDGITLRPLPQTNEDTSGELKNGKSSASNGDKGARRIIPSLPSYVPFGQLFLLGNSSIEFLSSAEYSKLTSVRSVIAEVRERFQSHSMRSYRARFQRIYELCMSDDTSTFLGMEQGKKQFPQLQKWLGISVAGGAVELGHIVESPIIRAATSIVPLGWSGVPGDKNSDSLKVDISGFGLHLCTLIQARVNGHWCSTTVESFPSQPVYSANHGELPEIQHMQISVGAPLRRPPKHHMGDDVLLPMYSPINSTHIDLQKKQDVTSSEGNFLRPDGLDLAIFCTTDFTTVSREVDIRTRRVRLIGLEGAGKTSLLKAILDQGRSTFSDCAENLHLDADVQEGILGGLCYTDSAGVNLQVVFFLFSHSLFSFSCHFS >itb04g26950.t3 pep chromosome:ASM357664v1:4:31119489:31127414:1 gene:itb04g26950 transcript:itb04g26950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNIFQGAIFHEDPAEDPLNEIESTQVDIQKDNVENFSKTMDLMPKPTKTMPKPAVHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAVLATLAILRVVAASSKEHEKVQVKCVTFSQPPVGNAALKDYVNSKGWQNYFKTYCIPEDLVPRILSPAYFHHYNAQSLPTPADVGGSSSFSKPRGVDTQKSEKGKENEGEQLVLGVGPVQNPFWRLSRLVPLEGVRNRLYRYTGKKAEPLESSASSGSALLSSVNDITTAPQSLEIQEGSDGITLRPLPQTNEDTSGELKNGKSSASNGDKGARRIIPSLPSYVPFGQLFLLGNSSIEFLSSAEYSKLTSVRSVIAEVRERFQSHSMRSYRARFQRIYELCMSDDTSTFLGMEQGKKQFPQLQKWLGISVAGGAVELGHIVESPIIRAATSIVPLGWSGVPGDKNSDSLKVDISGFGLHLCTLIQARVNGHWCSTTVESFPSQPVYSANHGELPEIQHMQISVGAPLRRPPKHHMGDDVLLPMYSPINSTHIDLQKKQDVTSSEGNFLRPDGLDLAIFCTTDFTTVSREVDIRTRRVRLIGLEGAGKTSLLKAILDQGRSTFSDCAENLHLDADVQEGILGGLCYTDSAGVNLQNLKMEASHFRDELWTGIRDLSKKTDLIILVHNLSHRIPRYSESTTTQPKPALSLLLDEAKSLGIPWVLAITNKFSVSAHQQKAAIDSVLHAYQASPSTTEVINSCPYVLPSASTAPLSWKTEGVGPKEIIGAHKLIFAPLKLVTRPFRKKDSVFPVEGVSTLCEVIHRVLRSYEEAALQEFAKDRLFFELIKEHAAAANATREAKAKENALSAATVGASLGAGLGIVLAVVMGAGSAWRKP >itb04g26950.t1 pep chromosome:ASM357664v1:4:31119489:31127385:1 gene:itb04g26950 transcript:itb04g26950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETLPRRVETWIKDQSARIMSLTRQPLQWRMVVKWPWGDGKGQRKRIQEEYERRKKQLKDLCYAVKAESVPDLQDILCCMVLSECVYKKPASEVIRAVNKFKADFGENVISIERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADVNIFQGAIFHEDPAEDPLNEIESTQVDIQKDNVENFSKTMDLMPKPTKTMPKPAVHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAVLATLAILRVVAASSKEHEKVQVKCVTFSQPPVGNAALKDYVNSKGWQNYFKTYCIPEDLVPRILSPAYFHHYNAQSLPTPADVGGSSSFSKPRGVDTQKSEKGKENEGEQLVLGVGPVQNPFWRLSRLVPLEGVRNRLYRYTGKKAEPLESSASSGSALLSSVNDITTAPQSLEIQEGSDGITLRPLPQTNEDTSGELKNGKSSASNGDKGARRIIPSLPSYVPFGQLFLLGNSSIEFLSSAEYSKLTSVRSVIAEVRERFQSHSMRSYRARFQRIYELCMSDDTSTFLGMEQGKKQFPQLQKWLGISVAGGAVELGHIVESPIIRAATSIVPLGWSGVPGDKNSDSLKVDISGFGLHLCTLIQARVNGHWCSTTVESFPSQPVYSANHGELPEIQHMQISVGAPLRRPPKHHMGDDVLLPIFYYCLKRGGHQNPQSAINWPRGRW >itb15g12090.t1 pep chromosome:ASM357664v1:15:9999640:9999936:-1 gene:itb15g12090 transcript:itb15g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNDDEEKEKKTFNFWWWGDAGGDATRSEEADGDGCAIRVGSYFGGGATLVVDGSSGRWWRTVVGDASGGAIRLEEEADGHYTIWRNTAAVVVDRGP >itb08g16750.t3 pep chromosome:ASM357664v1:8:18779094:18781781:1 gene:itb08g16750 transcript:itb08g16750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPDLSRLKPNHASNPSRKVRIVGKIRGFTDQELGFLSNSKPWITVNKSSDEDASGKVTISFGDKGTRKLFSGGKVTASKQTKVPSDDSLATKSSKILQDTSSIVASSSLHEKTEVPPNDSVVTKSGLLQDDTIFTITSSMHEEEAEVPSEPFQTDSISMIKRLKTSLHEENFPQGMEITSGNHGEAIGFTSGEVAGFYSIGHKIARGKPITSP >itb08g16750.t4 pep chromosome:ASM357664v1:8:18779050:18781781:1 gene:itb08g16750 transcript:itb08g16750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPDLSRLKPNHASNPSRKVRIVGKIRGFTDQELGFLSNSKPWITVNKSSDEDASGKVTISFGDKGTRKLFSGGKVTASKQTKVPSDDSLATKSSKILQDTSSIVASSSLHEKTEVPPNDSVVTKSGLLQDDTIFTITSSMHEEEAEVPSEPFQTDSISMIKRLKTSLHEENFPQGMEITSGNHGEAIGFTSGEGRLFVI >itb08g16750.t2 pep chromosome:ASM357664v1:8:18779094:18781781:1 gene:itb08g16750 transcript:itb08g16750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPDLSRLKPNHASNPSRKVRIVGKIRGFTDQELGFLSNSKPWITVNKSSDEDASGKVTISFGDKGTRKLFSGGKVTASKQTKVPSDDSLATKSSKILQDTSSIVASSSLHEKTEVPPNDSVVTKSGLLQDDTIFTITSSMHEEEAEVPSEPFQTDSISMIKRLKTSLHEENFPQGMEITSGNHGEAIGFTSGEVAGFYSIGHKIARGKPITSP >itb08g16750.t1 pep chromosome:ASM357664v1:8:18779113:18783156:1 gene:itb08g16750 transcript:itb08g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPDLSRLKPNHASNPSRKVRIVGKIRGFTDQELGFLSNSKPWITVNKSSDEDASGKVTISFGDKGTRKLFSGGKVTASKQTKVPSDDSLATKSSKILQDTSSIVASSSLHEKTEVPPNDSVVTKSGLLQDDTIFTITSSMHEEEAEVPSEPFQTDSISMIKRLKTSLHEENFPQGMEITSGNHGEAIGFTSGEVAGFYSIGHKIARGKPITSP >itb11g03800.t1 pep chromosome:ASM357664v1:11:2039346:2042252:-1 gene:itb11g03800 transcript:itb11g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIYTLLGLCVSVGLLAYAWGVLGWVWFKPKKLEKCLKQQGLKGNPYRILSGDMKELAKMTSDAISKPMALSDNVAPRVIPYFVHLANKYGKNCYVWMGPMPMVFLRDPELIKEVLNKYDLFHKPKNNPLGRKLVRGIVSYEKEQWAKHRRIINPAFYSEKLKLMQPAFLLSCSEMLSKWEGIVDGKGSSSCEVDVWPDLQGLTCDVISRTAFGSSYEEGKRIFELLKEQATHFMEAVRQVYIPGWRFVPTKRNRRMSAIENEVRSSIQVIIEKRMKAMQAGETNKHDLLGVLLESNLQEIRQQGNKEFGMSIEQIIDECMLFYFAGQETTSAMLVWTMILLSRFQDWQARAREEVLQVFGDKKPDFEGLNNLKVVTTILYESLRLYPPAGGLARRTIEETKLGEMILPPGVMLSLPIFLMHLDTDIWGEDAKEFNPERFSEGIMKATNGKQAFFPFGGGPRICIGQNFALIEAKMAMAMILQRFSFELSPSYAHAPFSRVTTQPQYGAPLIMHKL >itb02g15390.t1 pep chromosome:ASM357664v1:2:11203639:11206317:-1 gene:itb02g15390 transcript:itb02g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLNLCGIFGASEMMGFSAAAPKSLAHCFSLRNSEHKPVQFQSFKYPPRRIISCKLESIGFEERMSPNEVKKEIGKCYELIHRLGRGVVYLGSARMGPGHPHYTQAFELGKEIATLLDCTSWSGAGSGLMDAVTKGALQAGKAVGGFKIDKEAGEWTATNFHSYLPSETYLTCRFFSARKHGLVDAAVRSSSLDKTAVIGLPGGIGTLDEIFELMALIQLDRIGSVLPVPFLLMNYDSFYSKLLDFLHECENWGTLSKGEAASIWKVCNNNSEALSYLSEFYSDKSVDNCRKATPSDCETAL >itb09g09660.t1 pep chromosome:ASM357664v1:9:5929627:5933983:-1 gene:itb09g09660 transcript:itb09g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02030) UniProtKB/Swiss-Prot;Acc:Q9LZM8] MAEGFEPYHVPQQSRRDKLRVLVQSHPECVDNNNLHGCAGLAPLYDPPVIPSDLLTCATLHHHHNPLLSDHHKHTGKGASLMMGGSNTTTPTNFSTPTLYMDPQSSVPLHLNQEITRNPFLYASPQSLRFHDNSFHGGGGEVTVVYKPEPLSVAHETTNINNVRGQGLSLTLSSHHTHQHQSASSLPLELNLQRYDASMTSGLLVSSIHGGDSNCSTYNHDGDLSRSCSVPGPFTGYASILKGSRFLKPAQQLLEEVCDVVRGVYAEKLEADSALMDPSMETLSGSSLVDDSPNCNDGGEHRRKKSRLISMLDEVYRRYKQYYQQLQAVVASFESVAGLNNAAPFANIALKAMSKHFRCLKDAITEQLQFTGKSQGHMSFEGEASPSAENLGKGLYFQSTAIHNAGIMEHQPVWRPQRGLPERAVTVLRAWLFDHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHNLETRQAQKNSQPKEQNNNNPSEHLSMRNSVACEDASASMHQIQELPTKRTRNEAENSMGSDEAMDLSYGNLSHHSRLGIGGAAAAGSSSVSLTLGLHQNNELGLSDSFPVNAAQRFGLDASSEGFVLSGFEPQNTQFGRDIIGG >itb04g24320.t1 pep chromosome:ASM357664v1:4:29026299:29030642:1 gene:itb04g24320 transcript:itb04g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEELFTVSVDDDDIVVPESPISEVEEVNFGTDSEPNCIKNTSSEVTDECVDIVPDSDDEGMHGTEKVGILDEMWPGKGERRGGRCVGKRRYAPGKHSYEGYLRRLRHPLRRLDSGGNSLGIDKSCASTSRLMCYIDADNGVCSEQDQSINVSLNVDKNSQNRSCDEKVTGLGEISENIGEDTSTRDSLTEKNVSSHKCEELNLCHSDLHKFKCIGSQEPGHSSEADALNFVDLYLSSGDANAFHHVETGVLDRSTSSNILHPNGPQNLARIKSRTSIAKTLEVFDWSENLSDEEENCFVVNRMKLKMGCEGNEPGNISKHQSVESLDIKKEKALGNFCPLNTVKGRLNSNKIEPKFQVEDEIGKGASSFEKAMDTNFVNELDKEVIVNSPQKLLEDEQSKLDAVCTYDVGFDTQVAAEAMEALFYAAPLNLDPFCKHQSPQKTLQFSGDPSNSVSLVDHCNWVSSNSEGTRHQLMQFARKLCGSVPGNFETPQEDKKVLQSKLLPREICMPFSGKLPTKKRTGRRSLRNTTQHKSPQKTLKISGDPSNSVSVDHCNWASTNSEGTGQQLMQSAKKLFGNIPGNFETPQEDERVLESELLPREIGMPFSGKLPTKKRTVRRYLRNTIQHKELYNEKTFSRQLDADFNSFEVSNCGLDEQRGEIRKISSGTHRNMKQSSSSSLKWFTDQSFKKCPEVMKFNFQKKRRKKDEVMKSGESKKASDSYMNRHQTTGVDEANIGHKLKLEDWNHPRGKRTRTAVPHNSVGRNNQYASYAKDNAIKCPIGNNALAEITGPQQSGGKCQYSSKKKHSINLLMQYSGGSGSMSVSNCKSAITSDKVISSGHDGNTSRKLQPADDLVSIVSDVMSTDRTLNVVPSRNCVELYRFKDNKKGRQRGKSLSRSSLTKELVRLGYTEMLPDFMPKDSRRGKGHANVRVLMSQNLDENIIRRQKKIVARLGFSIASCCSDASHFVADKFLRTKNMLEAIAIGIPVVTHLWLESCGQASSFIDEKLFILRDDKKEKEIGFSLPVSLALSRQRPLLRDHRVFITPNVKPSKDMIRSLISAVQGQVVAETEDITTQGEIIVVSSEEDYTTCEPLLKKGTAVYSSELLLNGIVTQRLEYDRHQLFKDFMMKDWPISHPN >itb03g15360.t1 pep chromosome:ASM357664v1:3:14727525:14732308:1 gene:itb03g15360 transcript:itb03g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKMEWPEPIVRVQSLSERGLAAIPTRYIKPPADRPSLTAGKLDGSVNIPLIDFEPLLSPGAPAAAKAEVLAQVSEACRCWGFFQAVNHGVPPELMDEAREIWRQFFHQPMEVKQPYANSPKTYEGYGSRLGVEKGAVLDWSDYYFLHYLPCSLKDHNKWPALPSSLRGLIEEYSEQVVKFCGELMKILSTNLGLKENFLQDAFGGENIGACLRVNFYPKCPSPDMTLGLSPHSDPGGLTILLPDQHVAGLQVRRNGEWVTVKPAAHAFIVNIGDQIQILTNAIYKSVEHRVIVNSNKERVSLAYFYNPRSDLLIQPVKEVVTPENPALYPPMTFDEYRLYIRTKGPRGKSQLGESNKCED >itb05g16070.t2 pep chromosome:ASM357664v1:5:23362806:23367895:1 gene:itb05g16070 transcript:itb05g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGDLGGNSTPSSDGSTPSDQSSLPVSPPPEESSPPSPPSEDTSGSSSNDSPADSSPSDGSSSGSENPSSNGSSSVPVFSPPSSDSSDSREKVPSSKSRSKHSSSGSKSDKSDSKDSSSLDTGVIAGLVAAGVLLLAIIILCICCKRRRKKRQMHYMDHQYPYKGENMYYNGPGPGPGPSAQWHGGGQNMDHVVKLSQQPGGMMPPSGAWGAPPPPNNSAPSPQMGGMGGFNQSQFSYDMLAAATKGFSREYLLGQGGFGYVYKGVLPSGKVVAIKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISEHQRMLVYEYVPNQTLEFHLHGKGRPVMDWATRLKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAMVADFGLAKLSNDNNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLEFITGHPPVDLTGEMEDSLVEWARPLLATAIQDGNYDELVDKRLGGNYNPNEMARMVSCAAASVRHSARKRPKMSQIVRALEGNSSLDDLNEGGKAALQGGANSMGGNTSSESSGMYDTCAYNADIMKFRKMVLSEDSSEFGGTDEFSGERK >itb05g16070.t1 pep chromosome:ASM357664v1:5:23362806:23367895:1 gene:itb05g16070 transcript:itb05g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGDLGGNSTPSSDGSTPSDQSSLPVSPPPEESSPPSPPSEDTSGSSSNDSPADSSPSDGSSSGSENPSSNGSSSVPVFSPPSSDSSDSREKVPSSKSRSKHSSSGSKSDKSDSKDSSSLDTGVIAGLVAAGVLLLAIIILCICCKRRRKKRQMHYMDHQYPYKGENMYYNGPGPGPGPSAQWHGGGQNMDHVVKLSQQPGGMMPPSGAWGAPPPPNNSAPSPQMGGMGGFNQSQFSYDMLAAATKGFSREYLLGQGGFGYVYKGVLPSGKVVAIKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISEHQRMLVYEYVPNQTLEFHLHGKGRPVMDWATRLKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFEAMKFSRLQILDWLNSLMTTTLMSLLVSWEPSGTWLQNMHQVES >itb04g09360.t2 pep chromosome:ASM357664v1:4:8673983:8678160:-1 gene:itb04g09360 transcript:itb04g09360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETNEDKLREYFQSYGEVMQTVVMRDKISGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQVSKTGNPNSGRSLGGGGSTRTKKIFVGGLPPTLNEDGFRIYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNGKQVEVKRALPKDFNPGSGGRSGGGGGNYQGYGASGNNPNSYDSRMDSKYMPPQNTGGGYPPYASSGYGSAGYGYGPSNNGMGYGGYGNYAGANPCYGGGNPGYGGAAGSAYGNPNLPGSGYGSGPADGPRSSWSSQGPAYGNMGYGTSHWGNPNVGGGGPTGQSPTEAAGYGNQGYGYGGYGGNDGSYGNPAAYGAVGRSAGGPSSNSPAAGGGTGELQAGAGGYGAYGDASGNSGYGNAGWRSDASQPSGNYGSNGSQVSYGGGYGGAPGRQTQQQ >itb04g09360.t1 pep chromosome:ASM357664v1:4:8673983:8678160:-1 gene:itb04g09360 transcript:itb04g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETNEDKLREYFQSYGEVMQTVVMRDKISGKPRGFGFVVFADPSVLDTVLQDRHTIDGRTVEAKRALSREEQQVSKTGNPNSGRSLGGGGSTRTKKIFVGGLPPTLNEDGFRIYFETYGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLHKTFHDLNGKQVEVKRALPKDFNPGSGGRSGGGGGNYQGYGASGNNPNSYDSRMDSKYMPPQNTGGGYPPYASSGYGSAGYGYGPSNNGMGYGGYGNYAGANPCYGGGNPGYGGAAGSAYGNPNLPGSGYGSGPADGPRSSWSSQGPAYGNMGYGTSHWGNPNVGGGGPTGQSPTEAAGYGNQGYGYGGYGGNDGSYGNPAAYGAVGRSAGGPSSNSPAAGGGTGELQAGAGGYGAYGDASGNSGYGNAGWRSDASQPSGNYGSNGSQVSYGGGYGGAPGRQTQQQ >itb03g13860.t1 pep chromosome:ASM357664v1:3:13824819:13825878:1 gene:itb03g13860 transcript:itb03g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLVQAVAVVVLSILYISGRAESTAATVATSFIKTSCKATTYPDLCVTSLAAYGPTIKKSPQQLVQTALSVSLDRAQSTKGFVDKLCKFKGLKRREYGALKDCLDEMSDSVDRLSKSVRELKNMGRARSQDFQWHISNAQTWISAALTDENTCTDGFAGRALNGKIKASIRARVTNVAQVTSNALALINQFASKYN >itb01g18050.t1 pep chromosome:ASM357664v1:1:22980117:22982209:1 gene:itb01g18050 transcript:itb01g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLEHNSPSMDIDLNATVNEIPMRDGEHDTMLEEELKETRAENKRLSAALSAMCENYGSLQSQLLDLMQERSWKRRNSDRDTATCGSSEIGYDEATVSKRPREIRTNISRVHVQTDPSDTSLIVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCLVKKKVQRSVEDKSILIAIYEGEHNHPHPSETTQTFTLASQHGYTTASQTSNLGLGFHNNIQQRSSCDSTIMDTKEIQQLLVEKMASSLTNDHSFTEALAAAISERILDNPLD >itb11g07240.t1 pep chromosome:ASM357664v1:11:4431768:4436193:-1 gene:itb11g07240 transcript:itb11g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQSSKPNGGAGHKAPAPASRGLVAIAVDKDKGSQSALKWTTENLVSRGQTLVLIHVLHKSFHGAATAGQDRKQQIEKHTKELFGVFHVFCTRKDIQTMDIILEDTDVSKALMQYVSGAAIENLVLGASKHGFLKRLKVMDVPTCVSKGAPDFCTVYVISKAKISSVRNASRPAPFMSPLYNQINKKNEEQQENSPPTVAAPVVPKVNAAATPAVTRHRHTPTLKDKLATFGEKNNRASFMYHSDMEIPFRSPFDKAAGRGIQGKPFSDFLDNDTDISFVSSGRPSTDTSSMFNDGLDSGRTSRVSTSSESSFGSGFGSKASDTSSFTDNCSSSSFDGDEGDAEMKRLKQELQKMMDLYSTACKEALTAKQKATELQLWRIEEEKRLEETQPEDDVSKVSSESGKRSGSEAEEVENGRYEFRYRRYTIEEIEEATERFSESRKIGEGGYGPVFKCILDHTPVAVKVLRPDAAQGMSQFHKEIQVLSCLRHPNMVLLLGACPEYGCLVYDYMANGSLEERLLKRGDKSPLSWQLRFRIAAEVGTGLLFLHQTKPEPVVHRDLKPGNILLDDYFVSKISDVGLARLVPPSVADDVTQLRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSFGIVLLQLLTAKPAMGIAHHTCDALEKGKFVEMLDPSVTNWPVEEALTMGKLALQCAQLRRKERPDLGKEVMPELLRLKELAD >itb01g10860.t1 pep chromosome:ASM357664v1:1:9467701:9471728:1 gene:itb01g10860 transcript:itb01g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEINADSATDDERSRNLHELDRAALSRSLDETQQSWLLGPTEQKKKKYVDLGCIIVSRKVFKWTVGCIITAALLAAFITMIVKLVPRHHPHDPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSGLQDGKSDAATMFKDLVGGYYDAGDAIKFNFPQSFAMTMLSWSVIEYKAKYEATGELNHVKEIIKWGTDYLLKTFNSSADTIDRVVAQVGSGDTSGGSTTPNDHYCWMRPEDMDYDRPVTECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFKFARDQRGRYSVGTEAATFYNSTGYWDEFIWGATWLYYATGNSSYLQLATTPGLAKHAGAFWGGKFYGVMSWDSKLAGAQVLLSRMRLFLSPGYPYEEILMTFHNQTSIVMCSYLPYFTSFNRTKGGLIQLNYGAPQPLQYVVNAAYLATLFADYLDAADTPGWYCGPNFYSIDVLRKFAATQIEYILGKNPKKMSYVVGFGNHYPKRVHHRGASIPKNKVKYNCKGGWKWRDSKKPNPNTIVGAMVAGPDLRDGFQDVRTNYNYTEPTLAGNAGLVAALVALSGVGGGGGRSAGIDKNTMFSAVPPMFPTPPPPPAPWKP >itb03g13650.t1 pep chromosome:ASM357664v1:3:13717052:13718407:-1 gene:itb03g13650 transcript:itb03g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSPAITQVMTAPVVGAVSISGRSREMEDALCVRPNLCSPQINRRRPLHYFSVFDGHGGAQVSSLCRERMHALLEEELARVTNSFGAAEAAALKDAWVTAMKNCFRRMDVIVGNSCGTGSHKKAGLIGSTAVVVVLTDEHIVVANCGDSRAVLSRRGRAVPLSVDHKVTTNHLPNRPDELARIEALGGRVIYSHGARVEGILAMSRAIGDSFLRPFVIPEPEVTFTSREPEDDELLVLGSDGLWDVMSNEMVCEVARECLRENSPPVERANDEEERSTFFPSQSASAATLLTRLAFGRNSRDNITVIVVDLKRIEEIRF >itb02g18230.t1 pep chromosome:ASM357664v1:2:14667236:14670667:1 gene:itb02g18230 transcript:itb02g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKPIDHGYRMPAEWEPHSQCWIGWPERPDNWRDNAVHAQRVFASVAQAISRFEHVTVCASSAQWNNARDQLPKHIRVVEMSMNDSWFRDSGPTFVIRKGAESSQNLEPSVAGIDWTFNSWGGIEDGCYADWSQDLLVARKILSIERSPRFPQTMILEGGSIHVDGEGTCLTTEECLLNKNRNPDMTKEEIESELKAYLGVSKIIWLPRGLYGDDDTNGHIDSMCSFVKPGVVLLSWTDDKCDPQH >itb10g19410.t1 pep chromosome:ASM357664v1:10:25266036:25267415:1 gene:itb10g19410 transcript:itb10g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTLCSSLLFLTITMGVWSCLVASSLGYQNSMRLRHQQWMAYYGKVYTDASEEDMRFNVFKNNVEHIETFNAGPDKGYKLCVNKFADLTNEEFRRLHIGGFVKRDEEAGLVSAAKSKPFRYANATNLPSAVDWRKKGAVTPIKDQGDCGSCWAFAAVAAVEGINRLKTSKLVSLSSQELVDCDRKNYGCKGGYPNRAFQFIVNNNGLTTEENYPYKGKDGVCKAKETSQKVAKISGYEQVPSKNETALMQAVAHQPVAVEIDGGEVDFQFYEKGVFSGECKSSLNHVVTVVGYGTAAGDEKKYWVIKNSWGTTWGENGYMKIQRDYADERGLCGLAKAAYYPTM >itb04g29420.t1 pep chromosome:ASM357664v1:4:32808476:32811674:1 gene:itb04g29420 transcript:itb04g29420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDCVVIQNGNKPDDPHMITVNCLDKLGLGCETCRTILDFGLNIKKGDVSTDGRWCYLVMWVFPQSSTRVVKWAKLKERLLSLCPPFSNLVYLPSPKARTASTYLMTLYCLRRSGLLHDVTQFLCECELSVQRLKVTTTPDDRLLDLFIIKDHLEVLNIKEKQEELCEQLRAVLGESCISCELKLVGPGPPYENLPCISALSPSVTNELFRCELSENETHLQELSPDVMKLKESTVTIDNSLSFAHTLIQISCADYKSFLYDITRALKDCNVQVTYGRFSAANKGQRELEVFVQHKNAEKILDPEKQASICSCLKVELLHPLRVVISNRGPDTELLVANPLELSGMGRPRVFYDVTFALKTLGICIFSAEIGTHSTVDREWEVYRFLLDENCNFDLSTMEGKNHIVDRVRRTLMGW >itb11g20830.t1 pep chromosome:ASM357664v1:11:22211445:22216581:1 gene:itb11g20830 transcript:itb11g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSNAYLYLLALLLFIFAAQLISAQEIIDEAGKEESSGRIGDLGRRSKIIVDKLKTGDLQEENDPDSVGLGLDLDTGLGVFDAFIASLSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALSALFIMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKTAVRRFFSRFCTPIYLESFILTFLAEWGDRSQIATIALATHKNAIGVAVGATIGHTVCTSLAVVGGSMLASKISQRTVATVGGLLFLGFSLSSYFYPPL >itb08g04370.t2 pep chromosome:ASM357664v1:8:3474099:3479885:1 gene:itb08g04370 transcript:itb08g04370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRLGSYISRSVYTVATPFHPFGGAVDIIVVRQQDDSFKSSPWYVRFGKFQGVLKTKEKVVKICVNGVEMDFHMCLENDGQACFLTEEDAENGEESPSSPPAWDGEDAEELANSRLSLKSKSCSFAGVDTVAATKIDLGNGNGVVRKKWQRSGFLGYVFGRKPSVKEGSLSEDEKDGCVKDNHLEHAEIAADLLEMKWTTNYDAPKCIKDNNASKLSSQDVSSKGNDHSKGDSKNDNKESGVNPLDHGSVSAKLDSHVLQQEKTLLDHKSVDSSLSSFPTLENTETSAIAVSIGDKSPDINSKLSPSFHDQEHIDSDVTSSNTPMDTEAYSPPVYSHPINCSHEDEEVQGIFAIPSIINSTHEAQVEKSSSKDYKSRQLRRLESDRLCSFHSTVTSVSSEEEEEEDEQLLFGDFDDLSANNEKNTSTVPSDNEEKEACCCLASGGSEGVDESHAPCCDLNSSSNEYAQCNQRGVADGSKLTTVASNVDIPVICEDPPEKIPRMAMSLPNLWAHDIDLSSRNGDQQSSEISQRTEVASITPEPNVKAAEPETGNLSLPTDGSGGTQRTWSFAFKRARSSNAPRPSQSDIGNIATKSLPRGIGDRIGEDLPKVNKKIVRALTPTSEQLASLNLKEGRNEVVFTFSTQMLGEQKVDAQIYLWKWDMRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVVHLFSAIKENGYQMLFLSARSISQAYITRQFLVNLMQDGKGMPEGPVIISPDGLFPSLFREVVRRAPHEFKISCLEDIKALFPPDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEIVMNRHITNTKSYTSLQALVSGMFPDFTSSEQQEDFNSWNFWKLPPPPIRR >itb08g04370.t4 pep chromosome:ASM357664v1:8:3474099:3479358:1 gene:itb08g04370 transcript:itb08g04370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRLGSYISRSVYTVATPFHPFGGAVDIIVVRQQDDSFKSSPWYVRFGKFQGVLKTKEKVVKICVNGVEMDFHMCLENDGQACFLTEEDAENGEESPSSPPAWDGEDAEELANSRLSLKSKSCSFAGVDTVAATKIDLGNGNGVVRKKWQRSGFLGYVFGRKPSVKEGSLSEDEKDGCVKDNHLEHAEIAADLLEMKWTTNYDAPKCIKDNNASKLSSQDVSSKGNDHSKGDSKNDNKESGVNPLDHGSVSAKLDSHVLQQEKTLLDHKSVDSSLSSFPTLENTETSAIAVSIGDKSPDINSKLSPSFHDQEHIDSDVTSSNTPMDTEAYSPPVYSHPINCSHEDEEVQGIFAIPSIINSTHEAQVEKSSSKDYKSRQLRRLESDRLCSFHSTVTSVSSEEEEEEDEQLLFGDFDDLSANNEKNTSTVPSDNEEKEACCCLASGGSEGVDESHAPCCDLNSSSNEYAQCNQRGVADGSKLTTVASNVDIPVICEDPPEKIPRMAMSLPNLWAHDIDLSSRNGDQQSSEISQRTEVASITPEPNVKAAEPETGNLSLPTDGSGGTQRTWSFAFKRARSSNAPRPSQSDIGNIATKSLPRGIGDRIGEDLPKVNKKIVRALTPTSEQLASLNLKEGRNEVVFTFSTQMLGEQKVDAQIYLWKWDMRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVVHLFSAIKENGYQMLFLSARSISQAYITRQFLVNLMQDGKGMPEGPVIISPDGLFPSLFREVVRRAPHEFKISCLEDIKALFPPDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEIVMNRHITNTKSYTSLQALVSGMFPDFTSSEQVGYFKIFSTNDCWFCYHLSLENTTIRA >itb08g04370.t3 pep chromosome:ASM357664v1:8:3474099:3479688:1 gene:itb08g04370 transcript:itb08g04370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRLGSYISRSVYTVATPFHPFGGAVDIIVVRQQDDSFKSSPWYVRFGKFQGVLKTKEKVVKICVNGVEMDFHMCLENDGQACFLTEEDAENGEESPSSPPAWDGEDAEELANSRLSLKSKSCSFAGVDTVAATKIDLGNGNGVVRKKWQRSGFLGYVFGRKPSVKEGSLSEDEKDGCVKDNHLEHAEIAADLLEMKWTTNYDAPKCIKDNNASKLSSQDVSSKGNDHSKGDSKNDNKESGVNPLDHGSVSAKLDSHVLQQEKTLLDHKSVDSSLSSFPTLENTETSAIAVSIGDKSPDINSKLSPSFHDQEHIDSDVTSSNTPMDTEAYSPPVYSHPINCSHEDEEVQGIFAIPSIINSTHEAQVEKSSSKDYKSRQLRRLESDRLCSFHSTVTSVSSEEEEEEDEQLLFGDFDDLSANNEKNTSTVPSDNEEKEACCCLASGGSEGVDESHAPCCDLNSSSNEYAQCNQRGVADGSKLTTVASNVDIPVICEDPPEKIPRMAMSLPNLWAHDIDLSSRNGDQQSSEISQRTEVASITPEPNVKAAEPETGNLSLPTDGSGGTQRTWSFAFKRARSSNAPRPSQSDIGNIATKSLPRGIGDRIGEDLPKVNKKIVRALTPTSEQLASLNLKEGRNEVVFTFSTQMLGEQKVDAQIYLWKWDMRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVVHLFSAIKENGYQMLFLSARSISQAYITRQFLVNLMQDGKGMPEGPVIISPDGLFPSLFREVVRRAPHEFKISCLEEPLLCRFWEQRYR >itb08g04370.t5 pep chromosome:ASM357664v1:8:3474099:3479358:1 gene:itb08g04370 transcript:itb08g04370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRLGSYISRSVYTVATPFHPFGGAVDIIVVRQQDDSFKSSPWYVRFGKFQGVLKTKEKVVKICVNGVEMDFHMCLENDGQACFLTEEDAENGEESPSSPPAWDGEDAEELANSRLSLKSKSCSFAGVDTVAATKIDLGNGNGVVRKKWQRSGFLGYVFGRKPSVKEGSLSEDEKDGCVKDNHLEHAEIAADLLEMKWTTNYDAPKCIKDNNASKLSSQDVSSKGNDHSKGDSKNDNKESGVNPLDHGSVSAKLDSHVLQQEKTLLDHKSVDSSLSSFPTLENTETSAIAVSIGDKSPDINSKLSPSFHDQEHIDSDVTSSNTPMDTEAYSPPVYSHPINCSHEDEEVQGIFAIPSIINSTHEAQVEKSSSKDYKSRQLRRLESDRLCSFHSTVTSVSSEEEEEEDEQLLFGDFDDLSANNEKNTSTVPSDNEEKEACCCLASGGSEGVDESHAPCCDLNSSSNEYAQCNQRGVADGSKLTTVASNVDIPVICEDPPEKIPRMAMSLPNLWAHDIDLSSRNGDQQSSEISQRTEVASITPEPNVKAAEPETGNLSLPTDGSGGTQRTWSFAFKRARSSNAPRPSQSDIGNIATKSLPRGIGDRIGEDLPKVNKKIVRALTPTSEQLASLNLKEGRNEVVFTFSTQMLGEQKVDAQIYLWKWDMRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVVHLFSAIKENGYQMLFLSARSISQAYITRQFLVNLMQDGKGMPEGPVIISPDGLFPSLFREGHLKHSVCPFL >itb08g04370.t1 pep chromosome:ASM357664v1:8:3474099:3479885:1 gene:itb08g04370 transcript:itb08g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRLGSYISRSVYTVATPFHPFGGAVDIIVVRQQDDSFKSSPWYVRFGKFQGVLKTKEKVVKICVNGVEMDFHMCLENDGQACFLTEEDAENGEESPSSPPAWDGEDAEELANSRLSLKSKSCSFAGVDTVAATKIDLGNGNGVVRKKWQRSGFLGYVFGRKPSVKEGSLSEDEKDGCVKDNHLEHAEIAADLLEMKWTTNYDAPKCIKDNNASKLSSQDVSSKGNDHSKGDSKNDNKESGVNPLDHGSVSAKLDSHVLQQEKTLLDHKSVDSSLSSFPTLENTETSAIAVSIGDKSPDINSKLSPSFHDQEHIDSDVTSSNTPMDTEAYSPPVYSHPINCSHEDEEVQGIFAIPSIINSTHEAQVEKSSSKDYKSRQLRRLESDRLCSFHSTVTSVSSEEEEEEDEQLLFGDFDDLSANNEKNTSTVPSDNEEKEACCCLASGGSEGVDESHAPCCDLNSSSNEYAQCNQRGVADGSKLTTVASNVDIPVICEDPPEKIPRMAMSLPNLWAHDIDLSSRNGDQQSSEISQRTEVASITPEPNVKAAEPETGNLSLPTDGSGGTQRTWSFAFKRARSSNAPRPSQSDIGNIATKSLPRGIGDRIGEDLPKVNKKIVRALTPTSEQLASLNLKEGRNEVVFTFSTQMLGEQKVDAQIYLWKWDMRIVISDVDGTITRSDVLGQFMPLVGVDWSQTGVVHLFSAIKENGYQMLFLSARSISQAYITRQFLVNLMQDGKGMPEGPVIISPDGLFPSLFREVVRRAPHEFKISCLEDIKALFPPDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEIVMNRHITNTKSYTSLQALVSGMFPDFTSSEQQEDFNSWNFWKLPPPPIRR >itb04g00070.t1 pep chromosome:ASM357664v1:4:66356:67514:1 gene:itb04g00070 transcript:itb04g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRRVASTLPLSTRFFCSTANRDFTNAIAELNKEMEYIFGEPPPSSLSGSVNNQNTTQASKPVPQEMTKNDSSSSRLTHVGSTGEAQMVDVSCKEVSNRVAIASCKVILGRKVFDLVSTNEMEKGDVLGVAKIAGICGAKQTSSLIPLCHNINLTHIRVDLKLDPQDFSVEIEGEAATAGKTGVEMEALTAVTVAGLTIYDMCKAASKDIQITDVRLEQKTGGKSGDWSRKK >itb12g20000.t1 pep chromosome:ASM357664v1:12:22426483:22428418:1 gene:itb12g20000 transcript:itb12g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLKPHLLLLLLQLFLVSTFADLSSVQHFGFLFGDVFDGHRDSDVVEAEVPSEQQAHQNPDFEMDPSASSWELVTENVGVSAMHMQLMINNTVVWFDTISLGPSALRHNPRWCRKDSHGRTDCWAHGIIYDPDTGKVVKTLKLNLDPWCSSGGLSSTGKLISTGGSDYGVRGIRILDPCNDCDFQESVGLSANRWYASQQMLENGDFMVVGGRGAHNYELIPPDQLQFPIQQFGLPLLMKTTDGHLENNLYPFVYLLPDGNVFLFANDRSIILNPRTGETIRELPKLPGGSRNYPASGQSALLPLKLAPNTGEHDFVKAEVLVCGGNTHEAFNVTEHPPRQFPPALKDCGRIVANEEGAEWEIDEMPSGRVMGDMLILPNGDLLLINGAKNGTSAWEAAEDPNFTPVLYNPDKPKGQRFTELNSTKIARMYHSSSAVLPDGKILVAGSNPHVIYDMNATYPTDMRVEKFSPPYLSAALQKFRPEILENFSDKHLIYGRNFSIQIKLDAEVGMPDIKVTMYPPPFTTHGFSQGQRMLILVLTSVANKTISAVAPPSGKLAPPGYYLIFAVHRGVPSKGMWVHIE >itb09g07040.t1 pep chromosome:ASM357664v1:9:4080228:4082686:-1 gene:itb09g07040 transcript:itb09g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPIATTTTLHCSNSSPSSSFVQRTPHVSINRKSPSRLHVSCNARKDDNQSSSSNSSLGKFDRRNVLIGLGGLYGAASLANPFAFAAPVNPDFYKCGPADLPEGAEAVNCCPPTAPKIIDFELPPPPATMRVRPAAHLADNKAYMEKFTRAIDLMKALPADDPRNFMQQANIHCAYCDGAYDQLGFPDLELQVHFSWLFMPFHRCYLYFFERILGKLIDDPTFAIPFWNWDNPAGMQIPAIYTDRNSPLYDPLRDAKHQPPNIIDLDYNGTDSNVSNEQQFSQNLTIMYRQTVSLGRTASTFHGSPYRAGDGPYPGLGSLEDIPHNTVHIWTGDRTQPNVENMGSFYSAARDPLFYGHHSNVDRIWSIWKTIGGRRQDFTDRDWLEASFLFYDENAQLVRIKVRDCLDSRNLGYVYQDVATPWLNSRPTPRHSRILSKAKKLVEAKAADAAPAPEDVFPATLEKAVRVMVRRPNRKRSKKQKEEIEEILVIEGIELDRDVFAKFDVLINDEHDEAKVTAQNTEFAGSFVNVPHRRHHKQEKKMKMKTKLRLAITEVLEDLDCEDDEHLLVTLVPRRGSGAMKIGGVKIVLED >itb11g11290.t1 pep chromosome:ASM357664v1:11:8232804:8234392:-1 gene:itb11g11290 transcript:itb11g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSGFNKMKVQNKKRKSRRNKLEFLGWGSKPLIEFLDSIGKESRQYSQGEVDAIMKKYVACNNLIDPTYKRRILCNERLEKLFKKKIVSRKNGYHLLEVHFSENHVNIFNGVWQWIQQNEGPEQEEKIQKEQIGVSWMGFEAIDRISRFNWRRIEVNILNGVWQWIQQNERPEQEEQIQKEQNGVSWMGFEAVDRISRFNWQRIEAVFSRRG >itb05g25370.t2 pep chromosome:ASM357664v1:5:29800181:29803377:1 gene:itb05g25370 transcript:itb05g25370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPNSMAASSISSHSHLLVTSSTFNPLKRKSLINGIISFAPTFGTQFSPRRLAPLCSSQSPPPFRLPDQKQKDGMFWLKKSAISIALAGWLISGMPALGWGGDGNARSPALPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKVAGVRALDSVERNVRQASRALKQGRTLIVSGLAKSKVEHGTELLNKLEAGLDELQQIVEDRNRDAVAPKQKELLNYVGSVEEDMVDGFPYEVPEEYRNMPLLKGRATVEMKVKVKDNPNVDECTFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRGKIIDAHALYSILAMIWNGNSGLSYITIPRYHLDSCFGLYVADGFVVQTGDPQGPAEGFIDPSTEKTRTIPLEIMVNGEKVPFYGATLEELGLYKAQTRLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESMQVVSGLDNLVNPSYKIAG >itb05g25370.t1 pep chromosome:ASM357664v1:5:29800181:29803377:1 gene:itb05g25370 transcript:itb05g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPNSMAASSISSHSHLLVTSSTFNPLKRKSLINGIISFAPTFGTQFSPRRLAPLCSSQSPPPFRLPDQKQKDGMFWLKKSAISIALAGWLISGMPALGWGGDGNARSPALPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKVAGVRALDSVERNVRQASRALKQGRTLIVSGLAKSKVEHGTELLNKLEAGLDELQQIVEDRNRDAVAPKQKELLNYVGSVEEDMVDGFPYEVPEEYRNMPLLKGRATVEMKVKVKDNPNVDECTFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPQGPAEGFIDPSTEKTRTIPLEIMVNGEKVPFYGATLEELGLYKAQTRLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESMQVVSGLDNLVNPSYKIAG >itb05g25370.t3 pep chromosome:ASM357664v1:5:29800211:29802804:1 gene:itb05g25370 transcript:itb05g25370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSPNSMAASSISSHSHLLVTSSTFNPLKRKSLINGIISFAPTFGTQFSPRRLAPLCSSQSPPPFRLPDQKQKDGMFWLKKSAISIALAGWLISGMPALGWGGDGNARSPALPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITESLKVAGVRALDSVERNVRQASRALKQGRTLIVSGLAKSKVEHGTELLNKLEAGLDELQQIVEDRNRDAVAPKQKELLNYVGSVEEDMVDGFPYEVPEEYRNMPLLKGRATVEMKVKVKDNPNVDECTFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPQGPAEGFIDPSTEKTRTIPLEIMVNGEKVPFYGATLEVSSAC >itb05g00120.t1 pep chromosome:ASM357664v1:5:98517:110540:1 gene:itb05g00120 transcript:itb05g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLCAPAPHIHFHPPYSDSALLFHKRIRFSMSQLFIRNSNSGRCKRIKVGSFNDNSISNQPERSGIQLYRDIERLLTDTVKQSQDAWGTSKDWKEVEGAWVLGPINTKPRLIVHFVGGIFVGAAPQLTYRLFLERLVEKDILVIATPYASGFDHFFIADEVQFKFDRCLRFLQETVQDLPIFGIGHSLGSVIHLLIGSRYAVQRSGNILMSFNNKEASLAIPLFSPVLVPMAQSIGPFLSQIATSPTVRLGAEMTLKQLETLSPPIMKQVLPLVEQLPPLYMDLINGRENFVPRPEETRRLIKSYYGISRNLLIKFKDDTIDETSVLAQLLSTDSAISSMLDMSIRSLPGDHGLPLHQALPDVPPAMTDAVNKSGELLANFAAGTPWETFAKEVGNTFGVDSNILRAEVSKELDLLVDVTTSWMVSNSGPKLLRS >itb13g23430.t1 pep chromosome:ASM357664v1:13:29427240:29427942:-1 gene:itb13g23430 transcript:itb13g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIELAKCECCGLKEDCTQDYISEVKAKFDGKWLCGLCSEAVRDEAIRGKNMQHFGTEEAVKAHMSFCRKYKSNPAIRVADGMRQMLRRRSGDLSSSPSPSKKFSRSATTSASSISYY >itb10g21010.t1 pep chromosome:ASM357664v1:10:26360350:26362633:-1 gene:itb10g21010 transcript:itb10g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFNSLEWLVCIIVLCLCKFSKAGEAKPHQFLDSVYQWRSEGGNLKLGGPVVVAGVLCFIAATISSAGGIGGGGLYIPILTIVAGLELKTASSFSAFMVTGGIIANVVCSMLMPSSKHGGRILVDFDIALLSEPFMLLGVSFGVICNVVFPEWLITVLFAVFLVWCTFSTFRSGILYWKLETRNDGCSEMETGMLDKQNWRGTEGAVSGAERASLRGEIAWMKLGMLLVIWLCFFLLYLFRGNQSGHGIVDMEACGVGYWIISSIQIPLAVSFTAWILFSKERCQSGEEEEETRGLPNKFIFPVMALLAGFLGGVFGIGGGMLISPLLIQVGLPPQITAATCSFMVLFSSTMSAVQYLLLGMEHTESAMIFAAICLAASLLGLVVVQRAIEKHGRASLIVFSVGTVMALSTVLITSFGAVDAWKDYESGRYMGFKPPC >itb12g07140.t1 pep chromosome:ASM357664v1:12:5333416:5335112:-1 gene:itb12g07140 transcript:itb12g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNTAKAVRLKSHLDKFLVADEDQRSVRQGRNGSSNKVYWTVELVEGSYHLIRLKNSHGGYLSASDEPFLLGMTGKRVLQALPNPGVKRCHSMEWEPIKEGYKVKLRTRGGTYLRANGATPPWRNTITHDLPYRTATQDWVLWDVDLVDLSVLQHLQSYPSSSYNCSSLPYDQSLNSNISLSHHHHELASESLGLSRVAHRPSYASERQSGMEFFYKAKAVRLESHLGKYLVGDDDEESVRQSRSGASLNARWTVEFVEGKDNVIRLKSCHGLYLTAAEEPFLLGMTGKKVVQTLPTNKTHAPSIEWEPIKEGFRVKLRTAEGKYLRANGATPPWRNSVTHDLPNRTATQDWVLWGVDVVDIVVSSDASDSPASCLSPPSSFNSVLDDTGTPPTRRPHIKTWVIQPK >itb01g23770.t1 pep chromosome:ASM357664v1:1:29665141:29667728:-1 gene:itb01g23770 transcript:itb01g23770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYEISDNIGKSAKGLVSLRILEKLFVKKIWNSDDTTSEAHPKIRCEDVLKQILEEIPISDLKMAGPEMLEWDVQSFVMHKRSRLPECTSLQLKEKFVEGSHPCFAPLKERSGMPSWNQSENIISADREIQENSARKPLAPIENLSTGNQYANDICIKDTKETHEEGAIIPPTPNENLVSSSQGNGHSQCKILPAKNLLPAKRSKPASSADIQGGKCTVEQTMAENACYPCTEGTKKHKQDVICLVDKIGEHIAQDIEKEGYSFEKESTAGDLLATKPPKDYNNMHTALENLRKSCDNRHAVQLHHSDTDVPQGTNAKEDTNSFQNICVGAASKETAHDVLRNENGFTQHLIQLDSLSNEAEVKFQLYLSQDSSKGGPTNEVRDNIEHNPEAESCSDTDGYHEEKIEIAKKKSAFLSSQCTNSQDSFATADSKELNLCVKCNKDGKLLVCSSETCPVVVHDSCLGSVPILDKAGGFYCPFCTYSQAISKYLEVKKKVSHARNDLKSSFGLGIKQSSKHLSPRSGKLRQNQPLQDDESSENDVTIRNSSSNKLCELTLGDLQQASQPLYFTPLIKAKEAPLYRRRGGNIEGGCAEILSTHDQSISWRNILEFGGYYSFPFKVVLSTSKINGTAFAKETQKRHEQLDH >itb14g17040.t3 pep chromosome:ASM357664v1:14:20364653:20369323:-1 gene:itb14g17040 transcript:itb14g17040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYRVPPMPRSTRSARKRGVNWRKAEDGQMCAFDLLATVAGKLLLEGESSSQSLEKDSVDREKQSLEKDSVDREKCNDDRIMQEKPGNRGSCERNFFLSELVSQAPVFSNFSYELPNARNDSLSPKSVITTSDSSDKFAPVEQLVNSGSKLEPGNGCVSGCKVFSSCKLGTGVAKPRKHEVLNNGKVSSDTKAGMCSSSAVLWDRKPSSTFVCSDNSVKLTLSKDHIPCGSFPASRGNVKLGNRDDDENSSVCTQPSTANKAFEPALPRIGDQRIRKSLASEYCEVNPKSNDEERRNADVETKPALGNKKNNLKCERSLRDYPFKKRKLYDCSSVSNSNSDRAISNEGNCSSHTKGYTEDASNYTPPTAQKTAGTSTSAPGGCAFFRTGDSQVKLRIKSFRVPELFIEVPETSTVGSLKRTVMEAVTAILGGGLHVGVLFQGKKIRDDNKTLLQTGISREDKPDTLGFSLEPIPPKASSPPPCPEERPYLLSCDTPQPLRRYSPSRSMVHNVVQQGPAETLRDSPGTNLINFIESDHDSAPSPPDTSLDKSAAAEDSRALVPVPAVNMEPNHDVVLARKPKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQAKQQMKQQAETCLLL >itb14g17040.t2 pep chromosome:ASM357664v1:14:20364653:20369319:-1 gene:itb14g17040 transcript:itb14g17040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTRSARKRGVNWRKAEDGQMCAFDLLATVAGKLLLEGESSSQSLEKDSVDREKQSLEKDSVDREKCNDDRIMQEKPGNRGSCERNFFLSELVSQAPVFSNFSYELPNARNDSLSPKSVITTSDSSDKFAPVEQLVNSGSKLEPGNGCVSGCKVFSSCKLGTGVAKPRKHEVLNNGKVSSDTKAGMCSSSAVLWDRKPSSTFVCSDNSVKLTLSKDHIPCGSFPASRGNVKLGNRDDDENSSVCTQPSTANKAFEPALPRIGDQRIRKSLASEYCEVNPKSNDEERRNADVETKPALGNKKNNLKCERSLRDYPFKKRKLYDCSSVSNSNSDRAISNEGNCSSHTKGYTEDASNYTPPTAQKTAGTSTSAPGGCAFFRTGDSQVKLRIKSFRVPELFIEVPETSTVGSLKRTVMEAVTAILGGGLHVGVLFQGKKIRDDNKTLLQTGISREDKPDTLGFSLEPIPPKASSPPPCPEERPYLLSCDTPQPLRRYSPSRSMVHNVVQQGPAETLRDSPGTNLINFIESDHDSAPSPPDTSLDKSAAAEDSRALVPVPAVNMEPNHDVVLARKPKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQAKQQMKQQAETCLLL >itb14g17040.t1 pep chromosome:ASM357664v1:14:20364642:20369323:-1 gene:itb14g17040 transcript:itb14g17040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYRVPPMPRSTRSARKRGVNWRKAEDGQMCAFDLLATVAGKLLLEGESSSQSLEKDSVDREKQSLEKDSVDREKCNDDRIMQEKPGNRGSCERNFFLSELVSQAPVFSNFSYELPNARNDSLSPKSVITTSDSSDKFAPVEQLVNSGSKLEPGNGCVSGCKVFSSCKLGTGVAKPRKHEVLNNGKVSSDTKAGMCSSSAVLWDRKPSSTFVCSDNSVKLTLSKDHIPCGSFPASRGNVKLGNRDDDENSSVCTQPSTANKAFEPALPRIGDQRIRKSLASEYCEVNPKSNDEERRNADVETKPALGNKKNNLKCERSLRDYPFKKRKLYDCSSVSNSNSDRAISNEGNCSSHTKGYTEDASNYTPPTAQKTAGTSTSAPGGCAFFRTGDSQVKLRIKSFRVPELFIEVPETSTVGSLKRTVMEAVTAILGGGLHVGVLFQGKKIRDDNKTLLQTGISREDKPDTLGFSLEPIPPKASSPPPCPEERPYLLSCDTPQPLRRYSPSRSMVHNVVQQGPAETLRDSPGTNLINFIESDHDSAPSPPDTSLDKSAAAEDSRALVPVPAVNMEPNHDVVLARKPKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQAKQQMKQQAETCLLL >itb14g17040.t4 pep chromosome:ASM357664v1:14:20364642:20369319:-1 gene:itb14g17040 transcript:itb14g17040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYRVPPMPRSTRSARVISWRYRLCIVTFRDYISLLCRYNVISFQKRGVNWRKAEDGQMCAFDLLATVAGKLLLEGESSSQSLEKDSVDREKQSLEKDSVDREKCNDDRIMQEKPGNRGSCERNFFLSELVSQAPVFSNFSYELPNARNDSLSPKSVITTSDSSDKFAPVEQLVNSGSKLEPGNGCVSGCKVFSSCKLGTGVAKPRKHEVLNNGKVSSDTKAGMCSSSAVLWDRKPSSTFVCSDNSVKLTLSKDHIPCGSFPASRGNVKLGNRDDDENSSVCTQPSTANKAFEPALPRIGDQRIRKSLASEYCEVNPKSNDEERRNADVETKPALGNKKNNLKCERSLRDYPFKKRKLYDCSSVSNSNSDRAISNEGNCSSHTKGYTEDASNYTPPTAQKTAGTSTSAPGGCAFFRTGDSQVKLRIKSFRVPELFIEVPETSTVGSLKRTVMEAVTAILGGGLHVGVLFQGKKIRDDNKTLLQTGISREDKPDTLGFSLEPIPPKASSPPPCPEERPYLLSCDTPQPLRRYSPSRSMVHNVVQQGPAETLRDSPGTNLINFIESDHDSAPSPPDTSLDKSAAAEDSRALVPVPAVNMEPNHDVVLARKPKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQAKQQMKQQAETCLLL >itb14g17040.t6 pep chromosome:ASM357664v1:14:20364653:20369323:-1 gene:itb14g17040 transcript:itb14g17040.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYRVPPMPRSTRSARKRGVNWRKAEDGQMCAFDLLATVAGKLLLEGESSSQSLEKDSVDREKQSLEKDSVDREKCNDDRIMQEKPGNRGSCERNFFLSELVSQAPVFSNFSYELPNARNDSLSPKSVITTSDSSDKFAPVEQLVNSGSKLEPGNGCVSGCKVFSSCKLGTGVAKPRKHEVLNNGKVSSDTKAGMCSSSAVLWDRKPSSTFVCSDNSVKLTLSKDHIPCGSFPASRGNVKLGNRDDDENSSVCTQPSTANKAFEPALPRIGDQRIRKSLASEYCEVNPKSNDEERRNADVETKPALGNKKNNLKCERSLRDYPFKKRKLYDCSSVSNSNSDRAISNEGNCSSHTKGYTEDASNYTPPTAQKTAGTSTSAPGGCAFFRTGDSQVKLRIKSFRVPELFIEVPETSTVGSLKRTVMEAVTAILGGGLHVGVLFQGKKIRDDNKTLLQTGISREDKPDTLGFSLEPIPPKASSPPPCPEERPYLLSCDTPQPLRRYSPSRSMVHNVVQQGPAETLRDSPGTNLINFIESDHDSAPSPPDTSLDKSAAAEDSRALVPVPAVNMEPNHDVVLARKPKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQAKQQMKQQAETCLLL >itb14g17040.t5 pep chromosome:ASM357664v1:14:20364653:20369323:-1 gene:itb14g17040 transcript:itb14g17040.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYRVPPMPRSTRSARKRGVNWRKAEDGQMCAFDLLATVAGKLLLEGESSSQSLEKDSVDREKQSLEKDSVDREKCNDDRIMQEKPGNRGSCERNFFLSELVSQAPVFSNFSYELPNARNDSLSPKSVITTSDSSDKFAPVEQLVNSGSKLEPGNGCVSGCKVFSSCKLGTGVAKPRKHEVLNNGKVSSDTKAGMCSSSAVLWDRKPSSTFVCSDNSVKLTLSKDHIPCGSFPASRGNVKLGNRDDDENSSVCTQPSTANKAFEPALPRIGDQRIRKSLASEYCEVNPKSNDEERRNADVETKPALGNKKNNLKCERSLRDYPFKKRKLYDCSSVSNSNSDRAISNEGNCSSHTKGYTEDASNYTPPTAQKTAGTSTSAPGGCAFFRTGDSQVKLRIKSFRVPELFIEVPETSTVGSLKRTVMEAVTAILGGGLHVGVLFQGKKIRDDNKTLLQTGISREDKPDTLGFSLEPIPPKASSPPPCPEERPYLLSCDTPQPLRRYSPSRSMVHNVVQQGPAETLRDSPGTNLINFIESDHDSAPSPPDTSLDKSAAAEDSRALVPVPAVNMEPNHDVVLARKPKRSEAAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLIAHAYWSQQQAKQQMKQQAETCLLL >itb06g25400.t1 pep chromosome:ASM357664v1:6:26480994:26483880:-1 gene:itb06g25400 transcript:itb06g25400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLTMKRESSEYLSRKKWGVKILTLMCVVYLVLVSLEAPIVYKSGFRLVSPELFGNGQLSSEVLDSRENVEEKDAPNRPLDVPFPFPPERRIKELRNHPLSSIVFDDSFLNASREGGYAGIMKSAAVAFEAGRKLWEELELPREEVVMEESSNRKENCPDSVSMFGAELLTNGNMMELPCGLTLGSHITVVGRPKLAHPESDPKISALEKVDGKVKCESWIQDDYGDDDDDDYHSEQLKSAWLSNSLMGLKEKLSLGRSFPFSEDKLFVLTLSAGVEGYHVNVDGRHVTSFPYHTGFTLEDATGLWLNGDIEVESVVATSLPTSYRSFTPQKDLDLSDRWKALPLPDRPVELFIGVLSTGNHFAERMAIRKSWMQHRLIKSSKVVARFFVALHARKEVNVELKKEAEFFGDIVIVPYMDSYNLVVVKTVAISEYAVHIAFAKAIMKCDDDTFVRLDAVLNEVNKVPDSMSFYIGNINYYHRPLRSGKWAVAYEEWPEEVYPPYANGPGYMISSDIAHFIVSKFKRHKLKLFKMEDVSMGMWVESFNSTKPVEYVHSLKFCQSGCIQDYYTAHYQSPRQIMCLWNNLQKLGKPICCNMR >itb00g00700.t1 pep chromosome:ASM357664v1:16:4597197:4598107:-1 gene:itb00g00700 transcript:itb00g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRLPGGGGSSVVGKRETRLYEPEERLHSSSKGVKTGAFCSASRNATVACCLLSTARALAAPFCNFPSFVRPRGCKKRERGGYLAGVVSVVCHEVPMDKGTSESSLLGVAGSPLPSIPFHSIVSYCTVPYQTR >itb11g02190.t1 pep chromosome:ASM357664v1:11:1097788:1101024:-1 gene:itb11g02190 transcript:itb11g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISYHLLPNRETNTETPGMAVDMKALSPKIMASSAAPSTIPISSSFLHHQEFNPIKPHFFPADNNLSLNPLAIRCTDRTLSHFISANHEPLPKSAIAAAAKAVAEEIDGEGSPASTPTKPNKGKPALLRMNDGMRSKRLLEIQKLRENKKEYDLKTAISLIKQTSCTTFVETAEAHFRLNIDPKYNDKQLRATVNLPKGTGQPVRVAVITQGENFDEAKNAGADLIGGEDLIEQIKCGFMDFDKLIATPDMMPKVASLGRILGPRGLMPNSKAGTVTTNIPQAIEEFKKGKVEYRADKTGIVHMPFGKVGFSEEDLLENFLAAVQSVESNKPLGAKVLYWKSAHVCSSMGPSIRLNIREMLDFKLPTTA >itb11g02190.t2 pep chromosome:ASM357664v1:11:1097788:1101024:-1 gene:itb11g02190 transcript:itb11g02190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHISYHLLPNRETNTETPGMAVDMKALSPKIMASSAAPSTIPISSSFLHHQEFNPIKPHFFPADNNLSLNPLAIRCTDRTLSHFISANHEPLPKSAIAAAAKAVAEEIDGEGSPASTPTKPNKGKPALLRMNDGMRSKRLLEIQKLRENKKEYDLKTAISLIKQTSCTTFVETAEAHFRLNIDPKYNDKQLRATVNLPKGTGQPVRVAVITQGENFDEAKNAGADLIGGEDLIEQIKCGFMDFDKLIATPDMMPKVASLGRILGPRGLMPNSKAGTVTTNIPQAIEEFKKGKVEYRADKTGIVHMPFGKVGFSEEDLLENFLAAVVCIIIAFFPFNSLISSSKHETSHMIIW >itb11g02190.t3 pep chromosome:ASM357664v1:11:1097788:1101024:-1 gene:itb11g02190 transcript:itb11g02190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHISYHLLPNRETNTETPGMAVDMKALSPKIMASSAAPSTIPISSSFLHHQEFNPIKPHFFPADNNLSLNPLAIRCTDRTLSHFISANHEPLPKSAIAAAAKAVAEEIDGEGSPASTPTKPNKGKPALLRMNDGMRSKRLLEIQKLRENKKEYDLKTAISLIKQTSCTTFVETAEAHFRLNIDPKYNDKQLRATVNLPKGTGQPVRVAVITQGENFDEAKNAGADLIGGEDLIEQIKCGFMDFDKLIATPDMMPKVASLGRILGPRGLMPNSKAGTVTTNIPQVMSHTFLFYLFKK >itb03g29700.t1 pep chromosome:ASM357664v1:3:30684367:30685682:-1 gene:itb03g29700 transcript:itb03g29700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVKIISAKDLKNVNWRYGPVKPYAVVWVDPKAKCSTRVDEEGDTNPYWDETLVIPLESYNIEDATLYIDIVHAHAGEDVKPLIGSAKIRLRDVVDDAGLGAQVDRKLELKRPSGRPHGKLEVKVSVREPRYQSRDPYYAPPYGVPPPATRGDYPPAAPYGNPYGAPPSGYPYGAPPPPQPYGQPSYGYQQPAAYGQQVGYGQQGGYGQPGYAYEEKKKSKFGGMGTGLAVGAVAGALGGLALAEGFDALEDHVADEAAEKVEEDLGYDPDDF >itb04g09590.t1 pep chromosome:ASM357664v1:4:8881518:8883895:-1 gene:itb04g09590 transcript:itb04g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLQQIAKAYYLAGSPELQTGVQELFNTLDSDGDGHIEKDEFICVLTQDSVDEERDVEKCRNLFDELDIDGNGTLDFWEVMTLFYIYMSKRPSCDGCGKFIPATFFTCVECHADPHHTQFDLCIGCYENRRSSGHKHQGKPATFLDNYTLLDVKRSSSGLSFNTNAYVNNNISQASISSTQHVGSASAPANPPNTNTAVVPATNKYEKWKVLLQAVQIALAMGNAACTVM >itb05g25980.t1 pep chromosome:ASM357664v1:5:30096495:30097845:1 gene:itb05g25980 transcript:itb05g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLRRQLVMSGRNNGSAIANGRKYCDVFINHRGIDTKRGVASLLYDHLERFRVRCFLDNKNMKPGDKLFDKIDGAIKDCKVGVAVFSPRYCDSYFCLHELALIMESKKKVIPIFCDVKPSQLRVVDDGTVPASQIARFNSALEEAKLTVGLAFNSHEGNWADVVTNAGNIVMESLMEIEDEKHKRRK >itb15g02670.t1 pep chromosome:ASM357664v1:15:1686066:1686931:1 gene:itb15g02670 transcript:itb15g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATRDFMSVDSFSQLPFMRPQPPLKEKPIRLFGREFGGDDENAPGIIMSRRFECQYCCRNFPTSQALGGHQNAHKRERQHAKRAHFHAAMIIGGGSNYRLHSAPAATPFFPTAARVYGSRIAGGYGAASPYSQTPIDGSPLPLWRLPTVQHSSSSSHNHRLIQPPPLLANAPPSVTVMSSSNARQIGRYGFEPKPSVKDHNVSLDLRL >itb05g17420.t1 pep chromosome:ASM357664v1:5:24378144:24380691:-1 gene:itb05g17420 transcript:itb05g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFTPTIFEARDGVVGGVWSETIASTRLQTPKDHYSFSDFPWPDSVTDIFPDHTQVSDYIVSYAVRYNILPQIRFNSKVVSVHYQPANEEKAAFSCNGKWSVVVQNLKHPENPNEVYEFDFVVLCIGKFSGVPRIPRFAMNKGPEVFDGKVIHSMDYAAMGNFRAAEFIKNKRVTVVGFQKSAVDIATEIARLNGTRFPCTLLHRTVHWTVPEALVKLLFTNLNRFSEFLIHKPQESFFIWLLALLASPLLWVFSKAVESYLKWIYPLKKHNMVPDHGFLEQISSCKFTVLPADFYSKVAEDVVIFATGYESDEKLCSIFASNHFKNRITGSSAPLYRGCIDPHIPQLAILGYSESPAILHTTEMQSKWLAHFLAGRFGLPKVSEMEEDSRGWEKCLQKYAGRHYKRACASVLLQIHCNDQLCKDMNCNPRRKNGFFSEMFCPYSPVDYRI >itb07g20510.t1 pep chromosome:ASM357664v1:7:24888528:24891543:1 gene:itb07g20510 transcript:itb07g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRSYYQQYEAQLNQSLIDQRVKEHLVAFRAPAPPYGQMRPGLPVFPTPGQNPMAGNPQLPAGTPLIPGFRPPMLPRPLPPGYVSGPPMPSMVAPPGAPMPGQVNSLPRPPMGAPTSLPGSAGMATPTGAPPMYAPPPMPQGGIPVPTSAAGDSSSNSQVPPEPNH >itb04g08990.t1 pep chromosome:ASM357664v1:4:8286935:8288754:-1 gene:itb04g08990 transcript:itb04g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPKKNKTMAFLLPTTLAITGLVFSFAHAFDPSPLQDFCVAANDPKSTVFVNGRVCKDPKLVTPDDFSTSGLNVSGDPAGVGVTLKSVGVDRIAGLNTLGIVMARVDYESGSPATPHSHPRSSELILVLEGTLETGFITADPSNPTKNRLYAKTLNAGDVFVIPPGLLHYQANVGKVKAVSFNSLNSQNPGLVTATSQLFGSAPAISDDILSKAFRVDKETIELIRSKFA >itb05g03200.t1 pep chromosome:ASM357664v1:5:2658874:2659455:-1 gene:itb05g03200 transcript:itb05g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTGKVRSFVEKPGPIDGYFKRISAGIYLLNPSILPGIEPKRTSLEKQVFPKMVADGKVYGLWLKGLFWLDTWLMIDQQNYYINGLVSQLRNLWKRWPQKLASGTHIVGDVVVDETAEIGEGCVIGPDVAIGPGCVIEDGVKISSSAIMHATRIKEGASVTYSIIGRRSSIGRLAKLEKTTMFTINFIVEV >itb14g13900.t1 pep chromosome:ASM357664v1:14:16247327:16256503:-1 gene:itb14g13900 transcript:itb14g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLMKEAPPHCPRPSQEKKPQVSASPSHGVALAAKGGHFGFRIRYVLELCRFVLLELGSGQLAKERRKVVGWPPIRSFRKNSVVTNPPKTEEGPDGKSGSGCLYVKVSMDDAPYLRKVDLKIYDCYKDLTLALQKMFSCFTIGQSGSPGVPIRDGMSESRLMDLHGSEYVLTFKDKDGVWMLVGSSHNPNKPLLKPALQGLEITFRFISTALSDPRPYANRREWKRRLESLARSQVEIIVMLCEDEAEDGVTCGAAPIVDLTSSDGTLARRNSSAEVWKLSDEVTVVSRTIEASLLPRLVTWRKSEDIAQNILDFIESEMRKCPVGLGEANLNGKPSLDYDAIVKPSELHSLKKSPMFLQNFENQTLYTTHQILESWICASKSILNRIAEQIESKSFRNAASDCWILEKTWNLLTEIENLHLLMDPDDFLRLKHQLSIKVTAESQPFCFRSRGLVEITKLSKDLRQNVPGILDVEVDPNGGPRVQEAAMKLYAEKDGFERIHLVQGLQAIEMGVKRFYYSYKQLLTVVMGSLEAGDSGDSLDQNFVVRGLEVDIVTIPHFPADIADPPTIIVEGVNFLANVIKVSVNIQRLRPDFISRNRLRQR >itb02g07310.t1 pep chromosome:ASM357664v1:2:4527484:4528837:-1 gene:itb02g07310 transcript:itb02g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCVDKSGLKKGPWTPEEDQKLIHYIQAHGPGNWRTLPKNAAMNKKFNLWFDVRWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHTPRLDLLDLSSILGTSTHLNFPSLLGLQAILNPELLRLATNLFASQNDNNINPDLLSNPHLQNQNPQMLLRKLQESQLLINAPNFQSNNSDHQFQHPLPPCTTSNNNVSPACSDLPMQPYSTMNSSNLQNNGQVFQESLLQSLENYSGCFGSNQSLSNSSNQSGLENFSFDSSVLSTPLNSSSTLVNSGGANTEDEKESFCSNLMRFDIPDSLDFEDLL >itb01g29700.t2 pep chromosome:ASM357664v1:1:33901316:33905103:1 gene:itb01g29700 transcript:itb01g29700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKTVFIFLLFLPAILALNQEGHSLLSWLSAFNSSSSAGHFSSWNPAHRDPCKWEFITCTTTGFVSEIKIASINLPTKFPTQILSFGFLKVLVLSNGNLTGEIPPAIGNLSSLITLDLSFNALTGSIPPKIGRLSQLQQLSLSSNLIHGEIPKEIGNCSKLQQLELFDNLLSGMIPAEIGHLTNLEIFRAGGNLGVVGEIPMQISNCKMLAVFGVADTGISGQIPHTIGELKNLKTLAIYTANLTGEIPPEIGNCSSLEELFVYENQISGEIPSEIGLLKNLKKVLLWQNNLRGPIPTSFGNCSGLRVIDFSLNFLTGPLPPSFENFSELEELLLSDNSFSGSIPSYIGNFSGLKQLELDNNNFSGEIPPTIGKLKDLSLFFAWQNQLTGRIPAELAHCHKLQSLDLSHNFLTGPVPEALFNLRNLTKLLLISNQLSGGLSPNIGNCISLARLRLGSNRLDGPIPSGIALLPSLSFLELSDNQLTGAIPPDIGNCTQLEMVDLHSNMIEGIIPVSFVSIAMLNVLDLSMNRISGTIPEDIGKLTSLNKLMLNGNSITGLIPKTLGLCKGLQLLDLSSNKLNGQIPGQIGQLQGLDIMLNLSRNFLTGPIPESFSNLSKLANMDISHNMLTGSLNAISNLNNLVSLNVSCNNFSGVIPNTKFFQGLPSSAFLGNQQLCINRNTCHVSQNQHRMKSIRNLTILIVLSIFMIMIILTATIMLLIRAHGATCRKNDEENGLHWDVVPFQKLTFSVNDVVTGLSDSNIIGKGCSGVVYRVEIPMRQVIAVKKLWPKKSTEISQRDLFSAEVKVLGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLAGLLHEKRIFLDWDARYKIILGAAQGLEYLHHDCIPPIIHRDIKANNILVGSHFEAVLADFGLAKHVNSSDSSRDTTVVAGSYGYMAPECGYSLRITEKSDVYSFGIVLLEVLTGMEPTDPRIPDGAHIVTWLNQELRVKHRDFTTILDQQLLSTSGTQTQEMLQVLGVSLLCVNPCPIERPTMKDVAAMLTEIRHENDDFEKPNHHRKAMASNSETSVKAMASNSETSVQCSSFSRSSEPLIKSPSQFQ >itb01g29700.t1 pep chromosome:ASM357664v1:1:33901228:33905103:1 gene:itb01g29700 transcript:itb01g29700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKTVFIFLLFLPAILALNQEGHSLLSWLSAFNSSSSAGHFSSWNPAHRDPCKWEFITCTTTGFVSEIKIASINLPTKFPTQILSFGFLKVLVLSNGNLTGEIPPAIGNLSSLITLDLSFNALTGSIPPKIGRLSQLQQLSLSSNLIHGEIPKEIGNCSKLQQLELFDNLLSGMIPAEIGHLTNLEIFRAGGNLGVVGEIPMQISNCKMLAVFGVADTGISGQIPHTIGELKNLKTLAIYTANLTGEIPPEIGNCSSLEELFVYENQISGEIPSEIGLLKNLKKVLLWQNNLRGPIPTSFGNCSGLRVIDFSLNFLTGPLPPSFENFSELEELLLSDNSFSGSIPSYIGNFSGLKQLELDNNNFSGEIPPTIGKLKDLSLFFAWQNQLTGRIPAELAHCHKLQSLDLSHNFLTGPVPEALFNLRNLTKLLLISNQLSGGLSPNIGNCISLARLRLGSNRLDGPIPSGIALLPSLSFLELSDNQLTGAIPPDIGNCTQLEMVDLHSNMIEGIIPVSFVSIAMLNVLDLSMNRISGTIPEDIGKLTSLNKLMLNGNSITGLIPKTLGLCKGLQLLDLSSNKLNGQIPGQIGQLQGLDIMLNLSRNFLTGPIPESFSNLSKLANMDISHNMLTGSLNAISNLNNLVSLNVSCNNFSGVIPNTKFFQGLPSSAFLGNQQLCINRNTCHVSQNQHRMKSIRNLTILIVLSIFMIMIILTATIMLLIRAHGATCRKNDEENGLHWDVVPFQKLTFSVNDVVTGLSDSNIIGKGCSGVVYRVEIPMRQVIAVKKLWPKKSTEISQRDLFSAEVKVLGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLAGLLHEKRIFLDWDARYKIILGAAQGLEYLHHDCIPPIIHRDIKANNILVGSHFEAVLADFGLAKHVNSSDSSRDTTVVAGSYGYMAPECGYSLRITEKSDVYSFGIVLLEVLTGMEPTDPRIPDGAHIVTWLNQELRVKHRDFTTILDQQLLSTSGTQTQEMLQVLGVSLLCVNPCPIERPTMKDVAAMLTEIRHENDDFEKPNHHRKAMASNSETSVKAMASNSETSVQCSSFSRSSEPLIKSPSQFQ >itb04g11990.t1 pep chromosome:ASM357664v1:4:11648612:11650451:1 gene:itb04g11990 transcript:itb04g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVFVLLGLSLAVFLFIASEVTAREMAETSTSFDPTKAEKANGVVGDDKHHYGGGGGGYGGGGYGHGGHGGGGYGHGGHGGGGGGGYGHGGHGGGGCKYGCCGQGYHGGCQKCCSYEGEAVDATKP >itb04g31600.t1 pep chromosome:ASM357664v1:4:34400676:34402175:1 gene:itb04g31600 transcript:itb04g31600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 60 [Source:Projected from Arabidopsis thaliana (AT5G22410) UniProtKB/Swiss-Prot;Acc:Q9FMR0] MAGGAYVYDRAYHEHRFDSYYITETTHCVSSIPIQFQHDRKLSSKMSKVTAAFTLVVTGLVFAALSGHCHGQLQAGFYLGKCGYNDVEGIVKGIVKSWYKNDTTITAALLRMQFHDCFVKGCDASILLDGNDTEKTAIPNLSVRGYDLIDAIKAALEKACPQVVSCADIIVMATRDAVSLSGGAPYIVQTGRRDGRVSLASNVDLPSPKISVVDSVTAFAKKGLNIVDMVYLLGGHTVGIAHCSVFKDRLYNFNNTGRPDPSMNLGLLFFLRLKCPKDSTFDNTANLDQSTPARVDNYYYQQLLLRNGVLQFDQQMAVDSLTRDTVKAIAWRSDFGTKFGAAMVKLGAVDVLTGSYGEIRKSCRLANT >itb02g22440.t1 pep chromosome:ASM357664v1:2:21878042:21882830:1 gene:itb02g22440 transcript:itb02g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSHALLPWLMEKCVDTKSMCKFGKWRRCHLRCCPPAHRRDWFSSLWGEAEPHWSHGDVLHVSRRTFARIYSLTHAQLEIGAAVSQ >itb11g01170.t1 pep chromosome:ASM357664v1:11:530271:536923:-1 gene:itb11g01170 transcript:itb11g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MVSFQDDGFQLARGEDGHICTSSGLDNKLEQMDFDRDTIPSTLRTVGNGKGQNTNSVQSVSRKKRGRSKRKNPSSKISAEAGNCEINCARPSTLSEDGHTTSACISENSVVENLSKVVANEVHNVEPISSCSGAVQMDSTAQLTKGCHSTGCAEGSKNPASLLCQTTESMVENKSSAVALETTNQNINSGVTSVIPVIEFGNNLVTHDMSNGNCNGVNRKSRKHGVTGKQTKVGGSIDVYNSGPANASTYLSYEWPSVAPIHFPSGNSHLPPATDRLHLDVSHNWQSHYHQSYVRTVHHVRNSSIESGRTGIISRPLAMSLDWPPILRGVNGVTPSVTCHYDTGFISRRPSSFQQDLATQGIHCNAMSADDERVYSGEFFDFSDHTNTQEVCDEHDNHQMSEEELEVHGLSGVDYNQYFGGGVMYWNPSDYLGTSFSRPPSLSSDDSSWAWREADMSRAVDDMVAFSSSYSTNGLTSPSATSFCSPFDPLGSGALSYVIPGSEVNSGKVPQSSSTPTDLVGEENASASLSNMSVDSETKAGDTLPYPILRPIIIPNMSRERSRSDFRRSHDRTSPCIPPSRQEQPRIKRPPSPVVLCVPRAPRLPPPSPVGDSRRHRVPTVRSGSSSPRHWGMKGWLHDGINFEEACIRMDGSEVVWPSSWRSKNFSGHQLTQPLTGALLQDHLIALSQLTRDQEHPDVSFPVQPPEMPNCSAEKASLSLIHNHLHDEIDAFCKQVAAENLIRKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKIVENTAIPIIMLVVEVPHDFITSSSSHSQTPEAQTISEGNPFQADGTSSESSTSPKWPKMNDSGKDVKSVRLDISFKSPSHTGLQTSELVKELTEQFPAAKPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHHGRSTIQNYGSLLMDFLYFFGNVFDPRQMRVSIQGSGLYINRERGYSIDPIYIDDPLFPTNNVGRNCFRIHQCIKAFADAYSTLESEITLLPSNDDSSARMPSLKLLPKVIPSIMQLEAFQLSGD >itb05g11730.t1 pep chromosome:ASM357664v1:5:17929991:17930647:1 gene:itb05g11730 transcript:itb05g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVPVAGKSPRRELQGPRPTPLKVRKDSHKIQKPPVAPPPQQPPPPAPPRPPVIIYTVSPKVIHANPNEFMTLVQRLTGTDSTYASSSTAVQPSTFDVAGAVSPAARFASVEKTRSTRKPELGTVQGIELSCDVERSGVLSPNPASLPAIPASIFSSPSGQNPLGYFPDLSPVFHSNKIYGLETSFMLPSPSATFISPRIISPGTPSLDLFNNLFDL >itb08g07200.t1 pep chromosome:ASM357664v1:8:6160394:6161804:1 gene:itb08g07200 transcript:itb08g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNPHSDGNLALIKYGKDKNNGKDDVTVPPGALSIIWGNDQRYWKNPDSAVAELIQVCWLEVTGSIKLRPEMKGKKYEVGFEVSLTPDAFGWSNSPVYIMGKRGKKPIWTKMNLANNNKGIFKIPETPLVVAPPGDGSDNDKVYFGLYEVWSGRWKGGLKIHKAFVREFSGNSNHKTGSESPNKISS >itb03g08140.t1 pep chromosome:ASM357664v1:3:6134796:6136488:-1 gene:itb03g08140 transcript:itb03g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVETGKRKVKPGSPYLNHCATPVSGKPLCIRFLQKPPPLASSYTYTTRLLSFKYISLFLALSNLLKKVAVKMRMSCNGCRVLRKGCSQNCSIRPCLQWIKSPESQANATLFLAKFYGRAGLLNLLNAAPDRLRPEVFKSLLYEASGRIVNPLFGSTGLLSTGNWELCQNAVDAVLKGAPITPVASDASAAAVLPLKACDIGHVHYKEGNRGKSGDLHRVRNRGRFKRAGAKIKENSVLTGSSDEEVNRSTSHESSLCHQSPAANEEPESREIQRLNSVEISQPAPDVRHVDFDDEIELELTLGFAPKVK >itb14g17720.t2 pep chromosome:ASM357664v1:14:20937711:20941952:1 gene:itb14g17720 transcript:itb14g17720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSEERNRRGKRKRDQTRPKLLSGEKVEVRSLEEGFLGSWHSGEVVRCEKSFRHVQYDHILCDDESASLIEFVGVSPLIDGVKSAQREPNNSRGVIRPLPPSLGFTKSSLRYGQCVDSFVEDAWWEGVIFDHEDGSEERKIFFPDQGDDMMVCVGNLRITQDWDELTEEWKPRGNWLFLEVIDEVKQEWPLFVSVKQIWYEVREKNGKNNLKEWTFSVTDYWRKLASQVILDNLKFSVDQFLGLLNSSERFVQEGPLLEFSESVLNAVLKPKTYINGLLALAPFTKSSSKVEDGGVLPNNLNVSCHHIVENEVVPEGLVRSIGEVEVGFSSKNLDTQLILSHDETQSLPLHTLPCSSHSEIGAPGSLLDDYGETIPCTNSKVPSEKLKSSRRKNVEWLPLALEAEFCPDAIIQFQRKFMLGRKNLHVKVRKHLLYLGWKIECVKDCEMTRLRYFSPSGKLYMSLSKLCNEFDTTSESLSVIPMTRRQDVSPDSSLDITSGSSADGFTSCLPLVRQQECRKKSMLPSLEVIIDPKYCPQAVSDYVLCQKENTLHSKKGITRLAYEAKKHLVFSGWKVFNYLGRGKKQFCYVSPSRRVFYSLLTACKWYFEESASCPDTPGNLATGTSPGMSPSKEKETSKSRTKRKLSFHESRGLFNEGDVADTKSSSRVLRSSKRARQVSSSHRTPRTILSWLIDNNVVLPETTVQYCGRKDGPPLKEGKITRDGIKCNCCQNVYSLSNFEIHAGSTNHRPSANIFLEDGRSLLKCQLEMKHKSSVKNSKTEPRMVQGSQHLNRNDHICSICQDGGDLILCDRCPSSFHTSCLGYSRWRLVLPVMLLWNLWPEWVR >itb14g17720.t3 pep chromosome:ASM357664v1:14:20937711:20941952:1 gene:itb14g17720 transcript:itb14g17720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSEERNRRGKRKRDQTRPKLLSGEKVEVRSLEEGFLGSWHSGEVVRCEKSFRHVQYDHILCDDESASLIEFVGVSPLIDGVKSAQREPNNSRGVIRPLPPSLGFTKSSLRYGQCVDSFVEDAWWEGVIFDHEDGSEERKIFFPDQGDDMMVCVGNLRITQDWDELTEEWKPRGNWLFLEVIDEVKQEWPLFVSVKQIWYEVREKNGKNNLKEWTFSVTDYWRKLASQVILDNLKFSVDQFLGLLNSSERFVQEGPLLEFSESVLNAVLKPKTYINGLLALAPFTKSSSKVEDGGVLPNNLNVSCHHIVENEVVPEGLVRSIGEVEVGFSSKNLDTQLILSHDETQSLPLHTLPCSSHSEIGAPGSLLDDYGETIPCTNSKVPSEKLKSSRRKNVEWLPLALEAEFCPDAIIQFQRKFMLGRKNLHVKVRKHLLYLGWKIECVKDCEMTRLRYFSPSGKLYMSLSKLCNEFDTTSESLSVIPMTRRQDVSPDSSLDITSGSSADGFTSCLPLVRQQECRKKSMLPSLEVIIDPKYCPQAVSDYVLCQKENTLHSKKGITRLAYEAKKHLVFSGWKVFNYLGRGKKQFCYVSPSRRVFYSLLTACKWYFEESASCPDTPGNLATGTSPGMSPSKEKETSKSRTKRKLSFHESRGLFNEGDVADTKSSSRVLRSSKRARQVSSSHRTPRTILSWLIDNNVVLPETTVQYCGRKDGPPLKEGKITRDGIKCNCCQNVYSLSNFEIHAGSTNHRPSANIFLEDGRSLLKCQLEMKHKSSVKNSKTEPRMVQGSQHLNRNDHICSICQDGGDLILCDRCPSSFHTSCLGMKVIPDGDWFCPSCCCGICGQSGFDKSNDQFTDNNILCCNQCGHQYHYRCIGDESSEPDNYPKGNWFCNTRCERIFLGLHQLLGKPIPVGTDKLTWTLLKYTNPNDSYYGAPDDESMTENYGKLSVALSVMHECFEPVKESRTRRDLMEDIIFSRW >itb14g17720.t1 pep chromosome:ASM357664v1:14:20937711:20944903:1 gene:itb14g17720 transcript:itb14g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSEERNRRGKRKRDQTRPKLLSGEKVEVRSLEEGFLGSWHSGEVVRCEKSFRHVQYDHILCDDESASLIEFVGVSPLIDGVKSAQREPNNSRGVIRPLPPSLGFTKSSLRYGQCVDSFVEDAWWEGVIFDHEDGSEERKIFFPDQGDDMMVCVGNLRITQDWDELTEEWKPRGNWLFLEVIDEVKQEWPLFVSVKQIWYEVREKNGKNNLKEWTFSVTDYWRKLASQVILDNLKFSVDQFLGLLNSSERFVQEGPLLEFSESVLNAVLKPKTYINGLLALAPFTKSSSKVEDGGVLPNNLNVSCHHIVENEVVPEGLVRSIGEVEVGFSSKNLDTQLILSHDETQSLPLHTLPCSSHSEIGAPGSLLDDYGETIPCTNSKVPSEKLKSSRRKNVEWLPLALEAEFCPDAIIQFQRKFMLGRKNLHVKVRKHLLYLGWKIECVKDCEMTRLRYFSPSGKLYMSLSKLCNEFDTTSESLSVIPMTRRQDVSPDSSLDITSGSSADGFTSCLPLVRQQECRKKSMLPSLEVIIDPKYCPQAVSDYVLCQKENTLHSKKGITRLAYEAKKHLVFSGWKVFNYLGRGKKQFCYVSPSRRVFYSLLTACKWYFEESASCPDTPGNLATGTSPGMSPSKEKETSKSRTKRKLSFHESRGLFNEGDVADTKSSSRVLRSSKRARQVSSSHRTPRTILSWLIDNNVVLPETTVQYCGRKDGPPLKEGKITRDGIKCNCCQNVYSLSNFEIHAGSTNHRPSANIFLEDGRSLLKCQLEMKHKSSVKNSKTEPRMVQGSQHLNRNDHICSICQDGGDLILCDRCPSSFHTSCLGMKVIPDGDWFCPSCCCGICGQSGFDKSNDQFTDNNILCCNQCGHQYHYRCIGDESSEPDNYPKGNWFCNTRCERIFLGLHQLLGKPIPVGTDKLTWTLLKYTNPNDSYYGAPDDESMTENYGKLSVALSVMHECFEPVKESRTRRDLMEDIIFSRWSELNRLNFQGFYTVVLEKNDELITVATVRVHDEKVAEIPLVATRFQYRRHGMCRILMNELEKQLVALGVERLVLPAVSSALDTWTTSFGFKEMKGSERLDFLKYTFLDFHGTIMCQKLLTNIPSINSSVSAEADQQSFDHNNNGNADLDGNITISEVFQAEQLNGSETVDQASLEPSGGGSGANDDSAPAPVVLAFNPTLPLCSAKAALDYSATGAEQKEDETFKCYQRRRTLQPVEAKLV >itb13g26290.t1 pep chromosome:ASM357664v1:13:31606721:31609649:-1 gene:itb13g26290 transcript:itb13g26290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRHCFTRYVEFHRCVAAKGGEAGDCEKFARYYRALCPGEWVEKWNEQRESGTFPGPL >itb15g20930.t1 pep chromosome:ASM357664v1:15:23627529:23630808:-1 gene:itb15g20930 transcript:itb15g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIDVYIGWDGGTNRTSGEGGELTEPVVGMHVYGVWVVDGLKLLFLLCLPTTAFGSSSAVGAVVRRDGGAFRWPLLPPSGDSCSCPSGFRRRRRWLSDWSSRGQWTDFWCREQGRTGTTAMRMLLRFLVSRLRVSVASVGVATGKDGRGELDSIGDVTTSHLLRATPTTRSRCSIPLFQATPATAAAAPAPLLRWFGFGRRWELHNSSQVGFSD >itb15g04910.t1 pep chromosome:ASM357664v1:15:3174076:3179043:1 gene:itb15g04910 transcript:itb15g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSLLVTPILERIVKPVLDEIGYVFQYKRNMESFTMKVERVKDMRDGVKERIEVEVRNLQSIAPNVKGWLDEIEKITAKEESILEKKAEVEKGCFKGLCPNLKLRYTLSKKAKKGTQVAVELIVEGSKYSSFSIPAPPLQVEFIGHRQYIEFESRKSNEEQIVEALMDGDINLIGICGMGGVGKTMMARKVGKRMMEEMKFDEFVMVAASLTPDPQKIQQEIAEGLGLQLKEENPTLRANKLQRRLALKKILIVLDDIWARLDLEELGIAFALAKKCKVILTSRHRDACAQMEAQKIVVIEVLHQDEGWSLFKERAGSCVSDPELHPIARDVSRECSHLPLALTTVGRALRDKSQHSWEDARTQLRRAAPNNIPEVLKEVYQPLELSYKLLESNEAKSLFLLCSLFPEDYCIPLEFLIWYGFGLRVFENITSLEEARTRVYRLVEILEDRFLLDDAAEGRRKFSLVQYDKYVKMHHVLRDVAIYIANKEDTVLIMTNDVELPKEISYEHLACISIVSNALAMLPETLICPNLDVLRLECGNERLEIPKKFFYGMPGLVVLDMPGSYFHSLPPSLGVLKNLRVLYLDNHHVEEISIIGKLVNLEMLICFCRARVLPVEIGRLVNLRVLNLGDTCIQIVAPGIIASLTRLEEVYMINNNCRWEGAGGREGSNATLHELGTLPNLTALEIQILEPNLLHTNISFRSFPKKYFVFSSSYYLREGHLEKAMYLSLPLLAPLGNWVRVLLRTAQDLYLDDIGSKIAIDELVPKNFCFVKKLILQNCSKVEFLANTTMVPPESSVFPILESLCLLNLINFKKICNGPFPAGSMERLSHLEVIALPKLITLLEEPTQRVMLRNLQGILIDACPQLQNLFSLPMTRGLVKLRDLDIYDCEAMQEVFSNERESGIAAQKVTFPKLNNLRLQKLPNLTSFCQGVEDIEFPQLRYLEITNAPKFKSFCLSESSDDLEGHSLFNQQVKFDCLKRITLKGLDGVTDIGGCSFIELESLRIESCNNLTRLISPSVDKAFANLKEMEIGDCLKMEEVISNERRDSKMLLPKLEKLKIAHLPALRVFWQVERDLEFPLLKDLEIDDCPKMKAFTHGSLYTPSLNRLMINRKIINNLDINVALQHYAP >itb09g08200.t1 pep chromosome:ASM357664v1:9:4909551:4909937:1 gene:itb09g08200 transcript:itb09g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDLRDPFQITHTAVVRKLSSPNFPDQLTQGFHGENMGKRWMGKDGRSAIAHPPLQLGVSYGTSYSLGILPHRSQSNNYNGRGWILHCNRISREGHVVRAEILGIILPLLLGIAFLVLAEHKVMAFV >itb05g03060.t1 pep chromosome:ASM357664v1:5:2454215:2457816:-1 gene:itb05g03060 transcript:itb05g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MAEIKRLVTVAALQFACTDDVATNVATAERLVRAAHEKGANIILIQELFEGYYFCQAQREDYFQRAKPYKAHPTILRMQELAKDLGVVIPVSFFEEANNAHYNSVVVIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFETKFAKIGVGICWDQWFPETARAMALQGAEILLYPTAIGSEPQDDGLDSREHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPTGEIVALADDKEEAVLVAQFDLASIKSKRCSWGVFRDRRPDLYKVLLTLDGRNPSL >itb05g03060.t2 pep chromosome:ASM357664v1:5:2454219:2457804:-1 gene:itb05g03060 transcript:itb05g03060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MAEIKRLVTVAALQFACTDDVATNVATAERLVRAAHEKGANIILIQELFEGYYFCQAQREDYFQRAKPYKAHPTILRMQELAKDLGVVIPVSFFEEANNAHYNSVVVIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFETKFAKIGVGICWDQWFPETARAMALQGAEILLYPTAIGSEPQDDGLDSREHWKRVMQGHAGANLVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGIP >itb01g04610.t1 pep chromosome:ASM357664v1:1:3126774:3131293:-1 gene:itb01g04610 transcript:itb01g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVFFFFLVSSVISNLDFSVAVDTLATNQTLSDDSGTTLVSASQTFVLGFFSPGTSRYRYLGIWFGNVPEQTVVWVANNNNPIPDLSGVLSLTLMGDLIISNKNQANLVWRSNSSSPGIKNPVLQLLDNGNLVVKNGDSFVWQSFDYPGNTLLAGMKLGWNLKTKTEWYIKSWRNENDPSMDGFSSTYRLDILGLPTLELRKGSTVEFRSGTWDGSKFGRYSLADAYMGVFKATFVYNDETAYYMFQCLESSTISRLVVNQTGLLTFYIWNNKKNGWFNAEVIQGDQCDTYGTCGFNSICNANRLSPCDCLDGFQPVSPLEWESLQWSSGCVRGKPLNCSDEGFRKLSGIKLPDNSRLLGNRTSMRSSTDCEKECLGNCSCSAYAWAESVGCAVWYGDLKDMKWYYNEGQELYVRMPASELIGYSKKGRHQRALMSSLVSIIIGLVFLAITTWYCFHAMAARRKRRVIGNEESLELLGDSIELPMIGFDELGILTDGQEIAVKRLYSFSGQGTEEFKNEILLISKLQHRNLVRLLGCSIHGEEKLLIYEHMKNKSLDTLLFDPTKKVHLDWAKRFNIIQGIARGLVYLHRDSCLRIIHRDLKASNVLLDENMNPKISDFGLARAFRVTEELANTHRVVGTFGYMSPEYVMRGRFSEKSDVYSFGVLLLEVVSGRRNCEIHNNEDNYFSLLNHAWQLWIESREVDLIDESISNSCSFTEALRCIRIGLLCVQDHASDRPTMSNVVLMLCSETEIPQPKQPTFTFQRLLDSDPRSHSSRNEITVSMTEGR >itb13g23680.t1 pep chromosome:ASM357664v1:13:29611646:29613993:-1 gene:itb13g23680 transcript:itb13g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAGYATKGGYDIEAGVNGGLYPGMMESPQMRWAFIRKVYIIVFIQLFICTAVSVAMYFTPAVKTFMKTPQGLIALICLVILTFILSLVMGCVSNKHPWNYLFLFLFTVAMAGMVGVICVYKKGMPIMIAAGLTALIFVALTLYTFWAASRGSDFSFLGPFLICALLVLTVFGFMRVFIPMGNTGQLIYGCIGALVFSGFIIYDTDNLIKRFSYDEYIAAAACLFSDIINLFIAILNILEGAD >itb05g28100.t5 pep chromosome:ASM357664v1:5:31225198:31228824:1 gene:itb05g28100 transcript:itb05g28100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVRTDSSDYRVELLSPAPGVAGDSEILTRVPSWRLNFNEHSLPQRRANSHSGFGMILQSLRRQRKIMQYYKRQEELLKGFNEVDEFLELGYLPGALSEGEMKQADRREKIAIHASNIANFVLFVAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAHSMSKPNQYRYPIGKQRMQPVGIVVFASVMATLGLQILFESGRQLIMGSHPEKDAVKERWMIGIMVSVTMVKFVLMLYCRQFKNEIIKAYAQDHFFDVITNSVGLGTAVLAIHFFWWIDPVGAIIIALYTMSTWARTVMENVGSLIGRTAPAEYLSKLVYLAWNHHEDIKHIATARAYNFGSGRYFVEMDIVLPGETTLSRAHNIGESLEEKIEQLPEVERAFVHADFEAVHQPEHSQKKTNNK >itb05g28100.t3 pep chromosome:ASM357664v1:5:31225135:31228824:1 gene:itb05g28100 transcript:itb05g28100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYKRQEELLKGFNEVDEFLELGYLPGALSEGEMKQADRREKIAIHASNIANFVLFVAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAHSMSKPNQYRYPIGKQRMQPVGIVVFASVMATLGLQILFESGRQLIMGSHPEKDAVKERWMIGIMVSVTMVKFVLMLYCRQFKNEIIKAYAQDHFFDVITNSVGLGTAVLAIHFFWWIDPVGAIIIALYTMSTWARTVMENVGSLIGRTAPAEYLSKLVYLAWNHHEDIKHIATARAYNFGSGRYFVEMDIVLPGETTLSRAHNIGESLEEKIEQLPEVERAFVHADFEAVHQPEHSQKKTNNK >itb05g28100.t4 pep chromosome:ASM357664v1:5:31225135:31228824:1 gene:itb05g28100 transcript:itb05g28100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVRTDSSDYRVELLSPAPGVAGDSEILTRVPSWRLNFNEHSLPQRRANSHSGFGMILQSLRRQRKIMQYYKRQEELLKGFNEVDEFLELGYLPGALSEGEMKQADRREKIAIHASNIANFVLFVAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAHSMSKPNQYRYPIGKQRMQPVGIVVFASVMATLGLQILFESGRQLIMGSHPEKDAVKERWMIGIMVSVTMVKFVLMLYCRQFKNEIIKAYAQDHFFDVITNSVGLGTAVLAIHFFWWIDPVGAIIIALYTMSTWARTVMENVGSLIGRTAPAEYLSKLVYLAWNHHEDIKHIATARAYNFGSGRYFVEMDIVLPGETTLSRAHNIGESLEEKIEQLPEVERAFVHADFEAVHQPEHSQKKTNNK >itb05g28100.t1 pep chromosome:ASM357664v1:5:31225135:31228824:1 gene:itb05g28100 transcript:itb05g28100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYKRQEELLKGFNEVDEFLELGYLPGALSEGEMKQADRREKIAIHASNIANFVLFVAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAHSMSKPNQYRYPIGKQRMQPVGIVVFASVMATLGLQILFESGRQLIMGSHPEKDAVKERWMIGIMVSVTMVKFVLMLYCRQFKNEIIKAYAQDHFFDVITNSVGLGTAVLAIHFFWWIDPVGAIIIALYTMSTWARTVMENVGSLIGRTAPAEYLSKLVYLAWNHHEDIKHIATARAYNFGSGRYFVEMDIVLPGETTLSRAHNIGESLEEKIEQLPEVERAFVHADFEAVHQPEHSQKKTNNK >itb05g28100.t2 pep chromosome:ASM357664v1:5:31225135:31228824:1 gene:itb05g28100 transcript:itb05g28100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVRTDSSDYRVELLSPAPGVAGDSEILTRVPSWRLNFNEHSLPQRRANSHSGFGMILQSLRRQRKIMQYYKRQEELLKGFNEVDEFLELGYLPGALSEGEMKQADRREKIAIHASNIANFVLFVAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAHSMSKPNQYRYPIGKQRMQPVGIVVFASVMATLGLQILFESGRQLIMGSHPEKDAVKERWMIGIMVSVTMVKFVLMLYCRQFKNEIIKAYAQDHFFDVITNSVGLGTAVLAIHFFWWIDPVGAIIIALYTMSTWARTVMENVGSLIGRTAPAEYLSKLVYLAWNHHEDIKHIATARAYNFGSGRYFVEMDIVLPGETTLSRAHNIGESLEEKIEQLPEVERAFVHADFEAVHQPEHSQKKTNNK >itb04g00880.t2 pep chromosome:ASM357664v1:4:475558:482556:-1 gene:itb04g00880 transcript:itb04g00880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVVGFMILMYIFETYLDIRQHAALKLPTLPKPLVGVISQEKFEKSRAYSIDKSDFHFVHEFVTILMDSAILYFGILPWFWKKSGDFLVFLGLNTENEILHTLAFLAGVMFWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMIKSIIVAVVIGPPIVAAIILIVQKGGPYLAIYLWGFMFVLSLVMMTLYPILIAPLFNKFTPLPEGELRAKIENLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEVVAVLAHELGHWKLNHTTYSFIAVQILTFLQFGGYTLVRNSKDLFQSFGFETQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRAFEFQADAFAKKLGYGLPLRSGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEPDKKTD >itb04g00880.t3 pep chromosome:ASM357664v1:4:475938:482467:-1 gene:itb04g00880 transcript:itb04g00880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVVGFMILMYIFETYLDIRQHAALKLPTLPKPLVGVISQEKFEKSRAYSIDKSDFHFVHEFVTILMDSAILYFGILPWFWKKSGDFLVFLGLNTENEILHTLAFLAGVMFWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMIKSIIVAVVIGPPIVAAIILIVQKGGPYLAIYLWGFMFVLSLVMMTLYPILIAPLFNKFTPLPEGELRAKIENLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEVVAVLAHELGHWKLNHTTYSFIAVQILTFLQFGGYTLVRNSKDLFQSFGFETQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRAFEFQADAFAKKLGYGLPLRSGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEPDKKTD >itb04g00880.t1 pep chromosome:ASM357664v1:4:475558:482556:-1 gene:itb04g00880 transcript:itb04g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVVGFMILMYIFETYLDIRQHAALKLPTLPKPLVGVISQEKFEKSRAYSIDKSDFHFVHEFVTILMDSAILYFGILPWFWKKSGDFLVFLGLNTENEILHTLAFLAGVMFWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMIKSIIVAVVIGPPIVAAIILIVQKGGPYLAIYLWGFMFVLSLVMMTLYPILIAPLFNKFTPLPEGELRAKIENLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEVVAVLAHELGHWKLNHTTYSFIAVQILTFLQFGGYTLVRNSKDLFQSFGFETQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRAFEFQADAFAKKLGYGLPLRSGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEPDKKTD >itb05g25740.t1 pep chromosome:ASM357664v1:5:29984197:29987617:-1 gene:itb05g25740 transcript:itb05g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G26510) UniProtKB/Swiss-Prot;Acc:O65583] MEPDVVAAIKSSAENHFSLQAMTNASPEKVPLKVPFIVGVAGGTASGKTEVCNIIMSKFRNQRVVLINQESYYYPLNDEQIGRVQEYNFDHPDAFDMELLLSNLEKLRCGQAVSIANYDLKSHKSIEPARVVQPSDVIILEGILVLHDPRIRNLMNMKIFVDTDSDVRLTKRIQRDTLEWGRSIRSVLDQYDTFVKPCFEEFILPTKKYADIIIPRGAENHVAIDLILQHIRTKLGQHDLCKIYPNVFVINSTFQIRGMHTLIRNAKTAKHDFVFYADRLIRMVVEHGLGHLPFTEKQVITPTGSVYSGVIFCSSLCGVSVIRSGESMENALRACCKGIKIGKILILGEGKSGRQLIYEKLPSDIASRHVLLLDPVLASGNSAVKAISVLLSKGVAESNIIFLNLISAPQGLRVVCEKFPRIKIVTSEIDRSLNKDLSVIPGMGEFGDRYFGTL >itb07g08650.t1 pep chromosome:ASM357664v1:7:6936227:6940372:1 gene:itb07g08650 transcript:itb07g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFLLSAFCFLLGILLVSAEDHGDGSRSSIKIGVVLDLNSPTGAAINSSMSMALSDFYSSHLDYRTRLVLDTVDVDTELDAVSAVLQLLNTEEVHGIVGYEVSTEAFVAELGSKAHVPLISLTPRSLSHVNIASPYMIQITPDDSYQAQAIASICKQFEWLEVVILYEDNKYGNEFNSQLIKALQEVDTHIEYMISLPPSAQLDEISTQFNLMKSIQSRVFLVHVSTSMRSHIFHLAEISRMTIEGYAWIFTTDCLSNNLSSMDSTATSSWQGAVGIRPYVPNSENLGNFKARWKSNMFQVTNRETTAELNVYSLWSYDTTWALAMAIEKIYETMDGTFKKVCNGKSKGDAIDAVRLDTAYKSPHIMRSLRNEDALNLTFDFTNVRVSKFGAKLLREMLDMKFTGLSGEFKLVDYQLRPSAFEIFNVIGNGERTLGYWLPSKGITRGLDLNSEELKTVIWPGVSLSKPKGWGFPHTGKLKTGVPIKQGFTEFVKVEVDPSTNETKVAGFSIDVFQSVLEQLPVQLDYEFLPFVNESGHSLGSYDDLLHKVAEKNYDVVVGDTTILVDRASYVDFTLPYTESGVSMIVKINHQKNMWCFLKPLTWDLWVTAAASSIVLGLVVWALEHGTLKEKAVALFMSTETLSSNYSRFALIMWLFIVLILTTSYTARLSALLTVDKLDDFKISKDHYVGYQQGSFVREFLVTNLHLNDSKLRGYTTIEQYHHAMAKGGKQGGVDAIVDEIPYVKLFLHRYGSQYKRVGTTYKSGGFGFAFPRGSPLVSHFSRAILRLTQSENMSRIENKYFGAGSSSVDSFSLVSQGKSVGAHNFGALFILCFISTLAAVFSWILTEYRKKYASVYSKDPKVTAFDSTANDLMPCLKSSK >itb11g02440.t1 pep chromosome:ASM357664v1:11:1222627:1225637:-1 gene:itb11g02440 transcript:itb11g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSSVSAQAMESLILQLHDISAVKFGEFKLKSGILSPIYIDLRLIVSYPSLLRQISQTLTAIIPASASAYDLVCGVPYTALPIATCISTENNVPMLMRRKEVKDYGTAKAIEGAFSSGQVCLIIEDLVTSGASVLETAAPLRAAGLKVTDAVVMIDREQGGRENLAENGIRLHAMVKLTEMVRILKEKGRVSEETEKMVMKFLEENRKVAVPVPKVETKVKARVPFGERAKIAKNPTGKRLFEIMVQKETNLCLSADVSTAAALLDIAEKVGPEICMLKTHVDILPDFTPDFGSKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAAKIAEDHSDFVIGFISVNPASWPSPGNPGLIHATPGVQLVKGGDSLGQQYNTPHSVISERGSDIIIVGRGIIKAANPLEAAREYRLQGWDAYLSNCK >itb09g20870.t1 pep chromosome:ASM357664v1:9:17919147:17920283:1 gene:itb09g20870 transcript:itb09g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRCGAHLTPGTRQPKEANEPSNNRCLANVDLILSKPAHNSFPISLSGSGLRVSRSPFDAAHPFASASMPTATPFLHPRQPPLHFYIDAVRHAASTSTPVTGITPALSASSRLSSRLLRSPLHRRRLLQSASGRLSASPSSLPPAALRRWSSAALRLCRCLWSSA >itb01g26640.t1 pep chromosome:ASM357664v1:1:31745603:31753424:-1 gene:itb01g26640 transcript:itb01g26640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEFHCGRSSGYFVLLLLLIVQSVSGGGEGVIRVNYKFSGSERTLTALSAHDDMRHLRILAGVDLPIGGTGRPDSVGLYYAKIGIGTPPLDYYVQVDTGSDIMWVNCIGCSECPRRGYHGLELSFYNPKDSVTGELVLCNQKFCKDITSGSMPSCYGNTSCYYTELYGDGSYSMGYFVRDVVQYDQVSGDLQTKSSNGSVIFGCGATQSDDLSSSDDALDGVIGFGKSNSSMISQLASSGRVKKMFAHCLDGVNGGGIFAIGHVVQPKVNMTPLIPNQSHYNVNMTAIGIGREFLNLSSYVYMHGDSQGAIIDSGTTLAYLPEVIYDQLVKKILSWQPDLKLRTVNDEYTCFEYSDSVNDGFPAVTLYFQNSLTLKVLPQEYLFPYEDLFCIGWQNSGAQSRDRRNITLLGDLVLSNKLVLYDLESQAIGWTEYNCSSSIELKDEITGSVHLVGAHSISCGCGLGLKTALFLFLLISLLQNIVY >itb01g26640.t2 pep chromosome:ASM357664v1:1:31745622:31753424:-1 gene:itb01g26640 transcript:itb01g26640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEFHCGRSSGYFVLLLLLIVQSVSGGGEGVIRVNYKFSGSERTLTALSAHDDMRHLRILAGVDLPIGGTGRPDSVGLYYAKIGIGTPPLDYYVQVDTGSDIMWVNCIGCSECPRRGYHGLELSFYNPKDSVTGELVLCNQKFCKDITSGSMPSCYGNTSCYYTELYGDGSYSMGYFVRDVVQYDQVSGDLQTKSSNGSVIFGCGATQSDDLSSSDDALDGVIGFGKSNSSMISQLASSGRVKKMFAHCLDGVNGGGIFAIGHVVQPKVNMTPLIPNHQGAIIDSGTTLAYLPEVIYDQLVKKILSWQPDLKLRTVNDEYTCFEYSDSVNDGFPAVTLYFQNSLTLKVLPQEYLFPYEDLFCIGWQNSGAQSRDRRNITLLGDLVLSNKLVLYDLESQAIGWTEYNCSSSIELKDEITGSVHLVGAHSISCGCGLGLKTALFLFLLISLLQNIVY >itb07g22760.t1 pep chromosome:ASM357664v1:7:27276461:27277550:1 gene:itb07g22760 transcript:itb07g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDMVVDILSRLPVKSLMRFKCVSKFFYDLIKSDHHFMNKHYEISKAKTDCVLLEAYWDEAGWNAKQYYLLYKESEDNEIGCIYLDIPRTPTSRVVSVKCCKGMLCLISTKDFRLKPDEYLVYDILIWNPSIRKAEVLPSVTIPYRAPCDAGVYNHFGFGISNNMTWKVVILLDICSLDGSTIHQITMVYSKDHSDSWSLRQINPVISCRDISFNDFYLKGRHYWRAHERYYDDDEYFINDECLIWFDMNDEVFGTIELPSNLCTVSIMNETVALLTVIGMVWNRIMLEYHTSYL >itb08g03940.t2 pep chromosome:ASM357664v1:8:3165067:3167794:1 gene:itb08g03940 transcript:itb08g03940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEFQCKINDNEGESPQESCVQGGCAAAAPVVVGLQPAALVDHVARVDLGLLSQIPGECGGSFPVATEELKHILDKVNAYVLPTTEIPSPLKTIAGGSVANTIRGLAAGFGIQCGIIGAYGNDQQGKLFVSNMSSYKVDISRLRLKNGHTAQCVCLVDEHGNRTMRPCLSSAVKVQADELKREDFKGSKWLVLRYAILNFDVINAAVRIAKQEGLCISLDLASFEMVRKFRQPLIELLESRNIDLCFANEDEAKELLSEEDADPEVALEFMAKHCRWAVVTLGQNGCIAKHGNEVVRVPAIGESKASDATGAGDLFASGFLYGLIKGLSLEECCRVGSCSGGSVIRALGGEVTPANWQWMYKQMQAKGLSTPHPINHQKLF >itb08g03940.t1 pep chromosome:ASM357664v1:8:3164925:3168089:1 gene:itb08g03940 transcript:itb08g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEFQCKINDNEGESPQESCVQGGCAAAAPVVVGLQPAALVDHVARVDLGLLSQIPGECGGSFPVATEELKHILDKVNAYVLPTTEIPSPLKTIAGGSVANTIRGLAAGFGIQCGIIGAYGNDQQGKLFVSNMSSYKVDISRLRLKNGHTAQCVCLVDEHGNRTMRPCLSSAVKVQADELKREDFKGSKWLVLRYAILNFDVINAAVRIAKQEGLCISLDLASFEMVRKFRQPLIELLESRNIDLCFANEDEAKELLSSEEDADPEVALEFMAKHCRWAVVTLGQNGCIAKHGNEVVRVPAIGESKASDATGAGDLFASGFLYGLIKGLSLEECCRVGSCSGGSVIRALGGEVTPANWQWMYKQMQAKGLSTPHPINHQKLF >itb13g18350.t1 pep chromosome:ASM357664v1:13:25307900:25311800:1 gene:itb13g18350 transcript:itb13g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MSYRRDHRATRSALFDNYDSIEEGGIRASNSYSRDMDERDNDKAMDNLQDRVTFLKKLTGDIHEEVESHNRMLDKMGNEMDSSRGVMSRTMDRFKMVFEKKSSRKTCKLAGWFVLSFFLIYYIFRFLMYFMHG >itb13g18350.t2 pep chromosome:ASM357664v1:13:25307900:25311800:1 gene:itb13g18350 transcript:itb13g18350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MSYRRDHRATRSALFDNYDSIEEGGIRASNSYSRDMDERDNDKAMDNLQDRVTFLKKLTGDIHEEVESHNRMLDKMGNEMDSSRGVMSRTMDRFKMVFEKKSSRKTCKLAGWFLMYFMHG >itb03g08550.t4 pep chromosome:ASM357664v1:3:6416370:6421512:-1 gene:itb03g08550 transcript:itb03g08550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAALQLVKEGATVLLLDVPQSTLIGIDTQMFSSGPNFKGIKMIPPGVHFVYYSSSNREGNAFSPIIGFFIVASHSEVIVRKWDQKEERFVKLSEEDEERYGQAVRRLEFDRQLGPYMLNQYGDWRHLSNYITKAIIERLEPIGGEISVSSEPDSISNIPKTAMEKALAEQLRNSTFSRPVEKSERPVEKSERKGCYFTPIPRLIKHKGLSGHDLTNMNLDKILYVQTQVLETIIAKEYGGNENSLLGELQFSFIAFLMGQSLEAYLQWKLIASLFLGCTEAPLHTRSQLFTKFVQVIYYQLKFGFQKDDKNADIAEKGVMALLDESWLSDDSFLHILCKDFFSLLLEAPVIDGDLLSWTRKLKDLLEDSLGWDFEPNNAADELNFEDDEYAPVVEMIDDPGHSSMDTS >itb03g08550.t2 pep chromosome:ASM357664v1:3:6416370:6421512:-1 gene:itb03g08550 transcript:itb03g08550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAALQLVKEGATVLLLDVPQSTLIGIDTQMFSSGPNFKGIKMIPPGVHFVYYSSSNREGNAFSPIIGFFIVASHSEVIVRKWDQKEERFVKLSEEDEERYGQAVRRLEFDRQLGPYMLNQYGDWRHLSNYITKAIIERLEPIGGEISVSSEPDSISNIPKTAMEKALAEQLRNSTFSRPVEKSERPVEKSERKGCYFTPIPRLIKHKGLSGHDLTNMNLDKTQVLETIIAKEYGGNENSLLGELQFSFIAFLMGQSLEAYLQWKLIASLFLGCTEAPLHTRSQLFTKRKESWHCWMSLGYLMTVSCTSFARTSSHCYLRPL >itb03g08550.t1 pep chromosome:ASM357664v1:3:6416370:6421512:-1 gene:itb03g08550 transcript:itb03g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAALQLVKEGATVLLLDVPQSTLIGIDTQMFSSGPNFKGIKMIPPGVHFVYYSSSNREGNAFSPIIGFFIVASHSEVIVRKWDQKEERFVKLSEEDEERYGQAVRRLEFDRQLGPYMLNQYGDWRHLSNYITKAIIERLEPIGGEISVSSEPDSISNIPKTAMEKALAEQLRNSTFSRPVEKSERPVEKSERKGCYFTPIPRLIKHKGLSGHDLTNMNLDKTQVLETIIAKEYGGNENSLLGELQFSFIAFLMGQSLEAYLQWKLIASLFLGCTEAPLHTRSQLFTKFVQVIYYQLKFGFQKDDKNADIAEKGVMALLDESWLSDDSFLHILCKDFFSLLLEAPVIDGDLLSWTRKLKDLLEDSLGWDFEPNNAADELNFEDDEYAPVVEMIDDPGHSSMDTS >itb03g08550.t3 pep chromosome:ASM357664v1:3:6416370:6421512:-1 gene:itb03g08550 transcript:itb03g08550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAALQLVKEGATVLLLDVPQSTLIGIDTQMFSSGPNFKGIKMIPPGVHFVYYSSSNREGNAFSPIIGFFIVASHSEVIVRKWDQKEERFVKLSEEDEERYGQAVRRLEFDRQLGPYMLNQYGDWRHLSNYITKAIIERLEPIGGEISVSSEPDSISNIPKTAMEKALAEQLRNSTFSRPVEKSERPVEKSERKGCYFTPIPRLIKHKGLSGHDLTNMNLDKTQVLETIIAKEYGGNENSLLGELQFSFIAFLMGQSLEAYLQWKLIASLFLGCTEAPLHTRSQLFTKFVQVIYYQLKFGFQKDDKNADIAEKGVMALLDESWLSDDSFLHILCKDFFSLLLEAPVIDGDLLSWTRKLKDLLEDSLGWDFEPNNAADELNFEDDEYAPVVEMIDDPGHSSMDTS >itb04g00920.t1 pep chromosome:ASM357664v1:4:498574:499927:1 gene:itb04g00920 transcript:itb04g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFLSSESEQVREALENEYTTLNYGVRAMTINLPGFAYYNALKARKRLVAIFQSVVDARREKRRRNGNDINEKHKDMMDRLMEVKDENGKQLDDEEIIDVLVMYLNAGHESSGHVTMWAALLLQKHPEVFKKAKAEQEEIVRNMPRDQKGLTLKEIRKMEYLSNVVDETLRLVTFSQFVFREAKKDVNVSGYKIPEGWKVLVWFRGPHYDEEIYEDPFSFKPSRWDNFTPKAGTFLPFGAGSRLCPGNDLAKLEISIFLHYFLLGYELERKNLDCPLQYLPHTRPTDNCLGRIRKSVS >itb01g11260.t1 pep chromosome:ASM357664v1:1:9957569:9957934:1 gene:itb01g11260 transcript:itb01g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAKHVFLTGDSAGGLSAIIHCDRFSKRFPNANTVKCLSDGGFFLHSKDPAQAQEMESKFPGVVDLHNSTGLLPEACKNRMKDNKELCMLKADTPSRGLCINNCLISCIHPRPGIQKDSK >itb02g16790.t1 pep chromosome:ASM357664v1:2:12774638:12778199:-1 gene:itb02g16790 transcript:itb02g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMETKSPNPMANSLQSNNTTSAQNPQLPGTHNVMQNQVNSQGHPLSIPMVTNQAQTRQQMVSQNIQNNITSTGLQSSANMVPALPTGSNLAIPSVANVVNQSSGLQNIQASLDSTAQTGNANGADWQEEAYQKIKSMKDMHFMDLTDLHVKISTKLAQLNKSEVQLQHKERMVSIEKQILYFLNSNRPRKPVQQGQPQHTQTHDNQINPRMQSVNLQGSMATMQQNSLTTMQHSSLSSVSAVSGSQQNMINTLQHGTGVDLGQGSLQQNPVSGPQQINMSSLSSQRGANTLSTLQRSSNILQHEQQMLHNQQMRHQMQQQQQIKQSQTPLTAAHQMQQLHQISDSNDLKMRQQIAIKSGVLPQQHQSIGQRVASSPQLQLQQALSPQLSQHSSPQIDQQNILASLIKAGTPLQSASSPFVVPSPSTPLAPSPMPGESGIQSLPNAGSIGHQQATSASAPGQSLAIGTLGISASPLLAEFSSLDRAHANVSTVPGKSSVEQPLERLIKVASRMSPEAFSSSVSDISSVVIMIDRIAGSAPGNGSRAAVCHIY >itb01g30820.t1 pep chromosome:ASM357664v1:1:34685845:34697301:1 gene:itb01g30820 transcript:itb01g30820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKNKVKVVFRLQFHATHIPQTGWDKLFISFIPADSGKTTAKTTKASVRNGSCKWADPIYETTRLLQDVKNKEYDEKLYKIVVAMGSSRASILGEATINLAEHADASKPSVVALPLHGCNTGTILHVTVQLLTSKTGFREFEQQRELRERGLQSGVDNKPDDTGPGKVSVSRDAARGEMEKGSRRARFRPDAKELSSVEEVEEYGDMAVGFDGSSNASESFYAEKNDASSTQEINSVKSASFGDSNEPPNSQSPQPRQTTPSESQVVARGSNDSVHGWVSDCSMDNDLAIACDENNRLRGSLELAETSIFELKLEVSSLQSQANELGIEAEKFAHLLAAEISSGEELAKEVSVLKLECLKFKDDVERLQNLKASPQSTEKQNVHADCGRSVQEIQVKWIKGILLLEDRIRDLQNKTYLGFHEREHRLLQSELEVLQQILQDIKQGSENEISLLSIVPPVITDVKEVRDPFLQKTEHPLPGLGLDLDLCPPEDILHHFSIPSLVSQGPDSMGAVDAMKTKIFDLIRELDEAKFERESLVKKMDQMECYYEALVQELEENQKQMLTELQGLRSEHSTCIYTISSSKAEMESLRQDMNHQIVQLVDENRNLDAINKELEKRAASSEAALRRARMNYSIAVEKLQKDLELLSSQVVSMFQTNENLIKQAFLEPSMAEDLEYVNGLQYLESSDTTMQLQFHNQNLSTRKQSLCGDVLLEDLKKSLFLQEELYMKVEEDLNEMHSVNLYLDIYSKTLVETMLEADHSSVLMKKYMDELAQQLEFSNECRDQLMAKLQVALEDISILNEDKARCINKFNELVLQNQILVDKLEGISKENCLLTEKLMGVEAISAEYRNCLSKYEACLEEKAELSSLLEQGKLENGMLHTEISVLKEDLKIVESKLDNLVSSKENLQQNVSFVQGRLVNILESYGEQSIGTPPLGKAHHPDLDLNNLKGLLFQVEEIQHKSCSKILQLMEDNKCLEAEKHASEVSLSRASSEILAVKQKFKNNIQDMAAKLGTSNALVEKLQFELESVANKLHFTSEVEEKHAQQNRELLADLSLLEVELQNLASRNGHVVQEILGLDSLADEIGRSSSTIAELLQENKDLMMSLQGKTEESVKLASEISSLKENLRSLNGELHSEIGSKAVLQARVQDLMSQLNEKHGSLSDLEKRNSELLQENKDLMMSLQGKTEESVKLASEISSLKENLGSLNGELHSEVDSKAELQARVQDLMSQLNEKHDSLSDLEKRTTELLQENKDLMVSLQGKTEECVKLGSEINGLKEKLGSLHGELHSEVDSKAELQARVQDLMSQLNEKQGSLSDLEKRNTELIQENQDLMMSLQGKTEESVKLGSEISGLKENLRSLHDEMRSEKASKAAVEGRVQDLTFQLNEKCNCLLDLEKCNTKLLQEKQDLVMSLQGATEESSKLASEISCLKENLRSLHDELHTERDSKAELEGTMRDLTFQLNEKHSSLHSIEKQSASVIQEKQDLIASLQGKTEESVKLASEIISLKDNLRCLHDELNSQKSSNSELEGRLRDLTSKLNEEHLNLLDLEKLNTELAHFQEQASELEMEKSRLHHLLLQRDECIEKLKEDVSLLHALKSLELQMHESLIASDVKFTFAVNQYGTVVQGLAQQLELSDDCLGDLRKQCDDLQAKLNQDFAGKDHHIEENLKLLTALDAVRSDLEASLAQNKVLSDSNSAAKVRVEEYKNQITILEDGLSQAKHCHALEVEHLKDMLANAEEEICYLIASKEELGIMVTVLRSKLDEQIPHMTLLEKYQGEQLTLQSQYNELAHKLSQQVLKTEEFRNLSVHLKELKDKAEAECVLAREKRESEGPPVARQESLRMAFIKEQCETKVQELRQQLSISKRHGEDMLLKLQDAIDETESRKRSDALHSKRNEELALKLLGLESELQEVLSDKREITKAHDRIKTELECAVLSLECSKEEKEKLEVSLQECMGECSRLAAELSVIKQQLENVKSQSTHKEVKHGSDESTKPSSPNSSHQENLISPEKLEDACSNLTGESEDLTMLNRLQTAEGTMSVEGKLNSQHLSTEGLSSASVDFKNNHFVTQNLRASMEHLHEELERMKNENYLFPKDHHCDPDFQDSQRELVQLQKTNEDLRSMFPLFNEIATGGNALERVLALELELAEALKTKSKPNIFQSSFLKQHSDEEAIFKSFRDINAVIKEMLELKGRHAAMENELKDMHDRYSQLSLQFAEVEGERQKLKMTLKNLRGSRKLTQINRTSSSIPAENSS >itb02g16360.t1 pep chromosome:ASM357664v1:2:12273520:12274950:-1 gene:itb02g16360 transcript:itb02g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFEFKMAYPLEVGKFSSSSSPARQSQSRLLPPQLCASVSPQVRKSTPLPRRDAATPRLRLDVASPALPSGFDLLTASRMDE >itb15g01190.t1 pep chromosome:ASM357664v1:15:707348:716373:-1 gene:itb15g01190 transcript:itb15g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEEEDDGEISYSSRRSSVASGGNLRLGTAEVFGRSARREEEEELPWAAIERLPTFERMRKGMVKHAAVGGDGGLVAEEIDLTKLGKQEREVLMESILKGDEEDNDSFLLRFRERIDRVGVNIPKVEVRYEHLSVVGEAHVGHRALPTLANATMNAIESVLRMAHLAPSNRRSIQILKDVSGIVKPSRMTLLLGPPGAGKTTLLRALAGKLDDDLKKTGKITYCGHEFHEFVPQKTCAYISHLNLHHGEMTVRETLDFSGRCLGVGTRYELLAELSRREKQARIKPESELDAFLKSISVAGQKTSLVTDYIIKILGLDICADIIVGDEMRRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQIVKYMRQLVHIMDVTMVISLLQPTPETYDLFDDIILLSEGQIVYQGARENVLEFFENMGFKCPERKGTADFLQEVTSKNDQEQYWLRKEQPYRYISVAEFAEAFTSFHLGKQLAYELSVPYDKPKTHPAALVKRKYGISNWELLKACFSREWLLMKRNSFVYIFKTCQITILSIFALSVFLRTQMPYGRLQDGRKFYGALFYSLINVMFNGTVETAMTVMRLPVFFKQRDQLFYPAWAFCLPIWFLKIPLSFIESAIWIVFTYYSIGLAPSAGRFFCHFLTLFSTHQAALSLFRFIAAIGKTLVVSTTLSTFTLLLVFVLSGFIIAYNDIRPWMKWGYYASIMSYGENALVMNEFLDERWSAPNIYPKIQEPTVGKALLKARGFVTDNYWFWVCNGALWGFSLLFNALFIAALSILNPYGDSRAALLDEDVENKKNESFEGMIPQSRRGMVLPFQPLSLAFNHINYYVDMPSQMKSEGVNEDRLQLLRDVSGAFRPGVLTVLVGVTGAGKTTLMDVLAGRKTSGYIEGSISISGYPKNQTTFARVTGYCEQNDIHSPNLTVYESVIYSAWLRLSADINKNTREMFVEEVMGLVELNPIRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKKGGQMIYAGPLGCNSRKLIEYFEAVPGVPKIKDGYNPATWMLEITATAFEAQIGVDFAYIYANSSLYQRNQELVKELSTPPLGSKDLHFPTKYSQTFVEQCKACFWKQHWSYWRNPQYNAIRLFITIITGIFIGIIFWDKGDKVQKQQDLENIMGAIFSAIIFIGATNTNSVQAVVAVERTVFYRERGAGMYSALPYAFAQAGIEAIYVAVQTISYCLLLYSMIGFEWTAGKFIWFYYFMFMSFFYFTLFGMMLVALTPGVEFAAILMTFFLTFWNLFSGYLIPRTQIPVWWRWYYWATPISWTIYGLVASLLGDKESLVEVPGEGYVTIKQFLKDNIGFDYGFLPAVAVGHVGWVLLFSFVFAYGIMFLNFQKR >itb15g01190.t2 pep chromosome:ASM357664v1:15:707428:716373:-1 gene:itb15g01190 transcript:itb15g01190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEEEDDGEISYSSRRSSVASGGNLRLGTAEVFGRSARREEEEELPWAAIERLPTFERMRKGMVKHAAVGGDGGLVAEEIDLTKLGKQEREVLMESILKGDEEDNDSFLLRFRERIDRVGVNIPKVEVRYEHLSVVGEAHVGHRALPTLANATMNAIESVLRMAHLAPSNRRSIQILKDVSGIVKPSRMTLLLGPPGAGKTTLLRALAGKLDDDLKKTGKITYCGHEFHEFVPQKTCAYISHLNLHHGEMTVRETLDFSGRCLGVGTRYELLAELSRREKQARIKPESELDAFLKSISVAGQKTSLVTDYIIKILGLDICADIIVGDEMRRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQIVKYMRQLVHIMDVTMMPYGRLQDGRKFYGALFYSLINVMFNGTVETAMTVMRLPVFFKQRDQLFYPAWAFCLPIWFLKIPLSFIESAIWIVFTYYSIGLAPSAGRFFCHFLTLFSTHQAALSLFRFIAAIGKTLVVSTTLSTFTLLLVFVLSGFIIAYNDIRPWMKWGYYASIMSYGENALVMNEFLDERWSAPNIYPKIQEPTVGKALLKARGFVTDNYWFWVCNGALWGFSLLFNALFIAALSILNPYGDSRAALLDEDVENKKNESFEGMIPQSRRGMVLPFQPLSLAFNHINYYVDMPSQMKSEGVNEDRLQLLRDVSGAFRPGVLTVLVGVTGAGKTTLMDVLAGRKTSGYIEGSISISGYPKNQTTFARVTGYCEQNDIHSPNLTVYESVIYSAWLRLSADINKNTREMFVEEVMGLVELNPIRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKKGGQMIYAGPLGCNSRKLIEYFEAVPGVPKIKDGYNPATWMLEITATAFEAQIGVDFAYIYANSSLYQRNQELVKELSTPPLGSKDLHFPTKYSQTFVEQCKACFWKQHWSYWRNPQYNAIRLFITIITGIFIGIIFWDKGDKVQKQQDLENIMGAIFSAIIFIGATNTNSVQAVVAVERTVFYRERGAGMYSALPYAFAQAGIEAIYVAVQTISYCLLLYSMIGFEWTAGKFIWFYYFMFMSFFYFTLFGMMLVALTPGVEFAAILMTFFLTFWNLFSGYLIPRTQIPVWWRWYYWATPISWTIYGLVASLLGDKESLVEVPGEGYVTIKQFLKDNIGFDYGFLPAVAVGHVGWVLLFSFVFAYGIMFLNFQKR >itb03g05320.t1 pep chromosome:ASM357664v1:3:3662138:3663286:1 gene:itb03g05320 transcript:itb03g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSVLALALALLAVTATARPCKTFFFFSSTSYYPITTSVSQSSNLYPNFHAQNPRSLTLLFTSTRIRDVDWKFGSARPSFIFRDPFSGVEEHVEETESETEAEPKRLSSSASIIPMEFYSSVSSSIRDRTKDIMSVVGALLFGVGCGALTAATMYLIWSLLWPHGFDFEDSDDEFDDDDIAAQKKAGYVAIPTKVVDDDLNKPAPPPKEVV >itb08g14070.t1 pep chromosome:ASM357664v1:8:15685500:15686716:1 gene:itb08g14070 transcript:itb08g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGHNKTKQKRVGQTLLKIRDSILCLKQFEVGQNFPTLFSDDELGFDDDEDDKLIDRPKVAVSSPPKKEIKKAVKLMSAAPPTLSMSENIVGRQDKSMELKNLGHNKYHEAEKKGKRKIECMDFREPPKKHYCGDRNKVKPPAGGDVRRRLLSRMKAQHGN >itb08g14070.t3 pep chromosome:ASM357664v1:8:15686081:15687505:1 gene:itb08g14070 transcript:itb08g14070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPTLSMSENIVGRQDKSMELKNLGHNKYHEAEKKGKRKIECMDFREPPKKHYCGDRNKVKPPAGGDVRRRLLSRMKAQHDIDDKLYKCAFNFPIALEGFAKQISFMATTALDDKSPSVISWNMLYHAAVST >itb08g14070.t2 pep chromosome:ASM357664v1:8:15683793:15686338:1 gene:itb08g14070 transcript:itb08g14070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRGCAATRETMSRGTDVRDIVEVSNWARKFVRDIVEVSNWARKFERDIVEVSNWARNQNFPTLFSDDELGFDDDEDDKLIDRPKVAVSSPPKKEIKKAVKLMSAAPPTLSMSENIVGRQDKSMELKNLGHNKYHEAEKKGKRKIECMDFREPPKKHYCGDRNKVKPPAGGDVRRRLLSRMKAQHGN >itb02g04060.t3 pep chromosome:ASM357664v1:2:2415282:2418691:-1 gene:itb02g04060 transcript:itb02g04060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNTDTGFTRLCKGMAVVLVGGYTVVQIVPSALSYLALIPAKTIPFAWNLITAGYVEQSIYGVVVSTVGLLFIGKMLQPIWGAKEFLMFIFIVNFLTAVCVFITAISFYYITREESYLYLPISGFQGVLYGLLVGVKQIMPDQELPFLKLRAKWLPTLALLVSIAISFFTVDSLSYLPTLVFGTYIGWIYLRYWQRKPETKLKGDPSDEFAFSTFFPEFLRPVIDPIAAIFDRMLCGKRSEASNEETGYNLGVATLLPGSDPIEASRRRERGARALEERLAAEGLLGAGAKAEESQRDNVAILVSDNV >itb02g04060.t1 pep chromosome:ASM357664v1:2:2415255:2418704:-1 gene:itb02g04060 transcript:itb02g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNTDTGFTRLCKGMAVVLVGGYTVVQIVPSALSYLALIPAKTIPFAWNLITAGYVEQSIYGVVVSTVGLLFIGKMLQPIWGAKEFLMFIFIVNFLTAVCVFITAISFYYITREESYLYLPISGFQGVLYGLLVGVKQIMPDQELPFLKLRAKWLPTLALLVSIAISFFTVDSLSYLPTLVFGTYIGWIYLRYWQRKPETKLKGDPSDEFAFSTFFPEFLRPVIDPIAAIFDRMLCGKRSEASNEETGYNLGVATLLPGSDPIEASRRRERGARALEERLAAEGLLGAGAKAEESQRDNVAILVSDNV >itb02g04060.t2 pep chromosome:ASM357664v1:2:2415255:2418704:-1 gene:itb02g04060 transcript:itb02g04060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNTDTGFTRLCKGMAVVLVGGYTVVQIVPSALSYLALIPAKTIPFAWNLITAGYVEQSIYGVVVSTVGLLFIGKMLQPIWGAKEFLMFIFIVNFLTAVCVFITAISFYYITREESYLYLPISGFQGVLYGLLVGVKQIMPDQELPFLKLRAKWLPTLALLVSIAISFFTVDSLSYLPTLVFGTYIGWIYLRYWQRKPETKLKGDPSDEFAFSTFFPEFLRPVIDPIAAIFDRMLCGKRSEASNEETGYNLGVATLLPGSDPIEASRRRERGARALEERLAAEGLLGAGAKAEESQRDNVAILVSDNV >itb02g04060.t4 pep chromosome:ASM357664v1:2:2415255:2418507:-1 gene:itb02g04060 transcript:itb02g04060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNTDTGFTRLCKGMAVVLVGGYTVVQIVPSALSYLALIPAKTIPFAWNLITAGYVEQSIYGVVVSTVGLLFIGKMLQPIWGAKEFLMFIFIVNFLTAVCVFITAISFYYITREESYLYLPISGFQGVLYGLLVGVKQIMPDQELPFLKLRAKWLPTLALLVSIAISFFTVDSLSYLPTLVFGTYIGWIYLRYWQRKPETKLKGDPSDEFAFSTFFPEFLRPVIDPIAAIFDRMLCGKRSEASNEETGYNLGVATLLPGSDPIEASRRRERGARALEERLAAEGLLGAGAKAEESQRDNVAILVSDNV >itb11g15730.t1 pep chromosome:ASM357664v1:11:13551416:13552054:1 gene:itb11g15730 transcript:itb11g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQIKSRITGENLQIPINYWAVLLNQSSTKWALPDSATTQRHLYPSSKPSKVRNGAVRLRPKITDILKGKLILGAKLLQAGGIQKVFKKNFSTVRDDGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSDRSIKILSSTGKSMRMHYKVSIPIANIKRANESDNLKNPSEKYIQVVTEDHFEFWFMWFLHHQTTLKYLQDAISQAQYP >itb13g05510.t1 pep chromosome:ASM357664v1:13:6601755:6607669:1 gene:itb13g05510 transcript:itb13g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDGADRVRDLEIEIRDFALLAEDHIEIQLSNILLAQHPNDYTWFRATYELLHRTLGEAAKNATELLKILTREKESCVSEQEMASVALINLIPKLKYYFLLPFPTLYLGDETAMKSFFRNLSFLQRTSNNGGAAAIKIRNFALKAQNDIELQLSDYLVAKHTRHEGEVSQRLRQTLLEAAKIAAEFFHSILKEEQHEREREATFAALTSLRETIDDDDKAGIKSTLLENLSSLQLFLLQQSTKDTDLETEIRIFALKANHDIKIQLNNFSQEKDSRGLHQTLQEATAKATKLLNILTKEGENAREMASDALNTFMTKFYYDFLHPNPIVPLDNRAAMTSFFQNLSSLQDHLESSGAATINDLETEIRSFVLTAGDDIETQVKNLVQAKDDTDYQQNASQLNQTVEEAAGRAAKLLKIINSRSNEVDEANETQPSNTWLKHAASKSANVESDGSSHRFLKPEGRMVGRHHDCRMIKDQLFSSHFKQTIISIVGMVGIGKTTVARNVYEDPSVASYFDVRGWVTMPQDNNKSGMLSQLLQSITPKEPNVIKKGSTPHELEMQVRKCLRGRKYLIVLDNIMSNKATTCIRQCVPDDIDGSCILVTTRHFNRYDNWNSYIHNMTLLDPKESWELFCNILSIEEHLAPKLEKIRTRVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGILDRNAIIVSYDILPHYLKVCFLYFGVFPKRKKVLVKMLIRLWIAEGFVKPKPWNHNELEDEAYNYLQELIDRSLLLIEDQSSEGKIKSCRMHSALHSFCVGEAQKEGILCTLNTQHHPRLPLKEFANSCRWLSFYSHSFDYYVLLGTNIPRSIFFFHKNPEMFAPLKLLKVLAFDTSISLQRVPVQLGDLVFLRYLSITQWFQDLDDVVSNNPNLETLVVSGNGAPTVHLPPSIWKPPHLRHLELGNSYLVDPPSADKENLQTLSWVVRPLHCRREVYNKFPNIKNLKIFLKDDIEPNHIGGCCSYPIILDSFDYFKRLEKLRISVSIGCNVALPELDWFPSRLKKLKLSGTNISEGDLNVIAKFPNLMVLKLENAFHGILWEVAQKGFRKLRFLLIEAKELKQWVVSSSVDFMNLRHLVLRSYWYMLIEYLLKNFQARKLLVSSIDDLQNQQIPKGLARIYTLKSIELEGCGSSLVASAKQFQWDTGNSFVEVRSLSSQRTYSPFAIQNLGATTVETS >itb14g20250.t1 pep chromosome:ASM357664v1:14:22698407:22701316:-1 gene:itb14g20250 transcript:itb14g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKTLGFVVFFALAAVALGTMDPELQTCRHQCRVQMGFDQQQRQACLEKCERYIREKEGREGEHGGGEEERQGGDNNPYVFQPRHFQTAHRSQQGRFSVLPRFTERSNLFEGIENFRFGVLEVESKTMVAPSHQDADLVGFVAEGEGTLNIIWKNKRKSYDIRVGSIVFIPAGATTYLFNTDNNNKLVIIKLIQTISTPGRFQFFFGTGRNSFLNAFKSSILEAAYDESKETIEKVLGGRDDSPFIQVSDEQLKSLSKGDDTIWPFKTDKSSSKTVDIFKHKSVSNNYGQLFEVDNDDLKALGDIEVAFANITRGGMLGPLFNTRATKLAFVVDGNGWGQMACPHVSSQSSEHTQEGATPTYETMNAQLRPGTLFIIPPDHPYVTIASQDHNLQIVCFNINADENDERVPLAGKNNLYSNLDDTAKEIAFGVSSKLVDQVFEDDNDFELFSKGPEWQQREERADQ >itb03g12410.t1 pep chromosome:ASM357664v1:3:11604112:11607830:1 gene:itb03g12410 transcript:itb03g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCALRTHNPPVAIQNSLIYLKPLAKVRVFTVKCSTSSAAPSSGVVERPWKVADARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNYDDEESRQCFLAGLVIRSLSISTSNWRCTETLGDYLASRNIMGIYDVDTRAITRRLREDGSLIGVLTTEEHKTDEELLEMSRTWDIVGVDLISGVSCKAPYEWVDKTRSTWDFNINGRSQETYNVVAYDFGIKQNILRRLASYGCKITVVPSTWPAAETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPVFGICMGHQLLGQALGGRTFKMKFGHHGGNHPVRNLRNGSVEISAQNHNYAVDPKSLPEGVEVTHINLNDGSCAGLAFPQQKLMALQYHPEASPGPHDSDNVFGEFVQLMKKERGKA >itb07g00430.t1 pep chromosome:ASM357664v1:7:306098:308879:-1 gene:itb07g00430 transcript:itb07g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAARRSGSGLLEGLYRVIMRRNSVYVTFVIAGAFIGERAVDYGVKKLWEQNNVGKRYEDIPVLGQRPSE >itb14g17530.t1 pep chromosome:ASM357664v1:14:20755794:20757963:-1 gene:itb14g17530 transcript:itb14g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFKDSLKALEADIQLANTIALGHRRELDGACIQMRLAYSPASQFCLFLLQWFDCKVAGALGLLEVLVYMAYADGKTSMYIKERRASIREFYEIIFPSLQIQRGITDFEEMKQKEICSLRYSTKGKLSEIELEREAECGICLEPNSKVVMPDCRHSLCLRCFQEWRSRSQSCPFCRSNLRRVNSDDLWVCVEKSDTVELPLILREDWKRLYRYIENLPIILPDPRFTPYGSHLR >itb08g02390.t1 pep chromosome:ASM357664v1:8:1893153:1897388:1 gene:itb08g02390 transcript:itb08g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCKQKNDDDDGEEGPRGTPGTKDAVKSLTAQIKDIALKVSGSKSKSSTPTSSYRKGHRPYPDFDTISEDVPYTYQPGSSSSTPAWDFTNPAHHRTPRPQSRFVGGGDSVPQSGELVLEEDREPKEWTAQVEPGVQITFVSLPTGGNDLKRIRFSRDMFDKWQAQRWWGENYDRIMELYNVQRFNQQAFGTPSHSEAGRDSTYSRLSIRESPMIAPASRNYYKPSSSGYGGGGGPPMSSMEPSRMTTDSRDEPAVSVSNASEVDSEWIEEDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKTWWEQNRDRIQAQYL >itb07g06640.t1 pep chromosome:ASM357664v1:7:4772314:4774019:-1 gene:itb07g06640 transcript:itb07g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPDSIVKSKLVFFGDGDGYELEDLLRSTAGVLGKGTFGTSYKSELPEKSAVAVKRLKIGCLPVDEFTERVHEVGKMMTHENLLPLKAYCWHHTEILLVYDHMSMGSLAFRLHGNEGKNKASLNWEVRTSIAYGVANAIQSLHAQGSTICHGNIRSSNVFLTNFLEVRVSEFCLARLLSPDSKLDLVPGYRAPEVTGPHQVSHQSDVYSFGVLLLELLTGKAPLDAFARNNGVDLPNWVRAMFREKPICDVFDSTLAKAEGNAEQLVQLLQVAICCTFQYPNKRPSMAAVADQIKKTCSLWF >itb03g23440.t4 pep chromosome:ASM357664v1:3:21614203:21621412:1 gene:itb03g23440 transcript:itb03g23440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQYAEELVREFLVFRGFTKTLQAFEKELGTDIGKGFQVDSLLDLIFSVYIPKCQAENLVNLLHFFKQCFGSYEAELMSTLSKLEVSIIRYYIIHALQTGRKEKVMEFFNFHGNVLLQKHEDWALWFALPYHKNPQLDPEFRLYFSKEWINTLHLSVRNFLSKMLNSTRIPALLKISSEQNTVNRLKGDIKQLNLKISQLQALLEEKEIQLRQAKSNTSATTRVPTLGMSSSSNIKTGFQEQNVSSSPRFSHDICDPATSNIGERESSQYINDTKPAHSQELMSCMSKSERSNDFQNVQDTGSEMQGEEEFPEVRVDFQETFLGHTSPITRCRFSASGDNIASASVDGTVRIWTYEPSVSASRNATIYCGAEIMSLEWDCKSDRLLLIGTADGGIKAWNVDAKRVVCDLNSTKAFPSVLDLKCSPVEPIFVSAAASTRPGSTYFDKLGFASLTVWNMRTWKSMTVLPLGEDPPAITSLCFNHNGKLLAAAATDGMIHMFDMSAGLQITGWPAHDTAISSVLFGSDETSIFTLGADGKIFEWSLQNQGKVLWSRNCSSSLYKQEMALDANGNRLLTTSNSLRAPIYQILMLLGWRFHGWDENTTSHCIYNNSRLASDAAYFLDWICRSICSGHVIIVSAFVSPKFFCIHFTEDIYE >itb03g23440.t2 pep chromosome:ASM357664v1:3:21614203:21621502:1 gene:itb03g23440 transcript:itb03g23440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQYAEELVREFLVFRGFTKTLQAFEKELGTDIGKGFQVDSLLDLIFSVYIPKCQAENLVNLLHFFKQCFGSYEAELMSTLSKLEVSIIRYYIIHALQTGRKEKVMEFFNFHGNVLLQKHEDWALWFALPYHKNPQLDPEFRLYFSKEWINTLHLSVRNFLSKMLNSTRIPALLKISSEQNTVNRLKGDIKQLNLKISQLQALLEEKEIQLRQAKSNTSATTRVPTLGMSSSSNIKTGFQEQNVSSSPRFSHDICDPATSNIGERESSQYINDTKPAHSQELMSCMSKSERSNDFQNVQDTGSEMQGEEEFPEVRVDFQETFLGHTSPITRCRFSASGDNIASASVDGTVRIWTYEPSVSASRNATIYCGAEIMSLEWDCKSDRLLLIGTADGGIKAWNVDAKRVVCDLNSTKAFPSVLDLKCSPVEPIFVSAAASTRPGSTYFDKLGFASLTVWNMRTWKSMTVLPLGEDPPAITSLCFNHNGKLLAAAATDGMIHMFDMSAGLQITGWPAHDTAISSVLFGSDETSIFTLGADGKIFEWSLQNQGKVLWSRNCSSSLYKQEMALDANGNRLLTTSNSLRAPIYQVGGSMGGMRTLPHTASITTVDWHPMLPIFLTGSADQSVRVTSLL >itb03g23440.t3 pep chromosome:ASM357664v1:3:21614203:21621412:1 gene:itb03g23440 transcript:itb03g23440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQYAEELVREFLVFRGFTKTLQAFEKELGTDIGKGFQVDSLLDLIFSVYIPKCQAENLVNLLHFFKQCFGSYEAELMSTLSKLEVSIIRYYIIHALQTGRKEKVMEFFNFHGNVLLQKHEDWALWFALPYHKNPQLDPEFRLYFSKEWINTLHLSVRNFLSKMLNSTRIPALLKISSEQNTVNRLKGDIKQLNLKISQLQALLEEKEIQLRQAKSNTSATTRVPTLGMSSSSNIKTGFQEQNVSSSPRFSHDICDPATSNIGERESSQYINDTKPAHSQELMSCMSKSERSNDFQNVQDTGSEMQGEEEFPEVRVDFQETFLGHTSPITRCRFSASGDNIASASVDGTVRIWTYEPSVSASRNATIYCGAEIMSLEWDCKSDRLLLIGTADGGIKAWNVDAKRVVCDLNSTKAFPSVLDLKCSPVEPIFVSAAASTRPGSTYFDKLGFASLTVWNMRTWKSMTVLPLGEDPPAITSLCFNHNGKLLAAAATDGMIHMFDMSAGLQITGWPAHDTAISSVLFGSDETSIFTLGADGKIFEWSLQNQGKVLWSRNCSSFCNLQSSSLYKQEMALDANGNRLLTTSNSLRAPIYQILMLLGWRFHGWDENTTSHCIYNNSRLASDAAYFLDWICRSICSGHVIIVSAFVSPKFFCIHFTEDIYE >itb03g23440.t1 pep chromosome:ASM357664v1:3:21614203:21621502:1 gene:itb03g23440 transcript:itb03g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQYAEELVREFLVFRGFTKTLQAFEKELGTDIGKGFQVDSLLDLIFSVYIPKCQAENLVNLLHFFKQCFGSYEAELMSTLSKLEVSIIRYYIIHALQTGRKEKVMEFFNFHGNVLLQKHEDWALWFALPYHKNPQLDPEFRLYFSKEWINTLHLSVRNFLSKMLNSTRIPALLKISSEQNTVNRLKGDIKQLNLKISQLQALLEEKEIQLRQAKSNTSATTRVPTLGMSSSSNIKTGFQEQNVSSSPRFSHDICDPATSNIGERESSQYINDTKPAHSQELMSCMSKSERSNDFQNVQDTGSEMQGEEEFPEVRVDFQETFLGHTSPITRCRFSASGDNIASASVDGTVRIWTYEPSVSASRNATIYCGAEIMSLEWDCKSDRLLLIGTADGGIKAWNVDAKRVVCDLNSTKAFPSVLDLKCSPVEPIFVSAAASTRPGSTYFDKLGFASLTVWNMRTWKSMTVLPLGEDPPAITSLCFNHNGKLLAAAATDGMIHMFDMSAGLQITGWPAHDTAISSVLFGSDETSIFTLGADGKIFEWSLQNQGKVLWSRNCSSFCNLQSSSLYKQEMALDANGNRLLTTSNSLRAPIYQVGGSMGGMRTLPHTASITTVDWHPMLPIFLTGSADQSVRVTSLL >itb01g02570.t3 pep chromosome:ASM357664v1:1:1683078:1685932:-1 gene:itb01g02570 transcript:itb01g02570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLVLSNPRPLRPLPNPNRNLRLAFPLRTTTALKFSAKANSKPPPPPPPSTAEEKKSFAVATGELFIGLASRILKRQGVGSTEIINAEEGEERVTMFKDDSERESYLWKKRKEGIATVVEDPVQPEVLWEQSVKDVEAERRRKAVTSPGFSFSAAGLLFPYHLGVSQFLIEKGYIKDTTPLAGSSAGAIVCATIASGSSMQEALQATKELAKDCRLKGTAFRLGAVLRNILIETLPDDIHIRSNGRVRIAVTEILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPVTMFRNRVCIDGGLTLFMPPTSAPETVFYLFLFVFCKIRVVPSIICNIISRSATEVSILLSFH >itb01g02570.t1 pep chromosome:ASM357664v1:1:1683078:1685932:-1 gene:itb01g02570 transcript:itb01g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLVLSNPRPLRPLPNPNRNLRLAFPLRTTTALKFSAKANSKPPPPPPPSTAEEKKSFAVATGELFIGLASRILKRQGVGSTEIINAEEGEERVTMFKDDSERESYLWKKRKEGIATVVEDPVQPEVLWEQSVKDVEAERRRKAVTSPGFSFSAAGLLFPYHLGVSQFLIEKGYIKDTTPLAGSSAGAIVCATIASGSSMQEALQATKELAKDCRLKGTAFRLGAVLRNILIETLPDDIHIRSNGRVRIAVTEILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPVTMFRNRVCIDGGLTLFMPPTSAPETVRVCAFPASRLGLRGIGISPDCNPENRATSRQLFNWALEPAEDDILDMLFELGYADAAVWSEQNPVENLVQDHSSGLENSVEQ >itb01g02570.t2 pep chromosome:ASM357664v1:1:1683078:1685932:-1 gene:itb01g02570 transcript:itb01g02570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLVLSNPRPLRPLPNPNRNLRLAFPLRTTTALKFSAKANSKPPPPPPPSTAEEKKSFAVATGELFIGLASRILKRQGVGSTEIINAEEGEERVTMFKDDSERESYLWKKRKEGIATVVEDPVQPEVLWEQSVKDVEAERRRKAVTSPGFSFSAAGLLFPYHLGVSQFLIEKGYIKDTTPLAGSSAGAIVCATIASGSSMQEALQATKELAKDCRLKGTAFRLGAVLRNILIETLPDDIHIRSNGRVRIAVTEILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPVTMFRNRVCIDGGLTLFMPPTSAPETVRVCAFPASRLGLRGIGISPDCNPENRATSRQLFNWALEPAEDDILDMLFELGYADAAVWSEQNPVENLVQDHSSGLENSVEQ >itb06g03520.t1 pep chromosome:ASM357664v1:6:5899705:5905254:-1 gene:itb06g03520 transcript:itb06g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRGGLFGSIRGGLELLEGPKYDGKYLHKVLQERLGQTRLHQTLTRVVIPTFDIKNFQPLVFTNCEAEDSPQLDVKLSDICIGSSAAPTYFPAHYFENSDDKGNTFEFNLIDGGVCANNPTLVAISTVTQRMARKDNPDFAGTNPMDCQRFLVLSLGTGSSKYSQKYTAKMAANWGIIGWLTHGDGNPLIDVFSDASSDMVDYHISTIFHSLDAGDNYLRIQVDSLEGDVASVDVTTEENLKKLEEVGQNLLKKSVSKLDLLTGQYKPNDARGTNEEALKRFAKVLSENRKNRLKNEAKFSKM >itb14g08540.t1 pep chromosome:ASM357664v1:14:8057812:8059037:1 gene:itb14g08540 transcript:itb14g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLSTAAKRLEGKVAIVTGGVGGIGSTIAKLFCQHGAKVIIADTRDDESQSICNTLFVHCDVTSESDVQNAVNKAVSTHGRLDIMVNNAGIAGAKVLDILDCDATDFVNVFRVNTLGAFLGTKHAARVMKPVQRGSIINTASVAGIMGGLPHAYSCSKHAIVGLTKNTALDLGRYGIRVNCVSPYIIPTQMTRSYFGLAEDDKLDVHSNLKGVELMPEDVAEAVLYLASDESKYVSGHNLVVDAGFSISNLALNLFNQ >itb11g11580.t1 pep chromosome:ASM357664v1:11:8473221:8475959:-1 gene:itb11g11580 transcript:itb11g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MAAAEDQQPLNYIDEVVLKKRRNNEDWAIRRKLQLEQKVRKLKSDSFVIKKPEQFIREFRDKELDLVRLKQRSKSRRRVSVSPTSKLLFVIRIGGKNDMHSKTRKLLYSLRLRRVFHGVFLKANERTMGILKKVEPYIAYGYPNLKSVKDLICKKGTGKVDKQRVPLTDNNIIEKALGQSGIICLEDIIHEIANVGPHFKDVSGFLCPFTLNKPEKALQGKKKRFADGGDSGNREDHINELISMMN >itb11g11580.t2 pep chromosome:ASM357664v1:11:8473221:8475959:-1 gene:itb11g11580 transcript:itb11g11580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MAAAEDQQPLNYIDEVVLKKRRNNEDWAIRRKLQLEQKVRKLKSDSFVIKKPEQFIREFRDKELDLVRLKQRSKSRRRVSVSPTSKLLFVIRIGGKNDMHSKTRKLLYSLRLRRVFHGVFLKANERTMGILKKVEPYIAYGYVESISTCNAIQWVRLFVVLMIMISFFIRISKYQKGFTGW >itb03g08420.t1 pep chromosome:ASM357664v1:3:6290411:6290926:1 gene:itb03g08420 transcript:itb03g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMNPFKFNGAIKRAWRRRKYRRVEGTRKSVKVVRFGSKRSWRIKAVPKLRWKMLSPVKLWTRLKNAYVKMMLSFAGNVGYLGAGAGNGIEQKRIAGGKGKKMGYTTTEFENRLMYEIYKSLVPSMELYPMQ >itb04g30080.t1 pep chromosome:ASM357664v1:4:33290425:33291668:-1 gene:itb04g30080 transcript:itb04g30080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHFLVLLFLVIVLQCCSIRLAEAQAAGPAPSGPTNITKILEKAGQFTTFMRLLKITQVSDQINNQLNNSNQGLTVFAPTDNAFSGLKAGTLNSLTDQEKVELVQFHVLSSFFSMSQFQTASNPLKTQAGDSAGSFPLNVTTAGNQVNVSTGVVDSTVANTVYTDGQLAVYQVDKVLLPISLFGPPAPAAAPAPGKAKKKAKAADSPVSGEDASPTSSSGAVGVVDVHGMVAAVVIGVASIVFML >itb10g04620.t1 pep chromosome:ASM357664v1:10:4453260:4458189:1 gene:itb10g04620 transcript:itb10g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSYARNCLKRFCVDELQPKTETNYGFFSSDLLPSLGARINQTTKLRKFINSPFDPRYRAWEMFLVILVIYSAWISPFEFAFLTYKEDALFIIDNIVNCFFAIDIFLTFFVAYLDSQSYLLVDSPRKIAIRYLSTWFMFDVASTVPFQALSLLFTDHKTSGGLGFKLLSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYMIADRYPDPKNTWIGAAYPNFKEASLWVRYVTAMYWSIVTLTTTGYGDLHAENTREMLFDIFYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDSVKAASEFSKRNQLPPRIQDQVLAHICLKFKTEALKQQETLNALPKAIRSSISHHLFFPIVQNVHLFRGVSHDFLFQLVPEMEAEYFPPKEEVILQNEAPTDMYIMVSGAVELILSVDGHEQVFGRAASGELFGEIGVLFGRLQPFAVRTVEVSQILRVSRATLMNILHANPEDERIIMNNLLQKLQTLGNIGSEDNEKEPDLVFNNSVGGETQGERYYAQDSMQRCRCEMDTSLPDADGTMALHVAVCKGNLEVAKGLLEKGANVTRPDATGWTAKALAEHNGDKNMYDLLRSFEDRNKLEEHRIRFHGPETKPTRYRPPFCSYSSHRRPTCTSSGSSNCPMNAAEVSKSKKRVTIHIKLNSNESHQQFGKLINLPGSMEELFAVASQKFGVQSLTKVQNSENAEIDDFSVIRDGDHLNFLSE >itb10g04620.t2 pep chromosome:ASM357664v1:10:4453336:4457593:1 gene:itb10g04620 transcript:itb10g04620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLPPLSPTPLHFLPSFSASTCLKACLCLCSCTTKSDLLPSLGARINQTTKLRKFINSPFDPRYRAWEMFLVILVIYSAWISPFEFAFLTYKEDALFIIDNIVNCFFAIDIFLTFFVAYLDSQSYLLVDSPRKIAIRYLSTWFMFDVASTVPFQALSLLFTDHKTSGGLGFKLLSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYMIADRYPDPKNTWIGAAYPNFKEASLWVRYVTAMYWSIVTLTTTGYGDLHAENTREMLFDIFYMLFNLGLTSYIIGNMTNLVVHWTSRTRNFRDSVKAASEFSKRNQLPPRIQDQVLAHICLKFKTEALKQQETLNALPKAIRSSISHHLFFPIVQNVHLFRGVSHDFLFQLVPEMEAEYFPPKEEVILQNEAPTDMYIMVSGAVELILSVDGHEQVFGRAASGELFGEIGVLFGRLQPFAVRTVEVSQILRVSRATLMNILHANPEDERIIMNNLLQKLQTLGNIGSEDNEKEPDLVFNNSVGGETQGERYYAQDSMQRCRCEMDTSLPDADGTMALHVAVCKGNLEVAKGLLEKGANVTRPDATGWTAKALAEHNGDKNMYDLLRSFEDRNKLEEHRIRFHGPETKPTRYRPPFCSYSSHRRPTCTSSGSSNCPMNAAEVSKSKKRVTIHIKLNSNESHQQFGKLINLPGSMEELFAVASQKFGVQSLTKVQNSENAEIDDFSVIRDGDHLNFLSE >itb04g27330.t4 pep chromosome:ASM357664v1:4:31354797:31358367:-1 gene:itb04g27330 transcript:itb04g27330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSITDCPVLGCPPPYVYSLPSPTCFCVLPLLVQYRLKSPGFRDIRPYLNEFAWYLSSGLVLNLSQVHINSLALEPGPRWEMHFEFYPIFMDVNSSREFNKSEVLRLMGLFTGWLIPDNDLFGPYELLGFTLLGDYSNYIPPSTSSSGLSKGALAGIILGSIGGAVTLSAFVSLLILRLHMRKFRSATRRRQMSKISIKIDGVKEFSFEELIAATRDFNNSSVVGQGGYGKVYRGVLADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVALLGYCGEEGEQMLVYEFMPNGTLRDHLSVKSKDPLTFALRLRVALGSAKGILYLHTEADPPIFHRDIKASNILLDAKFTAKVADFGLSRLAPVPDLEGEVPNHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNVAYSSGMIFSVIDERMGSYPSECVEKFITLALKCCQDDTDSRPSMAEVVRELEAIWLMMPESDTRIRDSSSVTDSIKDVSSSSASAPMKNPYISTDVSGSDLVSGVVPTITPR >itb04g27330.t2 pep chromosome:ASM357664v1:4:31354773:31364006:-1 gene:itb04g27330 transcript:itb04g27330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRIALIEVSLIVWICWFMLVTGADDRVTHPDEVKALRSIRGSLIDPNRNLSNWRAGDPCASNWTGVLCYNDPLSDGYLHVRELQLLKMSLSGSLSPELGRLSYLKILDVMWNTIGGSIPKEIGNISTLELLLLNGNELTGPLPEELGNLPNLDRIQIDQNHISGPIPVSFANLNKTQHFHMNNNSLSGPIPPELSRLPQLLHLLLDNNNLTGSLPPELAELPKVLIIQLDNNNFDGSQIPESYGNMSHLLKMSLRNCSLQGPVPDLSKIANLTYIDLSSNQLTGPIPTNRLSGNITTIDLSNNNLNGSIPANFSELPRLQRLSLANNSLSGSIPSSIWQNRRLNSTESLFLDFQNNAFANISGSLIIPQNVTVRFQGNPLCSNANPLCGSSGNETDDGIMLKSITDCPVLGCPPPYVYSLPSPTCFCVLPLLVQYRLKSPGFRDIRPYLNEFAWYLSSGLVLNLSQVHINSLALEPGPRWEMHFEFYPIFMDVNSSREFNKSEVLRLMGLFTGWLIPDNDLFGPYELLGFTLLGDYSNYIPPSTSSSGLSKGALAGIILGSIGGAVTLSAFVSLLILRLHMRKFRSATRRRQMSKISIKIDGVKEFSFEELIAATRDFNNSSVVGQGGYGKVYRGVLADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVALLGYCGEEGEQMLVYEFMPNGTLRDHLSVKSKDPLTFALRLRVALGSAKGILYLHTEADPPIFHRDIKASNILLDAKFTAKVADFGLSRLAPVPDLEGEVPNHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNVAYSSGMIFSVIDERMGSYPSECVEKFITLALKCCQDDTDSRPSMAEVVRELEAIWLMMPESDTRIRDSSSVTDSIKDVSSSSASAPMKNPYISTDVSGSDLVSGVVPTITPR >itb04g27330.t3 pep chromosome:ASM357664v1:4:31354772:31361467:-1 gene:itb04g27330 transcript:itb04g27330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSLSGPIPPELSRLPQLLHLLLDNNNLTGSLPPELAELPKVLIIQLDNNNFDGSQIPESYGNMSHLLKMSLRNCSLQGPVPDLSKIANLTYIDLSSNQLTGPIPTNRLSGNITTIDLSNNNLNGSIPANFSELPRLQRLSLANNSLSGSIPSSIWQNRRLNSTESLFLDFQNNAFANISGSLIIPQNVTVRFQGNPLCSNANPLCGSSGNETDDGIMLKSITDCPVLGCPPPYVYSLPSPTCFCVLPLLVQYRLKSPGFRDIRPYLNEFAWYLSSGLVLNLSQVHINSLALEPGPRWEMHFEFYPIFMDVNSSREFNKSEVLRLMGLFTGWLIPDNDLFGPYELLGFTLLGDYSNYIPPSTSSSGLSKGALAGIILGSIGGAVTLSAFVSLLILRLHMRKFRSATRRRQMSKISIKIDGVKEFSFEELIAATRDFNNSSVVGQGGYGKVYRGVLADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVALLGYCGEEGEQMLVYEFMPNGTLRDHLSVKSKDPLTFALRLRVALGSAKGILYLHTEADPPIFHRDIKASNILLDAKFTAKVADFGLSRLAPVPDLEGEVPNHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNVAYSSGMIFSVIDERMGSYPSECVEKFITLALKCCQDDTDSRPSMAEVVRELEAIWLMMPESDTRIRDSSSVTDSIKDVSSSSASAPMKNPYISTDVSGSDLVSGVVPTITPR >itb04g27330.t1 pep chromosome:ASM357664v1:4:31354772:31364097:-1 gene:itb04g27330 transcript:itb04g27330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRIALIEVSLIVWICWFMLVTGADDRVTHPDEVKALRSIRGSLIDPNRNLSNWRAGDPCASNWTGVLCYNDPLSDGYLHVRELQLLKMSLSGSLSPELGRLSYLKILDVMWNTIGGSIPKEIGNISTLELLLLNGNELTGPLPEELGNLPNLDRIQIDQNHISGPIPVSFANLNKTQHFHMNNNSLSGPIPPELSRLPQLLHLLLDNNNLTGSLPPELAELPKVLIIQLDNNNFDGSQIPESYGNMSHLLKMSLRNCSLQGPVPDLSKIANLTYIDLSSNQLTGPIPTNRLSGNITTIDLSNNNLNGSIPANFSELPRLQRLSLANNSLSGSIPSSIWQNRRLNSTESLFLDFQNNAFANISGSLIIPQNVTVRFQGNPLCSNANPLCGSSGNETDDGIMLKSITDCPVLGCPPPYVYSLPSPTCFCVLPLLVQYRLKSPGFRDIRPYLNEFAWYLSSGLVLNLSQVHINSLALEPGPRWEMHFEFYPIFMDVNSSREFNKSEVLRLMGLFTGWLIPDNDLFGPYELLGFTLLGDYSNYIPPSTSSSGLSKGALAGIILGSIGGAVTLSAFVSLLILRLHMRKFRSATRRRQMSKISIKIDGVKEFSFEELIAATRDFNNSSVVGQGGYGKVYRGVLADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVALLGYCGEEGEQMLVYEFMPNGTLRDHLSVKSKDPLTFALRLRVALGSAKGILYLHTEADPPIFHRDIKASNILLDAKFTAKVADFGLSRLAPVPDLEGEVPNHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMHPISHGKNIVREVNVAYSSGMIFSVIDERMGSYPSECVEKFITLALKCCQDDTDSRPSMAEVVRELEAIWLMMPESDTRIRDSSSVTDSIKDVSSSSASAPMKNPYISTDVSGSDLVSGVVPTITPR >itb05g27390.t2 pep chromosome:ASM357664v1:5:30783691:30786213:-1 gene:itb05g27390 transcript:itb05g27390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVDSVDIPISGAEGSEAGILQNVQDSVIEVQVDNLGNDALKNEGNVTRGNDEHNLDHEQHNSHLESKNQDKILQEDLASRSSELRHLQEKRDLLKAAIKMSSCDQHPIDFYVKQLSDQVEARRQDLAKMEAQWDAQRIVLEEEKMRLEEALRATQPEVYVKFKKLEEIDKETECIIAEIKRREEEVCELSAELNKQPNLEPRKTYIQRIIEITKNSRKQDVDVDKILKDTRALQLESNSIQERLHRTYAVVDETIFRYEHPALLFPA >itb05g27390.t1 pep chromosome:ASM357664v1:5:30783231:30786265:-1 gene:itb05g27390 transcript:itb05g27390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVDSVDIPISGAEGSEAGILQNVQDSVIEVQVDNLGNDALKNEGNVTRGNDEHNLDHEQHNSHLESKNQDKILQEDLASRSSELRHLQEKRDLLKAAIKMSSCDQHPIDFYVKQLSDQVEARRQDLAKMEAQWDAQRIVLEEEKMRLEEALRATQPEVYVKFKKLEEIDKETECIIAEIKRREEEVCELSAELNKQPNLEPRKTYIQRIIEITKNSRKQDVDVDKILKDTRALQLESNSIQERLHRTYAVVDETIFREAKKDPAGKQAYRILTSIHDSFEQIAERLHDTDKARRERADIEAKLANMTSRSLDIEKLRADLDAVKNENDLLERKILSNSSKNLP >itb03g17750.t1 pep chromosome:ASM357664v1:3:16221550:16222548:1 gene:itb03g17750 transcript:itb03g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTKMTVFLVLLLQSCIVLASDPDPLHDYCIPIPEFSSIFPSCKNSSLVTVEDFVYSGIKNPGDFKNTGFASIPVNSAVFPGLHTLGMSFVRADFEAGGINVPHYHPRATETAFVLEGRVYSGFVDSSNRVFARVLEKGEVMVFPRGLVHFQMNVGDSPASILGSFNSQNPGSVKIPNVMFGSSIKEEVLMKAFGLNDKEVHKLRKRFVPQ >itb02g05960.t2 pep chromosome:ASM357664v1:2:3704238:3711656:-1 gene:itb02g05960 transcript:itb02g05960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKAEDKQEIAQDAPDAVTDIHAANNVLAENKDIVDNKTLSNLSHIDATEFPNKIISSSEDANTCMESENPEIFHPDAKSGEVPTIEGKGFIQPHCHSDDKIATYTTDIDPCDRPDYMGKDSSSQVSEEDEMSEELKNSVSGVELSSGNGTEVRGLIDELPRDGPVPKESSTVNGSREDEVKSEAKKELLPTEEAKQEYADALNVKEVLPTSQVNTGLPKPDMDVGHDSNMNLTPKSFLLDTNAVGVDESGTEEEQAAFMKELETFHKERCLEFKPPRFYGEPLNCLKLWRAVIRLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMRSGELPFADASFSEPSSTGNPGNQASGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKNPISTPKREKQLKSIGLLKRKKLSPVDNVAKATRLKIPKIHADIKAKCSTQYLQCFFPVYACRSESMVADIGAPADWVKVNVQRTNDCFEVYALVPGLLREEVRVQSDPAGRLVISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDI >itb02g05960.t3 pep chromosome:ASM357664v1:2:3704238:3711609:-1 gene:itb02g05960 transcript:itb02g05960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKAEDKQEIAQDAPDAVTDIHAANNVLAENKDIVDNKTLSNLSHIDATEFPNKIISSSEDANTCMESENPEIFHPDAKSGEVPTIEGKGFIQPHCHSDDKIATYTTDIDPCDRPDYMGKDSSSQVSEEDEMSEELKNSVSGVELSSGNGTEVRGLIDELPRDGPVPKESSTVNGSREDEVKSEAKKELLPTEEAKQEYADALNVKEVLPTSQVNTGLPKPDMDVGHDSNMNLTPKSFLLDTNAVGVDESGTEEEQAAFMKELETFHKERCLEFKPPRFYGEPLNCLKLWRAVIRLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMRSGELPFADASFSEPSSTGNPGNQASGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKNPISTPKREKQLKSIGLLKRKKLSPVDNVAKATRLKIPKIHADIKAKCSTQYLQCFFPVYACRSESMVADIGAPADWVKVNVQRTNDCFEVYALVPGLLREEVRVQSDPAGRLVISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDI >itb02g05960.t1 pep chromosome:ASM357664v1:2:3704213:3711659:-1 gene:itb02g05960 transcript:itb02g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKAEDKQEIAQDAPDAVTDIHAANNVLAENKDIVDNKTLSNLSHIDATEFPNKIISSSEDANTCMESENPEIFHPDAKSGEVPTIEGKGFIQPHCHSDDKIATYTTDIDPCDRPDYMGKDSSSQVSEEDEMSEELKNSVSGVELSSGNGTEVRGLIDELPRDGPVPKESSTVNGSREDEVKSEAKKELLPTEEAKQEYADALNVKEVLPTSQVNTGLPKPDMDVGHDSNMNLTPKSFLLDTNAVGVDESGTEEEQAAFMKELETFHKERCLEFKPPRFYGEPLNCLKLWRAVIRLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMRSGELPFADASFSEPSSTGNPGNQASGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKNPISTPKREKQLKSIGLLKRKKLSPVDNVAKATRLKIPKIQSESMVADIGAPADWVKVNVQRTNDCFEVYALVPGLLREEVRVQSDPAGRLVISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDI >itb02g05960.t5 pep chromosome:ASM357664v1:2:3705653:3711659:-1 gene:itb02g05960 transcript:itb02g05960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKAEDKQEIAQDAPDAVTDIHAANNVLAENKDIVDNKTLSNLSHIDATEFPNKIISSSEDANTCMESENPEIFHPDAKSGEVPTIEGKGFIQPHCHSDDKIATYTTDIDPCDRPDYMGKDSSSQVSEEDEMSEELKNSVSGVELSSGNGTEVRGLIDELPRDGPVPKESSTVNGSREDEVKSEAKKELLPTEEAKQEYADALNVKEVLPTSQVNTGLPKPDMDVGHDSNMNLTPKSFLLDTNAVGVDESGTEEEQAAFMKELETFHKERCLEFKPPRFYGEPLNCLKLWRAVIRLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMRSGELPFADASFSEPSSTGNPGNQASGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKVCEKGFALHVLKSSSLYIFVLKICREIMLSFIVSLFSHLLSRTRTQFQHQNARNNSRVLAC >itb02g05960.t4 pep chromosome:ASM357664v1:2:3704213:3711609:-1 gene:itb02g05960 transcript:itb02g05960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKAEDKQEIAQDAPDAVTDIHAANNVLAENKDIVDNKTLSNLSHIDATEFPNKIISSSEDANTCMESENPEIFHPDAKSGEVPTIEGKGFIQPHCHSDDKIATYTTDIDPCDRPDYMGKDSSSQVSEEDEMSEELKNSVSGVELSSGNGTEVRGLIDELPRDGPVPKESSTVNGSREDEVKSEAKKELLPTEEAKQEYADALNVKEVLPTSQVNTGLPKPDMDVGHDSNMNLTPKSFLLDTNAVGVDESGTEEEQAAFMKELETFHKERCLEFKPPRFYGEPLNCLKLWRAVIRLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRCFYEKALLEYEKYKMRSGELPFADASFSEPSSTGNPGNQASGSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKNPISTPKREKQLKSIGLLKRKKLSPVDNVAKATRLKIPKIQSESMVADIGAPADWVKVNVQRTNDCFEVYALVPGLLREEVRVQSDPAGRLVISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDI >itb15g21380.t1 pep chromosome:ASM357664v1:15:24085667:24101074:1 gene:itb15g21380 transcript:itb15g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVLHLSLILLLLSANPSGGSSSSSGIYGSGDSGFHFAAPVEEFDSDSLLFHQDYSPPAPAPPPPHPPSVSCEDDLGGVGSLDTTCKIVSDLNITKSVYIEGKGDLYILPDVTVNCTFAGCEIAINVTGNFKLDDNSTILAGTFQLVATNATFGNGSVVNTTGLAGSPPEQTSGTPQSLDGGGGGYGGRGAACLTDTKKLQEDVWGGDAYGWSTMQVPFSYGSKGGTSSRDVDYGGGGGGRLMLLVDRFLEVNGYLLADGGDGGTKGGGGSGGSIYIKAYKMTGIGEISACGGDGFAGGGGGRVSVDIFSRHEDPKIYAYGGSSRGCSENAGAAGTFYDNVPRTLTVNNHNKSTDTDTLLMDFPQPLLTNVYISNHAKATVPLLWSRVQVQGQISLLYYASLSFGLAKYSMSEFELLAEELLMSDSVIKVYGALRMSVKMFLMWNSKMLIDGGGDANVGTSMLEASNLIVLKETSLIHSNANLGVHGQGLLNLSGPGDCIEAQRLVLSLFYSIHVGPESVLRGPLRNVTTDALTPKLNCGTDDCPVELLRPPEDCNVNSSLSFTLQVCRVEDILVEGLIEGSVVHFHRARTITVKSYGNISTSGMGCTGGLGQGQILSNGLSGGGGHGGRGGNRCFNGSCAEGGISYGNTDLPCELGSGSGNDSLAGSTTGGGILVMGSSEHPLSSLFVEGSVKSDGDSYEGSLSGGYVHTEDLNVGPGGGSGGTILLFLHALDLGDSGILSSAGGDGSSVGGGGGGGGRIHFHWSDIPTGDVYQPIANVKGNIYASGGLGGKEGGIGENGTVSGKACPKGLYGIFCEPCPLGTFKNATGSDEDLCISCPADDLPNRAVYVSIRGGVTDLPCPYKCISERYHMPHCYTALEELVYTFGGPWLFGLLLLGVLILLALVLSVARMKFVGVDEPPGPAPTQQGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFIGSNTFSEPWHLPHTPPEQIKEIVYEGAFNTFVDEINAIASYQWWEGALHSILCVLAYPLAWSWQQWRQRMKLQRLREFVRSEYDHACLRSCRSRALYEGLKVNATPDLMLAYMDFFLGGDEKRSDLPPRLHQRFPMSLLFGGDGSYMAPFSLNNDNIITSLMSQCVPPTTWYRFVAGLNAQLRLVRQGCLKKTFRPVLRWLEGFANPALRVYGVHVELVWSQATTGSYIQYGLLVHSIGEVEQVPCEDLGVPRNELLCTSGIYGENPSDHLKEDNLSNQGGVVSLKRKTYGGILDINSLKMLKEKRDVFFVLSFLIHNSKPVGHQDLVGLVISMLLLGDFSLVLLTFLQLYSVSMANVFLVLFILPLAILLPFPAGINALFSHGPRRSAGLARIYALWNITSLINVVVAFICGYVQYRTQSSKKLPYFQPWNMGESVWWIFPFTLVLCKCIQSQLINWHVANLEIQDRSLYSNDFELFWQS >itb03g04110.t1 pep chromosome:ASM357664v1:3:2554211:2555057:-1 gene:itb03g04110 transcript:itb03g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMLIQLGILVFTLGIFYSIYNLPKRAFTRIRFKDRSDNQAHQHFIQGAQLLSRARSTRSKSTSLKFAKAAGGEADKALALDPRDPAALILKALVLDLMGHKTAALKSLDSALSPPGVKELSERERGDALFKRAELQVALNRRRRVASAVADLEQAVKLSPDNANAYCLLGRCYEIEGLKEEARRSLQHALKIEPDLASAREGMARLGPNCIV >itb05g14180.t1 pep chromosome:ASM357664v1:5:21244290:21247151:-1 gene:itb05g14180 transcript:itb05g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNEVRLNSGYTMPVFGFGTYSAENDRETTEKAVQMALQMGYRHFDTARIYGSEPWLGNALRKAISKGVIEREDIFVTSKLWSSDHTDPLSALNQTLLKLGMEYVDLYLVHWPVGLKKWANYPVPNEEDFDEEFDIEATWRGMEKCLQMGLCKSIGVSNFSTAKILNLLNFATVPPAVNQVEMHPMWRQRKLREVCGEHGIHVSGYSPLGGHGNAWGTTAVLDNPIIQSIASKHNATPAQVALKWSLSKGSSVIVKSFNSRRLKENIGAMDLNLDDQDLLDIEKMEERKVMRGEFLANGTSSPYRNIMELWDDEI >itb05g16610.t1 pep chromosome:ASM357664v1:5:23795836:23796748:1 gene:itb05g16610 transcript:itb05g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKTEMLMTTVALLIHIEASVVEALRIDVKSGSTKCIKEEMQLNTMTIGKYAVVNPFMDHDVPDTHKITVRVTSPFGQYYHYSVDTDHGNFAFTASEEGDHTICFRAADHTPPYTVSVEFEWKSGYATIDWSKIAKRRQIQVVEFELRKMFDTVLNIHDEMFYLRERGEEMQKLNRATNTKMATFSFLSLLVCLSVAALQIWHLKKFFERKKLV >itb04g29250.t1 pep chromosome:ASM357664v1:4:32697568:32699326:-1 gene:itb04g29250 transcript:itb04g29250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPVYLDHSNLHQLQQSAAGYHQQGTGLENPQISTLPVPAPVGAGQGSIRPGSMVDRARLARMPQPENGLKCPRCDSTSTKFCYYNNYSLSQPRHFCKTCRRYWTRGGAMRNVPVGGGCRRNKRSKKSGGAAAAVTEKQSGGGAGNSNNINTSTAAHISCPTSCGSAEISGNFSQPPLMAAFQAGLNHFGGFQPPQLVANENGGFGDMSFQLAAEPWRLPASLTAFDTPPPTNLFPFQNEAIEASSSRPTSEFPQLTSSVKMEDTQGLNSNSTKQFLGALGNNQFWGGAGGNTWTTGGFSGHLNSSSTSSSTTHLL >itb02g03080.t1 pep chromosome:ASM357664v1:2:1767881:1769635:1 gene:itb02g03080 transcript:itb02g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAQPQAQAAERSRQRRRPDLTLPLPQRDPKLAVPLPLPPSSAPSSTSSATAAAASFACPPPPLKFSELERINRIGSGSGGTVYKVLHRPSRRLYALKVIHGHHEDSVRLQMCREIEILRDVSNPNIVKCHDMSDHNGEIQVLLEFMDKGSLEGTHIAHEPSLADLTRQILAGLYYLHRRKIVHRDIKPSNLLINSQRMVKIADFGVSRILAATMDCNSSVGTIAYMSPERINSDLNHGQYNGYAGDIWSLGVSILEFYLGRFPFNGGRQGDWATLMCAICMSDPPEAPPTASRDFRNFIACCLQRDPARRWTAAQLLRHPFILQHSPGAGGNNLAIPTQVPQPSYQLLPPPRPHFSS >itb10g22780.t1 pep chromosome:ASM357664v1:10:27506280:27507986:-1 gene:itb10g22780 transcript:itb10g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMDCFNKMCIPLFLMAAMAATMVFGQGTQVGFYLTTCPTVETIVRSAVNSHFQSDPTVAPALLRLHFHDCFVRGCDASVLIDGPNAEKTFLFNQGLRGYEVIDDAKQQVEAACPGVVSCADILALAARDSVVLTNGPTWAVPLGRRDGLVSVASDASNLPAFTDSVDVQRQKFSDKGLNTQDLVTLVGGHTIGTSACQFFSYRLQSNFSANGPDPTIDPAFLATLQSLCQGGGSTRVALDNGSGNTFDTSYFDNLRNNRGVLESDQKLWNDNSTKPIVEGFLGIAGVAGLRFSVEFATSMVKMSNIEVKTGTDGEIRRVCSAFN >itb10g02560.t1 pep chromosome:ASM357664v1:10:2204915:2206910:1 gene:itb10g02560 transcript:itb10g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSLNLIFALALVFAMSASLATSRTLPDSSMVERHDQWMVQYGRVYKDEVEKSKRYKIFKENVEYIDAFNKAGTKSYKLGINAFADLTNKEFEASRNGYKLPHECSSNTLFRYENMSAVPSTVDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGITQLSTGKLISLSEQELVDCDVKGEDQGCEGGLMDDAFQFIINNKGLTTESNYPYQGTDGSCKKSKSSNSAAKISGYEDVPANSESALEKAVANQPVSVAIDASGMDFQFYSSGVFTGSCDTQLDHGVTAVGYGKADDGTKYWLVKNSWGTSWGENGYIRMQKDIEAKEGLCGIAMQASYPTATA >itb14g00190.t1 pep chromosome:ASM357664v1:14:134224:137058:1 gene:itb14g00190 transcript:itb14g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVRSLKTMWPVYLALTLGGAKVEKRDVKLGMILLGINICITVTSVVVFTWWRSRVMMREMCVKAALIKQKEATEEAERKSMSKSVAVANASHEVRTALAGITGLIQMCRADADASAAHSELNDNLRHMESCTNDLYSLLNSILDASRIEAGKMQVEEDEFDLQELLEDVVDLYYPLGMKKGVDVILDPCDESVEKFRRVRGDRGKLKQVLSNLLYNAIKFTDEGYVALRVWARKPSPRPPSQSPPKPKRASSSSSPIAILKGFCGVPAKTGGGEEEEEVNDWVLERKDGGIEYIFKVVDTGKGIPKEKRNTVFENYAQVKDMGRGKKHQLGHGLGLGIAQSLVRLMGGEIGIEDKETGERGTCFKFNIVLDNIVILESSSSHNNNINNTYSSAHHVVVFMHCEERGKIIGRFLESRGIKVSLVQKGHQQLSRKLKKIKRGALNLPRSTTTPSYYSSSSSSKEELEDETMPLHTNTCMVLIMIDTSAAGEALFPEVIKAVSEFHRDLQPGCVRVLWIDTTALSRDNNFQLPSTDLIMSKPLQGSRLHSVLGLLPDFASSSQLGEIQVVIEKEKEEEDEDNGGGSSSTEKKALTGKRILVVEDNPTLRKICTTVVSSLGALTYACTNGEEALQLVSSALQDHHHQPPFDYILMDCEMPIMDGFEATKRIKEEGKAMGIWIPIIALTAHTGKEEMDKVTEAGMDYYLSKPINAASLLTAIHFLDKSTTHL >itb12g09210.t1 pep chromosome:ASM357664v1:12:7255075:7257675:1 gene:itb12g09210 transcript:itb12g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRISIFPLLFHILCLLALNTAPLHANKEEAEALLQWKINGLSSSLLDSSWSVNNLTNVCNWEGIICNRGGSVSELNLPNGNIRGTLEPLRFSLLPNLTRINLKNNSLSGVIPVSVFSKLDSLEHLDLGNNFFQGPFPISLTNLSTLKFLRLSNNNLSGAIPEEIGSITSLQVLKLSKNSFQGKIPPSIGTLKHLKYLSLRRNQLNSMIPFELGLCTNLEYLKLGHNFLTGELPRSMSNLSKLVELRLSSNRLSGLLIADNKLNGHIPKCLGNNTNLKFLELNANELNGGIPESLCNACHLRFLTLAKCDAKNPPLHSKEEEEEEDSSFFNGFSWQSVTIGYCCAFPFGVCVGYFALRYGKFNWLLRKLTWKRGMGSNKAC >itb15g21650.t1 pep chromosome:ASM357664v1:15:24340525:24344385:-1 gene:itb15g21650 transcript:itb15g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQVEELTSGASGRIIPVFKNLRRSVSYDAFRRLIIFVRSIFLWIALLLFRYRLPAPSPPSSPSAAAAAAAAGKRRKFALQRDEEDTLRRRGLAESLEMVPSVGDGEESLRCEWSTFLFFGTRRNALFCRSWFPDSGELKGIMIIIHGLNEHGGRYAHFARQLNSCNFGVYAMDWTGHGGSDGLHGYVPSLDHVVTDTAAFLEKVKLENPGVPCFLFGHSTGGAVVLKASSQPSIEKMVEGVILTSPALRVKPAHPIVGAVAPFFSLVAPRYQFKGSHKRGIPVSRDPAALLAKYSDPLVYTGPMRIRTGHEILRITSYLMRNLKSVTVPFFVLHGTADRVTDPLASQDLYNEAPSMFKDIKLYDGFLHDLLFEPEHEEIAQDIIDWMNKKLKFKQY >itb12g26960.t2 pep chromosome:ASM357664v1:12:27609459:27611484:1 gene:itb12g26960 transcript:itb12g26960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRGFSLVMIFAIALFFAGAGAEIRTMEIRSDRRSIIPFDTFGFTAYGELNLSVTGISFSSPKSQPSPRISRLGFFYCTGDAWLHVLGKLRAGEIQCPLDSELTNKVFTFHTSTKNFASSVEVMEANQYTLLFANCEPDLEVSMNVQSVMYNLNPRTHRPDFLSAGKTPLPAIYFSLFLVYLILGPFGENSYRWKQVFLLVDILCCCAVLFPIVWSIKNLREAAKTDGKAAVNLMKLTLFRQYYIIVICYIYFTRVVVYALETVTSYRYQWTSVVASELATLAFYGFTGYNFRPKAHNPYFALDDREEEAAAEALKLQDEFEL >itb12g26960.t1 pep chromosome:ASM357664v1:12:27609457:27611523:1 gene:itb12g26960 transcript:itb12g26960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRGFSLVMIFAIALFFAGAGAEIRTMEIRSDRRSIIPFDTFGFTAYGELNLSVTGISFSSPKSQPSPRISRLGFFYCTGDAWLHVLGKLRAGEIQCPLDSELTNKVFTFHTSTKNFASSVEVMEANQYTLLFANCEPDLEVSMNVQSVMYNLNPRTHRPDFLSAGKTPLPAIYFSLFLVYLILGILWVYTLYKNRLSVYRIHFFMLAVLILKALNLLCEAEDKSYIKRTGSAHGWDVLFYIFSFMKGISLFTLIVLIGTGWSFLKPYLQDKERNVLIIVIPLQVVANVAQVVTDETGPFGENSYRWKQVFLLVDILCCCAVLFPIVWSIKNLREAAKTDGKAAVNLMKLTLFRQYYIIVICYIYFTRVVVYALETVTSYRYQWTSVVASELATLAFYGFTGYNFRPKAHNPYFALDDREEEAAAEALKLQDEFEL >itb05g04980.t1 pep chromosome:ASM357664v1:5:4650530:4651902:-1 gene:itb05g04980 transcript:itb05g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKMKLPSIFKTKADQMNAWQWPSCAHAKTLSFRADAGIFKTVNSVYLDPAAVDDGVDTPESCFTNTSESASFSTGESDDERIIVTGVRSDRLFFDRADTSSSIAAAAAAATPPENQENHEEEEEAPFAASVVMAMDSDDPYADFKKSMEEMVESQGMRDWESLQELMGWYLKMNGKVNHGFIVGAFVDLLIQLTSSSSSSSSSSPKTFHDFPSNVVSTSYSSALSTLSSPPTSPLSSLDLKEIHEQG >itb01g30430.t2 pep chromosome:ASM357664v1:1:34462839:34468480:-1 gene:itb01g30430 transcript:itb01g30430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSRQQRQPELFWPRVVVRKWLNISAQDSDYSADTQSDSDSDSDSDRDQDVCEWPRQSRFKDARGGEVGREANEEAVLRTRRRKSETFRAQYINTKEIRVCAGTWNFGGKVPPDDLDLDGWLDIDVPADIYVLGFQEIIPLNAGNIFGAEDSRPIPKWENIIRETLNKIPPVDKFKSYSEPSSPSRFKPSEGFPDIEEEIALEFDSDGEEEIVPLNEECNEFVEANNITMSGNDTVVNADVSASSGGHELTMPIKVGVERLFSSPKRLDRLNCLRTEESEENVEEMNIHYDKKLTKTLSGTEKIGLSWPETPLDLLPQCVIERANSFKSRKSFKASLSIGAYGSFKPTTYGQNRMQSDVASLAKVDLESLIKRKRRPSYVRIISKQMVGIFLTIWVRRSLRKHIQNLNVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFICTHLTSGDKDGDAVKRNADVLEIHRRTHFNTYSSTGLPKSINDHERIIWLGDLNYRINLSYEKTRELISKKDWPKLIENDQLSREFKKGHVFDGWSEGILRFPPTYKYEINSDKYHGEDPRPGRRTPAWCDRILSYGKGMRLLSYRRSELQLSDHRPVTATYMVEVEVFSARKLQRALTYTDAEIENEEVVTDIGTDSRMSRLMTEEDASLWGR >itb01g30430.t1 pep chromosome:ASM357664v1:1:34462839:34468480:-1 gene:itb01g30430 transcript:itb01g30430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSRQQRQPERSWAEILCFGCTCLQLFWPRVVVRKWLNISAQDSDYSADTQSDSDSDSDSDRDQDVCEWPRQSRFKDARGGEVGREANEEAVLRTRRRKSETFRAQYINTKEIRVCAGTWNFGGKVPPDDLDLDGWLDIDVPADIYVLGFQEIIPLNAGNIFGAEDSRPIPKWENIIRETLNKIPPVDKFKSYSEPSSPSRFKPSEGFPDIEEEIALEFDSDGEEEIVPLNEECNEFVEANNITMSGNDTVVNADVSASSGGHELTMPIKVGVERLFSSPKRLDRLNCLRTEESEENVEEMNIHYDKKLTKTLSGTEKIGLSWPETPLDLLPQCVIERANSFKSRKSFKASLSIGAYGSFKPTTYGQNRMQSDVASLAKVDLESLIKRKRRPSYVRIISKQMVGIFLTIWVRRSLRKHIQNLNVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFICTHLTSGDKDGDAVKRNADVLEIHRRTHFNTYSSTGLPKSINDHERIIWLGDLNYRINLSYEKTRELISKKDWPKLIENDQLSREFKKGHVFDGWSEGILRFPPTYKYEINSDKYHGEDPRPGRRTPAWCDRILSYGKGMRLLSYRRSELQLSDHRPVTATYMVEVEVFSARKLQRALTYTDAEIENEEVVTDIGTDSRMSRLMTEEDASLWGR >itb09g13570.t1 pep chromosome:ASM357664v1:9:8875588:8878944:1 gene:itb09g13570 transcript:itb09g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVSHAVQVITDSGYKLLSLVLDQRLGLLSNNCWVDNLTTLNFTVPKSKTDTGVLFSEIENLKATIFSAIIQHKLTKDSFPLPAESFTDSPLMDLEGWEILPDYGFLEIHDVDGGGGKKIFSTECYTDSININHELLTLPVDDHGVESPVVYDQAEQEVSQVFFKKMEAEFAGTAKIESPRSNSKSFVPKIEVGEAYRRKAMEGDQMDGGVHQQNGGVKIWRWSLNGIGAVCSFGVAAAAAATLCIIFIGNSQKHRHQNHKLCFQICTDDKRIKKAVNPAPKLDEAN >itb03g00800.t1 pep chromosome:ASM357664v1:3:438776:439075:-1 gene:itb03g00800 transcript:itb03g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAAANGGKRDMEGSLSVLWIPGGTPGMFSGKGRSMREMEWRTSERDLEKNGRLAGVVKMVTATPRRRRRRRRAKWSSGMVWPLDINGKRTTCGLGL >itb01g20990.t1 pep chromosome:ASM357664v1:1:27166191:27168164:-1 gene:itb01g20990 transcript:itb01g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSTVPLHTKHPSFLIPNHKRELNRQCSSQPWLSSSGRSAALSEGTEGGVTEKEPPDYSGSATSARAQLDLLQQLTSSSLDFNGYESDGGSSGLSIRDQLAKLFGERDDDFSVPLGKNLKKFTPKVLTISQKRNIKRQAYLDEVSQRNDSVFFATIGAFVILPPIIILGIAIATGYVQLFP >itb04g06520.t1 pep chromosome:ASM357664v1:4:4225099:4228523:1 gene:itb04g06520 transcript:itb04g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKKTIMFSFSVSICSQSICVFLLPFVLLIFFLPPSSPAPPNHNGLHRDSQLLLSFKATIFNQSPLQNWVSSKNPCSFTGITCKGSRVSSINLTDTMLSIDFGLVSTYLLSLENLENLVLKNTNLSGSLTFALKSQCSASLSYIDLAENSISGPVSDIYSLGLRCYNLKALNLSSNSMDPPGREVSAGGATIRLQSLDLSYNKISGQNIFGMVSPSDFPDLELLSLKGNKLSGKFWVLSFRNLSYLDLSANNFSENFPPFQDCSSLQHLDLSSNNFSGNIDASLSSCSNLSFLNLSNNKLSGELPVETLLNLTSLKTLVLSFNNFVGGLSKLFSSLVNLETLDLSSNNISGLIPSGICKDPKNRLKVLYLQNNMFTGPIPESLSNCTQLESLDLSLNYLKGKIPSSLGSLLKLKDLIIWLNQLEGEIPRELMYLQSLENLILDFNELSGSIPESLSNCTNLKWISLSDNLLSGAIPASLGRLSNLGILKLGNNSISGNIPAELGNCSSLSWLNLNTNFLNGSIPPALFKQSGKIATELHTGKQFGYFRNGGSEQCHGTGNLLEFSGITQDRLDRILARNPCNFTRVSKQIFDQYGSMIFLDLSYNKLGGSIPKELGSMHNLSVLNLGHNDLSGPIPQELGGLEYVGVIDLSHNQLNGSIPETLNNLSFLSGIDLSNNQLSGMIPCSGQLATFIGELFANNSGLSDCYHPHYGRESSSSSSSKPSRSKKKHYIIIPCAVIAGVLIGLVILLLVFRRGVEGREDNDEEEWSMISFQRLEFSKWEILAGLTDENLIGKGGSAKVYRVITRQGQSVAVKSIWDERKPDHGLEKQFLAEVKILGEIRHYSIVKLLCCIKGKNKRLLVYEYMDKQCLYKWLHGKKKGLTTQVLQWETRLKIAIGAAQGLCYMHHDCNPPIVHRDIKSSNILVDSEFNAKIADFGLAKILASQRDPETASAVVGTFGYIAPEYGNTRKVNVKSDIYSFGVVLLELATGKEAVTRDEDMNLAQWAHKHQRDGNSAVDVLDKEINDPRYLEAATTVFKLGLACTLSSPSSRPSMKDILQILQRCSENSHLLSHS >itb02g00340.t1 pep chromosome:ASM357664v1:2:258759:268929:1 gene:itb02g00340 transcript:itb02g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAAPSIEFKDSNQQNLNHAVGNSSNNGKGTQENEDEGENEHKDDAPNANYNGTEYEEDDEEEDVDFNPFQTEVICPEASSSLSSEVEGLDADVADSADNVSEVLKGYDGANSLGLPQDCLAGDTEYGEEIVMQTEASSGKLMEIETVSVTQTENETVNIKKNVSNRGTDCILELAPKESTTGANSKMAIMDKDDEDAICRRTRARYSLAGFTLDELETFLQETDDEDDIQNADDEEEYRKFLAAVLQGVDGSSTNAQENENVDDEDEDNDADFELEIEEALESDLDENMKDDIVEEYEAVDQRPKTRLSRRQKTSVEHKKKVSGESSRPLRPLLPYSPIASYSALAGKGLVPRPATFCLRPVNDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSICVLDPVRRDIASDIQKLISEMLCKRDEVLAQGRVPYPQFCFFPQHIHPSVAGEAPNVLPGQSTHGFSSASDMQKDCSSVQPSNRTSPSTGQVGYASNEQEGCLRTPEGSSWMPYIGDPTLSVLDVAPLKLVRKFMDDVLSVAQEYQRQPLGASNDVRAEKTPLFPACNIQQSAETDCHAPSSSSVDLISSSNVQIAKKTLAASLVERAKKESIALVPKEIAKLAQQFYPLFNPTLYPHKAPPAAVVNRVLFTDAEDKLLALGLMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRSSAKAPENPIKAVRRMKNSSLTAEEIARIEEGLRVFKLDWMSVWKFIVPYRDPSLLPRQWRIAIGTQKSYRSDANKKERRRLYESQRRKSKAAALASLHSSSEKMDNGIGKIVEDKNSADDCTDKDDEAYVHEAFLADSRAGVSVISATNPIPNLADESRPYPPEIGGSQVGERINESGCRDPLPQINQFPISLKSSNTEVFMRPYRARKSSTARVVKLAPQLPPVNLPPTARVMSQSAFKSYQGVVCTKVSGAKACQDVNATTDNGDLQVASAAKTGLNCSRRDVEVGSITAESNTSTRHHDDESEVLRDRQVVEEKDGPDLQMHPLLFRAPEDGQLLYYPLNSGPNAYSSFNFFPGSPPQLNLSLYRQTNRGFNFFNKALKPKGKASASCGLGFHPLLQRAADANCVSETANSVAQPSTYSELPRERLAQPPSSIDASRTESCMNNSLVAAHLKPTSPIGNYSEPDLEMHLSLTSRKQKALEKASRSISETEVRSPNTSTLNRMSSNLGSSAQALAVSNEKDIVNNVDDISGQSLPGIVMEQEELSDSEEEEEEEENVEFECEEMADSEGEISHSEQITGGQIEEAGRVASDEDSDDHVPVRRGISKENSCNPSQGNMTQLVLRDRERYSQPNSLCLNLNSFPPASPPSKLKDTTSGFVGKMQKPAGSKRSGVNVILDENSAKMEKPAANIILEELNLGSLASSLRKPRKRARRTDSVPNTGGSKKGIPSLNSDISIESSKKSNEGEID >itb02g00340.t4 pep chromosome:ASM357664v1:2:258759:267186:1 gene:itb02g00340 transcript:itb02g00340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAAPSIEFKDSNQQNLNHAVGNSSNNGKGTQENEDEGENEHKDDAPNANYNGTEYEEDDEEEDVDFNPFQTEVICPEASSSLSSEVEGLDADVADSADNVSEVLKGYDGANSLGLPQDCLAGDTEYGEEIVMQTEASSGKLMEIETVSVTQTENETVNIKKNVSNRGTDCILELAPKESTTGANSKMAIMDKDDEDAICRRTRARYSLAGFTLDELETFLQETDDEDDIQNADDEEEYRKFLAAVLQGVDGSSTNAQENENVDDEDEDNDADFELEIEEALESDLDENMKDDIVEEYEAVDQRPKTRLSRRQKTSVEHKKKVSGESSRPLRPLLPYSPIASYSALAGKGLVPRPATFCLRPVNDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSICVLDPVRRDIASDIQKLISEMLCKRDEVLAQGRVPYPQFCFFPQHIHPSVAGEAPNVLPGQSTHGFSSASDMQKDCSSVQPSNRTSPSTGQVGYASNEQEGCLRTPEGSSWMPYIGDPTLSVLDVAPLKLVRKFMDDVLSVAQEYQRQPLGASNDVRAEKTPLFPACNIQQSAETDCHAPSSSSVDLISSSNVQIAKKTLAASLVERAKKESIALVPKEIAKLAQQFYPLFNPTLYPHKAPPAAVVNRVLFTDAEDKLLALGLMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRSSAKAPENPIKAVRRMKNSSLTAEEIARIEEGLRVFKLDWMSVWKFIVPYRDPSLLPRQWRIAIGTQKSYRSDANKKERRRLYESQRRKSKAAALASLHSSSEKMDNGIGKIVEDKNSADDCTDKDDEAYVHEAFLADSRAGVSVISATNPIPNLADESRPYPPEIGGSQVGERINESGCRDPLPQINQFPISLKSSNTEVFMRPYRARKSSTARVVKLAPQLPPVNLPPTARVMSQSAFKSYQGVVCTKVSGAKACQDVNATTDNGDLQVASAAKTGLNCSRRDVEVGSITAESNTSTRHHDDESEVLRDRQVVEEKDGPDLQMHPLLFRAPEDGQLLYYPLNSGPNAYSSFNFFPGSPPQLNLSLYRQTNRGFNFFNKALKPKGKASASCGLGFHPLLQRAADANCVSETANSVAQPSTYSELPRERLAQPPSSIDASRTESCMNNSLVAAHLKPTSPIGNYSEPDLEMHLSLTSRKQKALEKASRSISETEVRSPNTSTLNRMSSNLGSSAQALAVSNEKDIVNNVDDISGQSLPGIVMEQEELSDSEEEEEEEENVEFECEEMADSEGEISHSEQITGGQIEVPFHYFFSILNSSILDKIPFLFLFLIS >itb02g00340.t5 pep chromosome:ASM357664v1:2:258759:268484:1 gene:itb02g00340 transcript:itb02g00340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAAPSIEFKDSNQQNLNHAVGNSSNNGKGTQENEDEGENEHKDDAPNANYNGTEYEEDDEEEDVDFNPFQTEVICPEASSSLSSEVEGLDADVADSADNVSEVLKGYDGANSLGLPQDCLAGDTEYGEEIVMQTEASSGKLMEIETVSVTQTENETVNIKKNVSNRGTDCILELAPKESTTGANSKMAIMDKDDEDAICRRTRARYSLAGFTLDELETFLQETDDEDDIQNADDEEEYRKFLAAVLQGVDGSSTNAQENENVDDEDEDNDADFELEIEEALESDLDENMKDDIVEEYEAVDQRPKTRLSRRQKTSVEHKKKVSGESSRPLRPLLPYSPIASYSALAGKGLVPRPATFCLRPVNDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSICVLDPVRRDIASDIQKLISEMLCKRDEVLAQGRVPYPQFCFFPQHIHPSVAGEAPNVLPGQSTHGFSSASDMQKDCSSVQPSNRTSPSTGQVGYASNEQEGCLRTPEGSSWMPYIGDPTLSVLDVAPLKLVRKFMDDVLSVAQEYQRQPLGASNDVRAEKTPLFPACNIQQSAETDCHAPSSSSVDLISSSNVQIAKKTLAASLVERAKKESIALVPKEIAKLAQQFYPLFNPTLYPHKAPPAAVVNRVLFTDAEDKLLALGLMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRSSAKAPENPIKAVRRMKNSSLTAEEIARIEEGLRVFKLDWMSVWKFIVPYRDPSLLPRQWRIAIGTQKSYRSDANKKERRRLYESQRRKSKAAALASLHSSSEKMDNGIGKIVEDKNSADDCTDKDDEAYVHEAFLADSRAGVSVISATNPIPNLADESRPYPPEIGGSQVGERINESGCRDPLPQINQFPISLKYCCYLYNPSLMRLLILHSPLNHVSFISDFRSSNTEVFMRPYRARKSSTARVVKLAPQLPPVNLPPTARVMSQSAFKSYQGVVCTKVSGAKACQDVNATTDNGDLQVASAAKTGLNCSRRDVEVGSITAESNTSTRHHDDESEVLRDRQVVEEKDGPDLQMHPLLFRAPEDGQLLYYPLNSGPNAYSSFNFFPGSPPQLNLSLYRQTNRGFNFFNKALKPKGKASASCGLGFHPLLQRAADANCVSETANSVAQPSTYSELPRERLAQPPSSIDASRTESCMNNSLVAAHLKPTSPIGNYSEPDLEMHLSLTSRKQKALEKASRSISETEVRSPNTSTLNRMSSNLGSSAQALAVSNEKDIVNNVDDISGQSLPGIVMEQEELSDSEEEEEEEENVEFECEEMADSEGEISHSEQITGGQIEEAGRVASDEDSDDHVPVRRGISKENSCNPSQGNMTQLVLRDRERYSQPNSLCLNLNSFPPASPPSKLKDTTSGFVGKMQKPAGSKRSGVNVILDENSAKMEKPAANIILEELNLGSLASSLRKPRKRARRTDSVPNTGGSKKGIPSLNSDISIESSKKSNEGEID >itb02g00340.t2 pep chromosome:ASM357664v1:2:258759:268929:1 gene:itb02g00340 transcript:itb02g00340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAAPSIEFKDSNQQNLNHAVGNSSNNGKGTQENEDEGENEHKDDAPNANYNGTEYEEDDEEEDVDFNPFQTEVICPEASSSLSSEVEGLDADVADSADNVSEVLKGYDGANSLGLPQDCLAGDTEYGEEIVMQTEASSGKLMEIETVSVTQTENETVNIKKNVSNRGTDCILELAPKESTTGANSKMAIMDKDDEDAICRRTRARYSLAGFTLDELETFLQETDDEDDIQNADDEEEYRKFLAAVLQGVDGSSTNAQENENVDDEDEDNDADFELEIEEALESDLDENMKDDIVEEYEAVDQRPKTRLSRRQKTSVEHKKKVSGESSRPLRPLLPYSPIASYSALAGKGLVPRPATFCLRPVNDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSICVLDPVRRDIASDIQKLISEMLCKRDEVLAQGRVPYPQFCFFPQHIHPSVAGEAPNVLPGQSTHGFSSASDMQKDCSSVQPSNRTSPSTGQVGYASNEQEGCLRTPEGSSWMPYIGDPTLSVLDVAPLKLVRKFMDDVLSVAQEYQRQPLGASNDVRAEKTPLFPACNIQQSAETDCHAPSSSSVDLISSSNVQIAKKTLAASLVERAKKESIALVPKEIAKLAQQFYPLFNPTLYPHKAPPAAVVNRVLFTDAEDKLLALGLMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRSSAKAPENPIKAVRRMKNSSLTAEEIARIEEGLRVFKLDWMSVWKFIVPYRDPSLLPRQWRIAIGTQKSYRSDANKKERRRLYESQRRKSKAAALASLHSSSEKMDNGIGKIVEDKNSADDCTDKDDEAYVHEAFLADSRAGVSVISATNPIPNLADESRPYPPEIGGSQVGERINESGCRDPLPQINQFPISLKYCCYLYNPSLMRLLILHSPLNHVSFISDFRSSNTEVFMRPYRARKSSTARVVKLAPQLPPVNLPPTARVMSQSAFKSYQGVVCTKVSGAKACQDVNATTDNGDLQVASAAKTGLNCSRRDVEVGSITAESNTSTRHHDDESEVLRDRQVVEEKDGPDLQMHPLLFRAPEDGQLLYYPLNSGPNAYSSFNFFPGSPPQLNLSLYRQTNRGFNFFNKALKPKGKASASCGLGFHPLLQRAADANCVSETANSVAQPSTYSELPRERLAQPPSSIDASRTESCMNNSLVAAHLKPTSPIGNYSEPDLEMHLSLTSRKQKALEKASRSISETEVRSPNTSTLNRMSSNLGSSAQALAVSNEKDIVNNVDDISGQSLPGIVMEQEELSDSEEEEEEEENVEFECEEMADSEGEISHSEQITGGQIEEAGRVASDEDSDDHVPVRRGISKENSCNPSQGNMTQLVLRDRERYSQPNSLCLNLNSFPPASPPSKLKDTTSGFVGKMQKPAGSKRSGVNVILDENSAKMEKPAANIILEELNLGSLASSLRKPRKRARRTDSVPNTGGSKKGIPSLNSDISIESSKKSNEGEID >itb02g00340.t3 pep chromosome:ASM357664v1:2:258759:268484:1 gene:itb02g00340 transcript:itb02g00340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAAPSIEFKDSNQQNLNHAVGNSSNNGKGTQENEDEGENEHKDDAPNANYNGTEYEEDDEEEDVDFNPFQTEVICPEASSSLSSEVEGLDADVADSADNVSEVLKGYDGANSLGLPQDCLAGDTEYGEEIVMQTEASSGKLMEIETVSVTQTENETVNIKKNVSNRGTDCILELAPKESTTGANSKMAIMDKDDEDAICRRTRARYSLAGFTLDELETFLQETDDEDDIQNADDEEEYRKFLAAVLQGVDGSSTNAQENENVDDEDEDNDADFELEIEEALESDLDENMKDDIVEEYEAVDQRPKTRLSRRQKTSVEHKKKVSGESSRPLRPLLPYSPIASYSALAGKGLVPRPATFCLRPVNDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSICVLDPVRRDIASDIQKLISEMLCKRDEVLAQGRVPYPQFCFFPQHIHPSVAGEAPNVLPGQSTHGFSSASDMQKDCSSVQPSNRTSPSTGQVGYASNEQEGCLRTPEGSSWMPYIGDPTLSVLDVAPLKLVRKFMDDVLSVAQEYQRQPLGASNDVRAEKTPLFPACNIQQSAETDCHAPSSSSVDLISSSNVQIAKKTLAASLVERAKKESIALVPKEIAKLAQQFYPLFNPTLYPHKAPPAAVVNRVLFTDAEDKLLALGLMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRSSAKAPENPIKAVRRMKNSSLTAEEIARIEEGLRVFKLDWMSVWKFIVPYRDPSLLPRQWRIAIGTQKSYRSDANKKERRRLYESQRRKSKAAALASLHSSSEKMDNGIGKIVEDKNSADDCTDKDDEAYVHEAFLADSRAGVSVISATNPIPNLADESRPYPPEIGGSQVGERINESGCRDPLPQINQFPISLKSSNTEVFMRPYRARKSSTARVVKLAPQLPPVNLPPTARVMSQSAFKSYQGVVCTKVSGAKACQDVNATTDNGDLQVASAAKTGLNCSRRDVEVGSITAESNTSTRHHDDESEVLRDRQVVEEKDGPDLQMHPLLFRAPEDGQLLYYPLNSGPNAYSSFNFFPGSPPQLNLSLYRQTNRGFNFFNKALKPKGKASASCGLGFHPLLQRAADANCVSETANSVAQPSTYSELPRERLAQPPSSIDASRTESCMNNSLVAAHLKPTSPIGNYSEPDLEMHLSLTSRKQKALEKASRSISETEVRSPNTSTLNRMSSNLGSSAQALAVSNEKDIVNNVDDISGQSLPGIVMEQEELSDSEEEEEEEENVEFECEEMADSEGEISHSEQITGGQIEEAGRVASDEDSDDHVPVRRGISKENSCNPSQGNMTQLVLRDRERYSQPNSLCLNLNSFPPASPPSKLKDTTSGFVGKMQKPAGSKRSGVNVILDENSAKMEKPAANIILEELNLGSLASSLRKPRKRARRTDSVPNTGGSKKGIPSLNSDISIESSKKSNEGEID >itb03g04270.t1 pep chromosome:ASM357664v1:3:2668765:2670384:-1 gene:itb03g04270 transcript:itb03g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYENEHPVKAFGWAARDTSGVLSPFKFSRRETGEKDVQFKIMYSGVCHSDLHQLKNEWGNTTYPIVPGHEIVGVVTEVGIKVEKFKVGDKVGVGCLVGSCGKCENCASDLENYCPGCVPTYNAYGTVTFGGYSDIMVADEHFVVRWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASKKEEAIERLGADSFLISRDQEQMQVTMFFRKHYIDGINF >itb03g04270.t2 pep chromosome:ASM357664v1:3:2668765:2670384:-1 gene:itb03g04270 transcript:itb03g04270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYENEHPVKAFGWAARDTSGVLSPFKFSRRETGEKDVQFKIMYSGVCHSDLHQLKNEWGNTTYPIVPGHEIVGVVTEVGIKVEKFKVGDKVGVGCLVGSCGKCENCASDLENYCPGCVPTYNAYGTVTFGGYSDIMVADEHFVVRWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASKKEEAIERLGADSFLISRDQEQMQAAMNTLDGIIDTVSANHPVVPLLGLLKTNGKLVMVGIPEKPLDLPVFPLIMGRKLVAGSGIGGMKETQEMLDFSSKHNITPDVEIIPMDYVNTALERLVKADVKYRFVLDVGKTLKSE >itb06g07410.t1 pep chromosome:ASM357664v1:6:10938972:10942207:-1 gene:itb06g07410 transcript:itb06g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPDFFQPPTHYTSQFTPDKRHPSAAAASDCKNNNAADHFVVEDLLDFPNDDTMLADDAALAAATDSSSATACNSSSFSAATQFAPDIAACRNHLADAPFSGEFFVPYEDVAELEWLSNFVEESFSSEDLQKLQLISGMKAGNEEESSQSQSQTHHFQPEPNPAATFRPEMAAAVPAKARSKRSRAAAPCNWTSRLLVSLSPSTDNATTTTPAISSSSESDMTTSSSGKKTAAPKKKDAAAAAAAVGSNGEGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLEIRRQKELVQAQQHHHQFLHQKMMFDVSNGDDYLIHHQHIGPHFRHLI >itb06g18690.t1 pep chromosome:ASM357664v1:6:22297410:22303381:-1 gene:itb06g18690 transcript:itb06g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQVGLLRELGKIDLQVGLGRNILKSVRTSSSLGLDFNRDKRGRESYRRIERGEAEVSETLSALSFTGNRNRHPPTAMDAIRKQLDVLMGANRNGDVREVDRNYFDRDVCRLYLSGLCPHDLFQLTKMDMGPCPKLHSLELRKEYEEAKSKGVDNYDRDLEDVIDRLIVECDRKITRSLKRLDEEDAKAAIAISVSEVTQHTEEVLELSKQIKQKLKEVDQYDLEGKTDMKIRVLEEVEELRTKRADKQAMLLLDAFNKDRASLPQPLQNPPQLAPLPAAAADPRIQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARPEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDRWCYNVSLSSIEILSLYDLYLKNEVAGTVKGNQAGIEVKAVNKGGIMIVRTESVIGTMTEIAATIGSMIGRGSTLATMILGVGADHGQDP >itb06g09450.t1 pep chromosome:ASM357664v1:6:13745698:13749056:-1 gene:itb06g09450 transcript:itb06g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] MAGKGMQALEWKKDGFSSNSQLGFQWSLQDANFCPGGLFACVGQMGGNMSVGVSSPNPSDSRDENGGFKLPYFDLYAKYISSLEEEVGAKKKKPRLKLKIKVSNPSLRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGHSTTEVFHSIMAHEGWTGLFRGNLVNVIRVAPSKAIELFAYDTVNKNLSAKPGEQPKLPIPASLVAGACAGVSSTLVTYPLELVKTRLTIQRGVYEGLLDAFIKILKEGGPGELYRGLTPSLIGVIPYAATNYFAYDTLRKSYTKFFKQERIGNIETLLIGSMAGAISSSATFPLEVARKHMQVGAVSGRQVYKNVIHALATILEQEGIAGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEKNDEE >itb06g09450.t2 pep chromosome:ASM357664v1:6:13746205:13748674:-1 gene:itb06g09450 transcript:itb06g09450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] MAGKGMQALEWKKDGFSSNSQLGFQWSLQDANFCPGGLFACVGQMGGNMSVGVSSPNPSDSRDENGGFKLPYFDLYAKYISSLEEEVGAKKKKPRLKLKIKVSNPSLRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGHSTTEVFHSIMAHEGWTGLFRGNLVNVIRVAPSKAIEAVTILDLNFHVLFAYDTVNKNLSAKPGEQPKLPIPASLVAGACAGVSSTLVTYPLELVKTRLTIQRGVYEGLLDAFIKILKEGGPGELYRGLTPSLIGVIPYAATNYFAYDTLRKSYTKFFKQERIGNIETLLIGSMAGAISSSATFPLEVARKHMQVGAVSGRQVYKNVIHALATILEQEGIAGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEKNDEE >itb11g05840.t1 pep chromosome:ASM357664v1:11:3472244:3475283:-1 gene:itb11g05840 transcript:itb11g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVNNGSSPPPTIQFPVSRFINPADHRHRRHEHGQEDPVDKRKVMDEMDFFADKSKDENAGRKDLHAPTELDFSINTCLRLLTSNTSSDDQSMVEDRLPSDCEKNGNKTELVAVKAELERMSAENRVLRDMLSQVSDNYTNLQTQMMSLMQRQKDNHHNHGKIDEEDNSNSGGIKFPRIFMDLGLATRRSNDGAVDEANLSSSPEGQNVRERSSRSPTSREESPEKGSSSGWGPNKVPRLDHGPESSSVDQATEATMRKARVSVRARSDAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAAKMLLSGSIPSADGLMNPNILARTLFPCSSSMATISASAPFPTVTLDLTQTPNPNPLQFPTRQPNNPQFPLPCSTNPAATAIFNQALYNQSKFSGLQLSQDLENHQSSQNPQLIDTLNSLTGDPNFTTAAIAAAITSIIGNSSNPATVTNGASNNANNGGSKSTNSSVPAN >itb12g27530.t1 pep chromosome:ASM357664v1:12:27872106:27877480:1 gene:itb12g27530 transcript:itb12g27530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKEESGKLLALLNAGEDQQRPLEEIVADFKSKFPPSSHFNACVSLALILEGKFLKLSQRLVAFAILYRVYSSQEPSSNPFISLLVNAASEEEADKCERAFILQLLNSANSKEVLRQSATDYMKSFEPSRHTFPQRGQLKEQYVGKALPEPFSCLFKSNLVKNTIPDPDLPHGCDSSSPEFDLQPGSKPKIGSGDINETITGLLSNLSLEGLGPQWIRPRPPRLPVLDGELVWLNPDYNYELLWDHGMCADTSRGAAVRDLIAKALRGPLAPTQQEKVLLELANDPKLVYHCGLTPRKLPELVENNPVIAVEVLVKLVNSPEIAEYFTVLVNMEMSLHSMEVVNRLTQAVDLPTEFIHMYITNCISSCENIKDRYMQNRLVRLVCVFLQSLIRNKIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKNLEEGKQPDYVDCLKS >itb12g27530.t3 pep chromosome:ASM357664v1:12:27872106:27877480:1 gene:itb12g27530 transcript:itb12g27530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKEESGKLLALLNAGEDQQRPLEEIVADFKSKFPPSSHFNACVSLALILEGKFLKLSQRLVAFAILYRVYSSQEPSSNPFISLLVNAASEEEADKCERAFILQLLNSANSKEVLRQSATDYMKSFEPSRHRGQLKEQYVGKALPEPFSCLFKSNLVKNTIPDPDLPHGCDSSSPEFDLQPGSKPKIGSGDINETITGLLSNLSLEGLGPQWIRPRPPRLPVLDGELVWLNPDYNYELLWDHGMCADTSRGAAVRDLIAKALRGPLAPTQQEKVLLELANDPKLVYHCGLTPRKLPELVENNPVIAVEVLVKLVNSPEIAEYFTVLVNMEMSLHSMEVVNRLTQAVDLPTEFIHMYITNCISSCENIKDRYMQNRLVRLVCVFLQSLIRNKIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKNLEEGKQPDYVDCLKS >itb12g27530.t2 pep chromosome:ASM357664v1:12:27872118:27877480:1 gene:itb12g27530 transcript:itb12g27530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFEPSRHTFPQRGQLKEQYVGKALPEPFSCLFKSNLVKNTIPDPDLPHGCDSSSPEFDLQPGSKPKIGSGDINETITGLLSNLSLEGLGPQWIRPRPPRLPVLDGELVWLNPDYNYELLWDHGMCADTSRGAAVRDLIAKALRGPLAPTQQEKVLLELANDPKLVYHCGLTPRKLPELVENNPVIAVEVLVKLVNSPEIAEYFTVLVNMEMSLHSMEVVNRLTQAVDLPTEFIHMYITNCISSCENIKDRYMQNRLVRLVCVFLQSLIRNKIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKNLEEGKQPDYVDCLKS >itb12g10880.t1 pep chromosome:ASM357664v1:12:8962469:8964967:1 gene:itb12g10880 transcript:itb12g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSWVRIFILVALLFPAIVECRVRHYKFNVVMKNMTRLCSTKPTVTVNGKFPGPTIYAREEDTVLIKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTISGQRGTLFWHAHVLWLRSTVHGALVILPKLGVPYPFPKPDHEAVVLLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPVTNCPSQGGYRLGVAPGKTYMLRVINAALNEELFFKIAGHKMTVVEVDATYVKPFKTDTIVIAPGQTTNVIITADQASGQYMVAASPFMDAPIAVDNQTGTATLHYTGVQTTLATTLTRIPPKNATPVANNFVDSLRSLNSKTFPAKVPQTVDHSLLFTVGLGINPCPSCKPANGSRVVASVNNVTFVMPTTALLQAHFFGIKGVFTTDFPGNPPFAFNYTGTPPANLQTSSGTKLYRLPYNATVQLVLQDTGIVAPENHPIHLHGFNFFAVGRGIGNFNPKTDPKKFNLVDPVERNTIGVPAGGWVAIRFHADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPKDLPKC >itb15g13240.t1 pep chromosome:ASM357664v1:15:11206365:11208871:1 gene:itb15g13240 transcript:itb15g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGPFHNRRLKRATHPSQRTSITLFRQRVKMSKGSSGVVKGGKKKGATFVIDCSKPVDDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKTKITVTSDSNFSKRNRNNGMLKKLNELTILCGVDAAIIMYNSFESGPVIWPSAGEVLQRIAGFLNLPYVEQTRRMMSHESFVEQRIHKLNTKLLKVKKDNREREMNALMHKIFIGERTIDSLSSIDLNDLGWILNSNLAKIDNKAEEIMRHSSTLASSTLALAQTLAAFLPSAHFFTTASPFVVGHGTSNVVAPADVLSRYQGTGMQTGPNYGGPWSRYSQNP >itb01g06510.t1 pep chromosome:ASM357664v1:1:4717248:4719043:-1 gene:itb01g06510 transcript:itb01g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIAERWRVLSGSDNWKDLLEPLDSDLRRYLIHYGTMISPAVDAFIDEPASKNLGLSRYARRNLFENTGLVKGNPFKYEVTKYFYATSQLSLGAIGYTLKQSNWNGYVAVATDEGKAALGRRDIVIVWRGTIQPLEWVDDFIFLFVNAPLIFGQNSDPLVHMGWYYMYTSINQDSEFNKTSARDQIREEVARLVELYKDEEVSITVIGHSLGSSMATLNAVDLAANPININNNKVVPVTAFMYASPRVGDENFKKAFSNQQNLRALRISDANDKVSKVPPFGWKVGETESSKKDYEEVGVALEIESKKSYYLKPDEGISYHDLMLYLHGIDLYQTPQGTFERKGEFDLAKVNKYQDALSVDYHIPIAWFNIEDKGMVQQDDGNYILDDHEPDELDMMF >itb05g06720.t1 pep chromosome:ASM357664v1:5:7479341:7480177:-1 gene:itb05g06720 transcript:itb05g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTALPSILLILALAFTVATTVVANHPSPPPSAEAILMKKCSEVLGSRRPLVVRFCAHDFLGHRAALLATCDRRKTVAVVIKEVHNKAKAVEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEEPSTESIMMLKKALPGEVAKTKEKCDYTVPGRQNGLWLEIRIKELESISADIVASAFVDHLYSTTH >itb01g33480.t1 pep chromosome:ASM357664v1:1:36604626:36608067:-1 gene:itb01g33480 transcript:itb01g33480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MESPKPSVGPSSSPLLSLSAFVHQHCARLGADLSTRLDEAKRFGSKLAASFPVPLPSAGSRFQPSHSSLPFASVSQKPGPGSALSSDRIAKTLLGTSVYTVSNANNEFVLISDPNSAKSIGLLCFRKEDAEAFRAQVRSRRREVKGEVKVVPITLDQVYMLNVEGIAFRFLPDPVQLKNALELKAKSTTSGFDGVPVFQSDLLVVKKRNRRYLPIFFRKEDIEKELLTVSRASRGGSGVSQHIMVGSLEDVLTKMQKSGKNSGWEDLVFIPPGKSHSQHIQDIMKP >itb01g33480.t2 pep chromosome:ASM357664v1:1:36604626:36608067:-1 gene:itb01g33480 transcript:itb01g33480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MESPKPSVGPSSSPLLSLSAFVHQHCARLGADLSTRLDEAKRFGSKLAASFPVPLPSAGSRFQPSHSSLPFASVSQKPGPGSALSSDRIAKTLLGTSVYTVSNANNEFVLISDPNSAKSIGLLCFRKEDAEAFRAQVRSRRREVKGEVKVVPITLDQVYMLNVEGIAFRFLPDPVQLKNALELKAKSTTSGFDGVPVFQSDLLVVKKRNRRYLPIFFRKEDIEKELLTVSRASRGGSGVSQHIMVGSLEDVLTKMQKSGKNSGWEDLVFIPPGKSHSQHIQDIMKP >itb14g15720.t1 pep chromosome:ASM357664v1:14:19034035:19035597:-1 gene:itb14g15720 transcript:itb14g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWFPFIACEIFTGEIDVILKTLVEEEELMNLLFSFLESIRPHSALLAGYFSKVVVCLMLRKTIPLMNYVKVGTNAGHA >itb14g01700.t1 pep chromosome:ASM357664v1:14:1396823:1406102:1 gene:itb14g01700 transcript:itb14g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLDKVVDDVASKAVSELVQFVAKNFNLVVGIESEIIDLTSDIETFNARLVDASKIPRAKELQVMKVIVKKFRAVVDEAQDAVDKYLAEKKNHEEKTFTKCLDKVPHLGKVNASASEIQTIRTKVNAIFQNHEKDLLFVMKYPNNSPSHFEQTRPVVEKDKVLGFDDDLITIKDRINIEASNDFIVIPIVGRAGSGKTTFATKVFEGQDVLNNSFHRIWVHVSQRFDSKQKLIDIIHQIKNHTNDYSSTLIDRLKDEIRRLLTDLKYFIVLDDVWERNVWESLKEALPKNMNGSRVLVTTRYDHVVDSEWKPHYLTELSEHDSWLLLKKNVFGEEECDTKLFEEHGKTIAKRCNGLPLAIRVIAGILCKHRTIADWERVAKNPFLEMNREGQSYHQVVMLSYEDLPHERLKNCFLYFATFPMGYEIAVWKLIRLWIVEEFIPTIDEWGYPLEVEVEAQKYLNDLVNMNLVMVMKRRVDGQIKTCRIHDSLHEFCKSEAAKKNLFHIMDEGQRLDANAVISYRRLCFYSPMKNIFDAESNPSYSFFGCYDKKRGTCPSGERVCTLLLSSIQKGEIQFEPSVQKGETEHISTSLAIPNTFPNLRVLDIESLKLKSVPDELYDLKLLRYLAMTVDIDLLPKQFKKLRDLETLVFRTTKDKLEIQGGIWNMERLRHVHTNTSIQLPSPKKSKSSSEGTNIRTLCTLSPTSCRKEIISMTPKLQKLNISGNLDELFEEKQGISLLKNLQMLDCLENLKLYGRSNKELKAQQAKFPLKLRKLTLSNTLFGWKDMSVLGSLDMLEVLKLEENSFKGEEWDLNNDVIFKQLRYLRIERANLVTWKAAKNSFPTLERLVLRNCTALETIPDAFADVYSLEVMELFRVGNRAVDSARKVHEQGKNNVRTKGFELFITSLPSETAAAKVEKSNIVGFSDEVKTIKYRLSGGSKDLEIISIVGLFGLGKTTLANMLLSDSGIQYEFTTRLWIPIPETSVTKDVFLDILRKVTDKAYKYKDMSEEQLAHRLEEFLKGEKYLIVIDDVQTLQDWESLKPAFPMNSRGSRVLITTTHYGVGLYVDSTSNPHQLKFLTNEESLQLLEKKVLPGTPGDGDERCSRLLKVHGRDISTKCKGIPLVVELIAKVLTSNETSDAKWERLARDPVEIIDSLDKKNNPLVQLIFDDMDLQLKDCFLYLAAFPEDEITAWKLIWSWIAEGFFPRKGDRYTLDCEHTAEKYLNNLVDKNFVEVLKRRADGQIKSCRIHPKLYQLCKIEAANKNHIHEMDGSDEGKVNEIDCRHLCIKSSGLNFLKSEKKQAIKHVDSFLCFCSQGVPYDEQLATIPKSFPALRVLDIESLKLKSLPKEVYRLYHLRYLAVSTDDMQRLPQDFNNFENMQTLVFNTSQDSLEVEADIWSLPKLRHVRTNTCMQLPLPSSNTGIGSTCIQTLSFISPSSCTAEILSKTPNLQKLGIRGNMVELMESKEGIPLFQNLQKLSRLDNLKLLNNGRRPGDLLSVPQPDKFPRSLRKLTLSNMPLEWKDMCILGALDELEVLKLKECAFTGKSWKLKDDTVFKKLRFLSIGSTDLECWDTSKSCFPVLEKLVLKDCTHLREIPLDFAYVCDFQSSSSVAFWVKVDACGMGFKLSYIKNPYHHQEIYGSIPTIEWQAIMPGQRAKPNVWAISYGSEIVSFGILVLHSSLNCCSLWLSWQCP >itb01g14720.t1 pep chromosome:ASM357664v1:1:16819757:16823510:-1 gene:itb01g14720 transcript:itb01g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAISFHLSLPRTSKLHSCRTPLPFPSSSGTNPKRISLWVCSSSKPAPNSNPSADSNPSNFCIIEGPETVQDFVQMQMQEIQDNIRSRRNKIFLLMEEVRRLRIQQRLKGLKVDETGEEENEMPDIPSSIPFLPNMTPKTLKQLYLTSFSFISGIIVFGGLLAPILELKLGLGGTSYEDFIRNLHLPMQLSQVDPIVASFSGGAVGVISCLMLIEANNVVQQEKKRCKYCHGSGYLACARCSASGKCLYIEPISVNSASNKPLRAPTLQRCLNCSGAGKVMCPTCLCTGMVMASEHDPRINPFD >itb04g26720.t1 pep chromosome:ASM357664v1:4:30955614:30956851:-1 gene:itb04g26720 transcript:itb04g26720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIDDEFTAGYRYTRDTIIKATAVSMLQDGAETMAVNMIWLMSLLLKNREVLKRIQEELDAKVGRERWVEDYDIDNLVYLQAAVKESMRLYPPAPFLVPHKASEDCNVDGYYVPKGTQLFVNVWKLHRDPRIWPDPEKFSPERFLNTPENVDPAARQYGYIPFSYGRRSCPGISYGLKVTHLTYARLLQGFDFSPPANMAVDMSEALGITMPRANPLEAVITPRLPSALYAASS >itb01g32180.t2 pep chromosome:ASM357664v1:1:35733108:35734570:-1 gene:itb01g32180 transcript:itb01g32180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWWVKIQKWVSQIPENGEAFDFPSCFMKPSRLSSTLLPVYNRTSLISPILSPPPLNHRSLHTAAINIPSTLQYLDSFRSLIESLSKNPRNYKALTTLDTFLAQTHFFTPATSVIVIDFLSQIKKLQRAKAVVHSLKCQGKVSDHFLYGLVLEFLVKDGNFDAVETVWAEICGSEVRISASDYIIHVCKHGSVDEIKRVCEKVLMGGSVHLERKSLVALIGALCRVNEGSLAKELAGEMLDRGIAVDDLSYFVIFQCFCRNGDVDEADLILRKLGKTKFHFDVCIYGCFLHALCKSGKLREANKLFCKLIKRDSLEVSKKAAILKEGRRAIFQMSWEGAIPEIVAYEAYFRALCSAGRINEAQELLKDMKKKRNSLEICVYGSMKRWRFSTIQ >itb01g32180.t1 pep chromosome:ASM357664v1:1:35732701:35734385:-1 gene:itb01g32180 transcript:itb01g32180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRLSSTLLPVYNRTSLISPILSPPPLNHRSLHTAAINIPSTLQYLDSFRSLIESLSKNPRNYKALTTLDTFLAQTHFFTPATSVIVIDFLSQIKKLQRAKAVVHSLKCQGKVSDHFLYGLVLEFLVKDGNFDAVETVWAEICGSEVRISASDYIIHVCKHGSVDEIKRVCEKVLMGGSVHLERKSLVALIGALCRVNEGSLAKELAGEMLDRGIAVDDLSYFVIFQCFCRNGDVDEADLILRKLGKTKFHFDVCIYGCFLHALCKSGKLREANKLFCKLIKRDSLEVSKKAAILKEGRRAIFQMSWEGAIPEIVAYEAYFRALCSAGRINEAQELLKDMKKKRNSLEICVYGSFIKALFRAGRAEDALKFFNVESYKGAIRMEDMARFVIMGLCYNGRLDEALEIFNNTMKRNNRIACARTLNCILDGYWRAGRVVEAERLFQRLQSGGFGLHDVSTYGTMINGYCNEGHVSKAVRLLDEMLSRKMSVNQTLYAMIIGGLCACGRLEEALQYLNAMVENGNAVSGKRWESLLHLLLSIEFRWYEQ >itb11g11550.t1 pep chromosome:ASM357664v1:11:8456065:8460612:-1 gene:itb11g11550 transcript:itb11g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEESDLSESEINEYKEKPYEELRSGKYKVKGPNGCLRCPFCAGKKKQDYKYKDLLQHATGVGKGSANRSVKQKANHVALAKYLEIDLADEAEPLPQRAVAPEDNNLYCWPWTGIVVNVSKDNANGTAVEEEDYWLKRFSKYEAQEVKIFCDDKSRTSQVVIKLKSDWNGYKNAILFENAFEAECHSKKEWIAERSSPSSHVYGWFAKVDDYQAEGAVGYYLRETRQLKTITDLLQEEKRDKDKILSNLTNELDLKNENLSALQTRFNEKNLSLSRMIEEKDTLHRAFYEESRKMQRLAREHVQRVLHEQETLNSELEKKKKQLDSWNRELNKREVLTEREKQKLDEEKKRNDERNSSLEMASKEQKKADENVLRLIEEHKREKEAALQKILELEKDIDAKQKLEMEIQELKGKLDVMEHMGGDDDSAVQEKIKEMKEQLDEKIEDMKDLDEMNNALVLKERQSNDELQEARKLLIQGLKDLLNSGRSHIGIKRMGEIDQKPFQNACKQRYSSEEADVKALELCSLWQEKVKDPNWHPFRMITIHEKLEEIINEDDEELSKLKEEWGEEICAAVTKALEEVNEYNPSGRYVIPELWNFKEGRKATLKEVVSFIFKQLKTHKRKRHD >itb06g05070.t1 pep chromosome:ASM357664v1:6:7829862:7831787:-1 gene:itb06g05070 transcript:itb06g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MELPVSGGRRASYSIETRNLSYKIYSFYDGLRWKRENEKGSSKFIIKDVNCEANPGEITAIAGPSGAGKTTLLEILAGKISPVDVSGQVLVNGCPVNAKSFRRLSGYVSQEDALFPLLTVEETLMYSALLRLPGGKKEAKSRVGVLIRELGLENVADSRIGEGSNHGISGGERRRVSIGVELVHDPAVILLDEPTSGLDSSSALHVISLLKLMVSAQGKTIVLTIHQPGFRILELFDRLVLLSNGCVFHNGSLKSLETQLSFAGLHLPPRINLLEFAIDVTGTVVVQTSEALNSGRFRVKDHMVKKGMVRKEEYVRISNNSCVAAEKCRSYSNSQLDEVLILGERFCKNIFRTKQLFATRILQALVAGFILGSIFMNVDDNLGKIALQTRLGFFAFSLTFLLSSMVEGLPIFLQERKIFMRETSRGAYRVSSYVIANTIVFLPFLLMVGLLFTIPVYWLVGLRPQIDGFLYFALVVWLVVLMSNSFTACFSVLVPNFIMGTSVIAGLMGSFFLFSGYFIAKDSIPQYWIFMHYLSLFKYPFECFLINEYGGEAGKKCIEVEASSGECKMFGSGMLAKQQLRESQKWSNLGVMACFIFGYRVLCYVILWVRCYRSNRS >itb05g19780.t1 pep chromosome:ASM357664v1:5:26116687:26118893:1 gene:itb05g19780 transcript:itb05g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFSASSISHKLREPTSFFGTKLWVAILIWMAFVTAFSLLIITLWITCSRRRKALPSKQPGCLCSYSGPSMDRRLLSRNGKENELDLVSLEKLQAIDSAHSSNLRGASEKMMMMMRNCVEDKEGWPSHRYTLDEMEAATNGFDPRNVISCGDHAIVYHGVLLNNIRVAVKRLLSNRGKAEDFMRQVEAVWCIRHRNLVKLLGFCVEGTYRVLVYEYADNGTLKHWLHECTWELSPLTWNIRMNIILGVAKGLAFLHEDTEPAVVHQNLTSSKILLDKQWNPKVLDFGITKLLDSEWSPTMSSHVLVLDEKSDVYSFGILIMEIISGKALIFDTATETEECLIDWIKSMVEKHKYDQLVDPRLPEMPSPVEFKRIILIALRCVDPDAGDRPKMGEVIHMLEPRDLLLSDERVIKKQTSRRSSVKEDDLLPR >itb15g14570.t2 pep chromosome:ASM357664v1:15:12886495:12889421:-1 gene:itb15g14570 transcript:itb15g14570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFHNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb15g14570.t1 pep chromosome:ASM357664v1:15:12886495:12889421:-1 gene:itb15g14570 transcript:itb15g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFHNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb07g16080.t1 pep chromosome:ASM357664v1:7:19341111:19344065:-1 gene:itb07g16080 transcript:itb07g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVFLLFICKFEYCYSNNGSYIEVERVALLRFKDSLIDRSNRLSSWTGLDCCTWEGVSCGSVTGHVWKLDLHNPVTVTFTYDEDDWSRGLSNYSNNCLRGEISHSLINLTFLNYLDLSMNNFSEIQIPEFLGSFKSLRYLNLSNSGFVGNIPSQLGNLSRLEYLHLGRASAETEFYSLTANDLVTNNLDWLASLSSLKSLDMSTVFIQQSENLFGTINKLVSLSSLSFDSCGLNITNPPSLVNSTSLISLDLSENAWDSMTLLWLSNLTRLENLSLPYNGNSAYYSFNSSLLIPFCKLLNLVSMDLSVDSFQDAIPDWFGNLFRTCSAIHLSKNDIRGKLPMSVEDGSMSEFKFSSNHLTGEIPKWLCNLKGLNIFDISSNKLSGEIPSCFGELQELKYLDLGNNHLYGHIPNSLGSLSKLYSLHLQNNKFEGGLPSSLQNLTRLITLDLSENGLMDVIPPWIGENLASLRFLNFRKNNFFGDIPLQLCYLNDLQLLNLANNNIFGSIPRCFNNFTAMVNDSITGRGINSAMYEENIYEDIKRLSLQYTRTLPFLRSIDLSGNHIIGEIPTQLMCLLSLNNLNLSANNLSGNIPQTIGNLSKIESLDLSRNALSGHIPSSLSSLTALSHLNLSFNNLSGRIPTGRQLQTLNDSSIYIGNEGLCGTPLLKSCPGDDKLPPFANEPVETKSTDDDHEFMMWFYTGLGPGFFVGFIGVLCTLLFKTSWQYVYFKSLEIAFKKVLCGISSTKGYRSVFHQILL >itb07g07640.t1 pep chromosome:ASM357664v1:7:5814739:5817045:1 gene:itb07g07640 transcript:itb07g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPEMGDGLNGWFGFGNINDVNLTVGVSKEGNNFIIASNRKEPGDGFSQKFDLVKDNHYIVSGWIQLSEGEADVAAKFKTATGKVYVAWVVARSGCWSMFKGGFVANVSGPSELHFECNNTQTEIWATSISVKAFTPEEWTSHQSKSVEKIRKTKVAIEVVDGQGKPLPNATISLIKGRPNLPFGCAINKNILNNQAYQNWAERTIPPPDALLRLVKGRGVAVRGQNIFWDDPNFQPSWVPKLTPNQLSAAATKRINSVVRRYAGQVIHWDVVNENLHSSFFESRLGADASARFYRTARALDGKATPFLNDFNTIEHKEDGASSPSKYLRKIAQLRAQRYSGPLGIGLEAHFDTPDLAYVRTAIDTLATAKLPIWITELDVSSRPNQAQYLGQIINELSSHPAVQGIVLWSAWKPSGCYKMCLTDNNFRNLATGDVVDGVIKAMSHEGLVGTTAANGSFETSLFHGEYEVQVDHPLLDKSLAHNLTVTSTVDSERRLYFSVSERV >itb03g06050.t1 pep chromosome:ASM357664v1:3:4283705:4284463:1 gene:itb03g06050 transcript:itb03g06050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGNNHALLLLFLLPAVLVPYQASCDSHQSTINSLYEPKYVHLTFYQHETVNKTAYLVTDGMPSTVDLVPFGSPFGSLVVFHDTLTATEDPDSEVVGEEEGTFITSRFDGSVGITTCIFNLNLPNYKGTISIVGATHVSVPSDHPIIGGTGDFLFVQGYGKSTLVSLEGLTAIYRVDLHLYWPSYAAPKSSN >itb12g07420.t1 pep chromosome:ASM357664v1:12:5552909:5556224:1 gene:itb12g07420 transcript:itb12g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASHSSKLFGLGSTGVLCFYALFLSLIFSVYIFSSSNLLKFTAIHANTNGCFQRAQTNNESCDLFDGRWVPDKDGPLYSNYSCSTIPTIRNCFYHGRKDTDFLYWRWKPEQCQLPRFDSKAFLSIVQGKTMAFIGDSIARNQMESLLCLLSMEESPTSISKDEEEKFITTWHFPHHNFTLKVLRSVFLIRATEKVKSNGQSTNRFHLLLDQLDPNWTQNISNVDYAIFSDGQWFLRPIYLHEAGNLAGCIFCREPNIKDLGAGFAIRKVFKAAFKYVNERRRGIVVFMRTFSPSHFEHGAWHNGGFCNRTRGLSREEVEGVGVGGVDDWEYRKIQVEEFERAKRDGEKRGNRFGIIDVTRAMMMRPDGHPGEFWGNRWMKGHNDCLHWCLPGPIDTWNELLLQLLRNIKPFG >itb11g08030.t1 pep chromosome:ASM357664v1:11:5150719:5153083:1 gene:itb11g08030 transcript:itb11g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETMDSGRFSGQRSNFSHTCALLSQYLKEKGSFGDLSLGTPTMNLMPTFEKPAQNSDLKPLNLFPTKNKIDSSLGKADPERAPMTIFYNGQMIMFNEFPVEKAKELIAMATNTQTPNILPCADVNSVVPRPAVSKVVPGFGNQRAYSDLPIARRSSLARFLEKRKDRLTSKAPYLKGSNEGASSEEEENKAWLGLTAQFPVKIEPEL >itb08g08330.t1 pep chromosome:ASM357664v1:8:7288262:7290379:-1 gene:itb08g08330 transcript:itb08g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPVANWGFVVAGLVDTQKPPEMISGNMTSAMCIYSALFMRFAWMVQPRNYLLLGCHASNECVQLYQLSRWAKGQGYLQQKQDKTQ >itb15g11270.t1 pep chromosome:ASM357664v1:15:9099963:9100289:-1 gene:itb15g11270 transcript:itb15g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMVCGYTIPPKSKVLNNVWAMGRDPQYWEDPKSFIPERFEKNHSSVDFMGNHFEYLGGRRICPGLGFGFANALSPLAHLLFHFDWKLPPGVTAHTLDMTKMNGIA >itb02g10280.t1 pep chromosome:ASM357664v1:2:6610964:6615956:-1 gene:itb02g10280 transcript:itb02g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTNDLNSRERLRWGDASKVYTRKGKRKAALISDNDNRATNTAAATATATAATPQATNSNDEIQKENIDVQGPNLQTLEFEEPKLAEQEKEEPDARHQDQAISEPRDSLNRISNRDVSAGDEQAVASNGEEVQDGGSGPLVEPDGSDGCQPMVQDNHIDEPDRSIRCQPETQENHIEEPDGNIGCEPLVQENHVDEPAGSIGCQPVVQGNHIEKQDGSIRCQPDVVDNHIDEPDGSLGCQPDAVDNHIDEPDGSLGCQPVAQDNHIDKPDGTIRCQPVVQDNRTDEQDGSPGCSPVMQDNHIDEPDENLRCQPVVQDNPVDKPDGSLRHHPPMTQDNLIEEPDGSTQCQPMVQDNHMNELLSGYQPEIFEVVKAGPIITRLEDRVRIHLSGARPVDEIRDLRRKLESELYQVRGLVKKLEANELQLTAYDNTADVTNIGSTGAVPGNVVGAYGHPQFPRNYVIKNRTLVRVNSEVGSVGHQEVSLFRPPSILVTESNHGVGELVEKEKRTPKANQYYANSEFLLGKDRLPTESNKKLKPNGNRKKHGGEFGHGFGFNFGSEKHKNQVFRRCSALLQKLMKHNYGWVFNKPVDAYALGLHDYHDIIKHPMDLGTIKTRLSQNWYKSPREFAEDVRLVFHNAMTYNPKGQDVHIMAEQMSKIFEERWAVIEADLNPNWSLQVYDSGLPTPTSRKTAPPSFVSAPAPASVLAPQMRTFDRSESITRSVDTKMKPSFHYRTPVPKKPKAKDPNKRDMTYEEKQKLSTNLQSLPSEKLDAIVQIIKKRNSSLSQHDDEIEVDIDSVDAETLWELDRFVTNYKKSLSKNRKKAEIAQARAAQNASVMTHFPVVANSQKASRIGEAHYPLITSPPRPAQDEKPVDNASRSSSSSSSSSSDSGSSSSDSDSDSSSAYGSDAGR >itb01g25930.t1 pep chromosome:ASM357664v1:1:31210502:31213006:1 gene:itb01g25930 transcript:itb01g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVLFSVLFMALAGHSSAAYCVCKDGVSDAQLQKSIDYACGNGADCSAILQNGVCYNPNTVKDHCSYAVNSYYQKKASSGATCEFSGTATLTANLPSQPSGSCVYPSSAGAAGGSTSPTTNPLTPPTTGTPSTGTGTGTGTGTGTGTGTGTGTGIGTGTTPTFGLGPTAGTGITPDGSAAVFPSKSAILLGLVVVFLSLVRPLRV >itb12g06590.t8 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t3 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t6 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t7 pep chromosome:ASM357664v1:12:4912586:4915588:1 gene:itb12g06590 transcript:itb12g06590.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t1 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t2 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t4 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb12g06590.t5 pep chromosome:ASM357664v1:12:4912579:4915675:1 gene:itb12g06590 transcript:itb12g06590.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKHFRCLHSTNCVCIKGHMSEEVIFLLFQHLNWNPKMIAALSCVCKWFDDLAKRVLWKEFCKERAPKMMLDLQSSRSHSVDGNWSALGKLLIYCSGCTKGGLFNSINIPGHVVHKTRFSRTSGKSFLLPQCRMDVLYVSDPCEHLDQGEEGDVGFFRGLFKSFATSKVRKMLIQREAQLHPKEVCPYCKAKLWSMLQAKMIPSSASCRLDAYEDAVEYYVCLNGHVLGMCTLLSFSDTEEAFESGCDT >itb06g10460.t1 pep chromosome:ASM357664v1:6:14903009:14905350:-1 gene:itb06g10460 transcript:itb06g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYLADLQGLYLEGNFLSGAIPDSISNCSKITVLCIGNNRFNGSLPTSLGNLRNLERVHAFNNKFTNGAASPELSIINSLVNCKSLVEIRLDDNPLHAVLPASVGNLSSSLIFLSFMGCGLKGIIPSQLGNLSNLIDLYLHGNELNGFIPPMLGRAQKLQRLHLGKNKLGGPIPHSLCELQSLGELGLSNNQVSGSLPTCFGNITSLRHIFLGSNRLSSRISSSWCSLEDLLVLDLSSNFLDGSLPIEVNGLKALYSLDLSNNMISGNIPITIAQLQGLNTLSLAHNRLEGNIPEKISQIVSLEYLDLSLNKLSGSIPVSLQGLAYLKYFNVSFNELSGEIPSSGCFKNLTSASFMSNEGLCGIPSFDVPPCHSTHHSKIYKEESFTQTETLATLGYMAPEYGSTGIVSTECDIYSFGIVLMETFSRKRPTDEAFGEDMSLRSWIGDSLPHHVLQVVDPNLLGEDDRDFNVKL >itb07g05120.t1 pep chromosome:ASM357664v1:7:3472168:3473906:1 gene:itb07g05120 transcript:itb07g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTIIYCAFTFVLFLLAHIGESADIKVPAKAGADVGPALLTAWKEACAATTPSTIVIPKGTYPMTQVLLAGPCKAPIELKIEATLKAPANPNDMDGKKEWVTVQYMDHFTLSGGGTLDGQGNVQVYAAKDKGFKSNKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTIKAPADSPNTDGIHIAKIVDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFIGTDNGVRIKTWPNSHPGVVTDIHYENIDMEDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYKNIKGTSATEEAVILACSSGVPCEGVEIGDINLTFKGGAAKSLCSNVKPKLTGKQVPPVECGAGGAGAPKPAAGAPIAGAPKPA >itb11g03520.t1 pep chromosome:ASM357664v1:11:1875899:1881597:-1 gene:itb11g03520 transcript:itb11g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSQSRFGLGRQSSLAPEREAVGGGGGDEVEEGIDSGIRLMYSANEGDLEGMKELLESGTSVNFRDIDGRTALHVAACQGHRDVVELLLGNGAEVNVEDRWGSTPLADAIHYQNNDVVKLLEAHGSKLPMAPMHVQNAREIPEYEIDPKELDFSNSVDITKGTFCIASWRGTQVAVKRFGEALFMDEEKVQAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKRKGALKPIMAVKYALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGLSKLMRFTKSVKEDKPLTSQETSWRYVAPEVLKNEEYDTMVDVFSFALILQEMIEGCPPFPTKQEIDVAKAYAANERPPFRAPVKLYAHGLRELIEDCWSENPTRRPTFRLIISKLEEINQILTRARRWKVRALKCFQNLEAILKIDVSNSKSRSSRSTIYN >itb09g16230.t1 pep chromosome:ASM357664v1:9:11458033:11459275:1 gene:itb09g16230 transcript:itb09g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKGSTKPVSSPSRAQKFPPPLMRFLRSNGGSKSKGRSRSRSSLFMRRKNTAAGVEITQEPSSPKVTCIGQVRVRRSTKSAGASESRRKKCLPCCWARKTLFCHGFSKKFHKPRPIPSLLRQCGRFFGFGSCRGVHAAGSPAPADTEESSGSEENGVKIEALVQENNSPFLGSSSSPPKNALLLTRCRSAPYRSSSLACRFWGSPLKPPTETDDSGIESKHLPQTLLLLIQEKPTSSPDCGNAEPVESESEDFGSSNGEKCREEESQEPNNKVHPLLLTRCKSEPARTGERLVSGD >itb12g25690.t1 pep chromosome:ASM357664v1:12:26856554:26859816:1 gene:itb12g25690 transcript:itb12g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKPAENKPKQKHKKGLWSPDEDHKLRNYVLHHGHGCWSSVPINAGLKRNGKSCRLRWINYLRPGLKRGSFSLQEEDTILTLHGMLGNKWSQIAQHLPGRTDNEIKNCWHSYLKKKVARMGETESQVRGESSSMGNGDSSPQSLKSTSQNSGLESFEQMEGSLTDTDQSDSAKDTQKNSTLPKILFAEWLSLDQFNNGQEFQNPSLGVPPKNTFGHNDLGFHDDFLQGLLLNEAAVYDNNSNNNNIQPVPDDMLFQPQLKFEDQISDSGFPEFFSGGFDINDDILYI >itb01g21530.t1 pep chromosome:ASM357664v1:1:27639996:27645991:-1 gene:itb01g21530 transcript:itb01g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSENPLQLLFQTFEKVSNSIQTHLSQFLRFPNNPHAKPLFAISTAPKPNRLAPRPAVSALLHPAEVPKKEISAGPVTKEELGRATWVFLHTLAAQYPEKPTRQQKKDVKELMAILSRMYPCKDCADHFKEVLRANPVQARSQAEFSQWLCHVHNVVNRSLGKPNFPCARVDARWGKLDCEQRNCDLQGSDKLW >itb01g21530.t2 pep chromosome:ASM357664v1:1:27640049:27645827:-1 gene:itb01g21530 transcript:itb01g21530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSENPLQLLFQTFEKVSNSIQTHLSQFLRFPNNPHAKPLFAISTAPKPNRLAPRPAVSALLHPAEVPKKEISAGPVTKEELGRATWVFLHTLAAQYPEKPTRQQKKDVKELMAILSRMYPCKDCADHFKEVLRANPVQARSQAEFSQWLCHVHNVVNRSLGKPNFPCARVDARWGKLDCEQRNCDLQGSDKLW >itb13g18970.t1 pep chromosome:ASM357664v1:13:25991453:25991752:-1 gene:itb13g18970 transcript:itb13g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSPTLLLLLLLVAACSSINAQKAIFILAGQSNMAGRGGIEYLDPTPENPLGAVWNHTIPPDSQSSPHILRLNAKLAWEVATEPLHCTPTSIRAKYVG >itb01g19700.t1 pep chromosome:ASM357664v1:1:25994867:25997845:-1 gene:itb01g19700 transcript:itb01g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMLRSLLRNSSDASRVTRRGFASGSAPERKVAILGAAGGIGQPLSLLMKLNPLVSHLSLFDIAGTPGVAADVSHINTRSEVVGFMGDENLGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCAGIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVNVAEVNVPVIGGHAGVTILPLFSQATPQANLPHDVIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGSLSDFEKEGLEKLKPELKSSIEKGIQFANQN >itb01g20880.t1 pep chromosome:ASM357664v1:1:27085354:27087322:-1 gene:itb01g20880 transcript:itb01g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPVVALFFSLCVFLPLISAASTDVKYCSETNYAMKVTGADITPFPVKRGDNVTFTITAATDNGILGGKLVINGLYYGINIYDETYDICAKTSCPISTGYFVLSHTQNLPPYSPTGDFSLKMTILDEEDNELTCFAFDFTVSALALD >itb10g12870.t1 pep chromosome:ASM357664v1:10:18985402:18987482:-1 gene:itb10g12870 transcript:itb10g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQQSEEPQERDKDSSVQAGSPPQEPREYHYFSASATPPIPIDLRHQSLPPALIGRRPSPPPAGSRQQSATGNQPGGHRPRLSNGPPRFQSAASSSPFSGQQVFFSISSLSASPQPASFGRKPQPSIGEQLTLSCAPYAYPSIASSVI >itb09g00650.t1 pep chromosome:ASM357664v1:9:451502:453608:-1 gene:itb09g00650 transcript:itb09g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSAWGKPGAWALDSEENESQLNDDTQSKGSDGGGVAAALSDFPSLATAAAAKTKKKKPQTLSLQEFSTYSSAKQVQSVGLTPDEMISLPTGPRERTAEELDRSRLGGGFKSYGSSYDRPGRGSSDEPRRRGGFGRDDSREFTPSRADETDDWGASKKFTPGNGFERRERGERVGFFSDSNSRADGSDNWAANKSFVPSEGRRVERRGGFESNGGGADSDNWTKRKEDEGRKLGAFDGLREKRGSRESMNNVSEPETWGRKRDDSNGRPKLNLQPRTIPIGEGQQNDNGTTGKPKAANPFGEARPREEVLKEKGQDWKEIDQKLESLKIKEAGKKSSGSSKEGASPEKRTERSWRRFDMNDDAHSRSESTVNGSSEEAGAGECKSYAGAGECKSYAEACQQEN >itb01g27510.t1 pep chromosome:ASM357664v1:1:32294560:32295883:-1 gene:itb01g27510 transcript:itb01g27510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEAMDLVLIPAPIMGHLISDVEMAKRLLQTQHQLSITVELHITAGGRPSKEPTNGFNFFVESHKPNVRDCVNEMKNDVKRLGGFVVDWTCMVMMDVADEFGLPTYVYYTSGAAMLGLQFHLQTLRDDHGKDVTEIKDSDPHLNISTYSKPFPAAIICAGQNRRLSLFHGYCQTNAKGKRHLGEHPDSSVVFLCFGSCGSFPESQVKEIAYALERSGHRFLWSLRRPPSDGSIFPTDYNNLLILSEGFLERTKSIGKVIGWAPQVAVLAHPAVGGFVSHCGWNSILESIWFGVPIATWPLYAEQQANAFQLVEEIGIGVEVKMDYRYYFMGEFEILPEKVNAKEIEIAITALMDHPTINPLRMKAKELKGKGEGH >itb05g24140.t1 pep chromosome:ASM357664v1:5:29031970:29032551:1 gene:itb05g24140 transcript:itb05g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQYCILILLLFIFPEDATCKSHHHHHKHQQEYELTSLHFSLFQHETINKTGYIIVNGVAGPGVSQTTTPFGTLFAFQDPMTLTANRTSKMVGTAQGTSITSSLDGMQSISIAKITLNMKRHKGSISIVGATDNLKPSDHPVVGGTGDFLFVQGYVTSSPVNLKGMTVTYKIEFHLYWPPYATQKRQKTSI >itb01g02070.t1 pep chromosome:ASM357664v1:1:1332414:1336794:1 gene:itb01g02070 transcript:itb01g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERAGVGVKMKDDEEELEMLLDEIPHATSFNLNNNHLHSNGCCECDGSYVRRKLYDHSCVSPLRGVLVKSDGSPSAMCSGGSPTPSPMEELKPCVVSDHLKGGLQINHGIGDYHGGMRVDGDSFSDLNLGKNFSSRLYISGEQESGSKRSDALQFSEHPVAGSVRMVPERFRAVGDQRMGVSDYRGGFVSSAPVAQNPIGVNSQVGSPMPVGLSYDCGFGNLYATQYLSGLSNGLVSQLNPNSSAVDSQLYQTKVLAGHLYHVGIPLSDLPGSVMWNVADSLLHAPRNGRSVAGEFPFVPQLTHRKSHIDAENAFYSHQHLSNGRNVTQSARMPQGNMNIEAFTRDDSLIVQGEGLSCTEKNAHPLSIGHDSQRSLHESGLARPQEKRSQQLYGSPKSKGIQESGRSSGLYLPFSLPLKLSSLREVQGYISHMAKDQYGCRFLQRIFDEGTPRDVQIIYDEIIDHAVELMMNPFGNYLMQKLLEVCNEEQRTQILLRVTEDPGQLVSISLNTHGTRVVQKLIETLKTRQQILLLISSLEPGFLDLIKDLNGNHVVQRCLQCFSNEDSKFIFVTAAKYCVDIATHQHGCCVLQRCITHAMGEYQENLVAEISANGLLLAQDAFGNYVVQFILELKIPSATSKLISQFEGNFVHLSTQKFSSHVVEKCLVTCDVEIQSKIIRELVSATHFEQLLQDPHANYVIQTALRVSEDCLHKLLVDAIESHKSISRNSPYSKRIFSNKLWKK >itb03g14300.t1 pep chromosome:ASM357664v1:3:14074808:14076639:-1 gene:itb03g14300 transcript:itb03g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIRTFLNLSPCYFAFIQNCRYNRHSLASNPRGHYAYLLNSCIAGKAIGPGRQLHARLCLTGLSYNTTLATKLVNLYCVCDHVSVAHRLFDKIPKGNLFLWNVLIRGYAWNGPYEVAISLYFQLFNYGLVPDNFTFPFVLKACSALSAVDVGRNIHEQVVQTGWERDVFVGAALIDMYAKGGCVDSSREVFDKVAQRDVVVWNSMLAAYSQNGCPDECLLLCSEMAYAGVRPTDATLVTAISASADIAALPQGRELHGYCCRQGFGSQDKVRTALVDMYAKSGHVKLARMLFEALAEKRVVSWNAIITGYAMHGHANAALDMFKWMIREAQPDHITFVGVLSACKHGGMLDEAKMYFDLMIRDYGIEPTVQHYTCMVGLLGQCGQLDEAYGLIMQMNVMPDAGVWGALLNSCKIHGHVELAELALEKLIELEPNDAGNYVILSNIYAQAGRWDGVAKLRKLMTEKGLKKSTACSWIEVKNKLHAFLSGDTSHPMSDEIYAELERLGALMVQAGYVPNTSPVFHDVEDDEKTRMVSSHSERLAIVFGLISTPPGTKLLITKNLRVCEDCHVAIKFISKITQREITIRDVNRYHHFNDGICSCGDYW >itb06g13590.t2 pep chromosome:ASM357664v1:6:18260888:18265455:1 gene:itb06g13590 transcript:itb06g13590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGEMAAIKVLSAKSVQGVREFLTEIKVISDIDHENLVKLYGCCVEDSQRILVYNYLENNSLALTLLGTRWSSIQFSWKTRTKICIGVAKGLAYLHEQVNVVHRDIKASNILLDKDLMPKISDFGLAKLVPPDMTHVSTRVAGTLGYLAPEYAVRGQLTYKADIYSFGVLLMEIICGRCNTNTRLPVGEQYLLEKTWRLYERGEMELLVDSLLGGEFDAEEACRYLKVGLLCTQDAPKLRPSMSTIVKMLIGEEEIDSYKITKPGLISDFLDLKIKNAPRPNPGKVNASYNQPPTSSSDNSTLPSESPSQVTTFTTIAKYDSTLC >itb06g13590.t1 pep chromosome:ASM357664v1:6:18260787:18265455:1 gene:itb06g13590 transcript:itb06g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFLFSRKLHTSPTPAPELQEEFSGIENVTFFSYSELEEATDDFSSRNKIGEGGFGSVYKGMLKNGEMAAIKVLSAKSVQGVREFLTEIKVISDIDHENLVKLYGCCVEDSQRILVYNYLENNSLALTLLGTRWSSIQFSWKTRTKICIGVAKGLAYLHEQVNVVHRDIKASNILLDKDLMPKISDFGLAKLVPPDMTHVSTRVAGTLGYLAPEYAVRGQLTYKADIYSFGVLLMEIICGRCNTNTRLPVGEQYLLEKTWRLYERGEMELLVDSLLGGEFDAEEACRYLKVGLLCTQDAPKLRPSMSTIVKMLIGEEEIDSYKITKPGLISDFLDLKIKNAPRPNPGKVNASYNQPPTSSSDNSTLPSESPSQVTTFTTIAKYDSTLC >itb06g13590.t3 pep chromosome:ASM357664v1:6:18260787:18265455:1 gene:itb06g13590 transcript:itb06g13590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFLFSRKLHTSPTPAPELQEEFSGIENVTFFSYSELEEATDDFSSRNKIGEGGFGSVYKGMLKNGEMAAIKVLSAKSVQGVREFLTEIKVISDIDHENLVKLYGCCVEDSQRILVYNYLENNSLALTLLGTRWSSIQFSWKTRTKICIGVAKGLAYLHEQVNVVHRDIKASNILLDKDLMPKISDFGLAKLVPPDMTHVSTRVAGTLGYLAPEYAVRGQLTYKADIYSFGVLLMEIICGRCNTNTRLPVGEQYLLEKFRDCRHGDYTKGGRWNCLWTVCWVENSMPKKPADI >itb14g00630.t1 pep chromosome:ASM357664v1:14:427036:432814:-1 gene:itb14g00630 transcript:itb14g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILQHFHFHYAFFFLLLLLQLPNFGSSFTNITTDQSALLAIKSHILDPNKILQSNWSTATPVCDWIGVFCNTHRRHKRVTALDLSFKGLAGSLPSQIGNLSFLVKLDLRNNSFSGHLPEGLSKLRRLKVLNSGNNNFVGNIPAWLGSLKKLKFLGLYGCRFSGALPVSLSNLTELQYFFLHESGAIAGNIPNEYSSLRKMKYFILRSNGLAASFPPCVLNFSMLQFLDLSNNSISGHVPLDICSHLPSISGIYLSHNQLKGKIVPSNISGCSLLSELSLSFNKLEGPIPRKFGNSTSLRNLDLDFNNLMGEIPDTIGNLRQLEYLSVMNANLFGENNLIGSIPSRIFNISGLYIVSLEANNLSGKILSSLEMNVPTLIGISVALNKLSGNMPSFLVNASKLEFLDISVNEFSGFIPSSLGDLRSLESLNLEGNNLHSPLSSRFDKRLSFISSLTKCRKLRKLSFSENYLNGILPSSIGNFSSELEILGLGGCEIMGSIPPEIGNLSNILILHLEENNLEAFIPTSMKRLSKVQILALHGNKLQGSIPLELCYLLNLGKLDLQSNRLNGKIPDCLGNITSLRYFYLNSNNLTSTIPVSLWSLKDLLELDLSSNSINGLLSFEIGNLKSLILMDLSANQIMGNIPSTLGALQMLQNLSLANNHLQEYGSQGLVSIRSDVYSYGVVLIETFTRMKPSDNIFSGNSTLKRLVESSLPNAITQVIDLNLLPQDQIEIFTKHVECVTSIMKVALRCCEDAPEERISMKDVLVALKKIKLQFLGRNALA >itb02g18940.t1 pep chromosome:ASM357664v1:2:15656968:15660247:1 gene:itb02g18940 transcript:itb02g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCGCLRRRLARSRAGAGDEAENDGGDDSPDLFFDLRSLQIATNFFSDLNRLGHGGFGPVYKGLMPSGQEVAVKKLSLNSRQGIREFTNEVKLLLRIQHKNLVVLLGCCVEGPEKMLVYEYLPNRSLDYFLFDKKKAASLDWTTRFRIVTGIARGLLYLHEEAPERIIHRDIKASNILLDEKLNPKISDFGLARLFPGEDTHLQTFRISGTHGYMAPEYAMHGYLSVKTDVFSFGVLLLEIVSGRKNHEGKLGADKADILNYSWTLFQARKTLELVDSSLESCDPDEAVMCIQIGLLCCQSSVSDRPDMNTVHLMLSSDSFTLPKPGKPAVHGRLGRWTTTSSSGFTKNTNASTQTGATKSSGAGSFAEDYSRNSMSYSSMDEGR >itb15g14710.t1 pep chromosome:ASM357664v1:15:13109339:13109653:-1 gene:itb15g14710 transcript:itb15g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLTPVSSFIQIENELPLGEDAGTNASFWRSSSGGGVDKIEVEIIIRAGENATGGGSGGMASSWSSIFGGEFKIFPLVLKLNMRMNSVFALFHKQFALQKSE >itb05g21230.t1 pep chromosome:ASM357664v1:5:27100270:27102190:1 gene:itb05g21230 transcript:itb05g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGDVWSQLGPSLATLMFIWTLYQNYFPDQIRTYIITHAHKIYSIFYPYIHITFHEFENDELFDRSKVFLAIERYLSYNSSNNAKRLVAKGVTDSTHALVLTMDDHEEVTDVFQGIKVWWSSNQHPPNQHTISYFPREDEKRFFQLKFHKRNRDLITRSYLKHVLDEGEAIAVRDRKRKLYTNNKSDDWHGWKSTKWSHVIFKHPSNFKTLAMEPTRKQEIMEELHNFTNSKDYYAKIGKAWKRGYLLHGPPGTGKSSMIAAMANLLQYDVYDLELTAVKDNSELRKLLIETSCKSIIVIEDIDCSLDLTGQRKKKKEEKDEDKEKEEKDPIKKELIKETEEKKKGSEVTLSGLLNVIDGLWSAIGEERIIVFTTNYIEKLDPALIRRGRMDSHIELSYCCFEAFKVLAKNYLDIESHELFPEIRGLLGETKITPADVAENLMPKSGREKADICLKRLIKALETAKEEARLKAEEEHRTKAEAAKEKEKSAEDSKKAESLVKENGDSKKSETMVKENGDTKNEN >itb04g05170.t1 pep chromosome:ASM357664v1:4:3178923:3185786:1 gene:itb04g05170 transcript:itb04g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHIHHLYSSSSHFLTSPVIYSNKFSRELKTQKSIQFYRVCAAKSIPENASSLDLQKRSKKELSRILRTEAAIEAIERKANSSKYNNLWPKAVLEALDDAIKGNRWESALKIFRLLRKQHWYEPRSRTYARLLVMIGKCRQPNQASLLFDLMRSDGLQLTLDVYTALASAYGLSGLLDEAWHTIHDMKSISDCKPDVYTYSILIKCCMKFQRYDMIEHILAEMSYLGVECSTVTYNTIIDGYGKANLFEQMENSLLEMIESGMSLPDVFTLNSVIGAYGKCGNIEKMEKWFDEFQLMGIKPDVMTFNILIKSYGKAKMYAKMGSVLDYMGKRFYSPTTVTYNTIIETFGKAGNIDEMEEFFLKMKGNIDEMEEFFLKMKHQGDEMEEFFLKMKHQGMKPNSITYCSLISAYSRAGILEKVDSILRQVEKILEKVDSILRQVENSDVVLDTTFFNCAINAYGQAGDIERMVKLFLEMKDQQCRPDNITYATMIKAYSAQGMIEAAEDLQSRMISGNDFPVTPSLSLSQSRRLTLSLSLRRARESGRQGGESVITSGISAVSESNNMIRRIAQINHLNPSIPTSLETLSHQFIQRCLVSGTAKGKGKLKTGQTLKRSKISTKKGSETIQKEAPKRRSEFDEMLEECLTSTSPRRFLKPKEMEREAEREKMGLTSKAREDEKKKLKKMGKEFDSPWMIGTPGLDLISLGLVDADKIPKYEMTVEDGRRLAKEYSKVLMRKHRARQAAESSLLRLKKEAIEALPDNLKAAALVPDLSPFPVNRFMATLTPPIEGYIEKVQEAARRSSGKEKLR >itb05g12630.t1 pep chromosome:ASM357664v1:5:19272898:19274847:-1 gene:itb05g12630 transcript:itb05g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNVSPSSLSSKTLFHSQPLRRSPNPTLPPPPQRRRPISAVQAPTAPVAAKAGKWSLESWKSKKALQLPEYPDAGKVESVLRTLESNPPLVFAGEARSLEEKLGEAAFGRAFLLQGGDCAESFKEFNAVNIRDTFRVLLQMGVVLMFGALSDVEDDWHSGSRQLADGRLICGGRRRLEGDTAEPGGRKAVALAFVHGSGGSGAGIPGDDAADLLSFSDAGDRSASNVHACSRRCCYAKSAASPIRP >itb11g05810.t1 pep chromosome:ASM357664v1:11:3446753:3449454:1 gene:itb11g05810 transcript:itb11g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQAQLLFSVAFGEMAVILLLLFKTPLRKLLILSLDRAKRGRGPLVVKSVAATVFVLMIYTVYSVKEIQSRPLESINPTDQVLLAQQILQASLMGFVLFLSLMIDRLHHYIRELRLLRKAMEAAKKHDRGFDNGKNGSVEEVNSLKEEIASLKSKIKKLESECEAKGEEVKAQKDNSESFKGQSEKLLLEYGQLLEENQHLRSQLHALDENLSHSNGKKNT >itb04g19090.t1 pep chromosome:ASM357664v1:4:23201744:23202037:1 gene:itb04g19090 transcript:itb04g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEGEKAGGAIIDEVYGRKSGRSNYNYSSQRPSEYGAGFEASSNPITLYRYYPQQAPLVCFNPAASVTEEVVFTGYEAAQLPPYEAKLFSAMCR >itb12g01080.t1 pep chromosome:ASM357664v1:12:754919:757435:1 gene:itb12g01080 transcript:itb12g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKIPAFCKVVRSDNTCGSELGGGAFSQRISLLETRFQSQKLGAPFSLVPSPKTGRHDPILLRSRVKFAGHPLTAHRTDFTVITGYPVDADDSLSRSHISTSRSLAESSTSNTKSHAPPHESTVS >itb09g17460.t1 pep chromosome:ASM357664v1:9:12728223:12729386:1 gene:itb09g17460 transcript:itb09g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVVEEPILCRLQRLDNILKQVEAMRGGGGNQSPAKSSTASSSTATSSEGQAAAASSSAEFSPKSLEKHCRPIDDVIMEAEAKGTLIERLVNVEERVAKLCMHLHQELEALKTTKEATMHTTTTTAPPPPAAAHKSPKKGFKSFVKSCVKGKEKGRG >itb10g12180.t1 pep chromosome:ASM357664v1:10:18120694:18124280:1 gene:itb10g12180 transcript:itb10g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLPSSSPWPLNPASPTPNRRLPSPIFMDANSPPSLDGDDHSPLSDLSGISAAVVSPDDDNHRSQTSSPTTTSQNVNPNPVPQIVDSSRPTLLHLSFNQDHSCFAAGTDRGFRIYNCDPVREIFRRDFANNSGGVGGGIGVVQMLFRCNILSLVGGGPEPQYPLNKVMIWDDHQSRCIGELSFRSEVKSVRLRRDRIVVVLAQKIFVYNFADLKLVHQIETMVNPKGLCEVSQVSGSMVLVCPGLQKGQVRVEHYASKRTKFIAAHDSRVSSFAITNDGKLLATTSSKGTLVRVFNTLDGSLLQELRRGADRAEIYSLTFSSTAQWLAVSSDKGTVHVFNLKVESGSLGVERSRDPTDTSATTPSAVSHLSFIKGVLPKYFSSEWSVAQFRLQEGLQHIVAFGHQKNTVLILGMDGSFYRCQFDPAAGGEMTLLEHLDFLQPEESF >itb14g14040.t1 pep chromosome:ASM357664v1:14:16446835:16449340:1 gene:itb14g14040 transcript:itb14g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTTRNIGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGDEDHAFRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRVNQQKRTCYAQSSQIRQIRRKMREIMVNQAQSCDLKDLVQKFIPESIGRDIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKVDRAADEPIAEAAEPVGA >itb05g12860.t1 pep chromosome:ASM357664v1:5:19579955:19580443:1 gene:itb05g12860 transcript:itb05g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMVEEENVVGFDNEVKIIKDRLYRESMKLTVISIVGMVGVGKTTLAKMLFNDGDLQYEFFTRLWVYVSRVCNRKQIFLDILSHFTKRIKDFSDMSDENLAEQIKSFLEGGKYFIVLDDVCTKHDWECLKIAFPDNTKGNRILVTTRYCSVASHVDSTGIC >itb10g24000.t1 pep chromosome:ASM357664v1:10:28045266:28047019:-1 gene:itb10g24000 transcript:itb10g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAARKRFGEEDDAPPKHQSVALIFGVTGIVGNSLAEILPLADTPGGPWKVYGVARRPRPAWNADHPIQYIQCDISAEKDAQSKLSVLTDVTHVFYVTWANRSSELENCEANGKMFRNVLNAVVPNCPDLKHICLQTGRKHYLGPFELYGKVAHDPPYHEDLPRLDAPNFYYTLEDILLERAEKTEGLTWSVHRPGTIHGFSPYSMMNIVGTLCVYAAICKHEGAPLRFPGVKAAWEEYSDCSDADLIAEHEIWAAVDPYAKNEAFNVSNGDVYKWKHLWKILAEKFEVEDGGFEEGERITLQEIMKEKGRVWDEIVEKNNLQATKLEDVGVWWFVDLILSGGCPLDTMNKSKEHGFLGFRNSKKAFISWIDKVKAHKIVP >itb14g08870.t1 pep chromosome:ASM357664v1:14:8815884:8819204:-1 gene:itb14g08870 transcript:itb14g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVMGVQWARSIGYLGYEIQTDCEEIAKVMQGNYTRTNQHDNSFESLMRMITEAERSMISHIYREQNSVADGLAKLALKGDFEWIEFDQPPPGCRNRTRLTSRWGWPHHFAETVDGEWPNEGLTASQTQPMENRCLAVYGDWTHWRMAEWGWVTDY >itb01g06970.t1 pep chromosome:ASM357664v1:1:5174517:5179906:1 gene:itb01g06970 transcript:itb01g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMFIILIPIILLSFNARFVEAQSGQLPPDELNALREIADEVGKKDWDFGLNPCDNNSNWLTPQRNDMPLYINSLTCNCSFPAAICHVQTIVLTGQDLQGVLPPSLVKLPFLKTIDLSRNYLSGTIPPEWVSTKLEYLIVLVNRLSGSIPKYLGNITTLVYLSLENNMFNGTVPPELGKLTNLQNLILSANNLTGELPKEFNALTKLTELRLSSNNFTGKLPSFQSFKNLQNLEVQASGFEGPIPQNISVLTSLTELRISELNGGASRFPTLTNMTGMRTLMLRRCNISGTIPNITYMTGLQQIDLSFNNLEGRIDGLQGLNFMHYMFLTNNSLSGKIPEWFLNQNSIVNIDLSYNNFERSSVPQDCRETVNLFKSYNGGENSEAEKCLKQCTKDWYSFHINCGGGNVLIGDTTYEADEDSRGFAKFVSNRENWGNDSELYKTACLSPLSMTYYGRCLANGNYTVKLHFAEIVLRDNISFQSLGRRIFDVYIQGERKLKDFNIETEAQGVDTALVKQFQAVVRDKTLEVRFEYVGKGTIAVPSKGTYGPLISAISVELLVAGPEECQLEIDWPTRQKICVGIAKGLAFLHEESAIKIVHRDIKATNVLLDKELNPKVSDFGLAKLDDDENTHISTRVAGTKGYMAPEYALWGYLTFKADIYSFGVVALEIVAGKNNVKYRHDENCVCLLDWALDLQKKGNLLELIDQRLGSNFDEEQVLRMIKVALLCTNSSPALRPSMSAVINMLEGHDDILEYSSDLHEFNFQAMKDRYDEMPHNSSNSPYNVDFSPYTNCQ >itb07g04160.t1 pep chromosome:ASM357664v1:7:2788508:2790158:1 gene:itb07g04160 transcript:itb07g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCISKFSVSHPLASQRASNHPSYSCNLPRVMMVQVSGKNGRTRTCRAMAADSRDSLDHMQRPTKTQPKRRPAQVAAPIGLWDRFPTARTVQQMMDTMDRLIEDPFAFNNGGWASSSAAMPVENGGYSRGRTPWEIKEGEGEYKMRFDMPGMTRDDVKVWVEEKMLVLKAEKSPPSNKEEEEEWSAKSYGRYSSRIALPENVEYEKIRAEVKDGVLYITIPKASVSGKVFDINVE >itb09g06250.t1 pep chromosome:ASM357664v1:9:3581633:3586120:1 gene:itb09g06250 transcript:itb09g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLNSLLRLNYGPPPLPTISSHMHASKGAAALHRRHFRCQSINSGNSSNPTRWFKHWTISSISEKMTATLSKDEFLLKSEGKREEWQRGFLYQFQEDDLQVLNVIDVIQHLGIDHHFHYQIGAILQRQYQKAEIDFQKINDIYDISLRFRLLRQEGYHVSSDVFTKFTSQKGQFKEELSKDIRGLVALHEASHVSIEGEDVLDEAAKFSRESLIASMVHLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLSTMDYSITQSFYQEEFRQILGWWKGLGLKDELAKNQQLKWYIWTLALIPDPSMSRERIELTKPIALVYLIDDIIDVYATFDQTIQFVDAINRWDLSVGEGLPDYMKRCLAVLFDTTNDINNLVFDKFGWNPIDHLKRAWKCLCSAYIIEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFAGTTDIEDMIISVGAILRLLDDLDATQGEKQDGNDASYVEYYVKEHEGLSISDGKQCIINMVSEQWKLINKQCLSPTPIPASFRKSCLNIARMVPMMYNYSDTHCLPILQKQIMSMFSTINGESIRLQ >itb09g06250.t3 pep chromosome:ASM357664v1:9:3581633:3585615:1 gene:itb09g06250 transcript:itb09g06250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLNSLLRLNYGPPPLPTISSHMHASKGAAALHRRHFRCQSINSGSEKMTATLSKDEFLLKSEGKREEWQRGFLYQFQEDDLQVLNVIDVIQHLGIDHHFHYQIGAILQRQYQKAEIDFQKINDIYDISLRFRLLRQEGYHVSSDVFTKFTSQKGQFKEELSKDIRGLVALHEASHVSIEGEDVLDEAAKFSRESLIASMVHLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLSTMDYSITQSFYQEEFRQILGWWKGLGLKDELAKNQQLKWYIWTLALIPDPSMSRERIELTKPIALVYLIDDIIDVYATFDQTIQFVDAINRWDLSVGEGLPDYMKRCLAVLFDTTNDINNLVFDKFGWNPIDHLKRAWKCLCSAYIIEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFAGTTDIEDMIISVGAILRLLDDLDATQVMLVSCLYIIIIVAS >itb09g06250.t4 pep chromosome:ASM357664v1:9:3583666:3586120:1 gene:itb09g06250 transcript:itb09g06250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLSKDEFLLKSEGKREEWQRGFLYQFQEDDLQVLNVIDVIQHLGIDHHFHYQIGAILQRQYQKAEIDFQKINDIYDISLRFRLLRQEGYHVSSDVFTKFTSQKGQFKEELSKDIRGLVALHEASHVSIEGEDVLDEAAKFSRESLIASMVHLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLSTMDYSITQSFYQEEFRQILGWWKGLGLKDELAKNQQLKWYIWTLALIPDPSMSRERIELTKPIALVYLIDDIIDVYATFDQTIQFVDAINRWDLSVGEGLPDYMKRCLAVLFDTTNDINNLVFDKFGWNPIDHLKRAWKCLCSAYIIEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFAGTTDIEDMIISVGAILRLLDDLDATQGEKQDGNDASYVEYYVKEHEGLSISDGKQCIINMVSEQWKLINKQCLSPTPIPASFRKSCLNIARMVPMMYNYSDTHCLPILQKQIMSMFSTINGESIRLQ >itb09g06250.t2 pep chromosome:ASM357664v1:9:3581633:3586120:1 gene:itb09g06250 transcript:itb09g06250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLNSLLRLNYGPPPLPTISSHMHASKGAAALHRRHFRCQSINSGSEKMTATLSKDEFLLKSEGKREEWQRGFLYQFQEDDLQVLNVIDVIQHLGIDHHFHYQIGAILQRQYQKAEIDFQKINDIYDISLRFRLLRQEGYHVSSDVFTKFTSQKGQFKEELSKDIRGLVALHEASHVSIEGEDVLDEAAKFSRESLIASMVHLDECQASMVKNTLQYPYHKSLARFTAKTFIENMRIENEWEATLVNLSTMDYSITQSFYQEEFRQILGWWKGLGLKDELAKNQQLKWYIWTLALIPDPSMSRERIELTKPIALVYLIDDIIDVYATFDQTIQFVDAINRWDLSVGEGLPDYMKRCLAVLFDTTNDINNLVFDKFGWNPIDHLKRAWKCLCSAYIIEAKWFATGKSPTANEYLQTGIITTGVPMVLITCFFLLGHGAFAGTTDIEDMIISVGAILRLLDDLDATQGEKQDGNDASYVEYYVKEHEGLSISDGKQCIINMVSEQWKLINKQCLSPTPIPASFRKSCLNIARMVPMMYNYSDTHCLPILQKQIMSMFSTINGESIRLQ >itb09g16400.t1 pep chromosome:ASM357664v1:9:11614225:11619007:1 gene:itb09g16400 transcript:itb09g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALEHKDTMQVNCEAPIRCLQNTGYPQHLHYNGAGIKNFPEFNEGTYSQPVGDNIDCSFSSDQPIDSSKESPKKTVQQHASGSWSAFYPGSNNMRMCTVNAFEAQFYPYSVDSRFHYGPFNAIPQRYPYEFQFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSMTGQLEACFQTYVRPTCNHLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRYKKIRKPPYFNRWINLKVPFHEIFGGVRCNLKEAVQLAGLAWQGRAHCGLDDAKNTARLLALFMRKGIKLSITDSLICQSTNESFTWKPPQDHPSVSPYQTQKVRDPHFQTIQVHPNCYCGIKSSKGMIQKPGPKQGSLFFGCGNWTVARGARCHYFEWAASP >itb09g16400.t2 pep chromosome:ASM357664v1:9:11614225:11619007:1 gene:itb09g16400 transcript:itb09g16400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALEHKDTMQVNCEAPIRCLQNTGYPQHLHYNGAGIKNFPEFNEGTYSQPVGDNIDCSFSSDQPIDSSKESPKKTVQQHASGSWSAFYPGSNNMRMCTVNAFEAQFYPYSVDSRFHYGPFNAIPQRYPYEFQFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSMTGQLEACFQTYVRPTCNHLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRYKKIRKPPYFNRWINLKVPFHEIFGGVRCNLKEAVQLAGLAWQGRAHCGLDDAKNTARLLALFMRKGIKLSITDSLICQSTNESFTWKPPQDHPSVSPYQTQKVRDPHFQTIQVHPNCYCGIKSSKGMIQKPGPKQGSLFFGCGNWTVARGARCHYFEWAASP >itb01g19370.t1 pep chromosome:ASM357664v1:1:25439379:25441139:1 gene:itb01g19370 transcript:itb01g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSFRRIAMSALLLLFFTLLTGLLREVESLGINYGQVGNNLPSPEKVLQLLQALTITKARIYDTNPQILTAFANSEVELIVTVENQMLSTLGGDPQQAAQWVGTHIVPYIPATKIVGIAVGNEVFTDGDVSLIPNLVPAMVNIHAALVRAGLDRTVHVSSPHSVAVLASSFPPSAGTFRQDLSETMGEFLRFLAETKSPFWINAYPYFAYKDDPDQISLDYVLFNPNSGTVDPLTKLRYDNMLYAQVDAVIFAISRMGFSGMEVRVSETGWPSKGGPDESGATPENAAIYNRNLMSRQVAQEGTPFRANVKLEAYVFALFNEDMKPGPTSERNYGLFRPDGTMAYNVGMVSSTSPASAAPAKQMDYQSLVYWMFVYLLAWVTCFHH >itb04g03610.t1 pep chromosome:ASM357664v1:4:2216771:2217223:1 gene:itb04g03610 transcript:itb04g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLELIAASAGAAACLVFLWLRRDDGSLHDGEDDPTAFIFSADDESDGEKRPYCAVCLNNISGGERCRKLRECGHCFHVDCVDAWLQNHSTCPLCRTQVSGHVFRREYERGVLDFLVSWSEIILDKICNPLNQEFSSMLCEGMHGSRL >itb02g24810.t1 pep chromosome:ASM357664v1:2:25515209:25517579:-1 gene:itb02g24810 transcript:itb02g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MLTLNLGTLSKNLTCFLNSAFTHNFFCIASLCGNPTHLLHFSTLVPSRSLLQTCESMDHLKQIHAFFIRKCLSSDPVVCSKIIAFCCTHGSGDMRYALHLFETMLEPNVFVWNTMVKGYSRVGSPGNAVSLYLEMLRNNVKPDNYTFPFLLKGFTPDIAMALGKGVHAHVCKFGFEFNEFVQHSLIHMYFMCGEINMARGVFDGSAKTDVLIWNVMISGFNKNNKFEESRRLFVEMEEKQIMPTAVTLISLLSAFSELKDLEAGRRVHQYVKDCNVIESDLLILNNALIDMYAGSGEMEAALGVFQRMKHTDVISWTSIVKGFLNSGRLDLARTYFDKMPEKDSVSWTAMIDGYIKENRFKDVLLLFREMQEAGDVKPDEFTMVSVLTACAHLGALELGEWVKAYIDKNRIKVDLHLGNAVIDMYFKCGNVEKAVAMFNHMPKRDKFTWTAMILGLAVNGHGRESLHFFSQMLRASETPDAVTYIGLLCACTHTGMVEQGRKFFTNMTALHGIEPNVTHYGCLVDLLGRAGRLTEAHEVIKTMPMTPNSVVWGALLAACRVHKDVEMAEMAATQLLQLEPENGGVYVLLCNIYAACKKWEKLGQLRREMMDKGIKKTPGCSLIEMNGVVHEFVAGDQSHPQSAQIYSKIEEVVGDLKLAGYVPDTSEVFMDVGEEEKEKSVSRHSEKLAIAFALMSSGPGFTIRVVKNLRMCIDCHEVAKLISRIYGRKLIVRDKTRFHHFMDGSCSCKDYW >itb09g05570.t3 pep chromosome:ASM357664v1:9:3167601:3171207:-1 gene:itb09g05570 transcript:itb09g05570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVLEHIAGGFWSFPANWWNEINESVKWQDGIFYSLCVAYGLVSAVALIQLIRIELRVPEFGWTTQKVFHLMNFIVNGVRAVVFAFHKRVFLFHPKVITTALLDIPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLKIFYISINAGIYIVQAGIWVYLWFNDNNTMDVIGKIFVAVVSLVAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYLVVEILPSALVLYILRKLPPKRVSAQYHPIR >itb09g05570.t1 pep chromosome:ASM357664v1:9:3167599:3171442:-1 gene:itb09g05570 transcript:itb09g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVLEHIAGGFWSFPANWWNEINESVKWQDGIFYSLCVAYGLVSAVALIQLIRIELRVPEFGWTTQKVFHLMNFIVNGVRAVVFAFHKRVFLFHPKVITTALLDIPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLKIFYISINAGIYIVQAGIWVYLWFNDNNTMDVIGKIFVAVVSLVAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYLVVEILPSALVLYILRKLPPKRVSAQYHPIR >itb09g05570.t4 pep chromosome:ASM357664v1:9:3167599:3171404:-1 gene:itb09g05570 transcript:itb09g05570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVLEHIAGGFWSFPANWWNEINESVKWQDGIFYSLCVAYGLVSAVALIQLIRIELRVPEFGWTTQKVFHLMNFIVNGVRAVVFAFHKRVFLFHPKVITTALLDIPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLKIFYISINAGIYIVQAGIWVYLWFNDNNTMDVIGKIFVAVVSLVAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYLVVEILPSALVLYILRKLPPKRVSAQYHPIR >itb09g05570.t2 pep chromosome:ASM357664v1:9:3167599:3171442:-1 gene:itb09g05570 transcript:itb09g05570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIVLEHIAGGFWSFPANWWNEINESVKWQDGIFYSLCVAYGLVSAVALIQLIRIELRVPEFGWTTQKVFHLMNFIVNGVRAVVFAFHKRVFLFHPKVITTALLDIPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLKIFYISINAGIYIVQAGIWVYLWFNDNNTMDVIGKIFVAVVSLVAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYLVVEILPSALVLYILRKLPPKRVSAQYHPIR >itb12g12600.t1 pep chromosome:ASM357664v1:12:11332122:11332740:-1 gene:itb12g12600 transcript:itb12g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGIVALLFTVLVAAAATPPAARGVSLGPKAVDQWFKQLPNAKEKITKLHFYYHDIVSGKNPTAYQIAQSNNFTAKSPTRFGALSVMDDLLTETPDPNSAVVGRAQGTYGFAALYEVGLLMTLNFFFTRGEYNGSTLSVLGRNPYYNEYREMPIVGGTGVFRLARGIATAQTNYFNVTSDNAIVEYNVVVLHYDIQ >itb12g16340.t1 pep chromosome:ASM357664v1:12:17152664:17155229:-1 gene:itb12g16340 transcript:itb12g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTHNCLGWAARDPSGVLSPYNFSRRVVGSDDVSLDIAYCGICYADVIWTRNEGGTSKYPLVPGHEIVGIVKEVGSNVIQRFKVGDPVGVGAYVNSCRECKNCNEYLEIECSKGAVPTFDGIDADGILTKGGYSTYIVVHQRYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKALGLKVTVFSTSISKKEEALNLLGADNFVISSDQQQMMALSKSFDFLINTASGDIPFDLYLSLLKTAGVLALVGFPKQVKFSPGSLSFGMKSIAGSITGGTQLTQEMLEFCASHKIYPEIEIVPIQYVNEALERLINKDIKYRFVIDIGSSLKTDG >itb02g04010.t1 pep chromosome:ASM357664v1:2:2392453:2394616:1 gene:itb02g04010 transcript:itb02g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TET6 [Source:Projected from Arabidopsis thaliana (AT3G12090) UniProtKB/TrEMBL;Acc:A0A178VCX6] MYNSVSNTVIGFLNLLTLLASIPIIGAGLWMARSSTTCEKFLQTPLLVIGFVILIVSLAGFIGACFRVTWALWFYLLVMLFLIAALMGITIFGFVVTSHGGVAEVPGKVYKEYHLENYSPWLKKRISNPHYWMPIRACILGSKTCAAIVSWTPIDYQTKNMSPLQSGCCKPPTSCNYGATLESQDPDCSRWNNDPTVLCYDCDSCKAAVLEDMRRDWQKISVLNIVMVVVLIAIYSVGCCAFENAKLAETGYHYGENRMYKLRPRWDFYWWRRLHDRRHQIY >itb02g04010.t2 pep chromosome:ASM357664v1:2:2392453:2393980:1 gene:itb02g04010 transcript:itb02g04010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TET6 [Source:Projected from Arabidopsis thaliana (AT3G12090) UniProtKB/TrEMBL;Acc:A0A178VCX6] MYNSVSNTVIGFLNLLTLLASIPIIGAGLWMARSSTTCEKFLQTPLLVIGFVILIVSLAGFIGACFRVTWALWFYLLVMLFLIAALMGITIFGFVVTSHGGVAEVPGKVYKEYHLENYSPWLKKRISNPHYWMPIRACILGSKTCAAIVSWTPIDYQTKNMSPLQSGCCKPPTSCNYGATLESQDPDCSRWNNDPTVLCYDCDSCKAAVLEDMRRDWQKISVLNIVMVVVLIAIYSVGCCAFENAKLAETGYHYGENRMYKLRPRWDFYW >itb04g01800.t1 pep chromosome:ASM357664v1:4:1041694:1045740:1 gene:itb04g01800 transcript:itb04g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSIHSSKRKQRRWRLLDLVSAAIFAAVFVFFLLLLTPLGDSLAASGRQTLLGSSEGAQQRRRLAALIEAGHEPAPIEVCPADFSDFLPCEDPRTIKGSHCPVHEDSPLCLIPLPEGYRLPVQWPESLHKIWHDNVPYNKIANRKVFRGLMKKEGSYITFSDGGAMFPDGAKQYIEKLKQYIPMAGGVLRTVLDMGSGVGSFGGFLLAEDMLTLSFAPKDSSKQHIQFALERGVPAFAATLGNYRLPFSAFSFDLVHCYQCSIPFTAHNATYFVEVDRLLRPGAYLVISGPPVQWAKQDKEWADLQAAARSLCYELIAVDGNTAIWKKPNGDSCLPNQNEFGLELCDDSDEPSVAWYVKLKKCVSKTSSVKGNYAIGKIPIWPKRLTKASSRATALKYGLDVFEADNRRWARRVAYYKNTLNLKLGTPAIRNVMDMNAFLGGFAAALSSDPVWVMNVIPARKTWTLDVIYDRGLIGVYHNWCEPFSTYPRSYDLIHVSAIQSLTKDPSFGKSRCNLVDLMVEIDRMLRPQGTVVIRDSPHVIESVERIARVVRWTASVHEDEPGSHGAEKILVATKKLWTPSSISS >itb04g02470.t1 pep chromosome:ASM357664v1:4:1468607:1470792:1 gene:itb04g02470 transcript:itb04g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRLLFTCSFLATFIFTAVSGYSTAEIKTWCSQTPYPQICEYYMSQSPSNGAAPIKDKSDFLKVAVNLALEHAKRAMDSAYTLGPKCRNQREKAAWEDCLALYEVTVGKITATVDPAVKNSAADAQTWLSAALTNLETCRDGFIDVGVTDNVMPMMSNNVSYLISNTLAVNKGLTSTNSQTTSYTGGFPDWVKSGDRKLLQSSAASKADIVVAQDGSGDYKTVTDAVNAAGKRTGSGRYVIYVKGGVYEENVNIGTSLKNIMLVGDGIGKTIITGSLSVGGGSTTFKSATVAVVGDGFIGQGITFRNTAGAANHQAVALRSGSDLSVFYQCGFEGYQDTLYVYSDRQFYRECDIYGTVDFIFGNSAAVFQNCNIYARNPPNKVNTITAQGRTDPNQNTGISIHDSKVTAASDLEGSVSSVKTYLGRPWKEYSRTVFMKTFLDSLINPAGWLAWDGSFALNTLYYGEYLNTGAGASTANRVTWGGYHVITSETEASQFTVGSFIGGDSWLPATNVPFTSGL >itb04g23730.t1 pep chromosome:ASM357664v1:4:28676418:28680795:1 gene:itb04g23730 transcript:itb04g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELIYRGQEAPPVTDGYTPKPPKPWLNVIRPVRYMLREQRLVFLIAGIAVATVIFTLLPSSNPAPHVHGDSVNGHGYNAISGSDFLAETTAHSDRLLYQSRVGLGSFNSGGKIPLGLKQKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENIMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAHVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVDGLIRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNARIEFRPNTEDDPHMRKPDISRAKELLGWEPKVPLRKGLPMMVQDFRQRVFGDHKEDAPTTSTT >itb07g04400.t1 pep chromosome:ASM357664v1:7:2954638:2955546:-1 gene:itb07g04400 transcript:itb07g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNNPHFLPPQLPEQPEPPRPGPTFYPPPSDHRPGTSAAAPPPSDHRPGTSAAPPPLPANFVPRTKPPEDDYIDLTPPPPPQRHKAPPPRRGGGSGQAPHHHHPHLRVPSRTKTNPLAWLIAACCTLFWILVIFALLAILVIYLVFRPRNPKFDISSATLNAAYLDMGYLLNADLTLLANFTNPNKKGKVEFHYAVVDLYHDKSPIASTYIQQFSMMNHEYKFQDVHLVTSQVGLSADHSQKLRTQIENGKVRFEVKGLFRVRSDLGGILKYSYWLYSHCTIMVSSPPTGILIAKRCSTKR >itb02g06480.t1 pep chromosome:ASM357664v1:2:4037826:4038236:-1 gene:itb02g06480 transcript:itb02g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIPKWAGVVKVFGLSETVFEVTKKDHMSDETLDKDDDSNVGRFTFDESPLFVPGTTILLVNLAALLIGLVDFKQKKNRSWSLGEAICSVWVILMYWAFLKGLFGKGKYGIPLSTIVKSVGLALLFVHACKRAN >itb05g18090.t1 pep chromosome:ASM357664v1:5:24920695:24922235:1 gene:itb05g18090 transcript:itb05g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQNIIVAVVFAWTLVQALCVVSKKLPPGPFPWPVIGNLHLLGNQPHKSLARLAGKYGPVMNLKLGMINTVVISSSNMAKQALQKQDLTFSTRSCPDVVRACNHNQVSVVWLPVASKWTALRKAMNSNIFSGVKLDNNQHLRVRKIQELIIYCQNKSQVGEAVDIGRAAFRTTLNLLSNTIFSKDLTDPFSDSAKEFKELVWNIMEEAGKPNLVDYFPFLEKFDPQGSRRRMKGHFTKVLELFEDLIDERLEERKVMGSKNVDVLDSLLNITQERPEEIDRKYILHVCLDMFAAGTDTSSNTLEWIMAELLNNPNAMAKAQAELADVIGKGKPIQEADVTRLPYLQCVIKETFRMHPPVPFLIPRKVEQEVNLCGHTIPKDSQILVNLWAIGRDSSIWKNSLIFNPERFWNLEINVKGQDFELIPFGAGRRICPGFPMAMRMVPVMLGSLLNSFQWKLEDELDMEEKFGITLAKAHPLRAIPIPFK >itb04g04090.t1 pep chromosome:ASM357664v1:4:2537009:2539087:1 gene:itb04g04090 transcript:itb04g04090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLVLVLMMMMISVSGAVKKQSMVETNGFLVQKHLNRLNKPAVKSIQSPDGDIIDCVHMSHQPAFDHPFLKNHTIQMRPNYHPEGLFKDSKVSTLRARKKGPKPISQLWHFNGSCPEGTVPVRRTKEEDILRASSIKSYGKKKFRTVPKPKSADPDMATQTGHQHAIAYVDGGKYYGAKATINVWEPKIQQSNEFSLSQLWILGGDFNSDLNSIEAGWQVSPDLYGDHNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASISPLSSYQSSQYDISILVWKDPKEGNWWMQFGNDNVLGYWPALLFSNLKDSASMVEWGGEVVNGEPDGMHTTTQMGSGHFPDEGFGKASYLRNIQIVDESNNLRAPEDLGTFTENSNCYDVKLGNNDNWGSYFYFGGPGRNPKCP >itb01g23450.t2 pep chromosome:ASM357664v1:1:29444654:29449994:-1 gene:itb01g23450 transcript:itb01g23450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENGGVDSRLKMEEYEVVEQIGKGVYGTTFLLFHRAEKRKYVLKKIPLAKQNEMTKRAAYQEMNLNAKLKHPYILEYKDAWVEKGSNICIITDYCQGGDMAEIIKKSKRALFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKMSNIFITKENDVRLGDFGFAKLLDAKGVTSSAVGTPNYICPELLSEMPYGYKSDIWSLGCCMFEIAGHQPPFRAPDMSTLINKINRGTLSPLPIVYSSTLKQIIKTMLRKSPENRPTAAELLRHQHLQPYLLRCQNLSSVFLPVKSPNTPKEKSGKPSPSSSSSGKDSKRRQLKLKDSIPVFSLDQSPDVQPSSLRKSSDPIETTLETKRVDRTSCSPKFSEYSDESFIGDSIEITSNENGHIDLFSVKERLSKPQSSASVVPNSNPEEQKETSREYVQQFVEEVGESGKTEKLEMLGSPNGAGKAEKESAGCLSDKARSFNEDSTSSTTQPLRSEAGKEPIYNSGKPENIDKCREVALDCVSVKSSASVTTQLEVDLLKCSNKTEKDGNQVTADQALLLSKLTALSKGKDEWGNPTKQRADALESLLELCAQLIKQEKLDELSGVLRPFGEEAVSSRETAIWLTKTLMSSQKLPKGS >itb01g23450.t1 pep chromosome:ASM357664v1:1:29444632:29450110:-1 gene:itb01g23450 transcript:itb01g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENGGVDSRLKMEEYEVVEQIGKGVYGTTFLLFHRAEKRKYVLKKIPLAKQNEMTKRAAYQEMNLNAKLKHPYILEYKDAWVEKGSNICIITDYCQGGDMAEIIKKSKRALFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKMSNIFITKENDVRLGDFGFAKLLDAKGVTSSAVGTPNYICPELLSEMPYGYKSDIWSLGCCMFEIAGHQPPFRAPDMSTLINKINRGTLSPLPIVYSSTLKQIIKTMLRKSPENRPTAAELLRHQHLQPYLLRCQNLSSVFLPVKSPNTPKEKSGKPSPSSSSSGKDSKRRQLKLKDSIPVFSLDQSPDVQPSSLRKSSDPIETTLETKRVDRTSCSPKFSEYSDESFIGDSIEITSNENGHIDLFSVKERLSKPQSSASVVPNSNPEEQKETSREYVQQFVEEVGESGKTEKLEMLGSPNGAGKAEKESAGCLSDKARSFNEDSTSSTTQPLRSEAGKEPIYNSGKPENIDKCREVALDCVSVKSSASVTTQLEVDLLKCSNKTEKDGNQVTADQALLLSKLTALSKGKDEWGNPTKQRADALESLLELCAQLIKQEKLDELSGVLRPFGEEAVSSRETAIWLTKTLMSSQKLPKGS >itb04g22100.t1 pep chromosome:ASM357664v1:4:27281099:27283871:1 gene:itb04g22100 transcript:itb04g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFLLIFFSFTFISMVIATPNYRDALAKSILFFEGQRSGRIPRGQQITWRGNSGLSDGSLAHVDLTGGYYDAGDNVKFNFPMAYTTTMLSWSALEYGKRMGPQLQSTREAIRWATDYLLKCANAAPGRIFVGVGDPIADHKCWERPEDMDTVRTVYSISPSNPGSDVAGEMAAALASASLVFRKADPAYSQKLLGTAVKVFRFAVQYRGSYSDKLGAADELLWGAAWLLRATNDVSYYNFINSLGANDSPDIFSWDNKYAGAYVLLSRVRTIKVHRSVLGNDNRFAQYRQHAEDFMCKILPNSPYSSTRYTNGGLMDKLPQSNLQYVTSISSLITTYAKYMAATKRTFNCGSLLVTSNTLRSLAKRQVDYILGENPMKMSFMVGYGTNYPRRIHHRGSSLPSLATHPNSFECESGFQPFFYTSNANPNILVGAIVGGPNQNDFFPDDRTDPSHSEPATYINAAMVGPLAYFAGR >itb06g22690.t1 pep chromosome:ASM357664v1:6:24935212:24940252:1 gene:itb06g22690 transcript:itb06g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVIDRSAIIKHKMVEQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIIHHGRLSEAESRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSASPDPGVSLLKTTCGTPNYVAPEVLSHKGYNGALADVWSCGVILYVLMAGYLPFDEVDLTTLYGKIERAEFSCPSWFPVGAKSLIHRILDPNPETRIRIEEIRNDEWFKKGYVPVKIIEYEDVNLDDINAAFDDTEEEVPNEQCNNEDFGPLALNAFDLIILSEGLNLSVLFDRGQDSAKHQTRFLTQKPAKVALSTMEVVAQSMGFKTHIRNYKMRVEGLSADKISHFSVIMEIFQVAPTFFMVDIQKAAGDSTEFLKFYKNFCGNLEDLIWKPPDELCKSRITKAKGKKR >itb06g22690.t2 pep chromosome:ASM357664v1:6:24935226:24940142:1 gene:itb06g22690 transcript:itb06g22690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MPFIYPKGRFFQSLQAFIVSEFFNTSVQTFCWLKSIFFCQVIASRTKIYIILEFITGGELFDKIIHHGRLSEAESRRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSASPDPGVSLLKTTCGTPNYVAPEVLSHKGYNGALADVWSCGVILYVLMAGYLPFDEVDLTTLYGKIERAEFSCPSWFPVGAKSLIHRILDPNPETRIRIEEIRNDEWFKKGYVPVKIIEYEDVNLDDINAAFDDTEEEVPNEQCNNEDFGPLALNAFDLIILSEGLNLSVLFDRGQDSAKHQTRFLTQKPAKVALSTMEVVAQSMGFKTHIRNYKMRVEGLSADKISHFSVIMEIFQVAPTFFMVDIQKAAGDSTEFLKFYKNFCGNLEDLIWKPPDELCKSRITKAKGKKR >itb05g23060.t1 pep chromosome:ASM357664v1:5:28277700:28281303:-1 gene:itb05g23060 transcript:itb05g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCRPCNCLQISSLFLKLIPAVGTIVFGIWGLGPLLHQSRNVFLQKSDSSWGKSGTYRFMTSYLQPLVLWTGAMLFCRALDPMVLPTEASQIVKQRLLNFIRSLSTVLAFAYCLSSVIQQVQKFFMATIDPTDTKNMGFQFAGTAVYSAVWIAAVSLFMELLGFSTQKLLTAGGLGTVMLTLAGREILTNFLSGVMIHATRPFVVNDVIHTKIEGCEVSGAVEHIGWWSPTIIRGEDREAVYIPNHQFTVNIVRNLSRKSHWRIKTHLAISHLDFNKINNIVADMRKVLAKNPQIEQKKLHKRVFLENINPENQALMILVSCFVKTSRVEEYLCVKEAMLLDLLRVIRHHQARLATPIHTVQRVSDEDLGYIPYVDPAYHGAASSKRPMLLPERHYKFKGDQTKPTSVQENGEGDTKTTEQATHDKKPGIANRVAHSKFHDVDDVMEDHKGTNKTSSDAEQHSNATLKATSETTSKTDQKSGIVSSSDSGDPQDISVNKQQKKLSRGSVLSATLPESRREALTSQPSSKPALEDNIVLGVALQGSKQTLPIKEGIKPSPYQAEAKELAISHSSNGSIVAKPDKQENHQYPDSSSITTVD >itb08g09110.t1 pep chromosome:ASM357664v1:8:8348487:8349289:-1 gene:itb08g09110 transcript:itb08g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIVSLHLLVFTLMVFQCYGANQGPTTFIKTSCRATRYPPLCVQTLSSYANSIQQNPHQLAQTALSVGLARAGSAAKFILGLTRTAGLKPREKQALKDCMANMGVTVAQLKRSIKELSQTDNLPKRSFSWRVGNVQTWVSTAITNGNNCLDGFSGSAMDGSVKGAVSPKVLSVVQVTSNALALVNNFAARHKAGTATNIP >itb10g05910.t5 pep chromosome:ASM357664v1:10:6435407:6437889:-1 gene:itb10g05910 transcript:itb10g05910.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFILIIKLSITPLPPIYL >itb10g05910.t3 pep chromosome:ASM357664v1:10:6435407:6437916:-1 gene:itb10g05910 transcript:itb10g05910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFIL >itb10g05910.t7 pep chromosome:ASM357664v1:10:6435407:6437916:-1 gene:itb10g05910 transcript:itb10g05910.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFIL >itb10g05910.t2 pep chromosome:ASM357664v1:10:6435407:6437916:-1 gene:itb10g05910 transcript:itb10g05910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFIL >itb10g05910.t6 pep chromosome:ASM357664v1:10:6435407:6437889:-1 gene:itb10g05910 transcript:itb10g05910.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFILIIKLSITPLPPIYL >itb10g05910.t1 pep chromosome:ASM357664v1:10:6435407:6437889:-1 gene:itb10g05910 transcript:itb10g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFILIIKLSITPLPPIYL >itb10g05910.t4 pep chromosome:ASM357664v1:10:6435407:6437889:-1 gene:itb10g05910 transcript:itb10g05910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHSNFRGGGWSQFRSAAMFAVPRVGTAGFGDDFRLVQLKSVRRQQLILTVKLVGSNVNFWKTVASFQFILIIKLSITPLPPIYL >itb15g16960.t2 pep chromosome:ASM357664v1:15:17118914:17125103:1 gene:itb15g16960 transcript:itb15g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIFRYNKTKLCHHFTFKITFLSFTSSKFSSNSSSLTPPLKLPFFSTPSRQHLPPPSTMRVIGLGLGFQRRCKWEGSSDNYDHVKAEVNCPRCSKPMAVLFSNRPLSITAGETGIYQAVNLCPHCRTAFYFRPSKLEPLQGNFIEIGQVKGGVGERENNGNTAAGSENGKTAGKIWEKLRTYSGNNSSSDVGESSSTSDSCSSSSSSETEVEESMEGSVGEWTGLNVVKKLPTPKEICKGLDEFVVGQERAKKVLSVAVYNHYKRIYDASSTQTAGGESGKTVDEQGNFESDFVELDKSNVLLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQAGYVGEDVESILFKLLMAADFDVEAAEHGIVYIDEVDKITKKAESLNIGRDVSGEGVQQGLLKMLEGTVVNVPDNRARKHSRGDSIQIDTKNILFICGGAFIGLEKTISERRQDSSIGFGAPVRTNMSLSALTNAVVASSLLESVESNDLTAYGLIPEFVGRFPIFVSLSALDENQLVQVLMKPKNALCKQYKRMFALNNVKLHFTDNALKMIAKKAIAKSTGARGLRSILESILTEAMFEVPDARPGNDGVDMVLVDEEAVGSLDTPGCGAKILHGNAGSERLSSAMTSTDKAEKNEEPLKGDMDGDCEVASRALSL >itb15g16960.t1 pep chromosome:ASM357664v1:15:17118787:17125242:1 gene:itb15g16960 transcript:itb15g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIFRYNKTKLCHHFTFKITFLSFTSSKFSSNSSSLTPPLKLPFFSTPSRQHLPPPSTMRVIGLGLGFQRRCKWEGSSDNYDHVKAEVNCPRCSKPMAVLFSNRPLSITAGETGIYQAVNLCPHCRTAFYFRPSKLEPLQGNFIEIGQVKGGVGERENNGNTAAGSENGKTAGKIWEKLRTYSGNNSSSDVGESSSTSDSCSSSSSSETEVEESMEGSVGEWTGLNVVKKLPTPKEICKGLDEFVVGQERAKKVLSVAVYNHYKRIYDASSTQTAGGESGKTVDEQGNFESDFVELDKSNVLLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQAGYVGEDVESILFKLLMAADFDVEAAEHGIVYIDEVDKITKKAESLNIGRDVSGEGVQQGLLKMLEGTVVNVPDNRARKHSRGDSIQIDTKNILFICGGAFIGLEKTISERRQDSSIGFGAPVRTNMSLSALTNAVVASSLLESVESNDLTAYGLIPEFVGRFPIFVSLSALDENQLVQVLMKPKNALCKQYKRMFALNNVKLHFTDNALKMIAKKAIAKSTGARGLRSILESILTEAMFEVPDARPGNDGVDMVLVDEEAVGSLDTPGCGAKILHGNAGSERLSSAMTSTDKAEKNEEPLKGDMDGDCEVASRALSL >itb01g34280.t1 pep chromosome:ASM357664v1:1:37058612:37060432:-1 gene:itb01g34280 transcript:itb01g34280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRILICTFVVLAVTPCTGAQQQYSGNSALDCKNTDANEPPSPAYLYTCNGQLFTCRAFLIFRARFPFNTVPAIAALLSSNASEIARLNDASKLTAFPGDEVVVPVKCSCSGQYYAAKTSYEILSPDQTYFTIANTTYQGLSTCQSLEAENVYDEFSLKPGLELQIPLRCACPTKDQVLNGTKYLLTYSIDWGDNIAGIAEKFNVSTGKIVAGNGVSAEDSTLFPFTTILVPLSGSPSIKSQNSKTPISPQPESGVSRRRSKRGVYIGSGVAVGISVVISVMVICVVYPFRRTKKEGNKAEPVEDILVEIAGIDRSLEVFRFKDLKKAAGDFGSRNRIKGSSVFRGVFKGRDDVVIKKKSTDASEEVNMLNKINHFNLVKLHGFSVHQGCYYLVFEYMKNGSLREWLKKKTPKEVTENWCLRIQIAIDVANGLHYLHSFTDPGYVHKNICSRNILLDCNFRAKIANFSFTKPVESPTTGRIAGTRGYLAREYLDTASVTPKIDVYAFGVVLLELLTGKPAVFAREGREALLSAAIVSILRRENAEAEIANFIEADLKQHGGVELAFQTAKLALRCLATDPADRPTMGEVVPSLLKIQASLQKSYQE >itb10g07370.t1 pep chromosome:ASM357664v1:10:8721894:8724197:1 gene:itb10g07370 transcript:itb10g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTMMKWALPISTDSTPQTCVLIPIPAIPSSSSLCTTKSRRDFSLSAITISFSALIFSNAQIPNSWAKPEPPRFAELPNSGGVKALDLRIGDGEVPFDGDEVAIHYYGRLAAKQGWRFDSTYDHKDETGEPVPFCFILGSGKVIAGIESAVKSMKVGGVRRVVIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRHQI >itb08g12170.t1 pep chromosome:ASM357664v1:8:12253505:12256598:1 gene:itb08g12170 transcript:itb08g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATDNLLMKTRDMAKELETGIHMHVAEIPYENQHVVATREVDHGTVAYLNSINFLEKNLLAAHTVWVNKDEVDILSNAGVKVSHCPAAAMRMLGFAPIREMLSAGICVSLGTDGAPSNNRMSIVDEMYLASLINKGREVFAKGTTDPTIFPAETILKMVTINGAKSVLWDKEIGSLEVGKKADMIVVNPSSWSMMPIHDCISSLVYSMRTENMVSVICNGRWIMKDKKILTVDEAEVLDAAKHASIELLRRAGIQIPSRMNVM >itb06g14080.t1 pep chromosome:ASM357664v1:6:18692918:18694229:-1 gene:itb06g14080 transcript:itb06g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRNIRYSRLAADEDDEYGSEARRADHRFDYSPKSFEQVPWKSLALAIFLLFLGCLLLFLSFFILTGHMPGERSQAYGLLGLGLLTFLPGFYETRIAYYSWRGAQGYRFSAIPTY >itb02g10720.t2 pep chromosome:ASM357664v1:2:6959820:6961499:1 gene:itb02g10720 transcript:itb02g10720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RMA3 [Source:Projected from Arabidopsis thaliana (AT4G27470) UniProtKB/TrEMBL;Acc:A0A178V4H3] MAFEQGFREPIMHSGSDGDVSLKLKKDATSVPKMVSEDVKSYFDCNICLDPAHDPVVTFCGHLYCWPCIYKWLEVQSSSSESAEQPKCPVCKACISKSTFVPLYGHDLSSSDSDSKKFQLDLPIPQRPPALGVDMLLNTSAPNSILNPQLQPNHFHPRPPPSLYHQQYFPHTFGSYASTAPGFFSPTIGMFGEMFSARTSGSMDTTLFAYPNSNGTTPRMRRQEMQVDKSLNRLYIFLLCCLLVCLLLF >itb02g10720.t1 pep chromosome:ASM357664v1:2:6959349:6961499:1 gene:itb02g10720 transcript:itb02g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RMA3 [Source:Projected from Arabidopsis thaliana (AT4G27470) UniProtKB/TrEMBL;Acc:A0A178V4H3] MAFEQGFREPIMHSGSDGDVSLKLKKDATSVPKMVSEDVKSYFDCNICLDPAHDPVVTFCGHLYCWPCIYKWLEVQSSSSESAEQPKCPVCKACISKSTFVPLYGHDLSSSDSDSKKFQLDLPIPQRPPALGVDMLLNTSAPNSILNPQLQPNHFHPRPPPSLYHQQYFPHTFGSYASTAPGFFSPTIGMFGEMFSARTSGSMDTTLFAYPNSNGTTPRMRRQEMQVDKSLNRLYIFLLCCLLVCLLLF >itb04g26140.t6 pep chromosome:ASM357664v1:4:30541497:30545536:1 gene:itb04g26140 transcript:itb04g26140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTDTDFGSADNAKKQTSSKRSRAAEVHNLSERKRRDRINEKMRALQQLIPRCNKVLVL >itb04g26140.t7 pep chromosome:ASM357664v1:4:30541497:30542954:1 gene:itb04g26140 transcript:itb04g26140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTVSS >itb04g26140.t4 pep chromosome:ASM357664v1:4:30541497:30545536:1 gene:itb04g26140 transcript:itb04g26140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTDTDFGSADNAKKQTSSKRSRAAEVHNLSERKRRDRINEKMRALQQLIPRCNKLDKASILDEAIEYLKSLQLQVQMMSLGHGCGMIPMMFPGIQQYMPAMGIGMGMRMGMNPPVVPYPPATPMGGPRFPLPAFPMPPPFAMADPSRIQASNHTDPMLNSNHPPFPAQTQVTPSMNEMAEHSNKSKPCNSKDF >itb04g26140.t2 pep chromosome:ASM357664v1:4:30541539:30545065:1 gene:itb04g26140 transcript:itb04g26140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTDTDFGSADNAKKQTSSKRSRAAEVHNLSERKRRDRINEKMRALQQLIPRCNKLDKASILDEAIEYLKSLQLQVQMMSLGHGCGMIPMMFPGIQQYMPAMGIGMGMRMGMNPPVVPYPPATPMGGPRFPLPAFPMPPPFAMADPSRIQASNHTDPMLNSNHPPFPAQTQVTPSMNEMAEHSNKSKPCNSKDF >itb04g26140.t5 pep chromosome:ASM357664v1:4:30541497:30545536:1 gene:itb04g26140 transcript:itb04g26140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTDTDFGSADNAKKQTSSKRSRAAEVHNLSERKRRDRINEKMRALQQLIPRCNKLDKASILDEAIEYLKSLQLQVQVSVFFLMCVGRTRETCTHNLMLCIR >itb04g26140.t1 pep chromosome:ASM357664v1:4:30541436:30545240:1 gene:itb04g26140 transcript:itb04g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTDTDFGSADNAKKQTSSKRSRAAEVHNLSERKRRDRINEKMRALQQLIPRCNKLDKASILDEAIEYLKSLQLQVQMMSLGHGCGMIPMMFPGIQQYMPAMGIGMGMRMGMNPPVVPYPPATPMGGPRFPLPAFPMPPPFAMADPSRIQASNHTDPMLNSNHPPFPAQTQVTPSMNEMAEHSNKSKPCNSKDF >itb04g26140.t3 pep chromosome:ASM357664v1:4:30541436:30545240:1 gene:itb04g26140 transcript:itb04g26140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNHHCVPEFDMDEDYFPLPMTAPPSAAGLSGAKKSAMVEEEIMELLWQNGQVVVHTQNHQRSVKRSHGDDGDAAIPVPAARKVGSAAEEEMATSHQPQLFMQEDEMASWLHYPLVDSPLERYLSADLLYSAAVAPPAAPLPPIPPSASGRTDLRLARLCRPPGPSRAASTVVESNDTPIVPTDYRDSHVADSTAQVSGGNLERGGGAMRETEAAGASTGGKETTATCELTVTSSPGSAACSVSAEKSTAPATTTEDRKAKGSETDDEGHNTDTDFGSADNAKKQTSSKRSRAAEVHNLSERKRRDRINEKMRALQQLIPRCNKLDKASILDEAIEYLKSLQLQVQMMSLGHGCGMIPMMFPGIQQYMPAMGIGMGMRMGMNPPVVPYPPATPMGGPRFPLPAFPMPPPFAMADPSRIQASNHTDPMLNSNHPPFPAQTQVTPSMNEMAEHSNKSKPCNSKDF >itb15g15510.t1 pep chromosome:ASM357664v1:15:14454821:14456813:-1 gene:itb15g15510 transcript:itb15g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKLPMDTLIDILARLPVKSLMRFKSVCKFFYDLIKNNHQFMDKHYEFRKGKSHCAVIEFEPASTVPQPLPNYLFNLIYKESECDDVICIYLDLPNSNTRFVKCCDGMLCLILCRGDLVRSDIGHIISPDIIFDVLVLNPFTREVKVLPFIKVPDKPPNIQRLEIQFGFGLSNNMTWKVIMLLSFEDANRKIDSHEIVMVCSQVGDLWSWRKIDVALDSLYLGSLFDTRDFYLKGKYYWQSIKGHLVWFDIDDEVFGKIERPSNVKGLDFYTVMSECIVVVTVQLPCTENEVCNEIWVMDENNNNISWNKQTSIFSSRDMLEYFDPIGFWDPSGHFLVVSGHMERRYTNSNYYYPDYHDEELYFKDGFGPNLISIDLKTHEKKTIFTSQERISTLTIGLNPAGHVQVCSERSVNQIQEWNDINILWDMASYFRTFHESLKLL >itb03g16420.t1 pep chromosome:ASM357664v1:3:15388007:15391366:-1 gene:itb03g16420 transcript:itb03g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSC13 [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/TrEMBL;Acc:A0A178VGP2] MKVVVNSRSGRELIKGGLDFSDTATVADLQEQIHKRTKKYYPSRQRLTLPIPPGSTQRPTVLHYKKSLKEYTDGNKNELTVIFKDLGPQVSYRTLFFWEYLGPLIIYPLFYYLPVYKFFGYEGNRVMHPAQTYAMYYWCFHYFKRILETFFVHRFSHATSPLSNVFRNCAYYWSFGAFIAYFVNHPLYTPVSDLQMKIGFGFGLICQVANFYCHILLRKLRSPDGSGGYQIPRGFLFNIVTCANYTTEIYQWLGYNIATQTVAGYVFMVVAAGIMTNWALGKHRRLKKLFDGKEGRPKYPRRWVILPPFL >itb13g10360.t1 pep chromosome:ASM357664v1:13:14965799:14967626:-1 gene:itb13g10360 transcript:itb13g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSASPTHFPSFSPSKSNLSSKPFHYLTPRKLHFLVHTRAAGQEKGSGPPVAVDDSNDKPFGSNGSPAGAPAPEFVRKFRDPRWVGGSWELSKFQTDGKTNWDGVIDAEVKRRKWLEDNPETTSNQDPVVFDTSIIPWWAWMKRFHLPEAEILNGRAAMLGFFSAYLVDSLTGLGLVDQMGNFFCKTLLFVAVGGILLVRKNEDIQALKKFVEETTFYDKQWQASWKEETSTDSN >itb13g10360.t2 pep chromosome:ASM357664v1:13:14965977:14967595:-1 gene:itb13g10360 transcript:itb13g10360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSASPTHFPSFSPSKSNLSSKPFHYLTPRKLHFLVHTRAAGQEKGSGPPVAVDDSNDKPFGSNGSPAGAPAPEFVRKFRDPRWVGGSWELKVKRRKWLEDNPETTSNQDPVVFDTSIIPWWAWMKRFHLPEAEILNGRAAMLGFFSAYLVDSLTGLGLVDQMGNFFCKTLLFVAVGGILLVRKNEDIQALKKFVEETTFYDKQWQASWKEETSTDSN >itb07g23260.t1 pep chromosome:ASM357664v1:7:27686794:27689697:1 gene:itb07g23260 transcript:itb07g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYSDIGKKAKDLLYRDYVADHKFTITTYSATGVAITSSGVKKGELLVADVTTQLKNKNITTDLKVDTNSKLYTTITVDEPAPGLKTIISFVAPDQKSGKVELQYLHEYAGISTSIGLTASPLVNFSGVAGNNTLALGTDVSFDTATGKFTKYNAGLSFSTSDLIASLTLNDKGDSLAASYYHTVRPLTNTAVGAELTHSFSSNENTLTIGTQHKLDPATTVKAKVNNYGKAHALIQHEWRPKSLFTISGEVDTRAIEKSAKIGLAVALKP >itb13g16840.t1 pep chromosome:ASM357664v1:13:23783038:23786846:-1 gene:itb13g16840 transcript:itb13g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPIPTFGNWESEGDVPYTVYFENTRKTKKGSKMNPKNLQEDSEAEPKGHKRRDAPRPNHGHHTGKDDAVLNRSTGSPRHQHGVKLQNEKLESEQKRQEALQTRHVRQLNQDEGYLRNTDSSLRNANAARKTPFESPQHLYGGLSAGDTTKKASRQSMESDHSNEHSPLHPHFNVRTGVKGGGVSSPSWERKGSSEGGHGVAPFTPGRSRLRSITKGDDTPDHGLAVPKFGDWDETDPASAEEYTQVFEKVREEKQSGAEKVPSMPTEPSYSNSEKRYGNGNSKGCSCFSWIRS >itb13g16840.t3 pep chromosome:ASM357664v1:13:23783038:23786841:-1 gene:itb13g16840 transcript:itb13g16840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPIPTFGNWESEGDVPYTVYFENTRKTKKGSKMNPKNLQEDSEAEPKGHKRRDAPRPNHGHHTGKDDAVLNRSTGSPRHQHGVKLQNEKLESEQKRQEALQTRHVRQLNQDEGYLRNTDSSLRNANAARKTPFESPQHLYGGLSAGDTTKKASRQSMESDHSNEHSPLHPHFNVRTGVKGGGVSSPSWERKGSSEGGHGVAPFTPGRSRLRSITKA >itb13g16840.t4 pep chromosome:ASM357664v1:13:23783790:23786846:-1 gene:itb13g16840 transcript:itb13g16840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPIPTFGNWESEGDVPYTVYFENTRKTKKGSKMNPKNLQEDSEAEPKGHKRRDAPRPNHGHHTGKDDAVLNRSTGSPRHQHGVKLQNEKLESEQKRQEALQTRHVRQLNQDEGYLRNTDSSLRNANAARKTPFESPQHLYGGLSAGDTTKKASRQSMESDHSNEHSPLHPHFNVRTGVKGGGVSSPSWERKGSSEGGHGVAPFTPGRSRLRSITKGDDTPDHGLAVPKFGDWDETDPASAEEYTQVFEKVREEKQSGAEKVPSMPTEPSYSNSEKRYGNGNSKVCCSSGFLCICLALYLKPYNVAIFSWGLVKLLRSCLVELNIIDYDYMVQFG >itb13g16840.t5 pep chromosome:ASM357664v1:13:23783057:23785872:-1 gene:itb13g16840 transcript:itb13g16840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKNLQEDSEAEPKGHKRRDAPRPNHGHHTGKDDAVLNRSTGSPRHQHGVKLQNEKLESEQKRQEALQTRHVRQLNQDEGYLRNTDSSLRNANAARKTPFESPQHLYGGLSAGDTTKKASRQSMESDHSNEHSPLHPHFNVRTGVKGGGVSSPSWERKGSSEGGHGVAPFTPGRSRLRSITKGDDTPDHGLAVPKFGDWDETDPASAEEYTQVFEKVREEKQSGAEKVPSMPTEPSYSNSEKRYGNGNSKGCSCFSWIRS >itb13g16840.t2 pep chromosome:ASM357664v1:13:23783225:23786846:-1 gene:itb13g16840 transcript:itb13g16840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPIPTFGNWESEGDVPYTVYFENTRKTKKGSKMNPKNLQEDSEAEPKGHKRRDAPRPNHGHHTGKDDAVLNRSTGSPRHQHGVKLQNEKLESEQKRQEALQTRHVRQLNQDEGYLRNTDSSLRNANAARKTPFESPQHLYGGLSAGDTTKKASRQSMESDHSNEHSPLHPHFNVRTGVKGGGVSSPSWERKGSSEGGHGVAPFTPGRSRLRSITKGDDTPDHGLAVPKFGDWDETDPASAEEYTQVFEKVREEKQSGAEKVPSMPTEPSYSNSEKRYGNGNSKKLR >itb08g05580.t1 pep chromosome:ASM357664v1:8:4613844:4614445:-1 gene:itb08g05580 transcript:itb08g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFEIEKFNGKNFSLWKLKVKAILRKDNCLAAISERPVDFTDDKKMERDERGCYGGSIPINSRWSIVKHRGEEDGQGDLGSPQPVVRGQVTAQQNFP >itb11g05260.t1 pep chromosome:ASM357664v1:11:3097468:3099106:-1 gene:itb11g05260 transcript:itb11g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSPPTYTAVLEQSSVAPPPGKVAAFSLPLSFLDLNWLLGSPVHRLIFTQHPVSRTQFENSIIPHLKTSFSIALQYYPLLAAKLAVPFDYSTPPEIVYGDGDGVSLVFAVSDDNGRFDCLSSNHARNSNEFYPLIPTLPSVRRGMFAVQVTLFPDAGICVGIANHHVVGDGSSIFGFMKAWTALSLLGHKSLSDEYLPFLDRSVIEDPKGLKTLMWNHMKNIPIDEDGHGVPFPDVTGKARATFILTRDDIQKLKTHVISRRSKVVRQPSTFVVTCAYMWTCLLKSQCFAAASVNDDVDDDTVYFLCAADCRARLDTPLPANYFGNCLVPCIARAKAGQLAAEDGLAAAAEAIGEAIQWQLQGEEGVLRGAENWMSFFSTVSFERILSVAGSPRLDYYKLDFGWGKPKKIETTSIDYSGAIYVGCAKDSLDIEVGVSVPGAKMHLLKTLFDQGLQALSI >itb01g23180.t1 pep chromosome:ASM357664v1:1:29237007:29240196:-1 gene:itb01g23180 transcript:itb01g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARWVTLKSTAHLPRESKFWGNRMGRSPNSLRVERKEWKIKLPGVAFSALTTEHGNDALAVELEAPRPRANSKNVAAIILGGGAGSQLFPLTRRAATPAIPVGGCYRMIDIPMSNCINSGISKVFVLTQFNSASLNRHIARTYFGNGVSFGDGFFEVLAATQTPGEAGKKWFQGTADAVRKFTWVFEDVKNKDIDNILILSGDQLYRMDYMNLVQNHIDCNSDITISCVPTNESRAPNFGLVKMDHSGRVVQFVEKPKGIDLKEMQMDTTLLGLSSEEARRNPFIASMGIYVFRTELLLNLLGWKYPAFNDFGSEIIPATLTDHRIQAYIFRDYWEDIGTIKSFYDANLALTEQSPKFEFYDPKTPIYTSPRFLPPSKIDRCKIKDAIISHGCFLRDCTVEHSIVGERSRLDSGVELKDTLMMGADNYETESEIALLLAGGKVSMGIGQNTKIRNCIIDKNVRVGKNVVITNKDGVEEAARPEEGFYIRSGIVVIMENATISDGTVI >itb02g09280.t1 pep chromosome:ASM357664v1:2:5923705:5939484:1 gene:itb02g09280 transcript:itb02g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKADVGPPGRMASRRMSRAPTMVDPAAGEDENTLDSELVPSSLASIAPILRVANEIEKENRRVAYLCRFHAFEKAHRMDPTSSGRGVRQFKTYLLHRLEREEEETKPVLAKTDPREIQKFYQNFYEKNIRDGQQTKKPEEMAKLYQIASVLYDVLRTVVPPTKVDEQTQRYAKDVEEKREYYEHYNILPLYAVGVKPVIMELSEIKAALRAIRNMDNLPIIRTHDGSKDKSTNDILEWLASAFGFQKGNVANQREHLVLLLANMDVRNKGLEDHENYDQLDSYTVRQLKDKIFKNYDSWCKYLHCSSNLKFPPGCNRQQLELVYIGLYLLIWGEASNIRFMPECLCYIFHNMAREVYGFLFGNVAHVSGGSYQTVPHGEESFLKNVVTPIYEVIRKEARRNKEGKASHSAWRNYDDLNEYFWSDKCFKLGWPMNKSADFFVHSDDKHKANAGRNQVATGNRKPKTNFVEIRTFWHLYRSFDRMWIFFVLALQAMIIIAWNHSGSIYDIFDEDMFKSILSIFITAAILHFLRAILDIILSLNAWRSLRFTQILRYLLKFVVAAFWVVVMPITYSKSIQNPTGVVRFFNNLGGDIPNQSLYYYCVAIYLLPNILAAFLFLFPVVRKSLEKSNWHIVGLLMWWSQPKLYVGRGMHEDMFSLFKYTFFWIMLLISKLAFSYYVEILPLVQPTKTIMDIRVTNYEWHEFFPNMANNIGVVIAIWSPIILVYFMDTQIWYAIFYTIVGGITGAFSHLGEIRTLGMLRSRFVSIPSAFSERLVPSSKEELRKRRKDDALERKNIAKFSQMWNEFIVSMRMEDLISNRERDLLLVPYSSNDVTITVIQWPPFLLASKIPIALDMAKDFKGKEDADLFRKIQSDEFMFSAVIESYQTLNYLLHKILDSPEDRRIINQICKEVEESINGKHFLRDFRMSGLPILNNKLERFLDLLVTDYEDEDQKKSPLINLLQDIIEIITQDVMVNGNVIMEGAHHHYENEREEKFQRINIHFTQNRSWMEKVTRLHLLLTVKESAINVPMNLEARRRITFFANSLFMKMPSAPKVRNMISFSVLTPYYKEEVMYSEEELNKENEDGISTLFYLQRIYPDEWKNFQDRIHDPKLKTEDKEEMELTRQWVSYRGQTLARTVRGMMYYREALKLQYFLDFGEDEAIFQGYRSINQSDDKVLKERAQALADLKFTYVVSCQIYGTQKKSKDAKERSCYVNILNLMLAYPSLRVAYIDEREETIQGKKTEKVYYSVLVKGGDKLDEEIYRIKLPGPPAVIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFLKTHHGQRRPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLRVRFHYGHPDIFDRIFHFTRGGISKASKIINLSEDIFSGYNSTLRGGYVTHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVLSGLEKRILEDPSVRQTKALEEALATQSVFQLGLLLVLPMVMEIGLERGFRTALGDFIVMQLQLASVFFTFQLGTKAHYYGRTLLHGGSKYRATGRGFVVFHAKYADNYRMYSRSHFVKGLELLILLIVYEVYGESYRSSKLYFFITISMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWSGEQEHLKHTNIRGRLLEIILGLRFFIYQYGVVYHLDIAHGSRSLLVYGLSWFVMVTVLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFVSVMTVLFVVCGLTISDIFAALLAFLPTGWGLLLIGQACKPCLSRVGIWDSVMELGRAYECIMGLIIFMPTVVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKASANV >itb04g08750.t1 pep chromosome:ASM357664v1:4:7494167:7499004:-1 gene:itb04g08750 transcript:itb04g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAGSEVKKMEEAGKGKSQTLICAPLMADSVDQMLNLMQKAKESGADLVELRLDSLKSFNPRHDISTMIEHCSLPTLFTYRPTWEGGQYDGDEKSRLDALHLAMELGADYIDIELKVVHEFNSLLHGNKPAKCKIIVSSHNYHNTPSVEELSDLVARIQESGADIVKIATYALDITDVARIFKITLHSQLPIISMAMGEKGLISRILSPKFGSYLAFGTLETGRESAPGQPTIQDLLYLYNFRHIGPDTKVFGIIGKPVGHSKSPILYNKAFRSIGFNGVYIPLLVDDVANFLQTYSSSDFAGFSCTIPHKEAALANCDEVDPIAKSIGAVNCIIRKPADGKLFGCNTDYVGAISAIEDGLRGLPHNPVDGPLAGKIFVVIGAGGAGKALAYGAKEKGAKVVVANRTYERARELADIVGGQALSLAELSTFHPENGMILANTTSIGMQPKIDETPAPKEALSCYSLVFDAVYTPKITRLLREAEECGAEIVTGVEMFIGQAYEQYERFTGLPAPKELFKSIMSKY >itb08g02260.t1 pep chromosome:ASM357664v1:8:1816308:1817593:1 gene:itb08g02260 transcript:itb08g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRMTTMTTTVMMRAAIMTIAVLLFIVPDVKGQAGMRKRVEIENNHTSYLSIRCFSFEKSLELKHLAAGEKYVFDLKVRRLFPSATMYNCSTNMGTFIVFRHSYNCASLPEYPVCNWRFDDIMTYLYNPKYHLWGPYEYNPNYESLNRGGVIQGYFVN >itb08g02260.t2 pep chromosome:ASM357664v1:8:1816779:1817237:1 gene:itb08g02260 transcript:itb08g02260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVMMRAAIMTIAVLLFIVPDVKGQAGMRKRVEIENNHTSYLSIRCFSFEKSLELKHLAAGEKYVFDLKVRRLFPSATMYNCSTNMGTFIVFRHSYNCASLPEYPVCNWRFDDIMTYLYNPKYHLWGPYEYNPNYESLNRGGVIQGYFVN >itb07g22490.t1 pep chromosome:ASM357664v1:7:26956963:26958736:1 gene:itb07g22490 transcript:itb07g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPDEDKQLLAYIEQYGHGSWRALPAKAGLQRCGKSCRLRWSNYLRPGIKRGNFSLQEEQSIIQLHALLGNRWSAIASHLPKRTDNEIKNYWNTHLKKKLSKMGIDPMTHRPKINSSFGSAANLSHLAQWETARLEAEARLVRPSKFIASSLISPHHKPPPPPLKVPPCLDMLKAWQETWTKPPRTRVSSNLDGGAFVSNATPHQFPTTLNFSDQKLTTLNFSNQNLTTLNFSDQNLCFMGTPYVHESNIGNPNPTGNDIIPHVAMDPLSELPTFIHGFSELSPETLTGYFADDNVVGNYGTADLEDNSRYWNSILNNLVASPVGSPVF >itb08g03340.t1 pep chromosome:ASM357664v1:8:2730849:2731465:-1 gene:itb08g03340 transcript:itb08g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALHFPETFLAVVERMYTDHYKQQKDRRSGRCRGDQTAFVSIEIGTTTNTAKPSTSSSRQVQQVNNNNRLNFSSMKFFDRFRKIVMRLLFSVPSSDRRGGNRAAAAQRRRSCDRPETPKSSCSSSYYYSSNSHYHEAIADCIEFFNKSSSSQEGAAFAGRRSDAVV >itb15g04140.t2 pep chromosome:ASM357664v1:15:2623372:2626274:1 gene:itb15g04140 transcript:itb15g04140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MFINHCQNGIAWRRAFNSQASSAGSEFEFDEVHKHSEFECDEVTNDAKVTEKNTALRSALSQLACDFGTESMLSLQRFFGARRAPVISTGSLKLDQALGIGGLPKGRIVEIYGREASGKTTLALHVIKEAQKLGGYCAYLDVENAMDPLLAEAIGVNTDNLLISQPDSAENLLSVVDTLTKSASVDVIVVDSVAALIPQLEIDRCISDSDSHRDAQSKIMTRALRKIHYSLCNSNTLIIFVNQVRSKVQSSKAFGRAEEVTCGGNALPFYAAVRLRMIRKELLKANDKITGLRVSVQVVKNKLAPAMANAELRIEFGRGICDEVEEALELACEHGVILQEGNGYFIEGEVLNSKEEAEAYLAENDGALDQVIKSLRAQLFRREGSS >itb15g04140.t1 pep chromosome:ASM357664v1:15:2623101:2626408:1 gene:itb15g04140 transcript:itb15g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MVAQSFKFLRLNTCSNPRLSSFLSPKSWNGIAWRRAFNSQASSAGSEFEFDEVHKHSEFECDEVTNDAKVTEKNTALRSALSQLACDFGTESMLSLQRFFGARRAPVISTGSLKLDQALGIGGLPKGRIVEIYGREASGKTTLALHVIKEAQKLGGYCAYLDVENAMDPLLAEAIGVNTDNLLISQPDSAENLLSVVDTLTKSASVDVIVVDSVAALIPQLEIDRCISDSDSHRDAQSKIMTRALRKIHYSLCNSNTLIIFVNQVRSKVQSSKAFGRAEEVTCGGNALPFYAAVRLRMIRKELLKANDKITGLRVSVQVVKNKLAPAMANAELRIEFGRGICDEVEEALELACEHGVILQEGNGYFIEGEVLNSKEEAEAYLAENDGALDQVIKSLRAQLFRREGSS >itb05g19200.t1 pep chromosome:ASM357664v1:5:25787348:25790893:-1 gene:itb05g19200 transcript:itb05g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVLMGFPKLKFFMFFMFFMVLVPWPWVSSLNRDAAILLRVKTSQLTDSTGQLNDWVDSAPGAPCNWTAITCDVRTQAVVSIDFSNFGLSGRFPADLCRISTLRTLNLTNNNFGDFISSDSFSLCSHLESLDLTLNLFVGELPEFLTEFTNLTTLVLGGNNFTGEIPASFGRLPKLQTLNIINNLLNGSIPEFFSNLTELTYLGFAMNPFKAGPLPSSIGRLTKLRQLFARSANLAGAIPDSIGNLVSLQNLDLSQNGLTGKIPDSIGALKSVGQIELYSNQLSGELPDTFWNLTSLVHIDISMNKLTGRIPESLASLHIESLHLNDNNLEGEIPVSLSLNPNLSDLKLFNNSLSGTLPENFGLNSELSFFDVSGNNLEGPLPPNLCAKKTLQSLMVFNNRFSGTVPVSYGECSSLTYARIQNNKLSGTLPAGFWGSTHYQLIELQNNEFEGQIPPSISNARNISQILISGNKFSGELPSEICELETLVILDMSRNQISGALPSCITKLKNLQKLELQENEIGGEIPKSVRSWNVLNELNLSFNRLTGEIPSSLGNLKVLNYLDLSSNMLTGEIPMALTQLKLNKFNLSYNRLEGKIPSAFDNKFFYSSLMGNPGLCSSDLQDFPSCRKSKPVSVYLVAILASCAFILVVSLLWLLIKTRKSSARRKRNGRSWSSTAFQPVRLSESDVLASLSDENVIATGGSGRVFRVQLKTGQLVAVKKLWEANRERESKEVFKSEVETLGRVRHGNIVKLLYSCIGEDFRILVYEYMENGSLGDVLHGEKGGVLLDWPKRFDIAVGAAQGLAYLHHDCVPAIVHRDVKSNNILLDGEFRPKVADFGLAKILRRDIPKGDQVMSHIAGSYGYIAPEYSYTLKITEKSDVYSFGVVLLELLCGKRPIDDLFGENKDIVKWVSGIAISSMKQWDGIVDLNQVVDPRMNQSTCDYEQMKKVFDVALLCTSELPIHRPSMRKVVELLKRTPESHSLSSDR >itb06g06000.t1 pep chromosome:ASM357664v1:6:8681451:8682816:1 gene:itb06g06000 transcript:itb06g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPEEPLLAPNPDRFCMFPIEYPQIWEMYKKAVASFWTAEEVDLSQDLRQWEALTSDEKHFIKHVLAFFAASDGIVLENLAGRFMKEVQIAEARAFYGFQIAIENIHSEMYSLLLETYIKDSEEKDRLFHAIDTVPCVEKKAKWALRWIDGSESFAERLLAFACVEGIFFSGSFCAIFWLKKRGLMPGLSFSNELISRDEGLHCDFACMLYGLLRRKLSEERVKSLVVEAVDIEREFVCDALPCALVGMNGDLMSQYIEFVADRLLGALGCSKVYNVQNPFDWMELISLQGKTNFFEKRVGEYQKAAVMSSLNGNGDTHVFKLDEDF >itb09g14510.t1 pep chromosome:ASM357664v1:9:9780529:9783101:-1 gene:itb09g14510 transcript:itb09g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVLISITAFLAIFNPFASSLVLHNEHDSKVKFGYSGPTGPGRWADLSPAFSECAKGKSQSPVNILTHSNAIVHTKYKPLLIHYAKSLNSTLINYGFNVGIRYGAEAGMIVLDGKNYTLKQMHWHAPSEHRIDGVQFAAELHLVHFAVADHTLAVIAVLFQLGPRPDPTLAKVGKHLIKLPRESSSSSSKQDKPMIPLGKLNTHQIRKLLHSHKYYKYFGSLTTPPCTEHVTWAVLPKIHSISERQIEALRAPVEATCKKNSRPVQPLYGRKLQLYEAHHHHN >itb03g25740.t1 pep chromosome:ASM357664v1:3:24946151:24948816:1 gene:itb03g25740 transcript:itb03g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELRNLEFTLPKVKESKKAKFSLGLAEQKLGSHIFEETKIPCQSNEFVLELLLDIAFVKKLVLMAVTLLGFFVVINLKTAEALQSKQLEELTGRMRECKRLIKEFDREIKDEESKNPPELNKQLNDEKQSMAIIYGPMVCRWILLPLISQRSCCLD >itb03g25740.t3 pep chromosome:ASM357664v1:3:24946151:24949896:1 gene:itb03g25740 transcript:itb03g25740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELRNLEFTLPKVKESKKAKFSLGLAEQKLGSHIFEETKIPCQSNEFVLELLLDIAFVKKLVLMAVTLLGFFVVINLKTAEALQSKQLEELTGRMRECKRLIKEFDREIKDEESKNPPELNKQLNDEKQSMAIIYGPMVCRWILLPLISQRSCCLD >itb03g25740.t2 pep chromosome:ASM357664v1:3:24946081:24947817:1 gene:itb03g25740 transcript:itb03g25740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELRNLEFTLPKVKESKKAKFSLGLAEQKLGSHIFEETKIPCQSNEFVLELLLDIAFVKKLVLMAVTLLGFFVVINLKTAEALQSKQLEELTGRMRECKRLIKEFDREIKDEESKNPPELNKQLNDEKQSMVHF >itb10g17780.t1 pep chromosome:ASM357664v1:10:23907812:23908461:1 gene:itb10g17780 transcript:itb10g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKYGLEAQVAKNGEKEMVLNCFDLLLMEKEMPVKDGVNATWELREMGLKSMIVGVTSHGPGEVRDEFMVAGLDECLMKPLGSDVVLRLINQLVA >itb03g21070.t1 pep chromosome:ASM357664v1:3:18863716:18866967:-1 gene:itb03g21070 transcript:itb03g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLSILLLLVIFFFFTTSTASRDISELPHFNRSFTVKANQRIALNKCSYTVDIRTSCSSVKYTRDQISLSFGDAYGNEVYLARLDDPDSDTFERCSRDTFKIKGPCMDDVCYLYLYRVGSDGWKPQTVTVYMSAYRVGSDGWKPQTVTVYMSAYRYVTFIYNRFIPRGVWHGFNHCDYASISTVA >itb05g27820.t1 pep chromosome:ASM357664v1:5:31004064:31010724:-1 gene:itb05g27820 transcript:itb05g27820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAT1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79090) UniProtKB/Swiss-Prot;Acc:Q0WPK4] MDGFEGPGGIEFSSNPQDLKQVDSNSSGNALFDASQYAFFGNDVVEEVELGGLEDEEDDLPPPGFDDVEYQLEQEEGEMLASYSDVDDLTSTFSKLNKVVGSPTKAAFFGDRESRESSSAADCVQEADFRDWFDQRGFDAERNEESKRWLSHPYSSSAHLIESKPLYRALSYPEQEQQLQHQQQRPQPRQHISSEAILIPKSSFTSFPPPGGRLPQASPNNLPSTTYHPGGQPIPVSSPNFSPFSSPQNQMNAMHHGSQYGGVLPQFSGHGHQVHNRIQNQWMNQSTLNPGEHSTPPKNLMQQQLPHQNGLLPQQVPQQQTQQLGLPHPFQLPFGTSGMQSQRFNHHQPPTSQMNNFEMFGLADFKDQRTESMLRGRQAAWYSPLGLGIRNQRSDNGWPKFKSKYMSTDEIENILRMQLAATHSNDPYVDDYYHQACLAKKSAGAKLKHHFCPTNLRDGSSQSRANTETHAFLQVDALGRVSFSSIRRPRPLLEVDPPNSSATSSSEQKVSEKPLEQEPMLAARVTIEDGICLLLDVDDIDRFLQFMQTQLPDGGELLSKRRQMLLECLASSLHLVDPLGKNGQSVDIAPKDDLVFLRIISLPKGRKLLSRYLQLLFAGSELSRVVCMAIFRNLRFLFGVLPADHGAAETSKNLARTVSLCVREMDLRVLAACLASVVCSTEHPPLRPVGSPAGDGASIILKSVLERATELLRDPHAGAKCGMQNRAFWQASFDAFFGLLTKYCFNKYDSVMQSFLTQAPQNMVNNGSDAARAISREMPVELLRASLPHTSDQQRKLLLDFTQRSMPLPSTGSQSGGNGGM >itb01g22460.t1 pep chromosome:ASM357664v1:1:28353434:28355517:1 gene:itb01g22460 transcript:itb01g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNDDIPGAVGTSASFALRLGQAAFASASLLFMSLGVEFYSYTAFCFLVTIMGLVIPWSVTLALVDGYSVFVKCPIRQPGILLVIILGDWALSILTLAAASSTAGIVDLLLRSDGTFCPSKLCSRYQISAAMAFLTWFLSMASSLSNLWLLPSL >itb11g23460.t1 pep chromosome:ASM357664v1:11:25460907:25463311:1 gene:itb11g23460 transcript:itb11g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46820) UniProtKB/Swiss-Prot;Acc:Q8LCA1] MASSTTSPISLSSPSSSTLVDAKAAPRHTASSQCVTLPTLPPPPPAAVHSQTTSRAARTTAYCRKIARNVMAMATGEVTTAEVATTELPEFVKTIQKTWEKVEDKYAVTSLAVAGAVGLWASAGVVAALDKLPVVPGFLEVVGIGYTGVFVYNNLVYKPDREALIQKVKDTYKEILGTN >itb09g30880.t2 pep chromosome:ASM357664v1:9:31436617:31444510:-1 gene:itb09g30880 transcript:itb09g30880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIRQPPSRRRLGLCVCPSFHRYIALSVALRLCLCLCVCVCVCVATSQVAGFPAFVFNSITDPMESSLDPLAAGQSEHISGTSLFHRRIDFHLARKSFNGFGNCSGGFRLETLNSTSNSASVPGKAPAPPNPPSNKGNANDGLDFDPELSLNITFRKIMRIGAGLENLGNTCFLNAVLQCLTYTEPLAAYLESGKHQNSCRKAGFCALCAIQRHVSRALQATGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPGAYEKSLVHRMFGGRLRSRVKCMQCSYCSDKYDPFLDLSLEIIKADSLYKALEHFTAPELLDGGEKQYSCQQCKQKVRARKQLSVDKAPHVLTIHLKRFGSHLPGKKIDKKIHYGPVLDLNRFMSSPHTSDLKYTLYAVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNQVFQVNERKVLEQKAYMLFYVRDRKSTLPKRRVDVAHKDSNLINGVGIKEHKCGSTKEASITAGICSPLTATLGNVSDGTHNIFRVPTAMTRPIGCDTDVRTKDVNAPISAVLSKSNSTVDKDSSGSIVLPLSCNEDVINEKDSSDNSSHKPDDDSILKKSLARCSKGKSVSGKVLELSEQKLKEAGQLKSNQECGDDTQIHVFSKGNGNETNGYVTSEIGSDILVTLSDPLRTSKTIPLKANGKTNMKVRKKPFKSHITSMHLSSLIFGTALYVRRKRKQKLRKHCISHINNRSLEYLNGEHALPSSLGPTSEQAKVLTTRVSLHKKILTCVSDVEVYNSGVKGQLDTGDLQGRMVNEVPKERAGNVETVLMSDKQLVKISSSTSLGNKFDVGETIGLKDLKQSGLMNMLTRGLEETTVVRWDGKQISPLDVTEPGNECVKIGYIGDEWDEHYDQGKRKKVRSSKLNFDGPNPFQEIASKKAKLKRAKLANQPIRI >itb09g30880.t1 pep chromosome:ASM357664v1:9:31436516:31444510:-1 gene:itb09g30880 transcript:itb09g30880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIRQPPSRRRLGLCVCPSFHRYIALSVALRLCLCLCVCVCVCVATSQVAGFPAFVFNSITDPMESSLDPLAAGQSEHISGTSLFHRRIDFHLARKSFNGFGNCSGGFRLETLNSTSNSASVPGKAPAPPNPPSNKGNANDGLDFDPELSLNITFRKIMRIGAGLENLGNTCFLNAVLQCLTYTEPLAAYLESGKHQNSCRKAGFCALCAIQRHVSRALQATGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPGAYEKSLVHRMFGGRLRSRVKCMQCSYCSDKYDPFLDLSLEIIKADSLYKALEHFTAPELLDGGEKQYSCQQCKQKVRARKQLSVDKAPHVLTIHLKRFGSHLPGKKIDKKIHYGPVLDLNRFMSSPHTSDLKYTLYAVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNQVFQVNERKVLEQKAYMLFYVRDRKSTLPKRRVDVAHKDSNLINGVGIKEHKCGSTKEASITAGICSPLTATLDVRTKDVNAPISAVLSKSNSTVDKDSSGSIVLPLSCNEDVINEKDSSDNSSHKPDDDSILKKSLARCSKGKSVSGKVLELSEQKLKEAGQLKSNQECGDDTQIHVFSKGNGNETNGYVTSEIGSDILVTLSDPLRTSKTIPLKANGKTNMKVRKKPFKSHITSMHLSSLIFGTALYVRRKRKQKLRKHCISHINNRSLEYLNGEHALPSSLGPTSEQAKVLTTRVSLHKKILTCVSDVEVYNSGVKGQLDTGDLQGRMVNEVPKERAGNVETVLMSDKQLVKISSSTSLGNKFDVGETIGLKDLKQSGLMNMLTRGLEETTVVRWDGKQISPLDVTEPGNECVKIGYIGDEWDEHYDQGKRKKVRSSKLNFDGPNPFQEIASKKAKLKRAKLANQPIRI >itb14g07770.t1 pep chromosome:ASM357664v1:14:7117273:7120135:1 gene:itb14g07770 transcript:itb14g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSYSKEATAEVKFSGGPGGRILSSSPPLRTSPTLRRQLQLSPPFDHRASYAPLSSVAQYLPLAAAFLSIHIFVPHLIASTASIELHLFFIIAKPRVFCLLPTHSCSDCFPRTSRMQFLKDIVPAALNNINTKFIVLDKGRVDAQQKTCLALVADETAAVHFQMWGDECDQFEPGDIITLSSGIFSYNRNALVLRAGKRGKAEKVGEFTMAFLETPNMSEIRWVPDPNNSKIYVQDAVISPYSRIFPPTR >itb13g14750.t1 pep chromosome:ASM357664v1:13:21331615:21333500:1 gene:itb13g14750 transcript:itb13g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGVSGVGPLLRLAVPSCVGIYLEWWWYEIVTVLAGYLPNPRLAVVATGIIIQTTSLIYTVPMALAGCVSDRLFGKFIAYPT >itb06g12850.t1 pep chromosome:ASM357664v1:6:17385840:17397337:1 gene:itb06g12850 transcript:itb06g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLWRLYLAAAFAAAVYGGDVTYDGRSLIINGQRKLLFSGSIHYPRSTPDIENEYSNVEKGLKEKGPPYVRWAAQMAVGLQTGVPWVMCKQIDAPDPVINSCNNMKCGELDFTPNSPNKPAIWTENWTSFYQVYGGEPRIRSAEDIAYHVALFIARKKGCFINYYMAYMFRRNSGECVAFLVNINRNLSATVQFQNSSYQLPPKSISILPDCKTQVFNTAKVNAKYTTRSTTPVLQFNSAQKWEEFKEEVPIFSNTSTRANALLEHMNTTKDTSDYLWYTTSFQHNVSDTSSTIRVKTLGHVLHIFFNDALAGPYLERRALGLCKAAIKTSQGRQDLRHSEWGYQVGLLGEKMQIFEEDASNTVQWSKFSYSKQPLIWYKTTFDAPSGNGPIALNLGSMGKGHVWVNGQSIGRYWVSFHTPSGTPSQAWYNIPRSFVRASKNSVVLFEEEGGNPLGITIDSISISKVCGHVGELHPPPVRSWKKRGMSGPKLQLHCPQKQHISRILFASFGTPTGDCAAYALGTCHSPNSQSIVEKRCLGKRKCSISVSSRAFGGDPCPGITKSLLVDVQCT >itb11g09940.t1 pep chromosome:ASM357664v1:11:6781692:6784528:1 gene:itb11g09940 transcript:itb11g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFASSSSSSSSSSSDSDGSDHVSLDEAYRRLPLLYFSLMLIWFLSVCSWTFNTCKTRHFQTNKLQWTLTSVPLIKALQLMLCFLFWSSCFYDHVCSLWISFGVYLTGVLFQTVTFVAFLLISHGYCITCESLSVSERRTTAALGCVFYLTLIGYRASIPYFSIFLTLSYSILFYVIFNHISQNLLVLREQLAFIENEDVPGMHDAIYTKYTMLKKFQGAMQIVAVAELAIFINMDNSVDSYWLRLLIREWAHFCILSYIGWTFRSKDLAPRFSVMPPVRLKGDRTLPPIYSIEMDAATFRDFSRHEWHIGVPTSSNKDSLTDSVLVVIQHPHVYRQTSALSNSHSPALSC >itb02g02050.t1 pep chromosome:ASM357664v1:2:1130083:1130869:1 gene:itb02g02050 transcript:itb02g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKAAAEKAPAAEKAPAEKKPKAGKKLPKDGGAAAGEKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >itb11g10650.t2 pep chromosome:ASM357664v1:11:7615051:7617234:-1 gene:itb11g10650 transcript:itb11g10650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGAEIIRIKIPMTSNSFKPEAELVFSNPSQPLPLEMGLFSHNHIVYMVGGYHGRRAVGVDSPKVVEADGLQYFDRVYMFDPTKFDEIPLENIKSLQNLNLNCERMVYPSVIRAEDRIYLLSLRDFFCDHLDMIGPAFDFQYFDPNKNLVKTLPPPPVLRDYKMDLVRLGADCHFFLRGYIYVFITDAETCFQTFKFNTINSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGDALPIAYNVHLSDKGDIDPISHRVLAELYTSDVDMYGLENDWKQLADMGGGRFCVMCCALDEVFLIYGFKIDFELEHTIQRDKTKESSSSIIFKMEFNHNYPIPLKRSLTGFCIASAPPPLASPDNEDQDKNDRKSKRKRGSRLFVYLFPALLLLLLRRR >itb11g10650.t1 pep chromosome:ASM357664v1:11:7614011:7617234:-1 gene:itb11g10650 transcript:itb11g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGAEIIRIKIPMTSNSFKPEAELVFSNPSQPLPLEMGLFSHNHIVYMVGGYHGRRAVGVDSPKVVEADGLQYFDRVYMFDPTKFDEIPLENIKSLQNLNLNCERMVYPSVIRAEDRIYLLSLRDFFCDHLDMIGPAFDFQYFDPNKNLVKTLPPPPVLRDYKMDLVRLGADCHFFLRGYIYVFITDAETCFQTFKFNTINSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGDALPIAYNVHLSDKGDIDPISHRVLAELYTSDVDMYGLENDWKQLADMGGGRFCVMCCALDEVFLIYGFKIDFELEHTIQRDKTKESSSSIIFKMEFNHNYPIPLKRSLTGFCIASAPPPLASPDNEDQDKNDRKSKRKRGSRLFVYLFPALLLLLLRRR >itb04g31250.t1 pep chromosome:ASM357664v1:4:34171256:34174878:-1 gene:itb04g31250 transcript:itb04g31250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNKGIVGISRSPATQRCRQPLMSPWEFLRSPRNPSSEERPPIPRATLLLSSSRGTAKGKMKVIAAYLLAVLGGNACPSADDLKHILSSVGADCDADRIDLLLSQVEGKDITELIAAGREKLASVPSGGGAVAVAAPASGGGAAAPAAEEKKEEKKVEEKEESDDDMGFSLFD >itb05g20180.t1 pep chromosome:ASM357664v1:5:26377963:26378462:-1 gene:itb05g20180 transcript:itb05g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTKPYNWVSVSGEWVHVLVLSLSLSLSDSVSDDDRRRETPPGLKIILCCSPLLKHSVLLADSFSRNDHRRCKCSHAFFKQQKDISC >itb09g13740.t1 pep chromosome:ASM357664v1:9:8992317:8995794:1 gene:itb09g13740 transcript:itb09g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCAGPKSGTGTGNNGFLQSVSAAVWKPNEIDGGCSSGTTAPAPLKIAGEDNKNKSHIKKVASAGLQVDSVLGRKAANIKDTYVIGKKLGQGQFGTTFLCMEKATKKEFACKTIAKRKLTTEEDVEDVRRELHIMHHLEGHPNVVQIMGAYEDAVAVHLVMEVCSGGELFERIIQRGHYTEKKAAQLARVIIGVVEACHSLGVMHRDLKPENFLFISEGEEAPLKAIDFGLSVFFRPGEIFTEVVGSPYYVAPEVLRKQYGQACDIWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGNLDFVSEPWPNISDSAKDLVKKMLIRDPKRRPTAHEILCHPWVRVGGVAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIAETLSEEEIAGLKEMFKMIDTDNSGQITLEELKKGLERAGANLQDSEIYSLLQAADTDNNGTIDYGEFIAAMLHLNKIQKEDHMFTAFSYFDKDGSGYITQDELQQACEKFGLMNAPIEEILSEVDQDNSGRLLFLIVFPVL >itb11g22260.t1 pep chromosome:ASM357664v1:11:24136553:24137897:1 gene:itb11g22260 transcript:itb11g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAVTSLMGALNLHFLQSQPRFPLQHKQEIVSLHENLGFLQENLDESEIAAYDNAGAMKDLEAEMRDVSFKAEERIEMELTAIYLAKDSLQSSSIEACLLSLHGIFNEAVKQTDYLKKKLIQIKSKQQQQLSKGSSLTRWMRRKGLLLGIGSTSSQPADLERDNITVSKFSKTASMVGCDEEFNTIKDQLTRQSAKQLQVVSIVGMGGIGKTTLAQKVYEDPSITSYFYKQAWVTISQEYTVGQMLRCLIGCVSASSDEQSSDDPGRLAESLRKSMKDQRYLIVIDDIWSKEAWDSVQRCFPDDNNGSRILLTSLRHLVG >itb04g06800.t1 pep chromosome:ASM357664v1:4:4491497:4494180:-1 gene:itb04g06800 transcript:itb04g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFIFIFIFFVFLLLLSLLKSASGFGSMGPISAALGGNGFFCAIDASGKQQVICWGDKANSSVPVAATTPSSFSINLPPMAALTGGGGFLCGILANTSQAYCWDSSGSPSSDLVPSVFKSNAYSHIAAGKSHVCAIRGPYYSESDWGSVDCWDIVRKLNSTGLTSRQSALFYDQNVSTLVMTKIVAGDGFSCGGVREGGIVCWGPNSVNLEVSGGSESFYSLTASVDSICGVSEVSKMVKCWGANDSFGIPPVGIPFVSLAAGVKHFCGIREDNHGIECWGNYNSSLIPKGSGFLAIASSDFVTCGIREGDLVLDCWFTNVSSQFAYDPPLQLCSPGLCTPDSCGEGRFSFNASLLNEPDLTSLCVRNDLMICLPCGLNCSQGFFPSSPCTENADRVCTPCSLCQNSSCWDVCRLQSSKGMHNKHRPDLPQLVLIVGCSALGFLLILIALCLLSRLKASKNEDGKRRRGASCIRKPEQGTDANNDPNPPASGAQCPGAAQVFRLSELKDATNGFKEFNELGRGSYGFVYKAILPDGRQVAVKRANAATIIHTNSREFEMELEILCNVRHSNIVNLLGYCAEMGERILVYEYMPHGTLHDHLHGGLSPLNWSLRLKIAMQAAKGLEYLHKEVSPPIVHHDVKSSNILLDADWGARIADFGLLTPTEKDHSGDMKTDVYNFGIVLLEILSGRKAYDRDCVPPSIVEWAMPLIKQGKAAAIIDRFVALPRNVEPLLKLADMVELALREDPNLRPNMSDLALSLEQLVKEGLIL >itb04g06800.t2 pep chromosome:ASM357664v1:4:4490266:4494180:-1 gene:itb04g06800 transcript:itb04g06800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFIFIFIFFVFLLLLSLLKSASGFGSMGPISAALGGNGFFCAIDASGKQQVICWGDKANSSVPVAATTPSSFSINLPPMAALTGGGGFLCGILANTSQAYCWDSSGSPSSDLVPSVFKSNAYSHIAAGKSHVCAIRGPYYSESDWGSVDCWDIVRKLNSTGLTSRQSALFYDQNVSTLVMTKIVAGDGFSCGGVREGGIVCWGPNSVNLEVSGGSESFYSLTASVDSICGVSEVSKMVKCWGANDSFGIPPVGIPFVSLAAGVKHFCGIREDNHGIECWGNYNSSLIPKGSGFLAIASSDFVTCGIREGDLVLDCWFTNVSSQFAYDPPLQLCSPGLCTPDSCGEGRFSFNASLLNEPDLTSLCVRNDLMICLPCGLNCSQGFFPSSPCTENADRVCTPCSLCQNSSCWDVCRLQSSKGMHNKHRPDLPQLVLIVGCSALGFLLILIALCLLSRLKASKNEDGKRRRGASCIRKPEQGTDANNDPNPPASGAQCPGAAQVFRLSELKDATNGFKEFNELGRGSYGFVYKAILPDGRQVAVKRANAATIIHTNSREFEMELEILCNVRHSNIVNLLGYCAEMGERILVYEYMPHGTLHDHLHGGLSPLNWSLRLKIAMQAAKGLEYLHKEVSPPIVHHDVKSSNILLDADWGARIADFGLLTPTEKDHSGDMKTDVYNFGIVLLEILSGRKAYDRDCVPPSIVEWAMPLIKQGKAAAIIDRFVALPRNVEPLLKLADMVELALREDPNLRPNMSDLALSLEQLVKEGLIL >itb14g13110.t1 pep chromosome:ASM357664v1:14:15021991:15023712:1 gene:itb14g13110 transcript:itb14g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSLQLSLNHNYYTILPPPFMSTTKITTAKPSNSFFPQKPYSSSLKCRVSFSALPQHETGQSSNKHDKEEEEMYVEEDGRNLHEIWAEIQGSNDWEGLLEPMNSHLRREIIRYGEFAQACYDSFDFDPHSKYCGTCKYDGAQFCEKLGMADRGYRISRYLYATSNINLPNFFQNSSVNRIWSQHANWMGYVAVATDEAEIRRLGRRDIIIAWRGTVTYLEWIHDLKNILHPTHFCDDPDIKIESGFFDLYTNSKKDDSCNFCSYSAREQLLAEVNRLIERYKGENLSITVTGHSLGAALALLSAYDIAEMKVNVVHQGQGQGETSASAIKIPVSVFSFAGPRVGNLRFKERCDELGIKVLRIVNVRDKVPTVPGIIANEKFQYQKQLEATLKNFPWSYAHVGVELALDHSHSPFLKTGTDLGCAHNLEGHLHLVDGYHGHGRRFQSATGRDIALVNKDSNFLKREQGVPPRWRQDENKGMVRTGDGRWVVPERSRIEAHPADTGHHFRKVLRLARARLELFEKRVQVAKQ >itb09g05310.t1 pep chromosome:ASM357664v1:9:3028448:3029716:-1 gene:itb09g05310 transcript:itb09g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQSSSFLISSSSSSSTSFSQRKPIRAAINFPRIGRRTNISLPTLQTKGLVEELGLKSTGFTPITENLRPTRTVDQVNARPDPLVVAKLYAILEAVADRVEMHKNIGEQRDNWNSLLLTSINGIILAAAAMAGIAAGGGAEVALKLSSTLMYLGATGMLTIMNKIQPSQLVEEQRNATRLFKNLYNEIQTTLSIGHPTVSDVKDAMERVLALDRAFPLPLLGAMLEKFPETAEPAVWWPEQRRRPARRIGGNNNNDWNGWNGKLEDDLKEITQVLRVKDKEDYLRLGQKALKINKILAISGPLLTSLGAVGSCLVGSSSWAAILGVTAGALASVVNTFQHGGQVGMVFEMYRCNAGFFQLIEQSIDSNLSEKDMERRENGEVFEMKVALKLGRSLSELRDLAAKSSHKKQDIDEFGSKLF >itb07g19990.t4 pep chromosome:ASM357664v1:7:24445613:24448427:1 gene:itb07g19990 transcript:itb07g19990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSSLSSPTQNPAKTLSSFLGSPRIFNGFWNRNRSDTENSLSMSPTSILDGKLFLNFGHPFGYDRTLNPSPTPIPIPNPSIDKKVDSGVGLALINSLSHDKNVDSSQANNSRMVLFGSNLKVQIPSLPTLALSPMSSPKSPADFGIKTPRSTQFLGNCLQAKESPTKAKAEELSLSEMELSEDYTCVITHGPNPKTTHVFDDCIVESCCGIVRLSELKKENGFSSDHSTSPTMDSLSFCHTCNIKLGQGKDIYMYRGEKSFCSYECLCQEMVSEGIEKL >itb07g19990.t3 pep chromosome:ASM357664v1:7:24445605:24448427:1 gene:itb07g19990 transcript:itb07g19990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSSLSSPTQNPAKTLSSFLGSPRIFNGFWNRNRSDTENSLSMSPTSILDGKLFLNFGHPFGYDRTLNPSPTPIPIPNPSIDKKVDSGVGLALINSLSHDKNVDSSQANNSRMVLFGSNLKVQIPSLPTLALSPMSSPKSPADFGIKTPRSTQFLGNCLQAKESPTKAKAEELSLSEMELSEDYTCVITHGPNPKTTHVFDDCIVESCCGIVRLSELKKENGFSSDHSTSPTMDSLSFCHTCNIKLGQGKDIYMYRGEKSFCSYECLCQEMVSEGIEKL >itb07g19990.t2 pep chromosome:ASM357664v1:7:24445965:24448427:1 gene:itb07g19990 transcript:itb07g19990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSSLSSPTQNPAKTLSSFLGSPRIFNGFWNRNRSDTENSLSMSPTSILDGKLFLNFGHPFGYDRTLNPSPTPIPIPNPSIDKKVDSGVGLALINSLSHDKNVDSSQANNSRMVLFGSNLKVQIPSLPTLALSPMSSPKSPADFGIKTPRSTQFLGNCLQAKESPTKAKAEELSLSEMELSEDYTCVITHGPNPKTTHVFDDCIVESCCGIVRLSELKKENGFSSDHSTSPTMDSLSFCHTCNIKLGQGKDIYMYRGEKSFCSYECLCQEMVSEGIEKL >itb07g19990.t1 pep chromosome:ASM357664v1:7:24444603:24448427:1 gene:itb07g19990 transcript:itb07g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSSLSSPTQNPAKTLSSFLGSPRIFNGFWNRNRSDTENSLSMSPTSILDGKLFLNFGHPFGYDRTLNPSPTPIPIPNPSIDKKVDSGVGLALINSLSHDKNVDSSQANNSRMVLFGSNLKVQIPSLPTLALSPMSSPKSPADFGIKTPRSTQFLGNCLQAKESPTKAKAEELSLSEMELSEDYTCVITHGPNPKTTHVFDDCIVESCCGIVRLSELKKENGFSSDHSTSPTMDSLSFCHTCNIKLGQGKDIYMYRGEKSFCSYECLCQEMVSEGIEKL >itb05g01940.t1 pep chromosome:ASM357664v1:5:1505264:1510408:1 gene:itb05g01940 transcript:itb05g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPANSKPMMMSQAPAFPSALRPSHHRRAHSEVNFRLPEDLDLVSDPFDAAPAGSFEEMGSEDDLFSTYMDIEKLGGAGAGTDSVAADSGGDGEKSLGRPRHRYSNSVDSSSSLLLSESTIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLSNENTELKLRLQAMEQQAQLRDALNEALKNEVERLRIATGEIAAPSDAYSLGMQHIPYNQSAFFSHQPQSGPSDSQNIQIPQFHAFQPSTSTPHHPMLASASVQALADTVQQDPLGRFQGLDISSSRGSHIVKSEAPSISASESSSSTFCS >itb05g01940.t2 pep chromosome:ASM357664v1:5:1505264:1509636:1 gene:itb05g01940 transcript:itb05g01940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPANSKPMMMSQAPAFPSALRPSHHRRAHSEVNFRLPEDLDLVSDPFDAAPAGSFEEMGSEDDLFSTYMDIEKLGGAGAGTDSVAADSGGDGEKSLGRPRHRYSNSVDSSSSLLLSESTIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLSNENTELKLRLQAMEQQAQLRDALNEALKNEVERLRIATGEIAAPSDAYSLGMQHIPYNQSAFFSHQPQSGPSDSQNIQIPQFHAFQPSTSTPHHPMLASASVQALADTVQQDPLGRFQGLDISSSRGSHIVKSEAPSISASESSSSTFCS >itb08g03010.t1 pep chromosome:ASM357664v1:8:2544950:2547049:1 gene:itb08g03010 transcript:itb08g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSFLHHEPIWTSPQSPPAPPKQHHLVEALLLTILSKSLSVSERVLLGRVVPRTIVQIMGCCSATKPISVANDVESGLLGIGHNLRKSPSPETASLPPPQIPYVHLLSPPLSPLAFPILLTSAGNFLISFCFLLNYATVVNCCLSAIVDDMTLSFSTAKLVADRVAVAVRRGYAAAAASQGGASGGGVMKKAGEASRKETSWVPDPVTGYYRPENMAKEMDAAEMRAALLNNKIRRN >itb08g07190.t3 pep chromosome:ASM357664v1:8:6148239:6154049:1 gene:itb08g07190 transcript:itb08g07190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEILTTIFPHFYLKKMDIESQRTQESAVEKPEKKTVSCSSGDSSEKASMDKLELSKLILQIGIMATVAVVALRSHELEAVDQLFVLANVLGCVCIFSGLQLRECTPRFARTADLVGVGLVFAAIFVLFSKHLLWWLRIVPAVCYPIVLVVMVAAKGEGRRLIGGVILLHNMKWDKSI >itb08g07190.t5 pep chromosome:ASM357664v1:8:6148239:6154049:1 gene:itb08g07190 transcript:itb08g07190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEILTTIFPHFYLKKMDIESQRTQESAVEKPEKKTVSCSSGDSSEKASMDKLELSKLILQIGIMATVAVVALRSHELEAVDQLFVLANVLGCVCIFSGLQLRECTPRFARTADLVGVGLVFAAIFVLFSKHLLWWLRIVPAVCYPIVLVVMVAAKGEGRRLIGGVILLHNMKWDKSI >itb08g07190.t2 pep chromosome:ASM357664v1:8:6148239:6154049:1 gene:itb08g07190 transcript:itb08g07190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEILTTIFPHFYLKKMDIESQRTQESAVEKPEKKTVSCSSGDSSEKASMDKLELSKLILQIGIMATVAVVALRSHELEAVDQLFVLANVLGCVCIFSGLQLRECTPRFARTADLVGVGLVFAAIFVLFSKHLLWWLRIVPAVCYPIVLVVMVAAKGEGRRLIGGVILLHNMKWDKSI >itb08g07190.t4 pep chromosome:ASM357664v1:8:6148239:6154049:1 gene:itb08g07190 transcript:itb08g07190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEILTTIFPHFYLKKMDIESQRTQESAVEKPEKKTVSCSSGDSSEKASMDKLELSKLILQIGIMATVAVVALRSHELEAVDQLFVLANVLGCVCIFSGLQLRECTPRFARTADLVGVGLVFAAIFVLFSKHLLWWLRIVPAVCYPIVLVVMVAAKGEGRRLIGGVILLHNMKWDKSI >itb08g07190.t1 pep chromosome:ASM357664v1:8:6148239:6154049:1 gene:itb08g07190 transcript:itb08g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEILTTIFPHFYLKKMDIESQRTQESAVEKPEKKTVSCSSGDSSEKASMDKLELSKLILQIGIMATVAVVALRSHELEAVDQLFVLANVLGCVCIFSGLQLRECTPRFARTADLVGVGLVFAAIFVLFSKHLLWWLRIVPAVCYPIVLVVMVAAKGEGRRLIGGVILLHNMKWDKSI >itb09g00370.t1 pep chromosome:ASM357664v1:9:324130:326881:-1 gene:itb09g00370 transcript:itb09g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMKILRACFCPKGERHVYSGSDATGRQDGLLWYKDTGQHFSGEFSMAVVQANNLLEDQSQLESGCLSSYDYGPYGTFVGIYDGHGGPETSRFINDNLFHYLKRFVSEQQSMSVEVIRKAFKATEEGFNRVVSAQWPVKPQIAAVGSCCLVGVICSGSLYIANLGDSRAVLGRLVKATGEILAVQLSAEHNASIESVRQELQSLHPHDSQIVVLKHNVWRVKGIIQITRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSSDPSISVLQLQSHDQFVIFASDGLWEHLSNQEAVDIVQHHPRNGIARRLVKTALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVYLNSNLGPIKVANLSVKGGSLNLRHNSLAPCATAM >itb11g04050.t1 pep chromosome:ASM357664v1:11:2162245:2163324:1 gene:itb11g04050 transcript:itb11g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPLCLHTNANFGQREMDSHRVTMEKRYQDWLQKHGKSYEDKDEWEKRFEIYQINVLYIEFFNSMNFSYRLIDNKFADMTNSEFKSIYLRYKIHENKRESHNNFTLHGVRLPESIDWRKSGAVTHVKDQGSCGMKFSTFFNSFQHERSGHINSPSMFSIAGSSWAFCAVAAVEGINQIKTGKLESLSEQQLVDCNIGQDKDGCDGGYTEKAYNFIKRNGGITAEDNYPYVGKYGRCEPIAEESHTVTISGYMKVPEGEESLQAAAAQQPVAAVIDASMDDFRFYSEGIFNPLCPETLNFGVTVVGYGEENDQPYWLVKNSWGTNWGEAGYIKMQRGSGDKNGKCGIAMMASYPLKDS >itb15g21440.t2 pep chromosome:ASM357664v1:15:24154498:24156974:1 gene:itb15g21440 transcript:itb15g21440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCHGGTRHKSDDNPGWQKKNLSRPDVCTEFQCDVIIAEQTQLTFNATSRNPVIFNAVVPLLKPVQTNNKNPSQQEEEEEEEEEERQEGGEIGTMSWQTYVDDHLLCEIEGNHLTSAAIIGQDGTVWAQSANFPQFKPEEITGVMTDFAEPGTLAPTGLYIGGTKYMVIQGEPGAVIRGKKGPGGITIKKTNQALIIGIYDEPMTPGQCNMIVERLGDYLIDQGL >itb15g21440.t1 pep chromosome:ASM357664v1:15:24154498:24157393:1 gene:itb15g21440 transcript:itb15g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCHGGTRHKSDDNPGWQKKNLSRPDVCTEFQCDVIIAEQTQLTFNATSRNPVIFNAVVPLLKPVQTNNKNPSQQEEEEEEEEEERQEGGEIGTMSWQTYVDDHLLCEIEGNHLTSAAIIGQDGTVWAQSANFPQFKPEEITGVMTDFAEPGTLAPTGLYIGGTKYMVIQGEPGAVIRGKKGPGGITIKKTNQALIIGIYDEPMTPGQCNMIVERLGDYLIDQGL >itb11g07600.t1 pep chromosome:ASM357664v1:11:4677425:4678928:1 gene:itb11g07600 transcript:itb11g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPTAAPEVFKEDIVPIRHQVFTRIRLATRADVPHLLNLIHEMAVFERLTHLFSATEASLSATLFPADNPLPPFASFTVFILEVSRNPFLPAPVNPNFTPIEKIVTLDLPIDDPDAETFKSQALTGATVAGYSLFFPNYSSFLAKPGFYIEDIFVREVYRRKGFGRMLLSAVAGQAAKMGYGRVEWVVLDWNVNAIKFYEQMGAEVHQEWRICRLSGEALQAYAHAQI >itb10g08310.t1 pep chromosome:ASM357664v1:10:10313803:10315626:-1 gene:itb10g08310 transcript:itb10g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDEIDILWNVKDQFPKDFRAEIVFSEMDSGSSNIKAHSLHSAEEKGLPVEAYGLKHRNLRKLPSTDNISLAPEFDMSELETLFSATVPDSGRRNGGGKSGNAAGAKADKVHLCSVLALDDSTLDIDQIENLIKFCPTKEEMELLKNYKGEKENLGKCEQASGV >itb11g03780.t1 pep chromosome:ASM357664v1:11:2032475:2035755:1 gene:itb11g03780 transcript:itb11g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTETSDEEGRSKAKAEIQNATEDHGFLNKAAIEKPDFPSLYCTVKEVEEVKIVLRILPIFMSTIMLNCCIAQLSTFSVQQAATMNTKIGSLRIPPASLPVFPVLFVMVLALVYNYIIIPFARKITKSEMGITHLQRIGTGLVISIVAMAIAALVESTRVETRSLSTVLLAAPPTLTMLPIRESSDGFALKQSFFTTSTFRNVKKQKDNTLEGCFAMESSGDAKDDALETSISREPSNSLASHGTKFVDGVLKGENEVCLENFRMDKHVFYKLCDMVKLVVAACAIHNFIREENPEDWIFKIYDQEAGLAQEDPMPPLETEQPIETQVLNIPFETEHLEQVSQLQDSIASEIWNDYLYTNDFPSV >itb03g21010.t1 pep chromosome:ASM357664v1:3:18831418:18832349:-1 gene:itb03g21010 transcript:itb03g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVPSMGQQTELGSRHGSDVVYQNITGTARRETAINLNCSRSVACTGIRMESIDITAQAASGTVAAYCSNARGQESVVEPGPCLQQL >itb10g01890.t1 pep chromosome:ASM357664v1:10:1533556:1534374:-1 gene:itb10g01890 transcript:itb10g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKISEEEKWWGDKHLEQINGFWFMPLFIPRIHRVLAEFNPRPSDVILSSFPKTGTTWLKSLLYSIINRSSLDSLVHNNPHDLVPFLEIQVYGDQESSESSTQLSSEDTTRLFHTHIPYQLLGKTLESSGCRVVYVARNPKDTLNSLWHFANKWKMAEEAPWELEEAVEKFLRGTVLSGPYYEHVLGYRMASLKNPSKFFFITYEELKDDTKTHVKRLVEFLGCSFAGSEEDKEVEEIVKCCSFEVLKNHEVNKSENCPDWFPTPYNSFF >itb10g20070.t1 pep chromosome:ASM357664v1:10:25729663:25740478:-1 gene:itb10g20070 transcript:itb10g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFFFFPVALLCSFAASFTVTAHHNISTDQSALLALRAQITYDPHGILAENWTTNNNASVCNWIGVTCGQIHPRVESLNLSFMDLGNRLPPELGNLSFLVSLDLRGNHFQGGLPRDLVRLRRLRFMSFRDNKFSGEIPSWLGYLPRLKILILSNNSFTGSLPNSMFNVSKLEKVELQFNQLTGSIPATIFNITSLQVIDFSNNRLTGNLPRDIYCSTYSKTEMDRFFFFFPVALLCSFAASFTVTAHHNISTDQSALLALRAQITYDPHGILAENWTTNNNASVCNWIGVTCGQIHPRVESLNLSFMDLGNRLPPELGNLSFLVSLDLRGNHFQGGLPRDLVRLRRLRFMSFRDNKFSGEIPSWLGYLPRLKILILSNNSFTGSLPNSMFNVSKLEKVELQFNQLTGSIPATIFNITSLQVIDFSNNRLTGNLPRDICQSLPRLRVISLSWNGLSGQLPPSLPQCSQLQVLSLFRNMFTGDIPRGIGNMTMLQELDLNSNLLMNPGTIPREIGNLTMLTHLGLGDLNLRGTIPSEFGDFRNIGRLVLHSNSLHGSIPPGIFNNTKLFLLSLTENNLSGNLPSNINLPNVEWLSIGVNYLSGVIPHTIFNASKLRTFDVVTNNFHGSIPTSLGQLRSIEHLGFGTNFFTEPYPELRFIDSLGNCNNLIYLSLSYNPLNAAIPSSVKNLSSLQYFLARNSGLKGTIARELGNLSSLVTINLGYNSLTGSIPITFQELSNLQGLGLNDNRIGGSIPEALCHLKNIGFLYLRGNQITGMIPGCLGNVTSLRRLYLSNNKLTSTLPASLGNLHDLLALYAYSNFLNGSIPEELGSLKVATEIDLSRNNFSGEIPRTLGGLNQLINLSLAQNQLQGPIPSSFGALVALERLDLSQNKLVGSIPNSFQSLQYLKHFNASFNQLSGEIPTKGPFANFTQDSFLSNVAFCGGSPHLQFPPCQPDSPKSAMKSKTRKLLIILAPIGSIVLILGITMVFLSIRDWVAAALQNSSMVHIMEENMLISSDDDAGVAAAKALKEGAKAAVFDFEAENSVFSFCFLRIFAW >itb02g20540.t1 pep chromosome:ASM357664v1:2:18530706:18531392:-1 gene:itb02g20540 transcript:itb02g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSDQNRLITILIKMKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPKLKDYLVNSAAAKKVYELCKVSMPKC >itb14g10220.t1 pep chromosome:ASM357664v1:14:11559133:11579420:-1 gene:itb14g10220 transcript:itb14g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGGDGRRRDRRDARISKKQKLILNAEELLESKLGFDLFTEGDKRLGWLLTFASSSWEDQETHKVYSCVDLYFVCQDGSTFKAKYKFRPYFYVATKSKMEIDVEAHLRRRYEAQIADIEIIEKEDLDLKNHLSGLQKSYLKISFDTVQQLMHVKSDLMHIVERNQTKFDAIEAYESILTGKSKHWAQDYIDCITDLREYDVPYHVRFAIDNDIRSGQWYDVSVSSSGIVLERRADLLQRAEVHVCAFDIETTKLPLKFPDAEYDSIMMISYMVDGQGYLIINRECVGEDIEDIEYTPKPEYEGYFKVTNVKDEEELLRTWFDHVQVLKPGIYVTYNGDFFDWPFLERRAAHHGFNMKNELGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVLFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAYMANVICPNKHQSDPEKFYNNQLIESETYIGGHVECLESGVFRSDLPTSFKLNPSAYEQLMNNLDRDLQYAIKVEGKMDLENVTNYNEVKNAITEKLMKLRDEPIREENPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPGKNCLRKLEWVWRGETYMAKRSDYYHLKRQLESEVVEGTDGQSQKSFLDLPKLEQQAKLKERLKKYCQKAYKRVLNKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVVYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENYTFKTKDPKKKLTISYPCVMLNVDVARNNTNDQYQTLTDPVNKTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSSVASVANRWLDMLDNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTAKRLADFLGDAMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETNTEIMKFYVKKWCKISSDAGIRSIIDWSYYKQRLSSAIQKIVTIPAAMQKVSNPVPRVAHPDWLHKKVREKEDKFRQQKLNDIFSSVNRDDIAKLNFDSTASGEALQNVEDIEDFRKNSKSSPFAPRPIVHSHGVNKGRGLVNSSAQVDCSLNDHGKDAKDIDKNVDYQGWLQSKKRKWKEMRENRKRQRFDNSKTLNHLNGGGEFPDGIVNRRQPQSRTGVSSYFERHELALRRCHWQIIQLAPSSQNGQLFAWVVVEGVMHKISVNIPRIFYLNSKASVTEEFPGRCVNKILPHGRRSHNLIEVIIDEDQFKAESKKLAAHLADPEVEGIYETKVPLEFNAIVQIGCVCKVDKAAKKRNAQDGWSLSELQMKTSTECPYLEQSISFFYLYHSVSDGRAVYVGYFPPSKTISVVVVNPFQNKELTPHILERLFREACQTLSSHPPMEKEGITFKVEYVGYIKDAERNLQRLINDYRNQHRGPVVAMIECPNPQLVKSGIRALDDFPCLTIPCNARESQYQALGWQIVAAKIGMQRCAASSQWLNERIALSRYAHIPLGNFEVDWLIHTADIFFSRALRDQQQILWISDNGIPDLGGTHEEASSFMDEVNQPVLIYPGAYRKITIELKLLHLAVNALLKSNQVNEMEGGTLFGFEQDLNPNIHVADEHYYLDETTSSAPAFRVLKQLIQRCLADAVTSGNRFADKILQHMYRWLCSPQSRLHDSALHNMLYKVMQKVFALLVAELRKLGAKIVFASFSKIIVDTGKSDLSAAKAYCDNVIKTLQTRDLFEWIELEPSQFWHSLLFMDQYNYGGIQAKFNGGSLDADSELSSGNVHGDSQVDIVASWNTAENLPKEIQDHFILIVSEFMYLPWRYSQEQAAERASAKNGDLCTPSITAALAETFDLQMAEYLRKKIQTHFTDKLLKIVCDPSLQMKRAGKSQQENTNSQLLNNTQQQQQGDPALEFIKHVCAVLVLDQNVQHEVLVMRRNLLKLVRVKEFAPEAEFRNLSPSFILPNVICSYCNDCRDLDLCRDRALLSQEWRCAVPWCGQPYDREALENALLQIVRQRERLYHLQDLVCLKCSQVKAAHLSEHCACAGAFRCKEDISEFRSKIEVFFNVAVNQRFQLLQECTSWILEITSTV >itb03g25450.t4 pep chromosome:ASM357664v1:3:24491466:24496734:1 gene:itb03g25450 transcript:itb03g25450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDPEQPTLSSIGQPGKSSGEIGSVQEPLLFGQQPHVENYSVVACILPFLFPAFGGLLYGYDIGATSCATISIESATSSGISWYDLSSVQIGLITSGSLYGALIGSLLAFNIADFLGRRRELMLSSVLYLIGALITAFAPVYVVMVIGRFVYGVGIGLAMHAAPMYIAETSPSQIRGLLISLKEFLIVFGMLLGYTVGSLMVEVVAGWRYMYGVSVPISIIMGIGMWWLPASPRWILLCAIQGKGDMPGLRETAVSCLCRLRGATIGDSAHHQVDEILSELSHLSEEKEATMGEMFQGKCLKALTIGAGLILFQQITGQPSVLYYAAKIFQDAGFAAAADATRASIFLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGIAVALFLLGSYYTFLGNVPPVAVIALLLYVGCYQLSFGPIGWLMISEIFPLRVRGRGLSIAVLVNFGTNALVTFAFSPMEELVGAGNVFFIFGVIAIVAVIFVFFIIPETKGLTLEEIEAKYLT >itb03g25450.t2 pep chromosome:ASM357664v1:3:24491484:24496734:1 gene:itb03g25450 transcript:itb03g25450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDPEQPTLSSIGQPGKSSGEIGSVQEPLLFGQQPHVENYSVVACILPFLFPAFGGLLYGYDIGATSCATISIESATSSGISWYDLSSVQIGLITSGSLYGALIGSLLAFNIADFLGRRRELMLSSVLYLIGALITAFAPVYVVMVIGRFVYGVGIGLAMHAAPMYIAETSPSQIRGLLISLKEFLIVFGMLLGYTVGSLMVEVVAGWRYMYGVSVPISIIMGIGMWWLPASPRWILLCAIQGKGDMPGLRETAVSCLCRLRGATIGDSAHHQVDEILSELSHLSEEKEATMGEMFQGKCLKALTIGAGLILFQQITGQPSVLYYAAKIFQDAGFAAAADATRASIFLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGIAVALFLLGSYYTFLGNVPPVAVIALLLYVGCYQLSFGPIGWLMISEIFPLRVRGRGLSIAVLVNFGTNALVTFAFSPMEELVGAGNVFFIFGVIAIVAVIFVFFIIPETKGLTLEEIEAKYLT >itb03g25450.t1 pep chromosome:ASM357664v1:3:24491466:24496735:1 gene:itb03g25450 transcript:itb03g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDPEQPTLSSIGQPGKSSGEIGSVQEPLLFGQQPHVENYSVVACILPFLFPAFGGLLYGYDIGATSCATISIESATSSGISWYDLSSVQIGLITSGSLYGALIGSLLAFNIADFLGRRRELMLSSVLYLIGALITAFAPVYVVMVIGRFVYGVGIGLAMHAAPMYIAETSPSQIRGLLISLKEFLIVFGMLLGYTVGSLMVEVVAGWRYMYGVSVPISIIMGIGMWWLPASPRWILLCAIQGKGDMPGLRETAVSCLCRLRGATIGDSAHHQVDEILSELSHLSEEKEATMGEMFQGKCLKALTIGAGLILFQQITGQPSVLYYAAKIFQDAGFAAAADATRASIFLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGIAVALFLLGSYYTFLGNVPPVAVIALLLYVGCYQLSFGPIGWLMISEIFPLRVRGRGLSIAVLVNFGTNALVTFAFSPMEELVGAGNVFFIFGVIAIVAVIFVFFIIPETKGLTLEEIEAKYLT >itb03g25450.t3 pep chromosome:ASM357664v1:3:24491466:24496697:1 gene:itb03g25450 transcript:itb03g25450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDPEQPTLSSIGQPGKSSGEIGSVQEPLLFGQQPHVENYSVVACILPFLFPAFGGLLYGYDIGATSCATISIESATSSGISWYDLSSVQIGLITSGSLYGALIGSLLAFNIADFLGRRRELMLSSVLYLIGALITAFAPVYVVMVIGRFVYGVGIGLAMHAAPMYIAETSPSQIRGLLISLKEFLIVFGMLLGYTVGSLMVEVVAGWRYMYGVSVPISIIMGIGMWWLPASPRWILLCAIQGKGDMPGLRETAVSCLCRLRGATIGDSAHHQVDEILSELSHLSEEKEATMGEMFQGKCLKALTIGAGLILFQQITGQPSVLYYAAKIFQDAGFAAAADATRASIFLGLLKLIMTGVAVVVVDRLGRRPLLLGGVSGIAVALFLLGSYYTFLGNVPPVAVIALLLYVGCYQLSFGPIGWLMISEIFPLRVRGRGLSIAVLVNFGTNALVTFAFSPMEELVGAGNVFFIFGVIAIVAVIFVFFIIPETKGLTLEEIEAKYLT >itb13g10850.t1 pep chromosome:ASM357664v1:13:15809903:15810256:1 gene:itb13g10850 transcript:itb13g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQQYQQL >itb01g27220.t1 pep chromosome:ASM357664v1:1:32124725:32125715:-1 gene:itb01g27220 transcript:itb01g27220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSSGHGGGLLPPSGRSSVFSPPSSNINAMKNSFLTVLQNIKGTEDLAIKKHDLAMKRLKDRHNHLLQKKMEKSCASITDALTLLTEASAAVKLLHTDLNMIAEETKSALNLLCALLPPVDSGLNLSADPSVPLADSESKMKSNESSSDPSPDPAPAPAPAAKNEKTHPPTNAEEELRPSLNSLMNKHNDAVKLLDNMSEMDQTQDKRAEKISAVIERISTLIWSLIEVQESLEYGLLD >itb06g25110.t1 pep chromosome:ASM357664v1:6:26339234:26340160:-1 gene:itb06g25110 transcript:itb06g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITEQYQKQKSERAETMGDESKVILHGMWASPYVKRVEIALKLKAIPFEYVEEDLSNKSPLLLKYNPVYKKVPVLVHNGKPISESLLIVEYIDEVWNEGPRILPEEPYERARVRFWADYIQKVFTSMSKIFNSEGEELTKACDEFYEKLKVLEDGIKDLFPGGDPRAQGRNLGLLDVLIVPTLGAYKAQEQVLGVKILDPERNPLMYSWVNSLLELPLIQEIAPPYEKVVALLQMLKEKGVKFEAN >itb15g03600.t1 pep chromosome:ASM357664v1:15:2278334:2278963:-1 gene:itb15g03600 transcript:itb15g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTGMKLEDIFRAKYCLKKEDDLFQFIEAALKVGIVEKKAEFHKRKARIAQILNIQGADDPVFLISDDSDDDDEELPQISPPTVVYEKTKTPRKSSRETTTNVMELKKNREAETRDRIKKRREAALMRKLGLGLRRRSWSRGTGIWRKGRGKFSLLNSRMLPCWKRAVELPRKSVFEHHNSSLIFKHASRLLMGTWTQEGSNSEPQV >itb11g17310.t1 pep chromosome:ASM357664v1:11:17091566:17094703:-1 gene:itb11g17310 transcript:itb11g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFGTFDNICNPLNAAAPIGDDGEEGADDWVSSGIYVEFGGKGFLRVFMKLMMKMTSNGNGMKVVKRINGEIIEIFAIFSQLLSAAQWLFKSAVKSQGSGSTLDRRWKLPRAQAQPWTGGGNYQAGVRQAQPWTGGGNYQGLRLNPGQAVETTKLEYGRGRGNFRWRGRGNFRWSGLEYGRGRGNFRWSGEMRRDRNEYGRGRGNFRWGRGNFRWSEGISGGAVKCVEIGTSTVGAEEISGGAEGISSGAGWSTVGAEGISGGAVKCGRGNFRWSGLEYGRGRGNFRWSGEMRRDRNEYGRGRGNFQWSGEMRRDRNEHQW >itb02g25310.t1 pep chromosome:ASM357664v1:2:26099684:26100588:-1 gene:itb02g25310 transcript:itb02g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSVRSDHQYNRSSQKAAIEEDRSEISVMDLRKSTTPKAASAVASKKPADAAVKKAVAPPAKKLERKPTTEDINQSAEAFIQKFKHQLLLQRLESIENYEQMLRRGT >itb04g04870.t1 pep chromosome:ASM357664v1:4:3018951:3021921:1 gene:itb04g04870 transcript:itb04g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSPFLVLFHDARKVFKWDDLGGEILSIAFPAALALAADPVASLIDTAFIGHLGSVEIAAVGVAVSIINQANKVTIFPLVNITTSFVAEENSEISSKCDDGSKEVISAKVYSEEKVAEEETAKDSELEMMENGSFTSSETKGSSALSDLEASKSETVSNSSESNSKIKRGKLRIPSASTALMMGCILGILQTVFLTVLAKPLLGLMGVKAGSTMLNPALRYLTLRSLGSPAVLLSLAIQGIFRGLKDTKTPLYILLLILLFKLITEVELLPPSSKHLQLSKFLKNGLWLFARVIAATFCVTLAASLAARLGSTVMAAFQVCLQVWLTSSLLADGLAIAGQAILASAFAVKDYKKAKAVAARVLQMGFVMGYGLAVVVGLGLYLGSGVFSKDKNVIRLITIGVPFVAGTQPINSVAFVLDGVNFGASDFLYSAYSMVLVAAMTIGVEFLLLKSNGFVGIWIALAIFMLLRTIAGWQQEQDLGVSSGPQPKQ >itb07g04640.t1 pep chromosome:ASM357664v1:7:3112411:3116458:-1 gene:itb07g04640 transcript:itb07g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRVPFVAPRQEGSQSKPSTNLAFHADELDIQPINGVNDFYREFCSESKKLWYLSFPAIFTSFLQYSIGAVTQVFAGHVGTRALAAISVENTVIAGFSYGTMLGMGSALETLCGQAYGAKQLEMLGVYMQRSWIILNTTAFLLMFIYIFAGPVLRLIGQTPEISTWAGKFALWMIPQLFAYAVNFPIQKFLQAQSKIMAMAVISAVAVAGHTFFSWLFMLKMGWGMAGAAVVLNASWWFIVVAQLVYIFCGSCGEAWTGFSWKAFQNLWGFVKLSLASAVMLCLEVWYYVSLILFAGYLKDAEVAIDAISICMNILGWTVMVALGFNAAISVRVSNELGAGHPRSAKFSVVVASISSLLVGIVLGFVLILVRKQYPPLFSDSPAVQQLVSQLTPLLSCSVAINSLQPTLSGVAIGAGWQAYVAYVNIACYYIVGIPIGLVLGFVFNLSIVGIWYGMMFGTTVQTCVLIWMILRTDWNKEASAAEGRIKQWGGESDSKGYNDYAIG >itb13g09040.t1 pep chromosome:ASM357664v1:13:12039946:12045737:1 gene:itb13g09040 transcript:itb13g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGTRKPFHLHLLLDKTNDRRERRPLVTSGGVDRQAAKPLVTSGGVDRQAAKADVVWFRFPSKSNDSRRPSSCRRHHMKKTGGEHRHGRRRCYYSRKWWPAVVLGWPDGGTVVCRAGVKEGWWWFGKV >itb11g22040.t1 pep chromosome:ASM357664v1:11:23855414:23857999:1 gene:itb11g22040 transcript:itb11g22040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSLNKKISKEEMEMALTKAKEIVSSHPAVVFSKTYCGYCTRVKNLLNQLGAAYKLIELDQENDGDAIQQALLEWTGQRTVPNVFIGGKHVGGCDKTLEKHQQGQLVPMLTEAGAITTKSAQLTNANAM >itb13g05950.t1 pep chromosome:ASM357664v1:13:7039992:7044312:1 gene:itb13g05950 transcript:itb13g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTAKENVSVVESSGTEWKNDKGNMDDPDYQEIPCDQIGISAAVGNGKLYGTRYFIIKSLNHENLQLSVKKGIWATQVMNEHILEEAFHNSKKVILIFSVNMSGFFQGYAQMTSSVGRRDHVWSQGSGGRNPWGRSFNVKWLRLHDLPFQKTLHLKNPWNQYKPVKISRDCQELHPDVGEALCELLDGKDVSDVNFKVDKFLRDDLSRPHIEPSVHSRDEHCNMPLMHMAPMMYPGLLYQHQIDASRFHADGMFLPEDLCITSGASKWKQSGYSQGSRSVTNIHEGPNLSSRFDVLGFSGESPLDSTLTDDDILDMTYEEYLEAHSRGNKRVSGPSGSTQQSSISKENCEESQSGCSSKKRSCERKSD >itb13g05950.t3 pep chromosome:ASM357664v1:13:7040065:7043900:1 gene:itb13g05950 transcript:itb13g05950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTAKENVSVVESSGTEWKNDKGNMDDPGNDYQEIPCDQIGISAAVGNGKLYGTRYFIIKSLNHENLQLSVKKGIWATQVMNEHILEEAFHNSKKVILIFSVNMSGFFQGYAQMTSSVGRRDHVWSQGSGGRNPWGRSFNVKWLRLHDLPFQKTLHLKNPWNQYKPVKISRDCQELHPDVGEALCELLDGKDVSDVNFKVDKFLRDDLSRPHIEPSVHSRDEHCNMPLMHMAPMMYPGLLYQHQIDASRFHADGMFLPEDLCITSGASKWKQSGYSQGSRSVTNIHEGPNLSSRFDVLGFSGESPLDSTLTDDDILDMTYEEYLEAHSRGNKRVRNHVSGPSGSTQQSSISKENCEESQSGCSSKKRSCERKSD >itb13g05950.t2 pep chromosome:ASM357664v1:13:7039992:7044312:1 gene:itb13g05950 transcript:itb13g05950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTAKENVSVVESSGTEWKNDKGNMDDPDYQEIPCDQIGISAAVGNGKLYGTRYFIIKSLNHENLQLSVKKGIWATQVMNEHILEEAFHNSKKVILIFSVNMSGFFQGYAQMTSSVGRRDHVWSQGSGGRNPWGRSFNVKWLRLHDLPFQKTLHLKNPWNQYKPVKISRDCQELHPDVGEALCELLDGKDVSDVNFKVDKFLRDDLSRPHIEPSVHSRDEHCNMPLMHMAPMMYPGLLYQHQIDASRFHADGMFLPEDLCITSGASKWKQSGYSQGSRSVTNIHEGPNLSSRFDVLGFSGESPLDSTLTDDDILDMTYEEYLEAHSRGNKRVRNHVSGPSGSTQQSSISKENCEESQSGCSSKKRSCERKSD >itb09g08900.t1 pep chromosome:ASM357664v1:9:5307569:5310850:1 gene:itb09g08900 transcript:itb09g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTTTTTTLLPPFLYLFVFVCLSSSAFTQRVAAKNNMIRCIERERLALLDFKQGLVDDYGLLSSWGSDECCNWWGVHCNNSTGHVTKLDLHAHLDDYGDPVEQLSAHKVSPSLLALKHLNYLDLSYNDFQGNRFPEFIGSFKRLRVLRIMNTRFAGTIPPQLGNLTNLRVLNIYEDYFNLNLKIKNLEWLSLLSSLRSFYLTGVDIDLIESSKSSVTLPPFLEELRLSLCKLHGSLPFSLNSSSPFLSVDFSYNYMSTSSVFHLLRNASKKLTSIDLSGNYFADTIPDHAFGDMEFLKSLYLDSIITDRIPKSFWNLTHLRILSMCDNQLNESIAELFPKLLKDAGKSLQILDLSGSKFTGELPADINTRFTSLRELRATNNQLNGSNFRLPSSLARLNYLDVLDLSNNFLSGKIPSGTQLQSFNASSYAENIGLCGDPLSKCSAYIPPQNKNNDFQEGDNFLDRGFYISMVLGFSLCFWGIVVTLMLKDSWRIAYYEFLNDVKDWLYVRMKIYLVRTQRKLRRI >itb12g03430.t1 pep chromosome:ASM357664v1:12:2241106:2241621:1 gene:itb12g03430 transcript:itb12g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGQNDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELSVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVCKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb03g18090.t1 pep chromosome:ASM357664v1:3:16470006:16474328:-1 gene:itb03g18090 transcript:itb03g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIALDSIFTGCFRAAPAAAGNVDDMPATPKKPRREVTKQASFTRIPATELSSSALSEDLSISLAGSNIHAFTLQELKVITQSFSSSNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGSQGHREWLTEVIFLGQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSASLPWSTRMKIALGAAKGLAFLHEAEKPVIYRDFKGSNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAASDVYSFGIVLLELLTGXSMISLDRLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSASLPWSTRMKIALGAAKGLAFLHEAEKPVIYRDFKGSNILLDSDYNAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAASDVYSFGIVLLELLTDVYSFGIVLLELLTGRRAIDKTKPQREQNLADWARPQLKDPRKLRRILDPRLEGMYSEAGAQKAALLAYECLSHRSKSRPTMSTVVKTLEPLKDYKEVSSVTFVYTAPTEKDTKTISVEKDHGEEEQKELKKETEEHRHKHHHHHRHHHQNRHQHDHKHRTRSPNSTMVYSETALEQKLRSNNSPYIME >itb06g24350.t2 pep chromosome:ASM357664v1:6:25950558:25955406:-1 gene:itb06g24350 transcript:itb06g24350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSVSSLESPRRRSGLLRDQVQLVKRRDTGRYEIVPIQDTLSFEKGFFIVIRACQLLAQKNEGITLVGVAGPSGAGKTVFTEKILNFMPSIAVINMDNYNDPSRIIDGNFDDPRLTDYETLLDNIRGLKAGKSVQVPIYDFKSSSRSGYRTVDVPSSRVVLIEGIYALSEKLRPMIDLRVSVTGGVHFDLVKRVLRDIQRVNQDPEEIIHQISETVYPMYKAFIEPDLQTAHIKITNKFNPFSGFQNPTYVLKSPKRVPPDQIKAVLSEEHKETNEETYDIFLLPPGEDPEACQSYLRMRNRDGRYTLMFEVQGRDRLYVKWIGTQLGLEGSYVPRTYIEQIQLEKLVNDVMALPADLKTKLSIDDDTVLSPKEALSQASADRRMKFLNRGMSLSFSTQREKHLSKLTKLAVNNRRYDVRTPDSPAVANQGAITRLSEQISTLSERMDEFTSRIEEISSKLSMRRGISASQPNLMVYPEVSNGSAPTTLFVAGLGNGSLTGSLLPHNGSLTGSLLPHSSSSSQLARESVMDEVVAIARGQRQIMHQIDILSNTIRETYGERSHQERRGRQEIGAEIESIGIPLILTLAIGGIGIFLFRTLSSS >itb06g24350.t1 pep chromosome:ASM357664v1:6:25950558:25955406:-1 gene:itb06g24350 transcript:itb06g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSVSSLESPRRRSGLLRDQVQLVKRRDTGRYEIVPIQDTLSFEKGFFIVIRACQLLAQKNEGITLVGVAGPSGAGKTVFTEKILNFMPSIAVINMDNYNDPSRIIDGNFDDPRLTDYETLLDNIRGLKAGKSVQVPIYDFKSSSRSGYRTVDVPSSRVVLIEGIYALSEKLRPMIDLRVSVTGGVHFDLVKRVLRDIQRVNQDPEEIIHQISETVYPMYKAFIEPDLQTAHIKITNKFNPFSGFQNPTYVLKSPKRVPPDQIKAVLSEEHKETNEETYDIFLLPPGEDPEACQSYLRMRNRDGRYTLMFEEWVTDPPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHVFSDDKVCVKTDWLEQLNRHYIQVQGRDRLYVKWIGTQLGLEGSYVPRTYIEQIQLEKLVNDVMALPADLKTKLSIDDDTVLSPKEALSQASADRRMKFLNRGMSLSFSTQREKHLSKLTKLAVNNRRYDVRTPDSPAVANQGAITRLSEQISTLSERMDEFTSRIEEISSKLSMRRGISASQPNLMVYPEVSNGSAPTTLFVAGLGNGSLTGSLLPHNGSLTGSLLPHSSSSSQLARESVMDEVVAIARGQRQIMHQIDILSNTIRETYGERSHQERRGRQEIGAEIESIGIPLILTLAIGGIGIFLFRTLSSS >itb10g01270.t1 pep chromosome:ASM357664v1:10:932607:937632:1 gene:itb10g01270 transcript:itb10g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 [Source:Projected from Arabidopsis thaliana (AT1G42540) UniProtKB/Swiss-Prot;Acc:Q9C8E7] MNKLVARMKAIWILLSWLFLLGVCSKGLSKNVTSRPSVVNVGAIFVLDSSIGRAAKVAIEEAVKDVNSNSSILQGTKLVMMYQNSNCSGFLGMVSALKFMQTDVVAILGPQSSVVAHTISHVANELQVPLLSFAATDPTLASLQFPYFLRTTQSDMYQMMAIADVVDHYGWKEVIAIFIDDDYGRNGIAALDDALAAKRCKISYKAGIPPGATTRAEIMDILVQIALMESRVMVLHAYPAAGFMVFSVAHYLGMMADGYVWISTDWLSTVLDSSSPLPSEKMEIMQGVLVLRQHTPDSEKKRAFTSRWKHLTGGSLGLNSYALYAYDSVWLIAHAIDSFLNKGGVISFSNDSKLQSIEGSTLHLEALSIFDGGPLLLNNILRSDFVGLTGRFKFNNDKTLVLPAYDILNVIGTGFRTIGFWCNYSGLSTAPPETLYSRPPNSSSANQKLYGVVWPGQSVKKPRGWVFPNNGKQLRIGVPIRVSYKEFISQVPGTNTFKGFSVDVFTAAVNLLPYAVPYQFVPFGNGHENPSYNELVRLVSTGVFDGAIGDIAIVTNRTKVVDFTQPYVASGLLVVAPFKKLNTGAWAFLTPFSAQMWAVTAFFFVVVGIVVWILEHRMNDEFRGPPKQQLITILWFSLSTLFFAHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGVESLKEGNDPIGYQIGSFAEHYLIEEIGISKSRLIALGSPEAYAEALEKGPHKGGVSAIVDERPYVENFLSTQCKFRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILSLSENGDLQRIHDKWLTRTSCSSDSTEIESDRLHLKSFWGLFLLCGAACFLALFIYFVQIMLKFRRVARAEPVADGSSSTRSRRLQTLLSLIDEKSDPSKRDKKRRKIDRSLSDENSGSNLARDPGGGSSQRSLEINGHFDQIHT >itb10g01270.t2 pep chromosome:ASM357664v1:10:934062:937632:1 gene:itb10g01270 transcript:itb10g01270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 [Source:Projected from Arabidopsis thaliana (AT1G42540) UniProtKB/Swiss-Prot;Acc:Q9C8E7] MQTDVVAILGPQSSVVAHTISHVANELQVPLLSFAATDPTLASLQFPYFLRTTQSDMYQMMAIADVVDHYGWKEVIAIFIDDDYGRNGIAALDDALAAKRCKISYKAGIPPGATTRAEIMDILVQIALMESRVMVLHAYPAAGFMVFSVAHYLGMMADGYVWISTDWLSTVLDSSSPLPSEKMEIMQGVLVLRQHTPDSEKKRAFTSRWKHLTGGSLGLNSYALYAYDSVWLIAHAIDSFLNKGGVISFSNDSKLQSIEGSTLHLEALSIFDGGPLLLNNILRSDFVGLTGRFKFNNDKTLVLPAYDILNVIGTGFRTIGFWCNYSGLSTAPPETLYSRPPNSSSANQKLYGVVWPGQSVKKPRGWVFPNNGKQLRIGVPIRVSYKEFISQVPGTNTFKGFSVDVFTAAVNLLPYAVPYQFVPFGNGHENPSYNELVRLVSTGVFDGAIGDIAIVTNRTKVVDFTQPYVASGLLVVAPFKKLNTGAWAFLTPFSAQMWAVTAFFFVVVGIVVWILEHRMNDEFRGPPKQQLITILWFSLSTLFFAHRENTVSSLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGVESLKEGNDPIGYQIGSFAEHYLIEEIGISKSRLIALGSPEAYAEALEKGPHKGGVSAIVDERPYVENFLSTQCKFRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILSLSENGDLQRIHDKWLTRTSCSSDSTEIESDRLHLKSFWGLFLLCGAACFLALFIYFVQIMLKFRRVARAEPVADGSSSTRSRRLQTLLSLIDEKSDPSKRDKKRRKIDRSLSDENSGSNLARDPGGGSSQRSLEINGHFDQIHT >itb09g06630.t1 pep chromosome:ASM357664v1:9:3808897:3809842:-1 gene:itb09g06630 transcript:itb09g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSKCEGGLGLGLVRSHSFGRKRVVLSAMESDDFLSTTPPKKHCPEVSFPICQKSVLEALPQDVLIRIVCAVDHDDLKRLFHVSKAIREATLIAKDWHFEYSTPRKTIGFKSPIDFEDLGEFNEVEAPNAPRQSRLPRSRVSRKKLAGLSIALFASDDEEDWRCRESYLQMEAEL >itb02g24170.t2 pep chromosome:ASM357664v1:2:24527361:24534142:-1 gene:itb02g24170 transcript:itb02g24170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MGSRMKVDLGTADLLHLSQFQCKRRKVSLRGAVSGQVCSETEASLPTLQSADYFTVPCLSELAVREFTSPGYCSRVVDFTVGRVGYGCIRFIGETDIRGLDLEHIVKFRRHEVVVYEDESSKPAVGMGLNKPAEVTLLLKLRSLKQNIEDSSRKILENLQLKTKRQGAQFISFDSSTGEWKFLVQHFSRFGLSDDEEEDIAMDDVSPEGQDPLDMNGRELSDINEETSLVDPTLLSHSLPAHLGLDPVKMKEMRMLMFPSEEENLDDYSGMPSHRRPHFNKESSRSPLQLQHVSHATVQKSSPPLIRKTPLALIEYNVGSFGSGSPGSILMAQQNKGVLLKKTNAEGFKLDAKQQTPVTGSLSHNVVDAALFMGRSFRVGWGPNGILVHSGAPVGASESIISSVINLEKVAIDQVARDENNKVRDELIDFCFASPLNLHKEINHETKEVEVGQCSLKLQRLVCDRLILSDVCRSYIEIVEKQLEVPGLSSSSRIVLMHQAMVWELIKVLFSSRQVSGKPKSLEGDSEEDMIFEGKESASDIDTEALPLIRRAEFSYWLQESVCHRVQEEVSSLNESSELQHIFLLLTGRQLDAAVELAASRGDVRLACLLSQAGGFTDNRSDIARQLELWSRNGLDFNFIEAERVRLLQLLAGNIHLALHDVNIDWKRFLGLLMWYHLSPDTSLPIVFRSYQQLLNDGKAPCPVPIYIDEGPVEESLNCHKEEKFDLAYYLMLLHANQEIDFGVLKAMFSAFASTNDPLDYHMIWHQRAVLEAVGAFSSNDLHVLDMGLVSQLLCLGQCHLAIYVVLHMRYREDYPYLHATVIREILFQYCETWSSQDLQWQFIEGLGIPSPWLHEALAMYSNYYGDYSKSLEHFIECGNWQKAHTTFMTYVAHSLFLSGEHSEIWRLTTSMEDYKAEIEDWDLGAGIYLSFYFLRSSLQEENDAMNELDSLEKKNDACAEFISHLNESLAVWSSKLPVDARVVYSKMAEEISNLLLSDSGEGSTSEVQLSCYDTVFSAPFPEDLRTYHLQDAVSCFTSYLSGFA >itb02g24170.t1 pep chromosome:ASM357664v1:2:24527324:24534142:-1 gene:itb02g24170 transcript:itb02g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MKVDLGTADLLHLSQFQCKRRKVSLRGAVSGQVCSETEASLPTLQSADYFTVPCLSELAVREFTSPGYCSRVVDFTVGRVGYGCIRFIGETDIRGLDLEHIVKFRRHEVVVYEDESSKPAVGMGLNKPAEVTLLLKLRSLKQNIEDSSRKILENLQLKTKRQGAQFISFDSSTGEWKFLVQHFSRFGLSDDEEEDIAMDDVSPEGQDPLDMNGRELSDINEETSLVDPTLLSHSLPAHLGLDPVKMKEMRMLMFPSEEENLDDYSGMPSHRRPHFNKESSRSPLQLQHVSHATVQKSSPPLIRKTPLALIEYNVGSFGSGSPGSILMAQQNKGVLLKKTNAEGFKLDAKQQTPVTGSLSHNVVDAALFMGRSFRVGWGPNGILVHSGAPVGASESIISSVINLEKVAIDQVARDENNKVRDELIDFCFASPLNLHKEINHETKEVEVGQCSLKLQRLVCDRLILSDVCRSYIEIVEKQLEVPGLSSSSRIVLMHQAMVWELIKVLFSSRQVSGKPKSLEGDSEEDMIFEGKESASDIDTEALPLIRRAEFSYWLQESVCHRVQEEVSSLNESSELQHIFLLLTGRQLDAAVELAASRGDVRLACLLSQAGGFTDNRSDIARQLELWSRNGLDFNFIEAERVRLLQLLAGNIHLALHDVNIDWKRFLGLLMWYHLSPDTSLPIVFRSYQQLLNDGKAPCPVPIYIDEGPVEESLNCHKEEKFDLAYYLMLLHANQEIDFGVLKAMFSAFASTNDPLDYHMIWHQRAVLEAVGAFSSNDLHVLDMGLVSQLLCLGQCHLAIYVVLHMRYREDYPYLHATVIREILFQYCETWSSQDLQWQFIEGLGIPSPWLHEALAMYSNYYGDYSKSLEHFIECGNWQKAHTTFMTYVAHSLFLSGEHSEIWRLTTSMEDYKAEIEDWDLGAGIYLSFYFLRSSLQEENDAMNELDSLEKKNDACAEFISHLNESLAVWSSKLPVDARVVYSKMAEEISNLLLSDSGEGSTSEVQLSCYDTVFSAPFPEDLRTYHLQDAVSCFTSYLSGFA >itb10g00620.t3 pep chromosome:ASM357664v1:10:396164:400757:-1 gene:itb10g00620 transcript:itb10g00620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTHQFYPRTTRLQFLRYTSSGPFKTQSLCAKNRTVNPESSVGKTQQRASNFVVKNKAKNIWGFEQSNSCGMSLSGSSWLDNWNEAYKKTKLKRPQIVLNYRRSGEFSGSDGEGGGSGSTMEKIVEKLKKFGYIDDVGDRDNSSERVIEKGSIEDIFHVEEGMLPNARGGFSEKYPMGDDSVVATDGEIRFPWEKPWKEEEERYSVRKKSNTYLAKLTLPERELRRLTNLALRIKNKMRITGAGVTQEAVENIHKKWKSSEVVKLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVALYRGVSYEAPEQPKKRIFNRNEIPHKFSPPVDEKLSNEPSEIGLRDDVHAPQANQLSTLEETDDIEEEVEVKYEDEVDKLLDGLGPRYLDWPGSGPLPVDADLLPGIIPGYQPPFRLLPYGVRSTLGTKEATALRRLARTLPPHFAIGRSRQHQGLASAMIKLWEKCSIAKIALKRGVQLTTSERMAEDIKKLTRGILLSRNKDFLVFYRGKDFLLREVAEALLEKERLAKTLQDDEEQARLRASVFVTPSVHVTHSSGIAGTLGETLDADARWGKTLDDKDKEKVMREAEILRHADLVRKLEKNLAFAERKLMKAERALSKVEETLKPLNQPADPNSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKVIVKAKTFDQVKSIALALEVESGGVLVSVDKVSKGYAVIVFRGKEYQRPSTLRPKNLLTKRKALARSIELQRREALLNHMATVQKRVNQLKAEIEQMDSVKDHGDTELYDKLDSAYLTEDEESEKCGHLDEGSLDYTKWEQGEQMKMKGA >itb10g00620.t2 pep chromosome:ASM357664v1:10:396164:400757:-1 gene:itb10g00620 transcript:itb10g00620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTHQFYPRTTRLQFLRYTSSGPFKTQSLCAKNRTVNPESSVGKTQQRASNFVVKNKAKNIWGFEQSNSCGMSLSGSSWLDNWNEAYKKTKLKRPQIVLNYRRSGEFSGSDGEGGGSGSTMEKIVEKLKKFGYIDDVGDRDNSSERVIEKGSIEDIFHVEEGMLPNARGGFSEKYPMGDDSVVATDGEIRFPWEKPWKEEEERYSVRKKSNTYLAKLTLPERELRRLTNLALRIKNKMRITGAGVTQEAVENIHKKWKSSEVVKLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVALYRGVSYEAPEQPKKRIFNRNEIPHKFSPPVDEKLSNEPSEIGLRDDVHAPQANQLSTLEETDDIEEEVEVKYEDEVDKLLDGLGPRYLDWPGSGPLPVDADLLPGIIPGYQPPFRLLPYGVRSTLGTKEATALRRLARTLPPHFAIGRSRQHQGLASAMIKLWEKCSIAKIALKRGVQLTTSERMAEDIKKLTRGILLSRNKDFLVFYRGKDFLLREVAEALLEKERLAKTLQDDEEQARLRASVFVTPSVHVTHSSGIAGTLGETLDADARWGKTLDDKDKEKVMREAEILRHADLVRKLEKNLAFAERKLMKAERALSKVEETLKPLNQPADPNSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKVIVKAKTFDQVKSIALALEVESGGVLVSVDKVSKGYAVIVFRGKEYQRPSTLRPKNLLTKRKALARSIELQRREALLNHMATVQKRVNQLKAEIEQMDSVKDHGDTELYDKLDSAYLTEDEESEEEGEEADLEGYGSDGPVNGSESLGGNMHLETDFPYDALDESEPELVDSDGLDGNIHLDTGFPYDIRDESEPEPVDR >itb10g00620.t1 pep chromosome:ASM357664v1:10:396164:400757:-1 gene:itb10g00620 transcript:itb10g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTHQFYPRTTRLQFLRYTSSGPFKTQSLCAKNRTVNPESSVGKTQQRASNFVVKNKAKNIWGFEQSNSCGMSLSGSSWLDNWNEAYKKTKLKRPQIVLNYRRSGEFSGSDGEGGGSGSTMEKIVEKLKKFGYIDDVGDRDNSSERVIEKGSIEDIFHVEEGMLPNARGGFSEKYPMGDDSVVATDGEIRFPWEKPWKEEEERYSVRKKSNTYLAKLTLPERELRRLTNLALRIKNKMRITGAGVTQEAVENIHKKWKSSEVVKLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVALYRGVSYEAPEQPKKRIFNRNEIPHKFSPPVDEKLSNEPSEIGLRDDVHAPQANQLSTLEETDDIEEEVEVKYEDEVDKLLDGLGPRYLDWPGSGPLPVDADLLPGIIPGYQPPFRLLPYGVRSTLGTKEATALRRLARTLPPHFAIGRSRQHQGLASAMIKLWEKCSIAKIALKRGVQLTTSERMAEDIKKLTRGILLSRNKDFLVFYRGKDFLLREVAEALLEKERLAKTLQDDEEQARLRASVFVTPSVHVTHSSGIAGTLGETLDADARWGKTLDDKDKEKVMREAEILRHADLVRKLEKNLAFAERKLMKAERALSKVEETLKPLNQPADPNSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKVIVKAKTFDQVKSIALALEVESGGVLVSVDKVSKGYAVIVFRGKEYQRPSTLRPKNLLTKRKALARSIELQRREALLNHMATVQKRVNQLKAEIEQMDSVKDHGDTELYDKLDSAYLTEDEESEEEGEEADLEGYGSDGPVNGSESLGGNMHLETDFPYDALDESEPELVDSDGLDGNIHLDTGFPYDIRDESEPEPVDR >itb09g00880.t1 pep chromosome:ASM357664v1:9:549688:552540:1 gene:itb09g00880 transcript:itb09g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQTYRCYPASFIDKPHIENGDKIIMPPSALDRLASLHIDYPMLFELSNAATERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPVSSQKNKAPAEGQEAQAEAEPKFNPFTGAGRRLDGKPLKYDPPPVSSSSSKDFRADVANNTAKSSAGSSSQNTSHQSQGKLVFGSNANANRNRETPKEPTKKEPEKKEEPKFQAFTGKKYSLRG >itb15g11520.t1 pep chromosome:ASM357664v1:15:9319888:9320629:1 gene:itb15g11520 transcript:itb15g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGFTNNRGNFNTFKAMERHMDGNSTSGGSHRPSSSYAHHGGYPAAGESFLSMKDNVDPSTNNIVEQDLFEKVRTQMTQLNYRRKNWWVKPSTGKNNGEYDHKQPSGLQTGKYNFYAAQGGAQRFRFGAPAAGDVSAK >itb06g18580.t1 pep chromosome:ASM357664v1:6:22199222:22210563:-1 gene:itb06g18580 transcript:itb06g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNCLTMTFFRDHHVSSREQITLQDTMEGVTYSTSKFGLDERFGDGDTSGLDLDEELLLEKFAATGDAGPSTDPQASAEPMTPLKQDELNDASAANSECMIGGADGDADFMEYAQAPDTPGLVEEPNLSNVQETSACDDLLEPECQHLTESDMKENLGSISQGLDVHDGSSLQGNLSLHSDTNPNGDVPSEENGLHSGNGKFPPPGSSNYCASSDDNTLASGTPSVPVDTVNAVNSSVLELTDKNHMIINASDDLQREEHLQNGIFNHEKVDVSSDGGFHEDGPIPNGISMEESAPGLTSVDLSVSENILQSDQTSLNVQESIICQEQNKPNDLASSEIHILKSCDTQVSQANASIPCHDPVLESRLPSDVSGLCAPETSREETSCASVPSTTFRGEVCHSTNNVEQISEDNHMKIPSSCEDGHAVCLTSNDQVENLCSSAHDLAAPEKLLSVPGGIVDLSRSVLEEATPGELTKVNESDAAESNNLFSGRKRSYTESTLTEQSLNSFESSRMAHSTRTTDFFPDDDDLLSSILAGKRSSALKLKPTPPCEITSSKRPRIAPRASTSKRKVLMDDNMVLHGDTIRQQLTNTEDIRRVRKRAPCTCQEISVIQKQLLEDDIFSEPALTGVSVKLVCLNKQTFDLTGIKVLQNDVTGTSFENVTDKETSVNDGNSENLEIQITNKLNGPEVTTENWTEGSDKPFEIEDGCNVEAVLARDRQVESYDLDLDNNDATQMLMGSKASVAEAHTTQVELSENAVETSNEETNISLAGAANSASSAGVCLPFPSGGALGNGNNESTGLLITDSFDESKEMDAPVLIDASLASTDHVMSGQDVTKMDLSNDELLNASDFPEQNTVDNVEIESDPRIGGRPLSETAQVDPAVEVTTNVEYDTQNLTSNDIWGELPTVDSLYSADDHMTLLDATIDAGGVPSQQETYLQSMFDVEASGVEVHDPNVSDYTAAMNDTEFLNVDDDDDETEAADDYIPDSEGTRFADNSGWSSRTRAVAKFLQTVLTKEAEYGRNALSMDSLLVGKKRKEASRMFFEALVLKTKDYIHVEQVIPFDNITIQPRMPLMKSDF >itb06g18580.t2 pep chromosome:ASM357664v1:6:22199222:22210563:-1 gene:itb06g18580 transcript:itb06g18580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGDSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEAVLKVKQAFRSTAVDLPPEESKAPYHSITLPETFELDDFELPDNDIFQGNYVDHHVSSREQITLQDTMEGVTYSTSKFGLDERFGDGDTSGLDLDEELLLEKFAATGDAGPSTDPQASAEPMTPLKQDELNDASAANSECMIGGADGDADFMEYAQAPDTPGLVEEPNLSNVQETSACDDLLEPECQHLTESDMKENLGSISQGLDVHDGSSLQGNLSLHSDTNPNGDVPSEENGLHSGNGKFPPPGSSNYCASSDDNTLASGTPSVPVDTVNAVNSSVLELTDKNHMIINASDDLQREEHLQNGIFNHEKVDVSSDGGFHEDGPIPNGISMEESAPGLTSVDLSVSENILQSDQTSLNVQESIICQEQNKPNDLASSEIHILKSCDTQVSQANASIPCHDPVLESRLPSDVSGLCAPETSREETSCASVPSTTFRGEVCHSTNNVEQISEDNHMKIPSSCEDGHAVCLTSNDQVENLCSSAHDLAAPEKLLSVPGGIVDLSRSVLEEATPGELTKVNESDAAESNNLFSGRKRSYTESTLTEQSLNSFESSRMAHSTRTTDFFPDDDDLLSSILAGKRSSALKLKPTPPCEITSSKRPRIAPRASTSKRKVLMDDNMVLHGDTIRQQLTNTEDIRRVRKRAPCTCQEISVIQKQLLEDDIFSEPALTGVSVKLVCLNKQTFDLTGIKVLQNDVTGTSFENVTDKETSVNDGNSENLEIQITNKLNGPEVTTENWTEGSDKPFEIEDGCNVEAVLARDRQVESYDLDLDNNDATQMLMGSKASVAEAHTTQVELSENAVETSNEETNISLAGAANSASSAGVCLPFPSGGALGNGNNESTGLLITDSFDESKEMDAPVLIDASLASTDHVMSGQDVTKMDLSNDELLNASDFPEQNTVDNVEIESDPRIGGRPLSETAQVDPAVEVTTNVEYDTQNLTSNDIWGELPTVDSLYSADDHMTLLDATIDAGGVPSQQETYLQSMFDVEASGVEVHDPNVSDYTAAMNDTEFLNVDDDDDETEAADDYIPDSEGTRFADNSGWSSRTRAVAKFLQTVLTKEAEYGRNALSMDSLLVGKKRKEASRMFFEALVLKTKDYIHVEQVIPFDNITIQPRMPLMKSDF >itb01g30070.t1 pep chromosome:ASM357664v1:1:34172522:34173430:1 gene:itb01g30070 transcript:itb01g30070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLFVRVADENQSGDNGRSFNVNEEYLCAFRTKSYSDFFLKAQELVKEPPSHAFAEILLEPGQETITAILDSSKFPDLKPLLSKFFEISAEASKFCTTLLGTINHLQSHFNFIQQALDDDRAVSELRIILDNPFSDLEKQISFTRIRDEYSTMLLRLKSKRKTVVRKMGPAVLSIPLKPLKKLTNMRFLKRGILRIGEQLDVAAKGTYIVNTDLETISRLVWRLQDEMEHNKAIIKLCLDKKEDRFSVKVVVLMKEMKKNSVGLGKQVEELQQHLYLCLVTINRLRALLINQIAKSNSFNL >itb04g14070.t1 pep chromosome:ASM357664v1:4:14304208:14311672:1 gene:itb04g14070 transcript:itb04g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSASVNIMLAVYEKKTVTVDLYRPLRNYIVINYSEREAQNLDDDLETLKQLRSEIERGSSDSLPARRDLLQNYFKALCAVESRFPISPDKDHINSVTFTWYDAFKTRQKAAQQNIHLEKAAVLFNLGASHSQIALSFDRSSVDGRRQASHSFIAAAGAFAFLRDNVAMKASMGSSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSSPGVCSKISRQVGIFYEEALAALNVAPLNQHFEKSWLAHVQLKAALFYAEACYRYGLELHEKEEIAEEIARLKSGINALSEAKRTAPRGAAQQLLDAINKLEVSLNQNLDRAMKENDRVYLMRVPPASSLSPLPAFSMVKPLSMNEVLDASKGKMFASLVPDNSAKSLSRYTEMVDDVIRTQAEKLQQGSELARVRLKEMDLPDSILALEGNITLPTALKEEVEAVQICGGPAGLEAELQQLKDLSRVNQELLVQTEELLQKEATEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRYAANLKQAAESDARIERSVRDHAALMSILDRRPIESALPSLARPIMSLDANEDAIVGVLKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSHEDLFRKEIGKYDNICEEIAKNLQAQEQLLMQIQAQNDDFAAIFNLEDYKASREKMYKQIEAAIAKYREIKDNINEGLKFYVTLQDAITNIKQQCSDFVMTRNIQCREMVDDVQRQISGLSFKDNKNSGSYNYPPGGQHHTPRSTSQPTDPANSTNAVRLQTPAYQPPQQPTVPGYVQNPPPYGAPQQPPPYHLQASGPPYPPPQQQQQQPQPSHDYGQPAYPGWRGPYYNAPQQQQQPGSMPPPPYTIPSQYPPPSQSGYYRQQ >itb06g21380.t1 pep chromosome:ASM357664v1:6:23981150:23982083:-1 gene:itb06g21380 transcript:itb06g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYRDETSPNPSKRCKCLSSTLKDAFANCHGLCCKFSTSRLENEEEEEEEALTSDYEEDEEFVSAVISKYLESKFRQKMSLNLCCDFSPAAGDLFIPAKAILMQQKLGNNGEEREEFVSVGSHFSHCSSSSTGSMEAFVSAKTSFSRCSSLSGIDFPDLWRRGSNIVQFSQCEGWPFGLCRRALLLPPLPKSPSDSWKWRKTAGFIKLH >itb01g30210.t1 pep chromosome:ASM357664v1:1:34282975:34287082:-1 gene:itb01g30210 transcript:itb01g30210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCV2 [Source:Projected from Arabidopsis thaliana (AT1G43130) UniProtKB/TrEMBL;Acc:A0A178WDP4] MADDKKESTSSSSSPRRSDHHHHHLHHQLGQEDPEDPAKSPPNSPNSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYERLGINVFGLGFITSLIFVFFIGVFASSWFGATVFWIGEWFIKRMPFVKHIYSASKQISSAISPDQNTTAFKEVAIIRHPRVGEYAIGFITSSLVLQTHNGDEELCSVYVPTNHLYIGDIFLINSKEIIRPNLSIREGIEIIVSVGMSMPQMISPMDTITRQDERIPLNRMVK >itb01g30210.t2 pep chromosome:ASM357664v1:1:34282978:34287082:-1 gene:itb01g30210 transcript:itb01g30210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCV2 [Source:Projected from Arabidopsis thaliana (AT1G43130) UniProtKB/TrEMBL;Acc:A0A178WDP4] MADDKKESTSSSSSPRRSDHHHHHLHHQLGQEDPEDPAKSPPNSPNSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYERLGINVFGLGFITSLIFVFFIGVFASSWFGATVFWIGEWFIKRMPFVKHIYSASKQISSAISPDQNTTAFKEVAIIRHPRVGEYAIGFITSSLVLQVLATSCI >itb07g01820.t1 pep chromosome:ASM357664v1:7:1098922:1102933:-1 gene:itb07g01820 transcript:itb07g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGIRRLAPRYLSAQLRPNSVSKNYTKEKCYLPDILVNPVSVNDRTAREWYRILGVKSNASAYLSWETSFARHQQFCSEAGSNNSEEGQKISVTFVDKDGEEHHIKVPLGMSMLEAAHQNDIDLEGACEGSLACSTCHVIVMDVDYYNKLEDPSDEENDMLDLAFGLTETSRLGCQIVAKPELDGIRLALPAATRNFAVDGYKPKPH >itb07g01820.t2 pep chromosome:ASM357664v1:7:1098922:1102933:-1 gene:itb07g01820 transcript:itb07g01820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGIRRLAPRYLSAQLRPNSVSKNYTKEKCYLPDILVNPVSVNDRTAREWYRILGVKSNASAYLSWETSFARHQQFCSEAGSNNSEEGQKISVTFVDKDGEEHHIKVPLGMSMLEAAHQNDIDLEGACEGSLACSTCHVIVMDVDYYNKLEDPSDEENDMLDLAFGLTETSRLGCQIVAKPELDGIRLALPAATRNFAVDGYKPKPH >itb07g01820.t3 pep chromosome:ASM357664v1:7:1098922:1102933:-1 gene:itb07g01820 transcript:itb07g01820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGIRRLAPRYLSAQLRPNSVSKNYTKEKCYLPDILVNPVSVNDRTAREWYRILGVKSNASAYLSWETSFARHQQFCSEAGSNNSEEGQKISVTFVDKDGEEHHIKVPLGMSMLEAAHQNDIDLEGACEGSLACSTCHVIVMDVDYYNKLEDPSDEENDMLDLAFGLTET >itb11g19120.t1 pep chromosome:ASM357664v1:11:19724432:19725399:1 gene:itb11g19120 transcript:itb11g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRSPMSSLVPDRLGKSANLLEPSWTSDSMRDCLRSSLEVLDNQIRVVLEVAQHLGENMVRTIAMDGTEGLVCGQHPLSYHCELSEAVPSFCYFQRSWNWVFFFSDECSGDGDNDFGRVVLSSALQFDDFIPNTETKKPCREISFPSYDKSLLESFPQDVLIRIILGLDHDDLSRLFHVSKTIREVASKPHQFWEIKNFD >itb10g04980.t1 pep chromosome:ASM357664v1:10:4960637:4966109:1 gene:itb10g04980 transcript:itb10g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPFNKMREMAMRKAIKYMRNEAEESRYINIGCIIKSLQMMCWWAEDPNCNEFKYHLARLPDYLWLAEDGMTMQSFGSQNWDATFATQAIIASGMVQEYGDCLKKAHFYIKESQCLLLLGQMPPEIVGEKADVQHLYEAVDFCLYLQSPESGGFGVWEPPVPQPYMQLLNPSELFAVIVVEKEHVEPTGTIISALVAFRCQYPNYRAKEVDICIKKAVEYLEKQQQADGSWYGYWGICFLYATRFALLGLAAAGKHYENSEAIRKAAHFYLSKQNQEGGWGEYNFVEQKYIPLEGNRTNLVQTSWAMLGLMYTGQAERDPTPLHKAAKLLINAQMDNGDFPQQENRKSLESS >itb04g13570.t1 pep chromosome:ASM357664v1:4:13656436:13659371:1 gene:itb04g13570 transcript:itb04g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFAEEFEPLFDYHRVQPFNVVCLDDDSLDSPPKKQKPSNVSAAKEDNDKGFIQIVDCKEKDEDWLLSPPMISFNAKSRAENSTLREIRLRKQELASFTESAKDVLQAVEESVKRDLGASLQSSVGTVTEQESKPTTERAKIIISIQDKDETKQFRIYMDDKFERLFKLYADKMKLDLQKLVFCFDGEKIKPTATPDSLEMEDNDIIEVHLKPS >itb13g23630.t1 pep chromosome:ASM357664v1:13:29557295:29560182:1 gene:itb13g23630 transcript:itb13g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MGDSDAKREVSLKDQGNEFFKAGNYLKAAALYTQAIKKDPSNPTLYSNRAAAFLHLVKLNKALADAEMTISLKPDWEKGYFRKGCILEAMERYDEALDAFQIALKHNPQSSEVSRKIKSLNQLSKEKKRAEEVENMRSNVNMSKHLDTLKSELSEKCGAEACNEAFSFLVETMEMAVKTWHETSKVDPRVYFLLNKEKTDTEKYAPVVNIDKAFESPHTHSSCYSFLRQYAEDSFSHASCLVTPKNIISYPQVWKGQGSRKWKHGQSDGFFIQFESPSTRKLWFIPSSTENGKILCRDPVSLDISVHELIPRLFKQI >itb15g20330.t1 pep chromosome:ASM357664v1:15:22983954:22984673:-1 gene:itb15g20330 transcript:itb15g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEAEGNRTLIAKFAKERPQLGEIRAKIGAIIPLEGEIQGREEVVEERAEGKEAVERRGGEEVVAGGVVVENGVAA >itb04g04010.t1 pep chromosome:ASM357664v1:4:2506413:2507525:-1 gene:itb04g04010 transcript:itb04g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRPEFQERGLLSNLAGFAAAHHLPGAHGGAHHRPPPLGYPHHPPPPAAGAYPPAGGYPHPPPPSPGYTPEGYPLAGAQPPLPDGYASPVAYPPPSGAFPQQGYPPVGYPPPSSSHQGAGQGSNKFGTMLAGGAAAAAAAIGAHHLAHGSHHHHHMHGGYYGHHGKFKHGKFKQGKYGKPFKRWK >itb03g17120.t1 pep chromosome:ASM357664v1:3:15830360:15831351:-1 gene:itb03g17120 transcript:itb03g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILKIFAVVACFALSLCFHGVAGSISCENLNKESCGFAISSSGKRCVLEKHVRRGGEEAYTCRTSEIEADKKLLKDSIETEECIQACGVDRNSLGISSDALLESQFTQKLCSTPCYHRCPNIIDLYFNLAAGEGVYLPKLCEEVGSSNARRAMAEIVSSGVVAPGPESPVNFIGASEPALPPF >itb04g24570.t1 pep chromosome:ASM357664v1:4:29341455:29342489:-1 gene:itb04g24570 transcript:itb04g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQISLNKTQKIRLERALELLESFSSKTNSNASVTVADVIPVDHEDGVLKGHGTAEMDGRVVATLCGVVERVNKLVYVRSLRARYKPEVGDIIVGRVIEVDPKQWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNM >itb14g18640.t1 pep chromosome:ASM357664v1:14:21601322:21604409:-1 gene:itb14g18640 transcript:itb14g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAGRVRSAYPCLEHLFLHFRSVKTDAGSSSSRRRRSKLSPSTLLKKVEEKSEWWVVDGEMHEIGENVPLRERFVIPRENIPNRRRKQLREQFMRRTRLVLKESEHEPWCKRYMELYNEMRENWERLYWDEGYSKKLAQDHANYESAEDDEEDFSPYRRSKSYAEQIKDQGLVRNRQDDHWDKVSLIRDKFEYDRERRMRERAFAPMNEANDVRMDHPASRNEPFDARRYISDSESD >itb05g03120.t1 pep chromosome:ASM357664v1:5:2504343:2507850:-1 gene:itb05g03120 transcript:itb05g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHHRPCQVPTHETPTGHRAHPTHLGAVPVERALAGGTPHDPPRSTAAHQACHVVPLVASGSTTSHHLGRPVTPSRPSTYNGVMYSNTTKSGTPDGATKRGMDYKNKSSMSEVGERAETPCNFSSSIYYGAQEVYSPTTSHTTHHTNYFKKEEVEDDENGNNSNCASRGDWWQGSLYY >itb01g02350.t1 pep chromosome:ASM357664v1:1:1503133:1505973:1 gene:itb01g02350 transcript:itb01g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRQRGKVKAFPKDDASKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVIVGVVGYVKTPRGLRCLNTVWAQHLSEELRRRFYKNWCKSKKKAFSKYSKKYESEEGKKDIQAQLEKLKKYACVIRVLAHTQIRKMKGLKQKKAHVMEIQVNGGTIAQKVDFAYGFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKVGQESHTALTEFDRTEKDITPMGGFPHYGVVKDDYLLIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKIYGRLKA >itb12g22410.t1 pep chromosome:ASM357664v1:12:24514322:24516485:1 gene:itb12g22410 transcript:itb12g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQVKHGGGSEFLYDTESTSSVEEIAKDVTEIANLQSKIERLSLQLEPHLSPLLHSDSKVMALARALSEARSYASKNQVIHNKPLSIYVLRDHIRSVENAFAEAYSLFGFSNGSLQNLFPDLELIQERTIQLWWAGKELEKGKKLCDYIGKNEKTKVVIRLQSPMISPASITGGESSCK >itb12g20840.t1 pep chromosome:ASM357664v1:12:23298587:23300118:-1 gene:itb12g20840 transcript:itb12g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGRSYAVAILQNLLHISITVVHFALMTNPIMLI >itb11g00170.t1 pep chromosome:ASM357664v1:11:78510:82843:-1 gene:itb11g00170 transcript:itb11g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSYPYHRNQMMSVPYYCPSGQIGRPHLKVDPATSMVPCEFWPFQFGGNQGGYAYPEFHGCYYQPQPSCCAFRPPYPYAAAPHHCHGTYSAYPGFYPVHYAPPPPYFSTDQPRYEFEKNLPTTEHHHCCVCPNHSCKQSDKKSVKIVEHDEEDIDRKNADEATMAPIPNHPYPVVWLPYGGDMRREHTKPYKSEHEERKEAYQAKPSHDLESSEQPKILRNGWPPLNMKRSEGIKKGEDLQTNSVQKQDDNSMSFPFPIIWMPYQPENTGKVERQESDFGQNPPDQKLASHIESMHKDEDDKNNNSMQNEVTGNNVKKIIPVKQLEENDMKKGSSEENGVKKLSSPKKPSKLAPVCLRVDPLPRKKGSSRSPSPPGGKGKSQDMPKDKPPVSLNGEISERKCGLPDRASEVEESKCRTKTTKVTEGTTQGDEQKDLNVGCTNSTRPSDNQIGNGGVESPFEPEKKCPGESIQCDNTEGQIKKQLSEDEAAAVIQSAYRAYEVRKWEPLKKLKQIASVGEKVAEIRGQIQALEHSPDKLVDNKQKNVISETIMSLLLKLDTIQGLHPTVRDIRKSVARELVGLQEKLDSIPDSSPQVVTEQPLTAKHTEDTMRVHQHGNVTVAQNHHDTNIMEQCEERPLPVENSQSMVVNGQGGENEQIDEIFGESQPTSKELEVKEEISEHEQCVSSPHSQTEELTYCDVEEMGTTSKEMDGHVKDLVDLSQEEHNLPKQMDHDVKEVAEVNTEFSQEIITSCVEQQVTQTPPGALDEESESLASAQTATTGNTKMPQDEASRGLETEPLIKESIDMAEQLLPINSNMGCEEKEVQDGNSCQSAAPKPYGITVEAQVEDEGVLNEVAELVELNDNGRIEEHHGSLVKGETDELSSTQQQEVIMESDGTTTKVNEAEELVEVPVQKTKGEVDKDPESIDLKPRELEVDDRKLQEENVAESGSRDGKETVNAIGEVENTDWSMCSEANEQPMSPTGSQISIQSEDSGRKLIEENEKLRKCLEKMIESGKEQLTTISALSGRVKQLERKLSRRKKLKMRKCRAAQVKNDVSQVM >itb04g02640.t1 pep chromosome:ASM357664v1:4:1587298:1588777:-1 gene:itb04g02640 transcript:itb04g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACTLSISLQFTLINQSIMAACIEPEQQQQQQHAVFKHYCRVCKKGFMCGRALGGHMRAHGIGDESAYVDDDDDPVSDWEAMASNTNKRMYKLRTNPNRLKSVRVCENCGQEFFSWKSFLEHGKCGSGDDVEDESLVSSPGSDADESFGRRGHGWSKRKRSLRARYLSSEDEDLLFAKCLVDLANSRVYSPPPPAAAAESSSSASKEEERRHTMGSGEFLAPRVGVAQQRGNKSAGSKGLFECKACKKVFTSHQALGGHRASHKKVKGCYAAKQDVQESDSLAEEDVITHDEFPILANSSGGGGGSSRRKTKVHECSICHRVFSTGQALGGHKRCHWITSNAYSPDTSIAKFHFHDPTTDTTKKPDAAAALDLNLNLPANGGDRHRDDISRLRNPSINRFEVSTEIHLHRPWFTDPDTNKNNNINNKRLKNDINNIGDDDEADSRLKFARISGLKESSSSKGGSSSSQWLQVGIGSAAAD >itb05g00310.t1 pep chromosome:ASM357664v1:5:215723:217728:1 gene:itb05g00310 transcript:itb05g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEEPAIGIPYNPAYQAPPQQQQYFVQQNPYQAGVIPPNAIVGDPKGIPIQQTMYRDTPAPFNCIHCGNSGLTLVKSKPSLAACVGCMMPMMLGVCFLCPSMDCLWHKYHYCPSCKEKVADFEKSDPCIVMDPPQWKELSFALPG >itb15g04720.t1 pep chromosome:ASM357664v1:15:3038052:3039390:1 gene:itb15g04720 transcript:itb15g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTLYGHLHELGQLKFEKFKWMNNVILHLQEVHKQIRMPHRARPMTGLLVFVGLNAALVSTIEPVYDFVCFLPYWERRRERRRKEREAALAKGSGST >itb07g03450.t4 pep chromosome:ASM357664v1:7:2317086:2319433:-1 gene:itb07g03450 transcript:itb07g03450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKGVSYCSVTKGGRVLYTYNEGGLEIENLAALCLEKAPPHHRWYFQTMGKMTFCFLMEDGYVYFAIAEEALGNAGVLRFLQNLRHEFKKFARRGSSRSMSSLSSLSSVQEQLVPVIRNLITSLEHVSGGDTDWPAGGSSPNRDDVSASDANGQIEGGSSTRAPLLSKSGKYDKKKKKEHAIAMRDIEMEEHRMSTDRARGVDSGARDCNSYGAAGSPLSLTKEFGSGRTRATNPNFQKKWCRLVRIILAVDAAVCLLLLVIWLVVCEGTKCLS >itb07g03450.t1 pep chromosome:ASM357664v1:7:2317086:2319433:-1 gene:itb07g03450 transcript:itb07g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKGVSYCSVTKGGRVLYTYNEGGLEIENLAALCLEKAPPHHRWYFQTMGKMTFCFLMEDGYVYFAIAEEALGNAGVLRFLQNLRHEFKKFARRGSSRSMSSLSSLSSVQEQLVPVIRNLITSLEHVSGGDTDWPAGGSSPNRDDVSASDANGQIEGGSSTRAPLLSKSGKYDKKKKKEHAIAMRDIEMEEHRMSTDRARGVDSGARDCNSYGAAGSPLSLTKEFGSGRTRATNPNFQKKWCRLVRIILAVDAAVCLLLLVIWLVVCEGTKCLS >itb07g03450.t3 pep chromosome:ASM357664v1:7:2317086:2319433:-1 gene:itb07g03450 transcript:itb07g03450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKGVSYCSVTKGGRVLYTYNEGGLEIENLAALCLEKAPPHHRWYFQTMGKMTFCFLMEDGYVYFAIAEEALGNAGVLRFLQNLRHEFKKFARRGSSRSMSSLSSLSSVQEQLVPVIRNLITSLEHVSGGDTDWPAGGSSPNRDDVSASDANGQIEGGSSTRAPLLSKSGKYDKKKKKEHAIAMRDIEMEEHRMSTDRARGVDSGARDCNSYGAAGSPLSLTKEFGSGRTRATNPNFQKKWCRLVRIILAVDAAVCLLLLVIWLVVCEGTKCLS >itb07g03450.t2 pep chromosome:ASM357664v1:7:2317086:2319433:-1 gene:itb07g03450 transcript:itb07g03450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKGVSYCSVTKGGRVLYTYNEGGLEIENLAALCLEKAPPHHRWYFQTMGKMTFCFLMEDGYVYFAIAEEALGNAGVLRFLQNLRHEFKKFARRGSSRSMSSLSSLSSVQEQLVPVIRNLITSLEHVSGGDTDWPAGGSSPNRDDVSASDANGQIEGGSSTRAPLLSKSGKYDKKKKKEHAIAMRDIEMEEHRMSTDRARGVDSGARDCNSYGAAGSPLSLTKEFGSGRTRATNPNFQKKWCRLVRIILAVDAAVCLLLLVIWLVVCEGTKCLS >itb03g03330.t1 pep chromosome:ASM357664v1:3:1897833:1899604:-1 gene:itb03g03330 transcript:itb03g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Iron sulfur subunit of succinate dehydrogenase (Truncated) and ribosomal protein S14 precursor [Source: Projected from Oryza sativa (Os09g0370300)] MSRNLLRNGVMKSSVRGLFKKAAGESKGSEKFPSLEGHPIAQEHAGEAVESNVNRLRQLGENQSPKKEFRIYRWSPDHPNQRPFLQSFHVDLSACGPMVLDALQKIKGEEDSSLSYRRSCREGICGSCSMNIDGVNTVACLKPIDTDTSRATVITPLPHMFVIKDLVVDLTNFYQQYKSIEPWLKTRKAAPEGREYRQTPEERKRLDGLYECILCACCSTSCPSYWWNPEEFPGPAALLHAYRWISDSRDEYAKERLQAITENQKRLYRCRTIKNCTACCPKSLNPANAINKMKTRHLTAYPVEKYEAEPEPRYGQS >itb07g14250.t1 pep chromosome:ASM357664v1:7:16734309:16737636:-1 gene:itb07g14250 transcript:itb07g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALMFRDLNSALELKNYLDRMYCAAAQYDTPADRMYCAAAQYDTPAQQPVRVVCGGIDGVPKGANILDRIQAGVVAISGNQSCYTVSAIEGNQSFYTISIGGDDGWSWQTCSELVFPIAKGNDSMFDPAPFNLEQYSQSCISAFGVPPRPHWVTTYFGGQVAMAAFLLQSSVLLVSILLRRVGHRKEGMLESWSIKSNQAQYGRQGFK >itb08g13990.t1 pep chromosome:ASM357664v1:8:15567751:15571821:-1 gene:itb08g13990 transcript:itb08g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLGGYDLAIKSAQVTESTDLNELVDKEPWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAVFVKERLGKEVEMGGCKGPITTFIVEPFVPHNEEFYLNIVSERLGCSISFSECGGIDIEENWDKVKTIFVPTGVSLTSDLCAPLVATLPLEIKTVIEDFIKVVYALFLDLDFSFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGDVEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMSLFVRRGGPNYQRGLAKMRALAEEIGIPIEVYGPEATMTGICKQAIECITAAA >itb08g13990.t2 pep chromosome:ASM357664v1:8:15568119:15571749:-1 gene:itb08g13990 transcript:itb08g13990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLGGYDLAIKSAQVTESTDLNELVDKEPWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVAVFVKERLGKEVEMGGCKGPITTFIVEPFVPHNEEFYLNIVSERLGCSISFSECGGIDIEENWDKVKTIFVPTGVSLTSDLCAPLVATLPLEIKTVIEDFIKVVYALFLDLDFSFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGDVEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMSLFVRRGGPNYQRGLAKMRALAEEIGIPIEVRMNAASYT >itb07g21540.t1 pep chromosome:ASM357664v1:7:25898236:25901643:-1 gene:itb07g21540 transcript:itb07g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFFSCSAKFLLLFFIVSAIPIAIIVHLETGGRPSTHVIQYHSTGWFRECAKWDDQGRRFLVTFFDGGVGQIPVPLDYDDGSAGSVLQETVVVKEVDLAGNASLGFAIDRRRNRVLVAFADVFGNRYSALAAYDLSTWKRIFLTKLGGPEDEKSFADDVAVDEEGNAYITDAKASKIWKVGPEGELQHTIRSPLFTPKEWYKNLVALNGIVYHPNGYLLVVHTFSGNLYKVQTAKGNKVEIVNVVGGSLRFGDGLLLVSPDKLVVAGNPTRLVESSDDWESARIVGKSKDAIHRVATAATEKDGRVYVSHLVGMGYPRRKHVLVEAVFSA >itb10g12840.t1 pep chromosome:ASM357664v1:10:18934330:18935887:1 gene:itb10g12840 transcript:itb10g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLYAPSSSNAVEISEQLHTTLQHLKTVAECGRTSFAIQRVLFVSAGAGLAIATSLKRYTEEIGLRNLQCEAYASARFLNLMRANPWMVNDPNTLVTLSSKSGKTPETVAVAAFLKGKACKTVIFTESEAVELATFGHPGFFTGKTTQAFHATYMLMASFLGGALFNAAEKGGPAGEAFAAGFAEDDPLYFIASGPAGIVSHAFGLCVLQERFGLDIHIVDSANFFHSFVETLRPGTRGHYILIIPDPEDASRGEMLDVKTFFDAQLFKVQVIDAKGLDMSGIDSQIRRMVGPIISEAFLKPWTPALAKATGRTMHDPLLHMTKFDYYNCHHKLLKSADHLPLQHKTRI >itb04g09630.t1 pep chromosome:ASM357664v1:4:8910567:8911523:-1 gene:itb04g09630 transcript:itb04g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRQLAEAFYRASSSEIQSEAHKFFNALDSDGDGHIDQNEFISLMTDEKGFHRLSNPNFFNALDADSNGTLDFWEVMTLFYIVRSGRPFCDCCGDFIPATYFTCVECGAVNACIRCYENRRSKHHHRDQPAVFMDNYILLEAKKSSSSGGFNSKTDDDVVVVNNVVVSPPASTKTDNANEVVSAPASPKTTTAKPNTTTDNVNEVVSAPAKPNTIIAAPNKATASPNTTTATASSNTTTARPNTTTVRPNTTAARPNTTARPNTTNANYARPRPYANERPRPRPNNTHMVQAANYVSTPHNLKLPHYSQFRCYWLG >itb01g28400.t2 pep chromosome:ASM357664v1:1:32859090:32860525:1 gene:itb01g28400 transcript:itb01g28400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSTSATKQRSEMKSCVEWFPVMLMIAIDFAFAISNILLKKIIIDGMKHLVFITYRQSISTIFLAPVAFFLERNSRPKLTPRILCHLFLSAIVGASVTQYLFLVGIEYTSATFSCAFLNMVPVITFLMALPFGLETMNIRSRSGKAKVIGTVICLGGALLLTLYKGMPLVKFQGSQAINHSLGEAVMRSSSQRRERWTIGSFALLAGTLLWSSWFLVQSSIAKSYPCQYSSTAIMTFLSAIQAAVLAFCTDRNLSIWIPKKKIDMLYVIYNGSVSSGLCFVGMSWCVKKRGPVFTAAFSPLVQVMAGMVDIPILHEQLHLGR >itb01g28400.t1 pep chromosome:ASM357664v1:1:32859090:32860855:1 gene:itb01g28400 transcript:itb01g28400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSTSATKQRSEMKSCVEWFPVMLMIAIDFAFAISNILLKKIIIDGMKHLVFITYRQSISTIFLAPVAFFLERNSRPKLTPRILCHLFLSAIVGASVTQYLFLVGIEYTSATFSCAFLNMVPVITFLMALPFGLETMNIRSRSGKAKVIGTVICLGGALLLTLYKGMPLVKFQGSQAINHSLGEAVMRSSSQRRERWTIGSFALLAGTLLWSSWFLVQSSIAKSYPCQYSSTAIMTFLSAIQAAVLAFCTDRNLSIWIPKKKIDMLYVIYNGSVSSGLCFVGMSWCVKKRGPVFTAAFSPLVQVMAGMVDIPILHEQLHLGSLIGSAIVIVGLYFLLWGKNKEMQKVVHEIEETRDKESEANHESRQIP >itb11g06460.t1 pep chromosome:ASM357664v1:11:3865130:3870174:1 gene:itb11g06460 transcript:itb11g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGECGSSTPSNSKHATTASDIGGGGTATKFLANLPSRGLFSSTVISSNPGGMRVYICDHDTSPPEDQLIKTDQMNILIRSLMLKKQKAESGSKDGKSVPTSESSRKRGAERALDGRTSAKKAASSSQAASRQEGTKNRVPERDYHSMTVERLRALLKEKGLSTRGKKDELIARLKESLE >itb11g06460.t2 pep chromosome:ASM357664v1:11:3865130:3870165:1 gene:itb11g06460 transcript:itb11g06460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGECGSSTPSNSKHATTASDIGGGGTATKFLANLPSRGLFSSTVISSNPGGMRVYICDHDTSPPEDQLIKTDQMNILIRSLMLKKQKAESGSKDGKSVPTSESSRKRGAERALDGRTSAKKAASSSQAASRQEGTKNRVPERDYHSMTVERLRALLKEKGLSTRGKKDELIARLKESLE >itb10g16790.t1 pep chromosome:ASM357664v1:10:23050115:23052656:-1 gene:itb10g16790 transcript:itb10g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSALLEIFQKPSIGDVILELMVFTTPIWVAVVIGVLVGWAWKPRWANLCASRDSFDSFVSSSTGINLQQVFSGFSSIPALKLQIPKCFSWISEETSSPLSPNSSSPLPENDKSRVVDENDLYHLYQLVEEKDDGPAWIQMMDRSATNMSYQAWRRDPETGPPQYRSRTVYEDATPEMVRDFFWDDEFRAKWDDMLVHAQTLEDHPSTGTMLVQWVRKFPFFCSDREYIIGRRIWKSGQTYYCVTKGVRNDVPRQSKPRRVDLYYSSWCIKPAASKRDGQMTACEVVLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDPGLRAYQKHRATEAPLSRSALMAQINTKVTTDDLRSLEIRRTMSEAETSGSSDKPTGRNIPKLLVVGGAVALACSIDRGLLTKALIFGVARRFAGFGKRL >itb05g27150.t3 pep chromosome:ASM357664v1:5:30672826:30675125:1 gene:itb05g27150 transcript:itb05g27150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSLFEGSSVLAEPERKNNGVFVENKEAAINYIGVLDVHVHQARDILNICIYHKQDVYAKICLTSDPENAVSTQIINGGGKNPVFNQTLRLNVATVECSLKCEIWMMSRVRNYMEDQLLGFALVPLSEVLLGNGKLEKEFTLSSTDLFHSPSGFVQLSLVYTGASPEVIVIPALPNAVTASDDKGVQDSEAVESLASEFEKIEFPDPKIVNENHLMVSEYFGIQCENLDSQSSDSLTSSDAENQIGSQAKDSVLTEPIDSLQSAKCDSPPSSVSTNGSVLGSNPANSSKSPNEEHVPAPREFPEEKSTDAGDSESLAASKKEFPKENSTDAADSESLASGNLPENEFPKPLVTINVPETNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSAPTSSGNSSSDQKSETPKSAGSRVFYGSRAFF >itb05g27150.t1 pep chromosome:ASM357664v1:5:30672756:30675216:1 gene:itb05g27150 transcript:itb05g27150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSLFEGSSVLAEPERKNNGVFVENKEAAINYIGVLDVHVHQARDILNICIYHKQDVYAKICLTSDPENAVSTQIINGGGKNPVFNQTLRLNVATVECSLKCEIWMMSRVRNYMEDQLLGFALVPLSEVLLGNGKLEKEFTLSSTDLFHSPSGFVQLSLVYTGASPEVIVIPALPNAVTASDDKGVQDSEAVESLASEFEKIEFPDPKIVNENHLMVSEYFGIQCENLDSQSSDSLTSSDAENQIGSQAKDSVLTEPIDSLQSAKCDSPPSSVSTNGSVLGSNPANSSKSPNEEHVPAPREFPEEKSTDAGDSESLAASKKEFPKENSTDAADSESLASGNLPENEFPKPLVTINVPETNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSAPTSSGNSSSDQKSETPKSAGSRVFYGSRAFF >itb05g27150.t2 pep chromosome:ASM357664v1:5:30672756:30675216:1 gene:itb05g27150 transcript:itb05g27150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSLFEGSSVLAEPERKNNGVFVENKEAAINYIGVLDVHVHQARDILNICIYHKQDVYAKICLTSDPENAVSTQIINGGGKNPVFNQTLRLNVATVECSLKCEIWMMSRVRNYMEDQLLGFALVPLSEVLLGNGKLEKEFTLSSTDLFHSPSGFVQLSLVYTGASPEVIVIPALPNAVTASDDKGVQDSEAVESLASEFEKIEFPDPKIVNENHLMVSEYFGIQCENLDSQSSDSLTSSDAENQIGSQAKDSVLTEPIDSLQSAKCDSPPSSVSTNGSVLGSNPANSSKSPNEEHVPAPREFPEEKSTDAGDSESLAASKKEFPKENSTDAADSESLASGNLPENEFPKPLVTINVPETNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSAPTSSGNSSSDQKSETPKSAGSRVFYGSRAFF >itb04g31360.t1 pep chromosome:ASM357664v1:4:34265076:34267618:-1 gene:itb04g31360 transcript:itb04g31360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP3 [Source:Projected from Arabidopsis thaliana (AT1G08290) UniProtKB/Swiss-Prot;Acc:Q9SGD1] MGIRNCHIQAGLNNLCFQAPTFIEWLKQPSSIPSSSSSPSSSISPQLLLIHQSSGNNKDTMNFLKFPALENPERVADNNHEETLQCLPLLSKLMEAKGGGVKEEERDEEEEKVAVGLHIGLPDSGEEAKPFNVFKAAEDESVKKKRRAVVVDGDNGCNFNTESRFWIPTPTQILVGPMQFACNICSKTFNRYNNMQMHMWGHGSEFRKGPESLRGTQPAAMLRLPCYCCSPGCKNNINHPRAKPLKDFRTLQTHYKRKHGSKPFTCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEDEKEEFMTDEDETVSKSGNSYLL >itb02g23080.t1 pep chromosome:ASM357664v1:2:22863719:22865797:1 gene:itb02g23080 transcript:itb02g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIWSFFEEESDEEISDAHCAEELQLQEALQASFASLQMISSNHEEEEAESSGFFCGICMERKESSEMFKNNNNTCSHSFCSLCISEYVQSRIDLNLPPGTCPDPDRNCPATIDLSSCKSILPEAAIAKWERWLTQSSVDASQIIYCPYGDCSEMFVYEDEEQEVITEAECSFCRRLFCAQCRVPWHADQDCEEFRESEGDVEVEEMARNFKWMKCPHCNRVVDKIDGCIHITCWCGLEFCYVCGSIWSEDHWDCQTENDIDD >itb03g11860.t1 pep chromosome:ASM357664v1:3:10528353:10529893:1 gene:itb03g11860 transcript:itb03g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVTINYNLLGKQVWRLLSRLDSLMARVYKSRYYPQNSLFDATVGCNPSFIWRGLMEVKDTICLGCRRCIGDGRGTIIGTDPWLVNVENPFVTTDLHESISNATVSSLLSENGSGWDAECVRDIFNERDANVILNIPVPPKVKIFCWQLVSSVLPTRDALLSKHVPCNLYCHMCEQVLETATHLFVDCPVAAAVWTRMGLPPVSNQGGNYHPLVE >itb03g11860.t2 pep chromosome:ASM357664v1:3:10528353:10529893:1 gene:itb03g11860 transcript:itb03g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVTINYNLLGKQVWRLLSRLDSLMARVYKSRYYPQNSLFDATVGCNPSFIWRGLMEVKDTICLGCRRCIGDGRGTIIGTDPWLVNVENPFVTTDLHESISNATVSSLLSENGSGWDAECVRDIFNERDANVILNIPVPPKVKIFCWQLVSSVLPTRDALLSKHVPCNLYCHMCEQVLETATHLFVDCPVAAAVWTRMGLPPVSNQVGMTKYGREFHSLALMSRTWLYPSLRTGEVQTC >itb15g01980.t1 pep chromosome:ASM357664v1:15:1186073:1187259:-1 gene:itb15g01980 transcript:itb15g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSRSRGGGNPFGCCCGCLFNCICSCLFQILCTLLVLAGVVALILWLIFRPNAVKFYVDDAALSQFDFSAANNTLLYNLALNMSIRNPNKRIGIYYDVIEARALYAGQRFAAVNLDPFFQPTKNTSDLRAVIKGQNLVPLGDGEKSDYSAQKNNGAYRIDLKLFLQIRLKFWLIKSKKIKPTIDCALDNVPLRSNSGNFERTKCHLDW >itb06g17950.t1 pep chromosome:ASM357664v1:6:21707273:21709897:-1 gene:itb06g17950 transcript:itb06g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLLFVLTFSTLSHGLIIFATSSSIENVAISCGSSDAPTALHGRVWVGDNTISSTSSLHLTGKSWASRAQQKASPVPYQTARASHHEFSYRFSVKPGQKFIRLHFNPAPYNGFKKSIDLFTVKAGPYTLLTNFSPSLTADSLGAKFVSKEYCVNVQESQALTITFIPSRAAKASEDVYAFVNGIEIVSMPTGLYFTPEGDLGAHVVGQNHRFYIDTTTAMQMIQRLNVGGQSIPSVEDVSMFRGWKDDKSYLFNGVGAASIDSTITIEYADMLRHVAPPKVYQTARSLNPGKQQLIVHNLTWIIPVNLGFRYLVRLHFCEFEPGISAIGERQFSILINNQIAEYNADVIKWSGASGIAVYRDYIAMMEGDKMKGTGNLVISLQPNSELSSKRTEGILNGLEIFKLSNPDNCLAGINPDPHSTQSSASEMPRRQRQISFDRKSAIATAFTLAITLLNIAIYYLRCLSETNSTLRNTRSCSTDQACREFSFEEIQLSTNNFSPEFLIGSGGYGKVYRGSIDGGATTVAIKRLKEESRQGEKEFWTEIKMLSKLRNEHLVSLIGFCNEGKERVLVYEYMPRGTLADHLHKFDRLGNGNHPLSWERRLKILIGAAQGLHYLHTSQSQHKAIHRDVKSSNILLDESWVAKVSDFGLSKMGPGNESFTHVSTDVKGTYGYLDPEYFLTHRLTTKSDVYGFGVVLLEVLTGRPALDRRLVERHHNLATWAIDSMRKGEVNDIVDCSLAGQISQTCLKVLAEIAEKCLERQPHERPDMADVLTKLELVLALQQTDGVQNEAMSIDEHGALSEENLVKTTADRATKVKGKDLKKDSNSKRKSVGWKDLLFRLAKTIAKDEGSPNTAVKDRSFCCSKIGEGC >itb10g11230.t1 pep chromosome:ASM357664v1:10:16695842:16697089:1 gene:itb10g11230 transcript:itb10g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSALSLTCLCLYSTALATILTLNPCFLNAKTLESDVQVLRSFKDSIDPNSILPASFLSSWNLNLDPCEATGTHFLGVLCMTPSDNSSGRISVIDLEGDGLEGFLTPGIGNLTALTTLNLSRNKFRGPIPDSVVNLRKITRLLLSENFFSGALPRYIKRLRKLEVFDVSHNRLSGSIPATITALRELTHLDLSNNEITGKIPDLSGLWQLNTLALSSNQIFAGLPPFPVRLRILLLGHNLLSGHISAISKLRSLTALDLSDNRFSGPIRHQIVTLPELMRINVSTNHFTRIEVTKSSTKESQLQVLDAHGNLLQGHLPLNIITYLNLKTINLGHNKFSGEIPKAYGPRLRSVWRNLNLEYNYLEGTLPSEFMTRGERARASLAHNCLNCPEHVPWCQGGQRPASQCRRNTGGIM >itb09g03100.t1 pep chromosome:ASM357664v1:9:1744379:1747881:-1 gene:itb09g03100 transcript:itb09g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFFLIPFWNFTDVTNLISLSIEMPAGSFFRRWLFPRGFMFLVSLCMVLQSSRGDDFQLLMQFKSSLKDESSRVFDSWTTRNRLCNFTGIVCDSNRMVKEISLPQEELSGIVSFDSVCGLESLEKLDLGANFLYGRVTDHLRNCSRLEYLDLSNNSFSGEFPDLSVLNQLKFLNLNATSLSGRFPWKSLENMTSLGFLSLGDNVFEKSPFPLEVLKLEKLYWLYLTNASIEGEIPEGIGNLTLLENLQISQNSYLSGKIPDGITKLTRLWELELHENQLTGKIPVGFGNLTNLVYFDASANYIEGDISELKSLTQLQSFQMYGNNLSGEIPVEFGEFKSLVELSLYRNRFTGTVPQKIGSWAPFQFIDISENFFTGPIPPDMCKQGQLTDLLVLQNKFTGGIPGNYAGCSTLVRLRANNNSLSGTVPVGIWSLPSLEIIDLRLNQFEGPIASGIGEAKSLAQVFLANNRFNGPLPERLSEASSLVSINLSSNNFSGGIPENIGELKKLNSLILDHNLFSGNIPVSIGSCVSLNEINLAGNSLSGEIPASIGSLTSLNSLNLSDNQLSGEIPVALSSLRLSLLDFSNNRLTGHIPSSLSMVAFNGSFSGNPGLCSEDTRSGVRPCSSHSSRSKGHRTVIICLLVGIVVLIILGACFVFTKSKHKNQQIPARKSDSWNIKQFHILSFSEDQVVKALKQENLIGKGGSGNVYKIVLDNGKQLAVKHIWKSENPGADHRRSLSSSPMLSVRKDRRSKEYDAEVAALSSIRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDRLHSSNKTKMDWLVRYEIALGAARGLEYLHHGCDHAMIHRDVKSCNILLDEKMKPKIADFGLAKILEASIASIDTSCVIAGTHGYIAPEYAYTSKVNEKSDVYSFGVVLMELVTGKKPVEPEFGENNNIAQWVCSRLMGNKESMMDMVDSSFSVGFKEDAVKVLKIAMHCTARLPSLRPSMRMVVQMLEDADPCKLTGIVVNSEDDNEKF >itb05g17370.t1 pep chromosome:ASM357664v1:5:24339537:24343951:-1 gene:itb05g17370 transcript:itb05g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNITHAKEKKSRKSKEVVVNESSPLLPSKHEGDAGFDEFNGASFSGAVFNLSTTIVGAGIMALPATMKVLGLFLGIAMIIIMAFLTEASIEYLIRFSRAAKSTSYGAVMGDAFGKVGKILLQVCVLVNNIGVLVVYMIIIGDVLSGTTEDGVHHNGVLEGWFGVHWYSGRFFVLLVTTLGIFAPLACLKRIDSLKFTSALSVALAVVFLVVTVGITLYKIIDGTVLMPRLLPDVYNVTSFINLFTVVPVLVTAYICHYNVHTIDNELEDNTQIKAVVRASLTLCSSVYVLTSLFGFLLFGDATLDDVLANFDADLGIPFGSVLNDVVRVSYAAHLMLVFPIVFYPLRLNLDGLLFPSARPLVQDNLRFASISTGLLVVIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAVTLRDRYGIATRKDKILSTFMIILAVLSNVVAVYSDAYALFKKNASLQA >itb03g06300.t1 pep chromosome:ASM357664v1:3:4640864:4642089:1 gene:itb03g06300 transcript:itb03g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPIHIRSISFPSRSHPSIQKVEEELNKLKTLQVSAAPEAATISSGLLGLEQLYKCMDDLLNLPQILQAFSQNQNQKWVDGVLEKSVKLLDICGLARDCISQLKEHLRDLQSSLRRRKGDSSAEASITRYTSFVKKMNKDAKKSIAAIKKIDDEIEGSTPFDVHRDISAVIKALREASAVSTSIFQSVLLFLSVPVLKPKPSRWSLVSKLVQSGRVACEYQHNNTCNLETLEAQLEDIENKMESIFRCLIKSRSYLLNIISC >itb14g10530.t1 pep chromosome:ASM357664v1:14:11904268:11906754:1 gene:itb14g10530 transcript:itb14g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKTHRRGGSEEKNRKGRIVEKAKSFHGHGVENTAELLGRPRTVPDLISAQRSSTEMRFPSKLTKLLINVRIQRCLGAVQVLMSSESTVEDLIAAALRQYVKEGRRSALPSTDPADFGLHYSQFSLEGLDRADSVMTLGSRNFFLCMKNSSAGTQGGGETPSCGATPGCSSEVDRVTKIGLPWIKFMDILL >itb07g11310.t1 pep chromosome:ASM357664v1:7:12779971:12780732:-1 gene:itb07g11310 transcript:itb07g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGMNHMHGMGMPPSSPAAAANGTFRHRHMMMHMTFFWGKNTEVLFSGWPGYDHLGMYILSLVVVFVLAVLVEWLSNCNYIKESANRAAAGLLQTAMYGLRIGLAYMLMLAVMSFNGGVFLVAIAGHTLGFLFFGSRVFNKPAANGKTTDLPPMSCNC >itb08g17230.t1 pep chromosome:ASM357664v1:8:19616691:19620053:1 gene:itb08g17230 transcript:itb08g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MSRLRNIIAKVTKINHMRQLHAHLIHYSLHGQSYWVALLINLCTRLHAPPPYTRSIFESAQEPNIFVFTSMLKYHSHLGAQSDVLQVFVEMQKFGVSPDAFVYPILIKATGEGGVAFHAKVIKMGIDSDRFIRNAVMDMYGKYGPIQIARELFDEMPERAVADWNSIISGYWNWKNEVEARRMFDLMPEKNVITWTTMVSGYSRMKDLVNARRYFNKMPEKSIVSWNAMLSGYAQNGYNEEAIRLFTEMVSVGVIPDETTLVTVISSCSSEGIPALAEWLVSMINKKSIHLNCFVKTALLDMYAKCGNLEMARKIFDELGSYRNSVTWNAMISAYARHGNLALAKELFDRMPGRNVVSWNSIIAAYAQNGQSKIAIDLFKEMIEQGMIPDEVTMLSVISACGHLGALEFGKEMVNFLMKNKIKLNISGYNSLIFMYSKCGSMEDAKSVFQSLETRDVVSYNALITGLAAYGNGNEALDLLWKMKEENLKPDRITYTGVLTACSHAGLVDEGQRIFESIKSPDVDHYACLVDLLGRNGKLDEAKGWIDRMPLHPHAGVYGALLNASCIHKRIDLGEFAATKLFEIEPENSGNYILLSNIYASVRRWEDVERIRGLMSKVGILKTTGWSWVEHDGKMHKFIVGDQLHEKSDDIYRVLGEMENKMRLAGYMADKSCVLRDIEEEEKEEMIGSHSEKLAVAFALLVSEPGSVIRVVKNLRICRDCHTAIKIISKLEKREIIVRDNNRFHCFKDGLCSCKDYW >itb08g15510.t1 pep chromosome:ASM357664v1:8:17664309:17665914:-1 gene:itb08g15510 transcript:itb08g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLVVFVLIVGLVPFGCFGIRSFPEINDGFGFSEAPEYRNGVDCQGTGTASSCDPALVHVAMTLDSEYLRGSIAAVHSVLRHASCPETIFFHFIAAEFDPASPRVLTRIVRSVFPSLNFKVYIFREDSVVNLISSSIREALENPLNYARNYLGDIIDPCVNRVIYLDSDVVLVDDILKLWKTRIRDSRVIGAPEYCHANFTKYFTDSFWADPGLSRVFRSNSPCYFNTGVMVIDLRQWRAGNYRAKIEKWMEIQRKRRIYELGSLPPFLLVFAGNLEPIDHRWNQHGLGGDNVKGSCRSLHPGPVSLLHWSGKGKPWARLDDKKPCPLDYLWQPYDLYKPRRQGKVKLNHHHLALSGSTNTLGYSTFFI >itb11g22250.t1 pep chromosome:ASM357664v1:11:24120729:24121307:-1 gene:itb11g22250 transcript:itb11g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNTSRGVTRGRQRVPLARIENEVQRLVTFSKRRTGLFKKASEMSTLCGTEIAMVVFSPTGKPFSFSNPDMNTVLTKYFGEIPNIEANVPEHIIRAHRDAKMRAMTSQINVLEAQIDEEMLVDQALREAEKGRPSISDLQLPELQLMKQQMETLLYQVTEKLNMFSIMGAQSQAREARFGGNYGAGPSGV >itb02g03270.t1 pep chromosome:ASM357664v1:2:1881833:1882331:-1 gene:itb02g03270 transcript:itb02g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVVAGLFRRGYTVAAERVKAQAVKRAAVESPAAGEKAAAEKEAAVFWMRDPKSGNWVPETHFDDVDPADLRKQLLRSNCKKVF >itb03g16380.t1 pep chromosome:ASM357664v1:3:15362814:15366135:-1 gene:itb03g16380 transcript:itb03g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G55440) UniProtKB/Swiss-Prot;Acc:P48491] MGRKFFVGGNWKCNGTAEEVKKIVSMLNGGQVPSQDVVEVVVSPPFVFLPLVKAELRSDFHVAAQNCWVKKGGAYTGEISAEMLVNLDIPWVILGHSERRLILGESNEFVGDKVAYALAQGLKVIACVGETLEQREAGTTMEVVAAQTKAIADRVSDWSNVVIAYEPVWAIGTGKVATPAQAQEVHCELRKWLQANVSADVAATTRIIYGGSVNGGNCKELGGQPDVDGFLVGGASLKPEFIDIIKAAEVKKA >itb14g18120.t1 pep chromosome:ASM357664v1:14:21243704:21244288:-1 gene:itb14g18120 transcript:itb14g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMITSSCKRFIFSPFKKIMLHLFKFRLRNSLSVGRRLRRPRQRRIAGERRIRRSIFRYLRKEREDDDEDEAMELKSYSDTTINQKAPFPSPLTPAYVRNNGREDGRIPDEMDGGDACRRFEKCLAGIVAGEGRMGVRDLNMDVEDLVYCWENLRCPVFLDLVCRFYRELCKDVFSDNVDPNIELHLKNHNRN >itb01g31580.t1 pep chromosome:ASM357664v1:1:35255360:35257760:1 gene:itb01g31580 transcript:itb01g31580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSDSCAKLILHFSMTEILLPEISFSQDNVTCFTQSHRRTGLLRLVAYSIDEDGLPHESYGPSIELASFGLRFHPAFAAVLSSRG >itb01g31580.t2 pep chromosome:ASM357664v1:1:35256292:35257760:1 gene:itb01g31580 transcript:itb01g31580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSDSCAKLILHFSMTEILLPEISFSQDNVTCFTQSHRRTGLLRLVAYSIDEDGLPHESYGPSIELASFGLRFHPAFAAVLSSRG >itb03g14890.t1 pep chromosome:ASM357664v1:3:14414365:14418412:-1 gene:itb03g14890 transcript:itb03g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.1 [Source:Projected from Arabidopsis thaliana (AT2G40460) UniProtKB/Swiss-Prot;Acc:Q8VZR7] MEANKGYTQDGTVDLHGQPVLSEKTGKWKACAFLVGYEAFERMAFYGIASNLVVYLTTQLHEETVASVRNVNNWSGVVWITPLLGAYVADAYLGRFWTFTISSFIYVIGMVLLTMAVSIKFLKPSCDNGVCNKATTSQITFFYASLYTIAIGAGGTKPNISTFGADQFDDFNSSEKRLKVSFFNWWMFSTFAGALLATIGLVYIQENLSWGLGYGIPTIGLILSLIIFYIGTPLYRHKKRLNRFPARNLLRVPAVALSNRKLDLPSDPSELHEFDSQYYISTGKRQVQHTPIFRFLDKAAIKQGSVSPPCTVTQVEGAKVILGMAMIWVVTLVPSTIWAQINTLFVKQGTTLNRHVGGSAFQIPAASLGSFVTLSMLVTVPMYDRYFVPLMRSKTGNPRGITLLQRLGIGFAIQIAAIAIASAVELRRMRVIAAHGITGPKEIVPMTIFSLLPQYVLLGVADVFNAIGLLEFFYDQSPEDLQSLGTTFFTSGIGIGNFLNSFLVTIVDKITGRGKAKSWIGDNINDSHLDYYYGFLLVISILNLGAFIWASSKYIYKKEVTEVKDEDLELETGKGIEIQLP >itb02g23670.t1 pep chromosome:ASM357664v1:2:23844436:23844872:1 gene:itb02g23670 transcript:itb02g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSFGRQSSTDLVFGDPIHQLRWVRVEIGGSSITGSILVRQQKNSSSSFAIGPIDRRTVISGAGAENSETQQSFPSSGNFDPSSSTVSICGRWNFSRYSNKILSVLVLLSFPPIHNPLY >itb09g09890.t3 pep chromosome:ASM357664v1:9:6069808:6075843:-1 gene:itb09g09890 transcript:itb09g09890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSTAKCQLHSPNPKSSSFSQTRYGLINFRKTHLGFQHKIHTEWAPGRLALASVEGSRGCVGRLVPLPPAMQRGREVRCTAEGIETGLLGVGGRAAAAQEEGFMVPERFKVVALMACVMALCNADRVVMSVAVVPLAAKYGWSNAFLGVVQSSFLWGYIFSSVIGGALVDKYGGKRVIAWGAALWSLATLLTPWAANHSTVALLAVRAFFGLAEGVALPSMNTLLSRWFPCHERATAVGLSMGGFHLGNVVGLMLTPLAMSSIGISGPFILFASLGLLWLTMWLFRVTNDPQQSNSITKAELRLIQAGKSDAPTVKAKLPPIQLLLSKMPTWAIIVANITNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWATMAVSGYVAGAVSDFLIKAGYSITFVRKVMQSIGFIGPGLSLLCLNFAKTPEVAAIMITIALSFSSFSQAGFLLNMQVSRTNSS >itb09g09890.t2 pep chromosome:ASM357664v1:9:6069762:6074579:-1 gene:itb09g09890 transcript:itb09g09890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITYHRLFYFISSFLWGYIFSSVIGGALVDKYGGKRVIAWGAALWSLATLLTPWAANHSTVALLAVRAFFGLAEGVALPSMNTLLSRWFPCHERATAVGLSMGGFHLGNVVGLMLTPLAMSSIGISGPFILFASLGLLWLTMWLFRVTNDPQQSNSITKAELRLIQAGKSDAPTVKAKLPPIQLLLSKMPTWAIIVANITNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWATMAVSGYVAGAVSDFLIKAGYSITFVRKVMQSIGFIGPGLSLLCLNFAKTPEVAAIMITIALSFSSFSQAGFLLNMQDIAPQYAGFLHGISNSCGTMAAIVSTIGTGFFVQWLGSFQAFLTLTAFLYFISAIFWNLFATGERVF >itb09g09890.t1 pep chromosome:ASM357664v1:9:6069762:6075906:-1 gene:itb09g09890 transcript:itb09g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSTAKCQLHSPNPKSSSFSQTRYGLINFRKTHLGFQHKIHTEWAPGRLALASVEGSRGCVGRLVPLPPAMQRGREVRCTAEGIETGLLGVGGRAAAAQEEGFMVPERFKVVALMACVMALCNADRVVMSVAVVPLAAKYGWSNAFLGVVQSSFLWGYIFSSVIGGALVDKYGGKRVIAWGAALWSLATLLTPWAANHSTVALLAVRAFFGLAEGVALPSMNTLLSRWFPCHERATAVGLSMGGFHLGNVVGLMLTPLAMSSIGISGPFILFASLGLLWLTMWLFRVTNDPQQSNSITKAELRLIQAGKSDAPTVKAKLPPIQLLLSKMPTWAIIVANITNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWATMAVSGYVAGAVSDFLIKAGYSITFVRKVMQSIGFIGPGLSLLCLNFAKTPEVAAIMITIALSFSSFSQAGFLLNMQDIAPQYAGFLHGISNSCGTMAAIVSTIGTGFFVQWLGSFQAFLTLTAFLYFISAIFWNLFATGERVF >itb05g16170.t1 pep chromosome:ASM357664v1:5:23446036:23451563:1 gene:itb05g16170 transcript:itb05g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTRTQTQTLPDSDSQPLTDPNADSGLGSDPALLADAPIDIPRNHSNPSKIPIRPQKIRKLSTASSVEGGDQKPPEATADPSSSSPLKALTPATSAASTSTVLTATASTTSRNRRKAVAQISRVLPQIVKPLSADGEIELALRHLRAVDPLLVSLIDTLTPPTFETRHPPFLALTKSILYQQLAYKAGTSIYTRFISLCGGEKAVHPDTVLSLSAQQLKQIGVSGRKASYLYDLANKYKSGILSDDTVVKMDDRSLFTMLSMVKGIGSWSVHMFMIFSLHRPDVLPVSDLGVRKGVQLLHGLEELPRPSQMEQLCEKWKPYRSVGAWYMWRFVEGKGTPASAAAALDGSSVQPLQQLDPEQDAQQQHQLQLLEPINGIGNLGACIWGQ >itb07g09720.t1 pep chromosome:ASM357664v1:7:9364211:9364799:1 gene:itb07g09720 transcript:itb07g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPLEQQLLVTPLRSLSIPSLSLEDPSRRRQWKTSGETSIPIPPFWCSFLYDEAIDMVASRQRAVDEAIDMVASRQRAVCFALRLLNDLLCFRRGRAAAVELGIDDRRHPSPATARQRSAAELRSGGGSSRCQGRRLPPLLSSSLDVTKGGRRATAADGGS >itb06g06440.t1 pep chromosome:ASM357664v1:6:9066517:9068548:1 gene:itb06g06440 transcript:itb06g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKIPQEAYPPPGYGSVYPPPSAPPPPPYEGYPPPPQSAYPPPPGYPYHPPPPSQQLPGGYQGYFHHHQPPPPQPYPVYQCDHHHHHCDDDRTGCISFLRGCFAALCCCCILEECLF >itb07g22640.t1 pep chromosome:ASM357664v1:7:27181538:27184978:1 gene:itb07g22640 transcript:itb07g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPEGMLEERSCLVSRNYRRGHTGTCMNYRIDMNGKRPFEDDKENELVPSKFARQSDGGCDRVDTALTLGVSSASCAEEVGDRPADGVETSLTLGGDMLAPIGVADHQDNIEDNSEDSSLIPSIGRDNAISCLIHCSRSDYGAMSALNRSFRSLIRSGDIYKLRRMNGVVEHWVYFSCNLQEWEAFDPNRRRWMRLPTMNSNECFWFSDKESLAVGTELLVFGKELMAQVIYRYSLLTNSWSSGMQMKEPRCLFGSSSKGEIAILAGGCDSHGKILSSAELYNSETGNWTLLPNMNKARKMCSGVLMDGKFYVVGGVGGPDSKLLTCGEEYDFEKRTWTEIPNMSPVRMAAVRENGLPATAEAPPLLAVVNNQLYAADYADMEVRRYDKDKKAWVTEGRLPERANSMNGWGLAFRACGDRLLVIGGPRTVGQGFIEVNSWVPSEGPPQWNMLGRKSSGSFVYNCAVMGC >itb06g13030.t2 pep chromosome:ASM357664v1:6:17638454:17648244:-1 gene:itb06g13030 transcript:itb06g13030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQGGGSSRRSLSSTSYSLQTKKKTAENEVGGLGDSVSRKSFSTVRSIGLTGERTVKRLRLSKALTVPDTTTVYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNIQETPVSKVMTRNPVFVLSDTLSVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARLERAAEKGNTFVETLRERMFRPSLSTIIPENSKIITVSPSDTVVEAAKKMLEFRMSSAVVTVDDKPRGILTSKDILMRVIAQDLPPESTLVEKVMTPNPECASVDTPIVDALHTMHDGKFLHLPVVDRDGVVVAVFDVLNITHAAIATAGNTAEVNNEATNSMMQKFWDSAMALAPDDDEETRSDTSLKMASEGGVETGRTLPSSNLPAAFAFKIQDRNGRMHRFTCDTQSMTDLIAAILQRIGDDIDRNKLPQILYEDEDHDKVVLASDSDLVAAVEHARSAGWKGLRLHLDYRRVSGSSSTDYAQADAWASAYKSVAAGAALVAGLGVFAFLRRSSN >itb06g13030.t1 pep chromosome:ASM357664v1:6:17638454:17648325:-1 gene:itb06g13030 transcript:itb06g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQGGGSSRRSLSSTSYSLQTKKKTAENEVGGLGDSVSRKSFSTVRSIGLTGERTVKRLRLSKALTVPDTTTVYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNIQETPVSKVMTRNPVFVLSDTLSVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARLERAAEKGKAIVAAVEGVEKHWGASVSGNTFVETLRERMFRPSLSTIIPENSKIITVSPSDTVVEAAKKMLEFRMSSAVVTVDDKPRGILTSKDILMRVIAQDLPPESTLVEKVMTPNPECASVDTPIVDALHTMHDGKFLHLPVVDRDGVVVAVFDVLNITHAAIATAGNTAEVNNEATNSMMQKFWDSAMALAPDDDEETRSDTSLKMASEGGVETGRTLPSSNLPAAFAFKIQDRNGRMHRFTCDTQSMTDLIAAILQRIGDDIDRNKLPQILYEDEDHDKVVLASDSDLVAAVEHARSAGWKGLRLHLDYRRVSGSSSTDYAQADAWASAYKSVAAGAALVAGLGVFAFLRRSSN >itb04g02970.t1 pep chromosome:ASM357664v1:4:1839713:1845189:-1 gene:itb04g02970 transcript:itb04g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQAEHIEYFVKQASAVRGSALATIIVDATSHPSLFAFSEILAVPNILELEGTENSMYLYLLRLFAHGTWTEYKSFTGNLPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMQELDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLASMIETLSDWLMTSDNLLVSIQEKIKWADTMSQLDKKHHKDIEEKVEDVKKTLSFKADIDYRGHEEIYSEPGGVMDYEEDRGRPKRRRHPMG >itb04g02970.t3 pep chromosome:ASM357664v1:4:1839713:1845151:-1 gene:itb04g02970 transcript:itb04g02970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQAEHIEYFVKQASAVRGSALATIIVDATSHPSLFAFSEILAVPNILELEGTENSMYLYLLRLFAHGTWTEYKSFTGNLPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMQELDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLASMIETLSDWLMTSDNLLVSIQEKIKWADTMSQLDKKHHKDIEEKVEDVKKTLSFKLQKSRPTLTTEDMRRSTLNLVE >itb04g02970.t2 pep chromosome:ASM357664v1:4:1839713:1845189:-1 gene:itb04g02970 transcript:itb04g02970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQRQAEHIEYFVKQASAVRGSALATIIVDATSHPSLFAFSEILAVPNILELEGTENSMYLYLLRLFAHGTWTEYKSFTGNLPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMQELDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLASMIETLSDWLMTSDNLLVSIQEKIKWADTMSQLDKKHHKDIEEKVEDVKKTLSFKKLQKSRPTLTTEDMRRSTLNLVE >itb04g02970.t5 pep chromosome:ASM357664v1:4:1839766:1843870:-1 gene:itb04g02970 transcript:itb04g02970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYLLRLFAHGTWTEYKSFTGNLPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMQELDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLASMIETLSDWLMTSDNLLVSIQEKIKWADTMSQLDKKHHKDIEEKVEDVKKTLSFKKLQKSRPTLTTEDMRRSTLNLVE >itb04g02970.t4 pep chromosome:ASM357664v1:4:1839766:1843870:-1 gene:itb04g02970 transcript:itb04g02970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYLLRLFAHGTWTEYKSFTGNLPQLVPDQVLKLKQLTVLTLAETTKVLPYDLLMQELDVSNVRELEDFLINECMYVGIVRGKLDQLRRCFEVQFAAGRDLRPGQLASMIETLSDWLMTSDNLLVSIQEKIKWADTMSQLDKKHHKDIEEKVEDVKKTLSFKADIDYRGHEEIYSEPGGVMDYEEDRGRPKRRRHPMG >itb02g06680.t2 pep chromosome:ASM357664v1:2:4178987:4186428:-1 gene:itb02g06680 transcript:itb02g06680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKVKYDRQLRIWGDQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITVVDGSKVEVGDLGNNFMVDESNVGQSKARCVCSFLQELNDAVKARFIEEYPKELIETNPSFFSQFTLVVATQLGEDSMVKLDRICREANVILVFARSYGLTGLVRISVKEHTVIESKPDHFLDDLRLNNPWPELQRFAETIDLNTSDPVIHKHTPYVIILVKLAEEWVKTHDGKLPSTREEKKAFKDFIKSRMTAIDEDNYKEAMEASFKVFASRGISSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAMEQRVRDILKRIGRDPGSISKANIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYSIAVGFYILLRAADRFAANYNKFPGQLDGEMDEDISRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb02g06680.t1 pep chromosome:ASM357664v1:2:4178987:4186428:-1 gene:itb02g06680 transcript:itb02g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKVKYDRQLRIWGDQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITVVDGSKVEVGDLGNNFMVDESNVGQSKARCVCSFLQELNDAVKARFIEEYPKELIETNPSFFSQFTLVVATQLGEDSMVKLDRICREANVILVFARSYGLTGLVRISVKEHTVIESKPDHFLDDLRLNNPWPELQRFAETIDLNTSDPVIHKHTPYVIILVKLAEEWVKTHDGKLPSTREEKKAFKDFIKSRMTAIDEDNYKEAMEASFKVFASRGISSSVQQIINDNFAEVNSNSSEFWIMVAALKEFIANEGNGEVPLEGSIPDMTSSTELYVNLQNVYQAKAEADFLAMEQRVRDILKRIGRDPGSISKANIKSFCKNARKLAVCRYRSIEEEFNSPAQPELQKYLTDEEYRNLQEANPKVLPENIAVGFYILLRAADRFAANYNKFPGQLDGEMDEDISRLKTTAVSLLNDLGCNGSTLTEDLINEMCRYGAAELHAVAAFIGGIASQEVIKLITRQFVPMPGTFIFNGIDHKSQLLFL >itb09g09960.t1 pep chromosome:ASM357664v1:9:6135714:6141126:-1 gene:itb09g09960 transcript:itb09g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEYREEENGSHPLLLESDMTSRPRRIALFVEPSPFAYISGYKNRFQNFIKYLREMGDEVMVVTTHEGVPEEFYGAKLIGSHSFPCPWYRKVPLSLALSPRIISEVAQFKPDIVHASSPGIMVFGALCIAKLLSVPIVMSYHTHVPVYIPRYTYSWLVQPMWLIIKFLHRAADLTLVPSAAIAKDLEEYKVTETDKIRLWNKGVDSQSFHPQYYSEEMRLRLSNGEPDKPLIVHVGRLGVEKSLDFLKRVMDKIPEARIAFIGDGPYRGELEKMFSGMPAVFTGMLQGEELSQAYASGDVFVMPSESETLGQVVLEAMSSGLPVVAARAGGIPEIISDDQQGKIGYLFNPGDLDDCLRKLKPLLWDPELRETIGKAARDEVEKYDWKAATKKIRNEQYNAAILFWRKERSQSPGPFQWLFKRMFQTPDVENR >itb12g18200.t1 pep chromosome:ASM357664v1:12:20431373:20431742:1 gene:itb12g18200 transcript:itb12g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAKRFEHQSAEGREPQTPRAVNRKRGGYRLFIEGGEGNKPSVESGRRRGRVTANVKSGRQRETMRD >itb14g03270.t1 pep chromosome:ASM357664v1:14:2876588:2880338:-1 gene:itb14g03270 transcript:itb14g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGSTNLLVFSLFLLLSVAVHCKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWTGVTCSTEGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLKILNLRWNKLQDVIPPEIGELKKLTHLYLSFNNFKGEIPKELANLPELRYLHLHENHFVGRVPPELGTLQNLRHLDVGNNRLVGTIRELIRIEGCFPALRNLYLNNNYLTGGLPAQLANLTNLEIVHLSYNRMTGVVPPSLGHIPKLTYLYLDHNQFTGRIPDAFYKHPFLKELYIEGNSFRPGVNPIGVHKVLELSDSEFLF >itb01g21810.t1 pep chromosome:ASM357664v1:1:27843767:27844634:-1 gene:itb01g21810 transcript:itb01g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLESVPEFVESNEVLSLDMRLVHLLKRIPYCWSVPYEYRRSFGLCFGAFRCLTSLKNGNGTVDVLAVSFLPFRIDCKCCCQTFKQPTDLQLF >itb11g07020.t1 pep chromosome:ASM357664v1:11:4249777:4255663:1 gene:itb11g07020 transcript:itb11g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNARIQRSSEAQHSNVGVSGAMSTPLPILPSIEDKFPKLPGPLQVNHDHERTVHPLSSRMMNTSASSGGTLGHPTSSSSGSQKDLHISPQENKSSNYPFISNSSIDGPSLASLHSGIQSSALDSYPVGNGIDSWSKDECRDFLDYTTSIPAQNGQVETVAGVMSSDDHAKRTDWQEWADQLINDDDTLAGTSWSDILIDVDVPDPEPKLLLPSPDVPVPQPQTLQQTPALSEQNFAVASPSSAAALTKPRMRWTPELHEVFVEAVNKLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKLEPSEGTLETKPASVPEEAASLDMKTTMGITEALRLQMEVQKQLHEQLEIQRKLQLRIEEQGKYLQMMFEKTRNMGKELKASDEQPPLSPPNDNQQLSDQDNANSELGSSNKTPEPSSQERNNACEPCDSAAGKDLDSPPQKRAKVDETTAPQ >itb11g07020.t4 pep chromosome:ASM357664v1:11:4249752:4255184:1 gene:itb11g07020 transcript:itb11g07020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNARIQRSSEAQHSNVGVSGAMSTPLPILPSIEDKFPKLPGPLQVNHDHERTVHPLSSRMMNTSASSGGTLGHPTSSSSGSQKDLHISPQENKSSNYPFISNSSIDGPSLASLHSGIQSSALDSYPVGNGIDSWSKDECRDFLDYTTSIPAQNGQVETVAGVMSSDDHAKRTDWQEWADQLINDDDTLAGTSWSDILIDVDVPDPEPKLLLPSPDVPVPQPQTLQQTPALSEQNFAVASPSSAAALTKPRMRWTPELHEVFVEAVNKLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKLEPSEGCARLAGTLETKPASVPEEAASLDMKTTMGITEALRLQMEVQKQLHEQLEIQRKLQLRIEEQGKYLQMMFEKTRNMGKELKASDEQPPLSPPNDNQQLSDQDNANSELGSSNKTPEPSSQERNNACEPCDSAAGKDLDSPPQKRAKVDETTAPQ >itb11g07020.t2 pep chromosome:ASM357664v1:11:4249777:4255663:1 gene:itb11g07020 transcript:itb11g07020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNARIQRSSEAQHSNVGVSGAMSTPLPILPSIEDKFPKLPGPLQVNHDHERTVHPLSSRMMNTSASSGGTLGHPTSSSSGSQKDLHISPQENKSSNYPFISNSSIDGPSLASLHSGIQSSALDSYPVGNGIDSWSKDECRDFLDYTTSIPAQNGQVETVAGVMSSDDHAKRTDWQEWADQLINDDDTLAGTSWSDILIDVDVPDPEPKLLLPSPDVPVPQPQTLQQTPALSEQNFAVASPSSAAALTKPRMRWTPELHEVFVEAVNKLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKLEPSEGCARLAGTLETKPASVPEEAASLDMKTTMGITEALRLQMEVQKQLHEQLEIQRKLQLRIEEQGKYLQMMFEKTRNMGKELKASDEQPPLSPPNDNQQLSDQDNANSELGSSNKTPEPSSQERNNACEPCDSAAGKDLDSPPQKRAKVDETTAPQ >itb11g07020.t3 pep chromosome:ASM357664v1:11:4249777:4255184:1 gene:itb11g07020 transcript:itb11g07020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNARIQRSSEAQHSNVGVSGAMSTPLPILPSIEDKFPKLPGPLQVNHDHERTVHPLSSRMMNTSASSGGTLGHPTSSSSGSQKDLHISPQENKSSNYPFISNSSIDGPSLASLHSGIQSSALDSYPVGNGIDSWSKDECRDFLDYTTSIPAQNGQVETVAGVMSSDDHAKRTDWQEWADQLINDDDTLAGTSWSDILIDVDVPDPEPKLLLPSPDVPVPQPQTLQQTPALSEQNFAVASPSSAAALTKPRMRWTPELHEVFVEAVNKLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKLEPSEGTLETKPASVPEEAASLDMKTTMGITEALRLQMEVQKQLHEQLEIQRKLQLRIEEQGKYLQMMFEKTRNMGKELKASDEQPPLSPPNDNQQLSDQDNANSELGSSNKTPEPSSQERNNACEPCDSAAGKDLDSPPQKRAKVDETTAPQ >itb02g19770.t1 pep chromosome:ASM357664v1:2:17035040:17035315:1 gene:itb02g19770 transcript:itb02g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNLMIVAVLMLLLAETQFSSAACDVQQLTPCLSALTSNTNPSQLCCQRLNQQKPCFCQYLKNPSLKMYLNSQAAKKAAKTCNVSIPKC >itb11g13440.t2 pep chromosome:ASM357664v1:11:10416778:10423513:1 gene:itb11g13440 transcript:itb11g13440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVMYLISFLLIAFAHWVYRWRNPKCKGLLPPGSMGFPIVGESIQFFTSHSLKGIPPFIQQRTARYGSLFKTCIVGQRVIVSTDPEVNHFIFQQEGELVQCWYSESSAEITGRQGFLGHHGDSHKYIRNLAHSLVGPENLKQTLLAEMDQTTRQHLDQWTGLSKLDIKQATEIMLFKLAASKVLSYDEKKALELRKYYKDFHEGFISFPLFLPGTPFYAAMQGHKQAIKLIKETLKERRSSKKGKQDYLDFILNEVDKEDTFITEEIAVDILCMLIFAAYETTSSAITLALKFLNQHPHVLKQLQEEHEIIVASRKDKESSITWKEYKSMKFTHMVINETVRLANIVPGIFRKVIEDFQIKGYTIPKGWMIMICPSSVHLSSEKYNDPLSFNPSRWNGEEIHSSSKKFMAFGGGQRLCAGADYAKLVMAIMLHYLVTKFKWKVVDEGNIVQKPGLAFLDGFKVQIYK >itb11g13440.t1 pep chromosome:ASM357664v1:11:10416736:10421948:1 gene:itb11g13440 transcript:itb11g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLVMYLISFLLIAFAHWVYRWRNPKCKGLLPPGSMGFPIVGESIQFFTSHSLKGIPPFIQQRTARYGSLFKTCIVGQRVIVSTDPEVNHFIFQQEGELVQCWYSESSAEITGRQGFLGHHGDSHKYIRNLAHSLVGPENLKQTLLAEMDQTTRQHLDQWTGLSKLDIKQATEIMLFKLAASKVLSYDEKKALELRKYYKDFHEGFISFPLFLPGTPFYAAMQGHKQAIKLIKETLKERRSSKKGKQDYLDFILNEVDKEDTFITEEIAVDILCMLIFAAYETTSSAITLALKFLNQHPHVLKQLQEEHEIIVASRKDKESSITWKEYKSMKFTHMVINETVRLANIVPGIFRKVIEDFQIKGYTIPKGWMIMICPSSVHLSSEKYNDPLSFNPSRWNGEEIHSSSKKFMAFGGGQRLCAGADYAKLVMAIMLHYLVTKFKWKVVDEGNIVQKPGLAFLDGFKVQIYK >itb11g13760.t1 pep chromosome:ASM357664v1:11:10804336:10805601:-1 gene:itb11g13760 transcript:itb11g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACRILILTHLGYPSLPQQIRSSSCRIVYVFRDPKDVFVSFWHFYAKLRPKDSSSSSISFPEAFNKFSRGVSPFGPYWDHVTGYYKASIQSPNRVFFLRYEDLKTDTVSHVKRLAEFVGQPFSEEEENEGAVRKIIDLCSFQKLSNLEVNKDGSRQRFVWTQGIPIANNVYFRKGEVGDSKNHLSEEMREFLDQITEDKFKEFGLTAFGRAEE >itb10g18910.t1 pep chromosome:ASM357664v1:10:24697699:24701773:1 gene:itb10g18910 transcript:itb10g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSLLFVFFLILSKPLPSSSIPLSFSQYQTLVSLSHSLLHRVANLRADRGDDLGAARARIIARSIERGLGLGFWKLMWTLGWDYARNYAWSDTMSFEMLGAVSDLNELLRALGELTRVGSDRERVAWVTRNYGNVLRIAKALSGRLLKVFRQSGPLREVMETVQKEIVEGDLLKDCLELGGNDFKGLIQVLKDIALQYSTSTRTDL >itb10g18910.t2 pep chromosome:ASM357664v1:10:24699562:24701773:1 gene:itb10g18910 transcript:itb10g18910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSFIFLFFLILIKPTPSSSSIPLPLSNHQILLSLSHSLLARVANHRAARGDAVGAARARSIARVMDRGLGMGLWEFTWSLGRDYARKFSLRDTMSFEMVGAVSDLNELVGALGELTQVRSESERVAWVNRNSGNVIRIAKSLSGRLLKTIRQSGPLREVMETVQKEIVEGDLLKDCLELGGNDFKGLIQVLKDIALQYSTSTRTDL >itb09g29440.t1 pep chromosome:ASM357664v1:9:30165856:30173032:-1 gene:itb09g29440 transcript:itb09g29440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPKVGSKEQKIITFRRKSFSDPLSASSLPFAEISTDDDPLHPRPSQSQNPNSFNSILEPPSYADAIFRSFDADHTSPEVNGHDQSGASPSSWSSTPMSEYLHIIVSDPQKEQELTKSLVPGGSTYVTYLITTRTNLPHFSGTEFSIRRRFRGVMTLSDWLSEAYRWFFIPLRPESTVKAIRVRLVRTYEVRDKEKSLPTVYTPIRRTQSQSRLYSVKKERGSSSVEFVVSTIKSVYAMEELGEFWIACKTLGVESLEQWYYISCGQNTCYKKVVPINGLLHCKKCGRDWKEGIVRYKVLLRVKDKSMDVPILLWDREVAELVGVSAENLLKKYGVEYEGIPLELLALTNRKMLLKIKMKKQQVYGLQVPFTVIAIDRGSDAIAKFCGDLEGDGVVGVGSPLLDDEGSVMNTDEDFFVGHDVVNLGDDYEDVVEVNDG >itb04g02610.t1 pep chromosome:ASM357664v1:4:1571849:1572223:-1 gene:itb04g02610 transcript:itb04g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKTYVLRLFISLKYITANVVDRNNGRIIATASSVEQSLKSSFECGRTCNAKAAAAVGEVLAMRLKVDGLDQGWGSGIHVNVNKEVEKKGFKNRTKVWAIVNGLKNNGVKLVLDDEASRSSS >itb12g08920.t1 pep chromosome:ASM357664v1:12:6984820:6986033:1 gene:itb12g08920 transcript:itb12g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQRRRRKRGVAGLRTPLVCRTDSVAAAAQGRRSPPAEKGGEEESPATAQRHQIFSDRFVYESMPNAARYARFVYESVPNAARPATPMMAGGFIFFLGALFNSFVQALWMLIVGHVLLGFGIEFVRDGAIKLQRSSQHLFPDFSSL >itb11g22970.t1 pep chromosome:ASM357664v1:11:24863394:24865792:1 gene:itb11g22970 transcript:itb11g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGKPEKVAAGDDCCSGGVQELHVLAVDDSHVDRKVIERLLKISACKVTAVESGSRALQYLGLDGEKGSAAIDGLKVNLIMTDYSMPGMTGYELLKKIKGSSALREIPVVIMSSENILARIDRCLEEGAEEFLMKPVKLSDVKRLKDFVLRGDGESKEGATTTRKRKPTDDSFPRPPLSLSLASSSPSIHPETTTPLSPRCSSVPLTKHPRLHQDTEPLVDP >itb09g21780.t1 pep chromosome:ASM357664v1:9:19894757:19897783:1 gene:itb09g21780 transcript:itb09g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIICFLLLTATGTGRNCRLAEGAQRRIHISDDLDDVVDDEEDEAWREWGKKKTTRPEFDPPPKDFSDMDPSQMQAEMMKRQFGPVFGFAKLRLGTRRTPEMVSEIAMKWTKLARTGAIDAKFMAVDVSTIMFTMERGQDTLELKEFLLSQPEAYEIKIGDQLFRRPGDPPFEEAFEKHKNDPTQTKAEDPHKEL >itb01g26450.t1 pep chromosome:ASM357664v1:1:31619188:31619646:1 gene:itb01g26450 transcript:itb01g26450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIVESKPIIESTDWEDFTNEVERVKTNWEYLINEKGYEISRLKELMAKFRSLIDQGDSLIAEVTLLIDQVKSLIPPITNELEKIHKEDKDFLTKLHEESEKVEKEESEKVEEKESRR >itb13g08450.t2 pep chromosome:ASM357664v1:13:10746287:10756567:1 gene:itb13g08450 transcript:itb13g08450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVIETEHEAINKFEYLEEEVNEEEEESWSSSDEEIGGALDYLDARDGSEGGIGGGGGGGFTLQSSGRRPNAYGGIHSRPNNSSLQPLSNRTQKFANRIKASPLEEWEGRINFGMSNSVTTEIRESVREMAIGKTKTTDKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHASKSDGEELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMSAIFELREQCNVRPPKGKIEAYRTKKYFEWDEHQHGLLGLLRTYPHATAWVLLSSIWMPLLKAAGIRCPTPLLLRLHVLVMEFIGRGGWAAPRLKDAGLPLDKLREGYVEIIMAMRKLHQKCKLVHGDLSEYNILYLEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFRKHGVGVMTIRELFDFIVDPTIDDDSVDTYLEEVQQKILARGEVSAEDEIADSVFIQSFIPKTLDTVKNAEADVQRIVSGEDTGDLYYKTITGLKQVLSTAEKREAPDAAAAEQNKLLENNSETESDETESSSSSGGSSDSEEESSSDKPTSLERKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >itb13g08450.t1 pep chromosome:ASM357664v1:13:10746203:10756803:1 gene:itb13g08450 transcript:itb13g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVIETEHEAINKFEYLEEEVNEEEEESWSSSDEEIGGALDYLDARDGSEGGIGGGGGGGFTLQSSGRRPNAYGGIHSRPNNSSLQPLSNRTQKFANRIKASPLEEWEGRINFGMSNSVTTEIRESVREMAIGKTKTTDKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHASKSDGEELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPLLLRLHVLVMEFIGRGGWAAPRLKDAGLPLDKLREGYVEIIMAMRKLHQKCKLVHGDLSEYNILYLEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFRKHGVGVMTIRELFDFIVDPTIDDDSVDTYLEEVQQKILARGEVSAEDEIADSVFIQSFIPKTLDTVKNAEADVQRIVSGEDTGDLYYKTITGLKQVLSTAEKREAPDAAAAEQNKLLENNSETESDETESSSSSGGSSDSEEESSSDKPTSLERKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >itb07g07470.t1 pep chromosome:ASM357664v1:7:5662648:5665425:1 gene:itb07g07470 transcript:itb07g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADLSTILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVHTLLESFEPIHGVLLCEGEDIDPSLYDAELSNFTPEELQEIKNLHASDTAIDREKDSIELSLAKLCLERNIPYLGICRGSQILNVACGGTLYQDIGKELSKNCPEKQKVVHMNYEDYDGHRHVVKVVEKTPLHEWFRETLGDDDDEKMEIMVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRAHDLGSFDYPGCSMAYQEFVKAVRAYEKKLSGSRRMEKDIKLNNELESKRKSIVRSFSIAKDMYSTGRGNNNVSIQESELKAGAEFLEENTALSLQQEKRLKQMGATVRNGSVYIERLKMTEEREKMAKAVIGKMTIEQLSEMVGFYRKMGQMCSEAMERKLRAHHES >itb13g23980.t1 pep chromosome:ASM357664v1:13:29764318:29764871:-1 gene:itb13g23980 transcript:itb13g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTFTEEHTSPVAPSRIFKASIIDSHNLIPKLMPQAIKSIQILQGDGGAGSIKQINFHEGSNFKSIKYQVDELNEGTFTYKYTLVEGDALMDKLEKITYEVQFEKTPQGGSISKVTSKYYTKGDFKLNEEEIKAGKEKVMGMYKAVEAFLLQNPEAYA >itb07g13110.t3 pep chromosome:ASM357664v1:7:15240144:15247921:1 gene:itb07g13110 transcript:itb07g13110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTPLSASSPVEAILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLHYVIEEPSSDIDNKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEASRPHSALLAGYFSKVVICLMMRKTIQLMNYVQAHPDVFQQMVDLIGITSIMEVLVRLVGADDHIYTNSIDVMQWLAESNLLEMIVDKLSPSSPPEVHANAAETLCSITRNSPSPLATKLSSPSFISRVFGHALEDSHSKSALIHSLSVAISILDPKRSIPSSLMYSFRSQHQYDPPLHADSDTIGAMLPNLGDLLMLLNVSSDKKLLPTQYGELSPSLGKHRLKIVEFISVLLRTANEVAEKELITSGTIQRILDLFFEYPFNNALHHHVESIVYSCLESKNTIVVDHLFQECNLVGKMIQTDKQPTLYWDVNQPTKPASGKQAPRKGNIGHIMRICNKLGQLGNTDSRIRVHLENTEWSEWHATILQDRNSIENVYQWACGRPTALQDRARDSDEEDVHDRDYDLAALANNLSQAFRYTIYDNDDAEQGHGALDREGEDVYFDDESAEVVISSLRLGDEQGSSLFTNSNWFAFEDDRNVDSMNTSPSEMMEEMNMNGTSNGGNSISDDEVVVGEEDELTESKHCADGMPRSSANMFNGFSGNDSVNGGDLNVRNDKACASTNMDFFRFETSDNEDPFGNNRRPIPEWAAWGDVSFLQGGRSSVNPFLDDYSDDCDHVNPATTTAVSPLSSTTSNGETIVPNGATSTTHRGTPVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKKNLIHKLPENENTEDSGAGIKEFNDANYWRVDHEVAVLE >itb07g13110.t1 pep chromosome:ASM357664v1:7:15240023:15248045:1 gene:itb07g13110 transcript:itb07g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTPLSASSPVEAILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLHYVIEEPSSDIDNKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEASRPHSALLAGYFSKVVICLMMRKTIQLMNYVQAHPDVFQQMVDLIGITSIMEVLVRLVGADDHIYTNSIDVMQWLAESNLLEMIVDKLSPSSPPEVHANAAETLCSITRNSPSPLATKLSSPSFISRVFGHALEDSHSKSALIHSLSVAISILDPKRSIPSSLMYSFRSQHQYDPPLHADSDTIGAMLPNLGDLLMLLNVSSDKKLLPTQYGELSPSLGKHRLKIVEFISVLLRTANEVAEKELITSGTIQRILDLFFEYPFNNALHHHVESIVYSCLESKNTIVVDHLFQECNLVGKMIQTDKQPTLYWDVNQPTKPASGKQAPRKGNIGHIMRICNKLGQLGNTDSRIRVHLEKNTEWSEWHATILQDRNSIENVYQWACGRPTALQDRARDSDEEDVHDRDYDLAALANNLSQAFRYTIYDNDDAEQGHGALDREGEDVYFDDESAEVVISSLRLGDEQGSSLFTNSNWFAFEDDRNVDSMNTSPSEMMEEMNMNGTSNGGNSISDDEVVVGEEDELTESKHCADGMPRSSANMFNGFSGNDSVNGGDLNVRNDKACASTNMDFFRFETSDNEDPFGNNRRPIPEWAAWGDVSFLQGGRSSVNPFLDDYSDDCDHVNPATTTAVSPLSSTTSNGETIVPNGATSTTHRGTPVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKKNLIHKLPENENTEDSGAGIKEFNDANYWRVDHEVAVLE >itb07g13110.t4 pep chromosome:ASM357664v1:7:15240144:15247921:1 gene:itb07g13110 transcript:itb07g13110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTPLSASSPVEAILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLHYVIEEPSSDIDNKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEASRPHSALLAGYFSKVVICLMMRKTIQLMNYVQAHPDVFQQMVDLIGITSIMEVLVRLVGADDHIYTNSIDVMQWLAESNLLEMIVDKLSPSSPPEVHANAAETLCSITRNSPSPLATKLSSPSFISRVFGHALEDSHSKSALIHSLSVAISILDPKRSIPSSLMYSFRSQHQYDPPLHADSDTIGAMLPNLGDLLMLLNVSSDKKLLPTQYGELSPSLGKHRLKIVEFISVLLRTANEVAEKELITSGTIQRILDLFFEYPFNNALHHHVESIVYSCLESKNTIVVDHLFQECNLVGKMIQTDKQPTLYWDVNQPTKPASGKQAPRKGNIGHIMRICNKLGQLGNTDSRIRVHLENTEWSEWHATILQDRNSIENVYQWACGRPTALQDRARDSDEEDVHDRDYDLAALANNLSQAFRYTIYDNDDAEQGHGALDREGEDVYFDDESAEVVISSLRLGDEQGSLFTNSNWFAFEDDRNVDSMNTSPSEMMEEMNMNGTSNGGNSISDDEVVVGEEDELTESKHCADGMPRSSANMFNGFSGNDSVNGGDLNVRNDKACASTNMDFFRFETSDNEDPFGNNRRPIPEWAAWGDVSFLQGGRSSVNPFLDDYSDDCDHVNPATTTAVSPLSSTTSNGETIVPNGATSTTHRGTPVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKKNLIHKLPENENTEDSGAGIKEFNDANYWRVDHEVAVLE >itb07g13110.t2 pep chromosome:ASM357664v1:7:15240023:15248045:1 gene:itb07g13110 transcript:itb07g13110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTPLSASSPVEAILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLHYVIEEPSSDIDNKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEASRPHSALLAGYFSKVVICLMMRKTIQLMNYVQAHPDVFQQMVDLIGITSIMEVLVRLVGADDHIYTNSIDVMQWLAESNLLEMIVDKLSPSSPPEVHANAAETLCSITRNSPSPLATKLSSPSFISRVFGHALEDSHSKSALIHSLSVAISILDPKRSIPSSLMYSFRSQHQYDPPLHADSDTIGAMLPNLGDLLMLLNVSSDKKLLPTQYGELSPSLGKHRLKIVEFISVLLRTANEVAEKELITSGTIQRILDLFFEYPFNNALHHHVESIVYSCLESKNTIVVDHLFQECNLVGKMIQTDKQPTLYWDVNQPTKPASGKQAPRKGNIGHIMRICNKLGQLGNTDSRIRVHLEKNTEWSEWHATILQDRNSIENVYQWACGRPTALQDRARDSDEEDVHDRDYDLAALANNLSQAFRYTIYDNDDAEQGHGALDREGEDVYFDDESAEVVISSLRLGDEQGSLFTNSNWFAFEDDRNVDSMNTSPSEMMEEMNMNGTSNGGNSISDDEVVVGEEDELTESKHCADGMPRSSANMFNGFSGNDSVNGGDLNVRNDKACASTNMDFFRFETSDNEDPFGNNRRPIPEWAAWGDVSFLQGGRSSVNPFLDDYSDDCDHVNPATTTAVSPLSSTTSNGETIVPNGATSTTHRGTPVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKKNLIHKLPENENTEDSGAGIKEFNDANYWRVDHEVAVLE >itb03g18700.t1 pep chromosome:ASM357664v1:3:16955064:16957880:1 gene:itb03g18700 transcript:itb03g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLGFSLSVASFCSSVNTGQASVPLAAPNQRSQRRVGSVSDCASTSGEFLPGFASTPPKRFPLFEVHATLAQAQAPKWWERNAGPNMIDIHSTQEFLDALSQAGDRLVIVEFYATWCASCKALFPKLCRTAQERREILFLKVNFDENKPMCKNLNVKVLPYFHFYRGSDGQLESFTCSLAKFQKVKDAIELHNTARCSIGPPLLTAAHLKLEPLLVGKDLPAASSSSSL >itb12g22790.t1 pep chromosome:ASM357664v1:12:24746459:24748087:1 gene:itb12g22790 transcript:itb12g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSRVGLAMSLVFGFLLVALLAELYYLLWWKRRIANARIEDGAAKEFFFMFCGKNPPPPPSSLTMKPPLEVSRPPDNLVLHEPPQPRTDTEEEEEAELMRLSGPARFLCTIKEETMEDLESDDGRKGRMETPYFTPPLTPDVNPFLPPKSEISEPVGPHTPARQDSPPRPSFKFLRDAEEKAVQNQSRKHENGEGSSCCSEEELPYKDEENGSFITLVFPRSREGEFVSEFSSSVSSSSQVLPLSSSPSSFASPPSK >itb14g07190.t1 pep chromosome:ASM357664v1:14:6560363:6562591:1 gene:itb14g07190 transcript:itb14g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLSRTKIFKQNLRITQLGKTGQIEEAIRVFKKITHPNTVTYNSMISAYAKNGRIKQARVLFDKMPHKNLVSWNTLINGYIYNDQIEQACKLFEKMPQRDFFTYALMITCYSRNGALDRARNIFYSLPDMSNVACWNAMITGYVKNGRLSEARKLFDRMPVRNLVSWNSMLSGYTQNGEMELGLKFFNEMEEKDIVSWNMVVCGFVELGDLDSAWEFFEKIPCPNVVSWVTMLSGYARYGCVLEARRVFDQMTEKILVAWNAMLAAYVHNSLIDEACNLFDKMAERNAVSYTTMISGFVRVGKLEEAKNLLDAMPYQNVGAQTAMISGYAQHNMIDEGRKIFDQMSSRDIACWNTMITGYARSGKMDEAFYLFKKMEHKSVVIWNTMIAGYAQAGQMENSFEMFENMRERNVISWNSLISGFTQNGLYVDAVKSFVSMSREGKKPDQSTFTSALSSCGNLALECTGRQLHQAIIRSGFAKDLSISNALITMYAKCGNIFNAKKVFHDLENADVVSWNSLIAGYALNGYGKEAVKLFQDMEGKGMDPDEVTFVGILSACNHAGLIDLGVNLFESMSKKYPIEPLTEHYACMLDLLGRAGRLKEAFELVSKMKVKVTPGIWSSLLWACRMHKNAELASFFSKKLIKIEPHKTSNLVLVANMYAEIGKWNEVERVRETMIDKRGVKQPGCSWIEDKNQLIVFLADDDSRAQKMEIRDTLKSLTAQMMDAVCIPVGRSSFLDIG >itb02g10340.t1 pep chromosome:ASM357664v1:2:6668479:6671049:1 gene:itb02g10340 transcript:itb02g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSLIFIISFLFLLHFSPATGSHRNNHGNGSVIGALIDRNSREGKEAAVAMEIAMADINTQYGYTSQGSMKAILGCSNRWEEVSMLTEISSEAKTPLVSLADSAPEWAMEKWPFLVQASPTQSSQMKALAAIIQSYQWRQVTVLYEDMASASNGALTSLSDALKQANVINSFLGLPPIDSSPFLAAQLQRLKTEQRRVFVVHASVPVAKGLFQIAKKMKMMEKGYVWIVTDLIANHLDSLDTSTISSMQGVLGIKNHFPEKGHLFKNFHSKFRARFINKHPEEHNYEPGYFAVQAYDFTVLVASSLRENSNLKGNSLLETISAANFDGLSGKIRFKERKLASDHTFQIVNVIGKSYRELGLWSEKSGFNNSSSKVKLGQIIWPGGTWHTPKGWAPITPANKPLRVGVPNLGPSFKEFVNVVHDQQTNQTTYTGFVIDVFKEMMGRLPYLTYEFHSFNGTYDDLVKQVPLKIFDAIAGDVAIMEKRLDDAAFTHPYSEEGLVMIVPVQTQLCNEPWLFIKPFTKTMWVLILAINIYNGFAIWLFERNHCTELTGSVVNQVGVLLWLTVTNGT >itb10g02950.t1 pep chromosome:ASM357664v1:10:2592646:2596707:-1 gene:itb10g02950 transcript:itb10g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSGTNSFVESTTNISTDLSVLLSLKASLTFKDQNNNILATNWSTSTSTPICHWIGVSCGSRHHRVVALDISNMGLSGQIPTSLGNLSFLSFLNMSHNNFYGSLSKDLVHLHRLKVLDLSFNDLTGEIPGQLGNLRSLKFNGSIPRELSKLEMLERLAIGGNRLTGKIPDEFGNLHNLKALGIEDNQIIGSIPMTIFNMSSLQMLVLWNNRLMGPIPRVIGNLSSLQMLFLQQNNFTGTIPKEISYLSKLEMVAFRENYLGGFIPEGLFNITTLREIDISFNHLLGSIPSAICSTQSNLQAFFLHENMLSGVIPLTIGNCSQLSMLSLYSNQFNGPIPNSLGNLMLLKMLLVFDNKLAPAPSSPELSFITSLTKCRSLKTLDIGSNFLHGTLPQSIGNLSSTLENFYADNSGLYGTIPDEIGNLTGLALIEMSANDLSGAIPNTIQRLDGLQRLELVENKLSGPLTGGLCKVLSLGEIYLSTNRLSGPIPECLGNVTSLRYIHLDSNGMNGTIPSNLWNLKNLLMLNLSSNSFSGALPFEIGNMKVLYLLDLSDNKFFGNIPSTIGSLQNLINFSLAQNQMSGKIPESMGRMLSLESLDLSHNNLTGPIPMSLQEIKYLHHLNLSFNSLSGEIPSNGPFKNFTSLSFLFNEALCGDQRLGVPPCRQRVVQRSKVKRMLEYIFMALGIMGVMLALAVGIGLIIFWRKRKETKKEDLFPMKEEERISYNTLLQATDGDDSIAYTKTLATLGYVAPEYGSEGLVSTRCDVYSYGIVLMETFTRAKPNNDMFSENVSLKSWVQESLPDKVIDVIDANLIASDEEPLNEKMMCLSSIMELALRCCLESPADRICMKEVVAALQKIKHQLVSSCK >itb03g13250.t1 pep chromosome:ASM357664v1:3:13456404:13460256:-1 gene:itb03g13250 transcript:itb03g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISGPGKNGNKVYERGGLVRRQHPTRLSHQTIIENEIRPLNCSLGNPMASCPANYYPSKLRVQDSPTESSSTCPDYFRWIYDDLWAWRESGISREMVMSARRTANFRLVIVNGIAYYHKYRKSFQSRDTFTLWGILQLLRRYPGKVPDLDLMFDTVDWPVIKKQAYVPGRRPNDTTTAPPPLFRYCGDEATWDIVFPDWSFWGWPEINIKPWKGLSKELKEGNGKIKWVDREPYAYWKGNPAVAETRKDLLKCNVSEKQDWGARVYAQDWIQEQKQGYQQSNLADQCIHRYKIYIEGSAWSVSEKYILACDSLTLLVKPHYYDFYTRGLMPMKHYWPIRDDDKCKSIKHAVDWGNNHHQQVARSS >itb03g13250.t2 pep chromosome:ASM357664v1:3:13456404:13460003:-1 gene:itb03g13250 transcript:itb03g13250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRETSEGVRLYRHFTDTIWRPLPAIKTLARSSLAIFFFMLLCVGAFVSTRLLDSTVTAQHPTRLSHQTIIENEIRPLNCSLGNPMASCPANYYPSKLRVQDSPTESSSTCPDYFRWIYDDLWAWRESGISREMVMSARRTANFRLVIVNGIAYYHKYRKSFQSRDTFTLWGILQLLRRYPGKVPDLDLMFDTVDWPVIKKQAYVPGRRPNDTTTAPPPLFRYCGDEATWDIVFPDWSFWGWPEINIKPWKGLSKELKEGNGKIKWVDREPYAYWKGNPAVAETRKDLLKCNVSEKQDWGARVYAQDWIQEQKQGYQQSNLADQCIHRYKIYIEGSAWSVSEKYILACDSLTLLVKPHYYDFYTRGLMPMKHYWPIRDDDKCKSIKHAVDWGNNHHQQVARSS >itb09g14820.t1 pep chromosome:ASM357664v1:9:10109093:10110377:-1 gene:itb09g14820 transcript:itb09g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIGRCYSEHAIKVADSYCSGPSDLSPDHDLVPSIQTGVSSRYEVKLSTEKRFLIKITWGSLGSQGFSISISDWPYSSSSFKNSGKIEKIKGSKAMESCSARSEVFWDLSNATYDAGPEPIKGFYILVLIDSEIALFHGDKDEESNAKRCCDSAAKVAKQTLISRCEFFSSGSCVFSARARWASHDITIRCAREGKGSKNWALWVGVDDKRDVIEVKRLQWNFRGNQTIFLDGLLVDMMWDVHGWLFNETSDGGGAVFMFRTRRGLDSRLWLEEKNLEQKGEENVGFSLLIAARKNPAD >itb04g27940.t3 pep chromosome:ASM357664v1:4:31819776:31823174:-1 gene:itb04g27940 transcript:itb04g27940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVSKDGPITKEASPLSTVRRPRESHGPKMEKSQVYVDRNDHEKRWKATGVLGLSDRGLKAIPDEIWNWGQYARLLDLNNNSVKDVPAKISCLGSLMKLFLNANGIEDKSLSWEGIASLKHLEILSLNENQLTIIPSNLGALRSLQQLHIAKNKLTSLPTEIGLLTALEVLKANNNRLNTIPNSIGGCASLVEIDLSCNLLTELPDMLSKLKDLKALYLKNNGLTSLPTTIFKFCTKLSTLDLHATEITVDYLRQFEGWDSFDERRRLKHQKQLDFHVSSSGQFDEGADKY >itb04g27940.t2 pep chromosome:ASM357664v1:4:31819776:31823209:-1 gene:itb04g27940 transcript:itb04g27940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVSKDGPITKEASPLSTVRRPRESHGPKMEKSQVYVDRNDHEKRWKATGVLGLSDRGLKAIPDEIWNWGQYARLLDLNNNSVKDVPAKISCLGSLMKLFLNANGIEDKSLSWEGIASLKHLEILSLNENQLTIIPSNLGALRSLQQLHIAKNKLTSLPTEIGLLTALEVLKANNNRLNTIPNSIGGCASLVEIDLSCNLLTELPDMLSKLKDLKALYLKNNGLTSLPTTIFKFCTKLSTLDLHATEITVDYLRQFEGWDSFDERRRLKHQKQLDFHVSSSGQFDEGADKY >itb04g27940.t1 pep chromosome:ASM357664v1:4:31819776:31823209:-1 gene:itb04g27940 transcript:itb04g27940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGSDPQKPTAITVNVKFAGRNIPVEVSADATVNELKSLLQPLTNVLTRGQKLISKGKFLGDEMTLRSSGIVNGSKIMLMGAQGLHQGDGPITKEASPLSTVRRPRESHGPKMEKSQVYVDRNDHEKRWKATGVLGLSDRGLKAIPDEIWNWGQYARLLDLNNNSVKDVPAKISCLGSLMKLFLNANGIEDKSLSWEGIASLKHLEILSLNENQLTIIPSNLGALRSLQQLHIAKNKLTSLPTEIGLLTALEVLKANNNRLNTIPNSIGGCASLVEIDLSCNLLTELPDMLSKLKDLKALYLKNNGLTSLPTTIFKFCTKLSTLDLHATEITVDYLRQFEGWDSFDERRRLKHQKQLDFHVSSSGQFDEGADKY >itb04g17430.t1 pep chromosome:ASM357664v1:4:20252619:20260380:-1 gene:itb04g17430 transcript:itb04g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAGRWAAMEEQTQRRPLLVTLDTGDKRGRGNFATDRRFQFGNSPGVAFLFRRLLRRTKDERRGFSGGSSVSSVLVPRQPLRRSNDGKLYWCVGDGTVGGGVACIPLFVGCNLSVDQWRKTVIVIDGVVAPVRHRRFRFQHISMFGTRSTGREGKQSCWLATNMWAAKRQRGAPSVRCLSQLENADEDFVDVPNVVRGEVRRDPLPDAPDVGLTAEQQAAFPTFTVRVSHSFLVDALEDLTSRQRKDIIALGFSSLLDLKFSKLPTRLGRWLLANFDPTNMCINLGEGEVLPITEEDVTLIMGFPRGRDVIYRRDRYAKSKLLAAWLSKFGKTHYDVKLSEVSDFIRNDLDCGEWFQRHFMMLMISTLISCMGNEYCNQAVFHHLDDVEAIPNLNWSRFLLEELVSTHAVWRAGSNPRFTGLIIFLIMLSDRQSTEIRSGGFGTGVIECLFRVQHGVVPDVDPEVADVEADLRGSRRVSPVPSSPDLGDSRVPVAADQPCGGSDTVPEVNNVIIAEYVSGVGLEGTGVGNGDCACIDSEMPIVGEVDGCSRHPTMVDAVHDGSFVTPIEGVSRVDGTPLYVASLKANRPERPTPDSIRYVGIGPSVPLPSSGFLDDVQLSKWVLECDAVDKNEELFSYNGCIARRDDLCSLAPGELVTVGVIEAWSCILNSREHSKPPDAPSRVFASPFTAIDHAQLTSESPDKQLVGRFSKALEADLALSPYTHWKDVRLLFFPMLHSSHFYLLFVDFVSWRLEIIDNCTKCPNTSIKYATVAVSYFLSLTQVANSEWCLHFVSKRMDMKWRDANNTIDCGVNLMRHMESYVGQCVTDWDCGLVKGDQAALDRFRMKYIREICTANINSHRTSNVARAIQFLSSLGIPG >itb03g06090.t1 pep chromosome:ASM357664v1:3:4478613:4482753:1 gene:itb03g06090 transcript:itb03g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIRMDQENMIASDENNVALMKPTNAQGGGEMGGRKFGMEIRHNRRALGVINQNLGAHPCPFVVTKRGLSETTIGVCNNNPPVPSHRPITRKFAAQIATSQQHYHEETKKPKIAAEEEFTVWEDVPLHEVGKDQAVPMALEQAEHEKSHMEVEMEDIFEETIVDIDSGDEKNPLAVVDYVGDIYAYYKKVEHCSCVSPDYMAQQFDINERMRAILIDWLIEVHHKFELREETLFLTVNLIDRFLEKQSVVRKKLQLVGLVAMLLACKYEEVSVPVVDDLVFISDKAYTRKEVLEMESLMLNTLQFNMSIPTPYVFMKRFLKAAQSDKKLELMSFFLIELCLVEYEMLKFPPSFLAAAAIYSAQCTLYGVSQWSKTCEWHTGYTEHQLLESSRKMVGFHQKAATGKLTGVHRKYNTSKYGYAAKCEAASFLLVQTQ >itb03g06090.t2 pep chromosome:ASM357664v1:3:4478666:4481229:1 gene:itb03g06090 transcript:itb03g06090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIRMDQENMIASDENNVALMKPTNAQGGGEMGGRKFGMEIRHNRRALGVINQNLGAHPCPFVVTKRGLSETTIGVCNNNPPVPSHRPITRKFAAQIATSQQHYHEETKKPKIAAEEEFTVWEDVPLHEVGKDQAVPMALEQAEHEKSHMEVEMEDIFEETIVDIDSGDEKNPLAVVDYVGDIYAYYKKVEHCSCVSPDYMAQQFDINERMRAILIDWLIEVHHKFELREETLFLTVNLIDRFLEKQSVVRKKLQLVGLVAMLLACKYEEVSVPVVDDLVFISDKAYTRKEVLEMVRAPVSIFLKLPTKYKILNTTMPPYPTK >itb15g13680.t1 pep chromosome:ASM357664v1:15:11727567:11732143:1 gene:itb15g13680 transcript:itb15g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSTQMDILDAKCCAAKLFLDIVGRRLIYDERIMVKIVEVFNVDLSNIGKAICGSHANNETGQQTAKIFVQQYILNLIDCNSYMTAVSLLEQFSLRGLEESFLLRMMDNKDYKAAEKWATFMGKQYLCTLVQEYIDRKLENDAYEVIRKNNLREEFPEAYHQGKESKLKKLAEKGCWDIAEARTKNDRQLLEYLVYLAMEAGYMEKVEELCNRYSLEELEASAVQSHYLQLKELSIEEVVWVDNVKSLQDATHHIEECKVVGLDCEWKPNYEKGSLPNKVSIMQIASERKAYILDLIKLYNDVPDILDDCLVRILHSPRILKLGYNFQCDVAQLARSYGRLECFKHYDMLLDIQNVFKEPRGGLSGLAKKILGTGLNKTRRNSNWEQRPLTQYQLEYAALDAAVLIHIFRHVRGHTQPAGVSDGHNKIEWKSHIVSHMDNSRKGKKETKKKEQCPPTSHGVVCLDAEFEQ >itb15g13680.t2 pep chromosome:ASM357664v1:15:11727567:11732216:1 gene:itb15g13680 transcript:itb15g13680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSTQMDILDAKCCAAKLFLDIVGRRLIYDERIMVKIVEVFNVDLSNIGKAICGSHANNETGQQTAKIFVQQYILNLIDCNSYMTAVSLLEQFSLRGLEESFLLRMMDNKDYKAAEKWATFMGKQYLCTLVQEYIDRKLENDAYEVIRKNNLREEFPEAYHQGKESKLKKLAEKGCWDIAEARTKNDRQLLEYLVYLAMEAGYMEKVEELCNRYSLEGFTNTKELEASAVQSHYLQLKELSIEEVVWVDNVKSLQDATHHIEECKVVGLDCEWKPNYEKGSLPNKVSIMQIASERKAYILDLIKLYNDVPDILDDCLVRILHSPRILKLGYNFQCDVAQLARSYGRLECFKHYDMLLDIQNVFKEPRGGLSGLAKKILGTGLNKTRRNSNWEQRPLTQYQLEYAALDAAVLIHIFRHVRGHTQPAGVSDGHNKIEWKSHIVSHMDNSRKGKKETKKKEQCPPTSHGVVCLDAEFEQ >itb08g02920.t3 pep chromosome:ASM357664v1:8:2486305:2492526:-1 gene:itb08g02920 transcript:itb08g02920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNPNPSYDRNSDPNPQPQPASRRPRGFAARIAGNAASATANKSRKEREKEKERTKFRERHRRAFTARVMTGLRQHGNFALPARSDMNDVLRALARQAGWIVEPDGTTYRPPAPSNVVAYPARVIGNPLSADPLKNCSTRASIDCQASVLRIDESLSPASFDSVVVSDTGTNADKYTSSSPMNSSGCLESDQLIQEVHTGEHGNNFLGTPYVPVYVMLSSGIINNFCQLVDPGGVKQELQLLKSLNIDGVVVNCWWGIVEGWKDQKYEWSGYRELFDIIRDMELKLLVVMAFNAYGGNDFNVDISLPQWVLKIGKDSPEIFFTDRVGRWNTECLSWGIDRERILEHRTGREVCYEFMRSFRAEFNDLFTEGLISAVEIGLGASGELKYPSFSERNGWRFPGIVL >itb08g02920.t2 pep chromosome:ASM357664v1:8:2486224:2492526:-1 gene:itb08g02920 transcript:itb08g02920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNPNPSYDRNSDPNPQPQPASRRPRGFAARIAGNAASATANKSRKEREKEKERTKFRERHRRAFTARVMTGLRQHGNFALPARSDMNDVLRALARQAGWIVEPDGTTYRPPAPSNVVAYPARVIGNPLSADPLKNCSTRASIDCQASVLRIDESLSPASFDSVVVSDTGTNADKYTSSSPMNSSGCLESDQLIQEVHTGEHGNNFLGTPYVPVYVMLSSGIINNFCQLVDPGGVKQELQLLKSLNIDGVVVNCWWGIVEGWKDQKYEWSGYRELFDIIRDMELKLLVVMAFNAYGGNDFNVDISLPQWVLKIGKDSPEIFFTDRVGRWNTECLSWGIDRERILEHRTGREVCYEFMRSFRAEFNDLFTEGLISAVEIGLGASGELKYPSFSERNGWRFPGIGEFQCYDKFLLLSLKDAAKKRGHPSWATGPDNVGGYNSRPHDTRFFCERGDYDSYYGRFFLQWYTQALIDHVDIILSAATEVFDETPLVVKIPGIHWWYKTSSHAAELTAGYYNTSNRDGYSPLFEVLKKHNATMKLVSKETQISPHDLDEAYADPNGLCWQVLAFSTLLTTNTLQLLKICMQT >itb08g02920.t4 pep chromosome:ASM357664v1:8:2487100:2492526:-1 gene:itb08g02920 transcript:itb08g02920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNPNPSYDRNSDPNPQPQPASRRPRGFAARIAGNAASATANKSRKEREKEKERTKFRERHRRAFTARVMTGLRQHGNFALPARSDMNDVLRALARQAGWIVEPDGTTYRPPAPSNVVAYPARVIGNPLSADPLKNCSTRASIDCQASVLRIDESLSPASFDSVVVSDTGTNADKYTSSSPMNSSGCLESDQLIQEVHTGEHGNNFLGTPYVPVYVMLSSGIINNFCQLVDPGGVKQELQLLKSLNIDGVVVNCWWGIVEGWKDQKYEWSGYRELFDIIRDMELKLLVVMAFNAYGGNDFNVDISLPQWVLKIGKDSPEIFFTDRVGRWNTECLSWGIDRERILEHRTGREVCYEFMRSFRAEFNDLFTEGLISAVEIGLGASGELKYPSFSERNGWRFPGIGEFQCYDKFLLLSLKDAAKKRGHPSWATGPDNVGGYNSRPHDTRFFCERGDYDSYYGRFFLQWYTQALIDHVDIILSAATEVFDETPLVVKVCTYVYVHM >itb08g02920.t1 pep chromosome:ASM357664v1:8:2486224:2492526:-1 gene:itb08g02920 transcript:itb08g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNPNPSYDRNSDPNPQPQPASRRPRGFAARIAGNAASATANKSRKEREKEKERTKFRERHRRAFTARVMTGLRQHGNFALPARSDMNDVLRALARQAGWIVEPDGTTYRPPAPSNVVAYPARVIGNPLSADPLKNCSTRASIDCQASVLRIDESLSPASFDSVVVSDTGTNADKYTSSSPMNSSGCLESDQLIQEVHTGEHGNNFLGTPYVPVYVMLSSGIINNFCQLVDPGGVKQELQLLKSLNIDGVVVNCWWGIVEGWKDQKYEWSGYRELFDIIRDMELKLLVVMAFNAYGGNDFNVDISLPQWVLKIGKDSPEIFFTDRVGRWNTECLSWGIDRERILEHRTGREVCYEFMRSFRAEFNDLFTEGLISAVEIGLGASGELKYPSFSERNGWRFPGIGEFQCYDKFLLLSLKDAAKKRGHPSWATGPDNVGGYNSRPHDTRFFCERGDYDSYYGRFFLQWYTQALIDHVDIILSAATEVFDETPLVVKIPGIHWWYKTSSHAAELTAGYYNTSNRDGYSPLFEVLKKHNATMKLVSKETQISPHDLDEAYADPNGLCWQVLNGAWDKGLAIAGHNAPRDYDRETFMRLVETSKPRNDPDRHHFTFFVLEQPWQQVQTADTISELEYFVKCMHGYA >itb14g15920.t1 pep chromosome:ASM357664v1:14:19242236:19243516:1 gene:itb14g15920 transcript:itb14g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALSFGGEAAHGAKFGVGLVVDERSPMGEVVKKCIQMAVDDFYAINAHYNTKINLHVRNSAGDPLLAFTYAVDLLENVKVGAIIIPEMWNEVTLFARLSHKARVPMFSFSSLLSPNGYPYFVQISQDGDMGLKGIVAFIERAKWKNVILIHDETEYGMKDRSRLIDLFQEVGVRVVHKTTISHSAEEHQIIEELTKLKKMENSVFIVHLSASLMPLFFENAKKLGLMAKGYAWIVSDKTMNFLHTFNDAVIESMQGS >itb09g00400.t3 pep chromosome:ASM357664v1:9:335588:337147:-1 gene:itb09g00400 transcript:itb09g00400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQHQITFIISISYICSGDIEPLHFYQALAFGNLRLELILLPLINDLCISYAVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFAESVSTIVKSFGLSSA >itb09g00400.t2 pep chromosome:ASM357664v1:9:335536:338147:-1 gene:itb09g00400 transcript:itb09g00400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFAESVSTIVKSFGLSSA >itb09g00400.t1 pep chromosome:ASM357664v1:9:335536:338147:-1 gene:itb09g00400 transcript:itb09g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFAESVSTIVKSFGLSSA >itb13g11130.t1 pep chromosome:ASM357664v1:13:16016657:16017457:1 gene:itb13g11130 transcript:itb13g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQLILESGALSYRVGFFGGDKIASSQAYPRPDRQLLGFPISPKLPPVFGPSCMRQKLVPRTVRRPSPTPAVRVRLRSTNTKKIQFTQRLPLGSELHMGKERCCLRGLDHLHGPTFHSICGNLMIYKPSLTNDRLMLEHDESLRADLLPIHFPASYENGKLEQFFSLISRKSMKNHEQKNFCLTMFPEKRYFQETTSTTEVAIHTNLFTDLYALIGTGSGRKGGWYTTIMKKPFLFSIRKGFSMASSGGSRSLLRKFKGDRWPWG >itb10g25100.t1 pep chromosome:ASM357664v1:10:28556574:28559663:-1 gene:itb10g25100 transcript:itb10g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLVSHSLSLPKSLKHTSNATPKHFSLKPICPPKLISRTRITRAVISTSEQKTQKFQHCFSKSEDGFLYCEGVKVQDVMESVEKRPFYLYSKAQITRNVEAYREALEGLNSIIGYAIKANNNLKILEHLRKLGCGAVLVSGNELKLALHAGFDPTRCIFNGNGKVLEDLVLAAQAGVFVNIDSEFDLENIIEASRIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPKELKLVGAHCHLGSTITKVDIFRDAAVLMVAYIDQIRAQGFEIDFLNIGGGLGIDYYHSGAILPSPRDLIDTVRELVVSRNLNLIIEPGRSLIANTCCLVNHVTGVKTNGTKNFIVIDGSMSELIRPSLYDAYQHIELVSPTPSDSEVSTFDVVGPVCESADFLGKNRELPTPPRGSGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGNVAKIRHAETFDDHLRFFEGL >itb08g10080.t1 pep chromosome:ASM357664v1:8:9501645:9506147:-1 gene:itb08g10080 transcript:itb08g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNALSLRSHKYSSSAVQTMARSSFRSSKVVMASTLRSGANEVENLKKPFTPPREVHVQVTHSMPAQKIEIFRALEDWADQNILSLLKPVEKCWQPQDFLPDPASDGFHDQVQELRERAVEIPDDYFIVLVGDMVTEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRAIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMYDGRDDNLFDHFSAVAQRLGVYTAKDYADILEFLVGRWKVEDLTGLSSEGRKAQEYVCRLPPRIRRLEERAQGRAKQAPTIPFSWIYDRRVLL >itb04g09810.t1 pep chromosome:ASM357664v1:4:9046769:9067255:1 gene:itb04g09810 transcript:itb04g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDEISQSILVSGESGAGKTESTKMLMNYLAYMGGRSADEGRSVEQQVLESNPVLEAFGNAKTDVEKYKLGNPRKFHYLNQSNFFELDGVDESKEYLATRRAMDVVGISSDEQDAIFRVVAAILHLGNVEFAKGKEIDSAQPKDDQSRFHLKTVAELFMCDGKLLEDALCKRIIVTRDEKITKCLDPQAAATSRDALAKTVYSKLFDWLVEKINNSIGQDPDSQLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFSEKLYQTFRDNKRFIKPKLSRTDFTIVHYAGEVTYQTDLFLDKNKDYVVAEYQDLLSASRCSFISGLFAPLHEESSKTSKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNILQQLRCGGVMEAIRISCAGFPTRRTFDEFMKRFNILAPEIKKGSCDDITASKKILEKVGLKGYQIGKTKVFLRAGQMAELDAHRNEVLGRSACTVQRKVRTYFKRKMFLLLRDSATEIQTICRGQLARNFYEWKRRDRASLIIGKCTRMFLARKDYKLLCSSAVSIQTVLRRMAAINELNYRRDNKAAIAIERHCRGFRARLYYRRMMKAAIATQCAWRMKIAKRELRKLKMAAKEAAAMQAALEKQVEDLTSELEFQKRMRADMEEAKTKENVKLQSSLEEVRVQYQETQELLMRGQEKEKELANIVEAKTEENVKLQSDLEETRLQFQENKELLMREQEKAKELADIVEAKTEENVKLQSSLEEVRVQYQETQELLVREQEKEKELADMVEAKTEENVKLQSDLEETRLQFQENKDLLMREQEKAKELADIVEAKTEENVKLQSDLEETRLQFQENKDLLMREQEKAKELADIVEAKTEENVKLQSSLEEVRVQYQETQELLVREQEKEKELADIVEAKTEENVKLQSSLEEVRVQYQETQELLVREQEKEKELADMVEAKTEENVKLQSDLEETRLQFQETKESLMKECEEAKEKAEKVSVVHEVQVIDNEMVNKLTAENEQLKASEEAKTQENAKLQSSLEEMKLQLQETEALLLTERETTNRTADQMPVVQEVKVTDQEKVNEPTAQNELLKDEAKTTDAKLQSTMEAFQLQLQSLQEVKVDHEIVSKLAAENLQFKDLVSSLEKEKEDARKQAIEAESKVIELKTENQRLKEKISDIETEEHILRQQALLNSQSRRMSGRFSVATQPSENGHQDPQQATSKPFASLSLRRSQIERQRESVDILIKYTNEDLGFSEGKPVAAFTIYKCLFHWKSFEAERTNVFDRLIQIIGSAIEVPAFLIQNMIVQIFSYLNVQLFNSILQQKECCTLRNGEYIKAGLDELELWCGNAQEEIVGSCWDEMRHIKETAGFLILGSQQLYRLCTLCSGENDNTGSVSEDVISSIKILISKEESDDAENAFLLDDNPSIPFSVEELSHSLRDMNFTGVKPAAKVVDQKGFEFLRE >itb05g17160.t2 pep chromosome:ASM357664v1:5:24184520:24187145:-1 gene:itb05g17160 transcript:itb05g17160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACCCLRDNMDDFADPNSSIYRNCFCLQYFVQNFFHVYTSLLHSGEHHAISSSTQATASLSSIASRDNSLSEMYCSPPRPLPYDAGHRYFHLQQNELASGREKGSSHMLDSEPLQRSDSDDDVEFPSTGNKWDESCEKKGSKEEYSRSSLKPSTTEPTTGFAHIYPSSDDEDVCPTCLEEYDEENPKIITKCSHHYHLSCIYEWMERSDTCPVCGKVMAFDERI >itb05g17160.t1 pep chromosome:ASM357664v1:5:24184484:24187407:-1 gene:itb05g17160 transcript:itb05g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACCCLRDNMDDFADPNSSIYRNCFCLQYFVQNFFHVYTSLLHSGEHHAISSSTQATASLSSIASRDNSLSEMYCSPPRPLPYDAGHRYFHLQQNELASGREKGSSHMLDSEPLQRSDSDDDVEFPSTGNKWDESCEKKGSKEEYSRSSLKPSTTEPTTGFAHIYPSSDDEDVCPTCLEEYDEENPKIITKCSHHYHLSCIYEWMERSDTCPVCGKVMAFDERI >itb09g23970.t1 pep chromosome:ASM357664v1:9:23628474:23629147:-1 gene:itb09g23970 transcript:itb09g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLKILNTYGLELSCSTSSLGVHYDKDGVGVRTGWRFEQLSMDGYRMPTIDWNWLAALAYVSGKSYMPQLLNRPVTESCERGKETGLIIQ >itb01g09200.t1 pep chromosome:ASM357664v1:1:7408173:7414903:1 gene:itb01g09200 transcript:itb01g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRGCFGCCTKPPTVISVEDRSKGLKIQGQQVRKKSLREDFWSSSACEMDNSAFPSHRSASSISTSNHAFDPICNTGTTNNHSEFVNNGFIVWNQIRQQWIGIRESQNCVPVERPKLSFEATYENLLGTNKRFPRPIPLSEMVDFLVDVWEQEGLAKENIGSGKITLSFPRQTSKPKQSKRTAADCSHPTPNYDRRPTAQRRQQSRSPTADFSAVLASSAVRKSTIVRCAALPLRRTPTAERLSPPPEMNELFGNTHMGYRLY >itb05g16910.t1 pep chromosome:ASM357664v1:5:23992338:23995910:-1 gene:itb05g16910 transcript:itb05g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGVGGVNVDEFLQNGDLGSEEDNVVRRFLEELLGFPFSVERRDELFQRLQFFRHGGVGRSSVAGATLAGGSPAAGSSSGLSHWQVAGARRRRPRPHLRGLISPIGSQMAEWNAAEKRREREKTKKKKWAFAIIFFSEILRVQNDINGNSSQNILSNSLAMQVHDSFTETSHGKSVNHNESSSTKHMTTSRDSLASHEGIILLYDQKRKRAENEDNNTEAGEGNMDVDTIVSKNLSLAGAGVX >itb06g06190.t1 pep chromosome:ASM357664v1:6:8880493:8887782:-1 gene:itb06g06190 transcript:itb06g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYNDGEVPEWARVLEPVRKLPTNVGARIRKCINEALDKNPPEWARKILEHSISKEVYKGNASGPTKRAVISVLADLNNENVQLKPEKKEKVKSVSSLSDIIMKQCRIVLRQAIVADEDRVFCNLLGRTVLMPNDNDDEGRLGYPAMVSRPLDFRTIDLRLAAGSYGGSYEAFVDDVREVWNNICTAYGDQPDLLSLAGTLSQKFEELYEKESDSDQRLVATALWANTGGKMGPTAKNGVFYCRAATVSCSSSWTELHSTKSAFLTSRNELKIIWWHTKTVECKDNNCLNTEAEKESDDFISRVNESSLPKAPWDEGICKVCGMDKDDDNVLLCDSCDSEYHTYCLDPPLVRIPDGNWYCPSCVAKKSLSRSATYITQNVGQCRKKRYQKEFSHKLLEALSELAKAMELKEYWELTLQERIFLMKFLCDEALNSAIIRDHIDQCASLSADLQQKLRSLNSELKVLKLREEFFTADLAKVKNNVGHGGDSGSLVMESPESSSNSLPSTAHVLPDHNSTGSLSSSCVNQSSNPGDVSFSQAFNLQLASLKSEIRSLQDSISVKESELYKVSIRKEFLGRDSEGRPYWILGRSGSCLQIVANAGVSAQQRLSPNFYHSGMDNSRQFGVLDWYASGDNVGIPNFCQWTTYQSDEEVKELLEWLRDNDTRERELKESILQWVSCKSKHSNFADGLIQKKKDLSASDSSKGRKVSDSGSSKGRKVLDSCFLVTKAMTVLSKKFGSFNEMDGTEVCKNPGLPVKVPCKGGIYRCLCLEPSWISRPHCYSSHQTFSNAEELAQHASNKCKSNSEFHESNQIMENSSKRKKVTRSESCQEKSLASNGINQASKSRKQGSVPAFRNEKHSSESASVEHQDQAECPFKFEEIKRKFIVQSSLKEEIKKIGLIGSNSVPSFIPCRSPYLDYPVGLFHTTEDEVATETTTVAETCQQQSNTGPSIPGKVHILDNLPSNENGIFDDELELGRGRSTLSNEKNQLSSVKVKSQALGINKSFTVRESSVRPLVGRDFEILRRLLDMDAALPEEALRASRSHSDRRYSWHAFVKSAATVYEPLHSAYMPWIQPSYTRNTWPMKRQQKLRNQNVNQKKQRAPQNSKSGSPPTQKQLDSEPAESSKAKTRASKRRKDSGG >itb10g05530.t1 pep chromosome:ASM357664v1:10:5750137:5751800:1 gene:itb10g05530 transcript:itb10g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGSYEHFIWGYKLKSPKDVQNLDLTPLFSFPSHLSTIKCADVSGSVAVSGGSDDTIKIYDLSTCSEIGSLHESATINSLAFYTPPSLSFPLNLISAADDGSVSLYYADPFVHLKTVKVHKKGVNSLSIHPTGGLALSVGQDKCLAMVDLERGRRIFYNSLGKEASIVKFNETGKTFYMVMDEKVSVYESEDARIVSEFNNAKRVLCAASGEGGILFTGGEDRNITAWDTKSGKVAHRIDDAHSARVKGIVVLSNNDEEYPYLVASASSDGNIRVWDVRMKSNPLAMANTKSRLTCLAGSSIKYGKFCFKRKRRRSSGVLFD >itb13g25320.t1 pep chromosome:ASM357664v1:13:30731927:30733607:1 gene:itb13g25320 transcript:itb13g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGATIAIPSFCLNRIRPHVQIRFSPIKNHTKHYGTTKKEDDDDQEERRVKGCGGGDVDEEEEQKKKNSGDVSVKLPGRKIMILVDSSLEAKNALQWALTHTVQSQDVVVLLYVTKQRSKPSSKQGQEHSKEISPRISEFLSSMKNTCKLKRPEVQVEVAIIAEGKEKGPAIVEEARRQGAALLVLGQKKRSTTWRLVMMWAGNRPAAGGGGVVEYCIQNATCMAIAVRRKSKKLGGYLITTKRQKDFWLLA >itb07g23190.t1 pep chromosome:ASM357664v1:7:27650852:27651353:-1 gene:itb07g23190 transcript:itb07g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGYTTGVAAGKATQGRRCHGPPAVITAGAVSDRVGDRRVKRLRGRRSSLATHGVAFESRRQPSGIVEQCDHCRLLPERCCGHEPPSHSAGVLGGLHRKESEE >itb11g02760.t1 pep chromosome:ASM357664v1:11:1413939:1414778:1 gene:itb11g02760 transcript:itb11g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKCLMMSSLAILACHPSSTIRCFKPRPSVVVSPKREKPVSEDYEENPILPHESLDSHAKRVFSLPRLKEISVPFKLLEEVVEGEALLGVVEAVFRAGWKRNGGAYTIRKVFKVNPESGVYERFEAYRKAVKAKGGLWGDGNEVLRYRGTAITCSLGFSQFMSTCNRRSCGVCRIIGRHNSNNNNAAMDMRPVTLSKTSWRAHRRAEKCRGGRDDNKRAIVVCRVIAGRVARCCNGSIVNGEEDGEFDSVENMDASEKLMVLDSRAILPCFVVLYNVL >itb01g32770.t1 pep chromosome:ASM357664v1:1:36176175:36177007:-1 gene:itb01g32770 transcript:itb01g32770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEEDAAAMVIDDYDFSSSEIQQLLSVSSDTDEKKRKRRILNRESARRSRLRKKMQLEKLKEQSKVLAVENRELKRQLSVVAHRYQLLRTETNRLLSEAVILKRQLAILSRRLLLAPRLQRLHYSM >itb04g33820.t1 pep chromosome:ASM357664v1:4:35950642:35953476:-1 gene:itb04g33820 transcript:itb04g33820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLPQINHFLLTFLTFVVTGLCICSGSNTTGICKEEEKQALLCFKEDSKFPGNWVDRADCCTEWEGVVCDNVTGHVIELHLSTFEFNLQRGKLSSCLLELKQLRYLDLSGLNLGESHIPNFIGSFANLQYIDLSSTWFEGIIPHQLGNLSHLHTLRLGDDDLKADSLDWLSSLSNLKVLDLSYANLSMVHNLAEVINMLPSLRELYLRECDISKLSDPLGHNKSSLQVLDLGSNEFNTVIFKWIFNLDSFISLQLTNCGFQSPFPDDPWNLTSLRTLDISGNEFHGHLPNSLWNLTSLSGLQITDNQFHGHLLNGLWNLTSLIILEISKNQFHGHLPNSLWNLTSLFYLDLSSNMFTGEIPKPIGKLNKLQTLRLYSNQLYGRLPENLGYSFPMLETLDIGDNMLEGIVTENHFVNLTKLGHLQASGNRLTLNVSLNWIPPFQLRELYLSGWNLGPQFPTWLRYQHSIVELDISNAGMQSEVPSWFWRSFQFSFLNLSHNQLQGKIQSISVSKSFGYALVYLGSNQFNGPLPRISSSTTELDLSNNSFSDEVSNFLCHAKNVPYKLKILHLGGNRLFGEIPNCWMHWPQLKVINMGNNQLIGSIPNSIGLLDQLESLDMHKNMLLGPIPPSLQNCTRLLKIDLAENGFTGKIPRWLGTRLLHLTFFRLRSNKFYGELPLEFFHLKSVRILDLSNNNFSGVIPWCLKNLTAMINEEAFQDGDFEMSYSSYGGGYGESAIVTTKGLEYEFYTIILMLFAGMDLSSNNFSGDIPIEIVNLVKLRSLNLSRNNLRGNIPMEMGNMRVLESLDLSRNQLSGKIPSSFSSMSTLAVLDLSYNNLSGKIPSGTQLQGFNASCYIGNNLCGPPLSQSCSVDDGKIPKSENKGDDSSEVDWFYVSMAIGFAVGFWAVCGSLFLVRPWRIVYFQFLDDKLKSVLVWAHALRV >itb02g11910.t2 pep chromosome:ASM357664v1:2:7950541:7953055:-1 gene:itb02g11910 transcript:itb02g11910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFSRIEDLIVKSRGCVCSWIPMTMDVVGSASRILLMVLNNAVWSLFMCVLALGGATVGIVTGALKGQTTETGLLRGAAVGAVAGAITAVQLFELMLNGEPFSKVALVCSLVDGKVFMDWVCPAVLKAYQWQVSTMETSLRREISDIFEINNGSSSRGLSLDIIQKLPEFMFHSMETSCCSSPFQDISCPICLQEFKNGESARMLPSCSHSFHIHCVDEWLIRHGSCPMCRTDVCLMHVS >itb02g11910.t1 pep chromosome:ASM357664v1:2:7950541:7953055:-1 gene:itb02g11910 transcript:itb02g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFSRIEDLIVKSRGCVCSWIPMTMDVVGSASRILLMVLNNAVWSLFMCVLALVGGATVGIVTGALKGQTTETGLLRGAAVGAVAGAITAVQLFELMLNGEPFSKVALVCSLVDGKVFMDWVCPAVLKAYQWQVSTMETSLRREISDIFEINNGSSSRGLSLDIIQKLPEFMFHSMETSCCSSPFQDISCPICLQEFKNGESARMLPSCSHSFHIHCVDEWLIRHGSCPMCRTDVCLMHVS >itb02g11910.t3 pep chromosome:ASM357664v1:2:7950541:7953055:-1 gene:itb02g11910 transcript:itb02g11910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFSRIEDLIVKSRGCVCSWIPMTMDVVGSASRILLMVLNNAVWSLFMCVLALGGATVGIVTGALKGQTTETGLLRGAAVGAVAGAITAVQLFELMLNGEPFSKVALVCSLVDGKVFMDWVCPAVLKAYQWQVRIILNSCKSY >itb14g16360.t1 pep chromosome:ASM357664v1:14:19705025:19705434:1 gene:itb14g16360 transcript:itb14g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRQQTQSKSSRTFPPRRGQVMDRVLRTLVRSASNLVPASGNSKKKAAGSGALSPVSWPTPNRTPTGFCSAKLIFLRVGLDITA >itb01g13900.t1 pep chromosome:ASM357664v1:1:15029831:15032927:-1 gene:itb01g13900 transcript:itb01g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHCVSASAGFTRRHFNSFHHRGSNPSLFPLLNFSYYRCSVNPLVAMVYFFSSQTLNCHDMVACPPSMNLELYLQNRLSGFKYVSKLDEALALYDQMLRMQPRPSIIEFTQLLNAVVKMKCYLDAVSLFNNMRVLDIQTDEYILNVVINSLCCLRRVDLSFAVLGSFFKSGHVPNVTVFSTILKGLFLEEKIREACKLFQKLFVEKICEPDEFLLSIVIDGLCKAGSTGMAVNLLHLFEEKGNCKPAVVAYSTIIDSLCKDKMVNDALVLFAEMIKKGIAPNVVTYNSLIRGLFSFGRWKEAVGMLKQMVDFRICLDVHTYSILVDGFCKEGRIEDAVKVIEEMIQDRVDPDVVTYNALMDGYCLQGQIKNAVEVLKTMNCRGCKPNTRSYNILMNGYCKIGRIDKALQIFQNLPQERLKPSTVSYNTILQGLFQAGRCDAAMKLFNEMEARNEGLSLVSYNILLDGFCKNHCVAQALSLLSVMEDKGLVPNIRSYNIVIDGLFKDGEHGSAVDLFNGLRSKGLQPNDITYTCVLSGLCQEGLLEDAKHLLSKMENSGCMPSSVAYNVLVRGFVKMHEHREAIVLLQKMLRYGFSPDNSTCEIVLDSILREGQDSTLLHMIQNTLENDKMANP >itb07g07970.t1 pep chromosome:ASM357664v1:7:6229995:6236806:-1 gene:itb07g07970 transcript:itb07g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVDGLINRVAQTVEQNAALILGIKDQVEDLVRELRSFQAYLTEAARNESWKDNAVLVEVEQSIRNVARDAEDAIDKYIVERRIHKAKPALKRWAEKAVYYTKVNLSAREIEGIKERAKKIRQDYAHPLELLQGSSHNNHQPAVLQAPVVEEDDVVGFDDEAKTIKDRLTKGSKDTSFISIVGMAGLGKTTLTKMVFNDTDIQYEFFTRLWVYVSRSMNRRQIFMDIISRFTKQTDDFKNVSEELLAESIKEYLKGGKYFIVMDDVWFKKDWDLLKIAFPNNQNGSRVLVTTRDTGVATHADSYAKPHNLKFLDNKESLELLKKKVFRKEAFPEYLEPPGRKIAEKCNGLPLAVVVIAGVLLNKDQVSSWNDVAKNPIPILNRQTQDYNNILRLSYNQLPNHTKDCFLYLAAFPMGHEISVWKLIRLWIAEGFIPTVPKSTLEGTADSYLREIVSRNLLMVVKRRADGDIKTCRLHDTLYEFCKDEAVKNYLFNEINGAKIEGNENYRRLCIRSSVKDFIGSEDKPSGEHIRSLLASHKLDVPKEHLAAIPKAYPFLKVFDVENLKFEILPKEFYQLYHLRYVAVSTDLKILPKPFTNQWNMETIVFNTSQSSVEVKAEIWKLAKIRHIIANAQLQFPTPPNCRDKCEDLQTLSPISPKSCTEAILEKMPNLLKLGVRGDLVELLESKGGLYLFDNVRKLYNLQNLKLVHEPANDQGSTIRNFPDKFPSKIRKLTLSNTSIDWKHISALGSLNELEVLKLKESAVKGDYWELNKTTVFMSLRFLHIGRTDLVGWTCEKNSFPALNKLCLSHCTSLEAVPLAFKDVKSLKVMELFCTNKKAAASAKIIQREKQAGGLDLSIYPPADN >itb02g13900.t1 pep chromosome:ASM357664v1:2:10136813:10141214:1 gene:itb02g13900 transcript:itb02g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTEGREMPENLPGGILLDQTYALPPKDLNMLLFAPDSQFRRELAELQGTKDVQEGPWTWKSDDKSCLTRVVTYTNGPTKLVKAVQATEEQTYLKGDGKEFAVLVNVSTPDVPYGSTFKVELLYNIMPGPGLRSGEESTRLVISWAVKFCKSTMMKTMIEGGTGQGLKDSFSEFSRLLAQHCKVISSAEVLDNGHLLATLPSEHPSDLELAREYFCNFTVVSTTFLVLYFSIHILLCRSTQLQGLEFHGLDLPDSVGEIITCGILVLHLEQVYRMIAHFVEARLRRGSDHGVRAHGDGWVLTVALIEGTNLASLDPTGFPDPYVVFTCNGKSRTSSVQLQTLDPQWNEILEFEPAEEPPSMLDVEVFDFDGPFDQSRSLGHAEINFLKYTSAELADLWLPLGGKIAQSSQSKLHLRIFLNNNNGVETIRDNLTKMEKEVGKKLNLRSPHRNSTFQKTFGLPPEEFLINDFSCSLKRKLPLQGRIFLSTRIVGFYANLFGHKTKFFFLWEDIEDINVLPPSFSTMGSPSLVMILHKDRGTDARNGAKSIDEEGRLHFCFHSFVSFGGASRTIMALWKTRAYTPDQKAQIAEEQQYKDEKNVLPEETGSYLIVEDVSMSKVYSADLPMNHKSLMEMFNGGDLEHKVMTKSGCLNYMTSSWELIEPDVYERRVSYKLNRLISIFGVEVTSTQRKSVYANDGGWILNEIMAIHGVPFSDYYQVQLRYEVESCFAAHHKSCRCDVYVGVMWLKNTKVEDRITKNIVRKFSQRVKEMIELVGREERSS >itb08g12350.t8 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTSMLSPFPDGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t4 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTNGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t7 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTNGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t2 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTSMLSPFPDGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t1 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTNGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t3 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTSMLSPFPDGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t5 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTNGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb08g12350.t6 pep chromosome:ASM357664v1:8:12481282:12487118:1 gene:itb08g12350 transcript:itb08g12350.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNSKWGWEKLAMLGSKACESPKKLQLTDWGFVEEAELDTGSLDLSGGSRGYGSSAKSSVSASTDSSTKDGTKSSNFTFGGFPGDLDKKMEQPGAEVSGNSPPLDTSIGSVEPFIGLKLGKRTYFESNSGGNSVKSPTKATIPISSATSVKKTKSPSQCAPTPYCQVEGCNIDLSSAKDYHRKHRVCDSHSKCPKVIVGGIERRFCQQCSRFHNLSEFDENKRSCRRRLSDHNARRRKPLQDATQFNSTSMLSPFPDGRQQVNFVVNHCPIFPSRSAADSTWDSNCTSKFTITRGFTSKPEKARTISKLPNLAGIQLPNAISASSKGTTAEVFNQGAKQYLFQSNASTIPEVPRALSLLSTTSWGSYQPESISLDSPLPANQPSSLDPFMHAIPPGLLLPSSEYLQPEQQQHSTDFSGHTLAVNSTSPIHLSQVQLFKLPHDTDFYFNI >itb03g21760.t1 pep chromosome:ASM357664v1:3:19710042:19713391:-1 gene:itb03g21760 transcript:itb03g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLFLPNPFNSEDNDDQNSDHSISTLFRSVAAFLAPPPTNSPSAAAATDENGGGGGTVEVSSRAIAGMKHDLAEIGDRFKSSVSLLSSRFFKPKEDDPVEEEMEMEMIGITEEVVDYVSTLSERPQLWTDFPISLPNDFEMSANQREHVENIEQLVPGLGSLRQKVSGHLPNNKFWMIYFILLLPRLDENDLELLSTPKIVEVKDTLMQQLQNKKARGEVSKHSNTQHSSLDNIKDGSLQGNNNRTITSTEAPKAAEIEVSNAEKPKLLFKNEGAVLFSDVEDDDNDLPGRRSSASLGKKALSSGESHEWVHVGGKSKASSVEKGSDSEGSCEWLTIDDVDSDTMAAS >itb09g29460.t1 pep chromosome:ASM357664v1:9:30188339:30190589:1 gene:itb09g29460 transcript:itb09g29460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVEQQLATLLFWGGEITKNGDMGSMDYSEPPKTMCFLSHSSSYDELETKVHAAMDTNADRTRLILFGRHPMIIPGGQTVFVPIPLTDDQSWKWFLQVASVSQPIHVYATAKRRTDSQSRGQAPLLSPRDYGDGYSSEWLAGLCSLIFNKAVMVPAFSEMFADIFYCLSSILPELCVDDKKVSFKRLLVNCCQQEFERSEADREFASDQEKVIHMRRKRGNIKLIGELYKKRMLTERIIHECIKKLLAGGRYDENPEEEDVETLCHRCT >itb05g26400.t1 pep chromosome:ASM357664v1:5:30313091:30317221:1 gene:itb05g26400 transcript:itb05g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALAFASASQLGIAGNCSLSSSSSAGSRSIRFMQIKPNRIALEFKPSRSSIRASATGEEDSGTNTRGSFESETPYFPWSRMAEALCTCFEQDAHSWAFFLACNFFLSQGRRMDQGWRTGLQWRMHSKSLMDWFVPVHGQETDQIPKTTIC >itb01g04640.t1 pep chromosome:ASM357664v1:1:3150277:3154691:1 gene:itb01g04640 transcript:itb01g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIIIFFFFISINSISNFSTAVDTLPTNQKLSDDGATATLVSATQTFVLGFFSPGTSRNRYLGIWFGNVPEQTVVWVANNNNPIPDSSGALILTPTGDITITHTHTHTNQANIVWSSNSSSPRINNPSLHLLDNGNLVVKNGHSFVWQSFDYPGNTLLPGMKLGWNLKTKTEWYMTSWRNENDPSTGDFSFTYRLDILGLPTVILRKGETVQFRTGTWDGTRLGQYSLSDLYYMGVFKISLVYNDEDAYFWYQCLEASTISRLVVTHTGVMTVYIWSKNKNGWLDTNPIQGDHCDTYGTCGNNNLCNAINLPPCECLDGFQPTSPLEWESLQWSSGCVRRKLLNCSEPQGFKKFSDIKLPDNSRILGNRTAMSLADCEEACLGNCSCSAYAWAEVVGCAVWYGDLHDMRLYYSEAQDLYVRMPKSELNGPSKTGRQRLALIASSVSIIIGLVFLAITTWYGFHAMVARRKTRDPTRKVQLDWGKRVNIIQGIARGLVYLHRDSCLRIIHRDLKASNILLDEDMNPKISDFGLARAFRATEELANTHRVVGTFGYMSPEYVMRGLFSEKSDVYSFGVLLLEVVSGRKICGFHSNEEHSSLLNYVWQLWIERREVDLIDESITNSCCFTEALRCIRIGLLCVQDRVSDRPTMSNVVLMLCSEVDIPQPKRPTFTFHSLLDSDSKSRSSRNEITVSITKGR >itb09g08540.t1 pep chromosome:ASM357664v1:9:5090650:5092035:1 gene:itb09g08540 transcript:itb09g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQQPKKKKIMATKKMETMRVKQLVMVFVLMHSICPGVVSDDTIPIPANKDEVNSWFHSNIQPPSTRKNLDPDLAKAEANPKHIKVSKDGKGDFKTINEAINSVPNGNTQRVIIEIGPGNYTERLKIVREKPFITLHGDDKDRPCIIFGSTAAKDGTVYSATVIVEADYFTAINVNIINSAPRPDGVRKGAQAVALTQSGDMAAFYSVRLHGFQDTFCDDKGRHFFMDSYIEGTVDFIFGNGKTLYVETELHVIEGDNMAVITAQARHTNAEETGYSFAHCKVTGVGEGALLGRGWMAFSRVVFAYTDISDAIKPEGWHGVPGKTHYGGSTYFGEYKNSGPGAKLEGRPNFVKKMTEQEAKPFITLGFIDASKWLLPPVKV >itb02g00280.t2 pep chromosome:ASM357664v1:2:218913:221914:-1 gene:itb02g00280 transcript:itb02g00280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPATTNSLSEASEAKTSSEAIAILYRILNDPSSSSEALRIKEQAISNLSDLLRQENRAEELKNLLTQLRPFFSLIPKAKTAKIVRVIVDAVAKIPGTSDLQISLCKDIVQWTRAEKRTFLRQRIEARLAALLMENKEYSEALTLLTGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPGQQGSIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALEDPQAIYSLKYMLLCKIMVSQADDVASIISSPKVGLQYQGPELDAMKAVADAHSKRSLKLFEVALRNFKAQLDEDQIVHRHLSSLYDTLMEQNLCRLIEPFSRVEIVHIAELIELPADHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNMGKVVDSLFVRSAKIMA >itb02g00280.t1 pep chromosome:ASM357664v1:2:218913:222054:-1 gene:itb02g00280 transcript:itb02g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPATTNSLSEASEAKTSSEAIAILYRILNDPSSSSEALRIKEQAISNLSDLLRQENRAEELKNLLTQLRPFFSLIPKAKTAKIVRVIVDAVAKIPGTSDLQISLCKDIVQWTRAEKRTFLRQRIEARLAALLMENKEYSEALTLLTGLIKEVRRLDDKLLLVEIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPGQQGSIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALEDPQAIYSLKYMLLCKIMVSQADDVASIISSPKVGLQYQGPELDAMKAVADAHSKRSLKLFEVALRNFKAQLDEDQIVHRHLSSLYDTLMEQNLCRLIEPFSRVEIVHIAELIELPADHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNMGKVVDSLFVRSAKIMA >itb01g25780.t1 pep chromosome:ASM357664v1:1:31108200:31108481:-1 gene:itb01g25780 transcript:itb01g25780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEYQFLALVFSLVLVFTSPFSTQIEAQNLHGSHNNLQTTAAPGAICYQLKRLRLCSPVVQPPPSGSSPEEIDPRYGIEKRLVPSGPNPLHN >itb02g22910.t2 pep chromosome:ASM357664v1:2:22630149:22633855:1 gene:itb02g22910 transcript:itb02g22910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSDDERLDEFLHSARLSSTATNTGGTRSKGKRRLDDKMNIGGSTRKSTNKKWKDQIIDVLESVNATMISKEHRRKAREKASTTVATPYTMKACIEILKNMSGIPPLCHYCNFQAFGKS >itb02g22910.t3 pep chromosome:ASM357664v1:2:22632801:22633855:1 gene:itb02g22910 transcript:itb02g22910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFARSVATGGLAISSTQMPPNSDDERLDEFLHSARLSSTATNTGGTRSKGKRRLDDKMNIGGSTRKSTNKKWKDQIIDVLESVNATMISKEHRRKAREKASTTVATPYTMKACIEILKNMSGIPPLCHYCNFQAFGKS >itb02g22910.t1 pep chromosome:ASM357664v1:2:22630106:22633855:1 gene:itb02g22910 transcript:itb02g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFARSVATGGLAISSTQMPPNSDDERLDEFLHSARLSSTATNTGGTRSKGKRRLDDKMNIGGSTRKSTNKKWKDQIIDVLESVNATMISKEHRRKAREKASTTVATPYTMKACIEILKNMSGIPPLCHYCNFQAFGKS >itb02g22910.t4 pep chromosome:ASM357664v1:2:22630106:22633855:1 gene:itb02g22910 transcript:itb02g22910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFARSVATGGLAISSTQMPPNSDDERLDEFLHSARLSSTATNTGGTRSKGKRRLDDKMNIGGSTRKSTNKKWKDQIIDVLESVNATMISKEHRRKAREKASTTVATPYTMKACIEILKNMSGIPPLCHYCNFQAFGKS >itb02g02250.t2 pep chromosome:ASM357664v1:2:1252019:1254182:1 gene:itb02g02250 transcript:itb02g02250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEIVDSGRPSAQKSNFSHTCTLLSQYLKEKGSFGDLSLGISRNFEASGTAAQTMNLLPMIEKSGQNSGPKPGNLLPNFTKEETTKKTDSSVAKAETEKSQMTIFYGGQVLVFNDFPAEKVKEIMVLAKGGNTTHNPPNIFSYNNNNTPTLVSPKPAESSATNMVTPPAVPKVVPNLGNQKPITSGNLLFLCVSFMCCFPKFHLTPRNQLSCLHTGRFLSYCSFLCLMYNLILCCRLANCQEAFPSQVLGEEKRQGYFEGAIPDRERHGGFI >itb02g02250.t1 pep chromosome:ASM357664v1:2:1252019:1254182:1 gene:itb02g02250 transcript:itb02g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEIVDSGRPSAQKSNFSHTCTLLSQYLKEKGSFGDLSLGISRNFEASGTAAQTMNLLPMIEKSGQNSGPKPGNLLPNFTKEETTKKTDSSVAKAETEKSQMTIFYGGQVLVFNDFPAEKVKEIMVLAKGGNTTHNPPNIFSYNNNNTPTLVSPKPAESSATNMVTPPAVPKVVPNLGNQKPITSDLPIARRHSLARFLEKRKDRVTSKAPYPIGNAMAGSSKPEESNKKAWLGLGAQIPVKIEHQS >itb14g16380.t2 pep chromosome:ASM357664v1:14:19729294:19731717:1 gene:itb14g16380 transcript:itb14g16380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MGNQAMENEANPIAEIKGVPIFGGKYTQYNVLGNLFHVPAKYIPPIQPVGRGAYGMVCCARNAVTKEEVAIKKIGNAFDNRIDAKRTLREIKLLSHMGHENIIKIKDIIRPPEREKFNDVYIVYELMDTDLHQIIRSSQQLTEDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLDANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIKREPLFPGRDYVQQLALITEVCIRGVLN >itb14g16380.t1 pep chromosome:ASM357664v1:14:19729286:19732860:1 gene:itb14g16380 transcript:itb14g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MGNQAMENEANPIAEIKGVPIFGGKYTQYNVLGNLFHVPAKYIPPIQPVGRGAYGMVCCARNAVTKEEVAIKKIGNAFDNRIDAKRTLREIKLLSHMGHENIIKIKDIIRPPEREKFNDVYIVYELMDTDLHQIIRSSQQLTEDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLDANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIKREPLFPGRDYVQQLALITELLGTPEDSDLGFLRSDNARRYVKQLPHVPKQPFSQKFPDVSALAIDLAEQMLVFDPAKRITVEDALNHPFLSSLHEINEEPICPSPFNFDFEQASLNEEDIKELIWREALKFNPE >itb13g20260.t1 pep chromosome:ASM357664v1:13:27069959:27070780:-1 gene:itb13g20260 transcript:itb13g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSFFLLKNSFGAKMRRGFRNFCNDAASTSTLNQQQSSDPHRRFDMSSEVAGRRSQAEESEMESHQQPTLEEMLFRLDMEEKMAARRRREKLDDDNNIVRHRMSCVNSSDILRTARNALNQYPRFSLDGKDAMYRSSFRHRDAGAGAGAIERSRYLPSKIGGERVIWCKPGVVAKLMGLDALPVPLRCARRPNTETTTTKVNGGDLIRRQNLRRRAEMERHERRDTELMSGSSCSTAKGYCVLKPVAKEDDDEVGGWPIRGGFLYSKHNLV >itb09g30610.t1 pep chromosome:ASM357664v1:9:31250046:31252446:1 gene:itb09g30610 transcript:itb09g30610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIAATSLLRHLPVYGGFKCKDGPNRRRTFNVCRLPSSGFLGRKLVCRERLQTDRRVVLSVRSQAMGVAKEAYSFQEKEQTPLDNGYWIETDVDRKPGIWPPENRADNPALHNPLLRQERMGCGWLAAIFEWEGVLIEDNPDIEKQAWLALSEEEGKSPPPAFILRRIGGMKNEQAISEVLCWSRDPVQVKRMASRKEEIYQAFQGGIYSFRSGSQEFVNILMQYKIPMALVSTRPRKAIENAIDSIGIGEIFNVIVAAEDVHRGKPDPEMFIYAAQLLQYIPERCIVFGNSNQTVEAAHDALMKCVAVASKHPMYELSAADLVVKHLDELSVVDLKNLADIESTEFGSAEPQLEMEEEDDLYPSSTVAIDDFW >itb12g04130.t2 pep chromosome:ASM357664v1:12:2700460:2700885:1 gene:itb12g04130 transcript:itb12g04130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFESQEGERDKKFSTTMEMDNNPHVHQDYASIVGRKENSMMDSLGPKSNFPYFTTMEGKEHVELAGQQLQYHYPPYNMMNNMQVYQYRNPSPMTVNPYMQRMHAIDNVYMHQPRMVMDHKFDIVPPISYWQNMNMNSYHI >itb12g04130.t1 pep chromosome:ASM357664v1:12:2699776:2700885:1 gene:itb12g04130 transcript:itb12g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIDEIEFLKIQTHVLKVQINCVGCRKKVKKLLKKIEGVYQVSMDVEEQKVTVSGNVDAEIVIMRLVKSGKHAELLSLQELANQEKGSETQYRDGDFQNPELLNGDYHNMELLKWLSDENYQNQVHSQINSVRASKRQPMLPQLYESLGNEKPFKQSMAFESQEGERDKKFSTTMEMDNNPHVHQDYASIVGRKENSMMDSLGPKSNFPYFTTMEGKEHVELAGQQLQYHYPPYNMMNNMQVYQYRNPSPMTVNPYMQRMHAIDNVYMHQPRMVMDHKFDIVPPISYWQNMNMNSYHI >itb08g08350.t1 pep chromosome:ASM357664v1:8:7329436:7332138:1 gene:itb08g08350 transcript:itb08g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAQSSFWNFSDRLRLQPGSFSNLSLNDSIWSTSYASKRPADRRNLEVNSSSAAADYALFANDGWKVAETVSSLSGSGHSEAFGSGLNGGFNKGIYPSPAVNFNSYSKGINGKKGGKFGLEDEHGPGKCGKKNKNVNKEGNNNNNNNNISDKNGVDKRFKTLPPSEALPRNETVGGYIFVCNNDTMEENLRRQLFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPSAWEDKKNPGESRFPAQVRVVTRKVCEPLEEDAFRPILHHYDGPKFRLELNIPETLSLLDIFAENNA >itb01g20960.t1 pep chromosome:ASM357664v1:1:27133167:27134736:1 gene:itb01g20960 transcript:itb01g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKPSPCHDEEIIHSFEELDLNFGSFTPQDILNIIRLSARSSFENMGEEKENEKEKEKEKSNEFVKMAKTQKGSVELLKRIVYEDPMQWQEILDGILECILEVMTDEYGHHLFLMVLERCDLCQFQTIIDTLELDEDLLIHASFPKHGSIAVQGFIKKLKLTGLAHSITSLLSKRFVELMTSEHGRYVVLQCIYTFGAKENEVLFSCAMRHFKELATTKYGCSSLFDFLKLICGFQRKQLLQNIADESNFLVNDCWGTIVLKKVLTLNDNEAIHKICDRLKMEFINLCSRKGCNGCQVVLKCLEASEYGTKSVLENLLQGSEEVLLKLASDRFGTFVIQTALFKARTFDFKLYKLLVAILKPHFLALSSNENGRLVNLVIKCCEAEARSQTI >itb13g19150.t1 pep chromosome:ASM357664v1:13:26160677:26167489:-1 gene:itb13g19150 transcript:itb13g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGQPLSSVTGTAASSAEAALPPPKPFDFSYDSQPPRLALSSDQLRYCSEALKVLKEKKFQAPEKIRQEFGTLQASRMRASDMRSRCLVALDSINISKNRYTDVLPFDSNRIVLNPCKDYRQSARGYINASLITISEHVSRFIATQGPLPNTFEDFWEMVIQNRCPAIVMLTRLVDNYKTVKCGDYFQAEDGVREFGNICITTKWIKTTDTSLILRCLEVSYKESEEPPLSVLHVQYAEWPDHGVPKDTLAAREIVKRLHTLPPSLGPIVVHCSAGIGRTGTYCAIHNTIQRILLGDMSALDLVNTITIFRSQRIGMVQTLEQYLFCYDAIIEELEDIIKENTGQPSS >itb03g19540.t1 pep chromosome:ASM357664v1:3:17605161:17605689:-1 gene:itb03g19540 transcript:itb03g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESDHEVHIWTERERRKKMRNMFSNLHALLPQLPPKADKSTIVDEAVNYIKTLQQTLQKLQTRKLEILHGFNSNNPSPSIFGSQKLNAELTTREAFLVAIIIKDLTALWLPSSDHHPPRLSRRRSRPGLLRMLS >itb07g05900.t1 pep chromosome:ASM357664v1:7:4077966:4079941:-1 gene:itb07g05900 transcript:itb07g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLNMRNWGYYEPSHKGPLGLQLMPSVVDRDTRTFFSGRESPSMMGTNGLFHPRDSVVSQASSLTHIDYIRDGWINHRDKFLHMFPGNPYGGGVMADPSGTQTSMQMLQTDSTKEEIANVEDPSVGKDSCPSKKRLGAAASPPKAKKPKKGPSAPKKNDNPPAQRAKPAKKSIEVVISGIDMDISSIPTPVCTCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMNNKRRGARIAGRKMSQGAFKKVLEKLASEGYNFSDPIDLRTYWAKHGTNKFVTIR >itb07g05900.t2 pep chromosome:ASM357664v1:7:4077966:4079835:-1 gene:itb07g05900 transcript:itb07g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGLNMRNWGYYEPSHKGPLGLQLMPSVVDRDTRTFFSGRESPSMMGTNGLFHPRDSVVSQASSLTHIDYIRDGWINHRDKFLHMFPGNPYGGGVMADPSGTQTSMQMLQTDSTKEEIANVEDPSVGKDSCPSKKRLGAAASPPKAKKPKKGPSAPKKNDNPPAQRAKPAKKSIEVVISGIDMDISSIPTPVCTCTGTPQQCYRWGCGGWQSACCTTTISMYPLPMNNKRRGARIAGRKMSQGAFKKVLEKLASEGYNFSDPIDLRTYWAKHGTNKFVTIR >itb15g00110.t1 pep chromosome:ASM357664v1:15:79641:81206:1 gene:itb15g00110 transcript:itb15g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPVSTSRCRSMIRALFPPSSLLPLIAIPILLPHLHLHLLLHYPQHTIFIFSQARGYCYLLAHPSIGGAMSTSAEANGAIIDPKQRQQQQQQQQPPPSNGALVVKKPPAKDRHSKVDGRGRRIRMPIVCAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTIPASFSTVSVSVRNSSSTTSPSISAPLDQKPSQSLISSAPFILGKRLRSEEDGLDGGGNKDDVAGTATVVGPTAGFWAIPPRPDFGQVWSFAAAPHEMVVPTPALNSHPSRFLQQQMGEASAARVGNYLPIAQGHLNLLASLSGPPPPSSGRRDDDGR >itb15g14300.t1 pep chromosome:ASM357664v1:15:12551092:12556933:-1 gene:itb15g14300 transcript:itb15g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEIDRLRGVVRDCMSKHLYSSAIFFADKVAAITSDPTDIYMQAQALYLGGHYRRAFHLLNASQIVLRDLRFRYLAAKCLGELKEWDQCQLVLGNANVDEHGNVTELGDCSSMYLDKDGEDREINILSAICFLRGKASEALENRAQAQLWYKAAIKADPLCYEALACLVENHMLTCDEEASLLSSLQFGAEDGWLSSFYSCLVKKYEKENVVEAKFRELEQDGPSIKPLDKSLLCTLKTNTDLLACKAEYYHQCSEYQKCFELTSTLLEKDPFHLKSTLVHLAAAMELGHSNELYVMASNLVKDYPQQALSWFAVGCYYYCIKKYEQARRYFSKATSLDGTFAPAWIGYGNAYAAREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQFFIQAKTICPLDPLVYNELGVVAYHMNEYKKAVHWFEKTLAHIPSNLSEMWEPTIVNLAHALRKLKRYNEAITYYEKALALSTRSLSTYAGLAYTYHLQEKFSAAITYYHKALWLKPDDKFCTEMLTLALADECRYGSIPRIESHHNELLI >itb13g11140.t1 pep chromosome:ASM357664v1:13:16018617:16020042:1 gene:itb13g11140 transcript:itb13g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRVNRSDPDAAVAPRRYGLDPLLPTPGHHSMSGIRGDILNVTTPLVGGCAALPFDRYTVEEADHERYRCGSDPGQGRLRRRLAYG >itb15g08360.t1 pep chromosome:ASM357664v1:15:5870946:5871821:-1 gene:itb15g08360 transcript:itb15g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYRAIMDYKNGGRQGGMMNYACMSNESPSASYMRLPGDSGRFEASDIQLGFSTSSASPSAASKRMASAAGVQPCSWISSRAVKK >itb01g27180.t1 pep chromosome:ASM357664v1:1:32090699:32105507:-1 gene:itb01g27180 transcript:itb01g27180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPFRSSAKPEFDTATNNLWVGNLTPDVTEAELTALFEKYGQVDSITSYSARSYAFLYFRSLEDAKAAKDALQGTVLRGNPLKIEFAKPAKPCKSLWVAGIGQSVSKEELEEHFKKFGKILEFKFIKDRNTAYIDYARLEDATEALKTMNGKQISGEQIRVDYLRSHPARREQGPDFAREGQFPYRNTLHTQDYVTAYSEPTHAGSKRPHFQSLGGRRDGQPSKILWISYPPSVHVEEDMLHNAMILFGEIERIKTFDDRNYAFVEFRSVEEAMLAKEGLQGKLFNDPRISIEFSSSGFAAGREYFPYQPSPMGILDHNRPLLPNNIPGHLPPHSIHGLDMLIRPQNRYDPGIPSSEYPDVAAIHKLQESSPHNLMGGSDWRRSSPVAGASPSGVLRPLNRPASGGWDVYDAASQLPRESKRSRVDGSLPRYDGSLPPNRLDEEQYSMGSVGALGTRKHRLTPAGVRGTPSHDYIWRGLIAKGGTPVCRARCVPIGEEIVSEIPDVVNCSARTGLDLLTKHYADAVGFKIVFFLPDSEEDFASYTEFLRYLGTKNRAGVAKFDDGTTLFLVPPSDFLTNVLNIAGPERLYGVVLEFQQTPNATSVQPPPMDSLQPPYADVPKMTSYQTAYNLPPDDRTLQMDYNKVLRDDIKLPPKAVGPPTSEAIPLHSVPPSNTLAPSQGGVTLTPELIATLSSLLPAKSSNSENTTVASTPAPVLNTTVAPDKGHPQGWRYEQQTPEQAGHLASQLGSHFNTQSQPIPPAQTHAPVFNVPNHSAHGVVGFNQIHEQHPFDLQTQAAASSKVLTSTTIPPQSGQIGVPPHVEQHNPVGINNSQVAKVAKGQGVIEMLPSIQVWVEFFCLDGVRWAQAIWQSFRTASSVLVLVDRSSAAGREKAEFGEGNFYLCVCMKWYLGRFLNTHPFDSLGARKQAVQVTGHGGGLLPPSGRSSVFSPPCSNINVKSEPSGSFSLPPLGPSAGASESGHFGIGRPSDSSQFSYDISCMPDNPRKHMGHRRAHSEILTLPDDISFDSDLGVVGGFDGPSLSDETEEDLFSMFLDMDKINASSATSAFQAGESSSSGAMASGSSQTLASGSLMPTFENVSPAVSERPRVRHQHSQSMDGSTTIKAEMLTSGSEEQSPAELKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKVRLTTMEQQVHLQDALNDALREEIQHLKVLTGQGMANGGAMMNFPPSSAGANQNQQFYRNNQAMHTLLTTQQFQQLQIKSQKQLHSYQQQHQLHQLQQLQHHQPQEQHLHQSGDMKLRNPLSVSGQSENPSDGSSA >itb01g27180.t2 pep chromosome:ASM357664v1:1:32090699:32105246:-1 gene:itb01g27180 transcript:itb01g27180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPFRSSAKPEFDTATNNLWVGNLTPDVTEAELTALFEKYGQVDSITSYSARSYAFLYFRSLEDAKAAKDALQGTVLRGNPLKIEFAKPAKPCKSLWVAGIGQSVSKEELEEHFKKFGKILEFKFIKDRNTAYIDYARLEDATEALKTMNGKQISGEQIRVDYLRSHPARREQGPDFAREGQFPYRNTLHTQDYVTAYSEPTHAGSKRPHQFQSLGGRRDGQPSKILWISYPPSVHVEEDMLHNAMILFGEIERIKTFDDRNYAFVEFRSVEEAMLAKEGLQGKLFNDPRISIEFSSSGFAAGREYFPYQPSPMGILDHNRPLLPNNIPGHLPPHSIHGLDMLIRPQNRYDPGIPSSEYPDVAAIHKLQESSPHNLMGGSDWRRSSPVAGASPSGVLRPLNRPASGGWDVYDAASQLPRESKRSRVDGSLPRYDGSLPPNRLDEEQYSMGSVGALGTRKHRLTPAGVRGTPSHDYIWRGLIAKGGTPVCRARCVPIGEEIVSEIPDVVNCSARTGLDLLTKHYADAVGFKIVFFLPDSEEDFASYTEFLRYLGTKNRAGVAKFDDGTTLFLVPPSDFLTNVLNIAGPERLYGVVLEFQQTPNATSVQPPPMDSLQPPYADVPKMTSYQTAYNLPPDDRTLQMDYNKVLRDDIKLPPKAVGPPTSEAIPLHSVPPSNTLAPSQGGVTLTPELIATLSSLLPAKSSNSENTTVASTPAPVLNTTVAPDKGHPQGWRYEQQTPEQAGHLASQLGSHFNTQSQPIPPAQTHAPVFNVPNHSAHGVVGFNQIHEQHPFDLQTQAAASSKVLTSTTIPPQSGQIGVPPHVEQHNPVGINNSQVAKVAKGQGVIEMLPSIQVWVEFFCLDGVRWAQAIWQSFRTASSVLVLVDRSSAAGREKAEFGEGNFYLCVCMKWYLGRFLNTHPFDSLGARKQAVQVTGHGGGLLPPSGRSSVFSPPCSNINVKSEPSGSFSLPPLGPSAGASESGHFGIGRPSDSSQFSYDISCMPDNPRKHMGHRRAHSEILTLPDDISFDSDLGVVGGFDGPSLSDETEEDLFSMFLDMDKINASSATSAFQAGESSSSGAMASGSSQTLASGSLMPTFENVSPAVSERPRVRHQHSQSMDGSTTIKAEMLTSGSEEQSPAELKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKVRLTTMEQQVHLQDALNDALREEIQHLKVLTGQGMANGGAMMNFPPSSAGANQNQQFYRNNQAMHTLLTTQQFQQLQIKSQKQLHSYQQQHQLHQLQQLQHHQPQEQHLHQSGDMKLRNPLSVSGQSENPSDGSSA >itb04g01830.t1 pep chromosome:ASM357664v1:4:1071492:1074491:-1 gene:itb04g01830 transcript:itb04g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKLHCFNPLHNCIRLIRKLQTLAISTSRGSHESGLIDKNRSLGGMSKSGSVDEARVVFDKMPERDEFAWNAMISAYAETGRLAEARQLFDKAPNKSSITWSTMISGYCKYGAESEGFELFWAMQNEGHRPSQSTLGSILRICSIKCLLLRGEQIHALAIKTGFDHNLFVTTGLVDMYAKCMRVVEAEIVFKMMQSGKNHVTWTAMIIGYSQNGNSLKAIECFCGMRADNVNGNQYTFPGVLSACAAVCDLSFGVQVHGCVLRGGFGANLFVQSSLVDMYAKCGDLASARKELESMAVDHVVSWNAMILGCVRHGFADAALSLFKEMLARDIETDNFTYPSVLNSLAITKDVKNGKSVHCLVIKSGYDTHKLVSNALVDMYAKQEELTCAKEVFDGIADKDVVSWTSLVTGCAHNGRHDESLKLFREMISAEIAPDQIIVSSILISCADLAVLEFGQQVHANYIKSGHGKSVSVDNSLITMYAKCGCLEDADRVFNSMDARNVMTWTARIIGYAQNGKGRESVKFYDSMIADGIKPDFIAFIGLLFACSHAGLVELGRSYFKSMTEVYGIKPGPYHYTCMIDLLGRSGKMQEAMELLSKMEVEPDATVWKALLSACGVHGNLDLAEKAAAMLFELEPHDPAPYVMLSNVYSAAGKWEASSRTRRLMKAKGVHKQPSYSWMETNGVVHKFSSEDRNHPRANEVYSKVDEVLMSIKEAGYVPDMNCSLHDINEEGRQRNLAYHSEKLAVAFALLYVPKGAPIRIYKNLRVCGDCHTAMKFISKKSLPMKDNTRKKDKKRVLCRIEVIPRSIYSPLTEVYKQPN >itb01g08940.t2 pep chromosome:ASM357664v1:1:7188194:7191903:-1 gene:itb01g08940 transcript:itb01g08940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MHSNWLLFMDFDKDPSQMFPMDPLVPYGFGMNFLHHINSVVDNSSLALQEAFSCFSKFAGALLLWFASGSNTNINARISSKQHGSHARSNSLVQGQNISPFSKSSYRGKFAMPVVISKLSKFTIKQLFRESKYLQSIPALSLAAVLVPPFDNVSRNLLAIPLGATPMETQMSMDQSPCEVEHRGCSNSFFQSLNWSRHAVEPRTGIEFPAILDNIFAGDNNSSFTSEVLVGTGSRIMNIIRIKTLKVYAFGFYVHPFDVCQKLGPKYSSVPTYELNSQHEFYQDLLREDINMTVRLVVSCNGIKIKNVRDAFEKSLRARLVKTNPETDFHCIQTFGSIFSQDIPLHVGTTINFRRTADGHLITEIGGNHIGTVHSKELCRAFFDMYIGDLPICEKTKEQIGQNVVSIIRGC >itb01g08940.t1 pep chromosome:ASM357664v1:1:7188194:7192943:-1 gene:itb01g08940 transcript:itb01g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MHSNWLLFMDFDKDPSQMFPMDPLVPYGFGMNFLHHINSVVDNSSLALQEAFSCFSKFAGALLLWFASGSNTNINARISSKQHGSHARSNSLVQGQNISPFSKSSYRGKFAMPVVISKLSKFTIKQLFRESKYLQSIPALSLAAVLVPPFDNVSRNLLAIPLGATPMETQMSMDQSPCEVEHRGCSNSFFQSLNWSRHAVEPRTGIEFPAILDNIFAGDNNSSFTSEVLVGTGSRIMNIIRIKTLKVYAFGFYVHPFDVCQKLGPKYSSVPTYELNSQHEFYQDLLREDINMTVRLVVSCNGIKIKNVRDAFEKSLRARLVKTNPETDFHCIQTFGSIFSQDIPLHVGTTINFRRTADGHLITEIGGNHIGTVHSKELCRAFFDMYIGDLPICEKTKEQIGQNVVSIIRGC >itb07g06790.t1 pep chromosome:ASM357664v1:7:4928543:4933029:1 gene:itb07g06790 transcript:itb07g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MAEAKEKLTLVARKACFGLPTACPNCLPVYIYLRFCQRPFDLAFNLIHPDSDQIPYVESGTYVAYNNEKGGVIQSLKDDGMIDDLDSAVRNTPEWASTKAMAESWLADALLYELWLGSDASSVQIYYSDLPWPIGKLMYFKQVHVTKQRLGITKENADKREEEIYRKASSAYEALSTMLGDQSFFFESRPTSLDAAFLGHALLTLFALPDTSVLRSKLLEHDNLVRYTENLKIQFIDATELPSLSQSHTDPSSSTTRRTSNWSSKPKTKPQKKRTEEEKKFRRRAKYFLVTQLVAVLVFLSLLGGSDDGEVELDDDDDGMDYD >itb14g03490.t1 pep chromosome:ASM357664v1:14:3094581:3097235:-1 gene:itb14g03490 transcript:itb14g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHKLEEVYLQINSFSGSIPWDIFNISTLLQLNLGLNSLSGNLPPRMGSRLPNLVGISFYTNDLTGAIPSYISNCSKLEVIFFSYNSFSGPIPNSLGELRLLKILNMEDNKLTSESSTIGSNIISSIAANCRYLGHLILDLNPLNIVLPSSFGNLSTSLYAFSVNGCNIKGNIPDGIGNLSSLIELDLSKNDLFGVVPRAIKGLEMVQLFDSSENRLSGSLPSSICDLKYLWILNLGKNQFWGSIPECIGNVTSLRKIYLYSNRLSFNIPSNLWDLKDLLYLDLSSNFLNGSLPPKIGNLNAAIYINLSVNHISGYIPTTIGGLQQLVDSDTDYYLLAPIRARAKLARSAP >itb05g07660.t1 pep chromosome:ASM357664v1:5:10006331:10007879:1 gene:itb05g07660 transcript:itb05g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINNRFSTSYSLIIRLLPLFSYFPLSSFSFSLDTYRSSLLSQISSLSPLLSVFLTLSSLTFSDLCGSAFRPGVSDSDSHLRDSISHPAYPDLRLSLRCLRLSVLRSLKSHLRLSYSNNSRLLRKGHCQSCFGHENLTGCNVIDGSLLHWFLCISISNDRMEKWKICKSVLWCIDGIKSCRACNR >itb09g05540.t1 pep chromosome:ASM357664v1:9:3159898:3161577:1 gene:itb09g05540 transcript:itb09g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase gamma chain 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04640) UniProtKB/Swiss-Prot;Acc:Q01908] MSCSNLTMLVSSKPSLSNSSLLSFGSSLNPLQLPAQSSAPSRSPSVGPIRCDLRELRDRIASVKNTGKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYNINEQLQTDDAEVPLTKVRPVKKVALVVVTGDRGLCGAFNSNILKKAEARIAELKSLGVDYTVISVGKKGNTYFIRRPHIPVDRFLDGSSLPTAKDAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSEPVIHTLVPLSPKGEICDINGNCVDAAEDEFFRLTTKEGKLTVERDVRRTETPDFSSILEFEQDPVQILDALLPLYLNSQILRALQESLASELASRMSAMSSATDNANELRKNLSIDYNRQRQAKITGEILEIVAGANALS >itb05g20250.t1 pep chromosome:ASM357664v1:5:26424636:26426398:1 gene:itb05g20250 transcript:itb05g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQWPQGIGVVKGGVVEAPKKPRPEKEKALNCPRCSSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNRRSSSSISSSSSLASSSSSSKKLPDLAVTPPSFPCHQQNPKGHDLNLAYPPPQINNFGGAGGISEILNLNPNSTAAMEILKNGFSSFMGMPPPVHPDHHHHHSNAAMFLSPGVPLSLDGFCNGYGNLPPQPQPSGTARMFFPNLEDLKPVSTMATDNHQFEEQNRVEGENDGSGYWNGMLGGGGGGGGGTSW >itb09g14450.t1 pep chromosome:ASM357664v1:9:9718613:9719878:1 gene:itb09g14450 transcript:itb09g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGQSNKTRKLLLKILCFSSQNSIFSHSIACSYIQRQSYVNVYMKWKQDFYLDSIESVHKSIELQPIIALKNYIVSASPEEYSIPISDVSKKGLRFGVRIKVARFLRQYPSVFEEFTGPKHNLPWFRLTQRAIELDKEEREVYKAFKDDLLLRLKKLVLMSCVGFGDKKVLPLKIIQGMQWYLGFPDDFLIDPENNLPKSFEIVEMGDGLKGLALNNEGNEKVLSVMQRNALKRGVYDGGENETIAFPTFPSKGLRLRRKIIDWYDEFQKLPYVSPYENCSAIRPDSETAEKRVVGLLHELLSLFVEHAAERKNLLCLRKYLGLPQKVHKAFERHPHIFYLSLKNKTCTAILKEAYCDKGAIEVHPLAKVRKKYINLVKESAFILKSRRFNNRPRDQGNMNVMDLDCTEDENEKTGIEAA >itb01g34810.t1 pep chromosome:ASM357664v1:1:37312855:37314052:-1 gene:itb01g34810 transcript:itb01g34810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEGQVIGCHTVDQWKEHFDKARASGKLTVVDFTASWCGPCRFIAPILADTAKKMPHVIFLKVDVDELKSVSEDYKVEAMPTFVFLKEGNEVHRVVGAKKDELLIAINNHASASTMTA >itb12g19020.t1 pep chromosome:ASM357664v1:12:21311146:21311850:-1 gene:itb12g19020 transcript:itb12g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPSPGSAMAAKEQVRPLASHRYLHVDVENDGFSVEVKKTRHRHYHGKCIKFCGCCTAVLLVVAVAIIVLASTVFHVKDPTIKMNTIRIEGLSFLSTGNLNPGVNLTLVSDLSVKNPNAASFKFNDAAETSLLYGGKVVGEAKIPAGNARARRTLQLNVTVDVMVEKLLEVPRLKSDLVTGELPVAIYTTIHGKVKVLRIVKKSATLKMNCSMSFNILRQDIENLDCLNSL >itb03g18390.t1 pep chromosome:ASM357664v1:3:16684121:16687648:-1 gene:itb03g18390 transcript:itb03g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKPGSLVYDESVFPSCMDINGIELIANTSLLSEPTKVMLPLVSIANASVHYNCRVPRDGFEQSRMADLQGGGEKFVSEIHENGASLVITNVIDQTFEGHDLMTGERIAGSILSSSEIDGFVPEKGGCAALEVSFGTVSPDIGGFQSIKKAHSWDSAKMSELVSGLVPVPVNGLFVGDSKRKLPPSLLDVSKELKLIRQHAFAFDSPPLWGLTSICGKRPEMEDAAVALPRFSGIPSRMLMDVPIGSTRNQNLTAHVFGVYDGHGGCQVANYCCERLHLALAEEVDIVKEDLRIESVGGNWKEQWEKALLSCFQKVDDEIGGTSRIDGEVESPIAPEAVGSTAVVAILCPTNIIVANCGDSRAVLCRGKSPMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPVPEIMFVPRAKEDECLVLASDGLWDVMTNEEVCDVARRRLLLWHKKNGGTMLSKERSDDPDPAAQDAAEYLTRIALQRGSRDNISVIVVDLKAQRKFKKKA >itb03g18390.t2 pep chromosome:ASM357664v1:3:16684121:16687636:-1 gene:itb03g18390 transcript:itb03g18390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKPGSLVYDESVFPSCMDINGIELIANTSLLSEPTKVMLPLVSIANASVHYNCRVPRDGFEQSRMADLQGGGEKFVSEIHENGASLVITNVIDQTFEGHDLMTGERIAGSILSSSEIDGFVPEKGGCAALEVSFGTVSPDIGGFQSIKKAHSWDSAKMSELVSGLVPVPVNGLFVGDSKRKLPPSLLDVSKELKLIRQHAFAFDSPPLWGLTSICGKRPEMEDAAVALPRFSGIPSRMLMDVPIGSTRNQNLTAHVFGVYDGHGGCQVANYCCERLHLALAEEVDIVKEDLRIESVGGNWKEQWEKALLSCFQKVDDEIGGTSRIDGEVESPIAPEAVGSTAVVAILCPTNIIVANCGDSRAVLCRGKSPMPLSVDHKPNREDEYSRIEALGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPVPEIMFVPRAKEDECLVLASDGLWDVMTNEEVCDVARRRLLLWHKKNGGTMLSKERSDDPDPAAQDAAEYLTRIALQRGSRDNISVIVVDLKAQRKFKKKA >itb04g31000.t1 pep chromosome:ASM357664v1:4:33959871:33964278:1 gene:itb04g31000 transcript:itb04g31000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGKVTSFYRQGNDWFCNASLPSDISLIVDGVNFHLHKFPLISKCGRIFKALEEAQGTNGQTSTTRLEDFPGGADIFLVTVKFCYGCRVEFTPRNIVMVYCAADYLEMTDEYGENNLLSKSDDYFHKNVLTNWKDCILALQSCNSMIPRATKLQIIGKCLNALSVMVCTDPSLFGWPMLMYGSLQSPGGSILWNGINTGARIQSMASDWWFEDISYLSVPLFERLIQTMETKGIKPESLAGAIMHYCRKYLPGLGRWQSGQIVTSRTVASFSMTPATVDQKDLLESIVKLLPTKKGMSFCRFLLGLLRVALILGINHSCQETLERRIGMQLHLATLDSLLIPSYSDSDTLYNTDCVERMIHHFISEPAVTTVSPSSFDLGTSPSSEPLCRVAKLMDSYIAEIASDVNLKPERIRALAEALPDSSRSLHDGLYRAVDIYFKAHPWLPDRDKEQLCNIIDFQKLSIDACAHASQNERLPLRIILQVLFFEQLQLRTALAGCLHVLDTESANAAPAAGPSDMAGHIVQRDGWVTVVRENQVLRVDLERMRSRVRELEEEFSKIKQEMRKVSKSHSHLSSPRFLARRMGCKLLPRSSDAQPDVIGSTGPSPRASVEHPRTSGGHRKSFSLF >itb06g22010.t1 pep chromosome:ASM357664v1:6:24459267:24460671:1 gene:itb06g22010 transcript:itb06g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGAAAFFDEEWESLSKLFAVENPDFMLHLQGDYNGGAGFEAPSTFWQAVEASNNGGGGSHDDSFAFDQSDESMKFFVISQEEFDTSAPVFDPDEVIRDISDEVAEEQTIRNGNPKKRTRSVSRDGQKNKRNNANSKTKKNPKNMTENSNGEEEEEKYDSNNTMSANNAVQSSSCCSSEDDSTASPDLNHPGSTITTTTTTNSNGKTRASRGAATDPQSLYARRRREKINERLRILQSLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLYQKMLLPSS >itb08g16680.t1 pep chromosome:ASM357664v1:8:18708386:18712887:-1 gene:itb08g16680 transcript:itb08g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGLTQQFQMRINNNCTVDFPTKARPRLCHFGKNSVRFRVLASESQAPEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDASKVVNVTPRYAKLRAGSNGSARGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIYRVEETGIDAIEINFSCPHGMPERKMGAAVGQDCELLEEVCGWINAIATVPVWAKMTPNVTDITKPARVSLKSGCEGVAAINTIMSVMGINLNTLRPEPCVEGYSTPGGYSSKAVHPIALAKVMNIAQMMKSEFGDQGYSLSGIGGVETGGDAAEFILLGADTVQVCTGVMMHGYGLVKKLCSELRDFMKKHNFSSIEEFKGVSLPYFTTHMDLVKRQQEAIRERKAIKKGLQSDKDWTGDGFVQETESMVSN >itb01g06660.t1 pep chromosome:ASM357664v1:1:4878634:4878945:-1 gene:itb01g06660 transcript:itb01g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFWNHPAAASGLSRFSILAVALFMLTAASPTSPARMQFMMAVPSSANPSAAWRRAATATMTTELMFHRHRQLLAKGKLSPSGPSRKGHLHNKPAVSGDLLS >itb13g12140.t1 pep chromosome:ASM357664v1:13:18247761:18248231:-1 gene:itb13g12140 transcript:itb13g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFVSQFCIILLFAAFSLLQNVSSEPAGNNGADKINSACALTRARHLCVSLLKSDRRSGGGNIRTFGVIILEKTLAKARSFPIKDRCPRSYRRLVRHISKGIKKLKSDARTVAFAVDEMSDAIEDIRSCSSYVEGDDLLVLRLRVAMDVLIVLP >itb03g21490.t1 pep chromosome:ASM357664v1:3:19354681:19362999:-1 gene:itb03g21490 transcript:itb03g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAREIIEPSDNVHYAASIQQGSASMTRSNIRLRSTSNKSDDVGIVCLNDKVTINPMRYASDWINNLRQINQGNPIPKKSSFRKHDHDEEPATKVQSLINYNKFDKVSLVWGKPRQPSMGPEEWATFLDSEGRVMNPQALRKRIFYGGLEDSLRKEAWKFLLGYHSYDSTYEERKLLASVKKSEYETIKNQWKSISREQAKRFSKFRERKGIIDKDVVRTDKSISFYDGDDNPNVNVLRDILMTYSFYNFDLGYCQGMSDLLSPILYVMRDESESFWCFVALMERLGPNFQLAQNGMHTQLFALSKLVELLDGLLHNYFKQKDCLNYFFCFRWILIQFKREFEFEKTLRLWEVLWTHYLTEHLHLYICVAILKRYRSKIIGEKMDFDSLLKFINELSGHIDLETTLRDAEALCLCAGEYGAARIPPGTPPSLPIQNVPLYAQEDDDVM >itb03g21490.t2 pep chromosome:ASM357664v1:3:19354841:19362245:-1 gene:itb03g21490 transcript:itb03g21490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILLKQKSSFRKHDHDEEPATKVQSLINYNKFDKVSLVWGKPRQPSMGPEEWATFLDSEGRVMNPQALRKRIFYGGLEDSLRKEAWKFLLGYHSYDSTYEERKLLASVKKSEYETIKNQWKSISREQAKRFSKFRERKGIIDKDVVRTDKSISFYDGDDNPNVNVLRDILMTYSFYNFDLGYCQGMSDLLSPILYVMRDESESFWCFVALMERLGPNFQLAQNGMHTQLFALSKLVELLDGLLHNYFKQKDCLNYFFCFRWILIQFKREFEFEKTLRLWEVLWTHYLTEHLHLYICVAILKRYRSKIIGEKMDFDSLLKFINELSGHIDLETTLRDAEALCLCAGEYGAARIPPGTPPSLPIQNVPLYAQEDDDVM >itb15g19440.t1 pep chromosome:ASM357664v1:15:21802543:21803217:1 gene:itb15g19440 transcript:itb15g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNTNYFFSTTFILSLLLLLLATFTTACSEPCRQPKPKPSPSSSKPPFCPRDTLKFGACVEILGLGSVGVGGPTTGPCCAVLQGLADLEVAACLCTALKANVLGIINLNMSVALSVLFSACHKTIPDGFKCE >itb11g16370.t1 pep chromosome:ASM357664v1:11:14373869:14375979:-1 gene:itb11g16370 transcript:itb11g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISTLDSSDSSLPSPFPKSLRTPATLRRCSQSRRPRRQSPLLAARRCCAALLLRPTPLSPPTRLHRRRLVSIAIALDCLWRSSYSRGRRRLVSGLKGRKSFFLKSSSKWLEKFPVIFTVKFRPGRGSASAWDKISFVVMPPLLQSAQLKPDVTITSADSDNEIDESDDDRPVSSLDHCSKKRVGREREKDEDFDEEESELLKEENEQEVFDQVILLLLALVAVPWMLFPKPFILMRLHTEVLKGAQDQ >itb04g08790.t1 pep chromosome:ASM357664v1:4:7521552:7522005:1 gene:itb04g08790 transcript:itb04g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDEMLRRVRDCTPAPVPKRKKVGGRGGRVTRASSSDSRLSRDAAPPPLAPAAAPALVHRALTPPPRGALLGCTNVFDEFEASKEQMSELEKRLALD >itb05g20820.t1 pep chromosome:ASM357664v1:5:26805068:26808875:-1 gene:itb05g20820 transcript:itb05g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQQNFHDKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKMLKYADSLYRCKSLKISALGRMCTVIKRITPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIEQQAALFHSIKSLFLNKPLIIVCNKTDLQPMEGISEEDRKLVMEMKEEAMKTVIGQGGEATDDQGVLLTMSTLTEEGVIAVKNAACERLLDQRVEVKMKSKKLNDCLNRFHVAMPKPRDQKERPPCIPQAVLEAKAREAEADAEKEKRKLERDLENENGGAGVYSAKLSKHYILADESWKDEIMPEILDGHNVYDFIDPDILQRVEELEKEEGLRQEQEDDFEMDGGAELTPEEQQALAEIRRRKSILIQQHRIKKSTAESRPTVPRKFDKDKEFTSERMGRQLSSLGLDPSLAINRVRSKSRGRKRERSVGPTEGVEAMDVDDNTPNKKLRLRSTSRSRSKSRPPGEVVPGEGFKDSAQKSKALNLFKKSAKKRNKDARRGEADRTIPTLKPKHLFSGKRGNGKTQRR >itb02g25620.t1 pep chromosome:ASM357664v1:2:26382609:26384583:1 gene:itb02g25620 transcript:itb02g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MADEEPNHTTPLLRREWWRSRLAAFSPADSLRLKTSILAELGGSVGDLGTYIPIVLALTLVCNLDLSTTLIFTAIYNIATGLIFGIPMPVQPMKSIAAVAVSESPHLTLAQIATAGLSTAATLFILGATGLMSFFYHFIPLPVVRGVQLSQGLSFAFSAIKYIRYNQDFTTTKAASSTPRSWLGLDGLILALVCLSFIVLVTGSGEVAAEETGMSTHRTTEERRVNRRLRTLSKIPSALIVFLLGLILCFIRDPSIIHDIKLGPSKFQILKITWEDWKIGFLRGAIPQIPLSVLNSVIAVCKLSADLFPEREVTAGSAAKVSVSVGLMNMVGCWFGAMPCCHGAGGLAGQYRFGGRSGLSVVFLGLGKLFLGLLLGNSLVRILNEFPIGLLGVLLLFAGIELAMASRDMNSKEESFVMLVCAAISLTGSSAALGFVCGVVLFLLLKLRYLDCSRFRFRKSAGAESDILNP >itb06g22260.t1 pep chromosome:ASM357664v1:6:24627203:24630494:-1 gene:itb06g22260 transcript:itb06g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPLLFVFLCFLSILKCCVAKDAIFWNQTLRQGDTIVSANGAFEMGFFSPPNSQNLYVGIWYKNINPQTVCWVANRENPVRNASYSSPVLRMSKNGVLDLVVDSNTTIWSTTTAKSVANPVARLLDSGNLVVKDQGSDNGSENFLWQSFLYATDMLLTGMGMGKNFVTGHEVYLSAWKSDSDPAPGDFSLHCDPTGWIQCFVRRNGTIEVSRSGPWNGLGWSGVPALRSPFYIMNFIYDKTQVFISYTPANSHFLSHLMITKDGVLERNWVDNQWQSYHSLPQDNCDQYALCGPNGYCNIEKSPPCACLDKFLPKDSEAWRRPDFSKGCVRRAALDCHNTSSDGFLKFSGIKLPDTKKSWFNQTMTLQECERVCLRNCSCTAYSTLNISDGSGCLLWFGDLIDIRHLSEIGQDIYIRMASSELPIDNGRGKKHTALVVSLSAGIGAVLVIISLLLYYRKKKKDYDKLKEDLELPLFDLSKITRATNNFSDDNKLGEGGFGPVYKGVMKDGQAIAVKRLSKASSQGINEFKNEVICIAKLQHRNLVKLLGCCIHGNEKMLIYEYMPNKSLDLFIFDETKKKLLDWPKCFNIIIGIARGLLYLHQDSHLRIIHRDLKASNILLDTNLNPKISDFGLARSVKGNETGANTNLVAGTYGYMSPEYAVHGAFSVKSDVFSFGVSVIEIVSGKRNRGFRHQDHCESLLGHAWKLFINGRSAELIDEHLAEPCYLPQVLRSIHIGLLCVQQHPEDRPSMSSIVKMLDSEDVLPEPKEPGFFTESSRVVTDAESSTGQRTTGSINELSTTMLYPR >itb15g19650.t1 pep chromosome:ASM357664v1:15:22109961:22113048:1 gene:itb15g19650 transcript:itb15g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDSEGVERMKKKKKAAGDNKMGALMEDTNGITVEKAEKKKRERELQSNYNESQAVSQDTGNDVSLDNRGYVNSTATEMLESKRRHEKKARTITDGCTEGKMEKVKRKKKMNKKEKGEDDQGSVGVVTDLTCAEGIADFSVNETQASGVANANISRKQVKDGSKDPKRKNSKKKVRFSNELKVFPKSNVPESGKGQNEVMELIRGKRFSKIEDEIIKQAVFKYIETYNLGEEGLDMVLNCKYHPKVKNCWKVIGADIPNRPLKAVYHRAQTLFRRSENRKWTEEEKALILEHVKLNGNKWKSLADKLGRYWNHVRDTWRRIYLPNLKKGPWSQDEYQNLFDLVNTDLQLRMLEEKKSRHGMLRGNICWTAISNKLSTRTNPQCCQKWYYNLTSPMVAEGTWSDSDDYRLIGALYTLDATCIENVEWDNLLEHRAGELCLKRWKQMVIHIGNHWSKPFSEQVEVLAKRYCPSLIEIREAWDNKPVVP >itb15g19650.t2 pep chromosome:ASM357664v1:15:22110021:22113048:1 gene:itb15g19650 transcript:itb15g19650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVEDEIVGALTKSEKSRKSKKRKERNNDILSANPVYIVKDVGVEGDKSILGETLTKMKSDSEGVERMKKKKKAAGDNKMGALMEDTNGITVEKAEKKKRERELQSNYNESQAVSQDTGNDVSLDNRGYVNSTATEMLESKRRHEKKARTITDGCTEGKMEKVKRKKKMNKKEKGEDDQGSVGVVTDLTCAEGIADFSVNETQASGVANANISRKQVKDGSKDPKRKNSKKKVRFSNELKVFPKSNVPESGKGQNEVMELIRGKRFSKIEDEIIKQAVFKYIETYNLGEEGLDMVLNCKYHPKVKNCWKVIGADIPNRPLKAVYHRAQTLFRRSENRKWTEEEKALILEHVKLNGNKWKSLADKLGRYWNHVRDTWRRIYLPNLKKGPWSQDEYQNLFDLVNTDLQLRMLEEKKSRHGMLRGNICWTAISNKLSTRTNPQCCQKWYYNLTSPMVAEGTWSDSDDYRLIGALYTLDATCIENVEWDNLLEHRAGELCLKRWKQMVIHIGNHWSKPFSEQVEVLAKRYCPSLIEIREAWDNKPVVP >itb07g01000.t1 pep chromosome:ASM357664v1:7:627359:634128:-1 gene:itb07g01000 transcript:itb07g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNSSKGRTSSYARQNPVFPQYIRRIVKWQQMDIEYTFWQMLNLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLIVATLAYCAAYDHSAGHAVFVIISVLLFHFLVAGAVLATCCWFLTNNYLREEAPSSHVVEQRVEWLYAFDVHCNSFFPVFIMLYVVHYFLSPILVTHGFIPLLLSNLLFMIAASYYHYLNFLGYDVLPFLERTTLFLYPIGIVIVLSPIFILSGFNPSRYFMNIYFSRAL >itb12g20110.t1 pep chromosome:ASM357664v1:12:22553049:22556215:-1 gene:itb12g20110 transcript:itb12g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPATSTHDSTPDNGEASSSSSPTVLDLTSFQLHDLDSVELPSSLSELDLTANRLSALDPRISHLSNLKKFSLRQNLITDAALEPLSSWHIISQLEELVLRDNQLKKIPDVSIFKKLLVFDVSFNEITSPSGLSKVSSTLKELYVSNNQIAKMEEIEHFHGLQILELGSNKLRVMENLQTLTNLQELWLGRNRIRAINLCGLKCIKKISIQSNRLTSMKGLEECVALEELYLSHNGIEKMEGLSTLVNLRVLDVSANKLTAISSIANLTKLEDLWLNDNNISSVEDIAEVVAGSRQKLTTIYLERNPCANSPNYISTLRQIFPNIQQVDSELFA >itb05g17350.t1 pep chromosome:ASM357664v1:5:24317601:24324874:1 gene:itb05g17350 transcript:itb05g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGNRVGLLVGLYCLTQPLRSGRPGFHFSSSGQPPTTLSVTVTPSIMTSAMGPPPPRNSTPAEEPPAVSQDLVSDSTKAQMPPPSENPRLSIPKSSQSNLEESELGNTDAPQNDPSDGNSVASSSPASESNGSEAEKKQERRSKAEVPYTIPTWSEPPCHEYYIEVLKDGSIIDQFDVNKKGAYMFGRVDLCDFVLEHPTISRFHAATSILLIEDSGDCHLYVLQFKSNGDAYIYDLGSTHGTFINKNQVNKRTYVELHVGDVIRFGQSSRLYIFQGPNDLMLPETDLKNIRRAKIRAEMQDMEESLLRAKLEASLADGVSWGMREDAIEVDEDEVDEITWQTYKGQLTEKQEKTRDKVIKRLEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRISQIMEELENLEETLNESIRESLGARAGRVSHGKKKRVDEEEEEYSSDEDEFYDRTQKPAKQKGGENQSVETADSLLDKKDAIVKEMEDKRQLLLSEDKTGPVNENLEAADELDVFMSGLSSQLVHEKEDQLRKELSALQSELDRILYLLKIADPTGEAARKREMKLQEPKVTKILTSDAPQLPVKHNKKRGAEKYVSAKKENNVGTSESSKENKEANVKDNVGTSESSKENKEGNVTDATDGKTVKYTVAKPQWLGAVKEEKKQEIVVESQPDVQENDQFVDYKDRERMLNNSASAHANEASVIENAAPGLIIRKRKQVKSDANEGQTEKQSSGADTKAEEAIALLLKHSRGYHASDDENGHDGEMVLHESPLEKKKKKPKTTHGPERPPFLGSEMGNETWVPPKGQSGDGRTALNDRYGY >itb05g17350.t2 pep chromosome:ASM357664v1:5:24317601:24324874:1 gene:itb05g17350 transcript:itb05g17350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGNRVGLLVGLYCLTQPLRSGRPGFHFSSSGQPPTTLSVTVTPSIMTSAMGPPPPRNSTPAEEPPAVSQDLVSDSTKAQMPPPSENPRLSIPKSSQSNLEESELGNTDAPQNDPSDGNSVASSSPASESNGSEAEKKQERRSKAEVPYTIPTWSEPPCHEYYIEVLKDGSIIDQFDVNKKGAYMFGRVDLCDFVLEHPTISRFHAVLQFKSNGDAYIYDLGSTHGTFINKNQVNKRTYVELHVGDVIRFGQSSRLYIFQGPNDLMLPETDLKNIRRAKIRAEMQDMEESLLRAKLEASLADGVSWGMREDAIEVDEDEVDEITWQTYKGQLTEKQEKTRDKVIKRLEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRISQIMEELENLEETLNESIRESLGARAGRVSHGKKKRVDEEEEEYSSDEDEFYDRTQKPAKQKGGENQSVETADSLLDKKDAIVKEMEDKRQLLLSEDKTGPVNENLEAADELDVFMSGLSSQLVHEKEDQLRKELSALQSELDRILYLLKIADPTGEAARKREMKLQEPKVTKILTSDAPQLPVKHNKKRGAEKYVSAKKENNVGTSESSKENKEANVKDNVGTSESSKENKEGNVTDATDGKTVKYTVAKPQWLGAVKEEKKQEIVVESQPDVQENDQFVDYKDRERMLNNSASAHANEASVIENAAPGLIIRKRKQVKSDANEGQTEKQSSGADTKAEEAIALLLKHSRGYHASDDENGHDGEMVLHESPLEKKKKKPKTTHGPERPPFLGSEMGNETWVPPKGQSGDGRTALNDRYGY >itb12g02230.t1 pep chromosome:ASM357664v1:12:1483974:1486356:1 gene:itb12g02230 transcript:itb12g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGDEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLQRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGESDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRNFTTKSGKKASKAPKIQRLVTPLTLQRKRARIAQKKQRIAKAKTEAAEYQKLLASRLKEQRERRSESLAKKRSRLSAASKPSVAA >itb07g03560.t2 pep chromosome:ASM357664v1:7:2378772:2387585:-1 gene:itb07g03560 transcript:itb07g03560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCEAFSSIPKELVPDLQRMLSSNESTRPTAIDFTGSSFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDRNDFELSTLPALVPVLSTASGETLLLLVKRAELIVNKASQDHLVTHILPMIVRAYDDSDPRLQEEALKKTVTLSKQLDSQLVKQSVVPRVHGLALKTTVAAVRVNALLCLSDMIHMLDKHSVLEILQTIQRCTAVDRSAPTLMCTLGVANSILKQYGIEFTTEHVLPLLMPLLISQQLNVQQFAKYMAFVKDILRKIEEKKGVMLSDSGAPEVKPSVGIEGHLAGQVNKTSVTAASTARRSTSWDEDWIPTRGSSVAQQSSVTLSSAQPTVAVAAQSNQVTSVFSPSPMTSVASTQQLSSSCPAVDLEWPPRSSPLAASNKSVDSEKQDESKGASNGSFDDLDPFANWPPRPSGSTVASKPLNNGTSASPFNMHGSSNSTSNPNALDSISSWSLGTTMSTEPLKPNQGNSTSSWSLGTPMSTEPLKPNQGNSTLNFGGLSGGAVNSQNSLGYMKQTQGSSAKPMDLGSIFSSNKTEQTAPRLAPPPSTAIGRGRGRGRGNQGQLSRPSQAKSQTEQPPLLDLL >itb07g03560.t1 pep chromosome:ASM357664v1:7:2378772:2388804:-1 gene:itb07g03560 transcript:itb07g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKKTFTEALAKASAVIEKTVQTTVQEVTGPRALQDFELGDQIGSAGPGLVWKLYSAKARDGHAVYPNVCVWILDKRALSEARQRAGLSKTAEDAFFDMIRADATRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASAANALGILENIEKVPKELKGIEMGLLEVKHGLLQLAETLDFLHNNARLVHRSISPETVLITSNGAWKLSGFSFALSTNQSSSDPPNMQAFHYAEYDVEDSIMPLQPSLNYTAPELVRSNTSSIGCSSDIFSFGCLAYHLIARKPLLDCHNNVKMYMNSLNYLSSEAFSSIPKELVPDLQRMLSSNESTRPTAIDFTGSSFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDRNDFELSTLPALVPVLSTASGETLLLLVKRAELIVNKASQDHLVTHILPMIVRAYDDSDPRLQEEALKKTVTLSKQLDSQLVKQSVVPRVHGLALKTTVAAVRVNALLCLSDMIHMLDKHSVLEILQTIQRCTAVDRSAPTLMCTLGVANSILKQYGIEFTTEHVLPLLMPLLISQQLNVQQFAKYMAFVKDILRKIEEKKGVMLSDSGAPEVKPSVGIEGHLAGQVNKTSVTAASTARRSTSWDEDWIPTRGSSVAQQSSVTLSSAQPTVAVAAQSNQVTSVFSPSPMTSVASTQQLSSSCPAVDLEWPPRSSPLAASNKSVDSEKQDESKGASNGSFDDLDPFANWPPRPSGSTVASKPLNNGTSASPFNMHGSSNSTSNPNALDSISSWSLGTTMSTEPLKPNQGNSTSSWSLGTPMSTEPLKPNQGNSTLNFGGLSGGAVNSQNSLGYMKQTQGSSAKPMDLGSIFSSNKTEQTAPRLAPPPSTAIGRGRGRGRGNQGQLSRPSQAKSQTEQPPLLDLL >itb06g24060.t2 pep chromosome:ASM357664v1:6:25762730:25765146:-1 gene:itb06g24060 transcript:itb06g24060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSIKVANRRDSGEYARFPATGSGEESASASASASASEGASESFDEMIRREIQQEADYLLSAAAPAPPPMFSGYGQSGEMTAVVTALTQVMSSQRSAGEQWRFGGAAAAPSLAGRYSVNSPSSTYSSTSSSSGAGQKRRREQEESVSQIPEHVQRVYGGFGEFRTPAETTSSSVKSEEGGGIVRPPPAAETAAATGSAAATSEDQETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLMPPPPQPRPQAAATRLHAPAIASPRPPLMLPAPPHAAVHGGAGGISGDYWEYSQLLQNPGELLSQPPRSLLEQMYFASSMAVLHSHSMPNSSSAASASPSSSSSFPLLFSAAQPSTESSFFRPPSTQSPATSSNFRPPFWTSSAHYPPSSS >itb06g24060.t1 pep chromosome:ASM357664v1:6:25762730:25765146:-1 gene:itb06g24060 transcript:itb06g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRREIQQEADYLLSAAAPAPPPMFSGYGQSGEMTAVVTALTQVMSSQRSAGEQWRFGGAAAAPSLAGRYSVNSPSSTYSSTSSSSGAGQKRRREQEESVSQIPEHVQRVYGGFGEFRTPAETTSSSVKSEEGGGIVRPPPAAETAAATGSAAATSEDQETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLMPPPPQPRPQAAATRLHAPAIASPRPPLMLPAPPHAAVHGGAGGISGDYWEYSQLLQNPGELLSQPPRSLLEQMYFASSMAVLHSHSMPNSSSAASASPSSSSSFPLLFSAAQPSTESSFFRPPSTQSPATSSNFRPPFWTSSAHYPPSSS >itb02g22020.t1 pep chromosome:ASM357664v1:2:21062930:21065460:-1 gene:itb02g22020 transcript:itb02g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIASDATLAEEGRWQTAAEALVVASAVVSDLEQTAAEALVVASDGGGIGCGFRLGGEMRRRRRLCFDRGTAAAERWRRRLWFQTWRRRAAMADMKKIGRRNVGGVGCGFRLGGEERRRRLWRGAAASALVSDLEEKSGDGRYEEIDKYGTWRRGAAASALVSDLEEKSGDGRYEEIDKYGTWRRGAAASALVSDLEEKSGDGRYEEIDKYGTWRRGAAASALVSDLEEKSGDGRYEEIDKYGTWRRGAAASVVVSNLASAVVSDLEERSGDGRYEEIDKYGTWRRGAAASVVVSNLASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRERHWGGRRMAASTEEPRRQTAAEALVVASAVVSDLEERSGDGRYEEIGRRNVGGVGCGFRLGGEERRWQI >itb13g25040.t1 pep chromosome:ASM357664v1:13:30516950:30517339:-1 gene:itb13g25040 transcript:itb13g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADLSGGDGQGKRRGHREGLQNPRSRNGRGKIWSADKNTNDSETADTNSHFSATGQIRGAETGASAPATQHAEKAPAPAQWRVDLGVRVRRRTKTTGFRAGAENAESAALLSLSLSALCCLRFRVE >itb09g05850.t1 pep chromosome:ASM357664v1:9:3317465:3317986:1 gene:itb09g05850 transcript:itb09g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRCFGFLYLLSSIITLSSVAQNNLVFKVCTRTGNQKPDSTYSNNLNTLLSSLSSEVNKHGFYNASEGRSPDMTSVVVLCRGDVKPDTCRICVNDAAKKLQQLCPNDKEAFGGYDECVILYSDRFTIGSISKDPYWYRWDSENATSVDQFNQDLRKLLDDLGSRAAKGNSS >itb14g15760.t1 pep chromosome:ASM357664v1:14:19102125:19106115:-1 gene:itb14g15760 transcript:itb14g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYICIREGPDHAPRFKAVVNFNGEAFESPHYCSTLRQAEHAAAEVALNSLTHSGSHSLAARIIDETGVYKNLLQEIAQRVGSPLPQYTTFRSGLGHQPVFTGTVELAGITFTGEPAKNKKQAEKNAALAAWSSLKQLAKQDASLSSEPENTDEQEQIRIARALLNYCLKEKIDKAKSSNGSMPFQKFSIPTPRPLSPQRPLASTSKILPLICPKTSPRYRTSTTAARDSPVLLSQPLPQSPAPASEGRSICSQKYPTAGAAPYVPVRPYRTPFRGIAPPVTIRTAIPVFSAPTLPPRSAHSPQVMRTAQSVRVAPPVSVRQAIPVFAAPPAPKEAVSSTPKASLSVAPESANKSQCTEVDESTAVKCLEQLQL >itb15g12620.t1 pep chromosome:ASM357664v1:15:10520561:10521160:-1 gene:itb15g12620 transcript:itb15g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVFMEMIDSVHRCICLSINLIFRSRRRRRSRRRESCAVGGMAEPGEILPERKPEKSPWQSKASVEEIVSKMLSLKKEGKQKSKLRELATQIFLNFVTLRQANHSILLEEDRIKAETERASGLHNTI >itb06g24380.t1 pep chromosome:ASM357664v1:6:25963428:25964006:1 gene:itb06g24380 transcript:itb06g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPQMFGEAAEEEEEECDSSNESGWTTYLDSPSSDDDDSSQDLSNGDSLRQNTDEEDCHEDDNDDDDDDSMASDASSSQTHRRKQNSGGRNGESSSSSYKVKKPWIKKKNEEKRAVPVYSAKESKKLFG >itb01g24660.t1 pep chromosome:ASM357664v1:1:30251524:30256750:-1 gene:itb01g24660 transcript:itb01g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGANAIALCRRGLRSYCTENIDGLQSSKLKIFDRQLKRKQRDRAAWLMRPNDSLLDTVSENLLDRLEDCKKAFPTALCMGGSHEAVRRLLRGRGGIEKLIMMDSSSDMIKVCKDAQQDNPNENVETSFIVGDEEFLPIKESSLDLVISSLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRMSPLAHVRDAGNLLTRAGFTLPGVDVDEYIVRYKSALELIEHLRAMGETNALLQRSNVCFPLGQTPLLPRKKLKNVIKRSHFYAVCI >itb15g08310.t1 pep chromosome:ASM357664v1:15:5823580:5827201:-1 gene:itb15g08310 transcript:itb15g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSGIRLSQFGNLQNGSFSEIGVSRLVFVKIQGNTRNGYLACRSSKSRFLVKNSLGEECEPQSGASFSAVLKESDSSIVSTGAEVLDSSYVAITDSFSGGGDGDLIDGNGGNGKFPGGGGGGNGNEGDDKEEDEFGPLLKFEEVMRETEARGATLPADMLEAAKSAGIRKVLLLRYLDLQASAWPVGPAMRSFSMLRNRMLADPSFLFKVGTEIVIDTTCATFAEVQKRGKDFWAEFELYAADMLVGVVVNVALVGLLAPYARIGQPSASQGFLGRLQRAYGALPSSVFEAERPGCKFTVNQRIAAYFYKGILYGFVGFGCGIIGQGIANLIMTAKRNVKKSEHDIPVPPLIKSAALWGVFLGVSSNTRLQIVTGLERLVESSPAAKQFPPVAMAFTVGVRFANNVYAGMQFVDWARWSGVQ >itb02g23330.t1 pep chromosome:ASM357664v1:2:23172573:23176898:-1 gene:itb02g23330 transcript:itb02g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIESSEEEDDFPSIETVTPQSKIDTIYQSNSEKYSTKRPTQPPIPFFISFGSLPHGVRRGEEAMADEARRRGELAAIEQDGGEIY >itb06g24680.t1 pep chromosome:ASM357664v1:6:26145747:26151127:-1 gene:itb06g24680 transcript:itb06g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTAMELRIDPSVLRITYFLLNPHDTTGGSPEREYFSAVNSVVVTGNQTSAFPSQNSGTPFADSAGIPGSQMSHSHDFKRFKYNGNSSSQEPPNSFWTLIDMENFGGFKKPTMDIHSLFSSEPIHSGQGKKRYKHQLSQYFIQVVSDVWLGFEIGFPGSFRHLVLPENIPPPTVPVDVQPLPVAALKNPAYEVFYQEFKHFNPVQTKVFNVLYNSDDNVLVAAPTGSGKTICSEFSILRDHQKGLSDSVMRAVYIAPREGLAKERYSDWKKKFGEGLGVRVVELTGETATDLKLVEEGQVVISTPEKWDALSRRWKQWKHVQQEPRMRYIASQLEKKIRIVALSTSVVNARDLGEWIGGTSNGLFSFPIGPKLVPLRKLIIRGADFPISENLQNMRKHFYTAIVQHVSSGKPAIVYVSSTEHVKEAAFYLETYLRRDKLLLALVELPFVESIEEYELKEALKYGVCYLHEGLTKTDQDIVKMVFKNGLAQVCVISSSMCCGFPFSANLVVVMGTQHCDGRENGATGKDYCKNLFFKALFPVESQLQHYFHDHLNAEVVSEVIRNRQDAVDFLRGSFLYRRLIQNPNYYNLQGGVDYTSLLNHLHVTVKKTSSDLGASRWFTGNSDSSFRVPTASYSARREELIRSLVNEHRYSFENPNYSDPRVKANALLQAHFCRQMVGEENLAADQQQVILYANRLLGAMVDVISSNGWLSLALLAMEVSQMVTQAMWGFDSMLLQLPHLTKELAKKCQENPGKSIETVSDLLEMGDGERRELLQMPDTQLMDIARCSDRFPNIDMSYEVVDSENVRASGEDISLKVTVGRTTEVAPVLAPRYPVKKYEGWWVVVGDPKSNKLLAIKRVMMSVQRKSTVILNFAAPAKSGKKMYTLHFKCDSYVGFDKDYVFTLDAND >itb11g01320.t1 pep chromosome:ASM357664v1:11:605986:612868:-1 gene:itb11g01320 transcript:itb11g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKTVTLTRLSILYTIYTRKYLQQCDILVIRSELAGCSGCGECSCHRTTGGAPPCLPGGGEVRPPCRWRESGGGRQDEPVSLIRQVYDAGHNCFCEKYVQEIIQKSPELHEDVEWHFAGHLQSNKVKSLLSLDDFTAWMPRTDEEFIGVRSNSQLIFTWMERPEVEKDSTYQFDKLETALSNKLVHAAAEGSTTTSEQISKCLLLDNEIKSLPPSKSGLEEPVASLKQQEPLPVDKVETALSTKLVQAEAGGSPTTVQKISESLLFDNETMTLQPLKIGLEELVASLEQQEPLPVDKMETALSNTLVQASAGGSTTTSEQFSESLLLDIEIITLRLSKLGVEGSVASLKQQEPPPQLQHPPSVSLKRRAIAESSSPIIPLKWPNQDTHPSPFKITEISSSDTAARPLKKRLLLTWPVEESLEKSSSLQPPHCHSSTEAKLVSPLKFNLTMGVPKKCRTPKLTNKFEREAAHKIQRKLPISSSYKKPVAEMMAAPLQQPEVNLEKKEKNAQMLDMVFHSAKMEENEKTMLLSISSLARRFDGNKGMRTAAMHMMSGSAPKEGNAVVVKSVEEMHEKMPVLQKDEKQPVSRKGKEKVVAESIEELHVKMPVLEEDDNQPLSRKGKEKVVAKSIEEIDVFEENDDQPFIIAGDVHQPKLKVYNLRKRKPNQIPRAARPTDFEEAVTKVTAEVKEKPKRLRNKKKRKEVSKLSARFVFPLTAKEIAEDLFSMTATRPSRQKRRRPPELQEEIDVAIITLFLFVN >itb14g14360.t1 pep chromosome:ASM357664v1:14:16903674:16908537:-1 gene:itb14g14360 transcript:itb14g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMNSHGCGQQSHLQPLGRQNSWFSLTLDEVESLLGNLGKPLGSMNLDELLTSIWATEANQSAGPVVNNAYSTSLQRQASFSLTQAFSGKTVDEVWKDIQQGQKMRNLEEMNTDEREPTLGETTLEDFLVKAGLFVVDSSQGLAITPQNVTPQLGLSPSPSIEALSDTPTSGWKRDASEIDRTVDRRLRRKIKNRESAARSRARKQAYHNELVNKVSRLEEENMKLKKQKELDQMLSDVSSETRYQLRRTSSF >itb14g14360.t2 pep chromosome:ASM357664v1:14:16903570:16908518:-1 gene:itb14g14360 transcript:itb14g14360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTMNSHGCGQQSHLQPLGRQNSWFSLTLDEVESLLGNLGKPLGSMNLDELLTSIWATEANQSAGPVVNNAYSTSLQRQASFSLTQAFSGKTVDEVWKDIQQGQKMRNLEEMNTDEREPTLGETTLEDFLVKAGLFVVDSSQGLAITPQNVTPQLGLSPSPSIEALSDTPTSGWKRDASEIDRTVDRRLRRKIKNRESAARSRARKQAYHNELVNKVSRLEEENMKLKKQKELDQMLSDVSSETRYQLRRTSSF >itb03g16260.t1 pep chromosome:ASM357664v1:3:15275429:15276205:-1 gene:itb03g16260 transcript:itb03g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPLAPPCSEDYKWKLSKKGGGGARSHHRRRCGFTRKCAALVKEQRARFYIMRRCVIMLICWRDYNDS >itb08g17490.t2 pep chromosome:ASM357664v1:8:20094865:20103402:1 gene:itb08g17490 transcript:itb08g17490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVGREDMFVDCPDEITPDTPPQKMSEKDYVHNTEFKEPSNEMETQSLKAETEDLQTSIAAEDNFTQEYEEERAVLVRELAHLRNQLKSLNEDQSSVNTNDNVLVKDHQTNSDLASNASLREMISDCCNFLKDAMYERSHTETRIRELDRLLHMKDQEIEVLNTKATEFSVLKDVALSHLNSEQEDSLRMSKVQHETEQKVGEIANGILASLAMLAPQEELPDESVLGKMSHVQKQIDILVEKHKFFLSQIDQVRCCLAEVVPDLTVQDEMGIFVAAREKLIELKTAVNLSQSLSHLGDENRNLMEELDKHKAMLENANSEIVKLNAEAEQEKTRYTNTKEKLSLAVTKGKALVQQRDALKQSLAEKTSELDKCLVELQEKSNALEAAEQTKELLVRSNELATSLQDALSEKDNILQKCGEILSETYGEQLPLTDISENVRWLADERNSLKGIYLEFQKFTETLSSFDFPEIVQSSTIDARLSWLLESLYLAKEETGKLQEELSTSKEAANNKIDQLMTSLLMETQEKNYLHGKLQEELAAARGAANSVIESLTTSLLVETQEKSYFQEELGNLTHDYEEIVQKEHYMSLEKDRFVNMLLVAAGIKLDDLELVCHQQSDTSVIIEKCLAKIKEEDHSFGSSHIELESFQKIQSALYTRDLELKIYEPILAEEMLNKTELKHMSSELVVATKELNAVKEERNSLQKNLEQYEEKVALLKEKLSMAVKKGKGIVQERENLKRTLDDKNAEIERFRSELQQQLSIYRDCKDQIDKLSADVDLIPKLEADLVSIKDQRDQLEQFLVESNSMLQKVMETIDSIGLPAVSGFEGPVEKVKSLVGCFGECEKAKIEAEQELSTVKDEANTLLSKLLEAQTTIKSLQDSLFVAENCISQLQEEKKEQESSKILVAEELQRTIDALSITENSISQLQKDKNELESARILLEQELQKVVGEASTQTSQFAEVCASQKSLEDALSLAENNILVLKNEKEEALLSRDATQQELQKLKEEYSVDKGKLSEANETIHSLEDALGRARENISLVTEENSKTQIGITHLENEIRNLKEEVESKNSKLADASITIKSLKDALLSAETEVSDLVNEKKNAEQEISELNFKLSNCLQELAGSRGSIETKDLELSGHVKSLQLLLKDENLLYVAWRSFEKKFESLKEFNVHLKEIEDWFPEVHFKMLQGHSLLKGDSSMTTALLTGLDDVPDIEMANGELNALDDESIKFQISKTVKAFHHRNKVLTERFEGYSTLIGDLITLVQKLITSLKQKTEDMDTDRQALDNKIDMMEGNLKVLFSACIDATRELNLGLQNDLLEASSNFYLEKLDDSMISDNFGDDDSARLPVFDHSNFEKAAKKLLVAARLSQNLRKQFQDANNEMVETSKDLQNKLKETSIACEGASEERELNRNRISQLENDLDNAQNLCSELRLKLEDHQAREDRLKETEAELSTLKSMVLSRAQESEDDLLSASQMKSLFEKVNKIEMPLGGPEVGNQEIHESDDSRKLFYVIDSFTGLQNQLDLLSHDKKELQSTLEKQNFEIEHLNEEMKKVKTYEKDCKMMKDELLELTRGLENVIQKLGGNNLVGAQKVAGVSGLLPILEKLVVALIFESENLKSEKEKLGAQLLEMKKVVDELSGKVKSLEGSNQVEVIPGEINQKRDMFEVASLPSESEISEIQDMGSTSNNSASTSVPSAAHARTLRKGSTDHLALTIDSGSERLLNDDEANEDKGHLFKSLVTTGLVPRQGRMLADRIDGIWVSSSRALMSRPQARIGLIVYSLLLHIWLLGAIL >itb08g17490.t1 pep chromosome:ASM357664v1:8:20094865:20103402:1 gene:itb08g17490 transcript:itb08g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDVGREDMFVDCPDEITPDTPPQKMSEKDYVHNTEFKEPSNEMETQSLKAETEDLQTSIAAEDNFTQEYEEERAVLVRELAHLRNQLKSLNEDQSSVNTNDNVLVKDHQTNSDLASNASLREMISDCCNFLKDAMYERSHTETRIRELDRLLHMKDQEIEVLNTKATEFSVLKDVALSHLNSEQEDSLRMSKVQHETEQKVGEIANGILASLAMLAPQEELPDESVLGKMSHVQKQIDILVEKHKFFLSQIDQVRCCLAEVVPDLTVQDEMGIFVAAREKLIELKTAVNLSQSLSHLGDENRNLMEELDKHKAMLENANSEIVKLNAEAEQEKTRYTNTKEKLSLAVTKGKALVQQRDALKQSLAEKTSELDKCLVELQEKSNALEAAEQTKELLVRSNELATSLQDALSEKDNILQKCGEILSETYGEQLPLTDISENVRWLADERNSLKGIYLEFQKFTETLSSFDFPEIVQSSTIDARLSWLLESLYLAKEETGKLQEELSTSKEAANNKIDQLMTSLLMETQEKNYLHGKLQEELAAARGAANSVIESLTTSLLVETQEKSYFQEELGNLTHDYEEIVQKEHYMSLEKDRFVNMLLVAAGIKLDDLELVCHQQSDTSVIIEKCLAKIKEEDHSFGSSHIELESFQKIQSALYTRDLELKIYEPILAEEMLNKTELKHMSSELVVATKELNAVKEERNSLQKNLEQYEEKVALLKEKLSMAVKKGKGIVQERENLKRTLDDKNAEIERFRSELQQQLSIYRDCKDQIDKLSADVDLIPKLEADLVSIKDQRDQLEQFLVESNSMLQKVMETIDSIGLPAVSGFEGPVEKVKSLVGCFGECEKAKIEAEQELSTVKDEANTLLSKLLEAQTTIKSLQDSLFVAENCISQLQEEKKEQESSKILVAEELQRTIDALSITENSISQLQKDKNELESARILLEQELQKVVGEASTQTSQFAEVCASQKSLEDALSLAENNILVLKNEKEEALLSRDATQQELQKLKEEYSVDKGKLSEANETIHSLEDALGRARENISLVTEENSKTQIGITHLENEIRNLKEEVESKNSKLADASITIKSLKDALLSAETEVSDLVNEKKNAEQEISELNFKLSNCLQELAGSRGSIETKDLELSGHVKSLQLLLKDENLLYVAWRSFEKKFESLKEFNVHLKEIEDWFPEVHFKMLQGHSLLKGDSSMTTALLTGLDDVPDIEMANGELNALDDESIKFQISKTVKAFHHRNKVLTERFEGYSTLIGDLITLVQKLITSLKQKTEDMDTDRQALDNKIDMMEGNLKVLFSACIDATRELNLGLQNDLLEASSNFYLEKLDDSMISDNFGDDDSARLPVFDHSNFEKAAKKLLVAARLSQNLRKQFQDANNEMVETSKDLQNKLKETSIACEGASEERELNRNRISQLENDLDNAQNLCSELRLKLEDHQAREDRLKETEAELSTLKKSEDDLLSASQMKSLFEKVNKIEMPLGGPEVGNQEIHESDDSRKLFYVIDSFTGLQNQLDLLSHDKKELQSTLEKQNFEIEHLNEEMKKVKTYEKDCKMMKDELLELTRGLENVIQKLGGNNLVGAQKVAGVSGLLPILEKLVVALIFESENLKSEKEKLGAQLLEMKKVVDELSGKVKSLEGSNQVEVIPGEINQKRDMFEVASLPSESEISEIQDMGSTSNNSASTSVPSAAHARTLRKGSTDHLALTIDSGSERLLNDDEANEDKGHLFKSLVTTGLVPRQGRMLADRIDGIWVSSSRALMSRPQARIGLIVYSLLLHIWLLGAIL >itb05g27090.t1 pep chromosome:ASM357664v1:5:30650550:30653617:1 gene:itb05g27090 transcript:itb05g27090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MMNKKKLKILLSLFAINSITLYLYFSSHPDHFRHNSRPPANPHFHSSENRLPTLGNHSRSLFLHTKPWPILPSYLPWSQTPDAALRSCEAYFGNGFSSRFELLRPSPGLDHKYRDGSSTGGWFRCFYSETLQSSICDAGRLRMSPDKIRMSKGGEKLETVIGRGEDEEMPVFEAGAFDIHVTDRSKSGQKLIDAEFLNRYVPQGAIQRHTMRQLIDSIQLVGPDDFQCTEWIEEPTLLVTRFEYANLFHTFTDWYSAYVASRVTGLPSRPHLVFVDGHCETQLEETWKALFSSLRYAKNFSGTVCFRRAILSPLGYETALFKGLTENIRCQGAAAGDLWQNPNDQKTARLHEFGEMIKAAFGFPVDRLSNTKPVLGHNVLFVRREDYFAHPRHGGKVQSRLSNEQEVFDSIKNWASDHSDCKLNIINGLFAHMSMKEQVRAIQDASVIIGAHGAGMTHIISAMPKTVILEIISSEYRRPHFALIAQWKGLEYHAIQLDGSRANPPVVIDKLSGILKSLGC >itb10g08320.t1 pep chromosome:ASM357664v1:10:10318594:10320585:1 gene:itb10g08320 transcript:itb10g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDEIDILWNVNDQFPKDFRAEIVFSEMDSGSSNIKAHSLHSAEEKGLPVEAYGLKHRNLRKLPSTDNISLAPEFDMSELETLFSATVPDSGRRNGGFSATVPDSGRRNGGGKSGNAAGAKADKVHLVSNPAGAKADKVHLCSVLALDDSTLDIDQIENLIKFCPTKEEMELLKNYKGEKENLGKCEQASGV >itb11g15300.t2 pep chromosome:ASM357664v1:11:12843907:12855548:-1 gene:itb11g15300 transcript:itb11g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTVSTASEAMKLCMFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEMPCETIEAEMHSHVFYEAEPDIWMVMVVEKGKDSETIWRIDGLRRVLCEVHSLFVMFHGSVRALLDKEPSGGLIRTHLYYFLMDYLNAFQKRATLDFCCWDFLVGKRLQLPNFRDSLKERGTVQMLTIGREAALEVQSLVRVLESCVENTQCYSLIMFQDLLVSTTLSNDDLINIFTYAVMRLTPRALSSGQSSLSYLRKGNTSSNTSGGSLLGGSSSILDQYNALHDSSPVGIRSYHVVRPLQLDKWSKGKDGFLVTDLWGAEVGSLNPASPTVWLKQTEERMYLCAYQHRSLTVVLLIPFASVLNGEMGISIVKRQVLEQASHKIIKVEEKLSRGWGGENAYHVSGYRYLLVDNDRYISRASPPGKVTTLTKDSLIALTKLREEVDLERNRAKCDGSGNEKDQEICIRAKNNAWVIARLTRGKELYMVLEKANETLLYANDTVEKFSDRYCNGAFSLG >itb11g15300.t1 pep chromosome:ASM357664v1:11:12843860:12855607:-1 gene:itb11g15300 transcript:itb11g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTVSTASEAMKLCMFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEMPCETIEAEMHSHVFYEAEPDIWMVMVVEKGKDSETIWRIDGLRRVLCEVHSLFVMFHGSVRALLDKEPSGGLIRTHLYYFLMDYLNDFLVGKRLQLPNFRDSLKERGTVQMLTIGREAALEVQSLVRVLESCVENTQCYSLIMFQDLLVSTTLSNDDLINIFTYAVMRLTPRALSSGQSSLSYLRKGNTSSNTSGGSLLGGSSSILDQYNALHDSSPVGIRSYHVVRPLQLDKWSKGKDGFLVTDLWGAEVGSLNPASPTVWLKQTEERMYLCAYQHRSLTVVLLIPFASVLNGEMGISIVKRQVLEQASHKIIKVEEKLSRGWGGENAYHVSGYRYLLVDNDRYISRASPPGKVTTLTKDSLIALTKLREEVDLERNRAKCDGSGNEKDQEICIRAKNNAWVIARLTRGKELYMVLEKANETLLYANDTVEKFSDRYCNGAFSLG >itb02g23560.t1 pep chromosome:ASM357664v1:2:23636022:23637796:1 gene:itb02g23560 transcript:itb02g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGRIGGGVGGYGSGSGSGSLERLRNSGKKRRNEKDGFRVSCVSSPSVTDPYKTLRIHHGATESEVKKAFRQLALKYHPDVCSGSNCGIQFHQINEAYDIVMSHLRGEARLPQNEMYKQYDEAGRDEQMGGMYDPDDLWEEWMGWEGAGIRDYTSHINPYI >itb09g09410.t1 pep chromosome:ASM357664v1:9:5680548:5682407:1 gene:itb09g09410 transcript:itb09g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCDSTAGGVEMLKRDISPANFIFMIRSFSLLSETGNEKHESGSFQACDKKWKLCVYPKGKKKQQQEADNEDAHISLYLQIVDTHNFPLGWVVHAKFSLFVYNHVEDKYFTVKDSGGEKVRRFHYMKTEWGFDQLLPLSIFNDPSNGYLVDDTCAFGAEIVVVSNATKGECLSLVKDPENKKYTWRISGFASKQREQQVIYSDEFTIEGSTWKLQVFPKGDSRAKDKNLSLFLESVDSSNLTVNNGRKLYAKYKLRICKQLKPGEDQEKEATCVFGGSPAVTRWGYYYFMLLNQLESVAKGFLLNDTLIVEVEFMSLTKFSEF >itb09g09410.t2 pep chromosome:ASM357664v1:9:5680548:5682398:1 gene:itb09g09410 transcript:itb09g09410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCDSTAGGVEMLKRDISPANFIFMIRSFSLLSETGNEKHESGSFQACDKKWKLCVYPKGKKKQQQEADNEDAHISLYLQIVDTHNFPLGWVVHAKFSLFVYNHVEDKYFTVKDSGGEKVRRFHYMKTEWGFDQLLPLSIFNDPSNGYLVDDTCAFGAEIVVVSNATKGECLSLVKDPENKKYTWRISGFASKQREQQVIYSDEFTIEGSTWKLQVFPKGDSRAKDKNLSLFLESVDSSNLTVNNGRKLYAKYKLRICKQLKPGEDQEKEGYYLSLHPNLINLYSYICPILFNLFIYIYIHNELCSDMCFWWLSCGHQMGLLLLYAP >itb12g19890.t2 pep chromosome:ASM357664v1:12:22340066:22343601:-1 gene:itb12g19890 transcript:itb12g19890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMHVCYELFRYMTVAQNCIYEPKAKSIKTQKTLFLSRGGLVDEEDKSGLSANMEAAKKLENWEEQLLKFDDTKNQESSCYVYGAAATAPNNAEFAVAKQPNNNWSQSSSPKSCVTTLSCPSNMLDSCNKILMSDNATRHPPPDHSSECNSTGTTGGAPKKLRVQPSSTTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGTATQTMRHHQRPVVQGERNSLFPEDPGQLLNDNSMKRKGISHHQDLDDESKKDLRSRGLCLVPISCTLQVGNDNGADYWAPAAFGGGFR >itb12g19890.t1 pep chromosome:ASM357664v1:12:22340066:22344329:-1 gene:itb12g19890 transcript:itb12g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLQSHGWWSINTSMRPPTSHHHHHHHQEASSANTSTSPFMASPPPPPTPFFTPPPLPFSSSSSSWSDTHHHLPPPDSWSQFLLGGLVDEEDKSGLSANMEAAKKLENWEEQLLKFDDTKNQESSCYVYGAAATAPNNAEFAVAKQPNNNWSQSSSPKSCVTTLSCPSNMLDSCNKILMSDNATRHPPPDHSSECNSTGTTGGAPKKLRVQPSSTTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGTATQTMRHHQRPVVQGERNSLFPEDPGQLLNDNSMKRKGISHHQDLDDESKKDLRSRGLCLVPISCTLQVGNDNGADYWAPAAFGGGFR >itb13g13860.t1 pep chromosome:ASM357664v1:13:20435214:20437121:1 gene:itb13g13860 transcript:itb13g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNAIEAATLLRNLRSQSLFTVHKLQNPIAPSHLISSDFDQTLRLSVISRRPLSMSDFFSTKVDTGSENIDVSSGQKQNKKPLDIFFKEAVGILETHRGTEHIEENDHLRSKLRKLEEEVRVLQEKRKKEIEEKNKQREREAEEIKKAIRADENTVNDSKPKSLHELFANDLTKNGKLLKTSQASMEEDLMVGKGLSPDMVMLVTHLYEEGYFKDANFLRKNRFDITCFEDSYARHYIKHAAEEFANDNQGIAK >itb15g20710.t1 pep chromosome:ASM357664v1:15:23377422:23382570:-1 gene:itb15g20710 transcript:itb15g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKKVVVVNNHGEKLVGILHETGSKELVIVCHGFKSSKDRIPMVNLAAAFEKGGISAFRFDFAGNGESEGSFQYGNYRREADDLLTVVEHFRQNGRFITAIIGHSKGGNAVLLYASKYRDIPTVINIAGRYNLKRGIEGRMGKNYLEQIKQDGFIDVKNRRGKVEYRVTEESLRDRLTTDTRLACQTIPLSCRVLTIHGSMDEMVPVDDALEFAKYIPTHTLHIIEGADHEFTLHQDELASLVVAFVMASVSKEEITKPSESCVRKQKSIHSRF >itb15g20710.t3 pep chromosome:ASM357664v1:15:23377524:23382566:-1 gene:itb15g20710 transcript:itb15g20710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKKVVVVNNHGEKLVGILHETGSKELVIVCHGFKSSKDRIPMVNLAAAFEKGGISAFRFDFAGNGESEGSFQYGNYRREADDLLTVVEHFRQNGRFITAIIGHSKGGNAVLLYASKYRDIPTVINIAGRYNLKRGIEGRMGKNYLEQIKQDGFIDVKNRRGKVEYRVTEESLRDRLTTDTRLACQTIPLSCRVLTIHGSMDEMVPVDDALEFAKYIPTHTLHIIEGADHEFTLHQDELASLVVAFVMASVSKEEITKPSESCVRKQKSIHSRF >itb15g20710.t2 pep chromosome:ASM357664v1:15:23377524:23382394:-1 gene:itb15g20710 transcript:itb15g20710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKKVVVVNNHGEKLVGILHETGSKELVIVCHGFKSSKDRIPMVNLAAAFEKGGISAFRFDFAGNGESEGSFQYGNYRREADDLLTVVEHFRQNGRFITAIIGHSKGGNAVLLYASKYRDIPTVINIAGRYNLKRGIEGRMGKNYLEQIKQDGFIDVKNRRGKVEYRVTEESLRDRLTTDTRLACQTIPLSCRVLTIHGSMDEMVPVDDALEFAKYIPTHTLHIIEGADHEFTLHQDELASLVVAFVMASVSKEEITKPSESCVRKQKSIHSRF >itb10g25900.t1 pep chromosome:ASM357664v1:10:29038453:29043505:-1 gene:itb10g25900 transcript:itb10g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKLDDLPAVALCRERCSFLDEAIHQRYSLAQSHIAYIHSLQSVGLSLHHFFRLHLDHDHDHDHDHDHDHSSAGPPSPVLNPPSHRKADPQPSAYPPDNKPTAIHHHHHHSESSGSHLRFHSDSEDEDSPSASLHHLSDAPTPLHPYADPNSIALDGTYPLPASGRFMHVNFMRNQSTPSVTYQQRPMSPDTVRMGDSSSTSSYYPYPYPNTPNPGSFYNYPSFAGGFLGSSQSPPGHYYNYSSPPTVGAGPSSAPSASEQPPPPPPPPTTSAWDFLNPFESFENFYRPYSPSRDSREVREEEGIPDLEEEDFEHEVVKEVHGDQKFVDSAGAGSYSKAPSKDEDDKPNDSESVYRNRPSVSAENDPVEYEVHVVDKQVVDEVGTSGDRTHVRGFKARGGFKGDSDVMREIQVQFERASESGSELAKMLEVGKLPYNRKHATYQASSKMLHTFTSSLSALSSQPSTSKSDMDDKSDPALLDIEGEVSSLRSKNISSTLQKLYLWEKKLYEEVKVEEKMRVLHERKNQRLKRLDEKGAEAHRVDVTRTLVRSLSTKIRISIQIVDKISEKINKLRDEELWPQLNGFIQGLTRMWKSMLECHQNQCQAIVEAKRLDSIALHKHFSEAHLEATLHLEHELLNWILRFSCWVSTQKGYIIALNNWLMKCLLYVPEETPDGIVPFSPGRIGAPPVFVICNHWSQSLERVSEKEVVDSMRDFATNVLQLWERDKLEMRHRVMANKDMERKVKNLEREDQKIQKEMQALEKRMILVSGEENVLSLNRHAIYQSDTSKNSSLQAGLQRIFEAMERFSANCLKVYEELLQRIEEDNLAREHEKVS >itb07g02770.t1 pep chromosome:ASM357664v1:7:1794184:1797798:-1 gene:itb07g02770 transcript:itb07g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMKQFKVPPNSMSLEEARHRTFEFFRMCCRSIPQVMEIYNLYDVVTPSELRSSVASEFRRNANVTNPKVIDLLLFKGMEELKNVVDHSKQRHHIIGQYVTGHEDFMQDGDAKDQGTSNFLKNFYKSNYF >itb04g25870.t1 pep chromosome:ASM357664v1:4:30312466:30316693:-1 gene:itb04g25870 transcript:itb04g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVISAFRAMKSIGISEDKVKPVLKNLLKLYEKNWELIEEENYRALADAIFEQQDEAEAAAQLKKPNNAEAAAQLKKPSDVEREEALDEATQEEPERPLKRLRLKYQDGQPPHSCNNASTALTGSKLKKPKVEVDEVPNDHQSQQQSNGGRTAQSQPATYARNKGKQPISPNSHPVQPRDRGKEHLAIHSASQKTSGTLALIKPKDEPFTDDMPHYEAPLACLPPEPTNESGAPNGNCSRRDLDAPETLASKSSVGKDARNYTAAASTEMVSNQQLARFEDGSSSNLEIASSPSGEVKISINCSSTVGGPDFHLPNLNEVLKLVEDRCLRSYKFLDPNFSVMKLMKDMCECIEILGTKTYSDRTETENMMTAITRLETSLMEDDFDSSGRLPDSTNELINFEVDAALVQLQTPHLPLPCNGVHDSTIPEEVASENSDRVAAQDDLEQINFQSLVPMESCQPSSDKVWSLHDVIDITNGQEKMVISIVNEVNSEVPPSFHYIAQSVVFQNAYVNFSLACIGDNHSCSTCSGDCLSLSVPCVCAQQNRGDFAYTLDGLLKEDLLKDCISINQDPKKHSQFFCKVCPLERSKNDDIVEPCKGHVARTFIKECWWKCGCNKQCGNRVVQRGISRKLQVFMTSEGKGWGLRTLEDLPRGTFVCEYVGEVLTNAELFGRVSRSLSGEKHFHPVLLDADWGSGGVLKDEEALCLDATYYGNVARFINHRCFDSNLVEIPVEIESPDHHYYHLAFFTTRDVKAMEELTWDYGIDFDDLDYPIKAFQCQCGSQFCRNIKRLSRSRGRR >itb05g24310.t1 pep chromosome:ASM357664v1:5:29131181:29133445:1 gene:itb05g24310 transcript:itb05g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKALSLPSTSSLLPDQTIISNSTRRCRVVPMMARSQFRVPQRRNLGGTYKTPNFSWMAAVGEKVESTTMPASVPVRVAHELLQAGHRYLDVRTPEEFMAGHATGAINIPYMFKVGSGMSKNPRFLEEVLEHFGKDDEIIVGCQLGKRSLMAAIDLVSAGFTGITDISGGYAAWTQNGLPTES >itb13g18400.t3 pep chromosome:ASM357664v1:13:25374115:25385192:1 gene:itb13g18400 transcript:itb13g18400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMNETAGVGRSLEGVSSAQQLYQSGEALAEWRSSEQVENGIPSTSPPYWDSDDEEDNGGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDRHYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSGFCAFWLGMDQSSRRRMSKEKMDAILKVVVKHFFVEKEVTSTLVMDSLYSGLRALEGQYKGKKGKGKYIDSEEQPAPIVRMEKDMFILVDDVLLLLERASLEPLPPKDEKGPQNRTKDGASGEDFNKDSIERDERRLTELGRRTIEIFVLAHIFSKIEIAYQEAVALKRQEELIREEEAAWMAETELKTKRGASEKEKKSKKKQGKQKRNNRKVKDKLRDEKPGVLWQDKPEEEPLNGEGKDYFTEEPESIPEKPDILEEVSDISDSVDCVPEVLNRESEDRDVSPVNWDTDTLENPPVESSCSELSGFASAQNGVAGRRSLSAMDDSSSTCSTDSAPSVMNGHYGGNASDHKNQISSGREKNHRAKSACNTARANEMQSRQLDSVSNVAILSDTSGSCKAIPESQATSLSSSEQHVVKKEKVISQERKLDITDVEGPSMNKTSVLSPPRSPPKEVVSTLQSKSKIPPSTVSVTVKNPSPDIPKLTDKLAKSAIHPESVVVKTDSNRSLDAQVIKKSSSTQQVPIAADGPSAHQVPTTSDLSSSQMPATTRSLSAPIVTGPRPAAPVVSNVQTSLHLTRSVSATGRLGPEPSPATHSYLPQSYRNAMMGAPVTGSLGGFSQPHSPSSTNSSHSYSEPPAMISRPVFLPQGPKRTEPSSIRPSFSYEMVNHDLLQNGSQWMDGSQRDGRSATHDGPSVIDSIRNFDMYKPSNSQTHDLSAQSLAGTSGRPSQSVLADEFPHLDIINDLLDDDLGIGMASGLDPSFQSFNNGPHHHLARHFTFPGDVGSADLGPSTSSCRLERTLSYHDNGFLHRYPGGGHFDTGRDMITQQPSPQSFVNGQMDGIIPSQWQMVASDASYLGMRSADSDVGYPYHVPDYSNMASGFNGYTMFRPSNGL >itb13g18400.t4 pep chromosome:ASM357664v1:13:25376575:25385192:1 gene:itb13g18400 transcript:itb13g18400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDRHYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSGFCAFWLGMDQSSRRRMSKEKMDAILKVVVKHFFVEKEVTSTLVMDSLYSGLRALEGQYKGKKGKGKYIDSEEQPAPIVRMEKDMFILVDDVLLLLERASLEPLPPKDEKGPQNRTKDGASGEDFNKDSIERDERRLTELGRRTIEIFVLAHIFSKIEIAYQEAVALKRQEELIREEEAAWMAETELKTKRGASEKEKKSKKKQGKQKRNNRKVKDKLRDEKPGVLWQDKPEEEPLNGEGKDYFTEEPESIPEKPDILEEVSDISDSVDCVPEVLNRESEDRDVSPVNWDTDTLENPPVESSCSELSGFASAQNGVAGRRSLSAMDDSSSTCSTDSAPSVMNGHYGGNASDHKNQISSGREKNHRAKSACNTARANEMQSRQLDSVSNVAILSDTSGSCKAIPESQATSLSSSEQHVVKKERKLDITDVEGPSMNKTSVLSPPRSPPKEVVSTLQSKSKIPPSTVSVTVKNPSPDIPKLTDKLAKSAIHPESVVVKTDSNRSLDAQVIKKSSSTQQVPIAADGPSAHQVPTTSDLSSSQMPATTRSLSAPIVTGPRPAAPVVSNVQTSLHLTRSVSATGRLGPEPSPATHSYLPQSYRNAMMGAPVTGSLGGFSQPHSPSSTNSSHSYSEPPAMISRPVFLPQGPKRTEPSSIRPSFSYEMVNHDLLQNGSQWMDGSQRDGRSATHDGPSVIDSIRNFDMYKPSNSQTHDLSAQSLAGTSGRPSQSVLADEFPHLDIINDLLDDDLGIGMASGLDPSFQSFNNGPHHHLARHFTFPGDVGSADLGPSTSSCRLERTLSYHDNGFLHRYPGGGHFDTGRDMITQQPSPQSFVNGQMDGIIPSQWQMVASDASYLGMRSADSDVGYPYHVPDYSNMASGFNGYTMFRPSNGL >itb13g18400.t2 pep chromosome:ASM357664v1:13:25373946:25385192:1 gene:itb13g18400 transcript:itb13g18400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMNETAGVGRSLEGVSSAQQLYQSGEALAEWRSSEQVENGIPSTSPPYWDSDDEEDNGGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDRHYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSGFCAFWLGMDQSSRRRMSKEKMDAILKVVVKHFFVEKEVTSTLVMDSLYSGLRALEGQYKGKKGKGKYIDSEEQPAPIVRMEKDMFILVDDVLLLLERASLEPLPPKDEKGPQNRTKDGASGEDFNKDSIERDERRLTELGRRTIEIFVLAHIFSKIEIAYQEAVALKRQEELIREEEAAWMAETELKTKRGASEKEKKSKKKQGKQKRNNRKVKDKLRDEKPGVLWQDKPEEEPLNGEGKDYFTEEPESIPEKPDILEEVSDISDSVDCVPEVLNRESEDRDVSPVNWDTDTLENPPVESSCSELSGFASAQNGVAGRRSLSAMDDSSSTCSTDSAPSVMNGHYGGNASDHKNQISSGREKNHRAKSACNTARANEMQSRQLDSVSNVAILSDTSGSCKAIPESQATSLSSSEQHVVKKERKLDITDVEGPSMNKTSVLSPPRSPPKEVVSTLQSKSKIPPSTVSVTVKNPSPDIPKLTDKLAKSAIHPESVVVKTDSNRSLDAQVIKKSSSTQQVPIAADGPSAHQVPTTSDLSSSQMPATTRSLSAPIVTGPRPAAPVVSNVQTSLHLTRSVSATGRLGPEPSPATHSYLPQSYRNAMMGAPVTGSLGGFSQPHSPSSTNSSHSYSEPPAMISRPVFLPQGPKRTEPSSIRPSFSYEMVNHDLLQNGSQWMDGSQRDGRSATHDGPSVIDSIRNFDMYKPSNSQTHDLSAQSLAGTSGRPSQSVLADEFPHLDIINDLLDDDLGIGMASGLDPSFQSFNNGPHHHLARHFTFPGDVGSADLGPSTSSCRLERTLSYHDNGFLHRYPGGGHFDTGRDMITQQPSPQSFVNGQMDGIIPSQWQMVASDASYLGMRSADSDVGYPYHVPDYSNMASGFNGYTMFRPSNGL >itb13g18400.t1 pep chromosome:ASM357664v1:13:25373945:25385192:1 gene:itb13g18400 transcript:itb13g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMNETAGVGRSLEGVSSAQQLYQSGEALAEWRSSEQVENGIPSTSPPYWDSDDEEDNGGPKPSELYGKYTWKIDKFSQINKRELRSNAFEVGSYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDRHYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWSGFCAFWLGMDQSSRRRMSKEKMDAILKVVVKHFFVEKEVTSTLVMDSLYSGLRALEGQYKGKKGKGKYIDSEEQPAPIVRMEKDMFILVDDVLLLLERASLEPLPPKDEKGPQNRTKDGASGEDFNKDSIERDERRLTELGRRTIEIFVLAHIFSKIEIAYQEAVALKRQEELIREEEAAWMAETELKTKRGASEKEKKSKKKQGKQKRNNRKVKDKLRDEKPGVLWQDKPEEEPLNGEGKDYFTEEPESIPEKPDILEEVSDISDSVDCVPEVLNRESEDRDVSPVNWDTDTLENPPVESSCSELSGFASAQNGVAGRRSLSAMDDSSSTCSTDSAPSVMNGHYGGNASDHKNQISSGREKNHRAKSACNTARANEMQSRQLDSVSNVAILSDTSGSCKAIPESQATSLSSSEQHVVKKEKVISQERKLDITDVEGPSMNKTSVLSPPRSPPKEVVSTLQSKSKIPPSTVSVTVKNPSPDIPKLTDKLAKSAIHPESVVVKTDSNRSLDAQVIKKSSSTQQVPIAADGPSAHQVPTTSDLSSSQMPATTRSLSAPIVTGPRPAAPVVSNVQTSLHLTRSVSATGRLGPEPSPATHSYLPQSYRNAMMGAPVTGSLGGFSQPHSPSSTNSSHSYSEPPAMISRPVFLPQGPKRTEPSSIRPSFSYEMVNHDLLQNGSQWMDGSQRDGRSATHDGPSVIDSIRNFDMYKPSNSQTHDLSAQSLAGTSGRPSQSVLADEFPHLDIINDLLDDDLGIGMASGLDPSFQSFNNGPHHHLARHFTFPGDVGSADLGPSTSSCRLERTLSYHDNGFLHRYPGGGHFDTGRDMITQQPSPQSFVNGQMDGIIPSQWQMVASDASYLGMRSADSDVGYPYHVPDYSNMASGFNGYTMFRPSNGL >itb01g07310.t1 pep chromosome:ASM357664v1:1:5588462:5594339:1 gene:itb01g07310 transcript:itb01g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQLVCLFYSLICLSIALACSNDHLANVPRTLLSDNDKGAVCLDGSPPAYYLDKGEGKGSNNWLIFLEIQSALSFEHLACLVNKNCSLVEMTAIQELRLELLDALPTASSPSFRGIWLTSCITHDVTEFSWVASKMMRIVGNKKCLMERRPPRSSGALRTAMRDPSTGRPTDRASLSSPGADADFAQSPELGVHHDRCPGAWIRAHRPSWVAR >itb12g11440.t2 pep chromosome:ASM357664v1:12:9692774:9701577:-1 gene:itb12g11440 transcript:itb12g11440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRYRIRDEYSLADPELYRAVDKDDSGALLEGVAMAGLVGVLRQLGDLADFAAEIFHDLHEEVMATAARGHSLTVRVQQLEEELPLIEKEFLSRTNQSSFFYNAGTDWHPNLPLDQNMVTRGDLPRHVMESYEECRGPPRLFLLDKFDVAGAGSCLKRYTDPSFFKREASYIKISNLDVQRETKPRKAKKKGSRSKNGKTPELLPTSHAKLHQLLLEEHNETGGKDSEHHVKLKRRLNGFPFDSKTEKSYMEKLLETPSVGQKTVHEVFVDSLSLKLPSRVASETGLDIVENSAVNVNEEVAVSINEEVTWTNRNTSPSPSQSEDNVAPISPNDTLNEIATYDEYLEISNQSLSVEAGYTLHAFHNVSTDGEISVDGDRQDTTSGYQSDDISSGIDDYMDAPTSMGSELDLESEMNPNKDLHVKSSKFSATNDERLQSESSDSLSIRNSMLSDDENGSSKKEISSFFNSGSSSTSPEMAHSEGEVAAKDFAITGNRVQMCDSHLQTTDDGFSSAQPLEDAFDNDTCAKTAGINRQSSDLGEPMIRPTIPGLVSISGYADEEAIVIKDALTEPVRNEIFSTLGECENDYVCVVENAANPSAPRTSEVQGRTGEDPPTRVSDENQDEIINNHATSAVNPDNVSSNAGDDTPIPLSTDNEHECNLDVGHLNPSNNLSITSSIFNHLSQNRDELFSAKNGLLDRLNDKGPNFLENSACLHKLSDSPSSNKDDHSSSSSVISCSSDGNDQNISTDASLHVPNTLEISLEECAKNSLQIVSEEESAETNHSGASDLDDIPVCSNLSITQAEIESVGSILEIETDNASLKYNERLTNAIPNGAEQRVFDVIHVVYCPTNLDYVETHISAEDAFLPLDSGERGISQIGQECLDEVLSARTGETMDHIAYGYPESVSEEPAVLNATMTEAYQLNVGDATGSVDSTDDVKVNASSYMDIKKFQDDSISCSGQFTQNKLRNASLCFPGSHKELDKLNVGHHAIISPISDSVSHDIAGYSPLQCNVLPSDLDKVTCVATGQSVLDMEPISSSGNNLTNVIRKRIPCENLDKGNSDDALSLPTHHCIEENVELAHIQLNEGLVGKGEANNELSPLQERTQVLERTVATSSRLMPESLPSQPLVSKLSSHDNNSLDLDKDPQNPLTCMSPGFALFPGNNQISPEEMPPLPPLPPVQWRLGKVQLASPALERNVVQRDTGLLSTYQSKIDQTVQPLEQIFPPVIAKESSPDFYESAAYNMEHSGPDSDNLHTSLVQKRGTLDPLYSIPQLVSVDKASMLDIGTSPEELIQPAQQAAEETLLKVRELEEGYKCSEGNLATNDRIRLQASSEDSGSTGDSKSVEKEETQSLDQVAPETSLNELNLPKHDTSLEANFITTDAIPSALTVENEKPLHAIRDSEVEIVQPAVEDEIVNGSQKVKHPAAQSPSIETVAAFDRSRLRKVTDQVKNQARKVDERDSLLELIRTKSFNLRPTVAARPNIQGPQTNLRVVAILERAKTIRQAFAGSDEDDNEDSWSDS >itb12g11440.t1 pep chromosome:ASM357664v1:12:9692774:9701762:-1 gene:itb12g11440 transcript:itb12g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRYRIRDEYSLADPELYRAVDKDDSGALLEGVAMAGLVGVLRQLGDLADFAAEIFHDLHEEVMATAARGHSLTVRVQQLEEELPLIEKEFLSRTNQSSFFYNAGTDWHPNLPLDQNMVTRGDLPRHVMESYEECRGPPRLFLLDKFDVAGAGSCLKRYTDPSFFKREASYIKISNLDVQRETKPRKAKQKKGSRSKNGKTPELLPTSHAKLHQLLLEEHNETGGKDSEHHVKLKRRLNGFPFDSKTEKSYMEKLLETPSVGQKTVHEVFVDSLSLKLPSRVASETGLDIVENSAVNVNEEVAVSINEEVTWTNRNTSPSPSQSEDNVAPISPNDTLNEIATYDEYLEISNQSLSVEAGYTLHAFHNVSTDGEISVDGDRQDTTSGYQSDDISSGIDDYMDAPTSMGSELDLESEMNPNKDLHVKSSKFSATNDERLQSESSDSLSIRNSMLSDDENGSSKKEISSFFNSGSSSTSPEMAHSEGEVAAKDFAITGNRVQMCDSHLQTTDDGFSSAQPLEDAFDNDTCAKTAGINRQSSDLGEPMIRPTIPGLVSISGYADEEAIVIKDALTEPVRNEIFSTLGECENDYVCVVENAANPSAPRTSEVQGRTGEDPPTRVSDENQDEIINNHATSAVNPDNVSSNAGDDTPIPLSTDNEHECNLDVGHLNPSNNLSITSSIFNHLSQNRDELFSAKNGLLDRLNDKGPNFLENSACLHKLSDSPSSNKDDHSSSSSVISCSSDGNDQNISTDASLHVPNTLEISLEECAKNSLQIVSEEESAETNHSGASDLDDIPVCSNLSITQAEIESVGSILEIETDNASLKYNERLTNAIPNGAEQRVFDVIHVVYCPTNLDYVETHISAEDAFLPLDSGERGISQIGQECLDEVLSARTGETMDHIAYGYPESVSEEPAVLNATMTEAYQLNVGDATGSVDSTDDVKVNASSYMDIKKFQDDSISCSGQFTQNKLRNASLCFPGSHKELDKLNVGHHAIISPISDSVSHDIAGYSPLQCNVLPSDLDKVTCVATGQSVLDMEPISSSGNNLTNVIRKRIPCENLDKGNSDDALSLPTHHCIEENVELAHIQLNEGLVGKGEANNELSPLQERTQVLERTVATSSRLMPESLPSQPLVSKLSSHDNNSLDLDKDPQNPLTCMSPGFALFPGNNQISPEEMPPLPPLPPVQWRLGKVQLASPALERNVVQRDTGLLSTYQSKIDQTVQPLEQIFPPVIAKESSPDFYESAAYNMEHSGPLSLPVSHAVLDEISDDSFSFPTTTHSSNPHLSFSGPDSDNLHTSLVQKRGTLDPLYSIPQLVSVDKASMLDIGTSPEELIQPAQQAAEETLLKVRELEEGYKCSEGNLATNDRIRLQASSEDSGSTGDSKSVEKEETQSLDQVAPETSLNELNLPKHDTSLEANFITTDAIPSALTVENEKPLHAIRDSEVEIVQPAVEDEIVNGSQKVKHPAAQSPSIETVAAFDRSRLRKVTDQVKNQARKVDERDSLLELIRTKSFNLRPTVAARPNIQGPQTNLRVVAILERAKTIRQAFAGSDEDDNEDSWSDS >itb11g18320.t1 pep chromosome:ASM357664v1:11:18528649:18534514:-1 gene:itb11g18320 transcript:itb11g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPSYKVATDEVTGLLLLEPFPHRENVDVLRLPTRRGSEIVALYVRYPMATSTLLYSHGNAADIGQMYELFIQLSIHLKVNLMGYDYSGYGQSTGKPSEQNTYADIEAAYKCLEESYGAKQEDIILYGQSVGSGPTVDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTSDEVVDCSHGKQLWELCQEKYEPLWLKGGTHCDLELYPEYIRHLKKFVSTVEKPPSQRSISRKSVDRPENSRKSTDCFEAPRKSTDRREKPRRSTDKPEKLKNFEYKFSNDEKFSKLRMPFDQMERSRRSVEIFEKPRRSIDQQMEKARKSVDWLDRIRVG >itb02g09310.t1 pep chromosome:ASM357664v1:2:5944015:5944392:1 gene:itb02g09310 transcript:itb02g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGTGKKKIEIKKIEKESSRMVTFSKRRTGLFKKAVELEDKTGARVAMVVFSPRDTPYTYGDIPAMSDLLDKITNNNNAVVPYGAEQMQEDNAAVAATPSSNYKNIMRWVDSIDVDGCNSLEET >itb01g27320.t1 pep chromosome:ASM357664v1:1:32172903:32174941:-1 gene:itb01g27320 transcript:itb01g27320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRGKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >itb15g23380.t1 pep chromosome:ASM357664v1:15:26205088:26207541:-1 gene:itb15g23380 transcript:itb15g23380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEDEIESKLGEIYQVQGEGVAVKEACEDLHRSLQQLVKDFECVEVQIRDLQMRHTFDDASSSSSCLQLENVRDGVSSSSSNYELENTMIGIEGDVEKIKDMLLIQSSSSTQLQIVSIVGMSGTGKTTLAKKVYEDPSIVSHFDIQAWTVESPQHGLRDLLIDILSCIVSLTKEEISKQNDKRRLAEQLRKLLMGQRYFIVIDDSWSLTAVQILSRYFPRNNNGSRVLITTRDMSVAKFASSSDMWIFETRSLNPEESWKLLSKTATSNGKLPLEFEIIGRRIAEKCMGMPLGIVLIGGLLATLNNSPKQWEDILFTISSFKDCTTIVYSTLEVCCNYLPTHLKPCFLYFGVFPKNTKIRVKKLIKLWVAEGFVNPEMDKKKSLEEIAMDYLCDLINRRLVQVYQRSLDRKIKSCMLHDLLHQFCVTKALQEDIMVACRTEYDKFPEGHRWVSCRNDYLSIPTIYFTHSSLKTRSLFYFDENLYLAKCWSIFSSLKLLRVLDLSLIKCWHGMPSEIVNLVHLRYLALRTIGSVCNDSQLFKLQSLQTLILSSWTKEYQLQLPCDVLDLPWLRHVRFDKGSSSYLPILVQENLQTLSWFKVTSRDSRTTNFTKAPNLKELGIYIEGEVLPNALDSLAQLHQLEKLKVKMGRVERFNLPNCFPSNLKQLTLSNTYLSWEDMDIIGKLPNLDLLKLKDFAVCGPKWTPRDGEFLQLRFFVIERSDLEHWNANANHFPVLERLVLRYCLDLEKLPNNFEEVYTFRLIELDNCCSSLVTSAMEIQQMQRDLGYEGLVVRDVTKVSL >itb09g12590.t1 pep chromosome:ASM357664v1:9:7964376:7975194:1 gene:itb09g12590 transcript:itb09g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g56990/F24I3_70 [Source:Projected from Arabidopsis thaliana (AT3G56990) UniProtKB/TrEMBL;Acc:Q9M1J9] MAYQGGNLKSTSINGVKMYSVAGQNRSVATWLPPKKLRALRKDPNYLQRLDIIQDLRFETATTRIKVTPDGEYLIASGIYPPQVKVYELRELSMKFERHLISEIVNFQVLSDDYSKIAFLCSDRSVRLHAKYGSHYSLRIPRMGRDIAYDCWSCDLLCAASSPDLYRINLEQGRFLTSLSTQSPALNVVSRSKIHGLIACGGDDGAVECFDLRARSSVGRINAAAAAGDLDQEVTAIEFDGDGGYLMAAGSSDGKVMIYDLRSSQPMRVKDHMYGSPILNIKWHKTLNTEQPKLITADSHIVRVWDPNTGEGMTSIEPTGGRINDICVFSGTGLMLVALDSSQIPSYFIPALGPAPKWCSYLENLTEEMEEGAQTTIYDDFKFLTKEDLERLNLTHLIGTNLLRAYMHGYFVDYRLYKKAQALADPFIYDAYIERRKQEKIEKERESRITIKRKLPKVNRAFASQLLENEEAENEKDADVADADAKKALKKKKKGPTSSEIFEDERFKAMFENKDYQIDELSQEYLAFHPVPSTKQTSLVEEHFMPVFDGEEPSESDESEAMESSEDELDKNPKKKSRGPRLYAVKDERHAEAFWNSKSLAEEDALPLEERVAALKNKQQGNAFDDVKVGAGGSREISFVTRSSAKYKEDDEDEEEQPQRRRGVQSLKLKPDGSGGFRGRGRGGRFGMRGGGRGGGGGQGRGGGRGRGGGRGRGRGRGRGRH >itb10g02390.t1 pep chromosome:ASM357664v1:10:2020405:2020821:-1 gene:itb10g02390 transcript:itb10g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFDLRLGVVAALFLFGAWASQAAGEEASNGRSYNKAKSLKVIEENVKFSDYNMRPERPIKPRPYRSGNHNSEETETPVVSSSPTTTTPPASVDYYSPPILESTPTNRAEMKTNGKPIIIFIAVYAIIIALSHAKI >itb15g10270.t1 pep chromosome:ASM357664v1:15:8092945:8093971:-1 gene:itb15g10270 transcript:itb15g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGWRRTLGNVRSFFGNLTGGLRGGSNLASWVVAGTLAYYLWVKPSQELKREQEERAALAAASDRYRYIEKRKPIPDPQETGLIYGNKNRANKPSEE >itb06g11930.t1 pep chromosome:ASM357664v1:6:16470413:16474727:-1 gene:itb06g11930 transcript:itb06g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSELRWEYPVNGPPAYVVVAKTPQIEHRELMNRGVDPTQACAHGGIIYFLLACVGCACYYTCTYRTKLRAFFNLPEDPCNDCLVHCCCLPCALCQEHRELMNRGVDPTQGWMVNAQKWKEVTMVPPAVAPAMGR >itb13g19410.t1 pep chromosome:ASM357664v1:13:26419467:26420626:1 gene:itb13g19410 transcript:itb13g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGAGKGGAGRGKAKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGDVTIANGGVLPNIHQTLLPKKAGSGKGEIGSASQEF >itb03g08730.t1 pep chromosome:ASM357664v1:3:6565422:6571203:1 gene:itb03g08730 transcript:itb03g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVIRRIDNSTNRQVTFSKRRNGLLKKAKELGILCDAEVGLIIFSSTGKLHEFASSSMRSVIERYNKAQDESVQSPQNPASELKAYGTRDPNAVNGDTISPYDLSISEESHGHIHLQLSQPQPQNFSDLARALDSTQVGDALCRIELEPAGTYNS >itb13g21460.t1 pep chromosome:ASM357664v1:13:28039150:28041135:-1 gene:itb13g21460 transcript:itb13g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITKSMENKAAELSKPENNNNNNNNPMVTPSFSDQIPATFSLQTLFDIPSSDHNKNPSSSFFDYLFPSQDLSTAVFDLLQTSQPPLLIPPPPSQSLPESSEAVNTPVTPNSSSISSSSNEAAIDDQLPKTPAEEVEQESDRSKKQLKPKRKNQKREREPRVAFMTKSEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTTPACGVKKRVERSSEDPSTVVTTYEGTHGHPCPVTPRATTVGIMPEPSNFGSVSAAPGGTGSSPSSSFLIPHHHFHYPMQQQQPFFAITSPLPPPLAYTPSTGNLERRFPPPSSSSPAARDDGLLQDMLPFPLRKEPRPDS >itb13g02650.t1 pep chromosome:ASM357664v1:13:2602208:2604918:1 gene:itb13g02650 transcript:itb13g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCQLNPKALQRIARQPLQLVKTLCSFQNEHHLFDRSPRPALKSIHHSMLNFIHQSQFEALKVFKKQFQMGVSEIDEVAIALALKACKGDLTFGSQLHSLTIRSGFFSYITVPNSLMNMYCKSGQFSHAMLIFEDMENPDTVSYNTVLSGCENGGDALCFAHRMHALGVTFDAVSYTTALSHCTAQEMFIFGSQLHSCVMKSGLEFEVFIGNALVTMYLKWGKIVEAERVFCEMPSKDLVSWNALLSGYAQEGSYALEAILGFIEMVREGMKPDHVSLTSAVSACGQQRDLEFGKQIHGLAIKRAYGTHISVCNVLMALYSKSGDINDAKMIFQRMTERNVVSWTTMLSMSEEDAMAMFNEMRRNGVYPNDVTFVGLIHALTMNNMISEGCMVHGFCMKSNFFSELNVVNCFITMYGKFGFMEDSRKVFEELDHKDIITWNALISGYAQNGMYQDALQIFSSAMMESRPNEYTFGSVLSAIASSESISLKHGLRCHSCLIKLGLNTNPVTFGALLDMYAKRGSIFESQRVFHEIAEKGQVSWTAMISAHSRHGDYESVISLFEEMKQKGVKPDSITFLSVLTACGHKGIVDVGIEIFNSMTRDFSIKPSAEHYSCVVDMFGRAGRLNEAEQFMSRIPGGPGISVLQSLLGSCRTHGNVEMAKRVGEALIALEPEHSGSYVLMSNLYAEKGQWEKVANIRKGMRSRGVKKEVAFSWVDVGSIDDSLNLHGFSSDDKSHPLSEEIYRMVEWLGSEMKHLEEDEDDRYNRFVACGHI >itb13g08440.t1 pep chromosome:ASM357664v1:13:10686003:10691834:-1 gene:itb13g08440 transcript:itb13g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRSYYTKAEAQTKAAEMATAVLGATSPLEIMAACAEVESFLQKHTPDQSRCFFSLTFPTLICKIFGFDCAAKLAQSPTAWIDIAALSDDPQLPGRIFSLLSPNGVLLSSIVAVDDLSLVKYVFPIERLPVWVQHMLQTENSRILSDLCPLFRGRLKEDSIKGPASQVHLNVFEYYTFWFAYYPVCRRCSETPPAVRVKRSREFRFKNWAYSIPHLSNNKPGTEWKKDGNLYARLLFAYLHAYVYMHDLNAHQPYCSSLLHYSSVYNTFVAERAEFFVNTLIHFWLVDNDFSPLPVNLCKMYGVLKLFHPVLNETPLNSRLCEVVNVLVKYLNLNSFTLAGGNNNFSYIESPRWNSGSADTVKLRDDALSMHSFNYWHPWVQRPLYRFILRTFMFSPVCSHVKTASQVFTVWVNYLEPWTVSLEEFAELDAGLDVTSKDMQKEVTNSLTRGYSSAWQGFVLANYLFYSSLVMHFIEFSHRFLHTDPEIIVQMMLKVIVILTSSVELTDLIKNVDSVFHSKSSGSSKSMLNLDQFTPAIHEQLQDWEDGLCKSEVDGSSLPEIGNKGLKLFSYSEDGGQKLLQLFVLRAESELQAMGGDKLAQNFECLDTLKSQVVHLFGAPIMKKPISMSETTYCEHRRDEIFKPRSFGHRAVVDFKCKDDWMKRPICNDEIAWLAKLLVKFSGWINESLGLNQDMDISSHSWSYIELSSKSMCGTTETMKAVLWFFLSWLICLSGAGVELMRKHGLQVNFRVLALKKVVMMLLIISAYFVLKKVFTASQTGLRNLTA >itb06g01290.t1 pep chromosome:ASM357664v1:6:2543052:2543309:-1 gene:itb06g01290 transcript:itb06g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQVLSLYRGFLRAARAKPPIERRQIKSLVTAEFRHNAKQVDRKNFMYIEYLLRRGKKQLDQLKSPDIVGLSSLSVDSSQTKRL >itb06g14410.t1 pep chromosome:ASM357664v1:6:18958268:18965893:1 gene:itb06g14410 transcript:itb06g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVEVRSGEKRPPDDGELEGQPAGKKVKGLVDGNVKKVAEMVLVLAAMGKMRGGRSPTDAEKEMMAEARDKLAEVCQMFAPKDVFPRDAFGGVIEDLGLNKLKEQRLGFRPPKTSIAEKMLLSKRKMEKPEDFTLQSAPYSSQRLQSNSGTTVENRAPPHGVRMFQADKPGQAPISSGNFQSASTLGHGSTANSASLPYQLPTSEIRPVTHAGLTTGNLGKDNSPVALPRVERPHFRPDGRSNGASHIQASSGDHSTLRPPNWSMTPPSHSAAKVGPENGVPAHSTTKVEGGPEYKSGMAHQVTTSRPFINQTSSGNFTTLHQQGITFVSAPPPSNTHAEVGKIVQRFLQPQLPDRPVWTPPSRDYMSKALTCQMCKFTVTEVENVLVCDACEKGYHLKCLQINNQKGVPRGEWHCGKCLSLTNGKPLPPKYGRVMRNINATKVSSTAPMVQPSLDKKVSQKVIVNGNVALQNPPTVGIANRVNPASFPKVENSKDMLGNDTMSSNKSTDSKVSSESCPNSLMKASGDSSVSPVGSSVDKPCQGEAVELKQQPPAKTESTRNSSDHSHPSVDCPSIDHIRLSNSIEISSKQSPGNNLEVVDLKESCDRATSSNDVAKKGEQGAGEVNTANDSTAYNGNTKCSSSSSDRLHIVGWVGSKHSVGDEKIFYNACRINGYVYNLEDYALIRFGNDRLIPSKLQTMWEDTKTGMKWVTVNRCYFARDLPASVGRPCSLESSEVYLSNFGSAVMAGLIEGPCEVLPPSKFTEERERRTRAVTEKNDLRPLYLCKWIFDEAKGLFRDVSC >itb06g14410.t3 pep chromosome:ASM357664v1:6:18958268:18965893:1 gene:itb06g14410 transcript:itb06g14410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVEVRSGEKRPPDDGELEGQPAGKKVKGLVDGNVKKVAEMVLVLAAMGKMRGGRSPTDAEKEMMAEARDKLAEVCQMFAPKDVFPRDAFGGVIEDLGLNKLKEQRLGFRPPKTSIAEKMLLSKRKMEKPEDFTLQSAPYSSQRLQSNSGNLGKDNSPVALPRVERPHFRPDGRSNGASHIQASSGDHSTLRPPNWSMTPPSHSAAKVGPENGVPAHSTTKVEGGPEYKSGMAHQVTTSRPFINQTSSGNFTTLHQQGITFVSAPPPSNTHAEVGKIVQRFLQPQLPDRPVWTPPSRDYMSKALTCQMCKFTVTEVENVLVCDACEKGYHLKCLQINNQKGVPRGEWHCGKCLSLTNGKPLPPKYGRVMRNINATKVSSTAPMVQPSLDKKVSQKVIVNGNVALQNPPTVGIANRVNPASFPKVENSKDMLGNDTMSSNKSTDSKVSSESCPNSLMKASGDSSVSPVGSSVDKPCQGEAVELKQQPPAKTESTRNSSDHSHPSVDCPSIDHIRLSNSIEISSKQSPGNNLEVVDLKESCDRATSSNDVAKKGEQGAGEVNTANDSTAYNGNTKCSSSSSDRLHIVGWVGSKHSVGDEKIFYNACRINGYVYNLEDYALIRFGNDRLIPSKLQTMWEDTKTGMKWVTVNRCYFARDLPASVGRPCSLESSEVYLSNFGSAVMAGLIEGPCEVLPPSKFTEERERRTRAVTEKNDLRPLYLCKWIFDEAKGLFRDVSC >itb06g14410.t4 pep chromosome:ASM357664v1:6:18958268:18965839:1 gene:itb06g14410 transcript:itb06g14410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVEVRSGEKRPPDDGELEGQPAGKKVKGLVDGNVKKVAEMVLVLAAMGKMRGGRSPTDAEKEMMAEARDKLAEVCQMFAPKDVFPRDAFGGVIEDLGLNKLKEQRLGFRPPKTSIAEKMLLSKRKMEKPEDFTLQSAPYSSQRLQSNSGTTVENRAPPHGVRMFQADKPGQAPISSGNFQSASTLGHGSTANSASLPYQLPTSEIRPVTHAGLTTGNLGKDNSPVALPRVERPHFRPDGRSNGASHIQASSGDHSTLRPPNWSMTPPSHSAAKVGPENGVPAHSTTKVEGGPEYKSGMAHQVTTSRPFINQTSSGNFTTLHQQGITFVSAPPPSNTHAEVGKIVQRFLQPQLPDRPVWTPPSRDYMSKALTCQMCKFTVTEVENVLVCDACEKGYHLKCLQINNQKGVPRGEWHCGKCLSLTNGKPLPPKYGRVMRNINATKVSSTAPMVQPSLDKKVSQKVIVNGNVALQNPPTVGIANRVNPASFPKVENSKDMLGNDTMSSNKSTDSKVSSESCPNSLMKASGDSSVSPVGSSVDKPCQGEAVELKQQPPAKTESTRNSSDHSHPSVDCPSIDHIRLSNSIEISSKQSPGNNLEVVDLKESCDRATSSNDVAKKGEQGAGEVNTANDSTAYNGNTKCSSSSSDRLHIVGWVGSKHSVGDEKIFYNACRINGYVYNLEDYALIRFGNDRLIPSKLQTMWEDTKTGMKWVTVNRCYFARDLPASVGRPCSLESSEVYLSNFGSAVMAGLIEGPCEVLPPSKFTEERERRTRAVTEKNDLRPLYLCKWIFDEAKGLFRDVSC >itb06g14410.t5 pep chromosome:ASM357664v1:6:18958295:18965893:1 gene:itb06g14410 transcript:itb06g14410.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVEVRSGEKRPPDDGELEGQPAGKKVKGLVDGNVKKVAEMVLVLAAMGKMRGGRSPTDAEKEMMAEARDKLAEVCQMFAPKDVFPRDAFGGVIEDLGLNKLKEQRLGFRPPKTSIAEKMLLSKRKMEKPEDFTLQSAPYSSQRLQSNSGTTVENRAPPHGVRMFQADKPGQAPISSGNFQSASTLGHGSTANSASLPYQLPTSEIRPVTHAGLTTGNLGKDNSPVALPRVERPHFRPDGRSNGASHIQASSGDHSTLRPPNWSMTPPSHSAAKVGPENGVPAHSTTKVEGGPEYKSGMAHQVTTSRPFINQTSSGNFTTLHQQGITFVSAPPPSNTHAEVGKIVQRFLQPQLPDRPVWTPPSRDYMSKALTCQMCKFTVTEVENVLVCDACEKGYHLKCLQINNQKGVPRGEWHCGKCLSLTNGKPLPPKYGRVMRNINATKVSSTAPMVQPSLDKKVSQKVIVNGNVALQNPPTVGIANRVNPASFPKVENSKDMLGNDTMSSNKSTDSKVSSESCPNSLMKASGDSSVSPVGSSVDKPCQGEAVELKQQPPAKTESTRNSSDHSHPSVDCPSIDHIRLSNSIEISSKQSPGNNLEVVDLKESCDRATSSNDVAKKGEQGAGEVNTANDSTAYNGNTKCSSSSSDRLHIVGWVGSKHSVGDEKIFYNACRINGYVYNLEDYALIRFGNDRLIPSKLQTMWEDTKTGMKWVTVNRCYFARDLPASVGRPCSLESSEVYLSNFGSAVMAGLIEGPCEVLPPSKFTEERERRTRAVTEKNDLRPLYLCKWIFDEAKGLFRDVSC >itb06g14410.t2 pep chromosome:ASM357664v1:6:18958268:18965893:1 gene:itb06g14410 transcript:itb06g14410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVEVRSGEKRPPDDGELEGQPAGKKVKGLVDGNVKKVAEMVLVLAAMGKMRGGRSPTDAEKEMMAEARDKLAEVCQMFAPKDVFPRDAFGGVIEDLGLNKLKEQRLGFRPPKTSIAEKMLLSKRKMEKPEDFTLQSAPYSSQRLQSNSGTTVENRAPPHGVRMFQADKPGQAPISSGNFQSASTLGHGSTANSASLPYQLPTSEIRPVTHAGLTTGNLGKDNSPVALPRVERPHFRPDGRSNGASHIQASSGDHSTLRPPNWSMTPPSHSAAKVGPENGVPAHSTTKVEGGPEYKSGMAHQVTTSRPFINQTSSGNFTTLHQQGITFVSAPPPSNTHAEVGKIVQRFLQPQLPDRPVWTPPSRDYMSKALTCQMCKFTVTEVENVLVCDACEKGYHLKCLQINNQKGVPRGEWHCGKCLSLTNGKPLPPKYGRVMRNINATKVSSTAPMVQPSLDKKVSQKVIVNGNVALQNPPTVGIANRVNPASFPKVENSKDMLGNDTMSSNKSTDSKVSSESCPNSLMKASGDSSVSPVGSSVDKPCQGEAVELKQQPPAKTESTRNSSDHSHPSVDCPSIDHIRLSNSIEISSKQSPGNNLEVVDLKESCDRATSSNDVAKKGEQGAGEVNTANDSTAYNGNTKCSSSSSDRLHIVGWVGSKHSVGDEKIFYNACRINGYVYNLEDYALIRFGNDRLIPSKLQTMWEDTKTGMKWVTVNRCYFARDLPASVGRPCSLESSEVYLSNFGSAVMAGLIEGPCEVLPPSKFTEERERRTRAVTEKNDLRPLYLCKWIFDEAKGLFRDVSC >itb01g22390.t1 pep chromosome:ASM357664v1:1:28292486:28293538:1 gene:itb01g22390 transcript:itb01g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDHPAVFDFFVTDPFGYEKIIMEGKEAQVEGLKDNTFLVEFEFNMVTQVKKEEDEMDAEEENNDAGFQYHTPTKGKRCISELERATNVTDREASPNKGNVGAGKNDARAKKMGVSEEENDASAEKVGVSEEKKDASVKKRDVPDYFGLKLFNSGRFTQPKNPYFVTKIRPKRRDDLYIPIELARDYNIKLPAKVILCDERDQKWDAYIKTWGDGRTWLSGGWRKLCKWNLVQENDRCICEFVPSLGPELVLKVTIIRRKDLEEAQPN >itb10g21460.t1 pep chromosome:ASM357664v1:10:26661308:26669098:-1 gene:itb10g21460 transcript:itb10g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGKRDLDSYTIRGTNKVVKAGDCVLMRPPESGTASYVARVEKIEADNRNNVKVRVRWYYRPEESMGGRRQFHGAKELFLSDHYDVQSADTIEGKCTVHTFRAYVKLQNVGTEDYYCRFEYKAATGAFIPDRVAVYCKCELPYNPDDLMVQCEECKDWYHPACVGMSVEQTKQLDQFVCSDCASDPNVKKPQNSDAASPITSGKVEPKRQKRCIAEEDHREIYLVLTEGDPVAFHHGNNMKLEKGKKLDPSCESLKAYAKKLTESHDELLEVSLDRGSYNKVYSFKHIVNGFAVHTTPSQVEKVKRLPGVRLVEKDRRVKLMTTYTPQFLDMASAWSQEGGDRNAGEGVVIGFVDSGINPLHPSFGYDPANPFSSKVSHFSGDCEAGPLFPKTACNGKIVSARFFSAGAQAAATLNASIDILSPFDADGHGSHVASTAAGNFGVPVVVDGFYYGTASGMAPRARIAVYKAIYPTVGVLSDVLAAIDQAVIDGVDILSLSVGPDEPPEEALTFLALFEIFMLAAHRAGVFVVQAAGNHGPGPYSVISYSPWSVGVAACDTDRSFPGTLILGDGHKISGIGLSGSTLGSGVLRHKLVLAKDAVRINGAFPRTAEYIEECQYPEALDPSVLIGSLVICSFSAGFSNGSSSLTAITETAKALGFAGFVFVANPSYGDFIAQPLPFQIPGIMIPRAADAQIILSYYEKQTARDKRGYVTRYGGSAAITEGRIASYTTRAPVVSRFSSRGPDFSDQTRNPTDVLKPDLLAPGNQIWAAWSPMSVADPILSGQNFALISGTSMATPHVAGVAALIRQQNPSWTPSMIASALSTTATTQDNLGETMMAQGSELYSLHTSAPFGFGSGLVNPSRALDPGLVFSAGFKDYIGFLCSLPNIDPEVVKTATGGICGGIFFEHPSDLNLPSVTISALAGSRLVHRRVLNVASKPESYLCAVLPPKGVAVDIQPSWFRIPPQGTQDLQIRFNVSLPSNDFCFGEVTCTGSLNHIMRIPLSVLPISASQTE >itb15g19770.t1 pep chromosome:ASM357664v1:15:22254659:22259957:1 gene:itb15g19770 transcript:itb15g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGEKNFLKGGIAQDLRTDGRKRLAYRLIYVEIGVIPQASGSARVKMGATDVIASVKAELGKPNPSHPDKGRVFIYVDCSSTAEPTFEGRGGDELSAELSTALQRCLLGGRSGAGAAIDLSSLSVVQGKVCWDLYIDGLVVSADGNILDALGAAIKAALSNTCIPRVQVCADPSSNEQPEVDVSDEEFVQFDTSGVPVIVTLTKVGRHCIVDATSEEESQMSSAVSISVNREGHVCGLTKRGGAGLDPADILDMVNKAQVVGSELINDLDSKIAAAEACEEEES >itb15g19770.t2 pep chromosome:ASM357664v1:15:22254674:22259957:1 gene:itb15g19770 transcript:itb15g19770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGEKNFLKGGIAQDLRTDGRKRLAYRLIYVEIGVIPQASGSARVKMGATDVIASVKAELGKPNPSHPDKGRVFIYVDCSSTAEPTFEGRGGDELSAELSTALQRCLLGGRSGAGAAIDLSSLSVVQGKVCWDLYIDGLVVSADGNILDALGAAIKAALSNTCIPRVQVCADPSSNEQPEVDVSDEEFVQFDTSGVPVIVTLTKVTETFDFKLSLIIIIIIIPLNKFAGWKALHCRCDLGRGISNELSGVYFS >itb01g29620.t2 pep chromosome:ASM357664v1:1:33835997:33837781:-1 gene:itb01g29620 transcript:itb01g29620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERERGCHLSPSPTNASNHAEPIRRSPTSQPAPPPRTGDRRSTTATVVIAGDICSKSKIRRSGLTKPVAPPCRRHCIRRQIRWVLTQNQTADPALTSVDLVISQDAVSDLAKTHEVSPRKCPKGPYLNAARTHLQQALGDQNVLIVKFWDDAPTNARKIIENGLLVGLRRYRFFGCTSRA >itb01g29620.t1 pep chromosome:ASM357664v1:1:33836057:33837781:-1 gene:itb01g29620 transcript:itb01g29620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERERGCHLSPSPTNASNHAEPIRRSPTSQPAPPPRTGDRRSTTATVVIAGDICSKSKIRRSGLTKPVAPPCRRHCIRRQIRWVLTQNQTADPALTSVDLVISQDAVSDLAKTHEVSPRKCPKGPYLNAARTHLQQALGDQNVLIVKFWDDAPTNARKIIENGLLVGLRRYRFFALSS >itb15g02410.t1 pep chromosome:ASM357664v1:15:1468946:1471413:-1 gene:itb15g02410 transcript:itb15g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGETFKEYVHEDEGNKLSYVEYKSLKKVLKRCSACNTLKSSNECCSFCDQTFFPELKKEASDVAGCFSSRVRRLLRLHMAPGVQQYFISLRHCFVDDQQAMARECQMLMQYAVMNTTAMRKILKKYDKIHGSVKGRNFKSTISAEHLEILQSPWLIELGAFYLNFNASNGKSSRELFSSFPCDFDATEFTLTLTLPENIKLEYSLKCAVCLDFVFNPYALGCGHLFCKSCACRAASVMLCDGLKAASKESKCPICRQVGVYTNVVHMVELDLLLKRRFRKLWKERLVSDRAEALKQSRLFWELQTRYVVGF >itb09g02230.t1 pep chromosome:ASM357664v1:9:1320187:1321762:1 gene:itb09g02230 transcript:itb09g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELHVFFFPLMAQGHMIPTLDMAKLFASRGVKATIITTPLNQPVFTKTIDKYTQLGFQMEIRLLEFPAVEVGLPEDCHSVDKVPSPESLPSFFKACAMLQEPLEQILQELRPDCLVADLFFPWATKAAAKFDIPRLIFHGSSYLALCAANSLRTHKPFNDVSSDSELFTIPNLPHELKLTRLQISPEEMRKRVWEPQDKCYGEIYNSFYELERDFEEHYRNVMGIRTWSIGPLSLHNRDVEDKAQRGKKSTIDELECLKWLDSKNPHSVVYICFGSVANFAPSQLHEMAMGIEASGMDFVWVIRNKREEDNGSEKWMPEGFEERTKGKGLVIRGWAPQVLILDHEAVGAFVTHCGWNSTLEAVCAGVPMVTWPLLNDQFYNEKLATEILRIGIRVGSNEWKGSDSDGVKREAIAEAIKKVMIGEESEEMRSRAKAMKDKAKKAIEEGGSSYLDLGSLLDELRAFHAKH >itb09g14140.t1 pep chromosome:ASM357664v1:9:9352206:9354071:-1 gene:itb09g14140 transcript:itb09g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMMKKFPFLSKTSERWRPWPSCAMSGDLKTHSFRGDGDGIFKILNSAYNLDSTGDSSSVFSGKVEACSSTASYEQLGSDSVEKVIRGLRSERLVFTPEETSSIAGGEKFPFKESVAMEMDSTDPITDFKRSMEEMVEAHDGLKDWEFLEGLLICYLRINGKSTHGYIVGAFVDLLVGLSIDAAAATDDETAAAVASSSSSDEHCLLSSSSTIDHNSFTSQFSFTSSATCSTGPYFSLQEKSHEDEIMEKSSMDHASSSSPSSSHET >itb02g09920.t4 pep chromosome:ASM357664v1:2:6391576:6394766:1 gene:itb02g09920 transcript:itb02g09920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNDSTYSSLGRRLFDVRIQGNLVLADYNIMEKANGSGKGITEVFDDVLVNGSTLEIHLYWTGKGTNAIPQRSVHGPLISAITVTPNFNPDKGLSAGAIVGIVISCCVFILLILVALRMTGYLGGKVAEDNELRALDLQTGYFTLRQVKAATNNFDQANKIGEGGFGPVYKGVLSDGGIIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGRVEQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLSAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKDEFVYLLDWAYVLEEQGNLLELVDQSLGSNYSKEEALKMLKLALLCANPSPTLRPSMVSVVKMLNGQIPVQAPAVGPGKSNDDLARFKAFKKLSHDSQTTTNSSSTFSLDQSQKSISVGAPCTGSSQSFPIDSSSTSKLLPDLYDVNLE >itb02g09920.t1 pep chromosome:ASM357664v1:2:6386106:6394766:1 gene:itb02g09920 transcript:itb02g09920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRENSVALFLYALLILALSFFACFTSAASLLPAEEVEALNTISEKLRNNYWNVTPTSCNDGVGLNHTFSDDDIDGSGNDTFSHVLCNCTFNGSTICHVTGILLKGLNLPGVLPPAEDFAKLKFLQVLDLTRNYISGSISRSFGQLRLTKLSLLGNRINGTIPKEIGDISTLEELVLEDNELEGPLHENLGKLSRLKKLQLSANNITGVIPETFGNLKNLTDFRIDGNPISGKVPSFIGNWTKLTKLYMHGTLLEGPIPQNISLLENIDTLQISGLRGGSMEFPDLEGMKNMETLVLRNCSIAGTIPEYINKMTDLETLDLSFNNLSGNITIRDRQRIQYLFLGHNMLSGKIPQWILDARRYYIDLSYNNLTESEPKGCSTSYLNLVSSYSVPKNDSKAWCSKKDLPCSSGKARYHSLFINCGGDRTEFEDNEYEGDSTGEGPSYFFASSDNRWAYTSSGLFLTKEDVNFVATNKFPSNVINEDIYKTARLAPNSLIYYGFCMRQGSYKVRLHFAEIMFSNDSTYSSLGRRLFDVRIQGNLVLADYNIMEKANGSGKGITEVFDDVLVNGSTLEIHLYWTGKGTNAIPQRSVHGPLISAITVTPNFNPDKGLSAGAIVGIVISCCVFILLILVALRMTGYLGGKVAEDNELRALDLQTGYFTLRQVKAATNNFDQANKIGEGGFGPVYKGVLSDGGIIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGRVEQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLSAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKDEFVYLLDWAYVLEEQGNLLELVDQSLGSNYSKEEALKMLKLALLCANPSPTLRPSMVSVVKMLNGQIPVQAPAVGPGKSNDDLARFKAFKKLSHDSQTTTNSSSTFSLDQSQKSISVGAPCTGSSQSFPIDSSSTSKLLPDLYDVNLE >itb02g09920.t2 pep chromosome:ASM357664v1:2:6386106:6394756:1 gene:itb02g09920 transcript:itb02g09920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRENSVALFLYALLILALSFFACFTSAASLLPAEEVEALNTISEKLRNNYWNVTPTSCNDGVGLNHTFSDDDIDGSGNDTFSHVLCNCTFNGSTICHVTGILLKGLNLPGVLPPAEDFAKLKFLQVLDLTRNYISGSISRSFGQLRLTKLSLLGNRINGTIPKEIGDISTLEELVLEDNELEGPLHENLGKLSRLKKLQLSANNITGVIPETFGNLKNLTDFRIDGNPISGKVPSFIGNWTKLTKLYMHGTLLEGPIPQNISLLENIDTLQISGLRGGSMEFPDLEGMKNMETLVLRNCSIAGTIPEYINKMTDLETLDLSFNNLSGNITIRDRQRIQYLFLGHNMLSGKIPQWILDARRYYIDLSYNNLTESEPKGCSTSYLNLVSSYSVPKNDSKAWCSKKDLPCSSGKARYHSLFINCGGDRTEFEDNEYEGDSTGEGPSYFFASSDNRWAYTSSGLFLTKEDVNFVATNKFPSNVINEDIYKTARLAPNSLIYYGFCMRQGSYKVRLHFAEIMFSNDSTYSSLGRRLFDVRIQGNLVLADYNIMEKANGSGKGITEVFDDVLVNGSTLEIHLYWTGKGTNAIPQRSVHGPLISAITVTPNFNPDKGLSAGAIVGIVISCCVFILLILVALRMTGYLGGKVAEDNELRALDLQTGYFTLRQVKAATNNFDQANKIGEGGFGPVYKGVLSDGGIIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGRVEQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLSAKISDFGLARLDEEENTHISTRIAGTV >itb02g09920.t5 pep chromosome:ASM357664v1:2:6391879:6394766:1 gene:itb02g09920 transcript:itb02g09920.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLENFLFIWSSSTLMQGNLVLADYNIMEKANGSGKGITEVFDDVLVNGSTLEIHLYWTGKGTNAIPQRSVHGPLISAITVTPNFNPDKGLSAGAIVGIVISCCVFILLILVALRMTGYLGGKVAEDNELRALDLQTGYFTLRQVKAATNNFDQANKIGEGGFGPVYKGVLSDGGIIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGRVEQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLSAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKDEFVYLLDWAYVLEEQGNLLELVDQSLGSNYSKEEALKMLKLALLCANPSPTLRPSMVSVVKMLNGQIPVQAPAVGPGKSNDDLARFKAFKKLSHDSQTTTNSSSTFSLDQSQKSISVGAPCTGSSQSFPIDSSSTSKLLPDLYDVNLE >itb02g09920.t3 pep chromosome:ASM357664v1:2:6390144:6394766:1 gene:itb02g09920 transcript:itb02g09920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLETLDLSFNNLSGNITIRDRQRIQYLFLGHNMLSGKIPQWILDARRYYIDLSYNNLTESEPKGCSTSYLNLVSSYSVPKNDSKAWCSKKDLPCSSGKARYHSLFINCGGDRTEFEDNEYEGDSTGEGPSYFFASSDNRWAYTSSGLFLTKEDVNFVATNKFPSNVINEDIYKTARLAPNSLIYYGFCMRQGSYKVRLHFAEIMFSNDSTYSSLGRRLFDVRIQGNLVLADYNIMEKANGSGKGITEVFDDVLVNGSTLEIHLYWTGKGTNAIPQRSVHGPLISAITVTPNFNPDKGLSAGAIVGIVISCCVFILLILVALRMTGYLGGKVAEDNELRALDLQTGYFTLRQVKAATNNFDQANKIGEGGFGPVYKGVLSDGGIIAVKQLSSKSKQGNREFINEIGMISALQHPNLVKLHGCCIEGNQLLLIYEYMENNCLSRALFGRVEQRLSLDWQTRKKICLGIAKGLAYLHEESRLKIVHRDIKASNVLLDKDLSAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKDEFVYLLDWAYVLEEQGNLLELVDQSLGSNYSKEEALKMLKLALLCANPSPTLRPSMVSVVKMLNGQIPVQAPAVGPGKSNDDLARFKAFKKLSHDSQTTTNSSSTFSLDQSQKSISVGAPCTGSSQSFPIDSSSTSKLLPDLYDVNLE >itb13g16520.t1 pep chromosome:ASM357664v1:13:23495001:23504321:1 gene:itb13g16520 transcript:itb13g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANSFDLWQKDIFFSAAEEVQKSADIMESAYRTWLRERREGVAPQFLDELRGELQMALGTAKWQLDEFEKAVRLSYKNRADEVTMTRHRDFVSAMEAQISRVEAALRESYNIEGKEHLPWVRLDENECDDLAQFLSGSSQTMKDEHPKVLCTTESLLEDHKTTNDFDLNAEAAKRNLLNQDAITCNMAAHFTREQEIIEISQSRNDKNYEADRLLSSENAVNNSALEIVIDNGYGQMATSMSTEITPKEKGFKPSFWRPRCEDHRQAKGGMLRDAPLMRLNWINQLLGQLTGYRQVQAPLTLRSNRSIRLMLILMLTIFLVVPFLLCSA >itb13g16520.t2 pep chromosome:ASM357664v1:13:23495001:23500762:1 gene:itb13g16520 transcript:itb13g16520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANSFDLWQKDIFFSAAEEVQKSADIMESAYRTWLRERREGVAPQFLDELRGELQMALGTAKWQLDEFEKAVRLSYKNRADEVTMTRHRDFVSAMEAQISRVEAALRESYNIEGKEHLPWVRLDENECDDLAQFLSGSSQTMKDEHPKVLCTTESLLEDHKTTNDFDLNAEAAKRNLLNQDAITCNMAAHFTREQEIIEISQSRNDKNYEADRLLSSENAVNNSALEIVIDNGYGQMATSMSTEITPKEKGFKPSFWRPRCEDHRQAKGGMLRDAPLMRLNWINQLLGQLTGYRQVQAPLTLRSNRSIRLMLILMLTIFLVVPFLLCSA >itb12g23440.t1 pep chromosome:ASM357664v1:12:25156285:25160613:-1 gene:itb12g23440 transcript:itb12g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVVMSEEEDQIIIAAHAIHGNKWASIAKMLPGRTDNAIKNHWNSTLRRRFAATNRSTLQCGNMFDDQSVDRARASSEETKSCGYINQYKSQEGGDTSHTECRPNQTEDTPQVNEKCCAPDKTSPVIYESDLPAPAAANSNPSVSRPVAKIGAFNVYYPSSAGCASSSTMPMQGPLIHPSMPDLEICNFLKSASTDPLIPSQCGHGCCRAPCGGGSSQSSLLGPEFIEFEELPPISSHELAAIAKDLNNVAWIRSSLENPDRVQDSRTPLYASTNTEMEHSMKTDQLCFEGRNQLTVPSTNTFAKLLQFAT >itb12g23440.t2 pep chromosome:ASM357664v1:12:25156285:25160613:-1 gene:itb12g23440 transcript:itb12g23440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETEERSDLKLVAVAASDANGDGGAEATEAMVVDGGGDENSGSGGKVKGPWSPEEDAILCELVSKFGARNWTLIARGIPGRSGKSCRLRWCNQLDPSVKRKPFSEEEDQIIIAAHAIHGNKWASIAKMLPGRTDNAIKNHWNSTLRRRFAATNRSTLQCGNMFDDQSVDRARASSEETKSCGYINQYKSQEGGDTSHTECRPNQTEDTPQVNEKCCAPDKTSPVIYESDLPAPAAANSNPSVSRPVAKIGAFNVYYPSSAGCASSSTMPMQGPLIHPSMPDLEICNFLKSASTDPLIPSQCGHGCCRAPCGGGSSQSSLLGPEFIEFEELPPISSHELAAIAKDLNNVAWIRSSLENPDRVQDSRTPLYASTNTEMEHSMKTDQLCFEGRNQLTVPSTNTFAKLLQFAT >itb06g25500.t1 pep chromosome:ASM357664v1:6:26523335:26527468:-1 gene:itb06g25500 transcript:itb06g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTESEADESMRNPIVSGRKNLKNYRERKGVTELHKTTKKDNRNSSALFQTLKDEAFPTASLYVSLRSFKRERQIDPNSPTATRHGDATGNSTGAATATVPLLNPSVRLPTCL >itb03g17790.t1 pep chromosome:ASM357664v1:3:16242708:16243886:-1 gene:itb03g17790 transcript:itb03g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFNVPPVVFPSGGNPGPQQRRLPTAPFQPSKASTPSIPFMSFDIGSAAASTSFSTPQYASATIGGGGGSGGSTGFEDEPPLLEELGINTKQIYQKTLSILNPFRVKAYLHEDADLSGPCLFLMAFGLFQLLAGKLHFGILLGWVIMASSFLYVVFNMLAGRNGDLDLYRCVSLIGYCMLPIVILSAISLFLPGGMAINVVAGVFVLWSTRVCTKLLVELASCGDEHHGLIAYACFLIYMFFSLLVIF >itb04g21150.t1 pep chromosome:ASM357664v1:4:26247096:26247743:1 gene:itb04g21150 transcript:itb04g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFWSLIIPRLIFRMKIKPKQCLLVYASPPAKNSGNYWPELQINRNFIVLNQEFIQPLNKNAMSLNEVEETIELRGLSVDLNEEAMSLNEAEEENVPDTPSFVDSLHRLHRKLLLLEKKDSASEESLDGSVIGDLDGLDPVSTIERLKTALKAERKALHAVYTELEEERSASAVAANQMMAMINRLQEEKGAMQMEALQYQRMMDEQSEYDQDAL >itb12g14340.t1 pep chromosome:ASM357664v1:12:13838417:13842467:-1 gene:itb12g14340 transcript:itb12g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKKKHRRSSEPSSPQRDEVKRKRHRSEEDERGRGKRRTVPGRRRSINLINLPNAALIKRSQEKSIKVKATSIRIPQNGTRESLPANTMKAYQLGHDHLTSGTSTSLRNDLASQSSDKSGDLSIVLDSQKEMAQSAKPISSPVPVSMYPTLAFFMIAVGLVVTASFFIYEATSSRKNRSLAKELTIASVASVFMGFGSLFLLLALGVFV >itb04g00580.t2 pep chromosome:ASM357664v1:4:308121:312109:-1 gene:itb04g00580 transcript:itb04g00580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSFAALDITVCPEEQILTCHQIDPDDVDTENQDESVVAMIEEVQSGDEAPNSEDSDWNMDSRVTKVKTLHISSPILAAKSPFFYKLFSNGMKESEQRQVTLQIHASEEAALMELLNFMYSNSLTTTSAPALLDILMAADKFEVASCMRYCSRQLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAARYKDVSKFQEEVMNLPLAGIEAILSSDDLQVASEDAVYDLVLKWTRSHYPKLEDRHEILGSRLGRYIRFPYMSCRKLRKILVCNDFDHEFASKHVLDALFFKAEAPHRQRTQAAEGSTSASRRFVERAYKYRPIKVVEFEVPRQQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEYISKYKGNYTFTGGKAVGYRNLFGVQWTSFMADDSPYFINGILHLRAELTIRH >itb04g00580.t4 pep chromosome:ASM357664v1:4:308121:311401:-1 gene:itb04g00580 transcript:itb04g00580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSFAALDITVCPEEQILTCHQIDPDDVDTENQDESVVAMIEEVQSGDEAPNSEDSDWNMDSRVTKVKTLHISSPILAAKSPFFYKLFSNGMKESEQRQVTLQIHASEEAALMELLNFMYSNSLTTTSAPALLDILMAADKFEVASCMRYCSRQLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAARYKDVSKFQEEVMNLPLAGIEAILSSDDLQVASEDAVYDLVLKWTRSHYPKLEDRHEILGSRLGRYIRFPYMSCRKLRKILVCNDFDHEFASKHVLDALFFKAEAPHRQRTQAAEGSTSASRRFVERAYKYRPIKVVEFEVPRQQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEYISKYKGNYTFTGGKAVGYRNLFGVQWTSFMADDSPYFINGILHLRAELTIRH >itb04g00580.t3 pep chromosome:ASM357664v1:4:308210:312109:-1 gene:itb04g00580 transcript:itb04g00580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNLDLFDPRTAVMDPDYSPTTARQPDFAFAFNDSNFSDRLLRIEIVADSSDQLSDSEGCQSLADWARHRKRRKEDTKNENDMCHSFAALDITVCPEEQILTCHQIDPDDVDTENQDESVVAMIEEVQSGDEAPNSEDSDWNMDSRVTKVKTLHISSPILAAKSPFFYKLFSNGMKESEQRQVTLQIHASEEAALMELLNFMYSNSLTTTSAPALLDILMAADKFEVASCMRYCSRQLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAARYKDVSKFQEEVMNLPLAGIEAILSSDDLQVASEDAVYDLVLKWTRSHYPKLEDRHEILGSRLGRYIRFPYMSCRKLRKILVCNDFDHEFASKHVLDALFFKAEAPHRQRTQAAEGSTSASRRFVERAYKYRPIKVVEFEVPRQQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEYISKYKGNYTFTGGKAVGYRNLFGVQWTSFMADDSPYFINGILHLRAELTIRH >itb04g00580.t1 pep chromosome:ASM357664v1:4:308075:312109:-1 gene:itb04g00580 transcript:itb04g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNLDLFDPRTAVMDPDYSPTTARQPDFAFAFNDSNFSDRLLRIEIVADSSDQLSDSEGCQSLADWARHRKRRKEDTKNENALDITVCPEEQILTCHQIDPDDVDTENQDESVVAMIEEVQSGDEAPNSEDSDWNMDSRVTKVKTLHISSPILAAKSPFFYKLFSNGMKESEQRQVTLQIHASEEAALMELLNFMYSNSLTTTSAPALLDILMAADKFEVASCMRYCSRQLRNLPMTPESALLYLELPSSVLMAEAVQPLTDAAKQFLAARYKDVSKFQEEVMNLPLAGIEAILSSDDLQVASEDAVYDLVLKWTRSHYPKLEDRHEILGSRLGRYIRFPYMSCRKLRKILVCNDFDHEFASKHVLDALFFKAEAPHRQRTQAAEGSTSASRRFVERAYKYRPIKVVEFEVPRQQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEYISKYKGNYTFTGGKAVGYRNLFGVQWTSFMADDSPYFINGILHLRAELTIRH >itb13g02080.t1 pep chromosome:ASM357664v1:13:1902248:1903161:-1 gene:itb13g02080 transcript:itb13g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLQLLSTTTLLPQLLADNVRKRRGRRLVETQSRAKLTASLPAREEESEDDDGGRCPVTAIDQGRRPPLKVRRSSVRHWFPIVVHAGEENPGGVQPHLVANHHAVFDRQAHRHPACLFAGGEETLEMSCYRLAARRSFTHRPPARLPSKTTTSDLLVNALSKTPAVLAWRRCHAEGGHHRIYRSYGVRRW >itb01g17780.t1 pep chromosome:ASM357664v1:1:22513516:22518916:1 gene:itb01g17780 transcript:itb01g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALFLTLFVLLCAIAVTVHSHSHSSSETNFKKKQKGEQCKAWLVQSIPTDMPLLPRVAGVLSSADVLQWLAGNSSKSLDIIAQYWQLIASPSDPLSGDYGYSKDDMQRFGANDGLKVYRAIEDAANRNIAIRFLQHSGVFPDYTKEPSALASGRPNVKNVTLLLSDWWESGIVHAKVWISDSRDVYIGSTNNDWKSLTQVKELGVYLVGCSKIAKKVEVYYNNLWKLAHLNASAYTKFIWDQQWQINRLVPCWSHFLHPKERCNSPLPKYVDIAHVSGYPELSDPYMFHIPIETPGCNSSVLQPEHSYLSFAPPELLFDKYQTDEQAWVDTIKSVGNGATVRISTMDWLGQSGYTKQPVYWPSLSTAISEVVFSKHAKVKLLVAYWAHNINNTDQYLKSLLYSNALCSSSEENNCTGKVEIKYYMVPGFNLTGPAISNGTVTGNKYPGYTRVNHGKYAVSDVRAHIGTSNLLWDYFYADSGVSFGTYNTAIVSQLQEIFDADWNSSYAVPVQPLQDGHSYSSI >itb04g28100.t1 pep chromosome:ASM357664v1:4:31937308:31940303:-1 gene:itb04g28100 transcript:itb04g28100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPEREHREEEEAAAAAEDEDTGAQVAPIIKLEEVAVTTGEENEDAILDLKSKLYRFDKEGNQWKERGVGSVKLLKHKETGKVRLVMRQAKTLKICANHLVLPSMTIQEHSGNEKSCLWHAADFADGELKDELFCIRFSSVENCKTFMEMFQEVAESQKKEENKDASATAGLLEKLSVEEKKAEEKTEEKVEEKSEKETSVESEGKASEVAEKKDESSST >itb11g11950.t1 pep chromosome:ASM357664v1:11:8853039:8855289:1 gene:itb11g11950 transcript:itb11g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNKQPLIASVVVAAVLCLLLGVSGEDSPAAAGGPAGAGSILDAAADVGGNGGPMACMGKLGPCEKFLSDPSSEPSPECCTPMKEVVTKEKVCLCTLFKNAALLQSVNMTQDNALGIAKKCGADADPSICKDVAIPPSANLAAESQSSTTNTNTPSESGAINGRQLASPSAVFLVLLSFLGLM >itb07g06010.t1 pep chromosome:ASM357664v1:7:4188930:4193618:-1 gene:itb07g06010 transcript:itb07g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGAYYTPLAQYSYYQQPPPPPGTAHQPPVPPPSAQLPHHHQFHPYQPPVPSYAAPLYTPPHSHDEVRTLFVAGLPEDVKPREIYNMFREFPGYESSHLRSPTSNTQPFAFAVFVDQQSAIAAMYELNGIVFDLEKGSTLFIDLAKSNSRSKRQRTADDDRPGSEKRMKGSAVISRVTESAGVGNIHFPGMGSSAYNTVGYPPTQSYANFDGRAMNEGNANKSAAPPCPTLFVANLGPNCSEQELTQVFSRCRGFLKLKMQSTYGAPVAFVDFKDTACSTEALSHLQGTILYSSPGEGMRLEYAKSRMGMRSKKSR >itb07g06010.t5 pep chromosome:ASM357664v1:7:4190161:4193625:-1 gene:itb07g06010 transcript:itb07g06010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGAYYTPLAQYSYYQQPPPPPGTAHQPPVPPPSAQLPHHHQFHPYQPPVPSYAAPLYTPPHSHDEVRTLFVAGLPEDVKPREIYNMFREFPGYESSHLRSPTSNTQPFAFAVFVDQQSAIAAMYELNGIVFDLEKGSTLFIDLAKSNSRSKRQRTDDDRPGSEKRMKGSAVISRVTESGVGNIHFPGMGSSAYNTVGYPPTQSYANFDGRAMNEGNANKSAAPPCPTLFVANLGPNCSEQELTQVFSRCRGFLKLKMQSTYGAPVAFVDFKVHFQHHFLYKSSYSFYVSNFAC >itb07g06010.t2 pep chromosome:ASM357664v1:7:4188930:4193623:-1 gene:itb07g06010 transcript:itb07g06010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGAYYTPLAQYSYYQQPPPPPGTAHQPPVPPPSAQLPHHHQFHPYQPPVPSYAAPLYTPPHSHDEVRTLFVAGLPEDVKPREIYNMFREFPGYESSHLRSPTSNTQPFAFAVFVDQQSAIAAMYELNGIVFDLEKGSTLFIDLAKSNSRSKRQRTADDDRPGSEKRMKGSAVISRVTESGVGNIHFPGMGSSAYNTVGYPPTQSYANFDGRAMNEGNANKSAAPPCPTLFVANLGPNCSEQELTQVFSRCRGFLKLKMQSTYGAPVAFVDFKDTACSTEALSHLQGTILYSSPGEGMRLEYAKSRMGMRSKKSR >itb07g06010.t6 pep chromosome:ASM357664v1:7:4190161:4193618:-1 gene:itb07g06010 transcript:itb07g06010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGAYYTPLAQYSYYQQPPPPPGTAHQPPVPPPSAQLPHHHQFHPYQPPVPSYAAPLYTPPHSHDEVRTLFVAGLPEDVKPREIYNMFREFPGYESSHLRSPTSNTQPFAFAVFVDQQSAIAAMYELNGIVFDLEKGSTLFIDLAKSNSRSKRQRTADDDRPGSEKRMKGSAVISRVTESAGVGNIHFPGMGSSAYNTVGYPPTQRSSALLKHILYYLEVICFSLDNATEFNSLLAERLRA >itb07g06010.t3 pep chromosome:ASM357664v1:7:4188930:4193625:-1 gene:itb07g06010 transcript:itb07g06010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGAYYTPLAQYSYYQQPPPPPGTAHQPPVPPPSAQLPHHHQFHPYQPPVPSYAAPLYTPPHSHDEVRTLFVAGLPEDVKPREIYNMFREFPGYESSHLRSPTSNTQPFAFAVFVDQQSAIAAMYELNGIVFDLEKGSTLFIDLAKSNSRSKRQRTDDDRPGSEKRMKGSAVISRVTESGVGNIHFPGMGSSAYNTVGYPPTQSYANFDGRAMNEGNANKSAAPPCPTLFVANLGPNCSEQELTQVFSRCRGFLKLKMQSTYGAPVAFVDFKDTACSTEALSHLQGTILYSSPGEGMRLEYAKSRMGMRSKKSR >itb07g06010.t4 pep chromosome:ASM357664v1:7:4188930:4193623:-1 gene:itb07g06010 transcript:itb07g06010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGAYYTPLAQYSYYQQPPPPPGTAHQPPVPPPSAQLPHHHQFHPYQPPVPSYAAPLYTPPHSHDEVRTLFVAGLPEDVKPREIYNMFREFPGYESSHLRSPTSNTQPFAFAVFVDQQSAIAAMYELNGIVFDLEKGSTLFIDLAKSNSRSKRQRTADDDRPGSEKRMKGSAVISRVTESGVGNIHFPGMGSSAYNTVGYPPTQSYANFDGRAMNEGNANKSAAPPCPTLFVANLGPNCSEQELTQVFSRCRGFLKLKMQSTYGAPVAFVDFKDTACSTEALSHLQGTILYSSPGEGMRLEYPMLAF >itb09g01670.t1 pep chromosome:ASM357664v1:9:1015041:1016264:-1 gene:itb09g01670 transcript:itb09g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLQLQILLVLLILISLFISGATTTADLTTTVDVTTTADVISPSPENSASFDGNLYTPPVFASILYSLGFQELSTAAAGANLSTGAPITIFAPADSSLLTCRSCSPRQLLHEHSVPGLYPLEFLRKIASGTKIQTLAENRCLTITTSPSVTQDQPKVFVNGAMITQPDLFYNGVIVVHGIQGLVAHLSPMSCSMEHMNSLSFPPPSSVSSPHETKVSIMRLMLKDAVVRLESSRYRIVALAMRVKFAELSKLNAMTVFALEDSNLLASNGFLYLSNFRFHVVPNRRILAPELLGLPTGSVLPTMDSAHELVLTTGGEGGTVSPIKINYISVPTLDLIHNSRIVIHAVSSPFPHMYHHKTRDGFGQIEESRCDLTSGDGVCNADTPTPPAIKPSTAEGYATGVLD >itb09g01670.t2 pep chromosome:ASM357664v1:9:1015041:1015688:-1 gene:itb09g01670 transcript:itb09g01670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSMEHMNSLSFPPPSSVSSPHETKVSIMRLMLKDAVVRLESSRYRIVALAMRVKFAELSKLNAMTVFALEDSNLLASNGFLYLSNFRFHVVPNRRILAPELLGLPTGSVLPTMDSAHELVLTTGGEGGTVSPIKINYISVPTLDLIHNSRIVIHAVSSPFPHMYHHKTRDGFGQIEESRCDLTSGDGVCNADTPTPPAIKPSTAEGYATGVLD >itb11g02530.t1 pep chromosome:ASM357664v1:11:1288128:1289333:-1 gene:itb11g02530 transcript:itb11g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKKAAGVSIPQEIVFEILSKLSAKTLVRLRCVSKPFCALIADHGFGVVHRSLSLTLPSRAGVLISIGSQSPHARRPSAYYTLNFSPGRRPGMLQANRVGYLDAQSFLCSSSSDGLIICVSRPNGDFAVCNVSTGQRIFLPTLIQYQNCALHLGYDSQSKRYKVLMSVLIRSPMRSRGICFEYKHWVFTVGVDKSWREINNYCSSPFYPFVGYRYPYYSNTSVYIDGVIYSYNSLSENNMVPRYHIVAFEVGCESFSMITLPDKVSPPHYFLKNSALLEVEGQLAIVLVRLPELGEGDGLCYIWTWEKSKEDWEEITMTIPLKWDRMNIKYARLLRFATNYDGEIVLLCIYAEKFFILVCNLKSEAWRKFDVSGVEDFPIFDSSEVTLHNVVDHVFPLE >itb09g05420.t1 pep chromosome:ASM357664v1:9:3090129:3092933:-1 gene:itb09g05420 transcript:itb09g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFSFLFACFSSSQRISSEGDKVSKQNSEAVVMEGKEEKMEKYTPSCGSHVVQSWRLGSGDGFLNRLRLRQKWGCSDLNSLPKPLTATDFSSTSNDGSKVKVAYQGIPGAHSEAAALKAYPNCETVPCDQFEAAFKAVELWLVDKAVLPIENSVGGSIHHNYDLLLRHRLHIVGEVRLLINHCLLGLPGVRKEELKRVLSHPQALDQCEMTLSKFGIVRVSADNTAGAAQMVASEGARDTGAVASARAAEIYGLDVVAERIQDYSDNVTRFLILAREPMIPRTDRLHKTSIVFTLEEGPGVLFKALACFSLRDINLSKIESRPQRKWPLRIVGDDSNKRSAKYFDYLFYIDLEASMAEPRAQHALRHLQEIAKFIRVLGCYPMDGSL >itb13g22150.t1 pep chromosome:ASM357664v1:13:28502296:28503813:1 gene:itb13g22150 transcript:itb13g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGIREDMGDGSMQCVNHPYKNSSPGGVCALCLQEKLGKLVSSSFPSAIFPSSSSSSTPSVRSEIGGAIASSQPVQMANKAGECNNGDHHQFEAARKPRIPFQRRKKKGNNGEASGTVFKRSKSANTPRNRMGFLENDENDGDDSISPRKRGFWSFLYYSASKKIEKPGGGVKDSTFPSSSASGNGSNVVRDKKRESFAVVEEENERSDNQGAHERKVSRSRSVGCGSRSFSGDLFERISTGFGDCTLRRVESQREGKPKAPSVQHRNSGASGQDCIRERVKCGGIFSGFMIIASSSSSSSDWVSSEENGKPTNPTIPPSSVDHHHQLAHGRSKNWGWALASPMRAFTKPSSTGKTEASNKNTTPNLAAIPSLLTVRS >itb07g18750.t1 pep chromosome:ASM357664v1:7:23190044:23191857:-1 gene:itb07g18750 transcript:itb07g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICARRVVVDARHHMLGRLASILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYHRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGKLSSEVGWNHYDTIKILENKRKERAQATYERRKQLTKLRVKAEKAAEEKLGSQLDILSSVKY >itb10g02280.t1 pep chromosome:ASM357664v1:10:1920214:1922199:-1 gene:itb10g02280 transcript:itb10g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFHSGLVVLAALFLLGAWESLVTARTLEETSLFLRHESWMARHGRTYKTEAEKAKRFRIFKENLEFIEKFNKVANRSYKLGVNKFTDLTKEEFRATLLNEEKSIPRPKPSKPASFLNESLAQAPDSLDWRERGAVTGIKDQGNCGCCWAFAVVAAVEGITKIRTGKLISLSEQQLLDCDLNSDGCGGGIRTEAFQFVKDNGGLVTESDYPYEGAQESCNAQNLGTPAATISGYQEVEPSESALLAAVTNQPVSAGISLDGGLFHHYSSGVFTGDNGDCGSGSRHAITIVGYGTSDEGKDYWLVKNSWGTDWGENGYMRMARGINSDGVCGVNTRASYPTA >itb09g11290.t1 pep chromosome:ASM357664v1:9:7046085:7048139:1 gene:itb09g11290 transcript:itb09g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLEVSMFHGSAAAALMADESSVVKPSSPALPLKDRVAIVTGSSRGIGKAIALHLSSLGAKLVVNYTANSDQANAVVSQLNSSADSPRAIAVRADVSDPAQVKALFDAAESAFNSPVHILVNSAGVLDAKYPSLADTAIEDFDRTFNINTRGAFVCCKEAANRITRGGGGRIICLTSSTTAALRPGFGAYAASKAAVEAMVKILAKELKGTGITANCVAPGPVATEMFFEGKSEEVIQRVIDESPLGRLGTTEDIAPVVAFLAGDGGGWVNGQTIRVNGGYV >itb03g26580.t1 pep chromosome:ASM357664v1:3:26121763:26122068:1 gene:itb03g26580 transcript:itb03g26580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKNAPLPKFGEWDVKNPAAAKDFSVIFDKARNAKRDGGRAPSRNATRRDQLDSPTRQDRPNSNISPSPNTPGGAHFLFPGKSLTGRKWLCCVGRRRHTD >itb12g24690.t1 pep chromosome:ASM357664v1:12:26136769:26138714:1 gene:itb12g24690 transcript:itb12g24690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRITVINARFLREAVQAVPVAGSGMVIMIWSPEYFAVQSVFAEKMPEGENPLTLLKISPEGFTSYDSTDRFFNAAVEAAELEAVFHTAKDSDPIEFYQSVEEDNGLNEFGYLHARFNRPVDLAAGLVSSGAFMNDYIPMKIYGIFPERVLQAADVSHDPSLIQYPPAAVFVARHFAHYIATLETFGMTATITVLDNEVFFTTEARPLDPLIMPQKAGLISTREDCGIKPVRFDVHMQNLDIQPGAAVSDEVSLYKRTDTVFLFWFRLATPKTFLKGHIIYYFY >itb01g15060.t1 pep chromosome:ASM357664v1:1:17291089:17291436:-1 gene:itb01g15060 transcript:itb01g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVKKLGRKGRVRSSSGHEEEYLLKSGGEEKTAAGSLAVYVGEERQRFVVPTSYLSHPLFKILLEKAYDEFGFAQRGGLAVPCSVAAFREVVSAVECCNGQFCFGELVQDFLG >itb13g09400.t1 pep chromosome:ASM357664v1:13:12896772:12900459:1 gene:itb13g09400 transcript:itb13g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQHQPRHEQQLSYQVAKTATAVTMGGSLMLLSGLTLTATIIGLVVVTPLLVIFSPVLVPAALTTFFILAGFLASGSFGATATFVFYWMYRYATGKHPIGADKIDYAREKLSNAAQDMKEKAEQLAAQPGNKDRRRRVLVLGIAAEGDVGGSSAAAKRDAEPAIALDSQPVSPLPLHPPSTAASLCGSSGGGGVVNNNEG >itb01g28390.t1 pep chromosome:ASM357664v1:1:32844552:32845734:-1 gene:itb01g28390 transcript:itb01g28390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVKSTGFLAICAIFIITAVLSSPAAVTASAGAYEIGYFPMAMPSASSKICDGSVGECLSDDEFELDTESNRRILAYRQRYISYGALSRNRVPCSRRGASYYNCRPGAPANPYRRGCSAITHCRG >itb15g08840.t2 pep chromosome:ASM357664v1:15:6260214:6263003:-1 gene:itb15g08840 transcript:itb15g08840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVGAVYHPRNYYLLHLDLEAEDGERMELGKFAKSEVVIGEFKNVLVIGKADLVTYRGPTVMASTLHAVAILLKKAKNWDWFINLSASDYPLMPQDDILHVFSFLPRDLNFLEHSTNLGWKEFQRARPIIVDPGLYHSKKSGVFWAKEKRSMPAAFKLFMGSEWVVLTRPFLEFCIWGWDNLPRTLLMYYTNFLSSPEGYFHTVVCNHKDFQNTTVNHDLHYLKWDSPPKQNPMNLTMEHFEDMVQSGAPFARTFATDDPVLNKIDRELLRRSDGLFTPGGWCVGSPGVGKDPCLTHGSSDAVKPSAGSRRLEKLVLKLLDSEYFRFTQCK >itb15g08840.t1 pep chromosome:ASM357664v1:15:6260214:6263033:-1 gene:itb15g08840 transcript:itb15g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKIRFAGNKRWSVPLFCLSLFILILVSILIINRPKGHKSSSPFPHSESRASGSDFGLLGSGSLPELPRLAYLISGSKGDGRRMKRLVGAVYHPRNYYLLHLDLEAEDGERMELGKFAKSEVVIGEFKNVLVIGKADLVTYRGPTVMASTLHAVAILLKKAKNWDWFINLSASDYPLMPQDDILHVFSFLPRDLNFLEHSTNLGWKEFQRARPIIVDPGLYHSKKSGVFWAKEKRSMPAAFKLFMGSEWVVLTRPFLEFCIWGWDNLPRTLLMYYTNFLSSPEGYFHTVVCNHKDFQNTTVNHDLHYLKWDSPPKQNPMNLTMEHFEDMVQSGAPFARTFATDDPVLNKIDRELLRRSDGLFTPGGWCVGSPGVGKDPCLTHGSSDAVKPSAGSRRLEKLVLKLLDSEYFRFTQCK >itb12g11890.t4 pep chromosome:ASM357664v1:12:10343281:10350418:-1 gene:itb12g11890 transcript:itb12g11890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MGSKECMNGLCRANSSVQWKKGWPLRSGELSTLCNTCGTAYEQLVFCDVFHSNDTGWRECSSCGKRLHCGCIASSSLLELLESGGVSCISCVKSSQLHNTLTQEKDKGCTANSVGEEHSTSGGSQMNGCNIEKTISMQFDDGSESLSHKPSLPSQHDTRSKPLCQIKLEEVLHPTGEAGSTFPSNFNQALFESSQPSKSKLYNTHIGAKEVPGSPVQTNLSISLSVPSGNTNIGAETVVEERELGKMISSFAQGSRSRHIVPKPPKSSFAAGLETTASMVPQIRVARPPVEGRIKNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDIKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVIFSRMDPEGKLLMGFRKASNAIPAQDANLGVIPCGAFSNETFFSAVTESVPIMSGYSGMLQSFRGSRDASLNASSKHLSGGDLSWYLNEKIGNKNGDGPHSLSLMTSERKRSRNLGSKSKRLLIDRHDALELKLSWEEIQDMLRPPLSARLSTVMIEDHEFEEYEVRNFKLIKSLARCITEPPVFAKRSIFTVRLSGEQEQWAQCDNCFKWRRLPADYLLPPQWTCRDNIWDVGRCSCSASDELAPQELECLLKMDKDLKKRRNATSHKSVNTKGSCDVDAQANGGGPRDDVQEPGSSSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPSCSCNVCMTVKRRFKTLMMRKKKRQSEREAELAQRNQFVWGAKEEAEVDSIPRQVKVQLHLSDKERSWSDLQPSGGQSSDQLHKIPESGSGKAPLDLNCHPDREVAGSSSRVSMVSLLQRASLPLENYLKQNGLMSLASEQQGSSGSQAVVPQDAGENEVQAHDDQCNATAVEEHDSDFCDELSERDESAKEP >itb12g11890.t2 pep chromosome:ASM357664v1:12:10343281:10350418:-1 gene:itb12g11890 transcript:itb12g11890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MGSKECMNGLCRANSSVQWKKGWPLRSGELSTLCNTCGTAYEQLVFCDVFHSNDTGWRECSSCGKRLHCGCIASSSLLELLESGGVSCISCVKSSQLHNTLTQEKDKGCTANSVGEEHSTSGGSQMNGCNIEKTISMQFDDGSESLSHKPSLPSQHDTRSKPLCQIKLEEVLHPTGEAGSTFPSNFNQALFESSQPSKSKLYNTHIGAKEVPGSPVQTNLSISLSVPSGNTNIGAETVVEERELGKMISSFAQGSRSRHIVPKPPKSSFAAGLETTASMVPQIRVARPPVEGRIKNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDIKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVIFSRMDPEGKLLMGFRKASNAIPAQDANLGVIPCGAFSNETFFSAVTESVPIMSGYSGMLQSFRGSRDASLNASSKHLSGGDLSWYLNEKIGNKNGDGPHSLSLMTSERKRSRNLGSKSKRLLIDRHDALELKLSWEEIQDMLRPPLSARLSTVMIEDHEFEEYEEPPVFAKRSIFTVRLSGEQEQWAQCDNCFKWRRLPADYLLPPQWTCRDNIWDVGRCSCSASDELAPQELECLLKMDKDLKKRRNATSHKSVNTKGSCDVDAQANGGGPRDDVQEPGSSSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPSCSCNVCMTVKRRFKTLMMRKKKRQSEREAELAQRNQFVWGAKEEAEVDSIPRQVKVQLHLSDKERSWSDLQPSGGQSSDQLHKIPESGSGKAPLDLNCHPDREVAGSSSRVSMVSLLQRASLPLENYLKQNGLMSLASEQQGSSGSQAVVPQDAGENEVQAHDDQCNATAVEEHDSDFCDELSERDESAKEP >itb12g11890.t3 pep chromosome:ASM357664v1:12:10343281:10350418:-1 gene:itb12g11890 transcript:itb12g11890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MGSKECMNGLCRANSSVQWKKGWPLRSGELSTLCNTCGTAYEQLVFCDVFHSNDTGWRECSSCGKRLHCGCIASSSLLELLESGGVSCISCVKSSQLHNTLTQEKDKGCTANSVGEEHSTSGGSQMNGCNIEKTISMQFDDGSESLSHKPSLPSQHDTRSKPLCQIKLEEVLHPTGEAGSTFPSNFNQALFESSQPSKSKLYNTHIGAKEVPGSPVQTNLSISLSVPSGNTNIGAETVVEERELGKMISSFAQGSRSRHIVPKPPKSSFAAGLETTASMVPQIRVARPPVEGRIKNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDIKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVIFSRMDPEGKLLMGFRKASNAIPAQDANLGVIPCGAFSNETFFSAVTESVPIMSGYSGMLQSFRGSRDASLNASSKHLSGGDLSWYLNEKIGNKNGDGPHSLSLMTSERKRSRNLGSKSKRLLIDRHDALELKLSWEEIQDMLRPPLSARLSTVMIEDHEFEEYEEPPVFAKRSIFTVRLSGEQEQWAQCDNCFKWRRLPADYLLPPQWTCRDNIWDVGRCSCSASDELAPQELECLLKMDKDLKKRRNATSHKSVNTKGSCDVDAQANGGGPRDDVQEPGSSSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPSCSCNVCMTVKRRFKTLMMRKKKRQSEREAELAQRNQFVWGAKEEAEVDSIPRQVKVQLHLSDKERSWSDLQPSGGQSSDQLHKIPESGSGKAPLDLNCHPDREVAGSSSRVSMVSLLQRASLPLENYLKQNGLMSLASEQQGSSGSQAVVPQDAGENEVQAHDDQCNATAVEEHDSDFCDELSERDESAKEP >itb12g11890.t1 pep chromosome:ASM357664v1:12:10343217:10350514:-1 gene:itb12g11890 transcript:itb12g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MGSKECMNGLCRANSSVQWKKGWPLRSGELSTLCNTCGTAYEQLVFCDVFHSNDTGWRECSSCGKRLHCGCIASSSLLELLESGGVSCISCVKSSQLHNTLTQEKDKGCTANSVGEEHSTSGGSQMNGCNIEKTISMQFDDGSESLSHKPSLPSQHDTRSKPLCQIKLEEVLHPTGEAGSTFPSNFNQALFESSQPSKSKLYNTHIGAKEVPGSPVQTNLSISLSVPSGNTNIGAETVVEERELGKMISSFAQGSRSRHIVPKPPKSSFAAGLETTASMVPQIRVARPPVEGRIKNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDIKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVIFSRMDPEGKLLMGFRKASNAIPAQDANLGVIPCGAFSNETFFSAVTESVPIMSGYSGMLQSFRGSRDASLNASSKHLSGGDLSWYLNEKIGNKNGDGPHSLSLMTSERKRSRNLGSKSKRLLIDRHDALELKLSWEEIQDMLRPPLSARLSTVMIEDHEFEEYEEPPVFAKRSIFTVRLSGEQEQWAQCDNCFKWRRLPADYLLPPQWTCRDNIWDVGRCSCSASDELAPQELECLLKMDKDLKKRRNATSHKSVNTKGSCDVDAQANGGGPRDDVQEPGSSSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPSCSCNVCMTVKRRFKTLMMRKKKRQSEREAELAQRNQFVWGAKEEAEVDSIPRQVKVQLHLSDKERSWSDLQPSGGQSSDQLHKIPESGSGKAPLDLNCHPDREVAGSSSRVSMVSLLQRASLPLENYLKQNGLMSLASEQQGSSGSQAVVPQDAGENEVQAHDDQCNATAVEEHDSDFCDELSERDESAKEP >itb14g14710.t1 pep chromosome:ASM357664v1:14:17621328:17622215:-1 gene:itb14g14710 transcript:itb14g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECALALVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb01g04140.t1 pep chromosome:ASM357664v1:1:2743598:2746609:1 gene:itb01g04140 transcript:itb01g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMIWFCLLMSFFTKGSSDIAMRYHCENYNTYTPNSTYKANLDSLLSTLSSHTARANNGFYQITVGSRGSDEAVYGSFLCRGDVSKDGCRNCVGRAGKTILELCNNGTTAMIWKNSMLGIVDQSNWLTAKNEENDTRPNAYMETVGKTLDQIITRASSGSDKKFAVLEANFSASERVYALGQCTPDLSNVDCQICFRNLIPMLRHCCYGAVGGRAAFPSCNIRYERYPFYDLAAVAASEPPPPPPPKASPTYGNNGISSTKLVIAIVVLVIGIILLIAIFCFPRFKNFKKQNTKLQTTGNASQQSRSSCKKAFQRFRTRSPRVQE >itb12g17320.t2 pep chromosome:ASM357664v1:12:19306328:19311759:-1 gene:itb12g17320 transcript:itb12g17320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSFQPIHKPYTGGSAFPDSSWCRRQWTRRRSLTLRCGIAEPSGQPAPMGQKTKYKDGLFEKAFMTLFARKMEKFADKKKGSPAKGWFGYDYESFVDVSRRVMQGRSRPQQQEVVREVLLSMLPPGAPAQFKKLFPPTKWAAEFNAAVTVPFFYWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPSMFHSLFSFFVNCNITLCTITTSTK >itb12g17320.t1 pep chromosome:ASM357664v1:12:19305777:19311759:-1 gene:itb12g17320 transcript:itb12g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSFQPIHKPYTGGSAFPDSSWCRRQWTRRRSLTLRCGIAEPSGQPAPMGQKTKYKDGLFEKAFMTLFARKMEKFADKKKGSPAKGWFGYDYESFVDVSRRVMQGRSRPQQQEVVREVLLSMLPPGAPAQFKKLFPPTKWAAEFNAAVTVPFFYWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMVYGQPPPPYEQDPVAKQPCFADICSMAKPSSSVCHKLQA >itb05g15870.t1 pep chromosome:ASM357664v1:5:23199650:23206457:1 gene:itb05g15870 transcript:itb05g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLTGEEHRSYATTQTEEHIRAGYSFGSGVSRGSARLFFMFRFLVRKSKNLPKEAAIGVDTWCVSVETAHKWECAFAKKQQKLVQTTTNLVDEVWKSRPPAEVNPVMVHPLEFSGRCVADKLKDLREKLVQEKARAIIITGLDEVAWLYNIRGTDVSYCPVAHAFAVVTLVSAFLYVDNRKLSSEVTSFMEENGIEVRDYGEVSKDVTLLACGQLIHSWSKGSQTSSSLGEETKVNGSADKGNDLIWVDPGQCCFALYSKLNVEKVRLQQSPIALAKALKNPVEMEGLKKAHIRDGAAVVQYLVWLDKQMQEIYGASGYFIEAETTCQKKQPNVLKLTEVSVSDKLEEFRASKEHFRGLSFPTISSVGSNAAIIHYSPAVETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPTLHEKACYTAVLKGHIALGNARFPNGTNGNTLDILARTALWRYGLDYRHGTGHGIGSYLNVHEGPHQISFRPAATNVPLQASMTVTDEPGYYEDGNFGVRLENVLIIKEADTKFNFGEKGYLSFDHITWAPYQRKLIDLSLLVPEEVEWLNDYHSKCKEILAPYLNDSEMAWLSKATEPVAA >itb15g10670.t4 pep chromosome:ASM357664v1:15:8363732:8369473:-1 gene:itb15g10670 transcript:itb15g10670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKKFLTVAPFECAWRDDLRFREAGRGCVAFDAFAHNDVTVVFREHVGSQHYHYKRDDSPHYTVIIGSHRNRRLKIEVDGRTVVDVASVDLCCSSTFQSYWIGIYDGLISIGKGRYPFQNLCLQWHDSKPNCSVQYVGLSSWDKHVGYRNVSVLPITQNHISLWKQVDYVGYDSEDANTELEDRAGDFEDWGLKNFLESWELSDVFFIVGNEQRAVPAHKVILAAAGDFGLSSSGDVINLQDVSYPVLHAFLEYIYTGHTQIPEPEISSLKALSLKFEVAPLVKQCEEIIAHISLHKRDLDSGKNVEISYASWVHSSNAFPYGLPINKWRLEEIFLTGKYSDLNIYIGDLIVPCPSHKVILGLWSVPFTKMFTNGMKESFDSTVFLRDVHFEAFQTMLEFIYTGELKKEVTRDINTLLLHLLLLADKFGISLLHQECCKTILEHLSEDTLCPILRVIASVPSCKLIEETCEREFSMHFDYCTTTSNDFVMLDEATFSVILQHPELTVTSEEKVLNAILLWCLQAEEYFGWERIDEMMMNSTPELLFGERLTSLNMFLHFVRFPLLPSALLEKLERSQLSQKIPTFDQLVKEAINFLEFGSTCLEKSQSVKFQHRRSSFKELQYICDGDSNGVLYFAGTSYGAHQWVNPVLSKRVAVTASSPFSRFTDPKVLVSRNFQV >itb15g10670.t1 pep chromosome:ASM357664v1:15:8363686:8369495:-1 gene:itb15g10670 transcript:itb15g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKKFLTVAPFECAWRDDLRFREAGRGCVAFDAFAHNDVTVVFREHVGSQHYHYKRDDSPHYTVIIGSHRNRRLKIEVDGRTVVDVASVDLCCSSTFQSYWIGIYDGLISIGKGRYPFQNLCLQWHDSKPNCSVQYVGLSSWDKHVGYRNVSVLPITQNHISLWKQVDYVGYDSEDANTELEDRAGDFEDWGLKNFLESWELSDVFFIVGNEQRAVPAHKVILAAAGDFGLSSSGDVINLQDVSYPVLHAFLEYIYTGHTQIPEPEISSLKALSLKFEVAPLVKQCEEIIAHISLHKRDLDSGKNVEISYASWVHSSNAFPYGLPINKWRLEEIFLTGKYSDLNIYIGDLIVPCPSHKVILGLWSVPFTKMFTNGMKESFDSTVFLRDVHFEAFQTMLEFIYTGELKKEVTRDINTLLLHLLLLADKFGISLLHQECCKTILEHLSEDTLCPILRVIASVPSCKLIEETCEREFSMHFDYCTTTSNDFVMLDEATFSVILQHPELTVTSEEKVLNAILLWCLQAEEYFGWERIDEMMMNSTPELLFGERLTSLNMFLHFVRFPLLPSALLEKLERSQLSQKIPTFDQLVKEAINFLEFGSTCLEKSQSVKFQHRRSSFKELQYICDGDSNGVLYFAGTSYGAHQWVNPVLSKRVAVTASSPFSRFTDPKVLVSRNFQGTCFAGPQVEDGNISSWWMIDLGYDHQLMCNYYTIRQDGSRAFMRSWNFQGSTDGVNWTNLRVHKDDQTICKPGQFASWPITGPNPLHPFRFFRIVMTGPGTDEMNPWNCCICALELYGYFR >itb15g10670.t2 pep chromosome:ASM357664v1:15:8363686:8369495:-1 gene:itb15g10670 transcript:itb15g10670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKKFLTVAPFECAWRDDLRFREAGRGCVAFDAFAHNDVTVVFREHVGSQHYHYKRDDSPHYTVIIGSHRNRRLKIEVDGRTVVDVASVDLCCSSTFQSYWIGIYDGLISIGKGRYPFQNLCLQWHDSKPNCSVQYVGLSSWDKHVGYRNVSVLPITQNHISLWKQVDYVGYDSEDANTELEDRAGDFEDWGLKNFLESWELSDVFFIVGNEQRAVPAHKVILAAAGDFGLSSSGDVINLQDVSYPVLHAFLEYIYTGHTQIPEPEISSLKALSLKFEVAPLVKQCEEIIAHISLHKRDLDSGKNVEISYASWVHSSNAFPYGLPINKWRLEEIFLTGKYSDLNIYIGDLIVPCPSHKVILGLWSVPFTKMFTNGMKESFDSTVFLRDVHFEAFQTMLEFIYTGELKKEVTRDINTLLLHLLLLADKFGISLLHQECCKTILEHLSEDTLCPILRVIASVPSCKLIEETCEREFSMHFDYCTTTSNDFVMLDEATFSVILQHPELTVTSEEKVLNAILLWCLQAEEYFGWERIDEMMMNSTPELLFGERLTSLNMFLHFVRFPLLPSALLEKLERSQLSQKIPTFDQLVKEAINFLEFGSTCLEKSQSVKFQHRRSSFKELQYICDGDSNGVLYFAGTSYGAHQWVNPVLSKRVAVTASSPFSRFTDPKVLVSRNFQV >itb15g10670.t3 pep chromosome:ASM357664v1:15:8366060:8369135:-1 gene:itb15g10670 transcript:itb15g10670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKKFLTVAPFECAWRDDLRFREAGRGCVAFDAFAHNDVTVVFREHVGSQHYHYKRDDSPHYTVIIGSHRNRRLKIEVDGRTVVDVASVDLCCSSTFQSYWIGIYDGLISIGKGRYPFQNLCLQWHDSKPNCSVQYVGLSSWDKHVGYRNVSVLPITQNHISLWKQVDYVGYDSEDANTELEDRAGDFEDWGLKNFLESWELSDVFFIVGNEQRAVPAHKVILAAAGDFGLSSSGDVINLQDVSYPVLHAFLEYIYTGHTQIPEPEISSLKALSLKFEVAPLVKQCEEIIAHISLHKRDLDSGKNVEISYASWVHSSNAFPYGLPINKWRLEEIFLTGKYSDLNIYIGDLIVPCPSHKVILGLWSVPFTKMFTNGMKESFDSTVFLRDVHFEAFQTMLEFIYTGELKKEVTRDINTLLLHLLLLADKFGISLLHQECCKTILEHLSEGWRPPIGRSYNKFSDMPSLVRDEAETFRQDIC >itb05g03490.t2 pep chromosome:ASM357664v1:5:2963150:2966096:1 gene:itb05g03490 transcript:itb05g03490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVCASSGDAWLRLGGHGGGDVGGPGPVGDAFSHESDSDLAAMVRDFLENGSIGTDSRSSSDSDSSFSDLPHLADKLSYIKLAVDQYESDLLSLVSSLLISINDTDLHAVKSGPCKASCIKYSLVKLLRLLGYDAGVCSSKWQGNGKVPAGDYEYVDVIKYDDAGGSERVIIDINFHSHFEIARAVQSYDRILNSLPVVYVGYITRLKQFLEVMVEAAKSSLKQNSMPLPPWRSYAYLQAKWGSPYKRKFYPDHPNISQTAGIHHHVQCLLHLKQLKSALQSEIDLKRVFRPINSDNRMMNLERSRHPFRAL >itb05g03490.t1 pep chromosome:ASM357664v1:5:2963145:2966105:1 gene:itb05g03490 transcript:itb05g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVCASSGDAWLRLGGHGGGDVGGPGPVGDAFSHESDSDLAAMVRDFLENGSIGTDSRSSSDSDSSFSDLPHLADKLSYIKLAVDQYESDLLSLVSSLLISINDTDLHAVKSGPCKASCIKYSLVKLLRLLGYDAGVCSSKWQGNGKVPAGDYEYVDVIKYDDAGGSERVIIDINFHSHFEIARAVQSYDRILNSLPVVYVGYITRLKQFLEVMVEAAKSSLKQNSMPLPPWRSYAYLQAKWGSPYKRKFYPDHPNISQTAGIHHHVQCLLHLKQLKSALQSEIDLKRVFRPINSDNRMMNLERSRHPFRAL >itb11g07790.t2 pep chromosome:ASM357664v1:11:4873051:4878001:1 gene:itb11g07790 transcript:itb11g07790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNSQFVLINVQSSWCSAKQIPISAFAYLHTSRAGSPAFSEEFRRKCRGRNRSTISSSIQSPEIRRPRDRTTRANWLKSISTTSPSPSTSSSQDAPVSDMDLFLQIVPSRMRNELVRHEEIGELIEIVMDLGRKPLARFPSGDWVVSDQPVKLEDLRHAISKVGDFSDDNRSGIDNSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEIIRDLIEGGGSILVIGPPGVGKTTLIREIARMLADDQKKRVVIVDTSNEIGGDGDVPHCGIGLARRMQVPNVNMQHNVMIEAVENHMPQAIIIDEIGTELEALAASTIAQRGVQLVGTAHGTTIESIIKNPSLQLLVGGIESVTLGDEEARKRKVQKTILERKGPSTFTCAVEMISRTECRVHHRLDATVDAILAGKSPLFEIRQVEAELNTSMKSSSSTQEDHADVSKLTDNKVDKEDSRILDTVNKDYYLNFDNDNKNVKFESDDEDEDNPKSKKSGTNGPLSKRISPLYVYTHKIQEADLLEVTTAMGLEDEIDATDDIGAADAILATSTEMKQNPWIRSVAKFHQVPVFVIKSNTMAQMVKAARMILGMHSSSSKQPLKNAFDIEIEDDAPKRKPTLEEIDALEVHIFNLSAHYILKFAFNLSVITALTTSFDFTLGDVRNNCC >itb11g07790.t1 pep chromosome:ASM357664v1:11:4873051:4878001:1 gene:itb11g07790 transcript:itb11g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNSQFVLINVQSSWCSAKQIPISAFAYLHTSRAGSPAFSEEFRRKCRGRNRSTISSSIQSPEIRRPRDRTTRANWLKSISTTSPSPSTSSSQDAPVSDMDLFLQIVPSRMRNELVRHEEIGELIEIVMDLGRKPLARFPSGDWVVSDQPVKLEDLRHAISKVGDFSDDNRSGIDNSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEIIRDLIEGGGSILVIGPPGVGKTTLIREIARMLADDQKKRVVIVDTSNEIGGDGDVPHCGIGLARRMQVPNVNMQHNVMIEAVENHMPQAIIIDEIGTELEALAASTIAQRGVQLVGTAHGTTIESIIKNPSLQLLVGGIESVTLGDEEARKRKVQKTILERKGPSTFTCAVEMISRTECRVHHRLDATVDAILAGKSPLFEIRQVEAELNTSMKSSSSTQEDHADVSKLTDNKVDKEDSRILDTVNKDYYLNFDNDNKNVKFESDDEDEDNPKSKKSGTNGPLSKRISPLYVYTHKIQEADLLEVTTAMGLEDEIDATDDIGAADAILATSTEMKQNPWIRSVAKFHQVPVFVIKSNTMAQMVKAARMILGMHSSSSKQPLKNAFDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRRSEIVAQQVKLVESYQLAVENSGTELNPRLQILPQKLNKASVKHSKSHSSQTISSGISFTTGTGGATVARLPLLPE >itb13g18070.t1 pep chromosome:ASM357664v1:13:25118189:25123176:-1 gene:itb13g18070 transcript:itb13g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSIGSNDQDEKTLIWYSRGSERNLNLTKVSKIIPGQRTPVFKRFLRPEKDYLSFSLIYNNGDRSLDLICKDNEEAEVWIAGLTALIPNHQTRNRRTHSEISDFHDGGRPFGGTLDCTSTVNRDRISIDISRETNLNFPSSDVGSERPSMQVRGDGFRVSVSSTPSCSSGGSGVDDIESLGDVYLWGEVWCDGTSKDASGNPIPIKQDVLSPKPLESNVVLDVHQIACGVRHIALVTRQGEVFTWGEESGGRLGHGVEKDFSRPRLVEFLAVTSVDFVASGEHHTCAVSQSGDLYTWGNGAHNAGILGRGNDVSHWIPKRLSGALEGLQVLSVACGTWHSALSTISGKVFTFGDGTFGVLGHGNRQTVPFPREVQSLNGLKTIKVACGVWHTAAIVEVSSPSGSTSNVSSRKLFTWGDGDKNRLGHGNKNAYLVPTCVSALIDYNIHQIACGHNNTVALTTSGHVFTMGSNAYGQLGNPQADGKLPGVVQDKLVGEFAEDIASGDFHVAVLTSRSEVFTWGRGANGRLGHGDAEDRNIPTFVEGLKDRHVKNIACGSNYTASICIHKVSGADQSICTGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALRAALAPTPGKPHRVCDCCYLKLKNKAAEGQSLPYTKVATPSRQLDGCRSDRPEVRRSSILLSTKVEPVKYLEVRSTNPGTKLASYNIVRASQVPSHLQLKDIAFPSSFSVLQNASKPVRRKTPSQPSPSNSRPALPYSSRRPTPPRLPPPPVFSRGMADSFKKTNEVLNQEVNKLQFQVKNVKQKSDLKDTEIQKLKRKAQETAALATERLTKCSAAIETVKSISAQVILLKEVTEKLPSDIAETVSISSIQDQVESFLNTIEAQASEEKIVSTSESAVGFSETPNSVYGTPQENNQSSNQETRGANQSSVEGSTGSPKVKGQNEVIEQFESGVYVTLLQLTNGTKIFKRVRFSKRRFAEQQAEEWWKENKDRLLKRYLPSRTNNATTESSSSTPPASEESKETT >itb10g03630.t1 pep chromosome:ASM357664v1:10:3402029:3404651:1 gene:itb10g03630 transcript:itb10g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLGLSSVYSICSFGAGVAGNVFAFVLFVSPIPTFRRIIRSQSTEQFSELPYIYGLLNCLICLWYGTPIVTPGIILVFTVNSIGGVFQLAYITIFIIYAERTKKLKTLGWLLGVFAVFAIIVSVSIFVFQPPTRQIFVGYLSVFSLISMFASPLFIINLVIKTRSVEYMPFYLSLATFLMSLSFFAYGLLLYDMFISTFSPHV >itb09g05300.t2 pep chromosome:ASM357664v1:9:3024647:3028238:1 gene:itb09g05300 transcript:itb09g05300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKALVVTLFLSFLLVFPVAFCKSNDGFVRIGLKKMKIDQNNRIAARLEAKAGDSLRASVRKYKLHGRNGDLQEDTDIIGLKNYMDAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVPCFFHSKYSSSQSSTYEKNGKSAEIHYGTGSISGFFSQDNVQVGDLVVKSQAFIEATSEPGVTFLVAKFDGILGLGFQEISVGDAVPVWYNMVNQGLVKDPVFSFWLNRNPQEQEGGEIVFGGVDPKHYKGEHTYVPVTQKGYWQFDMGEVLIEGKPTGFCSDGCSAIADSGTSLIAGPTSIITMINHAIGADGIVSKECKAVVENYGQRMIDLLIMEARPKKICSQIGLCTFNGNRGVSMGIESVVDEKNGVSADLHDAMCSACEMTVVWMQNQLSENQTQENILSYVNELCNRLPSPMGESGVDCGKLSTMPPVSFSIGGKVFDLSPNEYILKVGEGSAAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYGQMRVGFAEAA >itb09g05300.t3 pep chromosome:ASM357664v1:9:3024647:3028238:1 gene:itb09g05300 transcript:itb09g05300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKALVVTLFLSFLLVFPVAFCKSNDGFVRIGLKKMKIDQNNRIAARLEAKAGDSLRASVRKYKLHGRNGDLQEDTDIIGLKNYMDAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVPCFFHSKYSSSQSSTYEKNGKSAEIHYGTGSISGFFSQDNVQVGDLVVKSQAFIEATSEPGVTFLVAKFDGILGLGFQEISVGDAVPVWYNMVNQGLVKDPVFSFWLNRNPQEQEGGEIVFGGVDPKHYKGEHTYVPVTQKGYWQFDMGEVLIEGKPTGFCSDGCSAIADSGTSLIAGPTSIITMINHAIGADGIVSKECKAVVENYGQRMIDLLIMEARPKKICSQIGLCTFNGNRGVSMGIESVVDEKNGVSADLHDAMCSACEMTVVWMQNQLSENQTQENILSYVNELCNRLPSPMGESGVDCGKLSTMPPVSFSIGGKVFDLSPNEYILKVGEGSAAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYGQMRVGFAEAA >itb09g05300.t1 pep chromosome:ASM357664v1:9:3024259:3028238:1 gene:itb09g05300 transcript:itb09g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKALVVTLFLSFLLVFPVAFCKSNDGFVRIGLKKMKIDQNNRIAARLEAKAGDSLRASVRKYKLHGRNGDLQEDTDIIGLKNYMDAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVPCFFHSKYSSSQSSTYEKNGKSAEIHYGTGSISGFFSQDNVQVGDLVVKSQAFIEATSEPGVTFLVAKFDGILGLGFQEISVGDAVPVWYNMVNQGLVKDPVFSFWLNRNPQEQEGGEIVFGGVDPKHYKGEHTYVPVTQKGYWQFDMGEVLIEGKPTGFCSDGCSAIADSGTSLIAGPTSIITMINHAIGADGIVSKECKAVVENYGQRMIDLLIMEARPKKICSQIGLCTFNGNRGVSMGIESVVDEKNGVSADLHDAMCSACEMTVVWMQNQLSENQTQENILSYVNELCNRLPSPMGESGVDCGKLSTMPPVSFSIGGKVFDLSPNEYILKVGEGSAAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYGQMRVGFAEAA >itb01g35630.t1 pep chromosome:ASM357664v1:1:37734936:37736318:1 gene:itb01g35630 transcript:itb01g35630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKCSKAVALIVGATGMVGVALAEALKEPTALGGPWKVYGAARRPLPSWFPASFLDEYMTFDALNLEDTHKSLSKISHEVTHVFWLALQVHEKEEENIRLNSTMLDNVLRSLTSSNSTSLRHVTVQTGTKQYMGPIFDPSLATDKMIPHEPPFKEDYPRLPFPNFYYALEDLVASYAETNSFSFSIHRSSMIIGASSVSVFNLMLNLCVYAVICKEKGFPFRYPGNRYMWEHFSDVSDAKLLAEQQIWAGVTEKAKNQAFNCTNGDVFAWKSIWRLFCDMFELEFVAFEENGDKEFDIVEFMKDKGEIWEGVVEKHGLFRTKMEEITCYPALQTVLGFQFQHVCSMNKSKEFGFLGYADTLKRIRVWVQKLREMNIIP >itb04g04850.t1 pep chromosome:ASM357664v1:4:2999667:3002823:1 gene:itb04g04850 transcript:itb04g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDPMGKDGKLGNNNSKAKLRKGLWSPEEDEKLMGYMLRNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHNILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNANNNQNNSSLSPNTSDSSSENPRAMIGGCCGGGGAASALILPAMHHHQQPEFMASICMDSSPSSSSSMHTNMLPHFNPFPPPPAGFEAEAAAAGLFGLPPSLAAQLVGVGGPSAAGECGFLGDYNVVVEPYGVMGLENDLSVPALESISATNNHTNKDSKGENNVVDNHNNNNGNVNNINNVDYYGIFDKKANAVNSNNQHLYEEGSIKVEDYMVGFGNHHWSGESLRIGELDWEGLLANVPSLPYLDFQVQ >itb06g15960.t1 pep chromosome:ASM357664v1:6:20185801:20186208:-1 gene:itb06g15960 transcript:itb06g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFCCSIETEPRTLNQGQLHLAREVAVDIVQNNQPQQASTIFIQGLKPTETVLVVPENNGVDKNKQEDSADVVTDKTIVQCLCSAEILESPDDQSKVKEPLTAPF >itb14g03330.t1 pep chromosome:ASM357664v1:14:2984681:2987419:1 gene:itb14g03330 transcript:itb14g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVFVLSLVVLFALAAHSSAMYCICKDGSSVAQLQKNIDYACGSGADCSAILQNGACFNPNTVKDHCNYAVNSYYQRQSQSGADCDFSGTATLSGNPPGSGSGSCIYQSTPGNTGGSTGTGNGTPTNQGIINNTPFGLGPTTGVGINPDGSAAAVTHHHKDVVLFALTLVLSILVCLRV >itb14g01630.t1 pep chromosome:ASM357664v1:14:1247604:1250523:1 gene:itb14g01630 transcript:itb14g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFNIGDFLNLNTPLPDNLNFSNDQHGIMRFSNDGFEENHHQSALQLTMMTFNNEIQIPSYDHHPHPAPNTSPHFFSMEAGATSHGFTDGNVNPLSESEGLDIPHQAYPVKNCGRKKRKRNNDNGEGDDGKPKEVVHVRAKRGQATDSHSLAERQRREKINEKLKCLQGLVPGCYKTMGMAVMLDVIINYIRSLQNQIDFLSMKLSVASLFYDFNSSDVDAMDMAQGANLYEAQGMGKAMVEGYGGFPPQFQTSWPL >itb05g03630.t1 pep chromosome:ASM357664v1:5:3111793:3113262:-1 gene:itb05g03630 transcript:itb05g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSIGLALSVIFGCLLIALLAELYYLLWWKKRITRRGIEDGPGKEIFYFFCGKKPSSSSSSSSSCSGNSAMKPQEICSRNTLVHEPQPQVQSPHGDESMEVELLRLSGPPRFLFTIKEETKEDLESSEDGKSKSRKGSRTRSLSDVLETPFLTPIASPPYFTPPLTPLINPFFESTTDFEFSSKPSPPPTFKFLRDAEEKLHRSRILEEKTQRLVKKKEASASSSHVSDKELAQISPPFLSAQENAKFRFSPSPFSARDEENNGSFITLIFPRTREEQHGNNNNNLSVVSHYPSSSSQVLPLATSPPAPHSPLSRLRPLHTRSFTR >itb06g05020.t2 pep chromosome:ASM357664v1:6:7795266:7797368:-1 gene:itb06g05020 transcript:itb06g05020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVWKMIIGYWYMAMWKITAFLKLFSVICCLFLSFPLAVKAHSLREFLLFIGAGHSNIQFSWSTRSKICIGVARGLTFLHEELKPHIIHRDIKASNILLDKDLTPKIADFGLAKLFPINATHVSTRVAGTQGYLAPEYAMRARLTRKADIYSFGVLLLEIVSGRCNTNKRLPVRDQFLLERAWRLYQSGELVELVDASIESTDIDEACRYVKIAFLCTQAMTKSRPSMSTVVKMLTGEEKVDDSMISEPGLLSELMGLRAQKKEKLFTTSSNSGNEGNSLSSGNTSTGMTQATMTFTSIRDRST >itb06g05020.t1 pep chromosome:ASM357664v1:6:7795266:7798938:-1 gene:itb06g05020 transcript:itb06g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFFCCFGKKASPPRHQIDIEEEVSNWQDAKLYTYGELRVATGDFSPSNKIGKGGFGSVYKGRLKDGTLAAIKVLSAESTQGVKEFLNEIIAISGVEHENLVKLHGCCVEDDHRILVYGYVENNSLSQTLLGAGHSNIQFSWSTRSKICIGVARGLTFLHEELKPHIIHRDIKASNILLDKDLTPKIADFGLAKLFPINATHVSTRVAGTQGYLAPEYAMRARLTRKADIYSFGVLLLEIVSGRCNTNKRLPVRDQFLLERAWRLYQSGELVELVDASIESTDIDEACRYVKIAFLCTQAMTKSRPSMSTVVKMLTGEEKVDDSMISEPGLLSELMGLRAQKKEKLFTTSSNSGNEGNSLSSGNTSTGMTQATMTFTSIRDRST >itb02g24630.t1 pep chromosome:ASM357664v1:2:25263351:25264355:-1 gene:itb02g24630 transcript:itb02g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSRLAAITLTLPARSSRRRTTSTLCPRKSIAFSRDLKVLIKSGVLCENGVVSGSSYWKRETIRAETKNLITLLQICTGRRTRCSTRSYGSCNLIAFSSALSRSSHPASHPPISTRSSCICGARNGLTPVIFCPFQTLSRTPSSATMTTIGRDEEDDGEILNKQHFRRPFSSFGDSSSDSKLPLIFRQRQQASTHFQATHGASSDSWATKMM >itb04g15760.t1 pep chromosome:ASM357664v1:4:16964019:16967109:1 gene:itb04g15760 transcript:itb04g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVQTLLVVILASFLQVSMGGVYKVGGGAGWTTIGNVDYKQWAAANTFEIGDVVVFEYNPQFHNVMQVTHAMYKSCNASSPIATYTTGNDSITIKSGGHHFFLCGVPGHCQSGQKVDINVVRASAPSQSPLPTVAVPAPSPGGAAALYAAFGKSVFAAFICIIFA >itb12g13300.t1 pep chromosome:ASM357664v1:12:12142868:12144620:1 gene:itb12g13300 transcript:itb12g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSVVHGSGNDEWSDAHATFYGGADASGTMGGACGYGDLYSEGYGENNAALSSALFKDGLSCGACFEIKCVNDGEECFPGSIIVTATNLCPQNKSLPNNAGGWCNSPLKHFDLSQPIFQHIAHYRAGIVPVKYRRVPCKKRGGIKFSITGHSYYNLVLITNVGGAGDVVSVSIKGSNTSWLPMSHNWGQNWECKAYLNGQALSFKLTTSDARTLVCNNVTPPGWSFGKTYTGGQFSGHESSTSNSSHTTSINFFVLVLALLQVHPLLQLYTLVKC >itb04g00590.t3 pep chromosome:ASM357664v1:4:313195:315216:-1 gene:itb04g00590 transcript:itb04g00590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPYRPAVLPAKMDMKGRNGKSSYSLKTSTELLKVLNRIWSLEEQHASHILLVKALKRELDLSKMRIKELQQEKKINRQELMNRVAEDRQIEEAIKALRDELEDERKLRKHSENLQRKLGRELSEVKSSFSSALRELERERKARAMLEDLCDEFAKEVKEYEKEVRALKCKPRRDQILGEHNDKLILHISEAWLDERMQMKAVHDNSDDKKTISGRLGFEIEEFLRAKQSAGNCRAVSKQENRSAFRRHSLESFHLEEDGSAPRNENVEGESFDGANQNGKCISRIHLEEITEPSPMIKRSQSQSEEKTHEEIPSKDLNEVKDAKDLENTKSMQVRSQKRKSKQLHIRGSLLNSLIRNQLSRGRKQHEAEEKRAEQRPFDPSTFTGPDSPVEKWTSEKTAPEPAVPPEPSAKLQQGAKENTLKAKLLEARLESQQHHHFRASEGS >itb04g00590.t2 pep chromosome:ASM357664v1:4:313222:316448:-1 gene:itb04g00590 transcript:itb04g00590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGKGEERRRKKQEESLGVKLKRAVLVGKRGGNCTPSPTWKYGLAQTDGSLVQDYTFPSNSTSLSVRKLGANLWEVQPHLRLKMNKDKGFQLPTHLPQPLDPPSEQPAGDLRGHVAALRKQHNSQSVAENDDAILCESPASCSSSMEMAPYRPAVLPAKMDMKGRNGKSSYSLKTSTELLKVLNRIWSLEEQHASHILLVKALKRELDLSKMRIKELQQEKKINRQELMNRVAEDRQIEEAIKALRDELEDERKLRKHSENLQRKLGRELSEVKSSFSSALRELERERKARAMLEDLCDEFAKEVKEYEKEVRALKCKPRRDQILGEHNDKLILHISEAWLDERMQMKAVHDNSDDKKTISGRLGFEIEEFLRAKQSAGNCRAVSKQENRSAFRRHSLESFHLEEDGSAPRNENVEGESFDGANQNGKCISRIHLEEITEPSPMIKRSQSQSEEKTHEEIPSKDLNEVKDAKDLENTKSMQVRSQKRKSKQLHIRGSLLNSLIRNQLSRGRKQHEAEEKRAEQRPFDPSTFTGPDSPVEKWTSEKTAPEPAVPPEPSAKLQQGAKENTLKAKLLEARLESQQHHHFRASEGS >itb04g00590.t1 pep chromosome:ASM357664v1:4:313195:315829:-1 gene:itb04g00590 transcript:itb04g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPYRPAVLPAKMDMKGRNGKSSYSLKTSTELLKVLNRIWSLEEQHASHILLVKALKRELDLSKMRIKELQQEKKINRQELMNRVAEDRQIEEAIKALRDELEDERKLRKHSENLQRKLGRELSEVKSSFSSALRELERERKARAMLEDLCDEFAKEVKEYEKEVRALKCKPRRDQILGEHNDKLILHISEAWLDERMQMKAVHDNSDDKKTISGRLGFEIEEFLRAKQSAGNCRAVSKQENRSAFRRHSLESFHLEEDGSAPRNENVEGESFDGANQNGKCISRIHLEEITEPSPMIKRSQSQSEEKTHEEIPSKDLNEVKDAKDLENTKSMQVRSQKRKSKQLHIRGSLLNSLIRNQLSRGRKQHEAEEKRAEQRPFDPSTFTGPDSPVEKWTSEKTAPEPAVPPEPSAKLQQGAKENTLKAKLLEARLESQQHHHFRASEGS >itb02g03940.t1 pep chromosome:ASM357664v1:2:2361801:2363500:-1 gene:itb02g03940 transcript:itb02g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVPCGVAEAIVASSSSSYSSVNVLSLSLSTMNLNSKSLKYHLPPSNSRVASSFSANIHFPYPNSPFPLPKPGVCRASQVAELFPSISPEIVVREARLEDCWGVAETHCSSFFPNYSFPINVLLRMNKLIGLVFGFSVPNGCKKTCLVAVTGSSEEEEEEDALLLGRREIKTAGFNGRLNLSKGYVTGILTLDTLADFLPRKGPLQQRRSGIAYISNVAVRENLRGKGIAKKLVAKAENQARTWGCRAIALHCDSGNPGATKLYIGRGFRIIKVPEGANWPEPRASRGVQFSFMMKLLDTTSR >itb07g22550.t1 pep chromosome:ASM357664v1:7:27083120:27087815:-1 gene:itb07g22550 transcript:itb07g22550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPCFENVGLKKGPWTPDEDQKLVAYVQQYGHGSWLALPSKAGLNRCGKSCRMRWTNYLRPDIKRGKFSPQEEQTIIQLHALLGNRWSAIAANLPKRTDNEIKNYWNSHLKKRLSEMGIDPITHKPKSNAFGSKEAANLRHMAQWETARLEAEARLVRHNSTMFGSSLSSPSPPHHVSLLHKAPRNPPPPPTVPPPLNVLKAWQAAWTTEPPMTAPNPRISLSPPNVDDGDMFVSAAALDQSPTTLNFSDQNLVAFPAVGFGDDVLCYLEGSTSNMNTLRNPNTTGDGIIGPAMDPLSGFPTFIVPENLTAHCSTGYLDSVIGNCGAGW >itb07g00670.t1 pep chromosome:ASM357664v1:7:421420:422245:-1 gene:itb07g00670 transcript:itb07g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPKKQSCKLKNVIKSGCGCGESTQAVEPKPKPPAPPSSSPPPSSSSTAAAMHGGEDDRREEILCRQMVSRSPKISGSIAVVKESDDPCGDFRQSMLQMIQKKSIQSQEDLQELLKCFLLMNPPSLHDIIVQAFTNILDETTTTTSSVAGKY >itb06g12990.t1 pep chromosome:ASM357664v1:6:17570035:17572063:-1 gene:itb06g12990 transcript:itb06g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLQLPPGFRFHPTDEELVMHYLCRKCASLPISVPIIAEIDLYKFDPWDLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGRGYWKATGADKPIGQPKPVGIKKALVFYSGKAPKGEKTNWIMHEYRLADVDRSARKKSNSLRLDDWVLCRIYNKKGELEKAGVSIRNMSKATASSPVSEEEEKKPEMMLPPPPQPQPPQVFSDFLYLEPSDSIPKLHTESSCSEHVLSPEFTCDREVQSEAKMSEWDKTALNFPFNYQNATADGGFLGCGDLPGSYDAWPLQDMLLYKPF >itb13g24920.t1 pep chromosome:ASM357664v1:13:30450694:30451453:-1 gene:itb13g24920 transcript:itb13g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIRISTVTDEAERPAGREDDEGFPESPRDMEWPFGRLEGLDTDDFREAAYEIFFTACRSSPGFGGRTALSYYNPSEGGGGGDGSWFGSGQESGTGSPRNKAAPGQGGGGGVGMAVTSRVKRALGLKMLRRSPSRRANSCSSLPTSPSAAASGGSPKMNFTAPSRSRRPMTSAEIMRRQMKVTEQSDNRLRKTLMRTLVGQARN >itb04g07560.t2 pep chromosome:ASM357664v1:4:5161230:5166385:-1 gene:itb04g07560 transcript:itb04g07560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKPQRSTMCMDFVMVNLKTNLRLSVSKKRWHVAVVKIKCSSILFYYFKENYHLRHTKDPKNINKVSLIQSNNDTHSTNGVQQPKLFISNLDQSSLAKLVREKSLENLANIGGIEGIATDLISNLQNGIDGDAEDISRRNNEYGTNTYPRPPTKGLFQFVWDMLKDPTIIILLVCAVLSLAFGMKEDGAKEGWYDGGSIFLAVFLVISVSAISDFRQSRQFEKLSKISSNIQVEVVRKGRRQHISIFEIVVGDLVYLNTGDQIPADGLLVEGHSLQVDESSMTGESDYVEVNHNQNPFLISGTKVVDGYGQMLVTSVGMNTTWGEMMSKISSSSSEQTPLQVRLNKLTTSIGSPTEKAILSWAVLQLKMDMEETKRNNTVLHVEAFNSEKKRSGVLMKKIPDGTTHAHWKGAAEMILGMCSHFCDIEGKDIAMTNHERQKFDQIIQGMAASSLRCIAFAHKQVSETNPEDGEIHEKIPESGLTLLGLVGIKDPCRAGVKKAVEDCQYAGVNIKMITGDNIFTAKAIATECGILQYNQTEEGAVIEGIEFRNLTEEQRMERVEKICVMARSSPFDKLLMVQCLRKKGHIVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMKKPPVERTEPLITNIMWRNLLAQALYQISILLTLQFRGESIFRVSSKVNDTLIFNTFVFCQVFNEFNARELEKRNVFQGIHKNKLFVGIIGITVVLQVVMVEFLKKFADTERLNWGQWGVCIGLAAASWPVGWLIKCFPVPKRPIFSYLKLKNLKFL >itb04g07560.t1 pep chromosome:ASM357664v1:4:5161230:5166385:-1 gene:itb04g07560 transcript:itb04g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKPQRSTMCMDFVMVNLKTNLRLSVSKKRWHVAVVKIKCSSILFYYFKENYHLRHTKDPKNINKVSLIQSNNDTHSTNGVQQPKLFISNLDQSSLAKLVREKSLENLANIGGIEGIATDLISNLQNGIDGDAEDISRRNNEYGTNTYPRPPTKGLFQFVWDMLKDPTIIILLVCAVLSLAFGMKEDGAKEGWYDGGSIFLAVFLVISVSAISDFRQSRQFEKLSKISSNIQVEVVRKGRRQHISIFEIVVGDLVYLNTGDQIPADGLLVEGHSLQVDESSMTGESDYVEVNHNQNPFLISGTKVVDGYGQMLVTSVGMNTTWGEMMSKISSSSSEQTPLQVRLNKLTTSIGKVGLAVAFLVLVVLLVRYFTGHTKDDNGNKEFNGSKTKADDVINSVVGIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMSDKAMVRKLSACETMGSATTICTDKTGTLTQNCMTVTKFWLGKESMEGKDHNLIAAIVLDFLHQGVSLNTTGSVFRSPNYSSFEFSGSPTEKAILSWAVLQLKMDMEETKRNNTVLHVEAFNSEKKRSGVLMKKIPDGTTHAHWKGAAEMILGMCSHFCDIEGKDIAMTNHERQKFDQIIQGMAASSLRCIAFAHKQVSETNPEDGEIHEKIPESGLTLLGLVGIKDPCRAGVKKAVEDCQYAGVNIKMITGDNIFTAKAIATECGILQYNQTEEGAVIEGIEFRNLTEEQRMERVEKICVMARSSPFDKLLMVQCLRKKGHIVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMKKPPVERTEPLITNIMWRNLLAQALYQISILLTLQFRGESIFRVSSKVNDTLIFNTFVFCQVFNEFNARELEKRNVFQGIHKNKLFVGIIGITVVLQVVMVEFLKKFADTERLNWGQWGVCIGLAAASWPVGWLIKCFPVPKRPIFSYLKLKNLKFL >itb10g13400.t1 pep chromosome:ASM357664v1:10:19631810:19632936:1 gene:itb10g13400 transcript:itb10g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTDEIRASATEFYKGNEICQEKSKFLLSEVGMPRGLLPMEDMEECGYVKETGFVWLRAKKKTEHKFEQIGKLVQYAAEVTAYVEPKKIKKLTGVKAKELMLWVTISEICLDDPPTGKIHFKNPIGIAKTFPVSAFELPEEEEKKKENKEEVKENAAAPAAVEVKEV >itb01g33210.t1 pep chromosome:ASM357664v1:1:36411844:36414337:1 gene:itb01g33210 transcript:itb01g33210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASTAAGAQNQSHRAKCRSTAAISPMKESESSDDVTDGSIDDGAYYDYTSNIPDECLACIFQCLSSGDRKRCSLVCSRWLRIEGQSRHRLSLNALADLADVVPSIFSRFDAVTKLALKCDRRSVSIGDDALVLISLRCRNLTRLKLRSCRELTDAGMEAFVKNCKGLKKLSCGSCTFGSKGMNAVLDNCPSLEELSVKRLRGITDAAAAEPIGPGVVGASLRVICLKELYNGQCFGPLIIGSKNLKTLKLFRCSGDWDKLLEMVAEQVSGLVEVHLERLQVSDTGLAAISNCSHLEILHLVKTPECTNIGLKNVAEHCKLLRKLHIDGWKTNRISDDGLLAVAKHCPNLQELVLIGVNPTRTSLEKLATNCVNLERLALCGSETVGDPELSCIAAKCSALKKLCIKSCPVTDQGMEALASGCPNLIKVKVKKCRLVTTEGADWLRASRGSIAVNLDTTELENPDGAASDGGAPEVGQENRQLGGQDVSGSIASSSTGRSTSFKARLGLITGRNLVACTFRRWSSFGGNSRNN >itb10g17650.t1 pep chromosome:ASM357664v1:10:23805745:23809558:-1 gene:itb10g17650 transcript:itb10g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKMNIFKKKTSPKDALRSSKREMAVATRGIEREIASLEMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIVNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMTAMNKQMAPAKQAKVIKEFQKESAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKKVENVAPPSSQAADVEDLEKRLASLRRS >itb04g12770.t1 pep chromosome:ASM357664v1:4:12489883:12505332:1 gene:itb04g12770 transcript:itb04g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSDLTRTVWADEEARPSSPWRVRQRQLLEAEEESVDVERGTPLKYVSLCDVYSATSPCVRASGSKKVKASRKITTFDIPNCAAKQFVPAAPTNSSTMSKPPITQFYTRRCKRKQPEPSFFNSLTSPLQPIVAKLDNVEVKTEVEEGTEDEIVAKDENYDSKKRRKVGSSLELLKLGVDVNSISSGLNGLRETRNKTTICSNNTVTSDNNKTNCKTNSSLKANNVRKQKEDGALEINFQSGQIRCKKWVWLRFEGVDPKKFVGLQCKVYWPLDADWYKGRILGYNFDTGRHHVKYTDGDEEHLILSNERVKFFVSAEEIKHLKLSRTDGSAETDGIDVNEMVVLAASLDDCEQLEPGDIIWAKLTGHAMWPAIVLDESQTGGRKGLKKTSGEKSVLVQFFGTHDFARIKVKQVISFLRGLLSSFHLKCRKPNFVRGLEEAKMYLSEQKLPKRMLRLRNGVEADDYDSEKEGDDCHADSDGESMENGLQRRLEDLRRFPFELGDLQIISLGKIVDDSDNFQDDKCIWPEGYTALRKSPSIMDPNKCASYKMEVLRDPDLRTRPMFRITSDNGEQFKGSTPSACWNKIMKKIRKLQANDSGGTCKGFLDSGSDMFGFSHPEILKLIKELSKSRAASKYSKLSSKCQELPAGYRPVRVKWKDLDKCNVCHMDEEYVNNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRLGAPEFPPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDIKKMEPIDGLNRVNKDRWKLLCSICGVPYGACIQCSNNNCCVAYHPLCARAAGFCVELEDEDRLHLIPLDEDEEDQCIRLISFCKKHRPLSNERPAVDECVAQKVCEYSDYIPPPNPSGCARSEPYNHFGRRGRKEPEALAAASLKRLYVENRPYLVGGHSQHDSFCSTVSSNAPGCSKYSVDLQKLKNSHLHVSKSIQSMSEKYEHMKGTFRKRLAFGKSGIHGFGIFAKHQHKAGDMVIEYSGELVRPPIADRREHLIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVITANGDEHIIIFAKRDIKQWEELTYDYRFFSIDEQLSCYCGFPRCRGVVNDTEAEERMAKQLVPQCELIDWTAE >itb10g15110.t1 pep chromosome:ASM357664v1:10:21375737:21382226:-1 gene:itb10g15110 transcript:itb10g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSESTSTSSISIAGVSSDDRFRPVNTMASGDSSTSTSSITQAFHFSSGNPRIEETRGVMHLFRNDVASSSPELPAERKPLLCVLGVPNHMTYSDFCQFCGSFVQHMLEMRIVRNEGMEDRYSILIRFDEQKSADSFYKHFSGRRFSSLEEETCHVLFTGDVHYTGSIERLQTSAPSSTEQPSCPVCLERLDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSVCIVCQTSENLWMCVICGFVGCGRYKDEHAKRHWKETQHCYALELETRRVWDYSGDNYVHRLIQSKTDGKLVELNHHCVHSNEGYNCECGVDPEFSEALENNKVEAIVNEYNELLTAQLENQKMYFDSLLQEAEEENKRQASEAVQKALIQNPRMVKLRARFDKCTEEKKFQDDIYDNLLRNQKIWETKIVEIEERESKVLKLKDERIKQLEEELMSLMTCLEFEGTNTASQAPDKTGNSDSLIK >itb10g15110.t2 pep chromosome:ASM357664v1:10:21375737:21382226:-1 gene:itb10g15110 transcript:itb10g15110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSESTSTSSISIAGVSSDDRFRPVNTMASGDSSTSTSSITQAFHFSSGNPRIEETRGVMHLFRNDVASSSPELPAERKPLLCVLGVPNHMTYSDFCQFCGSFVQHMLEMRIVRNEGMEDRYSILIRFDEQKSADSFYKHFSGRRFSSLEEETCHVLFTGDVHYTGSIERLQTSAPSSTEQPSCPVCLERLDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSVCIVCQTSENLWMCVICGFVGCGRYKDEHAKRHWKETQHCYALELETRRVWDYSGDNYVHRLIQSKTDGKLVELNHHCVHSNEGYNCECGVDPEFSEALENNKVEAIVNEYNELLTAQLENQKMYFDSLLQEAEEENKRQASEAVQKALIQNPRMVKLRARFDKCTEEKKFQDDIYDNLLRNQKIWETKIVEIEERVRRNEHSITSTR >itb10g15110.t3 pep chromosome:ASM357664v1:10:21375737:21382226:-1 gene:itb10g15110 transcript:itb10g15110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSESTSTSSISIAGVSSDDRFRPVNTMASGDSSTSTSSITQAFHFSSGNPRIEETRGVMHLFRNDVASSSPELPAERKPLLCVLGVPNHMTYSDFCQFCGSFVQHMLEMRIVRNEGMEDRYSILIRFDEQKSADSFYKHFSGRRFSSLEEETCHVLFTGDVHYTGSIERLQTSAPSSTEQPSCPVCLERLDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSVCIVCQTSENLWMCVICGFVGCGRYKDEHAKRHWKETQHCYALELETRRVWDYSGDNYVHRLIQSKTDGKLVELNHHCVHSNEGYNCECGVDPEFSEALENNKVEAIVNEYNELLTAQLENQKMYFDSLLQEAEEENKRQASEAVQKALIQNPRMVKLRARFDKCTEEKKFQDDIYDNLLRNQKIWETKIVEIEERESKVLKLKDERIKQLEEEVYI >itb04g25080.t1 pep chromosome:ASM357664v1:4:29732243:29734377:1 gene:itb04g25080 transcript:itb04g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSIRDRVRRRGAAGGGPPVIPPPQPMEGLHEIGPPPFLIKTYEMVDDPGTNRVVSWSRSGHSFVVWDPHAFSTNLLPRFFKHNNFSSFVRQLNTYGFRKIDPERWEFANEAFVRGNKHMLKNIRRKKTPTSQAVPAHAVGPCVEVGRFGIDAEVDGLRRDKQVLMMELVKLRQQQQTTRAYLRAMEQRLLGTERKQQQMMNFLARAMQNPEFLQQLLAQKEKRRELEDAITKKRRRPIDQGPSHSRAAAVKPEPLELSNGDPYGFQVSELEALALEMQGFGRARREIEEENDEHGFEQFSSESSYKELDEGFWQDFFNEGFDEALKEEGEEGDVNVLADRSGSNHGSGPERVDVGSYHRPSKEGPCPKMKLKVETLAGTGSHHLKFSKHLGNIRQKASIPDHEKTSFSGVFNSSYYLLMLIDS >itb03g20970.t1 pep chromosome:ASM357664v1:3:18790624:18792357:-1 gene:itb03g20970 transcript:itb03g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSEGVLKKILLSYSYVAVWIFLSFTVIVYNKYILDRKLYNWPYPISLTMIHMGFCSSLAYLLVRVFKVVEPVSMSWELYLKSVVPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVVFKKENFKSDTMANMVSISVGVAIAAYGEAKFDSWGVILQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLVFLSVPWIFVEFPVLKENSSFHFDYLIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTAINLFGYGLAFLGVGYYNHSKLQALKAKEAQKKAAQPDEESGRLLEAREAESVRKNESQG >itb04g21810.t1 pep chromosome:ASM357664v1:4:26915755:26918496:1 gene:itb04g21810 transcript:itb04g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQIIYCALSCILQIEFFGPFLTIAMEQPSFVTSCASSYDVFLSFRGEDVRKNFVDHLYSAMEQRGIYTFKDDEKLERGKSISPAVERAIEESSMAVVVLSEHYADSTWCLEEVVKIKECMEVKGQMVVPIFYGVDPSTVRKQKGKFGEAFEGHEKRFEKEGEKVKKWRKVLENVSNLSGWNLDNTENG >itb01g28810.t1 pep chromosome:ASM357664v1:1:33159590:33165763:1 gene:itb01g28810 transcript:itb01g28810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREDLRSILPYLPLLQRSSSLFWPPPVVEALKALSQGPRHSNVDSGEVLFLAISDIRNSLSLPASSLAASASDGYSIFFDDLIPRAEASKWFEDVVPGLANLLLRFPSLLETHYQKADGGVVTGVNTGLRLLESQESGVVFLSQELIGALLACAFFCLFPSSSRGAKHLPMINFDRMFAYLYDDYDEKLEHKLRCIVHYFGRICSSTPMGNVSFERKVLPMESDPFCISYPEPSFWSESTVSLCPFEVFKSGLIEDQSKEALEVDFANKYIGGGALSRGCVQEEIRFMINPELIAGMLFLPAMSDNEAIEIVGTERFSNYTGYASSFRFCGNYVDEKCIDHLGRRKRRITAIDALCSPGKRQYKLECLLREMNKAFCGFSDQINLHHHQQFCQAGSLFECQNGCGDKDSSERSIDNLSSPEHPSTSLQAMEGNSGNLLKRNHKNENCQLLGTRNEIGIVTGNWGCGAFGGDPELKAMIQWLAASQALRPFISYYTFDLKALQLLDQVVQWIISHEWTVGDLWNMLVDHSLQRLSGETSLSFFNWLLPSLHSPEAMELDNTSTP >itb01g28810.t2 pep chromosome:ASM357664v1:1:33159590:33165561:1 gene:itb01g28810 transcript:itb01g28810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENREDLRSILPYLPLLQRSSSLFWPPPVVEALKALSQGPRHSNVDSGEVLFLAISDIRNSLSLPASSLAASASDGYSIFFDDLIPRAEASKWFEDVVPGLANLLLRFPSLLETHYQKADGGVVTGVNTGLRLLESQESGVVFLSQELIGALLACAFFCLFPSSSRGAKHLPMINFDRMFAYLYDDYDEKLEHKLRCIVHYFGRICSSTPMGNVSFERKVLPMESDPFCISYPEPSFWSESTVSLCPFEVFKSGLIEDQSKEALEVDFANKYIGGGALSRGCVQEEIRFMINPELIAGMLFLPAMSDNEAIEIVGTERFSNYTGYASSFRFCGNYVDEKCIDHLGRRKRRITAIDALCSPGKRQYKLECLLREMNKAFCGFSDQINLHHHQQFCQAGSLFECQNGCGDKDSSERSIDNLSSPEHPSTSLQAMEGNSGNLLKRNHKNENCQLLGTRNEIGIVTGNWGCGAFGGDPELKAMIQWLAASQALRPFISYYTFDLKALQLLDQVHLILKS >itb08g14770.t6 pep chromosome:ASM357664v1:8:16542607:16549008:1 gene:itb08g14770 transcript:itb08g14770.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb08g14770.t3 pep chromosome:ASM357664v1:8:16542575:16549008:1 gene:itb08g14770 transcript:itb08g14770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb08g14770.t5 pep chromosome:ASM357664v1:8:16542607:16549008:1 gene:itb08g14770 transcript:itb08g14770.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb08g14770.t1 pep chromosome:ASM357664v1:8:16542575:16549008:1 gene:itb08g14770 transcript:itb08g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPLQDPTDSNPQRETDSIDPKPQPDSEQTPPQPPSAPSSSIPPPNANPSTPPPPSLISPPSIQPYPAPSFRPATPPALLPGTAPQFSPIPSPALQQTPNYQTPGIPPPGVSSVSPPVMIAPPPGAPPPGAGVQMGYVQPPFAMPGQPLRPYAPMPNGYPGMPQSLPQGGAPPPGVHRYPSPYPMIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb08g14770.t7 pep chromosome:ASM357664v1:8:16542607:16549008:1 gene:itb08g14770 transcript:itb08g14770.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb08g14770.t2 pep chromosome:ASM357664v1:8:16542575:16549008:1 gene:itb08g14770 transcript:itb08g14770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb08g14770.t4 pep chromosome:ASM357664v1:8:16542607:16549008:1 gene:itb08g14770 transcript:itb08g14770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQMYPPRPPIGVGIVPPLMRPPVPVIRGPIIPPVIRPAPSPLVTQTEKTHITVYVGKISPTVENDFMLSLLQLCGPVKSWKRVQDPTNGTLKGFGLCEFESAEGVLRALRLLSKLSIDGQELMLNVNLATKGYLKQYVKKKIESSTKPKDQEDTGAADKGEENVSDAEKSEASKTASEHPEPTPEDSNKDNEKENKENHDTSTFGLVTDEDKQADQEASEKLTDMIKERLKNKPLPPPPPPPQLLPTDAGGNLNVENHSRSKDGESDADAVKNEVKNEDDLTSESKPSSEHDREGTSSPDRRRHDRSSRDRDRDIKREKERELERYEREREQERAKREKEREYKIREDERRYKVREKEWESREREKEHWRKREREREKERAHERKLEIMELEHDGDDGYKRRKYRSSDEERKRRHREKEDDLADRLKEEEEIAEAKQRDDEQQQKKEQEEALRILSGHVANGHDRAVSPEKNNREDKSVVITSDLNLSHSEALPHNSIGDESMWAATAASDTRQNSNAPAAKKLGFGLQGSGKRAAVPSFFNEDEDEDMQKEKKMRPLVPIDYSTEEQPVVHPSIPEAPSLNSITAIETAKRISNVNSKEDRLDAEKDRSRRSHERSSHRERERHDEESRKENVDHDRAREHRPDKTRTPDNQKLLDAKQLIDMIPKTKNELFSFEINWAIYDKNELHERMRPWIAKKITEFLGEEEPTLVDYIVSSTQEHVKATEMLERLQVILDEEAEMFVLKMWRMLIFEIKKVETGLSSRSKS >itb06g16000.t1 pep chromosome:ASM357664v1:6:20213433:20214428:1 gene:itb06g16000 transcript:itb06g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNLPVIAKKFWSIVRAALFMLKQGICKRKLMLDLNLMLKRGKIAGKAAIHGLIFHRGNHHHLSVFAAAAAAHRRSSDPPNEYEFSCSNSPAYKKSKHALHFPCAHAPPTDDDNGVVTVNSFMKALEMHALQSETASPALPGFGRTPLARQLRVTDSPFPVGDAEADCHVDEAADEFISRFYKDLRLQSN >itb12g04920.t1 pep chromosome:ASM357664v1:12:3244423:3246934:1 gene:itb12g04920 transcript:itb12g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQAGGGWKKQGQTTLPRGLPAIRFEEAEIKQLNAIEDHLLIGKFSWGRPNLDYIRRYFAENFLLKGSITIGWIDPRHISLAFSNEEDYLEILMKDQVLFEGKYPMRIFRWTLGFSTEKESSLAAVWVQLPMLSANLFNLAALKQICKPIGRFLAADQATLNFSRPSYARVRVEIDLLKPLIRELFIGFSREPGKEDIRYVQRVDFERVPYYCSNCYKQGHTVDHCRSGMLSSNGAVTNGRNLSWNAGNAAGMANKVGGSYPKPRDGVTSPRDRTISRDFEGWWKKWQGWWKKWEGRGWSEKEREIEGEKEAGDCINPFQTLRKIKEEREYGEEEEDPGSMRDRDDENDEDYVASETELSEQMEEEREDKEREVAAEEERRCQELNLTPRAQGKNQNKAKAPKSVRKGKGSSRKKKK >itb15g00090.t1 pep chromosome:ASM357664v1:15:64835:65821:1 gene:itb15g00090 transcript:itb15g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTVADVWPQMYFCHQCNRTVDITPSATNELLCPTCNSGFVEKFENPNPDPYPTFSDAFHSLFSSLLFPSHSPSSSSVAPSFFTPSDLQNPRIYSSRHSRRSNPGHESPVRGEREEFNPYDFLFSYLTSRQADGVNFEFVFEGSPFGGGGSSLLPGNIGDYFIGAGFEDLIQQLAENDPNRYGTPPASKSAVAALPTIKANKDLLSSELAQCAVCKDDFELEMEVKQMPCKHVYHNDCILPWLELHNSCPVCRYELPTDDPDYESRARGNGGGSASGSSGNSSQGQRTLERRFTISLPRPPTDFGRSPDGGDSQSGWQQNRDSN >itb14g08550.t1 pep chromosome:ASM357664v1:14:8066708:8067004:1 gene:itb14g08550 transcript:itb14g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAMLCVRITFYEHRRNEARGRTKLHRELLLLSHRENADLPGDGAAASPPKLYIARRSCCYCLATGTADATSPPEPQLLPRRRNWSCCVAAGTAAT >itb04g22440.t3 pep chromosome:ASM357664v1:4:27613088:27621117:1 gene:itb04g22440 transcript:itb04g22440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHVYLVYLGHHQNQDPVLTSRYHLRLLATVFTRKEDAEEAMVYSYKHGLSGFSAKLNSSQAAALAGKKGVISVFKSKSLELHTTRSWDFLGLTLDYDSHGRIPLQLVHGDEIVVGVLDTGVWPELKSFQEEPGMRPIPRSWKGKCVSGEMFDPTRDCNRKLIGARYYIKGYEQQYGKLNKTTNPDYLSPRDYLGHGTHIAATAVGSVVKSAASFFGFGRGTARGGAPRARLAVYKACWNKDLDGICTEADVMAAFDDALHDGVDVISASFGLTPPLLPFFVSAADIGAFHAMQMGVSVVFSAGNSGPEASLVGNVSPWSICVAASSIDRTFPTQIILDNNVSFMGESLVSNGVKARLAGASSYFYDGVCKMENWKNRKASGRVILCFATIGSVLVEEAEAAAWRANASGLIFVEPLGRPVAYVTIIPVVRVDLIQGTQIGYYLAQSSKLPVIQILPSSTVLRKSPAPIVADFSSRGPSSISPDFLKPDISAPGVNILAAWPPNIPPTFFPTDRRSTNWNFQSGTSMSCPHVSGVVALIKSIHQDWSPAAIRSALITTGKPNL >itb04g22440.t1 pep chromosome:ASM357664v1:4:27613088:27622263:1 gene:itb04g22440 transcript:itb04g22440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHVYLVYLGHHQNQDPVLTSRYHLRLLATVFTRKEDAEEAMVYSYKHGLSGFSAKLNSSQAAALAGKKGVISVFKSKSLELHTTRSWDFLGLTLDYDSHGRIPLQLVHGDEIVVGVLDTGVWPELKSFQEEPGMRPIPRSWKGKCVSGEMFDPTRDCNRKLIGARYYIKGYEQQYGKLNKTTNPDYLSPRDYLGHGTHIAATAVGSVVKSAASFFGFGRGTARGGAPRARLAVYKACWNKDLDGICTEADVMAAFDDALHDGVDVISASFGLTPPLLPFFVSAADIGAFHAMQMGVSVVFSAGNSGPEASLVGNVSPWSICVAASSIDRTFPTQIILDNNVSFMGESLVSNGVKARLAGASSYFYDGVCKMENWKNRKASGRVILCFATIGSVLVEEAEAAAWRANASGLIFVEPLGRPVAYVTIIPVVRVDLIQGTQIGYYLAQSSKLPVIQILPSSTVLRKSPAPIVADFSSRGPSSISPDFLKPDISAPGVNILAAWPPNIPPTFFPTDRRSTNWNFQSGTSMSCPHVSGVVALIKSIHQDWSPAAIRSALITTAYNKDTSGDSILAGGSLEAADPFDVGAGHINPLKAIDPGLVYDMKTKDYIAYLCNIGYTEEQIRSLVVVSAHDAPCSCRGNRPTTADLNYPSITIINLQRTTTIRRTARNVGRCWRTAIYTVKIVSPNGVDVWVWPRVLVFTPFKQELTYYVSVRPKKASQGRYDFGEIVWSDGFHRVRSPLVILVTNSGVWNDIDESTAQLKAMAASY >itb04g22440.t2 pep chromosome:ASM357664v1:4:27613203:27622263:1 gene:itb04g22440 transcript:itb04g22440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYKHGLSGFSAKLNSSQAAALAGKKGVISVFKSKSLELHTTRSWDFLGLTLDYDSHGRIPLQLVHGDEIVVGVLDTGVWPELKSFQEEPGMRPIPRSWKGKCVSGEMFDPTRDCNRKLIGARYYIKGYEQQYGKLNKTTNPDYLSPRDYLGHGTHIAATAVGSVVKSAASFFGFGRGTARGGAPRARLAVYKACWNKDLDGICTEADVMAAFDDALHDGVDVISASFGLTPPLLPFFVSAADIGAFHAMQMGVSVVFSAGNSGPEASLVGNVSPWSICVAASSIDRTFPTQIILDNNVSFMGESLVSNGVKARLAGASSYFYDGVCKMENWKNRKASGRVILCFATIGSVLVEEAEAAAWRANASGLIFVEPLGRPVAYVTIIPVVRVDLIQGTQIGYYLAQSSKLPVIQILPSSTVLRKSPAPIVADFSSRGPSSISPDFLKPDISAPGVNILAAWPPNIPPTFFPTDRRSTNWNFQSGTSMSCPHVSGVVALIKSIHQDWSPAAIRSALITTAYNKDTSGDSILAGGSLEAADPFDVGAGHINPLKAIDPGLVYDMKTKDYIAYLCNIGYTEEQIRSLVVVSAHDAPCSCRGNRPTTADLNYPSITIINLQRTTTIRRTARNVGRCWRTAIYTVKIVSPNGVDVWVWPRVLVFTPFKQELTYYVSVRPKKASQGRYDFGEIVWSDGFHRVRSPLVILVTNSGVWNDIDESTAQLKAMAASY >itb04g29790.t1 pep chromosome:ASM357664v1:4:33064210:33073567:-1 gene:itb04g29790 transcript:itb04g29790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIDNLPPMDLMRSEKMTFVQLIIPVESAHHAITYLGQLGHLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQIHKAGLLPSPNPASQPDIELEELEIQLAEHEHELIEMNANSEKLHQSYNELLEFKMILQKAGEFLVTQSHAPDQETELNENVHSNNDYADTASLLEQELRPELSSQSGIRFISGIICKSKVLRFERMLFRATRGNMLFNQAVADDQILDPTSNEMVEKIVFVVFFSGEQARTKILKICEAFGASCYPVPEDAIKRRQITLEVLSRLTELETTLDAGLRHRDKALTSIGFQLTQWANMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPMFAKAKIQETLQRATFDSNSQVGIIFHVMDAIESPPTYFRTNHFTNAFQEIVDAYGVAKYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALFLIARENKLGSQKLGSFMEMLFGGRYVLLLMSIFSIYCGLIYNEFFSVPFHIFGNSAYKCRDATCSDARSVGLIKYTDPYPFGVDPSWRGSRSELPFLNSLKMKLSILLGIAQMNLGIILSYFNARFFNSSLDIKYQFVPQMIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPFDDLGENKLFWGQSVLQVILLLLALVAVPWMLFPKPFILKRLHTERFQGRTYRMLGSSELDIEEEPDSAREHREEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNLIIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFELLADDDD >itb03g14780.t1 pep chromosome:ASM357664v1:3:14348702:14352621:1 gene:itb03g14780 transcript:itb03g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKQFQLGTVGALSLSVISSVSIVICNKALMSTLRFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLSKKFSRSIQLSLLILLSGVGIATITDLQLNVVGSILSLLAVLTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFVSGPFLDKFLTNQNVFAFQYTPQVLGFIVLSCLISIAVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLRDPFNWRNIIGILIALIGMVLYSYYCTFDGQKKAAEASPQLQPKDDESIPLVLMENGSTRHK >itb03g14780.t2 pep chromosome:ASM357664v1:3:14348702:14352620:1 gene:itb03g14780 transcript:itb03g14780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKQFQLGTVGALSLSVISSVSIVICNKALMSTLRFKFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDPRTVIGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLSKKFSRSIQLSLLILLSGVGIATITDLQLNVVGSILSLLAVLTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFVSGPFLDKFLTNQNVFAFQYTPQVLGFIVLSCLISIAVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLRDPFNWRNIIGILIALIGMVLYSYYCTFDGQKKAAEASPQLQPKDDESIPLVLMENGSTRHK >itb04g03910.t1 pep chromosome:ASM357664v1:4:2446021:2447004:1 gene:itb04g03910 transcript:itb04g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNGCLIVNPVHKKIPVLLHNGKPICESLNTSRRHGRTAPCYLNTLSAEPWTKFCEDKLVPSIRSCYFAKGKEQEEGVVQALDNLKLIEEQLNGKKFFGGDNNIVFLDIVLGWLANLPSVFEEITGLKLIDAEKFPVLSEWMHNFYNHPAILDHWPPRDKMITKYQKILKPIET >itb03g00460.t2 pep chromosome:ASM357664v1:3:228785:231505:1 gene:itb03g00460 transcript:itb03g00460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDYYNSKKRDDICEDVCGQQDYPGVPTMSRVRCMLRGLDLRSIIFLFVVVPTCVLGIYVHGQKISYFFRPLWQSPPKPFIHVTHYYHENVSMETLCKLHGWGIREYPRRVFDAVLFSNEVDMLAIRWQELYPYITRFVLLESNSTFTALPKPHVFAMNREKFEFVEPRLTYGTVAGRFRKGENPFVEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSRHTINLLRWCDDIPPVLHLQLRNYLYSFEFLVDQSSWRASVHRYQSGKTRYAHFRQTDYVLAESGWHCSFCFRHISDFIFKMKAYSHTDRVRFSHFLDPKRIQDIICKGADLYDMIPEEYTFKDIIGKMGPIPHFYSAVHLPSYLLNNADKYQYLLPGNCKREAG >itb03g00460.t1 pep chromosome:ASM357664v1:3:228785:231510:1 gene:itb03g00460 transcript:itb03g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDYYNSKKRDDICEDVCGQDYPGVPTMSRVRCMLRGLDLRSIIFLFVVVPTCVLGIYVHGQKISYFFRPLWQSPPKPFIHVTHYYHENVSMETLCKLHGWGIREYPRRVFDAVLFSNEVDMLAIRWQELYPYITRFVLLESNSTFTALPKPHVFAMNREKFEFVEPRLTYGTVAGRFRKGENPFVEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSRHTINLLRWCDDIPPVLHLQLRNYLYSFEFLVDQSSWRASVHRYQSGKTRYAHFRQTDYVLAESGWHCSFCFRHISDFIFKMKAYSHTDRVRFSHFLDPKRIQDIICKGADLYDMIPEEYTFKDIIGKMGPIPHFYSAVHLPSYLLNNADKYQYLLPGNCKREAG >itb09g08650.t1 pep chromosome:ASM357664v1:9:5155614:5156390:1 gene:itb09g08650 transcript:itb09g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMLIVQLLQEMGRPLPKFGEWDVNDPASAEGFTVIFNKARNEKRAGGKKESPPKRDPGYKHKATLGKPQSQSKKWFCCMQPPTE >itb09g01800.t1 pep chromosome:ASM357664v1:9:1077636:1091527:1 gene:itb09g01800 transcript:itb09g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLRDFKFLRRNADKNPQLEETENVPVNPSDSLGSQISNVDSSRPPLNTIQQKSMVDHEVGARVAKVARTPSTIQEKSVVDQEVGVRAARIDRTPTKSKSGRYSDSTMPLKTPERQKNRFGWAQRSDMSSIELKDEVKLDGTANGGPSRTMANMGTPRSTRTVARANSSYSECNSTQSTPTKSVSKPPNPGFCLASGSRPPANGGARMANYMALSKGVPISCNTSTVVNTVDVPHFELKEDPSFWMEHNVQVLIRVRPLNGMERSNNGYNRCLKQESAQCITWIGQPETRFTFDHVACESVDQETLFRMAGLPMVENCLSGYNSCVFAYGQTGSGKTYTMLGEIEELEVRPSPNRGMTPRIFEFLFTRIRAEEESRREERLKYSCKCSFLEIYNEQITDLLDPSSTNLMLREDITKGVYVENLSEFEVQTVGDILKLLSQGSSNRRVAATNMNRESSRSHSVFTCVIESSWEKDSASNFRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMVLVDIAHGRPRHIPYRDSRLTFLLQDSLGGNSKTMMIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSSADVAALQHQIRLLKEELSTLKRQNISRSLSFGAACTGDQREEESKNSLTLSTKQFKSLETTLAGALRREQMAETSIKQLEAENEQLNRLVRQREEDTRCTKMMLKFREDKIQRMESLLNGLTPADTYLLEENRALAEELQLIRAKVDKNPEVTRFALENIRLLEQLRRFQDFYEEGERNMLLSEVSELRDQLRLSLDGNLKLHNHFDINIPSQESIHVSKENNSLRRELKETLSQLEECRSNLNSCLDKNAELRREITDLRASLGGMGSSILDNDSGVEVIKESISEAPPLNYQSAIIAHEEKPNISCDKMLNWSEKIMDLQLELDLLKVLLQEEKSSHNEAEERALSLNRDLESSKDQILFITKQYKDVQEELKEAKSIIEALESQQILAINEVEDLRNSNNRYAELVQKQELEISSLKEKTCSQELRDLSFPKVLESEDTPLQAKLKKMHISLEKAKTLNKWYQSDRALQTSNAEEMDEIRTQVEVETAEVIVCLQEELSLLQQEVQASHLKETESLDRLSQLQCEIKELEEKNYLITEDNRTLRGAFEEKERELQSLLEELEQVSDEMETILGGGQVALKDASDQLDLISTSFPQNQASRISDHFGRMVKYIFEKDLLIEDLNRSLEDALNKRNDVESMLRSLRGAALVMTEAHQQQCSEKDREIILLTSQLNSKVQAVSEYKNKVKHVEDQLRETSTCATAAFVVVNWLSELQSSCIDAFKQKEVKLGEYLEANMQEHNIFCNQSSIIRKEAEQQIESLKLELQALEETCSGLRLELSEQQRHASAMQQKLEELEVNDIMETMETLEELKTGVSVVSSCMNEHVERHGRPKRDTTNEDPSHFSVKEECEIRTGANAKQPVDSCKDVETEQKDPSFEIQKNIAGQKTPHGRDATIALLKKEIVSALDSLKKVQAEMARLHNEKEEVCKAEKQSRESIESLFIPIVALGTSVENFEQEVKLKMEEVDEKLQQVEYAVQEYRNSWFEQNELMEAELGDAKAIAIQKTTEASCILAKFVEVQDTMKDADIMINELMIANEALKLENKELKKKEVKLTNERDILENENHCLQSANDLKDLYAGKLEKGFESDLSMVKQQVLELEDIISQANSCWMEEFISMASDVLNIKSDLHDTTELMRSWIEDIWSEIIVKDCAVSTFHLCHSGILLEAVNGFNAENGLLHHGLCESNSVITELREHNFKARQELEMCRTLKGKLLADIKGSFDRISRKEGETSELTLKLATFEKKIIDLQFQEELMLKRSDHLGSELAELIKEMHLSNQNVLATLVDRERLLQEKEEAMKSAEEDFIMKLVVKDFEVFILSMKLQEMAVLISNFEKTNRSYCEVAENLKREMILYSLDVSINESILVDKEIEVSFLKGKVEGAEEQEQKLVLELNEKNSTIAHFNDINKALEQDVHSLRDIACSNEKLKTELCEVVGTKITLSSQVQKLNSELEKVIEELKIKESDLEVSSSRISDLDQQNQMLQNRILLQEEASCRLQKELEMKDAEVVKISCLGKDNDALQGELRGLKAEYGVLVQDLEVMRAELESSLNSKRVISAESDRLRDAICSLENHVCNNDILINRVFSVFLKNFDKQEGEFHKICEEVGNASRFLGEFELLENLAKEIVSQNSSLGNELLRKDDILKGLLFDLSLLQESASNTKDQKDKIENLVASLNVLESELEKKSRELDEIVSRDIKLEAQLLENKSIISDLESDVSRKSEDVELLSRKNNELLASINDVLEEKTLMEEELTEQRKVSENLENELSEMGSALLEMNNSIESLRRNLNLVTCEKDDLSGELLALKKKLEMAQVLAEENEAVALEAKELAEIRKLYAEEKEEEVKLLERSIEELDCTVNVLENKVGIIKEEAERQRLQREELEMELHNVKQQMLGVKSSDADAKRNLDEKEKNLLEASQRIQILEKEIALRDAEIAQCKGHISELNLHAEAQASEYKEKFKTLEAMAEKVKLDVHATQGSTSSSNKLEKNALKPRGSGSPFKCIGIGLVQQLKSERDEDLTAEKHRIEELEALAASRQKEIFMLNSRLAAAESMTHDVIRDLLGLKLDMNSYATLLDNHQVQMLAEKAQLHNVDAVAKEQEVNKLKQQLNEFIEERKGWLEEIERKQAEMMAAKVALEKLHERDQMLTTENEMIKMENINHKKRVMELEAEVKKLSGQQNLHQRIHHHAKIKEENNTLKNENDDLTFKLRKTEAILARVREELAHFRASNGRSPHFNFEEEQRLEIKLKEREEEKLQLAQKLLGLCSSVLKAAGIKRQTSEVNLPMAEEALEQLKNRVDSLERELHDVKLKNKMSNERQRLSELMPQTSARSSMADENGQNPNRSPFLTALDR >itb09g01800.t2 pep chromosome:ASM357664v1:9:1077636:1091492:1 gene:itb09g01800 transcript:itb09g01800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLRDFKFLRRNADKNPQLEETENVPVNPSDSLGSQISNVDSSRPPLNTIQQKSMVDHEVGARVAKVARTPSTIQEKSVVDQEVGVRAARIDRTPTKSKSGRYSDSTMPLKTPERQKNRFGWAQRSDMSSIELKDEVKLDGTANGGPSRTMANMGTPRSTRTVARANSSYSECNSTQSTPTKSVSKPPNPGFCLASGSRPPANGGARMANYMALSKGVPISCNTSTVVNTVDVPHFELKEDPSFWMEHNVQVLIRVRPLNGMERSNNGYNRCLKQESAQCITWIGQPETRFTFDHVACESVDQETLFRMAGLPMVENCLSGYNSCVFAYGQTGSGKTYTMLGEIEELEVRPSPNRGMTPRIFEFLFTRIRAEEESRREERLKYSCKCSFLEIYNEQITDLLDPSSTNLMLREDITKGVYVENLSEFEVQTVGDILKLLSQGSSNRRVAATNMNRESSRSHSVFTCVIESSWEKDSASNFRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMVLVDIAHGRPRHIPYRDSRLTFLLQDSLGGNSKTMMIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSSADVAALQHQIRLLKEELSTLKRQNISRSLSFGAACTGDQREEESKNSLTLSTKQFKSLETTLAGALRREQMAETSIKQLEAENEQLNRLVRQREEDTRCTKMMLKFREDKIQRMESLLNGLTPADTYLLEENRALAEELQLIRAKVDKNPEVTRFALENIRLLEQLRRFQDFYEEGERNMLLSEVSELRDQLRLSLDGNLKLHNHFDINIPSQESIHVSKENNSLRRELKETLSQLEECRSNLNSCLDKNAELRREITDLRASLGGMGSSILDNDSGVEVIKESISEAPPLNYQSAIIAHEEKPNISCDKMLNWSEKIMDLQLELDLLKVLLQEEKSSHNEAEERALSLNRDLESSKDQILFITKQYKDVQEELKEAKSIIEALESQQILAINEVEDLRNSNNRYAELVQKQELEISSLKEKTCSQELRDLSFPKVLESEDTPLQAKLKKMHISLEKAKTLNKWYQSDRALQTSNAEEMDEIRTQVEVETAEVIVCLQEELSLLQQEVQASHLKETESLDRLSQLQCEIKELEEKNYLITEDNRTLRGAFEEKERELQSLLEELEQVSDEMETILGGGQVALKDASDQLDLISTSFPQNQASRISDHFGRMVKYIFEKDLLIEDLNRSLEDALNKRNDVESMLRSLRGAALVMTEAHQQQCSEKDREIILLTSQLNSKVQAVSEYKNKVKHVEDQLRETSTCATAAFVVVNWLSELQSSCIDAFKQKEVKLGEYLEANMQEHNIFCNQSSIIRKEAEQQIESLKLELQALEETCSGLRLELSEQQRHASAMQQKLEELEVNDIMETMETLEELKTGVSVVSSCMNEHVERHGRPKRDTTNEDPSHFSVKEECEIRTGANAKQPVDSCKDVETEQKDPSFEIQKNIAGQKTPHGRDATIALLKKEIVSALDSLKKVQAEMARLHNEKEEVCKAEKQSRESIESLFIPIVALGTSVENFEQEVKLKMEEVDEKLQQVEYAVQEYRNSWFEQNELMEAELGDAKAIAIQKTTEASCILAKFVEVQDTMKDADIMINELMIANEALKLENKELKKKEVKLTNERDILENENHCLQSANDLKDLYAGKLEKGFESDLSMVKQQVLELEDIISQANSCWMEEFISMASDVLNIKSDLHDTTELMRSWIEDIWSEIIVKDCAVSTFHLCHSGILLEAVNGFNAENGLLHHGLCESNSVITELREHNFKARQELEMCRTLKGKLLADIKGSFDRISRKEGETSELTLKLATFEKKIIDLQFQEELMLKRSDHLGSELAELIKEMHLSNQNVLATLVDRERLLQEKEEAMKSAEEDFIMKLVVKDFEVFILSMKLQEMAVLISNFEKTNRSYCEVAENLKREMILYSLDVSINESILVDKEIEVSFLKGKVEGAEEQEQKLVLELNEKNSTIAHFNDINKALEQDVHSLRDIACSNEKLKTELCEVVGTKITLSSQVQKLNSELEKVIEELKIKESDLEVSSSRISDLDQQNQMLQNRILLQEEASCRLQKELEMKDAEVVKISCLGKDNDALQGELRGLKAEYGVLVQDLEVMRAELESSLNSKRVISAESDRLRDAICSLENHVCNNDILINRVFSVFLKNFDKQEGEFHKICEEVGNASRFLGEFELLENLAKEIVSQNSSLGNELLRKDDILKGLLFDLSLLQESASNTKDQKDKIENLVASLNVLESELEKKSRELDEIVSRDIKLEAQLLENKSIISDLESDVSRKSEDVELLSRKNNELLASINDVLEEKTLMEEELTEQRKVSENLENELSEMGSALLEMNNSIESLRRNLNLVTCEKDDLSGELLALKKKLEMAQVLAEENEAVALEAKELAEIRKLYAEEKEEEVKLLERSIEELDCTVNVLENKVGIIKEEAERQRLQREELEMELHNVKQQMLGVKSSDADAKRNLDEKEKNLLEASQRIQILEKEIALRDAEIAQCKGHISELNLHAEAQASEYKEKFKTLEAMAEKVKLDVHATQGSTSSSNKLEKNALKPRGSGSPFKCIGIGLVQQLKSERDEDLTAEKHRIEELEALAASRQKEIFMLNSRLAAAESMTHDVIRDLLGLKLDMNSYATLLDNHQVQMLAEKAQLHNVDAVAKEQEVNKLKQQLNEFIEERKGWLEEIERKQAEMMAAKVALEKLHERDQMLTTENEMIKMENINHKKRVMELEAEVKKLSGQQNLHQRIHHHAKIKEENNTLKNENDDLTFKLRKTEAILARVREELAHFRASNGRSPHFNFEEEQRLEIKLKEREEEKLQLAQKLLGLCSSVLKV >itb04g03890.t1 pep chromosome:ASM357664v1:4:2444008:2445243:-1 gene:itb04g03890 transcript:itb04g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLYRTWSSPFGLRVVWALNIKHIEYETILEDLTNKSPHLLHYNPVHKKIPVLLHNGKPICESLVILEYIEETWQNNPLLPQHSLDRAQSRFWAKFCEDKLLPSIRSSYFMKGKEQEEGVVEALDNLKLIEEQLDGKMFFGGDNIGFLDIVLGWLANLPSVFEEITGLKLIDAEKFPVLSEWIENFYNHPAIVDHWPPRDKMITKFQAILNAIEANK >itb01g10640.t1 pep chromosome:ASM357664v1:1:9253567:9257013:1 gene:itb01g10640 transcript:itb01g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKSQQISCRPIEKVIVHPLVLLSIVDHYNRAARDTKKRVVGVLLGTSFRGTVDVTNSYAVPFEEDDKDASIWFLDHNYHESMFSMFRRINAKEHVIGWYSTGPKLRENDLNIHGLFNDYVPTPILVIIDVQPKELGIPTKAYYAVEEVKEECYLSAITKPQINGGINLQNATQKSQVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLQEIRSYLDLVIDGKLPLNHEILYHLQDIFNLLPNLNVAELIKSFAVKTNDMILVLYLSSLIRSVIAFHNLINNKMLNKEYEKAEDSKPVALPTAAGS >itb01g10640.t2 pep chromosome:ASM357664v1:1:9253567:9257013:1 gene:itb01g10640 transcript:itb01g10640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKSQQISCRPIEKVIVHPLVLLSIVDHYNRAARDTKKRVVGVLLGTSFRGTVDVTNSYAVPFEEDDKDASIWFLDHNYHESMFSMFRRINAKEHVIGWYSTGPKLRENDLNIHGLFNDYVPTPILVIIDVQPKELGIPTKAYYAVEEVKENATQKSQVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLQEIRSYLDLVIDGKLPLNHEILYHLQDIFNLLPNLNVAELIKSFAVKTNDMILVLYLSSLIRSVIAFHNLINNKMLNKEYEKAEDSKPVALPTAAGS >itb14g03280.t1 pep chromosome:ASM357664v1:14:2900998:2905317:1 gene:itb14g03280 transcript:itb14g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVRNPVVLCCALNLFAFLSVCSSDVSISVSPKTLLRSRDPVAIWWSGVSSPSKLDWVGIYSPANSSHDDFIGYVFLSSSPGWENGSGFISIPLINLRSSYRFRIFRWNESEVDVTRVDKDHNPLPGTEHLLVESEEIEFKPGRGPEQVHLALTGRAGEMRVMFVTSHGEESFVRYGLARTRKGLDQVAGTRVERYEKEHMCGAPANQSVGWRDPGYIHDGVMINLENKQRYFYQVGSNQEGWSNIYSFVSPDSNSNETIAFLLGDMGTFTPYTTFQRTQAESKSTIKWISRDLRAIGDRPAIISHVGDISYARGYAWLWDTFFTQIEPIASAAPYHVCVGNHEYDWPSQPWRPDWAANIYKQDGGGECGVPFSLRFNMPGNSSEPTGTAAPATRNLYYSFDMGPVHFVYMSTETNFLPGSNQYQFLEQDLEQVDRGKTPYVVFQGHRPMYTTSSGHKDGALRQKLVEHIEPLLLKNKVSLALWGHVHRYERFCPLNNFTCGSLEKNGKFWEAFPVHIVIGMGGQDWQPTWEPRPEHPTDPVFPQPVRSEFRAGQFGYARIVANMEKLRFSYVGNHDGKVHDSVEIMAPDPSVFDSTSHSLYHDL >itb05g13660.t1 pep chromosome:ASM357664v1:5:20680377:20684681:-1 gene:itb05g13660 transcript:itb05g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAMRARLRGLDRSNRESSLGLGLDQSGVINDQKKGKKKKEKKILLSYTPTPYLSNFSPLISQNTGFFCDFGPSLPSPSTSSFSDRSYELKSMAAPGSSMLYSFLLFVTTLSLQEMYRGKLASSELFTILGGFTSSLIFLTLLTFIGNYQETNGIKSGWGSVILAEAVALVAASTVHRVCITT >itb05g13660.t2 pep chromosome:ASM357664v1:5:20677725:20684681:-1 gene:itb05g13660 transcript:itb05g13660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAMRARLRGLDRSNRESSLGLGLDQSGVINDQKKGKKKKEKKILLSYTPTPYLSNFSPLISQNTGFFCDFGPSLPSPSTSSFSDRSYELKSMAAPGSSMLYSFLLFVTTLSLQEMYRGKLASSELFTILGGFTSSLIFLTLLTFIGNYQETNGIKSGWGSVILAEAVALVAASTVHRVCITTCLLFSVGLLYEINKLSVMMASKGDSKAKRY >itb05g13660.t3 pep chromosome:ASM357664v1:5:20680377:20684681:-1 gene:itb05g13660 transcript:itb05g13660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAMRARLRGLDRSNRESSLGLGLDQSGVINDQKKGKKKKEKKILLSYTPTPYLSNFSPLISQNTGFFCDFGPSLPSPSTSSFSDRSYELKSMAAPGSSMLYSFLLFVTTLSLQEMYRGKLASSELFTILGGFTSSLIFLTLLTFIGNYQETNGIKSGWGSVILAEAVALVAASTVHRVCITTW >itb14g20650.t1 pep chromosome:ASM357664v1:14:22956240:22957388:1 gene:itb14g20650 transcript:itb14g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHSSSTEGEGVCERLYRAVNCGGSAFKSLRRASPPPPRSSAAPEIPVVFNHGQDVTAAANAAAAVAKNPPAAKEKRVSLAVAEDHHHHHHKPPPSEEKHGGYHHHHEAHFSDYITRVRARIGRTLTHGGGGEGGGNERKAAVVRRDSFNDKVSNYIHRARNSIRATSNVGEGNKSNY >itb06g11550.t2 pep chromosome:ASM357664v1:6:16113639:16117239:1 gene:itb06g11550 transcript:itb06g11550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWAGHSCISLKEIHWAIEMEKRKWLWKRKPSDKSSGETESSDELETSKESPDHDKQSPEVTSKPASTDDEVKENLRCLTAKLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAEKEVAALKQQLETAVQQNVTLEARVSHLDGALKECVRQLRNAKDEQEQRIQEAIDEKTMEWESSRDALEKQLAELQLKAEVSEAEYHKSVDHDILQKLERLEKENTTLKHELLSRTKELEIRTIERDLSTQTAETASKQQLESIKKFTRLEAEYRRLQAQTRKLSVANDKKSFAASSDSQSESGEQINIIDTDYHKMSKLETSECDLSGTDCWASALIAELDQFKNGKSSSKNLAAVPVEIDMMDDFLEMERLASLSESKNEIADVAPEATIFTSSSIENPLTTELQTMVQRITELEQKLETVEAEKIKLGSALNDTEDALKASQIQLKEAEIRLEVLQKELAEANESKELLEFQLFGMEVEARVISANVDSLKNEVERERSLSADMAQKCQDLENEMRRKDEKVELPQPSSSTDELKVKQEDLAVAADKLAECQKTIASLGRQLQSLATLEDFLTDTVNLQGFSAAGVDPWKMHLDESFNQKLDSDPLEIPDQNSSHSMNGNYEESPASSSSSSTSSANHVSNARGRNGFGKLFSRSKSRTQL >itb06g11550.t1 pep chromosome:ASM357664v1:6:16113028:16117239:1 gene:itb06g11550 transcript:itb06g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWLWKRKPSDKSSGETESSDELETSKESPDHDKQSPEVTSKPASTDDEVKENLRCLTAKLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAEKEVAALKQQLETAVQQNVTLEARVSHLDGALKECVRQLRNAKDEQEQRIQEAIDEKTMEWESSRDALEKQLAELQLKAEVSEAEYHKSVDHDILQKLERLEKENTTLKHELLSRTKELEIRTIERDLSTQTAETASKQQLESIKKFTRLEAEYRRLQAQTRKLSVANDKKSFAASSDSQSESGEQINIIDTDYHKMSKLETSECDLSGTDCWASALIAELDQFKNGKSSSKNLAAVPVEIDMMDDFLEMERLASLSESKNEIADVAPEATIFTSSSIENPLTTELQTMVQRITELEQKLETVEAEKIKLGSALNDTEDALKASQIQLKEAEIRLEVLQKELAEANESKELLEFQLFGMEVEARVISANVDSLKNEVERERSLSADMAQKCQDLENEMRRKDEKVELPQPSSSTDELKVKQEDLAVAADKLAECQKTIASLGRQLQSLATLEDFLTDTVNLQGFSAAGVDPWKMHLDESFNQKLDSDPLEIPDQNSSHSMNGNYEESPASSSSSSTSSANHVSNARGRNGFGKLFSRSKSRTQL >itb03g10130.t1 pep chromosome:ASM357664v1:3:7887014:7895559:1 gene:itb03g10130 transcript:itb03g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNGPKKERKCEGHNIAGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEYTIFAGLEECIKLIANFKFREDDIAFLRQSLPSSCEDGFFEYLRSIDCSDVEIYSISEGSVVFPKVPLMRVEGPVAVAQLLETPFVNLINYASLVTTNAARHRFVAGKEKLLLEFGLRRAQGPDGGIGASKYCYMGGFDATSNVAAGKIFGIPLRGTHSHAFVSSFMSTDEIVEKSLHRSDGSSVCDDFVSLVQAWLNKLKWSNLLGGIFGETNQSELAAFTSYALAFPGSFLALVDTYDVIRSGVPNFCAVALALNDLGYKAIGIRLDSGDLAYQSCEARKFFCAIEKEFGVPGFGKMSITASNDLNEETLDALNKQGHEVDAFGIGTHLVTCYAQAALGVVFKLVEINNQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFSESKRAYVVPQRVEELLKCYWPGSSDKTREVLPPLKQIRERCIEHLSQMRPDHMRWLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >itb14g03240.t2 pep chromosome:ASM357664v1:14:2866398:2870246:-1 gene:itb14g03240 transcript:itb14g03240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQGASPITVANSRYCGVCGATCGLRSYFGRFKGGSVVFGVESQYDWHQYRKRISFVRNIDIARGRNLRVEAGWLFKGGNQDSASIERSESANEDILMFFFQLDLATRVQYALNLEQYDIAKQLRDKLTEVESEVLKQQESKLGSASKSEVQDMAISILCLRADLQSAVESENYSLAADIRDQISKIEAESLAASVRAQAYENAQYAFRLGQRVKHKVFGYRGVICGMDPVCCESTSWMENAKIEKLSRGPDQPFYQVLVDVRADPNLLVTYVPEENLEAPSEEDTKRFDHPYTSFLFYGMDGAGDFIPIKQLREKYNKPRHELPYDPEDEKSGGDA >itb14g03240.t1 pep chromosome:ASM357664v1:14:2866398:2870246:-1 gene:itb14g03240 transcript:itb14g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQGASPITVANSRYCGVCGATCGLRSYFGRFKGGSVVFGVESQYDWHQYRKRISFVRNIDIARGRNLRVEAGWLFKGGNQDSASIERSESANEDILMFFFQLDLATRVQYALNLEQYDIAKQLRDKLTEVESEVLKQQESKLGSASKSEVQDMAISILCLRADLQSAVESENYSLAADIRDQISKIEAESLAASVRAQAYENAQYAFRLGQRVKHKVFGYRGVICGMDPVCCESTSWMENAKIEKLSRGPDQPFYQVLVDVRADPNLLVTYVPEENLEAPSEEDTKRFDHPYTSFLFYGMDGAGDFIPIKQLREKYNKPRHELPYDPEDEKSGGDA >itb11g05890.t1 pep chromosome:ASM357664v1:11:3499830:3502711:-1 gene:itb11g05890 transcript:itb11g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQSLVFTVRRRAAELVSPAGPTPQGVRYLSDIDDQEGLRFQIPVIQFYRNDPGMKGRDPVKVIREAVAKTLVFYYPFAGRLREGRGRKLMVDCTGEGVMFIEADADVTLAEFGDALQPPFPCLEELLYDVPGSGEVLNCPLILIQVTRLKCGGYIVALRLNHTMSDAPGLVQFMNAVAEVARGASAPSVQPVWQREVMNARDPPRVTCTHHEYDEVPDSKGTIIPLDDMVHRSFFFGPSEVAALRRHLPVHLRKCSTFELLTACLWRCRTTALRPDPEEEVRVLCIVNARPRFNPPLPEGYYGNAFAFPVALTTAEKLTKNPLGYALELVKKTKADVTEDYMKSVADLMVLKGRPHFTVVRTYLVSDVTRAGFGDVDFGWGKAAYGGPAKGGVGAIPGVASFYIPFTNKKGEKGIVVPVCLPAFAMETFLKELDSMLKGEGEFINDDSYAFIKSAL >itb12g27590.t1 pep chromosome:ASM357664v1:12:27902083:27904446:1 gene:itb12g27590 transcript:itb12g27590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSVNANDQGVWSIWRGLLLTAKNNPSHRSLFSGEIEMNKHLINLVGRRIVFMAKPYIRLLSASPFSTSAFSSASKQWNGGVSMVQGASRGIGLEFVKQLLERKGEGRVIATCRNPNGAAGLLELKDKFSDRLDIHPLDLTIESTIEESANAVRDKYGSLNLLINASGILSIPDILQPETTLTKVQRSSMLLAYDINAVGPILVIKHMWPLLKAGGGCGTGRDFAIVANLSARVGSIGDNALGGWHSYRSSKAALNQLTKTISVEFARKKDPIICLLLHPGTVDTDLSKPFQKNVAKEKLFTKEFSVQKLLSIIDNAKRTDNGKFFAWDGQPIPW >itb04g13960.t1 pep chromosome:ASM357664v1:4:14181358:14182777:-1 gene:itb04g13960 transcript:itb04g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYIAGSGASGALTSVLRLVTKAAFEHSSNGLRKGVILFLAISAFIEFLCIILYAIVFPKFPIVKYYRSKAASEGSKTVLADLAAAGITTPTEIGDDANNQVGRLSQKELFIKNIDYVLHLYLIYVLTLSIFPGFLYENTGTHNLGSWYAVVLIAMYNVLDLVARYIPLIKKIELKSRKGLMVAILSRFLLIPCFYFTAKYGNQGWMIMLVSFLGLTNGYFTVCVFTAAPKGYKGPEANALGNILVLCLLVGIFSGVALGWLWLIGNSDF >itb14g19870.t1 pep chromosome:ASM357664v1:14:22389205:22389913:1 gene:itb14g19870 transcript:itb14g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQAEIAEFRTPQHPSLGTNNWGGASPLLARNLPNESLEQRYQRLNSVRNDGEIFAAGPDLVDGDDNDDDFASFSRNEASKYVYGAPRRLSLVRKLARRAQWKNKKKNSSTAMATVTLSSTPKRRKWFGRWDPKNRWPQGWC >itb15g00580.t1 pep chromosome:ASM357664v1:15:341557:346028:1 gene:itb15g00580 transcript:itb15g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFDDHMVSAIKKRRTKRPRQPSPVALRMATSSSSSTIQCIGSDDHFAAAAGGRFDHLSSSSSPSSNSENNRELSVDLEEDMANCLILLAQGRHDQELPAPSLVAGGANKEVYECKTCNRVFPSFQALGGHRASHKKPKASSSSAIPSPENQESYYEDVTTLSLQIPGRILPPSPMLSNKNKMHECSICGAEFTSGQALGGHMRRHRPLPPNAAAATTTSSGGGREDFDLNLPAAPAEEERRETSFPFASKERVIVFSTSTLFDLFLDDEVSFFIIAGVGKSCLLLRFSEDSFTQSYITTIGIDFKVRTIELDGKRMKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFDNIRNWMKNIEQHASDTVNKILIGNKADMDESKRVVPTSRGQALADEYGVKFFETSAKTGYNVEQGFFAIAGDIKQRLAEADSKAEPSTIKISLPEPVKPSTGVQQRSACCST >itb09g27780.t1 pep chromosome:ASM357664v1:9:28241062:28242290:1 gene:itb09g27780 transcript:itb09g27780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKQTARKSTGGKAPRKQLATKEDVVKPLLEVTRTTLLHDCTLLCAWRKDDVSFGNILRSRNKFSDALTIYEKVLEKDSGNVEALIGKGICLQMQNMARPGFECFSEAIRLEPQNACALTHCGILYKDEG >itb03g01230.t2 pep chromosome:ASM357664v1:3:667782:671880:-1 gene:itb03g01230 transcript:itb03g01230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQFNNISLGGRGGTNPGQLKFHAGGLLWKKQGGGKAVEVDKTEVMGLTWMKVPRSNQLGVRTRDGLYYKFTGFRDQDVSSLTTFFQSSCGITPEEKQLSVSGKNWGEVDLNGNMLTFLVGSKQAFEISLADVSQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENHPPAQVFRDRIMAMADVTDGEEAVVTFDGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRIFLLPKVSQPHTFVVVTLDPPIRKGQTLYPHIVLQFESEYVVDTGLAMNEDLLNAKYKDKLEPTYKGLIHDVFTKILRGLSGAKITGPGKFRSHTQGLAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILYDEIDYVEFERHAAGTANMHYFDLLVRLKTEQEHLFRNVQRSEYHCLYNFISSKGLKIMNLRDSRPADGVTAVLQDEDDDAVDPHLERIKNEAGDDESDEEDEDFVADKDDEGSPTDDSGGEGSDGSLSGGEKEKPVKKPKKDPSASTSKPTTSKKKEKAEDDGSKKKKQKKKKDPNAPKRAISAFMFFSQTEREVGVQHFNLCITYPY >itb03g01230.t3 pep chromosome:ASM357664v1:3:667024:671880:-1 gene:itb03g01230 transcript:itb03g01230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQFNNISLGGRGGTNPGQLKFHAGGLLWKKQGGGKAVEVDKTEVMGLTWMKVPRSNQLGVRTRDGLYYKFTGFRDQDVSSLTTFFQSSCGITPEEKQLSVSGKNWGEVDLNGNMLTFLVGSKQAFEISLADVSQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENHPPAQVFRDRIMAMADVTDGEEAVVTFDGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRIFLLPKVSQPHTFVVVTLDPPIRKGQTLYPHIVLQFESEYVVDTGLAMNEDLLNAKYKDKLEPTYKGLIHDVFTKILRGLSGAKITGPGKFRSHTQGLAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILYDEIDYVEFERHAAGTANMHYFDLLVRLKTEQEHLFRNVQRSEYHCLYNFISSKGLKIMNLRDSRPADGVTAVLQDEDDDAVDPHLERIKNEAGDDESDEEDEDFVADKDDEGSPTDDSGGEGSDGSLSGGEKEVSCFYISIIYYSL >itb03g01230.t1 pep chromosome:ASM357664v1:3:667024:671880:-1 gene:itb03g01230 transcript:itb03g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQFNNISLGGRGGTNPGQLKFHAGGLLWKKQGGGKAVEVDKTEVMGLTWMKVPRSNQLGVRTRDGLYYKFTGFRDQDVSSLTTFFQSSCGITPEEKQLSVSGKNWGEVDLNGNMLTFLVGSKQAFEISLADVSQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENHPPAQVFRDRIMAMADVTDGEEAVVTFDGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRIFLLPKVSQPHTFVVVTLDPPIRKGQTLYPHIVLQFESEYVVDTGLAMNEDLLNAKYKDKLEPTYKGLIHDVFTKILRGLSGAKITGPGKFRSHTQGLAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILYDEIDYVEFERHAAGTANMHYFDLLVRLKTEQEHLFRNVQRSEYHCLYNFISSKGLKIMNLRDSRPADGVTAVLQDEDDDAVDPHLERIKNEAGDDESDEEDEDFVADKDDEGSPTDDSGGEGSDGSLSGGEKEKPVKKPKKDPSASTSKPTTSKKKEKAEDDGSKKKKQKKKKDPNAPKRAISAFMFFSQTERENVKKSNPGIPFTEIGRVLGDKWNKLSAEEKEPYEAMARADKKRYTEEITGYKNQQSTNMDTTNRE >itb14g06100.t3 pep chromosome:ASM357664v1:14:5287828:5289271:-1 gene:itb14g06100 transcript:itb14g06100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESGKQRNQLLENVCCLLRKCIFSVLSVGPIPSHIAFIMDGNRRYAKKQTLEEGHGHRAGFSALMNMLKYCYELGVKYITVYAFSIENFKRRPEEVGSLMRLMQEKIEEMIKEQSIVNRYGIRVYFQGNLKLLSDPVRSAAERAMAATAGNSNATLSICVAYTSTDEIVHAVEQSCEEKWKEISEKNQNSAQNDITEHLNGNDKIENLIGSRDIDRHMYMAVVPNPDIIIRTSGETRLSNFLLWQSAKCLLYSPTALWPEIGFRHLVWAVLDFQRNYSYLKGKEKET >itb14g06100.t1 pep chromosome:ASM357664v1:14:5287828:5290088:-1 gene:itb14g06100 transcript:itb14g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESGKQRNQLLENVCCLLRKCIFSVLSVGPIPSHIAFIMDGNRRYAKKQTLEEGHGHRAGFSALMNMLKYCYELGVKYITVYAFSIENFKRRPEEVGSLMRLMQEKIEEMIKEQSIVNRYGIRVYFQGNLKLLSDPVRSAAERAMAATAGNSNATLSICVAYTSTDEIVHAVEQSCEEKWKEISEKNQNSAQNDITEHLNGNDKIENLIGSRDIDRHMYMAVVPNPDIIIRTSGETRLSNFLLWQSAKCLLYSPTALWPEIGFRHLVWAVLDFQRNYSYLKGKEKET >itb14g06100.t4 pep chromosome:ASM357664v1:14:5287828:5289271:-1 gene:itb14g06100 transcript:itb14g06100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESGKQRNQLLENVCCLLRKCIFSVLSVGPIPSHIAFIMDGNRRYAKKQTLEEGHGHRAGFSALMNMLKYCYELGVKYITVYAFSIENFKRRPEEVGSLMRLMQEKIEEMIKEQSIVNRYGIRVYFQGNLKLLSDPVRSAAERAMAATAGNSNATLSICVAYTSTDEIVHAVEQSCEEKWKEISEKNQNSAQNDITEHLNGNDKIENLIGSRDIDRHMYMAVVPNPDIIIRTSGETRLSNFLLWQSAKCLLYSPTALWPEIGFRHLVWAVLDFQRNYSYLKGKEKET >itb14g06100.t2 pep chromosome:ASM357664v1:14:5287828:5290088:-1 gene:itb14g06100 transcript:itb14g06100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESGKQRNQLLENVCCLLRKCIFSVLSVGPIPSHIAFIMDGNRRYAKKQTLEEGHGHRAGFSALMNMLKYCYELGVKYITVYAFSIENFKRRPEEVGSLMRLMQEKIEEMIKEQSIVNRYGIRVYFQGNLKLLSDPVRSAAERAMAATAGNSNATLSICVAYTSTDEIVHAVEQSCEEKWKEISEKNQNSAQNDITEHLNGNDKIENLIGSRDIDRHMYMAVVPNPDIIIRTSGETRLSNFLLWQSAKCLLYSPTALWPEIGFRHLVWAVLDFQRNYSYLKGKEKET >itb09g07170.t1 pep chromosome:ASM357664v1:9:4160209:4163314:1 gene:itb09g07170 transcript:itb09g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGGGGGGGSFGGQMRRSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCQLAGTLGLLRILIYKVYVDGTTTMSTHERKASIKEFYAAIYPSLLQLEKGVTDSEDKRQKAVCLERYRRRDDEDYRQASDLDIEREEECGICMEMNSKIVLPNCNHAMCLKCYHEWRSRSQSCPFCRDSLKSVNSGDLWVYLDNRDAMDMETITRENLRRLFMYIEKLPLVVPHSVFDSTYDTHLR >itb09g07170.t2 pep chromosome:ASM357664v1:9:4160209:4163314:1 gene:itb09g07170 transcript:itb09g07170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGGGGGGGSFGGQMRRSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCQLAGTLGLLRILIYKVYVDGTTTMSTHERKASIKEFYAAIYPSLLQLEKGVTDSEDKRQKAVCLERYRRRDDEDYRQASDLDIEREEECGICMEMNSKIVLPNCNHAMCLKCYHE >itb01g01430.t1 pep chromosome:ASM357664v1:1:821451:827501:-1 gene:itb01g01430 transcript:itb01g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRVAESGDELDNPTLENVNSEPSTNKMKKKKRKEAEPEEQSNAPSTSKKSSSTNPMEVKKEKRKRDKERHHEESDNAEPKPKQMAFEFKDDEKTAEDTSPSSSGAALPEFHIGVFKQLAAADSSAREAAAQMLVTELRQVQKAYDELENKESIDGELKLEADKGDGLNKCAPSLRYAVRRLIRGVSSSRECARQGFALGLSILVSAVPSIKMDSLLKLIIDLVEVTSSMKGQEVRDSLLGRLFAYGAIARSGRLTEEWIKDKDTPYIKDFIGSLVSLANKKRYLQEPAVSIIWELVEKLPVEALSNHVFEAPGLLEWFECATEVGNPDALLLALKMQEKAGVDKTFGKLLPSPYSLSSLFSADYLSSIATCLKESTFCQPRIHSVWPALVNILLPDTVLQDVDPAPVLNSTKKHKKSRKGEEDVEKNLRNFCEVILEGSLLSSSHDRKSLAFDVMLLLLPKLPANYASIVLSHKLVQCLMDVLSTRDSWLFKVAEHFMKELSEWAKHDDERRVTVIEALQKHSNGKFDTITRTKTVKNFMVEFKNESGCMCLFRSLTSMFLDEGHASEEPSDQSQTTDDNSEIGSVEDKDSNGALGFSDLLKSWVIESLPGVLKHSELDQNARFKLQKEILKFLAVQGLFSSTLGSEVTSFELQEKFKWPKSAISSALCRMCIEQLQSLLASAQKVEGSHALTSGAEANDLGSYFMHFVNTLRSIPSVSLYRSLNDDDEQAFKELQSMEALLLREERHSVSSMDLNKCHAMRYLLIQLLLQILLRPGEFSEAASELVICCKKTFGSSDLLGSSGEDESNENGAPELMDVLVDTMLSLLPQSSAPLRTAIEQTFKYFCNDITDDGLVRMLRVIKKDLKPARHQDTDTEDDDDNDLLDIEDEDEEPDEDEINETAESDEQTDGSEAVVGAEIASTELPDASDDSESDEGMDDDAMFRMDSYLARIFKEKKNQAGGETAQSQLVLFKLRVLSLLEIYLHENPGEPLVLKVFQNLAQAFINPNATEGSEQLGQRIWGILQKKIFKAKDYPRGEALQLPLLESILEKFLKLAARPFKKKKSAANLSKKKQSVSLNRYKMINSLAQNSTFWILKIVDGRNFPENELERTLDIFKSVLAAYFDSKKSQMKSDFVKEIFKRRPWVGHHLFEFLLEKCSNAKLQFRQVEGLDLILETLKSLVPANADQSNQEASKKMLKGKLRKLSHLIQVLVTNMPDKQSRRADVRKFCSKVFGILSSLNLTAPFLKALEPDGHTACESQLGDTFLALKKQQ >itb04g09960.t1 pep chromosome:ASM357664v1:4:9196916:9200710:-1 gene:itb04g09960 transcript:itb04g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G24240) UniProtKB/Swiss-Prot;Acc:Q9LHP4] MSILISIPRKQSSSPSSSTSTCTSIVSSWPLFLFFIFTLFFSGAGAGNHEAAALYTWLHSSAAPPPPLFSTWNPLDATPCKWDFITCSSEGFVTEINIQSISLELPLPANLSAFPHLKKLVISDANITGTIPWEVGDCSELTLIDFSSNSIVGSVPSTIGKLQNLQDLILNSNQLTGKIPLELGSCSSLKRLHLFDNRLTGNLPRELGLLANLEVLRAGGNQDIVGEIPEEIGNCGELTVLGLADTRISGSLPGSLSKLQKLQTLSIYTTMLSGEIPSGLGNCSELVNLYLYDNSLSGSIPPELGNLKKLEKLFLWQNSLVGGIPEQIGNCTKLSMIDLSLNYLSGSIPLSFGGLVELQELMLSNNNVSGSIPSVLSNAKSLVQLQLDTNQISGLIPPELGNLSSLQVFFGWANQLEGSVPWSLASCSSLQSLDLSRNSLTGSIPPGLFLLKNLTKLLLISNDISGTLPQEMGNCSSLVRLRLGKNRITGLIPRGIGGLKSLNFLDLSGNRLSGPVPDEIESCVQLQMVDLSNNILEGPLPNSVSSLSELQVLDVSSNRFNGPIPASFGRLVSLNKLVLSSNSFSGSISPSLGLCSNLQILDLSSNELSGSIPVELGKLESLEIALNLSSNQLIGPIPAEISALTKLSILDLSHNKLVGNLSVLAKLENLVSLNVSYNNFTGFLPDNKLFRQLSASDIAGNQGLCSFGRGSCFLRNAAGVTNDAKSKKLRLAIALLITMTIVMVIMGTIAILRTRKTIRGDDDSEMGESWTWQFTPFQKLNFSVEEVLKCLVDSNIIGKGCSGIVYRANMDNGEVVAVKKLWPTTIATTGCNDGKSGVRDSFSTEVKMLGSVRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERNGNPLEWELRYKILLGAAQGIAYLHHDCVPPIAHRDIKSNNILIGLEFEPYIADFGIAKLVDDGDFGRSSNTVAGSYGYIAPEYGYAMKITEKSDVYSYGVVILEVLTGKQPIDPTIPEGDHIVDWVRKRRGGAEVLDPRLGSGPDLDIEEMMQALGIALLCVNPAPAERPTMKDVAAMLKEMKHEREEYAKVDALLLKASPANRENAKGLSATTSSSAQNGEGGNGTSFFSASSVLYSSSSNAKNGFQ >itb01g21660.t1 pep chromosome:ASM357664v1:1:27731375:27734861:1 gene:itb01g21660 transcript:itb01g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSTALIAAKASKCSSLSSKSHLSSVAAFRVSTTASFPRRRVPRFVVLALDAKPTVLVAEKLGEAGLSLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSAGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMSRNIAQADASVKAGKWQRNKYVGVSLVGKTLVVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAIASADFISLHMPLTPATNKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRAIDAGIVAQAALDVFTEEPPAKDSKLVQHELVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPFVVLAEKLGRLAVQLATGGSGVKTVKVTYGSARAPDDLDTRVLRAMITKGLIEPVSSVFVNLVNADFTAKQRGIRIAEERVLLDGSPESPLEFIQVQIANVESKFASAISDSGEIRVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGQENVNVSFMSVGRIAPRKHAIMAIGVDEQPSKESLKRIGEIPAVEEFVYLKL >itb04g07340.t1 pep chromosome:ASM357664v1:4:4949737:4956639:1 gene:itb04g07340 transcript:itb04g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSGSKAAHGLFRWKWRGESSITTGLLDDVPPEIELSDYHRAPSAGSESPSGLLNGESFSGEPIADLDLFFERLYSYHCEKGLWCIIIKWILELLGLAFTTFFSAFFLLIVDWNGLRNAKCGMDAVESGIKPCDLSKEALHRHPLTPFTVSKAIVVGYLGIFSIYWIFCFLRFFAQLKDTLKIRDFYYNSLHVTDNEIQTMPWASILEKIVQLQNSQQLCVVKDLSIQDVVMRLMRKENYLIGMVNKGVLAFPISPWVPGVGPVKFSLNGLQHRLMLTKTLEWTLNWCVLQSMFDRNYCVRRDFVSDPKTLKKRLMIVGIAMLFLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSTLAKWMFREYNEVDHLFKHRITSSIVHASDYLKQFPSPIISLIAKFISFVSGGFAAVLIIIAFLDESLLEGHIFGRNLLWYGAVFGAITAISRAAITDELLVLNPHEAMSLVVQHTHYMPKRWRGKEQTEGVRLEFETLFQYTGMMLLEEMASIFVTPYLLLFVVPKRVDAILQFITDFTVDVEGVGHVCSFSAFDFRNHGNSKYGSPFNAPRTQRSSQGKMEKSFLSFHTSYLSSEPNADGKEFLSRLKAFREQKLRGQEIRPAYPTSRFQPWNLNFRGSSDRNPYFSREMNIDNLGGGYELDSMWLMAGEQRSCPYILDWYYTSCPHDMTDGPRGTQHDQFDVGEESLEDAWKRSNSLQNKLGDENWGHTFDDDDDDRTRSHLDASTSAPLFRESSVLQQHDNNNLAHSAKRGWWARSRPQVRDPQTSFLEPSAFFNRGGTQASFLEPPPFINRGPQTSFLEPTASTHHPQTSFVEPPSTFNRHPQASFVEPPPTSNRYPQDNYDTSPDTSVDEQGNLDLRNSNRLTRTFFMDESGGDFNLPFDDIYGRRSASSSRRSSDDAADLV >itb03g13930.t1 pep chromosome:ASM357664v1:3:13862518:13865888:-1 gene:itb03g13930 transcript:itb03g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSALRRACICNALIFFTVCFAGDRFVNFELEYTYVTKSPLGVPQQVIAVNGQFPGPVLNVTTNDNVVVSVRNRLDEDLLVTWPGIHMWRSSWQDGVQGTNCPIHAKWNWTYQFQVKDQIGSFFYFPSLLMQRASGGFGSFIITNRPVISLPFGFPDGDIVVLIGDWYTKSHKALREDLDNGKELGMPDGVLINGKGPYRYNSSLVPDGIDHETINVDPGKTYRVRVHNVGASTCLNFRIQNHNLLLAETEGTYTSQQNYTSVDIHVGQSYTFLVTMDQNASSDYYVVASPRLVNQSLWEKVTGVAVLHYSNSKGKASGPLPDPPNDVYDTSYVINQALSIRQNVSASGARPNPQGSFHYGSVNVTDVYILKSVPPVTIDRKRRATYNGISFVYPDTPVRLSDIYHVPGDYKLDFPNKPMNRPPKMDKSLINGTYKGFIEIVLQNNDTVVQSFHMDGYSFFVVGMGYGEWTENNRGSYNRWDAISRCTTQVFAGGWTAILISLDNVGVWNLRTENLDRWYLGQETYMRIINPEDTSNKTENPVPDNVLYCGALASMQKQQKTSSADVHGSLNLMLSLMLLLAAIIYNLH >itb01g27000.t2 pep chromosome:ASM357664v1:1:31981384:31988917:1 gene:itb01g27000 transcript:itb01g27000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSSAKMKAWPKDDFTDIVISWTLEDIFNQNLFNDQVEKIPETFNSADHYLCSFFFPVLEETRAQLAASMDVMDRAPFAEVIAIDAVNKHGEPLYSVKVDVWKNRVRDDREPYRTLPGDIVVISDNRPVSASDLDRAGSNWTLASVVNLVNDEEDGANISTNFKVKMPTDLTPDLEKYEGFHIVFLENITTHKRIWNALHMRKNMKIIDSVLYTNGEAEKKCYLCSPHPDNIGCTDRIGTGMFSKLNESQANAVLTCLERVKCDQISHVDLIWGPPGTGKTSTISILLYMLLKMNCRTLICAPTNVAVTQVAARVIKLVQESFKGESSEKYLLYPLGDVVLLGNKDRLKMGGDIVEIYLDNRVDRLVECLGPLKGWRQCIDSTVHFLEDCVSDYDIFVENELKMRELNVKGETLKDSIKPTSFLKFIKLRFEVIVSALRSCMLIFCTHLPRHFIQEKNFQDIMSLICLLDSLKEMIFQEHMRSAKLKDLFSQPVSSKPFVNTSSLLCLRIQCISILKMLLHSLGVLELPSALNKASIKEFCLRSSSLIFCTASSSYKMHSTEMEPFNLLVIDEAAQLRECESIIPLQLPGLKHAILVGDECQLPATVCSQVCSEAGFGGSLFERLSSLGHSKLLLNVQYRMHPAIGHFPNLSFYRMQVLDAPNVRSKAYERQYLQGNMFGPYLFISVPSGKEESDDFGHSKRNMVEVALVIKILQNLYKFCSSRKKLSVGVISPYTAQVVAIKDRIGRKYDNLNGFSVKVKSTDGFQGGEEDVIILSTVRSNRSGSIGFLSSLQRTNVALTRARHCLWILGNERTLVDSNSVWEGLVLDAKDRQCFFCAAEDSDLLKTVIDVKKELDQLDDLLNADSILLKNQIWEVLLSDNFRKSFKNLVSSRLRMAVLNHLCKLASGWRPKRKCVDLVCESSFQVVKQFKIEGYYIICTIDIQKDLNYKQVLRAWDLLPLDEVGKLVRRLDSIFAMYNDDFIDLCKLKCFDGVLEVPKVWPGSYDLVRFKNPSERVADYSNDGVVDGSSYAENSRVSESLLLMKFYALSSGIVNHLLSDNHGEEIDIPFEVTDEEKELIQSGRSSFILGRSGTGKTTVLTMKLIQKEQLHQLALGGVMKDETNEISKYAGESSFSRAEIAMMSQSEAEAKKPTLRQLFVTVSPKLCFAVKKQVSNLKCFVDGGKFSAGNSVMDMDDLDGFSHFKDIPNSFVGIPDSKYPLVITFHKFLMMLDGTLWPSYFDRFHDTSTLSLNRISRSVTLDTFIRGKEVNFDRFCCLYWPHFNSQLTKNLDPSRVFTEIISHIKGGLQVCETDGAKLTREGYISMSANRVSTVNEKKRGEIYDIFLDYEKMKMKRGEFDLADLVNDLHRRLKSENLNGDKMDFVYIDEVQDLTMRQISLFKYICKNVDEGFVFSGDTAQTIARGIDFRFEDVQSLFYEEFMMKLKGDGPPARKDKGHLAGVSCLLQNFRTHAGVLRLAQSVIDILYHYFPLSIDALAPETSLIYGEAPVLLKPGSDENAIVTIFGNSGSNSGKMVGFGAEQVILVRDEAAKKEVSDFVGKQALILTIVECKGLEFQASEMARACCFFCLVLPIPFWMFSFSLCL >itb01g27000.t1 pep chromosome:ASM357664v1:1:31981384:31985224:1 gene:itb01g27000 transcript:itb01g27000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSSAKMKAWPKDDFTDIVISWTLEDIFNQNLFNDQVEKIPETFNSADHYLCSFFFPVLEETRAQLAASMDVMDRAPFAEVIAIDAVNKHGEPLYSVKVDVWKNRVRDDREPYRTLPGDIVVISDNRPVSASDLDRAGSNWTLASVVNLVNDEEDGANISTNFKVKMPTDLTPDLEKYEGFHIVFLENITTHKRIWNALHMRKNMKIIDSVLYTNGEAEKKCYLCSPHPDNIGCTDRIGTGMFSKLNESQANAVLTCLERVKCDQISHVDLIWGPPGTGKTSTISILLYMLLKMNCRTLICAPTNVAVTQVAARVIKLVQESFKGESSEKYLLYPLGDVVLLGNKDRLKMGGDIVEIYLDNRVDRLVECLGPLKGWRQCIDSTVHFLEDCVSDYDIFVENELKMRELNVKGETLKDSIKPTSFLKFIKLRFEVIVSALRSCMLIFCTHLPRHFIQEKNFQDIMSLICLLDSLKEMIFQEHMRSAKLKDLFSQPVSSKPFVNTSSLLCLRIQCISILKMLLHSLGVLELPSALNKASIKEFCLRSSSLIFCTASSSYKMHSTEMEPFNLLVIDEAAQLRECESIIPLQLPGLKHAILVGDECQLPATVCSQVCSEAGFGGSLFERLSSLGHSKLLLNVQYRMHPAIGHFPNLSFYRMQVLDAPNVRSKAYERQYLQGNMFGPYLFISVPSGKEESDDFGHSKRNMVEVALVIKILQNLYKFCSSRKKLSVGVISPYTAQVVAIKDRIGRKYDNLNGFSVKVKSTDGFQGGEEDVIILSTVRSNRSGSIGFLSSLQRTNVALTRARHCLWILGNERTLVDSNSVWEGLVLDAKDRQCFFCAAEDSDLLKTVIDVKKELDQLDDLLNADSILLKNQIWEVNQFVDLILLLLNVRFLYEGLILGR >itb01g29180.t3 pep chromosome:ASM357664v1:1:33467508:33480436:1 gene:itb01g29180 transcript:itb01g29180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSSSAFIPTQRHHTSQSATLLFTKPSNFPILPLPAVSRCRHLRRRRSTPSSSPLVVCAASLKEKIDGLNKTWSNITSLNHWVVREYGRLVNSVNVLEPQIQKLSDEQLSAKTLEFRRRLREGESISHIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGAIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQTRMTADERRLNYGCDITYTNNSELGFDYLRDNLATSREQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGQASKDAARYPVAARLAELLMRDLHYNIEFKDRSVELTEEGIFLAEMALETNDLWDENDPWARFVISALKAKEFYKQDVQYIVRNGKALIINELTGRVEDKRRWSDGIHQAVEAKEGLEIKADSVVVAQITYQSLFKLYPRLSGMTGTAKTEEKEFLKMFKTPVIEVPANLPNIRKDLPIQAFATERGKWVHACEEIEFMFRLGRPVLVGTTSVENSEHLSTLLRKRKIPHNVLNALPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEILEDSLISSLTQDAPQTDIDGELNLKKVLSKIKVGPSSLALLAKTALMAKYVCKNEGKKWPYEKAKSMISESIEMSQSMELEELQKLADDQSETYPLGPSMALTFLSVLKDCESHCLNEGLEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISRITNDEDTPIEGDALLKQLLSLQISVEKYFFGIRKSLVEFDEVLEVQRKHVYNLRQLILTGDFESCSQHILQYMQGVVDEIIFNYVDPQKHPSKWFLDKLLRDFTGFAGKILNDSFLEITEEALLDSLVQLHDMGSVTIDDFCIPNLPGKQNPFRGIRGKTASLKRWLAICADDSTKDGKYRVTVNFLRKYLGDFLIASYLDVIQESCYDSEYVKRIERAVLLKTLDSFWRDHLVNMNRLSSAVCYMFHLFIQG >itb01g29180.t2 pep chromosome:ASM357664v1:1:33467508:33480436:1 gene:itb01g29180 transcript:itb01g29180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSSSAFIPTQRHHTSQSATLLFTKPSNFPILPLPAVSRCRHLRRRRSTPSSSPLVVCAASLKEKIDGLNKTWSNITSLNHWVVREYGRLVNSVNVLEPQIQKLSDEQLSAKTLEFRRRLREGESISHIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGAIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQTRMTADERRLNYGCDITYTNNSELGFDYLRDNLATSREQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGQASKDAARYPVAARLAELLMRDLHYNIEFKDRSVELTEEGIFLAEMALETNDLWDENDPWARFVISALKAKEFYKQDVQYIVRNGKALIINELTGRVEDKRRWSDGIHQAVEAKEGLEIKADSVVVAQITYQSLFKLYPRLSGMTGTAKTEEKEFLKMFKTPVIEVPANLPNIRKDLPIQAFATERGKWVHACEEIEFMFRLGRPVLVGTTSVENSEHLSTLLRKRKIPHNVLNALPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEILEDSLISSLTQDAPQTDIDGELNLKKVLSKIKVGPSSLALLAKTALMAKYVCKNEGKKWPYEKAKSMISESIEMSQSMELEELQKLADDQSETYPLGPSMALTFLSVLKDCESHCLNEGLEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISRITNDEDTPIEGDALLKQLLSLQISVEKYFFGIRKSLVEFDEVLEVQRKHVYNLRQLILTGDFESCSQHILQYMQGVVDEIIFNYVDPQKHPSKWFLDKLLRDFTGFAGKILNDSFLEITEEALLDSLVQLHDMGSVTIDDFCIPNLPGKQNPFRGIRGKTASLKRWLAICADDSTKDGKYRVTVNFLRKYLGDFLIASYLDVIQESCYDSEYVKRIERAVLLKTLDSFWRDHLVNMNRLSSAVNVRTFGLRDPLEEYKIDGCRFFISMLSATRRLTVESLLRYWSSPMESQELYS >itb01g29180.t1 pep chromosome:ASM357664v1:1:33467508:33480436:1 gene:itb01g29180 transcript:itb01g29180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSSSAFIPTQRHHTSQSATLLFTKPSNFPILPLPAVSRCRHLRRRRSTPSSSPLVVCAASLKEKIDGLNKTWSNITSLNHWVVREYGRLVNSVNVLEPQIQKLSDEQLSAKTLEFRRRLREGESISHIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGAIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQTRMTADERRLNYGCDITYTNNSELGFDYLRDNLATSREQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGQASKDAARYPVAARLAELLMRDLHYNIEFKDRSVELTEEGIFLAEMALETNDLWDENDPWARFVISALKAKEFYKQDVQYIVRNGKALIINELTGRVEDKRRWSDGIHQAVEAKEGLEIKADSVVVAQITYQSLFKLYPRLSGMTGTAKTEEKEFLKMFKTPVIEVPANLPNIRKDLPIQAFATERGKWVHACEEIEFMFRLGRPVLVGTTSVENSEHLSTLLRKRKIPHNVLNALPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEILEDSLISSLTQDAPQTDIDGELNLKKVLSKIKVGPSSLALLAKTALMAKYVCKNEGKKWPYEKAKSMISESIEMSQSMELEELQKLADDQSETYPLGPSMALTFLSVLKDCESHCLNEGLEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISRITNDEDTPIEGDALLKQLLSLQISVEKYFFGIRKSLVEFDEVLEVQRKHVYNLRQLILTGDFESCSQHILQYMQGVVDEIIFNYVDPQKHPSKWFLDKLLRDFTGFAGKILNDSFLEITEEALLDSLVQLHDMGSVTIDDFCIPNLPGKQNPFRGIRGKTASLKRWLAICADDSTKDGKYRVTVNFLRKYLGDFLIASYLDVIQESCYDSEYVKRIERAVLLKTLDSFWRDHLVNMNRLSSAVNVRTFGLRDPLEEYKIDGCRFFISMLSATRRLTVESLLRYWSSPMESQELYS >itb02g11840.t1 pep chromosome:ASM357664v1:2:7898257:7904742:1 gene:itb02g11840 transcript:itb02g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLRILFHITILAAISLFLAPHGGYALKLPFRPTDIVPLLPQQLSWPIINSLHGAADLLPAFVGAASASNNTNIEWKGTCFYENSAWLELHNNSGTPFGGGTLHIKVSNAHSWTCMDIYIFATPYRVTWDYYFLSREHTLEFDEWVSKAELEYVKNTGVSIFLMQAGMLGTLSALWEVFPLFTNTGWGESSNLNFLKKHMKASFEERPQPWVANISTDDIYSGDFLAISKIRGRWGGFETLEKWVTGSYAGHTAVCLRDAEGKLWVGESGHDNDEGEDVIAILPWDEWWEFELTKDDSNPHIALLPLRPDLRAKFNETAAWEYAKSMDGLPYGYHNLIFSWIDTIEENFPPPLDAHLVASAMTVWNQLQPAYAANLWNEALNKRLGTEGLDLPEILVEVERRGSSFAELLTVPEQDDWVYADGKSTSCVAFVLEMYKEAGLFGPLASSIEVTEFTIKDAYTLNFFENDTSHLPKWCNDGDTVELPFCQIRGKYRMELPGYNSMEPYAHMNESTDQSLHAKIRKIPRRGGGYKIQPVSPPLPISLLALSFPAMATSIGALSRTLFLITLILAISLFLAPHGGHALKLPFRPTDILPLLPQQLSRPIINYLRHGRIAEDNLPVFVGAASASDTANIQWKAPCFDHNSAWLELHNKSGTPFGGGALHIKVSKAHTWYCDDTYLFATAYRVKWDYFFLSREHIIEFDQWISKAELEYVKTTGVSTFITDFDLLGALHMLREVFPLITSEGWSERSNINFLQKHMEASFMIRPKRRWISTNISTDDIHSGDLLAISRFRGRQGGIQTLEKWVTGSYAGHIAVCLKDEGGKLWVGESGRENDEGEAVIAILPWEEWWEFELKKDYSIPNIALLPLSPDIRAKFNESAAWEYAKSMDGLPYSYHNLIFSWIDTYKLNYPEPLDPHVMASAVAIWNQVQPANAANVWNEGFNKRLGTEGLGFAEILDELHRRGSCFAQILPIPEQDDWVYSDGKSTSCAAFVLGMYKEAGLFGPLANLIQVTEFTIKDAYSLRFFEEDKNRLPKWCNDPYKLLPFCQILGKYLMELPGYNSMEPYAHMNERCPSMPPKYHRPVGC >itb08g15960.t1 pep chromosome:ASM357664v1:8:18128344:18130590:1 gene:itb08g15960 transcript:itb08g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFLAIGGGGIQPCSIPFDVDQFDATTKEGRKGITSFFNWYYASFTVVLIFSLTIVVYIQDSMSWALGFGIPTGLMVFAIVLFFLGRRVYVYVKSQGSVFSGVAQVLVAAFKKKKVKLPDEAVGIMILRLHAPPVERKLPLTKDCSRSTTGHIHNVTGSKNGPSPRPQLPYPRWFTLRHLHDHLQRIGIGFVFSIIGMIVSALVERKRRTSAVSHGGADGVAPITVMWLAPQLILMGFAEGFNIIGQIEFFNKEFPDNMTSTVWPIHCTP >itb11g22140.t1 pep chromosome:ASM357664v1:11:23953018:23959363:1 gene:itb11g22140 transcript:itb11g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTRQSAKKLQVVSIVGMGGIGKTTLARKVYEDSSITLHFDKRAWVTVSHEYNLLQMLQCLVGCVSASSDKQSSNDTSELAASLRKHLMGQRYLIVMDDIWSKEAWDSVQRCFPDDNKGSHILLTSRYKEVVEYAGNSTINMSFLDVNESWNLFCNVFGQTKFVSVFEQISRKIVEKCNGLPLAIIVIASLLSKTEAAVEKWNNVAENVSRYVISDSNDACSRILYLSYNQLPHHLKACFLYFGVFPEDCEIHVKKLVRLWAAEGFLRAEDHRNMEEVAMKCLQDLVDRSLVFVSKQSYNEVLKLKHAIVKEDKIWETSEEGFRKLKRLERSSSSAAIGKIFHGRRSDASAADSSRLRDCEDAPSLGKMKHFASGRETLPDFNLTTASTATAQVVPHARKCCLDDDEEDEYEEIIIPFSAPMFGGHGSTIVGSLLCGIYYIKCIGRYGKQQVEIIKQKQNVVASPFV >itb11g21130.t1 pep chromosome:ASM357664v1:11:22581018:22583672:-1 gene:itb11g21130 transcript:itb11g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLNNLSRSRRQPNHPSEQVLGAILEVAFAATAVTAAASNPLSYVHTFRISILSPLRRRYLLRNLRPSRDCRLSLSPQRGDRRRPVTAAFDRP >itb12g05780.t1 pep chromosome:ASM357664v1:12:4303524:4309137:-1 gene:itb12g05780 transcript:itb12g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G23460) UniProtKB/Swiss-Prot;Acc:O80462] MSPEKASVVVDDGVEYSFAMEYHGPPIAHELPRAVPINVDRIPVAAVVSQMPLSRKFSLPIVQPISVNDVAIGFSKELKRSSNSTVSPTSVIAFDRQSGCEDESASKELEALGSETTLSPSSVSGIDESAPSNSGCIPYGESSSSSPTERMNGDEGRGEFSGAINESTELASSSVGRELLDRVGSSGAFRFSSSFEMSREFSASSQTLRFPASCNGVNEPDWASNESVLSFDYPSSRVSSHKFGDSNNEQGGYEVKRAPVVTFCDIESEDEDIHEEFIGAEPEAIRPKREPPVKVKKGVCYRCTKGNRFTEKEVCVVCDAKYCSSCVLRAMGSMPEGRKCVSCIGYPIDESKRGNLGKCSRMLKRLLNDLEIQQIMKAEKLCEVNQLPPEYVCVNGRPLRHEELDILQSCANPPKKLKPGNYWYDKVSGLWGKDGQKPSQIISPHLSVGGPIKVNASNGNTQVYINGREITKVELRMLQLAGVQCAGNPHFWVNDDGSYQEEGQKNTKGYIWGKAGMKLVCAVLSLPVPSKSSSVSGEQISDVLSRSVPAYLEQRALQKLLLIGYSGSGTSTVFKQAKILYKDVPFTEDECQHIKSVIQSNVYSYLAILLEGRERFEEECLNGLSKNRASNESGQTGRSDGNDKKTSYSISPRLKAFSDWLLKIMASGNLEAVFPAATREYAPLIEELWSDAAIQATYKRRSELEMLPDVANYFLERAVDILKVDYEPSDVDILYAEGVTSSNGLSCMDFSFPEVANDDTFESCNQPDSLLRFQLIRVQARGFGENCKWFEMFEDVRIVIFCVSLNDYDQCAVDETGELVNKMLLSKRFFEAIVTHPTFEQMDFLLLLNKFDVFEEKVERVPLTECEWFDDFHPVISRHRSSSSSSNSSNINHSPSLGHLAFHYIAVKFKRLYSALTDRKLYVSLVRGLDPKSVDESLKYAREILKWDEEKPNFSVSEYSFYSTDASSFSH >itb12g15800.t3 pep chromosome:ASM357664v1:12:16283061:16288630:-1 gene:itb12g15800 transcript:itb12g15800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPESVKINPIPYSDLGLFALKPRQVLLALQKKQQSSSMANQLTDECKSLLLHSVLQYLHRNGFSKTLKRFLKEAQIKGDSWKSSSFDLENMYCKYLDTWNDAKSNFKGQKEQEQHEDGGHESKEVNNQTSAGDTITMKKKKKSNKDKENTVVCMTEIVDGHAKPAPKADEILTDQKLNESNVKSKSKKGEKVSASFNPVDQESEVTKEAVLGSVCDLQAESTEKVKDKKRKKKSALTSESYEGNENQLAQVSGGKQETSECLACQSNDSKNVDEGNAKKEKKKKSKSSKQDTDSFAQNSVEEKPVIKNNHGSFDTIGNGDTHFKDSKKRKRMASDENANQQVEGEDIEESKRRKTEAVKEANNGLENSALEKSRQKQHNDSSEPRTVNAFQRVKVDEVEFVDDRLRDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDED >itb12g15800.t2 pep chromosome:ASM357664v1:12:16283273:16288636:-1 gene:itb12g15800 transcript:itb12g15800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESVKINPIPYSDLGLFALKPRQVLLALQKKQQSSSMANQLTDECKSLLLHSVLQYLHRNGFSKTLKRFLKEAQIKGDSWKSSSFDLENMYCKYLDTWNDAKSNFKGQKEQEQHEDGGHESKEVNNQTSAGDTITMKKKKKSNKDKENTVVCMTEIVDGHAKPAPKADEILTDQKLNESNVKSKSKKGEKVSASFNPVDQESEVTKEAVLGSVCDLQAESTEKVKDKKRKKKSALTSESYEGNENQLAQVSGGKQETSECLACQSNDSKNVDEGNAKKEKKKKSKSSKQDTDSFAQNSVEEKPVIKNNHGSFDTIGNGDTHFKDSKKRKRMASDENANQQVEGEDIEESKRRKTEAVKEANNGLENSALEKSRQKQHNDSSEPRTVNAFQRVKVDEVEFVDDRLRDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDED >itb12g15800.t1 pep chromosome:ASM357664v1:12:16283061:16288636:-1 gene:itb12g15800 transcript:itb12g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLTDECKSLLLHSVLQYLHRNGFSKTLKRFLKEAQIKGDSWKSSSFDLENMYCKYLDTWNDAKSNFKGQKEQEQHEDGGHESKEVNNQTSAGDTITMKKKKKSNKDKENTVVCMTEIVDGHAKPAPKADEILTDQKLNESNVKSKSKKGEKVSASFNPVDQESEVTKEAVLGSVCDLQAESTEKVKDKKRKKKSALTSESYEGNENQLAQVSGGKQETSECLACQSNDSKNVDEGNAKKEKKKKSKSSKQDTDSFAQNSVEEKPVIKNNHGSFDTIGNGDTHFKDSKKRKRMASDENANQQVEGEDIEESKRRKTEAVKEANNGLENSALEKSRQKQHNDSSEPRTVNAFQRVKVDEVEFVDDRLRDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDED >itb07g04260.t1 pep chromosome:ASM357664v1:7:2867958:2870474:-1 gene:itb07g04260 transcript:itb07g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADFIPFPGNLDPRAQEFFPRNPYTYPLAPVQFYYPYHPPPPPPPQYGEVVALPAPCLPPQSSIPTRTLLVSMVPSDASESLVRRELEVFGDVRAVQMERVRDGIVTVHFYDLRHAQTAAAEIQDQHMQQQFRLRRHFESGAAGVSLPPPLPAPAPGLISGRAVWAQFIIPVTCSIPGGNNQGTLVLLNLDPAVSAAHLKDIFRAFGPVKELRETPMKRHQRFVEFYDVRDAANALVHMNRKEINGKPVLIEFSRPGGNNSRRRFPFSSPKPRPITAHSPPPPPPPCRPGRIGSSPSIHDSMESLTLRNGNGNDWYNGCWRGNSKRGWRKNNGKNSPSLSPSSSSSSSKQNRGRAATGKPWRKSHVGEGGNEKDPRFMINEEEIIKESDCRDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIAPADHHPTSSYDFVYLPIDFVNKCNVGYGFVNMTSPEAAWRLYKAFHLQTWEAFNSRKICEVTYARIQGIEALKEHFKNSRFPCQAEEYMPVVFSPPRDGRTLTVPQPIFGRGENPPPPPVADDGGAGINAGGGCGGGDGGDFEDGNDEDDGN >itb13g21490.t2 pep chromosome:ASM357664v1:13:28096116:28097589:-1 gene:itb13g21490 transcript:itb13g21490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVPNTVTNTASRAAARRRKKKRSQAPSAESQDASDNNSAAEWKSEAQQQVYSSRLLGALRMLRLSPPSSPAAPKGGRAVREVADRVLAVTAKGRTRWSREILTNRLKLKFMKKHNKRQRVVAAAATAARGNSRLPKKPRLSILRLKMKNLPTVQRKARVLGRIVPGCRKQPLPVILEEATDYIAALEMQVRAMSALADLLSGASSSSSAPPT >itb13g21490.t1 pep chromosome:ASM357664v1:13:28096186:28097589:-1 gene:itb13g21490 transcript:itb13g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVPNTVTNTASRAAARRRKKKRSQAPSAESQDASDNNSAAEWKSEAQQQVYSSRLLGALRMLRLSPPSSPAAPKGGRAVREVADRVLAVTAKGRTRWSREILTNRLKLKFMKKHNKRQRVVAAAATAARGNSRLPKKPRLSILRLKMKNLPTVQRKARVLGRIVPGCRKQPLPVILEEATDYIAALEMQVRAMSALADLLSGASSSSSAPPT >itb10g16490.t2 pep chromosome:ASM357664v1:10:22778480:22779660:-1 gene:itb10g16490 transcript:itb10g16490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPGIKRGNFTPEEDDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLLKKLKSEGIEPKPRKASRTIPKKKKTAKPDKTGSEKRKKHRPVCKPDVKDRPEMIKVYAPRPVRLSTGFARNYSFDDLAASVASSSGNNKAEDNNNTPLNHNGNNNKAEESTGFPWDLYEVGDDLLEDYMDGCDLSARYSLPTSDTLLEKVYDEYLQLLSEDCCLQTCSSC >itb10g16490.t1 pep chromosome:ASM357664v1:10:22778480:22780016:-1 gene:itb10g16490 transcript:itb10g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLKKGPWSAKEDSLLTTYIQQHGEGHWRSLPKNAGLLRCGKSCRLRWMNYLRPGIKRGNFTPEEDDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLLKKLKSEGIEPKPRKASRTIPKKKKTAKPDKTGSEKRKKHRPVCKPDVKDRPEMIKVYAPRPVRLSTGFARNYSFDDLAASVASSSGNNKAEDNNNTPLNHNGNNNKAEESTGFPWDLYEVGDDLLEDYMDGCDLSARYSLPTSDTLLEKVYDEYLQLLSEDCCLQTCSSC >itb04g12280.t1 pep chromosome:ASM357664v1:4:12022692:12026197:-1 gene:itb04g12280 transcript:itb04g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSSEHASHSEEIMVGKNNEFQIVKKMLIEHSSRQREIVSIIGMGGIGKTTLTKSVYEDQSIVSYFDKRAWVVVSQHHNKRQMLTGLLKSMGCANSGTEEELAEQLYQYLLHQRYFVVIDDIWSDEAWNAVKACFPDNSNHSRVLLTTRFAKVSTRIGSSNDFSHQMQLLDESESWNLFHEKTCKSCDVEFEAIVRPVVKKCKGLALAIVVAAGLFSKLNTIDEWKKIAETLNSFATTSIDEECSRILSSSYNHLPYKLKACFLYLSIFPEDKEIHVKILKKLWAAEGLIKEFESMSFDAMAEKNIQELKDRNLILVSQASSSCGGKIKAFRMHDLLHSFCVREAKKDNLLHIVYENGCSSPLKDFRWVSIQSNNLSRHTSYFIFRNCRSIFSFSPICTYLNLKFCSLLRVLYDIECNIGNLVHLRCLFSNGWHKNLLKPFRPWNLQILSSHMGFDEMSILEFPQLQHFKCYYIPGDFPIFFHQNLKSIYGIGPDQCTRELFANIPHVKKVKVKFERQASNDYIKNLAYLHQLESLHLYGSYFCPGGYYVMNPNNHIGRLENLKKLVFCDITFRWKAVNSLSKLPKLEVLKLLRCFCIGEEEWKLSKKEKFEQLVYLKIDAIDLKCWEASAYQFPNLECLILSWCEKLEEIPANFAEISNLKSIKLIRCLPSAVASAKQIWEEQHEQGNEDMIVIEEYTRQPYY >itb15g21360.t1 pep chromosome:ASM357664v1:15:24042512:24043990:-1 gene:itb15g21360 transcript:itb15g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIRQSTHPHQTVQVPPWDFVDDPTADIHSSFPWGGSNGGGNASANAVSPDSGFDALTALQRYLPSNVNDVVSDSDELDVPVDAFSCDQFRMYEFKVRKCARGRSHDWTECPFAHPGEKARRRDPRKFHYSGTACPEFRKGQCKNGDACEYAHGVFECWLHPARYRTQPCKDGTQCRRRVCFFAHTPDQLRVLPQASPRSHHAAEPCDSYDGSPSRRAGFDSLFNKAAFGSYSPPLSPQSESPPMSPSASISLNSVSGLVESMRNMQIGKGRMGISMSMSPPSWGLQIGSPRSPSTLRPVFMSLPNTPTQAPTRSGPGVFDLWETSCEEEPVMERVESGRDLRARMYARLSKENSLH >itb11g19800.t1 pep chromosome:ASM357664v1:11:20613471:20615528:-1 gene:itb11g19800 transcript:itb11g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGVGVGRQQRRRRLSSNSGGSDLMQVMVMKTKMVSRSYFHPCPAHEVCSQTTYIFQFLLPSPSISTYLVANLLHQGSLLRQPPISIVSDGTCPAHPHVAARKSALPTARKSAPPPFPKASVPFFKSTELLIQMLPFQSF >itb11g20580.t1 pep chromosome:ASM357664v1:11:21750431:21751293:1 gene:itb11g20580 transcript:itb11g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYGLKPSKMALKVEVYEKEKVRPSLKVEVYEKEKVRPSSPTPQTLRYHKLSLLDVLSGPFYAPHVLFYPSGAGPRRHDYDELKESLSKTLSILYPLAGRLKDGSIIECNDEGADFVRANVTNYDLGEFLRHPKQEDLRQLLPLEPYPEAIDPAMPMLAVQVNRFRCGETAVGFCTWHGVTDGGGVAGFYNILAAINRGEGPTDSAGGLVVDVAAIFSPAIPNISQEPVQPIGASPPSISSGRAHSYGRQ >itb09g30790.t1 pep chromosome:ASM357664v1:9:31379518:31380609:1 gene:itb09g30790 transcript:itb09g30790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSLFFRRSLHPSLSSSTLRWLPASHSFQYHRSLRAQSDPDPDPRPIPSSSAPCLLLLKDNKVGCFYRSKSNPELNNNAICLCSSHGGLSFANPQALMKNFGNLGNRRHKSSLVGASNTAASATTYPKPFCEWLAGIVDGNGSLQVNNKEHTSLEITIGLEDISLLRYVQHMLGGSIKMRSGAKSYRYRLRNQLSMIKLMNCINGHIRHSPRLIQLHRVCQVHDIPVVLPVTLDSKSNWFAGFFDANGAIAMAMKNQIPQLSIRVTSKHLQDVESYKVVFGGNVYFDSGRNGYYEWSVQSPKDVATIVGYFRSTTWRSRKSRRFFLVDEYYRLYDLKAFEPSSMNHKAWLAFLDKWNNLGV >itb11g12870.t1 pep chromosome:ASM357664v1:11:9845759:9847912:-1 gene:itb11g12870 transcript:itb11g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQLRPFECTQFYMTLTWLLVVGSMLVFSLAGRNENPNCIEKERQALLSFKHGIIDTQNSLSSWNSEDGNCCRWVGISCDNETGYVVTLDLGCTLFCNHLLAGELSPSLHELSYLSHLDLSGNNFTANIIFINELGNLSNLKYLDLSSNAQLKVESLEWVSGLSSLTYLGMNNLDLSSIAADWVESIKKLPMLQNLHLSHCAISEPFLSCLPNLQVLGLSHNFLTLSLSSDWVPPFHLKVMKLSSCNLGPNFPTWLHTHMDFEYLDISCNGIRDFIPDWFWDLSDQLRYLNLSYNSLSGTLPNTTLHLEHNCQIDLSYNEFAGEFYHYILSTEDNEYKFEVDYRDGAWFALKGVKAKYGNILALLKLIDLSANQLTGQIPEGLTSLYELVSLNLSRNHFSGNIPEKIGQLTQLNSLDLSNNHLSGRIPISLANISFLEYLDLSNNELCGAIPKGTQLQSFDASKYAGNPQLCGLPTLNMCPGDEILPRSPGTQGNNKHDHWKENMGFYISLIFGFIIGFWGVCWTLLLPSS >itb10g05500.t1 pep chromosome:ASM357664v1:10:5699237:5700475:-1 gene:itb10g05500 transcript:itb10g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIPTMAGVSTLPFLPQDILFDILSKLPAKSLVRLRCVSKLFFALIADHAFGVLHRNLSFTLPSRAGILIAIIPRKPLSVPSAPSYYTINFTQENLQANRLGYLDTEEPFLKGGVKEFPICLPRDLRIYKTMDNVFSIG >itb05g23880.t1 pep chromosome:ASM357664v1:5:28898589:28902022:-1 gene:itb05g23880 transcript:itb05g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYCSAPTAIFAISILPISPLLPHPNPTTPHRRIPQSDRYSQRRDFVGFLRMDVRRRSNKSVYSAADEPLKPHKLSSVSPPNASDGISLPLYLTNGVFFTMFFSVMYFLLQRWREKIRNSIPLHVVTLSELAAMAGLFVSAIYLLGFFGVGFVQSALKGNQDIWDVEDDENNEKYILEEDSRRGPWPAATTLGCSVPPPPVRKIAAVAPEQPTKSATPAEKPAPIIITPASSSDDEEIIKSVVEGKTPSYSLESKLGDTKRAASIRREALQRITGKSLEGLPLEGFNYDSILGQCCEMPVGYVQIPVGIAGPLLLDGREYSVPMGTTEGCLVASTNRGCKAIAASGGATSMLLRDGMTRAPVVRFGTAKRAAELKFFVEDPANFDNISAAFNKSSRFGRLQSIQCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDLLQSKYPDMDVLGISGNFCSDKKPAAVNWIEGRGKSVVCEATIKEDVVKKILKTNVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNVESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQAACLNLLGVKGANRDAPGSNARLLATVVAGAVLAGELSLMSAIAAGQLVNSHMKYNRSNKDVTKA >itb01g25810.t1 pep chromosome:ASM357664v1:1:31138750:31145105:1 gene:itb01g25810 transcript:itb01g25810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKGNKVDRGVDDFATDSSWALGGGGDSDGVYFFGGGGVEKDESNILSDFGWSFQEEEEHGGGFSSRIDSDLAGNSSNDRFSVLESATTAAATPSDATEPVAVQAEQTSSSSSDDAPEKSTASGGSTSRQPADTASKVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNTKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGFIGEAAAYMSQSYPLTSQFYLPALQFPQEGSLGIPQPHHQSQGNNRGPNAAMADPCSHPAPQLPPDEGLLGDIVPPGIRNK >itb01g25810.t2 pep chromosome:ASM357664v1:1:31138750:31142559:1 gene:itb01g25810 transcript:itb01g25810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKGNKVDRGVDDFATDSSWALGGGGDSDGVYFFGGGGVEKDESNILSDFGWSFQEEEEHGGGFSSRIDSDLAGNSSNDRFSVLESATTAAATPSDATEPVAVQAEQTSSSSSDDAPEKSTASGGSTSRQPADTASKVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNTKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGFIGEAAAYMSQSYPLTSQFYLPALQFPQEGSLGIPQPHHQSQGNNRGPNAAMADPCSHPAPQLPPDEGLLGDIVPPGIRNK >itb15g11480.t1 pep chromosome:ASM357664v1:15:9287724:9288306:-1 gene:itb15g11480 transcript:itb15g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGYGFMNNRGNNFNTYKAMERHMDGNGFRDSLGGRHQQYGGGGESFLGLSNDTEQDLFEKVRSQMSQMGYRKNWGVKPPTNGKTIHNQGNGEQTGKYNNFYGGGNQGGAQRPRFGGLIGRHKE >itb02g06430.t1 pep chromosome:ASM357664v1:2:4002787:4006418:1 gene:itb02g06430 transcript:itb02g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive linolenate hydroperoxide lyase [Source:Projected from Arabidopsis thaliana (AT4G15440) UniProtKB/Swiss-Prot;Acc:B3LF83] MVVSMMSAIPGPSTPPITSTPSPATSLPVRTIPGSHGWPLLGPLSDRLDYFWFQGPKTFFKSRIEKNKSTVFRTNVPPTFPFFLGVNPNVIAVLDVKSFSHLFDMDLVEKANVLVGDFMPSVSFTGDMRVCAYLDTSEAKHTQVKNFSIDILKRSSATWVPTLTSKLDTMWGSIDAELAKSGTAAVFSPLQQFLFSFFALTLLGADASKSPEIASSGAIMLDKWLAIQLVPTVNINVLQPLVEIFLHSFSYPFFLVKGDYQKLVDFVEKEAAEVLNRGVTEFQLTEKEAVHNLLFILGFNAFGGFSIFFPVLLGNLGDEKNLEIQEKLRNEVRGKIGTNPDNLSFEKIKEMELVQSFVYETLRLNPPVPSQFARARKDFQLSSHDSVFDIKKGELLCGFQPLVMLDPKVFDNPEDFVLERFTQEKGKELLNYLYWSNGPQTGMPTAANKQCAGKDIVTLTASLFVAYVLQRYDSLSVSSGSVVAAKKAS >itb09g14920.t1 pep chromosome:ASM357664v1:9:10204771:10205736:-1 gene:itb09g14920 transcript:itb09g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLLFIFLLLPPLMILVFFPRKSKSYNLPPGPRGLPILGNMLDLGPELHKTMAGLKQVYGPVVWLRIGTINTMVIQTVEAATELFKNHDVSFADRRIGDVSRSYNYADASLALAPYGAFWRVLRRVCSAEMFTSRKMNDTAAIRRKSVDDMLMWIEKEASIAGRRGVEVARFAFLASFNMLGNVVLSRDLADPEAEITSEFYNAMMRTIELSGRSNISDIFPCLRLLDLQGLRRKMTRETGITMGIASSFLKERMKEGADDRENPKDFLSILLEFKGKGKDEPEKFSEHEILVLILVR >itb08g16350.t1 pep chromosome:ASM357664v1:8:18508597:18521186:-1 gene:itb08g16350 transcript:itb08g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANLSSLLHKLRERIARQPNHQNDDDLKALFRDVLPNLLRTYVVPSADKHREVVAILKLSAHVANNFPGVFYHGKPSAVLPVIARILPFFAESAFKAQHGVIFETVVSLLSLLRTGDRDAYRLFFMDAMLLVEDLVDVALVCERQCYKGSRKVSLKCFTESFSGAWDEPSALCDLPQCCKADDSYGILINLTGNERCQLFASFAIKVLSKCLTEGTLYVEGLLNTSCVLAICKLLCYGDADLHMVCFDFVHLVGAMSYEIVPAERLIQLITIILHEGKGELPVFRNTMYDSSMGGCLHLLHSRCPVDVVRSTATDLVNVFPQSLLRTRSQELKGALCCAYSRVVKYCPPHIWRPEFLIKLLYSSSPIVPLIECFEVALSSLQSNISGGEMVNDGGVCLSTSNSSVSENPRVGEKRPQDSMETSKAKLQKFEGEFVGSGMKCEDLNKLIYESPFMRDKEYANYMHSSLKMFVQLLKPLSDRDNSLGPEVALTALSTLCIVFCKYPCNNLLLQIFQHMCEWVPWIDEQVQQGLPLLFDLSIFLDAVNNLLLLKGSISVEEEFLNSISGLPNMMRPLLRLPWSNSISINSQPPWKAKCLSLQVLSKIGPWLQNGNDLDILDLGLLDEMEEVRREAVYSMPIIVMWCGYGLLTHMFKRLEILEKEMDGRTKKIIPHALGYLACLYGSYCTGAVLCEGKCKLYFQKDVGKQDLTVDGLLRVWCSKCDTDVPGNELNSKILHLPNVQDIGFAEDYGYNHLQSLFFKLLYDESSEDIQVSCVDVIQRILLHVTESILLTTRCEWLKCIDYLLLHRIKAVREAFCAKVGFFLEEPILNCLFLDGEPNKTKEQKFMEKLKDALSATDDPLVHETLLEAAVNVMNTVDVHSQFFFFSLILLIDQLDNPHLQVRIIASRLIRGSCYFHTEDGYELLPKVLCIRNELYDYLSSRLASSPKIVEEFALAVLDIETEKLVKKMVPVVLPKLIVTQKGGDEVITILHELAKCLNTDMVQLIVNWLPKVLAYALHRAERQDLLSVLQFYHEKTGSDNQEIFSAALPALLDELVCFTDEDVKEINKRLMRVPQMIKEVARILTGNEDLPGFLRNHFVGLLNSIDRKMLHTKDISLQRQAVKRIEMLIDLMGSHLSTYVPKLMVLLMHAIDKEPLQGDGLSVFHYFIKQLALVSPSSTKHVISQVFAALVPFLEREKEKSSSHSPKIVEILEELVTQNRAILKEHIREFPPLPNIPVLSKVNSIIQEARGPMSLKDQLLDIVDGLNHENLNVRYMVASELSKLLNLRREDVMALITKEGDPSMDVMSSLITSLLRGCAEESRTMVGQRLKLICADCLGALGAVDPAKIKGFSNTRFKIACSDDDLIFELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENVSGSLSKRISKQPLKSLASTPKSSGNCNDLHERGQRLWNRFSDYVKEIIAPCLTSRFQLPNSSDSASSGPIYRPSMSFRRWIFFWIKKLTVHAIGSRASIFKACRGIVRHDVQIAMYLLPYLVLHAVCHGTEEARHGIAEEILFVLRAAASESNNATVHGISSGHNEVCIQAVFTLLDNLGQWVYDVEQDLALSLSVQTSNSRQQALKLKEQNDSEQMLMQCKHVSELLAAIPKVTLARASFRCHAYARSLLYFESYVREKSGSFNPSSEKSGIFEDEDISFLMEIYSGLDEPDGLSGFASLRKAKSLQDHFLINKKAGNWAEVLTSCEQALQMEPTSVQRHSDVLNCLLNMYHLQGMVTYVTGLMSRIPEYRKMWCMQGIQAAWRLGRWDLMDEYLNGADKEGLICSNAESNASFDMDVAMILQAMMKKDQFSVDEKIALSKQSLIGPLAAAGMDSYARAYPFVVKLHMLRELEEFNFLLGGESFLEKSLHLDELQFPKLTGNWENRLKLTQPSLWAREPLLAFRRLVFCASGVRDSVGNCWIQYAKLCRSAGHYETANRAILEARVVLEATMAPNVNVEKAKLLWSTRRADGAIAELQQSLLSMPVEVVGSSAISSITSLSLVPLNPPPLLSNTQFLNETRDVAKTLLLYSRWIHYTGQKKKEDMINLYSRVKELQPKWENGYFYMAKYCDELLVDARKRQEDKTEPLSRVVPSNFNPVSSTSLNAEKPWWSDLPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSVCHPSNVASTRDMKTIHGKVMNIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDTVRLVKHIITSVLQQYPQQALWIMAAVTKSQVSSRKKAAAEIIQAARNRSTDKDVNSLFTQFATLIDHLIKLCNHNGQPRARTINISTEFSALKRMMPVDIIMPTQQSLTVNLPSYYINQTDSVAADTFSFTDLPTISGISDEADILSSLQRPKKVILLGSDGSERPFLCKPKDDLRKDARMMEFNAMVNRLLSKCPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYISCGKFDRQKTNPHIKRIYDQCQGKMPAEEMLENRILPMFPPVFHKWFLNTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLLLEKPELVPFRLTQNMVDGLGITGYEGIFLKVSEITLSVLRNHRETLMSVLETFVHDPLVEWTKSHKSSGVEVQNPHAQRAISHIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >itb13g02160.t1 pep chromosome:ASM357664v1:13:1988869:1989383:1 gene:itb13g02160 transcript:itb13g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQKQYPTLQERIKGCIVDFAPVAAADPQVWASGFSAAFLKKNSIATKGLLLVLEKFFEVVLNLPITVNRRLSDVLNLLTSQQPSCSQLYIYSTADKVVIPAGSVESFIELATSYLPPMLTISGMIQNCILLSSLNFWRIVC >itb09g04810.t3 pep chromosome:ASM357664v1:9:2716512:2724689:1 gene:itb09g04810 transcript:itb09g04810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESSIGYCRANKSDTYVEITYKRRQKRTRLESCEYTSGSCKIPRQATVSSELDLYESEIVGTGRPQVVQTEPDAVALTRRGRNDFPVNRRLTEFSFYDEDEKLQLVEVIETKKMYISGAILPLEGAYGKGREKGVRCNRFGPILEWSISGFDEGSPVLWISTGIAHYECLEPSNSYKILYDLFFQKSRACIETYRRLSRSYGGTPEVSLNDLVAAVASTMVETSLSHTASIQDLIISWGRFIYEQLIGLEKSESTEDPNFSELPVLISLRDASKKTSFVKSREKTKCLQGGEYTAASGKATKISDSSILTSAEIGKQAARFAGLKNKSGLLSKPQVVEKESDAIRLTKIGRSDSPPNRRLTDFTFYDEDGIIQLVDMLEVKNMYVSGLILPLEESYDELKDKGVKCEGFGPILEWSISGFNDGLPIVWISTDVAHYECLRPSIAYKHLCGMFFDKARACVETYRILSNTCGGNPDLSLRELLNAVFHSMSKSENIPQGISIKNLIISWGGFIYEQLQGLEKSNSDEDPTFGELPVLVALRDASTKMSDIKTRNKKRQIISSQYVIAFAEIGKQAALFAGLKYKSDRLLDSSRIGESTRHRLVERESDAIRFTKTGRKDSPPNRQLTDFIFYDKDGTLQLVDMLELKDMYASGFIFPLEEAVRKEKGSGVKCEGFGPILSWSISGFDQGSPIIWVSTDVAHYECIRPAATYKNLYNLFLEKARACIMTYRLLSKSYGGNPDLSLSGLLNAVVHSMRKSKNIPKGTCIKNLIILWGRFIHDQLTGLEKSESEEDPIFGELPALIALRNASMRTRTKETGLESSKNLTVYGKVEEPSAPFASLNYRSDNSRIIEYRKLQVVEEELDALTLTKTDPNDSPPNRRLIDFSFYDADDILQPVEMLDVNAVYVTGIILPLEEASDKVEAKGAKCEEFGPILEWSISGFDEGSPVIWISTDVAHYECLTPAPAYKRLYSLLFEKARACVEAYRRLSKSCGGNDNLTLNELIAALVRSMSKSGGLPTGTSIKELIASWGWFIYEQLAGLENPDSKEEPIFGDLPVLIALRDASLRILDSKAGAEEKNSHSNKCAPEDDIKMRRDQLLQEKKNWLASFKPNKRLHPAISPNNYHVKISEDKIADDYPVPAYYKTEVQERDEYTIFDEDSCIFYPDQLPHSSLHNWSVYNSEGRMISLELLPMKSCPGINITVYGSGTMTADDGSGFYLQDDNGPSSSKELSIDATPVFLSAIKEWRIEFKSVMFYISIRTDMSWYRLGNPSEQYTPWLKPVLRTARLAIAIITLLKLQSRACCLSFLDVIKKVSQFDKSHPGHISSDLAAVRRYILVHGQIILQLFSEYPDITISKCAFVCTLRSKMEEQHQSKWSVNKSVIPKQEPGMMNSRAFKLATVSKRKAMPATTTELIRRIWRQYCSNHMHWESTEENVLNSNEDEAEENERKDLKYRREDEILKLQKCDHPHWKTRSAKKEIRWDGESVQRTSGGEALFTQALANGHVVCVGHTVVVRTSDSMKHSCICFIEYMFQSSDNRKLVHGRLLLRGCQTVLGDTASERELFLTNDCREFELRDVIETMVVEIGQRPWHYQHRKANADNDKLEKQRAWKRKIKGLPMEYFCRSLYWPERGAFFCLPTTVMGLGNGDCNSCKMKQASNVRVFKLCTSTTSFIYRGIHYSICDFLYLSPLYLAANEKQKTTFKNSRNVGLKSYIVCQLLGVESDDSIERADPECVMIKVRRFFKPEDISKEKAFFSDVQEVYYSEQIIKVPVAAVEGKCNVKKKKDFQFTDCHNSLYVFEHVFFCQHLYDTENGTLKQLPSNVELGFLSKEHIVQNDSIKNEKVKSIQGENKSECTEKAVDEAAKNPLATLDIFAGCGGLSTGLKESGISVSKWAIDYEEAETEAFKLNHSEAVTITSNCNAILRAIMIACGDGDECISSSDAAELASKLDEKVINSLPRPGEVDFIVGGPPCQGFSGLNRFQESYWSRTQCEMILSYLSYVDYFRPKYVLLENVRNFVRFDKGKMFHLTLASLLEMGYQVRFGVLEGGAYGIAQTRKRAFIWAACPEATLPEWPEPMHVFSGPELRIQLDSVGNAHYTAVRSTAGGAPFRAITVRDTIADLPAVRSGASATTMEVQYAFQHYVLFLSYVYLAVLNRFVT >itb09g04810.t2 pep chromosome:ASM357664v1:9:2716512:2725206:1 gene:itb09g04810 transcript:itb09g04810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESSIGYCRANKSDTYVEITYKRRQKRTRLESCEYTSGSCKIPRQATVSSELDLYESEIVGTGRPQVVQTEPDAVALTRRGRNDFPVNRRLTEFSFYDEDEKLQLVEVIETKKMYISGAILPLEGAYGKGREKGVRCNRFGPILEWSISGFDEGSPVLWISTGIAHYECLEPSNSYKILYDLFFQKSRACIETYRRLSRSYGGTPEVSLNDLVAAVASTMVETSLSHTASIQDLIISWGRFIYEQLIGLEKSESTEDPNFSELPVLISLRDASKKTSFVKSREKTKCLQGGEYTAASGKATKISDSSILTSAEIGKQAARFAGLKNKSGLLSKPQVVEKESDAIRLTKIGRSDSPPNRRLTDFTFYDEDGIIQLVDMLEVKNMYVSGLILPLEESYDELKDKGVKCEGFGPILEWSISGFNDGLPIVWISTDVAHYECLRPSIAYKHLCGMFFDKARACVETYRILSNTCGGNPDLSLRELLNAVFHSMSKSENIPQGISIKNLIISWGGFIYEQLQGLEKSNSDEDPTFGELPVLVALRDASTKMSDIKTRNKKRQIISSQYVIAFAEIGKQAALFAGLKYKSDRLLDSSRIGESTRHRLVERESDAIRFTKTGRKDSPPNRQLTDFIFYDKDGTLQLVDMLELKDMYASGFIFPLEEAVRKEKGSGVKCEGFGPILSWSISGFDQGSPIIWVSTDVAHYECIRPAATYKNLYNLFLEKARACIMTYRLLSKSYGGNPDLSLSGLLNAVVHSMRKSKNIPKGTCIKNLIILWGRFIHDQLTGLEKSESEEDPIFGELPALIALRNASMRTRTKETGLESSKNLTVYGKVEEPSAPFASLNYRSDNSRIIEYRKLQVVEEELDALTLTKTDPNDSPPNRRLIDFSFYDADDILQPVEMLDVNAVYVTGIILPLEEASDKVEAKGAKCEEFGPILEWSISGFDEGSPVIWISTDVAHYECLTPAPAYKRLYSLLFEKARACVEAYRRLSKSCGGNDNLTLNELIAALVRSMSKSGGLPTGTSIKELIASWGWFIYEQLAGLENPDSKEEPIFGDLPVLIALRDASLRILDSKAGAEEKNSHSNKCAPEDDIKMRRDQLLQEKKNWLASFKPNKRLHPAISPNNYHVKISEDKIADDYPVPAYYKTEVQERDEYTIFDEDSCIFYPDQLPHSSLHNWSVYNSEGRMISLELLPMKSCPGINITVYGSGTMTADDGSGFYLQDDNGPSSSKELSIDATPVFLSAIKEWRIEFKSVMFYISIRTDMSWYRLGNPSEQYTPWLKPVLRTARLAIAIITLLKLQSRACCLSFLDVIKKVSQFDKSHPGHISSDLAAVRRYILVHGQIILQLFSEYPDITISKCAFVCTLRSKMEEQHQSKWSVNKSVIPKQEPGMMNSRAFKLATVSKRKAMPATTTELIRRIWRQYCSNHMHWESTEENVLNSNEDEAEENERKDLKYRREDEILKLQKCDHPHWKTRSAKKEIRWDGESVQRTSGGEALFTQALANGHVVCVGHTVVVRTSDSMKHSCICFIEYMFQSSDNRKLVHGRLLLRGCQTVLGDTASERELFLTNDCREFELRDVIETMVVEIGQRPWHYQHRKANADNDKLEKQRAWKRKIKGLPMEYFCRSLYWPERGAFFCLPTTVMGLGNGDCNSCKMKQASNVRVFKLCTSTTSFIYRGIHYSICDFLYLSPLYLAANEKQKTTFKNSRNVGLKSYIVCQLLGVESDDSIERADPECVMIKVRRFFKPEDISKEKAFFSDVQEVYYSEQIIKVPVAAVEGKCNVKKKKDFQFTDCHNSLYVFEHVFFCQHLYDTENGTLKQLPSNVELGFLSKEHIVQNDSIKNEKVKSIQGENKSECTEKAVDEAAKNPLATLDIFAGCGGLSTGLKESGISVSKWAIDYEEAETEAFKLNHSEAVTITSNCNAILRAIMIACGDGDECISSSDAAELASKLDEKVINSLPRPGEVDFIVGGPPCQGFSGLNRFQESYWSRTQCEMILSYLSYVDYFRPKYVLLENVRNFVRFDKGKMFHLTLASLLEMGYQVRFGVLEGGAYGIAQTRKRAFIWAACPEATLPEWPEPMHVFSGPELRIQLDSVGNAHYTAVRSTAGGAPFRAITVRDTIADLPAVRSGASATTMEYEGKPLSWFQKRVRGDMVHLIDHISKEMNQLNLTRCQKIPKCPGADWRDLPCVRVQLSNGKEANLVPRFLKNTAKTHNEWKGLFGRLDWEGNFPTAITDPTPGGKVGMCFHPEQDRIISVRECARSQGFPDSYKFSGSILHKHRQVGNAVPPPLAFALGRKLREAIEHNLYHNQSTCNLP >itb09g04810.t1 pep chromosome:ASM357664v1:9:2714603:2723738:1 gene:itb09g04810 transcript:itb09g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRESSIGYCRANKSDTYVEITYKRRQKRTRLESCEYTSGSCKIPRQATVSSELDLYESEIVGTGRPQVVQTEPDAVALTRRGRNDFPVNRRLTEFSFYDEDEKLQLVEVIETKKMYISGAILPLEGAYGKGREKGVRCNRFGPILEWSISGFDEGSPVLWISTGIAHYECLEPSNSYKILYDLFFQKSRACIETYRRLSRSYGGTPEVSLNDLVAAVASTMVETSLSHTASIQDLIISWGRFIYEQLIGLEKSESTEDPNFSELPVLISLRDASKKTSFVKSREKTKCLQGGEYTAASGKATKISDSSILTSAEIGKQAARFAGLKNKSGLLSKPQVVEKESDAIRLTKIGRSDSPPNRRLTDFTFYDEDGIIQLVDMLEVKNMYVSGLILPLEESYDELKDKGVKCEGFGPILEWSISGFNDGLPIVWISTDVAHYECLRPSIAYKHLCGMFFDKARACVETYRILSNTCGGNPDLSLRELLNAVFHSMSKSENIPQGISIKNLIISWGGFIYEQLQGLEKSNSDEDPTFGELPVLVALRDASTKMSDIKTRNKKRQIISSQYVIAFAEIGKQAALFAGLKYKSDRLLDSSRIGESTRHRLVERESDAIRFTKTGRKDSPPNRQLTDFIFYDKDGTLQLVDMLELKDMYASGFIFPLEEAVRKEKGSGVKCEGFGPILSWSISGFDQGSPIIWVSTDVAHYECIRPAATYKNLYNLFLEKARACIMTYRLLSKSYGGNPDLSLSGLLNAVVHSMRKSKNIPKGTCIKNLIILWGRFIHDQLTGLEKSESEEDPIFGELPALIALRNASMRTRTKETGLESSKNLTVYGKVEEPSAPFASLNYRSDNSRIIEYRKLQVVEEELDALTLTKTDPNDSPPNRRLIDFSFYDADDILQPVEMLDVNAVYVTGIILPLEEASDKVEAKGAKCEEFGPILEWSISGFDEGSPVIWISTDVAHYECLTPAPAYKRLYSLLFEKARACVEAYRRLSKSCGGNDNLTLNELIAALVRSMSKSGGLPTGTSIKELIASWGWFIYEQLAGLENPDSKEEPIFGDLPVLIALRDASLRILDSKAGAEEKNSHSNKCAPEDDIKMRRDQLLQEKKNWLASFKPNKRLHPAISPNNYHVKISEDKIADDYPVPAYYKTEVQERDEYTIFDEDSCIFYPDQLPHSSLHNWSVYNSEGRMISLELLPMKSCPGINITVYGSGTMTADDGSGFYLQDDNGPSSSKELSIDATPVFLSAIKEWRIEFKSVMFYISIRTDMSWYRLGNPSEQYTPWLKPVLRTARLAIAIITLLKLQSRACCLSFLDVIKKVSQFDKSHPGHISSDLAAVRRYILVHGQIILQLFSEYPDITISKCAFVCTLRSKMEEQHQSKWSVNKSVIPKQEPGMMNSRAFKLATVSKRKAMPATTTELIRRIWRQYCSNHMHWESTEENVLNSNEDEAEENERKDLKYRREDEILKLQKCDHPHWKTRSAKKEIRWDGESVQRTSGGEALFTQALANGHVVCVGHTVVVRTSDSMKHSCICFIEYMFQSSDNRKLVHGRLLLRGCQTVLGDTASERELFLTNDCREFELRDVIETMVVEIGQRPWHYQHRKANADNDKLEKQRAWKRKIKGLPMEYFCRSLYWPERGAFFCLPTTVMGLGNGDCNSCKMKQASNVRVFKLCTSTTSFIYRGIHYSICDFLYLSPLYLAANEKQKTTFKNSRNVGLKSYIVCQLLGVESDDSIERADPECVMIKVRRFFKPEDISKEKAFFSDVQEVYYSEQIIKVPVAAVEGKCNVKKKKDFQFTDCHNSLYVFEHVFFCQHLYDTENGTLKQLPSNVELGFLSKEHIVQNDSIKNEKVKSIQGENKSECTEKAVDEAAKNPLATLDIFAGCGGLSTGLKESGISVSKWAIDYEEAETEAFKLNHSEAVTITSNCNAILRAIMIACGDGDECISSSDAAELASKLDEKVINSLPRPGEVDFIVGGPPCQGFSGLNRFQESYWSRTQCEMILSYLSYVDYFRPKYVLLENVRNFVRFDKGKMFHLTLASLLEMGYQVHQYSSMPKNNCEKFNNMCIE >itb06g13930.t1 pep chromosome:ASM357664v1:6:18575589:18578390:-1 gene:itb06g13930 transcript:itb06g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFVHIVPDKANNALSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTRHNDDEQYVWESQAGGSFTVTRDTSGEKLGRGLCKVVKDVLGDKVEKVVVSERIVDSPCCLVTGEYGWSANMERIMKAQALRDSGMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDSGDADVDMPPLEDDADAEGSKMEEVD >itb02g07810.t1 pep chromosome:ASM357664v1:2:4891801:4898421:-1 gene:itb02g07810 transcript:itb02g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEENEYADEALEKNIERDEEEYEEDDGGGDIGQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDEASYIEIRPEAQHNPGRQSDFVETIYRIKFTQIYLSRPMMTESDGETNTLFPKAARLRNLTYSSPLYVDVEKEAIKRGHDYEEVTESQEFTKVFIGKIPIMLRSSYCSLYNLSEKDLTELGECPYDQGGYFIVNGSEKVLIAQEKMSTNHVYVFKKRQPNKYSYVAEVRSIAEGQNKSPSSMFVRMLSKTTSKGGSSGQYIYATLPYIRTEVPIIIVFRALGFVADKDILEHICYHFEDPKMMELLRPSLEEAFLIQNQQVALDYIGKRGSTVGVTKEKRIKYAREILQREMLPHVGTREYCETKKAYYFGYIINRLLLCVLGRRPEDDRDHMANKRLDLAGPLLAGLFRMLFRKLTRDVRSYVQKCVDSGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQACGLVKNLALMVYITVGSAANPILEFLEEWSTENFEEISPAVILEATKIFVNGTWVGIHRNPELLVKTLRQLRRQVDVNTEVGVIRDIRLKELRLYTDYGRCSRPLFIVDNKRLLIKKKDIMMLQERESPEECGWHDLVAKGFIEYIDTEEEETTMIAMTMNDLANSRIEAEDVSTSQYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGKPDRENTMGMRHGCYDKLDDDGFAPPGTRVSGDDVIIGKTTPISQDDAQGQPARYTKRDHSTSLRHSETGIVDQVLMTTNADGLRFVKIRMRSVRIPQIGDKFSSRHGQKGTIGMTYTQDDMPWTLEGITPDIIVNPHAIPSRMTIGQLVECVMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFERMYNGHTGRLMSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCRHCGIIAMANLKKNSFECRGCKNKTDIIQVYIPYACKLLIQELMSMAIAPRMLTMEIEKLHEKMKNAR >itb05g17950.t1 pep chromosome:ASM357664v1:5:24799971:24803872:1 gene:itb05g17950 transcript:itb05g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKKHFVLVHGACHGAWCWYKVATLLRAEGHKVSALDMAASGIHPKLAEQVSSMEEYNEPLTEFMAALPADEKVVLVGHSIGGVNVSLAMESFPHKISVAVFVTASMYGPDLSFLAVQQEFHRQLESNMDQKTQYSKGKDNEDRPVSVLFGNETLEKLIYQLSPPEDLTLAKLLVRGLPLFEDGNGEGYAVTKEKYGSVKRAYVVCGKDKLSKEEYQRWVIHMNPPDEVCLIPDADHVPMFSQPHQLCSSLQLISQKYHHC >itb05g17950.t3 pep chromosome:ASM357664v1:5:24799971:24803896:1 gene:itb05g17950 transcript:itb05g17950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKKHFVLVHGACHGAWCWYKVATLLRAEGHKVSALDMAASGIHPKLAEQVSSMEEYNEPLTEFMAALPADEKVVLVGHSIGGVNVSLAMESFPHKISVAVFVTASMYGPDLSFLAVQQEFHRQLESNMDQKTQYSKGKDNEDRPVSVLFGNETLEKLIYQLSPPEDLTLAKLLVRGLPLFEDGNGEGYAVTKEKYGSVKRAYVVCGKDKLSKEEYQRWVIHMNPPDEVCLIPDADHVPMFSQPHQLCSSLQLISQKYHHC >itb05g17950.t2 pep chromosome:ASM357664v1:5:24799971:24803872:1 gene:itb05g17950 transcript:itb05g17950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKKHFVLVHGACHGAWCWYKVATLLRAEGHKVSALDMAASGIHPKLAEQVSSMEEYNEPLTEFMAALPADEKVVLVGHSIGGVNVSLAMESFPHKISVAVFVTASMYGPDLSFLAVQQEFHRQLESNMDQKTQYSKGKDNEDRPVSVLFGNETLEKLIYQLSPPEDLTLAKLLVRGLPLFEDGNGEGYAVTKEKYGSVKRAYVVCGKDKLSKEEYQRWVIHMNPPDEVCLIPDADHVPMFSQPHQLCSSLQLISQKYHHC >itb07g14570.t1 pep chromosome:ASM357664v1:7:17252994:17254709:-1 gene:itb07g14570 transcript:itb07g14570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIAPSSSISLGSLTRPARAHRICPTRFVVECGFNAANASGASGNVNFSGVVGAARADWQSSCAILASKVESQQQEIENGAAAGGGGDIAAVKGNKGLDLVPIDTSLPNPLSITDFSPAPLHGAKLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQSVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKNYLTRVISHPQALAQCELTLTNLGLTVAREAVDDTAGAAEYIAANNLRNTAAIASARAAELYGLNVLEAGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDEGTSVLFKVLSAFAFRNINLTKIESRPHRDRPIRLVDDASVGTAKHFEYMFYIDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSPETETIHHSISEF >itb13g21130.t1 pep chromosome:ASM357664v1:13:27775710:27779018:-1 gene:itb13g21130 transcript:itb13g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESKSREMLIGGGELELRSRKAVLIGLCGGRWSSAALRLCHSVSGRLRRWLLVRYADAATILLRWALAADKCNATHSQCKAYLSAIIVYLYAHDFKEAEKCYNDCCQVETFLNSDQSRCASKFLSAYREGDEEEIKRVGQSSIVTNLDHTACKETTNRRCECIQKWHDKGQ >itb15g10320.t1 pep chromosome:ASM357664v1:15:8155667:8156513:-1 gene:itb15g10320 transcript:itb15g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSSKLCGIHIHLIPYVSALIKEIETPLPNSSPMNQSDHQRFLGGVNSSISSSSPPPLLGSFGSGFLVCLASLVCALICIVGLFAVSRCACFRRVFGTATLPLPPAQANKGLKKKILRSLPKLSFAAAEHAPKLSECAICLAEFVGGDEIRILPQCGHGFHVACIDTWLRSHSSCPSCRQILVAGRCRKCDELPSAAAAASSSSSAVADTRIFAYQSNYHVNAIFP >itb08g01500.t1 pep chromosome:ASM357664v1:8:1101413:1102087:1 gene:itb08g01500 transcript:itb08g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERNVATDSIALPTSEKLSWRPVEASMKAIFPWWAHDDMKVVTSRVSGRKRDRLPLTVESCVRCTEATAMEQSMMEAFFFFHAGTIPKPLPLVALLENKATPPLNVFKT >itb06g05710.t1 pep chromosome:ASM357664v1:6:8372946:8375574:-1 gene:itb06g05710 transcript:itb06g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSQPRTEFLSNSKAFAPRIPSKSTIPYKLTGKAISFRAYPTLRCFNPNNLFSAISTVRDANLVVPILDEWVSEGRKVKSIELQRLIRNLRSLKRYSNALQVSEWMNGKGLYPFSSSDQAVHLDLIGVVHGSKAAEDFFSKLGDKEKNEKTYGALFNCYVREGLFLKSLSLFERMKEIGYGSSPLLYSNLMCLYKNAGKLEKIPHVLSEMKENGIPTNYFCYNICINAYGELSDLHSMETLLEEMERQPHITMRWNTYSIVAHFYIKSNKKEKALISLKKLEGNLRKDALGYNHLISHYANLGNVEEMWRLWGEQKTVCKKHINRDYITMLGCLVKLGELETAERLLKVWDSSCHTYDFRVPNTLLIGYCQKGLVEKAEEMLLDLVKKGKQPTPNSWAIIAAAYMGQGSMDKAFECMKKAVSVSKNNKGWRPKQEVVSTIVSWLQDQHRVRELDAFMMALDRVPRYRNISSRVKDRGVYPVHTLG >itb12g09130.t1 pep chromosome:ASM357664v1:12:7164965:7167593:1 gene:itb12g09130 transcript:itb12g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITPFSVAVGLVLLALLYVVSWRRRNTTTGGGGGGTLPPEAAGGWPFIGHLHQLRAPVPLVRTWGAFADKYGPIFTVRLGTPRAVVVSSWEAVRDCFSTNDKIFAARPDLCAGKYMAYNCAVFTWATYGSYWRKMRKLSVVELLSSKRVDQLKHIWISELQANIKELYTSIANNVVDSPTAAKVDMSRWFGLLTLNMIVKIVAGRRYRYRGDDGVEDQEALALKQIFQENMYLAGQIVSGDALSPSWLFRWLDIEGHIKSMKRVAKAKDAIFESWVNEHVNMKIEKRNLQSLSTGDEAQDIIDVMISVIDDKFMDGISFTRDTIIKATIRTMLLDGVDTIAVHLIWVLSELLNNRHVMKLAQEEIDTKVGTDRLVEDSDIENLVYLQAVVKETLRLHPPLPFLVPHEAMEDCTVGGYHIPKGTQLYVNVWRLHRDPKIWSQPEKFLPERFLASSTEADVAANRQFQFIPFGSGRRSCPGMLYAMRVTHLTLARLLQGFNFSTLSNAALDMTEGLGITLPRANPLEVLVTPRLNYVL >itb04g15750.t1 pep chromosome:ASM357664v1:4:16940523:16946884:1 gene:itb04g15750 transcript:itb04g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFSAPGGGGGQHFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMDPFLEKFFPVVYRNTKNKNLNSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGCFFILGVILNAAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIKGGWGWRLSLGLAGFPALLLTLGAIFVVDTPNSLIERGQVEEGKRVLRKIRGTDNIEPEFLELVEASRLAKEVKHPFRNLLTRRNRPQLIIAVFLQIFQQFTGINAIMFYAPVLFATLGFKSDASLYSAVITGAVNVLSTVVSIYSVDKLGRRLLLLEAGVQMFIANIAIAIILGINVSDHSDNLGHGWGIFVVVMICIFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVMAQAFLSMLCHFKFGIFLFFSGWILVMSLFVLFLVPETKNVPIEEMTERVWKQHWLWKRFMDYNVDEEIGDSTDDLKKNGQVKSFDPASQL >itb04g15750.t3 pep chromosome:ASM357664v1:4:16944685:16946884:1 gene:itb04g15750 transcript:itb04g15750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFAWCRIKGGWGWRLSLGLAGFPALLLTLGAIFVVDTPNSLIERGQVEEGKRVLRKIRGTDNIEPEFLELVEASRLAKEVKHPFRNLLTRRNRPQLIIAVFLQIFQQFTGINAIMFYAPVLFATLGFKSDASLYSAVITGAVNVLSTVVSIYSVDKLGRRLLLLEAGVQMFIANIAIAIILGINVSDHSDNLGHGWGIFVVVMICIFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVMAQAFLSMLCHFKFGIFLFFSGWILVMSLFVLFLVPETKNVPIEEMTERVWKQHWLWKRFMDYNVDEEIGDSTDDLKKNGQVKSFDPASQL >itb04g15750.t2 pep chromosome:ASM357664v1:4:16940523:16946884:1 gene:itb04g15750 transcript:itb04g15750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLEKFFPVVYRNTKNKNLNSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGCFFILGVILNAAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIKGGWGWRLSLGLAGFPALLLTLGAIFVVDTPNSLIERGQVEEGKRVLRKIRGTDNIEPEFLELVEASRLAKEVKHPFRNLLTRRNRPQLIIAVFLQIFQQFTGINAIMFYAPVLFATLGFKSDASLYSAVITGAVNVLSTVVSIYSVDKLGRRLLLLEAGVQMFIANIAIAIILGINVSDHSDNLGHGWGIFVVVMICIFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVMAQAFLSMLCHFKFGIFLFFSGWILVMSLFVLFLVPETKNVPIEEMTERVWKQHWLWKRFMDYNVDEEIGDSTDDLKKNGQVKSFDPASQL >itb03g02490.t2 pep chromosome:ASM357664v1:3:1415690:1418518:-1 gene:itb03g02490 transcript:itb03g02490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSLVDLSYNNLSGPVPRFPSKTINIVGNPLICATGSEPHCHGTTLLPMSMTLNGSEAALTSRKEKNHKLAIVFGSSLGCICALLLGIGLFLWSRQRQKQQTLFDAKGRDHEEISLGNLRRFQFKQLQTATNNFSSKNILGKGGFGNVYKGYLPDGTAVAVKRLKDGSAAGGEKQFQTEIEMISLAVHRNLLRLLGFCMTATEKLLVYPYMSNGSVASRLKVKPVLDWCTRKRIAIGAARGLVYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRAIEFGKAANQKGAMLDWVKKIHQEKQLDALVDKDLKNSYDGIELDEMVRVALLCTQYVPGHRPKMSEVVRMLEGDGLAESWEASQKVEPSKYKIQELSSSDRFSDLTVDSSLLAQAMELSGPR >itb03g02490.t1 pep chromosome:ASM357664v1:3:1415690:1419103:-1 gene:itb03g02490 transcript:itb03g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREAKGLYYWSLVFLFLYFLGSAVSVLSPKGVNFEVQALMGIKAALKDPHGVLDNWDGDAVDPCSWTMVTCSAESLVIGLGTPSQYLSGTLSPSIGNLTNLQIILLQSNNITGSIPVEINRLSNLHTLDLSNNIFTGEIPPLGHLKGLKYLRLNNNSLRGEIPASLTNMTQLSLVDLSYNNLSGPVPRFPSKTINIVGNPLICATGSEPHCHGTTLLPMSMTLNGSEAALTSRKEKNHKLAIVFGSSLGCICALLLGIGLFLWSRQRQKQQTLFDAKGRDHEEISLGNLRRFQFKQLQTATNNFSSKNILGKGGFGNVYKGYLPDGTAVAVKRLKDGSAAGGEKQFQTEIEMISLAVHRNLLRLLGFCMTATEKLLVYPYMSNGSVASRLKVKPVLDWCTRKRIAIGAARGLVYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRAIEFGKAANQKGAMLDWVKKIHQEKQLDALVDKDLKNSYDGIELDEMVRVALLCTQYVPGHRPKMSEVVRMLEGDGLAESWEASQKVEPSKYKIQELSSSDRFSDLTVDSSLLAQAMELSGPR >itb09g06480.t1 pep chromosome:ASM357664v1:9:3717104:3718254:1 gene:itb09g06480 transcript:itb09g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITCSRTLLRCDRLVHRPTRMFIRNRHKGGTSRRVTWEKPEIGWTKLNFDGSCKSKTGRSSIGGIFRNHEAEFLLGYAESIGESNSTNAELAALRRGMELVLENGWTDIWVEGDSKSLVDMIVRKRAVRCAEAQKHLHQIYLIIPELDRFTVTHVFREGNRAADKFAQLGHHLNHPHVWRDAPPMQVTRIVDEDAQGKSFLRSR >itb14g12510.t2 pep chromosome:ASM357664v1:14:14163341:14166103:1 gene:itb14g12510 transcript:itb14g12510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGVVVSSMVMDSHSSPCLCLDALPSCNLSGGDLALHRNSSSTSLCRRQIKTPGSLELSTSFLDRRGLGKGQRGNFSSLKKQKQPKKRGFTVVNELGGQYEETFEDVKSQILNYFTHKAVMTVLDQLQEMNPPQYQWLNGFIETNKPRDRKNFIRNLVKEKQDLAERVMITRLHLYGKWIKKCDHDELYNQISDQNLEVMRERLMETVIWPSDDTNTEVVG >itb14g12510.t1 pep chromosome:ASM357664v1:14:14163251:14166103:1 gene:itb14g12510 transcript:itb14g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGVVVSSMVMDSHSSPCLCLDALPSCNLSGGDLALHRNSSSTSLCRRQIKTPGSLELSTSFLDRRGLGKGQRGNFSSLKKQKQPKKRGFTVVNELGGQYEETFEDVKSQILNYFTHKAVMTVLDQLQEMNPPQYQWLNGFIETNKPRDRKNFIRNLVKEKQDLAERVMITRLHLYGKWIKKCDHDELYNQISDQNLEVMRERLMETVIWPSDDTNTEVVG >itb09g07400.t2 pep chromosome:ASM357664v1:9:4291069:4296601:1 gene:itb09g07400 transcript:itb09g07400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDEATTFKDQKEKLNTFLEIADTEKQLLAAIYSKGLLNKDVQDLYHKARSSYENIILNDYEVLGLQEVEYSLWKLHYKHIDEFRKRIRQANAEKKKSEASESDTNSHLDVDKHMEGFKSFLSEVTDFYQDLVKKLRKSCGLTSEVFLRKKGSPLCSTEHLKFPQCQYACHRFLVCLGDLARYTELCKKPDACKWSVSAAYYLEASRIWPDSGNPHNQLALLATYISDAFLALYHCVRSLAVKEPFPDAWNNLMLLFEENRSSHFHSLSNGAYIDLLKPSEKTSLQTVSQASYGSSNINKLESNGSVSSEKSDIWPLFVRLISFFLVISSLEDFSHTLASTVRQLEALMALGDEELKAALESYQHMDPSRSGPYRALQLVTIFIYIIHSLTESGEGEVMKAENKESDLTKLALAAIFICTGRLIERCLKGSHPEVCPLLPAVLVFAEWLGNTLQRAEAYAADERVRSSMSYFFGAFSDLLNRLELSENEIALDYAALWEDYELRGFDPLAHAHMTLDFTGHQECVDNFSSMSTCRSWRIFLAAKKLSGKSRDSNKWIMYDELVKKFYTVKSRCPDQVNSSIQPQELHEHESGNTNEHMESLNSQSVTAEEEEVILFKPIMRHNSAPIYTSTPGSDQFSVEGVKEVTTTLSDESLRRSTSLITGQNLTGLDPLSFYPDTANSRYTKPFKPQEPTLRDSAAYPAGPPSLNAWVLDSGSSRKERGVRGLNMHKLSPIEELASQSFNNLSINETKDPITSSSHVSVAPPYVTPVPSAPLLPEDASWFKGNSLGFPEFKSPSATKEGNGILGAPPVSGYSSRLTTRGPFDFVAGPPGFVDGYPPQIGMSSSEWLYHYRNTQNVERVNNNNHLWPSHLNAPTTLGNVSTTNVARFDFLDQWGNRLVSSPMVYLESPQLLPSPLMYSVVDEQRRDKPLLSYQRPSPYSFGTAMELKPEQPILPHYLKDGEWQVQPESQYRAPSFMGN >itb09g07400.t1 pep chromosome:ASM357664v1:9:4291069:4296601:1 gene:itb09g07400 transcript:itb09g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDEATTFKDQKEKLNTFLEIADTEKQLLAAIYSKGLLNKDVQDLYHKARSSYENIILNDYEVLGLQEVEYSLWKLHYKHIDEFRKRIRQANAEKKKSEASESDTNSHLDVDKHMEGFKSFLSEVTDFYQDLVKKLRKSCGLTSEVFLRKKGSPLCSTEHLKFPQCQYACHRFLVCLGDLARYTELCKKPDACKWSVSAAYYLEASRIWPDSGNPHNQLALLATYISDAFLALYHCVRSLAVKEPFPDAWNNLMLLFEENRSSHFHSLSNGAYIDLLKPSEKTSLQTVSQASYGSSNINKLESNGSVSSEKSDIWPLFVRLISFFLVISSLEDFSHTLASTVRQLEALMALGDEELKAALESYQHMDPSRSGPYRALQLVTIFIYIIHSLTESGEGEVMKAENKESDLTKLALAAIFICTGRLIERCLKGSHPEVCPLLPAVLVFAEWLGNTLQRAEAYAADERVRSSMSYFFGAFSDLLNRLELSENEIALDYAALWEDYELRGFDPLAHAHMTLDFTGHQECVDNFSSMSTCRSWRIFLAAKKLSGKSRDSNKWIMYDELVKKFYTVKSRCPDQVNSSIQPQELHEHESGNTNEHMESLNSQSVTAEEEEVILFKPIMRHNSAPIYTSTPGSDQFSVEGVKEVTTTLSDESLRRSTSLITGQNLTGLDPLSFYPDTANSRYTKPFKPQEPTLRDSAAYPAGPPSLNAWVLDSGSSRKERGVRGLNMHKLSPIEELASQSFNNLSINETKDPITSSSHVSVAPPYVTPVPSAPLLPEDASWFKGNSLGFPEFKSPSATKEGNGILGAPPVSGYSSRLTTRGPFDFVAGPPGFVDGYPPQIGMSSSEWLYHYRNTQNVERVNNNNHLWPSHLNAPTTLGNEIGLISIQR >itb04g06310.t1 pep chromosome:ASM357664v1:4:4085782:4088743:-1 gene:itb04g06310 transcript:itb04g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37250) UniProtKB/Swiss-Prot;Acc:Q9ZUU1] MAAMNRLLRSPSSSLSLARRCLSTAAASETVKSQPYIHTPLATGQDPKAKSVQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVREELNSSGPLSKQLAEIVNQGKLVSDEIILSLLSKRLEAGEARGESGFILDGFPRTVRQAEILDEVTDIELVVNLKLPESVLVDKCLGRRICSECGKNFNVASINLKGENGSPGMSMAPLLPPPQCVSKLVSRADDTEAVVKERLRIYYDKSQPVENFYHSQGKLLEFNLPGGIPESWPKLLEVLNLDEHDDKLSAAA >itb04g06310.t2 pep chromosome:ASM357664v1:4:4086403:4088743:-1 gene:itb04g06310 transcript:itb04g06310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37250) UniProtKB/Swiss-Prot;Acc:Q9ZUU1] MAAMNRLLRSPSSSLSLARRCLSTAAASETVKSQPYIHTPLATGQDPKAKSVQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVREELNSSGPLSKQLAEIVNQGKLVSDEIILSLLSKRLEAGEARGESGFILDGFPRTVRQAEILDEVTDIELVVNLKLPESVLVDKCLGRRICSECGKNFNVASINLKGENGSPGMSMAPLLPPPQCVSKLVSRADDTEAVVKERLRIYYDKVLLKMSTVQYNLTK >itb06g03370.t1 pep chromosome:ASM357664v1:6:5739674:5743004:1 gene:itb06g03370 transcript:itb06g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNEVIRPVANFCPSIWGDQFGGHFVFDDEVAEKYAQEIELLKGRVKNMLRDIRSSRLFEKLNFIDIIERLGIAYHFNEEIDEMLEVIYNAIPDFELGDDLCTSALMFRLLRQHSYNIPSGIFENFQEHGKFKSTLSKDVKGLLNLYEASHIAMLDFNLLQMHHKQELYELMRWWKELNFVTTLPYTRDRIVECYFWALGVYCEPKYSKARLMVAKAEAMITTIDDTYDSFGKPDELEIYTDAVQKWDISQMNRLPDYMKISYKALINLYDDEFNKELSKEGRYFALYYAKEKMKENVRAYNIESKWSTKGCMPPFAEYLKYAMPSSSLYMLISTFFIGMKSITREEFEWLNQNPKIVEATTILGRAINDLGSYEREKSSSLSTIAGGIDCYMNDYGVSAQEAMDKFQEIIENAWEDINEEILQPTFSREILTCALNIARVNSVMYKQKQDGFTDPEKLMKPYMTALLVDSFGI >itb09g14880.t1 pep chromosome:ASM357664v1:9:10164979:10168200:-1 gene:itb09g14880 transcript:itb09g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQHHFEDFYEDLFEELSKHGEIENLNICDNLADHMVGNVYVQFREEEHAATALQSLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGNSRQRHSHSRSRSPRGHRGHEEHSHSGHRRGDHRPHERGWRPRSRSPRRRGGQSRSPVGRRNRSPVREGSAERRAKIEQWNREKEQKDYGKNDNTAVTREGSEERRAKIEQWNREREHKDSSKNNDFETFETGGSNGAEQYQEQSYDDYPQQK >itb09g14880.t3 pep chromosome:ASM357664v1:9:10165075:10168192:-1 gene:itb09g14880 transcript:itb09g14880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQHHFEDFYEDLFEELSKHGEIENLNICDNLADHMVGNVYVQFREEEHAATALQSLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGNSRQRHSHSRSRSPRGHRGHEEHSHSGHRRGDHRPHERGWRPRSRSPRRRGGQSRSPVGRRNRSPVREGSAERRAKIEQWNREKEQKDYGKNDNTAVTREGSEERRAKIEQWNREREHKDSSKNNDFETFETGGSNGAEQYQEQSYDDYPQQK >itb09g14880.t2 pep chromosome:ASM357664v1:9:10164979:10168200:-1 gene:itb09g14880 transcript:itb09g14880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDPQGQPLDPRKIQHHFEDFYEDLFEELSKHGEIENLNICDNLADHMVGNVYVQFREEEHAATALQSLSGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGNSRQRHSHSRSRSPRGHRGHEEHSHSGHRRGDHRPHERGWRPRSRSPRRRGGQSRSPVGRRNRSPVREGSAERRAKIEQWNREKEQKDYGKNDNTAVTREGSEERRAKIEQWNREREHKDSSKNNDFETFETGGSNGAEQYQEQSYDDYPQQK >itb15g18820.t1 pep chromosome:ASM357664v1:15:20634554:20637978:-1 gene:itb15g18820 transcript:itb15g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVATHIPMALICPSLPFKFTPIISTLFLLFIMPKPIAIAACPYTSIFAFGDSLTDVGNRVLLYPNHSHMGEPPYGETFFHHPTGRCSDGRLIIDFIAEYYGLPFMPPSASVMMNGGGNGSSIDGGVNFAVAGAAAVDSAFYEERGIVNVDTNCSLRVQMRWLNQLLPIFCASPSECKEKLKSSLFVLGPFGSNDYRRALGYGKDIEEIRSYVPLVIDVITTAINDLIELGATTIMVPGILPDGCIGSVLTEFESDNKEDYDPDTGCLTWVNELSEYHNQHLQQRLNTIQHHNPDVVIIYADFYNATMELYRHPEKYGFISTLVVCCGEGGKYNLNKDVGCGDVQVKSCPEPWFYIDWDGNHMTDRANKIVSMALLDGTCTSPSINTLCDSFKKSAYYI >itb02g26150.t1 pep chromosome:ASM357664v1:2:26932160:26934596:-1 gene:itb02g26150 transcript:itb02g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLGCVSSPLIVANRNLRQNWKSGYAARGKNSVGVKACFFNPIQEQPILKEALKEPIAFMGGVFAGLLRLDLNEDPLKEWVTKTVEASGITAEEIQATEIESEETPQQIEIE >itb02g06520.t1 pep chromosome:ASM357664v1:2:4061602:4066071:-1 gene:itb02g06520 transcript:itb02g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNTSIPALSAFSSDIMAVATKPHPFPLYDIQFRNNRISRTIEIIILFLLLSLISYRLFSLGTQNDQIPWLLALICEVWFTFVWILTINCKWNQIQTKTYPQRLLQWLGEGTSEFPAVDMFVTTADPELEPPIITVNTVLSLLAVDYPANKLGCYVSDDGASPLTFYSLVEASKFAKIWVPFCKKYNVAVRSPFRYFSDNSTFPQDSSLDFKHEWRKMKDEYSKLCGKIEEASQQSLLCHLTDEVSVFENIERQNHSTIIKVIWENKEVGIDNGDGVPHLIYISREKRPKHPHHYKAGAMNVLTRVSGVMTNAPFMLNVDCDCYANDPKVVLHAMCFLLGAEDEKDAGFAQFPQSFTDALKDDPFGNQLKIAMEYLGRGVAGIQGPYYQGTGCFHRRKVIYGMAPNVANASEKSVDKDQWLTYGKSKVLNLSIPQILSGSLSPEIPIFSNSLDAAKEVASCGYESGTAWGQKVGWMYGSTTEDVLTGLSIHSKGWKSSYCVPDPPGFLGSAPTGGPAALTQQKRWATGVMEILISKKSPIISTLFRRLQFRQCLGYLWLMVWPIRPIFEICYALLPAYCIINNSHFQPKLNEAAIVIPASIFIIYNLYTLFEYIETGESARAWWNNQRMWKVNASASWLFGLLSVIIKVVGLSETVFEITKKDQSSDDDNEDSNAGRFTFDESPLFVPGTTILLVNMAALFIGFLDFIQEKSRSWSLGEVICSVWVILMYWAFLKGLFGKGKYGIPLSTVLKSGGLALLFVHASKFKY >itb15g22780.t1 pep chromosome:ASM357664v1:15:25529456:25532747:1 gene:itb15g22780 transcript:itb15g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAEILDVASEAEMKIESRLRKVYLATNKGEGGCVVAAYEGLHQTLEEVLVKDIDPVELRILIEKVRTASPQVSSSANDLKQDRMVGAATEGALEPKDQVIVGFAKDEAILLRRLLNQHLLKREVISLVGEGGIGKTTLAKRVFEELATTHFDICAWIVVSQHHNLKEMLIGLLRCIMPITTEISMKDESQLAEQLCRSLIGQKYLIALDDIWTFTAWDAIQGCFPDNLNGSRILITTRFIEVARYTSKDPHFMKYLSLKASWSLFAMNVFGGSTNNALSPSLEYSIFGINKYLPPTFEHPIWGTNKLFEFLGSRIVLQCHGLPLAIVLIAGLLATMKDSLEIWRDVEKTLGGIERIDDRISKILSLSYTYLPSHLKACFLYFGVFPENREIPVKKIINLWVAEGFLKQKKNKSLEEVAKSCLVDLINRSLVQVNELSIDGKIKSCKIHDRLHEICVREAKKENIVCVIDEKHAPKTSRWTSWQSSHWPITQANYGNHTSNKIHSILYSGKELYLSKCRLSYPCLELLRVLDLSLINYSHSIPSGIVELVHLRYFALRTIGSLSKFRLLKLQNLQTLIVCSWIDEYPLQLPCDILDLPQLRHLRLQKKCSQYLPSMVQKNLQTLYWLKVSSLDQNPNFRMVPNLKELGIYIEGELLPGCVESLVHLHLLEKLKFEIGRVEQFYLPTAFPSNLKKLTFRRTYLPWEKMGVIGKLKNLEVLKLKDFAFHGPKWKPKVGEFGVLKSSKKKVTEEEEAIVLQCNICTVAACKLVIDVCKVVIADTHCLVSSSSELANVAVHKYIQFSSPIQIGIDLSLWVD >itb08g01140.t1 pep chromosome:ASM357664v1:8:864343:864995:1 gene:itb08g01140 transcript:itb08g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLLLIGKLHLFKATSHCLSSVSFLLLGPFVLKLVSGLNPIRQVCHEIVYSLRLFFFQMGEITFNAEAGAAAQGGGGGGARWQRAVRLVCERLTRARHPQFLESDEESLLDLSMIAL >itb12g17210.t1 pep chromosome:ASM357664v1:12:19183845:19186570:1 gene:itb12g17210 transcript:itb12g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGGSSWLTAVKRAFRSPTKDTSNVDKKSCRRREHEHEEDEEKKREKRRWLFRKAAAQNGENNESRHAIAVAAATAEAAVATAQAAVEIIRLTRPSNRQHFAATVIQTAFRGYLARRALVALKGIVKLQALIRGQNVRKQAKMTLKCMQALLRVQARVREQRARLSHDGGRKSMFAEPTTNLWESKYLSDIRDRKSMSRDGSSIADDWRDCPRTLEELEAMLQARKEASIIRERSLVHAFSQQEWSSEMELVEEEGEEKEVEERTNWLEEWMSSKQWNCSSRASTERREPVKTVEIDTSMPYSYTRRSLQHLSPHHNYKQSPCRQKPSLYNFPILQPPATPSPSKPKPVQLRSTSPRRLEKSYSTANTPCLRTTTRSHTAASRYSISANEVAAATTHIAVPNYMAATESAKARVRSQSAPRQRPSTPERERIGSVKKRLSYLIPEPYPLNTGYSQNLRSPSFKSVQAGYVGMEQQSNYSCNTDSIGGEISPCSTTDLKRWLR >itb03g24470.t1 pep chromosome:ASM357664v1:3:23177963:23178421:-1 gene:itb03g24470 transcript:itb03g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDFLVWLSRTRKEWLHVLALLVCYHCQILECRHRNIILEATKKYFCNTCSKRFGKRKTSKTDNSDDSDDIVVCVISKNRRMAVRNWQGKVVVDIREFYVKDGKEMHCFYYVKAEGRHLPALVDLSMAAKLQSSGPNSGDHVKMGKKKAVK >itb14g03510.t1 pep chromosome:ASM357664v1:14:3099144:3103449:-1 gene:itb14g03510 transcript:itb14g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLCFSFFSMVLLLLFCVIIASSGMNISTDESSLLALKSSIINMHSHPIILANWSNASSVCDWIGVTCGTRILRVIALDISQMGLSGTIPSQFGNLSFLVSLNASGNNFSGNLPDSLSHLHRLKIFDFNVNNLTGEISSWFGFLSNLQVLNLGQNHFTGSIPLSLFNLSKLETLDLSFNQVNGSIPSTIFNLSTLENLRLVSNSLSGTLPQNLCLHLKNIRKFEVTDNHLSGEMPKGLSMCFKLRCLGLNYNQFEGTIPQAFGNLTSLEFFRVGGNNLRGRVPKEIGYLHNLKELHTENNHITGFLPPHMFNMSSLQLLDMNTNNISGVIGMPRMNGSTKLKWEA >itb07g11190.t1 pep chromosome:ASM357664v1:7:12615427:12615999:-1 gene:itb07g11190 transcript:itb07g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLWHLTIRLRGSLSVSTVRDWPFQKRFRFLRFLLLLLHPRIFRTPFLHFRSLLSGGGGGGEESAKK >itb06g15190.t1 pep chromosome:ASM357664v1:6:19561548:19562998:-1 gene:itb06g15190 transcript:itb06g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKLGYREAVVISSATIAKEVLKTHDLAFSSRPSFIGQKRLSYNALDIAFSPYSDYWREMKKICDLHLFSLRRVKQFHPIREDEVSQMINRISKHAFSYQQVNLSEIAMSLTSNIICRSAFGLRYDKEGEEKKRFHKLMNEVEAMLSGGSLVADFFPSFGWIIDKLTGKVARLERVFKGLDSFYQELIDKHLHPNRPKSMDGDIIDILLGLMEENSSLVNLTWDHIKAVLMNVLVGGTDTSAVVIIWAMTALMKDPRVMNKVQQEIREFIGREKGKVDEDDIKQLPYFKAVIKETLRLYTPVPLLVSREIISKCTIDEYEIKPKTIVIINAWAIARDPVYWKDPEEFYPERFLDCNIDYKGQNFEFIPFGAGRRMCPGMTLGLVVAKLALANLLYAFDWELPSGMKKEDIDTEPLPGLVMHKKNHLCLFAKNAQV >itb01g26860.t1 pep chromosome:ASM357664v1:1:31915250:31916581:-1 gene:itb01g26860 transcript:itb01g26860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMKVETVSRERVKPFSSPSSSSTCRLHKLSLLDQIAPHGYNPILLFYSTALSQPQLVYDSLRISLSKTLAYVQPLAGRLKDEFTIECNDDGVDLVVVNVFDEMSSVLAHPKTDLLRQLLPVNPETASFSTRALLMVQINRFACGGLAVGLCTSHAVTDAMSMTAFLKTWALINRRGGENDDDENIVAKGFAVVDCTPFFPPRDVNGFSLIPLNTHAVASSVSTRRLIFDASKIAALRESADLGFRRRPSRVEAVSALIWEAVIAAFQETNPAMKTHIMLSAIDLRSKMQPPLPQQSIGNIFHVTEAQWEATDTAAATNYNSLVGKVQESMERAKKNTFTPMDFGEEFLNAVKSGIERMRNSEDIGLLMTSSICRIPVYEIDFGFGKPEWVGNGIRAMNVCILSDTKNGNDIEAFLGLSEEHMNILEHNPNFLSHVSFIQSL >itb06g18730.t1 pep chromosome:ASM357664v1:6:22330634:22334155:-1 gene:itb06g18730 transcript:itb06g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSGDHDKEEETNGIVNLLDHEEKLDGVEVGGDMDIEEKIHVEDGGEMNSPMGDMGEFKEDVNLEPLAGMEFESHGEAYAYYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYEKPANRPRSRQGSKQDQENATGRRACAKTDCKASMHVKRRPDGKWIIHRFEKEHNHELMPAQAVSEQTRRMVQRYNSLSQQAMRLAEEGSLSQESYRFAVRSLDETFGNCVDANSSNKNLVDAGTTSTPGLLCIEEDNQNRSMSKMNKKKNNPTKKRKANSEPDVMAVGAPESLQQMDKLSSRPVTLDGYFGPQHGLQGMVQLNLMAPTRDNYYANQQPMQGLGQLNSIAPTHDGYYGTQPAMHGLGQMDFFRTPSYPYGIREEPNVRPTQLHDEASRHT >itb06g21110.t1 pep chromosome:ASM357664v1:6:23835153:23839629:1 gene:itb06g21110 transcript:itb06g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREPYNEPSKIEVDLDRISNLPAQIIDKILSHMSLKDAVRTSVLSSKWRYKWATLPNLIFDTDGLSVSAQDHAVIKSKIVSIVDHVLLLHMGSIHKFKLSHRDLQNVLDIDKWILFLSRGSIKEFILEIWKGHRYKLPSAIYLCQKLVHLELFNCLLIPPRTFCGFKCLKSLDLQHITMEQEAFEHLISSCSLLERLTLMNFDGFTRLKIHAPNLLFFDVGGMFDDLSFKDTSHLATVSIGLYSGYDNQNLGLASSGNLVKFFANLPRIQRLEVQSYFLKYLAAGMVPGKLSTPCNELSYLSLRINFNNLDECLAALCLLRSSPNLRELEIGARTEEQNTMRTIANFMEEKYQNFKFNRLHVVKISAISGFRQELNFIKFLLGSSPVLESMTIKPASIDGAWDMLKELLRYRRASVQAEIIYLDP >itb06g21110.t2 pep chromosome:ASM357664v1:6:23836904:23839629:1 gene:itb06g21110 transcript:itb06g21110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREPYNEPSKIEVDLDRISNLPAQIIDKILSHMSLKDAVRTSVLSSKWRYKWATLPNLIFDTDGLSVSAQDHAVIKSKIVSIVDHVLLLHMGSIHKFKLSHRDLQNVLDIDKWILFLSRGSIKEFILEIWKGHRYKLPSAIYLCQKLVHLELFNCLLIPPRTFCGFKCLKSLDLQHITMEQEAFEHLISSCSLLERLTLMNFDGFTRLKIHAPNLLFFDVGGMFDDLSFKDTSHLATVSIGLYSGYDNQNLGLASSGNLVKFFANLPRIQRLEVQSYFLKYLAAGMVPGKLSTPCNELSYLSLRINFNNLDECLAALCLLRSSPNLRELEIGVRFLAKHIISALTF >itb05g26410.t1 pep chromosome:ASM357664v1:5:30317270:30318645:1 gene:itb05g26410 transcript:itb05g26410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISLESNKARYSDGYGIVPNDAMWEEILLKLPTKGLVRFKAVSKLWYEKISSPSFVKLHLEQSKANTIYIIYSYPLAENMLIMENNGESSQLTLPGFLNGLSSIEICSFNGLLCISGDTEEDDLEIRICNPTTREVTVLPRCSLPGKFSSVGVVFDAETNYKVFRFCCDEFECEDDIYECEVYESYDGTWRNIGQVPTGPKVNWFAPVCPTSVCVQGRLYWLAWNKEDRESPAFVQSVDMEGNFSRISLPEDLNGYTFLINLDGVLSVVAVDDTETYVDILVLEDNKETGFTWSWKACAELELEFIASFNSVVGGKDEILFIFDMFTKFRYRVLNLTERTWRELDVPAALKGRYSIALPFVESLLPCIKPRGETSSSEGTTSSSP >itb07g03260.t1 pep chromosome:ASM357664v1:7:2207446:2210519:1 gene:itb07g03260 transcript:itb07g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGGGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSNGISWFLDLFNYYVNSDEQDFLSKELQLDSKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPVGSAGGEGWAAFRNILAEINEASRLFTLPNEQQTSETPDRLGLSDDVGAGFISSHSSQPTPSADLNVDRTIDLPPPEDVNNLGISKVIRVDQKRFFFDLGNNNRGHFLKISEVSGPDRSSIILPLSGLKQFYEMVGHFVDITKDRIEGMTGANVRTVDPPQR >itb07g03260.t2 pep chromosome:ASM357664v1:7:2207446:2210519:1 gene:itb07g03260 transcript:itb07g03260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGGGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSNGISWFLDLFNYYVNSDEQDFLSKELQLDSKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPVGSAGGEGWAAFRNILAEINEASRLFTLPNEQTSETPDRLGLSDDVGAGFISSHSSQPTPSADLNVDRTIDLPPPEDVNNLGISKVIRVDQKRFFFDLGNNNRGHFLKISEVSGPDRSSIILPLSGLKQFYEMVGHFVDITKDRIEGMTGANVRTVDPPQR >itb05g15540.t1 pep chromosome:ASM357664v1:5:22865256:22868718:-1 gene:itb05g15540 transcript:itb05g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTRLFIFGSHSAQVFTVELRDVRNALQTLFLGCLILLCALATNISCSDSTTLKISKMSIENAFRLPSPLPQWPPGTGFGGGTIDLGGLLVSQVSTFTKIWAAQEGGPDGMGATFFEPSAVPDGFHVLGHYGQPNNAPLFGWVLVAKDVTNGGRAALQTPVDYTLVWSSENAKIKQDGAVYIWAPVPSDGYKPVGHVVTASPQKPPLDKIRCVRVDFTDVSETEDWIWGTNGLNVYSSRPKNRGTKDSGVSTGTFLAGDSVPSCLKNLNTPNPSSMPNLPQIKSLLQTYSPWIYFHPDEEFLPSSVPWFFKNGALLYTKGQESSPAPIEQTGANLPQGGNSDGAYWLDLPTNDADKDRVKKGNLQDCTCYVHVKPMLGATFTDIALWMFYPFNGPARAKVEFLTIKLGKIGEHVGDWEHVTLRISNFNGELKRVYFSEHSRGMWVSASQVEFQNGNKPVVYSSLHGHAAYPAPGLVLQGSKVIGIRNDTEKGNTVMDTGASFSIVSAEYLGSVVTEPPWLNYAREWGPKINYDIAKELKKVERFMPGKLKAELEKVVRSLPNEVLGEEGPTGPKWKDSWSGDERS >itb05g15540.t2 pep chromosome:ASM357664v1:5:22865315:22868028:-1 gene:itb05g15540 transcript:itb05g15540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENAFRLPSPLPQWPPGTGFGGGTIDLGGLLVSQVSTFTKIWAAQEGGPDGMGATFFEPSAVPDGFHVLGHYGQPNNAPLFGWVLVAKDVTNGGRAALQTPVDYTLVWSSENAKIKQDGAVYIWAPVPSDGYKPVGHVVTASPQKPPLDKIRCVRVDFTDVSETEDWIWGTNGLNVYSSRPKNRGTKDSGVSTGTFLAGDSVPSCLKNLNTPNPSSMPNLPQIKSLLQTYSPWIYFHPDEEFLPSSVPWFFKNGALLYTKGQESSPAPIEQTGANLPQGGNSDGAYWLDLPTNDADKDRVKKGNLQDCTCYVHVKPMLGATFTDIALWMFYPFNGPARAKVEFLTIKLGKIGEHVGDWEHVTLRISNFNGELKRVYFSEHSRGMWVSASQVEFQNGNKPVVYSSLHGHAAYPAPGLVLQGSKVIGIRNDTEKGNTVMDTGASFSIVSAEYLGSVVTEPPWLNYAREWGPKINYDIAKELKKVERFMPGKLKAELEKVVRSLPNEVLGEEGPTGPKWKDSWSGDERS >itb14g02310.t1 pep chromosome:ASM357664v1:14:1925495:1930562:1 gene:itb14g02310 transcript:itb14g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSPVATVKPHRPYFFYGHRKPTQNRPTVRGGLFSNRQTINPANTAQPTPYDPLDFDLRKWDPDADSRPGTRDPSQDFFSVAKSLSPIARYIVDSFRKHGRWGPLVVEDLNRLRRVTPKLVTEILKVPNIDPRLSSKFFYWAGKQKGYRHDFACYNAFAYSLNRTNQFRAADQIPELMHMQGKPPTEKQFEILIRMHADANRGLRVYYVYEKMKKFDIKPRVFLYNRIMDALVKTNHLDLALSVYDDFRKDGLVEETVTFMVLIKGLCKSGQVNEAIELLDQMRKNLCKPDVFAYTAMVKVLVSEGNLDGCSRVWEEMKRDGIEPDVMAYGTFITGLCKGNQLEKGYELFEEMKEKKYLIDRAIYGSLIEAFVTSGKVGSACDLLKDMMNSGYRADLAIYNCLIEGLCNHGLVDRAYKLLRVTVKEDLQPDFFTVSPILLSYAESKRIDDFISLLEQMQKLGFCIRDDLSKFFCFMVKKDNGIMLAWELFEELKLKYDCSVQSYNVLMEALHSIGKVDKALKLFQELNDKKLHCDSLSLSYSIAIQCFIEIDDIQEACSCYNRLREMSLVPSTLAYYSLVKKLCKIGEIDAAMMLIRDCLANVTNGPMEFKYTLTIIHVSKSNDAEKVVEVLSEMIEQGCPPNNIIHCAIICGMCMHGTIEEARKVFTAMRVRKLLTEAEVVVYDELLIEQMKKKTADLVLCGLKFFGLERKLKAKGSKILLD >itb14g02310.t3 pep chromosome:ASM357664v1:14:1925495:1929666:1 gene:itb14g02310 transcript:itb14g02310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSPVATVKPHRPYFFYGHRKPTQNRPTVRGGLFSNRQTINPANTAQPTPYDPLDFDLRKWDPDADSRPGTRDPSQDFFSVAKSLSPIARYIVDSFRKHGRWGPLVVEDLNRLRRVTPKLVTEILKVPNIDPRLSSKFFYWAGKQKGYRHDFACYNAFAYSLNRTNQFRAADQIPELMHMQGKPPTEKQFEILIRMHADANRGLRVYYVYEKMKKFDIKPRVFLYNRIMDALVKTNHLDLALSVYDDFRKDGLVEETVTFMVLIKGLCKSGQVNEAIELLDQMRKNLCKPDVFAYTAMVKVLVSEGNLDGCSRVWEEMKRDGIEPDVMAYGTFITGLCKGNQLEKGYELFEEMKEKKYLIDRAIYGSLIEAFVTSGKVGSACDLLKDMMNSGYRADLAIYNCLIEGLCNHGLVDRAYKLLRVTVKEDLQPDFFTVSPILLSYAESKRIDDFISLLEQMQKLGFCIRDDLSKFFCFMVKKDNGIMLAWELFEELKLKYDCSVQSYNVLMEALHSIGKVDKALKLFQELNDKKLHCDSLSLSYSIAIQCFIEIDDIQEACSCYNRLREMSLVPSTLAYYSLVKKLCKIGEIDAAMMLIRDCLANVTNGPMEFKYTLTIIHVSKSNDAEKVVEVLSEMIEQGCPPNNIIHCAIICGMCMHGTIEEARKVFTAMRVRKLLTEAEVVVYDELLIEQMKKKTADLVLCGLKFFGLERKLKAKGSKILLD >itb14g02310.t5 pep chromosome:ASM357664v1:14:1925495:1930560:1 gene:itb14g02310 transcript:itb14g02310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSPVATVKPHRPYFFYGHRKPTQNRPTVRGGLFSNRQTINPANTAQPTPYDPLDFDLRKWDPDADSRPGTRDPSQDFFSVAKSLSPIARYIVDSFRKHGRWGPLVVEDLNRLRRVTPKLVTEILKVPNIDPRLSSKFFYWAGKQKGYRHDFACYNAFAYSLNRTNQFRAADQIPELMHMQGKPPTEKQFEILIRMHADANRGLRVYYVYEKMKKFDIKPRVFLYNRIMDALVKTNHLDLALSVYDDFRKDGLVEETVTFMVLIKGLCKSGQVNEAIELLDQMRKNLCKPDVFAYTAMVKVLVSEGNLDGCSRVWEEMKRDGIEPDVMAYGTFITGLCKGNQLEKGYELFEEMKEKKYLIDRAIYGSLIEAFVTSGKVGSACDLLKDMMNSGYRADLAIYNCLIEGLCNHGLVDRAYKLLRVTVKEDLQPDFFTVSPILLSYAESKRIDDFISLLEQMQKLGFCIRDDLSKFFCFMVKKDNGIMLAWELFEELKLKYDCSVQSYNVLMEALHSIGKVDKALKLFQELNDKKLHCDSLSLSYSIAIQCFIEIDDIQEACSCYNRLREMSLVPSTLAYYSLVKKLCKIGEIDAAMMLIRDCLANVTNGPMEFKYTLTIIHVSKSNDAEKVVEVLSEMIEQGCPPNNIIHCAIICGMCMHGTIEEARKVFTAMRVRKLLTEAEVVVYDELLIEQMKKKTADLVLCGLKFFGLERKLKAKGSKILLD >itb14g02310.t4 pep chromosome:ASM357664v1:14:1925495:1930549:1 gene:itb14g02310 transcript:itb14g02310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQGKPPTEKQFEILIRMHADANRGLRVYYVYEKMKKFDIKPRVFLYNRIMDALVKTNHLDLALSVYDDFRKDGLVEETVTFMVLIKGLCKSGQVNEAIELLDQMRKNLCKPDVFAYTAMVKVLVSEGNLDGCSRVWEEMKRDGIEPDVMAYGTFITGLCKGNQLEKGYELFEEMKEKKYLIDRAIYGSLIEAFVTSGKVGSACDLLKDMMNSGYRADLAIYNCLIEGLCNHGLVDRAYKLLRVTVKEDLQPDFFTVSPILLSYAESKRIDDFISLLEQMQKLGFCIRDDLSKFFCFMVKKDNGIMLAWELFEELKLKYDCSVQSYNVLMEALHSIGKVDKALKLFQELNDKKLHCDSLSLSYSIAIQCFIEIDDIQEACSCYNRLREMSLVPSTLAYYSLVKKLCKIGEIDAAMMLIRDCLANVTNGPMEFKYTLTIIHVSKSNDAEKVVEVLSEMIEQGCPPNNIIHCAIICGMCMHGTIEEARKVFTAMRVRKLLTEAEVVVYDELLIEQMKKKTADLVLCGLKFFGLERKLKAKGSKILLD >itb14g02310.t2 pep chromosome:ASM357664v1:14:1925495:1930562:1 gene:itb14g02310 transcript:itb14g02310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQGKPPTEKQFEILIRMHADANRGLRVYYVYEKMKKFDIKPRVFLYNRIMDALVKTNHLDLALSVYDDFRKDGLVEETVTFMVLIKGLCKSGQVNEAIELLDQMRKNLCKPDVFAYTAMVKVLVSEGNLDGCSRVWEEMKRDGIEPDVMAYGTFITGLCKGNQLEKGYELFEEMKEKKYLIDRAIYGSLIEAFVTSGKVGSACDLLKDMMNSGYRADLAIYNCLIEGLCNHGLVDRAYKLLRVTVKEDLQPDFFTVSPILLSYAESKRIDDFISLLEQMQKLGFCIRDDLSKFFCFMVKKDNGIMLAWELFEELKLKYDCSVQSYNVLMEALHSIGKVDKALKLFQELNDKKLHCDSLSLSYSIAIQCFIEIDDIQEACSCYNRLREMSLVPSTLAYYSLVKKLCKIGEIDAAMMLIRDCLANVTNGPMEFKYTLTIIHVSKSNDAEKVVEVLSEMIEQGCPPNNIIHCAIICGMCMHGTIEEARKVFTAMRVRKLLTEAEVVVYDELLIEQMKKKTADLVLCGLKFFGLERKLKAKGSKILLD >itb09g09760.t1 pep chromosome:ASM357664v1:9:5990983:5991923:-1 gene:itb09g09760 transcript:itb09g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTPGSMYSGGGMNMMNAMHQSFFWGKNVTILFKGWPDNNLGMYVLALVFVFFLAFSIEILSVTAAVNRATTPAVGALTQTGVYALRIALAYLVMLSIMSFNLGVFIVVVAGHAFGYFAVKFRALRQSAPDTIPKV >itb04g07990.t1 pep chromosome:ASM357664v1:4:5570208:5572535:-1 gene:itb04g07990 transcript:itb04g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWLLRMGNQVSTNVKHALLLENSKKSGALRGKEFIGILSFEVANIMSMIIHLHKSLTDPEIVKLKNEILGSVGIRTLVSDDETKILQLALVEKLDDLNRVASVVSRLGRKCTIPALQGFEHVYGDITAGVIDVKELEFLVKDMEGMVRKMERYVSSTARLYSEMEVMNELEVATKKFRQNQHEETWKAYEQKLAWQKQDVRHLKDVSLWNQTYDKVVELLARTVCTVYARISAVTDDIIARRASISVSISRGESLQHVKQEPEQQSGQIGLERSSGDSIKPVLSKRNSGSHSGPTERRGVHRRNTSYHPPNGLQKSQGGLFCSKDFNFACGVGLMECLSLSSASKYVDDNNAGSDDRSSQVSGCSSVASGARKEQWNFSRMPKGSTSNNARFGPKSRVMMYALPSTVGGSALALHYANVIIVIEKLLRFPHLVGDEARDDLYQMLPESLRKNLKTTLRSYSKGLEIYDAPLAQEWKQRLEEILKWLAPLAHNMITWQSERNFEQHQVVKRTNVLLLQTLYFGDRERTEAVVCELLVGLNYICRHEQQQNALLDCASSFDFEDYMEWQLQLGASFQAG >itb10g12850.t1 pep chromosome:ASM357664v1:10:18941411:18949015:-1 gene:itb10g12850 transcript:itb10g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVNQSSAASVVEEVIQHHGEKLSNVDLYAARKAEEASLRRNEAARWLRKTVGVVAGRDLPAEPSEEDFRLGLRSGIILCTVLNKVQPGSVQKVVEAPPDSATVPDGAALSAYQYFENVRNFLVAVEEMGLPTFEASDLAQGGKASRVVNCVLALKSYSEWKQAGGNGSWKLSGNVKPTSFGKQFFMRNSEPFMNSISRSASIVERALVTGDLGCDNSETEELGSLRMLVSKLLSDKKPEDIPLVVGNLLSKVMEEFEQRLSSQNEQMNTATQDISVPASSVVGCSPIDIKIKTASQDMSVAASSPVEIPPTVIKMDTASQDMSTPASQDISVPASQDMSVPASQDMSLPTFCPLELPPGETKIEDEATSTPIDEETSHHGEINDSGSDRQAEKQQILVKQQQQNIQDLKCSLNATKKELQNLQITYHEEVDNLGKHLRSLAHAASGYQKVLEENRKLYNLVQDLKGSIRVYCRVRPFLPGQPSRFSTVDHVEEGSITIISPSKNGKEGKKTFTFNRCFGSSAAQEEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDELTKETEGVNYRALGDLFFISEQRKNMMAYEVSVQMIEIYNEQVRDLLATDGLNKKLEIRNNSQKGLNVPDANVVPVSSTPDVINLMNLGQKNRAVGATAMNTRSSRSHSCLTVHVQGRDLTSGSILRGCLHLVDLAGSERADKTEAVGDRLKEAQHINKSLSALGDVISALGNKSSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAIGETLSTLKFAERVSAVELGAARANKDGSDVKELKELKEQIASLKAALAKKEGANSRSSSPERRIPSYGSSPSQSSLGDMQIYDQGQLTVDVGNNREVRKNSAAYDRRRSLDPHFFQTNSPSWQPDSPGSNGYEKDAVSGEWGDNAMVSKKNGFSRGYSLSRLDERSRQSPEMLYEKYSPDSSKVYPEQNIGKPLPYKKEGQDYDTLPVDDSDLDAATSDCSSEHDSLCQLSIPKFSSIPNGVASKLKRPSPRQIKTPELRSSIPQPSRRLSTGMVMNSSALKPGRLAPSADGKRRTPSGK >itb07g05220.t1 pep chromosome:ASM357664v1:7:3534735:3548599:1 gene:itb07g05220 transcript:itb07g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKNRTDLLAAGRKKLQQYRQKKDNKGGKSSGKPGKSGSDASINPANVPAATQHVPETERLHSSNDAVSLSETHTIKDSVDSNTNIPVPVDSEEKPTKSTKHEPVDLLSYPDSGNTVEALAPRVDEERLHSLEQEPYNPDSEQVDATSEVEIEGEKRHSLSELGDSASDQATTDVGGNGVRKEKNETTHPTEPDDATSIDIESKDAEERDGHSSALESLHDSDSAVSSQVVCGQMEAVSTDYAGGHMERANSSDCVNNDSAKYIKDKIVNFSSGQNACSINLSQLAEVVRSLDEDEFKFLFMSRGSALKTLVNAETLSGSVPNLFDVYGRMKEQLYLANLVKDVKSLQLAEEMEFQMSEANASVNELGNKNGMLAIELAQVRSELQVVVAEKEEIQKQFQISKAEVLDLSARADELQIKLNMSKEDLDNLSAELVGCRNLVSALEVEKENLSAKVDLLSKENKKLQEERGDFVLEIEKLEMELVQSKASLISLQSANQFSNDNLTSLNEERRKLQIEKEYFVSENDKLLAEVADCKKTIEALHAEKTNSNEILVLLEEKHSLLNDTGRLSAELRESKASVEALQMNVSETTTRVTTLMEETNKLEDEKQEILTKNEELLRELTESQNLVAVLQAQCSESVTDLKNSTLQMEQLTEENMHLKSSLELQMSKIKEFDNRSRSSSQSEELRNQFSGARCSDQGEGNVINDDGSSLVSREGDSVNFFSNIHKPSSDYEQNDILQFEAIQRYLNEAEGILEKLEKTVEEIHSNSASLRSSSGEVVTPGMSKLIHAFESNVHADDHLSEDRRPTENQVVADPCVLTKQQIGNVHALLKNVFLEAQKACKFFEGEMKNKLHTDTMLAECKAKYESLIEYTDHLEHENMVIMVLNETFRQYINCAKSKEGDFMALYDALQKNEVTLKAENSHFSERLSDFQTRTCEMQHQLNEMQQSYNEMASSASNQVEALKKEVTDRKSVLEEEWNSLVAEVMETLSKLDLSIVPDSPSLLTGREKGFDRLALTSHIATSVNNAIKLIESLHGQVEAARSDQEAAMSSFNEMNKRFDVLQGEKETVIGLIYKIYGNLTGLVNEMSDQVGEAEINTGNGKPVDPLLPSIWDTLLEQLWKLNSERLRIEAMNSELTSELEKVKDSNELNKSFLDSDSILKCVGYVERVIALDGVDINVDQPAICIQSLTHFVVEKYKEAVEQVKLFSEGDASKEKQMIDLQKQIDQLSFLFIQMENENFILKESLRKVKEDAVALNSQFSERVSETEQSNQRVASLREKLSIAVTRGKSLIVQRDSLKQSLAETSSELEKCSQELQLKDSRLHELEMKLKTYSEAGERMEALESELSYIRNSATVLRESFLLKDSVLQRIEEILEDLELPEHFHSKSILEKIDWLAKSVSGNSLHLTDWDQKSAVGGSYPESGTGVLDGWKEDAQPSFSSFEDLKVKYEDLQNKFYRLAEQNEMLEQSLMERNNLVQHWEAILDTIEMPSQLRSVEPDDKISWIAFALSEAQNYCNSLQQKSDNFEALFEESNRRLSDLEASYESAINEKEVLSRNLDTLTRDHEKISEKAAQFEARNDDLQHTINSLQEKLDEMLGIEERVHHILGEIQRLQDLVRDALQDNITDDQLYSVDGIKYLEQLLRMLIDKYRTLSLGEAINKDTAVERVLQEVDPTESEKGTSESRYDEDRDVAVLNRKLEDTLGDMMCLKEERDIFMEKNQSLVSEVEALNMNKKELQELLSQEELKNQSLVSKIEALTLNKKELQELLSQEVQKNQSLVSEVEALNMSMKELQELLRQEEPKNQLLVSEVDALNINKKELQELLNHHKLNNQSLVSEVEALNVKYKELQELLSEQELKNQSLVSEVEALNMNTKELQELLRQEEMKSTSLREKLNVAVRKGKSLVQHRDSLKQSIEELNMDVENLKSKIKQQENVISDYEERIKDESTFQEKIKSIESDSVLLQSRVAEAEYSLREKEHILAIILNSLDEIDFGVLSTIGSPVEKAKHVGKLCYDLQSALTSSEQEARKSKRAAELLLAELNEVQERNDGLQEELANALSEVTKLSKERDFADAAKNEAIANLEKLSAAYSEERQHHVAEFLVLKTSMEQLKVDLSIIRNSFSDVLLKDLEILHNLGASLTVCLESRDAPIDIALIGAAAPGGTITITSTNKAFMVELSSISEMLNTHSQLVHDEARHISEIVGGIHEEITTQKQSFESMKKDVAWLKSIEKEKDSELLQMRRYNTLLYEACTNSVVDIENWRRQNSGNNLVTSAPGNNLISSHSVGRGLTEDNDFYSEESIRSVCERLLLSVKDVMSMQMELAEGKQKDIKAAISDLQKELQEKDIQREKICRELVSQIKEAEAVANNYLEELQLAKVQVSDLQRYVKSMEEEQTKLEYRIKEMQDQDAAFADAQRRVKSLEDTVAAKEQENEALMQALDEEEAQMEEMSNKIGELETVLLQKNKDMENLEVSRGKALKKLSVTVSKFDELHNLSEKLLSEVESLQSQLQERDGEISFLRQEVTRCTNDALAASQMSSKRSSDEVHDLLTWLDNTISGVKANDANLDNVKVNQVHEYKECLQKQLMSIVSELVGLRVATQSKDLLLQAEKAKVEELMSKEDILESSLHEKDAQLAILRGAGDPGHVTSTSSEIVEIEPLNKWDTPGTVTSQVRSLRKTNNDHVAIAVDVNPDGRELEDEDDDKAHGFKSLTTSRIVPKFTRPLTDMVDGLWVSCDRALMRQPALRLGVILYWAVLHALLATFVV >itb12g02930.t3 pep chromosome:ASM357664v1:12:1914793:1918002:-1 gene:itb12g02930 transcript:itb12g02930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCRARKDDAFLLAYSDDELRTACEFLTNWIPFLSRGLCQPCVRTLSDRIRSLDREDGGGGVLPKQQEDVAVSTSENRGLNGCYENCDTYSIGSWKDGADFNDTVDTHSLGSWKDEADGSPEPVSEVSIQGNSTGSWKDGPSRSSGRALMREKFGTPVLAGRRGGVKMSWADMAQEDEPEVGEETVSSLQLDNGSGLSWEGASEDESKPKSKLSREQREYIRFSNVKRKKDFICLERINGKIVNILDGLEIHTGVFSAAEQIRIVDYVETLKEMGKNGQLKDRTYTAPHKGIRGKGRVTIQFGCCYDYATDQNGNPSGILKDDIVDPIPQLLKVMIKRLVKWHVIPPNCIPDSCIVNIYEEGDCMPPHIENHDFLRPFCTVSFLSECNVLFGSNLKVVGPGEFDGPIAISLPVGSVIVFNGKGADVAKHCVPAVPTKRISITFRRMDESKRPVGYVPDPDLQGLQPLSYEVDKYKKPKTVKPRRSMKKQVVRQEESAGKIRRSIERSLELRHSGQTQQWHASRQRVRVDLERSADHSYTRTVKF >itb12g02930.t1 pep chromosome:ASM357664v1:12:1914793:1917799:-1 gene:itb12g02930 transcript:itb12g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCRARKDDAFLLAYSDDELRTACEFLTNWIPFLSRGLCQPCVRTLSDRIRSLDRDGGGGVLPKQQEDVAVSTSENRGLNGCYENCDTYSIGSWKDGADFNDTVDTHSLGSWKDEADGSPEPVSEVSIQGNSTGSWKDGPSRSSGRALMREKFGTPVLAGRRGGVKMSWADMAQEDEPEVGEETVSSLQLDNGSGLSWEGASEDESKPKSKLSREQREYIRFSNVKRKKDFICLERINGKIVNILDGLEIHTGVFSAAEQIRIVDYVETLKEMGKNGQLKDRTYTAPHKGIRGKGRVTIQFGCCYDYATDQNGNPSGILKDDIVDPIPQLLKVMIKRLVKWHVIPPNCIPDSCIVNIYEEGDCMPPHIENHDFLRPFCTVSFLSECNVLFGSNLKVVGPGEFDGPIAISLPVGSVIVFNGKGADVAKHCVPAVPTKRISITFRRMDESKRPVGYVPDPDLQGLQPLSYEVDKYKKPKTVKPRRSMKKQVVRQEESAGKIRRSIERSLELRHSGQTQQWHASRQRVRVDLERSADHSYTRTVKF >itb12g02930.t4 pep chromosome:ASM357664v1:12:1914805:1919536:-1 gene:itb12g02930 transcript:itb12g02930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCRARKDDAFLLAYSDDELRTACEFLTNWIPFLSRGLCQPCVRTLSDRIRSLDREDGGGGVLPKQQEDVAVSTSENRGLNGCYENCDTYSIGSWKDGADFNDTVDTHSLGSWKDEADGSPEPVSEVSIQGNSTGSWKDGPSRSSGRALMREKFGTPVLAGRRGGVKMSWADMAQEDEPEVGEETVSSLQLDNGSGLSWEGASEDESKPKSKLSREQREYIRFSNVKRKKDFICLERINGKIVNILDGLEIHTGVFSAAEQIRIVDYVETLKEMGKNGQLKDRTYTAPHKGIRGKGRVTIQFGCCYDYATDQNGNPSGILKDDIVDPIPQLLKVMIKRLVKWHVIPPNCIPDSCIVNIYEEGDCMPPHIENHDFLRPFCTVSFLSECNVLFGSNLKVVGPGEFDGPIAISLPVGSVIVFNGKGADVAKHCVPAVPTKRISITFRRMDESKRPVGYVPDPDLQGLQPLSYEVDKYKKPKTVKPRRSMKKQVVRQEESAGKIRRSIERSLELRHSGQTQQWHASRQRVRVDLERSADHSYTRTVKF >itb12g02930.t2 pep chromosome:ASM357664v1:12:1914805:1919536:-1 gene:itb12g02930 transcript:itb12g02930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCRARKDDAFLLAYSDDELRTACEFLTNWIPFLSRGLCQPCVRTLSDRIRSLDRDGGGGVLPKQQEDVAVSTSENRGLNGCYENCDTYSIGSWKDGADFNDTVDTHSLGSWKDEADGSPEPVSEVSIQGNSTGSWKDGPSRSSGRALMREKFGTPVLAGRRGGVKMSWADMAQEDEPEVGEETVSSLQLDNGSGLSWEGASEDESKPKSKLSREQREYIRFSNVKRKKDFICLERINGKIVNILDGLEIHTGVFSAAEQIRIVDYVETLKEMGKNGQLKDRTYTAPHKGIRGKGRVTIQFGCCYDYATDQNGNPSGILKDDIVDPIPQLLKVMIKRLVKWHVIPPNCIPDSCIVNIYEEGDCMPPHIENHDFLRPFCTVSFLSECNVLFGSNLKVVGPGEFDGPIAISLPVGSVIVFNGKGADVAKHCVPAVPTKRISITFRRMDESKRPVGYVPDPDLQGLQPLSYEVDKYKKPKTVKPRRSMKKQVVRQEESAGKIRRSIERSLELRHSGQTQQWHASRQRVRVDLERSADHSYTRTVKF >itb15g10840.t2 pep chromosome:ASM357664v1:15:8600933:8605593:-1 gene:itb15g10840 transcript:itb15g10840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRRHHQYTTRVRFLIPAISAISGLILLLFFFLFLLAPPLTDNHQLHLLRRHISVNEGVINEIGVPVFNVPISGIVHDRDLWRSKNAKFFHGCSNSSSKFAKAKDITHPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPTLDKKSFWKDSSDFSDIFDVNWFISHLTKDVKIIKELPLRRGQTWVPHTRKVPRKCNEKCYLTRLLPEYSKKHVVRLTKFDYRLSNRLQTELQKLRCRVNYHALKFADPILEMGKELVQRMRLRSKHYITLHLRFEPDMLAFSGCYYGGGDKERIELGKIRKRWKTLHSSNPDRMRRQGRCPLTPEEVGLMLRALGYGRDAHIYVASGEVYGGEETLAPLKALFPNFHSKDTITTKEELAPFSRFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRFAVILIMCIYF >itb15g10840.t1 pep chromosome:ASM357664v1:15:8599979:8605619:-1 gene:itb15g10840 transcript:itb15g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRRHHQYTTRVRFLIPAISAISGLILLLFFFLFLLAPPLTDNHQLHLLRRHISVNEGVINEIGVPVFNVPISGIVHDRDLWRSKNAKFFHGCSNSSSKFAKAKDITHPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPTLDKKSFWKDSSDFSDIFDVNWFISHLTKDVKIIKELPLRRGQTWVPHTRKVPRKCNEKCYLTRLLPEYSKKHVVRLTKFDYRLSNRLQTELQKLRCRVNYHALKFADPILEMGKELVQRMRLRSKHYITLHLRFEPDMLAFSGCYYGGGDKERIELGKIRKRWKTLHSSNPDRMRRQGRCPLTPEEVGLMLRALGYGRDAHIYVASGEVYGGEETLAPLKALFPNFHSKDTITTKEELAPFSRFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLWRLFLNRNNMTWEEFASRLRTFQKGFMGEPKEVRPGRGEFHENPSTCICEDSGAKAEANSDPRRIGNEDIASQKETEDHNADNEPEISEPEDEEDGLEGEEFSEALFNVTDYDTSISEEPELEEMLSD >itb03g02900.t2 pep chromosome:ASM357664v1:3:1648405:1650420:1 gene:itb03g02900 transcript:itb03g02900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDQRFQLLLTFASTLCALIFLVHYFQSRRRVAEIRGRSLPQPDGALPVIGHLLCFSGGKFIYKVLSDMADKYGPAMAIKLGSHQALVISSWEMARECFTTHDKAFSDRPRIAASKLLGYDYAFLGVAPYGEYWREMRKIATLHLLSNRQVDMLKPIRASELETSIRELYELWVSRGNPKNAVMVDLQPWFVNLIRNMSVRMIGGKRFTEDGVDCNKEEARRCNKVIKEFFYFFGVFVLSDSFPFLEWLDLQGHKKSMKRIAKELDNLVGGWLEEHKKRRISEEGKEARNFMDVMLEIMEDAQISDFDADTINKATCLNLLIAGSDAIAVTLTWALSLLLNNRAMLDKVQEELDTQIGRDRNVDESDIKNLVFLQAVVKETLRLYPPGPVNGLRSSLQDCTLSQGYHVPAGTRLVINIWKIHRDESIWPEPNEFQPERFLTTHKDIDVRGQNFELIPFGSGRRSCPGIQLSLQTLHISLATLLHCFDFALPSDEKIDMSESTGLINVKATPLKVCLTPRLPATVFGH >itb03g02900.t1 pep chromosome:ASM357664v1:3:1647000:1650420:1 gene:itb03g02900 transcript:itb03g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPAMAIKLGSHQALVISSWEMARECFTTHDKAFSDRPRIAASKLLGYDYAFLGVAPYGEYWREMRKIATLHLLSNRQVDMLKPIRASELETSIRELYELWVSRGNPKNAVMVDLQPWFVNLIRNMSVRMIGGKRFTEDGVDCNKEEARRCNKVIKEFFYFFGVFVLSDSFPFLEWLDLQGHKKSMKRIAKELDNLVGGWLEEHKKRRISEEGKEARNFMDVMLEIMEDAQISDFDADTINKATCLNLLIAGSDAIAVTLTWALSLLLNNRAMLDKVQEELDTQIGRDRNVDESDIKNLVFLQAVVKETLRLYPPGPVNGLRSSLQDCTLSQGYHVPAGTRLVINIWKIHRDESIWPEPNEFQPERFLTTHKDIDVRGQNFELIPFGSGRRSCPGIQLSLQTLHISLATLLHCFDFALPSDEKIDMSESTGLINVKATPLKVCLTPRLPATVFGH >itb04g31270.t2 pep chromosome:ASM357664v1:4:34176491:34179698:-1 gene:itb04g31270 transcript:itb04g31270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECLHCPFSQFTFNTVKTKSFRLREARKVPEGAFCCLLTEDKRRSVVKNGKDSLDICRVVNGMWQTSGGWGRIQQDSAVDAMLQYADAGLNTFDLADIYGPAEDLYGIFINKVRRERPPEYLETVRGLTKWVPPPVKMTGSFVKQNIDVSRKRMDVASLDMLQFHWWDYSNPGYLDALKHLTDLKEAGKIKTVALTNFDTERLQIILENDIPIVSNQVQHSIVDMRPQQKMAELCQLSGVKLITYGTVMGGLLSEKFLDTNLMIPFAGPQLNTPSLQKYKRMVDAWGGWSLFQELLQTLKAVANKHGVSISTVAVKYILDQPAVAGSMVGVRLGLSEHIKDSNDVFSLVLDEEDVDRIQDVTRKGRDLQKVIGDCGDEYRR >itb04g31270.t1 pep chromosome:ASM357664v1:4:34176491:34180243:-1 gene:itb04g31270 transcript:itb04g31270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGFCLRHSISNLPPNNISLNCSRNGGLRRRPGGWKNPRGAVVVRCCTAAVEEKNRTVTLKNGNDSLDICRILNGMWQTSGGWGRIDRNDAVQAMLNHADAGLTTFDMADHYGPAEDLYGIFINKVRRERPPEYLETVRGLTKWVPPPVKMTGSFVKQNIDVSRKRMDVASLDMLQFHWWDYSNPGYLDALKHLTDLKEAGKIKTVALTNFDTERLQIILENDIPIVSNQVQHSIVDMRPQQKMAELCQLSGVKLITYGTVMGGLLSEKFLDTNLMIPFAGPQLNTPSLQKYKRMVDAWGGWSLFQELLQTLKAVANKHGVSISTVAVKYILDQPAVAGSMVGVRLGLSEHIKDSNDVFSLVLDEEDVDRIQDVTRKGRDLQKVIGDCGDEYRR >itb06g03410.t1 pep chromosome:ASM357664v1:6:5774120:5775890:-1 gene:itb06g03410 transcript:itb06g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNVVNSLPNKLLDRNTTNGSSKASFEIEASINSVQEVRIAMPLNPCRIQERRIPNDEGKQTMDVAVPVPASDYPQSGLSSNEEAMTSSPSMLLSGMVFAAGATYPVLYNSSMIFALNKNSHHLMTTFSSNEVLFSPRLLPQGFWFLMPNTLAFVFSVISIFLSICSARGKWSFGVRVVSLFVLLSLFLSYMMMLERLVPHFVVITASGQIFTGFQVFCFYTLTVILLLLVVALMARILWTFKSVFAFILPS >itb04g27200.t1 pep chromosome:ASM357664v1:4:31264332:31270125:1 gene:itb04g27200 transcript:itb04g27200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDVLARDFGLRPGGKSAPMRSGAGDRRPPSSSSPLFDDRDGALFSDVFGGPPKYTSSSSNSNRNASAMNDLDYDSIFKPGNDSKNNYDNNSSKTSSVPVYDKPVYDEDIFDGLPGVKSKSVSSTARFDDDVFASMTSPPSKNHSSNQFDDLLGNLGRSEKVAEPQSSKNSSSRAFDDLLAGFGSSSAASTNRPFTGSNKQPSKPSGSSSQTSSVMDDPFVVLGTSSAPASSPGVFSDPLEEITKLGKSGSAKADSSSVGGNVFDDLDPLNGFGKSVPPRDGPLMNESNVNSGKSSFRYSEMPVNGSQDTPLFDMPSAETQKSFSQTASPPNESSNHTETNSQVDSSPRSVEPEQPSEDIWLTVSEVPLCTQPTSAPPPSRPPPPIPRWTSKPEAGFFTSHGRRRADEYSSSPSYSQYSQSTKPAFSTAKSPPVSHLDELEEFAMGRTRNSVDGNGDVLSGEEMNANTAAAAMKEAVDMAEAKFRHAKEVRERENVKAARSKEAVQMEKDEQVMHEMQEREHRENQERLERERRQRDKEEEEREQRRLERERERVRLEREREVERERARQAVARATREARERAAVEAREKAAAEARMKAERAAVEKASAEARERAERAAVQRAQAEARERAAAAARERQNAETREREAREKSKTEAEARRRAERAAVERAAAEARERAAADAREKAAAAARMSQQRNDNDLESFFSTRASSVPRASSPETMFDTQFHNKGSSEGTKSTFSSGGVSSNNMRKVSSANNIVDDLSSIFGAASSAGEFQEVDGESEERRRARLERHQRTQERAAKALAEKNQRDLQVQRDQEERHRIAETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWHPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >itb15g23280.t1 pep chromosome:ASM357664v1:15:26015763:26019144:-1 gene:itb15g23280 transcript:itb15g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVVTSLLGVVDQHFLQPNQFLTNILDDSQIAVSLSNKLRSLQAFLEESEIQTADEALDAKIRDVAVELEAKIESKLKEVYSSSSNGDRRGLHNTLQSVMKEIESLESRIEIHKQTLPVKEPSTPPSTEAEISVISASTHYNLAAGNEMIGCKDQLERISELLVDKQLSENREVIAVTGMGGIGKTTLARTVYEDPEIKNFFDISAWTVVSHQHNKKEILLRLLGCIVPLTSEIYKKDDGEIADQLRKSLMGQRYLIVLDDIWNTKAWDDIQGCFPDNMNASRILTTTRHDEVAKYVDSSGALGKYTHQMRFLKSDESWELFSLKVSGKKDFDLPEFTPIGKIIVEECQGLPLTIVVIAGLLAKLKESKQWKDVSDNVRSLDKVDPSKTCSKVLSLSYSYLPGHLKACYLYFGVFPEDSDILVGKLAKLWAAEGLLMSEKNMSLEEVSVFYLFDLISRSLVQVNMRSFDGNIKSCKLHDLLHEICVREALKENLMDAHNGSKSSCRWITCRKNQWPITQATHGNHVLDRIRSFMHFGKDLYLAKCRLEFPCLKLLRVLDLSLIKYWHGVPSGLEDLVHLRYLGLTTIGSLYNFQLLKLKNLQTLVVHSWREEDRLQLPINILDLPLLRHAHLSKRAALYLPNMVQERLQSLYWVKVANVNHETNFKRVPKLKELGIYIDDKLPHGALDSLVHLDRLEKLKFEVGRAERFSLPAALSPNLKTLSLRYTYLPWKQMDIIGKLPRLEVLKLKDFAFCGSKWNPSEEGFKNLKLFLIARSDLKHWNATSEHFPVLKRLVLKNCWDLVSIPNDFAGIYTLESIELESCYSSLVKFAEEIKEQLQDFGIDLLVRKLGIKEKMPEEESETEEEDSEGSDDVN >itb05g20500.t1 pep chromosome:ASM357664v1:5:26563328:26563648:1 gene:itb05g20500 transcript:itb05g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGVAKGSVAIMVGGKGEEQQRFVIPVNYINHPLFFQLLKEAEEVYGFHHRGPINIPCHAEEFRHVRKLIHQDHQNHSTWCFKIRAAAVSTLKFLVYLKINLGR >itb04g04710.t1 pep chromosome:ASM357664v1:4:2897792:2899582:-1 gene:itb04g04710 transcript:itb04g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGSKARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPPEGLKGMGTSIIDDDEE >itb02g12050.t1 pep chromosome:ASM357664v1:2:8107732:8108176:-1 gene:itb02g12050 transcript:itb02g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDGISPAKPLTASCEAFFRRNLLRGILCIEMAPRESLFPANGTLPALDSLCNMALVLDLTKNRIGDLEFRQSWGW >itb04g01890.t1 pep chromosome:ASM357664v1:4:1117936:1118877:-1 gene:itb04g01890 transcript:itb04g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTAHHGRPLPPPFYSSRDFQLNQFLHHQPPAPAPAQMPKSDGEEQTALKGGGDRDDVTVTSTTNNNNNNSPTGDGKQGLGLSSGTGDSGGDQTGRKPRGRPAGSKNKPKPPIIITRDSANALRSHVMEISNGCDIQDSISSFATRRQRGVCILSGSGTVANVTLRQPASPGAVVTLHGRFEILSLSGSYLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLMASGPVMIMAASFGNAAYERLPIEDDESPVQPQGTEPLGTAGIVSSQQQSPPQIMVSSDATGLQGLPPNLINSCQLPAEAFWGTPRPPY >itb01g33890.t1 pep chromosome:ASM357664v1:1:36824359:36825975:-1 gene:itb01g33890 transcript:itb01g33890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNTPLTYPSRFFWILFLKFVQWLQEQLALSYMGNGRRTLLRLGSLVVRYSEITEMLSAQEQHTRPYAFYAHALGALAEVAGPGLDAHLGGSKSRQESSRNCCEEGFNQTKFGILNWIFIQNQ >itb12g00940.t1 pep chromosome:ASM357664v1:12:662465:669650:1 gene:itb12g00940 transcript:itb12g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRRQGLNAQLDIEQILLEAQHRWLRPAEICEILSNYQKFRIAPEPPTRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKSGSIDVLHCYYAHGEENENFQRRSYWLLEESQSNIVLVHYREVKGNRMNSSRARAPQSAIPVFQQSEEDGRSFSDVGSTVSSKLRPYDYQVTSHVTDTTSLNSGQASEYEDVESVYNQQSTSGVHSFTEFQSNMIPKAEDGLSAPYYPAPFSNDQQGYYPAISGMDFPSITHGNQNRNTANAYMPSRDLDFPSWGNVSEKNTADYQSVQFQPSISSAQSVAINTINGQGSAMIGFTNDFSIKQEADNHFHALEEWQASNDNSLQSSEWSVDQKMEPHQTFDFASKLDKEKHAEFHDYLEAYNILHTEPDKHPMQNGRFVKAESDGSFNVDGKTDHLAFKQPLLGGVLRQGLTKLDSFDRWISKELEDVKEPNMQSSSQSYWENVGNEDGVAESAIASQAQLDPYVLSPSLSQDQLFSIIDFSPTWAYAGTEIKVLITGRFLKSYQEVEKYNWACMFGELEVPAEIIRDGVLRCHTPFQKAGRVPFYITCSNRLACSEVREFEFRVSEAQDGTSSGSSGGSSESLLHMRFVRMLSLGSSSSLNSVPRNVDDISHIIRKINSLLQEDCEWENMMQLSSDNTFLREKTKDQLLQKLLKDNLRNWLLQKVAEGGKGANVLDEAGQGVLHFAAALGYDWAVPPTLAAGVNVNFRDANGWTALHWAAFYGRERMVGCLISLGAAPGALTDPTPQHPSGRTPADLASSSGHKGIAGYLAESALSTHLSLLKLKENREDESAEVSGLTAVQMASERVATPVGDGDWPDGPSMKDSLAAVRNATQAAARIHQVYRVESFQRKQIKEYGDGGEFGLPDERALSLRTAKNRAGHYDEPTNAAAIRIQNKFRSYKGRKEFLQIRQQIIKIQAHVRGHQVRKNYGKIIWSVGILEKVILRWRRKGSGLRGFKPETVTEPSSMQAQPVQEDEFDFLKEGRKQTEERLQKALARVRSMVQYPEARDQYRRLLNVVSEMKETKKKYDGDPSNSGEVGDFDEDLIDLGALLDDDTYMLDDFDVNQMKM >itb10g16590.t1 pep chromosome:ASM357664v1:10:22893383:22894486:-1 gene:itb10g16590 transcript:itb10g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLRVSRNCHFWCLLLIIQTTSIFCYQYKVGDLDSWNIPSSANPKVYAKWAKDHVFKIGDSLLFLYPPSQDSVIQVTAQSYRACNLNDPILSMDNGNSLFNITAPGDLFFTSGEKGHCEKSQKLHIFVPGGNLTSSTYDDNAAPPPITAAYAPSSAAAAGPSASNAFGSSPLHASSSPSLKSPFVSLVVSIGLVLPLLLARNI >itb03g26680.t1 pep chromosome:ASM357664v1:3:26285010:26285393:-1 gene:itb03g26680 transcript:itb03g26680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTFGLFFLLLIIVLASHVENGGVEGRVCISQSHHYKGPCLRDHNCAMVCRTEGFSGGDCVGFRRRCFCTRGC >itb01g01040.t2 pep chromosome:ASM357664v1:1:500729:505643:-1 gene:itb01g01040 transcript:itb01g01040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQANNDDGDLEAGTYCVVEEGKYLGLYRAIVQESWDNEDQKLFNQHDIVVPLNNNLDTALHVVARRHVSLSFAEKLVAKLPADNDEALLLKNRKGLAPLHLAARYGNLEVAKILVQKNSKLLYDRCKKDLFPIHYAAQNTLNSVEVYRYFLGVTKDNEDGEVNPYEGPSGATILVNLIKSKFYVVAKELVDKYPDLTRHHTLDKETSPLEAIVKYDCPILNKTTIHQLFSKIIVQKKMLKQEQEAMELLKCLCDKLKTLNGTQVASLAKTAIIEAAYLDIEEVVQNIVEAYPTTAYYQDKSGRNILHIAVENRCINVFNLVCGPGRTSVLMHDLVDERDNNGNNIVHLAGKLTPPHKLNLVSVAALKMQRELQWFKEVKKISPPYFSSLKNKDGKTPEMVFTDEHKGLKEGAEKWMKDTATSCTIVAALIVTVMFAAAITVPGGSHGGENSNDAYIGNITFPVSNVFGPGFNTAKIVAVPNATTHTSGENKDQIKEGFPIFTQKGSFTAFYISNGASLFTSVTSLLLFLSILTSRFKEEDFLYALPRRLIIGLFTLLISIIFMMVAFSTTVFLVFGSKKFGDEPAAIVLVVVSCVPVVSFVILQFRLLVALLWSTYGWGIFHKRRDIPQMLF >itb01g01040.t1 pep chromosome:ASM357664v1:1:500729:505643:-1 gene:itb01g01040 transcript:itb01g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSSCHGKDDDLEAGNYYVVEGKYLRLCTSMASQANNDDGDLEAGTYCVVEEGKYLGLYRAIVQESWDNEDQKLFNQHDIVVPLNNNLDTALHVVARRHVSLSFAEKLVAKLPADNDEALLLKNRKGLAPLHLAARYGNLEVAKILVQKNSKLLYDRCKKDLFPIHYAAQNTLNSVEVYRYFLGVTKDNEDGEVNPYEGPSGATILVNLIKSKFYVVAKELVDKYPDLTRHHTLDKETSPLEAIVKYDCPILNKTTIHQLFSKIIVQKKMLKQEQEAMELLKCLCDKLKTLNGTQVASLAKTAIIEAAYLDIEEVVQNIVEAYPTTAYYQDKSGRNILHIAVENRCINVFNLVCGPGRTSVLMHDLVDERDNNGNNIVHLAGKLTPPHKLNLVSVAALKMQRELQWFKEVKKISPPYFSSLKNKDGKTPEMVFTDEHKGLKEGAEKWMKDTATSCTIVAALIVTVMFAAAITVPGGSHGGENSNDAYIGNITFPVSNVFGPGFNTAKIVAVPNATTHTSGENKDQIKEGFPIFTQKGSFTAFYISNGASLFTSVTSLLLFLSILTSRFKEEDFLYALPRRLIIGLFTLLISIIFMMVAFSTTVFLVFGSKKFGDEPAAIVLVVVSCVPVVSFVILQFRLLVALLWSTYGWGIFHKRRDIPQMLF >itb01g06030.t1 pep chromosome:ASM357664v1:1:4237280:4239356:1 gene:itb01g06030 transcript:itb01g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGGNDYNDPFLEGHSIEEIQSFVPNVIATIGAAINELIELGGETFVVPGVLPFGCSPSYLSFFMNSSTNNDYDDKTGCIKWLNEFAEYHNTQLEKELHRLKELHPNTTIMYADYYHAAMELYGSPSKHGLEQSLYACCGTGGPYNSRVDITCGRSPPIVCDKPSSYVSWDGVHLTEAAYQQMASMILEGQYMTPPINETCLLKPLSGEVSDH >itb06g18050.t1 pep chromosome:ASM357664v1:6:21769078:21773243:1 gene:itb06g18050 transcript:itb06g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPLHSWLPALCWVFLLLGGCYCLSDRDQERDRISSLPGQPQNVDFSQYSGYVTVNEQAGRALFYWLIESPESQNPDERPLLLWLNGGPGCSSIAYGAAEEIGPFHINADGKTLYLNPYSWNKLANLLFLESPAGVGFSYSNTTSDLFTAGDQRTAEDAYIFLVKWFERFPQYKHRDFYISGESYAGHYVPQLSQIIYRRNKGIKNPVINFKGFLVGNAVTDDYHDYIGTFEYWWTHGLISDATYKFLGIACDLGSATHPSRDCIIALDIAYQEQGAIDPYSIYTRPCPYTSSLRRNLRGHHPLMSRAYDPCTENYAQIYFNLPEVQKAFHANVTQVPYKWQTCSDIVGIYWADSPLSMLPIYRELIAAGLRIWVFSGDTDSVVPVTATRYSIDALNLSTVTNWYPWYINTKVGGWSQVYEGLTLVTVTGAGHEVPLHRPLEAYILLRSYLENKPMPS >itb09g25080.t1 pep chromosome:ASM357664v1:9:25013736:25014955:-1 gene:itb09g25080 transcript:itb09g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRDFVTASPSSAVPGIPFPIIGHLHLLKPPLHRILYRLSQIHSPIISLRLGSRLVVAVSSRSLAEECFTTHDSVFANRPHTLAGKYIGYNDNTLVGLPYPTTGATSAASGNLLRRLPQLLPLHPTRRSQATFAEPLPRFKNFVCQSGA >itb04g08800.t1 pep chromosome:ASM357664v1:4:7524894:7529177:-1 gene:itb04g08800 transcript:itb04g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLSSSEGVKGTIFFTQDGDGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPDDENRHAGDLGNITVGEDGTASFTITDKQIPLTGADSIIGRAVVAHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >itb13g06230.t1 pep chromosome:ASM357664v1:13:7451763:7456315:1 gene:itb13g06230 transcript:itb13g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGLSSSGVTVVGSDAPSNYHVAPRTTENPSQQVAGSAAPAVVVSPPPGMAAAGADATAGKKKRGRPRKYGPDGAVTMALSPKPISSAAPPPVIDFSAEKRGKVRPAGSVSKHKVDLDPSGEWVSCSVGANFTPHIITVNAGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSESGGIRNRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQIVVGSFLAGNQHEQKTKKHKPESVTVTAAIPISSAVAAEVEEPFHSSAKPALLTAPSFRGDNWSTLPPDSRNKPTDINEPSLHKVVT >itb04g29100.t3 pep chromosome:ASM357664v1:4:32592642:32595960:-1 gene:itb04g29100 transcript:itb04g29100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSWMRRVDEEDGDGERYNPAGCMWKRFIYALDYHHWHYNNVRKKLMHRLINDGRRHDKNKTKLIHNDIGEAQRLLDDEETHLQELQVNQNPKKPGLNNKRSLRARIKALIMEQNQEAKNNKQQGTTGNYKQSKYQRTYSIHHLEPTDDDPDWNHPILILPGTPNKGSKTLQDKQEIAVKEKSYVPKKQLNKDNDSLELFLKFLQGAEIGSGKFSQNSKRKIGLTKSGSFPLYDSKRGDYKPLKLKDKQKEVWSKVERLEDDDNQALNLAMPKNAKDLLESLGLMGDEEDSRTLNRASTLDFRCKSTEHKEEDTTVNLAIKEDKQVAEIEGKNDEYSQESCSISTQETTGSGIPKSSFLHRRSTSLNESLDRYSRLYDHSFGKEVELKPSRSLKVTNERVIPSIPFRRIRSLSVESSCFLQLDLLGTAPFSDHAVRTAEESSSMNVTSEGEGECDEKPESKEKAASVDAKEEPGDHSEQAGFLIADTDDEVSPDMEEPAQEIDQLKLLIRGDSYREQGVKCSEVASNEETCFQEDYQISKGSEGTSNQAKEKDTAINSSESFCTDSEMDEKASKHSQTYQMEMDDPDLCFVMAILECSGFTENFFQGTWYSSEQPLNPSVFEEVESCWDQEFQSSREEICMFFHHQMLFDLINEVLAQIYDRSFTYYPAALSSCSRIRPLPTGKHIIAEVCSTISSMLNLKPEAKQSLDSIVARDLEKDYGWMNLQTESEFVALEVEGILFEDLLDEILNP >itb04g29100.t2 pep chromosome:ASM357664v1:4:32592642:32596263:-1 gene:itb04g29100 transcript:itb04g29100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQEAKNNKQQGTTGNYKQSKYQRTYSIHHLEPTDDDPDWNHPILILPGTPNKGSKTLQDKQEIAVKEKSYVPKKQLNKDNDSLELFLKFLQGAEIGSGKFSQNSKRKIGLTKSGSFPLYDSKRGDYKPLKLKDKQKEVWSKVERLEDDDNQALNLAMPKNAKDLLESLGLMGDEEDSRTLNRASTLDFRCKSTEHKEEDTTVNLAIKEDKQVAEIEGKNDEYSQESCSISTQETTGSGIPKSSFLHRRSTSLNESLDRYSRLYDHSFGKEVELKPSRSLKVTNERVIPSIPFRRIRSLSVESSCFLQLDLLGTAPFSDHAVRTAEESSSMNVTSEGEGECDEKPESKEKAASVDAKEEPGDHSEQAGFLIADTDDEVSPDMEEPAQEIDQLKLLIRGDSYREQGVKCSEVASNEETCFQEDYQISKGSEGTSNQAKEKDTAINSSESFCTDSEMDEKASKHSQTYQMEMDDPDLCFVMAILECSGFTENFFQGTWYSSEQPLNPSVFEEVESCWDQEFQSSREEICMFFHHQMLFDLINEVLAQIYDRSFTYYPAALSSCSRIRPLPTGKHIIAEVCSTISSMLNLKPEAKQSLDSIVARDLEKDYGWMNLQTESEFVALEVEGILFEDLLDEILNP >itb04g29100.t1 pep chromosome:ASM357664v1:4:32592642:32596263:-1 gene:itb04g29100 transcript:itb04g29100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSWMRRVDEEDGDGERYNPAGCMWKRFIYALDYHHWHYNNVRKKLMHRLINDGRRHDKNKTKLIHNDIGEAQRLLDDEETHLQELQVNQNPKKPGLNNKRSLRARIKALIMEQNQEAKNNKQQGTTGNYKQSKYQRTYSIHHLEPTDDDPDWNHPILILPGTPNKGSKTLQDKQEIAVKEKSYVPKKQLNKDNDSLELFLKFLQGAEIGSGKFSQNSKRKIGLTKSGSFPLYDSKRGDYKPLKLKDKQKEVWSKVERLEDDDNQALNLAMPKNAKDLLESLGLMGDEEDSRTLNRASTLDFRCKSTEHKEEDTTVNLAIKEDKQVAEIEGKNDEYSQESCSISTQETTGSGIPKSSFLHRRSTSLNESLDRYSRLYDHSFGKEVELKPSRSLKVTNERVIPSIPFRRIRSLSVESSCFLQLDLLGTAPFSDHAVRTAEESSSMNVTSEGEGECDEKPESKEKAASVDAKEEPGDHSEQAGFLIADTDDEVSPDMEEPAQEIDQLKLLIRGDSYREQGVKCSEVASNEETCFQEDYQISKGSEGTSNQAKEKDTAINSSESFCTDSEMDEKASKHSQTYQMEMDDPDLCFVMAILECSGFTENFFQGTWYSSEQPLNPSVFEEVESCWDQEFQSSREEICMFFHHQMLFDLINEVLAQIYDRSFTYYPAALSSCSRIRPLPTGKHIIAEVCSTISSMLNLKPEAKQSLDSIVARDLEKDYGWMNLQTESEFVALEVEGILFEDLLDEILNP >itb11g22130.t1 pep chromosome:ASM357664v1:11:23945909:23949856:1 gene:itb11g22130 transcript:itb11g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTRQSAKQLQVVSIVGMGGIGKTTLARKVYEDSSITSHFDKRAWVTVSQEYNVEQMLQCLIGCVNVASNDVLHEQRDDNLAESLRKHLKEQRYLIVIDDIWSKEAWDSVQRCFPDDNNGSRILLTSRLREVAEYVSSSSNSTINMPFLDANESWNLFCNVFGKTKFLLVFEQIGKDIVNKCEGLPLAIIVVASLLFHTGEIVEKWVNVAENVSRYVIGDSNDACSKILYLSYNQLPHHLKACFLYFGVFSEDYEIHVKKLVRLWVAEGFLRAVDHQNMEEVAMECLQDLVGRSLIFVSKQSYNGKMKTLKFMDTNLPWNAMWLIGMLPNLEVLKLICAIALDDRMWEPSKEGFRQLKRLVIEDTILERWNAVGDNFPVLECLELRHCLSLQEIPSGFADITTLALIQSVCRRTTKSTAIQYKILSSNKLSKEELKKKKKYSAAIIISSSAAICFVEGLNYRHVEISLATWLW >itb04g10570.t1 pep chromosome:ASM357664v1:4:10103096:10103404:1 gene:itb04g10570 transcript:itb04g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVKVASQKAVVIFTKSSCCMCHAIKRLFYEQGVSPMVYELDEDVNGREMERALVRFGCNPAVPAVFIGGKFAGSANTVMTLHINGSLKKMLKDAGAIWL >itb10g16720.t1 pep chromosome:ASM357664v1:10:23012460:23013513:-1 gene:itb10g16720 transcript:itb10g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLFGGVGDAENEDVVKVITSTNGVMEFYAPITVESIADEFPGHGIFRSHDLFWNPLPHHEVLLAGNSYYLLPLDKSRRSRAGAGAGETVATFEIGHVRSNSVPQIPPPLVASYRMSFDSRGILLKRSYTGAGASFSRHGFGYGYGSSGFWKVKLVISPKQLVEILSQETATEELIESIRTVAKCGKGGGAGVSSVAFSDQWSLSSSRNASSKKDGLLSLELS >itb12g27340.t1 pep chromosome:ASM357664v1:12:27779564:27780787:1 gene:itb12g27340 transcript:itb12g27340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFNAVQKQRRAAIADRKRAVHGDPVTGKLKQKPQPLSVSGKRKRKLFKKWRREQKEAMEKGLITMEDVEMAVADGTALNANKHPVNFRVKKSSKLKLKQLKKKKGKSKTKSEKQAAETSGDAMVE >itb01g01950.t1 pep chromosome:ASM357664v1:1:1136599:1137264:-1 gene:itb01g01950 transcript:itb01g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIALLFALSLNLLPYSTHSTFNPIRLRTNFDSPVLDTDGDELRPGRTYEIIAAPSDSLIWPPGSSLKLEWLNSASKCPSDVLISLDATPITITPADPSAAVVSPSTFVSFKFDLPTNKLCVDHLYWEMREGPYSGQVFVKAGEFVSNQSNKFKIEVEPGLNGYRLTYCPFGADECNNLGGQLDMETRVIRLGVNEFPFVVVFKKAMINK >itb03g24100.t1 pep chromosome:ASM357664v1:3:22627985:22629400:-1 gene:itb03g24100 transcript:itb03g24100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRMKPSPLAFLVLLLFLSPGTLEGFRNGVMMNSFYMLHKNKGGVGGNGRKMVELEAVLDYDYAGPNTKHDPRGRKGGNGKNP >itb12g04710.t1 pep chromosome:ASM357664v1:12:3111698:3114387:1 gene:itb12g04710 transcript:itb12g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKISEMRDLTRIERIGAHSHIRGLGLDSALEPRVASEGMVGQTSARKAAGVIVKMVQEGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGQETPFAMLAGSELFSLEMSKTEALMQAFRKAIGVRIKEEAEVIEGEVVEIQIDRPAVAGAASKTGKLTLKTTDMETVYDLGTKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIIPGVLFIDEVHMLDIECFSFLNRALENDMAPILVVATNRGITTIRGTNYRSPHGIPIDFLDRLLIISTQPYSEEEIRKILDIRSQEEDVEMSEDAKILLTKIGVDTSLRYAIHLITAAALACLKRKGTIVEMEDVRRVYELFYDVKRSTQYLMEYQSQFMFNEVPTGEADEDETTAMVS >itb05g26210.t1 pep chromosome:ASM357664v1:5:30213265:30214425:-1 gene:itb05g26210 transcript:itb05g26210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAKKAAWIVTASMAAVEALKDQGFARWNYPMRTIHQHAKSKVAAAYQARRSSSALSPSSTAVSGKVMREAKMRKSERNFNRVMDVSCFGPSTVRF >itb03g28610.t2 pep chromosome:ASM357664v1:3:29303627:29306609:1 gene:itb03g28610 transcript:itb03g28610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNNSQFDSFAAFSGGGFVPSQSTQGPDASLSSAKSRDQTPLLPLTMKQISQAVQSSNDKSSFLIDGIDVSNVRVVGMAFKKAERVTDVSFVIDDGTGRIECNRWLNDSVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb03g28610.t3 pep chromosome:ASM357664v1:3:29303627:29308428:1 gene:itb03g28610 transcript:itb03g28610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNNSQFDSFAAFSGGGFVPSQSTQGPDASLSSAKSRDQTPLLPLTMKQISQAVQSSNDKSSFLIDGIDVSNVRVVGMAFKKAERVTDVSFVIDDGTGRIECNRWLNDSVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb03g28610.t1 pep chromosome:ASM357664v1:3:29303627:29308428:1 gene:itb03g28610 transcript:itb03g28610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNNSQFDSFAAFSGGGFVPSQSTQGPDASLSSAKSRDQTPLLPLTMKQISQAVQSSNDKSSFLIDGIDVSNVRVVGMAFKKAERVTDVSFVIDDGTGRIECNRWLNDSVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb12g01780.t3 pep chromosome:ASM357664v1:12:1208418:1212967:-1 gene:itb12g01780 transcript:itb12g01780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRGKAMRHYAVVLAVLLLGSATEVVRSDASDHRYKQGDEVPLYANKVGPFHNPSETYMYHDLPFCSPANVEKKSEALGEVLNGDRLVHSLYKLDFLADKESEVVCKKKLSKSDVEKFRHAVAEDYYFQMYYDDLPVWGYIGKVDKERKSDPSDYKYYLFKHLHFEIFYNKDQIIEIIARADPSAMVDITMDKELDVDFMYSVKWKETNTPFEKRMEKFSQISALPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETADDQEETGWKYIHGDVFRFPKHNSLFAAALGCGTQLFTLAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYGATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILVIVTAFITVALTYFQLAAEDHKWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYAFFLMLGAVGFRAALFFVRHIYRSIKCE >itb12g01780.t2 pep chromosome:ASM357664v1:12:1208418:1212967:-1 gene:itb12g01780 transcript:itb12g01780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRGKAMRHYAVVLAVLLLGSATEVVRSDASDHRYKQGDEVPLYANKVGPFHNPSETYMYHDLPFCSPANVEKKSEALGEVLNGDRLVHSLYKLDFLADKESEVVCKKKLSKSDVEKFRHAVAEDYYFQMYYDDLPVWGYIGKVDKERKSDPSDYKYYLFKHLHFEIFYNKDQIIEIIARADPSAMVDITMDKELDVDFMYSVKWKETNTPFEKRMEKFSQISALPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETADDQEETGWKYIHGDVFRFPKHNSLFAAALGCGTQLFTLAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYGATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILVIVTAFITVALTYFQLAAEDHKWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYAFFLMLGAVGFRAALFFVRHIYRSIKCE >itb12g01780.t4 pep chromosome:ASM357664v1:12:1208419:1212963:-1 gene:itb12g01780 transcript:itb12g01780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRGKAMRHYAVVLAVLLLGSATEVVRSDASDHRYKQGDEVPLYANKVGPFHNPSETYMYHDLPFCSPANVEKKSEALGEVLNGDRLVHSLYKLDFLADKESEVVCKKKLSKSDVEKFRHAVAEDYYFQMYYDDLPVWGYIGKVDKERKSDPSDYKYYLFKHLHFEIFYNKDQIIEIIARADPSAMVDITMDKELDVDFMYSVKWKETNTPFEKRMEKFSQISALPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETADDQEETGWKYIHGDVFRFPKHNSLFAAALGCGTQLFTLAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYGATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILVIVTAFITVALTYFQLAAEDHKWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYAFFLMLGAVGFRAALFFVRHIYRSIKCE >itb12g01780.t1 pep chromosome:ASM357664v1:12:1208418:1212967:-1 gene:itb12g01780 transcript:itb12g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDRGKAMRHYAVVLAVLLLGSATEVVRSDASDHRYKQGDEVPLYANKVGPFHNPSETYMYHDLPFCSPANVEKKSEALGEVLNGDRLVHSLYKLDFLADKESEVVCKKKLSKSDVEKFRHAVAEDYYFQMYYDDLPVWGYIGKVDKERKSDPSDYKYYLFKHLHFEIFYNKDQIIEIIARADPSAMVDITMDKELDVDFMYSVKWKETNTPFEKRMEKFSQISALPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEETADDQEETGWKYIHGDVFRFPKHNSLFAAALGCGTQLFTLAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYGATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRGTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILVIVTAFITVALTYFQLAAEDHKWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYAFFLMLGAVGFRAALFFVRHIYRSIKCE >itb04g07190.t4 pep chromosome:ASM357664v1:4:4798045:4805185:1 gene:itb04g07190 transcript:itb04g07190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLAMHQHHQSTPPPPPSSAASLRSPVAAVPTAVQFPSTLPFSLGRDNVIALPMAQAHPPGEFASIPLQPLARVKLSDILPYDGAPAGGYLRAVDALSSSLMRHNAAVIELGAEDAALLRCGLESARFYFKTRVVAHGVAVGNSTWKGTRGVYTYRAGRPLEDMDSSPPCMADVFQCMGRAARAALCAVARHLRLRSDVFNHLLDDNPLPLNEPSSSILVATFSPTTSQNGKGSIEGAKLPSTNEVEKGLLTLISSDTAGLQVCDPNSRWYLADSGLAPGDLLLLTGKALSHATGGLRPAATYRAIFDSYLGNTTGGRTSLAFRLMPQSNAVLDCSPITAAGHVIPQSYVPISVSQFLDDLSAEEDIFCNRADNAYVVRGNPSKEQSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVVETGRCTLCNAEIDPGSLIPNLVLRAAAVAVKHEDDRRLFHNAALRKRRKEVGEFRENGEVPSENGPYKGVQYPFSVNEKVVIKVRA >itb04g07190.t1 pep chromosome:ASM357664v1:4:4798037:4807458:1 gene:itb04g07190 transcript:itb04g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLAMHQHHQSTPPPPPSSAASLRSPVAAVPTAVQFPSTLPFSLGRDNVIALPMAQAHPPGEFASIPLQPLARVKLSDILPYDGAPAGGYLRAVDALSSSLMRHNAAVIELGAEDAALLRCGLESARFYFKTRVVAHGVAVGNSTWKGTRGVYTYRAGRPLEDMDSSPPCMADVFQCMGRAARAALCAVARHLRLRSDVFNHLLDDNPLPLNEPSSSILVATFSPTTSQNGKGSIEGAKLPSTNEVEKGLLTLISSDTAGLQVCDPNSRWYLADSGLAPGDLLLLTGKALSHATGGLRPAATYRAIFDSYLGNTTGGRTSLAFRLMPQSNAVLDCSPITAAGHVIPQSYVPISVSQFLDDLSAEEDIFCNRADNAYVVRGNPSKEQSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVVETGRCTLCNAEIDPGSLIPNLVLRAAAVAVKHEDDRRLFHNAALRKRRKEVGEFRENGEVPSENGPYKGVQYPFSVNEKVVIKGNRRTPDKFVGKEAIITSQCLNGWYLLKIIDSGENVRLQYRSLQKLLASQETEERCHSQPVQSNS >itb04g07190.t2 pep chromosome:ASM357664v1:4:4798076:4807458:1 gene:itb04g07190 transcript:itb04g07190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLAMHQHHQSTPPPPPSSAASLRSPVAAVPTAVQFPSTLPFSLGRDNVIALPMAQAHPPGEFASIPLQPLARVKLSDILPYDGAPAGGYLRAVDALSSSLMRHNAAVIELGAEDAALLRCGLESARFYFKTRVVAHGVAVGNSTWKGTRGVYTYRAGRPLEDMDSSPPCMADVFQCMGRAARAALCAVARHLRLRSDVFNHLLDDNPLPLNEPSSSILVATFSPTTSQNGKGSIEGAKLPSTNEVEKGLLTLISSDTAGLQVCDPNSRWYLADSGLAPGDLLLLTGKALSHATGGLRPAATYRAIFDSYLGNTTGGRTSLAFRLMPQSNAVLDCSPITAAGHVIPQSYVPISVSQFLDDLSAEEDIFCNRADNAYVVRGNPSKEQSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVVETGRCTLCNAEIDPGSLIPNLVLRAAAVAVKHEDDRRLFHNAALRKRRKEVGEFRVPTLQENGEVPSENGPYKGVQYPFSVNEKVVIKGNRRTPDKFVGKEAIITSQCLNGWYLLKIIDSGENVRLQYRSLQKLLASQETEERCHSQPVQSNS >itb04g07190.t3 pep chromosome:ASM357664v1:4:4798083:4807458:1 gene:itb04g07190 transcript:itb04g07190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLAMHQHHQSTPPPPPSSAASLRSPVAAVPTAVQFPSTLPFSLGRDNVIALPMAQAHPPGEFASIPLQPLARVKLSDILPYDGAPAGGYLRAVDALSSSLMRHNAAVIELGAEDAALLRCGLESARFYFKTRVVAHGVAVGNSTWKGTRGVYTYRAGRPLEDMDSSPPCMADVFQCMGRAARAALCAVARHLRLRSDVFNHLLDDNPLPLNEPSSSILVATFSPTTSQNGKGSIEGAKLPSTNEVEKGLLTLISSDTAGLQVCDPNSRWYLADSGLAPGDLLLLTGKALSHATGGLRPAATYRAIFDSYLGNTTGGRTSLAFRLMPQSNAVLDCSPITAAGHVIPQSYVPISVSQFLDDLSAEEDIFCNRADNAYVVRGNPSKEQSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVVETGRCTLCNAEIDPGSLIPNLVLRAAAVAVKHEDDRRLFHNAALRKRRKEVGEFRVLA >itb04g09840.t1 pep chromosome:ASM357664v1:4:9081313:9082071:1 gene:itb04g09840 transcript:itb04g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKSSSSILLAMVFAAMNVAVMVAGVADPEAAGEPPVLEMYMHDILGGNNPTARPITGLLGSIYSSQVPFAKPLGFQPPQDAVAIPNANGAIPTLNINGIPLGTGLAGTTFAGVTNNGNNNNNIATQLGPDGLGLGFGTITVIDDILTNTPELGTQTIGKAQGIYVSSSADGSTQMMAFTAMFEGGEYGDSLNFFGVYKIGSTMSRLTVTGGTGKFKNACGFAELRSLIPAGQHITDGAQTLLRMAVHLTY >itb02g01260.t1 pep chromosome:ASM357664v1:2:688224:692528:-1 gene:itb02g01260 transcript:itb02g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHGGDKESVFEIAVVVPKKHEDQRFECVEVLVDKFHKVGFLVDRVVGLQNEYIKLAASLHVLGLAAAELRMKKRTHIGVDLQFDWDEVEAFAKQPDGSLFSWYERFSCYHHLIYKIVNGSNSVRTLDAGPKEIHWEPGESLLKRLESEGIVKEVFPLHDEMKRKKLLRSWALSWWDLTEQPIDDICSYYGTRIATYFTFLGMFTRWLFFPAALGLAVQFVDFGSLQLFVLPVFFICIVSWAVLFFQFWKRKNSALLVRWQINYPVGGHSEFKFVEREWGLFQAPVELMKQWGADTTKEEKEAFQREEWFGHLMRFRNDAMILFVIICLQLPFELAFAHLYEVLTSETLKYGLTAVYLFVIQYFTGIGGKVSAKLVKYENNMSTEYRADSVVYKVFGLYFMQTYIGIFYHALLHRNILTLRQVLIQRLILSEVLGNLVESSLPYLTYSFRKYRAVRNKRKSERGRSKGKIQYFSRVEKEYLKPAYSASIVDELEDGLFDDFLELVLQFGMIMMFACAFPPGFAFATLNNITEIRTDALKLLTMLRRPFPRPNATIGAWLNIFQFLIVMSICTNCVLLVCLYDRDGTWKISPGLAAILIMEHVLLLIKAGFSHIVPAEPEWVRANRAKNASQAQDMCSRQLLRSISYEQLSNEPHKYNNYPQ >itb02g17020.t1 pep chromosome:ASM357664v1:2:13025271:13030028:-1 gene:itb02g17020 transcript:itb02g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLNKFPLHRNTRNHSVDPGFHTHSSSNPFDSDDEYDTKQTVKASKSSSEPTLVAPNLSTNPFDDDETKVGKSSSAYSFTSADRNRYKNGFHDSGGLENQSVQELENYAVYKAEETTKSVNGCLKIAEEIREDATKTLITLHHQSEQITRTHMTAADIDYDLSRGEKLLGSLGGLFSKTWKPKKNRPITGPIITKDDPVLRRGNHLEQREKLGLNSATKGQSNARRTLPEPTNALQKVEVEKAKQDDALSDLSNLLGELKEMAMDMGSEIDRSNSALDHLDNDIDELNYRVRGANMRGRRLLGK >itb06g19150.t1 pep chromosome:ASM357664v1:6:22564075:22566535:1 gene:itb06g19150 transcript:itb06g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRPLAHPPVRAGIFNHISRIFGPRSDRPLHALFCSSSHPDPPPMPPFQNPGPMSGFSGNNKGSMPYNRFPGLGQSGLSNHGTRTSFPRSLIDSGNENEVETGKNSKSMDIVRGLLEDYDKGGAPFGSPFRQYQVENDPDIVHVKLLRNNTFITVTDSKGNKKFGASAGKLASGGKVSRFAAESTAEHVGREARNRNLKSVVMKVNGFTYFRKKKQSILSFKEGYNHSRGDVNPVVYIEDTTRRPHNGCRLRKKRRI >itb06g19150.t2 pep chromosome:ASM357664v1:6:22564075:22566535:1 gene:itb06g19150 transcript:itb06g19150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAVPAILTRHRCLPFRTQAPCFSGNNKGSMPYNRFPGLGQSGLSNHGTRTSFPRSLIDSGNENEVETGKNSKSMDIVRGLLEDYDKGGAPFGSPFRQYQVENDPDIVHVKLLRNNTFITVTDSKGNKKFGASAGKLASGGKVSRFAAESTAEHVGREARNRNLKSVVMKVNGFTYFRKKKQSILSFKEGYNHSRGDVNPVVYIEDTTRRPHNGCRLRKKRRI >itb12g04680.t1 pep chromosome:ASM357664v1:12:3096434:3097588:-1 gene:itb12g04680 transcript:itb12g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTVKKGLSYTRRNKKWVLALGILGLTSYGAYRTYHSPGMAKKREKLLKLISSLASLADIVSDSTDTIAILSKDFKDFIHSDSDQIPTSLRQISKIAKSDEVSESLVKITAAITTGILQTYPHKTGDSGCIDRVLDKLFTDSGSGFASVVVGSFAKNLAMAFFSSSDRVISSEPLPGWVNNVLCDEKCRELIGDFVRLFVSTAVTVYLEKTMNINTYDQIFAGLTNPKHETRVKDMLVAVCHGGVETFVTTSHQVLTSGINHRKQMKASHEDDDEEDKNNNNVLSVPGNKRFFLDLTGKVTFETMKSLQEVMIERFSQSLSRRVDAVQDKGAEALEYVRWKSSAAITACLSLCLHIVDSPWLLVPP >itb05g21930.t1 pep chromosome:ASM357664v1:5:27518235:27521303:1 gene:itb05g21930 transcript:itb05g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRIIPSLDIMAVLAAILVLIFLLPETAIAQPKQPISIPLGSYLHPTSEPTAWYSPSRRFAFGFFPEGMGFKVGIWLVNGPNNTVVWCAHRDDPGISSDATLEFVDGKILLRTGQSKEKIIDPESAYSASMLDSGNFVVYNQDSDIIWESFRVPTDTILGGQNLSIGGELIPGISTTNHSSGRFRLLMQIDGNLVAYPMNLGQAVDAYWASQTCCNGKMHLVLNSNGSMLVVHDSGASLTRELHSSSVPNNDTIYRATFDYDGNFRLYSHSFSSDGNFNMKIEWQAIDNVCDIKGFCGLNSYCGMKDNAPYCICLPGTTYIDKDQNFGGCERDFTRGKCIAGKEDASVFRITAATNLTWQDPPYFVTSILGKEDCSKSCSEDCDCDAALYDGSSRCTKHKLPLRYVKSDAEGSDTAFFKVSNAITRSIEKDHVKLPWLLILVISLGSVAYYGAAVAFASYFIFKFCILQYRKQLQTGNKGLTKEFILRTCTYRELKRATNGFKEELGKGSFGAVYRGSFDKGKTLVAVKRLEKVVEEGEREFRAEMRAIGRTRHKNLVRLIGYCAEGSKRLLVYEYMSNGCLANLLFKAKQRPDWTERLRIALNVARGVHYLHEECEAPIIHCDIKPQNILLDDSGTAKISDFGLAKLLMPDQTRTFTGVRGTRGYLAPEWQTNAPISVKVDVYSYGIVLLEIICCRRNIEVFNPDELLIQIINFSSSGASLSSIAHFSLCNSPLR >itb11g12070.t1 pep chromosome:ASM357664v1:11:8945287:8947330:1 gene:itb11g12070 transcript:itb11g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSFDEECDYLFKAVLVGDSAVGKSNLLSRFAKDEFHLDSKPTIGVEFAYRNIRVGGKLIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRATFESLRKWLAELREQGSSDMVIVLVGNKSDLGNSREIKQEDGQSLAELEGISFLETSAKENLNVEEAFLQMITKIHRSVSQKALEAKAINGGKLSHTSSLQGRKEIIHIDEVTATKHTSTCCLH >itb10g18230.t1 pep chromosome:ASM357664v1:10:24255729:24259322:-1 gene:itb10g18230 transcript:itb10g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQTGIISPSKLRMKLVGAHFQRKKGDGSNSNCNSSRTSPSKVGDSEFVKNSLLASESGDVEEEASRFEAAALKSSGNPMPNGGQGDLDLHQTKENAEFSHVKMHHVSKAEGSNNSSSVHPLKAYEDENLDYDSTSSFEFHKGERSMHHHSIARSFSRPMPSKWNDAEKWIMSRQTMQANQSKKAHYQNQANRIPAMNIVRVAPESTSFESKLAVKRVDFCQPPSQIVPEKFAFSHHGSYPISGQENVPTALIDLCPESNNLPEMDDERLTCTNRSTGDREGIPGIRSVSMRDMGTEMTPIPSQEPSRTATPVGATTPLRSPTSSIPSTPRRGEPTGCPIEHAFDNISQHSSENGKKELSEQELKLKTRKEILSLGMQLGKMNIAAWASKDEKERSSSAAENDDTAAELEQIEFVKRAAAWEDAEKSKHTARFKREEIKIQAWESQQKAKLEAEMRRIEAQVEQMRAQAQAKMVKKVAMARQKSEEKRATAEARKNRQAEKTAAQAEYIRQTGRLPSSSSLSCCGW >itb10g18230.t3 pep chromosome:ASM357664v1:10:24256525:24259259:-1 gene:itb10g18230 transcript:itb10g18230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQTGIISPSKLRMKLVGAHFQRKKGDGSNSNCNSSRTSPSKVGDSEFVKNSLLASESGDVEEEASRFEAAALKSSGNPMPNGGQGDLDLHQTKENAEFSHVKMHHVSKAEGSNNSSSVHPLKAYEDENLDYDSTSSFEFHKGERSMHHHSIARSFSRPMPSKWNDAEKWIMSRQTMQANQSKKAHYQNQANRIPAMNIVRVAPESTSFESKLAVKRVDFCQPPSQIVPEKFAFSHHGSYPISGQENVPTALIDLCPESNNLPEMDDERLTCTNRSTGDREGIPGIRSVSMRDMGTEMTPIPSQEPSRTATPVGATTPLRSPTSSIPSTPRRGEPTGCPIEHAFDNISQHSSENGKKELSEQELKLKTRKEILSLGMQLGKMNIAAWASKDEKERSSSAAENDDTAAELEQIEFVKRAAAWEDAEKSKHTARSQ >itb10g18230.t2 pep chromosome:ASM357664v1:10:24256178:24259322:-1 gene:itb10g18230 transcript:itb10g18230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQTGIISPSKLRMKLVGAHFQRKKGDGSNSNCNSSRTSPSKVGDSEFVKNSLLASESGDVEEEASRFEAAALKSSGNPMPNGGQGDLDLHQTKENAEFSHVKMHHVSKAEGSNNSSSVHPLKAYEDENLDYDSTSSFEFHKGERSMHHHSIARSFSRPMPSKWNDAEKWIMSRQTMQANQSKKAHYQNQANRIPAMNIVRVAPESTSFESKLAVKRVDFCQPPSQIVPEKFAFSHHGSYPISGQENVPTALIDLCPESNNLPEMDDERLTCTNRSTGDREGIPGIRSVSMRDMGTEMTPIPSQEPSRTATPVGATTPLRSPTSSIPSTPRRGEPTGCPIEHAFDNISQHSSENGKKELSEQELKLKTRKEILSLGMQLGKMNIAAWASKDEKERSSSAAENDDTAAELEQIEFVKRAAAWEDAEKSKHTARFKREEIKIQAWESQQKAKLEAEMRRIEVCKIFIKTFSPSLLPYSLYTEKISIFPGTS >itb07g13740.t1 pep chromosome:ASM357664v1:7:16081199:16086245:-1 gene:itb07g13740 transcript:itb07g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFLLVLLLVSSPGQANGEDNSMAKRRAEKMIRQLNLFPLHDLNRGSGHSPAEADSPRLVEKQLKLKVLGDSGATVEDLGHHAGYFKLPNTVDARMFYFFFESRSNKSDPVVLWLSGGPGCSGSLALFNENDPFYIADNQSLIWNDYGWDKVSNLIYVDQPTGTGFSYSSSMKDIRYDENGVSNDLYAFLQEFFKAHPKYAKNEFFVTGESYAGHYIPALGTRINQGNKKKEGIYINLKGLGIGNGLTDPGIQYPAYPDYALDNNLISKSFHDELTQLIPGCQEAVNQCNSNGGSACGDAVLSSCQPLYDRIMEKNGDLNYYDIRKKCVGGSLCYNFSNAETFLNTKSVKDALGVGDIDFVSCSTTVYEVMSYDIFKNLAVGIPSLLQDGINLLIYAGEYDLICNWLGNLRWVQASEWYGKKGFGAAPNVTFSVDGKEKGIQKSYGPLTFLKVHDAGHLVPMDQPKASLEMLRRWMHGQLSKLILV >itb08g14480.t1 pep chromosome:ASM357664v1:8:16226130:16227010:1 gene:itb08g14480 transcript:itb08g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRREGSGVRCGGRLNEAGASVMVVLHKADSGRRFLSLSLSASLSVTFFLQKFWFAAEPVSDWSSKIVIAYEPV >itb09g03610.t1 pep chromosome:ASM357664v1:9:1997904:2000007:1 gene:itb09g03610 transcript:itb09g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHTRLLTEKLIKPSTPTPENLNRLSLSLLDNLSPSTYINIIYYYNPIINQPTPHDHQTRLHLQKSLADTLTAFYPLAGRYDRDDRSVKCDDQGVGYAEVEVEGCRIVDFLDHLHGQRPCLDQYILLPHLGVAGPFPDGGCGDLAGNSSTPLVAIQVNLFKCGGAAIGVCVWHKIADSHTTIEFINAWVGRSKHFIGAKGNLQITGDDKVELQRLSFNGGSFFPVKNFPVEMPPLGHDMEVVTRRFVLNARDVSSMRTEFKEYISKVNGGGASVRTPSRVTLVTAVFWKALIGATAAIRHTRLRPSLLSPAMNLRGRTSFPAISNDSSGNFWTPFVAHFKPAANNEDNNKATLTWQDLVGPITDAMQTMLNLVQHGSGDEISLAAIKAFKEVQETMAAGGHGGEEVDAFICSSWCRFALYEADFGWGKPACVSVDNRSEMFVLMDSSGGDEGIDVWVSMDEDKMQLLEQDPHIIAFTST >itb01g23750.t1 pep chromosome:ASM357664v1:1:29656321:29660143:-1 gene:itb01g23750 transcript:itb01g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSRFLIGIGVALLCCWIVGANADYMLYKDHRQAVGRRIKDLMSRMTLEEKIGQMVQIERQVASAEVMKKYYIGSVLSSGGSVPKQKASAEAWVDMVNDFQKGSLSTRLGIPMIYGIDAVHGNNNAYNATIFPHNVGLGATRDPELVREIGAATALEVRATGIQYVFAPCIAVCRDPRWGRCYESYSEDPEIVRSMTEIIPGLQGEAPPNYPLSVPFVAGRKKVAACAKHYVGDGGTVKGIDENNTLVDMHDLFSIHMAGYYNSVIRGVATVMASYSSLNGVRMHANRDMITGFLKKTLRFRGFVISDFKAIDDLTPTVHGNYTYSILTSINAGIDMVMVPYNYTEFIDGLTYLVKNKFVPVSRIDDAVKRILRVKFVMGLFEDPLGDYSLAHHLGSQKHRKLARKAVRKSLVLLKNGANVDEPVLPLPKKASRILVAGTHAHNIGYQCGGWTIGWQGLSGDITVGTTILTAIKNTVDTKTQVTFEENPDPEFVKSSKFSHAIVVVGEKTYAEGSGDSLNLTIPDPGPSIITNVCAHTKCVVVLITGRPVVIQPYLPQIDALVAAWLPGTEGQGVADVLFGDYGFTGKLPFTWFKTVDQLPMNVGDTHYDPLFPFGHGLITKPTK >itb06g03630.t1 pep chromosome:ASM357664v1:6:6002316:6005740:-1 gene:itb06g03630 transcript:itb06g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAEERGGGGGGSSRQVIPEAAMDALRRTSKNIDELHANMDQFLSACDADTLSRMSPLERAHCLLLLSKAATTVYALRLRCKGVNPDEHPVKSEIERLNLYQEKLQRSVDLNKAPLRPSATINAQAATRFIEHSLPDLTSEQKQSMREISKGEGTRIKYLERVVHKKRKYQSTDKQSVQSAAQEFLEKASRELLGDNKNGFKGPLRPPEDSDEDIPIA >itb06g03630.t2 pep chromosome:ASM357664v1:6:6002316:6005740:-1 gene:itb06g03630 transcript:itb06g03630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAEERGGGGGGSSRQVIPEAAMDALRRTSKNIDELHANMDQFLSACDADTLSRMSPLERAHCLLLLSKAATTVYALRLRCKGVNPDEHPVKSEIERLNLYQEKLQRSVDLNKAPLRPSATINAQAATRFIEHSLPDLTSEQKQSMREISKGEGTRIKYLERVVHKKRKYQSTDKQSVQSAAQEFLEKASRELLGDNKNGFKGPLRPPEDSDEDIPIA >itb06g03630.t3 pep chromosome:ASM357664v1:6:6003933:6005740:-1 gene:itb06g03630 transcript:itb06g03630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAEERGGGGGGSSRQVIPEAAMDALRRTSKNIDELHANMDQFLSACDADTLSRMSPLERAHCLLLLSKAATTVYALRLRCKGVNPDEHPVKSEIERLNLYQEKLQRSVDLNKAPLRPSATINAQAATRFIEHSLPDLTSEQKQSMREISKGEGTRIKYLERVVHKKRKYQSTDKQSVQSAAQEFLEKASRELLGDNKNGFKGPLRPPEDSDEDIPIA >itb04g08080.t1 pep chromosome:ASM357664v1:4:5680768:5681508:1 gene:itb04g08080 transcript:itb04g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSFYLQNSDFSFETPECFQWDYRSFDASLPFNVNDSEEMLLFGVLAEAAQETSETNSSDTIIVKEEEVTSEKSKKEKPYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFSMRGSAAILNFPVDTVRESLREMRCPVEEGCSPVMALKKKHSIRKKSCKKSCNSREIKVENLLVFEDLGADYLEQLLSSSTPISTSTNPW >itb03g11950.t1 pep chromosome:ASM357664v1:3:10826321:10829227:1 gene:itb03g11950 transcript:itb03g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSEATRLDEVDRTLHSTFCSAANSLSQLYTQAMHHQRISSQAGERHALEKLYNWILRQQEDGAKVTTADIVAYVQNELDYGAEETPPSPRSSFQEQQPQITMHLPNGGFPVNPNPFCPASAPQGLRSGHSDHQPKSSVFSNALSSPIRGSLQHYHLAQGSYPPNNAMPSACGMQNNDTGYLQSRDANPPNSSDTLMDMHSDTPDHEFPY >itb04g06820.t1 pep chromosome:ASM357664v1:4:4504859:4506787:-1 gene:itb04g06820 transcript:itb04g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEVGTEYAAPKDYQDPPPAPLIDPEELGKWSFYRAIIAEFIATLLFLYVTVLTVIGYKSQTDPNVKGTDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMVAQCLGAICGCGLVKAFQKAYYVRYGGGANGLQDGYSKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGKDKAWDDQWIFWVGPFVGAAIAALYHQYVLRAGAAKALGSYRSNA >itb12g18220.t1 pep chromosome:ASM357664v1:12:20456720:20460269:-1 gene:itb12g18220 transcript:itb12g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETMSQNILLTGGAGYIGSHTVLQLLLSGYKIVVIDNLDNSSAVAIKRVQELAGRHAHNLEFQQMDLRDKPALESLFSSKKFSAVIHFGGLKAVGESVQKPLKYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEESPLSAANPYGRTKLFTEDICRDLHQSDSEWKIILLRYFNPVGAHPSGYIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYLTKDGTGVRDYIHVVDLADGHVAALKKLADPSIGCEVYNLGTGKGTSVLEMVAAFEKVSGKKIPLKMAGRRPGDAETVYAATAKAERELNWKAKYGIEEMCRDQWNWASRNPYGYEGSPQKSACFLPCLPRNQVQGCLAQN >itb02g02310.t1 pep chromosome:ASM357664v1:2:1305568:1309765:-1 gene:itb02g02310 transcript:itb02g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGQGKQWRTWLQLFISCRNVNLGFNALMVLLVYEILQKMLHRFHWIVPGYIMGGENRSKEKARLRKGISILVATPGRLLDHLRKTSSFIHTNLRWIVFDEADRILELGYGKEIEDILNVLGSFGKEKTAFRGLEVQRQNLLLSATLNEKVNHLAKISLDNPIMVGLEDKKSDNKQNHEEMETSGLNLNDEFETPGKLLSSSCEEYKLPAQLLQRYIKVPCGSRLVVLLSILKHLFEKEASTQKVVVFFSTCDAVDFHHSLLSEFLWNPSSQSDLEVRQKFLSCNIFRLHGNMNHDDRRTTFNAFKTEKTALLLSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGDSVLFLQPIEVEYLQDLEKHGVTLTEYPLLKLLDSFPVFGMKHLSKKFVSIEMHPWSIFLQRSLESFVTTEANLKKLAKSAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVAKSFALKEQPSLVNKSIQKQTKKRGRDQKQKGVSSKKRKTTK >itb02g02310.t3 pep chromosome:ASM357664v1:2:1305568:1309729:-1 gene:itb02g02310 transcript:itb02g02310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRFHWIVPGYIMGGENRSKEKARLRKGISILVATPGRLLDHLRKTSSFIHTNLRWIVFDEADRILELGYGKEIEDILNVLGSFGKEKTAFRGLEVQRQNLLLSATLNEKVNHLAKISLDNPIMVGLEDKKSDNKQNHEEMETSGLNLNDEFETPGKLLSSSCEEYKLPAQLLQRYIKVPCGSRLVVLLSILKHLFEKEASTQKVVVFFSTCDAVDFHHSLLSEFLWNPSSQSDLEVRQKFLSCNIFRLHGNMNHDDRRTTFNAFKTEKTALLLSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGDSVLFLQPIEVEYLQDLEKHGVTLTEYPLLKLLDSFPVFGMKHLSKKFVSIEMHPWSIFLQRSLESFVTTEANLKKLAKSAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVAKSFALKEQPSLVNKSIQKQTKKRGRDQKQKGVSSKKRKTTK >itb02g02310.t2 pep chromosome:ASM357664v1:2:1305568:1309765:-1 gene:itb02g02310 transcript:itb02g02310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNPSKKKIKKAENSSEIFASCSFASLGLHPTLCDQLKERLGFEVPTLVQAQAIPVILSGRHVLVNAATGTGKTVAYLAPVIHQLQKCEPRIQRSDGTFALVLVPTHELCLQVYEILQKMLHRFHWIVPGYIMGGENRSKEKARLRKGISILVATPGRLLDHLRKTSSFIHTNLRWIVFDEADRILELGYGKEIEDILNVLGSFGKEKTAFRGLEVQRQNLLLSATLNEKVNHLAKISLDNPIMVGLEDKKSDNKQNHEEMETSGLNLNDEFETPGKLLSSSCEEYKLPAQLLQRYIKVPCGSRLVVLLSILKHLFEKEASTQKVVVFFSTCDAVDFHHSLLSEFLWNPSSQSDLEVRQKFLSCNIFRLHGNMNHDDRRTTFNAFKTEKTALLLSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGDSVLFLQPIEVEYLQDLEKHGVTLTEYPLLKLLDSFPVFGMKHLSKKFVSIEMHPWSIFLQRSLESFVTTEANLKKLAKSAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVAKSFALKEQPSLVNKSIQKQTKKRGRDQKQKGVSSKKRKTTK >itb01g23850.t1 pep chromosome:ASM357664v1:1:29720193:29726313:1 gene:itb01g23850 transcript:itb01g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSDIPIVGCELTPYVLLRLPDKSVATDDVPESAPINGHFLRYKWYRIQSDRKIAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFANSWQHHRVLHEHAANAVNENGNEEEEVFGRYNSTGSGVVNTGLPPSQSAGNLANGATPLYPAAVTQRSGETWFEVGRSKTYTPTSDDIGHVLKFECVVLEAETNIPVGHVNTILTSRVIPAPSPTPRRLISVREDESPVIPDLGGRISSSGTFTVLSYNILSDSYATSELYSYCPSWALSWTYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALFKRKTSEVFGGNMNSIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKTALGRLIKDNVALIVVLEAKFGNQGVDNPGKRQLVCVANTHVNVQHELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSMPGSAPHALLAMGKVDPMHPDLVVDPLGILRPTTKLTHQLPLVSAYTSFARMETGLGSERHKRRMDPITEEPLFTNCTRDFIGTHDYIFYSADSLTVVSLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKSKTKH >itb01g23850.t2 pep chromosome:ASM357664v1:1:29720193:29725222:1 gene:itb01g23850 transcript:itb01g23850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSDIPIVGCELTPYVLLRLPDKSVATDDVPESAPINGHFLRYKWYRIQSDRKIAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFANSWQHHRVLHEHAANAVNENGNEEEEVFGRYNSTGSGVVNTGLPPSQSAGNLANGATPLYPAAVTQRSGETWFEVGRSKTYTPTSDDIGHVLKFECVVLEAETNIPVGHVNTILTSRVIPAPSPTPRRLISVREDESPVIPDLGGRISSSGTFTVLSYNILSDSYATSELYSYCPSWALSWTYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALFKRKTSEVFGGNMNSIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKTALGRLIKDNVALIVVLEAKFGNQGVDNPGKRQLVCVANTHVNVQHELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSMPGSAPHALLAMGKVDPMHPDLVVDPLGILRPTTKLTHQLPLVLTDILN >itb04g11750.t1 pep chromosome:ASM357664v1:4:11400086:11402386:1 gene:itb04g11750 transcript:itb04g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTGTSNVRKSRVLFFPFEHSRLFKMAYTKALFVFFFRLLFLINLLSVSFCDDAAVMSKLLAALSPAPSGWSASKDPCTWTNVNCDNSTGNVVSIKLDSQSISGELPSELTQLASLRSLSVQKNSLSGSLPSFANMSSLEELYLDSNEFSSIPQDFLLALPNLRTFSISDNGNLSPWQISSYLAESTNFESFYASNAGITGVIPDFFDSFTNFQNLRLSYNNLTGSLPGSFGSTFIQNLWLNNQQQGLSGTIHVLSSMTQLSQVWLQANDFTGPIPDLSECLNLFDLQLRDNQLTGFVPVSLTGLPKLVNITLQNNNLQGPKPEFGINVKKNLGNGFCKYTPGPCDPQVTALLAVAGGFGYPITLAQSWKGNDACNNWAFISCDAQGNVIIVNLEKQHFSGTISPALANLTSLRNLYLNDNNLTGPIPESLTTLPNLQVLQVSNNNLSGPIPNFQSPVNVITFNNSFIGIDVSTDGGSPVSGQNSDAPIPSVNPLSGNSNGSSISAGIKIVVGVITVIVGVVVFFVSCKCYMKRQHKMKVSVKGTAVLTEIKKLDIVNCGKTFHVHEDGNIAIPIKVLEKATNFFSEENVLGSGGYGVVYLGELDDGTKVAVKKMKDGASHTKGMNEFEAEIVFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQGTLGHHLFDWEKHGFDPLTWKQRVTIALDVARGIEYLHSLAHQSFIHRDIKSSNILLSNDMRAKVADFGLVRKAPNDKSSFETRVAGTFGYLAPEYASKY >itb04g27800.t1 pep chromosome:ASM357664v1:4:31727655:31731070:-1 gene:itb04g27800 transcript:itb04g27800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVDPDFSLHIVAIPFPGRGHVNPLMNLCKIIAMARPEFLITFVVTEEWLGFLSSDYDDDAKPANLRFGAIHNVIPSELIRAKVYSDFLEAVHTKMEAPIDLLLDSLAPEASVIIYDLFLSWVVGIGSRRNIPVASFWTQSPTVFSLAYHYQLLLHNGHVDADLAEKGNEVVDYIPGVSSMLVKDLPQVFHGKPPGPAMRSLLLWAFSAAQKAQYLLFTSVAELESTAIEALRAKLQTPIYSIGSAIPYFNTNYTDQSCGPDYLTWLDAQPACSVLYISQGSFLSLSAEQLEEIVAGVYESGVRFFWVARESTEQLRERGGGKQGLIVPWCDQLRVLRHRSVGGFWTHCGWNSIKEGAFAGVPFLAFPIFHDQLTNSKQIVEDWKIGWSVRKINNSDGGIVKRGEIAQLVRRFMDCECDNESKELRRRAKLVGEMCRESTESGLAKRDLQTFIEDVLRNRNS >itb10g00390.t1 pep chromosome:ASM357664v1:10:258887:261437:1 gene:itb10g00390 transcript:itb10g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLSRFGCKNKPFYRVMAADGRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRLLFRPGLLPPPPMMAMGRKGGPRDNRQVDPMTGHVTFENSKNDQKASPVANEEDGN >itb10g00390.t2 pep chromosome:ASM357664v1:10:259009:262125:1 gene:itb10g00390 transcript:itb10g00390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLSRFGCKNKPFYRVMAADGRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRLLFRPGLLPPPPMMAMGRKGGPRDNRQVDPMTGHVTFENSKNDQKASPVANEEDGN >itb09g29380.t1 pep chromosome:ASM357664v1:9:30126676:30128754:-1 gene:itb09g29380 transcript:itb09g29380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQLKEFVKEILLGRRYIIVFDDIWGINAWMEIKCVLSKQAFGSRVVITTRNSEIGAQACHDTRGVVYSLKHLSPENSWNLFCRKTFLCDSCPQHLVNITINILKRCCGLPLAIVVIAGVLATKNEDIEEWERFQQSLNIQLVEGNGMMKNMKNILSLSYYDLPYYLKYCFLYLCVFPDDFLFPKMQLIRLWIAEGFVKENNQQVKEEVAETYLDELLHRNLIQIQIGCETKVDESTNSMGFRVHDILCEIVLSNYNPLKVLELRGAQLEEISEQVFNLFQLKYLNLRDTKLRSVPKSIGRLQNLETLDLSSETRDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGAEVSKLGKLRHLLCYSYSLRRCYPRSLYEIPSFNAQFKVGEFLFLQKLCHIQSNGTTGVKVVSEIGKLTQLKKLGVTKLRQEDGIELCSSLKKLTNLYSLDLCLASEDEILDIKLDLPLRLRQLKLIGRLKRAPQCLSSLVGLTTLLLGWSKLSEDPLLLLQDLPMLRHLNLIESYEGEGLCFKAEKFSKLKFLGIYGFAALKWIIMEEGSLRHLEDF >itb13g13210.t1 pep chromosome:ASM357664v1:13:19745258:19747868:1 gene:itb13g13210 transcript:itb13g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLECRSQPSNGFSESEILGSGGLFGKVYRAILRSDGTVVAVKCLAERVKRFEKAFAAELVAGAHLHHRNLVTFWGNLWQITRASLVQIHPLYISLSSSSNSATNHAMSTRTTVSTSSTTIAPFNSENFVTASGEAVFVSAKSSNSSVSSILSGNQHNSSNSASL >itb08g10070.t1 pep chromosome:ASM357664v1:8:9482665:9491725:-1 gene:itb08g10070 transcript:itb08g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWEQSISQGDSFKHLPVIASPTHYLFQVVREGITFLASTQVEMPPLMAIEFLCRVADIFSDYLGGLNEDLVKDNFVIAYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGSSSNVSNTLPGATATCVPWRKTDLKHTSNEVYVNLVEEMDAIINKEGTLVKCEVYGEIEVNSQLSGIPDLTLSFANPSILNDVQFHPCVRLRPWESNQILSFVPPDGHFKLTSYRVKKLKSTPIYVKPQLSSDSGTCRISLLVGIKNDPGKPIDDVSVQFQLPNCVLSADLSSNLGTVNILTDKTCCWSIGRIPKDKSPSLSGTLVLESGLKRLHVFPTFRVGFKIMGTALSGLKIDKLDIRNLPTRPYKGFRALTRAGEYQVRS >itb08g10070.t2 pep chromosome:ASM357664v1:8:9482671:9491656:-1 gene:itb08g10070 transcript:itb08g10070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWEQSISQGDSFKHLPVIASPTHYLFQVVREGITFLASTQVEMPPLMAIEFLCRVADIFSDYLGGLNEDLVKDNFVIAYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGSSSNVSNTLPGATATCVPWRKTDLKHTSNEVYVNLVEEMDAIINKEGTLVKCEVYGEIEVNSQLSGIPDLTLSFANPSILNDVQFHPCVRLRPWESNQILSFVPPDGHFKLTSYRVKKLKSTPIYVKPQLSSDSGTCRISLLVGIKNDPGKPIDDVSVQFQLPNCVLSADLSSNLGTVNILTDKTCCWSIGRIPKDKSPSLSGTLVLESGLKRLHVFPTFRVGFKIMGTALSGLKIDKLDIRNLPTRPYKGFRALTRAGEYQVRS >itb02g03500.t1 pep chromosome:ASM357664v1:2:2027389:2033171:1 gene:itb02g03500 transcript:itb02g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKLQSDSATEDVDDDENGSDGHRGDRLVDDRNDRISHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNIHVSSIFGSEGCSQLKGPEIASELKHLLTLLTLCWHFSKKPFSLFLEETGYSEESVLLQEPKAGILKPAFTILVDHRSKSVLLLIRGTHSVKDTLTAATGAVVPFHHAVVHEGGVRNLVLGYAHCGMVAAARWIAKLSTPCLINALGNYPDYKLKIVGHSLGGGTAALLTYVLREQKELSTATCVTFAPAACMTWELAESGTEFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSASALGSRLPSIASARARVAGAGAILRPVSSGTQVVMRRAQSMAQAAFSSRPALRLSWSCYGPRRRTNTFNSDKRDDDSESSSTPRDASEPFLVSPEEISTSTGMELPVSSSMGMPWDSESEHSCISGSNLHNSLDHDFEVNEDAMSPREQQDHMTEVQMWQQLEQELYDEDGGETGSAKEIREEEAAAIAEVGENSNEDSVPNTKEVHRFFPPGRIMHIVTLLSDEEDSSESESNSSDDDDMVDHSGPEDSEVGIFLTPRSLYSKIRLSQTMISDHFMPVYRRRIEKLIRVLEDDEACNSPHIRETAKKEISDTRYSTKEALHKVL >itb01g35640.t1 pep chromosome:ASM357664v1:1:37741417:37742889:1 gene:itb01g35640 transcript:itb01g35640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEKYSKAVALVIGATGMVGVAMVEALKQPTALGGPWKVYGAARRPLPSWFPSSFLDEYITFDALNMEDTHKSLSKISNEVTHVFWLALQVHEKEEENIRLNSTMLDNVLRSLTSSNSTRLSHVTIQTGTKQYMGPIFDPSLATDKMIPHEAPFKEDYPRLPFPNFYYALEDLVANYAETKSFSFSIHRSSIIIGASSLSVFNSLLTLCVYAIICKEKGFPFRYPGNRNTWDRFCDMSDARLLAEQQIWAGVTVKAKNQAFNCTNGDVFAWKSIWRLFCDMFELEFVAFEENGDEEFDIVEFMKDKGEIWEGVVKKHGLFRTRMEEITCYPALQTVLRFPFQHVCSMNKSKEFGFLGYADTLKRIRVWLQKLREMKIIP >itb15g02950.t1 pep chromosome:ASM357664v1:15:1881228:1882286:1 gene:itb15g02950 transcript:itb15g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVNAAAKVQHVTKASSDELLRKFAEVDSESEDKRELRIVKRRKRSQLAVAAAAGKEAVVERKSLLAPAGSKRSAALIRHLGIGKARVRARELRNKSFLGTIEKTWRKTIGGASKVYMEKQYNRHKRLINDIY >itb12g24920.t1 pep chromosome:ASM357664v1:12:26275484:26275900:-1 gene:itb12g24920 transcript:itb12g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQASAAAIFLSFNLFFFAVTTATQYPAIPGFPATGAGNGAGGGNGGGGAGRCPRDALKLGVCANLLGGLVGAVVGTPPTLPCCSLIAGLADLEAAVCLCTAIRANVLGINLNIPVALSLVLNDCGRQVPNGFTC >itb09g12010.t1 pep chromosome:ASM357664v1:9:7546280:7547939:-1 gene:itb09g12010 transcript:itb09g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLIAGVAIAAAAYAGRYGILAWQAFKARPPAARMRKFYEGGFQPKMTRREAALILGVRESTPTDKVKEAHRRVMVANHPDAGGSHYLASKINEAKDVLLGKTKSSGSAF >itb11g01800.t1 pep chromosome:ASM357664v1:11:893995:894845:1 gene:itb11g01800 transcript:itb11g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQGDIRVPLIACLLCVFVTAGGVFLGIYVFYPSEAEPWFLAVAFVMIGSPWVFWVVTYFYTCIKRSTAGKSAENRQTSMRSSMSEKSSSESSSAEKQSPPPPLP >itb01g09650.t5 pep chromosome:ASM357664v1:1:7911796:7915253:1 gene:itb01g09650 transcript:itb01g09650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTPLYASKPTFSPEVQMASEGHNMEPRRKLIIALIAASTVLGLIIFLIFCLWIYHRKKAHKPHKDSVHSSDVVKGLALSQYIRKYNSMKSNSWKLGCVSLMDYKALELGTNNFEESNVLGKGGFGCVYKGKLGENLYVAVKKLEGITQDAIKEFETEVELLSKMQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYGFGVVLLELLLGRRPVEKLAPSQCQSLVTWVRFIQIRSLLISLNLLNALVFMAGMQAMPQLTDRSKLPSIVDPVIKNTMDLKHLHQVCRQLISQTKSCGLNILRDFRNSGS >itb01g09650.t6 pep chromosome:ASM357664v1:1:7911794:7915253:1 gene:itb01g09650 transcript:itb01g09650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTPLYASKPTFSPEVQMASEGHNMEPRRKLIIALIAASTVLGLIIFLIFCLWIYHRKKAHKPHKDSVHSSDVVKGLALSQYIRKYNSMKSNSWKLGCVSLMDYKALELGTNNFEESNVLGKGGFGCVYKGKLGENLYVAVKKLEGITQDAIKEFETEVELLSKMQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYGFGVVLLELLLGRRPVEKLAPSQCQSLVTWVRFIQIRSLLISLNLLNALVFMAGMQAMPQLTDRSKLPSIVDPVIKNTMDLKHLHQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIEMGGTLRITQRASSAAVMPSELRKEIFA >itb01g09650.t7 pep chromosome:ASM357664v1:1:7911796:7915253:1 gene:itb01g09650 transcript:itb01g09650.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYGFGVVLLELLLGRRPVEKLAPSQCQSLVTWVRFIQIRSLLISLNLLNALVFMAGMQAMPQLTDRSKLPSIVDPVIKNTMDLKHLHQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIEMGGTLRITQRASSAAVMPSELRKEIFA >itb01g09650.t1 pep chromosome:ASM357664v1:1:7911794:7915253:1 gene:itb01g09650 transcript:itb01g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTPLYASKPTFSPEVQMASEGHNMEPRRKLIIALIAASTVLGLIIFLIFCLWIYHRKKAHKPHKDSVHSSDVVKGLALSQYIRKYNSMKSNSWKLGCVSLMDYKALELGTNNFEESNVLGKGGFGCVYKGKLGENLYVAVKKLEGITQDAIKEFETEVELLSKMQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYGFGVVLLELLLGRRPVEKLAPSQCQSLVTWAMPQLTDRSKLPSIVDPVIKNTMDLKHLHQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIEMGGTLRITQRASSAAVMPSELRKEIFA >itb01g09650.t3 pep chromosome:ASM357664v1:1:7911796:7915253:1 gene:itb01g09650 transcript:itb01g09650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTPLYASKPTFSPEVQMASEGHNMEPRRKLIIALIAASTVLGLIIFLIFCLWIYHRKKAHKPHKDSVHSSGCVSLMDYKALELGTNNFEESNVLGKGGFGCVYKGKLGENLYVAVKKLEGITQDAIKEFETEVELLSKMQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYGFGVVLLELLLGRRPVEKLAPSQCQSLVTWAMPQLTDRSKLPSIVDPVIKNTMDLKHLHQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIEMGGTLRITQRASSAAVMPSELRKEIFA >itb01g09650.t4 pep chromosome:ASM357664v1:1:7911796:7915253:1 gene:itb01g09650 transcript:itb01g09650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTPLYASKPTFSPEVQMASEGHNMEPRRKLIIALIAASTVLGLIIFLIFCLWIYHRKKAHKPHKDSVHSSGCVSLMDYKALELGTNNFEESNVLGKGGFGCVYKGKLGENLYVAVKKLEGITQDAIKEFETEVELLSKMQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYGFGVVLLELLLGRRPVEKLAPSQCQSLVTWVRFIQIRSLLISLNLLNALVFMAGMQAMPQLTDRSKLPSIVDPVIKNTMDLKHLHQVCRQLISQTKSCGLNILRDFRNSGS >itb01g09650.t2 pep chromosome:ASM357664v1:1:7911794:7915204:1 gene:itb01g09650 transcript:itb01g09650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSTPLYASKPTFSPEVQMASEGHNMEPRRKLIIALIAASTVLGLIIFLIFCLWIYHRKKAHKPHKDSVHSSDVVKGLALSQYIRKYNSMKSNSWKLGCVSLMDYKALELGTNNFEESNVLGKGGFGCVYKGKLGENLYVAVKKLEGITQDAIKEFETEVELLSKMQHPNIISLLGYSIRDDTRIIVYELMQNGSLESHLHGPSRGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDASFNAKLSDFGLAIPDGAQNKNNIKLSGTLGYVAPEYLLDEFGIYHFQEN >itb04g26990.t1 pep chromosome:ASM357664v1:4:31145963:31147326:-1 gene:itb04g26990 transcript:itb04g26990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDAQNGGCENGSPKVVKFTALKPQLFVEAPKGNDAVLFYKAAFGAEEVSRVMHPKRKAEQEQPLILSAELKLGSTVFLVSDLTEDSSAPVKGGLTTGVYCLETEDVDAAVANAVTAGAVADGEIVEGGEGACCGGRVGKVKDPYGNVWLICSPAKKCADVEA >itb11g05390.t5 pep chromosome:ASM357664v1:11:3193208:3197329:-1 gene:itb11g05390 transcript:itb11g05390.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFHAQIHSHKHKPVQMSAEVMQKSLEDHSQNHSMKDFSYCEATSPPHLNEESGAVDGYAGHVIDSSSNDHDSKSEENGDDYKSESNASVDHKTANIKKSKSLGFGLGWEGRLYDDYNSEDESRERFSFDGSDTQDGAKDFVTNLLNNSQEVQLSTTVQVNADLANSESIYVIEDQKEAEREEECENYGFHQSGADEPVVHPPRTSFTFAKSCSLPIMYSTGQHFTPIMPYPRSAEDLSVLETRRKEIIMDGLAKQVMQHGEREGCVQNDEKITGENPVDDNYGTYNYVGSAKEWIVPVPDEVSMQKNINGESLICQLNELPNKDFRLKRIEEWVTDLQNCDPLEETNELAIPDSSDHEKVHNDKVLDGPPLGKLDEKVNPGMEAVKKYISSLSASATSVQLVNHGLVVIPFLSTFLSLKALNLSGNSIAKITAGALPRGLHILNLSRNNISTIEGLRELTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNILDLRLNKISTAKSLGQLAANYNSLQAINLEGNPAQKNVGHEQLRKYLQSLLPHLTYYNRQSIKIGTLKDSSDRSSRLGIGSHQADRGMKAELKVVRKGTTGVSSHKSSSSIHSRKGQSTSSPKLSKSRHGHLPPSASRRSTHHHLHAIESTNNKLTNFKPKSSMRRSRSEGTLGIF >itb11g05390.t2 pep chromosome:ASM357664v1:11:3193201:3197973:-1 gene:itb11g05390 transcript:itb11g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVMQKSLEDHSQNHSMKDFSYCEATSPPHLNEESGAVDGYAGHVIDSSSNDHDSKSEENGDDYKSESNASVDHKTANIKKSKSLGFGLGWEGRLYDDYNSEDESRERFSFDGSDTQDGAKDFVTNLLNNSQEVQLSTTVQVNADLANSESIYVIEDQKEAEREEECENYGFHQSGADEPVVHPPRTSFTFAKSCSLPIMYSTGQHFTPIMPYPRSAEDLSVLETRRKEIIMDGLAKQVMQHGEREGCVQNDEKITGENPVDDNYGTYNYVGSAKEWIVPVPDEVSMQKNINGESLICQLNELPNKDFRLKRIEEWVTDLQNCDPLEETNELAIPDSSDHEKVHNDKVLDGPPLGKLDEKVNPGMEAVKKYISSLSASATSVQLVNHGLVVIPFLSTFLSLKALNLSGNSIAKITAGALPRGLHILNLSRNNISTIEGLRELTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNILDLRLNKISTAKSLGQLAANYNSLQAINLEGNPAQKNVGHEQLRKYLQSLLPHLTYYNRQSIKIGTLKDSSDRSSRLGIGSHQADRGMKAELKVVRKGTTGVSSHKSSSSIHSRKGQSTSSPKLSKSRHGHLPPSASRRSTHHHLHAIESTNNKLTNFKPKSSMRRSRSEGTLGIF >itb11g05390.t3 pep chromosome:ASM357664v1:11:3193202:3197973:-1 gene:itb11g05390 transcript:itb11g05390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFHAQIHSHKHKPVQMSAEVMQKSLEDHSQNHSMKDFSYCEATSPPHLNEESGAVDGYAGHVIDSSSNDHDSKSEENGDDYKSESNASVDHKTANIKKSKSLGFGLGWEGRLYDDYNSEDESRERFSFDGSDTQDGAKDFVTNLLNNSQEVQLSTTVQVNADLANSESIYVIEDQKEAEREEECENYGFHQSGADEPVVHPPRTSFTFAKSCSLPIMYSTGQHFTPIMPYPRSAEDLSVLETRRKEIIMDGLAKQVMQHGEREGCVQNDEKITGENPVDDNYGTYNYVGSAKEWIVPVPDEVSMQKNINGESLICQLNELPNKDFRLKRIEEWVTDLQNCDPLEETNELAIPDSSDHEKVHNDKVLDGPPLGKLDEKVNPGMEAVKKYISSLSASATSVQLVNHGLVVIPFLSTFLSLKALNLSGNSIAKITAGALPRGLHILNLSRNNISTIEGLRELTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNILDLRLNKISTAKSLGQLAANYNSLQAINLEGNPAQKNVGHEQLRKYLQSLLPHLTYYNRQSIKIGTLKDSSDRSSRLGIGSHQADRGMKAELKVVRKGTTGVSSHKSSSSIHSRKGQSTSSPKLSKSRHGHLPPSASRRSTHHHLHAIESTNNKLTNFKPKSSMRRSRSEGTLGIF >itb11g05390.t1 pep chromosome:ASM357664v1:11:3193201:3197973:-1 gene:itb11g05390 transcript:itb11g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFHAQIHSHKHKKPVQMSAEVMQKSLEDHSQNHSMKDFSYCEATSPPHLNEESGAVDGYAGHVIDSSSNDHDSKSEENGDDYKSESNASVDHKTANIKKSKSLGFGLGWEGRLYDDYNSEDESRERFSFDGSDTQDGAKDFVTNLLNNSQEVQLSTTVQVNADLANSESIYVIEDQKEAEREEECENYGFHQSGADEPVVHPPRTSFTFAKSCSLPIMYSTGQHFTPIMPYPRSAEDLSVLETRRKEIIMDGLAKQVMQHGEREGCVQNDEKITGENPVDDNYGTYNYVGSAKEWIVPVPDEVSMQKNINGESLICQLNELPNKDFRLKRIEEWVTDLQNCDPLEETNELAIPDSSDHEKVHNDKVLDGPPLGKLDEKVNPGMEAVKKYISSLSASATSVQLVNHGLVVIPFLSTFLSLKALNLSGNSIAKITAGALPRGLHILNLSRNNISTIEGLRELTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNILDLRLNKISTAKSLGQLAANYNSLQAINLEGNPAQKNVGHEQLRKYLQSLLPHLTYYNRQSIKIGTLKDSSDRSSRLGIGSHQADRGMKAELKVVRKGTTGVSSHKSSSSIHSRKGQSTSSPKLSKSRHGHLPPSASRRSTHHHLHAIESTNNKLTNFKPKSSMRRSRSEGTLGIF >itb11g05390.t4 pep chromosome:ASM357664v1:11:3193202:3197973:-1 gene:itb11g05390 transcript:itb11g05390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFHAQIHSHKHKKPVQMSAEVMQKSLEDHSQNHSMKDFSYCEATSPPHLNEESGAVDGYAGHVIDSSSNDHDSKSEENGDDYKSESNASVDHKTANIKKSKSLGFGLGWEGRLYDDYNSEDESRERFSFDGSDTQDGAKDFVTNLLNNSQEVQLSTTVQVNADLANSESIYVIEDQKEAEREEECENYGFHQSGADEPVVHPPRTSFTFAKSCSLPIMYSTGQHFTPIMPYPRSAEDLSVLETRRKEIIMDGLAKQVMQHGEREGCVQNDEKITGENPVDDNYGTYNYVGSAKEWIVPVPDEVSMQKNINGESLICQLNELPNKDFRLKRIEEWVTDLQNCDPLEETNELAIPDSSDHEKVHNDKVLDGPPLGKLDEKVNPGMEAVKKYISSLSASATSVQLVNHGLVVIPFLSTFLSLKALNLSGNSIAKITAGALPRGLHILNLSRNNISTIEGLRELTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNILDLRLNKISTAKSLGQLAANYNSLQAINLEGNPAQKNVGHEQLRKYLQSLLPHLTYYNRQSIKIGTLKDSSDRSSRLGIGSHQADRGMKAELKVVRKGTTGVSSHKSSSSIHSRKGQSTSSPKLSKSRHGHLPPSASRRSTHHHLHAIESTNNKLTNFKPKSSMRRSRSEGTLGIF >itb11g05390.t6 pep chromosome:ASM357664v1:11:3193202:3197973:-1 gene:itb11g05390 transcript:itb11g05390.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVMQKSLEDHSQNHSMKDFSYCEATSPPHLNEESGAVDGYAGHVIDSSSNDHDSKSEENGDDYKSESNASVDHKTANIKKSKSLGFGLGWEGRLYDDYNSEDESRERFSFDGSDTQDGAKDFVTNLLNNSQEVQLSTTVQVNADLANSESIYVIEDQKEAEREEECENYGFHQSGADEPVVHPPRTSFTFAKSCSLPIMYSTGQHFTPIMPYPRSAEDLSVLETRRKEIIMDGLAKQVMQHGEREGCVQNDEKITGENPVDDNYGTYNYVGSAKEWIVPVPDEVSMQKNINGESLICQLNELPNKDFRLKRIEEWVTDLQNCDPLEETNELAIPDSSDHEKVHNDKVLDGPPLGKLDEKVNPGMEAVKKYISSLSASATSVQLVNHGLVVIPFLSTFLSLKALNLSGNSIAKITAGALPRGLHILNLSRNNISTIEGLRELTRLRVLDLSYNRILRIGHGLAYCSSLKELYLAGNKISEVEGLHRLLKLNILDLRLNKISTAKSLGQLAANYNSLQAINLEGNPAQKNVGHEQLRKYLQSLLPHLTYYNRQSIKIGTLKDSSDRSSRLGIGSHQADRGMKAELKVVRKGTTGVSSHKSSSSIHSRKGQSTSSPKLSKSRHGHLPPSASRRSTHHHLHAIESTNNKLTNFKPKSSMRRSRSEGTLGIF >itb01g31570.t1 pep chromosome:ASM357664v1:1:35251127:35254067:-1 gene:itb01g31570 transcript:itb01g31570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHAVCIPFPAQGHIKPMLELAKLLHHKGFHITFVNNEFNHNRLLRSRGSKAMEGLPSFRFEAIPDGLPPSNPDATQDVASLTVSSTNYCLDPFRELVKRLNDNPSSESPPVTCIVSDGIMSFTHKVADELCIPVVFFWTCSACGLDGYVHYRQLAEKNMTPINGPNSLTNGYLDNVIDWIPGLKSIPAKYIPSFIWNSGQDDDPNYVIFQFAIREVEAIPKASAIILNTFDELEPDAINAIRSKFPGIYTIGPLHLLRNQFPLGDDLKSIGYNLWKEDPYCLEWLDTKEAGSVVYVNYGSVTVMTPEQLLEFAWGLANSKFTFLWIIRPDLVKGESAILPPEFLTETGERGLLASWCPQEQVLNHPSVGCFLTHCGWNSTLESISAGVPMLCWPFFAEQQTNCWYSCTELGVGMEIDSNGDRNVIGDLVREMMGGEKGKELKEKAMKLKKLAEAVVASPTGPSYLNFEEIVNNVLIPPTSK >itb09g25030.t3 pep chromosome:ASM357664v1:9:24983416:24987012:1 gene:itb09g25030 transcript:itb09g25030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) UniProtKB/TrEMBL;Acc:F4II77] MLVEKEKMFNPEDVIEQFEALTMDAGRVQEETLRRILQDNSDTEYLRKFGLSGRTDPESFKACIPLVAHKDLEPYIQRIADGDGSPILTGKPITTISLSSGTTQGKPKFVPFNDELMDTTMQIFKTSFAFRNRDFPIGKGKALQFIYSSKQFKTKGGLAAGTATTNVYRNQLFSKTMKAMNTPCCSPDEVIFGPDFHQSLYCHLLCGLIFCKEVQVVSSTFAHSIIHAFRTFEQVWEELCADIREGVLSSRITVPSIRAAMSRLLKPDPELADTIYYKCKGLSNWYGLIPELFPNTRYIYGIMTGSMEPYLKKLRHYAGDLPLLSADYGSSEGWIAANVNPKLPPESVTFAVLPNIGYFEFIPLKDNVDGLELEPSPVGLTEVKVGEEYEIIVTSFAGTLVFHWNNLFREL >itb09g25030.t2 pep chromosome:ASM357664v1:9:24984462:24987741:1 gene:itb09g25030 transcript:itb09g25030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) UniProtKB/TrEMBL;Acc:F4II77] MLVEKEKMFNPEDVIEQFEALTMDAGRVQEETLRRILQDNSDTEYLRKFGLSGRTDPESFKACIPLVAHKDLEPYIQRIADGDGSPILTGKPITTISLSSGTTQGKPKFVPFNDELMDTTMQIFKTSFAFRNRDFPIGKGKALQFIYSSKQFKTKGGLAAGTATTNVYRNQLFSKTMKAMNTPCCSPDEVIFGPDFHQSLYCHLLCGLIFCKEVQVVSSTFAHSIIHAFRTFEQVWEELCADIREGVLSSRITVPSIRAAMSRLLKPDPELADTIYYKCKGLSNWYGLIPELFPNTRYIYGIMTGSMEPYLKKLRHYAGDLPLLSADYGSSEGWIAANVNPKLPPESVTFAVLPNIGYFEFIPLKDNVDGLELEPSPVGLTEVKVGEEYEIIVTSFAGLYRYRLGDVVKVKGFHNSTPELQFICRRNLLLSINIDKNTEKDLQLSVEAASKILADSKLEVLDFTSHVNTSTDPGNYVIFWEVSGEASDEVLKECCNCLDRSFLDAGYMSSRKVNSIGPLELRVVRRGTFHKILDHFVGLGAAVSQFKTPRCVGANNTAVLAILCNNVVKTYFSTAYI >itb09g25030.t1 pep chromosome:ASM357664v1:9:24983416:24987779:1 gene:itb09g25030 transcript:itb09g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) UniProtKB/TrEMBL;Acc:F4II77] MLVEKEKMFNPEDVIEQFEALTMDAGRVQEETLRRILQDNSDTEYLRKFGLSGRTDPESFKACIPLVAHKDLEPYIQRIADGDGSPILTGKPITTISLSSGTTQGKPKFVPFNDELMDTTMQIFKTSFAFRNRDFPIGKGKALQFIYSSKQFKTKGGLAAGTATTNVYRNQLFSKTMKAMNTPCCSPDEVIFGPDFHQSLYCHLLCGLIFCKEVQVVSSTFAHSIIHAFRTFEQVWEELCADIREGVLSSRITVPSIRAAMSRLLKPDPELADTIYYKCKGLSNWYGLIPELFPNTRYIYGIMTGSMEPYLKKLRHYAGDLPLLSADYGSSEGWIAANVNPKLPPESVTFAVLPNIGYFEFIPLKDNVDGLELEPSPVGLTEVKVGEEYEIIVTSFAGLYRYRLGDVVKVKGFHNSTPELQFICRRNLLLSINIDKNTEKDLQLSVEAASKILADSKLEVLDFTSHVNTSTDPGNYVIFWEVSGEASDEVLKECCNCLDRSFLDAGYMSSRKVNSIGPLELRVVRRGTFHKILDHFVGLGAAVSQFKTPRCVGANNTAVLAILCNNVVKTYFSTAYI >itb12g09880.t1 pep chromosome:ASM357664v1:12:7870307:7876386:1 gene:itb12g09880 transcript:itb12g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRLLRGVRWWRKLTKREWAVFSAALATVILTLSFVSHSHYHSGRYASLPANDGVPFTPLSNAHKKSAFCLDGSVPGYHFQQGFGSGSDNWLLHIEGGGWCNSIESCSSRATAALGSSKMMEHEVLFSGIMSREPSQNPDFFNWNKVKIRYCDGASFAGHPESELKNGTKLFFRGQLIWEALMDEFLSIGLSKAKKAFLTGCSAGGLAALIHCDNFREILPKNTDVKCLADASFFLNEKDVAGNPTMENFYHDVVNLQGVAKSLNKECVSRTDPYKCFFPQEFINNIKTPVFLVNPSYDFWQIRHILVPERSDPHGSWQKCALNINYCNSSQLEVLQGFRNSMLSTLGEFQKNAEGGMFINSCFIHCQTWITDTWHSPNSPKINSQTIAESVGDWYFNRKPVKYIDVPFPGNPTCYNMDLTSRP >itb12g09880.t2 pep chromosome:ASM357664v1:12:7870308:7876386:1 gene:itb12g09880 transcript:itb12g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRLLRGVRWWRKLTKREWAVFSAALATVILTLSFVSHSHYHSGRYASLPANDGVPFTPLSNAHKKSAFCLDGSVPGYHFQQGFGSGSDNWLLHIEGGGWCNSIESCSSRATAALGSSKMMEHEVLFSGIMSREPSQNPDFFNWNKVKIRYCDGASFAGHPESELKNGTKLFFRGQLIWEALMDEFLSIGLSKAKKAFLTGCSAGGLAALIHCDNFREILPKNTDVKCLADASFFLNEKDVAGNPTMENFYHDVVNLQGVAKSLNKECVSRTDPYKVQLLAHTSSIFCVFSLKNLLTTLRLQFSLSTHHTTFGRYGIYWFLRDRILMAAGRSAR >itb15g05600.t3 pep chromosome:ASM357664v1:15:3603293:3606501:-1 gene:itb15g05600 transcript:itb15g05600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRSLGFLGALPSRNHTSSFHTESRGPNNIAFKVAYPFTRQGSGGCSTSHSFKLASLGNGVDGITDIIHNKVLIAAALSAAIGQLSKPFTSAIFYGNDFDIRTAFQAGGFPSTHSSTVVATATSIGLERGFSDAVFGLAVVYAGLVMYDAQGVRREVGIHAKVLNQLLSETCLNTSSSHNGAAVSTDNSQEKSPSNVQILEPSMSEELNSFEPELKKNAALFLKPDNQKTPMSISQNMASDAEDRSKPLRYTQLKESIGHTEIQVIAGALLGFLVSLAVCMV >itb15g05600.t1 pep chromosome:ASM357664v1:15:3603293:3606501:-1 gene:itb15g05600 transcript:itb15g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRSLGFLGALPSRNHTSSFHTESRGPNNIAFKVAYPFTRQGSGGCSTSHSFKLASLGNGVDGITDIIHNKVLIAAALSAAIGQLSKPFTSAIFYGNDFDIRTAFQAGGFPSTHSSTVVATATSIGLERGFSDAVFGLAVVYAGLVMYDAQGVRREVGIHAKVLNQLLSETCLNTSSSHNGAAVSTDNSQEKSPSNVQILEPSMSEELNSFEPELKKNAALFLKPDNQKTPMSISQNMASDAEDRSKPLRYTQLKESIGHTEIQVIAGALLGFLVSLAVCMV >itb15g05600.t2 pep chromosome:ASM357664v1:15:3603293:3606501:-1 gene:itb15g05600 transcript:itb15g05600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRSLGFLGALPSRNHTSSFHTESRGPNNIAFKVAYPFTRQGSGGCSTSHSFKLASLGNGVDGITDIIHNKVLIAAALSAAIGQLSKPFTSAIFYGNDFDIRTAFQAGGFPSTHSSTVVATATSIGLERGFSDAVFGLAVVYAGLVMYDAQGVRREVGIHAKVLNQLLSETCLNTSSSHNGAAVSTDNSQEKSPSNVQILEPSMSEELNSFEPELKKNAALFLKPDNQKTPMSISQNMASDAEDRSKPLRYTQLKESIGHTEIQVIAGALLGFLVSLAVCMV >itb15g05600.t4 pep chromosome:ASM357664v1:15:3603282:3605216:-1 gene:itb15g05600 transcript:itb15g05600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQVLIAAALSAAIGQLSKPFTSAIFYGNDFDIRTAFQAGGFPSTHSSTVVATATSIGLERGFSDAVFGLAVVYAGLVMYDAQGVRREVGIHAKVLNQLLSETCLNTSSSHNGAAVSTDNSQEKSPSNVQILEPSMSEELNSFEPELKKNAALFLKPDNQKTPMSISQNMASDAEDRSKPLRYTQLKESIGHTEIQVIAGALLGFLVSLAVCMV >itb03g09380.t1 pep chromosome:ASM357664v1:3:7197261:7202232:-1 gene:itb03g09380 transcript:itb03g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSVLHSSAEGFLSSATKLGFKKVSKPSLRTLIHGLKPSSDVAGSLPLALRHSISQAIEKFKSSTDPASDGTASAGGETSPQTPPTKRLRRSGRNRKNDDEDSSTKDRSEDAKHSVVESLQLYAYILFQCISHPKDIFEASDLLPAARELHDNLVFFESDSALLSEIASVCEEWWKRDLPGRESLITQSLPFLLSRALTFKKKVDVHRVYALREAFTLFDFDDESIADLKHLMMRCVISPLFLKTEDGRKFIAFMFGLSGQLAKEALAMIKSQVPFGRKSTLEAFGEIVFRAWKAAEGESRSDIEDGFLQVLIDSAIHASSATFSASIRRILGGFIVQRTIAGVEKVLFRLAEPVIFRSLQVANSNVRQNALHLLLDLFPLEDPDATKEAKDTLVEKQFFLLDKLVMDECPDVRVVAVEGCCRILHMFWEVIPSLTITKTITKIFDHMVHDACTEVRLSAVNGIIYLLGNPHSHEVLKVLLPRMGHLISDSALSVRFATADLLLSLRDIRNFFFHKVVSIDALCSALASDQRLVAQKITKLLLPSYFPSKVKLEEACNRCVTLIKRSPAAGARFCEFAVSAGASLQSLMELLKVFIRLILSPGKLEEDQINGMIIGTSHLYNHLVKEASFQATLKEELSGKVLEILFAAATTNHAKSSICNIVTTISPEAVVDGLFEECLALITNCSGLSGNVERQAEVRSAHRMMLSCGWFDDMFESLATILQEIASKYHSRNKSAKPEVSSAKQRKTKSSTRISSKSKHSNSKKATNKSKVSSAEDYEIAEGIAWQVNDLLLSESTRKAVLGSGTLETAFLALKDISEFSILQPVQCDYISVSPLLAYTALSLHMSAQNISIIEKHSVKKRNCLEPTSSAERTTLDLTMDHLLDCTNKFFRARGHDKFFSGRVRMLTAVLKFIVDATAMNLYHDLEACLKFTMEYIHFIISCLRKYSINQLQLTDEGTKDTFLCLKSSFTYGAKLLNLVLKNSFDASTPQQGAYHLANELLSLTVSIEEHLGYGHASRLFSAANPWVPELILALGSLLIMNQIAEDSAVLTHEHDITTLPSWLSILARIELFELQGDGSDEETDGASKEFGFLAFKKLVGMMVKMLRGNRNVLDAFGATIMNILLARLQRKRDFDVMLGLLHFVCVKLVKNEGQKRKELKLMLSYIQQLYHQLDVQAEEPNNDEDDLQKLQSAKALLQPALLYCSSDTGRNSFEEE >itb03g08100.t1 pep chromosome:ASM357664v1:3:6076618:6078121:1 gene:itb03g08100 transcript:itb03g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 17 [Source:Projected from Arabidopsis thaliana (AT5G16080) UniProtKB/Swiss-Prot;Acc:Q9LFR7] MVTAVSTYDPRANLQIGKNTHHPNGGIMTEIEGLITVYNDGRVERTSIVPNVAASSLPQHLGVTCGDVAIFEPSRLWARVYVPNGSPGRLPVLIYFHGGGFCVGSAAWKCYHEFLANLSSQIPCVVISVNYRLAPEHRLPAAYDDGVRAVAWVKQQAGKSYSGGRDGLSWWTDKCDFSRIFLAGDSAGANIAYNVAASGGGGVKGLVLIQPFFGGEARTESEKHAAQPPFSALSLAASDAYWALALPEGCSRDHPWCNPLATTRMKNLKLPPTMVCISEMDILKDRNIGFCAAMGTTVEKVVYKGVGHAFQILHNYPLSQPRTHEMMSHLRSFVVHHH >itb06g09540.t1 pep chromosome:ASM357664v1:6:13812137:13817860:1 gene:itb06g09540 transcript:itb06g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTRSNQWICLLVFSLVILVHSIRTDASVPTFILKSAVDEGAVCLDGSPPAYNWDAGKGEGARNWLLHLQGGGWCLNSTLYQTFDYSVQNCATRVFAYLGSSLHMPAAENLTGILSSDPKNNAFYNWNRVFIRYCDGGSFAGDVEQPDPVTKHYYRGARIFNAVVKDLLAKGMKDAKNVLLSGGSAGGLGSIIHCDRFRALFSKNVRVKCHADGSLFLRVKNPEQAKFFVNVFHSVVDLHKPDKALPAECTSKMSPFECFFPKSLVQYVKSPMYFLNSAFDSFQIRNTFSEDLHDAIKNHTLSPSDVPMLKDFRQQLLSVLPPSSDKGFVITSLFGHTFSLTGLKKPMFVDKKSETFESALMDWFFDQRSVKKIDPTEVPVYVQR >itb10g20780.t1 pep chromosome:ASM357664v1:10:26213084:26213734:-1 gene:itb10g20780 transcript:itb10g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTRSNRRNNYRQTQTPFKDVPRRHPTNGTTKELTPTGTGMQSRFNPLYDLEAQDMDQDLGDSLAPLDVQQPSGNLPRIRTRNQEGNKQPTQEWAQSSQKSFSQPVHPSQVQQNSRWGYRGGGRGGFPNRAAAAAEHTVVRGSNKGKSIVRTIVYREDNMSALPVTDGLENVFKENPPDLSHDPTKLADPPDTAMPEISFLPGPVGHAAPASLHP >itb11g18420.t1 pep chromosome:ASM357664v1:11:18752149:18756773:-1 gene:itb11g18420 transcript:itb11g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRLHFLLIIIGLLQSSMMIATTTLNRVSLTDKAALVTFKSRLLLDPDSVLATNWSETSSHCSWVGVYCGRRHDRVTALLLPSMGLRGTIAGDIGNLSFLVSIDISDNSFYGHAPEELGRLRRLRSLFMDTNQLSGNIPAAFGLLTNLRVLNMSQNYLSGNMPKEIGNLSALQQLALGRNNLTGNIWSEFGNLSSLQRLLLFVNNLAGTIPASIANLSNLEVFDIAHNSINGDIPYELGHLSSLKAFHLNFNSFEGEFPRPLLNISGLQKIALIGNTFSGNLPPDLAYRLPNIQGLFLAINNFSGNIPPSISNASKLENLDLGRNFLSGYIPTTFENLDKLLFLSMQFNRLRKDPSSPELGFLASLMKLKQLKTIQIGNNPLNGTFPRSFPVGNLSMSLMTFLAASSGIRGQIPIEIGNMTKLFWLGLDDNYLTGMVPTTLGNLQQMQRINLGDNRLDGTIPANICNLKDLYFLGLHNNKLSGRIPSCLGNLSSLTQLYLHSNQFFSSLPPSLWLNNKIQILDLSSNHLSGSISLNVGSLSSITELYLSSNQFTGEIPSTLGQLQHLVNLSLSINMLHGHIPESFGSLVALEYLDLSQNNLSGVIPMSLEKLQYLVYLNVSFNHLTGKIPDGGPFRNFFTQSFMGNDALCGLQLGACESPEHGELRKRVDGNLVVEGEKNFAMKQIFLKSIMELVLQCTQNLPENRINMKQVVDALKKIISKFHQN >itb10g13670.t1 pep chromosome:ASM357664v1:10:19884416:19886090:1 gene:itb10g13670 transcript:itb10g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRQDFSAGGGGAASDPNCCRNCGVKERPLHEVCHRGNFRKVCTSCVLRLHPQSFCPTCFTVYNPSQPHSSMNDVVTCFKCYSASHSQCVGPSPPTPYVCPICVSPNSPLFAPKRTKDANADAKFENDDNSFRVVDKKAARVFLAAARIAIMSTSKAALAAKAEAEKRAKEAAITRKRAREALEHLSNLVAMEKMRRKEFLLPPPDVSQPGGLVHMSDNKGWNMGTAKMPAAVQVQHDDRNRFRNLNRVETSSEVLAALNAVGLRERERLQEHEVQNPAKNVNMMDVEENGGSTMPSDLVNSGSVVQSHGGGIQIDKSGNVGNVDNIENCNGRGMRINNGTVPIPSVEDRNQQIQRIQAGEQINSSLKQ >itb08g01060.t1 pep chromosome:ASM357664v1:8:796113:798424:-1 gene:itb08g01060 transcript:itb08g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSSSSSFGPGGLDLSQTFFKPIPNAAPPSPTKRHTKISVVGVGNVGMAIAQTVLTQDLADELALVDAMADKVRGEMLDLQHAAAFLPRTKIHASVDYAVTAGSDLVIVTAGARQNPGESRLGLIERNCALFKKIIPQLVKYSRYCILMIVSNPVDILTYVAWKLSGFPANRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISIGGVPVLSFLERQQIAYEKEALENIHRDVVHSAYEVISLKGYTSWAIGYSVANLARSLLRDQRRVHPVSVLARGLYGIDGGDVFLSLPAQLGRSGVLGVTNVHLSEEEERRLQASAKTILEVQRQLGV >itb15g13750.t1 pep chromosome:ASM357664v1:15:11829375:11831615:-1 gene:itb15g13750 transcript:itb15g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHMGLTGITTGKGKVNLAESNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >itb15g00260.t1 pep chromosome:ASM357664v1:15:165874:166511:-1 gene:itb15g00260 transcript:itb15g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNQAAAPECSRTSIRIKIESPTSEFRVEMKEHDKVKDLVKIIKQAWGSEYMTLHCNSAAQMNSDSPLTAYNLRDGSVVKVRVFADAPN >itb07g09220.t1 pep chromosome:ASM357664v1:7:8257538:8261405:-1 gene:itb07g09220 transcript:itb07g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVSNFSDLIQRVTASCLLHPLFTAARDDEVSVSRGGDDSDDDNYKAEKENTHYEDAGEEAADFKQLLGGGREESRTERIIEMEMILGEVFEAVSAMKRAYVSLQDAHCPWDPDKMRVADVAVVAELRRIGVLKERYRRSVGSDDGGRGRRRVGAATLREVVAPYEAVVDDFKREVKAKEAEIQNLKEKLKTATSLAHCSTGSGKKGKSKRKVSCSTQVVVPPAPDLFESTMGMVKEASKSFTALLLSLMRSAHWDIAAAVRSIEAASFTSGAADAVVGTNHAKYALESYVNRKMFQGFDHETFYMDGSLSSLLHPDQYRRECFTQYRDMKAMDPVELLGILPTCSFGSFCFKKFLAIVHPKMEESLFGDLEQRRQVLAGNHPRTQFYGEFLELAKAVWLLHLLAFSLEPPPSHFEASSGSEFHPQYMESVVKSSVAGRTVGFAVSPGFKLANGSVMKARVYLAPKTGF >itb10g05680.t1 pep chromosome:ASM357664v1:10:5964569:5966924:-1 gene:itb10g05680 transcript:itb10g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLMNCFPDDLSISEGVNSGKSSMSSGSDQSQCTSFSRLSFEILTNSPEHNPATLMPRRSSDSSFQTIISGGAGGPSFRDFSLLRQIGSGDIGRVYLCRLRGGDEEARARLYAMKVVDNEALAVKNKVRRAETERKIMRMLNHPFLPTLFAEFQASHFSCVVMEYCPGGDLHSLRHKLPHKRFSLSSARFYAAEVLVALEYLHLLGIIYRDLKPENVLVRSDGHIMLTDFDLSLCSDAIMPAVQSPDISVYPQTSPAATRIRTPFSCISDRLFRSKKIQTLSNSRLFVAEPISARSCSFVGTHEYVAPEVASGGSHGNAVDWWAFGIFMYEMIYGGTPFAGASNEDTLRNIVQKPLTFPNDAAVGTSEMHARDLISGLLIRNPTRRLGARRGSADVKTHPFFKGLNFALIRSVTPPSVPGIRRQKTTSHPGDGSSHHTAPFDFF >itb01g34990.t1 pep chromosome:ASM357664v1:1:37425103:37426825:1 gene:itb01g34990 transcript:itb01g34990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKTGNGSARFKRASFCSSAVNMLMLFSVITTNIFALFAFTYSPKIHHGNISIVSEHVSLILGEIESSQKKLAQIEKDLLGYESMDLSSPNVAGELRTFLLHHQLPLGKDSRTGITEMVASIGHSCQKSLDLLSQFMKYKANGNCPDDWSLAQKLILSGCEPLPRRRCLAKVIPKIGLQNFPDSLWKNTSEKTLTWSGLPCKNLACLNTKKLNRDCAGCFDIQKGYETQKYVKPRGKNDFLIDDVLAMGGNGAIRIGFDIGGGSGTFAARMAEKNLTVVTASLNIDAPFNDFIAMRGLFPLYLSLDQRFPFYDGGFDLVHAGSGLDVGGRPEKLEFLMFDIDRILRAGGLLWLDNFFCSNDEKKNALTRLIERFGYKKLKWVVGEKINGSGKSEVYLSAVLKKPVRA >itb02g11160.t1 pep chromosome:ASM357664v1:2:7283504:7285767:1 gene:itb02g11160 transcript:itb02g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNSADSDLPSLANIKVRSSSPRFPPPATPSSTDTPTAGAQRKIGIAVDLSDESAFAVKYPDDKESGNASGEPIVSVASVAEEEEEDEPEYHDAHDDRKDS >itb03g12230.t1 pep chromosome:ASM357664v1:3:11258516:11263793:1 gene:itb03g12230 transcript:itb03g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVPIWQKVSILKKLIFQSTKIAAVTEPPATHFAFFHSTPLSLEKWKNKWKSDFRSQQASKNYIRYVTRQKRADSKKALKNLLFYGGSFDKSFEEKSPRENLGWDVEPDEHLDKKCKLKTSARSRTRIRRRQRKLKNQWLDEEDWNEHPAKIFQATFGDKWYTWSHKPRKEYSFDGSKTQFHHGEETEWSGRQYNWDNGSDTKYNTESCIVGSYSERSILGLPIRGPLKIEDVKNAFRLSALKWHPDKHQGPSQAAAEEKFKCCAAAYKSLCSALSPA >itb11g07230.t1 pep chromosome:ASM357664v1:11:4428717:4431208:1 gene:itb11g07230 transcript:itb11g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTAAEPGSFTAKDYQDPPPAPLIDPEELTQWSFYRALIAEFIATLLFLYVTVLTVIGHSKEADACNGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMAAQCLGAICGVGLVKAFQSSYYTRYGGGANKLADGYSDGVGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAIMYNKDKAWNDHWIFWVGPFTGAAIAAFYHQFILRAGAVKAFGSFMSISSQV >itb03g03770.t1 pep chromosome:ASM357664v1:3:2257197:2258766:-1 gene:itb03g03770 transcript:itb03g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFQQDPFSYGCRDFHGMEGWDCYGGFGFEDDDERKLNYCDAFTEQVGSNGGYYCWDYSSPPVSAANTSSPEVCAAAGNGLMDPPPAAAPVTRRKRRRAKCSKNKEEIENQRMTHIEVERNRRRQMNDYLAVLRSMMPPSYAQRGDQASIVGGAINFVKELEQLSQFLEAHKLVKNLPYNNATKTSTSSSSLPFSTFFHFPQYSTSATRNHVAASDKAAAEKCSAVADIEVAMVDDHANVKVLSRKRPKQLLKMVTWFHAMSLTILHLNATTIDHMVLYSFSLKVEEECRLSTVKEIASSVHEMVAMIEQENQ >itb04g08010.t1 pep chromosome:ASM357664v1:4:5590438:5597754:-1 gene:itb04g08010 transcript:itb04g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTMAPNPPKPEELPQVCRDVLMEYSKYVMRLGLSLLELMSEALGLPKNHLQKMGCGEGLFLVGHYYPACPQPQLTFATSSHSDSGFITLLIQDQIGGLQVLHENQWVDVPPLPGAVVVNIGDLLQLITNDKFKSSQHRVVAQKIGPRTSLASFFRTHFGEGNATKVYGPLKELLSDKNPAIYKEVTVKEYVTHYYKKGLDGTSAPQLREREAHSHRRTFPTLNLPRSVASEAETLEKAKKMGFFSFLGRVLFASIFILSAWQMYNDFGLDGGPAAKELDPKLVVVKRFLASKLGEGPANVDVKHVVAASLVLKGAGGLIFVFGSPFGAYLLMFYLLYITPILFDFYNYKFGEPQFFTLLQDFLQNVALFGALLYFLGMKGSISRRKLKKKAPKSKAA >itb12g21050.t3 pep chromosome:ASM357664v1:12:23443739:23445880:-1 gene:itb12g21050 transcript:itb12g21050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDFQGVVLSGQEMDGDTFSGLGNGAQMIDGKVLQTFQKSFVQVQTILDHNRLLISEINQNHESKSPDNLNRNVGLIKELNNNIRKVVDLYAGLSTSFSKNMDASSEGDSSGALRSSDGKGCHKRNRPG >itb12g21050.t2 pep chromosome:ASM357664v1:12:23443739:23445665:-1 gene:itb12g21050 transcript:itb12g21050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTFSGLGNGAQMIDGKVLQTFQKSFVQVQTILDHNRLLISEINQNHESKSPDNLNRNVGLIKELNNNIRKVVDLYAGLSTSFSKNMDASSEGDSSGALRSSDGKGCHKRNRPG >itb12g21050.t1 pep chromosome:ASM357664v1:12:23443739:23445125:-1 gene:itb12g21050 transcript:itb12g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTFSGLGNGAQMIDGKVLQTFQKSFVQVQTILDHNRLLISEINQNHESKSPDNLNRNVGLIKELNNNIRKVVDLYAGLSTSFSKNMDASSEGDSSGALRSSDGKGCHKRNRPG >itb07g08080.t1 pep chromosome:ASM357664v1:7:6308849:6309387:-1 gene:itb07g08080 transcript:itb07g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVVRGQAMELNSFVTVKVHSVNVTTATGNSLIKRTSPENRSGRRRVVIIKGGASFDGQHTHILKGKRRVQHRNRVGKLKLSELQIFVLATCGIADVHCFGVGKEAILE >itb09g22070.t1 pep chromosome:ASM357664v1:9:20361902:20362325:-1 gene:itb09g22070 transcript:itb09g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWYGALVQGTTPSIVASVDVKYVLVWGTSARHFPQHCGLGHLLQDEDVVQIVKKKEKEEGGRGRFKSHSNSPARISDREKNPSLKT >itb02g22380.t1 pep chromosome:ASM357664v1:2:21789878:21791389:-1 gene:itb02g22380 transcript:itb02g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWRLRRFVVEAAEACGLCGGVCGRRRFRSTDLKKELAIEMEEKRSSGGVGCGVYCRSWRLLWLFQGLAVEAAEACSVGRGSDLKKELATKKKEAT >itb14g02080.t1 pep chromosome:ASM357664v1:14:1679838:1688340:1 gene:itb14g02080 transcript:itb14g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVFDKAVEDVATKVVNKFVQTVAANMELVSGIGSAIADLTSDIETFNARLVDVSKNQHASELQVLRVAVKKFRSVVDEAQDAVAKYIALNKKHEHNGVAKCFDKLPVPVCGNINVCAKEIQSIRGKMNKLLQTHEKDLISYMNYRSMGQDNDMQPLQPIVEEGKATGFEDEVNTLRTRLMGPSNNFIVIPIVGMPGTGKTTLAYQIFHSTNKLNTFVHTMWLHVSQSFDRKQRYIDMLCEITNRPRDEYSQIDENQLAAKIKDHLKDKKYFIVLDDIWEKNDWDSLVATLLPKNSQGSRVLVTTRFDKVVDSDEEPHILEPLDPEVSWKILEKIVFGKDGCPKNDSTLKTLGMDIAKRCKGLPLSLVMVGGILSKSRAHAYWKRVAGNPFQEINKKDQSYRKVVRLSYDHLPHEKLRNCFLYFASFPMGQEIGAAKLIRLWIAEEFIPTIDEWGYGLDLEIEAENYLKDLVDRNLVIPMKRSVDGQIKTCRIHDSLHEFCKTEAAKNNLFHIIDREQKLDATTISSHRRRLCFHSSSSSTAKIFDVEEDDPSFLFLNCYNKMKKKSPYPSGHEHVHSLLLSSSQKSDIDLKQEELIAIPNAFPLLRVLDIQSFKLSFQLPNELFSLNFLKYLAITTNVNLLPKAFKNLCELQTLVIKTTEGTVLEINGGIWNMEKLRHVQTKNASIQLPSPQKRWKNKNGKTNIRTLSSISPTSCQKEIFSKTPHLKKLGVRGNLAELLEEKQEICLFNNLQMLNYLENLKLYGQDENALKVSMLDKFAHRLRKLTFYKTFFEWDDMRILGSLEELEVLKLDENAFRGKQWDLKSDVVFNQLQYLRIGRTNLETWTTMENSFPVLENLILSNCTSLEAIPIAFAKVHSLKMIELFHMSENATNSARYVAEQRRDKENVKELDLKITPLPQKETALVVQEDIVVGFDNVVKIIKDRLTGGSFDLTFISIVGMAGLGKSTMAKMVFNDLELHYEFFTRIWIDVSRTFSRRKVFLDIISHFIRETKDYINVSEEFLAERIKMYLEGGKYFIVMDDVWRVEDWDCLKIVFPNNMKGSRVLVTTRHKGLALHADSANNPHPLKFLNDDESWLLLEKMVFQKERCPPLLEHHGRSIAKRCNGLPLAVVTIAGILGKDKTLAEWERVAEDPLAVINQENQSYHELVRLSYNQLPSHLKNCFLYLAVFPRLYCISARKLIRLWIAEGFISPVEGDGSTSSNLELTAEEYLSALVDRNLLMVVKRKAGGQIKTCCLHDTLHGFCKSEAANANLFHEMEEGAGLEVNINPHRLCINSRFIFDFLRSKKKPSSKDVRSFLSFCSQRIEIPREHLHTIPKFFPLLRVIDVESLIFNHLSKELFRLYQLRYLAISTHHLKIIPAIFNKFEELQTLVFNTSQSRIEARANLWSMPKLRHVHTNKPMLLPPPPLKNNSEIQTLSTISPSSCTREILDNTPNLQKLGIRGDFVEHMEMMRRSNGGTSLFDNLHKLECLENLKLINEAAIQHSQLLSFPSADKFPRKLRKMSLCNTRFDWKDMSILGSLDELEVLKLDYEAFMGEVWDVSNIVFKRLKYLRIQKTYLVSWIVSKDSFPVLEYLVLSRCDSLDAIPSAFGEVESLKEMELFCTNTKAANSAREIKLNRDANIGGRFQLLTLHLFS >itb14g17950.t2 pep chromosome:ASM357664v1:14:21115942:21118427:1 gene:itb14g17950 transcript:itb14g17950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIGIAILRAQRFCGALRRTFVMASPDPKFHLRFSFCTQVPTSSLDSGSENEHIVAEEDAGVNSLSSRVEKLPRGEPIGSAFQSWMGDGFSIHRGHIFHTINRLRRLNSTKRALEVMEWVIRERPYRPKELDYSYLLEFTSRLHGVSQAESLFCRIPSEFQNELLYNNLVLVCLERGLIRLSLAYMKKMRELGHQISHLVFNRLIILHSSPGRRKSVPKILIQMKADKVTPHVSTFNILLKIEANEHNIEGLVKVCNDMKRAKVQPNEVTYCILATAHAVARLYTVCEAYVEAVEKSATGKNWSTLDILVILYGHLGKQKELERTWGITRELPHVKSKSYMLAIEAFGRIGDLNRAEGLWLEMKLEKGLKSIEQFNSMIAVYCKHGLVTKATGLYKEMEACGLKANSITFRHLALGCLKAGGLVKEAMKTLDLGMDMTTSIKVKRSIPWLETTLSIIEILADNGELKMVEKLFEELKKAKYTRYTFVYNALIKSYVKAKVYDPNLLKRMVLGGARPDSETYSLLKLIEQFQA >itb14g17950.t1 pep chromosome:ASM357664v1:14:21115942:21119198:1 gene:itb14g17950 transcript:itb14g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIGIAILRAQRFCGALRRTFVMASPDPKFHLRFSFCTQVPTSSLDSGSENEHIVAEEDAGVNSLSSRVEKLPRGEPIGSAFQSWMGDGFSIHRGHIFHTINRLRRLNSTKRALEVMEWVIRERPYRPKELDYSYLLEFTSRLHGVSQAESLFCRIPSEFQNELLYNNLVLVCLERGLIRLSLAYMKKMRELGHQISHLVFNRLIILHSSPGRRKSVPKILIQMKADKVTPHVSTFNILLKIEANEHNIEGLVKVCNDMKRAKVQPNEVTYCILATAHAVARLYTVCEAYVEAVEKSATGKNWSTLDILVILYGHLGKQKELERTWGITRELPHVKSKSYMLAIEAFGRIGDLNRAEGLWLEMKLEKGLKSIEQFNSMIAVYCKHGLVTKATGLYKEMEACGLKANSITFRHLALGCLKAGGLVKEAMKTLDLGMDMTTSIKVKRSIPWLETTLSIIEILADNGELKMVEKLFEELKKAKYTRYTFVYNALIKSYVKAKVYDPNLLKRMVLGGARPDSETYSLLKLIEQFQA >itb05g28620.t1 pep chromosome:ASM357664v1:5:32364541:32368958:-1 gene:itb05g28620 transcript:itb05g28620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSHQLCFWMFLVSIVVEGGVVAYQQPYAAANGRWQIKKNNNYYYYPVIYVDPSGHGHFRTIQAAIDSVPQYNKNWICIHVRAGTYREQVKIPREKPYIYLRGQAQRRTNVIWDGHDSIEAATFSCDADNTFVKSITFINSYNFPPEHNRNPRRVAVAAMLSGDKMAFYRCGFKGWQDTLWDVHGRHYFKKCSINGAVDFIFGNGQSIYEGCSISVDRGGEEGMIGYITAQGRQEGGESSGFVFKKCHVHGSGKAYLGRPWRDYARVIFYRTYMEDNVVPQGWTSYATSSGLNKLTFVEHGCSGPGSNKSMRVKWLAKLGGRELRYLTSLSYIDNDGWLLKLPPSKILA >itb01g19180.t1 pep chromosome:ASM357664v1:1:25162556:25167226:-1 gene:itb01g19180 transcript:itb01g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSAGSRRTRVGRYELGRTLGEGSFAKVKFARNLETEESVAIKILDKEKLLKHKMVGQIKREISTMKLIRHPNVIRMFEVMASKSKIYIVMEFVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILYVLMAGYLPFEDSNLVALYKKIFKADFACPPWFSSSAKKLIKRILDPNPQTRITIAEVIENDWFKKGYQPPVFQQEDVNLDDVNAIFDESADSSNLVVERREELPNAPLAMNAFELISRSQGLNLSSLFEKQVGLVKRETRFTSKCPASEIISKIEETAVPLGFNVKKNNYKLKLHGEKTGRKGHLSIATEVFEVTPSLHIVELRKAGGDTLEFHKFYKNLSTGLKDIVWKTEEPTAELEVGSSSGLA >itb06g11640.t1 pep chromosome:ASM357664v1:6:16181171:16181893:-1 gene:itb06g11640 transcript:itb06g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLWAVRTVGPTIPSAYLDNRLPDDREYDLSMFKPMTEICMKWLDDQQDKSVIYVSFGSLVSLQGQQMEELAWGLWKSNKPFLWIVRSTEEANKLPENFVGMATEEGRGLVVTWCPQLQVLAHRSLGCFVTHCGWNSTLEAISLGVPMVAVPQWSDQITNAKLVMDAWKIGVRVKLDEKGVAKREEMEECIRRVMGEEEMRANMNKWKQVCREAMEEGGSSDRNIQDFVSCLVSKSMTN >itb03g00780.t2 pep chromosome:ASM357664v1:3:435452:438040:1 gene:itb03g00780 transcript:itb03g00780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEKATGDLNILPAAGKMNESSSNGSISKTQAEHGVERCQENDKEKTAPVVQAAINGDVKHNSGTEAGNSEVEYIESENLNDVEDVDTSLKTLLAGLDSKDWVLVCEALNDVRRLCIFHKETMLDMLEKVISLIVKSLKNPRSAVCKTAIMTSADIFKAYGESIVDSMDPMLVQLLLKSSQDKRFVCEAAERALIAMTTWVAPVLLLPKLQPYLKNRNPRIRAKTSMCFSRSVPKLGVEGIEAYGLDKLIQIAASQLSDQLPESREAARALLLELQSVYEKKTTAVTEEDQEAISWEQFCQSKLSPLSAQAVLRVTNVPREGLVQGS >itb03g00780.t1 pep chromosome:ASM357664v1:3:435452:438040:1 gene:itb03g00780 transcript:itb03g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEKATGDLNILPAAGKMNESSSNGSISKTQAEHGVERCQENDKEKTAPVVQAAINGDVKHNSGTEAGNSEVEYIESENLNDVEDVDTSLKTLLAGLDSKDWVLVCEALNDVRRLCIFHKETMLDMLEKVISLIVKSLKNPRSAVCKTAIMTSADIFKAYGESIVDSMDPMLVQLLLKSSQDKRFVCEAAERALIAMTTWVAPVLLLPKLQPYLKNRNPRIRAKTSMCFSRSVPKLGVEGIEAYGLDKLIQIAASQLSDQLPESREAARALLLELQSVYEKKTTAVTEEDQEAISWEQFCQSKLSPLSAQAVLRVTNVPREGLVQGS >itb01g14920.t1 pep chromosome:ASM357664v1:1:17024385:17027047:1 gene:itb01g14920 transcript:itb01g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNLNQFHHENGETYDRQSELKALDETKAGVKGLVDAGITKVPRIFIHPKTTQNYSSVSTANKHKIPIIDLQGIHGNEMKRRQVVEAVGEASATWGFFQVVNHGIPGNVLEEIMSGVRGFHEQDTEVKKEWYTRDRSRRVVYNCNFDLYTAPAANWRDTVHCNMAPNPPHPQQLPPICSDILIKYSKEVEKLGGVCFELLSEALGLHPNYLKDIECNKGLALLGHYYPPCPKPDLTFGTTKHANNDFLTILLQDRTGGLQVLHQNQWIDVPPSPGAIVVNIGDLLQLVTNDRFKSSEHRVLANHCGPRISVACFFSTFLLPLPRLYGPIKELLSDENPPKYRETTVKEYVAYFHAKGLDGTSALLHFKL >itb01g14920.t2 pep chromosome:ASM357664v1:1:17024385:17027047:1 gene:itb01g14920 transcript:itb01g14920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNLNQFHHENGETYDRQSELKALDETKAGVKGLVDAGITKVPRIFIHPKTTQNYSSVSTANKHKIPIIDLQGIHGNEMKRRQVVEAVGEASATWGFFQVVNHGIPGNVLEEIMSGVRGFHEQDTEVKKEWYTRDRSRRVVYNCNFDLYTAPAANWRDTVHCNMAPNPPHPQQLPPICSDILIKYSKEVEKLGGVCFELLSEALGLHPNYLKDIECNKGLALLGHYYPPCPKPDLTFGTTKHANNDFLTILLQDRTGGLQVLHQNQWIDVPPSPGAIVVNIGDLLQVQELRTQSAG >itb14g01560.t1 pep chromosome:ASM357664v1:14:1181488:1184591:-1 gene:itb14g01560 transcript:itb14g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAKELSTFQITTTIIFFLSLSFFIVILAMFINDKYKKIIVYEDIYFPHPPNNNSSSCTSPTSSSSPSHNVGFDEFKDWVSPKDVWHSMSDQELLWRASMAPRIAEYPFNRTAKVAFMFLARGGLPLAPLWDMFFRGHEGLFSIYLHVNPQFGYVEPPESSVFYKRRIPSKAVQWGRASMIDAERRLLANALLDASNERFILLSETCIPLFNFSFIYTYLVNSEKSFLGLFDDPRKIGRGRYNKRMYPTISLSDWRKGSQWFEANRRLAVEIVSDVVFYPIFRRHCAPPCYMDEHYLPTLVNVVCPRLTANRSVTWADWSAGGSHPTTFVGRDVTEGFLDGVRHRRNKGIRGMNCGTTQHNPSQKTTYRGSPFPLLR >itb08g07750.t1 pep chromosome:ASM357664v1:8:6656955:6660219:1 gene:itb08g07750 transcript:itb08g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLDCSSAVAGCESHFLFHLSLSLSLALAPLLFHSESHFSLHSEKRHFIDVVEIWLGSRGSPNRQVSLTGDATDSGFKFVICWTEIPFSHQQAPKELVVVISF >itb15g14760.t4 pep chromosome:ASM357664v1:15:13198654:13207987:-1 gene:itb15g14760 transcript:itb15g14760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAAALSLSSFTASPERSINASPSFLLRSIAGSAAAIRIFPVAAKHSSLCTHSGNISLNSCWYQGRAWSMSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVKVLRSIRKIEPSDVILGRYDANPQGKVDGNLSDLAPTYFSAALYIDNARWDGVPFLIKAGRGLNKNRVEIRIQFRHVAGNLYHKDNLRSVELATNELILRDVPEESILVRINNKVPGLGMHLDASELNLLYKDRYDIEVPDSYEQLLHDVIDGDNHLFMRSDEVAAAWNILSTVLHEMGNFNVVVELYKLGSKGPDEAADLWAKHGVQWLDE >itb15g14760.t2 pep chromosome:ASM357664v1:15:13198525:13208070:-1 gene:itb15g14760 transcript:itb15g14760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAAALSLSSFTASPERSINASPSFLLRSIAGSAAAIRIFPVAAKHSSLCTHSGNISLNSCWYQGRAWSMSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQKFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVHLLHMCVESET >itb15g14760.t5 pep chromosome:ASM357664v1:15:13199332:13207982:-1 gene:itb15g14760 transcript:itb15g14760.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAAALSLSSFTASPERSINASPSFLLRSIAGSAAAIRIFPVAAKHSSLCTHSGNISLNSCWYQGRAWSMSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQKFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVKVLRSIRKIEPSDVILGRYDANPQGKVDGNLSDLAPTYFSAALYIDNARWDGVPFLIKAGRGLNKNRVEIRIQFRHVAGNLYHKDNLRSVELATNELILRDVPEESILVRINNKVPGLGMHLDASELNLLYKDR >itb15g14760.t6 pep chromosome:ASM357664v1:15:13200032:13207982:-1 gene:itb15g14760 transcript:itb15g14760.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAAALSLSSFTASPERSINASPSFLLRSIAGSAAAIRIFPVAAKHSSLCTHSGNISLNSCWYQGRAWSMSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQKFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVKVLRSIRKIEPSDVILGRYDANPQGKVDGNLSDLAPTYFSAALYIDNARWDGVPFLIKAGRGLNKNR >itb15g14760.t3 pep chromosome:ASM357664v1:15:13198525:13208070:-1 gene:itb15g14760 transcript:itb15g14760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQKFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVKVLRSIRKIEPSDVILGRYDANPQGKVDGNLSDLAPTYFSAALYIDNARWDGVPFLIKAGRGLNKNRVEIRIQFRHVAGNLYHKDNLRSVELATNELILRDVPEESILVRINNKVPGLGMHLDASELNLLYKDRYDIEVPDSYEQLLHDVIDGDNHLFMRSDEVAAAWNILSTVLHEMGNFNVVVELYKLGSKGPDEAADLWAKHGVQWLDE >itb15g14760.t1 pep chromosome:ASM357664v1:15:13198525:13208070:-1 gene:itb15g14760 transcript:itb15g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAAALSLSSFTASPERSINASPSFLLRSIAGSAAAIRIFPVAAKHSSLCTHSGNISLNSCWYQGRAWSMSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQKFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVKVLRSIRKIEPSDVILGRYDANPQGKVDGNLSDLAPTYFSAALYIDNARWDGVPFLIKAGRGLNKNRVEIRIQFRHVAGNLYHKDNLRSVELATNELILRDVPEESILVRINNKVPGLGMHLDASELNLLYKDRYDIEVPDSYEQLLHDVIDGDNHLFMRSDEVAAAWNILSTVLHEMGNFNVVVELYKLGSKGPDEAADLWAKHGVQWLDE >itb15g14760.t7 pep chromosome:ASM357664v1:15:13200032:13207982:-1 gene:itb15g14760 transcript:itb15g14760.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MAAALSLSSFTASPERSINASPSFLLRSIAGSAAAIRIFPVAAKHSSLCTHSGNISLNSCWYQGRAWSMSLSGITPLHDGEHVAVSSAAIISNVSSLTDGTQIGRSPAISIAVIGATGELARRKIFPALFALYYSGQLPQKFAIFGYSRKELTDEDLRAIIATTLTCRIDEQQNCEDKIEAFLKRIHYLNGGFNNREGMLKLHVLMEHVEGKFETNRIFYLSVPQEALSDVALSIAEHAKTEKGWNRIIIEKPFGCNSLSSHRLTSSLLSNFEEKQLYRIDHLLGRNTIENLTVLRFSNLVFMPLWNRNYIQSVQVIFSEEVGMQTPTGHLDASGIIGDVVHSHILQTIALLAMEPPVTLDGEDVRNEKVHLLHMCVESET >itb09g28130.t2 pep chromosome:ASM357664v1:9:28728942:28735358:1 gene:itb09g28130 transcript:itb09g28130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELINVGQNQEALQSLHDLITSRRYRAWQKTLEKIMFKHVELCVEMRRGRFAKDGLIQYRIVCQQVNVNSLEEVIKHFVHLATERAELARSQTQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSRLEALYAMIAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELNLWQEAFRSIEDIYGLMCLVKKTPKPSLLVVYYAKLTEIFWISSSHLYHAYAWLKLFSIQKSFNKNLSQKDLQVIASSVVLAALSVPPYDRFYGASHSELENEKERSLRVANLIAFDVEPKAESREVLSRSSLLSELVSKGVMTCVTQEVKDLYYLLEHEFLPLDLALKAQPLLNKISKLGGKLSSASNVPEVQLSQYVPALEKHVTLRLLQQVSQVYQTIQIDNLSRMVPFFDFAAVERISVDAVKHNFIAIKVDHMKGAVLFGKQSIEAVGLRDHLSALAESLSKARITIYPPMAKAAKLGEMLSGLGEVVEKEHRRLLARKSIIEKRKEDQERLLLEKEREEESKRLKQQKITEEAEQRRLAAEFEQRKNQRILREIEERELEEAQALLEEAQKGVKKKGKKPILEGEKITKQTVMELALSEQLRERQEMEKKLQKLAKTMDHFERAKREESAPVMQAAFEQQLAADAALHEQEQQQEVELSKQRHTGDVLEKRRLGRMMENKKIFEATVVSHRESEHNRLKRERQERIDQIIQSRKQEREARRKMIFFLRAEEERLNKLREEEEARKHEEMERRKKEEAERKAKLDEIAERQRQRELELEEKERKRKEELLGKSTALPARPTEPLSRPPETGATAPAAAAAAAASAPTKYVPKFKRGGMAEGASQAPPPETDKWGGNRQDDRPSDRWGSRPADDRWRDRDDRDRERRPFGAGSRPGTWSSSRSRGER >itb09g28130.t1 pep chromosome:ASM357664v1:9:28728937:28735358:1 gene:itb09g28130 transcript:itb09g28130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELINVGQNQEALQSLHDLITSRRYRAWQKTLEKIMFKHVELCVEMRRGRFAKDGLIQYRIVCQQVNVNSLEEVIKHFVHLATERAELARSQTQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSRLEALYAMIAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELNLWQEAFRSIEDIYGLMCLVKKTPKPSLLVVYYAKLTEIFWISSSHLYHAYAWLKLFSIQKSFNKNLSQKDLQVIASSVVLAALSVPPYDRFYGASHSELENEKERSLRVANLIAFDVEPKAESREVLSRSSLLSELVSKGVMTCVTQEVKDLYYLLEHEFLPLDLALKAQPLLNKISKLGGKLSSASNVPEVQLSQYVPALEKHVTLRLLQQVSQVYQTIQIDNLSRMVPFFDFAAVERISVDAVKHNFIAIKVDHMKGAVLFGKQSIEAVGLRDHLSALAESLSKARITIYPPMAKAAKLGEMLSGLGEVVEKEHRRLLARKSIIEKRKEDQERLLLEKEREEESKRLKQQKITEEAEQRRLAAEFEQRKNQRILREIEERELEEAQALLEEAQKGVKKKGKKPILEGEKITKQTVMELALSEQLRERQEMEKKLQKLAKTMDHFERAKREESAPVMQAAFEQQLAADAALHEQEQQQEVELSKQRHTGDVLEKRRLGRMMENKKIFEATVVSHRESEHNRLKRERQERIDQIIQSRKQEREARRKMIFFLRAEEERLNKLREEEEARKHEEMERRKKEEAERKAKLDEIAERQRQRELELEEKERKRKEELLGKSTALPARPTEPLSRPPETGATAPAAAAAAAASAPTKYVPKFKRGGMAEGASQAPPPETDKWGGNRQDDRPSDRWGSRPADDRWRDRDDRDRERRPFGAGSRPGTWSSSRSRGER >itb12g00800.t1 pep chromosome:ASM357664v1:12:579989:583398:1 gene:itb12g00800 transcript:itb12g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLVNGSTLHLKFSHNNLSHSSNHTFIPTSPPRYTKETKSAMEMGKLNRLCFVLFFSLCSAVSSISGSDDADCVYTAYIRTGTVWKGGTDSIISLTLYDADGYGVRINNLEAWGGLMGEGYDYFERGNLDIFSGRGPCLSSPICSMNLTSDGTGPHHGWYCNYVEVTVTGVHQACSQQLFTVEQWLATDTSPYTLTAIVDNCYSVRSRLAAGDGEKISSQIIAEI >itb12g00800.t2 pep chromosome:ASM357664v1:12:579960:581152:1 gene:itb12g00800 transcript:itb12g00800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLVNGSTLHLKFSHNNLSHSSNHTFIPTSPPRYTKETKSAMEMGKLNRLCFVLFFSLCSAVSSISGSDDADCVYTAYIRTGTVWKGGTDSIISLTLYDADGYGVRINNLEAWGGLMGEGYDYFERGNLDIFSGRGPCLSSPICSMNLTSDGTGPHHGWYCNYVEVTVTGVHQACNQQLFTVEQWLATDASPYSLTAVVDNCYYDRSRLAAVDGEKTTISSPVIAVV >itb12g00800.t3 pep chromosome:ASM357664v1:12:582225:583423:1 gene:itb12g00800 transcript:itb12g00800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKVNSLCFGLVFLFCFAVSSISGSDPDCVYTAYVRTGSILKAGTDSIITLTLYDSDGYGVRINDLEAWGGLMGEGYDYFERGNLDIFSGRGPCLSSPICYMNLTSDGSGKNHGWYCNYVEVTVTGVHQACSQQLFTVEQWLATDTSPYTLTAIVDNCYSVRSRLAAGDGEKISSQIIAEI >itb03g13340.t1 pep chromosome:ASM357664v1:3:13517717:13523990:1 gene:itb03g13340 transcript:itb03g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORTAGE IN CHIASMATA 1 [Source:Projected from Arabidopsis thaliana (AT5G52290) UniProtKB/Swiss-Prot;Acc:F4KG50] MRTRFLCTDYSVSSVIQATDFLRLPLPHLPLSSSSEFENLHRFDQLSIFSIDLGIKQLPIEKALSKFLSESFPNFIDVEIRDFDNAPSHSEKAASSKLTSSISNQNAEEDSILIDGKQDTIFDSLQYEIPELHSFLLPMHKSTFYFEDMRILTDISFAEKKEMPSPETMLQYPLYSPQSIYAVDEIHSPTFVEKKVDYLEDGGSIQGQYLSLTTQFPLFEVDEAGLGILSDIPTKNQLLIFESIEIQYLMGGDGMSNSEGLLDSRNVDFLECVSDHCLLANRFKMEIPFLNYSFEADIISFLEQRENSDGGSICLRSPMIFEELQLFDSACPSHFSEVLSDAKLKIEKEMPLESRSLRSFDELVVCQELTMIDSSFKSLHVPLFPDKEKTRSLHSHVEEILFELDTLHFFVSDDLYLDWHILEEVNHTCNADSLFSKVLENVYNIDSALELGDNEKLVYDFILSGDSPKASNKEENKEILHISFNDIPEFPLPLGEKSSSKLHIGRNREASTGGESSKIVAKNISLLAGSFSQFNDLDFLLNPQDYTRGKEHKQTNQIPDFGAVDWNNSDSQKQNPHSLSSLSGSDKLEKQQSFISVENNYDSGCIETFGGDEVCSTMLPQCASFDRSEQVHTNDYSFPDTIIVVNTQNFDKQMVISRRNTYQKILALEKKGAQVIERDLHHPVDVIISAAICIAWYDCKNIAKKATSSDEAFSCLPLCVENIAASILTSLSFAFSGCILVFEGENSFLDGIMESSDELYAAAASLGIDIQIFCSYCSEMTENIILSCIEAESRTNRGLFPKVSELETLAESFLTMFPSINPLSAHAILSSMSMLLEFLEWSPEQRFHVVQKYQVSYESISLLSALSRYGEREESKSGMTECSSSVSSVPGSEPLHFGSNSGMRKRKYAGNLQDLEDSLLCTSDPNYSWISEKTDIFDELGKSSLSFDNNLFGQPLELETNMTMNPHNSSQTYDFQTARDPELGDEMNKADVTSIGACFPPRQTLDGSAINKMDRQSGKDSRNAYKDVIGEVIDIEDTHAVSKKISTANCAYLSPLLHEVDQDLTTRIPKAARKLTFGTSNLSTFPTSDKIDSCSDVWISIRDQGQSSRERINWQPGIGINRNSTLLEYNNGVSGGAQSQKEVQNLQDHLLQERNTVTPLSSAINSSQLLQGSPWTIEFLNRIREKSRSRQHSILSKVSAPSYGYPRTRSKGTKRSPSIFEFYKYQGQGASERSIEQKKQKRYIRSSSSSKVEMASASHLPTWTPIDKKAKQTLSFATNGSGGQTKLVWSDRDSHTPGRRF >itb02g13100.t1 pep chromosome:ASM357664v1:2:9118692:9123560:1 gene:itb02g13100 transcript:itb02g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSTLLSRSLSFPSASAFLGWKYGVERGIQRFSTAAAVEELVSPGVEVKYTQHIINGKFVDSASGKTFPTLDPRTEEVITHVAEGEAEDINRAVAAARKAFDEGPWPKMSAYERSRIMLKFADLVEKHTDELATLETWDNGKPYEQAAKSELPMIVRLFHYYAGWADKIHGLTVPADGPHHVQTLHEPIGVAGQIIPWNFPLAMFAWKVGPALATGNTVVLKTAEQTPLTALYAGKLFHEAGLPPGVLNIVSGYGPTAGAALASHMEVDKLAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIICDDANIDRAVEDAHFALFFNQGQCCCAGSRTYVHERIYDEFVEKAKARAMRRVVGDPFKKGVEQGPQIDSEQFEKVLRYIRSGKESGATLECGGDRIGSKGYFIQPTIFSDVKEDMLIAQDEIFGPVQCVLKFKDIDEVIKRANNTHYGLAAGVYTSDIDKANTLARGLRAGTVWINCYDVFDATIPFGGYKMSGIGREKGVYSLNNYLQVKAVVTPLKNPAWI >itb13g19850.t2 pep chromosome:ASM357664v1:13:26760527:26763441:1 gene:itb13g19850 transcript:itb13g19850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVVLLALVSAAAVLPTGEALWLDLPSSGTKCVSEELHNNVVVLADYYIIGDEEHKVVPTFSVKVTSPYGNNLHHQENVTHGQFAFTTTESGNYLACFWMDGNLPVSKGATVGLDWKTGIFAKDWESVARKEKLEGVNIELMKLEGLVQAIHENLNYLKER >itb13g19850.t1 pep chromosome:ASM357664v1:13:26760502:26763895:1 gene:itb13g19850 transcript:itb13g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVVLLALVSAAAVLPTGEALWLDLPSSGTKCVSEELHNNVVVLADYYIIGDEEHKVVPTFSVKVTSPYGNNLHHQENVTHGQFAFTTTESGNYLACFWMDGNLPVSKGATVGLDWKTGIFAKDWESVARKEKLEGVNIELMKLEGLVQAIHENLNYLKEREAEMREVSERTNARVAWFSIMSIGICIVVSLVQIWRLKTFFQKKKLI >itb08g14730.t1 pep chromosome:ASM357664v1:8:16505254:16511347:-1 gene:itb08g14730 transcript:itb08g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPAWRTDGVLIAMALHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIATEPITSVIHPFGEILAYFLLFAIPTLTTLTTGTASNISLIGYFTYIDAMNNLGHCNFEFFPKCLFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTMDKWSDTLYEISLEKEEEFPDVVHLTHLITPESVYYLRLGFSSWASVPQNQKWYIWLMWPMTTIINSICNQTFILERNILGKVKSQSWVIPKCKVQYLLKRRQSRDINGLIEEAILDAEAKGVKVLSLGLLNQSEELNRNGEVYVQKYPKMKMRLVDGSSLAAAIVMNTIPKGTTEVLLRANLNKVSISIASALTQRGIKVVTSCEDDYEKLKLAINSKDNLVLSRSFTQKNWLPRKAMSAARLAGILHALEGWNVHECGETMLDTDKVWEAAVHHGFRLMMLDFEWLRSFK >itb11g08750.t1 pep chromosome:ASM357664v1:11:5737206:5738165:1 gene:itb11g08750 transcript:itb11g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCFPFSYEKCAQEEDKMPEDLMPSALNYLSAKTQTLRRHEEMQEDLMPSALNYLSAKTQTPRRHAGELCEICMDTKTQNQMFRSDSCTHSYCSDCIGRHITAKIKENRVSGGGVKCPDVFCKGIIEPQHCGSINIRREVLDRWEIAVCESLILASQKFYCPYKDCSAMMVDDGSEPVTAPECPNCRRLFCAQCKVAWHAEKDCREFQSLDIEDERGREEDVMLMELAEKKQWRRCPRCSFYVERRDGCLHISCRCGKEFCYRCGATYSPLHVCPSTYDQD >itb06g18080.t1 pep chromosome:ASM357664v1:6:21828086:21832618:-1 gene:itb06g18080 transcript:itb06g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSIDGEVKPATLEKVKEEGLLLYCNLFDAEMVYKIAEEFLMGFASACVDNTMGGLWSSPGAVAVDIRQEMVEYLIRRSETFVAESIVLECTPDIGVSANPFDIISDFIDDFAHSKRNFFSHVSVWLSSDRREDRIEDFVEELELNGFWLISQREEVAKTLLRNVDFKTSYHCNMKFKTEDELEQHIASCNFRTVNCVNEGCDAVYSAGKLEEHDSTCPFKTLPCEQKCADIIMRRDMDRHCITVCPMKLVNCPFYPVGCLFTIPQHTVGRHRAENLQSHLICVLKLIHKEASLEALKERAELLEKALSPERLSAAKDARSLTFTIKDHNTKLGPLVGVKKSAESDAEDDKLTNDEDNSMALSPMGKQLYKNETSSPIRFSGQTPTPIPQPHRCFWTIMESNRGQSGGIQLLLSAEQEAQHVVNAARSAKQARLKQAKEEAEKEIAALRAHLEAEFQKKLSKSTGDSGANVKRLEHETEAKIQHLKADTKRISHDVAQMLLRHATTVKN >itb13g25330.t1 pep chromosome:ASM357664v1:13:30736733:30743722:1 gene:itb13g25330 transcript:itb13g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSNPTKNDNSKKRKKRNKSGPKAIDMKLKAPAPNPFEAIWSRRKFDILGKKRKGEERRIGLARSLAIEKRKKTLLKEYEQSAKSSVFVDKRIGEQNEELGEFDKAILRSQRERRLKLKKKSKYNLSDGEEEELDVGFSGGRDDFEDEVPFDEDEDDGLNDGDKRPAILEQLDSHGSQVMQHGLVEGQEDRQKSKKEVMEEIILKSKFFKAQKAKDKEENEQFVEQLDKDFTSMVHSKALVSLTQPDKISALKALVNKGIPNDNEKKSKAAESQRKDSLQKETPDSYDKLLSEMALDVRARASELAKTPEEIAQEEKERLERLEEERKKRMIATDDSSDEDDNNAYDDNDASAKKPISGDDLGDSFNDEKPRPKLGWIDEILRRENEDNSENEDESSDNDSESDEDDPEEEGSDEDDEHKKSQTVKDWEQSDDENVGTDLEDSEDDFGKGVIKESKVEKKSNLIEAERKEKDKIDTEKSQSPLKQHSAQRVELPYTIEAPKSFEEFTTLLEKCSDEQVIEAISRIRAFNAIKVAAENRKKMQVFYGILLQYFAVLANKVPLNLKFLNSLVRPLMEMSAEIPYFAAICARQRLHRTRVLLCEDLKNEGKNCWPSMKTLFLLRLWSLIFPCSDFRHAVITPAILLMSEYLTRCPITCGRDVAVGSFLCSMMLSVVKHSLKYCPEAIIFIQTLLLAALDKTQMHSNTSQLNSLLEIKGVRPLLHIQSRHTETKALNFVMLMELPADSPYFSSDEFRASILVAIMETIQGFVNIYEGFNSFPEIFVPIAKLLNELAAQNHMPDILQEKIKSVIQLIENKVEENHRLRQPLRMRKQKPVPIKMVNPKFEENFVKGRDYDPDRERAEMRKLKKRIKQEAKGAAREIRKDNHFLFGVKEKEKAALAEERSEKYGKALAFLQEQEHAFKSGQLGRNRKRRR >itb13g22950.t1 pep chromosome:ASM357664v1:13:29088621:29092070:1 gene:itb13g22950 transcript:itb13g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFRVQSEHQQQQPEASSSSNSQSTTTVATTTSTCRTETINGSHDFKIKGYSLSKGMGIGKYVASETFTVGGYDWAIYFYPDGKSTEDNASYISLFIALASDATDVRALFELTLLDQSGNERHKVHTHFGRVLETGPYTLKYRGSMWGYKRFFRRPVFETSSYLKDDCILIKCTVGVVRTYTETPKVYSIPVPPSNIGMQFGQLLESGEGSDIKFEVEGDTFAAHKLVLAARSPVFRAQLFGPLKEEDTQYIIVEEVQAPVFKALLHYIYWDVLPDLQEFVGLETTGAATLMAQHLLAAADRYGLERLKVLCEAKLTEGVSINTVATTLALADQHQCVQLKSACLKFIASTENLKAVMETEGFEHLKESCPTVITELLNYVAKVGPPPGATIERGGITLDGGDVHGRRVKQRLQAS >itb13g22950.t2 pep chromosome:ASM357664v1:13:29088621:29092070:1 gene:itb13g22950 transcript:itb13g22950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFRVQSEHQQQQPEASSSSNSQSTTTVATTTSTCRTETINGSHDFKIKGYSLSKGMGIGKYVASETFTVGGYDWAIYFYPDGKSTEDNASYISLFIALASDATDVRALFELTLLDQSGNERHKVHTHFGRVLETGPYTLKYRGSMWGYKRFFRRPVFETSSYLKDDCILIKCTVGVVRTYTETPKVYSIPVPPSNIGMQFGQLLESGEGSDIKFEVEGDTFAAHKLVLAARSPVFRAQLFGPLKEEDTQYIIVEEVQAPVFKALLHYIYWDVLPDLQEFVGLETTGAATLMAQHLLAAADRYGLERLKVLCEAKLTEGVSINTVATTLALADQHQCVQLKSACLKFIASTENLKAVMETEGFEHLKESCPTVITELLNYVAKVGPPPGATIERGGITLDGGDVHGRRVKQRV >itb01g07950.t2 pep chromosome:ASM357664v1:1:6307883:6313103:-1 gene:itb01g07950 transcript:itb01g07950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYLSKRKCAIMEGSSETGWNSSSRGLNYSSVVDRNPRVVVGGSSVRSSSDASPDSASIPARKGREGMGLLPYIDCIKPQGGVSGDGLGLGLDDNRDVSLRQWLDNPERTVDSLECLHIFTQIVDIVNLAHSQGIVVHNVRPSCFVMSSFNRVAFIESASCSHSGSESLEDGLNSETAEFKGASSPGARDFEQEKQAVTQRLEVEMNCANAMQTLEADKNQQAEEKQQHSFPMKQILLLETNWYTSPEEVSGEPSICASDVYRLGVLLFELFCTFHSPEEKSATMSSLRHRVLPPQLLLKWPKEASFCLWLLHPQPSSRPNLGELLQSEFLNDPRESLEEWEAAIALREKIDEQDLLLEFLLLTQQRKQEVADSLNEIISFLSSDLEGVTNMQSALRTKGDSNLDQGKKSASNRGNIAEDDDSGSSRSRKRSRVGFGTCNVEETGDRADEHETRVESQGSFLSKSSRLMKNFRKLESAYFLTRRRALKPTAKPLSRYSQISSDGRGSIVATERSSVSNLSSKGGRNEETQSGWINSFLEGLCKYMSFSKLEEKANLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFEYNSILKQDRDIHYPVVEMSSRSKLSSICWNSYVKSQIASSNFEGVVQVWDVTRSQLFMEMKEHERRVWSVDFSVADPTLLASGSDDGTVKLWNINQGACVGTIKTKANVCCVQFPFDSGRSLAFGSADHMIYYYDLRNSKMPLCTLMGHKKTVSYIKFIDSTTLVSASTDNTIKLWDLSMSASRVLDCPVQSFTGHMNVKNFVGLSVSDGFIATGSETNEVFVYHKAFPMPALSYKFNSTDPSGDEMDDGMQFISSVCWRGQSNTLVAANSMGDIKLLEMV >itb01g07950.t1 pep chromosome:ASM357664v1:1:6307883:6313103:-1 gene:itb01g07950 transcript:itb01g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYLSKRKCAIMEGSSETGWNSSSRGLNYSSVVDRNPRVVVGGSSVRSSSDASPDSASIPARKGREGMGLLPYIDCIKPQGGVSGDGLGLGLDDNRDVSLRQWLDNPERTVDSLECLHIFTQIVDIVNLAHSQGIVVHNVRPSCFVMSSFNRVAFIESASCSHSGSESLEDGLNSETAEFKGASSPGARDFEQEKQAVTQRLEVEMNCANAMQTLEADKNQQAEEKQQHSFPMKQILLLETNWYTSPEEVSGEPSICASDVYRLGVLLFELFCTFHSPEEKSATMSSLRHRVLPPQLLLKWPKEASFCLWLLHPQPSSRPNLGELLQSEFLNDPRESLEEWEAAIALREKIDEQDLLLEFLLLTQQRKQEVADSLNEIISFLSSDLEGVTNMQSALRTKGDSNLDQGKKSASNRGNIAEDDDSGSSRSRKRSRVGFGTCNVEETGDRADEHETRVESQGSFLSKSSRLMKNFRKLESAYFLTRRRALKPTAKPLSRYSQISSDGRGSIVATERSSVSNLSSKGGRNEETQSGWINSFLEGLCKYMSFSKLEEKANLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFEYNSILKQDRDIHYPVVEMSSRSKLSSICWNSYVKSQIASSNFEGVVQVWDVTRSQLFMEMKEHERRVWSVDFSVADPTLLASGSDDGTVKLWNINQAILLLHLVDVSFETKRRLCRDHQNESERVLRSVPFRFWSFSCVWLS >itb12g24210.t2 pep chromosome:ASM357664v1:12:25814287:25815699:-1 gene:itb12g24210 transcript:itb12g24210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPQPPPPPGGTLNTITPCAACKLLRRRCTQECPFSPYFSPHEPHKFASVHKVFGASNVSKMLMEVPERQRAETANSLVYEANVRLRDPVYGCMGAISALQQQVQALQAELNAVRAEIMRYKFGEATNNDINTIHHHASSLLTSGVVSVAAPPPPPPSSSSLYTPPPSSSAADFGIVSSENISYFG >itb12g24210.t1 pep chromosome:ASM357664v1:12:25814287:25815906:-1 gene:itb12g24210 transcript:itb12g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERYEEIGKKIKREHDDLSQMGRRPQPPPPPGGTLNTITPCAACKLLRRRCTQECPFSPYFSPHEPHKFASVHKVFGASNVSKMLMEVPERQRAETANSLVYEANVRLRDPVYGCMGAISALQQQVQALQAELNAVRAEIMRYKFGEATNNDINTIHHHASSLLTSGVVSVAAPPPPPPSSSSLYTPPPSSSAADFGIVSSENISYFG >itb04g04750.t3 pep chromosome:ASM357664v1:4:2928392:2933783:1 gene:itb04g04750 transcript:itb04g04750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDRAFRVSFSGEGLAKLRGQVQEKLKEFMGDYTDDTLVEYVIVLLKNGRNKEEAKNELDVFLGDDSDSFVCWLWDHLSSNLNLYMQQQEALLDGVVKTRPASVEHAVKNEIHHVKSESDQVKSDRPRSRHKREWKGLLRDADEHPTLQGVVVDTTHSQVESQHKLARTTHPISPKPEAHRKRSRPEDGPTKKRESISQATIAAPRRLLQFAVRDAVATSRPSAEPSLKRLRSVVSTSMEESTEERPHRLRSVARLPNAMATAIKAVAEAAKDVKKIRSSANVFDRLGSATETLDTKGQLELREDISEDVEDEKFVDVTEVPLTYHQRSDYSGRYASKLQSDTVMASDPALEDGDYGDINVVDRSAMDAFQKHSYVGNNSVNPPLVEHSVGNRSDGIMLKSAKDQDHPTSTPYASRKMMTGPLNVNTWKSPQYQEARKAVELGYNKSTQSSETMGAKSGMQVMKENSTPMAVDNGNVKSNSDMKVESQKIPSAVPGLYSTGTPTEDSDSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAATGQPKGSAYVEFMRKESAEHALSLDGTSFMSRILKVCFQMTCFLLDRHSGRVMVLVLIFIYNFWTLQMCLNKLN >itb04g04750.t1 pep chromosome:ASM357664v1:4:2928392:2935107:1 gene:itb04g04750 transcript:itb04g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDRAFRVSFSGEGLAKLRGQVQEKLKEFMGDYTDDTLVEYVIVLLKNGRNKEEAKNELDVFLGDDSDSFVCWLWDHLSSNLNLYMQQQEALLDGVVKTRPASVEHAVKNEIHHVKSESDQVKSDRPRSRHKREWKGLLRDADEHPTLQGVVVDTTHSQVESQHKLARTTHPISPKPEAHRKRSRPEDGPTKKRESISQATIAAPRRLLQFAVRDAVATSRPSAEPSLKRLRSVVSTSMEESTEERPHRLRSVARLPNAMATAIKAVAEAAKDVKKIRSSANVFDRLGSATETLDTKGQLELREDISEDVEDEKFVDVTEVPLTYHQRSDYSGRYASKLQSDTVMASDPALEDGDYGDINVVDRSAMDAFQKHSYVGNNSVNPPLVEHSVGNRSDGIMLKSAKDQDHPTSTPYASRKMMTGPLNVNTWKSPQYQEARKAVELGYNKSTQSSETMGAKSGMQVMKENSTPMAVDNGNVKSNSDMKVESQKIPSAVPGLYSTGTPTEDSDSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAATGQPKGSAYVEFMRKESAEHALSLDGTSFMSRILKVVRKGSAPPEATTPTISWPRIVRGVSFGASRFGRSPFPRGMPNAYRARGLTIKPGARSLQWKRDAQSSPSQASASTNAVPTSSTPRSMTYVRTEQKTTNGTSNAA >itb04g04750.t2 pep chromosome:ASM357664v1:4:2928392:2935073:1 gene:itb04g04750 transcript:itb04g04750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDRAFRVSFSGEGLAKLRGQVQEKLKEFMGDYTDDTLVEYVIVLLKNGRNKEEAKNELDVFLGDDSDSFVCWLWDHLSSNLNLYMQQQEALLDGVVKTRPASVEHAVKNEIHHVKSESDQVKSDRPRSRHKREWKGLLRDADEHPTLQGVVVDTTHSQVESQHKLARTTHPISPKPEAHRKRSRPEDGPTKKRESISQATIAAPRRLLQFAVRDAVATSRPSAEPSLKRLRSVVSTSMEESTEERPHRLRSVARLPNAMATAIKAVAEAAKDVKKIRSSANVFDRLGSATETLDTKGQLELREDISEDVEDEKFVDVTEVPLTYHQRSDYSGRYASKLQSDTVMASDPALEDGDYGDINVVDRSAMDAFQKHSYVGNNSVNPPLVEHSVGNRSDGIMLKSAKDQDHPTSTPYASRKMMTGPLNVNTWKSPQYQEARKAVELGYNKSTQSSETMGAKSGMQVMKENSTPMAVDNGNVKSNSDMKVESQKIPSAVPGLYSTGTPTEDSDSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAATGQPKGSAYVEFMRKESAEHALSLDGTSFMSRILKVVRKGSAPPEATTPTISWPRIVRGVSFGASRFGRSPFPRGMPNAYRARGLTIKPGARSLQWKRDAQSSPSQASASTNAVPTSSTPRSMTYVRTEQKTTNGTSNAA >itb04g04750.t4 pep chromosome:ASM357664v1:4:2928392:2934137:1 gene:itb04g04750 transcript:itb04g04750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDRAFRVSFSGEGLAKLRGQVQEKLKEFMGDYTDDTLVEYVIVLLKNGRNKEEAKNELDVFLGDDSDSFVCWLWDHLSSNLNLYMQQQEALLDGVVKTRPASVEHAVKNEIHHVKSESDQVKSDRPRSRHKREWKGLLRDADEHPTLQGVVVDTTHSQVESQHKLARTTHPISPKPEAHRKRSRPEDGPTKKRESISQATIAAPRRLLQFAVRDAVATSRPSAEPSLKRLRSVVSTSMEESTEERPHRLRSVARLPNAMATAIKAVAEAAKDVKKIRSSANVFDRLGSATETLDTKGQLELREDISEDVEDEKFVDVTEVPLTYHQRSDYSGRYASKLQSDTVMASDPALEDGDYGDINVVDRSAMDAFQKHSYVGNNSVNPPLVEHSVGNRSDGIMLKSAKDQDHPTSTPYASRKMMTGPLNVNTWKSPQYQEARKAVELGYNKSTQSSETMGAKSGMQVMKENSTPMAVDNGNVKSNSDMKVESQKIPSAVPGLYSTGTPTEDSDSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAATGQPKGSAYVEFMRKESAEHALSLDGTSFMSRILKVCFQMTCFLLDRHSGRVMVLVLIFIYNFWTLQMCLNKLN >itb14g16970.t1 pep chromosome:ASM357664v1:14:20327444:20327860:-1 gene:itb14g16970 transcript:itb14g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFDKNGVVRLITNPTRESFERRDYGSSCSGGGTATAPGARPRVLVFLPANQVIKSYVELERRLVELGWRRYHDGTAAELLQFHRSEDSAHLISLPKSFSDFKTLHMYDIVVKNRSFFQVRDLSDLQTIDIPNS >itb15g04770.t2 pep chromosome:ASM357664v1:15:3068748:3072625:1 gene:itb15g04770 transcript:itb15g04770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKGGLLDCERQGDFVGFFARFRGFVFNGRSEVGNGVKGFLPQDSAMALYFSLETGSFSFPAQVYNRENGNVGFMLSCYDAEVSYDSSSDLFWARYPAYGRRSVEENIVFDRLRAPPVGTSAYVLHKSDCLNDLKPNDHIEIQWRRSKEFPYGMLAVKEAHFPFD >itb15g04770.t1 pep chromosome:ASM357664v1:15:3067292:3072593:1 gene:itb15g04770 transcript:itb15g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKALTQIPMLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKGGLLDCERQGDFVGFFARFRGFVFNGRSEVGNGVKGFLPQDSAMALYFSLETGSFSFPAQVYNREVQNGNVGFMLSCYDAEVSYDSSSDLFWARYPAYGRRSVEENIVFDRLRAPPVGTSAYVLHKSDCLNDLKPNDHIEIQWRRSKEFPYGWWYGVVGHSGSCNGSKLHCDCHKSDTVKLEFKQYSPDSEWRETTINRRGHKEVGNEADGYYGGIRKLYSEDEISEWRRFWPSCTLD >itb15g04770.t3 pep chromosome:ASM357664v1:15:3068748:3072625:1 gene:itb15g04770 transcript:itb15g04770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKGGLLDCERQGDFVGFFARFRGFVFNGRSEVGNGVKGFLPQDSAMALYFSLETGSFSFPAQVYNRENGNVGFMLSCYDAEVSYDSSSDLFWARYPAYGRRSVEENIVFDRLRAPPVGTSAYVLHKSDCLNDLKPNDHIEIQWRRSKEFPYGWWYGVVGHSGSCNGSKLHCDCHKSDTVKLEFKQYSPDSEWRETTINRRGHKEVGNEADGYYGGIRKLYSEDEISEWRRFWPSCTLD >itb15g04770.t6 pep chromosome:ASM357664v1:15:3067292:3072397:1 gene:itb15g04770 transcript:itb15g04770.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKALTQIPMLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKGGLLDCERQGDFVGFFARFRGFVFNGRSEVGNGVKGFLPQDSAMALYFSLETGSFSFPAQVYNRENGNVGFMLSCYDAEVSYDSSSDLFWARYPAYGRRSVEENIVFDRLRAPPVGTSAYVLHKSDCLNDLKPNDHIEIQWRRSKEFPYGWWYGVVGHSGSCNGSKLHCDCHKSDTVKLEFKQYSPDSEWRETTINRRGHKEVGNEADGYYGGIRKLYSEDEISEWRRFWPSCTLD >itb15g04770.t5 pep chromosome:ASM357664v1:15:3068748:3072625:1 gene:itb15g04770 transcript:itb15g04770.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKGGLLDCERQGDFVGFFARFRGFVFNGRSEVGNGVKGFLPQDSAMALYFSLETGSFSFPAQVYNRENGNVGFMLSCYDAEVSYDSSSDLFWARYPAYGRRSVEENIVFDRLRAPPVGTSAYVLHKSDCLNDLKPNDHIEIQWRRSKEFPYGMLAVKEAHFPFD >itb15g04770.t4 pep chromosome:ASM357664v1:15:3067292:3072625:1 gene:itb15g04770 transcript:itb15g04770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKALTQIPMLFFLFSCFSIAFLSSSFLHPFPSWEREMGFRFWEEFSRFLLSWFRKNKNFLDGFFHFSRILAPARKIAGNVETEETEEGTGLMDLPDLAVESILERLSAAELRIMAGVCGSLREKCVADWLWERHLRERWGRLIGNGVYAEWKRHVGSRKKKKKGGLLDCERQGDFVGFFARFRGFVFNGRSEVGNGVKGFLPQDSAMALYFSLETGSFSFPAQVYNREVQNGNVGFMLSCYDAEVSYDSSSDLFWARYPAYGRRSVEENIVFDRLRAPPVGTSAYVLHKSDCLNDLKPNDHIEIQWRRSKEFPYGWWYGVVGHSGSCNGSKLHCDCHKSGESILSPLMANICLDFFFFLLSTFHFSPYISTSQRWSMMEDDI >itb13g04800.t1 pep chromosome:ASM357664v1:13:5658560:5664527:1 gene:itb13g04800 transcript:itb13g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKSPEPLPAGWSEHVKVKNGRKVKYYTNAPNGKKFYSKKDVICYMKIKGNSRGQNQAIAQRETRHSERNINSQSSKTAANPDKSPEWLPPGWMVEEKTRMSGATHGQIYKCYIDPFGRRFYSKPEVSRHLKTMNCNGPIGVGEEKKSNIEEPSCNKVSQNEKAEPSCNKVSQNDKAEPSCNNSCNKVSQNEKATGGQSHTSRKLRSGMGKQPSDIGSSVVVQSNLVDGLPSGWIKEVKTRAYAHGGIRRDPQYIDPVSGYVFLSKKDAFRYIETGDIEKCAIRPKKRDSDSGTNEESASHSAAGRKAEQSSTKRQIFAGRENSEPTNLTEPQVESIKKRLRSAGRDAINTQVVEVKTECKTANEGTGRDDINTQVAEVKTECKTANEGTGTKPGSEARPSDLNGEISVSAFGNDETVSTPQSGLLHHQKLPESEKDKHSGTASITKLRKSRKNKAGSKKEKHSGTASLTKSRKSRKNKSLGTRARFSKRLAGHTPEEVANLGLGERVFRAAIRNCSGTKAKTSSVQVPVDPAQEMHAVRDSVGTETYASLVPALSNPPQEVCSATNSIGTEANASLAPPNPPREVDSGVTASLAPPALINPPREVDSGVTEADASSVPAPNNLSDQEMPKHIDEPTKATDTCIGEKSWWTVEKEAVPENPISEPVTEKQDADNQTLEDPQLRYPFGDCWSDPCLEFAFKTLTGAIPVEDCLAYEQVPPQQFNPSYAQSSDGYFDLPLFDSYNLFPNDFPPSNSGQLDKHTSQDLPPATPPFLSPGNNGLPSCSGVASQPHLEPRKDFPAKAKS >itb03g02840.t1 pep chromosome:ASM357664v1:3:1623502:1626022:-1 gene:itb03g02840 transcript:itb03g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMDYLAMKTDEVSGEELINADLRDLKNAANNLFKHAAKLGGLGFGTSFLKWVASFAAIYLLILDRTNWKTNMLTALLIPYIFLSFPDVLFDFLRGEVGKWIALVAVVLRLFFPRHFPDWLEMPGSLILLLVVSPNFFAYTIRESWIGTAICLVIGCYLLQEHIRASGGFRNSFTQSHGISNTVGIILLLVYPIWSLVLHFVH >itb05g18410.t1 pep chromosome:ASM357664v1:5:25194184:25204516:1 gene:itb05g18410 transcript:itb05g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MSDDPSAVAGRRRSRGSNATARASALERLRAIRNGERCSREAGALQVKMDDPIYDVVDDDEYKALVAKRGEEARGFIVDDNGLGYGDEGQEEDWSIAGLPESSDESEGETERRKKKKTSEKKEQPTKKPSAALSAAAALMGRQRISNMFTSSVFKKSRDDASKNLSCDSIVDDVIAEFAPDEADREKRRRGTSILSLNSASSIKVNTNPMPTKTEKPIIDSVNLIVNDEARKVQPIVESVRIGESMMNDVQNTDLGDPKILLEKIENVSASDVDLKEKKGVETCDFAANGIGDKENVLNEAEVKVDPMQEDKVFTLNAKIKAENDSALSANVEWQAVRSSGKCSGLNHVNAEENSDLELESDGSFPFFILDAHEELYGANSGILYLFGKVKAGGRYHSCCVIVRNMQRCVYAIPSSTLFPKDAILKLESDVKESQISAAVFHSQLHEMASELKTELTKQLVERNVSSFSMAPVKRNYAFERSDIPHGENYVIKINYPFKDPPLPPDLKGENFCALLGTHSSALELFLVKREIKGPSWLSISKFASCPTPQRVSWCKFEVTVDSPKDIQVLKENIPEIPPVVVAAINVKTIINEKQNVNEIVSASVVCCHKAKIDAPTLTSELTRLGMLSHFTVVRKLEGSIFPMGFTKEATDRNTKAGTNIISFESSERALLNRLMIELHKLDSDVLVGHNISGFDLDVLLHRVQACRVPSNMWSKVGRLKRSAMPKLTKGSNIFGSGASPGIMSCISGRILCDTYLCSRDLLREVSYSLTQLTKNLLNKDRKEISPHDVPQMFQASDSLMKLIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWCKTLQGARAQRVEYLLLHEFHSKKFIVPDKFYSKTKELKLTKRKQNHGGDGKETDENNIEDPSFGNEPPEIETGKTKKGPAYSGGLVLEPKRGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSHDGLVPSLPSSKETGVLPKLLKNLVERRRQAKASLKTASGLQAQRFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAEMITQQGREILQSTVDLVQNILNLEVIYGDTDSIMINSGLDDIPKAKSIARKVIEKVNKKYKCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIEKKGLDMVRRDWSLLSKELGDFCLSQILSGGSCDDVVESIHNALMKVQEDMRNGQIELEKYIITKSLTKPPEAYPDAKNQPHVEVALRLKKSGYITGCSAGDTVPYIICCEQGNQSGSSTGIAQRARHPDELKNDNEKWIVDIDYYLAQQIHPVVSRLCASVQGTSPAHLADCLGLDPSKFQNKSSNDVKDDPSSTLLSAVDDEERYRGCEPLVLACPGCSASLECPPIFNSICSSISQKPTDQAERPAIEFWHKLTCPKCPEEAAVGKLSPALLANQVKRQAERFVSTYYKGLMLCDDETCNYTSRSLNLRVIGGSEPGTVCPNYPRCEGHLLRKYTEGDLYKQLSYFCHILDTERCINKVVDINMKMQVEKEVGKIRPLVEVAASTVEKLRDRCAYGWVQLNSLTVDI >itb01g03850.t1 pep chromosome:ASM357664v1:1:2519986:2529201:-1 gene:itb01g03850 transcript:itb01g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRQSQNLFPPSPSPSSSASSLLREASAFKTPRPPLRSFNFQSSPQFFTASKATPASSARGRRVLKSSAAKSKAARKLKAFELEQSKSARKALTSKEKSVKSLGKSLAVWLNFLFENPRSCGCDVSRFTGGFERCGDGEVGVVVSGKRESSSGCRVGVGEPWRDPKRPRNVSWRGTGNEETGAFQDSMFSGLKASLLDVCSFDDLKERMRNYLSLGSCKELFTTMTQVAKNIDDGRLKMRVHCPMVTDVGMKEKALKTLMCYNPFWLRIGLYIILGGDSLLPNGDVNSEQEIAFLKMVIEKQFFSHVGLAKTYAYNKLVEGLFRPGYYEKLGNVILKRFLLLVLVLDRAKCQSSLPCKYGIDGLDGGSPLLFSLQSHVKSSNQLINEFLSSDVMHGEGNLLAHLVIIGFKANYQQNPLVEYQFKVEDIFEDLQDGVRLCRAVQLLQHDPSILMKMVVPSDTRKKCLINCGAVLQYVKQAGVPLLDEDGTTIMAEDVVNGDKELILSLLWNMFVHLQLPLLINKTLMAEEICKIQGVPWKYSKGHTHLDMLLGWIQAICESYDLKVETFSLLVDGKAMWCLLDFYFRKELHCSCSFKAFDQTEEVSIVSAIDYTDAVHNFILSQKLPSLLGKFPEVLQVSDILESNGACNDRSVVILLVFLSFQLLVKRNTDHLNFHKLLGFNCQSPERRRLSKDQWFMNSEADLKQEERIHSSEDATRNFKAIMSWWQEMAQRNNKSGLEQVNLTQEEFLTCKGVGGPERENAAKIIQSHFRRSVEQHRYMRIKCTASFLQAVIRAWLTRKKSLIEMPNQREAQGSLNFESENGKNHLVNFGKYADLEVDGQDIMKLKKSVETQHLGGSKEIQDLSTSHLINAAIVTQKYIRRRIAQSMFANMVAQVDKSLELSKENEAKDCQMKAALSIQRSWKAYRNQKLFLQTRHSAAIQIQSHYRGWLMRKLFINQKQTIIQVQSIFRSMRCMRDFKRYRCEVMSAITIQAYVRHWIACRDFNRCRCLIIKIQSHCRGWIRRKEFSLQKAAAVRIQSAVRCMIYWKAFLSQKYAATEMQRLVRGEIARKRLLGSSCYCRTSNSSSKTSELRLFLQSVVEIQRWWRAVLLHRQQSEKSAVFIQSHFRGWIARKRVSMERHREKSAVFIQSHFQGWIARKRSRERHMEKSAVVIQSHFRGWIARKRVSRERDRIVVIQSYMKAYLARKDLKGQLLDLRLRVQKSAANVNDGMRLINRLLAALSELLNTKSVSGILHTCATLDMATQHSQKCCEELVAAGAIDILLKLIRSVSRSIPDQEVLKHALSILRNLTRYPHLTEVLIKSSGSVEIILWELLRNKEEGYFIAAEVLKKLFLHRKGIEAAHKLPALVKRLHNHVEELSRKAKNDKRTTQAVAIREQVDRRLREAVDLLELIKTSNPNSTK >itb01g03850.t3 pep chromosome:ASM357664v1:1:2519986:2529201:-1 gene:itb01g03850 transcript:itb01g03850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRQSQNLFPPSPSPSSSASSLLREASAFKTPRPPLRSFNFQSSPQFFTASKATPASSARGRRVLKSSAAKSKAARKLKAFELEQSKSARKALTSKEKSVKSLGKSLAVWLNFLFENPRSCGCDVSRFTGGFERCGDGEVGVVVSGKRESSSGCRVGVGEPWRDPKRPRNVSWRGTGNEETGAFQDSMFSGLKASLLDVCSFDDLKERMRNYLSLGSCKELFTTMTQVAKNIDDGRLKMRVHCPMVTDVGMKEKALKTLMCYNPFWLRIGLYIILGGDSLLPNGDVNSEQEIAFLKMVIEKQFFSHVGLAKTYAYNKLVEGLFRPGYYEKLGNVILKRFLLLVLVLDRAKCQSSLPCKYGIDGLDGGSPLLFSLQSHVKSSNQLINEFLSSDVMHGEGNLLAHLVIIGFKANYQQNPLVEYQFKVEDIFEDLQDGVRLCRAVQLLQHDPSILMKMVVPSDTRKKCLINCGAVLQYVKQAGVPLLDEDGTTIMAEDVVNGDKELILSLLWNMFVHLQLPLLINKTLMAEEICKIQGVPWKYSKGHTHLDMLLGWIQAICESYDLKVETFSLLVDGKAMWCLLDFYFRKELHCSCSFKAFDQTEEVSIVSAIDYTDAVHNFILSQKLPSLLGKFPEVLQVSDILESNGACNDRSVVILLVFLSFQLLVKRNTDHLNFHKLLGFNCQSPERRRLSKDQWFMNSEADLKQEERIHSSEDATRNFKAIMSWWQEMAQRNNKSGLEQVNLTQEEFLTCKGVGGPERENAAKIIQSHFRRSVEQHRYMRIKCTASFLQAVIRAWLTRKKSLIEMPNQREAQGSLNFESENGKNHLVNFGKYADLEVDGQDIMKLKKSVETQHLGGSKEIQDLSTSHLINAAIVTQKYIRRRIAQSMFANMVAQVDKSLELSKENEAKDCQMKAALSIQRSWKAYRNQKLFLQTRHSAAIQIQSHYRGWLMRKLFINQKQTIIQVQSIFRSMRCMRDFKRYRCEVMSAITIQAYVRHWIACRDFNRCRCLIIKIQSHCRGWIRRKEFSLQKAAAVRIQSAVRCMIYWKAFLSQKYAATEMQRLVRGEIARKRLLGSSCYCRTSNSSSKTSELRLFLQSVVEIQRWWRAVLLHRQQSEKSAVFIQSHFRGWIARKRVSMERHREKSAVFIQSHFRGWIARKRVSRERHREISAVIIQSHFRGWIARKRSREIHMEKSAVVIQSHFRGWIARKRVSRERRSEKSAVFIQSHFQGWIARKRSRERHMEKSAVVIQSHFRGWIARKRVSRERDRIVVIQSYMKAYLARKDLKGQLLDLRLRVQKSAANVNDGMRLINRLLAALSELLNTKSVSGILHTCATLDMATQHSQKCCEELVAAGAIDILLKLIRSVSRSIPDQEVLKHALSILRNLTRYPHLTEVLIKSSGSVEIILWELLRNKEEGYFIAAEVLKKLFLHRKGIEAAHKLPALVKRLHNHVEELSRKAKNDKRTTQAVAIREQVDRRLREAVDLLELIKTSNPNSTK >itb01g03850.t2 pep chromosome:ASM357664v1:1:2519986:2529201:-1 gene:itb01g03850 transcript:itb01g03850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRQSQNLFPPSPSPSSSASSLLREASAFKTPRPPLRSFNFQSSPQFFTASKATPASSARGRRVLKSSAAKSKAARKLKAFELEQSKSARKALTSKEKSVKSLGKSLAVWLNFLFENPRSCGCDVSRFTGGFERCGDGEVGVVVSGKRESSSGCRVGVGEPWRDPKRPRNVSWRGTGNEETGAFQDSMFSGLKASLLDVCSFDDLKERMRNYLSLGSCKELFTTMTQVAKNIDDGRLKMRVHCPMVTDVGMKEKALKTLMCYNPFWLRIGLYIILGGDSLLPNGDVNSEQEIAFLKMVIEKQFFSHVGLAKTYAYNKLVEGLFRPGYYEKLGNVILKRFLLLVLVLDRAKCQSSLPCKYGIDGLDGGSPLLFSLQSHVKSSNQLINEFLSSDVMHGEGNLLAHLVIIGFKANYQQNPLVEYQFKVEDIFEDLQDGVRLCRAVQLLQHDPSILMKMVVPSDTRKKCLINCGAVLQYVKQAGVPLLDEDGTTIMAEDVVNGDKELILSLLWNMFVHLQLPLLINKTLMAEEICKIQGVPWKYSKGHTHLDMLLGWIQAFDQTEEVSIVSAIDYTDAVHNFILSQKLPSLLGKFPEVLQVSDILESNGACNDRSVVILLVFLSFQLLVKRNTDHLNFHKLLGFNCQSPERRRLSKDQWFMNSEADLKQEERIHSSEDATRNFKAIMSWWQEMAQRNNKSGLEQVNLTQEEFLTCKGVGGPERENAAKIIQSHFRRSVEQHRYMRIKCTASFLQAVIRAWLTRKKSLIEMPNQREAQGSLNFESENGKNHLVNFGKYADLEVDGQDIMKLKKSVETQHLGGSKEIQDLSTSHLINAAIVTQKYIRRRIAQSMFANMVAQVDKSLELSKENEAKDCQMKAALSIQRSWKAYRNQKLFLQTRHSAAIQIQSHYRGWLMRKLFINQKQTIIQVQSIFRSMRCMRDFKRYRCEVMSAITIQAYVRHWIACRDFNRCRCLIIKIQSHCRGWIRRKEFSLQKAAAVRIQSAVRCMIYWKAFLSQKYAATEMQRLVRGEIARKRLLGSSCYCRTSNSSSKTSELRLFLQSVVEIQRWWRAVLLHRQQSEKSAVFIQSHFRGWIARKRVSMERHREKSAVFIQSHFRGWIARKRVSRERHREISAVIIQSHFRGWIARKRSREIHMEKSAVVIQSHFRGWIARKRVSRERRSEKSAVFIQSHFQGWIARKRSRERHMEKSAVVIQSHFRGWIARKRVSRERDRIVVIQSYMKAYLARKDLKGQLLDLRLRVQKSAANVNDGMRLINRLLAALSELLNTKSVSGILHTCATLDMATQHSQKCCEELVAAGAIDILLKLIRSVSRSIPDQEVLKHALSILRNLTRYPHLTEVLIKSSGSVEIILWELLRNKEEGYFIAAEVLKKLFLHRKGIEAAHKLPALVKRLHNHVEELSRKAKNDKRTTQAVAIREQVDRRLREAVDLLELIKTSNPNSTK >itb02g15140.t1 pep chromosome:ASM357664v1:2:10994468:10999624:-1 gene:itb02g15140 transcript:itb02g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIAIVLDLLRKNPSFSGQTLHSYGVFSAKLAASAAAASIGATTPFAYRAFLGNTGTRVALCDAGTGFTDDYISSLKSDSTVNLEAYSSKVYFIEQKPLLSAFYWRNFALTSLRSLLLFYLPLLEPHAKMEDDDEDDEDFLQDSQEEQRVDLITPLKKSAKQICRETTVVTTRRVLERLAVHYVSQRMAWKLLKDVPRSAVRKAGRGMPTLVYIYSVTRTTFRGHCLGVLASWIVQVGIDICRLFRSKDDSEEKVMLLGKKVYIATVRCGASLVFASIGAGIGASFLRPSTGQWIGCAIGDVAGPVIVSMCFEKVLHLEL >itb02g15140.t3 pep chromosome:ASM357664v1:2:10994468:10999624:-1 gene:itb02g15140 transcript:itb02g15140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIAIVLDLLRKNPSFSGQTLHSYGVFSAKLAASAAAASIGATTPFAYRAFLGNTGTRVALCDAGTGFTDDYISSLKSDSTVNLEAYSSKVYFIEQKPLLSAFYWRNFALTSLRSLLLFYLPLLEPHAKMEDDDEDDEDFLQDSQEEQRVDLITPLKKSAKQICRETTVVTTRRVLERLAVHYVSQRMAWKLLKGTFNCFLLVLYLHLLTCDQQYMQMFPGQLFARLEEECQLWSTYTVLPEQLSEVIALESWHLGLSKLG >itb02g15140.t2 pep chromosome:ASM357664v1:2:10995082:10999624:-1 gene:itb02g15140 transcript:itb02g15140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIAIVLDLLRKNPSFSGQTLHSYGVFSAKLAASAAAASIGATTPFAYRAFLGNTGTRVALCDAGTGFTDDYISSLKSDSTVNLEAYSSKVYFIEQKPLLSAFYWRNFALTSLRSLLLFYLPLLEPHAKMEDDDEDDEDFLQDSQEEQRVDLITPLKKSAKQICRETTVVTTRRVLERLAVHYVSQRMAWKLLKDVPRSAVRKAGRGMPTLVYIYSVTRTTFRGHCLGVLASWIVQVGIDICRLFRSKDDSEEKVMLLGKKVYIATVRCGASLVFASIGAGIGASFLRPSTGQWIGEFAKNPPYVNSNCSYNIHLLPF >itb14g21540.t1 pep chromosome:ASM357664v1:14:23507617:23508060:1 gene:itb14g21540 transcript:itb14g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 53 [Source:Projected from Arabidopsis thaliana (AT3G62420) UniProtKB/Swiss-Prot;Acc:Q9LZP8] MSSVQQPASSGSSSDLDQRYAMYDEKKRKRMISNRESARRSRMRKQQHVEELCSQRTLLENEQSISKQQIETLSDGLARLSAENDVLRAQYAELADRLQSMNSLLLLVAEVNGTVVDIPEIPDVLLEPWQLPCPTLPIAASADMLQF >itb02g16040.t1 pep chromosome:ASM357664v1:2:11777951:11780868:-1 gene:itb02g16040 transcript:itb02g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRPRTSSFSRNIAAAPVSQIPGLKHGPNGTMFLSSGISDLDKILGGGFSLGSLVLVMEDPEAPHHMLLLRNFMSQGIVHKQPLLYASPERDPRSFLGTLPSLMSSKDDKSRDRDTEQDKGLRIAWQYKKYFGEQNSEVQRGGKAEYCNDFDLRKPLERHFLSGQRIDCISLQDFPNLDPLRQCCSTFLAQIPRCDGNMTCTGRIAIQSLCAPQCDFSNNEWDILSFIRSLKCMIRSSNAVAVISFPSSLISPSSLKRWQHLADTLISVRPIPDEDKDLSKLLTGYQDMLGLLNVHKVARINTQVPVILEATTFSIKLHKRRSLILECLNQAPVDGSSGASYGSSGNCSGSSKTGNLDF >itb07g17280.t1 pep chromosome:ASM357664v1:7:21461878:21463918:-1 gene:itb07g17280 transcript:itb07g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHYHAIQGGLQFPPHPLTLAFLASHNIAPCQLTPNGHRFITCFITRCREVKVAPSLSLLLHVFHVNQSGPFLHLQPISGYSFITSLPSLVKNWKEKFVYMSYNPGAAGYGFSTAWVQFVVPFAAPSYEGLDADRAKLCGDGPSDHRRYHDPLLVNQLSDGPPLPPVSSTPSMLRVYNTRGKPTPSHTQSDDEESSVGVMADPTLDGVESGVLFSPSRAAPPVIALDSPARVDRPSGPPGSPSIEYDPHDPLFARGHSGTSRPRPLRPYHPDHIDFIRRGVREMVLAQTRFWMDSSPMDALSSHLVGDLMNASMQAIGLQQRLQEASQDYATSEEALRELGERHQDLQVRHEATLKELDALKTALMEFDVLKTAHTNLQLAHSTLQGEHEEQQVAHASALERAKAHAIEDWQGTEDFSRAADDYACSRMPCSDYQAYLGPPLVQVLQEWAVTAGGKSAMGPVAEVWLRDTDEGHARVVRECEAAFYLGQRDMQDQLYGKLRRRFTSFSIAGWKLPEYLPLRRPPAPVMPTSTTTPADGFLMSPERAGGTSSVALPSDPVGGSSAVGSVDPSVSLHFTSGSGFSGSAAGSAAP >itb01g34160.t1 pep chromosome:ASM357664v1:1:36989246:36991056:1 gene:itb01g34160 transcript:itb01g34160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLQNLDTSVIVVAIAFYIVFHYLSHNNKSRKQKLLPEAGGGWPIIGHLHIFSGSKLPHVALGNMADKYGPAFTIRIGVQRALVVSDWKLAKELSTVHDVHISTRPKFRAAKHLGYNYIMFAFTPYGPYWRDVRKLISVELLSNRKIEQLKHIRVSEIDTSIKELHKLWAEKKNSEPSGCVQVEMKKWFGDITLNVFLQMIASKRYFGTAEVSDEKEGRRCQRVLRGFFHYLGVFVPADALPFLGWLDIGGYEKTMKEVAKEMDSLVEEWLQEHHWKKEATDDGSTVRGSKEDFMDVMLSRIEGIDLNGFDADSVIKSTCMNLIAGGADTTSIMLTWALSLMMNNPHVLNMAQEELDIVVGKERKVNESDIKNLVYLQAIVKETFRMYPGAPLGGPRMFTEDCTISGFHVPKDTWLFFNLWKLQRDPQVWSSPHEFKPERFINSHKDLDVLGQDFELIPFGAGRRICPGITFGLQMVHLVLANLLHSFELSNVSNEGIDMTETAGLTNLKATPLEILIVPRLSPNLY >itb12g22870.t1 pep chromosome:ASM357664v1:12:24805607:24808082:1 gene:itb12g22870 transcript:itb12g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPVAVLIVRILTLVFLLASLILITTNTVTLPDFGGVKIKLKFHNFTAYRYLAATLILGLAYTLLQTAFAISQACTGKRIGGERFSEFVFFGDKVVSYLLATGAAVSLAITQELKKQNNMMNKHKETVMNNTYVEDDMDKFANKASVAARLCLIGFLFAATSSVFSSYALPKRA >itb15g08000.t1 pep chromosome:ASM357664v1:15:5610392:5612996:-1 gene:itb15g08000 transcript:itb15g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATSQLLFSSPRSVSRRSLHHLCVLGEKTQFLCPKNRAHSKVRRQAGNNGGVRCMAVETEAQTKTKKSGYALQTLTSWLLQQEQAGVIDAELTIVLSSISMACKQIASLVQRAGISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDAELDTGVQKCVVSVCQPGDNLLAAGYCMYSSSVIFVLTLGKGVFAFTLDPMYGEFVLTQENIQIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHKRILDITPVEIHQRVPLYIGSVEEVDKLEKYLA >itb15g19160.t1 pep chromosome:ASM357664v1:15:21366598:21367236:1 gene:itb15g19160 transcript:itb15g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METHRYFEDGRWEEGFSLFSNLLDSGVMPNEFTFAGILNACACQTTERLGKQIHGYMVLYGCNILGFATSAKCGNIESAYNVFKQLPRPDLVSWTSLINGFAQNGQPLEALVYFERLLEYVIRPDHITFIRVLFAYTNAGLVDKELEYFHLIKENHGLTHTQDNHYACVVDLLNRSGRFKEVEDLLNQMPMKPDKFLWSSIRYLIVAEFMEY >itb09g19710.t1 pep chromosome:ASM357664v1:9:15975154:15979038:-1 gene:itb09g19710 transcript:itb09g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESSGVSSDFNPTLLSSSSSLYQKHNNPHLAPHAFFFAASSSPPPPPPPPPSPSAASPHNTTLQIFINDGYDSIPPAPNAAPPMVVPNSKTTPHKRPLLGVSSNNPSNSSSNSIIKSPTLSNSLHKYSFSSAPPSPAAKGQHSFRIMGVHVYHQLRHLRRVVRVHLRLILLLSLPFFYFLVSHPTNSFFLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSLPIKLSLSNQVSRRPLPVFWSIGSRPKFEKKVNSGNFVQAYRNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCDDSSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDIPSTQSVSYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNKAVAAANRAANAARVAAVKAVQKQMHHRRNSDELPIPMV >itb11g16440.t1 pep chromosome:ASM357664v1:11:14676186:14677421:-1 gene:itb11g16440 transcript:itb11g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFSPPQPISTNASILLLSRRRTAAQPHTRAAGQPHLLHCNKYLGFMPRDRSLHLRLCLATTPPPTSHQLPSLRIHPQPALVAGREPPPSSPCYPIERTMLQPKFHYSCLKVVLDQLEKLFKPDANALLHEFGFQLLYELCIDPLTCGPIMDLLSTKRYQFFIKVNAA >itb12g21390.t1 pep chromosome:ASM357664v1:12:23762840:23765629:-1 gene:itb12g21390 transcript:itb12g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQIIRSCTNNKSILSGKAAHAQLIKYGSKPDVYTNNHLLSMYLTFHEIECAQKLFDEMPKRNVITWTTLISSYSHMGLSEKALESFRSMVLEDGFTPNHYTYVGALSACTCLGAARTGKEIHGRIFRAEEALNSFVSNCLVNFYGKCGLLKSAQLVFDAMLEPNTVTWASLISCHCQCGEYDEGLRIFLRSLRAGVLVNEFLCGIALGACASEERLGLGMGIHCLIVKCGVKMDQYVVTGLVNFYVKCGQLGLAYNTFNMAGAPELHAWTALIGGCVQQGKGREAVNLFCKLLSSGLKPSERTYASVLGAFTDTKEFQVGMQLHSSIIKLGFNSFTFVCNALVDFYSKSNLLDESFKIFQEMTEYDIVTWNALIAGYIRSSHYEQAIKLLQEMLFEGLEPNLHTYSCILSICGDLPAIEWGKQVHCRIMKPGFDSNVVVGSTLIDMYAKCGRLGDARKVFDILPCKNLVSWNTMLVGYAQHGFVKEALEIYSMMQDNGVKPNDITFVGVLSACGHGGLLEEGLNHFDSMKNNYGITPRTNHLACMVNLFARKGQTKKAYDFIRNFPEKPDKVVWRCLLSGCNINKDFVLGKYAAEEILNIDPNDTSAHVTLSNIYARLNMWDEAARIRKMMKQKELKKETGCSWTELQNKMYCFSSSYNTLLGEVNMWNSVDMKEVVIDDTLASEIYFDETYRTPESNSRKMSSVDFSEEVILGGGVKIIVRELTP >itb15g04950.t1 pep chromosome:ASM357664v1:15:3199045:3201878:-1 gene:itb15g04950 transcript:itb15g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIARPLPSMLSSATSSTSNPKPPSPSSPFSVKTHRSSKQTTANISRRDLLNVGLGLMPLVAIPPPPSTAREVEVGSYLPPSPTDPSFVVFKADPRDTPALRAGNVQPYTFMLPPTWKQTRVANILSGNYCQPKCAEPWIEVKFEDEKQGKVQVVASPLIRLTNKPNATIEDIGTPEKVIASLGPFVTGNTLDPDELLETSIEKRGDLTYYKYVLDTPYALTGSHNLAKATAKGNTVVLFVASANEKQWQSSQKTLEAILDSFEV >itb12g01250.t1 pep chromosome:ASM357664v1:12:908426:914576:-1 gene:itb12g01250 transcript:itb12g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAAGVWRRRRWWPWRQVLIVWLAIGFQMVLLTECSLKRQKMPKKHIHAEQESYPPVQLLRQDDYDGQVVVDNGLFRITFSTPGGQVTGIQYNGIDNLLETVNLEDNYRGYWDIVWNKADTPGNIIDKLEGSNYTIIMEDDNKVELSFTRTWDSTTSNGSNFPMNFDKRYVVLRGCSGFYSYGIFERLDGWPDIDVYQGRIVFKLDQKLFQYMAMSDERQRIMPTAQDRKKGKVLDYPEAVLLTHPKNSFLRGEVDDKYQYSVENKDNRIHGWISPKQPTGFWMITPSNEFRNGGPVKQDLTSHTGPITLSMFFSTHYAGEKLGLKFRNGEPWKKVFGPVFMYLNSDNLDLWADAKEQMFIETESWPYNFPQSQDFYPPQQRGLVTGRLLVRDSYVSERLMTANSASVGLAAPGDPGSWQVESKGYQFWTQTDAEGYFLINNVIPGNYSLYAFVPGFMGDYRYSSYITITPGSKTRLENLVFDPPRIGPTLWEIGIPDRTAAEFFIPDPYPTLKNQLYSEDTSEKFRQYGLWDKYTELYPDQDLVFAVGTSSYQIDWFFAHVNRYILGDEGNKTYVPTTWTIEFILDNVDESGHYTLWVALASAHEAELQIRVNDPEGEVPHFTTGFIGKDNAIARHGIHGLYWLFCVDVPGSLLVSGSNSIFLRQARGSSPWKGVMYDYIRLETPHSND >itb12g01250.t2 pep chromosome:ASM357664v1:12:908426:912067:-1 gene:itb12g01250 transcript:itb12g01250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNKVELSFTRTWDSTTSNGSNFPMNFDKRYVVLRGCSGFYSYGIFERLDGWPDIDVYQGRIVFKLDQKLFQYMAMSDERQRIMPTAQDRKKGKVLDYPEAVLLTHPKNSFLRGEVDDKYQYSVENKDNRIHGWISPKQPTGFWMITPSNEFRNGGPVKQDLTSHTGPITLSMFFSTHYAGEKLGLKFRNGEPWKKVFGPVFMYLNSDNLDLWADAKEQMFIETESWPYNFPQSQDFYPPQQRGLVTGRLLVRDSYVSERLMTANSASVGLAAPGDPGSWQVESKGYQFWTQTDAEGYFLINNVIPGNYSLYAFVPGFMGDYRYSSYITITPGSKTRLENLVFDPPRIGPTLWEIGIPDRTAAEFFIPDPYPTLKNQLYSEDTSEKFRQYGLWDKYTELYPDQDLVFAVGTSSYQIDWFFAHVNRYILGDEGNKTYVPTTWTIEFILDNVDESGHYTLWVALASAHEAELQIRVNDPEGEVPHFTTGFIGKDNAIARHGIHGLYWLFCVDVPGSLLVSGSNSIFLRQARGSSPWKGVMYDYIRLETPHSND >itb06g22310.t1 pep chromosome:ASM357664v1:6:24653731:24656447:-1 gene:itb06g22310 transcript:itb06g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSTNRYIGIWYGQYPVQTVVWVANRDAPLADTSSAVLKITIGGQLALVRDNDEAVWSPNKSRLVKNPVAELLDTGNLVVRDADDEKLQNFVWQSFDHPTDHWLSGMKIGWNLQTGDEIFITSWKSENDPASGQYTLHLDPTGYPQLSLRNRTTEIFSTGPWNGLRFSAAPIEQSNTIVGPYGLGALDGGEEIAVKRLSKNSTQGLEEFMNEVICIAKLQHRNLVKLLGCCISGEEKILIYEYMPNKSLDFFIFGNAMGDNTKRVVGTRGYMSPEYAGHGIFSVKSDVFSFGISVLEIVSGRRNSELINEDQYVALPERAWKLYREGKSIALVDEHIAGSYDVVQVIRSIHVGLLCVQQSPEDRPDMSSVVQMLVNDFALPQPKEPGFFFGNEYSSGTHAKGSQNEVTITSLNPR >itb06g21810.t1 pep chromosome:ASM357664v1:6:24347075:24348233:-1 gene:itb06g21810 transcript:itb06g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGKKKFQMKNESAMALLTVLLLILYFWRTMIPAGEMIVEVKKKNEAKQIIVLAGQSNMAGRGGVVARMVDGERIRIWDGTVPPESHRNPAVYRFSQHFEWELAQEPLHQGIYCNKTCGVGIGMAFANRLLELDPDFGVIGLVPCAAGGTSLFNWTSDTVYPYQTFLSRTRTAIKKGGILRAVLWYQGESDSKYYGFGKSYRKNLETLFRKMRSDLQSPTLPLFQVIIPHPKPPFKGPFIEDVRKAQTEIEFPNVIKVDADGLPMGPDGIHLTTEGYVRLGTMLAETVFKNKSILLPNNNNTTVSSSKSNHTCGCP >itb15g02250.t1 pep chromosome:ASM357664v1:15:1374605:1377661:1 gene:itb15g02250 transcript:itb15g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRHWKSGRNPALIRRRMQAKILRLTPPLTGVTNGKSAALHRRTSYRWRRRRRTTSEERFKTIYDFTEKRAKDSALDVSSDWSHKWIILIGVALARSIS >itb07g03340.t1 pep chromosome:ASM357664v1:7:2254497:2255719:-1 gene:itb07g03340 transcript:itb07g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNIVCFGEMLIDFVPDTAGVSLAESKGFLKAPGGAPANVACAITKLGGASAFIGKVGDDEFGRMLVDILKKNGVNSEGVCFDKDARTALAFVTLKSNGEREFMFYRNPSADMLLKEAELNTALIKAAKIFHYGSISLISEPVRSAHLAAMKAAKAAGVLLSYDPNVRLPLWPSEDAARSGIMSIWKEPDFIKVSDDEVQFLTQKDPAKEESVMSLWHDNLKLLIVTDGPKGCRYFTKSFKGSVGGLAVKTVDTTGAGDSFVGSLLVSIAKDPSVVQDEGKLKEALKFANACGALCTTQKGAIPALPTPAEAQALIASAK >itb05g14630.t2 pep chromosome:ASM357664v1:5:21862817:21867219:1 gene:itb05g14630 transcript:itb05g14630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MKMALILHPSSSSCITKKYHPQHHLLLHLHRARPAHFCIKSQESSSTKPTDEVSSSTAGPQPKKGESAGMGFGSSISTQPSSSAPVTSKKKKQKGKGERASVIRRAPIQKPDFASQQDAVKAEEVKRNESAFLLAWLGLGGIILVEGIVLASSARSSCITYATGQIWL >itb05g14630.t1 pep chromosome:ASM357664v1:5:21862817:21867219:1 gene:itb05g14630 transcript:itb05g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MKMALILHPSSSSCITKKYHPQHHLLLHLHRARPAHFCIKSQESSSTKPTDEVSSSTAGPQPKKGESAGMGFGSSISTQPSSSAPVTSKKKKQKGKGERASVIRRAPIQKPDFASQQDAVKAEEVKRNESAFLLAWLGLGGIILVEGIVLASSGFLPEEWDNFFVKYLYPSFTPTVGLFVAGTVAYGVLKYLENEKLRNEK >itb06g22930.t1 pep chromosome:ASM357664v1:6:25090321:25091762:1 gene:itb06g22930 transcript:itb06g22930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMFAKLDQSSHKFQSHHYDLRQLQPEHAFQVAGGGPESKSSEEGDDNKNSELVVAPQPISGVNDGASIEISRRPRGRPPGSKNKPKPPVVITLDAGPSMSPYVLELPGGVDLIGSVTRFCRKRDMGICVLSGSGTVSDVTLRQPSTAPGPNIIFHGRFDILSLSATILNPNVNFSAVSSRPEDFTIALAGPQGQVVGGPVVGPLTTAGPVYLIAATFNNPLHHRLPAVDEEGKNCGGGNEGGLQSSGGEESGGAAVAAAEEPRGLSSTFNCQMAAADVIWAPTGRQAPPPY >itb08g03030.t2 pep chromosome:ASM357664v1:8:2552604:2557130:-1 gene:itb08g03030 transcript:itb08g03030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCPTGRTEIPISSDPDAANSFTHQITIEAPPRQSPPPPPKKSATHTFVPPKFGRSATLPPNVHIGPILGNLLVDISTIYDIDRELGRGQFGVTYLCTEKSTGLKYACKAIPRRKLVATKDIENARREIMMLQHLTGQPNIVEFKGAYEDRRTLYLVMELCSGGELFDRITEKGSYSEKEAAKIGRQIVNVVHVCHFMGVMHRDLKPENFLFVSRDDDSPLKATDFGLSVFIEEGRVYKEIAGSPYYVAPEVLRKSYGKEIDVWSAGVILYILLSGFPPFCADNDKGTFEEILKGQLDLKSPPWPSISSDAKDLIRRMLAVDPRKRITAAEALEHPWLKEDGNASDRPIDSAVLNRMKQFRAMNKMKQLALKVIAKTLSEEEIKGLREMFNNMDTDRSGTITYEELKTGLAKLGSKLPETEIQQLMEAVRILLLRNFRLQHSSHYFRLSS >itb08g03030.t1 pep chromosome:ASM357664v1:8:2552604:2557130:-1 gene:itb08g03030 transcript:itb08g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCPTGRTEIPISSDPDAANSFTHQITIEAPPRQSPPPPPKKSATHTFVPPKFGRSATLPPNVHIGPILGNLLVDISTIYDIDRELGRGQFGVTYLCTEKSTGLKYACKAIPRRKLVATKDIENARREIMMLQHLTGQPNIVEFKGAYEDRRTLYLVMELCSGGELFDRITEKGSYSEKEAAKIGRQIVNVVHVCHFMGVMHRDLKPENFLFVSRDDDSPLKATDFGLSVFIEEGRVYKEIAGSPYYVAPEVLRKSYGKEIDVWSAGVILYILLSGFPPFCADNDKGTFEEILKGQLDLKSPPWPSISSDAKDLIRRMLAVDPRKRITAAEALEHPWLKEDGNASDRPIDSAVLNRMKQFRAMNKMKQLALKVIAKTLSEEEIKGLREMFNNMDTDRSGTITYEELKTGLAKLGSKLPETEIQQLMEAADVDKNGTLDYFEFITATMHRHKLEKEENLYKAFQHFDKDDSGYITREELRQAFTEYGMGDEATIDEILNDVDTNKDGNINYEEFVGMMKKWG >itb06g21140.t4 pep chromosome:ASM357664v1:6:23857721:23860354:-1 gene:itb06g21140 transcript:itb06g21140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDCGGCKKREENIYWSHFQTVQFFQILSGHYDLQLAIPQKFADNLREKLADSVSLKGPCGAIWNVDLEADGDKLYLKYGWKEFVEEHSLRENDVLVFKYNGNLQFDVTMFDGLTLCEKEASYFIRHCEHRELTIRAITNGTATPISYHDAAANESSHEEFEVTARENLRKGYASIQQSTQAYGRPRKRPKSGSGGPSHVYPLQLKSNRRPVTEDEKGKAIQAASAAAVGDSFTVIMQECHVYKGFFLTIPAEWARSHLPRKNINVSLHANEKTWLAKCYYKTSSHGLAGSGWKNFALDNFLEKDDACVFSLVSQSDNAVIFNVRIFRVVEEVVAPNRVIGTPSRKSMQPT >itb06g21140.t5 pep chromosome:ASM357664v1:6:23858202:23860354:-1 gene:itb06g21140 transcript:itb06g21140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDCGGCKKREENIYWSHFQTVQFFQILSGHYDLQLAIPQKFADNLREKLADSVSLKGPCGAIWNVDLEADGDKLYLKYGWKEFVEEHSLRENDVLVFKYNGNLQFDVTMFDGLTLCEKEASYFIRHCEHRELTIRAITNGTATPISYHDAAANESSHEEFEVTARENLRKGYASIQQSTQAYGRPRKRPKSKPFN >itb06g21140.t1 pep chromosome:ASM357664v1:6:23857667:23860359:-1 gene:itb06g21140 transcript:itb06g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDCGGCKKREENIYWSHFQTVQFFQILSGHYDLQLAIPQKFADNLREKLADSVSLKGPCGAIWNVDLEADGDKLYLKYGWKEFVEEHSLRENDVLVFKYNGNLQFDVTMFDGLTLCEKEASYFIRHCEHRELTIRAITNGTATPISYHDAAANESSHEEFEVTARENLRKGYASIQQSTQAYGRPRKRPKSGSGGPSHVYPLQLKSNRRPVTEDEKGKAIQAASAAAVGDSFTVIMQECHVYKGFFL >itb06g21140.t3 pep chromosome:ASM357664v1:6:23858297:23860354:-1 gene:itb06g21140 transcript:itb06g21140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDCGGCKKREENIYWSHFQTVQFFQILSGHYDLQLAIPQKFADNLREKLADSVSLKGPCGAIWNVDLEADGDKLYLKYGWKEFVEEHSLRENDVLVFKYNGNLQFDVTMFDGLTLCEKEASYFIRHCEHRELTIRAITNGTATPISYHDAAANESSHEEFEVTARENLRKGYASIQQSTQAYGRPRKRPKSGSGGPSHVYPLQLKSNRRPVTEDEKGKAIQAASAAAVGDSFTVIMQECHVYKGFFLVRFCKSIH >itb06g21140.t2 pep chromosome:ASM357664v1:6:23857703:23860354:-1 gene:itb06g21140 transcript:itb06g21140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSDCGGCKKREENIYWSHFQTVQFFQILSGHYDLQLAIPQKFADNLREKLADSVSLKGPCGAIWNVDLEADGDKLYLKYGWKEFVEEHSLRENDVLVFKYNGNLQFDVTMFDGLTLCEKEASYFIRHCEHRELTIRAITNGTATPISYHDAAANESSHEEFEVTARENLRKGYASIQQSTQAYGRPRKRPKSGSGGPSHVYPLQLKSNRRPVTEDEKGKAIQAASAAAVGDSFTVIMQECHVYKGFFLTIPAEWARSHLPRKNINVSLHANEKTWLAKCYYKTSSHGLAGSGWKNFALDNFLEKDDACVFSLVSQSDNAVIFNVRIFRVVEEVVAPNRVIGTPSRKSMQPT >itb01g34720.t4 pep chromosome:ASM357664v1:1:37259042:37262670:-1 gene:itb01g34720 transcript:itb01g34720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MESAHLHNHHHHHHQHHQQFQDPPPPPSSSSPSSSYGGVSTSSTAHSWTPNTTLLSGGGGGLSSLVAAGSVLSSRNHHQPMAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGLQGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb01g34720.t1 pep chromosome:ASM357664v1:1:37258665:37262675:-1 gene:itb01g34720 transcript:itb01g34720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MESAHLHNHHHHHHQHHQQFQDPPPPPSSSSPSSSYGGVSTSSTAHSWTPNTTLLSGGGGGLSSLVAAGSVLSSRNHHQPMAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGVIKHGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb01g34720.t5 pep chromosome:ASM357664v1:1:37258665:37261993:-1 gene:itb01g34720 transcript:itb01g34720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGVIKHLQGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb01g34720.t6 pep chromosome:ASM357664v1:1:37258665:37261993:-1 gene:itb01g34720 transcript:itb01g34720.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb01g34720.t7 pep chromosome:ASM357664v1:1:37259042:37262065:-1 gene:itb01g34720 transcript:itb01g34720.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGLQGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb01g34720.t3 pep chromosome:ASM357664v1:1:37258665:37262633:-1 gene:itb01g34720 transcript:itb01g34720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MESAHLHNHHHHHHQHHQQFQDPPPPPSSSSPSSSYGGVSTSSTAHSWTPNTTLLSGGGGGLSSLVAAGSVLSSRNHHQPMAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGVIKHLQGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb01g34720.t2 pep chromosome:ASM357664v1:1:37258665:37262675:-1 gene:itb01g34720 transcript:itb01g34720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MESAHLHNHHHHHHQHHQQFQDPPPPPSSSSPSSSYGGVSTSSTAHSWTPNTTLLSGGGGGLSSLVAAGSVLSSRNHHQPMAQDLSFHHWANAAAAAGFAGGGGVSGQGIKQELSSATDCYPPRLAAADMQNTLDAKLLLGTLSGGGHQPAAAAAAGQQFYGSSAASSNASSNRGRFSQIYPTISVPSLNIEEASSLANSSSLGMNLQALDLFNSARINGAFVAHTSPDHQHLGFHNYAFDHHHHLQQSAQRTFPSNVSSPLFSHATVAISADPKRPCNLVEPNPPQAPPKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKLSRNKSSGRAMQGGQEENIGSEEARRDLRSRGLCLVPLSCLSYVTDSGGGVWPPPSLEHFSPIQ >itb09g13440.t1 pep chromosome:ASM357664v1:9:8757299:8758892:-1 gene:itb09g13440 transcript:itb09g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFSRGFRKRGTIPLSTYLRIFHIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNITKRAIGVEVNKQVGNRIIRKRIHVRIEHVQPSRCNEEFKLRVKENDRLKAEAKAKGTVISTKRQPKGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >itb14g07180.t1 pep chromosome:ASM357664v1:14:6540325:6542461:1 gene:itb14g07180 transcript:itb14g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENANCTVYVGNLDERVSDRVLYDILIQAGRVVDLHVPRDRETDKPKGYAFALYETEEIAEYAVKLFSGLVTLYNKTLKFAISGRDKPSMNSPMETPPALNSSIKQRLHPAPPYDKETSQYSPRLSASCRFSEQQTYSAKVSPGVPLHQRNGYRSHYEGNKYDYSRRVFGAALDSITPSRLGRYNGRNSNSYYSPY >itb01g04510.t1 pep chromosome:ASM357664v1:1:3049428:3052247:-1 gene:itb01g04510 transcript:itb01g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEDCSNWLLDLGFEDISVPGAHFPSLEPGFQWPPNAFSVPAAPSTGLDVSDGNSDVLKECNSKKRARSEACNAPESKAHREKMRRDRLNDRFQELSSVLDPGKPPKMDKSAILSDAVRMVVQLRDEAQKLKKSFDNLQEKVNELKAEKNELRDEKQKLKTEKDKLEQQLKALNSQPGFLPHPPAIPAPFAAPHQVVGSKLVPFVGYPGIPMWQFAPAAAVDTSEDHALRPPVA >itb03g13400.t1 pep chromosome:ASM357664v1:3:13554709:13558235:-1 gene:itb03g13400 transcript:itb03g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKLGVTSINPSFDSSMEGEGSSSGGLPYYTPTYKPALPLHNHHYYSSANNKLFYLALLAIFCTLSYHFGTWQNGGAGSDTSITSIFTAKIATAKTWLFSEDTATTTTLSSTQSLSSQSAGLDFSTHHGNGGGVLGKDEDSVKVYPPCDVKYSEYTPCEDTERSLAYDRERLIYRERHCPNKSEVLKCRIPAPFGYKNPFKWPESRDVAWYTNVPHKELTVEKAVQNWIWFEGDRFKFPGGGTMFRNGADAYIDDIGKLINLKDGSIRTALDTGCGVASFGAYLLSRNILTMSFAPRDTHQAQVQFALERGVPALIGILASKRLPYPSKAFDMAHCSRCLIPWGQYDGAYLIEVDRVLRGGGYWILSGPPIRWRNYWQGWDRTEEDLEAEQTQIEKVAESLCWKKLIEVDDIAIWQKPYNHMDCIQLRQAATLKNPPMCPTSNDPDMAWYTDLQTCLTPLPQVSDEKQVGGGELEKWPNRLNAVPPRIKRGTIDGISGEKFEKDSTLWNKRISHYSAAMDGQLVEAGRYRNILDMNAFLGGFAAALVDYSLWVMNVVPVESKLNTLGVIYERGLIGTYQSWCEAMSTYPRTYDLIHADSIFTLYQDRCEMEDILLEMDRIVRPEGSVIIRDDVDVLIKVKRITDGLQWESRIVDHEDGPLQREKLLFAVKTYWTAPAA >itb10g02370.t1 pep chromosome:ASM357664v1:10:2005166:2005831:-1 gene:itb10g02370 transcript:itb10g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRLVVVVAALLVLGAWASQATAHTLRHVNKFTNGLSPRQRRQQSTDAETAYDEQYRIHNAVNFERPSSKSRESEITKPMDRFRTKPNSPFSKPIGMFNQPSPFSKPIGMFRTKPNSPFSKPIGMFRTKPNSPFSKPSMFRTKPNSPFSKPIGMFNQPSPFSKPIGMFNQPSPFSKPIGMFRTKPNSPFSKPSDMFKSLETPVAEQPAPVNWGLPPQMP >itb06g17750.t1 pep chromosome:ASM357664v1:6:21519041:21521727:1 gene:itb06g17750 transcript:itb06g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAIATCILLFCLILNSSSLPSQIENATFTLTGQHPNPEAVIQEVQRKVNESVWRRNLFLLTEKDQCQTGNPIDDCWRCEPNWANNLQQLADCAIGFGRDAAGGKGGKIYVVSDSSDDSAVEPKPGTLRHAVIQTEPLWIVFAADMTIDLRHELVVSSYKTIDGRGSAVRITGVGCIILESVSHVIIHNLQIYNCAPSKTEELGLSPTQREKKSKSDGDGITVRMSNHIWIDHCALSKCTDGLIDVTEGSTAVTISNSYFSHHDKVMLLGHTDSDAADDGMQVTVAFNRFGEGLEQRMPRCRRGYFHVVNNDYTSWGLYAIGGSGNPTINCQGNRFTAPADPNLKEVTKRLEAEEKEWEDWNWRSNGDVMENGAFFVPSGDGKDDIYAKASSTEPMSGVLIDQLIQNVGVLCGPGLTGGVKQSGDGSSNWNGYLDGRMNFGGGASSPQSGTVTIFLSFFLLLVNSLIF >itb05g26990.t1 pep chromosome:ASM357664v1:5:30601376:30604740:-1 gene:itb05g26990 transcript:itb05g26990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLANSAELGFASSFSSNSLGFHRSNTPSPFFSRQCIFPGPRRRRCLRFYARTSFTSSASAKIKVLGVGGGGNNAVNRMINSGLQGVDFYSINTDAQALLLSAAENPIQIGELLTRGLGTGGNPLLGEQAAEESKEIIANVLRSSDMVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRALQALEAIERLKKSVDTLIVIPNDRLLDIADEQTSLQDAFCLADDVLRQGVQGISDLITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATHSPLIGSSIHSATGVVYNITGGKDITLQELNKVSQVVTSLADPTANIIFGAVVDERYNGTIHVTIIATGFTESFQKTLLADPKGAKVADRGGERSQQSVASPPTPSPRSSSSPSTTSWPSRRNLFF >itb01g00960.t1 pep chromosome:ASM357664v1:1:448926:451862:-1 gene:itb01g00960 transcript:itb01g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPTWPQLLGSENWEGLLDPLNLTLRQLILRCGDFCQATYDSFNNDANSKYAGTCRYGKNSFFHKVMLQDADNYEVAAYLYATAKLPVPEAFLLHSLSHESWDRESNWIGYVAVTNDAVSEALGRREIYVVFRGTTTDFEWINVFSARPVSAESLLRSTTSGDKEDKEEDDDDDKVPKVMFGWLTLYTSKDPNSPFTTLSAREQLQRKIEELRNKYKGENLSITIAGHSLGASLAIVAGFDLCENGVNDITVTAIVFGSPQIGNKVFDKMMGELKNLKILHIRNKIDMIPEYPGALLGYVKSGLEFVIDHRKSTSLKNSRNPGDWHNLQAMLHVVAGWNGEDGEFELKVKRCVALVNKSCEFLREDLLIPGSWWVEKNKGMIIDENGEWVLASPTDDDLPVPEY >itb14g16850.t1 pep chromosome:ASM357664v1:14:20219178:20220752:1 gene:itb14g16850 transcript:itb14g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPAVYLDHPNLHQLQQSEHHQRGGGGLENPPLQAAVGGGGGSIRPGSMVDRARLAKIPLPEAGLKCPRCDSTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGGSHGAKSGSSGGAGAGSSEKPADGGGGGGGGSNSTCASPTDITGNFPQLPPFMAAAFQNLNHYGGNGGGLGGFPPPQMAATSGGGIGEMGFHLPAVLSGFEQPHPHTNVYPFQSEGLEASSSVKMEENRQGLNNSTKQFLGTLENNQYWGWTGFSGLNSSSSTSHLL >itb11g22840.t1 pep chromosome:ASM357664v1:11:24730489:24735177:1 gene:itb11g22840 transcript:itb11g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPAPKADETPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVMIPTVLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQTFFGTRLPAVIGGSYTFVAPTISIILSGRWDDPDPISKFKKIMRATQGALIVASTLQIVLGFSGLWRNITRFLSPISAVPLVALAGFGLYEFGFPGVAICVEIGLPALVILVLFSQYLIHLITPGKNIFDRFAVIFTIAIVWIYAHILTVGGAYNGKPPKTQTSCRTDRSGLISGAPWIRVPYPFQWGAPSFDAGEAFAMMMATFVTLVESTGGFIAIARFASATHMPPSILSRGVGWQGIGILLSGLFGTGTGSSVSIENAGLLALTRVGSRRVIQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFGYVGAGGVSLLQFCNLNSFRNKFILGFSIFLGLSIPQYFNEYIAINSYGPVHTGGRWFNDIVNVPFSSKAFVAGIVAYFLDTTMHKKENQVRKDRGKHWWDKFRSFKSDTRSEEFYSLPFNLNKYFPSV >itb05g23400.t1 pep chromosome:ASM357664v1:5:28514166:28517160:-1 gene:itb05g23400 transcript:itb05g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHRSKKCKKLIDLNKEFHPQIPSVAAKQKWQPFGPFPCVLPPPLAPPSTYSVPAAKNLVFWDLQPFRGASPTTASDGTPAANDLKTLPLLPTSSWKRCEIPSHEVALSTMTIFYSGSVSAFHDISPEKVELVLGMAGGTNEVIGGGSEEIEGKRWNHQNPSCVYATDETTTPPSSSSGSFYQSFAPGAIAMARKATLARFLEKRKHRLIHKKTYLEDGKVVADLSL >itb15g07970.t1 pep chromosome:ASM357664v1:15:5604832:5605284:-1 gene:itb15g07970 transcript:itb15g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDNHNLRPLTFTLLSSPTRSQPPSLLHLFPDDGHWIKKRLSKSDVNSSSRLLLAKEDVNKYVLTWMDEERCAACESREGLRVKMWDLDTGSEHELSLKKWQTGSFLFTSNWSKEFVRRRNLQEGDCIGLRWDVENLGFFFHKFNAQS >itb15g05210.t1 pep chromosome:ASM357664v1:15:3364114:3366105:1 gene:itb15g05210 transcript:itb15g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLGLLSGKKPLKSVKVCFRIPYYTQWGQHLIVCGSEAMLGSWNVKKGLLLKPSHQGDELIWSGSVPVPLGFKSEYTYYVVDDERNIVRWEGGNRRKLVLPEGLEEGLSVELRDLWQTGSDGIPFRSAFKDVIFRKSGSLDIEKPHVPIQTKLGQEDSIIIQFKICCPNVNEGTSIYVIGSSLNLGQWKAQDGVKLNYAVLFTYKYCKCNKSGGCSSEYGGNRELTIDFKTSQPKYLVLSDGMMRESPWRGAGVAIPMFSVRSEADLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVNGMWWDSYPYSSLSVFALHPLYLRVEALSANIPEDIKQEIKQARLQLDKKVFVCVCVCKCIRACMYVYVCSSFCKKFVHL >itb06g18620.t2 pep chromosome:ASM357664v1:6:22242873:22247653:1 gene:itb06g18620 transcript:itb06g18620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVAADLLGKTAFWGIGFCLIVVSAAAGASNGANERLNIWPMPKSVSHGHHSLYLSDGFELKTDGSTYLDGSGILKDAFSRMVEVIRGTHAVDGNVVAGFNQSHVLKGVHVVVLSANEELQHGIDESYHLTVPDIGSSLYAYLRAQTVYGALHGLQTFSQMCQFNFTSRAFEVHQVPWTVLDQPRFAYRGLLIDTARHYLPLPVIKKVIDSMTYSKLNVLHWHIVDTQSFPLEIPSYPKLWDGAYSSSERYTVGDATEIVRYAQRRGINVLAELDVPGHAQSWGVGYPKLWPSKDCQQPLDVSSDFTFKVINGILSDFGKIFKYKFVHLGGDEVNTTCWTSTPRIQKWLKTRRFDGQQAYQYFVLRAQKIALSHGYEIINWEETFNNFGSKLSRKTVVHNWLGGGVAQQVVAAGLRCIVSNQDKWYLDHLDATWDGFYSNEPLANITQPKQQALVLGGEVCMWGENIDASDIEQTIWPRAAAAAERLWTSPENLANDLSKVGGRLAHFRCLLNQRGVAAAPVSGYGRDAPDEPGSCHLQ >itb06g18620.t1 pep chromosome:ASM357664v1:6:22241683:22247598:1 gene:itb06g18620 transcript:itb06g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVAADLLGKTAFWGIGFCLIVVSAAAGASNGANERLNIWPMPKSVSHGHHSLYLSDGFELKTDGSTYLDGSGILKDAFSRMVEVIRGTHAVDGNVVAGFNQSHVLKGVHVVVLSANEELQHGIDESYHLTVPDIGSSLYAYLRAQTVYGALHGLQTFSQMCQFNFTSRAFEVHQVPWTVLDQPRFAYRGLLIDTARHYLPLPVIKKVIDSMTYSKLNVLHWHIVDTQSFPLEIPSYPKLWDGAYSSSERYTVGDATEIVRYAQRRGINVLAELDVPGHAQSWGVGYPKLWPSKDCQQPLDVSSDFTFKVINGILSDFGKIFKYKFVHLGGDEVNTTCWTSTPRIQKWLKTRRFDGQQAYQYFVLRAQKIALSHGYEIINWEETFNNFGSKLSRKTVVHNWLGGGVAQQVVAAGLRCIVSNQDKWYLDHLDATWDGFYSNEPLANITQPKQQALVLGGEVCMWGENIDASDIEQTIWPRAAAAAERLWTSPENLANDLSKVGGRLAHFRCLLNQRGVAAAPVSGYGRDAPDEPGSCHLQ >itb15g04660.t1 pep chromosome:ASM357664v1:15:2996796:2997969:-1 gene:itb15g04660 transcript:itb15g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLNKMGNDDDDMKGRDIQPIIEDCYDKYFVNTENWTCADFCHAICQTVEEINTNVRSTQIRVPRTETLDRAFNNHHKGKGKKLKREEFQKILQEIIMDTGVTGIGAKDILLFLFGVPVTTLFFKQRLFPTAVPNEVFIPAVTSATVYLLAKLNKL >itb04g30550.t1 pep chromosome:ASM357664v1:4:33658193:33658525:1 gene:itb04g30550 transcript:itb04g30550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPMLSALSFLLILLAAVVVGKADAKAQCDQVYGQEFGDTCTSIADKFNLSLDSFLAINPNINCDSIFVGEWLCVG >itb12g22470.t1 pep chromosome:ASM357664v1:12:24570222:24574273:1 gene:itb12g22470 transcript:itb12g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENCSVKYEEEFVLNSRGMKLFTCRWLPVQSQPKALIFLCHGYAMECAVSMKGAAMRLVKAGYAVYGMDYEGHGRSAGLLGYVPSFDDVVSDCCDHYSKICEMPENKKKMRILLGESMGGAMALLLHRRKPDFWDGAVLVAPMCKIADDMRPNRIVISVLTQLCKVIPTWKIIPTQDIVDVAFRDPEIRKEIRANPYCYKGRPRLQTGNQLLAVSMDLEQRLNEVTFPFLVAHGEADVVTDPAVSKLLHQTASSTDKTLKMYPGMWHSLTYGELPENLDVVFSDIVGWLDEKVAMGGSRLEREQKQANDNLFKHAAGLEAKNIVL >itb03g08500.t1 pep chromosome:ASM357664v1:3:6387972:6390103:-1 gene:itb03g08500 transcript:itb03g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNLTNVSVLDNPAPFLSPFQFEISYECVAPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNIGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLNQEFVRVGYYVNNDYDDEQLREEPPQKVLIDKVQRNILSDKPRVTKFPINFHPENNESSEQTPPPDHVTGAEGNEEELPCLTENHKNDGEGH >itb03g08500.t2 pep chromosome:ASM357664v1:3:6387972:6389099:-1 gene:itb03g08500 transcript:itb03g08500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNARLDLAIAAKGGSFILCHSKCKYLEWKLIYVGSAEDETYDQLLESVLVGPVNIGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLNQEFVRVGYYVNNDYDDEQLREEPPQKVLIDKVQRNILSDKPRVTKFPINFHPENNESSEQTPPPDHVTGAEGNEEELPCLTENHKNDGEGH >itb09g08550.t1 pep chromosome:ASM357664v1:9:5095703:5096936:1 gene:itb09g08550 transcript:itb09g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTQNRVIVRLLLLLCVCPCSVLSDDTVPVPAEKAKIDSWFTENVQPLSSRKGLDPALIKAEAEAQHLTVGKGGKFKTITEAIKSIPTKNTKRQIITIAGGNYTEKVKIEYTQHFITFFADPKDRPNIIFDGTADKYGTVYSATVQVEADYFSAVNINFVNSAPRPSGKKEKEQAVALTVGGDKASFYNCKFFGFQDTLCDNHNKHFYKDCYIEGTVDFVFGDAKTMFLNTELHVIDGNRMAMISAHGRKSEKEDTGFAFVHCKVTGTDKVAVLGRGWFDYSKTVFLYNEVSDAIKPEGWLGLHANTNEGGGCYFAEYKNTGKGADAKSRPKFVHMLTDAEAKPYLCLSYIEASKWLLPPITKG >itb11g05450.t1 pep chromosome:ASM357664v1:11:3221849:3225763:1 gene:itb11g05450 transcript:itb11g05450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCHGRDAITTGSSLLNENDTLVSAGKRFELGFFQDEENSNWYVGIWYYSLKPRTVVWVANSEIPIQDPEGAVAIKEDGNLFVLDATGATYFSTQLDATPSKSHRTSRTARLLDSGNLVLIDDLSGETLWQSFDNPADTFLPGMKMDENLTLTSWESSGHNPRNGSYRFRQDPADKNQYIILKRTVTYWKDGVGEGLTSLSAIWNNSWVLQWAAPRDNCSVYNFCGTFASCSINNCKCLPGFKPTNPDDWSAEEFSGGCSRKSDISCNQNATKDTFLNLPSMVFGNPDLAYPNAKTEEDCKQECLNYCQCQAYSYSAVDPRQRGTSDLRLECRIWTSNLTGLQKDDTIGLTLSVRVAISDLERTRRDCRPCGLNSIPYPLSTQPDCGDPLYSSFSCTESTGDLSFQTLTKSYLVTDIDFDSKKFVIELNMENSHTCSSRNPIDGVTLLNDSLPFNVTNWCYMYDIDKRKDSIEIGWNPPPEPTCSALIDCEDWPNTICNATKQGQGRCQCKSGFKWDALSLNCTSRGQEIAVKRLLSQSGQGVNEFKNEIVLIAKLQHRNLVKLVGYCITTNEKILLYEYMPNKSLDAIIFDCTLSATLDWKTRFDIILGIARGILYLHQDSRLRVIHRDLKASNILLDQEMNPKISDFGLARIVEGKSIEANTKKVVGTLGYMPPEYAMEGLFSIKSDVFSFGVVVLEIISGRRNTSFYQSQESLNLLGHAWKFWMEEKALDMMDPILLSSCNQSEVLKCINMGLLCVQEDPNDRPTMSNVVIMLVTESMSLPRPKQPAFVARRRVEDTPSSSSSKKSAYSNNEVTASVVEGR >itb11g13470.t1 pep chromosome:ASM357664v1:11:10480063:10482996:1 gene:itb11g13470 transcript:itb11g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFYNLNSDAGLKKLDEYLLTRSYISGYQASKDDITVYSSLPKPPSSEYVNASRWYKHIDALLRISGVSGEGFGVIIEGSAPIPVGVATPPAADTKASAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASSKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVTMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDELIESTLTVEPVNEYVQSCDIVAFNKI >itb07g07160.t3 pep chromosome:ASM357664v1:7:5459096:5463180:1 gene:itb07g07160 transcript:itb07g07160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVNRPEGEMVKGYSFFALFFAVAICISSNTADSVRSGDPFAAVLGKENFGSWTDGVLNADLAQAPGPANEGLTSTLVLAGNRTKRPDILARFRKYRGGWDIANKHYWASVGFTGAAGFILSVVWFVSFGLALVVHHCIGWSINIKGTESHCSERICLIVLIVLTCTAAIGCILLSVGQDKFHVESLDTLKFVVNQSDYTVLTLRNVTEYLTLAKTVKVAQIFLPSNVMDDIDRLNEDLNDAADMLEEKTNDNSDRIRGVFNVVRTSLITVAAVMLIISLLGLCLSIRGHKHTIHIFIISGWLLVSVTFILCGVFVVIDNAISDTCVAMGEWVDNPHAETALSNILPCVDQRTTNRTLVKSKQIVVDIVNIVNGFIDTYANSNPTDPHNSIYYNQSGPLMPHLCYPYDSQLHDLPCFEQELSMENSSSVSGLPTVLFFCSKGYNELFF >itb07g07160.t2 pep chromosome:ASM357664v1:7:5459096:5463180:1 gene:itb07g07160 transcript:itb07g07160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVNRPEGEMVKGYSFFALFFAVAICISSNTADSVRSGDPFAAVLGKENFGSWTDGVLNADLAQAPGPANEGLTSTLVLAGNRTKRPDILARFRKYRGGWDIANKHYWASVGFTGAAGFILSVVWFVSFGLALVVHHCIGWSINIKGTESHCSERICLIVLIVLTCTAAIGCILLSVGQDKFHVESLDTLKFVVNQSDYTVLTLRNVTEYLTLAKTVKVAQIFLPSNVMDDIDRLNEDLNDAADMLEEKTNDNSDRIRGVFNVVRTSLITVAAVMLIISLLGLCLSIRGHKHTIHIFIISGWLLVSVTFILCGVFVVIDK >itb07g07160.t1 pep chromosome:ASM357664v1:7:5459096:5463180:1 gene:itb07g07160 transcript:itb07g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVNRPEGEMVKGYSFFALFFAVAICISSNTADSVRSGDPFAAVLGKENFGSWTDGVLNADLAQAPGPANEGLTSTLVLAGNRTKRPDILARFRKYRGGWDIANKHYWASVGFTGAAGFILSVVWFVSFGLALVVHHCIGWSINIKGTESHCSERICLIVLIVLTCTAAIGCILLSVGQDKFHVESLDTLKFVVNQSDYTVLTLRNVTEYLTLAKTVKVAQIFLPSNVMDDIDRLNEDLNDAADMLEEKTNDNSDRIRGVFNVVRTSLITVAAVMLIISLLGLCLSIRGHKHTIHIFIISGWLLVSVTFILCGVFVVIDNAISDTCVAMGEWVDNPHAETALSNILPCVDQRTTNRTLVKSKQIVVDIVNIVNGFIDTYANSNPTDPHNSIYYNQSGPLMPHLCYPYDSQLHDLPCFEQELSMENSSSVWQKYTCNVSATGFCSSVGRLTPDMYGQLVAAVNISYALQHYAPPMLNLQNCNFVRDTFRNITSHHCPPLEHHLRTVNAGLALISVGVMLSLALWMIYANRPQREEAFAKLFFKKNRAPPC >itb03g00820.t1 pep chromosome:ASM357664v1:3:444764:448183:1 gene:itb03g00820 transcript:itb03g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATANSAESKLKDAAAAGGVSAIYRGWFSEISPLWPGEANCLKMEEIIFQQKSKYQDILVFKSATYGNVLVLDGVIQVTERDECAYQEMIAHLPLCSISNPKKVLVIGGGDGGVLREVSRYSSVQQIDICEIDEMVVDVAKKFFPRLAIGYEDHRVRVHIGDGVEFLKNVPSGTYDAIIVDSSDPIGPAEELFKRPFFELVAKALRPGGVVSTQAESFWLHLDIIEGIAANCRQIFKGSVNYAWTSIPTYPSGMIGFMLCSTEGPPVDFRHPVHSFDEGGSHDTAKEPLRYYNKEIHSSAFCLPSFAKKVLEPKSK >itb02g22810.t1 pep chromosome:ASM357664v1:2:22498533:22501077:1 gene:itb02g22810 transcript:itb02g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPEHQVAGHQAGNGRLGPLVDDSGFFYKPLQSGDRGSHEVAFYTSFFSNARIPDHISKFFPKFHGTQLLEASDGSGLLPHLVLEDLTLGRANPSIMDIKIGSRTWPPQESEDYINKCLQKDRETSSITLGFRLSGLQVYGSKETGYWKPGRKSVQNLSAAEIRSILKKFVSSNTLDGMDLKPDCSFASTVYGGSNGILSQLLDLKAWFEDQTIFHFYSCSILMMFDKELALQENSPGAVIKLIDFAHVVEGGGVIDHNFLGGLCSLIKFISEILTTPDEHINEVSSNDAKNSVPA >itb06g06100.t1 pep chromosome:ASM357664v1:6:8772492:8772833:-1 gene:itb06g06100 transcript:itb06g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRSRGRAGFLKGKLNWSLYRASATHKLSSPASSSSTTKVKPNNIPPAAGPPAHQLTQLPTQTRSVSYYHPTADHTPIHDSYIYGGIAVDEEIDRKAANYISSVRERFSLA >itb06g21120.t1 pep chromosome:ASM357664v1:6:23840936:23854185:1 gene:itb06g21120 transcript:itb06g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTCLTASFASLSFSSHVSQRPRALSFSHSKRLSLSHTRKIPSFVVVASAVEAAPLTEDLETADLQKYVKSRLPGGFAAQTIFGTGRRKSASARVVLKEGSGRVIINYRDAKEYLQGNPLWIQYVRTPLVVLGYESSYDVFVKAQGGGLSGQAQAISLGIARALLKLGKLHNIPNVEIFWMIIGEAVGLLIISHIPQMAKCSADDQELRRACQLAIEATKQKVVMSMRVAKSHGSWGKPGKIGRGQMAKPRVLAISTKQKGQRTKAFLRVLKYSSGGVLEGFDKIRNATVAPPQWTMRNVDDRNRFLLCILNICKDILGHLPKVVGIDVVEMALWAKENTPVINKQQGGKVQDGPMMVVEEEADMTVTVERELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHTLLENEPLVNQHASYLTGDSFDEACMHRNVEACEWLTGAIVRLDSPNLDSTYSNMRAVKEKRAELRILKMNFARRATDFLNQYFSSLVDFMMNDKNYFSQRGQLKRPDHSDLRYKCRTYARLLQHLKVLDKTGLGSLRKAYCASLNLLLRRETREFSNELRTSTKAPKAPTAWLEGSAASTQSLTSVDTSAVSEAYSKMLTVFVPLLVDESSFFAHFMCFEVSTHANSNKTGYGDDDDDLGIMDFDDNKNKAVKNPAELEELNESLRDLLDGVQEDFHAVVDWAHKIDPLRCISMHGVTERYISGQKSDTAGFVRILLDDLESKISVLFSRFVDEACHQIERNERNVRQVGVLLYIPRFAALATRMEQYIQGQSRDLVDQAYTKLVTMMFVSLEKIAQADPKYEDIMLLENYAAFQNSLYDLANVVSTLAKFYHQASEAYEQACTRHISMIIYYVSDLNSGHSHCLQRPIMFQILFSEAAAYISCLSLQHFERLFEFARRIEESMYNLTPEEIPFQIGLSKADLRKVVKSSLSGVDKSIAAMYKRLQKNLTSEELFPSLWDKLKKDFLDKYDSFYQLVAKVYPSENIPSVSEIEHFCK >itb02g04850.t1 pep chromosome:ASM357664v1:2:2857905:2861415:-1 gene:itb02g04850 transcript:itb02g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPLQSPTSAVGRKRKNSKKRPGSRNSSFDYRKEEQLHRIPGRRFLSGSNDVAALFTQQGKKGPNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLSAIWEVNTKGEDVLIEINLNSAMNSESASLLSTDEVPMASIDVEETHKQPDIFLTLKDSFLKAFKIMDRELRTTSIDCFCSGTTAVTLVKQGQDLIIGNVGDSRAVMGTRDKDNSLTAVQLTVDLKPSIPAEAERIRKCKGRVFALRDEPEIARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTERDEFIILATDGIWDVLSNDEVVEIVASAPARSSAAKVLVERAVRAWRTKYPTSKVDDCAVVCLFLDSHSNNISSASNDKVKGHSSTARNGEDVPGQESEEYLEESNEETSEQEEGWKDWSSLQAVSRADTLLTLPRYEPEHEEDKTAAGGTKTKTKTNKG >itb02g04850.t5 pep chromosome:ASM357664v1:2:2857905:2861020:-1 gene:itb02g04850 transcript:itb02g04850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPLQSPTSAVGRKRKNSKKRPGSRNSSFDYRKEEQLHRIPGRRFLSGSNDVAALFTQQGKKGPNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLSAIWEVNTKGEDVLIEINLNSAMNSESASLLSTDEVPMASIDVEETHKQPDIFLTLKDSFLKAFKIMDRELRTTSIDCFCSGTTAVTLVKQGQDLIIGNVGDSRAVMGTRDKDNSLTAVQLTVDLKPSIPAEAERIRKCKGRVFALRDEPEIARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTERDEFIILATDGVLNLISILYIFICLDSFFLSPLYT >itb02g04850.t3 pep chromosome:ASM357664v1:2:2857905:2861407:-1 gene:itb02g04850 transcript:itb02g04850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPLQSPTSAVGRKRKNSKKRPGSRNSSFDYRKEEQLHRIPGRRFLSGSNDVAALFTQQGKKGPNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLSAIWEVNTKGEDVLIEINLNSAMNSESASLLSTDEVPMASIDVEETHKQPDIFLTLKDSFLKAFKIMDRELRTTSIDCFCSGTTAVTLVKQGQDLIIGNVGDSRAVMGTRDKDNSLTAVQLTVDLKPSIPAEAERIRKCKGRVFALRDEPEIARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTERDEFIILATDGIWDVLSNDEVVEIVASAPARSSAAKVLVERAVRAWRTKYPTSKVDDCAVVCLFLDSHSNNISSASNDKVKGHSSTARNGEDVPGQESEEYLEESNEETSEQEEGWKDWSSLQAVSRADTLLTLPRYEPEHEEDKTAAGGTKTKTKTNKG >itb02g04850.t4 pep chromosome:ASM357664v1:2:2857905:2861407:-1 gene:itb02g04850 transcript:itb02g04850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPLQSPTSAVGRKRKNSKKRPGSRNSSFDYRKEEQLHRIPGRRFLSGSNDVAALFTQQGKKGPNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLSAIWEVNTKGEDVLIEINLNSAMNSESASLLSTDEVPMASIDVEETHKQPDIFLTLKDSFLKAFKIMDRELRTTSIDCFCSGTTAVTLVKQGQDLIIGNVGDSRAVMGTRDKDNSLTAVQLTVDLKPSIPAEAERIRKCKGRVFALRDEPEIARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTERDEFIILATDGIWDVLSNDEVVEIVASAPARSSAAKVLVERAVRAWRTKYPTSKVDDCAVVCLFLDSHSNNISSASNDKVKGHSSTARNGEDVPGQESEEYLEESNEETSEQEEGWKDWSSLQAVSRADTLLTLPRYEPEHEEDKTAAGGTKTKTKTNKG >itb02g04850.t2 pep chromosome:ASM357664v1:2:2857905:2861407:-1 gene:itb02g04850 transcript:itb02g04850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSESRSPLQSPTSAVGRKRKNSKKRPGSRNSSFDYRKEEQLHRIPGRRFLSGSNDVAALFTQQGKKGPNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDSLPLKLSAIWEVNTKGEDVLIEINLNSAMNSESASLLSTDEVPMASIDVEETHKQPDIFLTLKDSFLKAFKIMDRELRTTSIDCFCSGTTAVTLVKQGQDLIIGNVGDSRAVMGTRDKDNSLTAVQLTVDLKPSIPAEAERIRKCKGRVFALRDEPEIARVWLPNTDSPGLAMARAFGDFCLKDFGLISVPEVSYRRLTERDEFIILATDGIWDVLSNDEVVEIVASAPARSSAAKVLVERAVRAWRTKYPTSKVDDCAVVCLFLDSHSNNISSASNDKVKGHSSTARNGEDVPGQESEEYLEESNEETSEQEEGWKDWSSLQAVSRADTLLTLPRYEPEHEEDKTAAGGTKTKTKTNKG >itb06g21160.t1 pep chromosome:ASM357664v1:6:23864971:23865948:-1 gene:itb06g21160 transcript:itb06g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDSRPEVKPCAQPEWPKPNPCLGLGRALRGERSTATSSRSLLKSNRRPVTEEEKRKAIQMANAAVSPTGFTVKMAPGYVYRRFEMTIPGDWAKAYLPAIKRNFDLPLRVKDKTWIARCYQRTNFGRRTILSGKGYRSFVLENQIEEFDVCVFEMERKDDSGKDISFNVSIFRVVEDAIPPSLVRPS >itb01g13140.t1 pep chromosome:ASM357664v1:1:13101055:13105944:1 gene:itb01g13140 transcript:itb01g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTAAIAQPSFGQATCDSDCIKGFIAAHNAARETVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb15g00670.t1 pep chromosome:ASM357664v1:15:389428:392265:-1 gene:itb15g00670 transcript:itb15g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVLLSTKSMVTAENEDNNSGHHERVQYQKGVKYLCDGGIETVPTKYILPALERPTAMNAGGRRNGDDSKLVNHGITEEVMSSMRDVARRFFELPMTEREKYMSSDMSTPVRYGTSFNQTKDGVFCWRDFLKLVCNPLSDLLPHWPSSPLDFRKRAVPYVKETKMLFAKVVEAILESLGVEEEGAKNKTGEKKGDDNEITKQLVEDGSQLMVVNYYPECPNPDLTLGIPPHSDYGFLTLLLQDDVPGLQIHHHGDWLSVEPIPGAFVINVGDHLEIFSNGRYKSVLHRVVVNSLKSRISIASLHSLPFETTVKPSPKLINESNPRRYKDTDFATFLEYINSCDAKEKNFIESRKLTRRDNETVNNLRTD >itb01g32050.t1 pep chromosome:ASM357664v1:1:35649147:35652880:1 gene:itb01g32050 transcript:itb01g32050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAAKNAYSWWWASHIRTKQSKWLDQNIRDMEEKVDYILKIIDGDGDSFARRAEMYYRKRLELLNFVEDTFRNYRALAERYDHLSRDLQTANRTIATVFPERVQISMFEEDGEGFMTGASGAPPEQWSNDMPPAPNLTIPKILSMMKKKSDKPSKVMTKKGLLKFNADDDGNATSGSGLSKDEALLEIDKLQKQILAVQTEREFVKSSYERQIGKYWEFENQVSEMQAKVNSLQDEFGIGTNIEDEEARSLMTCTALKSCRETLERLQEQQEKSNEAAKAESQKVHNAKLKFESLVKALTASQTIRHKISVDLSEESKKLEQKLESSVQGKNDLESSKIKKDSESCFFTVSELAENVDDTVEKVISLEISASSQNAYLNRLKTEADELLVQLNRAEEEKETLANDSENASKKIRELEEKLKRVMNLEQTINEQSSHLQTHFSEATSTLDDLSVKLQNVQPDEEADDSSFSSFSDADSQSHGNDKVEKQCDWHDTISPKTADQNIELSDKRDTDAYDSTSRSPDVNLSDLSTKDPPSTINDSENVLNSPPKGPKSHAHVTFSDTISAVLGGAETGMKQQRDDFPDQGTSLAKREHADSISDILGDEMTEEKLKKGGYPDQDSATAKREQTDSISAIAGDEVPREKFKKGGDHLDQENLQDPRTRKEGEEPDTEYDPPNWRELFLNGSDDEREKVLLEEYRTALRNYKEAKKKQNEAEKKRRASHFQYVIQIKVLKSSIASKDAEIQSLKKKLNSAQENHFETQKSNENITRSSSLPTGGKSLDEYMKSLMQLIDVPKSEDAPVREEAKSSSKDAPVREEAKPSSEDAPVREEAKPSSEDAPVREEAKPSSEDAPVREEAKPSEETSIFNTKGDDDEVDIKIANLDEPQTFSTIQEKIRMDIDDLLEENIEFWLRFSTSFHQVKKFQTSVEDLQAELQKAREKNKHEGSIKPPSLISDIRPIYKHMKEIQTELLLWLEHSSALKEDLKHRLSSLTNIQDEVTRLSLAGINDEEFQLSNYQAAKFQGEILNMKQENNKVANQLRTGSELVEKLQADVKTTLSKLEKELGIKRERGADTKIPVTSFLFGMKLKKQMPTNILSRVSAASLQKRVIETPK >itb10g24130.t2 pep chromosome:ASM357664v1:10:28124087:28128907:-1 gene:itb10g24130 transcript:itb10g24130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSLKGRTSSHVRPNSVFPQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLACSTLAYCAAYDHSAGHAVFVVISVLLFHFLITGAVLSTFCWFLTNNYLREETPNSHVVEQRVEWLYAFDVHCNSFFPVFILLYVVHYFLSPLLIAHGFIPLLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGLVIVLSPIYWYDRSQGFIIGPVTRWG >itb10g24130.t4 pep chromosome:ASM357664v1:10:28124087:28128907:-1 gene:itb10g24130 transcript:itb10g24130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSLKGRTSSHVRPNSVFPQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLACSTLAYCAAYDHSAGHAVFVVISVLLFHFLITGAVLSTFCWFLTNNYLREETPNSHVVEQRVEWLYAFDVHCNSFFPVFILLYGKNIFRREGFNPCMLKFFLCFLFFFMAVVHYFLSPLLIAHGFIPLLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGLVIVLSPIFILSGFNPSRYFMNMYFSRLV >itb10g24130.t1 pep chromosome:ASM357664v1:10:28124087:28128907:-1 gene:itb10g24130 transcript:itb10g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSLKGRTSSHVRPNSVFPQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLACSTLAYCAAYDHSAGHAVFVVISVLLFHFLITGAVLSTFCWFLTNNYLREETPNSHVVEQRVEWLYAFDVHCNSFFPVFILLYVVHYFLSPLLIAHGFIPLLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGLVIVLSPIFILSGFNPSRYFMNMYFSRLV >itb10g24130.t3 pep chromosome:ASM357664v1:10:28124087:28128907:-1 gene:itb10g24130 transcript:itb10g24130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSLKGRTSSHVRPNSVFPQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLACSTLAYCAAYDHSAGHAVFVVISVLLFHFLITGAVLSTFCWFLTNNYLREETPNSHVVEQRVEWLYAFDVHCNSFFPVFILLYVVHYFLSPLLIAHGFIPLLLSNLLFMVAASYYHYLNFLGYDGKINSLYSFSLVILALVILLPCILKLSNNHTFFHGAVLPFLERTTFFLYPIGLVIVLSPIFILSGFNPSRYFMNMYFSRLV >itb01g00480.t1 pep chromosome:ASM357664v1:1:220516:223324:1 gene:itb01g00480 transcript:itb01g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGSNKMVVKSPRSKMVIGNSLFYPIVGFASFAVFIYMSFGDLWMNFHGETKLSFVERNGTQFLVEGQAFYVNGWNSYWLMDHAVDENRRPRIKAILQAGAKMGLTVCRAWAFNDGAYNALQISPGKFDEKVFTALDHVIAEARKYRIRLLLSLVNNLHAYGGKTQYVNWAWEEGVGLSSSNDSFFYDPTTRLYFKNYVKTVLTRKNTFTGIEYRDDPTIFAWELINEPRCLTDPSGDTLQDWIEEMSTFIKSIDRNHLLTVGLEGFYGPKSSKSLTVNPEFWAADLGADFIRNSEIPTVDFASVHVYPDQWLRNQSFEEKVQFVRKWLLSHIHDGDKVLRKPVMFTEFGLSTENKEFDPEKRDKFFKVILDIIYKSARRNLSGAGSFFWQFLVEGMEEYNDDFGIVPWERPSTYQLITEHSCRLAKLHGALSTQKVYLKSLCSSHS >itb11g13320.t1 pep chromosome:ASM357664v1:11:10308225:10309733:-1 gene:itb11g13320 transcript:itb11g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPLSLLALSSYSPLSSPPITLRRVAAPKMTGVHILIFPYPAQGHMLPLLDFAHQLASRGVTITILVTPKNLHLLNALLSVHPSIGTLVLPFPANQNLPAGVENVKELPPDGFRHMMRNLRELRGEIVEWFRRHPSPPTAIVSDLFLGFTNDVAAEVGVRRYVFSSSGAFALSVIYSLWREMPKRENPNDDGEVLRFPDIPSCPEFPWWQISPVYRNYADGDQNDEFFRECFLANILSYGLVCNSFTELERVYFKHLMEYLGHDRVWAVGPLLPLEKGQAERGGLSSISTTDIISWLDSCQDNSVVYVCFGSQSILTNQQMEAIALGLEKSGVRFIWSTRGPTKGHVEEGYNSIPPGFEDRVAGRGMVIKGWAPQVLILQHQAVGAFLSHCGWNSTLEGIVAGVPLLTWPMGADQYTNAILIADEHRIGTRASEGDETVPNSDELAQILIDSLSQEGKGVEQRERALHLRQTTMDSVNKGGDSFNNLEDFVMHLFEEASKM >itb13g12190.t1 pep chromosome:ASM357664v1:13:18361715:18364807:1 gene:itb13g12190 transcript:itb13g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCRLPINPLPFFIIFVFMVTEFRFCSGNPNPICRKDEKQALLCLKMGLKDPSTRLSSWVDGNYDCCTKWAGVVCDNVTGHVTELHLANPAPGNVSSAFGGELSPCLLELKQLSHLDLSGNDFDGTPIPSFLGSLLNLEYLDLSEAGFEGDIPHHLGNLTGLQMLSIRGNAYSLGGLKVDTLEWLSSLSNLQQLDFSYVDLSNALNWVEVTSALPSLHHLHFSASTLANISSSLHHNNYSSLLVLDLSLNSFNYFIPKWIFNLNTLVSLDLRQSSFLGPLPDVGPWNFSSLEALHISFNLLGGSLPTHANLPKLKTFDTSLNMFNSSLPQWIFRCKELQKLDLGANLFSGPVPSSVGKMKRLRYLDLSLNELSSSIPSWIYECPDLTYLDLGYNQFQGTISNSISNLTSLAYFSVSHNNMLSGEVSKQIGKLCDLKWLSLSANKFSGLISELFQSMSGCVSYGLVGLHLDNNQFSGPMFESSLTFPLLTSLGLGGNKINGTLAESLGKMFPMLRSLDISNNILEGLVTENYFVNLKGLVSFHASGNRLTLKVSSNWLPPFKLKKLGLGSWHLGPQFPIWLQSQKEISKVDISNAGIKGEVPTWFWNLSSQISLLNLSHNLFCGPLPQTSLAKTKLLDLSNNFFSGDVVHFLCHLQNGSNRLKRLYLKRNGLSGQIPDCLSNWPELQVLDMAKNNLSGRIPKSVGLLKSLSSLDLNGNKLCGNIPSSLQNCTNLLKLDLGENELQGNIPSWLGSSLYSSLVILRLRSNKFHGKLPPDLCQLKYLQILDVANNNIIGSIPRCLNNLTSMVKQIEIDFGEMDTLTKIFLGESATVITKGQEYQYSTIILVLVRSFDLSNNNFSWKIPVELTTLVELRFLNLSRNKLTGNIPKNIGDMKQLESIDLSRNHLSGEIPYSLSNLNFLSYLNLSYNNLSGKIPTGTQLQSFNASCYVGNNLCGPPLLGCSNYDDVPDEEEDRGGDFEAKWFYISMAIGFLVGWWGIWGPLFVVKSWRYTYFQFIDDKLKSLSRWFG >itb13g12190.t2 pep chromosome:ASM357664v1:13:18362684:18364807:1 gene:itb13g12190 transcript:itb13g12190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSLPQWIFRCKELQKLDLGANLFSGPVPSSVGKMKRLRYLDLSLNELSSSIPSWIYECPDLTYLDLGYNQFQGTISNSISNLTSLAYFSVSHNNMLSGEVSKQIGKLCDLKWLSLSANKFSGLISELFQSMSGCVSYGLVGLHLDNNQFSGPMFESSLTFPLLTSLGLGGNKINGTLAESLGKMFPMLRSLDISNNILEGLVTENYFVNLKGLVSFHASGNRLTLKVSSNWLPPFKLKKLGLGSWHLGPQFPIWLQSQKEISKVDISNAGIKGEVPTWFWNLSSQISLLNLSHNLFCGPLPQTSLAKTKLLDLSNNFFSGDVVHFLCHLQNGSNRLKRLYLKRNGLSGQIPDCLSNWPELQVLDMAKNNLSGRIPKSVGLLKSLSSLDLNGNKLCGNIPSSLQNCTNLLKLDLGENELQGNIPSWLGSSLYSSLVILRLRSNKFHGKLPPDLCQLKYLQILDVANNNIIGSIPRCLNNLTSMVKQIEIDFGEMDTLTKIFLGESATVITKGQEYQYSTIILVLVRSFDLSNNNFSWKIPVELTTLVELRFLNLSRNKLTGNIPKNIGDMKQLESIDLSRNHLSGEIPYSLSNLNFLSYLNLSYNNLSGKIPTGTQLQSFNASCYVGNNLCGPPLLGCSNYDDVPDEEEDRGGDFEAKWFYISMAIGFLVGWWGIWGPLFVVKSWRYTYFQFIDDKLKSLSRWFG >itb14g10670.t1 pep chromosome:ASM357664v1:14:12102990:12109337:1 gene:itb14g10670 transcript:itb14g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFQAPYLLQLPVRSPQLRIVLSSECPVLLPIRISGLPSLHRGRRVSVKVRTLDVVRCLTKSTVEPEQEGLVDREISGDDSKVDPQEVLAEAAAQKEFSSASVGQRKVVAGDSLSLGIREPVYEVLEVKSNRTVSTRKINRRNLLKSSGLRPRDIRSVDPSLWLTNSMPSLLVREHAILLNLGSLRAIIMQESVLVFNYNSKGGTAFIGALLPRLNPDNLSGGHSMPFLLEVVEAALHSRIQRLEQRLWDLEPRVQDLLEVLPNRLTADVLEQLRMSKQSLVELGSKAGSLRQMLLDILEDTHEIRLICIMGRNCTLKKENDEMECAVSLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEQMYAFWLTTGGIIIGAFVAFFVMYLYLRTRKIL >itb15g20390.t1 pep chromosome:ASM357664v1:15:23026983:23027517:-1 gene:itb15g20390 transcript:itb15g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASMTVAPITATQRRSAAAFVSPLPVRPSKTASVNQTSGKKLRVSASLKEKAITGLTAAALTASMVVPDVAEAAVSPSLKNFLLSIVAGGVVLAAILGAIIGVSNFDPVKRS >itb10g12790.t1 pep chromosome:ASM357664v1:10:18914871:18915608:1 gene:itb10g12790 transcript:itb10g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALVQNRTLKSISSNLIELSHKSGEYYLKIDSRIQILEKRLEQIPFERPYGQSLQAHFQYQANETASIKGTIEQLKSEIRPKQPYQPSLFEPLQNYPSIPVPAPLYQTSSLTNPSFQSGNPMLPPTDAFILEEYHRRNKLK >itb11g05940.t2 pep chromosome:ASM357664v1:11:3549706:3554578:-1 gene:itb11g05940 transcript:itb11g05940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSDLNPERETLINTFCEITSSTNPEALFYLESHNFDLDSAVSTFFETNPAVPSADVPAPASAAAANAHSPADSQSYSPSQSESSSPPRSRSPSPRRRSKQPQSSGAYNLRSSKHGNASSAPGASGAGRRSAGIHTFADLNRRTAAGSGSDSDEPQEYYTGGEKSGMLVQDPSKMNDVDAIFNQARQTQPVGGPVENLPPSSSRSFTGTARRLTGETVPSAPQRPEVVTHNITFWSNGFTVDDGPLRRLDDPENAHFLESIRNSECPKELQPPDRRTAVHVNLTRREENCPVCCLFFVLFILMNITVY >itb11g05940.t1 pep chromosome:ASM357664v1:11:3549706:3554578:-1 gene:itb11g05940 transcript:itb11g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSDLNPERETLINTFCEITSSTNPEALFYLESHNFDLDSAVSTFFETNPAVPSADVPAPASAAAANAHSPADSQSYSPSQSESSSPPRSRSPSPRRRSKQPQSSGAYNLRSSKHGNASSAPGASGAGRRSAGIHTFADLNRRTAAGSGSDSDEPQEYYTGGEKSGMLVQDPSKMNDVDAIFNQARQTQPVGGPVENLPPSSSRSFTGTARRLTGETVPSAPQRPEVVTHNITFWSNGFTVDDGPLRRLDDPENAHFLESIRNSECPKELQPPDRRTAVHVNLTRREENCPAPAKRKTPFHGVGRTLGGTTNDDAPVEPTVATAPLTSAPAPAMGLIVDETQPSTSIQLRLADGTRMVSRFNIHHTIRDIRGFIDASRPSGPRTYQLQTVGFPPKQLTDLEQTIEQAGLANSVVIQRV >itb06g04900.t1 pep chromosome:ASM357664v1:6:7681945:7683118:-1 gene:itb06g04900 transcript:itb06g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSSTDERREYGEGSSSSRLAATMATPPQPLQLQQPGSGGGGGVHHQLSRYESQKRRDWNTFGQYLKNQRPAVALSQCHYSHVLDFLKYLDQFGKTKVHSHACVFFGQPDPAGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASAAIRVYLREVRDSQAKARGIPYKKKKKKKIIKTNIINNPSTNNNTNNVLKANEEPTATPSFQMQSAS >itb14g06220.t1 pep chromosome:ASM357664v1:14:5488784:5489929:-1 gene:itb14g06220 transcript:itb14g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLERAVGHSVEEYREYLKNPDMKLLDKAQNYYKENGITYPNNDEELVDMLLLDGCFVVEFVLKCKEGGNGDPRGSIEGKKARKDMLLFENQLPFEVLFAIYKKMNTGNTEEVPIFIRLVKFAFASLAPKFTINNFHDDNKPQQPIDLLHVVYSLCLPRNAQILISQAAKGNEENVWLKLNHMNSATELKELLVRFDKTGQVYHMPKKYENIPSPEFSGAISLFDITFHNGAMTIPCFKVDKFTELFFRNMIAMEQRCDTLNPKYFTDYARLMDHLLDTKEDVSLLRKNGIIQNLLDEDKKVAYIFNNLLDEDTPSTNFYYASVYKDVDEHYSRYSYCYNWNQPEAFNSVLKVVAAALVAVPLFIIAVRKSNDSTYFNH >itb11g12410.t1 pep chromosome:ASM357664v1:11:9246832:9250237:-1 gene:itb11g12410 transcript:itb11g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MAGTSLMESLFQRSLDDLIKGIRLFSGGAGESGFISKAVDEIRREIKSTDPQTKATALQKLTYLHSIHGVDMSWAAFHAIELSSSQSFLYKRIAYLAATLSFDPSTTDVILLLTHQLRKDLSSSNHHEVSLALLALSSISTPDLARDLTPELFALLNSNKFFIRKKAIATVLRVFELYPDSVRVCFKRLVENFECSDPGVVSAVVGVFCELATKEPRSYLPLAPEFYKLLVDSRNNWILIKVLKIFAQLAPLEPRLAKRLVDPICDHLRRTGAKSLAFECIRTIMSSLSEFESAMKLAVEKLAEFMVDDDPNLKYLGLQALTTIVPKHSWAVLENKEFVIRSLNDADVNIKLEALRLVMAMVSEDNVVEICRVLINYALKSDPEFCNEILGSILSTCSRNVYEIVIDFDWYVSLLGEMSRIPHCQKGEEIENQLVDISMRVRDARPQLVRVGRNLLIDPALLGNQFMHPILSAAAWVSGEYVQFSKNPCELIEALLQPRTNLLPPSVRAVYIQSVLKVLTFCTGYYLFSDQSTSSATSGVTQVMPDKTENSNLAINASPVGSEIDEGFYPRILHRPAGDVSVENFEDMIVAHEQMSVKNLKAGLFSEESIINLFNLAEAALRPLVGSHEVDIQERVRNILGLVELLQEELPGCLPKREGDSERGELKAQEIIKLMRGAFSEELGPVSVISQERVPLPDGLVLNENLRDLEAICGDILLPISGSFSLCKQHPVEKIDTLFDRQGSEESSELTTESTSLLAEHRKRHGLYYLPSQKKETVSDDYPPANDPCMGDADNTEDLIKLTEQSFLPKRKPNQAKPRPVVVKLDDVDGTHFPAKKHDSKDDLISGAIRDVLLGNDDVASTSRSKKPEKSSSKRREKNKSTRDKPSELIDNSANIKSSEEVGSGRTKHRSHGKEGKNKSKDRGEHNQGEKQHSHGRHKSRHRADRATFMEAQSSVIPDFLL >itb14g18090.t1 pep chromosome:ASM357664v1:14:21227672:21234374:1 gene:itb14g18090 transcript:itb14g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MNPSLLLSTNPSPNRTALFSPFLSPAPLRLTAASRNRYHFRYRISAVSSSSDQPSTSISSSAGTAAVPVESDVFGGKRELSGIQALVDSMSPPIRIASSAIIFAGAVAAGYGLGFRFGGSRNAGLGGAVALGAAGAGAAYALNACAPEVAAVNLHNYVAGCDDPAALKKEDIDDIANKYGVSKQHEAFNAELCDIYCRYVTAVLPPGNEDLRGDEVDTIIKFKNALGIDDPDAAAMHMEIGRRIFRQRLETGDRDGDLEQRRAFQKLIYVSNIVFGDASSFLLPWKRMFKVTDAQVEVAVRDSAQRLYASKLKSVGRDVSVDQLVSLREAQLSYLLSDEFAEGMFREHTRKLVEENISRAVTILKSRTRAPGEATRVTEELDKILAFNNLLISLKNHPDASRFARGVGPVSLSGGEYDSDRNFDDLKLLYQAYVTDSLASGRIEEQKLTALNQLRNIFGLGKREAGAITLDVTSKVYRKRLAQAVRTGDLAAADSKAAYLQNLCEELHFDPQKAIEIHQDIYRQKLQQAVSDGELSDEDVNSLEQLQIMLCIPKQTVETAHAEICGNLFEKAVKEAISGGVDGFDPDVRKAVRKAAYGLRLTREVAMSIASKAVRKILLSYIQQARGADSRTESAKELKKMILFNSLVVTQLVADIKGEDESSDSLQEEPIKEEEKQTFEEEEWETVRSLQKVKPNRENVKKQIQTEITLKDDLPDRDRTDLYKTYLLFCLTGEVKMMPFGGQLRVKRDDDSEFVLLSQLASILGMSNKEIVDVHRGLAEQTFRQQAEVILTDGQITKARMEQLNDLQKKVGLPPPYAQKIIKNITTSKLSGVLETAVGQGRISMKEIRELREAGVEINTMVSESLRENLFKKAVDDIFSSGTGEFDEEEVYEKMPADLSINAEKAKAVVQQLARTRLSNSLVQAVALLRQRNQKGVVSSLNNLLACDKVVPSTPLSWEVPEELDDLFLIYLKSDPAPERLSRLQYLLDISDSKADTLKELKDRTVPNEAEEEEFVF >itb05g27660.t1 pep chromosome:ASM357664v1:5:30919771:30922563:-1 gene:itb05g27660 transcript:itb05g27660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGECSATGAVEVSETVSNSNSEKLGGSIDADKVGAYGNCDDESMEDKGKGAEVTEEAVAVKLMPSPTPKGYGLKKKWRRINREEVKKDGDRNIDTSKLFVKRDLSSSSKNSAKAVRFSAGASQQSSEFVRNSGLNGNSVAVHGSAFLAGADLENSEDESSKSSTAASASNPRNKKPAVEYAGNRNLVNLSQQSKDWTESSKKPRGERVKIDKENSHSSMESDSRSSNFVFMQEGTSSLKSNGTKGERPLDSDGENRDDEDKECEGKVGDDDLQASYNDGNSGQFEDVLQDGLSAESSWEAKDEKSENHGTSTDRDPVIESIFTLQAAQEALEREVQKFKEIAKEAEQSESVNGMQDSFDSLENEVINQKQVLKLTESKLEEATSMLTLKEAKVNELESIISNGPRMGERQTAKQSTVDIETELETLFKQKIETEVEFLVSKAAAADQITLLEQQKALASDQIVNKLGDAEQKAAMLKKEAEKLENYCKSMVSTADDTLKLQKSVFKHTFCFCSQLILLVLVVGLFIFQLSPHHLTPVVPT >itb08g09980.t1 pep chromosome:ASM357664v1:8:9390388:9391287:-1 gene:itb08g09980 transcript:itb08g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVVSKERITPSNSTPQSHRYHKLSLLDKLAPHGYTPVLLFYSTTFDLEERYNKLRASLAETLNHMYQLAGRVGAKHSVMCNDQGVDLILAKVGQDMSKVTKHPRIETLRQLLPVKPETTLDEDGVLVAVQINTFACGGTAVGLCINHVIAYGWSLAVFLNTWAGINRHDEKATKGFVLDSTSIFPECRGNGRDVSMLPLNLEAQAPKIESRRFVFNEDKVMGIKEKMGAIKGGNNSNILPSRIEAVSAFVWAVVIKAHQETNINVKTHVMTSAINMRKRMKPPLPPQTIGNVFHVI >itb08g08730.t1 pep chromosome:ASM357664v1:8:7717381:7720446:1 gene:itb08g08730 transcript:itb08g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNYCAIWNTVIPSGDVVFLMKFTICVMVDLSGHSTSIKFLLRCSLKIVKEKRSVNVTGLINCESDDGKETTPSCFPGSGNSAFVVSKDSYCKIEESSGVKKLSEKRLMPSMDIINGPAPKYRKVSGNKKDILAPYCKARFSEINRKGPCGKSESKKLKKFCLEDDDLLISAFIKNPTSKFANNRSFGKPKPLRKQKSKKGGCRLVLRSLNRNGKHFVEVEWPSFALRNVLSWLIHFGVVCIGEVIQYRNIKDDCVMKAGIITRNGILCNCCGEVLSISKFKRYTGFKLNHSCLNFFLESVFIGEVIQYWNLKDDSVVKAGVVTRNGILCNCCGEVLSISKFKRHVGFKLNHSCLNLFLESVSIGEVIQYRNLKDDSVVKAGVITRNCILCHCYGEVLSISKFKRHAGFKLDHSCIESFPGIWECVRGLINFIEKMLSSFKFEKLVKSAIPSLVEILALGFGFKPLEEEERSSLSNNNLMLFPRTMWLKTYVSRQTS >itb02g21420.t1 pep chromosome:ASM357664v1:2:20276430:20282735:-1 gene:itb02g21420 transcript:itb02g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSANLWVLLGLGLAGILIMTRKLKKAVKADFGAFVERLQLLPPPQPPPPKAPHPLTGLSFAVSDVFHIEGFVTGFGNPDWAKTHEPATQTSTVVTALVEAGATCVAKTIVDDMAFGISGENKHYDAPTNPASSSRVPGGPSSGAAVVVAANLVDFSLGIDTDGGVRVPAGYCGILGFQPSFGAVSHMGIIPVSQSLDVVGWFTRDPNILRRVGHVLLQVPFTAQRNPRNIVIANDLFQSLNIPTDRTSQVVIKAIEKQFGRQMIRHENLDAYLSNKVPSLKSFKKLNGEAKLSTTRLLGHVVQVLKRHEFKRNHDDWIDDVKPTLDPIITAQLHEGLEVADTEIDKCHAVRNEMRSALSDLLKDDGILVIPTVIDPPPKAGAKETQSIDYVSRACLFASLASLSGGCQASIPLGFHDKCPISVSFVARNGGDRFLLDAVQALFASLQEQAKIAAQSKSSNNAISKEASAEMAKEKGNQAFKEKQWQRAIGFYTEAIKLNGNCATYFSNRAAAYLELGSFIQAEADCTKAIELDKKNVKAYFRRGTAREMLGYYNQAIEDFRYALVLEPTNKRAAQSAERLAKLFH >itb02g07730.t1 pep chromosome:ASM357664v1:2:4825805:4829085:1 gene:itb02g07730 transcript:itb02g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKELGLPKKSGCSLKEQLAQKTLHNVRQQGHTYIELREDGKRFVFFCTLCLSPCYSDSILFDHLNGNLHTERLAAAKATLLKPNPWPFNDGVLFFYDHEQDKPLPLSNGEQTKILDIDWNTDDNTLAIVKYDENLLLPDVGEKAAEAETDADFENSTLSSNGDNHQMVIPGVLRRDEISELVVKHIGTSRIATRISEKDEASKQVRRIWSEWLGNKDSGDEDDIMLPEHDFAVVIFPYSYSLGRKGLLEEVRCLLPPSPLSESDETGNAKNKKRKSFSDPEDVSESLSNQCDSSGEESQSTDTRLLLNGYDDQLLHSRVISSKTIRRQLRKQQQVAAERMCDICQQKMLPGKDVATLMNMKTGRLVCSSRNMTGAFHVYHTSCLIHWILLCELEMYGKQSDEPKTKRRSRRKAGSNHKGEKKNGTIRKQISSIFCPECQGTGMKIDEDDLEKPTIPLSEIYKFKIKLSDARKAWIKSPETLNNCSTGFHFPPSSEDLCQEFVTPLKLLKFYRVNDLS >itb04g19980.t1 pep chromosome:ASM357664v1:4:24409670:24410419:1 gene:itb04g19980 transcript:itb04g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQTIKSINWAVLLNQSSRKWALPDSATQCHLSLSSKPSKTRNGKISTSLKITDSVKGKLILGAKLLRAGGVQKVFKKNFGVREGEKLLKASQCCLSTTAGPLAGLLFVSTEKVAFLSERSIRVPSSSGKSMRVHYKVLIPIAKIKTANESKNLKNPSEKYVHLVTEDHFEFWFMWFQQHQRTLKYLQDAISQSAQYP >itb02g20630.t1 pep chromosome:ASM357664v1:2:18708422:18709801:-1 gene:itb02g20630 transcript:itb02g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMMMIKLFVTAIAIAVALTIAISTTKNIDSSVVVVEGDTAAAAVVPPSRRVSRFLAEDKNARAADHCKNDDEICYVVEGKNSTCCNNKCMDLGYDRHNCGACKNKCKFTTTCCRVPLPPGNTPTTVAS >itb08g08290.t1 pep chromosome:ASM357664v1:8:7226568:7228145:-1 gene:itb08g08290 transcript:itb08g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLYAIPSVHPNSFLLMTINGAGITIDIVFLLVFLFFSDTKKRIRVALIVLAEVVFIAALAIMVLTLAHTWKLRSAIVGSIVVVCSMLMYASPLAIMVPNGMGALSGLVQLVLYAIYYKSNKIVEEKQVDMEVGFTKIGLSSNEG >itb01g34590.t2 pep chromosome:ASM357664v1:1:37200377:37205185:-1 gene:itb01g34590 transcript:itb01g34590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTSPFKLILGSASAPRRQVLAEMGYEFITMSADIDEKAIRKEKPEDLVMALAEAKAEAIIPRLNIDESMEDAEPMLLITCDQVVVYEGMIREKPENKEEAREFIKDYSNGQAATISSVLVTNLKTGKKRGELDKVEIYFHEIPEDVMDKLIEEGTVLYVAGGLLIEHPLIQPYIKRVAGATDSVMGLPKALTQRLITEVL >itb01g34590.t1 pep chromosome:ASM357664v1:1:37200377:37205185:-1 gene:itb01g34590 transcript:itb01g34590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAKAEAIIPRLNIDESMEDAEPMLLITCDQVVVYEGMIREKPENKEEAREFIKDYSNGQAATISSVLVTNLKTGKKRGELDKVEIYFHEIPEDVMDKLIEEGTVLYVAGGLLIEHPLIQPYIKRVAGATDSVMGLPKALTQRLITEVL >itb05g22020.t1 pep chromosome:ASM357664v1:5:27576712:27579628:1 gene:itb05g22020 transcript:itb05g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETAVLLDNPGGGAVAEPKNDGGGGGGGGGEEEGGNQNSGGNRWPHEETLTLLKIRSEMDLAFRDSTLKGPLWDEVSRKMEEFGYHRSAKKCREKFENIYKYHRRTKEGRSGRQTGKNYRFFEQLEVFDAMQGGGNKISGDDAAELASPTPVAMIKPNTNIVQDFRIGFGGADVLSASTSTTYSSGKDSGGSSARRRRERKLAGYFEKLMKEVLEKQENLHKKFLEAIERCESQRMAREEAWKKQEIERLKREQQSLAQERAVAEAKDAAVIAFLQKIAKHPILVHVEQQQSQNLVHSEQQPQKPQSEVLEPQNNNNNGSAGETTPSSSRWPKSEVEALIRIRTNLDLQNHDSGSSKGPLWEDISAEMKKIGYDRNAKRCKEKWENINKYYRRVKDSNKRRPEDSKTCPYFHILDSLYQTKSRKLELSPAPDLPNFNLKAGEMLLQIMTQNQPGKEDGERSNNAHQAQEHDEDEDVDEDNSESEDGFQIVANQP >itb03g17980.t1 pep chromosome:ASM357664v1:3:16374595:16376297:1 gene:itb03g17980 transcript:itb03g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGAENKMGITETWMRKHDLLFIAATRNPFIQAIRDATLHLSSFKDWLGQEYWLAKRAFVPFLSTLLEKARHESGDTNEIVQVIQTGIGLQNDNISWLEREAEKLDVSLESVVPYQPTIDYSNLLDSLTTPEFNDDYTGAALSILWATATVYHRTFAHCLSQDSKTPEEMKEPCRKWGGEAQADYCLSLQRTADWALEKAPRDVIVKAEVALLQFLEISVQFWNVVNKRCIMQPNAF >itb14g17260.t1 pep chromosome:ASM357664v1:14:20536211:20538452:-1 gene:itb14g17260 transcript:itb14g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQELEASKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb03g21330.t1 pep chromosome:ASM357664v1:3:19176336:19178608:1 gene:itb03g21330 transcript:itb03g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIKFAAFVVLLAAVVATMLPETTNAATLVVGDTTGWTVPPSTSTYSDWASRQTIKVGDTLVFNFPSGVHDVAKVTKSAYDNCNTTSPISLFTVGPASVSLNSSGEEYFICTFGQHCPLGQKLAINVSAASAPPSPTPAPTPRPTPVPTPTPKPTPTPAPTPIPTPKPSPGPSPSSTPTPTPSSSPPGPAPGQAPTSPSPSGGTPGGPPAPVPATNTPGPTGNAPGGTPTAPPPPSSAPTTSVVATFVVVAMSIAIGVVC >itb05g00330.t1 pep chromosome:ASM357664v1:5:239997:240902:-1 gene:itb05g00330 transcript:itb05g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSFVKNGVLRLPPGFRFHPTDEELVVQYLKRKVFSCPLPASIIPDFDVCKSDPWDLPGDWEQERYFFSTREVKYPNGNRSSRATGSGYWKATGIDKQIASCRGRQLVGMKKTLVFYKGKAPHGSRTDWIMHEYRLANAPTSQHPPNNNENWVLCRVFLKKRPGKKEDEETEMRGAASLGNGTKPVFYDFLARERADLNLAPASSSSGSSGVTVLSSNQQTEDHEESSSCSSFTTLRTKP >itb09g08460.t1 pep chromosome:ASM357664v1:9:5057615:5058826:1 gene:itb09g08460 transcript:itb09g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKESKSKKQKCRSGENHPRDDMASLPREVSLDILSRLPITSLVQFRSVCKSWHNLSRDHQLVHLHLSRTSNDNPCLIFHCKYPIRNWLYFVLLSGRDDDEQVVRRIDPPFAADFNVVGSCAGLLCLADSLFHSSLFIYNPFTGNHKELPKSITFQHQEQKVVSGFGFHPISKQYKVIKIVYYATDPSYCRPSGRVRTRCFNRSDVQVLSLDSSNWRSIGEAPYWLEFGSTGVLVNGRLHWLRRNSGYYLDGSIASFDLAEERFQDIPKPYFGEISSLMVLQGCLSGVTFDNRCLKIWVMKEYERKESWVKQFTIESSLIPSFDYPKLPYKLWKDVLWCIPAVRVLCLVKNGELLIQCKGVGLVAYNPESGVFRHLNFPGLPNIFLTIVHLASLNWIDIAI >itb03g17610.t1 pep chromosome:ASM357664v1:3:16158489:16161188:1 gene:itb03g17610 transcript:itb03g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRPFVHPPVRAGIFNQISRIFGPRSDRPLHALFCSSSNPEPPPMPPFQNPGPISGFSGNNKGSMPNNRFSGPGQTGLSNHGKRTLFPRSLIDSANENEVETGKNSKSMDIVRGLLEDYDKGGAPFGSPFRQYQVENDPDIVHVKLLRNNTFITVTDSKGNKKFGASAGKLASGGKVSRFAAESTAEHVGREARNRNLKSVVMKVNGFTYFKKKKQSILSFKEGYNHSRGDANPVVYIEDTTRRPHNGCRLRKKRRI >itb09g02950.t1 pep chromosome:ASM357664v1:9:1678503:1678976:-1 gene:itb09g02950 transcript:itb09g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGKMGERSEYWLLKTEPGEWSWEDQSANEGLSKWDGVKNKQAQKNMKAMRVGDLCFFYHSGSRARRVVGVVSVVRAWYGDEESEDGGGAVDVKAVGEMRRPVDLAEMKRDLGLKGFGLFRQPRLSVVPVEKRIWERVCEMGGGYDGDGLGDDEE >itb02g08950.t1 pep chromosome:ASM357664v1:2:5652741:5656422:-1 gene:itb02g08950 transcript:itb02g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYYTQIQSSLHILAAAAAALILCSQSSLICGQPLPEYNIANSSSIISWTNINTINYSYVPNHSDEVGYTWAILIRQINSSTSFACGLICDDYLGTTCHFGVLLSKYFDYNPPRNHLYLMWSAANRNHRVTVNASVELRRDGGLFLMDSNGALFWSTQTNINGSRSVSGLNLTENGNLVIFGKNNETIWQSFDYPVHVIPPKQLNGRPMELIRGNISTSNYGEDWVVPLSGVILFRQSSIHNYAMFACGLICDDFGTTCLFGVLSFNQEDMDMYIQLKLDYQSLKLDYQSLVWSASRNHPVTVNASVELRRDGGLFLMDSNGTEVWSTHTSGNPAVGLNLTENGNLVIFGKNNETIWQSFDHPTDTLLPGQVKPGQTLKASISTSNFEEGSYSLYVSDDYSVHAYLRSSNAYPYQYTPLMGVYVNFSEYWQTDEFLKFEPNGHLGAYRLSSTHEFEDVFADSIDFCGYPLSCGRYGVCEYDQYCNCPAKNSFFTQIDSRDPKQGCSLITPISCEHSQLHTLLEMKDTTCIGEYYMSDEFGEYTDLESCKHACLRNCSCKAAQFNSYGSKGYCVLLNEVLSLATSDTNDVYLKVQNSSTIHMHSHPWILQRHAKTILGTTGASIAVVLTIIVIYLSLLRNKKVQLEDEEFLDGVPGLPTRFSYQDLSAMTQNFSRKLGEGGFGSVFEGALHQGTKIAVKFLKEVDQIKSSFLAEVATLGSMDHANLVKLIGFCAAKSQRLLVYEHMANGSLDKWIFNGKQQQQEHGLTWQTKKKIMSDVAKGLAYLHEDCNHKIIHLDIKPQNILLDQNFNAKVADFGLSKLVAKDQSKVVTTLRGTPGYIAPECTSLIITEKVDVYSFGIVMLEIVCGRKNVDWDQAEEEVHLLSVFKRKMEEDKVGEMFDMYNKDLEVQKEEGIEMMRIAGWCLHSDYTKRPSMSEVVKALQGLATVDDNNLNLDYNFSNQEAEASPDATSNTVLVSSILSGPR >itb14g16530.t1 pep chromosome:ASM357664v1:14:19862784:19864670:1 gene:itb14g16530 transcript:itb14g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYTYTNDIHYGAAYSTTQYYGAAHVPLPPMVAPPEALPSLPPPLVIPGSDYDSLSPLKSEINGYSSSEYSSYGSPSSATSYGGITNFQRSISSHSLHKNTDGYFPVVSSPTGFLDLDCTPVRKVFSTGDLPGINLVQRNHRSESPLASESNSIIEGMNKACRYSPEEKKERIERYRNKRNLRNFTKKIKYECRKTLADSRPRIRGRFARNDESCERTPQSEWNMIRAGLMEEDDDGDMDDEAWINLQMIDELNFQQI >itb09g15540.t1 pep chromosome:ASM357664v1:9:10787100:10788854:1 gene:itb09g15540 transcript:itb09g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKTMFMLVFLMVILLGSPVVNVMGQSISNGDGDFATPNGCVTDKDCDKSRKCHGGIGNKCDGGICVCGCSIASSPVVNVMGQSISNGDGDFATPNGCVTDKDCDKSRKCHGGIGNKCDGGICVCGCSIASNNGH >itb01g35300.t1 pep chromosome:ASM357664v1:1:37578744:37579719:1 gene:itb01g35300 transcript:itb01g35300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSDVNDRPVLPPYYYYYYVSNSKETINGTALIPRFGSGNLAPPAGRFRHLSLPATGSGRPCLPLNQSLPPNCLPPLLPLPVSKPQSGSTLSGPLTTTNTKTTRSDPRKKSKKKPCKEQTLKTDNTKVVLSPRPGRQVVIKVSSSTSNPPKHQLPTNKVSHNVNVSTTSDGCVKEMDEEYDKFSGGGDRAVFTLSPPPSSLPLPTFSLRPKLRRSAPPA >itb13g00290.t1 pep chromosome:ASM357664v1:13:229188:231651:1 gene:itb13g00290 transcript:itb13g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRAGGCGSPKKTMSLVAQRRPLMKKTQQYYFKKAFGYLFSDDYMFAPLLSSPTSSFGIEESAKVNGDKDFVDKVGDYLKSDCYLYSPLVISQPWLSSSAHAVASQRAGLHCLSAKKDIRSITDEEDLLKELADKTDKPEVEKTAISVSKEQNLKGLPHDRSTIIKRSRVHRETVKHIIKDLPELPPLPMTG >itb13g00290.t2 pep chromosome:ASM357664v1:13:229188:231693:1 gene:itb13g00290 transcript:itb13g00290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRAGGCGSPKKTMSLVAQRRPLMKKTQQYYFKKAFGYLFSDDYMFAPLLSSPTSSFGLHCLSAKKDIRSITDEEDLLKELADKTDKPEVEKTAISVSKEQNLKGLPHDRSTIIKRSRVHRETVKHIIKDLPELPPLPMTG >itb13g00960.t1 pep chromosome:ASM357664v1:13:912320:914487:-1 gene:itb13g00960 transcript:itb13g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIVFYLFLLVHVVEFQMSFGQGDVNGAYWLADAGVPASDIDSTLFTHLFCAFANLDPQTNKVTLPSASFSQFTPTVRRKNPSVKTLLSIGGGNVDPAIFPAMASQPSSRKSFIDSSISVARANGFSGLDLDWEYPRTTKQMADLESLLKEWRAALAAEAASSGRSPLLLTAAFYYAPKINELSYPVQAIQKNMDWVNAMAYDFYDPSYSKVTRPHSALYDPAGPFSGSYGIQAWIAAGLGAKKLVLGMPLYGKAWRLVDPSKPGFLVPTSGSAIPNNQYGDISYKDIKKFISDNGATQGYNSTYVSNYCYAGTTFIGYDDVESISAKVSYAKKKGLRGYFEWQAAQDLNWALSKRAKQTWGA >itb06g11800.t1 pep chromosome:ASM357664v1:6:16342537:16343127:1 gene:itb06g11800 transcript:itb06g11800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETSSGIGSTSAASSSSKDGMTVEECQNMIRRSLQTPTVKFLMEHLKKGGCEISDNFIKADHCGDKISGGYVQGKGIVICSNHLTMQDEVSQVIKHELIHAYDECHAANLNWADCAHHACSEIRANHLSGDCHFKRELLRGYLKMRGHEQDCVKRRAMKSVKSNPYCSAAAAKDAIEAVWDICYNDTRPFDRAP >itb11g02060.t1 pep chromosome:ASM357664v1:11:1039045:1039851:1 gene:itb11g02060 transcript:itb11g02060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVFGSDSDMRVEQGIQVEESGPLKPIIQRLLYLPMGRTFQSHPDKFHIKIKKPQNLPFLPLAFVIHIYTQWQYNQENPQLFLPPVLLSLSKLIIRFRHVGDVVCSGHFSVPSHTIYSSLEKFELSCREHGGASERRGISIDRMFPLT >itb04g05310.t2 pep chromosome:ASM357664v1:4:3295583:3300585:-1 gene:itb04g05310 transcript:itb04g05310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRVAFEDTPAKVFQWRGHSVGVNQCRMKMGHFLTGVGDKVMRLWSAESYKCLDEYVLPDKAPLIDFDFDESKVVGLVGTRICIWSRTETRSILSSRDALFPKGLCMRYVDPEAAIGCEDGKVRIFDLYSRKLTQIIKMHDAPVTCLSFSDDQLLFGGSSQGRSIALLDLSSGQQVSLLGSTYASVGIKTLCFNPGSNYLFAGSTAGHACCWDLRKQNRTLWEERVSPNVLYSMHHLRNDKSTLVIGGIDGVLRVVDQDSGEVIATHIMEESSSSRSASRPSDRNLTVRKAKKISSSDRIDLMSKACRPRITCLAVGMRKVVTTHNDNYIRVWKFK >itb04g05310.t1 pep chromosome:ASM357664v1:4:3295525:3300802:-1 gene:itb04g05310 transcript:itb04g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAADLRRPPKKARRTRIQDLSDDSLGMIFSFLDLTGVIRCSVVCSSWRKVIHRQYQQLQKNDPDDHGQISLPTRSFNEIAMLAHRVAFEDTPAKVFQWRGHSVGVNQCRMKMGHFLTGVGDKVMRLWSAESYKCLDEYVLPDKAPLIDFDFDESKVVGLVGTRICIWSRTETRSILSSRDALFPKGLCMRYVDPEAAIGCEDGKVRIFDLYSRKLTQIIKMHDAPVTCLSFSDDQLLFGGSSQGRSIALLDLSSGQQVSLLGSTYASVGIKTLCFNPGSNYLFAGSTAGHACCWDLRKQNRTLWEERVSPNVLYSMHHLRNDKSTLVIGGIDGVLRVVDQDSGEVIATHIMEESSSSRSASRPSDRNLTVRKAKKISSSDRIDLMSKACRPRITCLAVGMRKVVTTHNDNYIRVWKFK >itb04g05310.t3 pep chromosome:ASM357664v1:4:3295525:3300802:-1 gene:itb04g05310 transcript:itb04g05310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAADLRRPPKKARRTRIQDLSDDSLGMIFSFLDLTGVIRCSVVCSSWRKVIHRQYQQLQKNDPDDHGQISLPTRSFNEIAMLAHRVAFEDTPAKVFQWRGHSVGVNQCRMKMGHFLTGVGDKVMRLWSAESYKCLDEYVLPDKAPLIDFDFDESKVVGLVGTRICIWSRTETRSILSSRDALFPKGLCMRYVDPEAAIGCEDGKVRIFDLYSRKLTQIIKMHDAPVTCLSFSDDQLLFGGSSQGRSIALLDLSSGQQVSLLGSTYASDLVFQSWLQLFVRWIHRWTCMLLGP >itb04g05310.t4 pep chromosome:ASM357664v1:4:3295527:3300455:-1 gene:itb04g05310 transcript:itb04g05310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRVAFEDTPAKVFQWRGHSVGVNQCRMKMGHFLTGVGDKVMRLWSAESYKCLDEYVLPDKAPLIDFDFDESKVVGLVGTRICIWSRTETRSILSSRDALFPKGLCMRYVDPEAAIGCEDGKVRIFDLYSRKLTQIIKMHDAPVTCLSFSDDQLLFGGSSQGRSIALLDLSSGQQVSLLGSTYASVGIKTLCFNPGSNYLFAGSTAGHACCWDLRKQNRTLWEERVSPNVLYSMHHLRNDKSTLVIGGIDGVLRVVDQDSGEVIATHIMEESSSSRSASRPSDRNLTVRKAKKISSSDRIDLMSKACRPRITCLAVGMRKVVTTHNDNYIRVWKFK >itb13g17520.t1 pep chromosome:ASM357664v1:13:24508804:24511316:1 gene:itb13g17520 transcript:itb13g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHDKDHNIVEIEEDHGFEIPTQLSESNHDELVNNGEFNIGEIEQDASENVKSDVNNETIELCIGMEFQSLDDALMCYTNYAKHEGFGIRKSRILKSRKNQMVIGQEFVCSKEGYRAKKYLQRDNRKKPPPDETRMGCKAMISVSRKDEAKWVISKFTRDHNHVLASPSSARFHRVHRKRTKSQMNLIDVLDESGIRPSKIMSVLVTESGGIDRMDNNCQLRQMKRTDFDENNEDIKICGSFYVSSS >itb01g32960.t1 pep chromosome:ASM357664v1:1:36275839:36277116:-1 gene:itb01g32960 transcript:itb01g32960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGAAFFDEEWDSLTKMFSTENIDFLVQLQDDNFFLNDHENEAPLSFWQAVEANKLINVTEGFFSANCSDAPMIINTNNLQYFSQESGNGDFLIDPNSENNQKNADNCNLDPVSEPGEVISLKRKLETVEEQQTFKNDSAQDPRKKPQASRETQKNKRKAVSKPKRNQKISHNSNEGGEEINGGQNTQSSSCSSIDDESKASQELLNGELESSESKGKSRASRGAATDPQSLYARRRREKINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPIAYNGMDIGHYQKMLQPTL >itb03g05780.t1 pep chromosome:ASM357664v1:3:4106961:4109358:-1 gene:itb03g05780 transcript:itb03g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRPHAVCIPVPAQGHIGAMLKLAKLLHHKGFHITYVLTQLNYTHIMQARNFRPLNQTPTFRFETIPDGIPERETRNSAIDLAELVCSVSKNCSAPFKALIQKLNVASSEEDVPPVSCIVSDACMSSTTIGAAQELGIPAIMFWPFSGVGSMLHLQSPHLRDKVSINKDDYIIDWIPGVKSIRLGDIPTSAWSPDPNDPIVDYTISQVSGSYKASAVLFHTFDELEPEVCNALCSMFNRAYTIGPIPLLLKGFPESEINKIECNMWKEDQDCFQWLDSKNPKSVVYVNFGSMAVTSPDKLVELAIGLCKSQQNFLWIIRPELISGDDWSAVLPPEFMDAIKGGGKGYVASWCDQEQVLNHPSIGGFISHCGWNSIVESMSAGVAMICWSCFAEQQLNRLCCCSQWGFGLEIELDVNRENVESVVRELMEGEKGREAKQKAMFWKKRGEAATAIGGSSYLNLDKMIGQILMSGG >itb01g28070.t1 pep chromosome:ASM357664v1:1:32611541:32614857:-1 gene:itb01g28070 transcript:itb01g28070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRVVNPKISSVLGLSVNALRFTAQSPARRFFGPQQLIRSMASSTDGRNNASEQPLKNSSSASNPKVDRLQGVVHSDSTGGWEKCWEQGVTPWDLGKPTPVLAHLHRAGELPKGRALIPGCGSGHDVVEIACPERYVIGLDISENAIRKAKELSLSSPNADCFAFLKADFFSWHPVELFDLVFDYTFFCAIKPEMRQAWASKIQDLLKPDGELITLIFPISDHVGGPPYKVSVSDYEEVLHPLGFKAVYLSDNELAVGPRKGKEKLGRWKRSICQSSL >itb01g28070.t3 pep chromosome:ASM357664v1:1:32611685:32614825:-1 gene:itb01g28070 transcript:itb01g28070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRVVNPKISSVLGLSVNALRFTAQSPARRFFGPQQLIRSMASSTDGRNNASEQPLKNSSSASNPKVDRLQGVVHSDSTGGWEKCWEQGVTPWDLGKPTPVLAHLHRAGELPKGRALIPGCGSGHDVVEIACPERYVIGLDISENAIRKAKELSLSSPNADCFAFLKADFFSWHPVELFDLVFDYTFFCAIKPEMRQAWASKIQDLLKPDGELITLIFPVCRALSFLLLFFLPLLLCLPFSPITCS >itb01g28070.t2 pep chromosome:ASM357664v1:1:32611685:32614825:-1 gene:itb01g28070 transcript:itb01g28070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRRVVNPKISSVLGLSVNALRFTAQSPARRFFGPQQLIRSMASSTDGRNNASEQPLKNSSSASNPKVDRLQGVVHSDSTGGWEKCWEQGVTPWDLGKPTPVLAHLHRAGELPKGRALIPGCGSGHDVVEIACPERYVIGLDISENAIRKAKELSLSSPNADCFAFLKADFFSWHPVELFDLVFDYTFFCAIKPEMRQAWASKIQDLLKPDGELITLIFPISDHVGGPPYKVSVSDYEEVLHPLGFKAVYLSDNELAVGPRKGKEKLGRWKRSICQSSL >itb10g15190.t1 pep chromosome:ASM357664v1:10:21451527:21452144:1 gene:itb10g15190 transcript:itb10g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSNPNALNNTNTHNNVPYASSPPTLLPCGFPPNTTFVQTDPSNFQAVVQRLTGATQDPSVQKLPVVLPARRAGKPNSGGHHRPPFKLHERRLRTGKKLQITLNGGGGTVGPSAAARVVMGSPVSPLEMLARRSPTTSAAVGNEEEEEERGISEKGFCFRPSPLSTPRGGGRSEPPELLPLFPLHSPSTRHHSSCS >itb10g24290.t1 pep chromosome:ASM357664v1:10:28193884:28196580:-1 gene:itb10g24290 transcript:itb10g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWEIMQSFFDAEIKKMKIKNCYFPLFVSPGVLQKEKDHIEGFAPEVAWVTKSGESELEVPIAIRPTSETVMYPYFSNWIRGHRDLPLRLNLWCNVVRWEFSHPTPFIRSREFLWQEGHTAFATKEEADAEVLDILELYRRIYEEYLAVPVTKGKKSELEKFAGGLYTTTVEAFIPNIGRGIQGATSHCLGQNFAKMFEINYENEKGEKAMVWQNSWAYTTRTIGVMIMVHGDDKGLVMPPKVAAIQVIVVPVPLKNADARVICDACAATVKKLNESGIRAEGDFRENYSPGWKYSHWEMKGVPLRIEIGPRDIENNRVRFVRRDTGSKVDIPMDNIAEQAKDFLATIQQNLFDVAKQKRDACVQVAKTWDEFVSALNQKKLVLAPWCDEEDVEKDVKTRTKGEMGAAKTLCSPFDQPELPEGTLCFASGKPAKKWTYWGRSY >itb15g12920.t1 pep chromosome:ASM357664v1:15:10857758:10858267:-1 gene:itb15g12920 transcript:itb15g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIADFSVSRILVTTMDCNSSIGTIVFTRLEKINTDLNHGKYNGFASDIWSVGVNILEFYLGRFPSTAADKAIGLPSRTPFVCWTHPPLQGTSETSSLLFAKGSCPAMDGGATASPLFHSAAAISPSSAIIPIASSTIPHFLLDLMISRISKFSTLSFQYFWVYFCII >itb03g01750.t1 pep chromosome:ASM357664v1:3:978631:980698:-1 gene:itb03g01750 transcript:itb03g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLHHHHHCICHSSSAAPTACYYCSIPIPHHPPPPNLHSPPPCFNNPHHHPHFFDPSPNPPYNTQCLNQTGKSYVQELHHQRVDPQPVFSSLLRRIATLESSLRRRRRSVSSHSLRDTAARTIQTHFRAFLVRRSRTLRHLKELASIKSALNTLKSSAPNIDPQAVSHKAKNLLLQLGAIQDSDPMIRDGKNSITRELVKLIELIDVESYSLSSRIVKNGCAKSKSRVHVLQEMERMESIINKYLELRKSVEEEEEEEEEDDEEFESPRVSVVKKKSGVLRKGGLGKMNCGVNSKVNKGVSFAEDGDIFRYPRVNLKPVSDREADGVDGDGEVLVDGNEELIENLCRRVEDLGVSLKDVEVDEEAQLEDGGGSRGSSDAETEPNYRSRKEGKFGIRLDQGDNGTFVFSAPLPAKMEGRAGFANRHKTVRFAD >itb03g01750.t2 pep chromosome:ASM357664v1:3:978631:980698:-1 gene:itb03g01750 transcript:itb03g01750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLHHHHHCICHSSSAAPTACYYCSIPIPHHPPPPNLHSPPPCFNNPHHHPHFFDPSPNPPYNTQCLNQTGKSYVQELHHQRVDPQPVFSSLLRRIATLESSLRRRRRSVSSHSLRDTAARTIQTHFRAFLVRRSRTLRHLKELASIKSALNTLKSSAPNIDPQAVSHKAKNLLLQLGAIQDSDPMIRDGKNSITRELVKLIELIDVESYSLSSRIVKNGCAKSKSRVHVLQEMERMESIINKYLELRKSVEEEEEEEEEDDEEFESPRVSVVKKKSGVLRKGGLGKMNCGVNSKVNKGVSFAEDGDIFRYPRVNLKPVSDREADGVDGDGEVLVDGNEELIENLCRRVEDLGVSLKDVEVDEEAQLEDGGGSRGSSDAETEPNYRSRKEGKFGIRLDQGDNGTFVFSAPLPAKMEGRAGFANRHKTVRFAD >itb14g20760.t3 pep chromosome:ASM357664v1:14:23020902:23024474:1 gene:itb14g20760 transcript:itb14g20760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKGMNVHSPDTVLEDYLNGLESETDSSKNSNNSELEAHHKEAKSSSKWAGFIQLFRTKSKRHLGSLDYPLNSLKLSKRFSSSMRETASSAAKVLQKNPAVDGEDSNGFKPHWRSFSLSELQTATRGFHQESLIGKGGYAEVHKGRLRDGQLVAVKRLTRGPPEERVGDFLSELGIMAHVDHPNTAKLIGYGVEGGYFLVLELSPHGSLASMMHVSKRKLEWDIRYKVALGTAKGLQYLHEGCRRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPDRWTHINVMKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLLKKNRIRELADTSLGENYNLLQMNLMALAASLCVQQSSIKRPRISQVLQLLRGDYESLDLIRRCRKPMQWKGYYEELFNAEEGFHKNSNLMSCLSLQEQIAMEIQNG >itb14g20760.t4 pep chromosome:ASM357664v1:14:23020902:23024474:1 gene:itb14g20760 transcript:itb14g20760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKGMNVHSPDTVLEDYLNGLESETDSSKNSNNSELEAHHKEAKSSSKWAGFIQLFRTKSKRHLGSLDYPLNSLKLSKRFSSSMRETASSAAKVLQKNPAVDGEDSNGFKPHWRSFSLSELQTATRGFHQESLIGKGGYAEVHKGRLRDGQLVAVKRLTRGPPEERVGDFLSELGIMAHVDHPNTAKLIGYGVEGGYFLVLELSPHGSLASMMHVSKRKLEWDIRYKVALGTAKGLQYLHEGCRRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPDRWTHINVMKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLLKKNRIRELADTSLGENYNLLQMNLMALAASLCVQQSSIKRPRISQVLQLLRGDYESLDLIRRCRKPMQWKGYYEELFNAEEGFHKNSNLMSCLSLQEQIAMEIQNG >itb14g20760.t1 pep chromosome:ASM357664v1:14:23020902:23024474:1 gene:itb14g20760 transcript:itb14g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKGMNVHSPDTVLEDYLNGLESETDSSKNSNNSELEAHHKEAKSSSKWAGFIQLFRTKSKRHLGSLDYPLNSLKLSKRFSSSMRETASSAAKVLQKNPAVDGEDSNGFKPHWRSFSLSELQTATRGFHQESLIGKGGYAEVHKGRLRDGQLVAVKRLTRGPPEERVGDFLSELGIMAHVDHPNTAKLIGYGVEGGYFLVLELSPHGSLASMMHVSKRKLEWDIRYKVALGTAKGLQYLHEGCRRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPDRWTHINVMKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLLKKNRIRELADTSLGENYNLLQMNLMALAASLCVQQSSIKRPRISQVLQLLRGDYESLDLIRRCRKPMQWKGYYEELFNAEEGFHKNSNLMSCLSLQEQIAMEIQNG >itb14g20760.t6 pep chromosome:ASM357664v1:14:23021624:23024413:1 gene:itb14g20760 transcript:itb14g20760.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKGMNVHSPDTVLEDYLNGLESETDSSKNSNNSELEAHHKEAKSSSKWAGFIQLFRTKSKRHLGSLDYPLNSLKLSKRFSSSMRETASSAAKVLQKNPAVDGEDSNGFKPHWRSFSLSELQTATRGFHQESLIGKGGYAEVHKGRLRDGQLVAVKRLTRGPPEERVGDFLSELGIMAHVDHPNTAKLIGYGVEGGYFLVLELSPHGSLASMMHVSKRKLEWDIRYKVALGTAKGLQYLHEGCRRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPDRWTHINVMKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLLKKNRIRELADTSLGENYNLLQMNLMALAASLCVQQSSIKRPRISQVLQLLRGDYESLDLIRRCRKPMQWKGYYEELFNAEEGFHKNSNLMSCLSLQEQIAMEIQNG >itb14g20760.t5 pep chromosome:ASM357664v1:14:23020981:23024421:1 gene:itb14g20760 transcript:itb14g20760.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKGMNVHSPDTVLEDYLNGLESETDSSKNSNNSELEAHHKEAKSSSKWAGFIQLFRTKSKRHLGSLDYPLNSLKLSKRFSSSMRETASSAAKVLQKNPAVDGEDSNGFKPHWRSFSLSELQTATRGFHQESLIGKGGYAEVHKGRLRDGQLVAVKRLTRGPPEERVGDFLSELGIMAHVDHPNTAKLIGYGVEGGYFLVLELSPHGSLASMMHVSKRKLEWDIRYKVALGTAKGLQYLHEGCRRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPDRWTHINVMKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLLKKNRIRELADTSLGENYNLLQMNLMALAASLCVQQSSIKRPRISQVLQLLRGDYESLDLIRRCRKPMQWKGYYEELFNAEEGFHKNSNLMSCLSLQEQIAMEIQNG >itb14g20760.t2 pep chromosome:ASM357664v1:14:23020902:23024474:1 gene:itb14g20760 transcript:itb14g20760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKGMNVHSPDTVLEDYLNGLESETDSSKNSNNSELEAHHKEAKSSSKWAGFIQLFRTKSKRHLGSLDYPLNSLKLSKRFSSSMRETASSAAKVLQKNPAVDGEDSNGFKPHWRSFSLSELQTATRGFHQESLIGKGGYAEVHKGRLRDGQLVAVKRLTRGPPEERVGDFLSELGIMAHVDHPNTAKLIGYGVEGGYFLVLELSPHGSLASMMHVSKRKLEWDIRYKVALGTAKGLQYLHEGCRRRIIHRDIKAANILLTKDFEPQICDFGLAKWLPDRWTHINVMKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLLKKNRIRELADTSLGENYNLLQMNLMALAASLCVQQSSIKRPRISQVLQLLRGDYESLDLIRRCRKPMQWKGYYEELFNAEEGFHKNSNLMSCLSLQEQIAMEIQNG >itb03g09910.t1 pep chromosome:ASM357664v1:3:7706303:7709084:1 gene:itb03g09910 transcript:itb03g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSPPPIAVFSLPRRYRRPKPSSIVFIRSSASMEAAQPKKVVVCGGGVIGVCTAYFLSKKGAAVTLVEKSSIACAASGKAGGFLALDWCDGGPISALARASFDLHRSLAEELDGPQSYGYRPLTTLSFSIKESQPSNPSGSRSPALPSWVDGPAKGPKTIGTVGTTAQVHPQLFTKALLCKAVEQHGVEVVIGKLERIEAAEGRATAVVLEGGRQIETDAVVLALGPWTSKLSLLNSIFRVYGLKAHSIVLEPKAPDVITPHALFLSYYPAQGGGPMDPEVYPRPTGEVYVCGMSANAEVADDPEQIASVPESIAVLKRIAGNVSSHLVEGEAAVKAEQACFLPCTDDSVPVIGEVPGVKGCYVATGHSCWGILNGPATGASMAELVMDGRSSIVDLSAFSPSRFVRAAKS >itb02g10800.t1 pep chromosome:ASM357664v1:2:7043447:7044766:1 gene:itb02g10800 transcript:itb02g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFAHPPEELKSPASEYRTQKPKLPESTLKDFLSAHPSDACCVSFEDAAVLAYVRPHASSFFHQTPRVFCGYDDIYCLFMGSLNNLCSQIKLYGLSKSSNEAMLVIEAYRTLRDRGPYPADQVVKDLEGSFAFVIYDSKTGTVFVALGSDGGVKLYWGIAADGSVVISDDLQVIKAGCAKSFAPFPTGCMFHSEKGLMSFEHPMNKMRAMPRIDSEGVICGANFKVDLYSRVNSIPRVGSEANWAEWTQT >itb12g07720.t2 pep chromosome:ASM357664v1:12:5922967:5924900:-1 gene:itb12g07720 transcript:itb12g07720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNLRVFEPNLLRNFLPRYPNLLKFECSEVISNSHVQFLAQTCPRIQSLNLNFKEKTQIYYETDDNLSFDDFDDEGLRAIAKGCCDLNSVLLRKRSGVGDAGVTSLVNFAPNLRVLDLSCCRRVTDEGLRSIGTANSLENLNLQGCCLISDRGLESLAIGPLCHTLKKLIIAECDRISDSGVGSLVKLRSLEGLDLGDCGPKVTDISATAFAAMRSLMRLNLSWLVNVSDHTLISLAQNCKNLTSLNLTGCEFVTGNGICSFQNHESLKELILRYCKNLLVSDLVYLVHGCETLERIVLDRALKIWIPALADHNVRNSCRIEWK >itb12g07720.t4 pep chromosome:ASM357664v1:12:5922967:5924886:-1 gene:itb12g07720 transcript:itb12g07720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNLRVFEPNLLRNFLPRYPNLLKFECSEVISNSHVQFLAQTCPRIQSLNLNFKEKTQIYYETDDNLSFDDFDDEGLRAIAKGCCDLNSVLLRKRSGVGDAGVTSLVNFAPNLRVLDLSCCRRVTDEGLRSIGTANSLENLNLQGCCLISDRGLESLAIGPLCHTLKKLIIAECDRISDSGVGSLVKLRSLEGLDLGDCGPKVTDISATAFAAMRSLMRLNLSWLVNVSDHTLISLAQNCKNLTSLNLTGCEFVTGNGICSFQNHESLKELILRYCKNLLVSDLVYLVHGCETLERIVLDRALKIWIPALADHNVRNSCRIEWK >itb12g07720.t3 pep chromosome:ASM357664v1:12:5922967:5924900:-1 gene:itb12g07720 transcript:itb12g07720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLQAMIDSRGHDIFKSAGFRAQPSPKLPSQTCPRIQSLNLNFKEKTQIYYETDDNLSFDDFDDEGLRAIAKGCCDLNSVLLRKRSGVGDAGVTSLVNFAPNLRVLDLSCCRRVTDEGLRSIGTANSLENLNLQGCCLISDRGLESLAIGPLCHTLKKLIIAECDRISDSGVGSLVKLRSLEGLDLGDCGPKVTDISATAFAAMRSLMRLNLSWLVNVSDHTLISLAQNCKNLTSLNLTGCEFVTGNGICSFQNHESLKELILRYCKNLLVSDLVYLVHGCETLERIVLDRALKIWIPALADHNVGMVFGRILGWISGAVTIEF >itb12g07720.t1 pep chromosome:ASM357664v1:12:5922967:5924900:-1 gene:itb12g07720 transcript:itb12g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNLRVFEPNLLRNFLPRYPNLLKFECSEVISNSHVQFLAQTCPRIQSLNLNFKEKTQIYYETDDNLSFDDFDDEGLRAIAKGCCDLNSVLLRKRSGVGDAGVTSLVNFAPNLRVLDLSCCRRVTDEGLRSIGTANSLENLNLQGCCLISDRGLESLAIGPLCHTLKKLIIAECDRISDSGVGSLVKLRSLEGLDLGDCGPKVTDISATAFAAMRSLMRLNLSWLVNVSDHTLISLAQNCKNLTSLNLTGCEFVTGNGICSFQNHESLKELILRYCKNLLVSDLVYLVHGCETLERIVLDRALKIWIPALADHNVGMVFGRILGWISGAVTIEF >itb10g21340.t1 pep chromosome:ASM357664v1:10:26608090:26608560:-1 gene:itb10g21340 transcript:itb10g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKALLFLAIVISVSSAQPPSTKTSAAAGEPLFSFDDGRKAAETPTTSLRGVGHFLAQKGKETKAEKTRNQTCNKNPRICRAKGSPGPDCCKKKCVNVMIDRQNCGVCGKKCRYDETCCRGKCVSVLFDKNNCGGCRKKCKKGTTCVYGMCSYA >itb01g32470.t2 pep chromosome:ASM357664v1:1:35954669:35958485:-1 gene:itb01g32470 transcript:itb01g32470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTLPHDALFLGFDSSTQSMKVTVLDANLNIVATEMVNFDSELPHYKTKDGVYRDASINGRIVSPTLMWVEALELVLQRLEKSKLDFGKVAAISGSGQQHGSVYWKTRSAGILSSLDPKKSLLEQLGEAFSTKESPIWMDSSTTEQCKAIEKAVGGPLELSKITGSRAYERFTGPQIRRVFETQPEVYKNTERISLVSSFMASLFIGGYASIDHTDGAGMNLMDIKQRAWSKTALEATAPGLEEKLGKLAPAYAVAGPIASYFVERYHFNKNCLIINWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTDHKPSLEGHVFPNPVDTQGYMVMLCYKNGSLTREDIRNKCADKSWDAFNKHLESTLPLNGGKLGFYYKDHEILPPLPVGYHRYVLENFTGDTLDGVKECEVQEFDPASEVRAIIEGQFLSIRAHAERFGLPSPPKRMIATGGASTNNAILSMVASIFGCDVYTVQRPGQHYLSIYVTYSYCINYLFTCQRPCGQVASGVPINTPTWMMGVASSSVEATVDSLCFSRLRK >itb01g32470.t1 pep chromosome:ASM357664v1:1:35954297:35958485:-1 gene:itb01g32470 transcript:itb01g32470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTLPHDALFLGFDSSTQSMKVTVLDANLNIVATEMVNFDSELPHYKTKDGVYRDASINGRIVSPTLMWVEALELVLQRLEKSKLDFGKVAAISGSGQQHGSVYWKTRSAGILSSLDPKKSLLEQLGEAFSTKESPIWMDSSTTEQCKAIEKAVGGPLELSKITGSRAYERFTGPQIRRVFETQPEVYKNTERISLVSSFMASLFIGGYASIDHTDGAGMNLMDIKQRAWSKTALEATAPGLEEKLGKLAPAYAVAGPIASYFVERYHFNKNCLIINWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITTDHKPSLEGHVFPNPVDTQGYMVMLCYKNGSLTREDIRNKCADKSWDAFNKHLESTLPLNGGKLGFYYKDHEILPPLPVGYHRYVLENFTGDTLDGVKECEVQEFDPASEVRAIIEGQFLSIRAHAERFGLPSPPKRMIATGGASTNNAILSMVASIFGCDVYTVQRPDSASLGGALRAAHGWLCDKKGQFVPIASMYMDKMEKTSLNCKLAVTAGDQALVAKYALLMKKRVEIENRLVEKLGRM >itb06g13620.t2 pep chromosome:ASM357664v1:6:18326839:18331177:1 gene:itb06g13620 transcript:itb06g13620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDHVAIMGDWMPPSPSPRAFFSSILGDDLGTRSAAEPTRENKTGTLVSEPEGYASSRNSDGKGGDQAGGVSSLSEQKIGSRGGGLLERMAARAGFNAPRLNTESIRTADLSQNQEVRSPYLTIPPGLSPTTLLDSPVFLSNSLAQPSPTTGKFPFPSSGDIHNSTMFMEASRKSKESSFDNNDSSSFAFQPVIQTGPSIFPGTFGKVPPSNLARQSFPSVEPTQVYAQNGTIQRSDFSRSSTEKDNGSGNITSEHSPPPDELPDEETDQRGSGDPNFLGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCPVKKKVERSQEGHITEIIYKGAHNHPKPTPNRRSALGSANPLGDMQIENTEQAGTGGDGDPIWANMQKGSGAGDPDWRNDNLEVTTSAPLGSEYCNGSSLQAQNVTQFESGDAVDRSCTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIETYAADMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSVKFSALKVYVFFFF >itb06g13620.t1 pep chromosome:ASM357664v1:6:18326820:18331177:1 gene:itb06g13620 transcript:itb06g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDHVAIMGDWMPPSPSPRAFFSSILGDDLGTRSAAEPTRENKTGTLVSEPEGYASSRNSDGKGGDQAGGVSSLSEQKIGSRGGGLLERMAARAGFNAPRLNTESIRTADLSQNQEVRSPYLTIPPGLSPTTLLDSPVFLSNSLAQPSPTTGKFPFPSSGDIHNSTMFMEASRKSKESSFDNNDSSSFAFQPVIQTGPSIFPGTFGKVPPSNLARQSFPSVEPTQVYAQNGTIQRSDFSRSSTEKDNGSGNITSEHSPPPDELPDEETDQRGSGDPNFLGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCPVKKKVERSQEGHITEIIYKGAHNHPKPTPNRRSALGSANPLGDMQIENTEQAGTGGDGDPIWANMQKGSGAGDPDWRNDNLEVTTSAPLGSEYCNGSSLQAQNVTQFESGDAVDRSCTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIETYAADMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGVSNSGPSQATVPNQTHLHRPEPSQLQNTMARFEGPLSLGSFGLAGRPPQLGGTPGFGFGMNPQGLTNLAMAGLGANPGKLPVLPVHPYLGQQRPVNHMSFMLSKGEPKMEPVSDPGLNISNSSSVYQQVMSRLPLGPHM >itb05g24710.t5 pep chromosome:ASM357664v1:5:29380897:29385434:-1 gene:itb05g24710 transcript:itb05g24710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRGQAKFTTCRIQNSFHQSYSATHGRSTIANHAHLTWKRFQQQSLFRGRTFLAIYRIAQAVSLALSRPYVAFPGIFALTCGRNVALARALPDADFISPRNALYMHAQDGHVYFTSLIRSKFYGIVLLLRAFYLAVLFSPSIVMAPFVDVFGPRYMQIWLQVVRRTLEKAGPAFIKWGQWAATRPDLFTSSLCTELSKLQTNAPEHSFAYTKKTIERAFGRKIAEIFDDFEEKPVASGSIAQVHRASLHRHHGRKIKPIAVAVKVRHPGVGESIKRDFEIINVVARISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVSYYVDELVGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVTQSKSSRKRIFKSKPHVIFLDVGMTAELSSSDRISLLEFFKAVARRDGRTAAECTLKLSKKQNCPDPETFIKEVKESFDFWGTDESNSIHPAECMQRLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKSDWAESLSYTIEGLMAP >itb05g24710.t2 pep chromosome:ASM357664v1:5:29380897:29385681:-1 gene:itb05g24710 transcript:itb05g24710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLAIGNIKRIAKSTQQNQTAISSEGTKYGLFIRIGLCSPQYRYCGHYMSSTRGQAKFTTCRIQNSFHQSYSATHGRSTIANHAHLTWKRFQQQSLFRGRTFLAIYRIAQAVSLALSRPYVAFPGIFALTCGRNVALARALPDADFISPRNALYMHAQDGHVYFTSLIRSKFYGIVLLLRAFYLAVLFSPSIVMAPFVDVFGPRYMQIWLQVVRRTLEKAGPAFIKWGQWAATRPDLFTSSLCTELSKLQTNAPEHSFAYTKKTIERAFGRKIAEIFDDFEEKPVASGSIAQVHRASLHRHHGRKIKPIAVAVKVRHPGVGESIKRDFEIINVVARISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVSYYVDELVGHERIKSALAHIGTHALLKMLLA >itb05g24710.t3 pep chromosome:ASM357664v1:5:29380897:29385434:-1 gene:itb05g24710 transcript:itb05g24710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRGQAKFTTCRIQNSFHQSYSATHGRSTIANHAHLTWKRFQQQSLFRGRTFLAIYRIAQAVSLALSRPYVAFPGIFALTCGRNVALARALPDADFISPRNALYMHAQDGHVYFTSLIRSKFYGIVLLLRAFYLAVLFSPSIVMAPFVDVFGPRYMQIWLQVVRRTLEKAGPAFIKWGQWAATRPDLFTSSLCTELSKLQTNAPEHSFAYTKKTIERAFGRKIAEIFDDFEEKPVASGSIAQVHRASLHRHHGRKIKPIAVAVKVRHPGVGESIKRDFEIINVVARISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVSYYVDELVGHERIKSALAHIGTHALLKMLLA >itb05g24710.t4 pep chromosome:ASM357664v1:5:29380913:29385644:-1 gene:itb05g24710 transcript:itb05g24710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRGQAKFTTCRIQNSFHQSYSATHGRSTIANHAHLTWKRFQQQSLFRGRTFLAIYRIAQAVSLALSRPYVAFPGIFALTCGRNVALARALPDADFISPRNALYMHAQDGHVYFTSLIRSKFYGIVLLLRAFYLAVLFSPSIVMAPFVDVFGPRYMQIWLQVVRRTLEKAGPAFIKWGQWAATRPDLFTSSLCTELSKLQTNAPEHSFAYTKKTIERAFGRKIAEIFDDFEEKPVASGSIAQVHRASLHRHHGRKIKPIAVAVKVRHPGVGESIKRDFEIINVVARISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVSYYVDELVGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVTQSKSSRKRIFKSKPHVIFLDVGMTAELSSSDRISLLEFFKAVARRDGRTAAECTLKLSKKQNCPDPETFIKEVKESFDFWGTDESNSIHPAECMQRLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKSDWAESLSYTIEGLMAP >itb05g24710.t1 pep chromosome:ASM357664v1:5:29380886:29385694:-1 gene:itb05g24710 transcript:itb05g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLAIGNIKRIAKSTQQNQTAISSEGTKYGLFIRIGLCSPQYRYCGHYMSSTRGQAKFTTCRIQNSFHQSYSATHGRSTIANHAHLTWKRFQQQSLFRGRTFLAIYRIAQAVSLALSRPYVAFPGIFALTCGRNVALARALPDADFISPRNALYMHAQDGHVYFTSLIRSKFYGIVLLLRAFYLAVLFSPSIVMAPFVDVFGPRYMQIWLQVVRRTLEKAGPAFIKWGQWAATRPDLFTSSLCTELSKLQTNAPEHSFAYTKKTIERAFGRKIAEIFDDFEEKPVASGSIAQVHRASLHRHHGRKIKPIAVAVKVRHPGVGESIKRDFEIINVVARISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVSYYVDELVGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVTQSKSSRKRIFKSKPHVIFLDVGMTAELSSSDRISLLEFFKAVARRDGRTAAECTLKLSKKQNCPDPETFIKEVKESFDFWGTDESNSIHPAECMQRLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKSDWAESLSYTIEGLMAP >itb05g24710.t6 pep chromosome:ASM357664v1:5:29380913:29385644:-1 gene:itb05g24710 transcript:itb05g24710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRGQAKFTTCRIQNSFHQSYSATHGRSTIANHAHLTWKRFQQQSLFRGRTFLAIYRIAQAVSLALSRPYVAFPGIFALTCGRNVALARALPDADFISPRNALYMHAQDGHVYFTSLIRSKFYGIVLLLRAFYLAVLFSPSIVMAPFVDVFGPRYMQIWLQVVRRTLEKAGPAFIKWGQWAATRPDLFTSSLCTELSKLQTNAPEHSFAYTKKTIERAFGRKIAEIFDDFEEKPVASGSIAQVHRASLHRHHGRKIKPIAVAVKVRHPGVGESIKRDFEIINVVARISKFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGESVSYYVDELVGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVTQSKSSRKRIFKSKPHVIFLDVGMTAELSSSDRISLLEFFKAVARRDGRTAAECTLKLSKKQNCPDPETFIKEVKESFDFWGTDESNSIHPAECMQRLLEQVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLLLKSDWAESLSYTIEGLMAP >itb05g12430.t1 pep chromosome:ASM357664v1:5:18988782:18992034:1 gene:itb05g12430 transcript:itb05g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEESRATNTAGESAPLLSNPDPRVGTKVPEVEIHLYRQGKGPIEVVKCSLGGWEQDQLEVRDILDKYGFKSIYAFNPDSGRGVPIRFNPRNGRSILTYADGSVIHVDGEPKDSLIQPITRILVGVAAITILIVVVMKETPEWAKKLNFSGGRIPPWILACVVIVFTRMRKRTKDFLEKRR >itb14g19930.t1 pep chromosome:ASM357664v1:14:22432802:22434544:1 gene:itb14g19930 transcript:itb14g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDRNARTALFDGIEEGGIRASSSYSSHEIDEHENEKALDGLQDRVNLLKRLSGDIHEEVDTHNRMLDRMGNDMDASRGVLSGTMDKFKMVFETKSSRRMFTLVASFVVLFLVVYYLTR >itb01g30520.t1 pep chromosome:ASM357664v1:1:34512611:34516936:-1 gene:itb01g30520 transcript:itb01g30520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRTLLKVIVLGDSGVGKTSLMNQYVHKRFSHQYKATIGADFVTKELLIDDRLITLQIWDTAGQERFQSLGVAFYRGADCCVQVYDVNVMRSFDSLDNWHEEFLKQANPSDPKTFPFILLGNKIDIDGGNSRVVSEKKAQEWCTSKGNIPYYETSAKEDLNVDAAFFCIAKTALANEHEQDIYFQGLPEAMSETEQRGGCSC >itb12g01330.t1 pep chromosome:ASM357664v1:12:937423:938193:-1 gene:itb12g01330 transcript:itb12g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLFNLLLVVSMVLVLAGYAAAQEGGAVPLSGGKTEVSDVKSNVEVQNLGRKSVMEYNKRLKVKENPENGAKRLIFTEVIKAEKQVVAGVKYYLTIKATTSDGTAKTYDAEMWVKPNETVHEMLAFAPAAA >itb02g15100.t1 pep chromosome:ASM357664v1:2:10973020:10974646:1 gene:itb02g15100 transcript:itb02g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPLVQSTPSIEEDEWDTEGFVIPSLGIGDSGESRSDPVTDSKQSSVTQSKEENIYLGPHGAPPSRSKQQEQNSTKRKQSFKQKLKEADRKHSGVGRENKVENLRELVGGGKMPGASKSSCKDWLDPHCHESEFERRDHQ >itb15g20040.t4 pep chromosome:ASM357664v1:15:22523623:22530917:1 gene:itb15g20040 transcript:itb15g20040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSILVSPVFVHRTPQFRCNALCFYCNRPHPGVLAYYRSLVDQGKLQRDPYQEKIACELENLLGRLQQYEKDMEEYHFNLANWEERRENERRRLLMEEAKQQDVSKPVDKRPNIFQKWMSSKKPANVEPGVGKWVSYLNRERKLDSVVGRRPSAPPAPKGLYLYGNVGSGKTMLMDMFYSATEGIVKHRRRFHFHEAMLDIHQHMHRTWKDQLEQKSLQSNIAGWIMNLPFDTKVKEWIAAEEKYKQEIQMKNILLDVADKFLVNQEGNKRGASILCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNREPRDLNQDGMQWEIFDKLVAKLEEHCECVLIGSEIDYRRLIAQRSTYQVHYFWPLDSKSKQKFEDMWNEVIKQSGGQVTSETVQVMFGRTLNVPESCNGVARFTFEYLCGRPIGAADYIAIANNYHTVFISDIPIMSMRIRDKVRFTRI >itb15g20040.t2 pep chromosome:ASM357664v1:15:22523501:22532522:1 gene:itb15g20040 transcript:itb15g20040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSILVSPVFVHRTPQFRCNALCFYCNRPHPGVLAYYRSLVDQGKLQRDPYQEKIACELENLLGRLQQYEKDMEEYHFNLANWEERRENERRRLLMEEAKQQDVSKPVDKRPNIFQKWMSSKKPANVEPGVGKWVSYLNRERKLDSVVGRRPSAPPAPKGLYLYGNVGSGKTMLMDMFYSATEGIVKHRRRFHFHEAMLDIHQHMHRTWKDQLEQKSLQSNIAGWIMNLPFDTKVKEWIAAEEKYKQEIQMKNILLDVADKFLVNQEGNKRGASILCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNREPRDLNQDGMQWEIFDKLVAKLEEHCECVLIGSEIDYRRLIAQRSTYQVHYFWPLDSKSKQKFEDMWNEVIKQSGGQVTSETVQVMFGRTLNVPESCNGVARFTFEYLCGRPIGAADYIAIANNYHTVFISDIPIMSMRIRDKARRFITLIDELYNHHCCLYCSAESSVDDLFQGTEEGTLFDLESFQFETEIEGGKLRRDVLAEGSVSSGGSPTGIISLLSGQEELFAFRRAVSRLIEMQTRFYLDGTRHLHPYFQSRDRNLAHIGAN >itb15g20040.t1 pep chromosome:ASM357664v1:15:22523501:22532522:1 gene:itb15g20040 transcript:itb15g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYHFNLANWEERRENERRRLLMEEAKQQDVSKPVDKRPNIFQKWMSSKKPANVEPGVGKWVSYLNRERKLDSVVGRRPSAPPAPKGLYLYGNVGSGKTMLMDMFYSATEGIVKHRRRFHFHEAMLDIHQHMHRTWKDQLEQKSLQSNIAGWIMNLPFDTKVKEWIAAEEKYKQEIQMKNILLDVADKFLVNQEGNKRGASILCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNREPRDLNQDGMQWEIFDKLVAKLEEHCECVLIGSEIDYRRLIAQRSTYQVHYFWPLDSKSKQKFEDMWNEVIKQSGGQVTSETVQVMFGRTLNVPESCNGVARFTFEYLCGRPIGAADYIAIANNYHTVFISDIPIMSMRIRDKARRFITLIDELYNHHCCLYCSAESSVDDLFQGTEEGTLFDLESFQFETEIEGGKLRRDVLAEGSVSSGGSPTGIISLLSGQEELFAFRRAVSRLIEMQTRFYLDGTRHLHPYFQSRDRNLAHIGAN >itb15g20040.t3 pep chromosome:ASM357664v1:15:22525962:22532362:1 gene:itb15g20040 transcript:itb15g20040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKQQDVSKPVDKRPNIFQKWMSSKKPANVEPGVGKWVSYLNRERKLDSVVGRRPSAPPAPKGLYLYGNVGSGKTMLMDMFYSATEGIVKHRRRFHFHEAMLDIHQHMHRTWKDQLEQKSLQSNIAGWIMNLPFDTKVKEWIAAEEKYKQEIQMKNILLDVADKFLVNQEGNKRGASILCFDEIQTVDVFAIVALSGIVSRLLSTGTVLVATSNREPRDLNQDGMQWEIFDKLVAKLEEHCECVLIGSEIDYRRLIAQRSTYQVHYFWPLDSKSKQKFEDMWNEVIKQSGGQVTSETVQVMFGRTLNVPESCNGVARFTFEYLCGRPIGAADYIAIANNYHTVFISDIPIMSMRIRDKARRFITLIDELYNHHCCLYCSAESSVDDLFQGTEEGTLFDLESFQFETEIEGGKLRRDVLAEGSVSSGGSPTGIISLLSGQEELFAFRRAVSRLIEMQTRFYLDGTRHLHPYFQSRDRNLAHIGAN >itb03g17450.t1 pep chromosome:ASM357664v1:3:16063477:16074134:-1 gene:itb03g17450 transcript:itb03g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKKQDRNCRKDSGRRSAEQSGAGKGVNCRRNGNTMKRKSTQQQFVNGEDYRFRLQEVLYSPEHIFSKIFRKDGPPLGGEFDSVPEQAFLHCDKDSSNPHRACRENQRAHKRRKMLTPAFSEDQACGEDNPSSSANKHGVGKGLITNKGNSANKYGVGKGMMTVRSALVKRHGIGKGLMTVWRATNPDSAHSPAGVNFGERAKEKKKFQQRQSILKKLANKLQDKRKPALKRRKLETQKTLRWNQPRKEKCELALEEGSEEDSSQFALLVDDEELELQELQTGPNPLTCCTHFTGNGLRGCSLCKDLLAKFPPDTVTMKLPLYMHPWDSSPELAKKIFKVFHFLSTCAVIMDISSFTLDEFACAFHDKDSLLLGQVHVALLRLLLSDVEMQLASGLPCHSSKNIHFLDLVHSVEHKTYILKVWLDSLNALTWIEILRQVLAAAGFGSQCGVNPKEALNKEATFMAKYGLAPGTLKGELFSILLAQGSKGMKVPEVAKLQSIVELNLVETTNELEDLIRSTLSSDITLFEKISSSGYRLRLNPAALGTEIYQLEEDDDSDVSSGYCSNDDSDIECLDSAPAKSRRKNQHVNKTLTVCTEIDESNPGEPWLVGLMEGEYSTLSIEEKLNVLSALVDLLTAASRFKIEDPVTSDAGFAHITINHGSGAKIKRSTAKQRQIGGYCSWLSAKDMSMTSALHPVDSLVLMSTKYKKDNSFSMSNAPKMDNGDDLHPMQSIFLGSDRRYNRYWMFLGPCDDFDPGHRRIYFESSEDGHWEVIDTEEALGTLLSVLDHRGAREARLIASLEKRESVLSQAMLTTINDERVGQLVPSHQCEMSISREESSSSAVSDVDNASLAEVQNGLPSSINSVVHVGKKVEPQRDKCGLAQAFDTWIWKSFYSNLNAVKHGKRPYLDSLARCEWCHDLYWRDEKHCRICHTTFELDFDIEERYAIHSATCGLNIDTNKSPRHKILSSQLQSLKAAIYAIESVMPEDALVGSWVKSIHNLWAKRLRRASTLAEVLQVLADFVSAINEDWVYQIIHDGSNCVLEEFLTSFPTMPQTVSAVALWLVKLDTLVAPYMASAASRNKMQLNAKSKGKHALNV >itb12g28310.t8 pep chromosome:ASM357664v1:12:28282075:28285373:1 gene:itb12g28310 transcript:itb12g28310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVVSTTIPTGCFFIC >itb12g28310.t9 pep chromosome:ASM357664v1:12:28283493:28285962:1 gene:itb12g28310 transcript:itb12g28310.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t6 pep chromosome:ASM357664v1:12:28282064:28285870:1 gene:itb12g28310 transcript:itb12g28310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t3 pep chromosome:ASM357664v1:12:28282214:28285852:1 gene:itb12g28310 transcript:itb12g28310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t2 pep chromosome:ASM357664v1:12:28282160:28285852:1 gene:itb12g28310 transcript:itb12g28310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t1 pep chromosome:ASM357664v1:12:28282061:28285962:1 gene:itb12g28310 transcript:itb12g28310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t7 pep chromosome:ASM357664v1:12:28282214:28285870:1 gene:itb12g28310 transcript:itb12g28310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t4 pep chromosome:ASM357664v1:12:28282064:28285962:1 gene:itb12g28310 transcript:itb12g28310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb12g28310.t5 pep chromosome:ASM357664v1:12:28282075:28285962:1 gene:itb12g28310 transcript:itb12g28310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 4 [Source:Projected from Arabidopsis thaliana (AT4G39390) UniProtKB/Swiss-Prot;Acc:Q8RWW7] MSSAVKDEKKAIIDTAAWTFNIVTSVGIIIVNKALMANFGFSFATTLTGLHFATTTLMTVILKRLGYIQDSNLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDSVRYSRDTKLSILLVLLGVAICTVTDVSVNAKGFIAAFIAVWSTALQQYYVHFLQRKYSLGSFNLLGHTAPLQAASLLLVGPITDKWLTDKSVGAYHYTLSSVLLIILSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFLFFGKEGMNIQVVLGMGVAIVGMVWYGNASSKVGGKERVQAVARNEPKAEAEGEEKGLLGSTEVDEKV >itb02g05760.t1 pep chromosome:ASM357664v1:2:3567857:3569855:-1 gene:itb02g05760 transcript:itb02g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGLPLPKFGEWDVNDPASAEGFTVIFNKARNEKRTGDKPESPPKSDSEFKHRAVLGKPQSKRWFCCVQSASAES >itb13g08710.t1 pep chromosome:ASM357664v1:13:11383080:11383633:1 gene:itb13g08710 transcript:itb13g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDNGFRRAQSEGNLEGLTGDALCNNADEFSLAVSLRKFARKPRGSFLEAIKSFLLTGSRSQGEDEDSDGYEEADEEWKAFELLKTNYLLFQF >itb15g09460.t1 pep chromosome:ASM357664v1:15:6747432:6750348:1 gene:itb15g09460 transcript:itb15g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSCLSYIILGVATLVVVWFSWRKQSKRLAPEAGGAWPIIGHLHHFRTSVPLVKTLSEWADKYGPVFTIRLGISRILVVSSWEAVRDCFTTNDKFLAARPTTCAGKYLGYDYAVFTFSTNNSYWQRVRKLVVMELLSSGRMEKLKHVWVSELQTNIKELYTSISMDDNNNNNNPSAPKVNMSRWFGHLTLNLIMQIVAGRRYEYRSDGVINEEAQCLKKVFSQVMYLWGEFVSGDAIFPLWFFRWLDYEGHLKAMKRAAKDVDAILQDWVDVRSKEMRSNEDQKFIDVMLSMVDDQITKGYNYSRDTVIKAIVLSMLQDASETFASHLTWILAVLLKHPQSLKRVLEEIDTNIGKERWAEDSDIKNLPYLQAVVKETLRIYPPGPYLVPHEAVKDCIVDGYHIPKGTQLYVNVWRLHRDPKIWYDPEKFLPERFMTNLEGEAAQNRQYQFVPFGLGRRSCPGMLYATQITHVAVARLFQGFNFSTVPNEPLDMTEGGGITLPKLTPLEVLVTPRLSPALFGL >itb13g18170.t1 pep chromosome:ASM357664v1:13:25195057:25196015:1 gene:itb13g18170 transcript:itb13g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSHGRKLFSPIPTPPSFSISPPQSARATFLITATVVVLATSMLLISLYVIKCYTNCQRISRLRRFSRRSGGADEVFVVSSPEIVNGGGLDRSLIESIPTYEFKRAGERNSSCECECAVCLNEFQEGEKLRVIPHCGHGFHIDCIDVWLINNANCPLCRNCISSSAIFSNPGGSGYFTAGSNEDDCVVIELDEDRTVTNQYRLSISPSPTARKNPRKSRRVFSVGDECIDIREKDERFAVQPMRRSFSMDSAADRRVYLTVQKAVQQHKRRDEIISSDESSSSSRINKKSFFSFGYGRGSKNSVQSAGSFGTLTS >itb15g22190.t1 pep chromosome:ASM357664v1:15:24891174:24893871:-1 gene:itb15g22190 transcript:itb15g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLMDTRAPLFILAHPSQIRPHVRSKISLQLLTPRGPRTASLTARCPPVNLTLHATTPQPPSYRTAGARRGRQVTARPRTECAGRSSAPTGGTPNRPEPRLSVDPSTRPTVIILNRSPPLCLSALAAGVSATSPLVSSQDSESHCRSIPVFASVLALSLVASPVATKHGMPPHRSPVADLPVSKTAGVLVGS >itb02g13950.t1 pep chromosome:ASM357664v1:2:10179459:10182043:-1 gene:itb02g13950 transcript:itb02g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMNRARRTLASLRLPSSLFSDRLFTNHSTHTQVTHFFHPSPSYFSRFRTSTNIILNANQKLFFSTMHDSVLELVLSNDWSAKFEKTLSERCGSPLSHEAVMYLLKRLTKDPRKAQKFFDWAVNANGFEPNSSVYSLMLRIYAHKDSMKEFWVIVREMREKGVYFDGETYKSIFSSFRKLKMDTDAAALEKFHKLMMKENDISDSVNEVVAVIKSSDWGYEVEKELLSKKILLSDNLILRVLKELRDGRCPLKAVKFFKWVDERLGYDHNGVTYNGILRVLPQEESVEEFWSMLKEMKNAGYDLDLDTYIKVSRTFQKNKRFKDAVELYEYMMDSPYKPSSGECAILLRSIAARFPSDLDLIFRVVKKYEEAGNSLSKPIYDVIHRSMTCLGRFDEAEKIMEAMRNAGYEADNITYSQLIYGLCKARRLEEACEVIDVMEGQGCDPDIKTWTILIQGHCEANAVDKALLCLAKMMEKNVDVDGDLLDVLINGFVSQRKVVGAYELLKEMVKQAHLRPWQATYKNLIEKLLGEMKLDEALDLLGMMKKHNYPPFAEPFYQYISKLGTVEDAREFLKALSKGYPSVAAYQHVLESFFEEGRHSEAKDLLYKCPHHIRKHPKICSLFGSTKSTS >itb01g17470.t4 pep chromosome:ASM357664v1:1:22210475:22215538:1 gene:itb01g17470 transcript:itb01g17470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMLLREYTIRNELDRISRVGEEYRFGNDYSFPGTIETGYRSKQGNRYTLETLVHFITNPNLKHTDYIQQARSNRIHPVTLPDRKPLLDYLTGKISSSDSIEFIKYDAASVPAAPTIAGAATESNGNAYVEGNVMPDAGVLENQNPIEMIRAMEKPLRDRESILLSKNRDFYTVLTAAVRRDEERQRAEALQRKDGLVAKSRLERGMGYGGGEDMGYDGATKTKIHLKGSKIGEGVPIILVPSAFSTLITIYNVKEFLEDGVYIPTDVKLKQMNGQKPECVTVQKKFSRDRVVTAYEVRDKPSALKTEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYLRFEDDSVESAKTVKQWNVKIISVSKNKRHQDRAAALEVWDKLEEFMRSRSR >itb01g17470.t2 pep chromosome:ASM357664v1:1:22206231:22215538:1 gene:itb01g17470 transcript:itb01g17470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMLLREYTIRNELDRISRVGEEYRFGNDYSFPGTIETGYRSKQGNRYTLETLVHFITNPNLKHTDYIQQARSNRIHPVTLPDRKPLLDYLTGKISSSDSIEFIKYDAASVPAAPTIAGAATESNGNAYVEGNVMPDAGVLENQNPIEMIRAMEKPLRDRESILLSKNRDFYTVLTAAVRRDEERQRAEALQRKDGLVAKSRLERGMGYGGGEDMGYDGATKTKIHLKGSKIGEGVPIILVPSAFSTLITIYNVKEFLEDGVYIPTDVKLKQMNGQKPECVTVQKKFSRDRVVTAYEVRDKPSALKTEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYLRFEDDSVESAKTVKQWNVKIISVSKNKRHQDRAAALEVWDKLEEFMRSRSR >itb01g17470.t5 pep chromosome:ASM357664v1:1:22210475:22213686:1 gene:itb01g17470 transcript:itb01g17470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMLLREYTIRNELDRISRVGEEYRFGNDYSFPGTIETGYRSKQGNRYTLETLVHFITNPNLKHTDYIQQARSNRIHPVTLPDRKPLLDYLTGKISSSDSIEFIKYDAASVPAAPTIAGAATESNGNAYVEGNVMPDAGVLENQNPIEMIRAMEKPLRDRESILLSKNRDFYTVLTAAVRRDEERQRAEALQRKDGLVAKSRLERGMGYGGGEDMGYDGATKTKIHLKGSKIGEGVPIILVPSAFSTLITIYNVKEFLEDGVYIPTDVKLKQMNGQKPECVTVQKKFSRDRVVTAYEVRDKPSALKTEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYLRFEDDSVESAKTVKQWNVKIISVSKNKRHQDRAAALEVWDKLEEFMRSRSR >itb01g17470.t1 pep chromosome:ASM357664v1:1:22206231:22215538:1 gene:itb01g17470 transcript:itb01g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMLLREYTIRNELDRISRVGEEYRFGNDYSFPGTIETGYRSKQGNRYTLETLVHFITNPNLKHTDYIQQARSNRIHPVTLPDRKPLLDYLTGKISSSDSIEFIKYDAASVPAAPTIAGAATESNGNAYVEGNVMPDAGVLENQNPIEMIRAMEKPLRDRESILLSKNRDFYTVLTAAVRRDEERQRAEALQRKDGLVAKSRLERGMGYGGGEDMGYDGATKTKIHLKGSKIGEGVPIILVPSAFSTLITIYNVKEFLEDGVYIPTDVKLKQMNGQKPECVTVQKKFSRDRVVTAYEVRDKPSALKTEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYLRFEDDSVESAKTVKQWNVKIISVSKNKRHQDRAAALEVWDKLEEFMRSRSR >itb01g17470.t3 pep chromosome:ASM357664v1:1:22210475:22215438:1 gene:itb01g17470 transcript:itb01g17470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLMLLREYTIRNELDRISRVGEEYRFGNDYSFPGTIETGYRSKQGNRYTLETLVHFITNPNLKHTDYIQQARSNRIHPVTLPDRKPLLDYLTGKISSSDSIEFIKYDAASVPAAPTIAGAATESNGNAYVEGNVMPDAGVLENQNPIEMIRAMEKPLRDRESILLSKNRDFYTVLTAAVRRDEERQRAEALQRKDGLVAKSRLERGMGYGGGEDMGYDGATKTKIHLKGSKIGEGVPIILVPSAFSTLITIYNVKEFLEDGVYIPTDVKLKQMNGQKPECVTVQKKFSRDRVVTAYEVRDKPSALKTEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYLRFEDDSVESAKTVKQWNVKIISVSKNKRHQDRAAALEVWDKLEEFMRSRSR >itb12g06930.t1 pep chromosome:ASM357664v1:12:5160097:5163183:1 gene:itb12g06930 transcript:itb12g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIARNFFTTRYSPLYRCSLFSLFPFSTIDIPVNHLENKPPSEEHTENDCRHISESHILNQLSELLPIRHISSIRKSTAVSSSDAQKQSEIVALDGFLPPEDKFRGVFLQKLRGISAIERALASVGLDPTLDLVAKVVNRGNLGGEAMVTFFSWAMKQKTIAKDANTYNVILKALGRRKFFTHMMALFENMRAEGITPTSTTIFIVLDSFIRARQVSKAIQLYGKLEDYGFKCDIEALNVVLQSLCRRSHVGAASSLLVKMKERVALDGMTYSIVIGGWARFGRVNEIEKALKNMIEDGFDPDNKTYSYVLEGLGRAGQLHDAIEIFEGLDEKGHIQHAEVYNSMISNFISVGQIDEGLKYYRRMLSNNCEPNAETYTRLISAFLKARRVADTIEMFDEMIGKRIIPSTGTVTTFLEPLCSYGPPHAALMIYKKAREAGCVISPSAFKLLLMRLSRFGKCGMLLTIWNEMQESGYSSDMQVYEYVINGLCNIGQLENAVLVMEEALQKGFYPSKLICSKLSNKLLASNKIETAYKLFLKIKTARSNQNAQRYWRAKGWHF >itb04g30870.t1 pep chromosome:ASM357664v1:4:33869260:33870322:1 gene:itb04g30870 transcript:itb04g30870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKRRSASKRKTSAGATSDPKSAAAANSQLSNKVFSKEDEINLLKALLESTTDPTVSLPLGHHVSETQIAAKIKRMKERYHKLAKSKSRIKTAHDEEVYQIARLIWGKQAKKPAPLMVKISETAAEEPSPEIEKLPDGEDLGENAEKENGDATGEVDLGDFPYLVEQMAVNFTGNDMYLMGLRQLGSRKLEGMNEQWKGLMEEEAEFIAKKAQFYQELKAALAFKN >itb14g05550.t1 pep chromosome:ASM357664v1:14:4841605:4844690:-1 gene:itb14g05550 transcript:itb14g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMGNIAVLLDGSHVTLPRAVPPQDRKIRAPPNDVVFNLFAKRETPHGHASLVATGFEYDKDSRNPKVKAKSKAGAVDYENSSDDENGGNGNGFDEEEEEGREEKAGWEREMRRRVKELEEMKELEKKAEELQNRVDEEYDEGSEKKQETEEEKREKVRKELEKVAKEQAERRRTAQLMFDLGQKAYGKGSYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHTDCISLYKELEKFHPSISIRRQAKELRYILQAPKLKISQEEMVTIPLIGSSYDSYAATWTDKNKDKEQMQSGITTKQVPSSRDWLGDFLVWKPPVGLEKSQTFWVALTLWIGLVGAAIFLQR >itb07g20990.t1 pep chromosome:ASM357664v1:7:25384463:25387759:1 gene:itb07g20990 transcript:itb07g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIFILIEVDDKRMPLMNISSVGRIPTDEPVKRTRLDIYLQLGKMSMDFSKKKKTQGSGDIISISSIQSHLGKTRAEPKMVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFVIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAKGPGEREAPAPVTQSQQAQVSKKSKK >itb03g13200.t1 pep chromosome:ASM357664v1:3:13412928:13417210:1 gene:itb03g13200 transcript:itb03g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKEDTSCAGGDGDGGSGGLRVPFQHPSGRRMYWRSASWSSSRTSLPPLNPDSDKEGLDPNGSNNGQGRRPLTPRSQQSFKARSCLPPLAIARRSLDEWPRAGSDDIGEWPLPSTPSARDANNNGERLKLDLSNIQKNPERNGGLVKREKIAFFDKECSKVAEHIYLGGDAVAKDRDILKQNGITHILNCVGFVCPEYFKADFAYRTLWLQDSPSEDITSILYDVFDYFEDVREQNGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSALDSRGAFIVHITSAIYVWIGKKCEAIMERDARGAVCQIARYEKVQCPIVVISEGEEPPYFWDAFSNLLPLMDKSNKGVDVVEASIKICPGQRIVNAYNIDFEIFEKAIVGGFVPPFASSEAEHETHLPARESSWSVLRRKFVSGNMKDFVSASKQGLLRVYPDSMFIVVDHSSSKQLHSPLFSSSSSTSSSSPSSVSFSSSSSSSSSSSSSSSSPPYLSPDSFSSDSSINSSRCSDSPAVSPSAASCADVTSSTLSSFSNISLLPSKISPQSISKTSKYIDVNFTSRSSQSASTSSRKFPLSIAERRGSLSKCLKLPVLDDSQGKNTLSSFLFNKQDGIGVTYGSSLGNESLQMENILEPHRGANASSENDSLRISGNIVNADSLFEDVLPSTCNVWENHSSGEKEVVVLNGLVDGHPCNLMQPLVFKWPNLEKISHLTADDLDSDSVYIFVIPSPEFKNVEGRILYFWVGRSFSCKSSKIFSGYCQSLSGSEDIDWGKASSDTLRWMGLPLDTNIKIVKENEEPEEFLALLSSK >itb14g03650.t1 pep chromosome:ASM357664v1:14:3311204:3313812:-1 gene:itb14g03650 transcript:itb14g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKDPRRIFEGDALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQVVNVPSFMVRLDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDEE >itb14g03650.t2 pep chromosome:ASM357664v1:14:3311435:3313011:-1 gene:itb14g03650 transcript:itb14g03650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLDEKDPRRIFEGDALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQVVNVPSFMVRLDSQKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEEDEE >itb12g00480.t1 pep chromosome:ASM357664v1:12:386778:392201:1 gene:itb12g00480 transcript:itb12g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSATLSAAALPELIFLAPNSGCRRIRLPVAKLFGHTKRAAVLRCRIRAVRREESAVLEESDRELARKVNSSANGNGNGRSGLAEKYSNGSLAGENQSLVKYVNGNGGAGRGGEEVLEVKATEVFEKKKTVEEIGQEEAWFKKKGMGQVEVSVAPGGRWNRFKTYSTIQRTVEIWGFVFTFIFKAWLNNQKFSYRGGMTEEKKGERRRILAKWLKENILRLGPTFIKIGQQFSTRVDILAQEYIDQLSELQDQVPPFPSETAVSIVEEELGAPLSDVFDRFDREPIAAASLGQVHRAKLNGQDVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFAKNFKNMDYVKVPTIYWEYTTPQVLTMEYVPGIKINRIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLEVFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKERELAKAELGFKKPLTKEEKIEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVILKDFKTKWDKQNRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRALESERAFQRVAAVQNTIGSAVAAGSLINLATLLYLNSIRMPAMFAYGLCAFFGFQVLIGIVKVKRIDQRERLITGTA >itb15g12040.t1 pep chromosome:ASM357664v1:15:9936732:9944915:-1 gene:itb15g12040 transcript:itb15g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRPAGALQIPQRLYSLEELRLNGIETVSLLSPVDATLGSIERNLQIAALSGGIAAWNVLNLNPQQILFISLGLLFLLTFDSVSFNGGFGALILDTIGHTLSEKYHNRVIQHEAGHFLIAYLLGILPRGYTLTSLEALKKEGSLNVQAGTAFVDFEFLEEVNKGQVSATMLNRFSCIALAGVATEYLLFGCAEGGLTDINQLDFLLQSLGFTQKKADSQVRWAVLNTILILRRHENARAKLAEGMSEGRSVGFCIDIIEKSISDDDL >itb04g17640.t1 pep chromosome:ASM357664v1:4:20749920:20750411:-1 gene:itb04g17640 transcript:itb04g17640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRIVLDALYKRIMRAYNENNCFRVIIIIPLLPGFQGGLDDSDAASVRAIMHWQYRTICREHSSIL >itb05g18900.t1 pep chromosome:ASM357664v1:5:25574541:25575626:-1 gene:itb05g18900 transcript:itb05g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSERRVIMSAPLSGDMRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRKKWVIHIERITREKVNGSTVNVGIHPSKVVITKLRLDKDRKSLLERKAKGRAAADKEKGTKFTTEDIMQTVD >itb03g18340.t1 pep chromosome:ASM357664v1:3:16642167:16656226:-1 gene:itb03g18340 transcript:itb03g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVNITVGSHVWVEDPQLAWINGEIVKIDGQNVHVNTTNGKKVVAKISKVFPEDTEAPPGGVDDMTKLSYLHEPGVLQNLAARYQLNEIYTYTGNILIAVNPFQRLPHLYDIHMMEQYNGATLGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKKGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCATPEEKKRYKLGSANEFHYLNQSNCYELDGVNDADEYLATRRAMDIVGISEGEQEAIFRVVAAILHLGNVDFANGQEIDSSVIKDEKSRFHLNMTADLLKCDAKSLEDALIKRVMVTPEEVITRTLDLEAALSSRDALAKTLYSRLFDWIVQKINISIGQDPNSTSAIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYEKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFVKNKRFIKPKLSRTSFTISHYAGEVTYQADLFLEKNKDYVVAEHQELLTASKCSFVAGLFPPLPEEASKSSKFSSIGTRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENSNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLSGNHDDKVASQMILDKMALKGYQIGKNKVFLRAGQMAELDARRAEVLGNTARIIQGQIRTYIRRKEFVALRQAAVQLQSCWRAMLACKLYGQLRREAAALKVQKNFRCYVARKSYSTLHCSAITLQTGMRAMVAHEEHRLRKQTKAAIKIQAHFRCHRAYSYYKSLQRAAIVTQCGWRQRVARKELRKLKMAARETGALKEAKDKLEKKVEELTWRLQLEKRLRTELEETKAQETAKLQEALHALQIQVDEANVRIIQEREAAQKAIEEAQPVIKETPVIVQDTEKINALTAEVERLKASLLSEKHAAEEAKKACTDAESRNAELMNKLGDAEQKIDQLQDLVQRLEEKLSNSTSENQVLRQQVLTMSPTEKTISIQPKTPIVQRTPENGNVMKGAAKFIHRMDLAVSSPMEPTSEGKPQKSLNEKQQENQDLLIKCISQDLGFSGGKPVTACVIYKCLLHWRSFEVERTSVFDCIIQTIASAIEAADSNGVLAYWLCNTSTLLMLLQQTLKASGTSSFTSQRRRSQSAAFFGRMPQGLRTSVQTAGLSFLNGRTLGRMDDLRQVEAKYPALLFKQQLTAFLEKVYGMIRDNLKKEISPLISLCIQAPRSSRASLVKGRFHVNAAAQQALIGHWQSIVKSLDNSMKIMKANYVPPFLIRKVFNQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLAELKKWCNYATEEYVGLAWDELKHIREAVGFLVIHQKPKKTLHEITNELCPVLSIQQLYRISTMYWDDKYGTRSVSSDVISRMKALMAEHSNNGVSSSFLLDDDSSIPFSVDDISKSIQVDAGDVEPPPLIRENSGFMFLHQRSGGNKTNTPSIKA >itb04g25460.t2 pep chromosome:ASM357664v1:4:30065686:30067382:-1 gene:itb04g25460 transcript:itb04g25460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFFGVDKIAFPQHGFFLITASAVCFKENATSSKKKISGLGPYYGGGCTMLATSPNYPVCYFVDRISGVNKNCQKEIKLLCSSPLSRKLFFAQGTQIHKVLRPSQLKRCYVVPNANDGYPCVSASIEDTAASHELNYEAETLFSKWSPPKYLWRGLSVLILAGQVITRIVKGNVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVTPRVIASCMALPILTLMCFTVGMASSAILSDSVYGISINIILDSAQRALRSWDIISAMIKSGVFGAIISTVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFIADFALSYCFFQGAGNSLKNCV >itb04g25460.t1 pep chromosome:ASM357664v1:4:30065266:30068109:-1 gene:itb04g25460 transcript:itb04g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSPNYPVCYFVDSRISGVNKNCQKEIKLLCSSPLSRKLFFAQGTQIHKVLRPSQLKRCYVVPNANDGYPCVSASIEDTAASHELNYEAETLFSKWSPPKYLWRGLSVLILAGQVITRIVKGNVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVTPRVIASCMALPILTLMCFTVGMASSAILSDSVYGISINIILDSAQRALRSWDIISAMIKSGVFGAIISTVSCAWGVTTLGGAKGVGESTTSAVVISLVGIFIADFALSYCFFQGAGNSLKNCV >itb07g07480.t2 pep chromosome:ASM357664v1:7:5667271:5672308:-1 gene:itb07g07480 transcript:itb07g07480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFNRRCNGDDRFYSAAKARRSRSQNLNGHQNHQSQDHLRRAQSDVAVSHSPKSDVRVSTDEPPKEKLAVVDVPGYGALSMSNIQQFLHSVTPSVTAQYLSKTTMKSLKMCGPELQPYFLLGDLWEAFKEWSAYGAGVPLILNDCDSVIQYYVPYLSGIQLYVDPSKSSEKSRKPGEESDGDYFRDSSSDGSSDSEQERGCLNYSREKRSCHCQTSENSLGINRLSLRDENGTCQEGFSSDEGEPGRSQGHLVFEYLERVPPHGREPLADKISHLAVRFPELKTLRSCDLLSSSWISVAWYPIYRIPMGPSLKPLDACFLTYHHLRTPVAGTQGARTTSITCPCETDAIPNILLPVFGLASYKFKSSLWTPDRGCERKLVNSLLQTAGEWLTMRQVHHPDFSFFCRN >itb07g07480.t1 pep chromosome:ASM357664v1:7:5667271:5672308:-1 gene:itb07g07480 transcript:itb07g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFNRRCNGDDRFYSAAKARRSRSQNLNGHQNHQSQDHLRRAQSDVAVSHSPKSDVRVSTDEPPKEKLAVVDVPGYGALSMSNIQQFLHSVTPSVTAQYLSKTTMKSLKMCGPELQPYFLLGDLWEAFKEWSAYGAGVPLILNDCDSVIQYYVPYLSGIQLYVDPSKSSEKSRKPGEESDGDYFRDSSSDGSSDSEQERGCLNYSREKRSCHCQTSENSLGINRLSLRDENGTCQEGFSSDEGEPGRSQGHLVFEYLERVPPHGREPLADKISHLAVRFPELKTLRSCDLLSSSWISVAWYPIYRIPMGPSLKPLDACFLTYHHLRTPVAGTQGARTTSITCPCETDAIPNILLPVFGLASYKFKSSLWTPDRGCERKLVNSLLQTAGEWLTMRQVHHPDFSFFCRN >itb15g23510.t1 pep chromosome:ASM357664v1:15:26339678:26347213:-1 gene:itb15g23510 transcript:itb15g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEMFRGGSARLGSSNVWRNSAMEVFSSRGEGDSDEEALKWAAIEKLPTYLRVRRGILTEEEGQCKEVDVKGLGLVEKKNLLERLVRVAEEDNEKFLLKLKQRIDRVDIDLPTIEVRFEHLNVDAEAYVGSRALPTIFNFTVNMLEGFLNSIHILPNRKKPLPILHEVSGIIKPGRSKGKENTRQDRLNHSWIYANYLRSQVSGKVTYNGHEMTEFVPQRTSAYISQYDLHIGELTVRETLAFSARCQGIGPRYEMLAELSRREKELNIKPDPDIDIFMKVASIEGEEASVVTDYVLKILGLEGCADILVGDEMIRGISGGQKKRVTTGEMMVGPARVLFMDEISTGLDSSTTFQIVNSIRQSIHILQGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVVEFFEHMGFKCPERKGVADFLQEVTSRKDQEQYWARRHEQYKFITAREFSEAFQSFHVGRKLGDELAVPFDKAKSHPAALTTKKYGVNKKELLKACISREFLLMKRNSFVYIFKMMQLTLMAFISMTLFLRTKMKRDSATDGTIFMGALFFAVITTMYNGYSELFLSILKLPVFYKQRDFLFFPSWAYALPTWILKIPITLVEVAIWVCMTYYVIGFDPDVGRFFKQLFLLICVNQMASALFRFIAALGRNIIVANTFGSFALLTVLVMGGFVLSRNDVKKWWVWGYWFSPMMYAQNAIAVNEYLGKSWRHIPSGSSEPLGVTVLKSRAIFPEARWYWIGVGALIGYVILFNFLFTVALTYLNPFGKPQAVLSEETLAERNASKRRESIESISERRNDVLKSASSRSISSRVGSINEANPNRRRGMVLPFEPHSIAFDEIKYAVDMPQEMKAQGFTEDRLELLKGVSGAFRPGVLTALMGISGAGKTTLMDVLSGRKTGGYIEGNISISGYPKKQETFARIAGYCEQTDIHSPHVTVHESLQYSAWLRLPPEVDTEARKMFVEEVMELVELTPLRGALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGQEIYVGPIGRHSSQLIEYFEAIPGVPRIKDGYNPATWMLEVTSAAQEILSATDFAQVYRSSQLFRRNKALIKELSKPALGSKDLHFPTKYSQSFYTQCLACLWKQHWSYWRNPPYTAVRLMFTTFIALMFGTIFWDLGSRRRKQQDLFNAMGSMYAAILFLGVQNGSSVQPVVSVERSVFYRERAAGMYSALPYAIAQVVIELPYLFVQTIIYGVIVYAMIGFEWTVTKFLWYLFFMYFTLLYFTLYGMMTVAVTPNHNIAGIVSSAFYAIWNLFSGFIVPKTRIPVWWRWYYYICPISWTLYGLVASQFGDVKDKLVDTDQTVEEFIKTYFDFDQDFVGFVGVILVGLSLLFAFIFAFSIKVFNFQKR >itb15g02470.t1 pep chromosome:ASM357664v1:15:1497084:1497979:-1 gene:itb15g02470 transcript:itb15g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRKKRNPNARAAKEATAAGTKAAASEAGPGAGAGESAAEAVWTAESATRATRRAAKRTTFIFLASISESRAKCGILRGISRRLRRERKGSKLKMVVPEERCVWLYIKKWAEEKKRRAARSDRYASHVHAFALPLVSACLPGLPAF >itb05g17890.t1 pep chromosome:ASM357664v1:5:24774090:24775770:-1 gene:itb05g17890 transcript:itb05g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPFRRNGGGGPSRPSSSENGPQFFKFIIFSHELTRLRFPLKFATRHGRNLPKVACLEVPTGEVSKIHVVHDSQGRIWLAKGWEEFTKNYSIREGHFLVFRYDGESHFRVLIFDKTASEIEYPQIIVSHSGVESLEDLAQNCGPNKRKRQEDDQTESFCNRFNHVRVKVENSSDDEDEGSPPLMTPKIITAEKQSLVKTAEMDYSAYQRAKAFKSKNPFHISVMSSSYISSRNGRLYIPLSFAKKHFLGQSSNDFVLVSGGRSWPAKCALSRNCARISGWKAFAVDNKLKVGDVCILEIMKSKKLTVNIIVFPADEIHD >itb08g08870.t1 pep chromosome:ASM357664v1:8:7825938:7828778:1 gene:itb08g08870 transcript:itb08g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSNGVFQGDNPLDFALPLAILQICVVIVVTRVLAYMLKPLRQPRVIAEIIGGVLLGPSAIGRNQDFLHALFPPKSITLLDTLANIGLVFFMFLAGLEIELHSLRRTGKKALGIAIAGISLPFALGIVSSFVLLETIAKGVNTTSFLIFMGVALSITAFPVLARILAELKLLTTDVGRTAMSAAAVNDVAAWILLALAIALSGDNLTPAVSVWVFLSGCGFVIFSSLIVPPVFKWLSQCCHEGQPIDEIYVCGTLAAVLAAGFVTDTIGIHAMFGAFVIGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIEGIQSWGLLVLVIFTACFGKIVGTFLVSLLFKMPKSEALTLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVVAVYKPSKTASSDSYKHRTIERRNPNTQLRILACFYSSRNIPSMINLLESSRGTEKQDGLLVYALHLMELSERSSAILMVHKARRNGLPFWNKGIRSDANQVVVAFEAFQQLSRVSVRPMTSISSFSDMHEDICTTAERKRAAVIILPFHKHQKYDGSFETTRADFQWVNKRVVEQAPCSVGIFVDRGLGGSSQVAASNVSYQITVLFFGGGDDREALAYGARLAEHPGIRMTVVCFSPKHEPTGEITVDMDTTSPGIQISDDGKALADLKQRTSRDDSVKFEEKTVCNKEEITAVIRGHSRCNMFLVGRMPDGELALALALNQMSEYPELGPIGSCLVSPDFPMVASVLVLQQHNNQTSPNSRPEGGGEELAASDAL >itb10g21670.t1 pep chromosome:ASM357664v1:10:26787296:26788838:1 gene:itb10g21670 transcript:itb10g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEINNFIVVWSIVLACLCYCHFIGNLFPKPNFSRLSGVLPVAGVFFLLPLNLTSLNLGGGTAFLIGWLGSFKLLLFAFGSGPLCSSPPLPLSRFVASACLPIKLSPEKKNGKSPVNYLTKMVLLGMLFHIYTYKDVPPKLLMLFYCCYIYLVLDLSLTAISAAVKAVGSVLSKGGLKLEPPFDDPYLATSLQDFWGRRWNLMVTNILRPAVYDPVRAAAARILPEKWAALPAVVATFLVSGIMHEAVFYNIGRVKPSGEVMCFFLIHGVCLAMEIGAKKAINGRFCLPGFVSGPLSLSFVILTSFWLFFPPFLRNKPDVKGCQEVEHEQQVVQLKKIRSYNGKCQNLC >itb04g05050.t1 pep chromosome:ASM357664v1:4:3142159:3146927:1 gene:itb04g05050 transcript:itb04g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLWHFILIFLFVSVLSGHVTTESENGTKQKVTAPLRNSGSNIIDGSGKEYAFTSDGVTNGNGDLRGGNNRVSVSTVAFFTLAMAAATGLGAVPFFFVDLDPQWSGICNGMAGGVMLAASFDLIQEGQDHGSGSWVVFGILAGGIFISLCKKFLDHYGEVSMLDIKGADAAKAVLVVGIMTLHSFGEGAGVGVSFAGSKGLSQGVLVTLAIAVHNIPEGLAVSMVLASKGVSPHKAMLWSIITSLPQPIVAVPSFVCADAFNKFLPFATGFAAGCMIWMVMAEVLPDGFKEASPSHVASAATLSVAFMEGLSTVFQNFGHNYNSEDASGFFISLLFGLGPLLGGVSLVAFALTFRLQHALLTGVASGIAFVLGAWRPLQLVLQSKMEFLSLISLLVTGFAFAHFCTSSVSKLGSRKRTAANSLTSVTGLPISSLTLQSVLCCLAIALHAFAEGLALGVAAPKAYGFGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLLAAALIGFVGPSSAIGAILAGIDYSGLDHIMMLACGALLPCFWSIVKRAVSLDKQKGVAGLIMGVLFASVCLTFTKLVCLHTPYCNSAPEAVR >itb04g05050.t2 pep chromosome:ASM357664v1:4:3142159:3146927:1 gene:itb04g05050 transcript:itb04g05050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLWHFILIFLFVSVLSGHVTTESENGTKQKVTAPLRNSGSNIIDGSGKEYAFTSDGVTNGNGDLRGGNNRVSVSTVAFFTLAMAAATGLGAVPFFFVDLDPQWSGICNGMAGGVMLAASFDLIQEGQDHGSGSWVVFGILAGGIFISLCKKFLDHYGEVSMLDIKGADAAKAVLVVGIMTLHSFGEGAGVGVSFAGSKGLSQGVLVTLAIAVHNIPEGLAVSMVLASKGVSPHKAMLWSIITSLPQPIVAVPSFVCADAFNKFLPFATGFAAGCMIWMVMAEVLPDGFKEASPSHVASAATLSVAFMEGLSTVFQNFGHNYNSEDASGFFISLLFGLGPLLGGVSLVAFALTFRLQHALLTGVASGIAFVLGAWRPLQLVLQSKMEFLSLISLLVTGFAFAHFCTSSVSKLGSRKRTAANSLTSVTGLPISSLTLQSVLCCLAIALHAFAEGLALGVAAPKAYGFGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLLAAALIGFVGPSSAIGAILAGIDYSGLDHIMMLACGALLPCFWSIVKRAVSLDKQKGVAGLIMGVLFASVCLTFTKLVCLHTPYCNSAPEAVR >itb04g05050.t3 pep chromosome:ASM357664v1:4:3142164:3146873:1 gene:itb04g05050 transcript:itb04g05050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLWHFILIFLFVSVLSGHVTTESENGTKQKVTAPLRNSGSNIIDGSGKEYAFTSDGVTNGNGDLRGGNNRVSVSTVAFFTLAMAAATGLGAVPFFFVDLDPQWSGICNGMAGGVMLAASFDLIQEGQDHGSGSWVVFGILAGGIFISLCKKFLDHYGEVSMLDIKGADAAKAVLVVGIMTLHSFGEGAGVGVSFAGSKGLSQGVLVTLAIAVHNIPEGLAVSMVLASKGVSPHKAMLWSIITSLPQPIVAVPSFVCADAFNKFLPFATGFAAGCMIWMVMAEVLPDGFKEASPSHVASAATLSVAFMEGLSTVFQNFGHNYNSEDASGFFISLLFGLGPLLGGVSLVAFALTFRLQHALLTGVASGIAFVLGAWRPLQLVLQSKMEFLSLISLLVTGFAFAHFCTSSVSKLGSRKRTAANSLTSVTGLPISSLTLQSVLCCLAIALHAFAEGLALGVAAPKAYGFGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLLAAALIGFVGPSSAIGAILAGIDYSGLDHIMMLACGALLPCFWSIVKRAVSLDKQKGVAGLIMGVLFASVCLTFTKLVCLHTPYCNSAPEAVR >itb13g13480.t1 pep chromosome:ASM357664v1:13:19985070:19987046:1 gene:itb13g13480 transcript:itb13g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKPQALLRFYRPFSSNHKWNSNQHPNLVITHPTLLLIESCNSMSQLKQIQANMTRTGLIFHTFPVSRLLSFCALDDSGEIHYARLLFSQISEPNVYIWNTMIRGYVKAELQEMGLCVFGRMVRENIEMDERSYVFGLKGCGVLGGFWVGESVHCRIRKVRFDEDLIVRNGLVHFYGQNGRMKDAKKVFDESPVRDVVSWTSLIDGYLRKNMADEALAFFHMMSSSGVEPNEVTMIAVFSACAHKGDLMLGKFFHESMKKRGAECGLNLLNAILDMYIKCGSLPLAKELFEKMEVKDAFSWTTMINGYAKNGEVDLARKCFSDMPHRNVVSWNAMIACYSQNNRPKEALELFHEMERRGLALMETTLVCVLSACAQSGSLDIGRRVHDYYVKQRRIKCSVILVNALIDMYAKCGSIDAAEELFAEMPERDLVSWNSLIVGCASHGLAGRALTLFEQMRTLGFIPDEITFVGVLSACAHAGLVNEGWKYLRAMEIFGLVPAMVHYACMIDLLSRVGQLRDAYELILAMPMEPDTAVWGALLNGCRMHGNVELGKLAGEKLIALDPEDSGIYVLLASLCANKRKWGDVSKLRSMMRIKGIKKNPGRSLIDVEGKLHEFVAADESHPRSQAIYKVLNEIVLLSKLEEYQLTSANPANLFS >itb10g19360.t1 pep chromosome:ASM357664v1:10:25240174:25241168:1 gene:itb10g19360 transcript:itb10g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEDSHNAQGIKLFGATIITVQEKKSEDEKSEVKGDDDHGSTADNQKRPDKVIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKNKPPCRGMIDGLSEGCLFDASGLLQNLDFDGAVVEEWYAAEQGGAGVGGFRNLLPAKRRRKISAGQPC >itb14g15380.t2 pep chromosome:ASM357664v1:14:18691437:18692413:1 gene:itb14g15380 transcript:itb14g15380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRAFWSYVSTSCGFSSLAATNLLKCGEVVKQARVFTEADVVEYSKLSHDTNPLHFDSECAKLAGFSDRLVPGMLVASLFPRTIASNFPGAVYVSQTLHFKSPVYIGEEIVGEICATNIKDLKSKHV >itb14g15380.t1 pep chromosome:ASM357664v1:14:18691267:18692448:1 gene:itb14g15380 transcript:itb14g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRAFWSYVSTSCGFSSLAATNLLKCGEVVKQARVFTEADVVEYSKLSHDTNPLHFDSECAKLAGFSDRLVPGMLVASLFPRTIASNFPGAVYVSQTLHFKSPVYIGEEIVGEICATNIKDLKSKHVVKFSTKCFKRDGVLVIDGEATAILRSLNKEPAARWSS >itb02g19560.t1 pep chromosome:ASM357664v1:2:16812689:16815227:1 gene:itb02g19560 transcript:itb02g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGNRILCNTPTGAPYRHLPVRRPFLIPCWSTPPRSHGRSHIIVSAAKKGRFESKNRTGSLSTKEKVKEDEKQAGQPSIGAENDGPESPIATAADGFVMPVLPGKETDFWEGPQWDAFGFFLQYLWAFGIVFALIACGIAVTTYNEGATDFKDTPAYKESVQSQELLEGPEASDSDVFESNPTEEAPSLE >itb01g27790.t1 pep chromosome:ASM357664v1:1:32445475:32447307:1 gene:itb01g27790 transcript:itb01g27790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPDVIAVPFPYQGHLNPMLQFCSSLSARGVRVTLVLTHGVAKSMQQSTLSQPFHTVECVSDGTDVGSFPRNFEELTRLPTAVSEGVSAIIQNQKKVSGGSAAKVLVHDAMMPWLVEVGRAGGLRVASLFTQPASLCAIYYHMLHGHVVEQLTHSMLRLPSLPEMELRDLPSFSQFADTAKQFAELSFCQASNLPKADCFLINTFDSLEDQVVKWMADRWSVKTVGPLVPILHKDRIDLFELDGESYVQWLDIRESKSVVYLSFGSSGVFTEEQMKEIAWGLAQSNKYFIWVVRESQQVKLPKDFKSKTSEKGKGVIVKWCPQVEVLSHKAIACFMTHCGWNSTLEALCLGVPMICMPHFVDQPTNAKLVEDVWKVGVRVKANEKGIVTRQEVESCIKQVMEGEKVQEFQRNAIKWKGLAKEATSDGGSSYVNINDFVSQMTFVKSHFN >itb02g04040.t1 pep chromosome:ASM357664v1:2:2407914:2409848:1 gene:itb02g04040 transcript:itb02g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLALEEDIKEVVGISPVLWLFAVLSLLTNTNGWYSYLWLPFIPLLNAFQVAFFFWSWMGSCMKPVIFGHNVASAIKNWRHAAKYRAKYGHGRRRSEHASPLHGSPILLLSDYQRNVADHDGDDLVWANSGEPRTNLSQEKSPAAGAMEISIK >itb13g05060.t1 pep chromosome:ASM357664v1:13:5971848:5975158:1 gene:itb13g05060 transcript:itb13g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVASGPDIPWVEKYRPSKVADIVGNEDAVARLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNLKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTTGAQQALRRTMEIYSNSTRFGLACNTSSKIIEPIQSRCALVRFGRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFGFINQENVFKVCDQPHPLHVKNMVRNVLEGKFDDACVGLKALYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLALARETAKAA >itb13g05060.t3 pep chromosome:ASM357664v1:13:5971848:5975126:1 gene:itb13g05060 transcript:itb13g05060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVASGPDIPWVEKYRPSKVADIVGNEDAVARLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNLKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTTGAQQALRRTMEIYSNSTRFGLACNTSSKIIEPIQSRCALVRFGRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFGFINQENVFKETGFAHMRICDGVGSYLQLCGLLAKLALARETAKAA >itb13g05060.t2 pep chromosome:ASM357664v1:13:5971848:5975126:1 gene:itb13g05060 transcript:itb13g05060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVASGPDIPWVEKYRPSKVADIVGNEDAVARLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNLKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTTGAQQALRRTMEIYSNSTRFGLACNTSSKIIEPIQSRCALVRFGRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFGFINQENVFKNGRYVTNPIPFM >itb14g19190.t1 pep chromosome:ASM357664v1:14:21923132:21923644:1 gene:itb14g19190 transcript:itb14g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LATE [Source:Projected from Arabidopsis thaliana (AT5G48890) UniProtKB/TrEMBL;Acc:A0A178UK76] MENQTQNGEDAIRRSFPCLYCSRKFHSSQALGGHQNAHKKERSAARKNKRANPHEYHGLINSISSFPQTSLVFAPNHTPLGLFSHHHPPLYISAHSAALCQFPGRFVAAGGGAAAAPRFGSCLNRNPYLYGDEYNGFGEECPVQGGLLGVSKDRDCSSNKSQKLDLSLHL >itb05g20580.t1 pep chromosome:ASM357664v1:5:26618483:26622328:1 gene:itb05g20580 transcript:itb05g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNQNELILFSFFFVCLLVFQNALVYTSALSEPKVYIVYMGARQHDDVDLITSTHHDMLATVLGSREAAANSMIYSYRHGFSGFAAMMTKSQAQTFQDIPGVVKVLPNSLYKLRTTRSWDYLGLSLNSATNLLHDTKMGDGTIVAVLDTGVTPENEAYNDRGLGPIPSKWKGYCESGEQFDPKKHCNRKLIGARFFIKGYLAERGLLQPLNLTGINDYISPRDLNGHGTHTSSTAVGSVVSNVSYKGLALGTFRGGAPRARLAFYKIGWEGGFNAADALKAFDEAIHDGVDVISASFGANVPLRPEVDPNDSLHFGSFHAVAHGITVVAAGGNEGPAAQTVSGADPWILTVAATTPDRDFPTPITLGNGQTLMGQSLFTGKDTGVVNLFYPTDPNSVLFCDDITPENISPNDTHFFAGKVVFCFTGKHGLDLDVAGIVAQARAVMRDAGALGIIVSQKPFTLLDAYDDDFPSVQVDYEVGTKILLYIRSTRNPKVRLSPSKTRIGKPLFSVIAKYSSRGPYTIAPAILKPDIAAPGTNILAAYVPENPAIKISTYKMISGTSMATPHVAGIVALLKAAHPDWSPAVIKSAIVTTAWTTDRSSGEPIFSEGETISKLADAFDYGGGIINPNRANKPGLVYDMSTTDYVHCLCAMGYSSKAISIIAGQTISCHKGFSILDVNFPSITIPDLKHPVTLTRTVTNVGPENSTYKVMVEPPNGISVVVKPSTLNFNPNVKKISFNVTISTCHRLNTGYYFGSLIWNDGVHNVRIPISVKTEY >itb12g06060.t1 pep chromosome:ASM357664v1:12:4520158:4521932:-1 gene:itb12g06060 transcript:itb12g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKAEAEDFTLQRQITTNAADGSAAAAAALGEEEPNLYAFEYDSLSPPTPTLSASFDDFNFAPNSPSHSHNSSDSLPNSLFYDDEDQMNFVTDLFDSRDDGAPNDVARVYTGGSNGVSSSEELELGLGLGLGFGSDFDPAIIPFESHSFPSTDGLRIVGMGSESDTEDSEVNSGVSYRNGDVPGLLNCLRFDNRRDLNEEFEWEEVNERVVERDNLSSMIDRIEEISVSSEISSSEGENSVSGDGGEEDGQRGLEWEVLLAMNDLERHLEFENDESIGDGISYLAVNDDQAYATDYDYDALFGQLLEIEGALKGSPPASKSVVESLPCVVFTDEEVSKNNVSCAVCKDDILVGEKVNRLPCAHHYHGDCIVPWLSIRNTCPVCRYELPTDDADYEKNKSEMPTGGWSWLYQ >itb01g12310.t1 pep chromosome:ASM357664v1:1:11806512:11810857:1 gene:itb01g12310 transcript:itb01g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATAAFFPVGNLLPESGAQTSGKLGGTAPVSLNARGIKPKSSTSRNLQVKASAQAPPKVNGTKIGVMEGHKTDDEVTSSSHPRTFINQLPDWSMLLAAITTVFLAAEKQWMMLDWKPKRSNELIDPFGLGRIMQEGLIFRQNFNIRSYEIGADKTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMCKKNLIWVVSKMQVLVDRYPTWGDVVQVDTWVAASGKNGMRRDWLLRDSSTGDTLMRASSLWVMMNKETRRLAKMPDEVRAEIGSYFVDTPPIIDDDSRKLPKLDDSTAQYIRSGLTPRWSDLDVNQHVNNVKYIGWILESAPLPILESHELAGMTLEYRRECRRDSVVQSLTSVVGNDVGDLATSGSVECQHLLRLESGAEIVKGRTEWRPKSPNSFGEIDQLPAESA >itb11g09300.t1 pep chromosome:ASM357664v1:11:6188680:6190579:-1 gene:itb11g09300 transcript:itb11g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESCGGSITRLIPGSITVFGRGAGVKDNDRAVSRRQLLFQLNHEGKDPRVRFEVAGKNPVWVHGSTSGGVKAYRSSERGEMESGDMFCVSAKNPVWFTLKRIDFDAEGETDMVRDSLIGSELAGSPGSGHGQRGIDELELDSINLSDIDPVKEFGLVVMGREFDGYPKKMIRDIKNWDWFIEEFRDESDCDEGRGRKRKKVGKNDDEEWTGESEEEKETITKSRKSQRPKYMTRSNDCGKPSKGVGKHKLSAQRKNTSNEDSEDDETLGGFIVEDDEMEEDKDVGDEEEEEEEEEEEFDEVEDEDDLED >itb13g19830.t1 pep chromosome:ASM357664v1:13:26750323:26751040:-1 gene:itb13g19830 transcript:itb13g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEKQRDELGSKVESRVHQAEVNRMLLLKARWQRRVAKKERTAQLLRKRIIRERKYKESIQAAIYRKRAAAEKKRLGFLEAERSRARARVLQARQVAHRVYSRREIERTKLKDQLAVRLRKAQLLRAEYLKHRRNMHSPDQACSRITDHRKLLFNKLAR >itb06g21020.t1 pep chromosome:ASM357664v1:6:23772087:23777637:1 gene:itb06g21020 transcript:itb06g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPSPMPLRALGPGCSEKDIVLHLDKLMCGSRAPDTVLDYVNPYQNRPQHLPPDVWYLVNSTGPKETDDGFWTVKGDACEIFENPVIKGWRTNLEFFEGRAPYGLKTEWGMQEYSITRKGEHKDFQKQSRVLCRVIKASGSFSNLVPSVVPNATSTSGRSSSMESQTGSNTISTRLPEVHRTESDYVSTDDFMELNDLEGDIRQSPETYRVEHPSDQDVLYDGFLELADLDKDISESPVVEKPESPLGVDVADTISRGDYLELNDLGEFASHSSSSANSSHRKSDEFFALLNYLDDEKNHDPQAKGSGFHCNYMGSGRPNEVILPPATSELMITGTGTNEPEVQTRPTSSTKAKSSDKVLKDPNVKRPKPESGNEDSSTASSSRHRKKKFKNHLHSQTIRHPQIWFETPSLAPRRHPQF >itb06g21020.t4 pep chromosome:ASM357664v1:6:23771771:23775857:1 gene:itb06g21020 transcript:itb06g21020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPSPMPLRALGPGCSEKDIVLHLDKLMCGSRAPDTVLDYVNPYQNRPQHLPPDVWYLVNSTGPKETDDGFWTVKGDACEIFENPVIKGWRTNLEFFEGRAPYGLKTEWGMQEYSITRKGEHKDFQKQSRVLCRVIKASGSFSNLVPSVVPNATSTSGRSSSMESQTGSNTISTRLPEVHRTESDYVSTDDFMELNDLEGDIRQSPETYRVEHPSDQDVLYDGFLELADLDKDISESPVVEKPESPLGVDVADTISRGDYLELNDLGEFASHSSSSANSSHRKSDEFFALLNYLDDEKNHDPQAKGSGFHCNYMGSGRPNEVILPPATSELMITGTGTNEPEVQTRPTSSTKAKSSDKVLKDPNVKRPKPESGNEDSSTASSSRHRKKKFKKYFCFLPF >itb06g21020.t3 pep chromosome:ASM357664v1:6:23772020:23775862:1 gene:itb06g21020 transcript:itb06g21020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPSPMPLRALGPGCSEKDIVLHLDKLMCGSRAPDTVLDYVNPYQNRPQHLPPDVWYLVNSTGPKETDDGFWTVKGDACEIFENPVIKGWRTNLEFFEGRAPYGLKTEWGMQEYSITRKGEHKDFQKSRVLCRVIKASGSFSNLVPSVVPNATSTSGRSSSMESQTGSNTISTRLPEVHRTESDYVSTDDFMELNDLEGDIRQSPETYRVEHPSDQDVLYDGFLELADLDKDISESPVVEKPESPLGVDVADTISRGDYLELNDLGEFASHSSSSANSSHRKSDEFFALLNYLDDEKNHDPQAKGSGFHCNYMGSGRPNEVILPPATSELMITGTGTNEPEVQTRPTSSTKAKSSDKVLKDPNVKRPKPESGNEDSSTASSSRHRKKKFKKYFCFLPF >itb06g21020.t5 pep chromosome:ASM357664v1:6:23772087:23775859:1 gene:itb06g21020 transcript:itb06g21020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPSPMPLRALGPGCSEKDIVLHLDKLMCGSRAPDTVLDYVNPYQNRPQHLPPDVWYLVNSTGPKETDDGFWTVKGDACEIFENPVIKGWRTNLEFFEGRAPYGLKTEWGMQEYSITRKGEHKDFQKQSRVLCRVIKASGSFSNLVPSVVPNATSTSGRSSSMESQTGSNTISTRLPEVHRTESDYVSTDDFMELNDLEGDIRQSPETYRVEHPSDQDVLYDGFLELADLDKDISESPVVEKPESPLGVDVADTISRGDYLELNDLGEFASHSSSSANSSHRKSDEFFALLNYLDDEKNHDPQAKGSGFHCNYMGSGRPNEVILPPATSELMITGTGTNEPEVQTRPTSSTKAKSSDKVLKDPNVKRPKPESGNEDSSTASSSRHRKKKFKKYFCFLPF >itb06g21020.t2 pep chromosome:ASM357664v1:6:23772088:23778726:1 gene:itb06g21020 transcript:itb06g21020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPSPMPLRALGPGCSEKDIVLHLDKLMCGSRAPDTVLDYVNPYQNRPQHLPPDVWYLVNSTGPKETDDGFWTVKGDACEIFENPVIKGWRTNLEFFEGRAPYGLKTEWGMQEYSITRKGEHKDFQKQSRVLCRVIKASGSFSNLVPSVVPNATSTSGRSSSMESQTGSNTISTRLPEVHRTESDYVSTDDFMELNDLEGDIRQSPETYRVEHPSDQDVLYDGFLELADLDKDISESPVVEKPESPLGVDVADTISRGDYLELNDLGEFASHSSSSANSSHRKSDEFFALLNYLDDEKNHDPQAKGSGFHCNYMGSGRPNEVILPPATSELMITGTGTNEPEVQTRPTSSTKAKSSDKVLKDPNVKRPKPESGNEDSSTASSSRHRKKKFKNN >itb12g06640.t1 pep chromosome:ASM357664v1:12:4934508:4936734:-1 gene:itb12g06640 transcript:itb12g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQHHGATYDIVILGASGFTGKYVVREALKFLNVPSSPFNSLALVGRSPSKVAKALQWASHPNPPPQIPILTADTTDPISLRRVASQAKILLNCVGPFHLYGEPVVAACVDVGCDYLDITGEMEFVERMEASYYDKAAKKGSLIICGCGYDSVPAVLGMMFNSRQWVSPAAPNRVEAYLSMESDKRVVLNLGTYETMVLAMANKDKLQELRHCTPQRPQPMIPGPPPKESIVEHQKEIGLWGVAFPSSLDKIAVERMVSCLTENPEGIPGVNESAQQVKKREAFWSTVKPVHFGMNMASKSVLGVVRFITLALLVWVFGRFSTGRWLLMKFPAAFSLGLFRKNGPTEEEVANASFKLWFVGRGYSDAALASKEGKKPDMEIITRVTGPEIGYFATPIILIQCALVLLSQRHELPKGGVFLPGIIFGPTDLQQRLQHNGISFEFISKKMLSNKI >itb08g04390.t1 pep chromosome:ASM357664v1:8:3484380:3488129:1 gene:itb08g04390 transcript:itb08g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIKASPSKALVVRINLVFFGLFVIVYATLLFRNFPSVALNCPPKTEDGLRRRAVLGELREEGSTAERRRRAAAMKREKPSFLNEIAAGGSGMKIGMVNMEDEDVGEWNLHGETIITVRYEKVSENLEWKRLFPEWIDEEEETAAGKPSCPEIPMPEMADYPYMDLIVAKLPCNGTGRNVFRLQIHLIAANLAAARGRRDWYEKTKVLLLSKCRPMVELFRCKELKKRENDWWYFEVDILKLSQKVSLPIGSCNLALPLWGKGMNEVYDVSNLENTAMVAKKEAYATVLHSSQNYVCGAITLAQSLLRTGTKRDLILLLDSTIPETKREALAKAGWKLQFIKRIRNPRAEKKSYNEYNYSKLRLWQLTDYDKVIFVDSDVIVLRNIDFLFNFPEISAAGNDGSIFNSGVMVLEPSNCTFHLFMRRANDVVSYNGGDQGFLNEIFVWWHRLPRRVNFLKNFWSNSSAETTLKNRLFGSDPPELYAIHYLGVKPWLCYRDYDCNWDISDQRVYASDVAHRTWWKVHDAMDERLQKFCGLPDSRKIRLEKERKKARLMGFQDQHWKINVTDPRRFN >itb02g02420.t1 pep chromosome:ASM357664v1:2:1386690:1392450:-1 gene:itb02g02420 transcript:itb02g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLTRVHSLRERLDATLTAHRNEILLFMSKIESHGKGILKPHQLLAEFEAICKEDQEKLNDHAFQQVLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVHALAVEELTVPEYLQFKEELVDGPANGNFVLELDFEPFTASFPKPTLTKSIGNGVEFLNRHLSAKMFHDKESLTPLLDFLRVHQYKGKTMMLNDRIQNLNTLQSVLRKAEEYLTTLLPETPYADFEHKFQEIGLERGWGDTAEHVLEMICMMLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDVKPRILIITRLLPDAVGTTCGQRLEKVYGAEHSHILRVPFRTDKGMVRKWISRFEVWPYMETFIEDVATEITAELQAKPDLIIGNYSEGNLAASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMNLYFPYSEKEKRLTSLHPEIEDLLYSDVENEEHLCVLKDRSKPILFTMARLDRVKNLTGLVEFYAKSPKLRELVNLVVVGGDRRKESKDIEEQAEMKKMYELIKTYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATNHGGPAEIIVHGKSGFHIDPYHGEQAAELLVDFFEKCKKDPSHWETISAGGLKRIQEKYTWQIYSDRLLTLAGVYGFWKYVSKLDRLEIRRYLEMFYALKYRKLAEAVPLAVE >itb02g02420.t2 pep chromosome:ASM357664v1:2:1387028:1391549:-1 gene:itb02g02420 transcript:itb02g02420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLTRVHSLRERLDATLTAHRNEILLFMSKIESHGKGILKPHQLLAEFEAICKEDQEKLNDHAFQQVLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVHALAVEELTVPEYLQFKEELVDGPANGNFVLELDFEPFTASFPKPTLTKSIGNGVEFLNRHLSAKMFHDKESLTPLLDFLRVHQYKGKTMMLNDRIQNLNTLQSVLRKAEEYLTTLLPETPYADFEHKFQEIGLERGWGDTAEHVLEMICMMLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDVKPRILIITRLLPDAVGTTCGQRLEKVYGAEHSHILRVPFRTDKGMVRKWISRFEVWPYMETFIEDVATEITAELQAKPDLIIGNYSEGNLAASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMNLYFPYSEKEKRLTSLHPEIEDLLYSDVENEEHLCVLKDRSKPILFTMARLDRVKNLTGLVEFYAKSPKLRELVNLVVVGGDRRKESKDIEEQAEMKKMYELIKTYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATNHGGPAEIIVHGKSGFHIDPYHGEQAAELLVDFFEKCKKDPSHWETISAGGLKRIQEKYTWQIYSDRLLTLAGVYGFWKYVSKLDRLEIRRYLEMFYALKYRKLAEAVPLAVE >itb02g05690.t3 pep chromosome:ASM357664v1:2:3514311:3516982:1 gene:itb02g05690 transcript:itb02g05690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTRLKSIMGLSTYPTPTDAGVLCVILVNTAISISIVKEIVRSILHVIGIRIAFWEEYNVEGPLDAFECRGAPSESYMEEFRSRTPAVPYDSVCCISKHLEQECPVCLAEFAPDAMVNQLSCGHVFHAMCLEKWLKYWNVTCPLCRNYIMPLDDDGDTAPM >itb02g05690.t1 pep chromosome:ASM357664v1:2:3514311:3516982:1 gene:itb02g05690 transcript:itb02g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTYPTPTDAGVLCVILVNTAISISIVKEIVRSILHVIGIRIAFWEEYNVEGPLDAFECRGAPSESYMEEFRSRTPAVPYDSVCCISKHLEQECPVCLAEFAPDAMVNQLSCGHVFHAMCLEKWLKYWNVTCPLCRNYIMPLDDDGDTAPM >itb02g05690.t4 pep chromosome:ASM357664v1:2:3514311:3516982:1 gene:itb02g05690 transcript:itb02g05690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTYPTPTDAGVLCVILVNTAISISIVKEIVRSILHVIGIRIAFWEEYNVEGPLDAFECRGAPSESYMEEFRSRTPAVPYDSVCCISKHLEQECPVCLAEFAPDAMVNQLSCGHVFHAMCLEKWLKYWNVTCPLCRNYIMPLDDDGDTAPM >itb02g05690.t2 pep chromosome:ASM357664v1:2:3514311:3516982:1 gene:itb02g05690 transcript:itb02g05690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTRLKSIMGLSTYPTPTDAGVLCVILVNTAISISIVKEIVRSILHVIGIRIAFWEEYNVEGPLDAFECRGAPSESYMEEFRSRTPAVPYDSVCCISKHLEQECPVCLAEFAPDAMVNQLSCGHVFHAMCLEKWLKYWNVTCPLCRNYIMPLDDDGDTAPM >itb03g24630.t1 pep chromosome:ASM357664v1:3:23390910:23391949:-1 gene:itb03g24630 transcript:itb03g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQNLTSDNPFSSFIRFISIISDLEFSSPAQLLSISICPHRPTIQPSTHPPSLSPPKCFQRVCRNEISSATSQPSPGTERDLHLFTLLRRQLLYYQTRPIPNVSKSGSSYLLAEMLN >itb03g08380.t1 pep chromosome:ASM357664v1:3:6266468:6270192:1 gene:itb03g08380 transcript:itb03g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MMMEGRGRFGSVKAAIDMYGERIVEGNTALEKSHINSSEIRKLHMARRDAGRFSESRRVAESVKSQAETELSDAKKTVKDLSTRIEELNSRLKLRAADMEKLKKAKTPRGVSFGKTDYNGYAKVMKELGDAKREMNKLKQDMAAASEEKRRAVEEVSGSGSKMQSCSSTIEGLQREIEEINEEIVVVELARIEAIKECRAIEAQRAEEAKKHSALIEKMREKINGLIQQTDRAEKLETELAAANLDARILESELKQVREMDKSIQRNAKPNLEEKESAELESINKELEAAKRALASVKEESFKFMTSMDDTRNELKRISEEKAQCMKKYEKGDVTIQNLSAKLLRAKAKLEAASASEEKANSIASNLSLTLEQLKAGVEAAKNERVAAMEETAKVKEEIQKTNSETDLAEDKLQAAIQELKNVKSSEGIALENLRAVVEKTIRNRASKKSSKIIISKFEYEYLTGRAAMAEEIADKKVAAAEAWVKALEASEKEIIMRTEMIEKETRELKAEEERSLSATAMVERELHKWENSQRKSMNTSGKLTPGRRSKYRKSASPAFRLTRRSTSFTVTGRRKVLPKIAKFFGGRSSEGNM >itb03g08380.t2 pep chromosome:ASM357664v1:3:6266468:6269087:1 gene:itb03g08380 transcript:itb03g08380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MMMEGRGRFGSVKAAIDMYGERIVEGNTALEKSHINSSEIRKLHMARRDAGRFSESRRVAESVKSQAETELSDAKKTVKDLSTRIEELNSRLKLRAADMEKLKKAKTPRGVSFGKTDYNGYAKVMKELGDAKREMNKLKQDMAAASEEKRRAVEEVSGSGSKMQSCSSTIEGLQREIEEINEEIVVVELARIEAIKECRAIEAQRAEEAKKHSALIEKMREKINGLIQQTDRAEKLETELAAANLDARILESELKQVREMDKSIQRNAKPNLEEKESAELESINKELEAAKRALASVKEESFKFMTSMDDTRNELKRISEEKAQCMKKYEKGDVTIQNLSAKLLRAKAKLEAASASEEKANSIASNLSLTLEQLKAGVEAAKNERVAAMEETAKVKEEIQKTNSETDLAEDKLQAAIQELKNVKSSEGIALENLRAVVEKTIRNRASKKSSKIIISKFEYEYLTGRAAMAEEIADKKVAAAEAWVKALEASEKEIIMRTEMIEKETRELKAEEERSLSATAMVERELHKWENSQRKSMNTSGKLTPGRRSKYRKSASPAFRLTRRSTSFTVTGRRKVLPKIAKFFGGRSSEGNM >itb02g10650.t1 pep chromosome:ASM357664v1:2:6886137:6887324:-1 gene:itb02g10650 transcript:itb02g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSRPPRQESVLASPRNDGGGISSSAGNCSSSLPLLHHQIPTPPLTPKAISRSDASPYPTTFVQADTSTFKQVVQMLTGSSETAKNASKPGVSDPPPPANKGFGSIPPKKQGFKALYERRNSLKNGLMISPLIPNNSGYSPRKPEILSPSILDFPSLALSPVTPLNEDPFNKSSPSMGNSSSEEEKAIAEKKFYLHPSPRTSTPRDSDPPQLLPLFPLTSPRLSSSSSSS >itb12g22210.t1 pep chromosome:ASM357664v1:12:24344742:24345957:1 gene:itb12g22210 transcript:itb12g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINPHHQGNSFDSGSGGSSAAAAVLHPAAAPPPLSRYENQKRRDWNTFCQYLRNHRPPLSLPLCSGAHVLEFLRYLDQFGKTKVHNHNCPFFGMPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGKPESNPFGARAVRLFLRDVRDFQSKSRGVSYEKKRKRSAAAAATAAGKRNLAADQPPPASSTAAQFCGNPNNLE >itb12g12910.t1 pep chromosome:ASM357664v1:12:11615529:11616847:-1 gene:itb12g12910 transcript:itb12g12910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFNREHIGGSNQSKNQHECMTSTSSSTNGMSEFANGVNLGHRRIQLCVSASATLHRELAVPSLSRVVVGRICEWRHRLTALPSPSLTALWLLPSPSLFCCCEVRTLLLRSANSAAPKSQSGLCCSPASPFHTSTSLVLC >itb11g08940.t1 pep chromosome:ASM357664v1:11:5881314:5887088:-1 gene:itb11g08940 transcript:itb11g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAKREHEFLKEIGIGPRNLGCYVNGAWKASGPTVSTVNPANNEIIAEVVEASIEDYEEGMQACSEAAKLWMQIPAPKRGDIVRQIGDALRAKLHYFGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHAMLEMWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIIAGVLEKNNLPAAIFTAFCGGAEIGQAIAKDTRIPLVSFTGSSKVGLSVQQTVNQRFGKCLLELSGNNAIIVMDDAEVELVVRAVLFAAVGTAGQRCTTCRRLLLHESIYHKVVERLLDVYKQVKIGDPLEKGTLLGPLHTRASRENFEKGIQKIISEGGKILTGGSVIPSEGNFVQPTIVEISPSANVVKEELFGPVLYVMKFKTFKDAVEINNSVPQGLSSSIFTKKPEYIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >itb11g08940.t2 pep chromosome:ASM357664v1:11:5881314:5886913:-1 gene:itb11g08940 transcript:itb11g08940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAKREHEFLKEIGIGPRNLGCYVNGAWKASGPTVSTVNPANNEIIAEVVEASIEDYEEGMQACSEAAKLWMQIPAPKRGDIVRQIGDALRAKLHYFGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHAMLEMWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIIAGVLEKNNLPAAIFTAFCGGAEIGQAIAKDTRIPLVSFTGSSKVGLSVQQTVNQRFGKCLLELSGNNAIIVMDDAEVELVVRAVLFAAVGTAGQRCTTCRRLLLHESIYHKVVERLLDVYKQVKIGDPLEKGTLLGPLHTRASRENFEKGIQKIISEGGKILTGGSVIPSEGNFVQPTIVEISPSANVVKEELFGPVLYVMKFKTFKDAVEINNSVPQGLSSSIFTKKPEYIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >itb03g22630.t1 pep chromosome:ASM357664v1:3:20680321:20680614:-1 gene:itb03g22630 transcript:itb03g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKACRIEMASAGKVLCVSFIIILMMLAVTAINARDMTAVEWANNVVAPEVPAPQNKDECASRCKNMLCRSSVAKTRDCQTGCERRCHIFATMKLH >itb06g05460.t1 pep chromosome:ASM357664v1:6:8166486:8170532:-1 gene:itb06g05460 transcript:itb06g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLQCNILVHVLHSIIFPLIPYSVLGLSASASPLHRHGRRFITSPSSLTALAPLSSHPQTVRPHFIDLAISAATVRDSAVLWTSPQPVSPLQLCFTESLLQGWKSKTGNSEPSYFPNIVLESPKKVFEIEKPLKELLLSFPAQEINIGHLLHGNRYSNLSMLAETLSRGIQRCFKSKPVSQNLFPLSTFIRHSHCFPDRSFEDFPENCRELAHTDRTLDPESTSSGATALEIQTILKLQANKSITGIHSSISERSFALTEELVLDVLKRHRSDWKPAYAFFSWVLEGGNRTGYSPGSGVYNEMLNIMGKMRRFDELNQVLDVMSERGNLFSERTYGIVVNRYAAAHKIEEAIQFLYKRKELGLEIDLIAFQILLLSLCRYKHVEAAEFLYHNKKKEFRDEIKTRNIILNGWCVLGRLREAKRFWNDIVTSKCKPDKFTYGIFINSLCKAGKISTAVKLFQAMWEKGCKPDVAICNCIIDGLCFKKRIPEALEIFREMNERDCLPDTATYNSLIKHMCKIGRMEKVHELLGEMEETGKGCSPNARTYGYLIKSAKNPEEVSQILERMEKKGCKMIGDTCNLLLRLYMNWDLYERLKTTWDEMERCGLGPDQRSYTIMIHGLFEKGRLEDALQYFDEMTSKGMAPEPRTKLLVDAMNITLKDKGIGSEKVGTKNNAKKHTRN >itb09g12580.t1 pep chromosome:ASM357664v1:9:7958283:7963159:1 gene:itb09g12580 transcript:itb09g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMAAARTTARITGALTRLTGRSMPRSFLGGSGDGYLPEPYGISGSSFSTTAAAAEESPERMFGLLKDYEDYRRAMYGGLTHKALLVDAVGTLVIPSQPMAQIYRQIGEKYGVEYSEAEILNRYRRAYEQPWSRSRLRYVNDGRPFWQHIVSSSTGCSDSQYFEELYNYYTTNKAWHLCDPDAERVFQALRNAGVKLAIVSNFDTRLRPLLRDLNCDHWFDAVAVSAEVEAEKPNPMIFLKACELLGVNPEDAVHVGDDRRNDIWGGRDAGCDAWLWGSDVHSFKEVAHRIGVRV >itb12g20470.t1 pep chromosome:ASM357664v1:12:22943023:22949768:1 gene:itb12g20470 transcript:itb12g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTREEQRDFTNKDLFTIWSFDGKMTYESIIEATGNFDSSYCIGVGGHGSVFRAELPCGQIVAVKKFHTLGVQDDESWHDLRSFSNEISTLTNLRHRNIVNLYGFCAHNRHSFLIYEYLQGGSLAQILSDDEKALQVGWLERINVIKAVAKALSYMHHDCLPPIIHRDISSNNILFDSEHEAHVSDFGAARFLSLDSSNWTSIAGTMGYTAPASHFNTTKTHSLLLAKNLREANELEVASLLTWKSSLDLTSQKLLSSWVVGGSHCNWTGINCNVDGSITSLNLTGYGLRGTLRGLNFSSMSYLEIIDLSVNAFHGNISFIEEMSNLQNLTTLDLGSNQLLGHIPQEIGLLVSIVELGLYDNSLIGPIPTSIGNLKNLKWLYLGVNNLSNDIPQELGLLKSLVVCSLSSNALTGQIPPEIGNSNALTGQIPPEIGNLSMLVNLSLPEIGNLSMLVNLSLWANQLYGSIPKELGKLTSLQGLWLYSNNLSGQIPISLGNLQNLKFLSLYTNNLSGDIPQELGLLKSLVVCSLSSNALTGQIPPEIGNLSMLVNLSLWANQLYGSIPKELGKLTSLQGLWLYSNKLTGQIPSEIGNLSRLTHISLFMNQLHGSIPKELGKLKSLQEFELHFNNLNGKIPSEFDNITHLRVFNFGSNHLTGYLPENLCVGQSLKIITTDHNKFSGNIPKSLKNCTTLYRVRLDRNDFSGDVSKAFGIYPTLNYIDLSYNNFYGQLSSNWALCPNLTALKIAGNKILGNIPFDLGNAPVLQYLDLSSNQLVGRIPTSLGKLSKLYVLKLDNNKLTGNIPLEVGQLSLLSELNLASNKFVDSIPPQIGRCQRLITLNLSKNMLVGKIPPDMLSLKSLENLDLSHNMFSAQIPPQIGGLTNLQTLDLSHNNLSGSIPSSIAQCAALVSVDISYNHLEGPIPNNKAFLQAPYSALSNNKGLCGNHSGLKPCSSLSQSDGKNRNLVVIISVVLGSFFLLTVVIIIFVIFPRQKRNTMEEERDFTKDLFTIWSFDGKMTYESIIEATGNFDSSYCIGVGGHGSVFRAELPSGQIVAVKKFHTLGMQDDESWHDLRSFSNEISALTNLRHRNIVKLYGFCAHNRHSFLIYEYLQGGSLAQILSDDEKALQVGWLERINVVKAVAKALSYMHHDCLPPIIHRDISSNNIMFDSEHEAHVSDFGTARFLSLDSSNWTSIAGTMGYTAPEFAYTAEVNCKCDVYSFGVVTLEVIMGKHPGDLITCLSSSSFSAIDGMLFKDLLDPRLPTPKRNVTQQLVLVAKIAVSCMNSNPQYRPTMQQVSMLLSKERDFPNFSPDITLSQLFDLEFPNP >itb02g02280.t1 pep chromosome:ASM357664v1:2:1263932:1265104:-1 gene:itb02g02280 transcript:itb02g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPILFFLTSLHRLLAQNLKLNKPPSINWNILIRLLLESNRIETVSMVKPKRQTAEIINEPDSSLSCKYRGVRKRKWGKWVSEVRLPNSRERIWLGSFDSPEKAARAFDAALFCLRGESANFNFPDNPPDIVNGRSLTREQIQIAAVRFANSAAPITNSGSGRPENSNSSSLLNADAPSPASPSDGSGSVQTCSEMTETSLDSDFLDLCNIGMEDNVANFGIFPGFDDFSGEYYYVSPDIDDVAENYDGFSSQDSFLWSF >itb04g18850.t1 pep chromosome:ASM357664v1:4:22616134:22619722:1 gene:itb04g18850 transcript:itb04g18850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGSKGEQKQPPLKAIKRFSAIQVVLRLAVTALTLAAAWTVLTSKQTVSVFGIQMDARYSYSPAFKFLAVANIIGFGFSLLSVLVVFILDKMADNPKHYFYMFLHDLIVMALLLSGCASATAIGYVGKFGESQSGWMPVCDNVSKFCHKITVGLTLSYIAVIFYLILTVLSANQSRKSMFQN >itb03g28250.t1 pep chromosome:ASM357664v1:3:28987938:28991037:-1 gene:itb03g28250 transcript:itb03g28250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLPFLLFLSYVSAAVVAESPPAYNPPDLFFFNCGASSSKQPDDDGRTWESDDKFPKFMPAKAADISLVSKPEEQGSNVPQVPYTTGVRIFQSNFTYSFPLSAGPKFIRLYFFPSQFNAKGLTFNKTESYFSVTANEHTLLRNFSAYLTAAVTNYPFVNKEYIVNVDESQMLNITFAPSPNAYAFVNGIEIVSIPTGYYIRGDPAGANDNQIKWVPDNNNNYYYIYNNTAFETLYRLIVGGQTLLAANDTGMYRTWSSDDEYVIGYGYFTPHLDVNITYTELTPNYSAPKIVYTTSRTIANYSSAVNWTFAVDSGFLYLFRLYFCEFAIEIDGGNERVFSVEIANETAESHADVFLMAGGSKIPIFKDYLVKVRDNDGRRGKQNVSLAIRPNMATRPVWANALLNGLEIFKLNDTQGSLAAANPDFISQPVQSPPREKKKGGATVGAVVGGVCGGILVIAILGFLIFRQRRRRVANSAGSITKTTWDPLSVVPPSTHNTDGSGAPLPSDLCRSFSLEDLKSATGNFDEKFVVGKGGFGKVYRGFIDNGAIAVAIKRLNPESSQGIREFQTEIEMLSRLRHLHLVSLIGYCNDNGEMILVYDYMAKGTLRDHLYKSDNNPSLPWKKRLEISIGAAKGLHYLHTGAKWTIIHRDVKSTNILLDERWVAKVSDFGLSKVGPLGEAYTHVSTAVKGSVGYVDPEYYRRQQVTEKSDVYSFGVVLFEVLCARPAVIPTQTREKVNLAEWARQCCRKGTVDQMVDPRLRGEIAPESLNKYAEIACNCLKEQGIDRPAMSDVVWSLEFALQLQEAAEKRSQAAGVDGFPPGSPSFPLLGNGDSSTTTDNSEGVFSTSDEVAAMSTTSGSGTATTTTGIDRLQSHNVFSLLNHPEGR >itb05g22670.t4 pep chromosome:ASM357664v1:5:27994747:28000600:-1 gene:itb05g22670 transcript:itb05g22670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPITAIREIKILKKLQHENVIKLREIVTSQGPEGDEQGKLDNNKYKGSIYMVFEYMDHDLTGLSDRPGLRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDQNAHLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLFGKPVLPGKNEPEQLSKIFELCGTPDEINWPGVSQVPWYNKFKPARPAKRRVREVFRHFDRHALDLLDKMLTLDPSLRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEYQTKKKRQQQRQNEEMAKRQKLQHPHHSRLPPIQPGQAHAQHWGGGPNHPMNNNSQPALATGSSHHQYGKPRGHPGGQGRYPPGGNNPSSGYYQDRGGQGGGYGNAPYPPGSGVPNSGPRGVGSGYGVPPNYSQSGQYGGSAGGRGPNQMGNNRNQQYGWQQ >itb05g22670.t1 pep chromosome:ASM357664v1:5:27994315:28000703:-1 gene:itb05g22670 transcript:itb05g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPVWGSRSVDCFEKLEQIGEGTYGQVFMAKEKRTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLREIVTSQGPEGDEQGKLDNNKYKGSIYMVFEYMDHDLTGLSDRPGLRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDQNAHLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLFGKPVLPGKNEPEQLSKIFELCGTPDEINWPGVSQVPWYNKFKPARPAKRRVREVFRHFDRHALDLLDKMLTLDPSLRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEYQTKKKRQQQRQNEEMAKRQKLQHPHHSRLPPIQPGQAHAQHWGGGPNHPMNNNSQPALATGSSHHQYGKPRGHPGGQGRYPPGGNNPSSGYYQDRGGQGGGYGNAPYPPGSGVPNSGPRGVGSGYGVPPNYSQSGQYGGSAGGRGPNQMGNNRNQQYGWQQ >itb05g22670.t5 pep chromosome:ASM357664v1:5:27996298:28000703:-1 gene:itb05g22670 transcript:itb05g22670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPVWGSRSVDCFEKLEQIGEGTYGQVFMAKEKRTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLREIVTSQGPEGDEQGKLDNNKYKGSIYMVFEYMDHDLTGLSDRPGLRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDQNAHLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLFGKPVLPGKNEPEQLSKIFELCGTPDEINWPGVSQVPWYNKFKPARPAKRRVREVFRHFDRHALDLLDKMLTLDPSLVSLLVWQYETFLINLFYSQVLHIA >itb05g22670.t3 pep chromosome:ASM357664v1:5:27994747:28000703:-1 gene:itb05g22670 transcript:itb05g22670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPVWGSRSVDCFEKLEQIGEGTYGQVFMAKEKRTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLREIVTSQGPEGDEQGKLDNNKYKGSIYMVFEYMDHDLTGLSDRPGLRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDQNAHLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLFGKPVLPGKNEPEQLSKIFELCGTPDEINWPGVSQVPWYNKFKPARPAKRRVREVFRHFDRHALDLLDKMLTLDPSLRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEYQTKKKRQQQRQNEEMAKRQKLQHPHHSRLPPIQPGQAHAQHWGGGPNHPMNNNSQPALATGSSHHQYGKPRGHPGGQGRYPPGGNNPSSGYYQDRGGQGGGYGNAPYPPGSGVPNSGPRGVGSGYGVPPNYSQSGQYGGSAGGRGPNQMGNNRNQQYGWQQ >itb05g22670.t2 pep chromosome:ASM357664v1:5:27994333:28000600:-1 gene:itb05g22670 transcript:itb05g22670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPITAIREIKILKKLQHENVIKLREIVTSQGPEGDEQGKLDNNKYKGSIYMVFEYMDHDLTGLSDRPGLRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDQNAHLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLFGKPVLPGKNEPEQLSKIFELCGTPDEINWPGVSQVPWYNKFKPARPAKRRVREVFRHFDRHALDLLDKMLTLDPSLRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEYQTKKKRQQQRQNEEMAKRQKLQHPHHSRLPPIQPGQAHAQHWGGGPNHPMNNNSQPALATGSSHHQYGKPRGHPGGQGRYPPGGNNPSSGYYQDRGGQGGGYGNAPYPPGSGVPNSGPRGVGSGYGVPPNYSQSGQYGGSAGGRGPNQMGNNRNQQYGWQQ >itb04g20600.t1 pep chromosome:ASM357664v1:4:25466243:25471542:1 gene:itb04g20600 transcript:itb04g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSWLIWQFAAVVELANPDPIWMRIIWTPEEHCGNLANPLLLYTSRLLRFEISVFRQSDILTSRLTPLVFSVLVNLVFSVLVNLVFSVLVTAEGTMAGVIMKFFITSLFMWVVPVGILYGFNHNLFPGLSDLSPYSMTLLSGFLAVISVNVVIVFYICMAMREPSDKHEPDPKFLADAKASVRQDRQGTKSPRMAHKHTAMLAQVLLFCLLISEAFALQTCSSWNDTCLQDCIFQRADFSFSDMDHMFEECREENPQCLIEKPCGASAGAPNKQG >itb14g06330.t2 pep chromosome:ASM357664v1:14:5588872:5605331:-1 gene:itb14g06330 transcript:itb14g06330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTSGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEYAECSEGPSELPSKLNSTSIESSPVNTESSEQDAVEEERVGVPVKGGLYEVDLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWHRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDTSGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGDFRHVTASLAERHLSPYQLGTQRVLFIPCQWRKGLKLGGEAAVEKITLDGVRGFRTMLSATVHDVLYYMSPIYCQAIIDSVSNQLNRLYLKFIKRNPGYNGKVSIYGHSLGSVLSYDILCHQEILSSPFPMEWMYRGENEKKVSFPDKSEVSLDQNVTTIVDDESLDSDRSKNIVNMLGNDIMEVDQSCSGLVEECTEFLCHSVSPPALSDSDEAAINSVDIKQHDDRNDLGPSEQDMTYDSEIAECRDTGSDHTKIEGELESDKDKMIRSLREEIDLLTAKIRELDCWRGPEGKKGQETNTINQSEKDFSKESDSHKNYTPCIKYTKLAFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQEYWEEEKINEEMPACRQMFNIFHPFDPVAYRIEPLVCKEYVSKRPIIIPYHRGGKRLHIGFQEFTEGVASRSHAFVNHIHSARVKVLTFCESRNNNNEEEGTHEAQVRDDRSYGSIMMEKLTGSEDGRIDHVLQDKTFRHPYISAIGAHTNYWRDPDTALFILKHLYRDIPEDTHSPGGSRDGNSKHESDEGYWYGPNEEDDEETPLTFADSVFLKKFSRRARRILKH >itb14g06330.t1 pep chromosome:ASM357664v1:14:5588872:5605331:-1 gene:itb14g06330 transcript:itb14g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTSGSNVVEETSPDLLKNTPSNIRRLADEIEQCEGRQKYLAHTVSPSDGGDVRWYFCKVPLALNEPAAAVPHTEIVGHGDYFRFGMRDSLAIEALFLQREEELLSLWWKEYAECSEGPSELPSKLNSTSIESSPVNTESSEQDAVEEERVGVPVKGGLYEVDLAKRHCFPVYWNGENRRVLRGHWFACKGGLDWLPLREDVAEQLEYAYRCKVWHRRSFQPSGLYAARVDLQGSTLGLHALFTGEDDTWEAWLSVDTSGFSGVLRFGGNGVKLRRGYASSQSPKPTQDELRQKKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGDFRHVTASLAERHLSPYQLGTQRVLFIPCQWRKGLKLGGEAAVEKITLDGVRGFRTMLSATVHDVLYYMSPIYCQAIIDSVSNQLNRLYLKFIKRNPGYNGKVSIYGHSLGSVLSYDILCHQEILSSPFPMEWMYRGENEKKVSFPDKSEVSLDQNVTTIVDDESLDSDRSKNIVNMLGNDIMEVDQSCSGLVEECTEFLCHSVSPPALSDSDEAAINSVDIKQHDDRNDLGPSEQDMTYDSEIAECRDTGSDHTKIEGELESDKDKMIRSLREEIDLLTAKIRELDCWRGPEGKKGQETNTINQSEKDFSKESDSHKNYTPCIKYTKLAFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQEYWEEEKINEEMPACRQMFNIFHPFDPVAYRIEPLVCKEYVSKRPIIIPYHRGGKRLHIGFQEFTEGVASRSHAFVNHIHSARVKVLTFCESRNNNNEEEGTHEAQVRDDRSYGSIMMEKLTGSEDGRIDHVLQDKTFRHPYISAIGAHTNYWRDPDTALFILKHLYRDIPEDTHSPGGSRDGNSKHESDEGYWYGPNEEDDEETPLTFADSVFLKKFSRRARRILKH >itb05g04220.t1 pep chromosome:ASM357664v1:5:3695725:3702631:1 gene:itb05g04220 transcript:itb05g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEATTTSGFWFTIGTSTSGSTRLTVRVTGGTTVEQLAIDGGITGDPRASTITNHDLSPLGLRLTLRSRSIASRPPPPLSDHDLSPLGLRLHSQITIYRLSASASLSDHDLSPLGLRLHSQITIYRPSASASTLRSRSIASRPPPPLSDHDLSPLGLRLHSQIHSQITISRLSPSLLLPFDRRKREREPATETPQLLRSIRTPQWTLPLTGWQIQIHYQKRNYAFEMHSPSMDRLKDHILRRGIDLEGLKITYRDLQGKNTSIVCDEDLAHCFECLGAIRLYHIHLRLSRRTGRSHRTQQCKGKWTTARLQLFHPISGNWRQSPASSDNRCYSTISHPPKTIKTHRPQSSDAAMQGQVDNCPTATLSSHLRKLASISREQRQQEDSERGD >itb01g26440.t1 pep chromosome:ASM357664v1:1:31612921:31614727:-1 gene:itb01g26440 transcript:itb01g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAVDEFEGRVLEMMQGMEDLLKKQDRKIAVVLGQKFNMKRIEADKPVRLSRLHLRNPKLHLRNPILYLMNGKLEEINDELKKMLQINEEEAIDKVLEVEQKYNDESQTALGSHTNLSESQRAKWEACRQELEEMKVHVQKRESTVD >itb05g01650.t1 pep chromosome:ASM357664v1:5:1316980:1318389:-1 gene:itb05g01650 transcript:itb05g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGYGSSSVPDNTAVLPPPENMMSVFYIPTAFPPPENMMSGSTVFNIPTGLPPVDNIMPNLGWAAAVGNMDILGRGGLPMNVSTYSGFSTAWPPAENMPNLGWTATGNMDDGLGLGLGGPPMTVSTGNMDILGSPITVSTVENMANLNMPTAVLTECPPVAVTSEAGPSMMSEIEKAVSRSPYKGASQEGIMFAPDLQDQQSRLNLSGCITKPPNPSPSEDSTRFWVKVESKKDLFKFPLDLPTMDGLKTQVLKRLTHLEADGLKFMYQDEDGEMITIACEEDLHFCFQYFKSSFHKTKVRLSLVANDDR >itb02g15860.t2 pep chromosome:ASM357664v1:2:11558772:11568694:-1 gene:itb02g15860 transcript:itb02g15860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MYQLQAFTQLRNRATRTAITYLGSLGTTRPNHSWPYANEEVGTRASHSLPFATLNVEDISGSQPAEVHNLVQGNWIKSSSWNTLLDPLNGESFIKVAEVQEAELQPFLESMRKCPKHGLHNPFKAPERYLMLGDISAKAAHMLSLPEVSDFFTRLIQRVSPKSYQQALGEVIVTQKFLENFSGDQVRFLARSFGVPGNHLGQQSHGFRWPYGPLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHDCGLPIEDVDFLNSDGKTMNKLLVEGKPRMTLFTGSSRVAEKLAGDLKGRIKLEDAGFDWKILGPDVDEVDYIAWVCDQDAYACSGQKCSAQSILFMHENWSKSPLINLMSSLAARRKLDDLTVGPVLTFTTKAMLDHMNKLLQIPGSKLLFGGEALQNHSIPSIYGAIRPTAIFVPLEEILKDENYDLVTTEIFGPFQIVTEYKDNQLPMVLNALERMDANLTAAVVSNDQLFLQEVIGNSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVSPAWKLPQAT >itb02g15860.t1 pep chromosome:ASM357664v1:2:11560433:11568969:-1 gene:itb02g15860 transcript:itb02g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MYQLQAFTQLRNRATRTAITYLGSLGTTRPNHSWPYANEEVGTRASHSLPFATLNVEDISGSQPAEVHNLVQGNWIKSSSWNTLLDPLNGESFIKVAEVQEAELQPFLESMRKCPKHGLHNPFKAPERYLMLGDISAKAAHMLSLPEVSDFFTRLIQRVSPKSYQQALGEVIVTQKFLENFSGDQVRFLARSFGVPGNHLGQQSHGFRWPYGPVAIVTPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHDCGLPIEDVDFLNSDGKTMNKLLVEGKPRMTLFTGSSRVAEKLAGDLKGRIKLEDAGFDWKILGPDVDEVDYIAWVCDQDAYACSGQKCSAQSILFMHENWSKSPLINLMSSLAARRKLDDLTVGPVLTFTTKAMLDHMNKLLQIPGSKLLFGGEALQNHSIPSIYGAIRPTAIFVPLEEILKDENYDLVTTEIFGPFQIVTEYKDNQLPMVLNALERMDANLTAAVVSNDQLFLQEVIGNSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVSPAWKLPQAT >itb07g16950.t1 pep chromosome:ASM357664v1:7:20883568:20889442:-1 gene:itb07g16950 transcript:itb07g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRPAEGGVVEGSSACVDCNRVVKAHRTGCFISCTNKEKTSGFSGAANTASNTSGNKSVDEPLLAEMAFGNGNSRDIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLILAGVKSVMLHDEGTVDLWDLSSNFIFSESDVGKNRALASLQKLQELNNAVTVSTLTTKLTKGKLSEFQAVVFTDISLKDAVEFDDYCHFHQPPIAFIKAEVRGLFGSVFCDFGPQFTVSDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEIQGMTELNDAKPRKIISARPYSFTLDEDTMKFGMYERGGMVTQVKQPKVLNFKPLREALKDPGDFLLSDFSKFDHPPLLHLAFQALDKFRSEMGRFPIAGSEGDAQKIIFIANDMNESFGNAKIEDINPKLLRHFSFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEALEPSDFSPLNSRYDAQISVFGHKLQKKLEEATMFLVGSGALGCEFLKNLALMGVACNSQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTIAAAAATSINPHFLVEALQNRVGPETENVFDDTFWENLNVVINALDNVNARLYVDQRCVYFQKPLLESGTLGTKCNTQMVIPHLTENYGASRDPPEKQAPMCTLHSFPHNIDHCLTWARSEFEGLLEKTPSEVNAYLSSPGEYTSAQINAGDAQARDKLEHVLECIDRDRCETFRDAVAWARLKFEDYFANRIKQLIFTFPEDAITSSGAPFWSAPKRFPHPLQFSSKDPSHLHFIMAASILRAETFGIPIPEWATHPKKLAEAVDSVVVPEFQPKKDAKIVTDEKATNLSIASIDNAAVINELIMKLEQCRKRLPPNFRMKPIQFEKDNNTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLDGGHKLEHYRNTFANLALPLFSMAEPVPPKVIKHRDMSWTVWDRWVVKDNPTLKELIQWLADKGLNAYSISCGNSLLFNSMFPRHKERMNKKIVDLARDIAKVELPPYRRHVDVVVACEDDEENDVDIPLVSVYFR >itb04g09380.t1 pep chromosome:ASM357664v1:4:8693373:8695794:-1 gene:itb04g09380 transcript:itb04g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDNELNLGKNKLVEGMESQKDVLNGEKEDEDESKSLLPSKRGGLLKKPVNPKLKVQWNDRNGDKLAEILEFQPSETSDSEEEESDSCMCRVM >itb10g02760.t1 pep chromosome:ASM357664v1:10:2376718:2377673:-1 gene:itb10g02760 transcript:itb10g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNALRCCISCILPCGALDVIRIVHSNGRVEEISGTVKAAEIMKMHPKHVLKKPSSPSPADGDQARPACPKIIVVPPDAQLQRGKIYFLIPVAPPPNQPEKSRTRSAAARRRKKSSEVARKHGGGGGGASCKDIAAAVANNVVVSTSDQYLSEILSEKLSAPRDRRRGRVGVWRPNLQSISEFPCES >itb01g34110.t1 pep chromosome:ASM357664v1:1:36966135:36968728:1 gene:itb01g34110 transcript:itb01g34110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLQNLYTSILVISITFYVIFHYLSRKNKSQNQKSPPEAGGAWPILGHLHLFRGSKLPHVALGEMADKYGPAFTVRIGSHRVLVVSDWKLAKELSTVHDVHISSRPKFRAAKNMGYNYIMFGFSPYGPYWREIRKLTSLELLSHRRLEQLKHIRVSEIDTSIKELYKLWSEKNKNSEHSGRVLVEMKKWFADLTLNVVLQMLAGKRYFGTATVSDENEGRRCQRVLRDVVKFLGAFVPADALPFLGWFDIGGIEKSMKEVAKEMDSLMDEWIQEHRLKKEGTGEEKDFIGGMLSRIEGIDLNGCDTDTIIKSTCLNLIAGGADTIMVMLTWALSLMMNNPHVLKKAQEELDMVVGKERKVNESDVTNLVYLQCIIKETLRLYPSTPLGGPRIFTEDCKVSKFDVPKGTWLLFNLWKLQRDPQLWSNPLEFNPERFINRHKDVDVLGQDFELISFGVGRRICPGITLSLQILPLVLANLLHSFELSNVSNGVIDMTETTGTSNFKAAPLEILIAPRPSIDLY >itb15g22760.t1 pep chromosome:ASM357664v1:15:25518600:25520266:1 gene:itb15g22760 transcript:itb15g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRVILQRISTQCTPATSFSTDAGVVFRRVRSITSGAAAGNANGGGGGASKDDYFATIHHISNIVRRDIYLERTLNKMRISTIVNSELVYRVLRSCSQHGIESFRFFNWARTQHPQYDPTTVEFEELLKTLARTAHWETMWKVIQQMKAQNVEVSPSMASFIIEQYGKHGLIDQAVELFNRLNNLNCLQTTEVYNSLLFALCEVKNFQGAYALIRRMIRKGTKPDKRTYSVLVNGWCSAGKMREAQRFLEEMSQKGFNPPVRGRDLLIDGLLSAGYLESAKGLVRKMTKEGFIPDVETFNSLAEAVCKSGEADFCIDLFHDVCRLGLCPDVETYKITIAAASKLGRMDDAFRILHRCIEDGNRPFPSLYAPILKALCRRGQFDDAFSFFSDVKVKVKGHPPNRPVYTMLVKMCCRGGRFVEAANYLVEMTEVNLLPMSQSFDMVTDGLKHCGKHDLAKRIEQLEISIRGV >itb10g24780.t1 pep chromosome:ASM357664v1:10:28416263:28416556:-1 gene:itb10g24780 transcript:itb10g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGATRITTTQAPNIAACDLSCFKAAALSGFRMNSPGNIASASSPSSFAVSRRRREIDSDSKLLVRDDGQGLVLMLGPIGFGQNHRGPMSSIQKAQL >itb09g04350.t2 pep chromosome:ASM357664v1:9:2425272:2433223:1 gene:itb09g04350 transcript:itb09g04350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDTAASSSSQEDEDFSLGKLSKFILPPLGSSQPTQTASQGLVISPMDSRYRCWETWMVLLVAYSAWVCPFEISFLESKLDTKLYVVDNVVDLFFAVDIVLTFFVAYIDPTTQLLVHDRKQIATRYLSTWFLMDVASTIPFEFVAFLFTGKHHLGVCYSVLGMLRFWRLRRVSNFFTRLEKDIRFSYFWVRCARLLFVTLLLVHCAGCLYYFLADRYPHQGKNWLGSLNVREESLRIRYISAMYWSMTTMTTVGYGDLHAVNTLEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIQAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQQPLIEQLPKTICKSICQHLFLPVVEKVYLFKGVSREILLLLVADMKAEYIPPREDVIMQNESPDDVYIIVSGEVEMIDNEMENEQVVWTLNSGDMFGEVGALCCRPQSFTYRTKTLSQLLKLKTTSLLEALQMKREDNITMIKNFLQHHKKLKDLKLGDLFIEIGDEDGDPNMSINLFTVVATGNATFLNELLKAGMDPNIGDTKGKTPLHFAASKGHEECVMVLLKHGCNIHLKDMEGNTALWDAIAAKEHSIFSILYHWASISDPYVAGDLLCKAAKMNDSSIMQELLKHGLHVDSKDRHGSTAIQVAMEENQADMIKLLVMNGAEIDDAVKLNLASLDLTEVLQKRELGHRIAMPNTILDEAASKWAKEDQQNSNSIQQCSIRVSIYKGHPATRRETQCPEPGRLIKLPETIAELKSIAGTMQYLFITTFSIY >itb09g04350.t1 pep chromosome:ASM357664v1:9:2425268:2433351:1 gene:itb09g04350 transcript:itb09g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDTAASSSSQEDEDFSLGKLSKFILPPLGSSQPTQTASQGLVISPMDSRYRCWETWMVLLVAYSAWVCPFEISFLESKLDTKLYVVDNVVDLFFAVDIVLTFFVAYIDPTTQLLVHDRKQIATRYLSTWFLMDVASTIPFEFVAFLFTGKHHLGVCYSVLGMLRFWRLRRVSNFFTRLEKDIRFSYFWVRCARLLFVTLLLVHCAGCLYYFLADRYPHQGKNWLGSLNVREESLRIRYISAMYWSMTTMTTVGYGDLHAVNTLEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIQAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQQPLIEQLPKTICKSICQHLFLPVVEKVYLFKGVSREILLLLVADMKAEYIPPREDVIMQNESPDDVYIIVSGEVEMIDNEMENEQVVWTLNSGDMFGEVGALCCRPQSFTYRTKTLSQLLKLKTTSLLEALQMKREDNITMIKNFLQHHKKLKDLKLGDLFIEIGDEDGDPNMSINLFTVVATGNATFLNELLKAGMDPNIGDTKGKTPLHFAASKGHEECVMVLLKHGCNIHLKDMEGNTALWDAIAAKEHSIFSILYHWASISDPYVAGDLLCKAAKMNDSSIMQELLKHGLHVDSKDRHGSTAIQVAMEENQADMIKLLVMNGAEIDDAVKLNLASLDLTEVLQKRELGHRIAMPNTILDEAASKWAKEDQQNSNSIQQCSIRVSIYKGHPATRRETQCPEPGRLIKLPETIAELKSIAGEKLGFDATDAFLTDEEGAIIDSIDVIRDNDKLFIVADWNS >itb01g08460.t1 pep chromosome:ASM357664v1:1:6797885:6802092:-1 gene:itb01g08460 transcript:itb01g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDARQYDAKMTELLDTDGQEFFTSYDEVYESFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFIKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPANVADLL >itb14g17850.t1 pep chromosome:ASM357664v1:14:21032229:21033968:-1 gene:itb14g17850 transcript:itb14g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSARNLGQTKMAESVVDLNMIPVDDGEEEGIIIDPKTSSSSNNHSVDDQEDEITTTSNDGNGSSNTAAGSGGGVRQYVRSKVPRLRWTPDLHLCFVQAVQRLGGQERATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKVDDHGQVINERAGYHTGNANNLLQNLWRLPMFDRRIRSNFRYMNNSWSCSNANWMSARNNSIINGGFGMNKNTIFTGPECKRGHEALREPNNNGKPTQFINGVSNSPDIIKWSSFSPANAMKRNGAGGEKGVDLNLTLSMNLKREREQDEGNIRHCKRRCLDGGEEVDSSLSLSLSSSRSKFAF >itb01g29900.t1 pep chromosome:ASM357664v1:1:34000326:34002014:1 gene:itb01g29900 transcript:itb01g29900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRVFSEAHEFLHNPHFRNQKKLRTYQLELPEQSRDFFPSKNAATPSLNWSYHIRNCVSQGNFKEALWIYARNRGTRSIILGAVPIVLKACASLSMVIPGKALHAECVKSGVEFDVMLFDKVPDELRNVVTWTVMVDGYASCGEMDAAREVFEGMPRRNFYVWSSMVSGYFKKGDIKNAKAIFERMTSKNLVNWNSLISGYTQNGLCEEALEAFRTMQDEGFEPDEVTMASALSACAQLSLLDVGKEIHEMIVQKGVKANQFVLNGLVDMYAKCGDLSNARLIFEGMPHKNDATWNSMISGFAIHGQPEEAIELFRRMENSGVTPNEITFLSVLSACAHCGCVEEGMEIFYKMEKYGLMANIKHYGCLVDLLGRAGRLQEALDLIKGMPISPNATVFGSLLGACRIHGNMDMAECVLEEVEKLNSNNSRSCDDLHYVLLSNIYAASERWEKAQQTRLSLSNKGSQKMPGCSLVMLEGPY >itb13g24470.t1 pep chromosome:ASM357664v1:13:30146183:30148880:-1 gene:itb13g24470 transcript:itb13g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERWLLRMGNQVSSNVKHALLLENSKKLNSKKQVIGILSFEVASVMSRIIQLYSSLSDHEVHKLKNEILKCVGIRTLVSDDETRLLELALVEKLDDLGRISSVVSRLGKKCSIPALQGFEHVYGDVTSGAIDVKELGFLVKDMDGMVRKMERYVNSTASLYGEMVVLNELEVATRKFQHNHHEESRKAYEQKLAWQKQDVRHLKDVSLWNQDYDKIVELLARTLCTVYARISTVFGNRIVRKVLLSSVGSTQFEYGGGLHQAKSGQVDRECMPFDTTKRVMSKKNNCSHSGGHIGRRTVERRNASYHPQNGLQRSEPGFFCPDDFNFACGMGPGRLFMECISSSSALKDDDDDDDVGTNNGTSQRYCSVSSGMRMEQPNFPGCFSCSSSDVPFSGQGSMSNNARFGPRNKVTLYTCPRTVGGSALALHYANVIIAIEKLLCYPHLVGDEAKDDLYQLLPSSLRKTLKANLKSYMKGLAIYDAPLAHDWKQRLDEILQWLAPLAYNTIRWHSEHNVEQHEIVKKTNVLLLQTLYFGNLEKTEAAICELLLGLNYICRYEHQQNALLDYASSLDFEDCAEWQLQVRASLHN >itb13g24470.t2 pep chromosome:ASM357664v1:13:30147585:30148191:-1 gene:itb13g24470 transcript:itb13g24470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERWLLRMGNQVSSNVKHALLLENSKKLNSKKQVIGILSFEVASVMSRIIQLYSSLSDHEVHKLKNEILKCVGIRTLVSDDETRLLELALVEKLDDLGRISSVVSRLGKKCSIPALQGFEHVYGDVTSGAIDVKELGFLVKDMDGMWRRGSFSIITMRRVGKLMSKSWLGRNKM >itb01g33320.t1 pep chromosome:ASM357664v1:1:36476329:36478439:-1 gene:itb01g33320 transcript:itb01g33320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWLKITLAAVSTGVLVSLVILVVRKVLCRRRGRVEERQSEIMEDGPAGRSVSQLHHVSLHHLDRNGTKKTNYYVFRRGPSAKAVFSWADHPSLVTDAVENGWSQFGFTAYTPSPSVKSARSLLGACGTGDHGNQTAAAKITWEVSPGSADFMQKIQFRCNSDVLKRITSSRHNFTAMGSPVSSVIKTCLPLPGPPLGASPFPQEAYFEITVLPCDDQEESRRSQDDKIKLIPDDINAKTPKNPSGDGKENRNHVALSVGLSGCGSLPLKLPGSYPGSIGFNSNGSVCLDGMMLVNESEREEWGTAEKVLGCGYNPTQKKVFFTVDSHLIHEIHCKSEEFGNPLYPTLAANADIMVVVNLGQSAFKYAPANLQRTPNPCFIGPLASNSPAAIGYEDSKELFSMGRIDSQWLNRSATAARSNGHSVNNLKQIDFDLESEGELFEIVLDSSGKNPNTL >itb14g12540.t2 pep chromosome:ASM357664v1:14:14232279:14259798:1 gene:itb14g12540 transcript:itb14g12540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPNVELEAAKFLHKLIQESTDEPTKLATKLYVILQHMKSSGKENSMPYQVISRAMETVVKQNNLDIEALMSSRLPSNAGMQVGDSSSSQLAGSSQRAGITRDSKAALSGTEIVKPDAFSSSRPPSGHGIYQGSAANINAAKIHGVVPSASNSSQPVESGISSPLQFGSPSYDNHGLVAKMHQDRSSESFPASPSADLSAGKCTSGRPLEHEVGTSMLANVNNMNQGGMQSNALETSMLRSATIRDTGKLPVPQPPASGNPFKEHHLKQLRAQCLVFLAFRNGLMPKKVHLEFALGNAIPKEDGTRRDLVDQRGREHSVREQSNASEATRSFLTGREVERLASGPSSLGIPGDVNMSKEAENQNVMEDRGCQLSVSSEHGEDRRCLRKMRKIPEGETTVQEGTGSQASASRLLHPESNSLGATDHEDASANSHQLGMPNQASFVLNASKQMKPELNNWSGAGGQTETSRSLASAPAILHESGSLIKDATNQSQNPVDYNQGIRQADNTFPNFPVRQQWKSVSVVDSQFPSTVVRNAVPAAQELDEEEEDISLSTEKPPSPRHTTLEKWILDRQKRKLLSERKWALKQQKTEERISASSAKLKESVSSSEDISAKTKSVIELKKLQLLELQRRLRRDILNDFFKPITADMERLKGVKKTRIGRRSKQLERYEQKMKEERQKRIRERQKEFFSEVEVHRERLEDVFKMKRERWKGINRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLKEAKGNTGVVEEDEIAFDEEDETDQAKHYLESNEKYYMMAHSVKENIAGQPTCLVGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSIHKIVYSGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYVIIDEGHRIKNASCKLNADLKHYRSNHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFEGNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLIRCEASAYQKLLMKRVEENLGAIGTSKVRSVHNSVMELRNICNHPWLSQLHVEEVHEFIPKHYLPYVVRLCGKLEVLDRLLPKLKATDHRVLLFSTMTRLLDVMEEYLYWKQYKYLRLDGHTSGGERGALIEKFNDPNSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLNDDALNYLIARSESEIDVFESVDKRRQEEEMVTWKKLCSEKGAENSESVPALPSRLLTEEDLKSFYEAMKISDTPTVIPSTAVKRKGQYVGGLDTQHYGRGKRAREVRSYEEQWTEEEFEKMCLAESPESPVAKDEMSEKKLPTSSSSTMAIAEEQVLPSLQSSQQSEQTPVPIVQHSTEVTPPSKRGRGRPKRTVPVANVSPSPITLPATKESDEVITGSNIQNVSSCPVTLAPRPESMPGSSVEGVTGTIQQNTTCITPTSQPISLPAVPAVSQPNPPGHPTSGRGRGRGQGRGRKSQIGEAPRRRGKKQNTIIQSVPVCPGNPDTVTQTVNASSSSRTNDQDPVPHSTTEVETGKGQSSVSPAPPPSVSKEPTIVSALPVVAPSSTSKELNSVSPIPLALASTGKDENSVSPAPIIASSASKESSSHSAVPVISSSPAASNSRTGSERAPITPSPSLLGSVSSDNSSTMGVVGKQDSGNQLALTSGPAAPMPITNTGLHASAPTPAPKQGRGRGRKPQTGGEAPRRRGKRQDLATNVGSEVSANQILELNEPPHKKSRVSVGRKPTTRSNQETEASLQTNLLNPVTSRITEDHGSKEVEEQRAVKSDPSQTHDAKVNDDMSTRKELHSETQVLERETDENLRGEANKSESRNDISGNVSKVASESCSNAVQSAEIKHDSVLMKDGLPQQIESQNSFRVELGSSKSGTETDNSKINVGKKAIQTASILESTAVVGKPAKEKCEGHSGNKDDEKEEQRLATVVGPLASSQSNAEPATIHTHKTEVDTIAVVKKDVSSKPKSVETKLSKSRKIKKGTRTSLCVSANPSIEVNKVESLTETLVTASGSESIIPDDLDQKGDSELPVGKTSATNGALGLKPESIVCSSLQNKSSASKTPGEVDPVLGCNLDMLDGDKSDTSKIESDNHSANNSIDSKPEVTVDENNPDKVDRKELDPQDPVLEVAPISKPKGTDNLDGMTSSILPSSEADVLVSVSPQTELVIQSSVQSDVGQGMGSLTNDSLAQVCKVPETNPLADSSGIEKEQTPTMQTNELQSERQTTVAKSLSDKSDNDHDVQAPEIKSGVSEDGANPETNESQPSPTLHTSESHSEMQVTVAGCPVENSERDHTAEAQEIENNVDMESQPCQNLQSSACQSRPQTSVAESPIDKSDKDHCAQAQETQNDVCEDAMTQERNVSQIGTDDKENISNADDALLQLPVVSESETKSVVESFEVSLNPCNIEKNTSLAVQASESLEPARIEPQCESDKDLVAEIEESKSSDVANSNQVMKENIERIPNEVATSDDASQPCVMEISEHETEQQVVMEDMERVSNEGATTDNVTLEPPVESQNSQGSKMAEHEVISELSETQYNPASATSENEAEVHCSTENQSKINTDVILGDIKCVEPKSSSVDDHAVDEPESKKSDANKENQMKINTEVISGDIQGVEPKFSSVEGLAIDVPQPKFSSVEGLAIDVPQSQISNANEGISKPEVNEGEHATAVDASNNDSEIECISDDLVTVTSKGATSIGVVGAGEKSEVDEDTSYQLPSESKCSAAPWASEEDSEAQQIPENLEIVEVRVVTAAEKSENPCGEDSAVQVQENQRSDKTNDLSNSEISDPQQYIAAEASRTKQIAENVETESVRQATSVDSGFVAAAGNSENPCGVDVANEVQDNQISDGNKNVSKIEISEPSIAAGDSEIVFEMKQISESVATEAVREGTSVEVTAEEKSENSCQEDLAPKVQVEQDIDGNEHISDPEAVESSMSTVAEASEVKTEMKYTSEKLEAETAKETTSVDFAFIAAENSENQIGEDILVEVQVVNKNKDNLNPTEPEPCSATQASEVESKMKQILETVETETILDDTSVEDVTLVAAENSQMISENMGIETVRQSTSVDYAVVKEAGSFEDPCGEVLRNQVSDENKGTSNTGIAEPEPSTTFGASETHSEMKQIFEIVKTETIKEDTFVEVGTLAAEENSEMISHNTEKEIAGEASSVDDRALAGTEISENPSQKDFMVEVQENKKSDGKGHEPTTVASCNEVEIKQIPQNSEMVTAKAVTLLEAEAAEVPNENLEKIPEDSAIEVPEKFYDAIEDVSNLESNEDQNSPVAGTSVTKDDFIQMAVETSETVTFKETVSDISLATDLKGDISFEKPVDGSHGIHSIPCINDDPILESDVGDCSNAADEGLPSAVEGDDKDLSHQ >itb14g12540.t1 pep chromosome:ASM357664v1:14:14231491:14261321:1 gene:itb14g12540 transcript:itb14g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPNVELEAAKFLHKLIQESTDEPTKLATKLYVILQHMKSSGKENSMPYQVISRAMETVVKQNNLDIEALMSSRLPSNAGMQVGDSSSSQLAGSSQRAGITRDSKAALSGTEIVKPDAFSSSRPPSGHGIYQGSAANINAAKIHGVVPSASNSSQPVESGISSPLQFGSPSYDNHGLVAKMHQDRSSESFPASPSADLSAGKCTSGRPLEHEVGTSMLANVNNMNQGGMQSNALETSMLRSATIRDTGKLPVPQPPASGNPFKEHHLKQLRAQCLVFLAFRNGLMPKKVHLEFALGNAIPKEDGTRRDLVDQRGREHSVREQSNASEATRSFLTGREVERLASGPSSLGIPGDVNMSKEAENQNVMEDRGCQLSVSSEHGEDRRCLRKMRKIPEGETTVQEGTGSQASASRLLHPESNSLGATDHEDASANSHQLGMPNQASFVLNASKQMKPELNNWSGAGGQTETSRSLASAPAILHESGSLIKDATNQSQNPVDYNQGIRQADNTFPNFPVRQQWKSVSVVDSQFPSTVVRNAVPAQELDEEEEDISLSTEKPPSPRHTTLEKWILDRQKRKLLSERKWALKQQKTEERISASSAKLKESVSSSEDISAKTKSVIELKKLQLLELQRRLRRDILNDFFKPITADMERLKGVKKTRIGRRSKQLERYEQKMKEERQKRIRERQKEFFSEVEVHRERLEDVFKMKRERWKGINRYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLKEAKGNTGVVEEDEIAFDEEDETDQAKHYLESNEKYYMMAHSVKENIAGQPTCLVGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSIHKIVYSGPPEERRRLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYVIIDEGHRIKNASCKLNADLKHYRSNHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFEGNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLIRCEASAYQKLLMKRVEENLGAIGTSKVRSVHNSVMELRNICNHPWLSQLHVEEVHEFIPKHYLPYVVRLCGKLEVLDRLLPKLKATDHRVLLFSTMTRLLDVMEEYLYWKQYKYLRLDGHTSGGERGALIEKFNDPNSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRLETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLNDDALNYLIARSESEIDVFESVDKRRQEEEMVTWKKLCSEKGAENSESVPALPSRLLTEEDLKSFYEAMKISDTPTVIPSTAVKRKGQYVGGLDTQHYGRGKRAREVRSYEEQWTEEEFEKMCLAESPESPVAKDEMSEKKLPTSSSSTMAIAEEQVLPSLQSSQQSEQTPVPIVQHSTEVTPPSKRGRGRPKRTVPVANVSPSPITLPATKESDEVITGSNIQNVSSCPVTLAPRPESMPGSSVEGVTGTIQQNTTCITPTSQPISLPAVPAVSQPNPPGHPTSGRGRGRGQGRGRKSQIGEAPRRRGKKQNTIIQSVPVCPGNPDTVTQTVNASSSSRTNDQDPVPHSTTEVETGKGQSSVSPAPPPSVSKEPTIVSALPVVAPSSTSKELNSVSPIPLALASTGKDENSVSPAPIIASSASKESSSHSAVPVISSSPAASNSRTGSERAPITPSPSLLGSVSSDNSSTMGVVGKQDSGNQLALTSGPAAPMPITNTGLHASAPTPAPKQGRGRGRKPQTGGEAPRRRGKRQDLATNVGSEVSANQILELNEPPHKKSRVSVGRKPTTRSNQETEASLQTNLLNPVTSRITEDHGSKEVEEQRAVKSDPSQTHDAKVNDDMSTRKELHSETQVLERETDENLRGEANKSESRNDISGNVSKVASESCSNAVQSAEIKHDSVLMKDGLPQQIESQNSFRVELGSSKSGTETDNSKINVGKKAIQTASILESTAVVGKPAKEKCEGHSGNKDDEKEEQRLATVVGPLASSQSNAEPATIHTHKTEVDTIAVVKKDVSSKPKSVETKLSKSRKIKKGTRTSLCVSANPSIEVNKVESLTETLVTASGSESIIPDDLDQKGDSELPVGKTSATNGALGLKPESIVCSSLQNKSSASKTPGEVDPVLGCNLDMLDGDKSDTSKIESDNHSANNSIDSKPEVTVDENNPDKVDRKELDPQDPVLEVAPISKPKGTDNLDGMTSSILPSSEADVLVSVSPQTELVIQSSVQSDVGQGMGSLTNDSLAQVCKVPETNPLADSSGIEKEQTPTMQTNELQSERQTTVAKSLSDKSDNDHDVQAPEIKSGVSEDGANPETNESQPSPTLHTSESHSEMQVTVAGCPVENSERDHTAEAQEIENNVDMESQPCQNLQSSACQSRPQTSVAESPIDKSDKDHCAQAQETQNDVCEDAMTQERNVSQIGTDDKENISNADDALLQLPVVSESETKSVVESFEVSLNPCNIEKNTSLAVQASESLEPARIEPQCESDKDLVAEIEESKSSDVANSNQVMKENIERIPNEVATSDDASQPCVMEISEHETEQQVVMEDMERVSNEGATTDNVTLEPPVESQNSQGSKMAEHEVISELSETQYNPASATSENEAEVHCSTENQSKINTDVILGDIKCVEPKSSSVDDHAVDEPESKKSDANKENQMKINTEVISGDIQGVEPKFSSVEGLAIDVPQPKFSSVEGLAIDVPQSQISNANEGISKPEVNEGEHATAVDASNNDSEIECISDDLVTVTSKGATSIGVVGAGEKSEVDEDTSYQLPSESKCSAAPWASEEDSEAQQIPENLEIVEVRVVTAAEKSENPCGEDSAVQVQENQRSDKTNDLSNSEISDPQQYIAAEASRTKQIAENVETESVRQATSVDSGFVAAAGNSENPCGVDVANEVQDNQISDGNKNVSKIEISEPSIAAGDSEIVFEMKQISESVATEAVREGTSVEVTAEEKSENSCQEDLAPKVQVEQDIDGNEHISDPEAVESSMSTVAEASEVKTEMKYTSEKLEAETAKETTSVDFAFIAAENSENQIGEDILVEVQVVNKNKDNLNPTEPEPCSATQASEVESKMKQILETVETETILDDTSVEDVTLVAAENSQMISENMGIETVRQSTSVDYAVVKEAGSFEDPCGEVLRNQVSDENKGTSNTGIAEPEPSTTFGASETHSEMKQIFEIVKTETIKEDTFVEVGTLAAEENSEMISHNTEKEIAGEASSVDDRALAGTEISENPSQKDFMVEVQENKKSDGKGHEPTTVASCNEVEIKQIPQNSEMVTAKAVTLLEAEAAEVPNENLEKIPEDSAIEVPEKFYDAIEDVSNLESNEDQNSPVAGTSVTKDDFIQMAVETSETVTFKETVSDISLATDLKGDISFEKPVDGSHGIHSIPCINDDPILESDVGDCSNAADEGLPSAVEGDDKDLSHQ >itb06g04100.t1 pep chromosome:ASM357664v1:6:6654996:6657730:1 gene:itb06g04100 transcript:itb06g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEETKKDGAENKNEGGDKKGGGDAVPAAAPKAEKKEDGPPPVVLKLDLHCEGCAKKVRRSIRHVEGVEEVKADWESGKVTVKGNVDPKLLLERVAKKTKKQVVLVSPQPKPAAPAADKKSDDKPEKAEEKKPKEPQVSTVVMKIRLHCDGCAHKIKRIIKKFEGVEDVTVDSQKDLVTAKGTMDAKELTAYLSEKLKRSVEVVPPKKDDGGGAEKKEKDGGGEKKEKEGGGGEKKDKEGGGGEKKKEGGGDEKKADGGGEAAKAVAAEVVNKMEYAGFHPNTYYVTPMYNQSYHNQDYGLMMHHDPSSAQMGYAYAQPYPRVPPPPPPTYINAPPAHMFSDEDPNSCSVM >itb01g25350.t1 pep chromosome:ASM357664v1:1:30811212:30812778:1 gene:itb01g25350 transcript:itb01g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKFQSSALIKFLLVAQCVSVLCVAQDFDFFYFVQQWPGSYCDTKKSCCYPKSGKPDSDFGIHGLWPNYKDGNYPSNCDPNNPFDESKISDLVSRMQQDWPTLACPSGDGTEFWSHEWEKHGTCSESVLEQHSYFQTTLDLKNNTNLLQALQDAGINPDGNSYSLGSIKDAIKSAINYTPWIECNNDADGNSQLYQVYLCVDSSASGLIECPVFPHGKCASTIEFPSF >itb09g24290.t1 pep chromosome:ASM357664v1:9:23905642:23909092:1 gene:itb09g24290 transcript:itb09g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNDSQNLSGRDYDDQGDEETLSAFGGSSPSRSNEVFVVQPVEGRLANEPLAVRTKFAPTRVPSKKKSHRDQGISARPVGPSVSKPNLTSGKFLYLDPCGTYGTPVDVSTGDGARQRFGGDSRDDRKELAWGKTSKSLKVVFKKKSTASSGEQHASDRPPVSSRPSGSSVAPVQTRSGGKRPMGETEIETPKLAPATDHKRPRVTGEEVPFVDILNRGSVSVLEMFNVMFQYVPPPVNLFSETTDYLEEHLVRGLSQVAHSNVELFIRSWSTDIEREEELKMLREQVRSGYFNRSETSNGSSGSSRSELNHILIRSKSCRLGRDNSTDQNLKR >itb14g08770.t1 pep chromosome:ASM357664v1:14:8577550:8577920:1 gene:itb14g08770 transcript:itb14g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPRKHQVLISLSADDVSRRQYDEATNIIYRCMWTASHSGNPVKRLVFYFAEALKERIDTGKTFTAARYH >itb09g00200.t2 pep chromosome:ASM357664v1:9:242067:244227:-1 gene:itb09g00200 transcript:itb09g00200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGACGYGSMAIAFNGGHLAAAVPTLYKDGDGCGACFQMRCKDPNLCTKQGTTVIVTDLNTNNQTDFVISSRAFRAMATQGKDQDLLKLGIVDVDYKRVPCNYKTKNLAVFVEETSQKPNYLAIKFLYQGGQTEIVAVDVAQVGSSNWNFMSRNHGAIWDTSRVPSGALQFRFVVTAGYDGKWYWAKNVMPADWKNGVIYDAALQITDIAQEGCSPCDHDDWTS >itb09g00200.t1 pep chromosome:ASM357664v1:9:242067:244227:-1 gene:itb09g00200 transcript:itb09g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDRHLWLCFLVSSILISSASACDRCVHQTKVSFFSKASVLQSGACGYGSMAIAFNGGHLAAAVPTLYKDGDGCGACFQMRCKDPNLCTKQGTTVIVTDLNTNNQTDFVISSRAFRAMATQGKDQDLLKLGIVDVDYKRVPCNYKTKNLAVFVEETSQKPNYLAIKFLYQGGQTEIVAVDVAQVGSSNWNFMSRNHGAIWDTSRVPSGALQFRFVVTAGYDGKWYWAKNVMPADWKNGVIYDAALQITDIAQEGCSPCDHDDWTS >itb09g00200.t5 pep chromosome:ASM357664v1:9:242520:244227:-1 gene:itb09g00200 transcript:itb09g00200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDRHLWLCFLVSSILISSASACDRCVHQTKVSFFSKASVLQSGACGYGSMAIAFNGGHLAAAVPTLYKDGDGCGACFQMRCKDPNLCTKQGTTVIVTDLNTNNQTDFVISSRAFRAMATQGKDQDLLKLGIVDVDYKRYLFIQILY >itb09g00200.t6 pep chromosome:ASM357664v1:9:242113:244227:-1 gene:itb09g00200 transcript:itb09g00200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGACGYGSMAIAFNGGHLAAAVPTLYKDGDGCGACFQMRCKDPNLCTKQGTTVIVTDLNTNNQTDFVISSRAFRAMATQGKDQDLLKLGIVDVDYKRYLFIQILY >itb09g00200.t3 pep chromosome:ASM357664v1:9:242520:244198:-1 gene:itb09g00200 transcript:itb09g00200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDRHLWLCFLVSSILISSASACDRCVHQTKVSFFSKASVLQSGACGYGSMAIAFNGGHLAAAVPTLYKDGDGCGACFQMRCKDPNLCTKQGTTVIVTDLNTNNQTDFVISSRAFRAMATQGKDQDLLKLGIVDVDYKRVPCNYKTKNLAVFVEETSQKPNYLAIKFLYQGGQTEIVAVDVAQVL >itb09g00200.t4 pep chromosome:ASM357664v1:9:242113:244198:-1 gene:itb09g00200 transcript:itb09g00200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDRHLWLCFLVSSILISSASACDRCVHQTKVSFFSKASVLQSGACGYGSMAIAFNGGHLAAAVPTLYKDGDGCGACFQMRCKDPNLCTKQGTTVIVTDLNTNNQTDFVISSRAFRAMATQGKDQDLLKLGIVDVDYKRYLFIQILY >itb13g25790.t1 pep chromosome:ASM357664v1:13:31091102:31094477:1 gene:itb13g25790 transcript:itb13g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLNNFNPHIPLSSQELVDWIPVRYPESYEYNSRAIFNSAYGLYNLEVEHVLDYLMDDGICEATEYPYIAERNPPERRIITRGMVKYKCRRWKLCRFRFWRPQWEIYEGPREGEVLQNEEGEDVLQDGTYSHAVLVTGYGLVNNVPCLEIKNSWGTGWGNNGYGLVCFKAIPCGGDGLGCGAVDSGWRRASADLLTACGGGRQPVASGGRRVASGCC >itb04g15550.t1 pep chromosome:ASM357664v1:4:16751429:16753097:-1 gene:itb04g15550 transcript:itb04g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRVTRRHSRANATARRHSTAHAAAVSTPRLQHRRLMPRSPSSPIGVQLCRRPGTWREKLFRNSPISARTWRPIMSRSHKFRPSYMRLRASLLRARLKAECILAMTATAIATAKALHNVMLLISLLLISSKQPN >itb03g26100.t1 pep chromosome:ASM357664v1:3:25552251:25552931:-1 gene:itb03g26100 transcript:itb03g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKFSLNLLVDPKCHRVLFAEVENDFVDFLLSLLSMPLGTITNLLSSEAMIGSIGNIYHSIENIDGAYMLSNQSKIIVLKTRKDKISARNFYGCQTCRNVSNKYGERCSLYNWCGTLMTKEITYVGHVNVCARGYVQGLVTYMVTDDLSTTPMSATTGISVLKKFKASKFDALEERVVEFTILDVVRNSGFTLAFSRERLSQSSVMLCYSSSCCGSLTESLRRL >itb01g11000.t1 pep chromosome:ASM357664v1:1:9629893:9630351:1 gene:itb01g11000 transcript:itb01g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQLQETVQGRLSGNRVRPTVGTVQGRLRGTVQGRLQGTAQGRLRGTAQGWLRGTVQGGSGEPRKSNSGEPCKADSGESWKADLEEPCKADSGELWKADSREPCKADSGELWKADSGESCKADSGEPRKAGSGEPRKAGSGEPCKSDSGEP >itb12g23070.t1 pep chromosome:ASM357664v1:12:24946347:24948473:-1 gene:itb12g23070 transcript:itb12g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESSSVVSELEGTLLKDPSPFCYFMLVAFEASGIIRFALLLILWPAVRFLEMCGRGEAGMKVAIFVATAGVKMAEIEAVARAVLPKFYFDDVDLEAWGRFCLFRRRVVVSKMPRIMVERFAKEHLQADDVVGSELAVNRFGFATGFVKEDFGVSICRDVSRLFADDDDQPCLGMGRPQSSSSFLPLCKEELHPPFMSGKKNGGGEVIKPLPVIFHDGRLAKRPTPGTALLILIWIPIGILLAAIRIGLGLLLPIWIIPIIAPLLGGKVIVKGKPPQPAGSGNGVLFVCTHRTLMDPVVLSAVLQRKIPAVTYSISRLSEILSPIPTLRLTRSRDLDAVMITHELEKGDVVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNTRVGFFHATTARGWKGMDPIFFFMNPRPVYEVTFLNQLPAEATCSSGKSPYDVANYVQMILAATLGFECTNFTRKDKYRVLAGNDGTVFSGNSAAGYGVERLACAFKKVVGTFKPFIH >itb01g22470.t1 pep chromosome:ASM357664v1:1:28362605:28364567:1 gene:itb01g22470 transcript:itb01g22470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNEISHFSHPQHKLGFEYSELPFKCDGCKEAGIGSRYKCATCDYDLHMHCAIPTPSIAHPFYTKCSFQFLARPPGSVPRYCNACEKDISGFLYHCKLCGFDLHPCCAKLPMVLDDGEVKLYLYRKVSASCHRCGRKGRSWSYRSTCKKYNLHVACVKEMLVESWHEIYFGMHMRRGNNNNGKLETRIPSLKGTLQSYHRKSKGTKMKKCCEMAALALQFVISAVLGDPTSLIAAVVASLMSK >itb01g20700.t5 pep chromosome:ASM357664v1:1:26911276:26918714:1 gene:itb01g20700 transcript:itb01g20700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEAISSSGDPLLPPKSSSPPPTPTPAASSAGASSPTVPVNVGSTDWAVQGSKAGSVSRVGSQRIGTSLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFKPTDWFGKPKAAGSMACARRGWINVDADKIECESCGANLKFISSATWTPSEADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGNGISAVLFAQLLSSPPCKRLAGCKKC >itb01g20700.t6 pep chromosome:ASM357664v1:1:26911276:26917883:1 gene:itb01g20700 transcript:itb01g20700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MACARRGWINVDADKIECESCGANLKFISSATWTPSEADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb01g20700.t2 pep chromosome:ASM357664v1:1:26911276:26918714:1 gene:itb01g20700 transcript:itb01g20700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEAISSSGDPLLPPKSSSPPPTPTPAASSAGASSPTVPVNVGSTDWAVQGSKAGSVSRVGSQRIGTSLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFKPTDWFGKPKAAGSMACARRGWINVDADKIECESCGANLKFISSATWTPSEADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb01g20700.t4 pep chromosome:ASM357664v1:1:26911276:26918710:1 gene:itb01g20700 transcript:itb01g20700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MACARRGWINVDADKIECESCGANLKFISSATWTPSEADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb01g20700.t1 pep chromosome:ASM357664v1:1:26911276:26918712:1 gene:itb01g20700 transcript:itb01g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEAISSSGDPLLPPKSSSPPPTPTPAASSAGASSPTVPVNVGSTDWAVQGSKAGSVSRVGSQRIGTSLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFKPTDWFGKPKAAGSMACARRGWINVDADKIECESCGANLKFISSATWTPSEADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb01g20700.t3 pep chromosome:ASM357664v1:1:26911276:26917883:1 gene:itb01g20700 transcript:itb01g20700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEAISSSGDPLLPPKSSSPPPTPTPAASSAGASSPTVPVNVGSTDWAVQGSKAGSVSRVGSQRIGTSLSTSAGGSALGSSQPSCRPWERGDLLRRLSTFKPTDWFGKPKAAGSMACARRGWINVDADKIECESCGANLKFISSATWTPSEADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb01g20700.t8 pep chromosome:ASM357664v1:1:26914238:26917883:1 gene:itb01g20700 transcript:itb01g20700.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPFVLINRLSFIADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb01g20700.t7 pep chromosome:ASM357664v1:1:26914238:26918710:1 gene:itb01g20700 transcript:itb01g20700.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIPFVLINRLSFIADVAGEDFAKKLDEGHKVTCPWRGNSCAESLVQFPPTPPSALIGGYKDRADGLLQFPSLPIVAASAIEHMRVSRGSEIDSFLAQSQGFGGVEPFFRPEVMSGAENTREDAFFIYSRAQKLISLCGWEPRWLPNVQDCEEYSAQSARNGCSTSPTKDHGPPQELGRGKKVLSCSKKRDTVKNEVVCPMSKGESRSPLLDCSLCGATVRILDFLTVSRPACFAPNSIDIPETSKKMALTRGVSAASGISGWVATDGMGKEQTEDHDEAATDEGRSLSNNGVDLNLTMAGGLSCSQLRKNLGPDQFQDVHKRRDPMIGQPSSSEVGDRAASYESRGPSSNKRHLEERGSTADRPQLVVQQADSVEGTVIDRDGDEVNDDSQYSAGPSKRPRESDPLEIHHSSYGKNAAGAGPSLSLGIEVGTDGQRDDPFNQGHEQVIGFPATRDSTHVSSVIAMDTFHCADDDSMESVENYPGDVGDIEFPSTSGVKSTDPFEMSELNYSNQAQQSACPAAIRSAGEIGVSSTNDEEVLNADTATAHARDGLSFGISGGSIGMGASHEAEIHGMDASVHRADSVVGDVEPIAEITENEGQTGEFGPDPGLMGDYVPEEMDREDPNGDSQDLTSRSVGRADSGSKILGSAKAESIESAEKTSHDPSTPNNSAHPSLSCNAILLSVHEASKEEVTQAAQAANALATEDGGYVVESGFLVAKGTGPPNGESGYKEAVEFDPVNHHNPFCPWVNGNVAAAGCTSSSGSSSSAGALALCGWQLTLDALDSLSLGNVPIQTVESESAASLYKDDHRSAGRKLLARNSFSKSRGGN >itb06g12600.t1 pep chromosome:ASM357664v1:6:17251033:17252883:1 gene:itb06g12600 transcript:itb06g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYFRNGFVDYVLELFKDMIAVGSLRPNEYVLSTVLSSCSSSGLFDEGRQCHGYVVKSGLLSHQYVKNGLVSIYSISSDVEGAMRVLDSGSDNVTYNSFINGLLDGEYVSEALGILKRMLGDGLTWDNVTYVNAFGICARLKNLNFGLQVHGKLLKSSVEFDFFIGSAIMDMYGKCGEVFSARKIFDSLQTRNVVSWTTLMAAYLQSECFEEALKMFPQMDVQGVLPNEYTFAVLLNACASLAALGYGDSLHACVEKTGYKDHSIVGNGLINMYSKCGLIEVARTLFLNMVYRDTVTWNLIISGLSHHGFGEGAINMFKRMLASKEQPNYVTFIGVLSACGHLGQVDGGFYYLNHLMREMNIKPGLEHYTCVVGLLGKAGRLNEAENFMRSTSIVWDVVAWRTLLNACHVHRNYALGKRVAEFMLNMYPYDVGTYVLLSNMHARVKRWDGVARMRKLMRERNVKKEPGISWTEIKNNTHVFVSGDNMHPETVQVHEKVRELLNMIKPLGYIPNIASDLHDVEEEQKEDYLSYHSEKLAIAYALMKTPPHAPVRVIKNLHTCDDCHSAAKLIAMVTHRVIIIRDANVSIASMMDYVPVVITGDNSAPIHLIGIGLN >itb08g16210.t2 pep chromosome:ASM357664v1:8:18348093:18350396:1 gene:itb08g16210 transcript:itb08g16210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEALKYISYPAQVLAKSSKMIPVMLMGALVYGIRYTLPEYICTLLVAGGVSAFALAKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITVRYPKTSAWDIMLGMNLWGTIYNMIFMFGWSHASGYEAVQFCKQHPEAAWDILTYCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFVSIVVSSLLSGNPLSRKQWGSVAMVFSGLSYQIYLKWMRRQKLQKKRKST >itb08g16210.t1 pep chromosome:ASM357664v1:8:18347359:18350448:1 gene:itb08g16210 transcript:itb08g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHASGLRRVLVLAFCVAGIWSAYIYQGVLQETVSTKRFGPDKKRFEHLAFLNLAQNVVCLIWSFLMIKIWSNGSTGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGALVYGIRYTLPEYICTLLVAGGVSAFALAKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITVRYPKTSAWDIMLGMNLWGTIYNMIFMFGWSHASGYEAVQFCKQHPEAAWDILTYCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFVSIVVSSLLSGNPLSRKQWGSVAMVFSGLSYQIYLKWMRRQKLQKKRKST >itb04g24340.t1 pep chromosome:ASM357664v1:4:29037803:29040220:-1 gene:itb04g24340 transcript:itb04g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSGRGKPKATKSTSRSQKAGLQFPVGRIARFLKAGKYADRVGAGAPVYLAAVLEYLAAEARGLLWQLCLLVACVSHALGNEMLVCRVDAAIDFGAYLVTAVNGSLDCVLLAEAMACKEVLKWIKSWGVQSDSLNLIQVLVGIGVWYSVLI >itb06g25760.t1 pep chromosome:ASM357664v1:6:26667890:26670342:1 gene:itb06g25760 transcript:itb06g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTGSPKSTWQPVTTGDTTTSSYWLNWRVLLCCFWILMSMVFASILITKYEGPRGAKSGSRDRQQQDSPGPGMLYEDEVWRPCLKNVHPGWLLGYRIFAFLVLLLMLILNVTVDGGLIFYFYTQWTFTLITIYFGLGSLLSMYGCYQYHNRISSETHNERFDAEYGSGRPSIYAQHSSTSNAIKYSTAPQEPNRKVADFWGYVFQIIFQMNAGAVTLTDCVFWFVLVPYLTIKGYNLNFLIINMHTINVVFLLGDTALNCLRFPWFRIGYFFLWTAVYVIFQWAVHACIAIWWPYPFLDLSSPFSPLWYSSVALMHIPCYGIFVLVIKLKHHLLSKWFPQSYQCAR >itb04g16670.t1 pep chromosome:ASM357664v1:4:18399756:18401486:-1 gene:itb04g16670 transcript:itb04g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKAVFSEEPENSDSDSENPPSPSNSQSSPGAPDTNPNIPAIANAWTFGSGLLKTLASKSESVIQNYRRDLEEFSSGLKTETATIREAASRVVKDLPARLETGAAVAQESLETVGQAIGNIGSTVTDIIVHGKESILADDDSGSEVSDFNSRYYQGGDINLQNLKPYSRIDAAIRAIQCDAKTYCEEPEESEDYREWKLGFVFEEKLEEIGDLVEGNIVIAEIYSEIVPRRVDRETFWSRYFYKVYCVKRAEEARARLVERAISGEEELSWDVDDGEEENNKNGANSDIKKEIEESESKVRKLQVEVEQHTLERRKDDKIDTGEDEKGVSEGRFDDGESSGQKFEAKSEDKGCSEGKNGNSDFSVPSSHGEEDLGWDEIEDISSGDETKVAARVSPNKADLLKRLSMPDEEEDLTWDIEDDDEPIKS >itb01g18480.t1 pep chromosome:ASM357664v1:1:24034435:24034863:-1 gene:itb01g18480 transcript:itb01g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHELKGVGHCPSLNAWNLALSGSVKAGKTDAVWKLYEDMMGCGVAGDVDTIGYLIYAFCLDRNYSKGYALLQQLLEGGHVPSNIVFNRLMYESCKNKELFRMTALLFAMNAKNCSPDIYTYQEVIHGVRDCKNRDKLEVF >itb01g07850.t1 pep chromosome:ASM357664v1:1:6216860:6221194:1 gene:itb01g07850 transcript:itb01g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNERVQNLEKREREMESSASEVRSPLVLEAIPNRERWWRRAMDLEESKHQIVFALPMILTNASFYFIPLVSVMFSGHLGQLPLAASNLANSWAFVTGLSFMIGLSGALETLCGQGYGAKLYKMMGIYLQTSCIITFVFCVIVAIIWYFSDSILILLHQEPQIAHMAGQYLKFLIPGLFAYGFVQNLLRFLQTQTVVLPLVVCSMVPLVIHIGIAYVLVRLTSLGFKGAPLAASISLWIAFLMLSAYVMFSEKFKHTWTGFSFESFDHIYKTLKLALPSAAMVCLEYCAFEILVLLAGIMPNSEITTSLVAMCVNTEAIAYMIAYGLSAAASTRVSNELGAGNPNQAKHAMFVALKLCILLAIATALFLIFGHDAWASLFSNSSVVISNFSSMTYLLVTSIIFDFVQGILSGVARGCGWQHLAMCINLATFYFIGMPIAALFAFKLKLYVKGLWIGLICGLVCQACGLLLLSIFTKWSRLVLSTTNNNEKPLLA >itb09g15650.t1 pep chromosome:ASM357664v1:9:10916379:10917232:1 gene:itb09g15650 transcript:itb09g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP11 [Source:Projected from Arabidopsis thaliana (AT2G37000) UniProtKB/Swiss-Prot;Acc:Q9SJK7] MGSENVFSGFSVSDSQSPNALSPTSAPAQTPLYRLVGGSAAADNGEASRGRNAAVKDRHTKVNGRGRRVRMPALCAARIFQLTRELGHRTEGETIQWLLRQAEPAIIAATGTGTTPASAVTTSPSGAVIASGPPPSAMAPLAGMSRVLPLPIPSPSVVGASRYVAAAPTNRVHQLSVPCLGNGGGRYMQAAAAAAEMGLFSITRPCCRFDLIQPSSSAAQHDFSGNVPSFTSMLMQTSPTMNDVEGGHYEDVGKH >itb14g16170.t2 pep chromosome:ASM357664v1:14:19468651:19472284:-1 gene:itb14g16170 transcript:itb14g16170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQRIIFRFNVARFSRYPSSTSSSPASLPSRTFIFIHSRSLTFSTSLFRPPPMSQKQKRGGFREQRWQEKPSSNRIPSSSSKMEPAAAAAVEDVTSGINLLDIADKNVHSSVPLPQLQFGGIGTINKIPAHSQKVVWKQKSYGKVSGPAAVEVGNAPTNQASAEVQTNGVNKPINGQKNAALSNVFRGNLLENFTVGKSTFSQAQVRATFYPKFENEKSDQEIRTRMIEMVTRGLATVEVSLKHSGSLFMYSGHEGGAYAKNSFGNIYTAVGVFVLGRMFQEAWGPHASRKQEEFNEYLEVQN >itb14g16170.t1 pep chromosome:ASM357664v1:14:19466939:19472248:-1 gene:itb14g16170 transcript:itb14g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQRIIFRFNVARFSRYPSSTSSSPASLPSRTFIFIHSRSLTFSTSLFRPPPMSQKQKRGGFREQRWQEKPSSNRIPSSSSKMEPAAAAAVEDVTSGINLLDIADKNVHSSVPLPQLQFGGIGTINKIPAHSQKVVWKQKSYGKVSGPAAVEVGNAPTNQASAEVQTNGVNKPINGQKNAALSNVFRGNLLENFTVGKSTFSQAQVRATFYPKFENEKSDQEIRTRMIEMVTRGLATVEVSLKHSGSLFMYSGHEGGAYAKNSFGNIYTAVGVFVLGRMFQEAWGPHASRKQEEFNEYLEKNHMCLSMELVTAVLGDHGQRPREDYVVVTAVAELGNGKPKFYSTPDIIAFCRKWRLPTNHVWLFSTR >itb04g11330.t1 pep chromosome:ASM357664v1:4:10920187:10925009:-1 gene:itb04g11330 transcript:itb04g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGLPSSQGSIDLNIAAKDVGLILSQEIQFGSLVPALSRTHESRDSLSDNGENGESEGNEVVDKRNMKVTKNLFSNQNNSRPSFSIREPEGDDCLLEMLESDSDIIDLGEDEVFNIEEEKFFCLLGRFAGRFPGAFAIYQLINYWKVNCKLEKVANGHVIFWFKNEADRDKVAGEGPYFVYGKRLFLDFLPDGFVLKNEDFCVLPIWIRLPGLPKKCWHVKALSKIATRIGKPIFMDRHTKEMKKSDFARILVDVDCSFKPCDSITFRMPDGSLWTQKVFYEYLPLFCSRCGGEKHVNASCPLSRVERNLLHREKDKALHSPVKDAQNLEDDVIPYEIQNSLNIPSGTDALEMRPEKSDSIPLTFEKGETSHANLKGVFGDKESLSNEGEHCLVGNSGEDHVNQQGLCDKDSNICNEVADPFGVLCDSDHLNLPPDGPNYKSSKYSKDLATGGNVKQKRKKKEKDQLNSLKDFFADLEDTPVPPRDNLVAGGGGDFNTVLSSLERRGSVEPSRYDIGDFEYCCMNLGLRDAFSTGNHFTWSNGSKEAKLDRCLINEYWLLNNLACHAEFKNMVSTSDHSQIIIKVFGQHKSGNRPFKFMNMWLKHPNFKKVVEDTWSNPVEGTKQFILASYLKALKAPLKSLNKMEYGYISERVKRANEEFTIAHESLDVISATEFEREQVRILREKALFLMEAERMYFSQKLNTKHLLESDRSSRYFHNLVNKRNSAKVIPSILDNNGVPTTSLEQVGELLVKYYSNMIGKAKERVQTVDSYFSQGPGGLSSSEVFEIIRPRAHKSFVFKTIWRCFIPPKFAFTSWLCLRNRLPTKDNLKFLDIEDKCTLCGKEPENVNHLFFSCDFSKQVWEEIRARLGITRKTCSIKGAIKWAYRDARGSRMHSKIGLLAILCSVYYIWQARNAMIFEGSQAVVTKTISIILLQVFKIFHNLAP >itb10g22710.t1 pep chromosome:ASM357664v1:10:27463760:27468625:-1 gene:itb10g22710 transcript:itb10g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFPCFIHLLLFISCNFISGWAQNVPIFACDVDGNPGLKNLSFCDSSLDVKTRVDDLVKRLTLSEKITFLVNKAGSVSRLGIPQYEWWSEALHGVSYVGPGVKFTSLVPGATSFPAPILTAASFNETLFETIGKVVSTEARAMHNVGLAGLTYWSPTMNIFRDPRWGRAQETPGEDPTLTGKYAAGYVRGLQQRDDGDKEKLKVASCCKHYTAYDVDNWKGIQRYTFNAVVTQQDMDDTFQPPFEACIREGNVASVMCSYNQVNGKPTCGDPDLLAGVIRGKWGLNGYIVTDCDSFDVIFNAQHYTKTPEETAALGLNAGVDLNCGTFLGKNTQGAVDKGLVKESEIDRAVSNNFATLMRLGFFDGDPRKQLYGNLGPKDVCTQDNQELAREAARQGIVLLKNTAGSLPLSPTAIKSLAVIGPNGNVTKTMLSNYEGVPCKVTSPLQGLSAIAATSYSPGCDNIACGTALVDDATKIASAADAVVLVMGSDQSIERESLDRTTITLPGQQSVLVSAVAKAAKGPVILVIMSAGGMDVTFAVNDPKVTSILWVGFPGEAGGSAIADVICGSYNPSGRLPMTWYPQSYADSVNMTDMRMRPDPKTGYPGRTYRFYTGPTVFKFGYGLSYSTFKHNLVRGAPETLSLPLEEGHVCRTSECKSIEAVDHTCSNAAFDIHLNVKNVGQMSGSHTVLLFSSPPRVHNAPQKHLLGFQKVHLSPNQEGIVRFSVDVCKHLSVVDEAGNRKVALGHHVLHIGDLEHSLTVTI >itb14g16200.t1 pep chromosome:ASM357664v1:14:19493698:19502275:-1 gene:itb14g16200 transcript:itb14g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLHPILLALAFHPLHLAAPSPSPPSLPLKFSLRLLSSPPQPPTPPSLPPDSLRLRLPLLLAATAGDAASHHSTTPPATQPFDVQDFRTGMQGWGIGVEDGNMPFLAL >itb15g17850.t1 pep chromosome:ASM357664v1:15:18994888:18998682:1 gene:itb15g17850 transcript:itb15g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLGFKQVEKNEGMENIDNIDLPTDEEGPKGGGGSPTVLEMETDHECAPEAVEVAAPSCEIINTPTEGAVENSNSQNVERGTSGKYYLSRGATAVGTAEQVAAFGVQASNCGGRPGEQR >itb03g30140.t1 pep chromosome:ASM357664v1:3:31596267:31601003:-1 gene:itb03g30140 transcript:itb03g30140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWGVNCANGLGLSFAQEIKLIELGAAAANLGQVEKNGWSRCLNKVLHSDVQQCGCILCLAVEEGRVERLICKEYHNVSNSNSAILWGEEYVKVHGDQAPLVLGQTNDGEKYLKPNVEPDQIATWVKDFKDGKLKPFLSSEPIPENNRLLSLIALRKWYLTRERMDATANDIPKGKFEVKGFPTLYFKSAFGNLLQYEGNRTKEDIIDFICFFFYHAN >itb10g20740.t1 pep chromosome:ASM357664v1:10:26190186:26194239:1 gene:itb10g20740 transcript:itb10g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAMESDSCLFWYFLILQILIPTFTIAVDTITPTQPLAQNQTLVSAGGVFQLGFFSPGGNSGGLFIGIWYKEIQDRTIVWVANRNKPITNSTGFLKIGEDGNINLVDGTENSIWSSSNQSVRGNTIAQLLDSGNLVLRRENDENPENYLWESFDYPTDTLLPGMKLGWDSKTGRNRYISSWKSPTDPSEGDITFKLDINGLPEAFLRKKDNIIYRSGGWNGVAFSGVPEMEPKEVIIFSFVRTKEEVYYSFEIHNKSLFSRLIVNYTQFLERYTWVPENRIWNRFWYAPKDQCDNYRECGPFGICDTDNSPVCDCPVGFKPRNQQAWSLRDGSGGCFRYDELDCRTDGFLTMNSTKLPESSTAFVDATMSLDECKEMCLRNCSCTAYSNYNISNGGSGCGMLIGGEEIAVKRLSKNSRQGAEEFKNELRLIARLQHRNLVKLLGCCVDNEEKMLIYEYMENKSLDSILFNKNRSSLLDWKRRYNIICGVARGLLYLHQDSRFRIIHRDLKASNVLLDKEMNPKISDFGMARLFGGDETEAGTTKRIVGTYGYMSPEYAMDGLFSVKSDAFSFGVLVLEVVSGKQNRGFYTTQNNEESLLGLAWRLWGEGRGSELLDSIVASESHSEFDDEVMKCIQVGLLCVQEKAEDRPNMTAVMLMLIGEGARLPQPKQPGFCLASRPIKTDSSSTSCNDQSLNQLTVTMLDAR >itb04g07770.t1 pep chromosome:ASM357664v1:4:5366885:5368410:-1 gene:itb04g07770 transcript:itb04g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKNDLSLKATELRLGLPGTEECDDNEIVSTAKNNNKRALPESDDEDDCESKANVSPAAKSQIVGWPPVRSYRKNNLPAKKSSDAGDQNGMFVKVSMDGAPYLRKIDLKMYGCYPELLCALENMFKLTIGDYCEREGYKGSEYAPAYEDKDGDLMLVGDVPWEMFLSSCKRLRLMRGSEARGLGCGV >itb06g24150.t1 pep chromosome:ASM357664v1:6:25828890:25831973:-1 gene:itb06g24150 transcript:itb06g24150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSGGIVRDGAAEAPESGGEAHFGGEDAAARAEEGGGERNSGGNRWPRQETIALLTIRTEMDAGFRDSSHKAPLWEEVSRKMAELGYRRSSKKCKEKFENVYKYHKRTKDGRAFKSDGKSYRFFDQLEAFGNHSTPPPAVVSAPVPAGGGQVTVSSIPEPFSAPIPANSPSFPPPSQPQLGAPAEKGGGNVFPVGRNNNNSSASLLWCQTSSSSTSSDEDIQRRRGRKRRRKDFFERLMRDVIEKQENMQKKFLETIEKREIERTAREEAWRAQETARINRDHDLLIQERSMAAAKDAAVIKLLQTIAEKNNIQIPAAACGGAFQPPPPQTTVAPPPTTVTPPPTAVAPPPTAVSPPSTAVAPPPTDNNNNSPVHNSRWPKPEIEALIRIRTSMDTKYQENGPKGPLWEEVAAEMQKLGHRRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYKEKSKIEASMHPLEAENSPIMARPEQQWPPPAAPGHNDDHNDDNEEDDSDNDDNDDAGGGYEVLPTPGSGAATGTAE >itb15g06030.t1 pep chromosome:ASM357664v1:15:3946773:3948476:1 gene:itb15g06030 transcript:itb15g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSQLHFYTTAGLNRTQNRCLSPPSRHLFSDHGSAFSGDYTVSISSKKWESDSLLKLPSLCAGGGSGVGRGGGGGGGGGDGDWSAGGDSGSSGDSFGPIGAFLSGWRSRVAADPQFPFKVLMEELVGVSSCVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTASSVSQGLPSIFASCPPSHMFEPGSYGLISRLGTFVYKGTLFAAVGFAAGLVGTALSNGLIKIRKKMDPSFETPNKAPPTILNAATWAIHMGVSSNLRYQTLNGIEYVLDKTVSPFVFKSSVIVLRCLNNVLGGVSFVVLARMTGSQSVGDGGKLAAVEEDELAAERVKLVNQSENLQNESAVSK >itb15g06030.t2 pep chromosome:ASM357664v1:15:3946773:3948476:1 gene:itb15g06030 transcript:itb15g06030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSQLHFYTTAGLNRTQNRCLSPPSRHLFSDHGSAFSGDYTVSISSKKWESDSLLKLPSLCAGGGSGVGRGGGGGGGGGDGDWSAGGDSGSSGDSFGPIGAFLSGWRSRVAADPQFPFKVLMEELVGVSSCVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTASSVSQGLPSIFASCPPSHMFEPGSYGLISRLGTFVYKGTLFAAVGFAAGLVGTALSNGLIKIRKKMDPSFETPNKAPPTILNAATWAIHMGVSSNLRYQTLNGIEYVLDKTVSPFVFKSSVIVLRCLNNVLGGVSFVVLARMTGSQSVGDGGKLAAVEEDELAAERVKLVNQSENLQNESAVSK >itb02g20960.t1 pep chromosome:ASM357664v1:2:19346580:19349133:1 gene:itb02g20960 transcript:itb02g20960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIPRWVVFLVVLFYSISNVVVATAPVTEATLKQVAASLQMYVDELPILPKLLGYTPCLGGPKPATLTIGMYQKFWKFHRDLPATRIFAYGTSASKATVPGPVIEAIKDVATYVTWENHLPQRHILPWDPTIATAIPKHGGVPTVVHLHGGIHAPQMDGHAMAWFTAGFGEKGPTWSQKTYLYPNVQHAGNLWYHDHALGLTRLNLLAGLVAPYVITDPGLESKFNLPSGPEFDRHLMIFDRSFYKDGSLFLNRTGINPTIHPQWQPEYFGDAIIVNGKAWPYLQVQPRKYRFRIINASNARYFRFTLTNGLSFTVVGSDTSYLPSPVTSSSITVAPAEIMDVVVDFSIAKASESELRNDAPYPYPNGNPVDQLNSKIMKFIINPAGGPTPAEKSQIPPTLKTYAAATPTAAMIRRYIVMYEYQSATGNPTHLYINGKRLTDPVTETPKSGSTEVWEVINLTNDNHPLHIHLAEFQAVKIQQLVDLPGFTSCMQAKNDAVACNVASHATGALIDVPAYEKTWKNVVKIQPGYQTTVVVKFNLVENDAPYPFDATGSPGFLYHCHILDHEDNEMIRPFKLVN >itb01g11580.t1 pep chromosome:ASM357664v1:1:10630981:10643241:1 gene:itb01g11580 transcript:itb01g11580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIFSRMIVVYNETPWEISLREKLHSLELDHYIHFPPGRSEFPASHFLKRNHAFGLPSRIAIEVGGREVHSFIPQNFLDYVKITFSNAGAGNLVVRIVRARLHDLCRLRGFGCLGRTYHGRPDDIIMEYTIDN >itb01g11580.t2 pep chromosome:ASM357664v1:1:10630822:10635339:1 gene:itb01g11580 transcript:itb01g11580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIFSRMIVVYNETPWEISLREKLHSLELDHYIHFPPGRSEFPASHFLKRNHAFGLPSRIAIEVGGREVHSFIPQNFLDYVKITFSNAGAGNLVVRIVRARLHDLCRLRGFGCLGRTYHGRPDDIIMEYTIDN >itb01g11580.t3 pep chromosome:ASM357664v1:1:10630859:10637896:1 gene:itb01g11580 transcript:itb01g11580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIFSRMIVVYNETPWEISLREKLHSLELDHYIHFPPGRSEFPASHFLKRNHAFGLPSRIAIEVGGREVHSFIPQNFLDYVKITFSNAGAGNLVVRIVRARLHDLCRLRGFGCLGRTYHGRPDDIIMEYTIDN >itb04g07180.t2 pep chromosome:ASM357664v1:4:4791756:4795931:1 gene:itb04g07180 transcript:itb04g07180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDFCNTSSICISRPRPSRGIEANWRGRIAVMASSSVPVKEESQPRQVVDGASFIRPHLRTLKPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVLEALGAIEFPYIYPDPESRRLRAALSEDSGLESEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRNPDFSLDVERIAEVVEKEKPKCIFLTSPNNPDGSIINDTDLQKILNMPILVVLDEAYIEFSEIGSKMTWVKKYENLIVLRTFSKRAGLAGLRVGYGAFPRSIIEYLWRAKQPYNVSVAAEIAACTALQNPVYLENVKVALVQERERLYNLLKEVPFLDPYPSHSNFILCKVASTMDAKKLKEDLALMGVMIRHYDNKELRGFVRVSVGKPEHTDALMKGLKHFY >itb04g07180.t1 pep chromosome:ASM357664v1:4:4791601:4795982:1 gene:itb04g07180 transcript:itb04g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDFCNTSSICISRPRPSRGIEANWRGRIAVMASSSVPVKEESQPRQVVDGASFIRPHLRTLKPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVLEALGAIEFPYIYPDPESRRLRAALSEDSGLESEYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRNPDFSLDVERIAEVVEKEKPKCIFLTSPNNPDGSIINDTDLQKILNMPILVVLDEAYIEFSEIGSKMTWVKKYENLIVLRTFSKRAGLAGLRVGYGAFPRSIIEYLWRAKQPYNVSVAAEIAACTALQNPVYLENVKVALVQERERLYNLLKEVPFLDPYPSHSNFILCKVASTMDAKKLKEDLALMGVMIRHYDNKELRGFVRVSVGKPEHTDALMKGLKHFY >itb01g19200.t1 pep chromosome:ASM357664v1:1:25176603:25177260:-1 gene:itb01g19200 transcript:itb01g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRIARFITEVAPPQLVTVMRRRRAAKMLDPIKEDESFSPKITTDTSPSCSAPNKYSSASFLSATASAKPKFFFKDDAATRRPSSSSVVFGN >itb15g09490.t1 pep chromosome:ASM357664v1:15:6765103:6766545:-1 gene:itb15g09490 transcript:itb15g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWFEHLTLNLIVKVVAGRRYEYRSDGVVDQEAECMKKVFKEVMFLWGEVVSGDTIFPLWLFRWLDYEGHVKTMKRVAKALDAILQYWVDARKRENGKNEDQGFIDVMLSMIDDKFLEGQTYTRDTIIKATVLSMLQDGSETFSVHFIWILSVLLKNREALERVQEEIDANVGRERWVEDSDIKNLPYLQAVVKETLRMYPPAPFLVPHEAIEDCTIGGYHIPKGTQLYVNVWKLHRDPQIWPDPEKFSPERFLTNPEDTGVHNRQFQYVPFGFGRRSCPGILYATQITHLAVARLVQGFNFNTPSNEALDMSEGLGITMPRANPLEVVITPRLPSALY >itb04g06210.t1 pep chromosome:ASM357664v1:4:4029132:4035138:1 gene:itb04g06210 transcript:itb04g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEREKQPLMDSSRLRRGVSLPWMSPTGSTLWSGEKPSRDSRLSDDKPVNVKVVLRCRPPSEDEVRAKAPLVISCDEEKQEVSAILNTNAKQTNRTFVFDKVFGPSTQQKDLYNQAVAPLVDDALEGYSCTVFAYGQTGTGKTYTMEGEGGKEKNGEFHKNAGVIPRAVEQIFNSLESEKAEYMMKVTFIELYNEEITDLLSAEEDSRSFSDEKQLKAVKLMEDGKSAVFIKGLQEEIVSSADEIYRILERGSAKKHTAETLLNKQSNRSHSIFSITVQIKECVSEGVELIKCGKLNLVDLAGSENILRSGAKEGRAREAGEINKSLLTLGRVINALADHSGHVPYRDSKLTRLLKDSLGGKTKTCIIATVSPSLQCLDETLSTLDYAFRAKIIKNRPEVNQKIVKSAFIKDIYVEMDRLKQELLATREKNGIYIPRDRYQSDEAAQKAMAEKLRLMELDLELKNKKIVELEDLYSNQQKLTADLGEKLGMAKTELKKTEQSLSEVEAQYSQAKETIRQKQYLVFNLITSEKALTEKAIELRAELENAESEVSRLCSEIENNNLRERRNRVLLQNFQNQLAQQLELLKTDVAASVSQEAQQLKAVQENTKLFLSAKSKATEGFQNLLQKLKEVYVFDVQNLADIAEEHCKNCQLAFGKFTSEVSKHSSSIMDLVGKISSDIVSILNGLEHNLNGLEVKMKAFLQQEQQNQSRTYQATNLISEVLLSFFKNLNTSVSNLAHMEEESQRKNSQQICDIRTKFEELAANEEREILEQVALLLASSNARKKHLVQTELDALERSANSRTSNLNQEFSKIQDCTCSTQDEWASFIEATHAHRIEDRTILETWKGGLEESLRCCVKNSNAVEEQWRNAQESLICQHTRNTNTIDSIVKSEMARNEQVHARVSACVSFILEETDLANKNLLSSTEYLMKLDHGECEKIKTCVDPCIDDINAMEMTHSHKISEIASNAERVFTDDYKVSETLDSTPRRIKFNLPSKEVIENLRTPSLELLKSFQEGGIGDQANGDVNIKSESHKIAQMDAGFFSL >itb06g08200.t1 pep chromosome:ASM357664v1:6:12159190:12166532:1 gene:itb06g08200 transcript:itb06g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARKLANRAILRRLVHEAKQLRAKTPPPPQAAALHRPSRYVSSLAPCAMYENQRRYVAGGGFPASQQQQRRSISVEALKPSDTFPRRHNSATPEEQSKMADFCGFQSLDALIDATVPHSIRIKSMEFPKFDQGLTEAQMIEHMKNLASKNKVFKSYIGMGYYNTFVPPVILRNIMENPGWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIASNCHPQTIDICKTRADGFELKVVTADLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKNAHAHGVKVVMASDLLALTMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAQRVHGLAGTFATGLKKLGTAEVQDLPFFDTVKVKCTDANAIAEAAYKNEINLRVVDSNTITVSFDETTTLEDVDKLFKVFAGGKPVSFSAESIAAEVQNKIPTGLVRESPFLTNSIFNMYHTEHELLRYLHRLQAKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPGFTDIHPFVPVEQAAGYQEMFDDLGALLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHQARGDHHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPSPDKSHPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPILFRGVNGTVAHEFIVDLRGFKNTAGIEAEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDTLISIREEIAQIEKGKFDINNNVLKNAPHPPSLLMADAWTKPYSREYAAFPAQWLKTAKFWPTTGRVDNVYGDRNLICTLLPVSQMVEDEAAASA >itb14g19170.t3 pep chromosome:ASM357664v1:14:21912908:21915928:1 gene:itb14g19170 transcript:itb14g19170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSMPGEVDKHALYKEWDEASCAICMDHPHNAVLLLCSSHDKGCRTYICDTSYRHSNCLDRFRKVTIEKPAPPAPSSMRRIPSPVRFRSRRRSFTFQTSNGNLGLRTPSSLSEFRGELDASSGDALVTNAFAGGSENGTAITNDHVEMNDGVETSNAVSGSERGPEGVDADNPSESKPDLRCPLCRGSVLGWKVMEEARNYLDLKPRSCYRESCSFVGNYRELRRHARRVHPTARPADVDPSRERAWQRLEDQREYGDIVSAIHTAMPGAIVLGDYVIENGDRLSSGRGIGENSRLLSTFFLFQMIGSMDPTHEPRGSRSRALSRYRRSNGPFSRRRFLWGENLGLHYDDDDNDDGNDEVEDEHDLNMSNDLGDNGSNPRRRRRLMRSRSDEDQD >itb14g19170.t4 pep chromosome:ASM357664v1:14:21913797:21915978:1 gene:itb14g19170 transcript:itb14g19170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSMPGEVDKHALYKEWDEASCAICMDHPHNAVLLLCSSHDKGCRTYICDTSYRHSNCLDRFRKVTIEKPAPPAPSSMRRIPSPVRFRSRRRSFTFQTSNGNLGLRTPSSLSEFRGELDASSGDALVTNAFAGGSENGTAITNDHVEMNDGVETSNAVSGSERGPEGVDADNPSESKPDLRCPLCRGSVLGWKVMEEARNYLDLKPRSCYRESCSFVGNYRELRRHARRVHPTARPADVDPSRERAWQRLEDQREYGDIVSAIHTAMPGAIVLGDYVIENGDRLSSGRGIGENSRLLSTFFLFQMIGSMDPTHEPRGSRSRALSRYRRSNGPFSRRRFLWGENLGLHYDDDDNDDGNDEVEDEHDLNMSNDLGDNGSNPRRRRRLMRSRSDEDQD >itb14g19170.t1 pep chromosome:ASM357664v1:14:21912908:21915978:1 gene:itb14g19170 transcript:itb14g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSMPGEVDKHALYKEWDEASCAICMDHPHNAVLLLCSSHDKGCRTYICDTSYRHSNCLDRFRKVTIEKPAPPAPSSMRRIPSPVRFRSRRRSFTFQTSNGNLGLRTPSSLSEFRGELDASSGDALVTNAFAGGSENGTAITNDHVEMNDGVETSNAVSGSERGPEGVDADNPSESKPDLRCPLCRGSVLGWKVMEEARNYLDLKPRSCYRESCSFVGNYRELRRHARRVHPTARPADVDPSRERAWQRLEDQREYGDIVSAIHTAMPGAIVLGDYVIENGDRLSSGRGIGENSRLLSTFFLFQMIGSMDPTHEPRGSRSRALSRYRRSNGPFSRRRFLWGENLGLHYDDDDNDDGNDEVEDEHDLNMSNDLGDNGSNPRRRRRLMRSRSDEDQD >itb14g19170.t5 pep chromosome:ASM357664v1:14:21913797:21915978:1 gene:itb14g19170 transcript:itb14g19170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSMPGEVDKHALYKEWDEASCAICMDHPHNAVLLLCSSHDKGCRTYICDTSYRHSNCLDRFRKVTIEKPAPPAPSSMRRIPSPVRFRSRRRSFTFQTSNGNLGLRTPSSLSEFRGELDASSGDALVTNAFAGGSENGTAITNDHVEMNDGVETSNAVSGSERGPEGVDADNPSESKPDLRCPLCRGSVLGWKVMEEARNYLDLKPRSCYRESCSFVGNYRELRRHARRVHPTARPADVDPSRERAWQRLEDQREYGDIVSAIHTAMPGAIVLGDYVIENGDRLSSGRGIGENSRLLSTFFLFQMIGSMDPTHEPRGSRSRALSRYRRSNGPFSRRRFLWGENLGLHYDDDDNDDGNDEVEDEHDLNMSNDLGDNGSNPRRRRRLMRSRSDEDQD >itb14g19170.t2 pep chromosome:ASM357664v1:14:21912908:21915928:1 gene:itb14g19170 transcript:itb14g19170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSMPGEVDKHALYKEWDEASCAICMDHPHNAVLLLCSSHDKGCRTYICDTSYRHSNCLDRFRKVTIEKPAPPAPSSMRRIPSPVRFRSRRRSFTFQTSNGNLGLRTPSSLSEFRGELDASSGDALVTNAFAGGSENGTAITNDHVEMNDGVETSNAVSGSERGPEGVDADNPSESKPDLRCPLCRGSVLGWKVMEEARNYLDLKPRSCYRESCSFVGNYRELRRHARRVHPTARPADVDPSRERAWQRLEDQREYGDIVSAIHTAMPGAIVLGDYVIENGDRLSSGRGIGENSRLLSTFFLFQMIGSMDPTHEPRGSRSRALSRYRRSNGPFSRRRFLWGENLGLHYDDDDNDDGNDEVEDEHDLNMSNDLGDNGSNPRRRRRLMRSRSDEDQD >itb13g25530.t2 pep chromosome:ASM357664v1:13:30888561:30891974:1 gene:itb13g25530 transcript:itb13g25530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDHNRVFCHSSVCKEEADLAFFEEKVAFDSNDPGLEISPLDSSVDRKDQFLLSDISSAAEFSHSDPDNSVIKCELHELTVYNVVKDICVDEGARGVDKISIESWTGDPDQDKNRDIQEDDDSKLLAEDQSKPGDFDGDVADECTTKEKEDIKPIVSDPRKFSSEVDDSKDAFVESTHEQNSQMPSEEANTAEKSAEKLPESVLISEPLHEVENSDKTSASNAIQAQLPELEDRPKTEDKASNYLPIASTRSDRFTDGETSFSAAGSLSALIAYSGSISLRSDSSTTSTRSFAFPV >itb13g25530.t1 pep chromosome:ASM357664v1:13:30888561:30891974:1 gene:itb13g25530 transcript:itb13g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDHNRVFCHSSVCKEEADLAFFEEKVAFDSNDPGLEISPLDSSVDRKDQFLLSDISSAAEFSHSDPDNSVIKCELHELTVYNVVKDICVDEGARGVDKISIESWTGDPDQDKNRDIQEDDDSKLLAEDQSKPGDFDGDVADECTTKEKEDIKPIVSDPRKFSSEVDDSKDAFVESTHEQNSQMPSEEANTAEKSAEKLPESVLISEPLHEVENSDKTSASNAIQAQLPELEDRPKTEDKASNYLPIASTRSDRFTDGETSFSAAGSLSALIAYSGSISLRSDSSTTSTRSFAFPVLQSEWHGSPVRMAKPQKKRGWRHSILCCRF >itb09g06060.t1 pep chromosome:ASM357664v1:9:3471947:3474402:-1 gene:itb09g06060 transcript:itb09g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGNRRSGNYKPSIWADDYVQSRTAIYNGEEFSKRAAELKEGVRKMMEEKMDPLEKLELVDLLQRLGISYHFEDEIQHVLEHMYTNSCWCNGDQDLYATALQFRLLRQHGYKVPQEVFCGFMDDQGNLRRSLREDTKGILSLYEATYLCMEGESIMEAAQRLCTKHLREIQNMNILDHDLVDHALEMPLYWRMQRFEARWFISVYEKRHNMKPVLLEFAKLDYNMVQAKYLEELKQMSRWNKDIRLAEKMSFARDRLVEGFLWAMGFTPDPQFEYCRKISTKLSVLLTMLDDLYDVYGALNELEIFTDVVQRWDVNAAETLPEYMKICFLVIFNSMNELGYDVLKDQGLSIISNIRKQWANLCKLYLLEVKWNLGGYAPSLDEYLDTAFITNTGPLLLMHAYFCITNPINIEDLQHLEQYPEIIRSSAMILRLANDLGTSPDEMLKGDNPKSIQCYIRESGCSEAKAGEYINDLIAETWKKLNTELVRMERPLPKEFKRTAMNLPRIAQFIYQQGDGFGVRPDEMKSRIVDLFFEPIPMP >itb06g07550.t1 pep chromosome:ASM357664v1:6:11129722:11130147:-1 gene:itb06g07550 transcript:itb06g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRDRFLFCAEALYKAQAETGEIKGHYLNATADLRIPTAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALYKAQAETGEIKGHYLNAIG >itb05g03920.t1 pep chromosome:ASM357664v1:5:3353599:3357614:1 gene:itb05g03920 transcript:itb05g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESLAMAKSYLAVIFLQFGYAGLAIIAKSALNKGMSHYTFAVYRNLTAALVIAPFVIFFERKVRPKMTFSIFWKIMVLGLLEPVIDQNLYYAGMNYTTATFTTALCNVIPGIAFVLAWILRLEKVEVRKLHSQAKILGTAVTLGGAMIMTLVRGPNIGLPWTKHTQHVETATATLHSQQDLVKGAVMIIAGCICFASFYILQAITLKSYPAGLSLTTLICTAGSLQASVVALVVERKNPVAWALHWDTKLLAYIYGGVICSGIAYYLSGVIMEEKGPVFVTSFNPLSMIIVAIMGSFMLGEQLDLGKVLGAGVIVVGLYMVIWGKKQDEVPQESTGDQIALPSATLVKPSDTFRGVAGDGAV >itb01g29970.t1 pep chromosome:ASM357664v1:1:34039744:34043336:1 gene:itb01g29970 transcript:itb01g29970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSGLISASADGAATATAPPGEAPESGGAGSAAGGPESGAAVGGYGEEDKAEAERNSGGNRWPRQETLALLKIRSDMDVVFRDSSLKGPLWEEVSRKMAELGYHRSSKKCKEKFENVYKYHKRTKDGRASKADGKTYRFFDQLEAFEHTTPSHALPPPPRPQPPPLPPAAAVSPMVAPPLPGNNNNNAASNAGTTNVVVAANPPVSVPVTVPSTQNPFGAGVHNPINAPAFPPSSQTRSLSLPPPQAAANTGGFPSQRNVPGSPLSDSTSSSTSSDEDIQRRHRRKRKWKDFFERLMKDVIEKQEDLQKKFLEALEKRERDRMVREEAWRVQEMARMNREHDLLVQERSMAAAKDAAVLQFLQKVSEQHNLQIPITNATPAAAQIQIQLPESLSTPTQQPQPPPPPIPPHSQQPPPQGPEPISVAPPATMQFTISTPTPPVPTKILDVSKPPDNNAIESFTPASSSRWPKTEVQALIRLRTNLDTKYQENGPKGPLWEEISAGMRKLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHLLDAIYKERAKNEASFNPPGSALKPENNPMVPIMVQPEQQWPLPPDHHHHHHALNDHESDNIDEDDGDDEDDEDDEGGGAYEIVTNKPPSTTTTTTTTTATSTV >itb01g18100.t1 pep chromosome:ASM357664v1:1:23031790:23034143:1 gene:itb01g18100 transcript:itb01g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSKQVNEVGLEVEKLKEEPHVSGAYIRTLVKQLTSSRTKDPLNSKDVDDLVGDGGISCQTRNSAKPGDSYNSETQFSQPVPQQQQPKHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKQQEANEQQKQQQLGRELLETSQQTPLEQEGKLKSRRNPRTYASNPTSNNFPSFMDNFSCFPISCPPQYPYSYPISSVAPPLPQESLNFPLPNQTLGLNLNLHDFNNLDTTPYFSNNNPPIYPSSSYFPIEDIQCTGLSGVCPMVDKVNTGLINEPDSGLHPVMDDKEMAEMRSIGEQHQMEWNDALNFVTSAWWFKFLKTMEISPGEEQNGEDYGCYPFHEVMEFPAWLNANENCLSDAYSDAYLQDPGLPCMDIEEIEGMDVEWLA >itb01g05550.t3 pep chromosome:ASM357664v1:1:3813343:3817013:1 gene:itb01g05550 transcript:itb01g05550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKEAASFKDQKEKLNALLEIASVEKQLLTSIYSKGLLHKEVRELYHKARASYENIVLNDYKVVGLQEVEFSLWKLHYKHIDEYRKWIRQSNAEKRKGESLEEDTSSHHDIDKHMEGFKSFLSEASDFYRDLVKKLRRTCGLPGELLLRKKGDASSCLAGPTKLPQCQYACHRFLVCLGDLARYSELCKKHDACKWSAAATYYLEASWIWPDSGNPHNQLALLATYVGDPFLALYHCVRSLAVKEPFPDAWNNLMLLLEENRSSHFHSLSSEAHLDLLKPSEKALLRTRSQGSDGSSNDNKLEGTESVSSGKSDIWRLFVRLISFFLLRSSLEDFPHTLASTVRQLEAMMALGDEDLKAALESYQFMDPSREGPYRTLQLVTIFIFIIHCLTESDEGEVQKEDIEPESSLTKLALTATFICAGRIVERCVNGGKLEECLLLPTVLVFVEWLANTLERAEAHAGDERVTSAMSYFFGAFADLLNRVDLSYNEEVAPDNTALWEDHELKGFDPMARAHMKLDFTGHRECMENFCSRNTSRSRRIFLVGTKIAGRSGDLVRNWLVYDNFEKRFSSLVAKSRDQGHEPQELIQQSSGSTNEQRENRNHSGFINSQSSAAEEEEVILFKPIITRHNSAPASTSRPSSDRVSAEGTKEEGASSDESLRRASSLFVGQSQSQTADTFSFRPDTTNNPRLSSPLKPQEPVLKDSSAYPAGPPSLKAWVIDKETLSESFNALSITETKDPIVSSSHVSAAAILDAPPPPYASPVPSAPLLPEDASWFKGSKEGDGILGASPMSGYSYTNWHPTRGPFNFVRGAPSFLDGYSPLQGMSSSEWLYHYRNRQNFDRANTHFWPSHFNNVPPSTYPNNLNAPNMARYDLLDQWGNPLASSPAALYLETPPQMLPSPLIPGPDEHRRDKPIFGYQRPSPYVCGTGLELRSEQPALLHYLKERELQLQPEYQFRGPSFMGN >itb01g05550.t1 pep chromosome:ASM357664v1:1:3812472:3817013:1 gene:itb01g05550 transcript:itb01g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKEAASFKDQKEKLNALLEIASVEKQLLTSIYSKGLLHKEVRELYHKARASYENIVLNDYKVVGLQEVEFSLWKLHYKHIDEYRKWIRQSNAEKRKGESLEEDTSSHHDIDKHMEGFKSFLSEASDFYRDLVKKLRRTCGLPGELLLRKKGDASSCLAGPTKLPQCQYACHRFLVCLGDLARYSELCKKHDACKWSAAATYYLEASWIWPDSGNPHNQLALLATYVGDPFLALYHCVRSLAVKEPFPDAWNNLMLLLEENRSSHFHSLSSEAHLDLLKPSEKALLRTRSQGSDGSSNDNKLEGTESVSSGKSDIWRLFVRLISFFLLRSSLEDFPHTLASTVRQLEAMMALGDEDLKAALESYQFMDPSREGPYRTLQLVTIFIFIIHCLTESDEGEVQKEDIEPESSLTKLALTATFICAGRIVERCVNGGKLEECLLLPTVLVFVEWLANTLERAEAHAGDERVTSAMSYFFGAFADLLNRVDLSYNEEVAPDNTALWEDHELKGFDPMARAHMKLDFTGHRECMENFCSRNTSRSRRIFLVGTKIAGRSGDLVRNWLVYDNFEKRFSSLVAKSRDQGHEPQELIQQSSGSTNEQRENRNHSGFINSQSSAAEEEEVILFKPIITRHNSAPASTSRPSSDRVSAEGTKEEGASSDESLRRASSLFVGQSQSQTADTFSFRPDTTNNPRLSSPLKPQEPVLKDSSAYPAGPPSLKAWVIDKETLSESFNALSITETKDPIVSSSHVSAAAILDAPPPPYASPVPSAPLLPEDASWFKGSKEGDGILGASPMSGYSYTNWHPTRGPFNFVRGAPSFLDGYSPLQGMSSSEWLYHYRNRQNFDRANTHFWPSHFNNVPPSTYPNNLNAPNMARYDLLDQWGNPLASSPAALYLETPPQMLPSPLIPGPDEHRRDKPIFGYQRPSPYVCGTGLELRSEQPALLHYLKERELQLQPEYQFRGPSFMGN >itb01g05550.t2 pep chromosome:ASM357664v1:1:3812472:3817013:1 gene:itb01g05550 transcript:itb01g05550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKEAASFKDQKEKLNALLEIASVEKQLLTSIYSKGLLHKEVRELYHKARASYENIVLNDYKVVGLQEVEFSLWKLHYKHIDEYRKWIRQSNAEKRKGESLEEDTSSHHDIDKHMEGFKSFLSEASDFYRDLVKKLRRTCGLPGELLLRKKGDASSCLAGPTKLPQCQYACHRFLVCLGDLARYSELCKKHDACKWSAAATYYLEASWIWPDSGNPHNQLALLATYVGDPFLALYHCVRSLAVKEPFPDAWNNLMLLLEENRSSHFHSLSSEAHLDLLKPSEKALLRTRSQGSDGSSNDNKLEGTESVSSGKSDIWRLFVRLISFFLLRSSLEDFPHTLASTVRQLEAMMALGDEDLKAALESYQFMDPSREGPYRTLQLVTIFIFIIHCLTESDEGEVQKEDIEPESSLTKLALTATFICAGRIVERCVNGGKLEECLLLPTVLVFVEWLANTLERAEAHAGDERVTSAMSYFFGAFADLLNRVDLSYNEEVAPDNTALWEDHELKGFDPMARAHMKLDFTGHRECMENFCSRNTSRSRRIFLVGTKIAGRSGDLVRNWLVYDNFEKRFSSLVAKSRDQGHEPQELIQQSSGSTNEQRENRNHSGFINSQSSAAEEEEVILFKPIITRHNSAPASTSRPSSDRVSAEGTKEEGASSDESLRRASSLFVGQSQSQTADTFSFRPDTTNNPRLSSPLKPQEPVLKDSSAYPAGPPSLKAWVIDKETLSESFNALSITETKDPIVSSSHVSAAAILDAPPPPYASPVPSAPLLPEDASWFKGSKEGDGILGASPMSGYSYTNWHPTRGPFNFVRGAPSFLDGYSPLQGMSSSEWLYHYRNRQNFDRANTHFWPSHFNNVPPSTYPNNLNAPNMARYDLLDQWGNPLASSPAALYLETPPQMLPSPLIPGPDEHRRDKPIFGYQRPSPYVCGTGLELRSEQPALLHYLKERELQLQPEYQFRGPSFMGN >itb01g05550.t4 pep chromosome:ASM357664v1:1:3813343:3817013:1 gene:itb01g05550 transcript:itb01g05550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKSFLSEASDFYRDLVKKLRRTCGLPGELLLRKKGDASSCLAGPTKLPQCQYACHRFLVCLGDLARYSELCKKHDACKWSAAATYYLEASWIWPDSGNPHNQLALLATYVGDPFLALYHCVRSLAVKEPFPDAWNNLMLLLEENRSSHFHSLSSEAHLDLLKPSEKALLRTRSQGSDGSSNDNKLEGTESVSSGKSDIWRLFVRLISFFLLRSSLEDFPHTLASTVRQLEAMMALGDEDLKAALESYQFMDPSREGPYRTLQLVTIFIFIIHCLTESDEGEVQKEDIEPESSLTKLALTATFICAGRIVERCVNGGKLEECLLLPTVLVFVEWLANTLERAEAHAGDERVTSAMSYFFGAFADLLNRVDLSYNEEVAPDNTALWEDHELKGFDPMARAHMKLDFTGHRECMENFCSRNTSRSRRIFLVGTKIAGRSGDLVRNWLVYDNFEKRFSSLVAKSRDQGHEPQELIQQSSGSTNEQRENRNHSGFINSQSSAAEEEEVILFKPIITRHNSAPASTSRPSSDRVSAEGTKEEGASSDESLRRASSLFVGQSQSQTADTFSFRPDTTNNPRLSSPLKPQEPVLKDSSAYPAGPPSLKAWVIDKETLSESFNALSITETKDPIVSSSHVSAAAILDAPPPPYASPVPSAPLLPEDASWFKGSKEGDGILGASPMSGYSYTNWHPTRGPFNFVRGAPSFLDGYSPLQGMSSSEWLYHYRNRQNFDRANTHFWPSHFNNVPPSTYPNNLNAPNMARYDLLDQWGNPLASSPAALYLETPPQMLPSPLIPGPDEHRRDKPIFGYQRPSPYVCGTGLELRSEQPALLHYLKERELQLQPEYQFRGPSFMGN >itb01g05550.t5 pep chromosome:ASM357664v1:1:3813539:3816992:1 gene:itb01g05550 transcript:itb01g05550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMALGDEDLKAALESYQFMDPSREGPYRTLQLVTIFIFIIHCLTESDEGEVQKEDIEPESSLTKLALTATFICAGRIVERCVNGGKLEECLLLPTVLVFVEWLANTLERAEAHAGDERVTSAMSYFFGAFADLLNRVDLSYNEEVAPDNTALWEDHELKGFDPMARAHMKLDFTGHRECMENFCSRNTSRSRRIFLVGTKIAGRSGDLVRNWLVYDNFEKRFSSLVAKSRDQGHEPQELIQQSSGSTNEQRENRNHSGFINSQSSAAEEEEVILFKPIITRHNSAPASTSRPSSDRVSAEGTKEEGASSDESLRRASSLFVGQSQSQTADTFSFRPDTTNNPRLSSPLKPQEPVLKDSSAYPAGPPSLKAWVIDKETLSESFNALSITETKDPIVSSSHVSAAAILDAPPPPYASPVPSAPLLPEDASWFKGSKEGDGILGASPMSGYSYTNWHPTRGPFNFVRGAPSFLDGYSPLQGMSSSEWLYHYRNRQNFDRANTHFWPSHFNNVPPSTYPNNLNAPNMARYDLLDQWGNPLASSPAALYLETPPQMLPSPLIPGPDEHRRDKPIFGYQRPSPYVCGTGLELRSEQPALLHYLKERELQLQPEYQFRGPSFMGN >itb14g16950.t1 pep chromosome:ASM357664v1:14:20314286:20317461:1 gene:itb14g16950 transcript:itb14g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSARIKAESLPNTGLSSKYVSAEGSDSKNSSSSSVLPTPRSEGEILQSPNLKSFTFADLRMATRNFRPDSVLGEGGFGSVYKGWLDENTLAAARAGTGIVVAVKRLNQEGFQGHREWLAEVNYLGQFCHPNLVKLIGYCLEDEHRLLVYEFMPKGSLENHLFRRGSYFQPLSWYLRLKVALGAAKGLAFLHSAETQVIYRDFKASNILLDSNFNGKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEVLSGRRAIDKNRPSGEHNLVEWAKPYMANKRRVFRILDNRLEGQYTLEVAQKVANLAARCLSGDPKFRPSMDDVVKELEQLKAQSKGKGNTQANTSKASRPRRRNAGDANASDAYPRPSGSPLYAK >itb01g12600.t3 pep chromosome:ASM357664v1:1:12154038:12160601:-1 gene:itb01g12600 transcript:itb01g12600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLRRLSHSALTFATSLYASVRIKSAILFPFLRRFLSKTLNFLSPIMESWLFSSSDDDAGFGLDLSFSNFTPQLSHLDPESLFLVPFRWWSEAKEGLCSSGEVSESEISGTLYNATAILKRSDVFPGESSTETEIVLDMDMDMEREGETEDNEEGVSGKALALVSEWMFLRAMKWHFDMKNVGGFLAPDDTLHDLFSLHIRLLYISKTNSLAIRISLKDNEVGVFDRACGIFCDTPDLFHIWDFSGQTIQFVLNNRKLLSNSGQLNEEILLELKVYELPCNNDRKGSRKEEMTSEHCSSSKSSASTDAIMCYSGVNGNFVIGSSKNSACALGLTGLGNLGNTCFMNSALQCMVHTPKLVDYFLGDFKKDLNFENPLGMKGKLALAFGDLLRKLWAPGATTVYPEMFKSTIASFAPQFSGYNQHDSQEFLAFLLDGLHEDINRVKHKPDIETKEEDDRPDEEVADEHWSNHLSRNDSVIINLCQGQYRSKLVCPVCKKSSVTFDPFMYLSLPLPSTTMRTMTLTVFSSEGTTMPFPVTVTVPKYGRSKDLVETLISKCSLSDEETLLVAEIYGSSIIRFLDDPTDSIELVRDDDTLVAYRLPKDDDGSRLVVFQHQCKEKSIVHGSHKKLGTPLVTRISDFSSGSAIHKQYERLLSPFLVQEEDSPSDYNDAQNSTNHDSEMMDVMPNGDSNLKNEFKDDSLLAGDFQFYLENKILLSMDEPVPVSNSYEPVNMLVTWPERMVDVYDTARLSTLSEVCNSALDGGKPPESVSLYKCLDAFLKEEPLGPEDMWFAS >itb01g12600.t4 pep chromosome:ASM357664v1:1:12154136:12159869:-1 gene:itb01g12600 transcript:itb01g12600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLESYEMDNEVGVFDRACGIFCDTPDLFHIWDFSGQTIQFVLNNRKLLSNSGQLNEEILLELKVYELPCNNDRKGSRKEEMTSEHCSSSKSSASTDAIMCYSGVNGNFVIGSSKNSACALGLTGLGNLGNTCFMNSALQCMVHTPKLVDYFLGDFKKDLNFENPLGMKGKLALAFGDLLRKLWAPGATTVYPEMFKSTIASFAPQFSGYNQHDSQEFLAFLLDGLHEDINRVKHKPDIETKEEDDRPDEEVADEHWSNHLSRNDSVIINLCQGQYRSKLVCPVCKKSSVTFDPFMYLSLPLPSTTMRTMTLTVFSSEGTTMPFPVTVTVPKYGRSKDLVETLISKCSLSDEETLLVAEIYGSSIIRFLDDPTDSIELVRDDDTLVAYRLPKDDDGSRLVVFQHQCKEKSIVHGSHKKLGTPLVTRISDFSSGSAIHKQYERLLSPFLVQEEDSPSDYNDAQNSTNHDSEMMDVMPNGDSNLKNEFKDDSLLAGDFQFYLENKILLSMDEPVPVSNSYEPVNMLVTWPERMVDVYDTARLSTLSEVCNSALDGGKPPESVSLYKCLDAFLKEEPLGPEDMWFAS >itb01g12600.t2 pep chromosome:ASM357664v1:1:12152297:12160601:-1 gene:itb01g12600 transcript:itb01g12600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLRRLSHSALTFATSLYASVRIKSAILFPFLRRFLSKTLNFLSPIMESWLFSSSDDDAGFGLDLSFSNFTPQLSHLDPESLFLVPFRWWSEAKEGLCSSGEVSESEISGTLYNATAILKRSDVFPGESSTETEIVLDMDMDMEREGETEDNEEGVSGKALALVSEWMFLRAMKWHFDMKNVGGFLAPDDTLHDLFSLHIRLLYISKTNSLAIRISLKDNEVGVFDRACGIFCDTPDLFHIWDFSGQTIQFVLNNRKLLSNSGQLNEEILLELKVYELPCNNDRKGSRKEEMTSEHCSSSKSSASTDAIMCYSGVNGNFVIGSSKNSACALGLTGLGNLGNTCFMNSALQCMVHTPKLVDYFLGDFKKDLNFENPLGMKGKLALAFGDLLRKLWAPGATTVYPEMFKSTIASFAPQFSGYNQHDSQEFLAFLLDGLHEDINRVKHKPDIETKEEDDRPDEEVADEHWSNHLSRNDSVIINLCQGQYRSKLVCPVCKKSSVTFDPFMYLSLPLPSTTMRTMTLTVFSSEGTTMPFPVTVTVPKYGRSKDLVETLISKCSLSDEETLLVAEIYGSSIIRFLDDPTDSIELVRDDDTLVAYRLPKDDDGSRLVVFQHQCKEKSIVHGSHKKLGTPLVTRISDFSSGSAIHKQYERLLSPFLVQEEDSPSDYNDAQNSTNHDSEMMDVMPNGDSNLKNEFKDDSLLAGDFQFYLENKILLSMDEPVPVSNSYEPVNMLVTWPERMVDVYDTARLSTLSEVCNSALDGGKPPESVSLYKCLDAFLKEEPLGPEDMWNCPNCKRPRQASKKLDLWRLPEILVIHLKRFSYNRFLKNKLDLYVDFPIDDFDLSSYILHKSDEIDHRYKLYAVSNHYGGMGYGHYTAYVQHGHNRWYEFDDAHVSAIMEDKIKTSAAYVLFYRRV >itb01g12600.t1 pep chromosome:ASM357664v1:1:12152297:12160601:-1 gene:itb01g12600 transcript:itb01g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLRRLSHSALTFATSLYASVRIKSAILFPFLRRFLSKTLNFLSPIMESWLFSSSDDDAGFGLDLSFSNFTPQLSHLDPESLFLVPFRWWSEAKEGLCSSGEVSESEISGTLYNATAILKRSDVFPGESSTETEIVLDMDMDMEREGETEDNEEGVSGKALALVSEWMFLRAMKWHFDMKNVGGFLAPDDTLHDLFSLHIRLLYISKTNSLAIRISLKDNEVGVFDRACGIFCDTPDLFHIWDFSGQTIQFVLNNRKLLSNSGQLNEEILLELKVYELPCNNDRKGSRKEEMTSEHCSSSKSSASTDAIMCYSGVNGNFVIGSSKNSACALGLTGLGNLGNTCFMNSALQCMVHTPKLVDYFLGDFKKDLNFENPLGMKGKLALAFGDLLRKLWAPGATTVYPEMFKSTIASFAPQFSGYNQHDSQEFLAFLLDGLHEDINRVKHKPDIETKEEDDRPDEEVADEHWSNHLSRNDSVIINLCQGQYRSKLVCPVCKKSSVTFDPFMYLSLPLPSTTMRTMTLTVFSSEGTTMPFPVTVTVPKYGRSKDLVETLISKCSLSDEETLLVAEIYGSSIIRFLDDPTDSIELVRDDDTLVAYRLPKDDDGSRLVVFQHQCKEKSIVHGSHKKLGTPLVTRISDFSSGSAIHKQYERLLSPFLVQEEDSPSDYNDAQNSTNHDSEMMDVMPNGDSNLKNEFKDDSLLAGDFQFYLENKILLSMDEPVPVSNSYEPVNMLVTWPERMVDVYDTARLSTLSEVCNSALDGGKPPESVSLYKCLDAFLKEEPLGPEDMWFAS >itb12g28390.t1 pep chromosome:ASM357664v1:12:28326496:28327245:1 gene:itb12g28390 transcript:itb12g28390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYKQAQSPDLKPSVALVVTDLKPSVAHCRHRSEAVVSSLSSQIFSFRAYKDTWNDSSMEKYLLSMYRKAFDKQLSALSMKNHKEREELGRKEMIFSEANKPADCKSTEKRPVITSTSPALQPQVVYYAKFLQVV >itb10g03180.t1 pep chromosome:ASM357664v1:10:2890405:2894569:-1 gene:itb10g03180 transcript:itb10g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVKPFLSFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINTGRGAEFEGAIIALFHLLIARTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGIWKESEYSGQSVPVGGLAYYVTAPSSLADMLTHPFHALFYIVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADMMGAIGSGTGILLAVTIIYQYFETFEKEKATELGFLGL >itb10g01020.t1 pep chromosome:ASM357664v1:10:735558:738620:1 gene:itb10g01020 transcript:itb10g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MEEENVTTQTTPEEAKLLVQEIIGLIEQAKSFESYRVSQKKECETLVWRLKQLLPLLLEVREVETTIPDPGSRCLMTMKKAAKASKKLLKTCHGDSRIYLALENGAILGKFHDVYDKLNHALSEMPYAELGISDQVKQQMDEILMQLKKVKGRTDTQDMELVMDLLVSVYNKDGRNADSASIERLAHKLGLQTLEELNRETILVRKLVKDKKGQLKPEAMQQIIDLLNKFKRAAGLPEEPEFQDSDFVMTKSQEKAASVVIPNEFLCPITLEIMSDPVIISTGQTYERESIQRWLDSNHKTCPKTGQALANLLLAPNIALKNLIQQWSEIHNFPLPKKDPPANADTHINKDSSDLQTLVHNLSSNLLEEQRKAVEKIRLLSKGSSENRISIASCGAIPPLVQLLSYPDSRIQEHAVTALLNLSLDESNKKLISRENPISAIIEVLQKGTVGARENSAAALFSLSMLDENKVEIGSRNGIPPLIDLLKGGTIRGKKDAITALFNICLNQANKTRAIEGGIIEPLLKFLMDKKLDIVDEILSILLIFASHPTGRQEMGQLKFVETLVNLVQDGTPKNKECAAAVLLELGSHNSNLMLAALQFGVYNPLVEVAQSGTDRAQRKAKSILQLMSKAEQIP >itb03g22620.t1 pep chromosome:ASM357664v1:3:20667688:20669626:-1 gene:itb03g22620 transcript:itb03g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPNLHNMFGFLTVLLCLSFGYAMPSHSSSVGVKGAYWPSWAESDFPPSAIDTTLFTHIYYAFLVPNNATFQFDVDAATATQLLRFTSTLRSKNPPVKTLYSVGGGGVDGSLFTRMASSAATRKSFIDSSIQVARKYGFDGIDLDWEMPQNPTEMDYFGLLLGDWRAAVAKEARATRRPQLLLTAATYFSVDFFLAQVYRAYPVAAINKNLDWINLMCYDYHGSWDTSATGAQAALFDPKSNISTHYGLGRWIKAGLLRSKLIMGLPLYGRTWKLKDSRSYGIGAPAVDVGPGGGTLRFEEIEAFNEANNATVVYDASTVSVYSVAGTSWIGYDDPRSISVKVKYAQALKLRGYFFWSVNGDHQWKVSKQAKQSWVFP >itb04g10220.t2 pep chromosome:ASM357664v1:4:9503144:9506529:1 gene:itb04g10220 transcript:itb04g10220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPHRTGSRPHREIIYENGGKDSTPPDLGVIFLKTRCKNEKLDGTREKEKYDEIVKTIMSDPSLSNLEIVEKHFGQQRHGHVYGYGGGVKRKNFNDSKSTYIKELEAKLHEKDEENRNLKRRMDVFESRLIRIENGDLSSLGTTTSDDIQEDA >itb04g10220.t1 pep chromosome:ASM357664v1:4:9503144:9506529:1 gene:itb04g10220 transcript:itb04g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPHRTGSRPHREIIYENGGKDSTPPDLGVIFLKTRCKNEKLDGTREKEKYDEIVKTIMSDPSLSNLEIVEKHFGQQRHGHVYGYGGGVKRKNFNDSKSTYIKELEAKLHEKDEENRNLKRRMDVFESRLIRIENGDLSSLGTTTSDDIQEDA >itb10g22540.t1 pep chromosome:ASM357664v1:10:27350600:27353691:-1 gene:itb10g22540 transcript:itb10g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRKVCDLADGMKPVMMMITVMVVYGGMSVFYKVASNDGMSLRVLIAYRFIFAAAFIVPIALYTERKSRPKLTWTILLQAFTCALFGGSMAQNLFAESLVLTSVTFTTAIINLIPAFTFILALPFGLEKLGLRSRAGQAKLMGTLIGIGGAMVLTFYRGKELKILPTQSQPLIDNRSGHVQTALILHDSHSHILGVLLALACVLSNAISLIIQAKMSELYPCHYSSTALITIMGSLQAAGYALCTERDWSQWKLGWNIRLLIVVYGGVVASALAICFMMCSVRMRGPLFVSAFNPFMLVCVAIASTLFLKEKLYLGCVIGAVAIIVGLYMVIWGKQKEMKGVPSKLTPSTSSGDFNDDEEEEVPNEKDVNVGNNLVAVAPNLMMVLGEEEVLVEEDEGMRRGDLNV >itb09g10850.t1 pep chromosome:ASM357664v1:9:6709844:6721143:-1 gene:itb09g10850 transcript:itb09g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWLILLKKIAQSHNLLPEFEEGDARPQGGRPRWVVPPNPRMGPWLILLKKIAQSHNLLPEFERPAFSDLTFPSLCYDFSFLTALKFGLSSVQHSPGFIKDLQSAIQFLDYLAGLPWSFGAWQSRRLLVYSHVKLLSVGLQSREIAYCIFLAGTEPLSISWS >itb13g10670.t1 pep chromosome:ASM357664v1:13:15562183:15562995:1 gene:itb13g10670 transcript:itb13g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAEFTYQLLQGYDFVHLFEKGVTVQIGGSDQWGNITVGTDLIRQIIGKSSEIEAAASLAYGLTFPLLLKSDGTKFGKSEEGAIWLSPSLLSPYKFYQYFFSVPDADVVRFLKILTFLTIEEIEELKTQMGNPGYAPNTAQRRLAEEVTRFVHGQEGLDEALKATEALRPGNADTKLDWKTIEGISGDVPSCSLPYDQVLSISVLDLIVSSGLLESKSAARRMLKQGGLYLNNARVDSEAKKIEEDDIVDGKVLLLSAGKKNKMVVRIS >itb05g27360.t2 pep chromosome:ASM357664v1:5:30770767:30774257:-1 gene:itb05g27360 transcript:itb05g27360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGVKSSQERQNQTSGSQTLERSSHRPTPSKTSKNSNSENLRSKEFLANHLDSSLNLGSVKKPPSGVSSVPGDTKGGLPERRADQEKKASGSGTVKDSLASTEVSNGESSLAKTSGIAKTSDRTDFIESGKSSMYRGSTSSDVSDESTCSSLSSSVNKPHKANDSRWEAIQAVRARDGVLDLRHFRLLKKLGSGDIGSVYLSELCGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPSLYTHFESEKFSCLVMEFCPGGDLHTLRQKQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSLEAEPLRKNSAYCVQPACIEPSCIQPSCVVPTSCFGPRGLFSGKSKKEKKLKNEVGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEFERIPAPPAAPSNQKSVAAGAIPGPQNPDNYLEFDFF >itb05g27360.t3 pep chromosome:ASM357664v1:5:30770770:30774211:-1 gene:itb05g27360 transcript:itb05g27360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGVKSSQERQNQTSGSQTLERSSHRPTPSKTSKNSNSENLRSKEFLANHLDSSLNLGSVKKPPSGVSSVPGDTKGGLPERRADQEKKASGSGTVKDSLASTEVSNGESSLAKTSGIAKTSDRTDFIESGKSSMYRGSTSSDVSDESTCSSLSSSVNKPHKANDSRWEAIQAVRARDGVLDLRHFRLLKKLGSGDIGSVYLSELCGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPSLYTHFESEKFSCLVMEFCPGGDLHTLRQKQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSLEAEPLRKNSAYCVQPACIEPSCIQPSCVVPTSCFGPRGLFSGKSKKEKKLKNEVGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEFERIPAPPAAPSNQKSVAAGAIPGPQNPDNYLEFDFF >itb05g27360.t1 pep chromosome:ASM357664v1:5:30770767:30774257:-1 gene:itb05g27360 transcript:itb05g27360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGVKSSQERQNQTSGSQTLERSSHRPTPSKTSKNSNSENLRSKEFLANHLDSSLNLGSVKKPPSGVSSVPGDTKGGLPERRADQEKKASGSGTVKDSLASTEVSNGESSLAKTSGIAKTSDRTDFIESGKSSMYRGSTSSDVSDESTCSSLSSSVNKPHKANDSRWEAIQAVRARDGVLDLRHFRLLKKLGSGDIGSVYLSELCGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPSLYTHFESEKFSCLVMEFCPGGDLHTLRQKQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSLEAEPLRKNSAYCVQPACIEPSCIQPSCVVPTSCFGPRGLFSGKSKKEKKLKNEVGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEFERIPAPPAAPSNQKSVAAGAIPGPQNPDNYLEFDFF >itb05g27360.t4 pep chromosome:ASM357664v1:5:30770767:30773912:-1 gene:itb05g27360 transcript:itb05g27360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGVKSSQERQNQTSGSQTLERSSHRPTPSKTSKNSNSENLRSKEFLANHLDSSLNLGSVKKPPSGVSSVPGDTKGGLPERRADQEKKASGSGTVKDSLASTEVSNGESSLAKTSGIAKTSDRTDFIESGKSSMYRGSTSSDVSDESTCSSLSSSVNKPHKANDSRWEAIQAVRARDGVLDLRHFRLLKKLGSGDIGSVYLSELCGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPSLYTHFESEKFSCLVMEFCPGGDLHTLRQKQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSLEAEPLRKNSAYCVQPACIEPSCIQPSCVVPTSCFGPRGLFSGKSKKEKKLKNEVGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEFERIPAPPAAPSNQKSVAAGAIPGPQNPDNYLEFDFF >itb02g12920.t1 pep chromosome:ASM357664v1:2:8923293:8924765:-1 gene:itb02g12920 transcript:itb02g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQRVFEWSHFSLFHTGFSVELPKIQFISLEYRQAKVHASSLSVVQFVSRMSQKRQKGDDSKPQQDCGTSDDKRRRKIPSLKSAILQVINLHKVHNYIEPVLEPLIRKVLAIVSLG >itb09g04240.t1 pep chromosome:ASM357664v1:9:2358616:2360670:1 gene:itb09g04240 transcript:itb09g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLKKLNLSSCYLYSLHSPPTLPFLNFTSLQILDLSHNHFGSLIPSWLFNLSNLATLNLKNSEIQGSIPETFQTMTSLTVLDLSENNLRGELPSALPSLLKEVRFSGNRLNGPLAKNIMHLKHLVVLDVARNSLNDTLTQGSLNFSDLKELDLSDNSIVLKMSQSWIPSFQLDVIALRSCQLGHLFPSWLRTQKTFSFIDISRSGISDKVPDWFWDLSPAMDHMDLSSNQLRGEVPDLSRLSLSEVDLSGNNFQGPVPHFSSMMKVFILTSNSFSGTVSPVCESLDYNNSLRLLYLSSNNLSGPLPDCWVNGTELIVLNLGSNFLFGEIPQSLGNLLNLKKLELDHNNFLGDLHSSLQNLRRLTVFHVGSNNLTGNIPIWIGECSELIVLSLQRNQFSGAIPPQLCRLEYLMVLDLSSNALTGTIPRCVNNFVIMAGVEGVPPFFFDRYTPYEKDVIIKIFCEYYKYESTLAFFSMIDLAGNFLSGEIPGELASLVQLRALNLSENNLTGPIPPGISDLSILEVLDLSRNNLSCSIPPSMADHLSHLAILNLSYNHLSGEIPKGQQFNTFDNSSYIGNRYLCGPPLTTECSTPLPEDPHCMNHNDPKTQHHASDWLDGAASFFISMGAGFILGFWAFWGSLLLSKSWRYAYFRFLDNTADNIYVFIAIKLRNWKERKQVNAD >itb05g24670.t1 pep chromosome:ASM357664v1:5:29358857:29360333:1 gene:itb05g24670 transcript:itb05g24670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDRSESTNANGAEMPSPALQTPRKIALITGITGQDGSYLTELLLDKGYEVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKLHYADLTDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHISATGRVHMRYYQAGSSEMFGSTPPPQSESTPFHPRSPYAVSKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVQEFLEVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDASKAKKVLGWKPKVGFQQLVKMMVDEDIDMAKREKVLVDAGYMDAQQQP >itb01g11900.t1 pep chromosome:ASM357664v1:1:11274856:11276444:1 gene:itb01g11900 transcript:itb01g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMTSLPQFNGLKAITAPSTSPARSLVALQPMKRRGGGALGARCDFIGSPTNLIMVTATSLMLFAGRFGLAPSANRKATAGLKLESRDSGLQSGDPAGFTLADTLACGTVGHILGVGVVLGLKNIGAL >itb01g23140.t1 pep chromosome:ASM357664v1:1:29212337:29214952:1 gene:itb01g23140 transcript:itb01g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLALCPISVDSHSYLSKISYLFRHIPAVSLRPSFLHLYQPEMLHTTVTDAMETGTEMTVLERHQSRLKWHQEEQHQILTYLGGGNDHLVTGMFPPPPEIQEFQSLGDLVTRAMKPENVWSDFSGLGLGQETDIGTNYRISRTASSPAAVAEDGKRKEAPPPENLKRRKAEKKQSTTEQSGNKNNKKESSSATSDDTKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPTIDFNIDSFYTKEVYEVSGSNYPAEMFNSLQQCSEMENGVNPSAMALRRTISAPISLLDSTANQIQASSAWDAEIQNLYAMEFQQGRSTTSFLSQPFMGFIEGGNTRMAI >itb01g23140.t2 pep chromosome:ASM357664v1:1:29212337:29214538:1 gene:itb01g23140 transcript:itb01g23140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLALCPISVDSHSYLSKISYLFRHIPAVSLRPSFLHLYQPEMLHTTVTDAMETGTEMTVLERHQSRLKWHQEEQHQILTYLGGGNDHLVTGMFPPPPEIQEFQSLGDLVTRAMKPENVWSDFSGLGLGQETDIGTNYRISRTASSPAAVAEDGKRKEAPPPENLKRRKAEKKQSTTEQSGNKNNKKESSSATSDDTKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPTIDFNIDSFYTKEVYEVSGSNYPAEMFNSLQQCSEMENGVNPSAMALRRTISAPISLLDSTANQIQASSAWDAEIQNLYAMEFQQGRSTTSFLSQPFMGKPVFPELCLCSLYKRRI >itb01g23140.t3 pep chromosome:ASM357664v1:1:29212337:29214952:1 gene:itb01g23140 transcript:itb01g23140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLALCPISVDSHSYLSKISYLFRHIPAVSLRPSFLHLYQPEMLHTTVTDAMETGTEMTVLERHQSRLKWHQEEQHQILTYLGGGNDHLVTGMFPPPPEIQEFQSLGDLVTRAMKPENVWSDFSGLGLGQETDIGTNYRISRTASSPAAVAEDGKRKEAPPPENLKRRKAEKKQSTTEQSGNKNNKKESSSATSDDTKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPTIDFNIDSFYTKEVYEVSGSNYPAEMFNSLQQCSEMENGVNPSAMALRRTISAPISLLDSTANVCISSIL >itb01g35930.t2 pep chromosome:ASM357664v1:1:37867452:37869541:1 gene:itb01g35930 transcript:itb01g35930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKFDDLIIKRDSMGIPVFSDEIPSTSPAALQQALLGEADKTTYSLGFLDAQHNNNYYNTTPIPNTIFDLIIHTHTHTPPPQHQSIPPPPSHSQPTAPSPTSLLESSEVVNATPPTPNSSSLSSSSNEATPAANDDQHQTSKTVEEDEEDKTTKKQVKPKKKKNGEKRQREARFAFMTKSEVDQLDDGYRWRKYGQKAVKNTHFPRSYYRCTAASCGVKKRVERWCEDASIVVTMKVPTPIRVPSSKPRDPLGLGLCHLQQPLPPFILLLHKKVELPVVVVVIVSRSWTILM >itb01g35930.t1 pep chromosome:ASM357664v1:1:37867452:37869541:1 gene:itb01g35930 transcript:itb01g35930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKFDDLIIKRDSMGIPVFSDEIPSTSPAALQQALLGEADKTTYSLGFLDAQHNNNYYNTTPIPNTIFDLIIHTHTHTPPPQHQSIPPPPSHSQPTAPSPTSLLESSEVVNATPPTPNSSSLSSSSNEATPAANDDQHQTSKTVEEDEEDKTTKKQVKPKKKKNGEKRQREARFAFMTKSEVDQLDDGYRWRKYGQKAVKNTHFPRSYYRCTAASCGVKKRVERWCEDASIVVTMKVPTPIRVPSSKPRDPLGLGLCHLQQPLPPFILLLHKKVELPVVVVVIVSRSWTILM >itb01g35930.t3 pep chromosome:ASM357664v1:1:37867577:37869541:1 gene:itb01g35930 transcript:itb01g35930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENKFDDLIIKRDSMGIPVFSDEIPSTSPAALQQALLGEADKTTYSLGFLDAQHNNNYYNTTPIPNTIFDLIIHTHTHTPPPQHQSIPPPPSHSQPTAPSPTSLLESSEVVNATPPTPNSSSLSSSSNEATPAANDDQHQTSKTVEEDEEDKTTKKQVKPKKKKNGEKRQREARFAFMTKSEVDQLDDGYRWRKYGQKAVKNTHFPRSYYRCTAASCGVKKRVERWCEDASIVVTMKVPTPIRVPSSKPRDPLGLGLCHLQQPLPPFILLLHKKVELPVVVVVIVSRSWTILM >itb06g18200.t1 pep chromosome:ASM357664v1:6:21911969:21917899:-1 gene:itb06g18200 transcript:itb06g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKSAPFNFLLFIKPYGVAIRPPAVVVHRGISLIGLPPLSGGRNSVPASPPRANRSLSLSVVKMESSCETKPSPAVVKLKPIEATPETFREFGQVIEASPDGEEFGPRDAQLDLSRGVPRFYIMQLKGRSLKFSSITHHANVTQCLGSIGGNVWYLGVAKSSMVDPSEIKGETGVNIQPSNSGHFYVPPAVDEVHAFKISGSKFIKLNQGTWHAGPLFNGGVMDFYNLELNNTNVVDHTTHYFNKKNNVVFHLEEFCEALETENLNSPNIQIHTEIGRESMGSAGKEAYRSLLKAVKKHIVKGEHKPDFTDYIREEFRKSVNSQAPNVREGLQLAKDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKKVLGKSAASVGLQLPDVYQP >itb07g13370.t1 pep chromosome:ASM357664v1:7:15552588:15557744:-1 gene:itb07g13370 transcript:itb07g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRNHGPEFHVVQQSRRDKLRNQNTSTPSQHMGDYRANLEHLSLHQGLNMDLVQLPGWRYGNISCDSSSSVLSSDMLNFASNPHSLVLNKDNNGDPQNCGTWKSVDLSHKIGSVWSGVANYSSGSAGIDGGNLSPMFVGENLSGSLRLNNDVPVSGIDVKTSYFGYQELQPSLANNPSSDTSGQYKDIQTLQEVVTSAAVGTRELEMLQNVRETERSSSWLPAGEEDETRLLPAYVDQSSQLFVNTNAASLVNSAVQWNGELERDNRAIENEASNTQALSLSLSSVGERISSGMPDLKPLKSDHLCSYPKQGSKALGNAARQDMVGNLTFTHRDLVPLGPFTGYATILKSSRFLRPAQQLLYEFCNFTGDKALNLSEVSGNNVMDEVGISSEAVNATPRSGGGSLAVDSGVSSSTFYSSNEKSSHEFRGMSSSNESYPRPEYLQNKVKLLYMQDEVCRRYRQYHQQMQMVVSSFESVAGLSAATPYISVALKAVSRQFRCFKSTISDQLRSIRKALGEDVLSPTGASSSKRDAGGTSGMKFIDQTLQNQNGSGERLHYLEPQTHVWRPQRGLPERAVAILRAWLFDHFLHPYPTDTDKHMLASQTGLTRNQVSNWFINARVRVWKPMVEEIHMLETKAMVEKGSNVGKADGEMGTQGRQLGLPNESQFLNARAIPDECPPGISPSIIREKSYQDMWNQDKRSRIDCGQLPVGMGFMPYQRNSLEIGGLGAVSLTLGLRQSIEGAQQQQQQQMQQHESQLRQQFGGQIIRDFAG >itb07g13370.t2 pep chromosome:ASM357664v1:7:15552588:15557508:-1 gene:itb07g13370 transcript:itb07g13370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRNHGPEFHVVQQSRRDKLRNQNTSTPSQHMGDYRANLEHLSLHQGLNMDLVQLPGWRYGNISCDSSSSVLSSDMLNFASNPHSLVLNKDNNGDPQNCGTWKSVDLSHKIGSVWSGVANYSSGSAGIDGGNLSPMFVGENLSGSLRLNNDVPVSGIDVKTSYFGYQELQPSLANNPSSDTSGQYKDIQTLQEVVTSAAVGTRELEMLQNVRETERSSSWLPAGEEDETRLLPAYVDQSSQLFVNTNAASLVNSAVQWNGELERDNRAIENEASNTQALSLSLSSVGERISSGMPDLKPLKSDHLCSYPKQGSKALGNAARQDMVGNLTFTHRDLVPLGPFTGYATILKSSRFLRPAQQLLYEFCNFTGDKALNLSEVSGNNVMDEVGISSEAVNATPRSGGGSLAVDSGVSSSTFYSSNEKSSHEFRGMSSSNESYPRPEYLQNKVKLLYMQDEVCRRYRQYHQQMQMVVSSFESVAGLSAATPYISVALKAVSRQFRCFKSTISDQLRSIRKALGEDVLSPTGASSSKRDAGGTSGMKFIDQTLQNQNGSGERLHYLEPQTHVWRPQRGLPERAVAILRAWLFDHFLHPYPTDTDKHMLASQTGLTRNQVSNWFINARVRVWKPMVEEIHMLETKAMVEKGSNVGKADGEMGTQGRQLGLPNESQFLNARAIPDECPPGISPSIIREKSYQDMWNQDKRSRIDCGQLPVGMGFMPYQRNSLEIGGLGAVSLTLGLRQSIEGAQQQQQQQMQQHESQLRQQFGGQIIRDFAG >itb03g03910.t1 pep chromosome:ASM357664v1:3:2364442:2365540:1 gene:itb03g03910 transcript:itb03g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQQHSGGNGTGPGYDSLSNSNSAHPRMPENDDGTGDLPVTEPPLQVRKPRYRECQKNHAVGIGGHAVDGCGEFMPAGEFGSLDALKCAACNCHRNFHRKEINTATAALVPVGYHHHHRAPAGYLHHYLHHAPPLQRPLALPSISGSAYREEMEELDLEMDTATPNSGGASKKRFRTKFTEVQKAKMMELAERLGWRIQKESEEAVEQFCSEYGVRRHVFKVWMHNNKHTVAKKTLEPNNNNNNQPNQDPPRI >itb01g03650.t1 pep chromosome:ASM357664v1:1:2380693:2381193:1 gene:itb01g03650 transcript:itb01g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIKAMKKLKFWSRKKKKKLIIKPPSTYHIPPPPPRRPPPPPPPCCHCYYYPYSPVEPSAPPLPPGVDFEEETHDTILLAEEDYISFTEKNSRDVDDDPTSPPPEKIAESYQKYMDPSPVYGVPAPAAGTAIIRERGAGGFGCVIDVGFHLFRCFFPCFHVREFK >itb15g03770.t1 pep chromosome:ASM357664v1:15:2378755:2382829:1 gene:itb15g03770 transcript:itb15g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRNGSAPKGSKPSRDRAASLPRSRIPETKSLDFSAWVSENLYKLLTIVLLVATVAGLFYFRNAAEGTAALLCIESTQARSVKPQMPRINWNDIPAILDKSSPYANFRSEKWIIVSVSNYPSDSLRKLTKLKGWQVLAVGNSKTPKDWSLKAAIYLSLEMQAQLGFRVLDFLPFDSYVRKTVGYLFAIQHGAKKIFDIDDRADVVDDDIGKHFDVELVGESARQEVILQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEIEREEFYTEVFGGRQFIQQGISNGLPDVDSVFYFTRKSGLEPFDIRFDERAPKVALPQGTMAPLNSFNTIFQSSAFWGLMLPVSVSSMASDVIRGYWAQRLLWEIGGYVVVYPPTIYRYDRAEAYPFSEEKDLHVNVGRLIKFLVTWRSTKHRFFEKIMELSYAMAEEGFWTERDVKFTAAWLQDLLAVRYQQPRLMSLELDRPRANIGHGDRKEFVAQKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMFCSGPVERTALEWRLLYGRIFKTVIILSQQKNVDLAVEKGELDYIYRYLPKLFNRYTSAEGFLFLQDNTILNYWNLLQADKSKLWITDKVSKSWTSVSVAGSSEWISKQANLVKKVVDTMPVHFQVNYKESVGSGESLKICESEVFYVPRRFVTDFIDLVNLVGKLDVHHKVTIPMFFLAMDSPQNFDPVFNPMVYEETQPNNLTTLYSAEAPAVHPWSVSSEQEFIKLIRVMAAGDPLLLELV >itb01g14710.t1 pep chromosome:ASM357664v1:1:16816026:16818404:-1 gene:itb01g14710 transcript:itb01g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEENVTLGPAIREGELVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >itb04g08720.t1 pep chromosome:ASM357664v1:4:7455246:7455715:1 gene:itb04g08720 transcript:itb04g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKKKQKKNKKKKNKTKQNKNLFWPSICVRFAMVISGSPAEGSSGSGSASRTFSASIKYKADGGSRSARAILAFAFGSGAFGEISDHSDPPARVLDEPDGGSPSRMPARDWDGIDQMKIMNGSDKVLN >itb09g31200.t2 pep chromosome:ASM357664v1:9:31685529:31690861:1 gene:itb09g31200 transcript:itb09g31200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEGTLIVKHLAEEAKQRQEEEKEKPGESKSEEEVEEDEEDGEKEKEENLEEESDGSVASKSEDDEKGAEKKGADKIQSSPVTPGASLRPTRERKTVDRFYATPTSRPSDTKSLSIQKGQGVQLKDIPNVAYKLSKRKPDENLQILHSILYGKKTKAYNLKKNIGQFSGYLWDENEHEKQRGKIQDKFGKCVKEKLLDFCDVLNLPVKSVTKKEELCLRLLEFLESPHATTDLLLAEKKKKSKKQKSKTTKLKSSVDRTAPSKKRKVSSEWNEEADNTGDESQEDDQNDEDADDQSVLEGTDSEDREQEEAEKSEEEQNEKISSKKDSETKIGDKVAGKDTPVTSSKSSKVVSAKRQKVGKDKSISVKDKASSTKLSKEDGKPRNVKADLEPSKEAIFTAAVNILKNVDFNTATLSDIFRQLGSHFGVDLMHKKAEVKAIVTDAINNMSDDEDEAGDIESED >itb09g31200.t1 pep chromosome:ASM357664v1:9:31685529:31690861:1 gene:itb09g31200 transcript:itb09g31200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEGTLIVKHLAEEAKQRQEEEKEKPGESKSEEEVEEDEEDGEKEKEENLEEESDGSVASKSEDDEKGAEKKGADKIQSSPVTPGASLRPTRERKTVDRFYATPTSRPSDTKSLSIQKGQGVQLKDIPNVAYKLSKRKPDENLQILHSILYGKKTKAYNLKKNIGQFSGYLWDENEHEKQRGKIQDKFGKCVKEKLLDFCDVLNLPVKSVTKKEELCLRLLEFLESPHATTDLLLAEKKKKSKKQKSKTTKLKSSVDRTAPSKKRKVSSEWNEEADNTGDESQEDDQNDEDADDQSVLEGTDSEDREQEEAEKSEEEQNEKISSKKDSETKIGDKVAGKDTPVTSSKSSKVVSAKRQKVGKDKSISVKDKASSTKLSKEDEMLRLT >itb09g16600.t1 pep chromosome:ASM357664v1:9:11761091:11765629:-1 gene:itb09g16600 transcript:itb09g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQDNTQDNTEGNTEGNNVSGARLYDLLQMDTSPSDSDQMEPQVQDYSLSPRCRLEALMTESGNSFCADCGSPDPKWVSISLGVFICIKCSGVHRSLGVHISKVFSVKLDEWTHEQVDMLTEMGGNNVANLKYEAAFPENYKKLKPDSSNEERNDFIRRKYELQQFVNSDLQLMCPITTTSSSSTSEKKHSTGHRIHGLGFAFRNSWRKKESETQKATRKLNSMAGMVEFIGLIKVNVVRGTNLAIRDMVSSDPYVILTLGSQSVKTRVIKNNLNPVWNEQLMLSIPENVPPLKVHVFDKDTFTTDDFMGEAEIDIQPLVVAARASENFTKNDPLQQLGTTWKVDTENANPPLVADGAIYLIEGTVKQEIALKLQNVERGVLEVELECVSLTQ >itb09g16600.t2 pep chromosome:ASM357664v1:9:11761091:11765629:-1 gene:itb09g16600 transcript:itb09g16600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQDNTQDNTEGNTEGNNVSGARLYDLLQMDTSPSDSDQMEPQVQDYSLSPRCRLEALMTESGNSFCADCGSPDPKWVSISLGVFICIKCSGVHRSLGVHISKVFSVKLDEWTHEQVDMLTEMGGNNVANLKYEAAFPENYKKLKPDSSNEERNDFIRRKYELQQFVNSDLQLMCPITTTSSSSTSEKKHSTGHRIHGLGFAFRNSWRKKESETQKATRKLNSMAGMVEFIGLIKVNVVRGTNLAIRDMVSSDPYVILTLGSQSVKTRVIKNNLNPVWNEQLMLSIPENVPPLKVMILWGRRRLTSNLSSWLREHLRISPRTIRCSSSGRHGKWTQKTPIPRL >itb15g06790.t2 pep chromosome:ASM357664v1:15:4551410:4553997:-1 gene:itb15g06790 transcript:itb15g06790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESESPISMHQPLHFQQDDDASPKPIKKSLVSTLMEAAALRSPSFKEDTYYISHLKHSEKKALSDFKHTLAASSSSSANASMWGIPLLSAAGADDRADVVLLKFLRARDFKVGDALHMLEKCVGWRKEFGADDVVEEDLGFKELEGVVAYMNGFDRQGHPVCYNAYGVFKDREMYERIFGDDEKLQRFLRWRVQVLERGIKLLHFKPGGVNSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEYVPVQYGGLSRPSDLHNGPPKPASEFTVKGGEKVNIQIEGIEV >itb15g06790.t1 pep chromosome:ASM357664v1:15:4551410:4553997:-1 gene:itb15g06790 transcript:itb15g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESPISMHQPLHFQQDDDASPKPIKKSLVSTLMEAAALRSPSFKEDTYYISHLKHSEKKALSDFKHTLAASSSSSANASMWGIPLLSAAGADDRADVVLLKFLRARDFKVGDALHMLEKCVGWRKEFGADDVVEEDLGFKELEGVVAYMNGFDRQGHPVCYNAYGVFKDREMYERIFGDDEKLQRFLRWRVQVLERGIKLLHFKPGGVNSIIQVTDLKDMPKRELRVASNHILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEYVPVQYGGLSRPSDLHNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWELEYSVEFVPNAESSYTIAVEKPRRIAAGEEAVHNSFKSPEAGKLVLSVDNTASRKRKVAAYRYLVRKSSTI >itb09g13630.t1 pep chromosome:ASM357664v1:9:8914027:8916328:-1 gene:itb09g13630 transcript:itb09g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQRQSAHSRSNKNDDPDAEQIDQPAEDDWVIVKKQRVTILIPALPAFEQPIAINSGDSPLQAQPSKAMNTPSSPVPLVLNCGESPRQAQPRKTINIQPSLVEEMHTEKHAVVQCEKSISLGHKHTVQTINVAPAPPQPTLHFPKPSRLSIGSENPPVCSFRSSKINGICNVTKVSKQTMIIANGGSMINKRMRAFNLERRLQRAGGLTNWLVSLGLGHFIKIFQGKNVNKFQLANLTMEKLKDMGSFAVGPRRKLIHAIDCLCHP >itb09g13630.t2 pep chromosome:ASM357664v1:9:8914173:8916429:-1 gene:itb09g13630 transcript:itb09g13630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQRQSAHSRSNKNDDPDAEQIDQPAEDDWVIVKKQRVTILIPALPAFEQPIAINSGDSPLQAQPSKAMNTPSSPVPLVLNCGESPRQAQPRKTINIQPSLVEEMHTEKHAVVQCEKSISLGHKHTVQTINVAPAPPQPTLHFPKPSRLSIGSENPPVCSFRSSKINGICNVTKVSKQTMIIANGGSMINKRMRAFNLERRLQRAGGLTNWLVSLGLGHFIKIFQGKNVNKFQLANLTMEKLKDMGSFAVGPRRKLIHAIDCLCHP >itb08g06190.t1 pep chromosome:ASM357664v1:8:5291441:5297616:1 gene:itb08g06190 transcript:itb08g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLLLPREMGIHGKYGYNCPSKGMFDKSQIHSSTSFLSSHFSRQDFWSVHLLNCVRPLCLSPVYSRHNIFLCQSILKPTGGFDVSVLKTAASILTSSFNALHGSPLVHKLAPAVGVIAFAAWGLSPLIRFGWILFLHKSDNNWKNSNTHYVVTSYLQPLLLWAGATLICRTLDPVILPSVRAQAVKQRLLTFIQSLSTVVSFAYCLSSLIQQTHKFFGETKDSSDARNMGFEFAGKAVYTAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVLNNWIQTKIDGYEVSGTVEHVGWWSPTVIRGDDREAIHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNIDRENQALLIMVSCFVKTSHFEEYLRVKEVVLLDLLRVISHHRARLATPIRTVQKIYKEADVDEVPLADSVITVNRANHPFLLIEPSYKINSSEDKPKAATPPRPNQEKDGKSEVQTASKADGSPPILDGNRIDTRGSSGSSADTEAGVATSELDGKTPNTGFPDSVQSKNERQQVDKVGPGEPLVSSTGLESFPELSPEKIDAPSGVPQAKQDGERPAPAPSQAVARPTLEENILLGVALEGSKRTLPIEEEMTPSPAAAESKELAGSACRNGNSATTAATTSGGKDKKDDAGVQSSPSDSKE >itb08g06190.t2 pep chromosome:ASM357664v1:8:5291441:5297616:1 gene:itb08g06190 transcript:itb08g06190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLLLPREMGIHGKYGYNCPSKGMFDKSQIHSSTSFLSSHFSRQDFWSVHLLNCVRPLCLSPVYSRHNIFLCQSILKPTGGFDVSVLKTAASILTSSFNALHGSPLVHKLAPAVGVIAFAAWGLSPLIRFGWILFLHKSDNNWKNSNTHYVVTSYLQPLLLWAGATLICRTLDPVILPSVRAQAVKQRLLTFIQSLSTVVSFAYCLSSLIQQTHKFFGETKDSSDARNMGFEFAGKAVYTAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVLNNWIQTKIDGYEVSGTVEHVGWWSPTVIRGDDREAIHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNIDRENQALLIMVSCFVKTSHFEEYLRVKEVVLLDLLRVISHHRARLATPIRTVQKIYKEADVDEVPLADSVITVNRANHPFLLIEPSYKINSSEDKPKAATPPRPNQEKDGKSEVQTASKADGSPPILDGNRIDTRGSSGSSADTEAGVATSELDGKTPNTGFPDSVQSKNERQQVDKVGPGEPLVSSTGLESFPELSPEKIDAPSGVPQAKQDGERPAPAPSQAVARPTLEENILLGVALEGSKRTLPIEEEMTPSPAAAESKELAGSACRNGNSATTAATTSGGKDKKDDAGVQSSPSDSKE >itb12g09380.t2 pep chromosome:ASM357664v1:12:7374896:7375641:1 gene:itb12g09380 transcript:itb12g09380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAPFPTPPTDTQLFHNFQNIQPPLRCHGNAAFSSLQILFSHLSSLNLVNHFSLPKRQDREEKLHTPFSFLFFNIPIFSTPTHHLSHLPNFQFNSFRCVITPILVLLVE >itb12g09380.t1 pep chromosome:ASM357664v1:12:7374896:7376421:1 gene:itb12g09380 transcript:itb12g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAPFPTPPTDTQLFHNFQNIQPPLRCHGNAAFSSLQILFSHLSSLNLVNHFSLPKRQDREEKLHTPFSFLFFNIPIFSTPTHHLSHLPNFQFNSFRCVITPILVLLVE >itb02g00190.t1 pep chromosome:ASM357664v1:2:169717:173632:-1 gene:itb02g00190 transcript:itb02g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTLSSNFRCVNGVISSTKNTQQKLSSQFCCDYSSVANNKKESISSTMDASNPFQFLTKKPYRPPNWASSLSPIPSDVFSLGHFPTPIHKWNLPNLPTNTEVWLKRDDLSGMQLSGNKVRKLEFLLADAVAQGADCIITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDKDPGLTGNLLVERLAGAHIDLVSKEEYAKVGSVALANILKEKLLHEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQLQHTAFEHKFDGIVVACGSGGTVAGLSIGSWLSSLKAKVNAFCVCDDPEYFYEYVQGLLDGLNAGISSHDIVSIQNAKGLGYAMNTSEELKFVKEIAEATGVILDPVYSGKAAYGMMKDMAENPKKWEGRKILFIHTGGLLGLYDKSEQMASLVGNWRKMDIHESVPRRDGTGKMF >itb15g21390.t1 pep chromosome:ASM357664v1:15:24105073:24120732:-1 gene:itb15g21390 transcript:itb15g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLCFSSYALHVLSISLILASGAHHLRLLPSPPLKLSASSHLLRLHFRYSLQLLNGEEIIEKPTRTVQLNVSRKNSGRMFSISAINDTDSRTKWEPLAPTKEAQEFHLSQTYHEGLLKLQAKEYEKARELLEAVLKDPLIGNAKVDSSSTDGHLLQLRFLALKNLAAVFLQQGSAYYENALQCYLQAVEIDTKDSVLWNQLGTLSCSMGLLSISRWAFEQGLYCSPNNWNCMEKLMEVLVAIGDEVACLSVAELILRHWPSHSRALHVKNTIQESEPIPFSPRGIDKLEPKHIRLKFPEKRKTTESDPDDDVSTKKLKQCMEFHLPEVTFTALADELLKILRPLAECSSELGTGNYRPGDTRISIQLPQMSQKSVDSVESKEPIFSQCSESNFSGDCSSDKGIVSREKETVSCGEQPQERRSSRLERLRSRKPGKEELDFVANKDLAKVVTKFLQPFVVNETGIRDYKGENSCSYQSKTVDESLYFEYNDVMKFIQKTGRNCGAYHLGHLLLEEVAARGILHQDGISRFLDLEKLTRHWGQERTPECYLFLAELYYDVVLCSHDTSTTAGFISETTYNLCKIIESVALDYPFQLNSVDGNENFSAADGFPSNCSSSTLLKDNYPFWVRYFWLSGQLSKLDGDKEKARKEFSISFALLTNEVNKNGSQPVCLPHCKSIRKLTVDGVLHEIHLLEVEFLLKDTINKTIDENMYSECVEILAPLLFSSKDAHLGALYTANQGGGECTSVELSALNVLLKACQQAEPMDIDVYLSCHRRKLQILVASAGGEDSLDSHKMNLSVSETESKESPNNLQCHMFAEEVKAISECISRIKSIMSGNSNVLVQTTILVDIQSLLLKLMCKIANSYLCQRSSVMENSDQSEPRDRCYFIDAAIAFCKLQHLIANVPIKSQTELIVAVHDMLAEFGLCCARGDGEEEEGTFLKFAIKHLLALDMKLKSTSLSPCKESEMHLDQQPSHDNHVKRSDQLSQGNHADSSVNESKLDVLNVEVPQAETDEAKASDENIVQSMHSENTSPDKGSENEKIEVATDKNVGDDPRLKKENHAVECENELTEDEREDLEIGIDNALDQCFYCLYGLNLRSDSSYEDDLAIHKNRSRCDYQTKEQCADVFQYLLPYAKACSKTGLAKLRRVLRAIRKHFTKPPEDILAGNAIDRFLDNPDLCEDKLSEEAGSDDFLDTMTGIIFSDPGGLIQQKESSIHSSEPYLEVYSNLYYLLALSEELSATDKWAGFVLTKDGEDFVQQSANLFKYDLLYNPFRLESWQRLGNIYDEEVDLLLNDGSKQINVLGWRKNATLPERVEASRRRSRRCLLMTLALARTAVQQAEIHELLALVYYDGLQNVVPFYDQRSVVPSKDAAWMMFCQNSMRHFQKAFAHKEDWSHAFYLGKLSEKLGYSHNTSFSYYAKAMALNPSAVDPFYRMHASRLKLLCTCEKQNEEALKVVATYSYNQSTREAVMDILHKVSPKIPEPSLSTEDSSSNACFENNRQVDLHKLEEVWHMLYSDCLSALEVCVEGDLKHFHKARYMLAKGLYRRNGDGDLERAKEELSFCFKSHRSSFTINMWEIDSMVKKGRRKTSGVSGNRRALEVNLAESSRKFITCIRKYILFYLRLLEETRDVSTFDRAYISIRTDKRFSLCLEDLIPVALGRYVMAIISSLHQTIAGSDTTGNSLEHLLEKMFSLFLEQTGLWSDISSLSEIKSPELSESSLFGYLYQYIQLLEQNLKVETLEAMHEKIRKRLKNPKLSNSNFAKVYKYISIAWCQSLVISMALITPLHSRLPSEIQAANQSGNGLEISHMVCVDLQSDELWSSSSENPDHMKNLENKWNASLSKIKNVIIKRAADEDLETASLLLKSSYNFYKDTSCAFLPSGITLYAVPLQLAAETYIQPGIDVVDILDMNTSRKLLLWAYTLLHGHCTSISAVIKYCEEISKSRMRKGTGSPLASTTPPTPASHSGGGKDCSEREASPLSTIVSASSTEPDSAHKISMTSPEVENREAAAAACTPVPEPAGTPSDVACTSASQRGDSRNVSTSCLPEAAGAKDPNITSTDKNP >itb05g26360.t1 pep chromosome:ASM357664v1:5:30293268:30299050:1 gene:itb05g26360 transcript:itb05g26360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSQNKPPVAPTPSSPSNGKFAVDEVSVDKKRKIGNTKMPSGVRPGRQAFAVVNGSADLPPTSGPPSSAGSDCGVIEFNKEDVEALLVEKLKTKNKYNMKEKCDQMSEYIRRLKQCIRWFQQLEGNYVSEQESLKSLLESAEKKCNEMDMLMKSKEEELNAIIMELRKNIAVLQDNLKKEESEKSEALNSLSREKEARVAAETLQASLSEEHKRAQQDLTTANQKIQYLNDTYKRLQEYNTSLQQYNSRLQSELATTNETLKRVEKEKAAVVENLSTLRGHYTSLQEQLASSRTAQDEAIRQKETLASEVGCLRGDLQNMRTDRDSQLAKVQILTEEVVKYKECTGKSVAELGNLTVKSNELEARCLSQCEQIRRLQEQLSFADTKLQMSDMSALETKSEYERQKQVINELNQRLSEAETKIVEGEKLRKKLHNTILELKGNIRVFCRVRPALSDDAISAEKVVSFPTSFETLGRGIELTHNGQKHPFTFDKVFVPEASQKDVFDEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPGADQQGLIPRTLEQVFQTRQNNHAQGWKYDMQVSMLEIYNETIRDLLSPNRSGFDASRSENGGKQYAIKHDGNGNTHVSDLTVLDVRSSKEVSYLLERAAQSRSTGRTQMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSSLSDVIFALAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNVSPDPTSVGESLCSLRFASRVNACEIGIPRRQTSLRPPSDSRLSIG >itb05g26360.t2 pep chromosome:ASM357664v1:5:30293996:30299050:1 gene:itb05g26360 transcript:itb05g26360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGVRPGRQAFAVVNGSADLPPTSGPPSSAGSDCGVIEFNKEDVEALLVEKLKTKNKYNMKEKCDQMSEYIRRLKQCIRWFQQLEGNYVSEQESLKSLLESAEKKCNEMDMLMKSKEEELNAIIMELRKNIAVLQDNLKKEESEKSEALNSLSREKEARVAAETLQASLSEEHKRAQQDLTTANQKIQYLNDTYKRLQEYNTSLQQYNSRLQSELATTNETLKRVEKEKAAVVENLSTLRGHYTSLQEQLASSRTAQDEAIRQKETLASEVGCLRGDLQNMRTDRDSQLAKVQILTEEVVKYKECTGKSVAELGNLTVKSNELEARCLSQCEQIRRLQEQLSFADTKLQMSDMSALETKSEYERQKQVINELNQRLSEAETKIVEGEKLRKKLHNTILELKGNIRVFCRVRPALSDDAISAEKVVSFPTSFETLGRGIELTHNGQKHPFTFDKVFVPEASQKDVFDEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPGADQQGLIPRTLEQVFQTRQNNHAQGWKYDMQVSMLEIYNETIRDLLSPNRSGFDASRSENGGKQYAIKHDGNGNTHVSDLTVLDVRSSKEVSYLLERAAQSRSTGRTQMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSSLSDVIFALAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNVSPDPTSVGESLCSLRFASRVNACEIGIPRRQTSLRPPSDSRLSIG >itb05g05680.t1 pep chromosome:ASM357664v1:5:5469701:5470605:1 gene:itb05g05680 transcript:itb05g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGDDYSQTLGIEEAERDGAYIIRWKSGKRVDPRLLTLLESFRDVFDKRQDFFKKVFPGIYEEFKLVFEKFAQIKGQKREMKTRALERSSSLGSARGLRLERFRVRTPNVTVVTGPQDGGGQVK >itb06g17510.t3 pep chromosome:ASM357664v1:6:21344098:21345631:-1 gene:itb06g17510 transcript:itb06g17510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVSDYGRFSGQRSNFSHTCNRLSQYLKENGSFGDLSLGLSRNFVPSGNPRPTMDLLPMIEKSGQNSVHKPMNLFPTREESTKKMDTSVTKGGPEKAQMTIFYGGQVIVFNDFPADKAKEIMLLASSNGGTTSVAPKLPESSPPVSKVVPSFVNQRPSQQIASGNFSFFLLL >itb06g17510.t2 pep chromosome:ASM357664v1:6:21344539:21345691:-1 gene:itb06g17510 transcript:itb06g17510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVSDYGRFSGQRSNFSHTCNRLSQYLKENGSFGDLSLGLSRNFVPSGNPRPTMDLLPMIEKSGQNSVHKPMNLFPTREESTKKMDTSVTKGGPEKAQMTIFYGGQVIVFNDFPADKAKEIMLLASSNGGTTSVAPKLPESSPPVSKVVPSFVNQRPSQQIASEMPIARKKSLARFFEKRKDR >itb06g17510.t1 pep chromosome:ASM357664v1:6:21343920:21345691:-1 gene:itb06g17510 transcript:itb06g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVSDYGRFSGQRSNFSHTCNRLSQYLKENGSFGDLSLGLSRNFVPSGNPRPTMDLLPMIEKSGQNSVHKPMNLFPTREESTKKMDTSVTKGGPEKAQMTIFYGGQVIVFNDFPADKAKEIMLLASSNGGTTSVAPKLPESSPPVSKVVPSFVNQRPSQQIASEMPIARKKSLARFFEKRKDRIVSKGPYPYQMMSSPKAGSSSQVEESRAWLGLGAHFPVKIEH >itb13g25100.t1 pep chromosome:ASM357664v1:13:30542913:30543266:-1 gene:itb13g25100 transcript:itb13g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLREAARYYLEGASEEYKRRARRAMAAGESLEDFYVRATKRCIRRCDVCAKLLLGFYLCCTKCELYKGCYYNLCVKCFYGRTFQHNHDSFADNHSVLMMHNEGRGEPPCVIEKVF >itb15g10660.t2 pep chromosome:ASM357664v1:15:8358920:8361534:-1 gene:itb15g10660 transcript:itb15g10660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MEKKTKFSIIVPTYNERLNIALIIYLIFKHLLDVDFEIIVVDDGSPDGTQDIVKQLQRVFGEDRILLRPRPAKLGLGTAYIHGLKYASGDFVVIMDADLSHHPKYLPRFIKKQMETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRYSF >itb15g10660.t1 pep chromosome:ASM357664v1:15:8358041:8361533:-1 gene:itb15g10660 transcript:itb15g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MEKKTKFSIIVPTYNERLNIALIIYLIFKHLLDVDFEIIVVDDGSPDGTQDIVKQLQRVFGEDRILLRPRPAKLGLGTAYIHGLKYASGDFVVIMDADLSHHPKYLPRFIKKQMETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDIISSCVSKGYVFQMEMIVRASRKCYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLFTT >itb15g10660.t3 pep chromosome:ASM357664v1:15:8358041:8360875:-1 gene:itb15g10660 transcript:itb15g10660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MEKKTKFSIIVPTYNERLNIALIIYLIFKHLLDVDFEIIVVDDGSPDGTQDIVKQLQRVFGEDRILLRPRPAKLGLGTAYIHGLKYASGDFVVIMDADLSHHPKYLPRFIKKQMETGADIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDIISSCVSKGYVFQMEMIVRASRKCYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLFTT >itb09g10350.t1 pep chromosome:ASM357664v1:9:6433692:6442077:1 gene:itb09g10350 transcript:itb09g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVEAIEDLVKNREDMSADEETEEQIEQGSDGEEEEGKSSGVVKSLISALVSGGREGEEGEGKSEDGRASDPTDDASGGGDGGGGGGIINSFISNIFYQSEGGDGESAENGGENEQVKDAEEGEGGGGGIINSLISNIFHQSDEAGDGGERAENGGENEQVKSAEEGGGGSSSSSSVLDNIVSHLPKPLSDGMAPVTTTEEASILIHSIETLSACHGTAGEFRFRELQFSDCSKACLRRRTRKTDRARRSSVDWFRSSITMMLVASESHFLLRILNGSFQVLDSIRYQIDILFADFFCSNPSSKLINTRKDGIMRSAICCELRTEDWPSERNELPPNQGEDTGAVFNVLDSMLKDMLDRLKTMRERKSWTCIGFDSISKSKLRNDEHIIRALCKEGKLGPALSLWSKMIHKFVSPDIFTYNYLINGLSKAGDLEKAEWLVKEMLYRGPTPSCATYNTLIKGYCRNNNVDRALDIFSTMSNHGITPDRVSCNILVDALCKKGLVEDAKKLLEAIIGDKHDEEKPNLITSTIIMDGYFKNGASVQALALWEKLRSVQIDGIAYNVIIHGFCLSQDTVMVYNCMCKMLKTGITPDVFSYNTFIGLLCKEGKMDEACYMFNVMTRMGVCPDRITYKMIIQGLCMNGEVGKANDFLCCMLENSIIPEPLIWNVVIHGYGRYGSVRSAEYIRSKMVAFCVPPNVYTYNALIYAKINDGNFIEAHSLKKEMLLHGLLPDLVTFNLLIGAACSVGNIHSALHLYGEMLRMGCEPDIITFTELLKCCCILGKMKEAEWLFGVIRRSGLRVDHVPFLVLMKRYWGMREIDKAFDLYQEWLITKDG >itb04g15430.t3 pep chromosome:ASM357664v1:4:16655807:16661403:1 gene:itb04g15430 transcript:itb04g15430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMNESMDIDNPDASNRSTVDNKDNPAASNRSTVEKKDNPAASNRSTPEHKENGLHLYPVSPNDAGEGLPYAPEDWPNPGDKWRWKAGKRIAASGNFMDRYLYLPSRLGKIGKPGQRRNFASKLSVEQYVQATFPGTDVNAFFASFSWKIPSKQFVSKEISGFESHFEPGGCKAGNKMCSSLSEAPVPSPLEAMFCDICCSEPRFCRECCCILCCKTINTAVGGYSYITCKATVLDGNICGHIAHIDCALRAYVAGTVGGSIGLDAEYFCRRCDLRTDLISVVMNLLQTCETIESRDEIEKMLNLAICMLRGSRKMTARQLLRHIESAMSKLKMGADFEHVWNKEAYKVVIGESAPHNGNGILELANSDKPRENKTDLPGNLSSHFDHRIESLQLDEDIDQVLQSLRKSQEVEYNIAEERLFAQRNYIMNLYEQLDKERSQLVNHTSLTDSELLLSAVMERIEEVKREVTKLGDMKQVERGFGRTSKLILEEHFGLKSEQ >itb04g15430.t4 pep chromosome:ASM357664v1:4:16655746:16661417:1 gene:itb04g15430 transcript:itb04g15430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYLYLPSRLGKIGKPGQRRNFASKLSVEQYVQATFPGTDVNAFFASFSWKIPSKQFVSKEISGFESHFEPGGCKAGNKMCSSLSEAPVPSPLEAMFCDICCSEPRFCRECCCILCCKTINTAVGGYSYITCKATVLDGNICGHIAHIDCALRAYVAGTVGGSIGLDAEYFCRRCDLRTDLISVVMNLLQTCETIESRDEIEKMLNLAICMLRGSRKMTARQLLRHIESAMSKLKMGADFEHVWNKEAYKVVIGESAPHNGNGILELANSDKPRENKTDLPGNLSSHFDHRIESLQLDEDIDQVLQSLRKSQEVEYNIAEERLFAQRNYIMNLYEQLDKERSQLVNHTSLTDSELLLSAVMERIEEVKREVTKLGDMKQVERGFGRTSKLILEEHFGLKSEQ >itb04g15430.t2 pep chromosome:ASM357664v1:4:16655864:16661403:1 gene:itb04g15430 transcript:itb04g15430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRFLAQMLMHSLLHLVGRFPQNSLCQKMFDEEFASSGLKTEISGFESHFEPGGCKAGNKMCSSLSEAPVPSPLEAMFCDICCSEPRFCRECCCILCCKTINTAVGGYSYITCKATVLDGNICGHIAHIDCALRAYVAGTVGGSIGLDAEYFCRRCDLRTDLISVVMNLLQTCETIESRDEIEKMLNLAICMLRGSRKMTARQLLRHIESAMSKLKMGADFEHVWNKEAYKVVIGESAPHNGNGILELANSDKPRENKTDLPGNLSSHFDHRIESLQLDEDIDQVLQSLRKSQEVEYNIAEERLFAQRNYIMNLYEQLDKERSQLVNHTSLTDSELLLSAVMERIEEVKREVTKLGDMKQVERGFGRTSKLILEEHFGLKSEQ >itb04g15430.t1 pep chromosome:ASM357664v1:4:16655746:16661417:1 gene:itb04g15430 transcript:itb04g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMNESMDIDNPDASNRSTVDNKDNPAASNRSTVEKKDNPAASNRSTPEHKENGLHLYPVSPNDAGEGLPYAPEDWPNPGDKWRWKAGKRIAASGNFMDRYLYLPSRLGKIGKPGQRRNFASKLSVEQYVQATFPGTDVNAFFASFSWKIPSKQFVSKEISGFESHFEPGGCKAGNKMCSSLSEAPVPSPLEAMFCDICCSEPRFCRECCCILCCKTINTAVGGYSYITCKATVLDGNICGHIAHIDCALRAYVAGTVGGSIGLDAEYFCRRCDLRTDLISVVMNLLQTCETIESRDEIEKMLNLAICMLRGSRKMTARQLLRHIESAMSKLKMGADFEHVWNKEAYKVVIGESAPHNGNGILELANSDKPRENKTDLPGNLSSHFDHRIESLQLDEDIDQVLQSLRKSQEVEYNIAEERLFAQRNYIMNLYEQLDKERSQLVNHTSLTDSELLLSAVMERIEEVKREVTKLGDMKQVERGFGRTSKLILEEHFGLKSEQ >itb07g03800.t1 pep chromosome:ASM357664v1:7:2560181:2563024:-1 gene:itb07g03800 transcript:itb07g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGSKITYLAQNCRNQKMANNVGDGQEEKQQGIPLLTPYQMGNFHLSHRIVLAPLTRQRSYGNVPQPHAILYYSQRTTKGGLLIAEATGVSDTAQGYRDAPGIWTKEQVEAWKPIVDAVHAKGGIFFCQLWHVGRVSNKGYQPNGQAPISSTDKSFTPQIQANSINEAEFTPPRRLRTDEIPQIISDFRLAARNAIEAGFDGVEIHGAHGYLIDQFLKDGINDRTDQYGGSLENRCRFALEIVDAIANEIGADRVGIRLSPFANYMESGDSNPKALGLYMAEALNKYGILYCHMVEPRMKTVVEKSECPDSLLPMRKAFKGTFLVAGGYEREDGIKAVAENRGDLVVYGRLFLANPDLPKRFELNAPLNMYNRDTFFTSDPVVGYTDYPFLETTA >itb06g17920.t1 pep chromosome:ASM357664v1:6:21689508:21693310:1 gene:itb06g17920 transcript:itb06g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKVALGLNLCANAPRTRLDNEFDDDDDLESISSGAASVRHSDAALLSPPSNAFKLSKSLSRSSKKMCSICLASMKPGGGHAIFTAECSHSFHFQCIASNVKHGNQVCPICRAKWKEIPLQCPSLDPPPGRARVNPVDWPQNNALMTVLRHVPPPRPIPFRNVAPLLQAPEPTIFDDDESLGHQLDSSQKLAGTSDPNPAADSGESRMSMTKISMIPEVPAVSRFNASDDFTVLVHLKAPASDSGKILCRNQPDMPQAQVIQTPRAPIDLVTVLDISGSMAGTKLALLKRAMSFVIQNLGPSDRLAVIAFSSTARRLFPLRRMSETGRHEALQAVNSLVANGGTNIAEGLRKGAKIMEDRREKNPVASIILLSDGQDTYTVSSSGGNQQQPNYQLLLPSSMHGGEGSNFKIPVHTFGFGADHDASSMHSISEISGGTFSFIETEGVIQDAFAQCIGGLLSVVVKELQLSIDCVHPGVYLSSLRAGSYRSHIMSDGRKGSIDVGDLYADEERDFLVSIKVPADYLRNETSLLKVRCVYKDPLTKEMVNLESEELRISRPEEAGQTSVSIEVDRQQNRLQAAEAMAQARTAAEKGDLVGATSILENSRKVLSQSVSIKCHDQLCLALYTELREMQERMANRHVYEASGRAYILSGLSSHSWQRATARGDSIDGSSLVQAYQTPSMLEMVTRSQATLLGSPSSQRPIRPVWPLGSQPRPR >itb04g02090.t1 pep chromosome:ASM357664v1:4:1284915:1286444:-1 gene:itb04g02090 transcript:itb04g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAKKARSPPHMPIEMIRHILFKLKMKALIRCECVCKEWRSTIEDPDFKLSYRGGRRLLVAAASDRNLAFTSITTDGTPRIKTLFSEGDWRSGIWCSCNGLVLFSVRQHILLWNPSTRCCTKVLEICRLLNPYLWYRYNEVSASGLCYVPSTGDYKAVLLFRPDGTAMVASLRNKQWQKVSFPFHAMSVRDSGVNFRNTLHWRVAHSATDWCSSRRCKKVVYFDAESDEFKELPIPTFPAKSSAILGLGIIDDGYLCMAREKRKETGEVEVLVMKEYGVKNSWISQFVISGSQFRSDYHRDFTLYSSKYSTQVLIGSCLYGWWGTLVYHFKNKKLENFLEAEAESGHKNHTAAICSYVQSFVSPQEFSWRDDDDERKNDYVLRFILKEFNI >itb13g12470.t1 pep chromosome:ASM357664v1:13:18658812:18661789:1 gene:itb13g12470 transcript:itb13g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTAPAPSPLLSPATNGAQSQLVCSGCRNLLMYPVGATSVCCAVCSAVTAVPPPGTEMAQLICGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFLTAVGVSPNMNEQKLKS >itb13g12470.t2 pep chromosome:ASM357664v1:13:18658812:18661246:1 gene:itb13g12470 transcript:itb13g12470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTAPAPSPLLSPATNGAQSQLVCSGCRNLLMYPVGATSVCCAVCSAVTAVPPPGTEMAQLICGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFLTAVGVSPNMNEQKLKS >itb02g12200.t1 pep chromosome:ASM357664v1:2:8312514:8315162:1 gene:itb02g12200 transcript:itb02g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLPFKCFVEQNLGRQGLAFIYAILEFVMVVLLLLDGILGFFSAEFARFFELEVPCFLCTRIIDPTFSYSDCCNECVCEAHKKDISSLAYCHAHRILSDIRSMCEECILSFSAHTEGDCERYKSLLGVMHNHNININNNKDVVIDYNDPKTAAARFWSRDFEEEIGGSVIPRCSCCGELLSTPPKNTATLSSSMKAAVAPPPSPSHTPPPQLQNPRDDELALPHVIPATQLSLVSNNDSPLLPDNEDQDDNASNGESGDQLLEDDAASKSPSFIRGGNKFFGIPLSDNKSRKMSMDFLPDVTDTNEGDGEKKQVRLDRSRSLMALYMELDEERNASAIAANNAMAMITRLQAEKAAVKMEALQHKRMMEEHAEYYREELQVMKDMLLKREEELKDLESELEMYREKFGSMSNAAWSETYHSGEYINENIVDSSLEIENERSRLLSLFSSFEKKFNAASDNEEYLLVKVGDQEDQQQSGGNEKTATLTREMSDITERLRAIEAESGCIKHTTMTLQRGDEGIKLLTEIADNLKKLRAL >itb01g29550.t1 pep chromosome:ASM357664v1:1:33784996:33798924:1 gene:itb01g29550 transcript:itb01g29550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MAADGISKLSIDGDEWASSAPNLRSNLSLLSPQQVELAKILLEAGQSHLFQQWPQPGVDDNEKRAFFDQVAKLNSSYPGGLASYIKTARELLADSKAGNNPYDGFTPSVPSGENLTYGDESFVKFEEAGIKEARKAAFVLVAGGLGERLGYNGIKVALPQETTTGTCFLQHYIESVLALQEASCKLSQGESPVDIPFVIMTSDDTHSRTAELLESNAYFGMKPTQVKLLKQEKVACLEDNDAHLAVDPNNKYRIQTKPHGHGDVHSLLYSSGLLKVWHAAGLRWVLFFQDTNGLLFKAIPASLGVSAIKQYNVNSLAVPRKAKEAIGGITKLTHKDGRTMVINVEYNQLDPLLRASGHSDGDVNCETGYSPFPGNINQLIIEIGPYIEELTKTGGAIKEFVNPKYKDATKTAFKSSTRLECMMQDYPKTLPPSAEVGFTVMDVWLAYAPVKNNPEDASKVPEGNPYHSATSGEMAIYKAHSLILRKVGVKVDDPIHQVFNGQQVEVWPRVVWKPKWALTFSDVKSKVSGSNSISQRSTMVLKGRNIILEDLSLDGALVIDAVDNAKVKAGGSIDNKGWVIETVDYKDSSVAEEVRIRGFRFNKVEQLEKMYDEAGDFYLNP >itb04g11150.t1 pep chromosome:ASM357664v1:4:10722694:10723722:1 gene:itb04g11150 transcript:itb04g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELENEAHEYYVEPIGLNDKEKFVDMMILDGCFIIELLRKNNMSELREKDDIIFKFDWMLSSLQRDLLLLENQMPFKVLCKLFDLVEAPNQHSRLAYLVCCFFKNLFPGMTIDEEEDKVETTTKDVGHLLGLIHSRWHLGSQQQNDAKVRSRNIKRDRKFVCASTLKEAGVVFKKGENPSLFAIEFQKGKLRIPQLTFEDRTETIFRNFIAYEQYSKKPQERFVTDYINFLGRLIGSEKDVDLLCEYSIIDNWMGDTKAISDIFRKINECITVESLEESRYSMVFEKLNTHCNKRGNRWKAKMWSNYCNPWGITSICAAFLIICLSIAQLVFAILKYYKKN >itb12g22190.t1 pep chromosome:ASM357664v1:12:24317189:24317608:-1 gene:itb12g22190 transcript:itb12g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFLAIHLRCALNTGIPRMRTLNGNFTVTVLLMMLLHFSNVATQHPFSSLMPFHTLILPNNQTDRKNPDPPPPRANVPRHVAFLAPPPPKHPPPPPPPQPLFSPPPPPPPLSPPLFSPPPPPPPLSPPPPLSPPPNS >itb13g19630.t1 pep chromosome:ASM357664v1:13:26601977:26604983:-1 gene:itb13g19630 transcript:itb13g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTRPSTSPQHQNNLSIPKQFVWPDEHLARAHEELNEPAIDLGSYFGADPKAARNAIRLVRAACLSHGFFQVLNHGVDVELIRAAQDCAVAFFKLPGDEKMKGEKKRGGMWGYSNAHAERFPSRLPWKETLTFGFHERCGDAPLTVEFFKSSFGQSYEHVGLVFQKYCEAMKKLSIVIIEILGKSLGIDPSYYKGYFEEGCSSIMRCNFYPSCQDPALTLGTGPHCDPNSITILHQDQVGGLQVFVDNKWKFVRPRNGAFVVNIGDTFQVLSNGIYKSCVHRAVVNKEHDRLSLAFFLCPTEDKSIRAPSDLISKEQPRMYPEFTWSDLLHFTQHHYRADDATLQNFCNWFLSSNNSP >itb14g04370.t2 pep chromosome:ASM357664v1:14:3923580:3929016:1 gene:itb14g04370 transcript:itb14g04370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKIKSPPSDPQGMFSRMVVFLVEDGVQTRRLQIWKQRLTQMGASIQGTFSKNVTHVFAVDANSLLKKVDHQRLIRSKAKMLLYQWVQDSLTKGEKVPEDLYIVSLESGENKEICKEDNRSDCTNSEFTQKKSRISFEGSNIVNQEKKVDTKDHFGHQLVDTANSSECSSRSSSPEIISEAVFYPCDEAAETSKSSLLYEPPNLNRNITTIFGKLVDIYRALGDDRRSFSYHKAIQVIEKLPFKIETIDQVKNLPTVGRSLQEHIQEIVNTGKLSKLQHFEKDEKVRTISLFGDVWGVGPATALKFYEKGHRTLDDLKTEESLTNAQRLGLKYFEDIKTRIPRREVEEMDKLLQKVGQEILPGVIIVCGGSYRRGKSSCGDMDIVITHPDGKSHVGFLPRFVKRLKEMKFLREDLIFSIHSEEGTESGVDTYFGLSTYPGQELRRRIDLKVYPRNIYAFGLVHWTGNDVVNRRLRILAQAKGFRLDDTGLFPSTQGSEGKQGGIKRANLKLDTEKEIFDFLGFPWLEPHERNL >itb14g04370.t1 pep chromosome:ASM357664v1:14:3923580:3929015:1 gene:itb14g04370 transcript:itb14g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYQWVQDSLTKGEKVPEDLYIVSLESGENKEICKEDNRSDCTNSEFTQKKSRISFEGSNIVNQEKKVDTKDHFGHQLVDTANSSECSSRSSSPEIISEAVFYPCDEAAETSKSSLLYEPPNLNRNITTIFGKLVDIYRALGDDRRSFSYHKAIQVIEKLPFKIETIDQVKNLPTVGRSLQEHIQEIVNTGKLSKLQHFEKDEKVRTISLFGDVWGVGPATALKFYEKGHRTLDDLKTEESLTNAQRLGLKYFEDIKTRIPRREVEEMDKLLQKVGQEILPGVIIVCGGSYRRGKSSCGDMDIVITHPDGKSHVGFLPRFVKRLKEMKFLREDLIFSIHSEEGTESGVDTYFGLSTYPGQELRRRIDLKVYPRNIYAFGLVHWTGNDVVNRRLRILAQAKGFRLDDTGLFPSTQGSEGKQGGIKRANLKLDTEKEIFDFLGFPWLEPHERNL >itb14g04370.t3 pep chromosome:ASM357664v1:14:3923580:3926244:1 gene:itb14g04370 transcript:itb14g04370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKIKSPPSDPQGMFSRMVVFLVEDGVQTRRLQIWKQRLTQMGASIQGTFSKNVTHVFAVDANSLLKKVDHQRLIRSKAKMLLYQWVQDSLTKGEKVPEDLYIVSLESGENKEICKEDNRSDCTNSEFTQKKSRISFEGSNIVNQEKKVDTKDHFGHQLVDTANSSECSSRSSSPEIISEAVFYPCDEAAETSKSSLLYEPPNLNRNITTIFGKLVDIYRALGDDRRSFSYHKAIQVIEKLPFKIETIDQVKNLPTVGRSLQEHIQEIVNTGKLSKLQHFEKDEKVRTISLFGDVWGVGPATALKFYEKGHRTLDDLKTEESLTNAQRLGLKYFEDIKTRIPRREVNHLCFSF >itb04g04840.t1 pep chromosome:ASM357664v1:4:2987015:2990771:1 gene:itb04g04840 transcript:itb04g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRARSMGFSILQKALSSAQRSNAHRSILCPTLSNSELLRNYATASASKEQKIKVPLTMYGVSGNYASALYLAAVKSNTLEKVESELYDLVEASKKSPTFSQFMRDPSVPVDTRVNAIKEICAQAKFGDTTQNFLLILAENGRLKHIDRIVKRFKELTMAHRGEVKATVTTVIPLPADEEKELKATLQEMVGQGKSVQIEQKIDPTILGGLVVEFGQKVFDMSIRTRARQMERFLREPLNF >itb04g10740.t7 pep chromosome:ASM357664v1:4:10316544:10325488:1 gene:itb04g10740 transcript:itb04g10740.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQEFNGMESTTENVAPDSTLNNAVNGNEADIPSELISRCVATFLMIQKCTEREFPPAGVAKILDSAVASLQPRCSQNLPVYTEIQKCIGVIKNQILALVPT >itb04g10740.t8 pep chromosome:ASM357664v1:4:10316544:10325488:1 gene:itb04g10740 transcript:itb04g10740.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQAPDSTLNNAVNGNEADIPSELISRCVATFLMIQKCTEREFPPAGVAKILDSAVASLQPRCSQNLPVYTEIQKCIGVIKNQILALVPT >itb04g10740.t5 pep chromosome:ASM357664v1:4:10313011:10325466:1 gene:itb04g10740 transcript:itb04g10740.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQPRSLNKRHSNRNDVSLSNDGDSSKKNMQRKRKYSDMLGPQWSKEELESFYDAYREYNKDWKKVAAAVQNRSADMVEALYTMNRAYLSLPEGIASVVGLIAMMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQAPDSTLNNAVNGNEADIPSELISRCVATFLMIQKCTEREFPPAGVAKILDSAVASLQPRCSQNLPVYTEIQKCIGVIKNQILALVPT >itb04g10740.t9 pep chromosome:ASM357664v1:4:10316544:10325520:1 gene:itb04g10740 transcript:itb04g10740.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQV >itb04g10740.t1 pep chromosome:ASM357664v1:4:10312987:10325520:1 gene:itb04g10740 transcript:itb04g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQPRSLNKRHSNRNDVSLSNDGDSSKKNMQRKRKYSDMLGPQWSKEELESFYDAYREYNKDWKKVAAAVQNRSADMVEALYTMNRAYLSLPEGIASVVGLIAMMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQEFNGMESTTENVAPDSTLNNAVNGNEADIPSELISRCVATFLMIQKCTEREFPPAGVAKILDSAVASLQPRCSQNLPVYTEIQKCIGVIKNQILALVPT >itb04g10740.t4 pep chromosome:ASM357664v1:4:10312990:10319094:1 gene:itb04g10740 transcript:itb04g10740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQPRSLNKRHSNRNDVSLSNDGDSSKKNMQRKRKYSDMLGPQWSKEELESFYDAYREYNKDWKKVAAAVQNRSADMVEALYTMNRAYLSLPEGIASVVGLIAMMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDGEDKQIFSFGFSALY >itb04g10740.t2 pep chromosome:ASM357664v1:4:10312987:10325520:1 gene:itb04g10740 transcript:itb04g10740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQPRSLNKRHSNRNDVSLSNDGDSSKKNMQRKRKYSDMLGPQWSKEELESFYDAYREYNKDWKKVAAAVQNRSADMVEALYTMNRAYLSLPEGIASVVGLIAMMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQAPDSTLNNAVNGNEADIPSELISRCVATFLMIQKCTEREFPPAGVAKILDSAVASLQPRCSQNLPVYTEIQKCIGVIKNQILALVPT >itb04g10740.t10 pep chromosome:ASM357664v1:4:10316544:10321652:1 gene:itb04g10740 transcript:itb04g10740.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRRYLYSIS >itb04g10740.t3 pep chromosome:ASM357664v1:4:10312987:10321652:1 gene:itb04g10740 transcript:itb04g10740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQPRSLNKRHSNRNDVSLSNDGDSSKKNMQRKRKYSDMLGPQWSKEELESFYDAYREYNKDWKKVAAAVQNRSADMVEALYTMNRAYLSLPEGIASVVGLIAMMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRRYLYSIS >itb04g10740.t6 pep chromosome:ASM357664v1:4:10312990:10325520:1 gene:itb04g10740 transcript:itb04g10740.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQPRSLNKRHSNRNDVSLSNDGDSSKKNMQRKRKYSDMLGPQWSKEELESFYDAYREYNKDWKKVAAAVQNRSADMVEALYTMNRAYLSLPEGIASVVGLIAMMTDHYCNLVGSDSDQENNEGAGTTRKPQKRARCKVQASKESDLHPSTVASGYDCLELLKSKSSKGDQTRVVGKRTPRFPVSRSNENFKAKKYFSPSRQALKINLDAHDDEVAREIALALAEASHRGGSPQVSQTPNHGTDSALSSPAWTTESNHANLEIANAKVLSSKMDREGSMEADTGELSSCRNYLMEPRSVGKTMQKGRRLNEKKLEAGESGDYNFHDIKEACSGAEDHRLGAVRKKLEMEILNGKVSKTCSQGFRKRSKKVLFQRDAGSTFDALQTLAELSLMMPAAENDDESTDQVKDENNHNGEPESLEAIPKNFQRDNCGPSGIKSKWSRPISGIEVASSKASKHVKVSCDAIASPETTEVRKTPKKLVSKMQTSEACPSNYLSESQEYETKEAPKKLINKGKRSSQNATPKVIKNKKHSVSADPGINGSDSAQPIAEIPAPDGATLCTKVRSRRKIELKKPQKQKDLMLPDKILDDNNDIPSASVHDKVSNLKKRLSNCLSDDHVRKWCMFEWFYSAIDYPWFAKKEFVEYLHHVGLGHVPRLTRVEWSVIRSSLGKPRRFSVQFLKEEKEKLNQYRESVRRHYTELCEGTREGLPTDLARPLSVGQRVIAIHPKTMEIHDGSVLTVDHSRCRIQFDLPDLGVEFVQDIDCMPQNPYENMPTLLAGHIDALDLSFENTPKHKVKAQSNESMKFSSGVLENGDGFLNLSPMNFAINNLLTQTKVTTSNADWQSNIGTMEPATAYSQPSKMAQHQAKEADVRALAELMHALDKKHAVISELRHMNDDVMEDQKSSDCTLMDLEPFRKQYATIVLQLKEVNKQVSSALLHLRQRNTYQDNLSPGPVANFCDPGDMLNTYDHYTGQAQEPGSHVNETIESSKIKAQIMVDSALQV >itb11g14990.t1 pep chromosome:ASM357664v1:11:12322732:12328933:-1 gene:itb11g14990 transcript:itb11g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MSWVLFNVGQLGDRQGFMILRHGIRQKLSCWFDGPSYITQCPIQAGHTFTYKFTLVQQKGTFFWHAHVSWLRATVHGAIIVYPKPGVPYPFPYPYEEHTIILGEYWLQDATQLENAVLASGGGPPPADSFTINGHPGPNYNCSTNDVYAIDVVPGKTYMLRLINAAVNQEHFFGIANHNLTIVEADAEYTKPFTTGRVMLGPGQTLNLLVTANQPIGKYSMAMGPYQSAKNITFQTITSVAYFTYLGALPSVLAWPAPLPPFNDSLAVKTVMDGLKSPNPPPNMPKQIDQNMFITIGLNVQRCQSRNPEQNCRGFNGGVMSASMNNVSFVKPKVSLLEAYYRKINGQFTEDFPGSPLGFYDFVNGAPNNPPNDTSAVKGTRTYVLEYGSRVQIILQDTGTVSTENHPIHFHGYSFYVVGYGSGNYDPENVSFNLVDPPYMNTIGVPVGGWAAIRFTADNPGAWFMHCHLDVHLSWGLGVVIIVKNGEGQLESLPHPPADMPRC >itb14g16930.t1 pep chromosome:ASM357664v1:14:20294766:20297105:1 gene:itb14g16930 transcript:itb14g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPTKMAQPFLHDFKKQASFFLREKIKTARLALTDVTPAQLLAEEATSGGQGSPDTRTLKMISRAAFEIDDYWRITEIMHKRLERFDRKNWRVSYQALIVLEHLMTHGPESVSKEFQTAQDVIQQMGNFQFIDERGFNWGLNVRKKSERVLQLLENGKLLKEERDKARKITRGIEGFGSFSHRTHAAAEGVKEESTPEPYRKCNSLFIDDGKQEDDTKSESPTISWSGSDDSSMNGSSSSASTLNSFKENMALEEDEEEVNEWSGKGELNPLLSGQITDSRTSNSQEEDHPFTDEPLSTVSLLSASDRILQAC >itb14g16930.t2 pep chromosome:ASM357664v1:14:20294777:20297086:1 gene:itb14g16930 transcript:itb14g16930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPTKMAQPFLHDFKKQASFFLREKIKTARLALTDVTPAQLLAEEATSGGQGSPDTRTLKMISRAAFEIDDYWRITEIMHKRFNWGLNVRKKSERVLQLLENGKLLKEERDKARKITRGIEGFGSFSHRTHAAAEGVKEESTPEPYRKCNSLFIDDGKQEDDTKSESPTISWSGSDDSSMNGSSSSASTLNSFKENMALEEDEEEVNEWSGKGELNPLLSGQITDSRTSNSQEEDHPFTDEPLSTVSLLSASDRILQAC >itb13g15420.t1 pep chromosome:ASM357664v1:13:22251858:22253554:1 gene:itb13g15420 transcript:itb13g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTFGISIWQSLVLLLSRLAISGGAGPARRPVFSGDLGGFSSWLSSAYPDLEPKNWTCTGAILLEDYHLVEKLANFDYERIPECVVHAKGESAKGFFEFSTVIYDCGSPETLRDPRSFAVKFYTTGRVKIP >itb02g15580.t1 pep chromosome:ASM357664v1:2:11326404:11329517:-1 gene:itb02g15580 transcript:itb02g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFRLTCAYALPESENEEEEMSSMEASVTAVEELLQYQFKKRKLLEEALTHSSYTESASYQRLEFLGDMALGLAITNFVFLAYPELDPGQLSLLRAANISTEKLARVAVRHSLYRYVRHNATALDDRVKEFVLAVQEEQETEFYGGVMKAPKVLADIVESIAAAVYVDCGFDLKELWMIFRGLMDPIITLEMLQHQPQPVTMLYELCQKVGKVVDIKHWRNGDKNIASVYIDGEFLVSSSSEQKENARLHAAKAALEKMAYKVSNKYSIEFDATKGNDGAKQKLYELCGKRKWPKPTYRVEKKLGPSHDRKFVCSVQVESGDDGVVFTVGKERSRVLYASGEEKLRIKDAENSAAFAMLCGLKEANVI >itb01g18420.t1 pep chromosome:ASM357664v1:1:23531512:23534224:-1 gene:itb01g18420 transcript:itb01g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRKTDLNKTPPPPDLMPPPPGMGSLTKQKLSHQSEGSEIKPPFMSIIDTTDDSVKVTKGHQLSADHRHHNISRAISLRHHRQFYGRHYSRRNSTNNAEASTSHDKVSPSYDDKTSFKMASKYRPDSGSGDHSDAGKLICSLCQKFLRKKPCILETVVHSSDHSVAAVLSCGHVYHADCLEDRTPHEDRWDPPCPQCSPSLSG >itb10g03670.t1 pep chromosome:ASM357664v1:10:3431530:3433093:-1 gene:itb10g03670 transcript:itb10g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMAEDGMQSMRMTYYPPCPKPELVTGLTPHSDATLITILHQVNGVDGLQVKSDDGNWSPVEFHPDAFVVNIGDILEIFTNGLYKSVEHRALVNSIKERISIAMFFNPKVEAEIGPSPCLTNDQNPPAFKRLKMEEYVKEFFSRKLDGKSVLDRMRIPKVTT >itb04g10210.t1 pep chromosome:ASM357664v1:4:9482757:9483284:-1 gene:itb04g10210 transcript:itb04g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPESSVPKPDRHVKEKNRRQKMKGLYQQLAAVVSPENSLEKSSNLDVLDHATNCIKQLEKNVSELKAKKDSLQLSVEIAVKESESGETLEINIVCGSDKKKLMKMHKIFQILEEGGAEVVSATNSTVDLKIYHTILCKV >itb09g03840.t2 pep chromosome:ASM357664v1:9:2100765:2114641:-1 gene:itb09g03840 transcript:itb09g03840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGSERENGERLASMDSIESRWVFQDEEGSEMDNDGTDTGESVDESLQLNGIDSDDEDNAEQRLIRTGPRIDSFDVEALEVPGAHKNYYEDATLGRRIILIIQTLGVVFGDVGTSPLYTFSVMFSKAPVNGNEDVLGALSLVIYTLILIPLVKYVLIVLWANDGGEGGTFALYSLICRYANVSLLPNQLPSDTRITSFRLKVPSPELERSLKIKERLETSLTLKKLLLMLVLAGTSMVIANGVVTPAMSVVSAVAGLKVGVSIEQDQVVMISVAFLVILFSVQRYMTSKVGLVVGPALFVWFCSLGGIGIYNIVKYDNRVWRAFNPVHIYYYFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVHSVQLTFLFLVLPCLLLGYLGQAAYLMDNHSDTTHAFFSSVPNGAFWPVFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIVHPSRKFMGQIYIPVMNWFLLALSLVLICSISNIYEIGNAYGIAELGMMMITTILVTVVMLLIWQINIIIVLSFVTIFLGVELTFFSSVLWSVGDGSWIILVFAVVLFLVMYIWNYGSKLKYETEVMKKMSMDLLRELGPNLGTVRAPGIGLLYNELAKGVPEIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDARKENHQTFEQLLIESLEKFICREAQERSLESDGDSDSEEESTFSRVLVAPNGSVYSLAVPLLADFEYKGKLVVEESTSSDPNISDAEQSLEKELSFLRTAKESGVVYLLGHADIRARKDSWFVKKLIINYLYAFLKKNSRRGICNLSVPHSHVIQIQCNTPFPYIARPERLALVSGSRSSMAESGSDPLGFRLDIKQILLEAQHRWLRPAEISEILRNYKKFHITPEPLYKPVSGSVFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDMLHCYYAHGEDNENFQRRSYWMLEQDLMHIVFVHYLEVKGNKAMRSIEPTESSSIDESSMSVSSAGNCTKLTSTSADSPSPTSTLTSAYEDVESEYSHPGTSGLQSFPEIATAGSYSVENVDTVPLQYSAGSKQTLDLASWEKFLENCTKGDIAYKQDPTNSSSVQTNWQHYSEDPALQFHGQSVHQGFISDSNYDLGRFSNPKSLQEYFLNTTGPLDMYPNETAELPIEMNHQYNPNFDSNVQKVGNEEYSVKKHPLLDGSGVKEGLNKVDSFSRWVDKELGDVEELQMHSSNGISWNVIESEDSDSCIPSQLQLGSDSLNPSLSQEQLFSIIEFSPMWAYSNKKTKVLITGKFLQTEKEVAKCKWSCMFGEVEVPVEVLPTGLLCCYSPPHRAGTVPFYITCSNRLACSEVREFEYRAGPSKDTNASDSHTMEVLLHERFERLLRLGATVSHCSSEDIMEKQTIVNKVIELMEEQNLCMTDFMDLYEPKNRELPLFGKELKERFYTWLLHKINEDGKGPAFVDEEGQGVIHLAAALGYDWALKPILISGVSIDFRDVNGWTPLHWAAFYGWEETVATLVSLGASPGALTDPSAEFPLGRTPADLASANGNKGISGFLAESSLTTHLARLDVSDAKENNALDTSKTKEIQTVTERVAVPTTDNVPDVSLNDSLAAVRNATQAAARIHQIFRVQSFQRKKLIEHNGELLSDEQALSMVASKTSRLGQKDRIAHAAATQIQKKFRGWKKRKEFLLIRQRVVKIQAHVRGHQARKKYKPIIWSVGILEKVILRWRRKGSGLRGFKSDAVAKPIMEDKPASVEDDYDFLKEGRKQTEERMQKALSRVKSMAQYPEAREQYRRMLTATEGVRKTKDASTGVVGSSEDTSYQDEDLLDIETLLDDDTFMSIPFE >itb09g03840.t1 pep chromosome:ASM357664v1:9:2100765:2114641:-1 gene:itb09g03840 transcript:itb09g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGSERENGERLASMDSIESRWVFQDEEGSEMDNDGTDTGESVDESLQLNGIDSDDEDNAEQRLIRTGPRIDSFDVEALEVPGAHKNYYEDATLGRRIILIIQTLGVVFGDVGTSPLYTFSVMFSKAPVNGNEDVLGALSLVIYTLILIPLVKYVLIVLWANDGGEGGTFALYSLICRYANVSLLPNQLPSDTRITSFRLKVPSPELERSLKIKERLETSLTLKKLLLMLVLAGTSMVIANGVVTPAMSVVSAVAGLKVGVSIEQDQVVMISVAFLVILFSVQRYMTSKVGLVVGPALFVWFCSLGGIGIYNIVKYDNRVWRAFNPVHIYYYFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVHSVQLTFLFLVLPCLLLGYLGQAAYLMDNHSDTTHAFFSSVPNGAFWPVFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIVHPSRKFMGQIYIPVMNWFLLALSLVLICSISNIYEIGNAYGIAELGMMMITTILVTVVMLLIWQINIIIVLSFVTIFLGVELTFFSSVLWSVGDGSWIILVFAVVLFLVMYIWNYGSKLKYETEVMKKMSMDLLRELGPNLGTVRAPGIGLLYNELAKGVPEIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDARKENHQTFEQLLIESLEKFICREAQERSLESDGDSDSEEESTFSRVLVAPNGSVYSLAVPLLADFEYKGKLVVEESTSSDPNISDAEQSLEKELSFLRTAKESGVVYLLGHADIRARKDSWFVKKLIINYLYAFLKKNSRRGICNLSVPHSHVIQIQCNTPFPYIARPERLALVSGSRSSMAESGSDPLGFRLDIKQILLEAQHRWLRPAEISEILRNYKKFHITPEPLYKPVSGSVFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDMLHCYYAHGEDNENFQRRSYWMLEQDLMHIVFVHYLEVKGNKAMRSIEPTESSSIDESSMSVSSAGNCTKLTSTSADSPSPTSTLTSAYEDVESEYSHPGTSGLQSFPEIATAGSYSVENVDTVPLQYSGSKQTLDLASWEKFLENCTKGDIAYKQDPTNSSSVQTNWQHYSEDPALQFHGQSVHQGFISDSNYDLGRFSNPKSLQEYFLNTTGPLDMYPNETAELPIEMNHQYNPNFDSNVQKVGNEEYSVKKHPLLDGSGVKEGLNKVDSFSRWVDKELGDVEELQMHSSNGISWNVIESEDSDSCIPSQLQLGSDSLNPSLSQEQLFSIIEFSPMWAYSNKKTKVLITGKFLQTEKEVAKCKWSCMFGEVEVPVEVLPTGLLCCYSPPHRAGTVPFYITCSNRLACSEVREFEYRAGPSKDTNASDSHTMEVLLHERFERLLRLGATVSHCSSEDIMEKQTIVNKVIELMEEQNLCMTDFMDLYEPKNRELPLFGKELKERFYTWLLHKINEDGKGPAFVDEEGQGVIHLAAALGYDWALKPILISGVSIDFRDVNGWTPLHWAAFYGWEETVATLVSLGASPGALTDPSAEFPLGRTPADLASANGNKGISGFLAESSLTTHLARLDVSDAKENNALDTSKTKEIQTVTERVAVPTTDNVPDVSLNDSLAAVRNATQAAARIHQIFRVQSFQRKKLIEHNGELLSDEQALSMVASKTSRLGQKDRIAHAAATQIQKKFRGWKKRKEFLLIRQRVVKIQAHVRGHQARKKYKPIIWSVGILEKVILRWRRKGSGLRGFKSDAVAKPIMEDKPASVEDDYDFLKEGRKQTEERMQKALSRVKSMAQYPEAREQYRRMLTATEGVRKTKDASTGVVGSSEDTSYQDEDLLDIETLLDDDTFMSIPFE >itb10g23250.t2 pep chromosome:ASM357664v1:10:27696962:27701404:-1 gene:itb10g23250 transcript:itb10g23250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLANSHLSTAAEPSWKHRTSPRLPNLIGGSFVDSKSLESIDVINPATQEVVSQIPLTTNEEFKSAVSAAKKAFPSWKNTPITTRQRVMLKYQDLIRKNMDKLALNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASMMLAELAMEAGLPDGVLNIVHGTHDIVNAICDDEDIRAVSFVGSNTAGMHIYARASATGKRVQSNMGAKNHGIVMPDANIDSTLNALVAAGFGAAGQRCMALSTVVFVGESKSWIEELVERAKTLKVNAGTEPDADLGPVISKQAKDRICKLIQSGVDSGAKLLLDGRNIVVPGYEKGNFIGPTILSDVTADMECYKEEIFGPVLICMQADSLEEAIRTVNRNKYGNGAAIFTTSGIAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVHFYTQIKTITQQWKDLPGGSGVSLAMPTSQK >itb10g23250.t1 pep chromosome:ASM357664v1:10:27696957:27701437:-1 gene:itb10g23250 transcript:itb10g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQFAVNRARKVRSLSPQMYCLANSHLSTAAEPSWKHRTSPRLPNLIGGSFVDSKSLESIDVINPATQEVVSQIPLTTNEEFKSAVSAAKKAFPSWKNTPITTRQRVMLKYQDLIRKNMDKLALNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYVSNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASMMLAELAMEAGLPDGVLNIVHGTHDIVNAICDDEDIRAVSFVGSNTAGMHIYARASATGKRVQSNMGAKNHGIVMPDANIDSTLNALVAAGFGAAGQRCMALSTVVFVGESKSWIEELVERAKTLKVNAGTEPDADLGPVISKQAKDRICKLIQSGVDSGAKLLLDGRNIVVPGYEKGNFIGPTILSDVTADMECYKEEIFGPVLICMQADSLEEAIRTVNRNKYGNGAAIFTTSGIAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVHFYTQIKTITQQWKDLPGGSGVSLAMPTSQK >itb06g12260.t1 pep chromosome:ASM357664v1:6:16870032:16884125:-1 gene:itb06g12260 transcript:itb06g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKGKSEEQKGENTEAVIRHQKLCLSIDMEKRRIYGFTEIEIAVPDSGIVELHADNLVIESVTVDEEPAQFEVFPHYLHLDSGDRWCSVSSASSAADAAGSVYLSTLDRELVPNLLIMCSKSSKPESEQQEEPLENGVKSSIEEKQEEPGTLENGVKSSAEEKQNVKKLCIDYWVEKAETGIHFDGDVLHTDNQIRRARCWFPCMDDNLQRCCYDLEFTVASNLVAVSSGTLLYQVLSKDDPSRKTYVYRLDVPVAAGWISLVVAPFEILPDRHIAQLSHICLPPNLSKLRNTIGFFHNTFSHYEDYLAAPFPFGSYTQVFLSPEMAISSLSLGASMNIFSSQLLFDEKVIDQTIETRIKLAYALARQWFGVYITSETPNDDWLLDGLAGFLTDTYIKRFLGNNEARYRRYKANCAVCRADDSGATALSSSASSKDLYGTQCIGFYGKIRVWKSVAVLQMLEKQMGPEPFRKILQQIVSKAQITTRTWRTLSTKEFRHLANKVGNLERPFLKEFFPRWVGSCGCPVLKMGFSYNKRKNLIELAVIRGCTARLDASATTCNGNLDSENREGDVGWPGMMSIRLHELDGMYDHPILPMTGEPWQLLEIQCHSRLAAKRFQKPKKGSKPDGSDENEAVTTVDVRSTSDSPLLWLRADPEMEYLAEIHFSQPVQMWINQLERDKDVIAQVQAIAILEALPHLSFSIVNALNNILKDSKVFWKVRIEAAFALANTASEETDWAGLLHLITFYKSRRFDSNIGLPKPNDFHDFQEYFVLEAIPHAIATVRATDKKSPREAVEFVLQLLKYNDNSGNFYSDVYWLAALIQSIGELEFGQQSIVYLSSLLKRLDRLLQFDRLMPSHNGILTISCIRSLTQIALKLSEFVPLDRVIELIEPFRTSKAIWQVRVEASRSLLDLEFQCKGIDAALSLFIKYLSEESSLRGQVKLGVHAMRLCQIRNESGYDHELNSDTLVALLRLLESPISFNNVFLRHYLFCILQVLAGRVPTLHGVPRDESMGHAKTCNELKNIFAALVKQSKPSEPPMDKFEVTHNGPFVFEVPGEADRSTLGPEPGKDITLIPDTPKEADGLSHEIIVDSAKPPQSTVVGEVQEVDTIPISNDCMHSMADLPLPQDDIIVADIPHSSVSEVPKELDSVTDGCVPLRDIPQDYPPPPPPPPPPAEKPILPETHEQRKPVVSLLHEDLHVACSREASVPESHKRGRVVANSLRDGSLNAEASREHETISAGHERKKPVFRIKVKKSTTSSCAGDPENPTVDKSHDGLHDIDRGASSSVSVSVDAPQRNFFEGASGNNHNNIDDVNSCHDVGSRVTASIGSVKVAPDGEELMKELQCTAESSKVPPDDQTIRDRDVDMEGHKFASLQSLSCTKPDVNCTSLDTSNLHAHGSKGKHKKRNRDEKREKEHKSSKHDDPEYLERKRLKKEKKRKEKEMAKLLDDGAKPKSKPKPSPSVELSQKKRENVEGKVRSHKGGDAINSITDLGRRKDESEFRVGGAVKQSPSSLYTKNSDPGRKEAALQLRMGESSGAKLVSDRAAAAADNTPASAPQASAAAPASTSHKLKIKIKNRTLGKS >itb15g06850.t2 pep chromosome:ASM357664v1:15:4598054:4599330:1 gene:itb15g06850 transcript:itb15g06850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGIEKIQISGPTLASLLNRLSASPGDLHGLLYGHVSFSTTTSLSDDTSSASTSAAADGANAPILTAIVTSFTSVPSHLALPHQSHPQDSSSTLIGWFSGRRRTPLRPSLHDSTATLSLNSSTSLSFTPQNSPHSVSLPPSLFLLLTTPFQDQLIHTHEYKAFQYRISTSSFEPKSLDIINIGPYFRSHYESFTPNSPFPPLTCDLRSSNAMVEDENTENSAGIQRSLKDQKELDVCAQGFEVARFSKLMGSNAANYTAEVEELYDKMLAKLDSLARLVEKSSAKVLEQESHNMKLRCKVAGLE >itb15g06850.t1 pep chromosome:ASM357664v1:15:4598037:4602899:1 gene:itb15g06850 transcript:itb15g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGIEKIQISGPTLASLLNRLSASPGDLHGLLYGHVSFSTTTSLSDDTSSASTSAAADGANAPILTAIVTSFTSVPSHLALPHQSHPQDSSSTLIGWFSGRRRTPLRPSLHDSTATLSLNSSTSLSFTPQNSPHSVSLPPSLFLLLTTPFQDQLIHTHEYKAFQYRISTSSFEPKSLDIINIGPYFRSHYESFTPNSPFPPLTCDLRSSNAMVEDENTENSAGIQRSLKDQKELDVCAQGFEVARFSKLMGSNAANYTAEVEELYDKMLAKLDSLARLVEKSSAKVLEQESHNMKLRCKVAGLE >itb06g19670.t1 pep chromosome:ASM357664v1:6:22894294:22897288:-1 gene:itb06g19670 transcript:itb06g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKELETNGHGFNLKVEEDGEIKKMLVAAEAEKEKEMVSAGSTFLSLLATKDRDFLLSPSGTQVKISELRSKVIGIYFSANWYAPCKKFTQVLVSVYKQFSRYYTSGFEIVFVSSDEDSEAFAAYRASMPWLAIPFSDLETKKALNQRFNVEGIPCLVVLQPNNNKDDDAIVYDGVELVYRYGVEAFPFTKERLEKLREEEREKHEKQTLKDLLTNSNRDFVFGHSTMEKVPVNSLTGKTIGLFFSAKWCVPGLKFTSKLISVYQKIKQGLVSGEDFEIVYVSSDNGEMEFEGYFQSMPWLALPFGDPNAKNLRKYFDIRGIPSLVILGPDGKTLSKQGRNLICLYKENAYPFTRARMEALERQMDEEAKRLPKSKHHEGHHHELSLVSEGTGGGPYICCECDEQGHGWAYQCLECGYEVHPNCVRTVEDSQTS >itb03g29150.t1 pep chromosome:ASM357664v1:3:29923979:29928369:-1 gene:itb03g29150 transcript:itb03g29150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSSETQEQKHKDAKSLYFDLPALDVSVAFPQATPASKFPPCTSDYYQFDDLLTPAERTVRMRVRECMERDVAPLMTKYWEKAEFPFEVIPKLGALGIVGGTIKGYGCPGLSVTASAIATAEVARVDASCSTFILVHSSLAMLTIGLCGSEIQKQKYLPSLAKLDTIGCWGLTEPEYGSDASSLKTIATKVEGGWTIEGQKRWIGNSTFADILVIFARNTSTNQINGFIVKKNAPGLQATKMENKIGLRIVQNGDILFNKVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGVYDVCHRYLKERKQFGAPLAAFQINQQKLVQMLSNIQAMLLVGWRLCKLYESGKMTPGHASMGKSWTTLKARETVSLGRELLGGNGILTDFLVAKAFCDLEPIYTYEGSYDINTLVTGREITGIASFKPAAAALSKRSRL >itb12g16390.t1 pep chromosome:ASM357664v1:12:17238405:17240668:1 gene:itb12g16390 transcript:itb12g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTPSMPSSSLAATTVSTLRSRYGELQGYNKPETAERYGKEQVHKWRRSYDVRPPNGESLEMCLGRAVTYFKEHIEHQLMAGKHVMVVAHANSLRSIIMYLDELTSEEVINLELSTGVPMLYIYKDGKFIRRGSPPGSMEAGVYAYIELELNKLIPPLGQPCWEHQWKFTD >itb06g16070.t2 pep chromosome:ASM357664v1:6:20274675:20281389:-1 gene:itb06g16070 transcript:itb06g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MLAFASTPFFEPYAIPLLLEKLSSDLPSTKVESLKYLSYCTLKYGGDRMAKYFEALWSALKDVLFIKPQSTLSIEFELVDGIGFQESEIMIQALELLQMVIQRSNGSFLSFILADEDIKTFMNSLNGLKDFDSASAQNKQRLHAVGCILSASARSSFASCDAVFQNFFTSLMDALMLSVEIPSKDSVVLSRRFNFGALYLSVELLSACRCLVLNCDGLTPIPDFLSMAWCCILCCFSTSLSNSLISLLQTTSVESTPNTNVYYGVKGLQTLAMFPGSFTQVPKPIFENVLLTLMSVITTDFNKTFLWKTALKALVDIGFYVDKSCEDEKVASFESVVMEKIGFLISSNDLTVPLTLKLQTTFDIGMTGKKFMHRAVQELDKTLFDNLSQIFVSENVKSTELTIPLLDCYSRNVLPWFHDNGGSEEVSLNLAFNILEKIEKSTHSSIGFQESELLDAIMIALKHAVASCSEENQERIIKKAFDLISSGSLKDLKPYTTPLNSNGGQLTSMLEGISCRDECIISLIASVIIALRPQTHIPNLKLLLQLFLMTLLKGHIPSAQALGSLVNKLPLETSIKNCNLEEAIDVLFNNEIWISCNFYDGNKCSTLDNGSAIDFSSLRIYGCDVSNKIHALVGLAWIGKGLLMRGHQKIKDITSTFVSCILSNGNVGAFEELDGQLKDNKELKVISLRKSAADAFHVLMSDSEACLNRNYHATVRPLYKQRFYNMVLPILLSSILEIDSPTTRSLLYRAFSHLISGAPLIAVVSDAKKVIPVLVDCLFMLQKDALDKDIIFSVLLVLSGILMDKNGKEAVIENAHLIVHQLNNLVSYPHMMVVRETAIQCLVALSGLPQSRIYPLRKEVLQAISKALDDPKRVVRQEAVRCRHAWLEIEGR >itb06g16070.t3 pep chromosome:ASM357664v1:6:20274675:20281389:-1 gene:itb06g16070 transcript:itb06g16070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MAKYFEALWSALKDVLFIKPQSTLSIEFELVDGIGFQESEIMIQALELLQMVIQRSNGSFLSFILADEDIKTFMNSLNGLKDFDSASAQNKQRLHAVGCILSASARSSFASCDAVFQNFFTSLMDALMLSVEIPSKDSVVLSRRFNFGALYLSVELLSACRCLVLNCDGLTPIPDFLSMAWCCILCCFSTSLSNSLISLLQTTSVESTPNTNVYYGVKGLQTLAMFPGSFTQVPKPIFENVLLTLMSVITTDFNKTFLWKTALKALVDIGFYVDKSCEDEKVASFESVVMEKIGFLISSNDLTVPLTLKLQTTFDIGMTGKKFMHRAVQELDKTLFDNLSQIFVSENVKSTELTIPLLDCYSRNVLPWFHDNGGSEEVSLNLAFNILEKIEKSTHSSIGFQESELLDAIMIALKHAVASCSEENQERIIKKAFDLISSGSLKDLKPYTTPLNSNGGQLTSMLEGISCRDECIISLIASVIIALRPQTHIPNLKLLLQLFLMTLLKGHIPSAQALGSLVNKLPLETSIKNCNLEEAIDVLFNNEIWISCNFYDGNKCSTLDNGSAIDFSSLRIYGCDVSNKIHALVGLAWIGKGLLMRGHQKIKDITSTFVSCILSNGNVGAFEELDGQLKDNKELKVISLRKSAADAFHVLMSDSEACLNRNYHATVRPLYKQRFYNMVLPILLSSILEIDSPTTRSLLYRAFSHLISGAPLIAVVSDAKKVIPVLVDCLFMLQKDALDKDIIFSVLLVLSGILMDKNGKEAVIENAHLIVHQLNNLVSYPHMMVVRETAIQCLVALSGLPQSRIYPLRKEVLQAISKALDDPKRVVRQEAVRCRHAWLEIEGR >itb06g16070.t1 pep chromosome:ASM357664v1:6:20274675:20284214:-1 gene:itb06g16070 transcript:itb06g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MANSSKCVKHIESYVSSSTSPAQQAAIVDTIAALVKNDLLTLEELVREMEMYLTTTDTIIRSRGILLLGELLTQLSLKPLTDAAIQSLIGFFIERLADWKALRGALVGCLALLRRKVDVGMVTQSQAMAAAQSFLENMQVQSLGQHDRKLCFQILECLLNCHPDAVEPLGDVLVYGICESIDGEKDPQCLVLIFRIFEALARLFPHSSGSLASYAEDLFEILGCYFPIHFTHSKSEDADIKREELSQALMLAFASTPFFEPYAIPLLLEKLSSDLPSTKVESLKYLSYCTLKYGGDRMAKYFEALWSALKDVLFIKPQSTLSIEFELVDGIGFQESEIMIQALELLQMVIQRSNGSFLSFILADEDIKTFMNSLNGLKDFDSASAQNKQRLHAVGCILSASARSSFASCDAVFQNFFTSLMDALMLSVEIPSKDSVVLSRRFNFGALYLSVELLSACRCLVLNCDGLTPIPDFLSMAWCCILCCFSTSLSNSLISLLQTTSVESTPNTNVYYGVKGLQTLAMFPGSFTQVPKPIFENVLLTLMSVITTDFNKTFLWKTALKALVDIGFYVDKSCEDEKVASFESVVMEKIGFLISSNDLTVPLTLKLQTTFDIGMTGKKFMHRAVQELDKTLFDNLSQIFVSENVKSTELTIPLLDCYSRNVLPWFHDNGGSEEVSLNLAFNILEKIEKSTHSSIGFQESELLDAIMIALKHAVASCSEENQERIIKKAFDLISSGSLKDLKPYTTPLNSNGGQLTSMLEGISCRDECIISLIASVIIALRPQTHIPNLKLLLQLFLMTLLKGHIPSAQALGSLVNKLPLETSIKNCNLEEAIDVLFNNEIWISCNFYDGNKCSTLDNGSAIDFSSLRIYGCDVSNKIHALVGLAWIGKGLLMRGHQKIKDITSTFVSCILSNGNVGAFEELDGQLKDNKELKVISLRKSAADAFHVLMSDSEACLNRNYHATVRPLYKQRFYNMVLPILLSSILEIDSPTTRSLLYRAFSHLISGAPLIAVVSDAKKVIPVLVDCLFMLQKDALDKDIIFSVLLVLSGILMDKNGKEAVIENAHLIVHQLNNLVSYPHMMVVRETAIQCLVALSGLPQSRIYPLRKEVLQAISKALDDPKRVVRQEAVRCRHAWLEIEGR >itb12g07060.t1 pep chromosome:ASM357664v1:12:5277651:5281770:-1 gene:itb12g07060 transcript:itb12g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MANWALITVISLVLAPVLRLAVIVLGGRRNRKKVVGFFHPYTNDGGGGERVLWCAVKAIQDERPDLNCVIYTGDHDATPQTLNARAFDRFGVKLLHHPQVVHLYKRKWIEETTYPRFTMIGQSFGSIYLSWEALSKFTPLYYLDTSGYAFTYPVARIFGCKVMCYTHYPTISLDMLSRVHSRASMYNNDALIAKSALLSWCKVIYYTIFSWMYGFVGSCSHLAMVNSSWTQSHIEKLWGISSRTRRVYPPCDTSGLQVLPLERAMDPPKIISVAQFRPEKAHPLQLEALAVAIKKLEPGLPRPKLQLVGSCRNEADEKRLQNLKDLAKKLNVENDVEFHKNVMYSDLVRLLGGAVAGIHTMIDEHFGICIVEYMAAGAIPIANRSAGPKMDIVLPQDGKQTGFLAQDVQEYASAIIEIVKMSSSERLEMAAAARRRASLFSEQRFYDDFKAAVGPILFHK >itb03g25290.t1 pep chromosome:ASM357664v1:3:24261713:24263143:-1 gene:itb03g25290 transcript:itb03g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLPCRGFAKRFLSFVSSSTRNPAFSPSRISARRAVHVSVYDKNQEEHVHYPSIVPDEEIQNQSAKYWTPHPQTGVFGPPTNHTLTAADFHTVAAGAGHSVLELKAFFRPLEDLEKPPHSAF >itb01g19560.t1 pep chromosome:ASM357664v1:1:25685632:25686333:1 gene:itb01g19560 transcript:itb01g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRELAEQQIRWEIGEGEVNLWWDDWMGQGPIARRFGIEGKNQSKDRVKDLWYEGRWRLEGLENHVQQYLLGVTLNEDCVDTAFWKLNVNGNFTLASAKKMIVDQEGEEEHEREFWFKPCWSKEVPWKMSFLAWRVFKRKIPSDDNLRRFGYQLASRCYCCPNPGLDNLQHIFCTGSTASQVWGYFARSLGFNIQIRGVKQLCYEWWKKKPRNRMIRFLTHKLPVVILWEL >itb02g17460.t3 pep chromosome:ASM357664v1:2:13484444:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMLLNHRLTSACDLYK >itb02g17460.t9 pep chromosome:ASM357664v1:2:13484748:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMLLNHRLTSACDLYK >itb02g17460.t8 pep chromosome:ASM357664v1:2:13484748:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t4 pep chromosome:ASM357664v1:2:13484444:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t1 pep chromosome:ASM357664v1:2:13484444:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t13 pep chromosome:ASM357664v1:2:13484446:13493893:-1 gene:itb02g17460 transcript:itb02g17460.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t11 pep chromosome:ASM357664v1:2:13484446:13493893:-1 gene:itb02g17460 transcript:itb02g17460.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t2 pep chromosome:ASM357664v1:2:13484444:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMLLNHRLTSACDLYK >itb02g17460.t10 pep chromosome:ASM357664v1:2:13484446:13493893:-1 gene:itb02g17460 transcript:itb02g17460.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t15 pep chromosome:ASM357664v1:2:13485905:13493874:-1 gene:itb02g17460 transcript:itb02g17460.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMVHFFA >itb02g17460.t5 pep chromosome:ASM357664v1:2:13484444:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMLLNHRLTSACDLYK >itb02g17460.t14 pep chromosome:ASM357664v1:2:13484446:13493893:-1 gene:itb02g17460 transcript:itb02g17460.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t7 pep chromosome:ASM357664v1:2:13484575:13493893:-1 gene:itb02g17460 transcript:itb02g17460.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t6 pep chromosome:ASM357664v1:2:13484444:13493908:-1 gene:itb02g17460 transcript:itb02g17460.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb02g17460.t12 pep chromosome:ASM357664v1:2:13484575:13493893:-1 gene:itb02g17460 transcript:itb02g17460.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGPQAGQVSYTSVPPQCSAVPVNLLPPPPPPTQHAHSRPPIMQSCPQSVEAQVVCSNPLIYQHIHPGVPQNFPRSQAPTGGISSSQSCLMPTVPNPPPSVQVHQSAPMHTSYQTAQWNSQWRPTAHHILPAMSPGAPRVFPLPPPPPPPQTQTQTQTPFGGSVHMSLPVPGNTQTLQHVAPQASHLQGSIFSLSAPMSSTGPLPPPPPSIPAGVQPIPQSLPPPTPPAPNTMASISSPTEESCNLANQLGRGSTIDGTAFGSGDNHLASVCVYACTQKEDGGTGSTIGSSVSENLNLDIPASSPKPLDRKTQQSSDIQGNNNSSMRAPEIHSSLQSIDSVDAGVSYSPTNSDMEMEDDITQPDEEQKVHSFGTLNLECVSITNKLDLEEQSQGALSTAECGLVEDVVEEERQGLCGSSYLSHSIGNKSSPRLKLSAMSPFQDVAEVQHSSLVHENSCQSNPGIGSEKFPAQPAEGLSPFSLIQGYASDDSLESNNNDDDGIRVENASLAIGSGSAEVRTIPIEDAGRSPECSPVSLRAVSETDRTSNVAEKSTDASSDTANEERIQKNALRDDNTNTAFDISNLPREDVKNTSGFLKIDEFGRLAREGSSDSDSGDSPRYTRRRGKREKSWSRSPSPYDRRRWSPRRRKDKRGRSRSVSPKRRRSRSKSPFRHGFGGDKVRSGKFHRSECFDFKRGKCYRGASCRYFHHESDKSDRSRSYRSKDQHRVRLPSSRNPGLHEETGTALLDKTIHENNKTKSPEIHDVGEKRERESEPLSHLHGKESCTEPSASPVTHVKPNKHSDYAAAAVPSSVETAVILQSQSQNSDQTHHGAVYEPKLLVNSSVTESSTLQASTSVQLQHLQFPDPKELSAPNVPLPTCFPPFPALPHAPSTTSAQQLLGQYNIMPQFNLACIENRPPCQPPVPPQQSHFPAPVNISWNSLPPTMPPLNPSHLSFVNNAIGNTALGQYGATPGQFQQSILPPRNDFVSQNSFRPYQNALPSISQVGQHQAYMHTQPISSMGSPIKKAQTVPGDTLPPSELPHPSSKSYPYMQQLPCVPNHSEAACALVYTSDLLDRSREPGLSGFGGSKISSYFNPYASKFEQPLTSKISSDAPIEGKHMLSSDRNCASFSLHNVPVDGRPAESLGSHDTPPHSASAANRMLCMPGGDQYDPLLDSIEPSTNSFRKSDPVQKRETTADSGVKLRLSRWSNPLDVEENNMQKRDGTITKAASTGNDEYGETADAEVGVVEDGSPSDSNDVEDMVEGEVEIDQVKTSGKKNKSKESRSTRLFKNGIADFVKELLKPSWRQGNMSKEVFKTIVKKTVEKVSGAMKSHKIPKSQAKINRYIDSSQRKLTKLVMGYVDKYVKV >itb09g13610.t1 pep chromosome:ASM357664v1:9:8898201:8904339:1 gene:itb09g13610 transcript:itb09g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLAARLLGLDSKLENSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPSELSLSELERLTRVFTQKIHDLIGTHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSIAGQRFVIQGFGNVGSWAAQLISEQGGKIVAVSDITGAIKNNNGIDIPSLMKHVKENRGVKGFHDGVAIDHNSILVEDCDILIPAALGGVINRDNAKDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDVKDMCKTHNCDLRMGAFTLGVNRVARATLLRGWEA >itb09g13610.t2 pep chromosome:ASM357664v1:9:8901781:8904339:1 gene:itb09g13610 transcript:itb09g13610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLAARLLGLDSKLENSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPSELSLSELERLTRVFTQKIHDLIGTHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSIAGQRFVIQGFGNVGSWAAQLISEQGGKIVAVSDITGAIKNNNGIDIPSLMKHVKENRGVKGFHDGVAIDHNSILVEDCDILIPAALGGVINRDNAKDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDVKDMCKTHNCDLRMGAFTLGVNRVARATLLRGWEA >itb07g08030.t1 pep chromosome:ASM357664v1:7:6281910:6282362:-1 gene:itb07g08030 transcript:itb07g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEELGEKIKEFLKDEKYLIVMDDVWHVEDLELLKIVFPNNQNGSRVLVTTRDFEVARHADSYGKPHALRFLESQESFELLKMKVFRKQRFPAHLELMGRIIAQKCYGLPLAVLVIAGVLDKERQSCILGRSGGRKSYTNTQSRKPKLQ >itb06g24990.t1 pep chromosome:ASM357664v1:6:26298012:26300498:1 gene:itb06g24990 transcript:itb06g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSEENSSGAEVWSCVQEREGGEVGRVLHLFEESEPSVAEIKEAFDVFDENGDGFIDEKEVERILCRMGFSEVSQEDCRKMIMAYDDNKDGKIDFREFLKLMEHSFG >itb07g24270.t1 pep chromosome:ASM357664v1:7:28515940:28521113:1 gene:itb07g24270 transcript:itb07g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGSKAKSNSKLGKSHKKKLEKLKEEEKKAILLSESVETMAKYKIGDNAYSLMLSSSSLGQAETTREKRRREVQYSKAGLEVPSDDRPSEKKTANSILCDAEQESDGMQCTFVVNDCPLQSPVSKDVSDTSLYLGTSHWQNKPHAFDGDSFTPVRHATDETNEPLMPKYMQNPLSTSSCHDEEKTGIMVGVDDNQKAKLADCHPPRSFIAPTVVHVSRPTEVENKRKDLPIVMMEQEIMEAINENTCVIICGETGCGKTTQVPQFLYEAGFGSQSDDGRGGIIGVTQPRRVAVLATAKRVAFELGFHIGKEVGFQVRHDRKVGDNCSIKFMTDGILLREVQNDFLLRRYSVIILDEAHERSLNTDILVGMLSRVIRERQREYAEQHKKVMSGGIVSCKEKIYPLKLVLMSATLRVEDFVSGGRIFHDPPPVIEVPTRQYPVTTHFSKRTEIVDYVGQAYKKVLSIHKRLPAGGILVFVTGQREVEFLCQKLRKASKEIVEKNCKEKNEALSMSALKPTEEKDMQEINEAFEVNENSSHEITDRFNSYDEEHGDTYEDESDMSYDSEDDSDLEFPIEQQHGCSQYSSCWSMCVLPLYAMLPASAQLRVFEEVKEGERLIVVATNVAETSLTIPGIKYVVDTGREKVKRYNSFNGMESYEVQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFNDIFSPFSDAEILKVPVDGVVLLMKSMHIGKVANFPFPTPPEPTALVEAERCLKVLEALDVKGRLTPLGKVMSQFPMSPRHSRMLLTVIQMMQKVKDYTRANTVLAYAVAAAAALSLSNPFVMEFEQTQSDPDGLKQECERSDEKEDKLRKKKLKEAARVSRAKFLNPTSDALTIACALQCFELSGNPAVFCIENALHLKTMEEMSKLRKQLLRLVFNSKCEVQDDFSWSYGTIEDVEAAWRVCLNKHSLQSNEEEILGQAIYAGWADRVAKRTKRVFGPSERDRKVNAVSYQACMVNETVFLNRRSSVSKSAPEFLVYSELLHSKRPYIHGATSVKANWLVKYGQSLCSFSAPLTDPKPYYCPLADRVFCWVDATFGPHLWELPRHSLLIEDNMKRVAVFAYALLEGQVLPCLKAVRKFMVASPASILRPEALGNKRVGNLLNRMNSRGGTIDSCVMLKKLWEMNPRELFPEIMDWFQEGFHDQFEALWTEMLFEIHLNPKDRFSKKIKRGRKKA >itb10g04270.t2 pep chromosome:ASM357664v1:10:4079686:4083462:-1 gene:itb10g04270 transcript:itb10g04270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSRCIYDAVSSNAAILFFVGAVTIVIIRVLYVMYRSSNPPRPKSPRPLSTLIVLGSGGHTAEMMNLLSVLQEDRFKPRFYIAAATDNMSLQKARVFEDSLVQKAATEVVGTAQFMQIYRSREVGQSYVTSVATTLIAIGHGLWLMIKIRPEVV >itb10g04270.t1 pep chromosome:ASM357664v1:10:4079686:4083470:-1 gene:itb10g04270 transcript:itb10g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNSRCIYDAVSSNAAILFFVGAVTIVIIRVLYVMYRSSNPPRPKSPRPLSTLIVLGSGGHTAEMMNLLSVLQEDRFKPRFYIAAATDNMSLQKARVFEDSLVQKAATEVVGTAQFMQIYRSREVGQSYVTSVATTLIAIGHGLWLMIKIRPEVILCNGPGTCIPICAIAFIFKVLGVKWSSIFYVESIARVKRLSLSGLLLYKLHMADQLFVQWPQLKSQYPRAHYVGRLM >itb15g22130.t3 pep chromosome:ASM357664v1:15:24798307:24804025:1 gene:itb15g22130 transcript:itb15g22130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFEDMASASSSATPSQSTPKFTYDVFLSFRGEDTRKNIVDHLYDRLKQIGIHTFKDDERLEKGQQISPTLLQAIQQSRFAVVVFSKNYASSTWCLDELAKIMECKENLNQVVVPIFYDIEPSHVRKQTASFKEAFAKHEKNEGKERVERWRKALTEAGNIAGHDLHGAEYNGYELKCIKAVIAHISKQLPPPPSVQKGFVGLESRLEKVRMKLKVWDEDAKLVLGLWGMGGIGKTTIARAAFERFSSAFDGSCFLADVRKHGMEALQKKLLSNVLKENSIDIDDVDKGIRIIQERLKLKRVLIVLDDVDHDDQLYKLVGDGEWLYNGSRIIITTRVKHLFTQFSIVVEPYEVEKLDEEKALELFSWHAFKKESPEKGFENLCTSFVAYAGGLPLALKVWGSFLRGQTKQTMWENTLENIKDIPEGEVIKMLRISYDGLGEECKNVFLDIVCFFRDEHRYIVEEVLNCCKLHPNINISVLIDRCLLFESFGYIDMHDLIYEMGLNIARNTGRRICRLEDLEDEPKVVEGLLLSFDSDENISPCIDSFKQMTKLKMLIVKYHERYRCSSESHLKSIEALKETGIMNYLPRSLMVLKFPHYPWSKLFFSMEMKKLTYLDLSASGSLLETPNFEKMPNLMNLNLSNCMKLKTIHPSIANLRKLVKLNLGGCSNLAKFPIFNQEMKSITSLDLSYCHSLLETPNFAMMPNLKELCLLNCEKLKEIHPSFGNLTELEKFCSFGCSTLEKLPNINKEMKSITKFDLKNWCSLFETLNFGMMPNWKKFGISYLGKLDEIHPNFRNLKKLVKFWIHGCNTLEKLSNINKEMKRIMNLDLKNCCSLLETLNFSMMPNLKILRLSIYEKLEEIRPSFENLTELEELCIDGCSTLEKFPNINKEMKSITYLDPKNCCSLLETPNFAMMPNLKQLRLSEWEKLKEIHPSFENLTELEELCIDGCSTLEKLPNINKVITSP >itb15g22130.t5 pep chromosome:ASM357664v1:15:24796965:24804025:1 gene:itb15g22130 transcript:itb15g22130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSFSKEDRYEEERYGSSSSHEAGNIAGHDLHGAEYNGYELKCIKAVIAHISKQLPPPPSVQKGRRICRLEDLEDEPKVVEGLLLSFDSDENISPCIDSFKQMTKLKMLIVKYHERYRCSSESHLKSIEALKETGIMNYLPRSLMVLKFPHYPWSKLFFSMEMKSITSLDLSCCSLLETPNFAMMPNLKELRLLYCEKLKEIHPSFGNHCEKLKEIHPSFGNLTELEKFCSFGCSTLEKLPNINKEMKSITKFDLKNWCSLFETLNFGMMPNWKKFGISYLGKLDEIHPNFRNLKKLVKFWIHGCNTLEKLSNINKEMKRIMNLDLKNCCSLLETLNFSMMPNLKILRLSIYEKLEEIRPSFENLTELEELCIDGCSTLEKFPNINKEMKSITYLDPKNCCSLLETPNFAMMPNLKQLRLSEWEKLKEIHPSFENLTELEELCIDGCSTLEKLPNINKVITSP >itb15g22130.t4 pep chromosome:ASM357664v1:15:24798307:24804025:1 gene:itb15g22130 transcript:itb15g22130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFEDMASASSSATPSQSTPKFTYDVFLSFRGEDTRKNIVDHLYDRLKQIGIHTFKDDERLEKGQQISPTLLQAIQQSRFAVVVFSKNYASSTWCLDELAKIMECKENLNQVVVPIFYDIEPSHVRKQTASFKEAFAKHEKNEGKERVERWRKALTEAGNIAGHDLHGAEYNGYELKCIKAVIAHISKQLPPPPSVQKGFVGLESRLEKVRMKLKVWDEDAKLVLGLWGMGGIGKTTIARAAFERFSSAFDGSCFLADVRKHGMEALQKKLLSNVLKENSIDIDDVDKGIRIIQERLKLKRVLIVLDDVDHDDQLYKLVGDGEWLYNGSRIIITTRVKHLFTQFSIVVEPYEVEKLDEEKALELFSWHAFKKESPEKGFENLCTSFVAYAGGLPLALKVWGSFLRGQTKQTMWENTLENIKDIPEGEVIKMLRISYDGLGEECKNVFLDIVCFFRDEHRYIVEEVLNCCKLHPNINISVLIDRCLLFESFGYIDMHDLIYEMGLNIARNTGRRICRLEDLEDEPKVVEGLLLSFDSDENISPCIDSFKQMTKLKMLIVKYHERYRCSSESHLKSIEALKETGIMNYLPRSLMVLKFPHYPWSKLFFSMEMKKLTYLDLSASGSLLETPNFEKMPNLMNLNLSNCMKLKTIHPSIANLRKLVKLNLGGCSNLAKFPIFNQEMKSITSLDLSYCHSLLETPNFAMMPNLKELCLLNCEKLKEIHPSFGNLTELEKFCSFGCSTLEKLPNINKEMKSITKFDLKNWCSLFETLNFGMMPNWKKFGISYLGKLDEIHPNFRNLKKLVKFWIHGCNTLEKLSNINKEMKRIMNLDLKNCCSLLETLNFSMMPNLKILRLSIYEKLEEIRPSFENLTELEELCIDGCSTLEKFPNINKEMKSITYLDPKNCCSLLETPNFAMMPNLKQLRLSEWEKLKEIHPSFENLTELEELCIDGCSTLEKLPNINKVITSP >itb15g22130.t2 pep chromosome:ASM357664v1:15:24798307:24804025:1 gene:itb15g22130 transcript:itb15g22130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFEDMASASSSATPSQSTPKFTYDVFLSFRGEDTRKNIVDHLYDRLKQIGIHTFKDDERLEKGQQISPTLLQAIQQSRFAVVVFSKNYASSTWCLDELAKIMECKENLNQVVVPIFYDIEPSHVRKQTASFKEAFAKHEKNEGKERVERWRKALTEAGNIAGHDLHGAEYNGYELKCIKAVIAHISKQLPPPPSVQKGFVGLESRLEKVRMKLKVWDEDAKLVLGLWGMGGIGKTTIARAAFERFSSAFDGSCFLADVRKHGMEALQKKLLSNVLKENSIDIDDVDKGIRIIQERLKLKRVLIVLDDVDHDDQLYKLVGDGEWLYNGSRIIITTRVKHLFTQFSIVVEPYEVEKLDEEKALELFSWHAFKKESPEKGFENLCTSFVAYAGGLPLALKVWGSFLRGQTKQTMWENTLENIKDIPEGEVIKMLRISYDGLGEECKNVFLDIVCFFRDEHRYIVEEVLNCCKLHPNINISVLIDRCLLFESFGYIDMHDLIYEMGLNIARNTGRRICRLEDLEDEPKVVEGLLLSFDSDENISPCIDSFKQMTKLKMLIVKYHERYRCSSESHLKSIEALKETGIMNYLPRSLMVLKFPHYPWSKLFFSMEMKKLTYLDLSASGSLLETPNFEKMPNLMNLNLSNCMKLKTIHPSIANLRKLVKLNLGGCSNLAKFPIFNQEMKSITSLDLSYCHSLLETPNFAMMPNLKELCLLNCEKLKEIHPSFGNLTELEKFCSFGCSTLEKLPNINKEMKSITKFDLKNWCSLFETLNFGMMPNWKKFGISYLGKLDEIHPNFRNLKKLVKFWIHGCNTLEKLSNINKEMKRIMNLDLKNCCSLLETLNFSMMPNLKILRLSIYEKLEEIRPSFENLTELEELCIDGCSTLEKFPNINKEMKSITYLDPKNCCSLLETPNFAMMPNLKQLRLSEWEKLKEIHPSFENLTELEELCIDGCSTLEKLPNINKVITSP >itb15g22130.t1 pep chromosome:ASM357664v1:15:24798307:24804025:1 gene:itb15g22130 transcript:itb15g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFEDMASASSSATPSQSTPKFTYDVFLSFRGEDTRKNIVDHLYDRLKQIGIHTFKDDERLEKGQQISPTLLQAIQQSRFAVVVFSKNYASSTWCLDELAKIMECKENLNQVVVPIFYDIEPSHVRKQTASFKEAFAKHEKNEGKERVERWRKALTEAGNIAGHDLHGAEYNGYELKCIKAVIAHISKQLPPPPSVQKGFVGLESRLEKVRMKLKVWDEDAKLVLGLWGMGGIGKTTIARAAFERFSSAFDGSCFLADVRKHGMEALQKKLLSNVLKENSIDIDDVDKGIRIIQERLKLKRVLIVLDDVDHDDQLYKLVGDGEWLYNGSRIIITTRVKHLFTQFSIVVEPYEVEKLDEEKALELFSWHAFKKESPEKGFENLCTSFVAYAGGLPLALKVWGSFLRGQTKQTMWENTLENIKDIPEGEVIKMLRISYDGLGEECKNVFLDIVCFFRDEHRYIVEEVLNCCKLHPNINISVLIDRCLLFESFGYIDMHDLIYEMGLNIARNTGRRICRLEDLEDEPKVVEGLLLSFDSDENISPCIDSFKQMTKLKMLIVKYHERYRCSSESHLKSIEALKETGIMNYLPRSLMVLKFPHYPWSKLFFSMEMKKLTYLDLSASGSLLETPNFEKMPNLMNLNLSNCMKLKTIHPSIANLRKLVKLNLGGCSNLAKFPIFNQEMKSITSLDLSYCHSLLETPNFAMMPNLKELCLLNCEKLKEIHPSFGNLTELEKFCSFGCSTLEKLPNINKEMKSITKFDLKNWCSLFETLNFGMMPNWKKFGISYLGKLDEIHPNFRNLKKLVKFWIHGCNTLEKLSNINKEMKRIMNLDLKNCCSLLETLNFSMMPNLKILRLSIYEKLEEIRPSFENLTELEELCIDGCSTLEKFPNINKEMKSITYLDPKNCCSLLETPNFAMMPNLKQLRLSEWEKLKEIHPSFENLTELEELCIDGCSTLEKLPNINKVITSP >itb04g19190.t1 pep chromosome:ASM357664v1:4:23295358:23295972:1 gene:itb04g19190 transcript:itb04g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEQKMDELANKVENNVHLQESPDLPQEIILDILLRLSVKSLLRFRCVSKCWLSLIYSPQFIKTHLEFSKKFGPKRLALMATRFGQPEISSTYSIVCENSCIVRVVELNHFQKTADIPLLCILGSCNGLLCLLTSYKLLIWNPSTRQTSIIDDPVYEFKDVGYVRYGFGYDESHDDYKLVKIFGSPPPIDDPDGMFENTIMV >itb11g03350.t2 pep chromosome:ASM357664v1:11:1755478:1759238:1 gene:itb11g03350 transcript:itb11g03350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEISNHENNKEMGWPEKGGFCIKCDRGGGKVLVCSDSNCPIVVHEECMGCPAKFDDRGNFYCPYCLYRQATVESCKAREDAMLKKQALSRFLDNEVTIGDKVVQPSTGKSPSKRADKSSPEVTIGDKLVQPSMGKSPSKRADKSSPEERTGFLQSKCNKVGEGMQTEHEPEVDNQQIDRDVEDRQEDGHSSEIPEREKKTRKKAKRVLAENEPEADAQQLDRDFENHQEDGHLREIPEREKKTRKKAKQMRAENETEVDDQQLDRGVEDHKKDDHLSEIPEREKKIRRKAKRVLAENEPEADDQQLDRDFEDHQEDGDLSEIPEREKKTRKKAKQMRAENETEVDDLQLERGVEDHKKDDHLAETPDRGKKIRKKANQMLAENEPVVDDQLLDRDVEDCQGDGHLREIPEREENITKKAAQMQAGGKENEDGGGAKADQMQGKARESAASFMNEEPESQLHLKAKRRVETGASTFREFEAVLRRSNHNMIKKPDRKKKIRAKAKLMLAENEPEVDDQLLDRDAEDCHPIEIPVREKNSMKKAAVQMKAGRKENEAGGGAEAEQMQGGESAVPFANEEPESQLRMKAKRRDETRASTSREFEAVLGRRNRNTETNEIEGQPSNLDSERSSRTTFSPKKVSGLTGETSSPDKSKQAEPWQRNQFPNGRRQKLMWSTEEEEMLEEGVHKFSATANKNIPWRKILDFGRHVFHPTRMPTDLKDKWRTLCFR >itb11g03350.t3 pep chromosome:ASM357664v1:11:1755478:1759238:1 gene:itb11g03350 transcript:itb11g03350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEISNHENNKEMGWPEKGGFCIKCDRGGGKVLVCSDSNCPIVVHEECMGCPAKFDDRGNFYCPYCLYRQATVESCKAREDAMLKKQALSRFLDNEVTIGDKVVQPSTGKSPSKRADKSSPEVTIGDKLVQPSMGKSPSKRADKSSPEERTGFLQSKCNKVGEGMQTEHEPEVDNQQIDRDVEDRQEDGHSSEIPEREKKTRKKAKRVLAENEPEADAQQLDRDFENHQEDGHLREIPEREKKTRKKAKQMRAENETEVDDQQLDRGVEDHKKDDHLSEIPEREKKIRRKAKRVLAENEPEADDQQLDRDFEDHQEDGDLSEIPEREKKTRKKAKQMRAENETEVDDLQLERGVEDHKKDDHLAETPDRGKKIRKKANQMLAENEPVVDDQLLDRDVEDCQGDGHLREIPEREENITKKAAQMQAGGKENEDGGGAKADQMQGKARESAASFMNEEPESQLHLKAKRRVETGASTFREFEAVLRRSNHNMIKKPDRKKKIRAKAKLMLAENEPEVDDQLLDRDAEDCHPIEIPVREKNSMKKAAVQMKAGRKENEAGGGAEAEQMQGGESAVPFANEEPESQLRMKAKRRDETRASTSREFEAVLGRRNRNTETNEIEGQPSNLDSERSSRTTFSPKKVSGLTGETSSPDKSKQAEPWQ >itb11g03350.t1 pep chromosome:ASM357664v1:11:1755478:1759250:1 gene:itb11g03350 transcript:itb11g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEISNHENNKEMGWPEKGGFCIKCDRGGGKVLVCSDSNCPIVVHEECMGCPAKFDDRGNFYCPYCLYRQATVESCKAREDAMLKKQALSRFLDNEVTIGDKVVQPSTGKSPSKRADKSSPEVTIGDKLVQPSMGKSPSKRADKSSPEERTGFLQSKCNKVGEGMQTEHEPEVDNQQIDRDVEDRQEDGHSSEIPEREKKTRKKAKRVLAENEPEADAQQLDRDFENHQEDGHLREIPEREKKTRKKAKQMRAENETEVDDLQLERGVEDHKKDDHLAETPDRGKKIRKKANQMLAENEPVVDDQLLDRDVEDCQGDGHLREIPEREENITKKAAQMQAGGKENEDGGGAKADQMQGKARESAASFMNEEPESQLHLKAKRRVETGASTFREFEAVLRRSNHNMIKKPDRKKKIRAKAKLMLAENEPEVDDQLLDRDAEDCHPIEIPVREKNSMKKAAVQMKAGRKENEAGGGAEAEQMQGGESAVPFANEEPESQLRMKAKRRDETRASTSREFEAVLGRRNRNTETNEIEGQPSNLDSERSSRTTFSPKKVSGLTGETSSPDKSKQAEPWQRNQFPNGRRQKLMWSTEEEEMLEEGVHKFSATANKNIPWRKILDFGRHVFHPTRMPTDLKDKWRTLCFR >itb01g05060.t6 pep chromosome:ASM357664v1:1:3440726:3443492:1 gene:itb01g05060 transcript:itb01g05060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSGLRRSGGAVGSYASQKDFLCEGVSAQISPSPIIRNFTSNGNLDYLSSTNRVHPASFGNRGMRFTVTPQYRFAHSQAQRTELSDSENESTRYPTLGATKPGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQTALAKDPNSYFFLANCTGVDTEKHEVFCETIDNGGQNQEAYQFRVAYDKLVIASGAEPLTFGIKGVEQHAFFLREVNHAQEIRKRLLLNLMLSETPGMSEEEKEQLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIHVTLIEVPAVSFSI >itb01g05060.t2 pep chromosome:ASM357664v1:1:3440726:3444897:1 gene:itb01g05060 transcript:itb01g05060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSGLRRSGGAVGSYASQKDFLCEGVSAQISPSPIIRNFTSNGNLDYLSSTNRVHPASFGNRGMRFTVTPQYRFAHSQAQRTELSDSENESTRYPTLGATKPGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQTALAKDPNSYFFLANCTGVDTEKHEVFCETIDNGGQNQEAYQFRVAYDKLVIASGAEPLTFGIKGVEQHAFFLREVNHAQEIRKRLLLNLMLSETPGMSEEEKEQLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIHVTLIEANEILSSFDVGLRQYATKHLTKVGVRLVRGVVKEVHPKKIVLSDGSEVPYGLLVWSTGVGPSGFVKSLNLPKAPGGRIGIDEYLRVPSVDDVYALGDCAGFLEQTGRPVLPALAQVYFLTRKKKIES >itb01g05060.t4 pep chromosome:ASM357664v1:1:3440816:3444897:1 gene:itb01g05060 transcript:itb01g05060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSGLRRSGGAVGSYASQKDFLCEGVSAQISPSPIIRNFTSNGNLDYLSSTNRVHPASFGNRGMRFTVTPQYRFAHSQAQRTELSDSENESTRYPTLGATKPGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQTALAKDPNSYFFLANCTGVDTEKHEVFCETIDNGGQNQEAYQFRVAYDKLVIASGAEPLTFGIKGVEQHAFFLREVNHAQEIRKRLLLNLMLSETPGMSEEEKEQLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIHVTLIEANEILSSFDVGLRQYATKHLTKVGVRLVRGVVKEVHPKKIVLSDGSEVPYGLLVWSTGVGPSGFVKSLNLPKAPGGRYIISSLSRFSFVMHLT >itb01g05060.t3 pep chromosome:ASM357664v1:1:3440726:3444820:1 gene:itb01g05060 transcript:itb01g05060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSGLRRSGGAVGSYASQKDFLCEGVSAQISPSPIIRNFTSNGNLDYLSSTNRVHPASFGNRGMRFTVTPQYRFAHSQAQRTELSDSENESTRYPTLGATKPGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQTALAKDPNSYFFLANCTGVDTEKHEVFCETIDNGGQNQEAYQFRVAYDKLVIASGAEPLTFGIKGVEQHAFFLREVNHAQEIRKRLLLNLMLSETPGMSEEEKEQLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIHVTLIEANEILSSFDVGLRQYATKHLTKVGVRLVRGVVKEVHPKKIVLSDGSEVPYGLLVWSTGVGPSGFVKSLNLPKAPGGRIGIDEYLRVPSVDDVYALGDCAGFLEQTGRPVLPALAQVYFLTRKKKIES >itb01g05060.t5 pep chromosome:ASM357664v1:1:3440816:3444897:1 gene:itb01g05060 transcript:itb01g05060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSGLRRSGGAVGSYASQKDFLCEGVSAQISPSPIIRNFTSNGNLDYLSSTNRVHPASFGNRGMRFTVTPQYRFAHSQAQRTELSDSENESTRYPTLGATKPGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQTALAKDPNSYFFLANCTGVDTEKHEVFCETIDNGGQNQEAYQFRVAYDKLVIASGAEPLTFGIKGVEQHAFFLREVNHAQEIRKRLLLNLMLSETPGMSEEEKEQLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIHVTLIEANEILSSFDVGLRQYATKHLTKVGVRLVRGVVKEVHPKKIVLSDGSEVPYGLLVWSTGVGPSGFVKSLNLPKAPGGRYIISSLSRFSFVMHLT >itb01g05060.t1 pep chromosome:ASM357664v1:1:3440726:3444897:1 gene:itb01g05060 transcript:itb01g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIARSGLRRSGGAVGSYASQKDFLCEGVSAQISPSPIIRNFTSNGNLDYLSSTNRVHPASFGNRGMRFTVTPQYRFAHSQAQRTELSDSENESTRYPTLGATKPGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQTALAKDPNSYFFLANCTGVDTEKHEVFCETIDNGGQNQEAYQFRVAYDKLVIASGAEPLTFGIKGVEQHAFFLREVNHAQEIRKRLLLNLMLSETPGMSEEEKEQLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYAHVKNYIHVTLIEANEILSSFDVGLRQYATKHLTKVGVRLVRGVVKEVHPKKIVLSDGSEVPYGLLVWSTGVGPSGFVKSLNLPKAPGGRIGIDEYLRVPSVDDVYALGDCAGFLEQTGRPVLPALAQVAERQGKYLVELFNKMGKQSGGKALSAKDVALGDPFVYNHLGSMASVGRYKALVDLRQSKGAEGVSMAGFVSWLIWRSAYLTRVLSWRNRFYVAVNWATTLVFGRDNSRIG >itb06g14450.t2 pep chromosome:ASM357664v1:6:19004880:19009945:-1 gene:itb06g14450 transcript:itb06g14450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTYPSATSTPILHRFRPSFFSLPPSPLRVSAKPPFLQSNKQLRFSASLSNISPPSSSSRHFSFQPLYPFQKPSTRFVVSAAPGGAVDTAEDKLPADLHVTETREPNSRVRLSVEVPPVVCEDSYRRVIREFGKQSKIPGFRPGKNIPETILVNYVGKENVQKATVESILKRTLPHALSSVTGKALEDSIRIATKFSDMEKTYLSLNTLRYDVLVDVAPVVKWIPEDAYKNLKVVVELDSDTDAQIIAEQELKRRHKSLGALKIVADRGLQIGDVAVIDISATTIEKEESNAKRIPAAETKGFNFDTEDGDKVLPGFLDSIIGIKSGETKSFPLVFPESWKQEDLRGVYAQFTVQCKELFYRNLPELNDSIADKLIPGCTTIEEVKQLLLQKCQEVEQSAKDQATDNAILDQIQQMVEIDIPQSIFEEQGRQLYGAQLLQIQANMKLNEQQLAALSSPKAVNEFLTTQKENITRIIKQNLAVGDIFSRENLQVNALFCWCIPCALSMLSGF >itb06g14450.t1 pep chromosome:ASM357664v1:6:19004880:19009945:-1 gene:itb06g14450 transcript:itb06g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTYPSATSTPILHRFRPSFFSLPPSPLRVSAKPPFLQSNKQLRFSASLSNISPPSSSSRHFSFQPLYPFQKPSTRFVVSAAPGGAVDTAEDKLPADLHVTETREPNSRVRLSVEVPPVVCEDSYRRVIREFGKQSKIPGFRPGKNIPETILVNYVGKENVQKATVESILKRTLPHALSSVTGKALEDSIRIATKFSDMEKTYLSLNTLRYDVLVDVAPVVKWIPEDAYKNLKVVVELDSDTDAQIIAEQELKRRHKSLGALKIVADRGLQIGDVAVIDISATTIEKEESNAKRIPAAETKGFNFDTEDGDKVLPGFLDSIIGIKSGETKSFPLVFPESWKQEDLRGVYAQFTVQCKELFYRNLPELNDSIADKLIPGCTTIEEVKQLLLQKCQEVEQSAKDQATDNAILDQIQQMVEIDIPQSIFEEQGRQLYGAQLLQIQANMKLNEQQLAALSSPKAVNEFLTTQKENITRIIKQNLAVGDIFSRENLQYSTEDLAKEVQNSIAEFQQHKQDYDEERVKEQVQEVLEGAKVLEWLREHAEIQYITK >itb07g13710.t1 pep chromosome:ASM357664v1:7:16050057:16051466:-1 gene:itb07g13710 transcript:itb07g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDAKLKDFIHKYGTGGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRVICTLYASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKVMAMMLINNNPSSSSSLSSSRSSPMVFSSSSSSSIAPPHLLHPQQLSFSGLDEHQSLSAAQNPYFLYDNNNNGSDYQSYPYNFQTQDQGFSNLMQFGGESSNNNNLLMFGGTEASGSSSDGICSYGSKPEIKQEADDHPLLGATCFQSTNAHSWDNNNGGFDHHHQDQMNNNTGYFAGNNDLDIVRKLVSSSSCNDNICNNNNSRLMLFNDINKTDERGMLYQCYYY >itb15g19680.t1 pep chromosome:ASM357664v1:15:22170344:22173279:1 gene:itb15g19680 transcript:itb15g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSRGFLVLVLIPMWLVFLCLPETGLASVQNVGKLNLGFRGSQMTWIDNNGMVLVSNNSNFAFGFNPTQNVTLFVLVVMHLSSQTVIWSANRGSPVQNSDLFVFDDSGNAYLQSGGSTIWSSGTGKKGVAAMELRDSGNLVLVGNDSNVVWQSFDHPVDTLVSNQEFDEGMKLVSSPGSNNFTYSLEIKSGDMILSASFNPPQPYWALGKDSRTTINKLGGGVTSAVLDANSWKFYDRNKVLIWQFRFSGNTDVNATWIAVLGNNGVISFSILQDSNQPIVSTTRIPEGECNTPAACDPYYVCHSGNMCQCPSSLPSSCKLGTVSLCNNSEAVELVDAGNSLSYFAIGFVPPASKTNLNGCKNLCLGNCTCAALFFDSSSGNCFLFDQIGSLRDDANGAGFSSYIKVLSSSRNGGDGGSSKKHVPIIIAIAILTILIITCLVFVGIHFHKKRNENLLESPLDSSEEDNFLEGLSGMPIRFSYKDLQNATNDFSVKLGHGGFGSVYQGVLPDGTRVAVKKLEGIGQGKKEFRAEVSIIGRIHHLHLARLKGFCAEGAYKLLVYEYMANGSLDRWLFRDNEGFMLDWNARYNIALGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSQVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDSSQSSEKSHFPSYAFKMNEEGKLKDIVDMKLRIDEGDERAVTAIRVALWCIQDDMHLRPPMTKVVQMLEGLCPVPPPPSSSPLGSRLYSSFFKSASEECTSSGASAPSDYNSDAYLSAVRLSGPR >itb04g04680.t1 pep chromosome:ASM357664v1:4:2883789:2886383:-1 gene:itb04g04680 transcript:itb04g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEAAISREMSSSNTSAFMFSDNSSYRNFMANEIPRGKDIAASYLSQQHNHQSGGMMRFRSAPSSFLAAMVDRAGNSSSVNGGDGNFTANDESDTVFSSLMNGNGSGSVHNGNSDSRSLRFMKPGIAEENQRRRRSSGDGNGNWDGKQMVYEAPGMEKQQQMKNESILVRQRCSEGFFSGFEAMGEVGNYRHSNGEGSCSSTSGFSNHIKHSSSAQQSAASNNHMPTIAENESWNESSLNCLKKRTQEGNFKLLSATSNGMTTQNEEPRTSAPGLTRHLSMPKTAAEMVAMEKYLQFQQHTILCKIRAKRGCATHPRSIAERNRRTRISERMKKLHELFPNMDKQTNTADMLDLAAEHIKDLQKQVQTLTDKRAKCTCSRKAQP >itb04g04680.t2 pep chromosome:ASM357664v1:4:2883827:2886231:-1 gene:itb04g04680 transcript:itb04g04680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEAAISREMSSSNTSAFMFSDNSSYRNFMANEIPRGKDIAASYLSQQHNHQSGGMMRFRSAPSSFLAAMVDRAGNSSSVNGGDGNFTANDESDTVFSSLMNGNGSGSVHNGNSDSRSLRFMKPGIAEENQRRRRSSGDGNGNWDGKQMVYEAPGMEKQQQMKNESILVRQRCSEGFFSGFEAMGEVGNYRHSNGEGSCSSTSGFSNHIKHSSSAQQSAASNNHMPTIAENESWNESSLNCLKKRTQEGNFKLLSATSNGMTTQNEEPRTSAPGLTRHLSMPKTAAEMVAMEKYLQFQQHTILCKIRAKRGCATHPRSIAERNRRTRISERMKKLHELFPNMDKTNTADMLDLAAEHIKDLQKQVQTLTDKRAKCTCSRKAQP >itb13g26880.t1 pep chromosome:ASM357664v1:13:31979256:31981370:1 gene:itb13g26880 transcript:itb13g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAARACDYCIGKRARWYCAADDAFLCQACDSSVHSANPLARRHGRVELKTLSINAAAAAAANIEPSWHRGFTRKPRTPRHGRYNNNNNNNNNNSKPKTTDAPSPVVHDVAKTPLHLSIVPVPEMCSDENYSHDDEKEDNLLLYRVPIFDPFMTDICTTLNSSNITDDAAFNESKCAGGGHRKTSTATTMPPTTEMEMEMEMELAEFAADVESLLGKGLDDEEESFDMEGLGFLGGNGKNVLVVEEKASTMMEFSRRHEKVVVKVEGGEEEDAPACVAEADDDIFMAANANHHYTSSSSSLCFDTLELKFDYDNDYSPNNEKEQVSTGLDKEIKKTILLNLDYEGVILAWSDQRCPWTTGQRPELNSHHGWPDCIMQRGCGSGTIHAAAYDGVGDMGITLGQAAIADDVGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRANFPLLDQQIK >itb15g21930.t1 pep chromosome:ASM357664v1:15:24591337:24594159:-1 gene:itb15g21930 transcript:itb15g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQILLSFVFHFLLLFSQSFEATSERTEGRALVGWKNTLSNIDVVHSWSIANLDNICWNWSGISCNNDGDVYTIKLDNFSLSASISLVWKRVELQVVHYDIWIALDLSNNYFHSEIPKSLGMLHVIQLLNLSHNQLIGYIPSSLENLSLLESLDLSSNKLVGEIPRQLPRSLTFLAVLNVSSNNLFGPIPRGLQFDTFSNDSYLENKALCGLPLMLQCQDKGEGETADVEDSEDFWIGFGWQSVVVGYCCGVPFGIAVGYLMFKYGKPRWLIRLVLGEVHQS >itb06g17260.t1 pep chromosome:ASM357664v1:6:21155705:21158418:-1 gene:itb06g17260 transcript:itb06g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSESQKKNLVSFLPLVGEAYSSVGIEAPKDISQHRLLQFNNGKNKEHLLVFPDVGRAFQDFINSREVREFLSGALAGAMTKAVLAPLETIRTRMVVGIGSKSIGQSFIQVVEQQGWQGLWAGNMVNMVRIIPTQAIELGTFEWVKRAMTSSQEKWKETGGPKLEIGDISINFSLNWLSPVAVAGAAAGVVSTLACHPLEVLKDRLTISPEIYPNLSIAVNKIYKDGGVGALYAGIAPTLVGMLPYSTCYYFMYETVKKSYCQAKSKESLNRAEMLIIGALSGLTASTISYPLEVARKRLMVGALQGKCPPHMAAALSEIVREQGVRGLYRGWGASCLKVMPSSGITWMFYEAWKDILLREQRRV >itb11g07480.t1 pep chromosome:ASM357664v1:11:4585756:4587176:1 gene:itb11g07480 transcript:itb11g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAGYEIVVLFTFVVCGTWLVFHSQFKALPEPLSEGNAGETGFSEVPAMNHVKAALVCGRDKWFDFVTFSVTYSCWMEPIIPGWNKTQIHPALRVGKDDLEGDDDNRATIIHINTAGSTRWSLSVNTNQIQHFQLQDDSGVLVSHGEKKSIDGWHILHFAGGENWATKFYMTLHWHKNSSAMEGSEGGKVMFLKLRTHVNATTLEMHKVLENLPFLVFSVWELHFTTYLSLLGYFVFYFCFRALVENDFISLSSKCVIVSPLDCD >itb14g02480.t1 pep chromosome:ASM357664v1:14:2125646:2132292:-1 gene:itb14g02480 transcript:itb14g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSFSLIFSLLLVTAFLCFTTANATRRFPLFYDGPIPDEAGDGIVGIPAPPYKVPGHGSPKGDAPFAVKKTIGSPPPPSKRAPPHA >itb09g15290.t1 pep chromosome:ASM357664v1:9:10554493:10555554:1 gene:itb09g15290 transcript:itb09g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMRLSLLIVLVVFPCVVFAHSWNEAHATYYGAPEGTIGGACGFEEYKQIYSPYTAALSPTLYNEAESCGACFEIVCVNTTGFCKKDGEKSVVVTATDLCPASDARCSPPHVHFDLSQPAFHLIAEHLGGDVPVKYRKVSCKRKGGAKFTITGNPNFNLVTVTNVAGGGDVETVEVKAEGDKEWKNMKRNWGEKWETSEVLSGKSLNFRVTTTEGEVVTSKHVAPESWQFGQTFEGKNV >itb04g15770.t1 pep chromosome:ASM357664v1:4:16971162:16973834:-1 gene:itb04g15770 transcript:itb04g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISNPVFESKLTLYVLVCWILAAFGGLMFGYDIGISGGVSGMDDFLIKFFPRVYERKSHAKENNYCKYDDQMLQLFTSSLYLAALIASFGASKACSYFGRKPTIFMASILFIIGAVLSALAEHMWILILGRIFFGIAVGFGNETVPLFLTEVAPVQHRGAVNILFQLFVTIGILIAGLVNFATSKIHPNGWRFSLGLAGVPALILGLGSLIITETPASLLERGKVEEARAALKKIRGVEGVEPEFQEMLAGCEKARQVKRPFKKLMKKESMPPLIIAISMQVFQQFTGINAIMFYAPVLFQTMGFKADGSLMSTIITGLVNVGSTFVSIYAVDKVGRRKLLLQACCQMLISQLAIGIILSTHLSATGSLNKKLAAAVVVLVCTYVMSFAWSWGPLGWLIPSETFPMETRTGGFAFAVSANMMFTFLIAQAFLSMLCTMQASIFFFFSAWIVTMGVFVIFLLPETKGVPIDSMVDRVWKQHPVWKRFFGDTQEL >itb12g05460.t1 pep chromosome:ASM357664v1:12:4034553:4036636:1 gene:itb12g05460 transcript:itb12g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MAVSCAYLLPCTASPISLSPFLPPSSSPTLRFFRNRIPCSKSPLMEPLHSESQTKFMEFPYVSAPHRDLMVQLVSSVETRLESALQPCTLPPDVQYYQNPTGSAHAALHVRSGLPSSRIDFILGSWVHCKLPTGGALNITSLSAYLRPSTDAPNFLIELIRSSPVSLILILDLPPRKDLVLHPEYLKEFYEDTQLDRHRQLLEKLPEVRPYVSSSLYIRSVVSPCAVMVSIEAPADQTSCMEEIIRDHISPIAKEMLETWLDMCACVEREVGGDESAGLGRRDQIIKNKTIEIDLGSSFPRLFGEEVANRVLGVLRDIYNA >itb13g11620.t1 pep chromosome:ASM357664v1:13:16266553:16278308:1 gene:itb13g11620 transcript:itb13g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKRKIREKEPIVWKILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLIGLYILTSGNRRGAIREQLADLDLRTIIDYSFAEWKELGEEGSTGNEWEDRKVGRRKDFLVRRMELVKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLSTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKRKIREKEPIVWKILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLIGLYILTSGNRRDQLKTLGFQQATATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHHYGNVHAVEKLRQSIEIWYATSEYLRQEMNPNFRMTDPLNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRADCGTVRGISVSPQNGMMPERILIQTLIGRVLADDIYIGPRCIATRNQNIGVGLVNRFITFRAQPISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPSNGKIQFNEDLVHPTRTRHGHPAFLCYIDLYVTIESDDILHNVNIPPKSFLLVQNDQYVESEQVIAEIRAGTSTLNFKERVRKHIYSDSEGEMHWSTDVYHAPEFTYVIRSAKPYLATPGATLHGHYGEIIYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRIDSWNERITRILGSPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLFRAERTGRALEEAICYRATLLGITRASLWGLGLLADNGLDWTNINPYSKARIQQTHAEQQSLILEKHHHYGNVHAVEKLRQSIEIWYSTSEYLRQEMNPNFRMTDPYNPVHIMSFSGARGNVSQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRRDCGTIRGISVSPQNSTMPERILIQTLIGRVLADDIYMGSRCIATRNQDIGVGLVNRFITLRTQLISIRTPFTCRSASWICRLCYGRSPTHGGLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPSNGKIQFNEDLVHPTRTRHGHPAFLCYIDLYVTIESDDILHNVNIPPKSFLLVQNDQYVESEQVIAEIRAGTSTLNFKERVRKHIYSDSEGEMHWSTDVYHAPEFTYGATLHGHYGEIIYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRIDSWNERITRILGSPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDGMSNVFLPGELIGLFRAERTGRALEEAICYRATLLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKGFVHHSSQHKDIPLKTKKQNLFEGEMGDILFYHRELFESCLSKN >itb12g02080.t3 pep chromosome:ASM357664v1:12:1395840:1398620:-1 gene:itb12g02080 transcript:itb12g02080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQLTMSCNKTGIWPESPSFSDTDMPAVPAGWRGQCQTGEAFNASTCNRKIVGARYYTGGYEAEEDSGKTTMTFKSARDSSGHGSHTASTAAGRYVANMNYKGLASGGARGGAPMARIAVYKTCWNSGCYDADLLAAFDDAIRDGVQVVSLSLGPDAPQGDYFSDAISVGSFHAVSHGITVVASVGNQGTEGSATNLAPWIITVAASSTDREFTSDIALGNGVRLMGESLSTLEMTSFARIIPASKAFNGYFTPYQSSYCLESSLNRTKAEGKVLVCRHAGSTTESKLAKSEVVKEAGGIGMVLIDDADKDVAIPFVVPAAIVGRQTGYEILSYINRTRSPLSKILSAKTVLGTRPAPRVASFSAKGPNSLTPEILKPDIAAPGLNILAAWSPAIADMNFNVLSGTSMACPHVTGIVALIKAVHPSWSPSAIKSAIMTTATVLNKHHKPISADPDGRRANAFDFGSGFVNPARVLDPGLVYDAQPTDYKAFLCSIGYDERSVHLITRDNSTCDQTLQSPSALNYPSITVPALSHEFSVTRTVTNVGEPRSSYKAVVFPPRGINVTVVPRRLTFTHYGQKLNFTLSFKMAAPTQGYVFGSFSWRSKKNWVRSPLVVRVAGSRMGLLV >itb12g02080.t4 pep chromosome:ASM357664v1:12:1395896:1398319:-1 gene:itb12g02080 transcript:itb12g02080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRKIVGARYYTGGYEAEEDSGKTTMTFKSARDSSGHGSHTASTAAGRYVANMNYKGLASGGARGGAPMARIAVYKTCWNSGCYDADLLAAFDDAIRDGVQVVSLSLGPDAPQGDYFSDAISVGSFHAVSHGITVVASVGNQGTEGSATNLAPWIITVAASSTDREFTSDIALGNGVRLMGESLSTLEMTSFARIIPASKAFNGYFTPYQSSYCLESSLNRTKAEGKVLVCRHAGSTTESKLAKSEVVKEAGGIGMVLIDDADKDVAIPFVVPAAIVGRQTGYEILSYINRTRSPLSKILSAKTVLGTRPAPRVASFSAKGPNSLTPEILKPDIAAPGLNILAAWSPAIADMNFNVLSGTSMACPHVTGIVALIKAVHPSWSPSAIKSAIMTTATVLNKHHKPISADPDGRRANAFDFGSGFVNPARVLDPGLVYDAQPTDYKAFLCSIGYDERSVHLITRDNSTCDQTLQSPSALNYPSITVPALSHEFSVTRTVTNVGEPRSSYKAVVFPPRGINVTVVPRRLTFTHYGQKLNFTLSFKMAAPTQGYVFGSFSWRSKKNWVRSPLVVRVAGSRMGLLV >itb12g02080.t2 pep chromosome:ASM357664v1:12:1395840:1399969:-1 gene:itb12g02080 transcript:itb12g02080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGSGEDPEGILRQHHYMLASIHGGSVEEAMASHVYSYKHGFNGFAARLTDEQASEISKMPGVVSVFPNSKRMLHTTHSWDFIGLNGEETMEIPGFSTKNQVNVVIGFIDTGIWPESPSFSDTDMPAVPAGWRGQCQTGEAFNASTCNRKIVGARYYTGGYEAEEDSGKTTMTFKSARDSSGHGSHTASTAAGRYVANMNYKGLASGGARGGAPMARIAVYKTCWNSGCYDADLLAAFDDAIRDGVQVVSLSLGPDAPQGDYFSDAISVGSFHAVSHGITVVASVGNQGTEGSATNLAPWIITVAASSTDREFTSDIALGNGVRLMGESLSTLEMTSFARIIPASKAFNGYFTPYQSSYCLESSLNRTKAEGKVLVCRHAGSTTESKLAKSEVVKEAGGIGMVLIDDADKDVAIPFVVPAAIVGRQTGYEILSYINRTRSPLSKILSAKTVLGTRPAPRVASFSAKGPNSLTPEILKPDIAAPGLNILAAWSPAIADMNFNVLSGTSMACPHVTGIVALIKAVHPSWSPSAIKSAIMTTATVLNKHHKPISADPDGRRANAFDFGSGFVNPARVLDPGLVYDAQPTDYKAFLCSIGYDERSVHLITRDNSTCDQTLQSPSALNYPSITVPALSHEFSVTRTVTNVGEPRSSYKAVVFPPRGINVTVVPRRLTFTHYGQKLNFTLSFKMAAPTQGYVFGSFSWRSKKNWVRSPLVVRVAGSRMGLLV >itb12g02080.t1 pep chromosome:ASM357664v1:12:1395835:1400390:-1 gene:itb12g02080 transcript:itb12g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFPKKNAFFLFLCVFLAQISLSLSSKLYVVYMGSKGSGEDPEGILRQHHYMLASIHGGSVEEAMASHVYSYKHGFNGFAARLTDEQASEISKMPGVVSVFPNSKRMLHTTHSWDFIGLNGEETMEIPGFSTKNQVNVVIGFIDTGIWPESPSFSDTDMPAVPAGWRGQCQTGEAFNASTCNRKIVGARYYTGGYEAEEDSGKTTMTFKSARDSSGHGSHTASTAAGRYVANMNYKGLASGGARGGAPMARIAVYKTCWNSGCYDADLLAAFDDAIRDGVQVVSLSLGPDAPQGDYFSDAISVGSFHAVSHGITVVASVGNQGTEGSATNLAPWIITVAASSTDREFTSDIALGNGVRLMGESLSTLEMTSFARIIPASKAFNGYFTPYQSSYCLESSLNRTKAEGKVLVCRHAGSTTESKLAKSEVVKEAGGIGMVLIDDADKDVAIPFVVPAAIVGRQTGYEILSYINRTRSPLSKILSAKTVLGTRPAPRVASFSAKGPNSLTPEILKPDIAAPGLNILAAWSPAIADMNFNVLSGTSMACPHVTGIVALIKAVHPSWSPSAIKSAIMTTATVLNKHHKPISADPDGRRANAFDFGSGFVNPARVLDPGLVYDAQPTDYKAFLCSIGYDERSVHLITRDNSTCDQTLQSPSALNYPSITVPALSHEFSVTRTVTNVGEPRSSYKAVVFPPRGINVTVVPRRLTFTHYGQKLNFTLSFKMAAPTQGYVFGSFSWRSKKNWVRSPLVVRVAGSRMGLLV >itb09g12750.t1 pep chromosome:ASM357664v1:9:8059927:8062877:1 gene:itb09g12750 transcript:itb09g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPVVFTKQKTMLLKFIVVLLAGCSIKKSNFFCNYPFATPEVSPHSSFSVIHSSLEELKLDGYLNFTNIDHAAKDFGNRYHFLPSAVLYPTSVSDISSTIKLIYDMGTSSEITVAARGHGHSLQGQAQAYQGIVINMESLRVPRMSFQGGEKPHVDVSAGELWINVLHESLKYKLAPKSWTDYLHLTIGGTLSNAGISGQAFRYGPQINNVYNLEVVTGQFGIITRARIALEPAPKMVKWIRVLYSDFPTFISDQEHLISSEDSFDYVEGLVIINRDGLLNNWRSTFSPKDPVQASQFKSEGRTLFCLEVAKYFNPEEADTMDQRKTRVGYTAMPEPSITTGYCRWEGMPCKSYSDFEDGNEYGFLGKV >itb07g01120.t1 pep chromosome:ASM357664v1:7:697805:701725:-1 gene:itb07g01120 transcript:itb07g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVIDVEEGNMGQHDGVTDDGDDELSESSTQDVNRAVEPHLGMEFHSEDAAKTFYDEYSKRMGFRIRVSHFGRLKADVSSTFRDFLCARDGLKKRTRTGETCGAMLRIELKGKNKWVVTKFLRDHNHSIESPNNVHYLRHTAMTTKVATENSLADECGERHSNESMAARYNTLCREAIRYAEEGALAPETYNVAVSALKEAKKKVSAAKKNVARVIPPNSQASGVYDDRRSSGSTPDMNPILWPCQDEMMKRFNLNVSVPTKSVTDLNIPRMAPVSVHRDDGHTDNMVSLPCLKSMTWVMENKSSTPANRVAVINLKLQDYSRSTPQESEVKFQLSKVTLEPMLKSMAYISEQLSTANRVAVINLKLQDTETTSGESEVKFQVSRDTLGAMLRSMAYIREQLSNTVEPQVETPSKKQRK >itb02g16420.t1 pep chromosome:ASM357664v1:2:12312636:12313717:1 gene:itb02g16420 transcript:itb02g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGENNLQLSFPVQSWSDNNLAWDYDHDDYDYDFPMQTTHHYTSFDYHSFPLMDNYMFLINDPLYSHPTQSIIQGVYGDEGVEEEQKMGDGGGNNKKKGRNDCSSKMLCRETISKYFYMPITKAARELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLISNVQVLGKDEEEEKLREAVQLLEKQKREIEESPDMEMEDTTKKLRQACFKANYKKRKLNVSVAGAGMLQPLPSSSPSSAPAISAGASAATADYEEDHQMDSLLSYYLSSSSSSSSTAIDY >itb15g01850.t1 pep chromosome:ASM357664v1:15:1092003:1092967:1 gene:itb15g01850 transcript:itb15g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKVLEEVAIIASKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEESAIKIDRIEVDQETIDLLAAMGMSDLPGVVLKDEQQSVIAPATAYGGFRGGFGGRRY >itb10g19040.t1 pep chromosome:ASM357664v1:10:24831683:24832301:1 gene:itb10g19040 transcript:itb10g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSDMKPDDCTLVNVLSACAGVGGLSQGKWIRAYINNEIQDRGFLATTLVDMYSKCGDIGKPLKLCLEVHLLFSIMVVWLIYWAGSFGFLKEAEELVREIPLEDAPAIWESLLSACRNHSHVELA >itb03g22970.t1 pep chromosome:ASM357664v1:3:21072576:21073502:1 gene:itb03g22970 transcript:itb03g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLQLGILSILAFLSLIFVFSINPSDEDDNGAVQEDLGVWLRKNHLLLPSLKWKPVPPPSPNSGTNSIGQVDEKNFAGLKSKKEPLLLPSLQTGRVPPPVPNPQGNTVPPTLNEKNFAGLKPKKKHLLLPSLQTGGVPPPGPNPGNNKAPPTGPTLSEKNFAGLKPKKKHLFLPSLQTGGVPPPVPNPASNTVPPTLNEKNFAGPKSRNRHVLLPSLANGRVPPPSPNPAGNSISPTIKEKSFAGLKSKKKHLLLPSLIRETITPPRTNPSQNSVSGMNFGARKMANGHPPHPSLATYILKGYLLS >itb02g23040.t1 pep chromosome:ASM357664v1:2:22805757:22809470:1 gene:itb02g23040 transcript:itb02g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKANSKPLPPSTAEEKKSFALHWTSNCLSPPLPEGCDCNCEAATHRRGRSDRRPLEAVAATAAGGLRLLQACDWRRPTGKDSRGSDRRATSNSKALLDLEDKSTPSASSLESKLSFCNGDESFLSRSTKLNPPDKKPAISSIPQSQFLGKVKDFLGVISKANKNLELDAKTNPGKNHDIEALTGEESEYIEMDLMLGVAEHQTEEAVAAAESALAGLSPPLGFYILDTLICSHRTSSLTRSSTTVARSSLSSSSINSPPSLNHP >itb01g06040.t1 pep chromosome:ASM357664v1:1:4245006:4245770:-1 gene:itb01g06040 transcript:itb01g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVESFRCTRIVKRSAWNRSDKGWMKINWTANVEKTDCGFFIRNSRGHFYLAGVYSLQPSIEWTNLMNQMVQDCVNWCERKCIYQVVLETDLRRKWERGTPMGRVKLKVEYCSKEVNCMARCILRGCSGQNVVFRRLEGLLGAVLFMFSSGRDCPTLVLSQGMIMYNVIILAMLMMVGCLVSWCWSGMCVRSGVSFGLFREESFEVKDECFVWDPGGLPLQIKGVCCVLMNHPICRMKKERRRVSLIEKKKRD >itb07g00410.t2 pep chromosome:ASM357664v1:7:289202:289765:-1 gene:itb07g00410 transcript:itb07g00410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMPLRAVETLGYPGRTYKFFNGATVYPFGYGLSYTVFNYHLTAPQRKLNVKLNKFQHCRDVNYTAGSHFSPCPAVLIDDLDCNEAHKVEFEVEVENAGERDGSEVVMVYWIPPPQVAAAPQKQVIAFEKVFVAEGKKEKVKFVVDGCKSLGFVDYKGYNLLTSGAHTVMVGDGKLSFIVNVEFQT >itb09g25830.t1 pep chromosome:ASM357664v1:9:25924416:25931847:1 gene:itb09g25830 transcript:itb09g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASEKKSVILHPGTTADITENHDCRPTSLPRLRLISCGYQLQYSCNPLLDTLYQNDRTKKHAKCEDANLNRVREDLQNKVDLLRKQTESYDDKGSVIDAVVWHDGEVWRADLDTQSLQDEPECGKLADFLPLTNYRIERKHGVFRKLDALTFVLNVYNEGNILSIVTDSSPHATHVAGIAAAYHPKERLLKGIAPGAQLISCKIGDFRLGSMETRTGLTRALIATVEVCPSPPSLFFSSLRKIAKCRRRSSPPPPPQMKTKQSQLHRLRRPQVASSHTFAGRNSADEAVRSNLNPKCS >itb13g16790.t2 pep chromosome:ASM357664v1:13:23713691:23720186:1 gene:itb13g16790 transcript:itb13g16790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPRSYLCFNLNIREYYPRMAPLRWVITTVALTVLLVVIIRPCYGNFFTPFNVSYDHRAIIINGQRRMLISAGIHYPRATPEMWPNLIAKSKEGGADVIETYVFWNGHEPVKGEYNFEGRYDIVKFAKQVGSAGLYLLLRIGPYACAEWNFGGFPVWLRDVPGIEFRTDSAPFKEEMERFVKMIVDLMLSESLLSWQGGPIILLQVVKTSYLPSLAKMLLLPDMFIGYT >itb13g16790.t1 pep chromosome:ASM357664v1:13:23713691:23720186:1 gene:itb13g16790 transcript:itb13g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRWVITTVALTVLLVVIIRPCYGNFFTPFNVSYDHRAIIINGQRRMLISAGIHYPRATPEMWPNLIAKSKEGGADVIETYVFWNGHEPVKGEYNFEGRYDIVKFAKQVGSAGLYLLLRIGPYACAEWNFGGFPVWLRDVPGIEFRTDSAPFKEEMERFVKMIVDLMLSESLLSWQGGPIILLQVVKTSYLPSLAKMLLLPDMFIGYT >itb09g02690.t2 pep chromosome:ASM357664v1:9:1533417:1537742:-1 gene:itb09g02690 transcript:itb09g02690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICLPEHQICVIRTYSEWVIDGDYDWPPKCCSCHDVLEPTTDTQSIRLGCLHVIHKNCFISHIKGFAPHTAPAGYVCPACSTAIWPPKSVKDSGSRLHSKLKEAILQTGMEKNLFGNHPVSLPATDSRSPPPAFASDPLKQVSTADSSSKDIEGYIAPPATGSGKPSALDIDDPSSATSPRTNHESNFIKSTSPHGPGATTRKSMTQADKQNSEVSYYADDEDGNQKKYTKRGTFRHKLLRSLLPFWSSALPTLPVTAPPRKETSNTDDVPEGRTRQHRSSRIDPRKILLIIAIMACMATMGILYYRIAQNGFEELPGDEQQ >itb09g02690.t1 pep chromosome:ASM357664v1:9:1533417:1537744:-1 gene:itb09g02690 transcript:itb09g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICLPEHQICVIRTYSEWVIDGDYDWPPKCCSCHDVLEPTTDTQSIRLGCLHVIHKNCFISHIKGFAPHTAPAGYVCPACSTAIWPPKSVKDSGSRLHSKLKEAILQTGMEKNLFGNHPVSLPATDSRSPPPAFASDPLKQVSTADSSSKDIEGYIAPPATGSGKPSALDIDDPSSATSPRTNHESNFIKSTSPHGPGATTRKSMTQADKQNSEVSYYADDEDGNQKKYTKRGTFRHKLLRSLLPFWSSALPTLPVTAPPRKETSNTDDVPEGLAWQQWASCTIELHRMVLRSSPEMSSSDFHLTSYVAA >itb06g24560.t1 pep chromosome:ASM357664v1:6:26083099:26086917:1 gene:itb06g24560 transcript:itb06g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQSLAPFVLLLLFVGCSARPLYPLPSRRNDRNKQPLQTFRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILATKDGVLICHHDVNLDDTTDIAKHKEFADRKRTYEVQGVNMTGYFTVDFTLKELQTLGAIQRYSFRDQQYNGQFPIITFEEYISIALDAPRVVGIYPEIKNPVFVNQHVKWPGGKKFEDKFVETLRKYGYEGSYMSKKWLKQPAFIQSFASTSLIYISNITDLPKVFLIDDLTILTEDTNQTYSEITSDKYLNFIKDYVVGIGPWKDTIVSVSNNYLQPPTDLVARAHALNLQVHPYTFRNEHKFLHFNFSQDPYKEFDFWINQMGVDGLFTDFPSSVRQFQEWTNPLSVGEKGASGLLDRIASMILKSKHT >itb03g01030.t1 pep chromosome:ASM357664v1:3:542168:547902:-1 gene:itb03g01030 transcript:itb03g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSSRSYSLRKLSKPMTQPPPSPAPAPPRCSDFPMPFSARRGGGGWPHSLLPTTTKPSPKHPRKYRKRALIRDFILSNFFTIGILFSLIFFILIVYKYGVPKPLLSSQFRSARSRFHRARKTVHRESPTNGAVLEAFVDITTKGLYDKIQFIDEDGGAWKQGWNVSYVGDEWESEKLKVFVVPHSHNDPGWIFTVEEYYDRQSRLILDTIVETLSKDVRRKFIWEEMSYLERWWRDTSPESKEAFINLVNNGQLEIVGGGWVMNDEVTEGNMWLNETVGVIPKNSWVIDPFGYSATMAYLYRRMGFENMLIQRTHYELKKELALHKNLEYVWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMDMYDRCPWGEKPVETIQENVKERALMLLDQYRKKSTLYRTNTLLVPLGDDFRYVSSDEAEVQFRNYQLLFDYINANPDLNAEAKFGTLEDYFQALREEVIRVNYSRPNEIGSGEIGGFPSLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRGAEMMLVFLLGQCQRPTCEKLPTGFGYKLTAARRNLALFQHHDGVTGTAKDHVVQDYGMRMHMALEDLQIFMSKAIEVLIGIRNEKDDQTPSEFEPAELRSKYDAQPVHKTIAAMEETLQSLVIFNPLEQTRDEVVTVIVGRPDVVILDLNWTCVESQISPELHLDKGKIFTGRHHVYWRASVPPMGLQTYYIANGFPTCEKAKPAHLKMFMPGKIACPAPYTCSKAKGNEATIQNQHQTLTFNLKSGLLQKVNSNVVGEEISIYSSKESGAYIFKPDGDAEPISLSGGVMVIADGELVKEAHSFPKTPWQKPPVSHSTRIYNCDGCLQDYIVEKEYHVELIGRNFNNKELITRFKTDIDNKRIFYSDLNGFQMSRRETYDKIPVQGNYYPMPSLAFMQGSNGQRFSVHTRQSLGAASLKDGWLEIMLDRRLLRDDGRGLGQGVLDNRRMNVVFHVLLESNVSTVADSVTISNPLSPSLLSHSIGARLNHPLNIFVAKKAERISVQPPPRSFSPMAASLPCDLHIVSFKAPRPMRNSQDPREDPRFVLVFQRRHWDSSYCQKGRSNCSSVADVQVNLFGMFNDLDVLNPKPTSLNLLHEDTEMLGYGSHFRDGAREGHILLSPMEIQAYKLDLRPHQL >itb03g01030.t2 pep chromosome:ASM357664v1:3:542168:546299:-1 gene:itb03g01030 transcript:itb03g01030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSARRGGGGWPHSLLPTTTKPSPKHPRKYRKRALIRDFILSNFFTIGILFSLIFFILIVYKYGVPKPLLSSQFRSARSRFHRARKTVHRESPTNGAVLEAFVDITTKGLYDKIQFIDEDGGAWKQGWNVSYVGDEWESEKLKVFVVPHSHNDPGWIFTVEEYYDRQSRLILDTIVETLSKDVRRKFIWEEMSYLERWWRDTSPESKEAFINLVNNGQLEIVGGGWVMNDEVTEGNMWLNETVGVIPKNSWVIDPFGYSATMAYLYRRMGFENMLIQRTHYELKKELALHKNLEYVWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMDMYDRCPWGEKPVETIQENVKERALMLLDQYRKKSTLYRTNTLLVPLGDDFRYVSSDEAEVQFRNYQLLFDYINANPDLNAEAKFGTLEDYFQALREEVIRVNYSRPNEIGSGEIGGFPSLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRGAEMMLVFLLGQCQRPTCEKLPTGFGYKLTAARRNLALFQHHDGVTGTAKDHVVQDYGMRMHMALEDLQIFMSKAIEVLIGIRNEKDDQTPSEFEPAELRSKYDAQPVHKTIAAMEETLQSLVIFNPLEQTRDEVVTVIVGRPDVVILDLNWTCVESQISPELHLDKGKIFTGRHHVYWRASVPPMGLQTYYIANGFPTCEKAKPAHLKMFMPGKIACPAPYTCSKAKGNEATIQNQHQTLTFNLKSGLLQKVNSNVVGEEISIYSSKESGAYIFKPDGDAEPISLSGGVMVIADGELVKEAHSFPKTPWQKPPVSHSTRIYNCDGCLQDYIVEKEYHVELIGRNFNNKELITRFKTDIDNKRIFYSDLNGFQMSRRETYDKIPVQGNYYPMPSLAFMQGSNGQRFSVHTRQSLGAASLKDGWLEIMLDRRLLRDDGRGLGQGVLDNRRMNVVFHVLLESNVSTVADSVTISNPLSPSLLSHSIGARLNHPLNIFVAKKAERISVQPPPRSFSPMAASLPCDLHIVSFKAPRPMRNSQDPREDPRFVLVFQRRHWDSSYCQKGRSNCSSVADVQVNLFGMFNDLDVLNPKPTSLNLLHEDTEMLGYGSHFRDGAREGHILLSPMEIQAYKLDLRPHQL >itb01g05250.t2 pep chromosome:ASM357664v1:1:3598615:3602057:-1 gene:itb01g05250 transcript:itb01g05250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFGGSSVRRRRSSNNCSHHEARDTGGHSVGTTTNFSYTELKEATNNFSQVNKIGRGGFGTVYKGTLRGLQVAVKALSAESRQGIREFLTEIETISNVKHPNLVELIGCCVHGDNRLLVYEYLENRSLDRALFGQRRDANLDWRTRAAICIGTAEGLAYLHEILVPHIVHRDIKASNILLDKDYKPKIGDFGLAKLFPENITHISTKIAGTTFGVLILEVISARSSSSINWGGQQKLLLERAWELYEGGNLLELVDSELGQYPEKEVLKYMKVALFCTQANASRRPMMSQVIDMLSKDIRLNEKELTPPGFFQDSDKRGSPSKQNLSATSTSRPMSSAPFTITQVTAR >itb01g05250.t3 pep chromosome:ASM357664v1:1:3598614:3601716:-1 gene:itb01g05250 transcript:itb01g05250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFGGSSVRRRRSSNNCSHHEARDTGGHSVGTTTNFSYTELKEATNNFSQVNKIGRGGFGTVYKGTLRGLQVAVKALSAESRQGIREFLTEIETISNVKHPNLVELIGCCVHGDNRLLVYEYLENRSLDRALFGQRRDANLDWRTRAAICIGTAEGLAYLHEILVPHIVHRDIKASNILLDKDYKPKIGDFGLAKLFPENITHISTKIAGTTGYLAPEYVIGGQLTLKADVYSFGVLILEVISARSSSSINWGGQQKLLLERAWELYEGGNLLELVDSELGQYPEKEVLKYMKVALFCTQANASRRPMMSQVIDMLSKDIRLNEKELTPPGFFQDSDKRGSPSKQNLSATSTSRPMSSAPFTITQVTAR >itb01g05250.t1 pep chromosome:ASM357664v1:1:3598614:3602217:-1 gene:itb01g05250 transcript:itb01g05250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFGGSSVRRRRSSNNCSHHEARDTGGHSVGTTTNFSYTELKEATNNFSQVNKIGRGGFGTVYKGTLRGLQVAVKALSAESRQGIREFLTEIETISNVKHPNLVELIGCCVHGDNRLLVYEYLENRSLDRALFGQRRDANLDWRTRAAICIGTAEGLAYLHEILVPHIVHRDIKASNILLDKDYKPKIGDFGLAKLFPENITHISTKIAGTTGYLAPEYVIGGQLTLKADVYSFGVLILEVISARSSSSINWGGQQKLLLERAWELYEGGNLLELVDSELGQYPEKEVLKYMKVALFCTQANASRRPMMSQVIDMLSKDIRLNEKELTPPGFFQDSDKRGSPSKQNLSATSTSRPMSSAPFTITQVTAR >itb13g23120.t1 pep chromosome:ASM357664v1:13:29226084:29229637:-1 gene:itb13g23120 transcript:itb13g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFSVILFLFANFTFLPLFFSSPSHLHLHLQFQTHHSSPPMAPLNPFFSLLLFFSIFFSLSSPQPPTSYLIDCGSTVSRVISGRKWLPDGDFIAAGAPKNLSLSVLDPTLSTVRTFPVGNNSFRKFCYVVPVFRGRKYMVRTTYFYGGVNGDANPNPPVFDQIVAGTPWAVVNTTEDYLNGLSTYYEGVFPAAGKSMSVCLGANKYTDSDPFISALEVVLLPESVYNSTDFSKYGLSLIARHRFGHTGPIIRYPADQFDRFWAPFGDHSPALNSTKVSVSGIWNQPPIDVFQTGLTANESEPMELLWPPYPLPSSIYYVALYFANDRDSPSGRRAFDISLNGVVFYHNLSVTAAGVMVFADQWPLSGITNVTLTRAPGSTVAPLINAGEVFRVLPLGGKTHTRDVIALEKLKAGFENPPLDWNGDPCLPPQYSWTGVVCSGRTRIRVTKLNLTGMGLSGMLSSSIANLTALTGIWLGNNDLSGSIPDLSSLKRLEILHLEDNQIGGEIAPSLGSIKSLRELFLQNNNLTGQIPGSILGRPGINLRTTPGNPFLSGPPA >itb13g21930.t1 pep chromosome:ASM357664v1:13:28399180:28399569:-1 gene:itb13g21930 transcript:itb13g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRYYSKRELTEIGMEGFALIDEFYGGGRKHKTLPNYVYSSYKVTYGPYRPSTPPPPAAATSVIAGNRFTKAGSSGGVSGKAQNCCSYRYPPPQSLVCYAPAVAATAETVTVIRGYNEKGKFSGGAI >itb15g11960.t1 pep chromosome:ASM357664v1:15:9824106:9826607:-1 gene:itb15g11960 transcript:itb15g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSAAIFQVLGLFFICSPLANAINFNYPAVFNFGDSNSDTGGLVAGLGDRLDPPNGQTYFQKPSGRFCDGRLIIDFLMDAMDLPFLNPYLDSIGIPSFRKGCNFAAAGSTILPATPSSVSPFSFGIQVAQFLRFKARVLEIQAKKHDKYVPDEDFFQKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILSEFENGIKELYDQGARNFWIHNTGPLGCLSQNIAKFGTDPSKLDGLGCVSSHNQAATLLNLQLHTLCKKLQGQYADANVTYVDIFTIKSDLIANFSRYGFDQPLMACCGVGGPPLNYNSRVSCGQTKVINGTTFTAKGCNDSTEYINWDGIHYTEAANQYAAMQILTGKYSDPPFADRMPFLLKLKF >itb07g18210.t1 pep chromosome:ASM357664v1:7:22621620:22626088:-1 gene:itb07g18210 transcript:itb07g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGGDHPYVPRDLKLPGFVPCFLTQLDIVSVYGVASTLVFAFMWIFSGRFPKISKGDRLLMCWWIFTGLTHMVLEGYFVFSPNFYKEKTPFYLAEVWKEYSKGDSRYAARDSAVISVEGITAVLEGPACLLAVYAIATRKSYRYILQVAISLGQLYGTAVYFITAVLEGDNFAVSPYYYYWYYVFANSFWVWIPTIIVVHCWKRISSAVQIQDQTKTKTR >itb07g18210.t2 pep chromosome:ASM357664v1:7:22621658:22622708:-1 gene:itb07g18210 transcript:itb07g18210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWWIFTGLTHMVLEGYFVFSPNFYKEKTPFYLAEVWKEYSKGDSRYAARDSAVISVEGITAVLEGPACLLAVYAIATRKSYRYILQVAISLGQLYGTAVYFITAVLEGDNFAVSPYYYYWYYVFANSFWVWIPTIIVVHCWKRISSAVQIQDQTKTKTR >itb02g18270.t1 pep chromosome:ASM357664v1:2:14691423:14697021:-1 gene:itb02g18270 transcript:itb02g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVTVRASATAKPPLPPPQSPPAPKTPSLAKLPSKPYSTTKSSLLSLSTSTVSIFALFNAPIYEAKALSFPKEEIVSSITQVESTINQVQQLGLSVFDTAQRVIGPVVEFVKPGVDVALPILKQATDEAVKVASPVVSDASKKAQEAIQGSGFDTEPVLTAAKTVADAAQQTSKVIEGAKPIASTTVESILSADPTLIVGTGGALFIAYLLIPPIFSVISSTLRGYKGELTPAQTLDLMSTKNYNLIDIRSETDKSKAGVPRLPSNAKNKMISIPLEDLPNKVRSLVKNPKKVQAELVAVKISYLKKINKGSNIVILDSYADSSKTVARTLTSLGFKNCWIVTDGFSGSKGWLQSRLGTDSYFLSAAEVLSPSRIIPAATRRFGTTGTAKLLPGGTD >itb09g27350.t1 pep chromosome:ASM357664v1:9:27666202:27667035:1 gene:itb09g27350 transcript:itb09g27350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLRRSSSRTNRESDVPKGHFPVYVGETEKKRFVIPVSYLKDPSFQELLCQAEEEFGFDHPMGGLTIPCLEDTFIDIISSLNRS >itb09g02610.t1 pep chromosome:ASM357664v1:9:1482691:1485940:-1 gene:itb09g02610 transcript:itb09g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCFRPLERCLGLRSGDGLLWHMDLKPHASGDFSIAVVQANSSLEDQSQVLTSPSATYIGVYDGHGGPEASRFVNRHLFPYLQQFAREQGGLSTDVIKKAFRATEEDFIRLVKTSLPVMPQIASVGSCCLVGAISDGELYVANLGDSRAVLGRRAFDGEMKSVVAERLTTDHNVSCEKVRKEVEELHPDDSPIVFYCRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPIFQQFGNPVPLKHPVLTAEPSIVTRKIRPHDLFLIFASDGLWEHLSDEAAVDIVFKNPRPGIAKRLVGAALQEAAKKREMRYKDIQKIEKGVRRHFHDDITVVVIYLDQHKRSSHPKGTIDSVSAPMDIFSANVTDNKTLGLS >itb07g06020.t1 pep chromosome:ASM357664v1:7:4194423:4195091:1 gene:itb07g06020 transcript:itb07g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTLVVHSRIRYDPNILRTTAYALPQEDVFVFQIKVRDRFGSYQSPLQSGYWEHDSSRISLDVRIPIRDIVGNGTDHARHIINGMLEEVCIPSHKRRPLLRKIQSKAVRLAKLLDAEGEYKRIPIMVELHRVYPRTIRNSDGVVPARRSANEGLERVRVKETEACAVCLEEMGAGSEGTMLPCKHIFHGRCIHTWLEKADLCPLCRFQLSHRTLTSLRNI >itb01g17060.t1 pep chromosome:ASM357664v1:1:21740243:21740953:1 gene:itb01g17060 transcript:itb01g17060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAILVVHMGWLQSLFSPLKKLWVRLHSPPKKRRGIYILYEDVKSCPYEDVHVLWSILVESHRPALPAPLPK >itb06g24330.t5 pep chromosome:ASM357664v1:6:25944077:25946802:1 gene:itb06g24330 transcript:itb06g24330.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNHSFGSGSPKSFQAYPRGDFDLESGILKRTRKLKSSPFHPIKMLKSLGNRIQYYYKVRPLLLFVISFCIAIIVIVMLSSYESQFRLMGSYKKLNKGIEVYPFAKFKNLVMVAGHSVYTSSRCEGVDKENAWFLESYQKHPGQAATFVTHIQKGVEITANDDAALLLFSGGETRKEAGPRSEAQSYWIVAESKGWFGNQESVRERALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKNERFEHLHRSAIRFPETRFFYSGTPSSPTSREAALKGEAFVRTQFEDDPYGCKGSLSRKKLGRDPFHRTIPYPNGCPEIEGLFRYCGTAPYPGSLPWAQ >itb06g24330.t2 pep chromosome:ASM357664v1:6:25944050:25946829:1 gene:itb06g24330 transcript:itb06g24330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNHSFGSGSPKSFQAYPRGDFDLESGILKRTRKLKSSPFHPIKMLKSLGNRIQYYYKVRPLLLFVISFCIAIIVIVMLSSYESQFRLMGSYKKLNKGIEVYPFAKFKNLVMVAGHSVYTSSRCEGVDKENAWFLESYQKHPGQAATFVTHIQKGVEITANDDAALLLFSGGETRKEAGPRSEAQSYWIVAESKGWFGNQESVRERALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKNERFEHLHRSAIRFPETRFFYSGTPSSPTSREAALKGEAFVRTQFEDDPYGCKGSLSRKKLGRDPFHRTIPYPNGCPEIEGLFRYCGTAPYPGSLPWAQ >itb06g24330.t4 pep chromosome:ASM357664v1:6:25944066:25946829:1 gene:itb06g24330 transcript:itb06g24330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKKLNKGIEVYPFAKFKNLVMVAGHSVYTSSRCEGVDKENAWFLESYQKHPGQAATFVTHIQKGVEITANDDAALLLFSGGETRKEAGPRSEAQSYWIVAESKGWFGNQESVRERALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKNERFEHLHRSAIRFPETRFFYSGTPSSPTSREAALKGEAFVRTQFEDDPYGCKGSLSRKKLGRDPFHRTIPYPNGCPEIEGLFRYCGTAPYPGSLPWAQ >itb06g24330.t1 pep chromosome:ASM357664v1:6:25944050:25946829:1 gene:itb06g24330 transcript:itb06g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNHSFGSGSPKSFQAYPRGDFDLESGILKRTRKLKSSPFHPIKMLKSLGNRIQYYYKVRPLLLFVISFCIAIIVIVMLSSYESQFRLMGSYKKLNKGIEVYPFAKFKNLVMVAGHSVYTSSRCEGVDKENAWFLESYQKHPGQAATFVTHIQKGVEITANDDAALLLFSGGETRKEAGPRSEAQSYWIVAESKGWFGNQESVRERALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKNERFEHLHRSAIRFPETRFFYSGTPSSPTSREAALKGEAFVRTQFEDDPYGCKGSLSRKKLGRDPFHRTIPYPNGCPEIEGLFRYCGTAPYPGSLPWAQ >itb06g24330.t3 pep chromosome:ASM357664v1:6:25944066:25946829:1 gene:itb06g24330 transcript:itb06g24330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKKLNKGIEVYPFAKFKNLVMVAGHSVYTSSRCEGVDKENAWFLESYQKHPGQAATFVTHIQKGVEITANDDAALLLFSGGETRKEAGPRSEAQSYWIVAESKGWFGNQESVRERALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVGYDFKNERFEHLHRSAIRFPETRFFYSGTPSSPTSREAALKGEAFVRTQFEDDPYGCKGSLSRKKLGRDPFHRTIPYPNGCPEIEGLFRYCGTAPYPGSLPWAQ >itb04g10790.t1 pep chromosome:ASM357664v1:4:10402501:10402794:-1 gene:itb04g10790 transcript:itb04g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTGSSSSSWVGRIQEQYGKIKENAETYPYVWGSYIVVYGGFGLWFAYRWRKLRKTEDRVRGLQEQLRKLVESQEPGSSSGGATSGTRPPHDKPTD >itb06g00240.t1 pep chromosome:ASM357664v1:6:709066:712532:-1 gene:itb06g00240 transcript:itb06g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAATATAHLSASLTHSLPLPLPLRHHSFLSFPSPTHTHPVTSFSLKLRPFRSSTRSSFHIFSFAHHGGGSNFGAGGGGGGGGGGGGKGGGEGHHGDGNAGEKNKAEAILVLSETGRSLDSLPKDMAAAIEAGKIPGMIVHRYFELEKSLILRWLLRFGGFRERLLGDDLFLAKLGMECGVGIFTKMAAELQKRGEKFSKELDFVCADVIMALVADFMLVWLPAPTVSLRPPLAITAAGGPLTTFFYNCPDNAFQIALAGTSYTFLQRLGALLRNGAKLFAIGTGASVTGTVITNSLIRLRKAIDKSFAREAEDIPILATGAAYGVYMSLSSNLRYQTLAGIIEQRLLEPLLHNQKILLSALCFAFRTGNTFLGSLMWVDFARWIGVQRSRA >itb04g02280.t2 pep chromosome:ASM357664v1:4:1354195:1356569:-1 gene:itb04g02280 transcript:itb04g02280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFGGKSPFDDPFFTEPFGGNKWLEDDEGSSRKQITIEELSPEDGGDHVQSNEEPSKELVVKNNGKKGKSERMQNFSFRRVAYGGINGTYYSCTEGRRVGDDGVVLVEMNEEDQTIGESLHTISKGVHNKGHSVTTKRSSTGQVDTLQTLHNMNEDELANFEETWKANADKQLPGWNEGFKSLENAAGHSGPWFTI >itb04g02280.t1 pep chromosome:ASM357664v1:4:1354187:1356569:-1 gene:itb04g02280 transcript:itb04g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFGGKSPFDDPFFTEPFGGNKWLEDDEGSSRKQITIEELSPEDGGDHVQSNEEPSKELVVKNNGKKGKSERMQNFSFRRVAYGGINGTYYSCTEGRRVGDDGVVLVEMNEEDQTIGESLHTISKGVHNKGHSVTTKRSSTGQVDTLQTLHNMNEDELANFEETWKANADKQLPGWNEGFKSLENAAGASGSIWDDFAAWRKWGGWALPPAADYFGNLGLLEQGSEPQGHGSSQRTRRVVPVE >itb09g25390.t1 pep chromosome:ASM357664v1:9:25399265:25405809:1 gene:itb09g25390 transcript:itb09g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPYFAALTTFFSYVLLFAFGHFRDFFRKIFDWWHPTTDLQGYAPICLGLEDFYIRRLYHRIQDCFGRPICSPPDAWFDVVERVSNDNNKTLKRTTKVRRCLNMGSYNYLGFGASDEYCTPRVIDSLKRFSQSTCSSRVDGGTVSLHTELEECVANFVGKPAAIVFGMGYATNSTVLPALIGKGGLIISDSLNHNSLINGARGSGATIRVFQYNTPSHLEKVLREQISEGQPKTHRPWKKIIVVVEGMYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAIGKTGKGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHFYATSISPPAAQQIISAIKVISGEDGSSRGSEKLARIRDNSNFFRSELQKMGFDVLGDQDSPVIPIMLYNPAKIPAVSRECLKQNIAVVMVGFPGVPLLLARVRICISAAHTREDLLKALEVISTIGDLVGIKYYPATVTAKPKKHQIEENRLKLE >itb09g24030.t1 pep chromosome:ASM357664v1:9:23681087:23681823:-1 gene:itb09g24030 transcript:itb09g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKPFATAAGMLKSRLSSGLRTRGGHGPNPFLTPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQEAIKRLELESE >itb10g17900.t1 pep chromosome:ASM357664v1:10:23998275:24000474:1 gene:itb10g17900 transcript:itb10g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWATETSRPEGQRKNKATARRMIMETQRRKFRQRRILVIFDLGRTGDDEENKLCRRSRRLAVRFLRGSHFCSSDTE >itb02g00390.t1 pep chromosome:ASM357664v1:2:292488:294948:-1 gene:itb02g00390 transcript:itb02g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLLILLLSLVSFPHHEIAGASSLPSPVRDNKGEVVKVGSNYFVLPVTRGRGGGLYPANIKSNATICPLDVIQEADEVQKGIPVVLEPYNNATTGAVVRLSTDLNVRFFTPTICARKTVWKVDAYDESVSKYFVKTGGVEGNPGPQTLSFWFKIQKYKSVYKFVFCPTVCNYCKVICKDVGIFERNGVRFLALTDDVPFKFEFIAESLSYENPFFTIFPFPAEWFGARFELFAAFVARLRLVSRLSRVEFEWFGTRFELFAAVVARLRLVSRLLMQAKVIYRQAKVESRRAREDAACFRGKSPSSKRADKSSPEEQLLDRVVEDCQGDGHLREIPEREENIMKKAAQMQAGGKENEDGGGAKADQVQGKARESAASFMNEEHESQLRMKAKRRDETVLQHL >itb01g00940.t1 pep chromosome:ASM357664v1:1:444048:444770:-1 gene:itb01g00940 transcript:itb01g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKDIEHNTLPAQLTFLSEIKIEKVAKEKESVCLEKLRRPRKVTTKPRTTRVSSCRDNNPSPNGKRKRSKNEGAAENNKRKRGNNVQEPPPELPEKIKSLISHLPETREVSRATLVIQKPLTVTDVSNHHNRMSIPSKHIRETFLTEEEELKLCERNEKNVASIDVPLITPTMEMANVSLRRWPMNKQSGPPSIAYVLTSTWNKIKQQNGLRSGMKVQLWAIRIDGVLCFALTLKPKK >itb11g07330.t1 pep chromosome:ASM357664v1:11:4496592:4500924:-1 gene:itb11g07330 transcript:itb11g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKRSRTTYFIQCEPTETILQIKEKLRVLIDQPVNDQRLILLETREVLDDTKSLADQKVENDAVVALTLKQDDNNEFEDVNVVRPDDFYQSRDPDSSTNW >itb06g05200.t1 pep chromosome:ASM357664v1:6:7970827:7974449:-1 gene:itb06g05200 transcript:itb06g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRRLYYYNPTTTLSFNTFAASILHIEEQHVHRPIATNPQLAKESIFKFQDRYNFDHASSQSSASNRFGTTALQETHSSFSPLFSGKYGYLVEKYRFLIPVNDAKHLHLEIVKNGYSQELFLCNYLIHLYVKNGDMAAARDLFDEMPHRNAITWASLITGYAKNDMPNEACESLRQMVLWTCGDPGEYAWSVFCGIEAKNSVSWNSIVSFYSQRGDSASAFKLFSIMQNESIEIYSKPTADTFGSLISAAASHGNCGLLLLEQVLGRIEKSGFLKDLYVGSALVCSFGRFGCLDTALKIFKQMGMRNVVSMNGLMVGLIQLKRGEDAAKVAIGNGLINMYAKCGAIEEARSVFNCMIDKDLTSWNSLISGLDRNECFEDAVWGFRTMRSIDMMPSNFNLISALSSCGSLGWIRMGGGIHCEAIKLGLDLDVSVSNALLALYADVRFICECRKIFSLMPERDRVSWNSIIGALSDSEASIFESIEYFIEMMRAGWRLNRVTFINVLSAVSSLSLLDLASQIHALVLKYNAMDDVAIENAFLTCYGKCGQMDDCEKIFSRMSNRRDDVSWNSMISGYIHNELLTKAMGLIWLMLHNGQRLDCFTFSTVLSACASVATLERGMEVHACGIRACLESDVFVGSALVDMYSKCGRIDYASRFFKLMPKRNVYSWNSMISGYARHGHGHEALEVFRKMKLEGQPPDHVTFVAVLSACSHIGLVEQGFDHFESMSRVYDLTPRIEHFSCMVDLLGRAGKLDKMEGFIQTMPLRPNALIWRTVLGVCSRASGRKRDLGRKAAQMLMELEPQNAVNYVLLANMHASGGKWEDVAQARRSMREATLRKEKGCSWVSMRDGIHVFVAGDKSHPDTDAICENLRELHKKIKLVGKKKPKSEMMEQMSSVAVPPVMTSNWKRFCVFLVSVFWHRDRRRCRHKS >itb10g22110.t1 pep chromosome:ASM357664v1:10:27055835:27062586:-1 gene:itb10g22110 transcript:itb10g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAVGILSFLAAVLVVSPVLASESDHKYKPDDPVTLWVNKVGPYYNPQETYNYYSLPFCRPSENAPHRWGGLGEVLGGNELIDSQINIKFQKNVDKGHICELELDETKVRKFKDAIENSYWFEFFMDDLPLWGFVGDLDRNSDNKPVIFTHKSITVKYNKDQIIHVNLSQESPKPLEVGRSLDMTYSVKWTETTISFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRTPCNLVLLSALVGTGAQLALLVLLVILFAIVGMLYVGRGAIVTTFIVCYAFTSFISGYVSGGMYSRNGGKHWIKSMILTASLFPFLCFGIGFALNTVAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVIGRNWSGAPNNPCRVKTIPRPIPVKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQVSFYFGYTLMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >itb01g03510.t1 pep chromosome:ASM357664v1:1:2309336:2314140:1 gene:itb01g03510 transcript:itb01g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSFLEVKSDSHFPLENLPFGVFKPESGSDARPGVAIGGYVADLSVIAAAGLFDGPCLRNSDCFTQPNLNKFLHLGRPAWKEARETLQKLLSATEPKLRDNASLRQKALIPMDKVEMVLPIAIGDYTDFFSSMHHAKNCGTIFRGPENPINRNWFHLPIAYHGRASSIVVSGTDIIRPRGQASPTGDSAAYFGPSRKLDFELEMAAVVGPGNELGKSVSIDEARDHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTVSPWIVTLDALEPFSCDAPKQDPPPLPYLAEKISKNYDISLEVLIKPAGQEDSCTVTRSNFKHLYWTVTQQLAHHTVNGCNLRPGDLLGTGTISGPEPESYGCLLELTWNGQKPLSLGGVTRTFLEDGDEVTFTGYCKGDGYNIGFGTCSGKILPSP >itb08g15700.t1 pep chromosome:ASM357664v1:8:17867810:17869895:1 gene:itb08g15700 transcript:itb08g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTLISFLKMKTKRNANLSSLFTKMSWKRLRTAISTPISPIFAAEAGTVSSEPSLRAFHSRPQTVSSNQSKFKKSSNDLDDALKLLRQMAHISPLPSVIEFNKLLCRIVKLKHYSAVVSLFQEMRIKGIPIDVYTINILVDVYCRSSRVDCGFCVLGVVFKCGLEFNVVTFNTLIKGLFLDNKIVEAVGLFKKLVRENMCKVDQITYGTVIDGLCKSGHTQNALDLLIVMQEEGPKPDTIAYSTVIDSLCKDRMVDQALGLLSEMIERGVPPNIFTYTSLIQGLCNFSRWKEVMKLMNEMVLHNVYPDVYIFSILVDALCKEGMLESAETIIQIMIQRNTYPNVVTYNHLIEGYCLQGRMDEARKAFGRVVESGLQPNVWAYSTLINGYCKIKEMDEARKVFGQMVESGLQPDVWTYNTLINGYCKIKEMDEAMHLFCEIPQKGFHPNVVTYNTMLQGFFLVGRCSAALELFQEMLVAGHKPDSCTSCVLLGGLCDNGLVEQAMSVYHQLDRNGNGSHVYDTLIIDKVCKIGRLNIARDVFNDLISKGRRLNVKTYTVMINGLCRGGFLDEALELLRKMEKNDCLPDTVTYNVILQEFVREKKCHEANLLLDEMVGKGISLDRCTFFFLNDLLALKTGDETVLKVIQKFAANHVK >itb07g18990.t1 pep chromosome:ASM357664v1:7:23427515:23434359:-1 gene:itb07g18990 transcript:itb07g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase ALE2 [Source:Projected from Arabidopsis thaliana (AT2G20300) UniProtKB/Swiss-Prot;Acc:Q8RWW0] MSSLLFLCLLPLLTLLPTSLGILTERIGVSPSLLPSQSYITRRFSLEDVRLDSTSAPLAPLSAPHRHHSKPSFNPTAVPAPSPGPQGPLASPNTWQRRHHRRHHRGRTPAAVSPSPATGSACGQTCLPPFASVPLLSRCDCVFPMKVKLLLGVSLYAIFPAIRELGLEVAAGTYLDPDQVAVVGASADSQNQERTVVDLNLVPLGEKFDNTTALLTYQRFWDKKVPLNRTIFGDYEVISIIYPGLSSSPPPGSSNGPSASMGTQQFPVTADFVDRNQKMSPRLIFLIASSALILLVIVCGAFAILLKCRRPGRPSSAVGPVFVPAINKRSGIGSMISSSPASSTSVSLASAMPASVLSVRTFSLAELEKATEKFSFKKVLGEGGFGRVYHGILEDMTEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEARRRCLVYELVPNGSVESHLHGIDRRKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGQENLVTWARPLLTTRDGLKQLIDPSLVGSYDFDDMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDTDETCGDGCSVKESSVPDSEFKADQIPSDSSWWNAGGLTPRLTYGVASSFMTMEYSSGPLEELENRPFSSSRMMGGGGGGVALPITHGNRSGPLRTVRSNPSFYRLRGSMSEHGALLPRRSWNNNYGNEYEASF >itb09g31150.t1 pep chromosome:ASM357664v1:9:31660009:31661656:1 gene:itb09g31150 transcript:itb09g31150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGAGNSESGQVCVPPGFRFHPTDEELLYYYLRKKVCYEAIDLDVIREVDLNKLEPWDLKDKKYPTGSRTNRATAAGFWKATGRDKPIYHHHIPTINSSMSMSSRRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLIIDNTNLHAHSLLEEDGWVVCRVFRKKNHSRCSLQPEIIMSPGLQQQDDDDDECLAAVQMNMKKKASCPSSGELSQQQHSRLQEEEAANNNNNSFGWYQQLWDNIGCNYMHLPQLLSSESTSHHHTSPFLAPAAAPFTNMETTVPHGALQTFLRPQHHHNNKLNNPSGGGDWAFLGKLLASNHRTNDDIGDQTTYCNLLLSQAAAADNMINIHHLSSTSTSTQQCFPFQHNAAASFDP >itb08g14430.t1 pep chromosome:ASM357664v1:8:16210992:16213415:-1 gene:itb08g14430 transcript:itb08g14430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLRGVMREELRTWKAPRVENRNRRGCEIERENESAEAESRRRRVRSGDAERGEAENQRDGIGMGGREDGQPPPLCPPLLHCELQHALRQTSSLLPRETAVVASSSDDENGGVRRRHSKAPSGVEEETVALETTNPLQPPIDSDCSGRHGINGDGFDLHIR >itb08g16620.t1 pep chromosome:ASM357664v1:8:18660961:18666528:1 gene:itb08g16620 transcript:itb08g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQFYKVDESGKVQRLRKECPNDECGVGTFMANYFDRHYCGKCGLTYVLNRRNLIIIFVVIGHEWKECTLHLVLHLRGDAKKIKHKHKKVKMAVLQFYKVDESGKVQRLRKECPNDECGVGTFMANYFDRHYCGKCGLTYVLNRRNLIIIFVVIGHEWVTQ >itb05g24390.t1 pep chromosome:ASM357664v1:5:29212849:29213952:1 gene:itb05g24390 transcript:itb05g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFNGEYTFSSSSSTSSSSSQSPFSPSNKTMEEVWKDINLSSLHHHSSGGGGGINFQDFLARPFAKDQPPPSAAAAHTPDTVLNLNSVVPDLHFLQPPRAGSVSGFEALASASAGLNIANGLAARNCRFVNSDDNSGDRRHKRMIKNRESAARSRARKQESTAYTNQLEQEVSQLVQENCRLKKQLQQLRSVAAGGGGGVAHNNQVKKGSLCRTSTAPF >itb04g04490.t2 pep chromosome:ASM357664v1:4:2779034:2781841:-1 gene:itb04g04490 transcript:itb04g04490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTSLISPNFLKNPKMAVKPHFSKLLSPTLKSEARPLALTLLPPVSQPREYLLRGRRLNSVVEEASVSTQDPSSPSARRLYVGNIPRTVTSDELQKIVEEHGAVEKAEVMYDKYSGRSRRFGFVTMKTAEDANAAIEKLNGTEVGGREIKVNITEKPLQGGEFSFLQGTVVSAKVSRIPGTSKSSGFGFVTFSSEEDVEAAISSFNNSLLEGQKIRVNKA >itb04g04490.t1 pep chromosome:ASM357664v1:4:2779034:2781841:-1 gene:itb04g04490 transcript:itb04g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTSLISPNFLKNPKMAVKPHFSKLLSPTLKSEARPLALTLLPPVSQPREYLLRGRRLNSVVEEASVSTQDPSSPSARRLYVGNIPRTVTSDELQKIVEEHGAVEKAEVMYDKYSGRSRRFGFVTMKTAEDANAAIEKLNGTEVGGREIKVNITEKPLQGGEFSFLQVEDSQFIDSPHKVYVGNLAKTVTTESLKSFFAEKGTVVSAKVSRIPGTSKSSGFGFVTFSSEEDVEAAISSFNNSLLEGQKIRVNKA >itb15g01890.t1 pep chromosome:ASM357664v1:15:1122250:1123315:1 gene:itb15g01890 transcript:itb15g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPFLSKSSESTWPWQCGVSSPKTLSFRADDAVFAADQYSSPDDDSVEKVIKGLRSERLFFEPGHTSSKLDEAAAVEAAEESPLKESVAMAVESTDPFLDFRRSMEEMVAAHHNHSREDKIEEVLDWEFLEELLTCYLKINGKNNHGYIVGAFVDLLVSLSAHSSSHHHHHHHPATHEKSPAAGAGAAVIIPPSSSPSSSTSSDENCLLSCTNSHSFTSPLSFCSSTCSTSPCLSFPQEAQDRD >itb01g24470.t2 pep chromosome:ASM357664v1:1:30092918:30099094:-1 gene:itb01g24470 transcript:itb01g24470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPFEPPQNFSGDSIIPSENEHALSHHTGKTPRFPFYSPSPLFSAFKNSPANSSVNSTPLRFFKRPFPPPSPAKHLRALLARRHGSVKPNEATIPEGSEFDIGLDKNFGFSKNFASHYELGEEVGRGHFGYTCSAKGKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVNILRALTGHRNLVHFYDAYEDEDNVYVVMELCKGGELLDRILSRGGKYSEQDAKAVMAQILSVVSYCHLQGVVHRDLKPENFLFSSKDERSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYIILSGSRPFWARTESGIFRAVLKIDPSFDEAPWTTLSSDAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDVKIPLDLIIYKLVKVYIYSSSLRKAALRALAKTLTIPQLAYLKEQFTLLGPSKNGNISLQNFKMAVTKNSTDAMKDSRVLEFVSTVSSLQYRKLDFEEFCAAAISVHQLEGMESWEQHARRGYEFFEKDGNRPIMIEELASVHSHSNLFEIALNIASISRFKGSYHILVGCP >itb01g24470.t1 pep chromosome:ASM357664v1:1:30090759:30099131:-1 gene:itb01g24470 transcript:itb01g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPFEPPQNFSGDSIIPSENEHALSHHTGKTPRFPFYSPSPLFSAFKNSPANSSVNSTPLRFFKRPFPPPSPAKHLRALLARRHGSVKPNEATIPEGSEFDIGLDKNFGFSKNFASHYELGEEVGRGHFGYTCSAKGKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVNILRALTGHRNLVHFYDAYEDEDNVYVVMELCKGGELLDRILSRGGKYSEQDAKAVMAQILSVVSYCHLQGVVHRDLKPENFLFSSKDERSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYIILSGSRPFWARTESGIFRAVLKIDPSFDEAPWTTLSSDAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDVKIPLDLIIYKLVKVYIYSSSLRKAALRALAKTLTIPQLAYLKEQFTLLGPSKNGNISLQNFKMAVTKNSTDAMKDSRVLEFVSTVSSLQYRKLDFEEFCAAAISVHQLEGMESWEQHARRGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGLSARALQKA >itb01g24470.t3 pep chromosome:ASM357664v1:1:30093829:30099131:-1 gene:itb01g24470 transcript:itb01g24470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPFEPPQNFSGDSIIPSENEHALSHHTGKTPRFPFYSPSPLFSAFKNSPANSSVNSTPLRFFKRPFPPPSPAKHLRALLARRHGSVKPNEATIPEGSEFDIGLDKNFGFSKNFASHYELGEEVGRGHFGYTCSAKGKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVNILRALTGHRNLVHFYDAYEDEDNVYVVMELCKGGELLDRILSRGGKYSEQDAKAVMAQILSVVSYCHLQGVVHRDLKPENFLFSSKDERSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYIILSGSRPFWARTESGIFRAVLKIDPSFDEAPWTTLSSDAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDVKIPLDLIIYKLVKVYIYSSSLRKAALRALAKTLTIPQLAYLKEQFTLLGPSKNGNISLQNFKMV >itb02g11060.t1 pep chromosome:ASM357664v1:2:7245149:7249587:1 gene:itb02g11060 transcript:itb02g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFERSRNNNFYDTDGEEEEDDDEEEEESEDGGGEEQGAEKNLFNCRSIYGGGCGGRRRGGSVFGKVLDPKAAWVQEWNRVFLLVCATGLFVDPLFFYTLSISDNCMCLFVDGWFATTVTVLRCMTDALYLWNMWLQFKINRRPHITGARTRLHNNRMGMGAALRLWKAKKGFLFDLFVILPMPQIVLWVAIPALLKRGLTTEVMTVLLIMFLLQYLPKIYHSVRILRRMQSLSGYIFGTVWWGIALNMIAYFVASHAVGACWYLLGIQRAARCFKEQCGMKNGCGLRMLACEDPIYYGTTTVVKDRSRLMWGENAHARTTCLTEDNFTYGAYRWTVQLVINENRLEKILFPIFWGLMTLSTFGNLESTTDWLEVVFIIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRRRRLPTEFRQRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKSLIFTKGETITREGDPVQRMLFIVRGHLQSSQVLREGVKSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTLEATEAFGLEAQDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFDF >itb01g22520.t1 pep chromosome:ASM357664v1:1:28389373:28391702:-1 gene:itb01g22520 transcript:itb01g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDKLTSVSSMAIMNLSSRPLRLAQLQNPKLNFSFTPHFSLRNSRIYGVYRDKAQTFSFTISCNLNTTRKKQGAMEKKSVPTKIRVPESDGAPTLLDAGNDASPTGPPPAKKSKAAGLGLLRRLSKRVLASLANLTLAISEMFALAGLMAIGSFIEQGEAPDFYFQKFPEDHPLFGFFNWRWVLTLGFDHMFSSPIFLGTSALLAASLMACTYTTQIPLVKVARRWSFLHSAQAIRKQEYSDTLPKASIQDLGAVLMGAGYEVFLKGSALYAFKGLAGRIAPIGVHVALLLIMFGGTISATGSFRGSVNVPQGLNFVLGDVLGPTGFLSTPSEAFSTEVHVNRFYMEYYESGEVSQFHSDLSLYDLDGKEVMRKTISVNDPLRYGGITIYQTDWSFSALQIMKDDEGPFNLAMAPLKINGDKKLFGTFLPIGNADSDDVKGM >itb13g18040.t1 pep chromosome:ASM357664v1:13:25091085:25092198:-1 gene:itb13g18040 transcript:itb13g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANVVPFIGTNSTMMMMIPSSATSSASSLCSPAQATAPPAAAAASTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTILCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPEANPFGSRAVRLYLREIRDSQSKARGISYEKKKRKKPPPPQQPAPPQTPPPDLR >itb09g11040.t1 pep chromosome:ASM357664v1:9:6902016:6904302:-1 gene:itb09g11040 transcript:itb09g11040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEIDGIIERLLEGRKCRSKKIHLAESEIRQLCMVARDVFLTQPNLLELEAPINVCAGDIHGQYPDLLRLFESGGFPPDSNYLFLGDYVDRGKQSVETICLLLCYKIKFPDNFFILRGNHECASINRIYGFYDECKRRYSVRLWKTFTECFNCLPVSAVIDDKILCMHGGLSPEMESLDQIRALERPVDVPDAGLLCDLLWSDPERETKGWGENDRGVSYTFGADKVAEFLRKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDATLLCSFQIIKPHRAKPVFLD >itb09g31060.t3 pep chromosome:ASM357664v1:9:31562500:31565599:-1 gene:itb09g31060 transcript:itb09g31060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVKALSSGKARVGVLQLGSCPYSIETPALLLTTRKGLPVFIPPDHLPSLPSPDSHLLQFSPLHFLECVSNKTISDIGGLHQMLGLNDRIFAAVPRDCIISIPEHTSTNRTGASFETPCGRSLIKPAAYMEMISSMMPNLWTTLADEVPAWVSDKRNRISVDRTVRWLDDCLTLNLTGATVFGSIVGGSSIEERRRCAQEVAKRNVSGYWIGGFGLGESMDERTALLSAVTESLPQEKPRQICGLELPEEVLQGVAAGIDLFDSSYIYHLTLGGFALTFPLERTERHKTDYQPSSSDAGCDETKINLKATIYRCGYGNSRRFFSLTLCMVRQKKLY >itb09g31060.t2 pep chromosome:ASM357664v1:9:31561661:31565599:-1 gene:itb09g31060 transcript:itb09g31060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVKALSSGKARVGVLQLGSCPYSIETPALLLTTRKGLPVFIPPDHLPSLPSPDSHLLQFSPLHFLECVSNKTISDIGGLHQMLGLNDRIFAAVPRDCIISIPEHTSTNRTGASFETPCGRSLIKPAAYMEMISSMMPNLWTTLADEVPAWVSDKRNRISVDRTVRWLDDCLTLNLTGATVFGSIVGGSSIEERRRCAQEVAKRNVSGYWIGGFGLGESMDERTALLSAVTESLPQEKPRQICGLELPEEVLQGVAAGIDLFDSSYIYHLTLGGFALTFPLERTERHKTDYQPSSSDAGCDETKINLKATIYRKDTSPIVDSCNCYTCQNHTKAYINHLFNVHEMLAQILLEIHNTHHYLGFFRCIREAIKEGTFEQYREKFIRNRLNHVFASASA >itb09g31060.t1 pep chromosome:ASM357664v1:9:31561661:31565599:-1 gene:itb09g31060 transcript:itb09g31060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVKALSSGKARVGVLQLGSCPYSIETPALLLTTRKGLPVFIPPDHLPSLPSPDSHLLQFSPLHFLECVSNKTISDIGGLHQMLGLNDRIFAAVPRDCIISIPEHTSTNRTGASFETPCGRSLIKPAAYMEMISSMMPNLWTTLADEVPAWVSDKRNRISVDRTVRWLDDCLTLNLTGATVFGSIVGGSSIEERRRCAQEVAKRNVSGYWIGGFGLGESMDERTALLSAVTEKPRQICGLELPEEVLQGVAAGIDLFDSSYIYHLTLGGFALTFPLERTERHKTDYQPSSSDAGCDETKINLKATIYRKDTSPIVDSCNCYTCQNHTKAYINHLFNVHEMLAQILLEIHNTHHYLGFFRCIREAIKEGTFEQYREKFIRNRLNHVFASASA >itb13g01490.t1 pep chromosome:ASM357664v1:13:1440244:1446403:1 gene:itb13g01490 transcript:itb13g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGSLYYLDLNSNMLSGQIPRSPPKAQYLDFSNNNFSMIPLDIFDQIPYLLFFFSIAKNRVSGKISTSWCRAANLKVLDLSHNALHGTIPSCLVQNNSNLFVVNLRGNHLSASPIPESEEKESTHHVDIYISVAFGFVAEGSASFFRLISFILVVSLNGISEVDDLVGMVWTLPFFTLKWLDGRRWCCLSFRRCLPDGQRQSCMSPAAMDLQLRSQEWDAPNSDLIADKRVRFPALRIRVPPLLFVEALEDLTAQQRKDICDIGFGDVLELKIKELPVLLGRWLLSNFYNEKMCVLLGEEEVLPVSEKDVSSILGFPRGEGVITSGIAIRRASC >itb05g18260.t3 pep chromosome:ASM357664v1:5:25060491:25064505:1 gene:itb05g18260 transcript:itb05g18260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MAAASSFQPRLLSTFVGDTSFFYSANSLHRLFSCNPGSKHASMQMSRTFSGLTNLLFNRRNIDAIANGKRARLKPGKVSPCRPVPDHILRPPYVNSRKPPGISSGPEVHDKKGIERMRASGRLAAQVLQYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFFVGDVDEEARNLVQVTKDSLDKAISICAPGVEFKKIGKTIHDLADKHNYGVVQQFVGHGVGRVFHSDPVILHYMHSL >itb05g18260.t1 pep chromosome:ASM357664v1:5:25060491:25065130:1 gene:itb05g18260 transcript:itb05g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MAAASSFQPRLLSTFVGDTSFFYSANSLHRLFSCNPGSKHASMQMSRTFSGLTNLLFNRRNIDAIANGKRARLKPGKVSPCRPVPDHILRPPYVNSRKPPGISSGPEVHDKKGIERMRASGRLAAQVLQYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFFVGDVDEEARNLVQVTKDSLDKAISICAPGVEFKKIGKTIHDLADKHNYGVVQQFVGHGVGRVFHSDPVILHYRNNGRGRMVLNQTFTIEPMLTVGSIQPIMWDDDWTVVTEDGSLSAQFEHTILITQDGAEILTQC >itb05g18260.t2 pep chromosome:ASM357664v1:5:25060491:25064505:1 gene:itb05g18260 transcript:itb05g18260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MAAASSFQPRLLSTFVGDTSFFYSANSLHRLFSCNPGSKHASMQMSRTFSGLTNLLFNRRNIDAIANGKRARLKPGKVSPCRPVPDHILRPPYVNSRKPPGISSGPEVHDKKGIERMRASGRLAAQVLQYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFFVGDVDEEARNLVQVTKDSLDKAISICAPGVEFKKIGKTIHDLADKHNYGVVQQFVGHGVGRVFHSDPVILHYRNNGRGRMVLNQTFTIEPMLTVGSIQPIMWDDDWTVVTEDGSLSAQFEHTILITQDGAEILTQC >itb07g04970.t1 pep chromosome:ASM357664v1:7:3347491:3349458:1 gene:itb07g04970 transcript:itb07g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGFGRGFGGRGRGDRGGRGRGGRRGRRDTEEEKWVPVTKLGRLVKEGKIRSLEQIYLHSLPIKEYQIVDTLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGSIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLSKTYGFLTPDFWTETRFAKSPFEEYTDILAKPTTKFVTIEEIPDKVDA >itb03g11050.t1 pep chromosome:ASM357664v1:3:8925444:8925890:1 gene:itb03g11050 transcript:itb03g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLQRSKRKLINCFPSTIEYDEADERPKSIISVHDRSSNSSAVLSNVKSSWTAPVENKATDKRSKKTKEVAATVLCSLSSKQTKEPLHFRPQTKQQRDPFLAATTHLIEEAT >itb06g01390.t1 pep chromosome:ASM357664v1:6:2632293:2638051:1 gene:itb06g01390 transcript:itb06g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' kappa isoform [Source:Projected from Arabidopsis thaliana (AT5G25510) UniProtKB/Swiss-Prot;Acc:Q93YV6] MWKNLLSKLPKKSLKSDSVDSPRSNSDSNAGSGRAAGSGSTPRRTSSAVFPASVIAGIEPLVSFKDVPSSEKMNLFISKLSLCCVVFDFTDPTKNTAEKELKRNTMIELLDFLCSNPPKFSEPAIHAMCKTCAVNLFRVFPPNYRSNSARGNENDDDEPTFDPAWPHLQIMYDLLLRFVTSPSLEAKVAKKYINHSFILRLIDLFDSEDPRERECLKAILHRIYGKFMVHRPFIRKSISNVFYQFVFETEKHNGIAELLEIFGSVITGFALPLKEEHKIFLWKALIPMHKPKSLAVYFQQLSYCVIQFIEKDPKLASTVIRGLLDYWPITNSQKEVMFLGELEEILEVITMDEFQKVMVPLFWRIGCCVNSYHFQVSERALFLWNNDQIVNLIAHNRHAILPILFPALDNNTHSHWNQAVQNLTLNVRKMFVEMDDELFRVCQSQYKEEREKLALAATKRKEEWERLENLAQLLPIAGNTAVLVTPLATKMTC >itb11g16490.t1 pep chromosome:ASM357664v1:11:14706750:14707507:-1 gene:itb11g16490 transcript:itb11g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARTDHQEDDADYCWDPNTLSFGKRKLWELFRRVRKRMMRQSYYFFHNQQMASLMTISFKKKKKRMSFCYDPLSYALNFDNGNFGFFCL >itb11g03430.t1 pep chromosome:ASM357664v1:11:1806797:1811965:-1 gene:itb11g03430 transcript:itb11g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVIQRSPYSSAATAAASSPLQRLTSIRDRSLVANPTSAGGIAPTPTTGTSPASLSPPITSPLDSFASDQIFSAFLSPDFDSARFSSAALSSGSAASRIEKLQEGLRLLDHQLRHEVLSRHEDLLNQLSSIRAADSALSSLRSSVSSLQSSLQRVRSELSDPHRVIAAKTLQLSNLHSTTQLLQSTIRFLRLSKKLRDLMDATQDHEKLDLSKAAQLHYEILSLHNEYHLSGIDVVDSELKWVTETGQKLRAEGMRVLEKGVEDLNQAEVGAGLQVFYNMGELRGTVDGLVSKYKGLGVKSISSALDMKAISAGGAFGPGGVQRSGTPQLGGSAKAKEALWQRMNSCMDQLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVMQDGDPMLTVRIWEALVKSFASQMKSTFTASSFVKETFTTGYPKLLSMVENLLERISRDTDVKGVPPALTSEAKDQMTSAIETFQTAFLALCLSRLSDLVNSVFPMSTRGSIPSKEQISRIISRIQEEIESVQLDARLTLLVLREINKVLLLLSEKAEYQISAGPEARQVTGPANPAQLKNFALCQHLQEVHARVSSMVAGLPSIASEVLSPALGTIYGVAGDSVTSLFQAMLDRLEACILQIHDQNFGTLGMDAAMDNNASPYMEELQKSIVHFRAEFLSRLLPSSSNVVSTGTETICTRLVRSMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVIFLETSQLASSPLLQDLPPSVILHHLFSRGPDDLLSPMQRNRLSPLQYSLWMDSQGEDQIWKGIKATLDDYAAKIRMRGDKEFSPVYPLMLKLGSSLSGNN >itb01g28700.t1 pep chromosome:ASM357664v1:1:33087897:33090603:1 gene:itb01g28700 transcript:itb01g28700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKSAAAKKETNEEGEGATAPEETKKSNHVLRKIEKRQKDRKLDSHLEEQFGSGRLMACIASRPGQCGRADGYILEGKELEFYMKKIQRKKGKGSGAAA >itb01g01580.t4 pep chromosome:ASM357664v1:1:920355:927311:-1 gene:itb01g01580 transcript:itb01g01580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKIEIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDVDIGLIVFSATGKLFHYSNSSMEEVITRHSMHMEKGDEHQPSPSLQRESITYAGLAKDFTEKSRELRQLNGEDLQELGMADLVKLEKMVEGGLSRIMRKKGDILLSEINALKKKEAKLMEENAQLKQRSEVVVFVSLLVDLLIADCRSRRTTLVRISHKWLLLP >itb01g01580.t1 pep chromosome:ASM357664v1:1:920355:927344:-1 gene:itb01g01580 transcript:itb01g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKIEIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDVDIGLIVFSATGKLFHYSNSSMEEVITRHSMHMEKGDEHQPSPSLQRESITYAGLAKDFTEKSRELRQLNGEDLQELGMADLVKLEKMVEGGLSRIMRKKGDILLSEINALKKKEAKLMEENAQLKQRSEIAVQGGPHSSESVTNGCCFPDKLQDHYKNSDTSLKLGLPFPD >itb01g01580.t2 pep chromosome:ASM357664v1:1:920446:927007:-1 gene:itb01g01580 transcript:itb01g01580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKIEIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDVDIGLIVFSATGKLFHYSNSSMEEVITRHSMHMEKGDEHQPSPSLQRESITYAGLAKDFTEKSRELRQLNGEDLQELGMADLVKLEKMVEGGLSRIMRKKGDILLSEINALKKKEAKLMEENAQLKQRSEIAVQGGPHSSESVTNGCCFPDKLQDHYKNSDTSLKLGLPFPD >itb01g01580.t3 pep chromosome:ASM357664v1:1:920355:927028:-1 gene:itb01g01580 transcript:itb01g01580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKIEIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDVDIGLIVFSATGKLFHYSNSSMEEVITRHSMHMEKGDEHQPSPSLQRESITYAGLAKDFTEKSRELRQLNGEDLQELGMADLVKLEKMVEGGLSRIMRKKGDILLSEINALKKKEAKLMEENAQLKQRSEVVVFVSLLVDLLIADCRSRRTTLVRISHKWLLLP >itb01g01580.t6 pep chromosome:ASM357664v1:1:920355:927344:-1 gene:itb01g01580 transcript:itb01g01580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKIEIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDVDIGLIVFSATGKLFHYSNSSMEEVITRHSMHMEKGDEHQPSPSLQRESITYAGLAKDFTEKSRELRQLNGEDLQELGMADLVKLEKMVEGGLSRIMRKKGDILLSEINALKKKEAKLMEENAQLKQRSEVKGQNTKFL >itb01g01580.t5 pep chromosome:ASM357664v1:1:920464:926121:-1 gene:itb01g01580 transcript:itb01g01580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKIEIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDVDIGLIVFSATGKLFHYSNSSMEEVITRHSMHMEKGDEHQPSPSLQRESITYAGLAKDFTEKSRELRQLNGEDLQELGMADLVKLEKMVEGGLSRIMRKKGDILLSEINALKKKEAKLMEENAQLKQRSEIAVQGGPHSSESVTNGCCFPDKLQDHYKNSDTSLKLGLPFPD >itb03g00680.t1 pep chromosome:ASM357664v1:3:360091:363085:1 gene:itb03g00680 transcript:itb03g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTALINGCSLSSLSPAAQPSRKPPSSSSRFAVFATLNTPSSSSSSFPSLIRNQPVFAAPAPIITPTLRPDMANDNYEEAIQGLRKLLSEKEEYGPVVAARIGEITAQLQTSSADSSKIADPVERIKAGFIHFKKEKYEANPALYSELAKGQSPKFMVFACADSRVCPSHVLDFQPGEAFVVRNIANMVPAYDKLKYSGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSFPDNGPTSTDFIEDWVKICLPAKHKVIAENGSLPFGDQCTLCEKEAVNVSLGNLLTYPFVREGLVKKTLAIKGGYYDFIKGAFELWGLDFGLSPPLYI >itb11g14410.t1 pep chromosome:ASM357664v1:11:11497489:11501297:-1 gene:itb11g14410 transcript:itb11g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MESKEREEQRPAGEDAAGKKKSFVINIPSYQEVMETSQTKTAPSSLFNPTTSFSQAFNFVKDSEFYSQPPPPPSSSASAPPASQPRPIIQSEAPSSSATRPSSSSTAVPPPRNAILVSHRQKGNPVLKHIRNVRWVFADVVSDYLLGQNACALYLSLRYHLLHPDYLYFRIRELQKNFRLRVVLCHVDVEDVVKPLLEVTRTALLHDCTLLCAWSLEECARYLETIKVYENKPADLIQGQMDTDYLSQLNHALTSIRHVNKTDVVTLGSTFGSLSNIMDASMEDLARCPGIGERKVKRLYDTFHEPFQREVPKHAVPEAAANENTGTSPAPESEETETAVKDQNKRRKNEPDITIKSALSAAFAKYSHKVGRKTDKSQQEKAEGDENSTNPEANSDP >itb07g11630.t1 pep chromosome:ASM357664v1:7:13102460:13105429:1 gene:itb07g11630 transcript:itb07g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPNPTVYLSPSLHATVKPSQFIVFSSHGTPTEFPKSYAVESEEMAWRGQLSRNLKEIRILFSPNSPSSAPLRAFIENNYKQLKTHNPKLPILIREASSIEPQIWARFDMGVERGFQLEGFSEQQISKALEDLVKTGAS >itb07g02990.t1 pep chromosome:ASM357664v1:7:2025570:2028645:1 gene:itb07g02990 transcript:itb07g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPKPVGGVWPAVKPFVNGGCSGMLATCVIQPIDMIKVRIQLGQGSAGEVTKNMLKNEGFGAFYKGLSAGLLRQATYTTARLGTFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPAAQRRNYTNAFHALYRITADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFCRDSLGMGEAATVLGASAVSGFFAAACSLPFDYVKTQIQKMQPDAAGKYPYTGSIDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMLTWIFLNQIQKAQKNIGL >itb10g12260.t1 pep chromosome:ASM357664v1:10:18198366:18200426:-1 gene:itb10g12260 transcript:itb10g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTSLVKRVYDEKIVANHFHTRVWCTVSQEYDCKDLLNKIYNQVCGKETEIDSVAEKLRKSLMGWRYLIVLDDIWSLKAWEELNRAFPSCDNGSRVVLTSRQESVVSDAKHICLPFFTVDESWELLQVKLFQGKGCPKELENIGKEISKKCGGLPLVVGLVAGLLGGVEKSKQMWQEVLNTLSSHVAFRGGIKSNDAIELSYNHLPDHLKPCLLYFAAIPEDKTIAALKLINLWISEGFIDIKEKERVEDTAGDFLNHLVGSNLVMVSNRKYDGHILSCGVHDLVRDFCLTKAKDENFLHIIKMEKVLDPTLKLTAHRISFHRCSGHHEIPNELVPWNSSIRTLLGFESSDICGERRHIYKSSWVAKRFEHLTILDLEFIQVDISIMFEVNSLIHLRYLALKLCGSGSISPWLLENLQCLITLKLTSGGDAHLPKLFWNMRSLRNMVIHHYCSKSCPMEGASTMETTPSDLEVLQTLNLNVSLCIRDEHLLRKLPHLKNLRCAVSKSYPFAEIDFLRHLESLELSGTGRCKPHLLNDLKLTKFPSNIKEIHFSMLTLSSSAISIIAQLSKLEALTLKHCVFEDLEWNVDEETQFRKLKFLQLFFPHIRIWNVSSAAESFPCLEQLILEGCFMLEGLSYSFADISTLELISVVNCPNVDSSVKKIQEDVQSMGSEQLTFDIGK >itb11g07800.t1 pep chromosome:ASM357664v1:11:4898044:4900458:1 gene:itb11g07800 transcript:itb11g07800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAGGGSRASMGSLATHVIKGRWFMVFATTLIMAAAGATYMFGLYSGDIKGALGYDQTTLNLLSFFKDLGSNVGVLSGLINEVTPPWVVLFIGAALNFFGYFMMWLAVTKKISTPKVWQMCLYICVAGNSQSFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAILTQLFHAVYGNDSKSLILLIGWLPAVISLVFLRTVRIIKAVRVARELKVFYRFLYVSLGLAGFLMVVIILQKKFDFSRVEYSFSAVTVVFLLFLPLAIVFKEEIGVWKNKNLAVEDKVLDDLKVVVTKEKEKQLPETEETSVSCWKTAFQPPERGEDYTILQALFSVDMLILFLATICGVGGTLTAIDNLGQIGTSLGYPKKSISTFVSLVSIWNYLGRVAAGFLSEHFLTKYKFPRPLMLTIILSISCVGHLLIAFNVRGGLYAASVIIGFCFGAQWPLLFAVISELFGLKYYSTLYNFGSTASPIGSYILNVRVAGRLYDREAERQMKALGKIRRDGEDLNCVGVKCFQLAFFIITGVTVFGVLVSAVLAVRTRRFYKGDIYRKFREQAAAAETEMAAAGAGNGAVIPTTKV >itb01g06060.t1 pep chromosome:ASM357664v1:1:4268606:4269671:-1 gene:itb01g06060 transcript:itb01g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTINQDSQLNQKSARDQIRGEVARLVELYKGEEISITVIGHSLGSSMATLNATDLAANPINNNKDILVTAFLYASPKVGDENFKTAFSNQQNLRGMRISDVNDIVTAVPPFGWKYGDNIFPPEGGDVTPPTPYVDVGGSQGGFERQGDFDLSKINRYQGALKDEYRILTGWSNIKDKGMVQQDDGNYILDDHEVDETF >itb01g15380.t1 pep chromosome:ASM357664v1:1:17810821:17812244:1 gene:itb01g15380 transcript:itb01g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSQSSGFFPLSNAFPSSQKGVEISSVDAPKMVEKSNLDDLPEPLLMEVLSRLPVKSLLQLKCVCKNWYALIHNPFFVSIHYENHSKDSHLLVHRHDPHTGDSELSFLHSSSPIMPNPHQDSDLFSWEIVGPSKGLFLLYNHQEEAAVWNPGTREFRLIIRGSGFPYSDSLRLFSQAFGFGADPVSNEYKVVRVSDFWDNSTDNWHNPIVSVYSLGTDSWRHFDFEGSSNLRARNMVKSCGTTFLDGCFYWKSVDNRRVFEFDMRKEEFQEIQTPELFKSKQGDLALYGGCVAMFFHDFVDKTKLCVDIWAMDSGKCWGMKVRIGPFVSIRRPLGYGENGEIFLENALSKIAVVEAAGSQEAKVFGPMKGLQGGCLSGVFDYKESLVSIKPNTTKHLFSNFLDF >itb05g21250.t1 pep chromosome:ASM357664v1:5:27107798:27111128:1 gene:itb05g21250 transcript:itb05g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFKKWMNWKGVEWSDALELVATESSVFVRAVGDLHEGDLVAKIPKESCLTIKTCGARQIIEEAELDGYLGLAVALMYERSLGPVSPWFGYLQLLPHSEPIPLLWSLSEINSLLAGTELHKIIKEDKVLIHEDWKECIQPLLDNASLGLNPEGFGIEEYFAARSLISSRSFQIDDYHGFGMVPLADLFNHKTGAEDVHFTSISSDSESDSDADDNNSNTEYQNNSDHEPISKNPHSEGDSLSGSDLDSSSMSGNDPTALEMIMVKNVKAGVEVFNTYGYIGNAALLHRYGFTEPDNPYDILNLDLELVLQWSSSRFSYRHSRRRLSLWRELGYSGCVSQDSEYFEISYYGEPQIELIILLYILLLSEEAYSEVNLAVSTMGDVENSWQLFLSKQGVPLENGSELSKNSLLTESVCLALLSLADARESLYGSNSLRNDIKELDRCSQLSEPKQYHSLVLRISERKILQKLRTYASAGATTKKGTKRKGKRSRMKTQDATR >itb02g01640.t1 pep chromosome:ASM357664v1:2:920195:920569:-1 gene:itb02g01640 transcript:itb02g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFRSKSTREGGMQMESYYGGGGNASVGPQSMKDLRSYSTSYAYTSSSMEAAPHKEVRIKKSKSRVSSSFASKSWSFNDPELQRKKRVVGYKAYAVEGKMKGSFRKSFRWIKNTCSHVVHGWW >itb13g25380.t1 pep chromosome:ASM357664v1:13:30784881:30785924:1 gene:itb13g25380 transcript:itb13g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDPNTSNSFPQNLFQENCWPEELREAIIISSLPREEGWISPHIYNYKGFWLAPHHLLGVLRSHQHFQAQNSDVIICTPPKCGTTWLKALIFALITRKQFPVSQLETHPLLTTNPQDLIPNLEFCYAREENSPPDFPTVNNNGVMVRIISRHLPLELLPKSVGESKCKLIYLCRDQKDTVVSFWHFTNKLRGEIGGMGEIPFPEAFDKYCRGESLYGPFWDHMLGYWKESLENPRKVLFLKYEEIKEEPDVQLRRMAAFLNCPFSEEEEECGVVGGILRLCSFESLRNMEINKTGKDLTFGHSNHVYFRKGKVGDWRNHLTDEMATKLDQIIVEEKFKGTGLKF >itb08g01170.t1 pep chromosome:ASM357664v1:8:878153:885162:-1 gene:itb08g01170 transcript:itb08g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHVEDQTDEDFFDKLVNDDDNGELKVVAPTSGLASVDGDESDEVKAFANLSLSDNNDNGNNETDGWETGIGDGGDEGMLIPDDVKPGSSVEENVVNRSASLDPLNSAGLNSSGECSNGNLADDITSDVAVDKSSGSGHSGVKEVGWSAFNAVPGSNGDSGFGSYSDFFTVLGEGSGDAEENVNIEPKIVSADQVPDSAYLNTSNSGMVSFGVAPLPSTQNDTSNSYAQYQQNYSYGAGADSAVDGQNLNSSQYWENLYPGWKYDPITGQWYQVDSYAAGANVQGSYGANLSANWENGKTQVSYLQQTAAQSVAGTEAESGTTENVINWNQVSQANNMVTDWNQASQVNNGYPSHMIFDPNYPGWYYDTIAQEWRSLDTYARSSQSTIEAENQLNQNGFASSMTFSQNNEQMIHGAFGQADSSSGQQFSSKALGSNNWSGSFGHYNQQTPSTWQTQCVANSEPMQEYKGNQQVENNYGHDYSATNQFSQPMTNNYEETSIYHGSTNQSQSEFSLLARSQGLASTGNFSQQFLQPNIEQNELKHSSSEYFRNQNSFNFSQPSFQSSQQFTYAPSAERSSAGRPPHTLVTFGFGGKLILMKHNSSLGNSSFGNENSGGGSISVLDLVDIVTEKVDSSVGTGTSSYLRTLCRQSFPGPLVGGSVGSKELNKWIDDRVSHSGSPVLDYRKAEVLRLLLSLLKIGCQYYGKLRSPFGTDTAIKESDAPETAVAKLFASTKSSVLLNQYGAATQCVQQLPSEGQMQATAAEVQSLLVSGRKNEALQCAQDGQLWGPALVLAAQLGEQFYVETVKQMALRQLVPGSPLRTLCLLIAGQPAAVFSAGTLADGSMPGALNIPQQPSHFGANGMLDDWEENLAMITANRTKDDELVLIHLGDCLWKERNDIVAAHICYLVAEANFEPYSDTARLCLVGADHWKFPRTYASPEAIQRTELFEYSKLLGNSQFILLPFQPYKLVYAHMLAEVGKIADALKYSQALLKSLKTGRAPEVETLRQLSSSLEERIRTHQQGGFSANLAPAKFMGKLLNLFDSTAHRVVGGLPPPAPSSMNTQANEQSHQSAGPRVSNSQSTMAMSSLVPSTSMEPISDFANGSKKTFHNRSASEPDFGRSSLQGKVESPPKDASPSPSTTQENASGSGGGTSRFSRFSFGSQFIQKTMGLVLKSRQGRQAKLGEQNKFYYDEKLKRWVEEGAEPPAEEAAVAPPPTTASFQNGASDYNLRNALKNEGSSSNGTPDLKSPASVDSSGMPPLPPTSSNQFSARSRTGVRSRYVDTFNKGGGNTTNLFHSPAVPSIKPATQKFFVPAPVVPSEQPVDSSPDSIHDTSPNNENPSPSLVNDSFPPPLQPSDMTKQRFGSTGNLSNNAVAAAAAAAAAPVSFPVHARRTASWSGSFSEDSSPEYKSDIKPLGEVLGMPPSSFMPTDTSFARRSGSFGDGLHQVAL >itb02g25980.t1 pep chromosome:ASM357664v1:2:26752302:26753798:1 gene:itb02g25980 transcript:itb02g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNALRYVSRRFSSSGKVLSEEEKAAENVYIKKTEQEKLEKLARKGPNPEKPAAANSGGSGSVADAKPGAQASSTPGVSNDNYRNYGVLAGIVTGVGALGWYLMSKDKKKEVQD >itb04g26340.t1 pep chromosome:ASM357664v1:4:30653012:30657847:-1 gene:itb04g26340 transcript:itb04g26340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQSLIFSFVARDTVILADYTDFSGNFTGIASQCLQKLPAASNSNNKFTYNCDGYTFNYLVGDGFTYCVVAVESIGIHIPIAFLERIKEEFTKKYGGGKASTAIAHSLNKEFGPKLKEQMQYCLDHSVDIPMLAKVTAQVSELEGVIKGKIIEVLDREEKLEILLDKTENLRSQAQEFKALGTKSRKKMRLQKTKIKLICSCFILRRSED >itb12g06630.t1 pep chromosome:ASM357664v1:12:4929834:4932703:-1 gene:itb12g06630 transcript:itb12g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKGKKMLILPETWEDNRLNPSGGSQISSSTNSRLYFCGLVKLGSDKFVKTEVKILVVVIIQMSEAKDDEVEIKFRLADGSDIGPKCFPAATSVEVLKESLLAQWPEEKENGPRTAKDVKLISAGRILENNRTLGECRSPLCDVPGGVTTMHVVIHFPLQEKEKKASNDPAQNRCLCVIL >itb11g18290.t2 pep chromosome:ASM357664v1:11:18493918:18499465:-1 gene:itb11g18290 transcript:itb11g18290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISRTLVALRRVKSLRDPSTNSMGKFFAFLENSNWETNSSNGISLGFEKNDNALFTRNEAYPGVKDPDCGVRKPNSKLESHETAGWVENGGSNGIIHAERPNLDQSTKAVAEKNESRSERYCRVVTKQGLELACIASSNDCGEGVGSCNERNEESVQVANPPKKKSKYRNQYRSSRPAVDNVLNQLGSPQFSANGALEGSCHVMPQYDSEDFDIVDSVNHGCGISSCWLGTPRLRGSNLLPDVEEQPLLSGDGGETLFAGERGCWELYSNGVTSISESRNVYQKFRPKLFSELVGQNVVARSLLNTISTGRIHPFYLFHGPRGTGKTSASRIFAAALNCLSLDAQKPCGLCLECVLFFSGRNKDVVEVDCLKINKMERIRSLMKSAGIPPLSSRFKTFIFDECQLLREETWGTILSSLDELSRHVIFIMITPDLDKLPRTAVSRSQKYHFPKIKDIDIANHLGKICAEEGGEFDQDALEFIACKSNGSLRDGEMMLEQLSLLGKRISMPLVYELIGAVSDDELLELLHLALSSDTSNTVKRARELMRSRIDPMQLISQLANLIMDILAGKRVLGGRFSEASTQQLSHALKILSETEKQLRMSKSQTTWLTAALLQLSSVGSSMDLNEARLCSRTEYPQDLDGNFCSTSSTGESLKHVANCACESSESCQVRMQNDEETLTSIWSKAIAKCESHSLKSFLQRRGILSSICLKQGLAVAELKFSHPDYVSKAEKSWKSIANALQQTLCCNVEIRINLVNGAFPRKHARANKLSFSLFGCSRKKNHKPEISESGSDPSEISDFASKRIIKMDKVNDACSSECVSQTQQKATVRTIRNNDGNALTIGMVNADWTDSMQLQHCLEGDYFNKGSNRGCKDFLPLEPEKNHGCFPRSVKHLKRSYSSNESRMICPLGQQSGNWALSIPNKTSETQFCASDPHITCTRPINCCSGNIDISSRSSKFHCWRTVVSPFKKALRLKRQPENSHLQLVMPCAPAT >itb11g18290.t1 pep chromosome:ASM357664v1:11:18493918:18499465:-1 gene:itb11g18290 transcript:itb11g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDIPISRTLVALRRVKSLRDPSTNSMGKFFAFLENSNWETNSSNGISLGFEKNDNALFTRNEAYPGVKDPDCGVRKPNSKLESHETAGWVENGGSNGIIHAERPNLDQSTKAVAEKNESRSERYCRVVTKQGLELACIASSNDCGEGVGSCNERNEESVQVANPPKKKSKYRNQYRSSRPAVDNVLNQLGSPQFSANGALEGSCHVMPQYDSEDFDIVDSVNHGCGISSCWLGTPRLRGSNLLPDVEEQPLLSGDGGETLFAGERGCWELYSNGVTSISESRNVYQKFRPKLFSELVGQNVVARSLLNTISTGRIHPFYLFHGPRGTGKTSASRIFAAALNCLSLDAQKPCGLCLECVLFFSGRNKDVVEVDCLKINKMERIRSLMKSAGIPPLSSRFKTFIFDECQLLREETWGTILSSLDELSRHVIFIMITPDLDKLPRTAVSRSQKYHFPKIKDIDIANHLGKICAEEGGEFDQDALEFIACKSNGSLRDGEMMLEQLSLLGKRISMPLVYELIGAVSDDELLELLHLALSSDTSNTVKRARELMRSRIDPMQLISQLANLIMDILAGKRVLGGRFSEASTQQLSHALKILSETEKQLRMSKSQTTWLTAALLQLSSVGSSMDLNEARLCSRTEYPQDLDGNFCSTSSTGESLKHVANCACESSESCQVRMQNDEETLTSIWSKAIAKCESHSLKSFLQRRGILSSICLKQGLAVAELKFSHPDYVSKAEKSWKSIANALQQTLCCNVEIRINLVNGAFPRKHARANKLSFSLFGCSRKKNHKPEISESGSDPSEISDFASKRIIKMDKVNDACSSECVSQTQQKATVRTIRNNDGNALTIGMVNADWTDSMQLQHCLEGDYFNKGSNRGCKDFLPLEPEKNHGCFPRSVKHLKRSYSSNESRMICPLGQQSGNWALSIPNKTSETQFCASDPHITCTRPINCCSGNIDISRSSKFHCWRTVVSPFKKALRLKRQPENSHLQLVMPCAPAT >itb05g26030.t1 pep chromosome:ASM357664v1:5:30134732:30136395:1 gene:itb05g26030 transcript:itb05g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKETDPLSQLSLPPGFRFYPTDEELLVQYLCKKVAGHDFSLRIIGEIDLYKFDPWVLPSKATFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGSKTNWIMHEYRLSDAPKKTGSSRLDEWVLCRIYKKHSSAEKAISGGVPSKEHSHGSPSSTSSQFDDMLVALPELDDQSFVLPAMNNLKQEEQVDLHELGPMSFDWNTISAAQPTLPDFSAGAVAGNQVSAAQVTGNGVNYQNDVFAHALAMNFQAPDDEVQSGLRSFFLPQSFSNSPDPFGIRYPTQSPSMGFRP >itb04g31470.t1 pep chromosome:ASM357664v1:4:34339094:34340164:1 gene:itb04g31470 transcript:itb04g31470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCDILDQIKPDLLIYDSFQPWAAEAAATRDIPAVFYAITGQPRMPSSTTSCINDQSTASLFRREIEGKYIDYISEKLGKTALPIGSLIRVQENKGRGKDSDEDDEIMRWLDGKEKHSTLYLSFGSEYYLSREEIQEIAKGLELISSANFIWVIRSPAGEETPLVEALPKGFLERVKGRGIIVEKWAPQIKILSHPSVGGFVMQCGWNSFLESIHFGVPIIAIPMHSEQFISARMAVELGIATEVMRDDDGRLYGEDIGKAVKSVVAEKAGEEMRAKVREVNAQMKMKGKQGIDNTAALLSELCLSKNSS >itb03g08810.t1 pep chromosome:ASM357664v1:3:6627876:6630541:-1 gene:itb03g08810 transcript:itb03g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRTSVEEDKHAVSLRRSPRFLPKNQQDLEHPVTPEIEPRAIRAPNADSTPLSSNRKISLNKKQREAPGRITEKSSGSVMSGDSSTGPRRSSRLISRFECSKEVPKKAKAITNGSVISSNSYPRSRRSSILISQVEDFTPLRRSKRIAENGSFEKRVTKDWIEVSSVEKGYRDIVLACPAIRTSNKTPNPPEIKSKARVNSSNKSVVKSEKRATRRSCLSQADEGKAKHGGRIGKPSTSKLEKIETFPAKPSSEVATEGGENPEESIDLGEKQRGVKRKRAQEEDGHVIAKGWTKEQELALQKAYFAAKATPKFWKKVAKMVPGKSAQECFDRIHLDHLTPPQPRPRSRAKKAGLLLSPPSASKLLQTADANAKKLRCSKLKSHFSQKAVREMLEKQYNNIDRDKEADVFSVLESRTDQSNEALQEAANVVTPEVKLERVSFLGRCSERSSSGHKDQRSRLSNLPGAALFSPPVLKPIKNKALHDRYIDQLHCREARRNAASLRTATHNHRNGNQDQQVDTIQAAKNALIFDARDAIHQFQNSQTNLSNILYDGEDDDCDYNDNDGVDED >itb03g03940.t1 pep chromosome:ASM357664v1:3:2389267:2391972:-1 gene:itb03g03940 transcript:itb03g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGNLSREQSVYMAKLAEQAERYEEMVKFMDSLVISSATSAFELSVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNDDHVVLVKDYRSKVESELSAVCAGILKLLDQYLIPSASTSESKVFYLKMKGDYYRYLAEFKIGNERKEAAEDTMLAYKAAQDIAAADLAPTHPIRLGLALNFSVFYYEILNASEKACGMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDQMDEA >itb14g05170.t2 pep chromosome:ASM357664v1:14:4563023:4567179:1 gene:itb14g05170 transcript:itb14g05170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHGALRFMKKLRAFLGIPASSATSGSRKIISNYLHCLKESKGRRPNRLVNRHVNKSWKKLVKKHAENVETSNVYMRDLVTKISNILRYSTWDSAQVQLENLSVKWDSYTVNQVLKTHPPMEKAWLFFNWAARLRGFKHDQYTYTTMLDIFGEARRISSMMFVFKRMQEKGVEIDVVTYTSLLHWLSNDGDVEGAVRVWYEMRANGCCPTVVSYTAYMKVLFDHNRVNEGADVYKEMLESGCYPNCHTYTVLMEHLADMGKFDKVEEIFCKMQEAGVKPDKATCNILIEKCCKANGTRTMMKILDYMKENFLVLRYSVYKEALETLNSLGESDVLLKQVNRHFSSDYCNEVQTYRSGSTDMDNHFNLEEKLVIYLLKKQNLIAIDYLIADLMGKCMRLDSGIISSVVEANSNCGRQGGALLAFDFSKKLGINIERTAYLTLIGELVRTNSYRRVVEIVEAMLGAGLTLGSQLSALLIHRLGCSNDPVSAEKVFCLLPYEEKGTVVYTGLISAYFSSANADKGLELFEKMKRQGMNVSLGTYYVLLNGLERNGRVGELECYRKEKKILEAEYHKKELTMEERLCDILFSGFGL >itb14g05170.t1 pep chromosome:ASM357664v1:14:4563023:4567179:1 gene:itb14g05170 transcript:itb14g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHGALRFMKKLRAFLGIPASSATSGSRKIISNYLHCLKESKGRRPNRLVNRHVNKSWKKLVKKHAENVETSNVYMRDLVTKISNILRYSTWDSAQVQLENLSVKWDSYTVNQVLKTHPPMEKAWLFFNWAARLRGFKHDQYTYTTMLDIFGEARRISSMMFVFKRMQEKGVEIDVVTYTSLLHWLSNDGDVEGAVRVWYEMRANGCCPTVVSYTAYMKVLFDHNRVNEGADVYKEMLESGCYPNCHTYTVLMEHLADMGKFDKVEEIFCKMQEAGVKPDKATCNILIEKCCKANGTRTMMKILDYMKENFLVLRYSVYKEALETLNSLGESDVLLKQVNRHFSSDYCNEVQTYRSGSTDMDNHFNLEEKLVIYLLKKQNLIAIDYLIADLMGKCMRLDSGIISSVVEANSNCGRQGGALLAFDFSKKLGINIERTAYLTLIGELVRTNSYRRVVEIVEAMLGAGLTLGSQLSALLIHRLGCSNDPVSAEKVFCLLPYEEKGTVVYTGLISAYFSSANADKGLELFEKMKRQGMNVSLGTYYVLLNGLERNGRVGELECYRKEKKILEAEYHKKELTMEERLCDILFSGFGL >itb13g13260.t1 pep chromosome:ASM357664v1:13:19774258:19774866:-1 gene:itb13g13260 transcript:itb13g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGLLRCILSITSEIYDIDDAQIAEQLCRSLMGQKYLIFLDDIWTTAAWDAIQGCIPENFNGSDILLTTRFTEVAEYLSTDPYRVAYQTLEDLWEIVSRKVFGQSQCVPREYELIGKRIVLVCSGLPLAVVLIAGILATAKESLEIWEDVAETLNRVDTCDYNNRISKVLSLSYYYLPSHLNACFHYFGVFLKTMPFLLRV >itb03g16610.t1 pep chromosome:ASM357664v1:3:15511563:15512027:1 gene:itb03g16610 transcript:itb03g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSPRVCGILSHHIVHSAQRIGLVNGDGTVVTASIEILDRERPPPTENNDVDFFPHHDISSSKPRSLSTEEIKKLKEERFENGVDESSIYPVCLEEFLVGAKISPLPCCHVFHHGCIASWLEKSASCPICRFDVGQSFDNNLIVSINVVNMF >itb07g06490.t1 pep chromosome:ASM357664v1:7:4624320:4640509:1 gene:itb07g06490 transcript:itb07g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKALSVVPAAVLRNISDKLYEKRKNAALELEGIVKQLVAAGDHDKINSVINLLIHEYAHSPQANHRKGGLIGLAAATVGLTTEASQHLEQIVPPVLDSFSDQDGRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDASVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAAAPDEFTRLTAVTWINEFVKLGGDQLVPYYADILGAILPCIADTEEKIRVVARETNDELGSVKADPAEGFDVGAILTVARRQLSSEFEATRIESLHWMTTLLTKHRMEVLVFLNDVFDTLLKALSDPSDEVVLLVLDVHACVAKDPQHFRQLVVFLVHNFRLDHSLLEKRGALIVRRLCVLLDAERVYRELSTILEGESDLDFASMMVQALNLILLTSSELSELRDLLKQSLVNIAGKDLFLSLYASWCHSPMAIMSLCFLAQTYQHASSVIQSLVEEDINVKFLVQLDKLVNLLETPTFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPAYSFSGDQLSRTSSGNPYFQSSYSRGGSQNSIDVNFSEDSNDMHNGINFSSRLQLFEHVQYMHRMHSKSRGSYSRSSSNSSTKNTYSVQELQRPEDSKQPVPTPDLNGPSSKSSRKGP >itb07g06490.t2 pep chromosome:ASM357664v1:7:4624320:4640509:1 gene:itb07g06490 transcript:itb07g06490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKALSVVPAAVLRNISDKLYEKRKNAALELEGIVKQLVAAGDHDKINSVINLLIHEYAHSPQANHRKGGLIGLAAATVGLTTEASQHLEQIVPPVLDSFSDQDGRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDASVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAAAPDEFTRLTAVTWINEFVKLGGDQLVPYYADILGAILPCIADTEEKIRVVARETNDELGSVKADPAEGFDVGAILTVARRQLSSEFEATRIESLHWMTTLLTKHRMEVLVFLNDVFDTLLKALSDPSDEVVLLVLDVHACVAKDPQHFRQLVVFLVHNFRLDHSLLEKRGALIVRRLCVLLDAERVYRELSTILEGESDLDFASMMVQALNLILLTSSELSELRDLLKQSLVNIAGKDLFLSLYASWCHSPMAIMSLCFLAQTYQHASSVIQSLVEEDINVKFLVQLDKLVNLLETPTFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPAYSFSGDQLSRTSSGNPYFQSSYSRGGSQNSIDVNFSEDSNDMHNGINFSSRLQLFEHVQYMHRMHSKSRGSYSRSSSNSSTKELQRPEDSKQPVPTPDLNGPSSKSSRKGP >itb04g16270.t1 pep chromosome:ASM357664v1:4:17707554:17708188:1 gene:itb04g16270 transcript:itb04g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPLNWHLMDDDSSDDSIGEEMMANMLLLTKKLLQKRQSSFQSRKKRRYFNSNHESSHDRLVTDYFKERTSQTFAKDSQLREDQIRLREYEIKMRGYEIQLREDDILMKDTSEMTEEQLAIHIKYCNDIRQRRQTAPPP >itb03g14540.t1 pep chromosome:ASM357664v1:3:14210390:14214092:-1 gene:itb03g14540 transcript:itb03g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILHIPCLEDNYSYMIIDESSKEAAVVDPVEPHKVFRVAQENGVDLKLVLTTHHHWDHAGGNDKLKQLVPEIKVYGGSTDNVQGCTDKVENGDKISLGANINILSLHTPCHTKGHISYYVTDKEGDEPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLASLPKPTRVYCGHEYTVKNLQFALTVEPDNAKIEQKLSWAQHKRKDGLPTVPSTIEEELETNPFMRVDNPEVQGKVGWKSAVEAMREIRQRKDNWKG >itb04g07330.t1 pep chromosome:ASM357664v1:4:4942273:4948587:1 gene:itb04g07330 transcript:itb04g07330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNALSHLRLLRSFCRKATPPPLLDAFKSSSRGDDDHTRLPGLVADEDQSESHSFSPQEFAFLKDSLQGKSTSDSVEDVAISIISVLRENGGLGDKSLRQFREKLNPDLVVRVLREIRNAELGVKFFMWAGRQIGYSHNVAVYDALVDLVVVSRPSSEMNAAVPEHFLHDIMDDDKEVLGKLLNVLVLKCCRNGLWNLALEELGRLKNFGYKPSRVTYNALIQVFLQAGKLDSATLIYREMSGLGFKMDVHTLNCFTRSLCKGGKRREALDLIEKEGLVADTVTYTSMISGLCEGSFFEEAMDFLNRMRSSSCVPNRITYETLLCACLNQRKLGRCKRILNMMILEGCCPSRKIFNSLVHAYCRSMDYSYAYKLLKKMDHCGCQPGYVVYNILIGGICGNEELPSKEMLELAEKIYGEMLDGGVVLNKVNVSNFVRCLCGFQKFEDAFHVIHEMMKKGFIPDVSTYSKVIGFLCDASKIDKAFMLFQEMKKNGLVPDVYTYTILIDSFCKAGLIQQARSWFDEMRSEGCMPNVVTYTALLHAYLKQKKISEANQLFELMLSEGCIPNVVTFTALIDGYCKAGHVEKACQIYAKMKGSVNTSEVDMYFKTDMDGTEKPNLVTYGALVDGLCKAHKVKDARDLLDVISAEGQEPNIHIYDALIDGFCKVGKIDEAQEVFSRMLDRGYDPSVFTYSSFLDRLFKDKHLDLAIKVLSKMLESSCAPNVVIYTEMIDGLCKVGKTDEAYKLMLMMEEKGCQPNVVTYTAMIDGFGKAGKVEKCLDLIQQMSCKGCAPNYITYVVTIKHCCSAGLLDEAVQLLEEMKQTYWPRHMESYHKIVEGFNREYLISLGLLEEMSNNSSISIFPVYRILIDSFLKAGRLEVAADLHKEISSSSSFSYRDKNIYASLIENLCLNLKVDKAFEVYADMIKKGEIPEMSIFVNLIKGLILANQWENALELSKSLCDMDICWLPADNKMDGKLEDAKCLCRCYLVSKRFASLIPNIHNVTFSVPDRDSHSNSYSNPSISDGERQKNFPKNVLNLLVNNLLTKPFHYLRQITAGPSLPSLSSSPAFDSVAFVSGVRFLKKFREIQRLEIRTPCFHAQDPTLLKWEAGLGKDSIFCVSLFSSSSYELPAENSVDEGNALNPEAFALTNELLRDRVEIAYQCFMDALWRRHVLRHIVVDHKMLEVAKFTDQKRNGTVCLRKEQVANLRDLRAAEAEDESGGDGGDGCMEEVFKEDEVLGEALRMIYESRRDDALAVTLDLNIPH >itb02g13420.t1 pep chromosome:ASM357664v1:2:9501208:9508236:-1 gene:itb02g13420 transcript:itb02g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G02850) UniProtKB/TrEMBL;Acc:A0A178UPY5] MGEEASYNYDRQLSDGRLQRYGARRSNAAGDSSSSSSFAPDLRCCRSVSASRLEEGGSTEPLHKSCNVGDRNTLALLFDINDKNNAPNADTKVESKNTMFFRLAHCDEELVEDAEIGEEIMVHVNTLQPNVVAANGDASMERELKTMKELHPVYRSAFQRSSAFYTSTPYLHLPASPPLIQQQTHSRSRAPSCDSILHSFISSQRIVVSGRSPSSTRPETSSSRALASFLASPASRLSPLCLAASPVTAYQSIGYCPSRPLVSSQDSGVLCGSVALSPSHRLCRTDTATPVTSRRLAALPVSRTQEHRLSSPLRTQPGSKMPQNVPHQILQSPARLGLPTPNSPSVQNPPSPKVSSQVSQSYPRQQANLSNTTSTSLTLLSLLPPLSRAQSLLIQMASLASRLFEVSPNRNQWVSAFRGALPSFLPSQLQASQQTPPDSCPSSTKEILSLFNSLQSQLFEAVAELQEILDLQDAKQRLSREIRSKDSAILAFAHKLKDAERVLDMLVDDYSDYRRPKRAKSEDNPKDSSLTTVATQLKLSDILSYAHRISYSTFAPPEFGAGTAPLRGALPPAPQEEQMRASQLYNFADHDVGLPKTDEGKEKIIEPLIEPPIAQPMEVNHLPNLSSIQGLFPSNIVVPSGWKPGMPVELPTDIPLPPPGWKPGDPVPLPPLDSLSLPPKVEEPPIRPLPPPGLPKMPETIQVRHVQLDIDDDSSDYSSEGASSESED >itb08g09990.t1 pep chromosome:ASM357664v1:8:9400884:9405812:1 gene:itb08g09990 transcript:itb08g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRSRLACCTREREISFDFDEQKITTYDGLESCIKQSQSYDDNERSTSRCDKSATDSLFDDDSSSTSSNTAFMSLSSQRTTVKKDSHGPETWEFSASPSPQHFCVKEKAASQSFEHSDMEAMKVKFSKLLLGEDMTGGSNGLSTALTLSNAISSLSASVFGELWKLEPLSEEKKRKWQQEMEWFLSPTNYMVELVPATQNGNNGSTFEIMTLKAHPDIQMNLPALQKLDTMLIETLDSMTGLCDAERKKLMDCGKLVNQMFKAAKAINENVLHEMPVPVLIKDALPKSAKVNLGEDLYKVLSVESASFDDMIDALNLRSEHSALETINRLEAAVLVWK >itb11g00160.t1 pep chromosome:ASM357664v1:11:76592:77606:-1 gene:itb11g00160 transcript:itb11g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEEETHILEIHLISAQGLKAPSANLRRMQTYALAWVDSSAKLRTSVDRFGGENPTWNDKFLFRISPDFISSETSGVTFEFYAVACIKDILVGSVRFLLSSCPTAVQASAGTPAFIAAQIQRPSGRFHGVLNIGAAVYKATKLDRAMMNGVPATSFRDLMGKKENLLRRNRRLSDIGSKRSSHRSSNGDSTNSSLSSSSSNSSSSCSSATDAGKKELGSDGGGGGLLCGLMMQRRFSFCPLDQNMMRIAEWADSLEKKQ >itb01g35030.t1 pep chromosome:ASM357664v1:1:37464245:37464867:-1 gene:itb01g35030 transcript:itb01g35030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKSNKLSQAAMIKQMVKRCSSIGKRQHGYEDVPKGHFVVYVGENRSRYVVPITFLTRPEFQRLLQRAEEEFGFDHDMGLTIPCDEHFFQSLMSMLR >itb06g19980.t1 pep chromosome:ASM357664v1:6:23120285:23123656:1 gene:itb06g19980 transcript:itb06g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVICDEHGVDPTGRYKGGDGGNAAVNDLQLERINVYFNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTVSAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQEATADDDEEYEGEVGDQDFE >itb15g11490.t1 pep chromosome:ASM357664v1:15:9302132:9304615:-1 gene:itb15g11490 transcript:itb15g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSHVVKFPSILENRCFGHMKPLLTLSVSSFSNHSSVLDNYHFLPPQTVSNGLLSLLQQFSKNIFWVTSIHAQIVVNSLSTDQFLATMLVKAYCELGSLDTACYVFDQFTKPEVFLCNAMMNAFLKWGQYCKVVELFRMMGRLDLRIDSCTCTFTLKACSALPDYETGMEIVRRGLDCGMQNDRFFGSSMLSFLVKFDHLDDAQRLFDKMESKDVVCWNSMMGGYVRTSQFNKVFQLFFVMRRCNIRPSTVTMVNLIQACEGMGCIRIGQCGHGLVVELGMGKDVTVLTSLINMYNKMGDLESSSLVFNRMPTRTLVSWNVMISGCVQNNLVHKSLEYFHELVCHGIAFDSGTLVSLLQGCSQVADLGGGKILHACILRRSLDSNDILSTALVDLYAKCGELDKANYVFTPMKGKNVITWTALLVGLAQNGKAEDALKLFHQMQEEKVVANSVTLVALVYCCAHLGSLKKGKSVHANLLRLGFCFDVVNMTALIDMYAKCGKLSLAERVFNTVSNSGDVILWNSMITGYGVHGFGHQALSMYDQMMRQRVTPNQTTFVALLAACSHSGLVEEGIDLFEKMKREHNMKPSEKHYACFVDLLSRAGRLKDAEAFIRKMPSEPGTAVLEALLNGCRYHKNIDIGLRTADKLLHLDSTNPGIYVLLSNIYAEVRRWDIVDYIRSIMRFRRLKKIPGYSSIEIHNRVHTFFAGDDSHPSWQEIHKFLEALKSEIEACGYVPDTSCVLRDVDEKMKVKLLWGHSERSAIAFGLLSTPAGSVIRLTKNLRVCNDCHTVTKYISQIAQREIIVRDVNRFHHFRNGKCSCGDYW >itb05g02990.t1 pep chromosome:ASM357664v1:5:2409888:2410640:1 gene:itb05g02990 transcript:itb05g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKVRGRRYGFLDWSAAFSVICWQLWKWRNSMVFKNETLHKNIKANKIRNYCMEIHKALDRNPIFTSQPGEQRSSILHWNKLESGWIAVNVDGSSRPSKKKAGCGGILRNHLGDWILGFQLNLGDCSMDIAEARAVLMGLKIAWQRACTNIIIQRDSKNVVKWINDPLFDATRGGTLNNIIFECKSWMKRSWNVKCCHVLREQNQVADWLARNQGADTNLVLLNKCPNAILDEFVRRDRIGSPVARGD >itb10g20200.t1 pep chromosome:ASM357664v1:10:25835030:25838417:1 gene:itb10g20200 transcript:itb10g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTCFGASSVRRNRTGNLAQQESFDARENSIARTRNFSYAELRAATNNFGQVNKIGRGGFGTVYKGTLKNGRRVAVKALSAQSKQGIREFLTEIETVSNARHTNLVELIGCCVHENNRILVYEYLENRSLDRVLFGQSGAVKLEWHTRAAICVGTARGLTYLHEEVEPHIVHRDIKASNILLDKDYTPKIGDFGLAKLFPDNITHISTQIAGTCGYLAPEYVLAGQLTMKADVYSFGVLILEVVSGRSSSSMNWGGQQKALLERAWNLYEEGNMLELVDSELEKFPKEEVLKYMKVALLCTQASAGRRPMMSQVVDMLTRDVQINEKELQPPSLFQSSDDDKPAVMLLKQRLTETSTSYLGNSVTLPITEVIPR >itb10g20200.t2 pep chromosome:ASM357664v1:10:25835030:25837568:1 gene:itb10g20200 transcript:itb10g20200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTCFGASSVRRNRTGNLAQQESFDARENSIARTRNFSYAELRAATNNFGQVNKIGRGGFGTVYKGTLKNGRRVAVKALSAQSKQGIREFLTEIETVSNARHTNLVELIGCCVHENNRILVYEYLENRSLDRVLFGQSGAVKLEWHTRAAICVGTARGLTYLHEEVEPHIVHRDIKASNILLDKDYTPKIGDFGLAKLFPDNITHISTQIAGTCGYLAPEYVLAGQLTMKADVYSFGVLILEVVSGRSSSSMNWGGQQKALLERVGKK >itb04g32700.t1 pep chromosome:ASM357664v1:4:35159520:35162214:-1 gene:itb04g32700 transcript:itb04g32700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLAKPAKLAHASSSLFAENKASSPSEKKGSNNSSQPTPNGSVANTLATPSCNPPALSNLKPFAFNDLKVATRNFRSDSLLGEGGFGYVFKGWVDENSFAPCRPGTGMVVAVKKLKPESFQGHREWVSEVNYLSELHHTNLVRLIGYCLESENRLLVYEFMPKGSLENHLFRKGVQLMPWSIRMRIAVDVARGLSFLHGLNANVIYRDLKASNILLDSDFNAKLSDFGLARDGPVGDKSHVSTRVVGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGKRALGDESVGGAEETLVDWARPSLNDSRRVVRIMDTRLGGQYSKKGAQAAAALAYKCLHIDPKARPPMADVLAALENLPVPKDASRATQKKLDHSHKTVRAPTNSR >itb09g26340.t1 pep chromosome:ASM357664v1:9:26894346:26896063:1 gene:itb09g26340 transcript:itb09g26340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAACLLVVVVAMAAGAAAQSATDVRATYNIYNPQDINWDYNTASVFCATWDADKPLEWRQKYGWTAFCGPVGPTGQGSCGRCLAVTNTATNDQVTVRIVDQCSNGGLDLDVNVFNQLDTNGQGVAQGYLIVNYDFVDCND >itb15g22740.t2 pep chromosome:ASM357664v1:15:25506136:25511056:1 gene:itb15g22740 transcript:itb15g22740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MLYLIIVSNQQIASAVGTFGRVLECWDRQTREYAAIKVVRSIKKYREAAMIEVKVLQRLSENDTGNSHCVQMRSWFDYRNHICIVFEKLGPSLYDLLKRNKYCPFPLDLVREFGRQLLESVTYMHGLRLIHTDLKPENILLVSSESIRLPSYKRSSVDMNNRCLPKSSAIKLIDFGSTVFDDKLHSSIVCTRHYRAPEIILGLGWTYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIQKANRGADKYFKRSRLNWPGGAVSRESIRAVNKLDRLKDLVSRHVEFSRSPLTDLLQGLLKYDPSERLTAEEALNHPFFSNAA >itb15g22740.t4 pep chromosome:ASM357664v1:15:25506136:25510972:1 gene:itb15g22740 transcript:itb15g22740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MLYLIIVSNQQIASAVGTFGRVLECWDRQTREYAAIKVVRSIKKYREAAMIEVKVLQRLSENDTGNSHCVQMRSWFDYRNHICIVFEKLGPSLYDLLKRNKYCPFPLDLVREFGRQLLESVTYMHGLRLIHTDLKPENILLVSSESIRLPSYKRSSVDMNNRCLPKSSAIKLIDFGSTVFDDKLHSSIVCTRHYRAPEIILGLGWTYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIQKANRGADKYFKRSRLNWPGGAVSRESIRAVNKLDRLKDLVSRHVEFSRSPLTDLLQGLLKYDPSERLTAEEALNHPFFSNAA >itb15g22740.t1 pep chromosome:ASM357664v1:15:25506136:25511056:1 gene:itb15g22740 transcript:itb15g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MVAIGPENTAAEEREQTRKRRRLTWDVGPLSPENQAAEAEEKDKKIQKVEPPSRLRYLSPPHRDDDRDGHYVFSLGENLTPRYKILSKMGEGTFGRVLECWDRQTREYAAIKVVRSIKKYREAAMIEVKVLQRLSENDTGNSHCVQMRSWFDYRNHICIVFEKLGPSLYDLLKRNKYCPFPLDLVREFGRQLLESVTYMHGLRLIHTDLKPENILLVSSESIRLPSYKRSSVDMNNRCLPKSSAIKLIDFGSTVFDDKLHSSIVCTRHYRAPEIILGLGWTYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIQKANRGADKYFKRSRLNWPGGAVSRESIRAVNKLDRLKDLVSRHVEFSRSPLTDLLQGLLKYDPSERLTAEEALNHPFFSNAA >itb15g22740.t3 pep chromosome:ASM357664v1:15:25506136:25510972:1 gene:itb15g22740 transcript:itb15g22740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MGEGTFGRVLECWDRQTREYAAIKVVRSIKKYREAAMIEVKVLQRLSENDTGNSHCVQMRSWFDYRNHICIVFEKLGPSLYDLLKRNKYCPFPLDLVREFGRQLLESVTYMHGLRLIHTDLKPENILLVSSESIRLPSYKRSSVDMNNRCLPKSSAIKLIDFGSTVFDDKLHSSIVCTRHYRAPEIILGLGWTYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMIQKANRGADKYFKRSRLNWPGGAVSRESIRAVNKLDRLKDLVSRHVEFSRSPLTDLLQGLLKYDPSERLTAEEALNHPFFSNAA >itb14g19070.t1 pep chromosome:ASM357664v1:14:21845921:21847894:-1 gene:itb14g19070 transcript:itb14g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKRTVKLFCPSLSKLVPVVAWEDQRLDLGSIARTFGIEPATLKLNGHFISRGVDLLSSSVTWKSLLSFFSARGFSTGASDSDALIVDGKLSKLRSKRGACSPVDNEIQSLKGFSDNTISPPESTKRIKDGTPGTFGCINQISPLNGLCLKRKFSLEGSSPVKKTKMNESNLDLTQRNRVFTTTRDRQLPCSFTSNEVKRMREEEMVVASPLKRLRN >itb15g08790.t1 pep chromosome:ASM357664v1:15:6207927:6213625:1 gene:itb15g08790 transcript:itb15g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTLTMNWEHVEDNDDDFFEPSERFSSAVPDDYFESSGSEDDYEDTRLSFSTAVCASAPRPSLSLRAPEAAAPPPFASARPRSASEDYDMWMAEPGDVKERRRRLLQGMGLLSNKSLQKIASAKKFAKVITKKAEAAVAKHPLIPKPEIPRPPSPRKNFTTPPPQAAAAAAAAAAASEPHSSPHPPGLVRSRSAGDIDSNKRKEELMGPISKQNLRRTLSCLATLNTDIGTIKHTIVKISRGNNNDDNNYRNDDKVRNRSTASSGILSDGDVDPSFVTKNVNSVNEENDDGQTRKEESMDEYDRSVGYSPVDKEVMRRSNDSTSNYYDRKQHMNNSYLTRSVRYSKRRGVAILKNLKNIKAHSKSGKITEKDRDLSLSGEPKPNKNSSQWIKVRQHGKPIKEFTGLHLCQEIQAHEGSIWSVKFSPDTHYLATAGEDKVIHLWEVQECDVAGRPYDDLSSTPVHPMASPPSSACAPELGEEKRNKIRALISRKKGNSAPDYVIVPETVFALSEKPVCSLIGHQDAVLDLSWSRSQFLLSSSMDKTVRLWDVETQNCLKMFAHNDYVTCIQFNPVDEDSFISGSLDSKVRIWNITDRKVVDWKDEKEMVTATCYTPDGKGAMIGSHRGGCRYYSTTDCKLEQQDQFDTQNKKKSQIKKVTGLQYSPWNHSEVLISTADSRIRIHDGSGFTHKFKGFRNTSSQMSASFSPDGKYVISASEDSEVYVWKKEEPKNASGKGRRVIINSYEHFACKDVSVAIPWPGSITNEPPVVELHTKRRFLPPQHPPVGSPRRLPPLPRRKDSGGEGDHHESESNDHADPGTDDPVKNDNHHHSASPSISSSPSRTWSSLLDGVINHGGNTIQATAWGLVIVTASLGGEIRVYQNFGLPLKASRLT >itb04g14710.t1 pep chromosome:ASM357664v1:4:15403750:15405909:-1 gene:itb04g14710 transcript:itb04g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASNGKVNDPFMKLHFFVNIFDILFLSFSEKPLEVFHIVVDAGNGARGFFTVQTAINGLRESASNRTDRLKQEMSTMHDSTSLVKDEWTMYMEKAELYYLGDTVSVENIKKEMEVVLQSCLQKAKMGAQQWNNAQRSLLNLEEVNVASVHEIVREVSIVKAFLFAVVLAVFSADAKAHKIGFAPAPSPDRKLSAAVRRCSPQALSFEFWVR >itb11g21760.t1 pep chromosome:ASM357664v1:11:23471664:23473993:-1 gene:itb11g21760 transcript:itb11g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCMVKQKLRKGLWSPEEDEKLYNYITNFGVGCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGMFSQEEEDLILSLHEVLGNRWAQIAAKLPGRTDNEIKNFWNSCLKKKLIKQGIDPNTHKPMAETQDDPKNPTLPTPLNELPTNFPTTPQMEPSKLPFITTKQIFDPLFLYEPQENLNFPSTYLNPTYAFTSLPGLMNFDTNGQITETDYFSDGSNSRMGSSNSSNNIGAAQMNNNMENGSRFSWEVGNRMESLFDQYGFSNNGEMIIKPEEEEEERQLIEAHCHDYTLTSLPQDLGGANLDVFHQL >itb07g00190.t1 pep chromosome:ASM357664v1:7:140665:144478:1 gene:itb07g00190 transcript:itb07g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKHRPSSAFNSPFWTTNSGAPVWNNNSSLTVGTRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINRAGKAHYVKFHWKPTCGVKCLLEEECIKVGGANHSHGTQDLYDSIAAGNYPEWKLFIQIMDPDHEDRFDFDPLDVTKTWPEDLLPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGVYYSDDKLLQTRIFSYSDTQRYRLGPNYLQLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRYDPCRHAEQHPIPPRILTGKRDKCIIEKENNFKQPGERYRSWSTDRQERFICRWVEALSDPRVTHEIRSIWISYWTQADKSLGQKLASRLNVRPTM >itb10g23610.t2 pep chromosome:ASM357664v1:10:27876609:27879413:1 gene:itb10g23610 transcript:itb10g23610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPNTSRRLMDPANIPFVGSLHPKSRPSFLSIGLVVVGALLIVGYLYHGSGGRSRLEDFNRLQGGVSCTLELLRAYPILKKAYGESINKVLHVGPDTCSVVSKLLNDEDTEVWGIEPYDLEDADANCKSLVGKGIVRAADIKFRLPYRAKSFSLVIVSDAVDYLSPKYINKTLPELARVAADGLVVFSGKYFSTLPFIFSITST >itb10g23610.t1 pep chromosome:ASM357664v1:10:27876578:27880284:1 gene:itb10g23610 transcript:itb10g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPNTSRRLMDPANIPFVGSLHPKSRPSFLSIGLVVVGALLIVGYLYHGSGGRSRLEDFNRLQGGVSCTLELLRAYPILKKAYGESINKVLHVGPDTCSVVSKLLNDEDTEVWGIEPYDLEDADANCKSLVGKGIVRAADIKFRLPYRAKSFSLVIVSDAVDYLSPKYINKTLPELARVAADGLVVFSGIPGQRRAKIAEMSKFGRPAKFRTPSWWIRFFVQANLEENEDASKKFDEAAAEQSYVPACQVFHLKPLH >itb06g20680.t2 pep chromosome:ASM357664v1:6:23559058:23561447:1 gene:itb06g20680 transcript:itb06g20680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKAIWRGYGNGRESKGSRQTEWKWKVGRGRSDVAPERLPLLLPELDEESPWEDLPSDLLFDIIKRVEASDTSWPSRRDVVSCAAVCRAWRETTKQIVRTPEQCGLLTFPKSLKQPGPRDSPIHCYIKRDRATSTFKLYLGLVPGGDHKLLLTAYKIRRIMCTEFLISLSSNDFSPYSDTCVGKLRSNFLGAKFALYDFQSPLNSGIKSNDWLHKRFMGMKVPVKAPAGNNNVAAISYDVNVLHNDGPRKMQCTLHSIPSSALEVGSATKPEGFGKCNDYRSCSSPHSAGRNQEFSSNCTTEPTEFIHTCSDPLTLRNISPKWHEQLQCWCLNFKGRATVASVKNFQLVAAVGESQNMPLAEPEKVILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFGTKLACD >itb06g20680.t1 pep chromosome:ASM357664v1:6:23558419:23561447:1 gene:itb06g20680 transcript:itb06g20680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKAIWRGYGNGRESKGSRQTEWKWKVGRGRSDVAPERLPLLLPELDEESPWEDLPSDLLFDIIKRVEASDTSWPSRRDVVSCAAVCRAWRETTKQIVRTPEQCGLLTFPKSLKQPGPRDSPIHCYIKRDRATSTFKLYLGLVPGGDHKLLLTAYKIRRIMCTEFLISLSSNDFSPYSDTCVGKLRSNFLGAKFALYDFQSPLNSGIKSNDWLHKRFMGMKVPVKAPAGNNNVAAISYDVNVLHNDGPRKMQCTLHSIPSSALEVGSATKPEGFGKCNDYRSCSSPHSAGRNQEFSSNCTTEPTEFIHTCSDPLTLRNISPKWHEQLQCWCLNFKGRATVASVKNFQLVAAVGESQNMPLAEPEKVILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFGTKLACD >itb05g10140.t8 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t5 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t3 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t10 pep chromosome:ASM357664v1:5:15032326:15047126:-1 gene:itb05g10140 transcript:itb05g10140.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t16 pep chromosome:ASM357664v1:5:15032422:15036362:-1 gene:itb05g10140 transcript:itb05g10140.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t1 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t2 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t4 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t12 pep chromosome:ASM357664v1:5:15032326:15047126:-1 gene:itb05g10140 transcript:itb05g10140.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t15 pep chromosome:ASM357664v1:5:15032326:15040032:-1 gene:itb05g10140 transcript:itb05g10140.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t11 pep chromosome:ASM357664v1:5:15032326:15047126:-1 gene:itb05g10140 transcript:itb05g10140.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t14 pep chromosome:ASM357664v1:5:15032326:15040032:-1 gene:itb05g10140 transcript:itb05g10140.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t13 pep chromosome:ASM357664v1:5:15032326:15040032:-1 gene:itb05g10140 transcript:itb05g10140.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t7 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t6 pep chromosome:ASM357664v1:5:15032326:15047422:-1 gene:itb05g10140 transcript:itb05g10140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFGTCVSELTADDYASTGSPKENPDAYQSTVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb05g10140.t9 pep chromosome:ASM357664v1:5:15032326:15047126:-1 gene:itb05g10140 transcript:itb05g10140.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPTTLEDQLILKAIKEECPWENLPKRLQATLNSTEEWHKRIIDHCLRRRLQWNSCFARKVCKEGEYYEEMMRYLRRNLALFPYHLAEYICRVMRVSPFRYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEEMATIDKICKEEVNSFILFDPEVVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVLSENSTVAELAATLQADLSQLQAASSFACRLGWAIKLLDPGSILQDTNTPGSPKSSINDEEDGSRASLSSGNMPTDGSGFMHGDIPWTENQCATSGYTRVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHDSIADLCMDLSTLEGAKFEGELQEFANHAFSLRCVLECLTSGGVKTDDGVKDGIPSCINPDATSLVVGTPSTTISGDFVGSVAGDNPLETTSEENDNFAGDSRSELTSQLEEKMVTNGSVDVGKGAMTQRRYRVDVLRCESLAALSPATLDRLFLHDYDIVVSMVPLPTSAVLPGSKGPLHFGPPSYSSMTPWMKLSLYSTMGSGPLSVVLMKGQCLRTLPAPLAGCEKALVWSWDGSSVGGLGGKSEGNLVKGSVLLHCLNSLLKYSAVLVQPLSRYDLDEGGRIVTLEIPLPLMNSDGSTANIGEEMGLHPEVIFNLNSLLTDLANKLNIWSIGYIRLIRLFKEKVAESFAHNAQYEWVPVSVEFGIPLFSPKLCSDICKRVVSSQLLQMDLFTEHHDAMQELRMRLRNVCDEYRATGPAARLLYQKEQSKEQSSRKLMTYASGRWNPLVDPSSPISGTMSEHQRLKLASRSRCRTEVLSFDGKILRSYALTPVYEAATRIVEESSSTSTVKIESDDAESKEVIHPGVNLLFDGFELLPFDIGACLQARQPAFLIAEASAASVNSAVK >itb02g10750.t1 pep chromosome:ASM357664v1:2:6989685:6994219:1 gene:itb02g10750 transcript:itb02g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPFGAVVGNGEVDGGSGGIVKGKRKCRDVAFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYDLDYKGNICNDRHAELDLRELELRYWLNPNVVFQSGLKGSHVQLANARSICLMDCPNPSEDSLFWVCDYPEGDIHLSVDDWIDRNYDYFEDLTPDLRNTSLLLQGPCYPVLFPTVNVYKSCQIITRASNVSLRHWKEMGGVDIIEEIVIDKYIHNSINSLSSVLKRYIADVAKSWPVLIVCGGILPVFLSIIWLLMIHHFVAAMPWITVILFNILVISVTMFYYLKAGWIGNDAISPIIGQHDPYYHVSAREVTHLHVAAIIMTAVMIIAFLSSIAIVRRILMATSVLKVAAKVIEVKALIIFPIIPYAVLAIFYTFWFSAAFHLFSSGRVVQNECSANCCAYDLKAKRVSCDGCCGYSIQQTPHIAAAILFHVFGCYWATQFFVACSSAIVAGSVASYYWSRGKTSPESPFVSVFSSTKELLRYSIGSVALGSLIVSLVESIRFILEALRRKLKASSSTSENWAGRMVFYTSQGCLKCISWIVKSVNRNAYVMIAITGKSFFKASETATELIIGNILQIGKVNVIGDVVLFLGKLCVSLASALFGFLMLDAHKYKSTHHKISSPLFPVLVCWSLGYVVASLFFAVVEMSIDTIILSFCQDSELHHGTAQYAHPLLIQTLNDQNEMQGLAE >itb05g17490.t1 pep chromosome:ASM357664v1:5:24417438:24418526:-1 gene:itb05g17490 transcript:itb05g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSAIGFEGYEKRLEISFFEAGIFSDPEGRGLRALSKEQLDKVLKPAECTIVSSLSNNEVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPILELADGISLKVKSVKYTRGSFNFPEVQPYPHRNFSEEVAILDSYFGKLGTGSKAYVMGGAGKQQQWHVYSASAESAENTSPICTLEMCMTGLDKKSASVFFKTQSSSAAVMTDASGIRKILPGSEICDFDFEPCGYSMNAVEGGAISTIHVTPEDGFSYASFEAMGYDFKDVNMDALIQRVLSCFQPTEFSVALHCDSIGEKLDSVFKLDVKGYACGERSYEGLDKGGSIMYCGFTSTGSCGSPRSTLLCCWSENEDEEGEKKHF >itb07g24060.t1 pep chromosome:ASM357664v1:7:28325329:28328623:-1 gene:itb07g24060 transcript:itb07g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEYCLDITEDESETFEDASETLEYENIQQPRISFGIDTGDHRYNGPSMYHLKKKKKEEEVVVEQKKKENMESQKEKLLRTRCKDDQRLSLTAAMTTEFEAKARRCYSSKFKTIHTDTFRKMMLTDAFFIIHTFLSYDRWCKNPGDPKLQGNPILTTPWRQGNICEDLLMLENQVPFFILVKVYAILTNEEESENCLKKLAMQFFKQVEFGRAGGDSVEVTLADNPKHLLDLFHRSFVVVVEDRTSSSSSRPVPIMETNYWVRRASALSSNGLSFIGTNKGNPLDIKVSDYIGRLRVPTLCINDRTVTVLKNLVAYEQGSPLTNPYFTTLAIFFFNIAPNAEEIKLLREADIINHQLEDDGAAALLLKQLYEASQNCFNACLIKRHLQLIEEYHISYIAWVKSSLMKMIGGAATKLVCQAVVFLIAIFFYDKFGRAWMMAFTLATQHKGT >itb08g00660.t1 pep chromosome:ASM357664v1:8:501785:502678:-1 gene:itb08g00660 transcript:itb08g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSAAGHSQLPPPFHTRDFNLQHFHSTEDEGNSGGAVGNKRRERDEGSNDNAESNDGFGIGGGGSGEGEITRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIADGCDIMESVSAFARRRQRGVCIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGALLASGPVLVMAASFSNAAYERLPLDEDESNALPIQGGGGMDSPGGVGGGGGQQQQPPPQQQQQILADPSMFHGMPPNLLNSIQLPNDQGFWATGRPPF >itb14g06720.t1 pep chromosome:ASM357664v1:14:5960531:5965388:1 gene:itb14g06720 transcript:itb14g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHRNVAHLLRRLRLHPKPPFHLSIFSFCSSAGREIMAEESGKSFARRDRLLEIESQVQKWWEEGDVFRAEPKDSRPLPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGENVLLPFGFHCTGMPIKASADKLSNEIEMFGNPPQFPVAGEEETGEQEVKAEGEGEGNQTAPGGKFKGKKSKAVAKTGAAKFQWEIMRSYGLSDEEIAKFTNPYHWLTFFPPLAVEDLKAFGLGCDWRRSFITTDMNPYFDSFVRWQVRKLKSLGKIVKDLRFAIYSPKDGQPCADHDRASGEGVIPQEYTLIKMEVVAPFPSKMGVLEGRKVYLAAATLRPETMYGQTNAWVLPDGKYGAYEINDTDVFVLTYRAARNLAYQRLSRKPEEPTCLVELTGQDLIGLPLRSPLAFNEVIYTLPMLSVLTEKGTGIVTSVPSDSPDDYMALHDLKSKSPDDYMALHDLKSKPAFRAKFGVKDEWVLPFEIVPIINHPDFGDKSAERICIEKKIKNKSAERICIEKKIKSQNERDKLDEAKKVIYKGGFYEGTMVVGEFSGMKVQDAKSLIRSKLLEMNQAVVYSEPEKKVISRSGDECVVALTDQWYITYGEPEWRNAAEECLSGMNLYSDESRHGFEHTLSWLNQWACSRNFGLGSRIPWDDQFLVESLSDSTLYMAYYTVCHHLQKGDMYGSDHSSVKPEQLTDEVWDFLFCGGPYPSSSDISSSLLEKMKREFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAILPKHLWPRGFRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKEIAWMQEVLAAESSLRSGVPSTYADQVFANDMNIAVKATEKNYGEYMFREALKTGFYDLQAARDEYRLSCGSGGMNRDLLWKFMDVQTRLIAPICPHYAEYVWKELLKKDAYCIKSGWPKAEAPNLTLKKANKYLQDSIVSMRKLLQKQVSGSKKGNVNVNAQNKPTVGLIYVNEQYDGWKMECLNILRTKFDLSSRTFSPDKEILAELQQSAIAKEGNFKQIQKLCMPFLRFKKDEVMAVGVQALDLRLPFGEIEVLETNSDLIKRQLGLERLEILSAADPTAIGRAGPHASLLKQNPPSPGNPTAIFINE >itb09g06420.t1 pep chromosome:ASM357664v1:9:3691225:3695735:-1 gene:itb09g06420 transcript:itb09g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFCFADLDAEVMALSAKSLLAMNRFVCEICSNGFKPEFEASPAQPQLSVDAEVEGWQQAQEMRYVVIMAAALHLATENGSNTSTPRSYRDDGAAASMQAQPSTPVRLMCSFGGKILPRPFDNQLRYVGGDTRIVALDRRATFSSLVAKLSKLSGLVNMCLKYQLPNEDLDALITVTSDEDVENMVEEYDRLQLAHAAQKSARLRIFLFANEGDHSAPASAITSILDGSAKSEQWFIDALNCEPVLERGRSEVSSVISDAPDYLFDNLDDRPREFRLKNKKVLHDNVSNSDPGSPAPVFPAPFCSTPSLTVPPTVTVMPALPPVKTKPDKPVPAVESKETPTGSVSEPVPQQQSGYPGSPFSQYSRPQVQPVPVYYVTAGPFQPGNPMPIGAPYIQPPQFAVRQGQVPVGFSHPVSSIAQVQDGVVGQPKALDNHEMSQRVATDGVNLPMFHGVRNAGIAPSYAGAVAAGGEEMHGRPILERKVVRNSQAT >itb06g24920.t1 pep chromosome:ASM357664v1:6:26269598:26270589:1 gene:itb06g24920 transcript:itb06g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPGAIPVALLIGLTGLLLVVLLIKQRHLFDCPSRVAEDETLLRRDEVAELELDKLLGGLLLPGFDERSCLSRYESVLYLKELKRKPSSYLISKLRSYEALHKRCGPYTESYNKTVELIESGERPFPDTGCKYVIWIPMNGLGNRIMSLTTVFLYAVVTNRTVLASPMDHTPELFCEPFPGTSLFLPEDFPVTGDEFMEFDQNSESCYGNIVRNNELIDMSNVWQLPTFVYNNLDHDKDRYDELFFQDEHQMFQQKIPWLIIRSDEYFIPSLFTMPSFQKVLGLSM >itb06g03650.t1 pep chromosome:ASM357664v1:6:6033948:6035006:-1 gene:itb06g03650 transcript:itb06g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFGGFNKSQAGSGETKADFKHRTLLDEFLELQKEFVAKKRKLKTAKQRRDMLMGEILFLRRRHRYLLKCQSCDMELAKDEGKALEGERYSSRYEAREEINNLKSRSNLNLRYDEVQGSNGESATKGKLRIEKTPNDYLIDDKRVGKKKLYRHGRVTVKV >itb12g01420.t1 pep chromosome:ASM357664v1:12:971436:973296:-1 gene:itb12g01420 transcript:itb12g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAEREAMEMEDSEERMQQLRSKATELLLTEEWEECTNVYSQFISLCNSHISKLHQNPSSDHLAKLQRSLCLALSNRAESHFRLRQFPQALRDCDEALKIDTTHLKSLLCKGKILLALNRYTAALDCFRAANLDVHDAENAEILNDYIQKCKNLEALSRTGGFDLSNWALNRFCEKVPDLAEYVGAVEIRKSEISGRGLFATKNVECGTLLLVTRAVAIERGIMPQSGNHEDAQLVIWKNFVDKVIELTSRCNRTWELISKLSVGENEDSYDVPELDLFRPEADDSLFSGKSLDMKRILGILDVNSLVEEAVSAKVLGKNSHYHGIGLWLLASFINHSCDPNVRRLHIGDYILVHASRDIKAGEELTFAYFDVLSPFSSREGWAKNWGFSCKCRRCEVECGLYSNRELQEIKVFLEKGLDMGEVVYRLEEGMRRWMVKGKAKGYLRASFWGAYSEVFESDKLRRKWGSKIPTMDTVVDSVVNSVGSDERIVKVVMRGLKRSSGHGGGGVLEMEKAMKLGRGLYGKIMKKQALKSLLQFDGLS >itb09g11760.t1 pep chromosome:ASM357664v1:9:7327019:7329588:-1 gene:itb09g11760 transcript:itb09g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDQNRPVIFKDIRRYYCEFCGICRSKKALISSHVLTHHQDELKAKGESDESKEEGPKMHTCEECGASFRKPAHLKQHMQSHSLERPFTCPVDDCKSSFRRKDHLTRHLLQHQGKLFECTVECCNRKFSVQSNMKRHVKEMHDQCDSSHIHSPKQYMCSEAGCGKVFKYASKLKAHEDSHVKLETVEAICTEPGCLKYFTNQQCLKEHIQSCHQHIVCEICGTKQLKKNMKRHLRMHEAQPSSERIKCDFEGCEHTFATKSNLNQHVKACHLELKPFACSISGCGMRFSFKHVRDNHEKSGCHLYTQGDFEESDEQFRSRERGGRKRKLPVFETLMRKRIVPPRDTDPIIEQGADYLSWLLSAGSEDES >itb08g13430.t1 pep chromosome:ASM357664v1:8:14384962:14387735:-1 gene:itb08g13430 transcript:itb08g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVTNINISAGDLQKKNRIQVSSTKKPLFFYVNLAKRYMQQYSEVELSALGMAIATVVSVAEILKNNGLAVEKKIMTSTVDVKDDSRGRPISKAKIEIVLGKSEKFDEIMAAAASEKDRGDGEVQS >itb07g15600.t4 pep chromosome:ASM357664v1:7:18643612:18648087:1 gene:itb07g15600 transcript:itb07g15600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGKDEAMGAKRGDDAMSFQSANVSSEWQMNGSNLANTPIGMIPSSNPMMVDAFCLNVWDQSASSASLGFCDANNVHSNVSTSSPFGAGTSGLTALRGSVDRGVGMAWHPANTVLKTGMLLPTAPAVVPPNLPQFPADSDFLQRAARFSCFSGGNLGDMMNPFESLSPYCRGLTPTQRPQQVFVGNGLKPAPAGEISNGAADGSPLNNDSVIEYAVGSRNSAKEGGGAFGNEPNEPECSSRGGLDVSEGAGGESSASKKRKRSGQDAETDQNKGTPPPAEAATDQTDNQQKGDQNVTATPSKPGGKGGKQGSQASDNPKEDYIHIRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIDGLLAKDILQSRAGPSSSLLSFPHDMTMPYPPVHHPPSMLIQAGLPSLGSSADAIRRTINSHLATASGSFKEPTPQVPSMWDDELHNVVQMGFNPSAPLDSQDIGTISHQMLPRLYPFTPSNEL >itb07g15600.t2 pep chromosome:ASM357664v1:7:18643612:18648087:1 gene:itb07g15600 transcript:itb07g15600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGKDEAMGAKRGDDAMSFQSANVSSEWQMNGSNLANTPIGMIPSSNPMMVDAFCLNVWDQSASSASLGFCDANNVHSNVSTSSPFGAGTSGLTALRGSVDRGVGMAWHPANTVLKTGMLLPTAPAVVPPNLPQFPADSDFLQRAARFSCFSGGNLGDMMNPFESLSPYCRGLTPTQRPQQVFVGNGLKPAPAGEISNGAADGSPLNNDSVIEYAVGSRNSAKEGGGAFGNEPNEPECSSRGGLDVSEGAGGESSASKKRKRSGQDAETDQNKGTPPPAEAATDQTDNQQKGDQNVTATPSKPGGKGGKQGSQASDNPKEDYIHIRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIDGLLAKDILQSRAGPSSSLLSFPHDMTMPYPPVHHPPSMLIQAGLPSLGSSADAIRRTINSHLATASGSFKEPTPQVPSMWDDELHNVVQMGFNPSAPLDSQDIGSLPPGHMKSEP >itb07g15600.t5 pep chromosome:ASM357664v1:7:18643962:18648087:1 gene:itb07g15600 transcript:itb07g15600.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGKDEAMGAKRGDDAMSFQSANVSSEWQMNGSNLANTPIGMIPSSNPMMVDAFCLNVWDQSASSASLGFCDANNVHSNVSTSSPFGAGTSGLTALRGSVDRGVGMAWHPANTVLKTGMLLPTAPAVVPPNLPQFPADSDFLQRAARFSCFSGGNLGDMMNPFESLSPYCRGLTPTQRPQQVFVGNGLKPAPAGEISNGAADGSPLNNDSVIEYAVGSRNSAKEGGGAFGNEPNEPECSSRGGLDVSEGAGGESSASKKRKRSGQDAETDQNKGTPPPAEAATDQTDNQQKGDQNVTATPSKPGGKGGKQGSQASDNPKEDYIHIRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIDGLLAKDILQSRAGPSSSLLSFPHDMTMPYPPVHHPPSMLIQAGLPSLGSSADAIRRTINSHLATASGSFKEPTPQVPSMWDDELHNVVQMGFNPSAPLDSQDIGSLPPGHMKSEP >itb07g15600.t6 pep chromosome:ASM357664v1:7:18643659:18648087:1 gene:itb07g15600 transcript:itb07g15600.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGKDEAMGAKRGDDAMSFQSANVSSEWQMNGSNLANTPIGMIPSSNPMMVDAFCLNVWDQSASSASLGFCDANNVHSNVSTSSPFGAGTSGLTALRGSVDRGVGMAWHPANTVLKTGMLLPTAPAVVPPNLPQFPADSDFLQRAARFSCFSGGNLGDMMNPFESLSPYCRGLTPTQRPQQVFVGNGLKPAPAGEISNGAADGSPLNNDSVIEYAVGSRNSAKEGGGAFGNEPNEPECSSRGGLDVSEGAGGESSASKKRKRSGQDAETDQNKGTPPPAEAATDQTDNQQKGDQNVTATPSKPGGKGGKQGSQASDNPKEDYIHIRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIDGLLAKDILQSRAGPSSSLLSFPHDMTMPYPPVHHPPSMLIQAGLPSLGSSADAIRRTINSHLATASGSFKEPTPQVPSMWDDELHNVVQMGFNPSAPLDSQDIGSLPPGHMKSEP >itb07g15600.t1 pep chromosome:ASM357664v1:7:18643612:18648087:1 gene:itb07g15600 transcript:itb07g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGKDEAMGAKRGDDAMSFQSANVSSEWQMNGSNLANTPIGMIPSSNPMMVDAFCLNVWDQSASSASLGFCDANNVHSNVSTSSPFGAGTSGLTALRGSVDRGVGMAWHPANTVLKTGMLLPTAPAVVPPNLPQFPADSDFLQRAARFSCFSGGNLGDMMNPFESLSPYCRGLTPTQRPQQVFVGNGLKPAPAGEISNGAADGSPLNNDSVIEYAVGSRNSAKEGGGAFGNEPNEPECSSRGGLDVSEGAGGESSASKKRKRSGQDAETDQNKGTPPPAEAATDQTDNQQKGDQNVTATPSKPGGKGGKQGSQASDNPKEDYIHIRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIDGLLAKDILQSRAGPSSSLLSFPHDMTMPYPPVHHPPSMLIQAGLPSLGSSADAIRRTINSHLATASGSFKEPTPQVPSMWDDELHNVVQMGFNPSAPLDSQDIGSLPPGHMKSEP >itb07g15600.t3 pep chromosome:ASM357664v1:7:18643612:18648087:1 gene:itb07g15600 transcript:itb07g15600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGKDEAMGAKRGDDAMSFQSANVSSEWQMNGSNLANTPIGMIPSSNPMMVDAFCLNVWDQSASSASLGFCDANNVHSNVSTSSPFGAGTSGLTALRGSVDRGVGMAWHPANTVLKTGMLLPTAPAVVPPNLPQFPADSDFLQRAARFSCFSGGNLGDMMNPFESLSPYCRGLTPTQRPQQVFVGNGLKPAPAGEISNGAADGSPLNNDSVIEYAVGSRNSAKEGGGAFGNEPNEPECSSRGGLDVSEGAGGESSASKKRKRSGQDAETDQNKGTPPPAEAATDQTDNQQKGDQNVTATPSKPGGKGGKQGSQASDNPKEDYIHIRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLEFNIDGLLAKDILQSRAGPSSSLLSFPHDMTMPYPPVHHPPSMLIQAGLPSLGSSADAIRRTINSHLATASGSFKEPTPQVPSMWDDELHNVVQMGFNPSAPLDSQDIGTISHQMLPRLYPFTPSNEL >itb03g23250.t1 pep chromosome:ASM357664v1:3:21402050:21404370:-1 gene:itb03g23250 transcript:itb03g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPQLVLLLVFAVVLLIISLNSYVTALNLGVQAADGLSLSTECSRTCESKFCGVAPFLRYGKYCGILYSGCPGEKPCDALDACCMQHDDCIGQNGNNYLNEECNKNLLGCATRVKKSKAPTFKGNTCNVDEVTDVIIDVAKAAIIAGKIVKSP >itb09g02900.t1 pep chromosome:ASM357664v1:9:1646790:1650063:-1 gene:itb09g02900 transcript:itb09g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVIMAASILVFLLLFMAPTFSNSEEIETLPGFPGKLPFKLQTGYIGVGEFEQVQLFYYFIESERSPENDPLFLWLTGGPGCSALSRLLYEIGPFTINYANSTTGDIPSLELNPYAWTKVANIIFLDQPVGTGFSYSKTWEASISTDTLSAQQTYSFLIQWLLDHPKFLSNPLYITGDSYSGIIIPLIVHKIYDGLESGIEPRLNMKGYVVGNPMTADTYANENNQVIFAYRMGLLSHNLYQSTKESCNGNYNIDEHPQNPACQYDLQRVSKCVEKINKAQILEPICSNENLLLSLDGRSIGEDLPQQWCRENNYLYSITWANNKAVQKALHVREGTITTWDRCNDQISYIYNVQSTVDYHRSFTNKSYRVLIYSGDHDMGIPHIGTEEWIESLKVGVVDEWRPWFVEDQVAGYTKKYSQNEYELTYATVKGAGHTAPEYKPRECLSMLQRWLSYYPL >itb15g22490.t1 pep chromosome:ASM357664v1:15:25181871:25185291:-1 gene:itb15g22490 transcript:itb15g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVGIDLMKLALYKAAVEDTPENYYKVLGQQSMAVEDDGLQVMPNGNTVLHVAALHGRQHFVENILQGKDNNHAAVLSLLFAQNKKNESALHCAAENGHANIVSILISATKEYMDVESGVGLREMVQMTDNVMDMALHKAVRMGHLEVVEKLLVEEDDEFVYPPNNAGETPIYIAARLGFRDCLQQLLNSCKNPTYNGPLGRNALHATASLVSSQGCTELILKKEISLCDMTDDSGWTPLHYAIKNQYNEVARMILAEKYCSAYHHAGKDDMWTTVFHIAARYGNVEMMEYISNSCFPDCWMVLNSKSQNILHEAILGHRLNVIEYVLKYSQADNLIEGKDENGNTPLALLSICSCNPLKRVAMQGVMWKHLVFNKQHQTPFVMASQNRKWAFSKYFFGWKLLRRGGKIGCQSGLTENKIERKECVGTEKDIDFMVETGRTNIIAASLILTTTFTAGLTAPGGYNSSTGKTQGTSILLHCFYFQTFVIFDFIAFSCSIMSIFLHIMMIAEASSTKNFKFVKKYFALSQFLVVYAALFAIGAFMFGMAATLAPLHSLTTVVQYLGVCSAIGFGARRVQFDPEPWVKSHARPRPASPGTRSQDQAQLRVLTSIKILAFVSLGHLRSCSFVLFGL >itb05g00350.t1 pep chromosome:ASM357664v1:5:269683:271444:1 gene:itb05g00350 transcript:itb05g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSSEETENNNNNNEDRSKEVGDNVQHLTKIIESRIAKYDNGNLSSGESRTKIGKMTEEESFFYEAVTRLSKLTEFPSASSSSNQQTSSVLQRAMVFLEEEFRIILEDSVQDDVAAVKRDDGGALEESPPPEEEYQPYPPDVVTRMNRIANVMLSAGYETECCQVYSICRRNDFSKQMKKLEHEKIHTEDIQKTNWDSLEEEITKWVRVAKICSKILFPAERDLAEAVFSDHPMISRSLFSNLARAVVIQLLDFADAVAKTKRAAEKLFKFLHMYETIRDLIPAISDGGCSDDSEHEIKSEILAVGDRIGEASLNIFCDLENSIKNDIAARNPVPGGAVHPLTRYVINYLKYACDFKTTLEQIFHKHANPNSVRNGETSDTTARPTSLFSAQVQNIMDLLDANLEAKSKLYKDPALRHVFLMNNGRYILQKIKGSPEIHQVMGDKSYRKRSTVVRQFHKNYQRETWSKVLQILSHEGLQHNGKVAIPALKERFKTFSNMMDEIHKSQSTWVVNDEQLQSELRVSISAVVIPAYRSFVARFKHHLDGTKHVEKYVKYQPDDIETLIEGLFDGNHTSMSRIRKN >itb03g06820.t3 pep chromosome:ASM357664v1:3:4937869:4941582:1 gene:itb03g06820 transcript:itb03g06820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEANSSNFPLPEAFLEFLNQNGIDPSIYAASDSIPRYIRLKPGYETYKEEIEADVGCKLEKVSWLPDFYYLPPDVRIASSKAYQEGKIYGIDAASGAAVLALDISVGDHVLDLCAAPGAKLCMMLDILGSSGSVTGVDVAKHRLAACRSMLLKYALGDHCRLFVADGTTFSLPPVSANLVSESRNESEEVYKEWKSWRPWKERKREAIAREKGLSKVFSSTQEPELIFYGTNSGVVGLTKNKLYQRAHCGYVFEFGYDKVLVDAECTHDGSIKHIQKFEQWGWTTFQRRVLDAERTDDLAILQLQLLTNGFKLLKVGGFLVYSTCSLTDAQNEDVVDKFLSENSSAELLEIDAARNWPCKSGRIPKTLRFDPLTSCTSGLFVAKFTKLAI >itb03g06820.t2 pep chromosome:ASM357664v1:3:4937848:4941585:1 gene:itb03g06820 transcript:itb03g06820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEANSSNFPLPEAFLEFLNQNGIDPSIYAASDSIPRYIRLKPGYETYKEEIEADVGCKLEKVSWLPDFYYLPPDVRIASSKAYQEGKIYGIDAASGAAVLALDISVGDHVLDLCAAPGAKLCMMLDILGSSGSVTGVDVAKHRLAACRSMLLKYALGDHCRLFVADGTTFSLPPVSANLVSESRNESEEVYKEWKSWRPWKERKREAIAREKGLSKVFSSTQEPELIFYGTNSGVVGLTKNKLYQRAHCGYVFEFGYDKVLVDAECTHDGSIKHIQKFEQWGWTTFQRRVLDAERTDDLAILQLQLLTNGFKLLKVGGFLVYSTCSLTDAQNEDVVDKFLSENSSAGTFVILIILA >itb03g06820.t1 pep chromosome:ASM357664v1:3:4937848:4941585:1 gene:itb03g06820 transcript:itb03g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEANSSNFPLPEAFLEFLNQNGIDPSIYAASDSIPRYIRLKPGYETYKEEIEADVGCKLEKVSWLPDFYYLPPDVRIASSKAYQEGKIYGIDAASGAAVLALDISVGDHVLDLCAAPGAKLCMMLDILGSSGSVTGVDVAKHRLAACRSMLLKYALGDHCRLFVADGTTFSLPPVSANLVSESRNESEEVYKEWKSWRPWKERKREAIAREKGLSKVFSSTQEPELIFYGTNSGVVGLTKNKLYQRAHCGYVFEFGYDKSVLMMVQSNISKNLSSGAGRLSSAAYWMQRELMTWPSFSFNF >itb09g06290.t1 pep chromosome:ASM357664v1:9:3610634:3614037:-1 gene:itb09g06290 transcript:itb09g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMTTIFFFFFFLLVSLFYQSVSVDTLAPNQSLLDNETLVSPGQSFVLGFFSPLGSENRYVGIWFKNVAQQTVIWVANNNNPISNSSGVLRVTARGEITIGLVNQTEIIWSSNSSSAAASNPVLQLLENGNLVVREGDNGDNYLWQSFDYPRDTLVPGMKLGWNLRTRKEWFITSWKSLQDPSTGDSSYTYRLDIRGLPTLILRQGSNIQYRSGPWDGLHFGRYAISTPNSQVVVPIMVYDDENLYYTYKNGETSVISRFVVNQTGMIKLYLWSQDQTRWTDIATIQSDTCDTYKYCGSNSLCNINDQPLCQCLDGFEPRNPQEWERFQWSEGCRRKVPLNCSEPHGFMTVSGMKLPEGSQVLGEKTMSVADCRTYCLRNCSCIAYASTAANGCVVWYGDLLDMRTYYDDGQELYVRRLASDLVGSSKKGHKTAVIASVSVISGLFLLALISWYGFHGVLPTGQEIAVKRQAKDSGQGLVEFKNEVILIAKLQHRNLVRLLGCCIHRDEKLLVYEYMPNKSLDLFIFDQTKRGMLDWRKRFSIIEGIARGLLYLHRDSRLRIIHRDLKVSNILLDNEMNPKISDFGLARTFGGGDQHQESTKRIMGTYGYMSPEYAMNGLFSVKSDVFSFGVLVLEIISGQKNRTFHHPDHDLSLLGHAWKLFNEGKAMEVVDVCLESPDPAAQVLKCIHVGLLCVQERPEDRPTMSTTLFMLESESPVLPNPKQPGFHSERHVAEAYYSSSTGKMSHVSNDATVTLLHGR >itb12g20670.t1 pep chromosome:ASM357664v1:12:23125216:23127523:1 gene:itb12g20670 transcript:itb12g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKPKVVIIGAGMAGLTAANKLYTAAGSKEVFELCVLEAGNRIGGRINTSEFGGDRIEMGATWIHGIGGSPLYRIAQEINSLNSEQPWECMDGSLEEPVTIAEGGYELSSSMVEPIYTLFTNLMNFAQGKAMEADKSSNGWCIKNGGHESLSIGSFLRKGLAAYWESRKEEDEVRFGGWTKKSLEEAIFAMFENTQRTYTSADDLQNLDFNAESEYKMFPGEEISIAKGYLSIIESLASVLPSGLIQLGRKVARIGWSPENGHAATRPPVKLHFVDGSIMYADHVIITVSLGVLKQGIRGGEDPGLFDPPLPTFKAQAISRLGFGVVNKLFLQIGQNPNPNPTKFPNLQMAFHQSDPKRQPWWMRRIATLRPIYTNSSVILLWFAGKEALHLESLSDEEIIDGVSTTISNFLPNPQPCKTGHTDSTAETSNSFKFVKVLKTKWGTDPLFLGSYSYVAVGSSGDDLDTMAEPLPAKGNNNPLQILFAGEATHRTHYSTTHGAYFSGIREANRLLQHYCIGV >itb01g31760.t1 pep chromosome:ASM357664v1:1:35404626:35407404:1 gene:itb01g31760 transcript:itb01g31760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAHPNTAVTLTPIPLPPSPIAHSSPLTPRIVSLCLAAFAVLRLDVSGSPPSASPALQPWRSHRLSVLQPLRFRLSTLGFSDSMPSMLSCSKVLVERPSQHLVGEGSGIPKSFLPSL >itb01g31760.t2 pep chromosome:ASM357664v1:1:35404626:35407404:1 gene:itb01g31760 transcript:itb01g31760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAHPNTAVTLTPIPLPPSPIAHSSPLTPRIVSLCLAAFAVLRLDVSGSPPSASPALQPWRSHRLSVLQPLRFRLSTLGFSDSMPSMLSCSKVLVERPSQHLVGEGSGIPKSFLPSL >itb05g24910.t1 pep chromosome:ASM357664v1:5:29572096:29572683:-1 gene:itb05g24910 transcript:itb05g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRSFTTRRSPFAYEQLSDETPLIEIDHPKLVRTKTVPAGKHVFSSPSSKNKVSSELHSSFQAAAAAAKSQAKQVHKASKVHPLFSLFDGRKKSRKVTARPEFSRYLEYVKEGGYGGIRDMASKTNISVL >itb02g18180.t1 pep chromosome:ASM357664v1:2:14630182:14632247:-1 gene:itb02g18180 transcript:itb02g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVSKAAVAHCQAIKSAQIRCTYTANNLINAYTRCRDFAAALKLFDEMPNRDTASWNTVIAGYVNSRNFQSAWDFLKSMKQHGFLFDGYTFGSILKGAATNGFLSFGQLVHSDIVKMGYDANVYSASALVDMYTKCGRMEDANKVFCHMPERNTVSWNALIAGYAEIGDCRSCVRLLEEMERECVLLDDGTFCPLLTLLDDQEFFGLAMQLHAKILKCGLDFENMVCNALISAYSNCGSIEGAEKVFDGSDSCRDLITWNSMLAAYIEYDQGMEAFRLFLDMERLGLEPDIYTFTSIISACYEITEKRQGKCLHALVIKRGLENVTSIANALISMYLKSNGGCMGDAMSVFEFLDVKDSISWNTILTGLSQNALSENSFKIFEEMRSQQLEMDHYAFAAVLRSCSDLASFRLGQQTHALTLKTGVNVYEYVTSALIFMYSKCGHIEDAWKSFEESPKETSISWNSIMFAHAQHGLGEVALDLFSSMTKRKVNPDHVTFVAVLTACSHIGLVDEGRRFLNSMEADYGIPPRMEHYACAIDLFGRAGHLEEAKELIRGMPFQPDSMVWKTLLGACRMCGDLELAGEVAHHLLELEPGEHCTYVLLTDMFGKLKLWNEIAGVKRLMRERGVKKIPGLSWIEVKNEVHAFNAEDHSHPQCVQIYELLTTLMYEIKLFENGNEFGWCIEMC >itb05g06390.t1 pep chromosome:ASM357664v1:5:6848721:6849816:-1 gene:itb05g06390 transcript:itb05g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSLYKPIQKPKFEHNFQKSEYTMFLHTLFILSLLLGSSHALDFCVADLSLPPGPAGYPCKKSASVTVNDFVFSGLAAAGNTTNIIKAAVTPGFDAQFPGVNGLGLSMARLDMAVDGVIPLHTHPGGSEILVVTQGTICAGFISSFENTVYVKQLNKGDVMVFPQGLLHFQINSGDGVATAFVTFSSPNPGLQITTFALFKNDLPTETVNAVTFLDVAQIKKLKGVLGGTN >itb03g11540.t2 pep chromosome:ASM357664v1:3:9745657:9750557:-1 gene:itb03g11540 transcript:itb03g11540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERESKEQNGGPPCGQVRVLLVGDSGVGKTSLVHLIMKGSSIARPPQTIGCSVSVKHITFGNSGSSSSGTQNDSERDFFVELWDICGHDRYKDCRSLFYSQINGVVFIYDLSQRRTKSSLSKWAAEIAATGTFSAPLASGGPGGLPVPYIVIGNKADIASKEGTRGSSGNLVDVARQWVEKQGFLPSSEELPLTESFPGNGGIFAAAKEARYDKEALQKFFRMLIRRRYYSDDLPGSPWTTSVHKPLQRSEISSDEDNSYKSSSSSFIADPYKYNALPPLPAQRNLTPPPTLYPQQPMLTPDNYSIPRFALTSSQEISSTRSKRTDINV >itb03g11540.t1 pep chromosome:ASM357664v1:3:9745478:9750562:-1 gene:itb03g11540 transcript:itb03g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERESKEQNGGPPCGQVRVLLVGDSGVGKTSLVHLIMKGSSIARPPQTIGCSVSVKHITFGNSGSSSSGTQNDSERDFFVELWDICGHDRYKDCRSLFYSQINGVVFIYDLSQRRTKSSLSKWAAEIAATGTFSAPLASGGPGGLPVPYIVIGNKADIASKEGTRGSSGNLVDVARQWVEKQGFLPSSEELPLTESFPGNGGIFAAAKEARYDKEALQKFFRMLIRRRYYSDDLPGSPWTTSVHKPLQRSEISSDEDNSYKSSSSSFIADPYKYNALPPLPAQRNLTPPPTLYPQQPMLTPDNYSIPRFALTSSQEISSTRSKRTDINV >itb10g22370.t1 pep chromosome:ASM357664v1:10:27233438:27237526:1 gene:itb10g22370 transcript:itb10g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELGVNKGSEAVSEASALKESGAASKELEVNDIKCESNCEDYSVLAEQRDKVYGAELEEVDILKVTNSGCKELDESECQFVTESSSSFDSSTSATENDAAMSDAEIVSGFNGHYASGEPHSGLGNEFRKRKKLVTSHWRRFVQPLSWRIKWIELQVMQLQFQARKYDKELAGYNHQKFFQLESVRTESFGSKSLPFSNNRPRSKVLKRKKRKRVEDSEDTAVYMSRHNLFSYYERKKPFADGTSLDDDCGPTAIPIEKENGNNGYGANDEHQWFGSRDEHNCSEQILRKIGVLQSQVGQLRSRVDKFFSKSFISKEWGQNGCRVFSSISAYDCTSRCHFNSRRWYKHP >itb10g22370.t2 pep chromosome:ASM357664v1:10:27233438:27237526:1 gene:itb10g22370 transcript:itb10g22370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELGVNKGSEAVSEASALKESGAASKELEVNDIKCESNCEDYSVLAEQRDKVYGAELEEVDILKVTNSGCKELDESECQFVTESSSSFDSSTSATENDAAMSDAEIVSGFNGHYASGEPHSGLGNEFRKRKKLVTSHWRRFVQPLSWRIKWIELQVMQLQFQARKYDKELAGYNHQKFFQLESVRTESFGSKSLPFSNNRPRSKVLKRKKRKRVEDSEDTAVYMSRHNLFSYYERKKPFADGTSLDDDCGPTAIPIEKENGNNGYGANDEHQWFGSRDEHNCSEQILRKIGVLQSQVGQLRSRVDKVLSENAGKFSSTENLNLFLPCNALSSSSRNPSSPKSGGKMAVGSSLASQLMIAPQGAISTRGDGTSIPDVIESTSQSLIGGSISNGEGDILIDNKRMSNLEEVMIHQVEKSCVPEEGPREALPPAVGEPELPVEDQPAPKIRSLSKLSSSKIKKKTRRKARRWSRRSST >itb05g27250.t1 pep chromosome:ASM357664v1:5:30719779:30721016:-1 gene:itb05g27250 transcript:itb05g27250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAILKNSHRLHDFATELLKYNGGTFELKGPTFANLNMLVTCDPANIDHIHNKNFLNYPKGPRFQKIFEIFGDGILNTDSELWKLHRKTTNALMNRLEFRSLLEKTASETVEFGLFPVLDRYAQQGAYFDLQEIFKRLTFDISCQVFLDKNPGSLSGDHPFRKAISDTVNAIFYRHILPEKCWKLQKWVFGIDREKNLSNAGDTFDKFIYPILSERQNNQIPDKGSTFTMMSSLLKAYQEKPKRFLRDTFMTLTISGGGSTSSALTWFFWLLAKNPLVEAKILEEIKLKYEKQNHVFKVEECCNLVYLHAAFCESLRLFPPIPMNHKMALETDILPSGHIVQTHES >itb02g02750.t1 pep chromosome:ASM357664v1:2:1606116:1612500:1 gene:itb02g02750 transcript:itb02g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAFGGPLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDIPWEFQPKKLGEGDLLTGGDLYATVIENSLMQHHVALPPDAMGKITYIAPAGQYSLKDTVLELEFQGVKKQYTMLQTWPVRTPRPVAVKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMLRNIIHFYNLANQAVERGAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEDVLIGKFKKLHDDLIAGFRNLEDETR >itb01g28440.t2 pep chromosome:ASM357664v1:1:32872146:32873561:-1 gene:itb01g28440 transcript:itb01g28440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEPPPDEQPPPQFPPPLPYKTWVLKVSIHCQACKRKVKKVLQSIEVTGNVEADALIKKLIRSGKNAEMWHEKSSFKEKKSGNPNQRSEGAKSNENSDEDDDNNEEEDDGKAGENNVDAQKQKTGGPSVRFDVPPPGNAAPGGAPPKKKKKKKKKKSSGAAASAASNNAPPGNAGPETSRMGPPPLHGVDPGSLGHPYAPAPAHQYPPSYGAPQQGYVVSYNAAPHPGGGGGGAPTYYYAPSSPYTYAYTRAEVHSMRCRPLDSFEILSDENPNACYIM >itb01g28440.t1 pep chromosome:ASM357664v1:1:32871836:32873561:-1 gene:itb01g28440 transcript:itb01g28440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEPPPDEQPPPQFPPPLPYKTWVLKVSIHCQACKRKVKKVLQSIEGVYITDIDEKQHKVTVTGNVEADALIKKLIRSGKNAEMWHEKSSFKEKKSGNPNQRSEGAKSNENSDEDDDNNEEEDDGKAGENNVDAQKQKTGGPSVRFDVPPPGNAAPGGAPPKKKKKKKKKKSSGAAASAASNNAPPGNAGPETSRMGPPPLHGVDPGSLGHPYAPAPAHQYPPSYGAPQQGYVVSYNAAPHPGGGGGGAPTYYYAPSSPYTYAYTRAEVHSMRCRPLDSFEILSDENPNACYIM >itb07g16910.t2 pep chromosome:ASM357664v1:7:20842506:20846004:-1 gene:itb07g16910 transcript:itb07g16910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFSSSSSKSRSMENKNERSVIDWEVRPGGLLVQRRSCGDSSSAVNSAPSEATTAGVGPMIKIKLSFDSCYHDVTIPAQSTFGELKEVVWHKSGLQPKVQRLLFQGKEKENNECLHMAGVKDMSKVILLEDPASKDKKMKELMKNPGTLAAYEAVSIVRAQVDKLSNKVAALETALERGTKVDDKDFVVLTELLMLQLLKLDSIVADGEARAQRKIEVHRVQSFVDSVDNMKARYSNPFIRSPNATTMMGTKWETLESGVGSLTAPKPLQQSTTITHDWELFD >itb07g16910.t3 pep chromosome:ASM357664v1:7:20842506:20845192:-1 gene:itb07g16910 transcript:itb07g16910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLERLVGFHEIWFGQLGVGFEAYIIILWNEGELKEVVWHKSGLQPKVQRLLFQGKEKENNECLHMAGVKDMSKVILLEDPASKDKKMKELMKNPGTLAAYEAVSIVRAQVDKLSNKVAALETALERGTKVDDKDFVVLTELLMLQLLKLDSIVADGEARAQRKIEVHRVQSFVDSVDNMKARYSNPFIRSPNATTMMGTKWETLESGVGSLTAPKPLQQSTTITHDWELFD >itb07g16910.t1 pep chromosome:ASM357664v1:7:20842506:20846004:-1 gene:itb07g16910 transcript:itb07g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSQLNLLLLGVGFEAYIIILWNEGELKEVVWHKSGLQPKVQRLLFQGKEKENNECLHMAGVKDMSKVILLEDPASKDKKMKELMKNPGTLAAYEAVSIVRAQVDKLSNKVAALETALERGTKVDDKDFVVLTELLMLQLLKLDSIVADGEARAQRKIEVHRVQSFVDSVDNMKARYSNPFIRSPNATTMMGTKWETLESGVGSLTAPKPLQQSTTITHDWELFD >itb03g28180.t1 pep chromosome:ASM357664v1:3:28870952:28877781:1 gene:itb03g28180 transcript:itb03g28180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 6 homolog [Source:Projected from Arabidopsis thaliana (AT4G24820) UniProtKB/Swiss-Prot;Acc:Q93Y35] MEGEEGTQTQQLILANKIFLLTHPDVDDLQKVRLRDEVFNAVVANDMAPLYQTLIANSVLNLDQKVLDSMRAKIDEELKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKDKALEQLKVTESKTVAVGQKMDLVFYTLQMGFFDMDFDLISKSIDRAKNLFEEGGDWERKNRLKVYEGLFCMSTRNFKRAANLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKAFFSAFAGITEHIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVSEDFIDTELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >itb01g08740.t1 pep chromosome:ASM357664v1:1:6988872:6989285:1 gene:itb01g08740 transcript:itb01g08740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYKPLVYNLFLVANTTSFLASLSIILLLISGLPLSRKFFMFIMMIIMWVAITGMALSYFFCIHMITPKGKNMNIAQYLFRIGVAVLEGLAMILVIGHAIQMTINMIKWLGRAFKRKSQVNSSSVVMDSCDQISVA >itb03g04650.t1 pep chromosome:ASM357664v1:3:2970400:2975185:1 gene:itb03g04650 transcript:itb03g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKALFRAKLAQRKEKRIESPLVRYNELEQPVCRVCDVILKSETDWTVHQASRKHNAAINNLKANAAALKQTKNLKPGLSKDLPKPEPENHEELHNKEAEPAGLSKRRQSSSLPPNFFDSKETKKQKSVTAQTEELQPPISRSKVDIANENVDSKISELGPSREQLAKQSLGSEARKAKGVLPEGFYDNKDADLRARGIAPVKPDVKDEYKEFEKLIQEDLQEVDKRLEEEEYDAADMIEEAETVEQRAYRERVERLRKRKMELKAAKSTIRGKDTQITNKESSDEESSSDVDSDENLTVDWRAKHL >itb02g10870.t2 pep chromosome:ASM357664v1:2:7078639:7080875:1 gene:itb02g10870 transcript:itb02g10870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 30 [Source:Projected from Arabidopsis thaliana (AT4G17430) UniProtKB/Swiss-Prot;Acc:Q1JPM5] MADIIDLSAIVSASAVSVVDFRVFVSEWCGVNLDHFCTTNQNAQSLMLQRLNECGSLLSGKNGNLNSCLYALEEDCRTTVWTYQKDGGDGALDSFQPDEELKKKKRIAFVRRRRDVYKTLGPGSAVESAKVLAFGSLFTSPYKGSESHIDIHEAPNDKRIASFIKRIEFLPFVPEILDAGKNFVLKTIKAPFLCAQLRLLDGQFKNHWKATFQELKHKLESLKQTGPNPIHMFLMTDLPMSNWSGSYLGDITKDSGIKLFVLKEDDELVRETARNVVKAGNGMKVGSDSKYSVKIQENQHAQALPDVLLYIEETVCSCASLGFVGTSGSTIAESIELMRKFNICNS >itb02g10870.t1 pep chromosome:ASM357664v1:2:7078639:7080875:1 gene:itb02g10870 transcript:itb02g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 30 [Source:Projected from Arabidopsis thaliana (AT4G17430) UniProtKB/Swiss-Prot;Acc:Q1JPM5] MADIIDLSAIVSASAVSVVDFRVFVSEWCGVNLDHFCTTNQNAQSLMLQRLNECGSLLSGKNGNLNSCLYALEEDCRTTVWTYQKDGGDGALDSFQPDEELKKKKRIAFVRRRRDVYKTLGPGSAVESAKVLAFGSLFTSPYKGSESHIDIHEAPNDKRIASFIKRIEFLPFVPEILDAGKNFVLKTIKAPFLCAQLRLLDGQFKNHWKATFQELKHKLESLKQTGPNPIHMFLMTDLPMSNWSGSYLGDITKDSGIKLFVLKEDDELVRETARNVVKAGNGMKVGSDSKYSVKIQENQHAQALPDVLLYIEETVCSCASLGFVGTSGSTIAESIELMRKFNICNS >itb04g04940.t1 pep chromosome:ASM357664v1:4:3078850:3079095:1 gene:itb04g04940 transcript:itb04g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRVNKRGEVYIMALVKGRKMIEANTTTTIEEGTPSHASIVNVIRSESAQAVPMNSARVGGGAASFDGGRCCTFPPFSSM >itb01g24700.t1 pep chromosome:ASM357664v1:1:30278850:30284033:1 gene:itb01g24700 transcript:itb01g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLQYLLSSSVDKTTRLWQVGHDQCLGVYPHNNYVTCVEFNPVDDNYFISGSIDGKVRLWEVQRCRVIDWTDVKEIVTAVCYFPDGKGGVVGSMDGNCIFYDLVGNRLEMGSQVSLQTRKRITGFQFCLNDVSKIMVTSADSQVKILHGSNIICKFKGSRNSGSQVPYIWSYTSQDQTAVSLPEPIVDE >itb02g01210.t1 pep chromosome:ASM357664v1:2:664858:668226:-1 gene:itb02g01210 transcript:itb02g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKLVFLISVHILFSLIPISSEFELTPQEIPKIFFKFAKKAEVFDWMVGIRRKIHENPELGYEEFETSKLIREKLDELGIPYKHPFAVTGVVGYIGSGKPPFVALRADMDALAMQEMVEWEHKSKIHGKMHGCGHDAHVAMLLGAAKIIQEHKQMLKGTVVLVFQPAEEGGGGGKKMLDAGALENVEAIFALHVDPDLLLGEVASRAGPIFAGSGFFEAVISGKGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVSVGHFQGGGAFNVIPDSVTIGGTFRAFSKKVFAQLKKRIEEVIVRQAAVQRCNATVNFNEDEKPFFPPTINDKDLHEYFHKVAADLLGSSRVKDAQMRMGGEDFSFYQQVMPGYMYLLGLQDETGENLASAHSPYFKVNEDALPYGAALQASLAVRYLLEHQPEVPLRNSNKHDEL >itb12g10280.t1 pep chromosome:ASM357664v1:12:8347403:8349626:1 gene:itb12g10280 transcript:itb12g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLVIVVAIFTAQLCFATSGDLEFTFNGFSSANLSLDGIAQITSNGLLSLTNETNHQIGRAFYPMPINFKNSTNASAFSFSTTFVCAIVPKFQSLYYGLTFAITPPGGLPGAFWDPYFGLFNETNSYGNVSSHVVAVELDTVQNSQFDDIDNNHVGIDINGLRSALAKPAGYYQGNQFYSFSLGSGKPIQVWVEYDGRAKEMNVTVAPLSVGKPSKPLLSFPHDISLDVLETVSVGFTSATGSAVSTQYILGWSFKMNGIAQELDPSKLPKLPRGPKRKSRFLVIGVPVIAIFSLVVIVCGVGYYVLRKRKFAELLEDWELEYGPHRFNYKELYFATKGFSDKQILGAGGFGKVYRGVLPNSKTEIAVKKVYHQSTQGMRSFIAEVVSLGRLCHRNLVPLLGYCRRKGELLLVYECMPNGSLDRYLFEKPVCTLSWNQRFQVIKGVASALFYLHEEWEKVVVHRDIKASNILLDSDWNARLGDFGLARLYDHGSDPQTTHLAGTHGYLAPEYIRTLKATTTSDMFAFGVFLLEVVCGRRAIEHKAPYEVLILVEWVFLSWNRGEILQIIDPKLGEDYVVEEVNIVLKLGLLCSLVDTEFRPNIQQILRYLEGSVALPDLSLLTLSTAGLTISRSEGFDDFVSSLSYSGNIDSHCSSITNSITYDGR >itb05g00530.t1 pep chromosome:ASM357664v1:5:445245:449530:1 gene:itb05g00530 transcript:itb05g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAKWDWESLAMYSSKACESPKKLQLTDWGIVEEGELGDGSFNLSGGGGGYGSDVGQGSSAKSTISASTNSSPKDGMKESNFTFEGGCPGDFGKKLKQCRAEVSENSPPQDTSAGSVEAWIGLKLGKRTYFENNCAGNGAKDSSISTAPMPSGPTAKTRKPSSQSATIPRCQVEGCHIDLSSAKEYHRKHRVCESHSKYPKVIVGGLERRFCQQCSRFHSLPEFDEKKRSCRRRLSDHNARRRKPHQDTFQFNSARLSSSFYDGGRQQINFVLNNAPLFHPKTAAWDNTYPSKFTITRGFSSEPEKTGSPNKQQYMSGIQPPSAISKHGNAPNTFLASKGTTPEGFTQGVKESVFPSNTSTTQEFPRALSLLSTSSWGSCEHNSTSLDHPPHANQNSLPEPVMHTLPLGLPLPPSEYLQAEQHPANFRGHFLSSNTNSGSHFLDVPLLKDSDFYLNAFN >itb05g00530.t3 pep chromosome:ASM357664v1:5:446306:449530:1 gene:itb05g00530 transcript:itb05g00530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAKWDWESLAMYSSKACESPKKLQLTDWGIVEEGELGDGSFNLSGGGGGYGSDVGQGSSAKSTISASTNSSPKDGMKESNFTFEGGCPGDFGKKLKQCRAEVSENSPPQDTSAGSVEAWIGLKLGKRTYFENNCAGNGAKDSSISTAPMPSGPTAKTRKPSSQSATIPRCQVEGCHIDLSSAKEYHRKHRVCESHSKYPKVIVGGLERRFCQQCSRFHSLPEFDEKKRSCRRRLSDHNARRRKPHQDTFQFNSARLSSSFYDGGRQQINFVLNNAPLFHPKTAAWDNTYPSKFTITRGFSSEPEKTGSPNKQQYMSGIQPPSAISKHGNAPNTFLASKGTTPEGFTQGMLCCLAPFLNNLLSL >itb05g00530.t2 pep chromosome:ASM357664v1:5:446260:449530:1 gene:itb05g00530 transcript:itb05g00530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAKWDWESLAMYSSKACESPKKLQLTDWGIVEEGELGDGSFNLSGGGGGYGSDVGQGSSAKSTISASTNSSPKDGMKESNFTFEGGCPGDFGKKLKQCRAEVSENSPPQDTSAGSVEAWIGLKLGKRTYFENNCAGNGAKDSSISTAPMPSGPTAKTRKPSSQSATIPRCQVEGCHIDLSSAKEYHRKHRVCESHSKYPKVIVGGLERRFCQQCSRFHSLPEFDEKKRSCRRRLSDHNARRRKPHQDTFQFNSARLSSSFYDGGRQQINFVLNNAPLFHPKTAAWDNTYPSKFTITRGFSSEPEKTGSPNKQQYMSGIQPPSAISKHGNAPNTFLASKGTTPEGFTQGVKESVFPSNTSTTQEFPRALSLLSTSSWGSCEHNSTSLDHPPHANQNSLPEPVMHTLPLGLPLPPSEYLQAEQHPANFRGHFLSSNTNSGSHFLDVPLLKDSDFYLNAFN >itb01g02090.t1 pep chromosome:ASM357664v1:1:1341192:1345214:-1 gene:itb01g02090 transcript:itb01g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDKEIPKKASPGNASNKKKKKKKRGGSKRKMTSEQSLAYNSVSEWVFLDQRSGKVIDDDFLVPWNQPKEKLVFELHCHSVHSDGFLSASKVVERAHQNGVKVLSLTDHDTMSGIPEALEAARRFGIKIIPGVEISTIFSQRGESGSEEPVHILAYYSSCGPTKVDKLEKLLHNIREGRFLRAKSMVSKLNKLKLPLKWEQVMRITGKDVAPGRLHVARAMVEAGYVENLRQAFARYLYDGGPAYATGSEPVAEEAVKLICETGGVAVLAHPWALKNPVTIIRRLKEAGLHGIEAYRSDGKVARMACVQ >itb01g02090.t2 pep chromosome:ASM357664v1:1:1341192:1345214:-1 gene:itb01g02090 transcript:itb01g02090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDKEIPKKASPGNASNKKKKKKKRGGSKRKMTSEQSLAYNSVSEWVFLDQRSGKVIDDDFLVPWNQPKEKLVFELHCHSVHSDGFLSASKVVERAHQNGVKVLSLTDHDTMSGIPEALEAARRFGIKIIPGVEISTIFSQRGESGSEEPVHILAYYSSCGPTKVDKLEKLLHNIREGRFLRAKSMVSKLNKLKLPLKWEQVMRITGKDVAPGRLHVARAMVEAGYVENLRQAFARYLYDGGPAYATGSEPVAEEAVKLICETGGVAVLAHPWALKNPVTIIRRLKEAGLHGIEAYRSDGKVALYSDLADTHDLLKFGGSDFHGRAGQHESELGSVSLPMLAVHEFLKVARPIWCRAIADILGNYVEHPTESNLQLIMNFGKPRLPKSVTPITSACDFISHCLSSWLTNEERQNAEFEAIKSKLSSLSIDLPSLTVSAANT >itb05g08850.t5 pep chromosome:ASM357664v1:5:12639406:12641774:1 gene:itb05g08850 transcript:itb05g08850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKCLSQINRIEFLHSKSFLHRDVKPENFLMGLGKRSNQVYAIDFGLAKKYQDPSTHEHISYRDKKKLTGTARYASINTHLGREQSRRDDLESLGYVLVYFLRGSLPWQGIKAGNKRRKYGIISERKMSTSIEALCRGSPTEFASYLQYCRSLRFEDKPDYAYLRRIFRDLFIRKGFEFDYVFDWTILKYQQAQIEAPPSRSLTPGSGTSSWMTPMNPNVEHQSGEEGRRLADSSQRRNYGQPADAEFSNWK >itb05g08850.t1 pep chromosome:ASM357664v1:5:12638106:12641785:1 gene:itb05g08850 transcript:itb05g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFIKNKYRLGRRIGSGSFGEIYTGTDLETNEDVAIKLENVHTRHPQLLYESKLYRILHGGNGIANVRWFGVERDYNVMVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFLHSKSFLHRDVKPENFLMGLGKRSNQVYAIDFGLAKKYQDPSTHEHISYRDKKKLTGTARYASINTHLGREQSRRDDLESLGYVLVYFLRGSLPWQGIKAGNKRRKYGIISERKMSTSIEALCRGSPTEFASYLQYCRSLRFEDKPDYAYLRRIFRDLFIRKGFEFDYVFDWTILKYQQAQIEAPPSRSLTPGSGTSSWMTPMNPNVEHQSGEEGRRLADSSQRRNYGQPADAEFSNWK >itb05g08850.t3 pep chromosome:ASM357664v1:5:12638106:12641785:1 gene:itb05g08850 transcript:itb05g08850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFIKNKYRLGRRIGSGSFGEIYTGTDLETNEDVAIKLENVHTRHPQLLYESKLYRILHGGNGIANVRWFGVERDYNVMVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFLHSKSFLHRDVKPENFLMGLGKRSNQVYAIDFGLAKKYQDPSTHEHISYRDKKKLTGTARYASINTHLGREQSRRDDLESLGYVLVYFLRGSLPWQGIKAGNKRRKYGIISERKMSTSIEALCRGSPTEFASYLQYCRSLRFEDKPDYAYLRRIFRDLFIRKGFEFDYVFDWTILKYQQAQIEAPPSRSLTPGSGTSSWMTPMNPNVEHQSGEEGRRLADSSQRRNYGQPADAEFSNWK >itb05g08850.t4 pep chromosome:ASM357664v1:5:12639406:12641774:1 gene:itb05g08850 transcript:itb05g08850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDCYYDCEISISDGIANVRWFGVERDYNVMVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFLHSKSFLHRDVKPENFLMGLGKRSNQVYAIDFGLAKKYQDPSTHEHISYRDKKKLTGTARYASINTHLGREQSRRDDLESLGYVLVYFLRGSLPWQGIKAGNKRRKYGIISERKMSTSIEALCRGSPTEFASYLQYCRSLRFEDKPDYAYLRRIFRDLFIRKGFEFDYVFDWTILKYQQAQIEAPPSRSLTPGSGTSSWMTPMNPNVEHQSGEEGRRLADSSQRRNYGQPADAEFSNWK >itb05g08850.t2 pep chromosome:ASM357664v1:5:12638112:12641785:1 gene:itb05g08850 transcript:itb05g08850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWCFEVAENVHTRHPQLLYESKLYRILHGGNGIANVRWFGVERDYNVMVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFLHSKSFLHRDVKPENFLMGLGKRSNQVYAIDFGLAKKYQDPSTHEHISYRDKKKLTGTARYASINTHLGREQSRRDDLESLGYVLVYFLRGSLPWQGIKAGNKRRKYGIISERKMSTSIEALCRGSPTEFASYLQYCRSLRFEDKPDYAYLRRIFRDLFIRKGFEFDYVFDWTILKYQQAQIEAPPSRSLTPGSGTSSWMTPMNPNVEHQSGEEGRRLADSSQRRNYGQPADAEFSNWK >itb09g18430.t1 pep chromosome:ASM357664v1:9:14177248:14180460:-1 gene:itb09g18430 transcript:itb09g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSQLWSLLGLLTVLQNILPTQLLSLLHSIYESFQDFFSPYSYFEIPEFNGYCGVDVNDLYRHVNLYLNSINPSATCRRLTLSRSKSSNRISFTVAPNHSVQDTFNGHRLYWTHHVETVQDSLDEKRSFSLKFPKRQRVPLLSPYLEHVTAKAEEFERVSRERRLFTNNGHGSYESGWSSVPFRHPSTFETLALEPELKNQLLHDLSAFADGKEFYSNTGRAWKRGYLLYGPPGSGKSSLIAAMANFLCYDVYDLELSKVSDNSELRALLIQTTNRSIIVIEDIDCSVDLTGDRTMKMRKTCKKGRHAGDAEEENGRVTLSGLLNFTDGLWSSCGEERVIVFTTNHRENVDPALVRCGRMDVHVSLGTCGPHAFKALVKNYLGVESHALFDVAEGCIRSGGGLTPAQIGEILLRNRRNADVAVKAVISAMQAKILGVTMDAIEGGPDYDDLARSPESMERRLAEPPENWEGSPEKAGGKRRRPVCAWDKKIKFLVRLRSLTKSDSGRRGV >itb01g30670.t1 pep chromosome:ASM357664v1:1:34610038:34614901:1 gene:itb01g30670 transcript:itb01g30670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVHRDALVSLVVWLILVVHPFRLIVANMEGDALHSLRTNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPSDLGNLTNLVSLDLYLNSFTGPIPDSLGKLSKLRFLRLNNNSLTGSIPMPLTNITALQVLDLSNNRLSGPVPDNGSFSLFTPISFANNMNLCGPVTGRPCPGSPPFSPPPPFVPPPPISAPGGNGITGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPTDEAPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQTNYVEAEVEQLIQVALLCTQSNPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPGSDWIVDSTENLHAVELSGPR >itb15g17660.t1 pep chromosome:ASM357664v1:15:18661226:18664442:-1 gene:itb15g17660 transcript:itb15g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNGREEDGGGGNSPSRAEESGAAGGGGEAPDSAQMDGEFMGQSPPSSPRASRSPLMFRPQMPVVPLQRPDELHIPNPPWMQTASGYDDMYDEQRIPTVIIWGHGGKEVSVEGSWDDWKTRKPLQRSGKDFTIMKVLPSGVYQYRFIVDGQWRYSPDVAWITDEAGNYYNVLDLQEYVPEDIQSISGFEPPLSPDSSYSNLQLGAEDYAKEPPLVPPHLQLTLLNVPSSHSEIPPPFSRPQHVVLNHLYMQRGRSTPSVVALGSTHRFLSKYVTVVLYKSIER >itb15g17660.t2 pep chromosome:ASM357664v1:15:18661253:18663559:-1 gene:itb15g17660 transcript:itb15g17660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPLQRPDELHIPNPPWMQTASGYDDMYDEQRIPTVIIWGHGGKEVSVEGSWDDWKTRKPLQRSGKDFTIMKVLPSGVYQYRFIVDGQWRYSPDVAWITDEAGNYYNVLDLQEYVPEDIQSISGFEPPLSPDSSYSNLQLGAEDYAKEPPLVPPHLQLTLLNVPSSHSEIPPPFSRPQHVVLNHLYMQRGRSTPSVVALGSTHRFLSKYVTVVLYKSIER >itb03g03380.t1 pep chromosome:ASM357664v1:3:1964965:1968401:1 gene:itb03g03380 transcript:itb03g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKPKVSVTNPNANSSPKIWLFSLLLTLQYGFQPLISKRFVRREVIVTSSVLTCELVKVSCALILIAKEGNLKKLFKNWTLVGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLFFTALFTFIILRQKQTIQQIGALFLLIIAAVLLSIGEGSSKASASNNINPDEILFYGIAPVLVASVLSGLASALCQWASQVKKHSSYMMTIEMSIIGSLCLIASAFKSPDGEAIRLHGFFYGWTALTMVPVTLNAVGGILVGLVTSYAGGVRKGFVIVSALLVTALLQFIFDGKPPSIYCLMALPLVITSISIYQKYPYRIKKKES >itb05g01490.t1 pep chromosome:ASM357664v1:5:1250522:1251633:-1 gene:itb05g01490 transcript:itb05g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRASLNMLASSSNGGRKRKAPEGREMTHSPPPPRHQRAGASSRAPPPPPPPSLNLQFHLDWPEASSSRAPPPCFDTVLRLSVTPHAPRTEDAPPLPNLHLHKDFIDQALKRNNDGVREMLEEMCKRQYAAVVTAAEENAAKKLKEADEEIVEVRRKNCELESMLSSYRTQSMAMAERLTALKETNAALNAALHEATHRRDSGGDEEAQSSSLEPDQVVVVRISCKICWRGPATMMAWPCRHLCLCNNCARTTNRCPICDATFQDCMEVKFY >itb03g17510.t1 pep chromosome:ASM357664v1:3:16117399:16118139:1 gene:itb03g17510 transcript:itb03g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFSQSNFQNLQPPYQLPFNENDAGDMLLYTVLAEAGGGGGGGAISSSSSSSSMSGTPFLGGASISMEEEEEEEEWSSSSSRTTIGGGGAYRGVRKRPWGKYAAEIRDSTRRSVRVWLGTFDTAEEAALVYDQAAFALHGSTAVLNFPAAVVYQSLVELGCGFDDGGSPVLALKRRHCKNSRRSSSMMNKKRINNNNNNGLMKKKKEDDEFKGERVHGEVLVLEDLGAEYLEQLLSLSEAKLDN >itb01g02970.t1 pep chromosome:ASM357664v1:1:1935003:1939768:-1 gene:itb01g02970 transcript:itb01g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKIVEKSEAVTAGLKSDSSTKLINKDMGTVKDGKASDSISPIPSMVGTETGVKGGADQGAVPEQGSYYSMASCCDYYYPGYNGNYNNGQSMPSDNGYYLYYLPGYAPYTTGFIGSDGKQQPYTTSGYLQQPVSYGSDGLPCYSWCYTNGDLTYGTASKSRNFKSMAGSNGSVKSNGFNSSKTNSGLSNKNSSLPLNHKTQQFESSNFSKSSPQTQPLKQSNRFDSGFQPGCLMKFPPYNNQNQGLYTHYGSVNYQTNGRGWNGNYKFKSRENFNRNGAVEASTELTCGPRASGKCSSSKPSSEDDQLRMSTLRNKYNKEDFSTEYDSAKFYVIKSYSEDDIHKSVKYDVWSSTPNGNKKLENAFGDAEGKASEAGTECPVFLFFSVNGSGQFLGVAEMTGQVDFNQKKDFWQLDKWSGFFPVKWHIVKDVPNTQLRHIILENNDNKPVTYSRDTQEVGLKEGLEMLNIFKSYSEKSSLLDDFDFYEDRENLIKASRSFKTGSEAGVFQNRDPHKQFNEGEKENEEKSVETGITDSASSLIGLTENLSVNA >itb01g25390.t1 pep chromosome:ASM357664v1:1:30819800:30820289:1 gene:itb01g25390 transcript:itb01g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGGSDSVIIPTGVGGFIASRALSQRNNDPTRASRPWDSDRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLSGRTPP >itb01g25390.t2 pep chromosome:ASM357664v1:1:30819800:30820804:1 gene:itb01g25390 transcript:itb01g25390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGGSDSVIIPTGVGGFIASRALSQRNNDPTRASRPWDSDRDGFVMGEGAGVLLLEELEHAKDYIGFKSTLGFCAMDGKA >itb14g18800.t1 pep chromosome:ASM357664v1:14:21698566:21702421:1 gene:itb14g18800 transcript:itb14g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRLFFLKLCILIVTTTDILLHHHHHVLAGDEATIMANLAKSLTPTPAGWTGNDACKWPGVNCNGLGNVRSINLSSMAVAGRLPPDLNGLSNLKFLSLQKNRLSGPIPSFSNLNSLEDLNLDDNKFTSVSPNFLSGLTSVKTININDIPTLSPWKFPTEITESPSLVSFSCSRCNLHGEIPDLFGPISGLRILRLSYNNLTGTLPPSLEKSGLQELALNNQLLGLSGGIEVIGKMEHLTAVRLHVNRFTGPIPDLSRCHFLSEVILRDNLLTGVIPDSFTSLSKLSVVSLQNNKFQGPMPSFQKGVQVTLGTTNNFCVPATVAGTCSVQVNILLEVAKDLEYPMVLADSWKGNDPCKDDWNFVACDANGDVSVINLSNHNWTGSISPAIGNLTELKELILHDNKLTGSIPDNLAKLPSLHLVDISNNNISGKIPDFPPNVILKIEGNLWIGKELPDPDAETAGKENTGLSPKVIVAITLVAVIQFIALFFIIYRRCKKMKSRKYKWLKGKSGSGKEKSDGPPINVSPYGAIKSESSGHGSSEIPLYDGGHVLIPIEILRESTDNFSDTNILGRGGFGIVYRGQLYDGTRIAVKRMEASLLTSKGTLEFKAEIEVLTKVRHRHLVALHGYCVNGNERLLVFEYMPQGTLGQHLFHLDGFPPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLDDGMRAKVSDFGLVKNAPDGETSLETKLAGTFGYLAPEYAATGRVTTKVDVYSFGVVLMEMITGRKALDESLPEESVHLVPWFRRLLANREKLRSILDPWLDPNDETFESICRVADLAGYCTAREPGQRPDMNHAVNFLSPLVEQWIPVNTDEEDENDDDFQMSLPQALQRWKAHEDSTVVSEDELYDQSYVYDDDDSCTMERLPSKVSVYKDSGIR >itb10g06760.t1 pep chromosome:ASM357664v1:10:7649724:7651091:-1 gene:itb10g06760 transcript:itb10g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASICDIGKLRSFFAEGFSPEQLTPDLFNGLKSMRVLGLHGRELQELPKNIGNLLHLRYIDLSWSNVEELPDAVCSLYNLQTLDLRRCRCFSRLPNGIGNLHQLRYIDLSLSKVKDLPDKIGDLNQLKYINLSWSKVEKLPDTICSLENLQTLVLERCEHLSRLPEGIGNLVELKYINLSYCTQVQELPKGIGNLINLRHLNIRGTNRLETMPQGIAKLTQLCSLSEFKVGKESSKLGYMEKLNQLKGELSITVLCDLNNAADVEEAEKAELRNKKHIKELCLDFSPGVDVGTDVMEALEPPPELQTLQLIRYGGTHFPSWITLSLDNLRILKIYGCRNCSSLPPLGKLPSLETLFIWDMKELRYVGREFLGVAEVGGVAFPKLKKLEFYDCPEWEEWDDFKQEAIIIIIMPCIRELELNYCRKLKTVPHHLLSRLESLKIKHCPSLKVEYSK >itb13g01970.t1 pep chromosome:ASM357664v1:13:1796535:1801467:-1 gene:itb13g01970 transcript:itb13g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNDWTVKSPSFLCSGSPADDTEHQENAYQELLQILREAADQIELLKIVSREKDSFVSEREMGRVALTNLITKFEYYFLQPNPMVCLHDDDEAAIIKSLFEKLSSLQTFLQTESSCGKELEIKIRDFALKAEDDICSNILLAKHRAIRPNNKKIMKKILGGLNDYMCFRASSHRENNNNTSQELLQTLREAAEIAAELLMIINKEKRHCAEREMICNALTSLRQTIHEIEFLHDEPETKSFFGKLSSLQTFLQEESSGSGAMTKDLKTKIIDFALKAEHLQIQLSNFFQSKHTTSSQENASRGLHQDLQEATENATELLKIITKGKECHESAREMTSDALTIFMKKFDYYFLQSNPVVFLDDEAAKISFFEKLSSMQALLQDEESSAGGAIINDLKTEIINFVLKAEDDIDTQVNNLLQADDTEDYQQKASQLNQTLQGAAENAEGFLMVINSKKECNEIEEMACNALSSLMVTIQQGFHDDETVIKSLLEKISSFQAFLKKKSSGGGGMTDLEAKIKDFAIKAGDDIKVQINNFLQAKDTEYQEKFSISQELHQTVREAAESAAELLKIIINSKSNEVDEANETQPSNCLVKYDSKLLNAESDGSLLHFLKLEDRMVGRHHDCRVIKDQLLTSHYELPKIISIVGMVGIGKTTLARNVYEDPSIASHFDVRGWITIPHDYNKSQMLCNLLQSITPVEPNVIKKGSTPDELEMQVCKCLLGRKYLIVLDNILSNQALIDIRQCVPNDKGGSCILLTTSHYKQEYYHSNYIHHMALLDPKESWDVFCSILLIKKHLAPKFENIRNSVVEKCDGLPKLIVEVAKRLSKFNNIQQGWKKIEKELESLGFLDRNALMVTYNMLPRHLKVCFLYFGVFPKRNKVLVKKLIRLWIAEGFVKPLNHNELEDEAYMYLQELIDRSLLLIEDRSCNGKIKICRMHSALHSFCVGEAQKGGILCAVDTQQHSGLSLKAFANSCRWLSFYSHHFDYYVLFGTNIPRSIFFFHENPEMCVPLKLLRVLAFDTSIFLQRVPVHLRDLVFLRYLSVAQWYEGLDEVVSNNPNLQTLVVSRDGVPTVHLPSSIWKPPHLRHLELGNSYMVDPPSMVKESLQSLSCVWRSIHCKMEVFDKLPNIKKLKIFLKEDIEASHHPCGSSRNPIVLDYLDYLKELEKLTISVSVGCAVILKERSMFPSKLKKLRLSGINLSKRDLMVIGALPQLMVLELRNTFHGRVWDVYDRGFLNLRFLLVEDRKLKHWACDYDTFSFPFPKLEHLVLRFCCALEEIPYIFTDIPNLQLIVLEQCHSSLVTSAKWIQEEKLHYTNHILEIKIMGPEYDELQNTHTNVSTDDKFASLTLSQ >itb06g04440.t1 pep chromosome:ASM357664v1:6:7072381:7076562:-1 gene:itb06g04440 transcript:itb06g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEGILLGMGNPLLDISAVVDNDFLNKYDVKLNNAILADEKHLPMYEEMTSKYTVEYIAGGATQNSIRVAQWMLQKPGATSYMGCIGKDKFGEEMKKHAKEAGVNVHYYEHETTPTGTCAVCVLDGERSLIANLSAANCYKSEHLRKAENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAANNKVFTMNLSAPFICEFFREVQEKALPYADYIFGNETEARTFSKVHGWETDNVEEIALKISQWPKASGTHKRITVITQGADPVVVAEDGKVQLYPVIKLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIAECVRAGCYGANVIIQRPGCTYPEKPDFQ >itb01g16430.t2 pep chromosome:ASM357664v1:1:20763690:20768180:-1 gene:itb01g16430 transcript:itb01g16430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVSIGASLEVDAVSVLRSITPNLESTKYKGQAGKIAVVGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSIRDEDKSSISAKVIAEVDKWMERFDCLVIGPGLGRDPFLLDCVSNIIKHARDCDVPMVIDGDGLFLVTNCLDLVRGYPLAVLTPNINEYKRLVQSVLNSEVNDENGTEQLKSLVNGIGGVTILRKGKSDLISDGKTVSSVCIYGSPRRCGGQGDILSGSVAVFLCWARQQAAAKGESVTNPTILGCIAGSVLLRNAAALAFKNKRRSTLTGDIIECLGQSLEEICPVL >itb01g16430.t3 pep chromosome:ASM357664v1:1:20763690:20768180:-1 gene:itb01g16430 transcript:itb01g16430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVSIGASLEVDAVSVLRSITPNLESTKYKGQAGKIAVVGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSIRDEDKSSISAKVIAEVDKWMERFDCLVIGPGLGRDPFLLDCVSNIIKHARDCDVPMVIDGDGLFLVTNCLDLVRGYPLAVLTPNINEYKRLVQSVLNSEVNDENGTEQLKSLVNGIGGVTILRKGKSDLISDGKTVSSVCIYGSPRRCGGQGDILSGSVAVFLCWARQQAAAKGESVTNPTILGCIAGSVLLRNAAALAFKNKRRSTLTGDIIECLGQSLEEICPVL >itb01g16430.t1 pep chromosome:ASM357664v1:1:20763690:20768180:-1 gene:itb01g16430 transcript:itb01g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVSIGASLEVDAVSVLRSITPNLESTKYKGQAGKIAVVGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSIRDEDKSSISAKVIAEVDKWMERFDCLVIGPGLGRDPFLLDCVSNIIKHARDCDVPMVIDGDGLFLVTNCLDLVRGYPLAVLTPNINEYKRLVQSVLNSEVNDENGTEQLKSLVNGIGGVTILRKGKSDLISDGKTVSSVCIYGSPRRCGGQGDILSGSVAVFLCWARQQAAAKGESVTNPTILGCIAGSVLLRNAAALAFKNKRRSTLTGDIIECLGQSLEEICPVL >itb01g16430.t4 pep chromosome:ASM357664v1:1:20763690:20768084:-1 gene:itb01g16430 transcript:itb01g16430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVSIGASLEVDAVSVLRSITPNLESTKYKGQAGKIAVVGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSIRDEDKSSISAKVIAEVDKWMERFDCLVIGPGLGRDPFLLDCVSNIIKHARDCDVPMVIDGDGLFLVTNCLDLVRGYPLAVLTPNINEYKRLVQSVLNSEVNDENGTEQLKSLVNGIGGVTILRKGKSDLISDGKTVSSVCIYGSPRRCGGQGDILSGSVAVFLCWARQQAAAKGESVTNPTILGCIAGSVLLRNAAALAFKNKRRSTLTGDIIECLGQSLEEICPVL >itb12g00690.t2 pep chromosome:ASM357664v1:12:498451:500695:1 gene:itb12g00690 transcript:itb12g00690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGGERASQIVFFDLETTVPNKTGQRFQVLEFGAMVICPRRLSELESFCTLIRPGDLSAVSERSGRPEGITRGAVSDAPPFEEVADRIFSIMDGRIWAGHNIQRFDCIRIKEAFAKIGRPAPAPVGTIDSLGVLTEKFGRRAGNMKMATLAQYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLEESLPDLLNGKWQQGSTQMMMTTRSRSVMVTKHYFFLVFN >itb12g00690.t1 pep chromosome:ASM357664v1:12:498451:500695:1 gene:itb12g00690 transcript:itb12g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGGERASQIVFFDLETTVPNKTGQRFQVLEFGAMVICPRRLSELESFCTLIRPGDLSAVSERSGRPEGITRGAVSDAPPFEEVADRIFSIMDGRIWAGHNIQRFDCIRIKEAFAKIGRPAPAPVGTIDSLGVLTEKFGRRAGNMKMATLAQYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLEESLPDLLNGKWQQGSTQMMMTTRSRSVMERFPSSPLNERWQKGSSSSITTRSSSIGKSCCRLEISRKSPATVTSLGGYQRAVPYARESLGRMSAGVRNLWCKAHKTRPFNTLLKHSHSLLR >itb10g18250.t3 pep chromosome:ASM357664v1:10:24281748:24286830:-1 gene:itb10g18250 transcript:itb10g18250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb10g18250.t6 pep chromosome:ASM357664v1:10:24283447:24286782:-1 gene:itb10g18250 transcript:itb10g18250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESCEYFLMCPSVCNFSHPCS >itb10g18250.t2 pep chromosome:ASM357664v1:10:24281748:24286830:-1 gene:itb10g18250 transcript:itb10g18250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb10g18250.t1 pep chromosome:ASM357664v1:10:24281748:24286830:-1 gene:itb10g18250 transcript:itb10g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb10g18250.t7 pep chromosome:ASM357664v1:10:24281748:24286830:-1 gene:itb10g18250 transcript:itb10g18250.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb10g18250.t5 pep chromosome:ASM357664v1:10:24282734:24286804:-1 gene:itb10g18250 transcript:itb10g18250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb10g18250.t4 pep chromosome:ASM357664v1:10:24281764:24286830:-1 gene:itb10g18250 transcript:itb10g18250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb10g18250.t8 pep chromosome:ASM357664v1:10:24281748:24286830:-1 gene:itb10g18250 transcript:itb10g18250.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVAGMNGGLEPQSALIFLGTGCSSAVPNALCLIRPSDPPCQVCSQSLSVPPEQNPNYRCNTSLLIDYSPRNGEHKYILIDVGKTFREQVLRWFTRYKIPCVDSIVLTHEHADAVLGLDDIRAVQPFSPTNDIDPTPVFLNQYAMDSLAVKFPYLVQKKLKAGQEVRRVAQLDWQIIENDCTKPFVASGLEFVPLPVMHGEDYVCLGFLFGGKYRVAYISDVSRFLPSTESYISKDSGQQLDLLILDSLYKTGSHNVHLCFPQTLDAIKRLCPKRAFLIGMTHEFDHHKDNAFLMEWSKREGIQVQLAYDGLRVPIDL >itb12g09140.t2 pep chromosome:ASM357664v1:12:7170711:7182935:1 gene:itb12g09140 transcript:itb12g09140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPPRFSKLNKIWRLLSILSSQFPAQKIRRKTKKLKNPFPNSPSFPQMVLRESNQLSSRKRPSKPNNAFVPPFAHPPKIPKTTAAAAVSQSAPPHQSQSTNPVDKMVAVLADAGCTLINPAGPPCLPSDLPKLRHRLHHLFSSDSSLRSEFLHGLCSYINSPNNLRRILSHSNRDGLVSVRSESVVRVLLLVPSIQSDIQNMLLEKLPEYFDMDPSGPLPSSRFEDDIARLILNQFRWLDFLVDSEAFIDRLLQVLSICPLHLKREMIGSLPEIIGDQNNKVVVDSLQHMLEEDPSVIIPVLDCFSNLHLDETLKEQVVTIGLSCIRTADMEHMPYLLRFLLLSATISNTRRIISHIREQLKLIGGFSYHATQQGKMKGKSIVNDGEASVLDALRSSLQFNKQIICEEVLKELKSLEKARDHKTIDIWLLVLIYKNSESLQKSIEKLLKKKIVEGYIDEALFDQCVHGNKAIAQDYLPTFISISGYLLACKEQKAQEFGIHMYKCLFEEFVDSFSRQELLGALITHVGSGISHEVRSGLQAMALLASKYSHELIPLSSHIMGILDYLEGFSFENLHKVYEAFSLLALSARSNAGSRSSISNELLMIVRKQLSNPDLKYKKMGLIGTVKIVYYFGAEVINSSQSSSQKSNVEEALELLKTSLESCKQIPLPLIMFYDELVVTLKNRSLHPSITEWISKHIGDFESKYLSDLDGGQLPIKDVYCGLEGQLWMNLDGDISPICVNLLPLVSSSLRSAPSLEILPAKISLLSTIEKLTNQGSLLGIDALLGCPLHLPSSKLFSVPAWQSLNGNQKQIIILSLYYAANWIRELLNAFCSQVTNKCDHVSQGTKEEIALKLFKRLRNLIFLESMLNNCLRQYPLSLPELCPLEPPSKNLVNQTREHERSNELTKRDISSSESTRKKKNKNPALSASSKEDGKLRQPTITDVLRKAGVIPSPEVLNEDLSGTCSKGSIPESSGSHQNNLISPLNVEISSAAKLLEPQRHKFRPLLLECFPIFALSKNQVSCCPDPAAELPLHLYLLRDLSQKLDYCSPQQKQILAKRASLPPSLGCMNVMDFLNHVRLLFPSLKKNLDHVLYILREDTEICQDHWNVQSAAAGNPDITGILCSTSKVSYSVLKETLCCFAKMVNIPEIQMETAVLTDLLEAFQPTKIPDSFFLGMHHIPSPGNIDYLYSGAYYYLEELFSVASTISFTLSSEVVLTLEAVILSIRKIVDKDSDETGNIIQKGFIKELFPFLCEKLGTSAKTLLTQKYDSENVEEDSKIRRELVQKILRIYLENCQSTSDSLNELACSILPQVTPKGSEVEDKCSFPSLCPSTFIAWYRVMHEQNVATLNRLVKEVCQLEKSKARDNVENVDCLLNRLQQSVNVVVSLVSMCKTHDKVAVRAMAVKFGGKFVDSFLRVFGFLQAQFQSHGEQIVQLVLELQKATRTIQTLCSEAKGLKQTTITSKIPSTKRSMERFLFHVKALLHSTSSGCTFWMGNLKHKNLVGDIVSSQAYKEEEEEDVDHNEAENTEEDQPVDVANEE >itb12g09140.t1 pep chromosome:ASM357664v1:12:7170148:7183216:1 gene:itb12g09140 transcript:itb12g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPPRFSKLNKIWRLLSILSSQFPAQKIRRKTKKLKNPFPNSPSFPQMVLRESNQLSSRKRPSKPNNAFVPPFAHPPKIPKTTAAAAVSQSAPPHQSQSTNPVDKMVAVLADAGCTLINPAGPPCLPSDLPKLRHRLHHLFSSDSSLRSEFLHGLCSYINSPNNLRRILSHSNRDGLVSVRSESVVRVLLLVPSIQSDIQNMLLEKLPEYFDMDPSGPLPSSRFEDDIARLILNQFRWLDFLVDSEAFIDRLLQVLSICPLHLKREMIGSLPEIIGDQNNKVVVDSLQHMLEEDPSVIIPVLDCFSNLHLDETLKEQVVTIGLSCIRTADMEHMPYLLRFLLLSATISNTRRIISHIREQLKLIGGFSYHATQQGKMKGKSIVNDGEASVLDALRSSLQFNKIICEEVLKELKSLEKARDHKTIDIWLLVLIYKNSESLQKSIEKLLKKKIVEGYIDEALFDQCVHGNKAIAQDYLPTFISISGYLLACKEQKAQEFGIHMYKCLFEEFVDSFSRQELLGALITHVGSGISHEVRSGLQAMALLASKYSHELIPLSSHIMGILDYLEGFSFENLHKVYEAFSLLALSARSNAGSRSSISNELLMIVRKQLSNPDLKYKKMGLIGTVKIVYYFGAEVINSSQSSSQKSNVEEALELLKTSLESCKQIPLPLIMFYDELVVTLKNRSLHPSITEWISKHIGDFESKYLSDLDGGQLPIKDVYCGLEGQLWMNLDGDISPICVNLLPLVSSSLRSAPSLEILPAKISLLSTIEKLTNQGSLLGIDALLGCPLHLPSSKLFSVPAWQSLNGNQKQIIILSLYYAANWIRELLNAFCSQVTNKCDHVSQGTKEEIALKLFKRLRNLIFLESMLNNCLRQYPLSLPELCPLEPPSKNLVNQTREHERSNELTKRDISSSESTRKKKNKNPALSASSKEDGKLRQPTITDVLRKAGVIPSPEVLNEDLSGTCSKGSIPESSGSHQNNLISPLNVEISSAAKLLEPQRHKFRPLLLECFPIFALSKNQVSCCPDPAAELPLHLYLLRDLSQKLDYCSPQQKQILAKRASLPPSLGCMNVMDFLNHVRLLFPSLKKNLDHVLYILREDTEICQDHWNVQSAAAGNPDITGILCSTSKVSYSVLKETLCCFAKMVNIPEIQMETAVLTDLLEAFQPTKIPDSFFLGMHHIPSPGNIDYLYSGAYYYLEELFSVASTISFTLSSEVVLTLEAVILSIRKIVDKDSDETGNIIQKGFIKELFPFLCEKLGTSAKTLLTQKYDSENVEEDSKIRRELVQKILRIYLENCQSTSDSLNELACSILPQVTPKGSEVEDKCSFPSLCPSTFIAWYRVMHEQNVATLNRLVKEVCQLEKSKARDNVENVDCLLNRLQQSVNVVVSLVSMCKTHDKVAVRAMAVKFGGKFVDSFLRVFGFLQAQFQSHGEQIVQLVLELQKATRTIQTLCSEAKGLKQTTITSKIPSTKRSMERFLFHVKALLHSTSSGCTFWMGNLKHKNLVGDIVSSQAYKEEEEEDVDHNEAENTEEDQPVDVANEE >itb15g07210.t1 pep chromosome:ASM357664v1:15:4882675:4885925:-1 gene:itb15g07210 transcript:itb15g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTVHTVSKRPEASVFNGRRVGYRVYNKVCIYWLEGRCNRNPCRFLHAESSLQQPRQTLSTIYNADRHSVQPKTSQRNSSYMSPKTGTNLTNGIVDSANKTGPETKKIVSISRGSGSKVKVTQKPQIKLCQYWVTNHCVHGDKCKDLHAWSFGDGFSMLTKLEGHTKAITGIALPSGSNQLFSSSNDKSVRVWDCHSGQCAGVIKFDKEVGCLVGEGPWVFVGLPDVVKVWNTHTQIEFNLNGPTGQVYAMTVGNDKLFAGIENGTILAWKFTSEINSPELVSSFEGHSQAVLSLVVGANRLYSGSMDNTIRMWDLESLQCLQTLNGHADVVMSILCWDNFLLSGSLDNTIKVWAATENGSLKVVYEHKEETGVLALCGICDAEAKPILFCSCNDNTVRLYDLPSFSERGRVFAKREVRSVEIGVGGLFFTGDATGQLSVWKLQGEAIAMAPQVS >itb09g16620.t1 pep chromosome:ASM357664v1:9:11785509:11788204:1 gene:itb09g16620 transcript:itb09g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSAPADNIYGGSCITSFIDRGSVESHRYFLARRTLLEMLRDRGYAVPDSELCRSLSDFRSSFGDRPAPDRLRFSTHRTTSPTKKILVIFCGTEEIRKKVILGILLQIVNKESLEKVVLVLQSKMNFYAKKVVDEYPVKVETFQITDLLINVTKHIAQPEHEILTAEEKTKLLKAYNIEENQMPRMYQTDAIARYSGLKKGQVVMFTHQVPPTGSAVTYRCVV >itb02g19570.t1 pep chromosome:ASM357664v1:2:16815283:16816062:-1 gene:itb02g19570 transcript:itb02g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVLAVIHIISLLLPTTLSQTVGNSPPDPSIAECGPYLLPLAPCGPFVQGTVPFPALQCCINLRQLYAQQASCLCLLMNGSSLSAFPINTTLALQLPTLCTMQIDPSICSAPGVTTQPPSLPPSITTQPPSLLPFAPTSSGPKNNSTIAASPVVTVEPRMPGLIGFGFQHSSGVNINVKDSLMVVILTSWSSLFWV >itb13g21400.t1 pep chromosome:ASM357664v1:13:27996907:27998037:-1 gene:itb13g21400 transcript:itb13g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRERECVVDIEAGMNMSEGVECPELCAGKLDDSYKGENGVILSCNATNSGEILALKIERAKSEDLGEKKIEKEKRKSTSAKKPPKPPRPPRGYSLDAADQKLIKELHELAMMKRARIERMKALKKMKAAKVSSVPMASNGSFFAMFFTVLFFLVLIFQGMSSGNSSPSFHGSPESGVAKESSLVFPQDRPNLSSSPSSTTSSGSPIMVGPSSGKDPEYQAKMAIG >itb02g01880.t2 pep chromosome:ASM357664v1:2:1043962:1045978:1 gene:itb02g01880 transcript:itb02g01880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRKVIVHLRATGDAPILKQSKFKIGGTDKFAKVIDFLCRQLHRETLFVYVNSAFSPNPDELINDLYNVSLVGYWFCFSNSLA >itb02g01880.t1 pep chromosome:ASM357664v1:2:1043962:1045978:1 gene:itb02g01880 transcript:itb02g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRKVIVHLRATGDAPILKQSKFKIGGTDKFAKVIDFLCRQLHRETLFVYVNSAFSPNPDELINDLYNNFGFDGKLVVNYACSMAWG >itb15g01830.t1 pep chromosome:ASM357664v1:15:1083731:1086565:-1 gene:itb15g01830 transcript:itb15g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYMAQTQQQQSKNQGTISLRAAMKASASFRCVWFALIVAISSPWHCCSLQTRLGSETVSQLSRDLLDSARDPEFFDWLKRVRRALHEYPELGFEEHRTSQLIRNELDSLGIGYSWPVAKTGVVAAIGSGNQPWFGLRADMDALPIQELVEWEHKSKINGKMHACGHDAHVTMLLGAARLLQNRKESLKGTVKLVFQPAEEGMGGAYHMIKEGALDDIQSMFGMHVWPGMSVGTIASKPGPLLAGSNRFSAVIQGKGGHAAAPHKTRDPVLALSMAILALQQLVSRETDPLEARVVSIGYIEAGQAANVIPDQVNFGGTFRFLTSEGSSYLQQRIKEIIETQAAVHQCTATVTFLEGDRTPYPPTVNDQAMYEHAKKVGETLLGEEHVELAQITMGAEDFSFYAQRMKAAFFFIGVSNETMKPMKEIHNPRFTIDERVLPIGAALHAAVAITYMDGQVEEQ >itb04g23340.t2 pep chromosome:ASM357664v1:4:28452172:28453898:1 gene:itb04g23340 transcript:itb04g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLAASISFLPGARSNNICRTWKAFNFGVQHGSSNRSVHSSSMEDDSFAELGPPVTERAVSQLKLATEKPERFIWKNDSARKMSSNKFIPVDKNHNKGTVSSRDKSCQSIAEPVDASNAGSSTDTIRIQGIDEHASIHVIHSLCKTLGNLQGLAWVGNDAIDAFFTVASESDSQRILEKLNDTTIRNSHLSASLLSRNSTASKSIKEIALQERGLQFSTSLDELRRQLDLKQIYLEDLEMLHHEIMHLQCSPTMSD >itb04g23340.t1 pep chromosome:ASM357664v1:4:28452172:28453932:1 gene:itb04g23340 transcript:itb04g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLAASISFLPGARSNNICRTWKAFNFGLTSVQHGSSNRSVHSSSMEDDSFAELGPPVTERAVSQLKLATEKPERFIWKNDSARKMSSNKFIPVDKNHNKGTVSSRDKSCQSIAEPVDASNAGSSTDTIRIQGIDEHASIHVIHSLCKTLGNLQGLAWVGNDAIDAFFTVASESDSQRILEKLNDTTIRNSHLSASLLSRNSTASKSIKEIALQERGLQFSTSLDELRRQLDLKQIYLEDLEMLHHEIMHLQCSPTMSD >itb04g23340.t3 pep chromosome:ASM357664v1:4:28452172:28453907:1 gene:itb04g23340 transcript:itb04g23340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSFAELGPPVTERAVSQLKLATEKPERFIWKNDSARKMSSNKFIPVDKNHNKGTVSSRDKSCQSIAEPVDASNAGSSTDTIRIQGIDEHASIHVIHSLCKTLGNLQGLAWVGNDAIDAFFTVASESDSQRILEKLNDTTIRNSHLSASLLSRNSTASKSIKEIALQERGLQFSTSLDELRRQLDLKQIYLEDLEMLHHEIMHLQCSPTMSD >itb15g14740.t1 pep chromosome:ASM357664v1:15:13173882:13174538:-1 gene:itb15g14740 transcript:itb15g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKRKRKMMENVVAEEEETEEEKMENFYALIRSTKDLRDRMAGKLAPPQENNNKDKAPPPPPPQPPVGVWNPSFRAEDFVEEYTQPLNIVSEATAGPSASKDKQEENNNSNVSKEGPDSEYEDYDHRHNLDLNLSL >itb06g08120.t1 pep chromosome:ASM357664v1:6:12055800:12056156:-1 gene:itb06g08120 transcript:itb06g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLHKLAKLESIEIVRCKKLSEVFKNTSTNNFVPMLKSLNLDGLPALEQICKADESWNSLEELIVGECSMLLKLPLSVQSAENIQSIRGEQNWWNQLQWDDENLKMHLHPLFKPRD >itb03g03220.t1 pep chromosome:ASM357664v1:3:1843901:1845169:-1 gene:itb03g03220 transcript:itb03g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNFRGSFSRKSSSSAAPVKERPKKAASSKEVFQPNMDDMRKVFNRYDVNGDGRISVEEFKQALQVIGSGGTEAAVAFEAADSDGDGYIDFHEFIAVNNQGGGISKADIKNAFTVFDLDGNGKISAEELLQVLRRMGERCNLDSCRKMVKGVDSDGDGLIDIKEFTKMMTAAGTTKRF >itb14g15250.t4 pep chromosome:ASM357664v1:14:18564368:18565979:1 gene:itb14g15250 transcript:itb14g15250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSSNGEGTSNPRKRPIAEANRTPTTTNCTIVAVDHANIYYRVCAGCERPLPDPNPNTPSPLCTFCYSSNALNPPSSASKLLFRVLVSIATDTEVFVVILFDRAARVLFGCSADEFFEFAKTRPFAGKALEGEMLRVTLSQPKNGNARDLRVVLVVPLRSGFQPIIKTLRELYQIRGGL >itb14g15250.t1 pep chromosome:ASM357664v1:14:18564368:18568221:1 gene:itb14g15250 transcript:itb14g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSSNGEGTSNPRKRPIAEANRTPTTTNCTIVAVDHANIYYRVCAGCERPLPDPNPNTPSPLCTFCYSSNALNPPSSASKLLFRVLVSIATDTEVFVVILFDRAARVLFGCSADEFFEFAKTRPFAAECAGKALEGEMLRVTLSQPKNGNARDLRVVLVVPLRSGFQPIIKTLRELYQIRGHQ >itb14g15250.t3 pep chromosome:ASM357664v1:14:18564368:18566220:1 gene:itb14g15250 transcript:itb14g15250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSSNGEGTSNPRKRPIAEANRTPTTTNCTIVAVDHANIYYRVCAGCERPLPDPNPNTPSPLCTFCYSSNALNPPSSASKLLFRVLVSIATDTEVFVVILFDRAARVLFGCSADEFFEFAKTRPFAAECAGKALEGEMLRVTLSQPKNGNARDLRVVLVVPLRSGFQPIIKTLRELYQIRGGL >itb14g15250.t2 pep chromosome:ASM357664v1:14:18564368:18566824:1 gene:itb14g15250 transcript:itb14g15250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSSNGEGTSNPRKRPIAEANRTPTTTNCTIVAVDHANIYYRVCAGCERPLPDPNPNTPSPLCTFCYSSNALNPPSSASKLLFRVLVSIATDTEVFVVILFDRAARVLFGCSADEFFEFAKTRPFAGKALEGEMLRVTLSQPKNGNARDLRVVLVVPLRSGFQPIIKTLRELYQIRGHQ >itb11g16200.t7 pep chromosome:ASM357664v1:11:14145535:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGKHGFIPH >itb11g16200.t3 pep chromosome:ASM357664v1:11:14145499:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGEDISICVCLAILLALFDDRGCFDEGRSFNKKNTTKLELRQRLVFICKYAVNARPSRGNLKQVFNYLNSGNIGPVLEKPLSVSSA >itb11g16200.t6 pep chromosome:ASM357664v1:11:14145535:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGKHGFIPH >itb11g16200.t5 pep chromosome:ASM357664v1:11:14145499:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERMENPASLSIYKASRTIKRKHNSLYNALRSIYEDSIFVGEISELWPELPLLANLRCGLWYSPKFHSTCYFKSTDGHTNNWSFNTSRLNLHVALLAGQRGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGKHGFIPH >itb11g16200.t1 pep chromosome:ASM357664v1:11:14145499:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGKHGFIPH >itb11g16200.t4 pep chromosome:ASM357664v1:11:14145499:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERMENPASLSIYKASRTIKRKHNSLYNALRSIYEDSIFVGEISELWPELPLLANLRCGLWYSPKFHSTCYFKSTDGHTNNWSFNTSRLNLHVALLAGQRGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGEDISICVCLAILLALFDDRGCFDEGRSFNKKNTTKLELRQRLVFICKYAVNARPSRGNLKQVFNYLNSGNIGPVLEKPLSVSSA >itb11g16200.t2 pep chromosome:ASM357664v1:11:14145499:14149540:-1 gene:itb11g16200 transcript:itb11g16200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIANYRRKLIGVNTPKDQESNINDHVPNNHTEDVKEDASSWDCSLHLPIWVSDTEKTLIEERLDGWTKDLETSGADIASIAFYIKKPLQPLWISQKTVIWLNEVPESSSWDFTPIILVSASFSTSVFQQRTTSEFSWNYISGAGDDEESWARGLTPTLFWKHVYDLINLGPNLCNQKVADIVEKNRVYCAQRGEDAPQISLTSKTFGHSNQYAVQEPLNVENLCIDGDEMSKGDDNSVSWLGDTNVAVGKILLAKEASSIDSILNCGPEEIPRLMDDTAYLHLPIVGCKFDRFSLSRSLPSAINFANINLRKGKRLLVCCANGEDISICVCLAILLALFDDRGCFDEGRSFNKKNTTKLELRQRLVFICKYAVNARPSRGNLKQVFNYLNSGNIGPVLEKPLSVSSA >itb12g01760.t1 pep chromosome:ASM357664v1:12:1198639:1200505:1 gene:itb12g01760 transcript:itb12g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATHTISLPSSSSAPTNTHGVTTTTAKRKRKPAGTPDPDAEVVYLSPETLMESDRYVCEVCNLSFQREQNLQMHRRRHKLPWSLHKNERPPHEAAAAARKRVYVCPEPSCVHHDPAHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVETFIEHQDSCKPGRKEIGGDRLQTPLKPAGFFPPIFNLNLSTAEPHSQYRLISERRTEAQELIIFPVHKDGNHHLSSSSSPHRHTLELRELFPSKKNEETPNSSASAAIDDDDDEVDHNTLLRRALRLRSETKEVMRVAMEQKAKAAEKRKQARVLMEMASQEMEKAKKIREQAFVTEIRNSQLQKDRLTWQMLIGSSSGGQHRITCDSCKMNRSKAFFQESAVNYYFPSAITHGENGDNNNSLMFRPLRPA >itb09g23430.t1 pep chromosome:ASM357664v1:9:22763286:22772071:1 gene:itb09g23430 transcript:itb09g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTEADSRLNQILVPALEKIIKNGSWLKHSKLAHECKSVIERFSSPIKAPSTPASAPTSPCSQWDASLPGVLADFSLANSELFLSPLITALASGNLHIAEPALDALQKLITHGYLRGEADPTGSPGARLLAKLIEAVSKCLELGDDHVELLVIKTALSSVTSLSLRIQGDSLLQVVRTCYDLCLASKNVMNQATAKASLIQILVIVFQRMEADSTTIPLRPIAVAELMEPAEKGDGDGTMTMFVQGFITKIMSDVDGMLITSPLSTNADGVAYSMAFDATASSVEMANNPADVLDSTDKDMLDVKYWEINMFKTALEGRKEELMDGDGERDDDLEVKIGNQLRRDAFLVFRALCKLSMKTQLMKGKIVALELLKILLENAGTVFRTSERFLGAIKQYLCLSLLKNSSSSLITLFRLLCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNFQQKMIVLMFLEKLCVDSQIFVDIFLNYDCDVQSSNIFERMVNGLLKTAQGAPPGTVMTLLPLQEATMKLEAIKCLVAILKSMGDWMNKQLRIPDPHSAKKYETSEIDFEPLSPFGNNAYVNNGDRSDAVKTSDSHSEAFIEVSDASTIEQYHSYKLELQEGISLFNCEPKEGINFLINENKLGNSVEEIASFLKNTLGLNKTSIGDYLGEKEDLSLKVMHAYVDSFDFHSVEFDEAIRAFLHGFRLPCEAQKIDRIMEKFAERYCKCNPKAFSSTDTAYVLAYSVIMLNADAHSPMVKSKMSADDFIRNNHGIDDGKDLPEEYLRSLFERISRNEIKMEDEDSLAVQRRRSVDSNKILGLNSILDIVAHKRVEENIETSDDLIKHIQEQFKENAPKSESVFYQATDVVILRFMIESCWAPMLAAFSVPLDQSDEEVVIAQCLKGFRYAIHIIAAMSMKMHRDAFVISVAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLEDAWEHIFTCASRFEHLHLIWEGAPPDAIFFALPQNDLDHSKQAKSNSLKKKGPGKIKNAASSMRRGCYDSAGVSGSAVAEITSEQMNKLVSNLNMFEQVGEMSHIFIRSQKLNSEAIVDFVKALCKVSMDELRPTSNPRIFSLAKMVEIAHYNMDRVRLVWSKIWNVLSDFFVDIGCSENLSIAIFAMDSLRQLSMKFLELGELTNYNFQNEFMKPFVIVMQKSSAIEIRELIIRCVSQMVLSRVNYVKSGWKSMFMVFTTAAYDGHKSIVLLAFEIMEKIVRDCFPYITETERAFTVCVNCLVAFTSSRPNQNINLNAFALLRFCVDKLAKGDLDSSKNKDKETSGSISKLQKGNDKTNENGELANKEDHCYLWFPLLAGLSELSLDPSPEIRKNALEVLFDSLRNYGHHFSLPLWERIFESVLFPIFNYVQLTINPSGENSLEQELDGDEGKHDQDVWLYDMCTLTFEVIVDLFVKFYDTINPLMWKVLTILVSFIKHPHQGLAGIGITSVVRLISSAGNLFSEDKWFEVVSFLKEAAHATLPDFSFILIENNKFWTEEEILSRNTGESAATDTPDDDKRHLRLHHLYTSISDVKCRAAVQFLIVQAVVEIYNIHRQQLSAKNVVVLFDAVHTVAFHAHEINSDAILRSKLHELGSMTQMQDPPLLRLENESYQSCLTVLKNHAIDRPPSSEESEIEAYFVNLCQEVLQFYIEVACSGPLFESSVRARTCWLVPLGSGKRRELAARAPLVVSALQAICSLGESSFRKNLSCFFPLLTSLVSCGLLAYKASFIPLEIKLGCSAGVIGGPPVL >itb02g19450.t1 pep chromosome:ASM357664v1:2:16581254:16582568:1 gene:itb02g19450 transcript:itb02g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEKCSIKPCLQWIKSPDAQSNATVFLAKFYGRAGLLNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSSGLLWSGNWQLCQAAVEAVLGGAPITKLSPDSAACTMSPSLKACDIRHVSKEEIAGDLHKVKSRGRFKRTAAAGKPKQRAGSPDVDDAARVMWSWSHKEEDAVGSPSRDSGLSQHADEQSGGGESGDANCASVETVETSLAKPEDESDVELELTLGWEPMSRHQKSTPAEVNEDAGGSIEAACRMEL >itb04g20270.t1 pep chromosome:ASM357664v1:4:24915594:24915998:1 gene:itb04g20270 transcript:itb04g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVTQSKTKVLFFSGIFEFPIRISRLISLPFDTWMQRVNGEPVPAPPNATACKNRRHSTDHCRRPRTLISRFPSLSLSESVKFHFYRNSLGCGATVKSSSILLIKQCDSEFNLFRLPGIGVFFDSISSIISY >itb02g16300.t1 pep chromosome:ASM357664v1:2:12123907:12128320:1 gene:itb02g16300 transcript:itb02g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAVFHLPSSSLTIASKTHRSLRAQNFHAYKPLRIEASTSLDYSNTSISNKPHASSKNSTWQWKYKENSVNIYYEAHEKEEKDESSKNILMIPTISDVSTVEEWRSVAKDIVGRDGAAGYRATIVDWPGLGYSDRPKLDYNADVMEKFLVDFINAPDGPVSNSGNDLVVIGGGHAATLAVRAAKKGLVKPVAIAAIAPTWAGPLPIVFGRDSNMETRYGLLRGTLRAPAVGWMMYNVLVSNEKSIESQYKSHVYANPDNVTSSIIESRYALTTRKGARYVPAAFLTGLLDPVKSREEFVQLFAGLQGGTPILVISTSGSPKRSKAEMEALREAEGVSKYVEVPGALLPQEEYPEKVAEELYRFLQEYLS >itb10g24600.t1 pep chromosome:ASM357664v1:10:28331209:28334728:1 gene:itb10g24600 transcript:itb10g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAVTVGPGMDVPIMHDSDRYELVKDIGSGNFGVARLMRDRQTNELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILNVQYSIPDYVHISPECRHLISRIFVADPTQRISIPEIKNHEWFLKNLPADLMDDNTTNNQFEEPDQPMQSIDEIMQIIAEATIPAAGTNSLNNYLTGSLDIDDDMEEDLESDPDLDIESSGEIVYAM >itb10g24600.t2 pep chromosome:ASM357664v1:10:28331209:28334600:1 gene:itb10g24600 transcript:itb10g24600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAVTVGPGMDVPIMHDSDRYELVKDIGSGNFGVARLMRDRQTNELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQVASYALLFLCTYSWLNVLTENIALFVQRILNVQYSIPDYVHISPECRHLISRIFVADPTQRISIPEIKNHEWFLKNLPADLMDDNTTNNQFEEPDQPMQSIDEIMQIIAEATIPAAGTNSLNNYLTGSLDIDDDMEEDLESDPDLDIESSGEIVYAM >itb07g03690.t1 pep chromosome:ASM357664v1:7:2480022:2482543:1 gene:itb07g03690 transcript:itb07g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNKQVVLKNYVTGYPKESDFELRTTSVSSDIPRGSNGVFVKNLYLGCDPYMRHRMSAHESKDVSLLLSFAPGSVIIGLGVSKVVKSENPEFKEGDYVWGLTGWEEYSMILNLDGHFKIKYTDVPLSYYAGILGMPGLAAYIGFHNYSSAKEGDVVYVTSAAGGVGQLVGQFAKMMGCRVVGSASTNEKVDLIKGKFRFDDAFNYKDGHDSAVLKRHFPKGIDVFFDNVGGDMLNQVLLHMNLYGRVVVSGMISQYNLAEPDGIHNLFCLITKRVEMKGFSELDHRAKYPDYLEFAIKNIREQKLVFVEDIAQGLENASSAFVGIFHGRNVGKQIICVAND >itb04g23170.t1 pep chromosome:ASM357664v1:4:28341279:28343625:-1 gene:itb04g23170 transcript:itb04g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MAMSFLVATGSTRISWTKYYCSKLRTVSHREPSSILLPKAAQSVWCQKLKVCYKVRATAAGSPGLYSSEQFDLTPENVDKVLEDVRPYLIADGGNVDVVSVENGVVSLQLQGACGSCPSSTTTMKMGIERVLKEKFGDAIKDIQQVNFESTSETTVEAVNAHLDVLRPAIKNYGGTVDVLSIEAGDCHVKYTGSESIGSGIKAAIKERFPDIVNVVFTG >itb05g20380.t1 pep chromosome:ASM357664v1:5:26487985:26490891:-1 gene:itb05g20380 transcript:itb05g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATACRSKPLVIACLISILVIQFPWFSNSQCNPSSCGSIHNITYPFRLITDPQDCGQANYELTCEQNQTVLTLNSQKYYVQSINYNNYTIRVADPGVQENNMCSFPQYALGRYSFGGGSNTYDIDLFQNRTFLEITVPIVFFTCPFPMKNSSAFVEITANDCSNRTNGFAYAKIGPFIASDLRVSCRVDLITQTSWKIQENGAQNVSLLDIHDAMMYGFELSWFQAVLCEPCGKEKCEVGALNVVTCVPDSPSGMAFHLLKGVGILIAAAAVLGVFLIIKLQRRHLSVFDAIENFLNAENNLSPIRYSYRHIKNMTKGFKEKLGEGGFGSVYKGKLRSGRDVAVKVISKPKSNGQDFINEVGSMGRIHHVNVVQLVGYCAENYKRALVYDFMPNGSLDKYINRGVNASLLNWQRKFEIAVGVARGIEYLHRGCDIQILHFDIKPHNILLDENFVPKISDFGLAKLFPTDKSIVTLTAARGTIGYVAPELITRRIGAISHKADVYSFGMLLMEMLGLKENPVTEQNESSKYFPSWIYSDINKGKAIELGEGDEDEKRITKKMTIVGLWCIQTSPTQRPSMSRVVEMLEGDLELLQMPPENTFHSEPIMEMDQYSSSIPDSSEYTSFLTNDASSQALDIIVD >itb06g09480.t1 pep chromosome:ASM357664v1:6:13769158:13770095:1 gene:itb06g09480 transcript:itb06g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQIFLLVLSISILPLAISHSSLPPLIRLPTKPDLNDFFTNILDTAGNPVVAGAKYYAIPALIGVEGGISVTNLNTTTNPSACPTDVVINVTLAGNQPPAVGLPLTFYPLKDEAYTADVILSQYPLNVAFDSPDPSDPCAKENVWKVNHHDEATIVTGGVIGKEDDLDNWFRIQNNNFNGKGYLFNWWPSLCLGCRIGYFNIGTVSDGYQLGINFNDESLYPFEFVKAE >itb09g16160.t1 pep chromosome:ASM357664v1:9:11409758:11413401:1 gene:itb09g16160 transcript:itb09g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREQTDLEAKRLSIFTFSSEDENFIVNLSSSPLQSIGLQQSEYQKESISLELFETMDGQEGKDICHRNEEDDIFPELREPMEPERTKWKKNPKSRKSLAWNSAFFTDAGVLDHEELSSMIKEAEKCEVLDPEELSSMIKGTEKGEVLDFEELSSMIKETEQGEKHLLSGNNEDVEQSIDSISIDSISTFEGDDLILEQLEAEVFEDIRASIVRSSKVLNVMFSSSKKASPKAVDEATSALKKADCTSKNVPVPKVAPKRTIKAQTYGMSKSQQKQTGGVQSSGKMAITRTLDSKSLLARPQKLSSKVNSVSAAIAKGTSMGANHVKSDHVNSKISTVTVAGKEARVSKFSTANNAHKVVPKPVASRSSSDSTASTSSDRTGKSTFPATRRKVESRPVDQPSSGSSVKTTSKIALKNEISSRNSAVGAYVMSSKMSPGFSPASSISEWSSTSSSSSSINKRSNGSRTSLDTSRSMDSDNPPLDLTNSSSHYQTSDKSVPKTTLLNENISTPSRLNGILSRPSYMKPSGLRMPSPKIGFFDRVKSDCSPNGSMQSHSNGSAALTTKIGANVRTNIKAKTAKLPPAKTFTRVEHDTEMAPSHISFKDKLPAPVNTSGSPGDIEYYSSPSQEVHHEMSRGCNLHPKDVAVEGPERTKHVADAGLIPVDHGDQGLVKSEMSRDIYLKPNLNDTKIIAVEGDCCDSEVAPSTPFGGKTCGSS >itb09g11590.t1 pep chromosome:ASM357664v1:9:7221965:7238766:-1 gene:itb09g11590 transcript:itb09g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNPRKRPQSAPAPSPQLQKKQQAAVEEEDVDEDIFLEETLLQYDEDSQVLRELEEQSALAERLRKWKRPQLSQAYLSQSQSVVFQQLEIDYVIGESHKELLPNSSGPAAIIRIFGVTKEGHSVCCHVHGFEPYFYISCPAGMGPDDISRFHQILEDRMREANRNNRVPKFVRRIEIVQKRSIMYYQQQGSQPFLKIVVALPTMVTTCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCGVVGGNWIEVPAGKYKKSSKNISYSQLEFDCLYSDLISHKPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGQDQPFVRNVMTLKSCSPIVGVDVMSFETEREVLLAWRDFVREVDPDILIGYNICNFDLPYLIRRAEALGMVEFPILGRVRNSRVRVKETTFSSRQLGTRESKEITLEGRVQFDLLQVVQRDYKLSSYSLNSVSAHFLNEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKEKNLVIPNVKQAGSEQGTYEGATVLEPRAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPDDVRKLNLPLECVNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFKVLGGYQHNAEVIYGDTDSVMVQFGVSTVEEAMNLGREAADFISGTFIKPIRLEFEKVYYPYLLISKKRYAGLLWTNPDKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDPATAPNMGDRVPYVIVKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSTGGIMKFAKKQLTCIGCKALISESDRTLCKHCKGREAELYCRSVANVAELEKLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKIQLDRWSF >itb09g11590.t2 pep chromosome:ASM357664v1:9:7224277:7238766:-1 gene:itb09g11590 transcript:itb09g11590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNPRKRPQSAPAPSPQLQKKQQAAVEEEDVDEDIFLEETLLQYDEDSQVLRELEEQSALAERLRKWKRPQLSQAYLSQSQSVVFQQLEIDYVIGESHKELLPNSSGPAAIIRIFGVTKEGHSVCCHVHGFEPYFYISCPAGMGPDDISRFHQILEDRMREANRNNRVPKFVRRIEIVQKRSIMYYQQQGSQPFLKIVVALPTMVTTCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCGVVGGNWIEVPAGKYKKSSKNISYSQLEFDCLYSDLISHKPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGQDQPFVRNVMTLKSCSPIVGVDVMSFETEREVLLAWRDFVREVDPDILIGYNICNFDLPYLIRRAEALGMVEFPILGRVRNSRVRVKETTFSSRQLGTRESKEITLEGRVQFDLLQVVQRDYKLSSYSLNSVSAHFLNEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKEKNLVIPNVKQAGSEQGTYEGATVLEPRAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPDDVRKLNLPLECVNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFKVLGGYQHNAEVIYGDTDSVMVQFGVSTVEEAMNLGREAADFISGTFIKPIRLEFEKVYYPYLLISKKRYAGLLWTNPDKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKVRCTSTRSLFQM >itb02g00040.t1 pep chromosome:ASM357664v1:2:39225:42474:1 gene:itb02g00040 transcript:itb02g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLSAVTLFLSLSLVAAGEQLDYFQQYLRINTAHPNPDYASAVSFLTDFANSIPGIRSKLLYFTSPPNKPLLLLTWLGSNPSLPSVLFNSHLDSVPAEPTKWSHPPFSAHRSSDGKIFARGAQDDKCVGIQYLLAIKAIQSNSPGFTPLRNVHISFVPDEEIGGFDGMMKFVESQEFKDLNVGFALDEGQASPTDEFRVFYADRIPWHMTIKAVGMPGHGSRLYDNTAMENLMKSVEIISKFREAQFDIVKAGLAANSEVISVNPVYLKAGTPSPTGFVMNMQPSEAEAGFDIRMPPTADPELMRKIIAEEWAPAWRNMTSEIIEKGNVRDFMGRPLVTPTSDSNPWWSVFKEAITKVGGKIAKPEILSSTTDARFMRERGIPTLGFSPMKNTPILLHDHNEFLKDTVFLEGIKVYESIIKALSSFEEYSS >itb07g23180.t1 pep chromosome:ASM357664v1:7:27644765:27645494:1 gene:itb07g23180 transcript:itb07g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNWPNARGSSHSLRGFSLAMLWPPGYCKVKGICDRHKVKNEFTIHGLWPYTSSGQSPRIHRRYQYQYGWMSQGLKHQLAVDWPNYELGRSDESLWKHEWDAHGVITNNLFNQVNYFRRTLRLYQHYNIRDILSSCGIIPSSYHLQTPRTFVTCIENRLGVNPNLICESHRGQAYLVELRLCFNARAATLIYCNHPTRQCRTRSFIYKRF >itb06g21350.t1 pep chromosome:ASM357664v1:6:23965398:23972603:1 gene:itb06g21350 transcript:itb06g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSAGLLDTLNMQTVRTILTHPYPYPHEHSRHLFIAVVVGCLFFISSDNMHTLIQKLDTNIKWWSMYGCLLGFFYFFSSPFIGKTIRPSYSNFSRWYIVWILVAALYHLPSFQSMGVDLRMNLSLFLTIYVSSISFLVVFHLIFLGLWYLGLVARVAKRRPEILAIVQNCAVLSIACCVFYSHCGNLAIIREKAFGRKDSRWFSLWRKEERNTWLAKFIRMNEFKEQVCKSWFAPVGSASDYPFLSKWVIYGELSCGGSCAESSDEISPIYSLWATLIGLYIANYVVERSSGWALSHPVPHTEYEKLKKKQMKPDFLDMVPWYSGTSADVFKAMFDLLVSVTLFVGRFDMRMMQAAMSGDQAGGKQEDLLYDQFSEKDGMWFDFMADTGDGGNSSYTVARLLAQPSIHVQNNDSVRSLPRGSLLLIGGDLAYPNPSAFTYENRLFRPFEYALQPPTWYKEDHVAVHKPELPHGIADLKQYKGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKKSYFALQLPKGWWVFGFDHALHCDIDVYQFKFFSELIRDKVGENDSVIIMTHEPNWLSDWYWNDVTGKNASHLIRDHLKGRCKLRIAGDLHYYMRHSYVPSDRPAHVQHLLVNGCGGAFLHPTHVFKNFKDFNGVPYECKASYPSFEDSSRIALGNILKFRKKNWQFDIIGGVIYFLLAFSMFPQCRLDHILKDNTFSGHVRSFFSAVWDSFIYLLDRSYVSLAATMLLLVAAICFIPSIVSRKRKVMIGILHVSAHLAAALILMLLLELGIETCIRHDLLATSGYHTLYEWYRYVENEHFPDPTGLRARIERWTFGLYPACIKYLMSAFDVPEVMAVTRQNICKKGMDSLSRGGAVIYYTSVFLYFWVFSTPVVSLIFGSYLYICINWLHVHFDEAFSSLRIANYKSFTRFHINTKGDLEVFTLAVDKVPKEWKLDSNWETEPQQPSYVRKFPSKWRATSLQQDPINTVRIVDHFVIEQTEKSDSESMNGSKTQ >itb06g21350.t2 pep chromosome:ASM357664v1:6:23965659:23972603:1 gene:itb06g21350 transcript:itb06g21350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSAGLLDTLNMQTVRTILTHPYPYPHEHSRHLFIAVVVGCLFFISSDNMHTLIQKLDTNIKWWSMYGCLLGFFYFFSSPFIGKTIRPSYSNFSRWYIVWILVAALYHLPSFQSMGVDLRMNLSLFLTIYVSSISFLVVFHLIFLGLWYLGLVARVAKRRPEILAIVQNCAVLSIACCVFYSHCGNLAIIREKAFGRKDSRWFSLWRKEERNTWLAKFIRMNEFKEQVCKSWFAPVGSASDYPFLSKWVIYGELSCGGSCAESSDEISPIYSLWATLIGLYIANYVVERSSGWALSHPVPHTEYEKLKKKQMKPDFLDMVPWYSGTSADVFKAMFDLLVSVTLFVGRFDMRMMQAAMSGDQAGGKQEDLLYDQFSEKDGMWFDFMADTGDGGNSSYTVARLLAQPSIHVQNNDSVRSLPRGSLLLIGGDLAYPNPSAFTYENRLFRPFEYALQPPTWYKEDHVAVHKPELPHGIADLKQYKGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKKSYFALQLPKGWWVFGFDHALHCDIDVYQFKFFSELIRDKVGENDSVIIMTHEPNWLSDWYWNDVTGKNASHLIRDHLKGRCKLRIAGDLHYYMRHSYVPSDRPAHVQHLLVNGCGGAFLHPTHVFKNFKDFNGVPYECKASYPSFEDSSRIALGNILKFRKKNWQFDIIGGVIYFLLAFSMFPQCRLDHILKDNTFSGHVRSFFSAVWDSFIYLLDRSYVSLAATMLLLVAAICFIPSIVSRKRKVMIGILHVSAHLAAALILMLLLELGIETCIRHDLLATSGYHTLYEWYRYVENEHFPDPTGLRARIERWTFGLYPACIKYLMSAFDVPEVMAVTRQNICKKGMDSLSRGGAVIYYTSVFLYFWVFSTPVVSLIFGSYLYICINWLHVHFDEAFSSLRIANYKSFTRFHINTKGDLEVFTLAVDKVPKEWKLDSNWETEPQQPSYVRKFPSKWRATSLQQDPINTVRIVDHFVIEQTEKSDSESMNGSKTQ >itb09g18060.t1 pep chromosome:ASM357664v1:9:13492969:13494391:1 gene:itb09g18060 transcript:itb09g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNEALQNMITNLNKNLQGFKYSHFDFFAFATYVFNNPSKYGFKDTKTACCGSGPFRGFPSCGGKRQIKEYELCSNVEDYLFFDYAHASEKTYRLSATELWEGTTYVAPNNVKLLFQL >itb07g02400.t1 pep chromosome:ASM357664v1:7:1523772:1524417:-1 gene:itb07g02400 transcript:itb07g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFLVPSGNEPFSHRLRTLTVSTFLESASREYIVLPEEHFEGPAVVHAEDLDSNHESSSFMCCIRGNFWLACVKNEGLKKGDMAENSLVLKQHS >itb14g04150.t1 pep chromosome:ASM357664v1:14:3695225:3698477:1 gene:itb14g04150 transcript:itb14g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMMNGGGGAFQVANNVPFNLVEIWPFPINASAAAAAQFGLGAPPNVSGLNRDHGPPVSDPMALDRRVDQNGGAARKRREDDESAMGVSTSGNSLSENDSKRLKTARANENCEFKADSEGTSGKPAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKTLQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMTPSIEGFPSKDFPPQAFDASSLAFGSQTTRDYQSGTSPDWLHMQIGGGFERTT >itb14g04150.t2 pep chromosome:ASM357664v1:14:3695251:3697443:1 gene:itb14g04150 transcript:itb14g04150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMMNGGGGAFQVANNVPFNLVEIWPFPINASAAAAAQFGLGAPPNVSGLNRDHGPPVSDPMALDRRVDQNGGAARKRREDDESAMGVSTSGNSLSENDSKRLKTARANENCEFKADSEGTSGKPAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKTLQDLVPGCNKVQHSYFNYIKKT >itb14g04150.t3 pep chromosome:ASM357664v1:14:3695251:3696841:1 gene:itb14g04150 transcript:itb14g04150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMMNGGGGAFQVANNVPFNLVEIWPFPINASAAAAAQFGLGAPPNVSGLNRDHGPPVSDPMALDRRVDQNGGAARKRREDDESAMGVSTSGNSLSENDSKRLKTARANENCEFKADSEGTSGKPAEPPKDYIHVRARRGQATDSHSLAERVILFNFLLLTFCGIMLVFGVLQIC >itb03g13530.t1 pep chromosome:ASM357664v1:3:13623453:13629062:1 gene:itb03g13530 transcript:itb03g13530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASFTLPFRPFTPPKHRRQPHLLAAHLNHYSRFRVSSLASPRVLARCQNPKRLVISSAYAGPAIDAFVSENELKFEDSGDNFVAQPIEVINWGLLWKLLSDHKLQLAASVLTLVGCTTCTLTMPLLSGRFFEVLIGARPEPLWKVLGRVAVMYSLEPIFTVIFVVNMNAMWEKVMSSLRAQIFQRVLIQKVEFFDRYKVGELTALLTSDLGSLKNIVSENVSRDRGFRALSEIIGTLCLLFALSPQLAPILGVLMLSVSVLVALYKRSTVKVFKSHGLVQASIADCVTETLSAIRTVRSFGGEKRQMSVFARQVLEYEDSGIKLGIFKSVNESVTRVAVYVSLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRVAFASVDRINSVLSGAEIDEALAYALHKDMKQKNAHDKNFSLFVVNGSDGMRSTNLGYMSSLKSGSSVRSLAQSGDICLEDVHFSYPVRPDVEILSGLNLTLKCGTVTALVGPSGAGKSTVVQLLARFYEPTKGRITVAGEDLRTFDKSEWARAVSLVNQEPVLFSVSVGENIAYGLPDKDVSKDDVIKAAKAANAHEFIVSLPQGYDTLVGERGGLLSGGQRQRIAIARALLKDAPILILDEATSALDTVSERLVQDALNHLMKGRTTLVIAHRLSTVQNADEIAVCSDGKIAELGTHFELLESKGQYASLVASQRLAFE >itb03g15840.t1 pep chromosome:ASM357664v1:3:15057136:15058133:-1 gene:itb03g15840 transcript:itb03g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFEGRRSNVFDPFSMDIWDPFPGFSNAVSGGGASRETSAFAAARIDWKETPEAHVFKADVPGLKKEEVKVEVEEGNVLQISGQRSKEQEEKTDTWHRVERSSGSFLRRFRLPENAKVDQIKAAMENGVLTVTVPKEEVKKPETKAIQISG >itb02g16340.t1 pep chromosome:ASM357664v1:2:12230304:12231564:1 gene:itb02g16340 transcript:itb02g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVVRKDLTRRNTGVSGATTSVRYAECQRNHAANIGGYAVDGCREFMPSGGDGTAAALTCAACGCHRNFHRRDVQSEVSESS >itb07g04840.t6 pep chromosome:ASM357664v1:7:3277051:3281617:1 gene:itb07g04840 transcript:itb07g04840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t8 pep chromosome:ASM357664v1:7:3277718:3281617:1 gene:itb07g04840 transcript:itb07g04840.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENNNNNTLPVFLNDNGFQYPTNTSNQLQLFGNLPVGFNVNPTNYFGGEHNTALVRPNKRVKDAENTPMQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t5 pep chromosome:ASM357664v1:7:3277051:3281617:1 gene:itb07g04840 transcript:itb07g04840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t3 pep chromosome:ASM357664v1:7:3277051:3281617:1 gene:itb07g04840 transcript:itb07g04840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENNNNNTLPVFLNDNGFQYPTNTSNQLQLFGNLPVGFNVNPTNYFGGEHNTALVRPNKRVKDAENTPMQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t9 pep chromosome:ASM357664v1:7:3277718:3281617:1 gene:itb07g04840 transcript:itb07g04840.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t4 pep chromosome:ASM357664v1:7:3277051:3281617:1 gene:itb07g04840 transcript:itb07g04840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t7 pep chromosome:ASM357664v1:7:3277718:3281617:1 gene:itb07g04840 transcript:itb07g04840.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t2 pep chromosome:ASM357664v1:7:3277051:3281617:1 gene:itb07g04840 transcript:itb07g04840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENNNNNTLPVFLNDNGFQYPTNTSNQLQLFGNLPVGFNVNPTNYFGGEHNTALVRPNKRVKDAENTPMQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g04840.t1 pep chromosome:ASM357664v1:7:3277051:3281617:1 gene:itb07g04840 transcript:itb07g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENNNNNTLPVFLNDNGFQYPTNTSNQLQLFGNLPVGFNVNPTNYFGGEHNTALVRPNKRVKDAENTPMQQKLQISLNNNVGLDDADPPVRISAHNPVSTGLRLSYDDDERNSSVTSSGSMNASSSVMLSLTDDFKTELDNQKREFDQYLKTQEETLTKGLRDIKRRHMAAFLGAMQKSASKKMHEKDVELESINRKNKELVDRIKQTASEAQNWCYRAKYNESVATMLKTNLQRAMHSAEQAKEGFGDSEMDDATSGVHPKFGLSVGVGGGGGSSSSAAKPPQQAKEFLICRACKSKEVSILLLPCRHLCLCVDCEKSVSVCPVCQMARATTFRVYLS >itb07g14140.t3 pep chromosome:ASM357664v1:7:16605579:16607275:1 gene:itb07g14140 transcript:itb07g14140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRDASKDLISHLPLEIKDRILERLPTRDAARTTLLSTHWNDVWLQHGRLAFDLDFFPRFRESKGHSFVPYVKIITDILLQRVRPVKKFSLEIEYLGLLDPKLEQSDLDQWFLFLSRNGVEELDFSQGDSEIQFKLPLCIVSCPTIKQLRLWGVDFDFPLNAPCVFPCVTSLHFAGLAFNHNVNGIVYSIPNLEKLYFSSCRGINNFKISAPKLESLSIFGFISKVESSWLALHLKVIKVLCMEGYLFFNWSDAEVASFPTAINLQVIKLDCLEFAYGKQLKGVLHLLQKSPNLCELDITEYDYIEDHDDREDYFMEDYDIEAGSRLLKDPESCIISKDLKMLKTIKIKLFFGSTVEMLLVKMLLSKSPTLERVVITESHYIEDGCTVIKLLRTLLCFPRASPKAQIVIKGKDYLYAT >itb07g14140.t2 pep chromosome:ASM357664v1:7:16605533:16607275:1 gene:itb07g14140 transcript:itb07g14140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRDASKDLISHLPLEIKDRILERLPTRDAARTTLLSTHWNDVWLQHGRLAFDLDFFPRFRESKGHSFVPYVKIITDILLQRVRPVKKFSLEIEYLGLLDPKLEQSDLDQWFLFLSRNGVEELDFSQGDSEIQFKLPLCIVSCPTIKQLRLWGVDFDFPLNAPCVFPCVTSLHFAGLAFNHNVNGIVYSIPNLEKLYFSSCRGINNFKISAPKLESLSIFGFISKVESSWLALHLKVIKVLCMEGYLFFVRIYLHSYSIERLNIRFLLVC >itb07g14140.t1 pep chromosome:ASM357664v1:7:16605533:16607275:1 gene:itb07g14140 transcript:itb07g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRDASKDLISHLPLEIKDRILERLPTRDAARTTLLSTHWNDVWLQHGRLAFDLDFFPRFRESKGHSFVPYVKIITDILLQRVRPVKKFSLEIEYLGLLDPKLEQSDLDQWFLFLSRNGVEELDFSQGDSEIQFKLPLCIVSCPTIKQLRLWGVDFDFPLNAPCVFPCVTSLHFAGLAFNHNVNGIVYSIPNLEKLYFSSCRGINNFKISAPKLESLSIFGFISKVESSWLALHLKVIKVLCMEGYLFFNWSDAEVASFPTAINLQVIKLDCLEFAYGKQLKGVLHLLQKSPNLCELDITEYDYIEDHDDREDYFMEDYDIEAGSRLLKDPESCIISKDLKMLKTIKIKLFFGSTVEMLLVKMLLSKSPTLERVVITESHYIEDGCTVIKLLRTLLCFPRASPKAQIVIKGKDYLYAT >itb01g12010.t1 pep chromosome:ASM357664v1:1:11394572:11395742:1 gene:itb01g12010 transcript:itb01g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSSSHSETESISSYSSSLSPFSSDRLTRPKRAREEEDGGNNNGGKRSRGRSDLKHPTYVGVRMRAWGKWVSEIREPKKKSRIWLGTFASAEMAARAHDVAALSVKGKSAVLNFPELSDLLPRPATCAPRDIQAAALRAAHMDHLDPPKAETPGPSLSAASTDSCSSSSSTSSSSPEAAEEQLGEIVELPKLPDPSYDLVESTRDEFLFIDSDVWDYFHPWWHSLEDDYGHCGGGRSGDDGGGINIEISEFQSTVSGQFESLLWQH >itb03g09490.t4 pep chromosome:ASM357664v1:3:7334261:7335355:1 gene:itb03g09490 transcript:itb03g09490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVNPYDKESMKMAMLKHEETFRYQVYELHRLYRIQKGLMKNIAEQKRQQESQGAKKAAITTNSNDKQDSSKGTAEECIGESGDLGIEDESELELTLGPSCYNRRRKAAEAASDSALSFSSSSSDSSHVRRSSSGKAESNLVGEIKSRSNNAPAAEQFRQDKMKSHPPWLFQFLSLNMT >itb03g09490.t3 pep chromosome:ASM357664v1:3:7334261:7335355:1 gene:itb03g09490 transcript:itb03g09490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVNPYDKESMKMAMLKHEETFRYQKGLMKNIAEQKRQQESQGAKKAAITTNSNDKQDSSKGTAEECIGESGDLGIEDESELELTLGPSCYNRRRKAAEAASDSALSFSSSSSDSSHVRRSSSGKAESNLVGEIKSRSNNAPAAEQFRQDKMKSHPPWLFQFLSLNMT >itb03g09490.t1 pep chromosome:ASM357664v1:3:7333684:7335388:1 gene:itb03g09490 transcript:itb03g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVNPYDKESMKMAMLKHEETFRYQVYELHRLYRIQKGLMKNIAEQKRQQESQGAKKAAITTNSNDKQDSSKGTAEECIGESGDLGIEDESELELTLGPSCYNRRRKAAEAASDSALSFSSSSSDSSHVRRSSSGKAESNLVGEIKSRSNNAPAAEQFRQDKMKSHPPWLFQFLSLNMT >itb03g09490.t2 pep chromosome:ASM357664v1:3:7333684:7335388:1 gene:itb03g09490 transcript:itb03g09490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSDTRYWAVFSWFVFSFEVILTICIQVYELHRLYRIQKGLMKNIAEQKRQQESQGAKKAAITTNSNDKQDSSKGTAEECIGESGDLGIEDESELELTLGPSCYNRRRKAAEAASDSALSFSSSSSDSSHVRRSSSGKAESNLVGEIKSRSNNAPAAEQFRQDKMKSHPPWLFQFLSLNMT >itb01g24140.t1 pep chromosome:ASM357664v1:1:29869432:29873328:-1 gene:itb01g24140 transcript:itb01g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFRCKSLPHLRYSYVRFTLTYNLYGTNASVESTSNNAKRDSFTVSYLINRCGFTPKKALSASKYINFKTPNRAESVLSFLKVHGFSETQALKLVRTDPTLLACNPEKSFLPKLEFFKTLGFPGEHLTHILCVAPTALRRSFERRLLPTIGFLENLLLSQESMRVAVRRYPNILCKDLKAHLEPNVQLLKEMGVPEHKIVYFLTHQPLTFMMYRDKFRKIVEELKELGTDPTRKNFLLAFHALACMSRLTWEKKMESYRKWGLAENEIFEAFSRNPWFMIASQEKGVILKSLTVDTKLLLAGWPQLEAMAAAWSIFVVPHTQRRTDITKLLHNSVFRRRERGNTVFGGFEKQSGARERERKRNKLGD >itb08g11480.t2 pep chromosome:ASM357664v1:8:11456805:11459710:1 gene:itb08g11480 transcript:itb08g11480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MKIMLEGNPLLEEALNGILYNNDNNNFPETMGIADLGCSSGPNTLTVVSKIIGIVYNSTRKTGQPVPELRVYLNDLPWNDFNDIFMSLPAFYKRLKEEKGKEMENCFVTGVPGSFYGRLFPRKSLHFIHSSSSLHWLSQVPPSLDGEDASTALNKGKIYISKTSPPSVLTAYSLQFQKDFSLFLKSRSAEMVPGGGMVLSFMGRSSGDPTTEDSCYQWELLAQALFALVSKGVVEEEKVDCFNAPYYAPSVEEVKDAVDGEGSFIINRIEAFEVEWDGDLELETNSSSGIMCCETLRMMSRGERVAKTIRAVVESMLTCQFGRNVMDELFTIYSELVDDYISRTRAKYINLLISLTRKS >itb08g11480.t1 pep chromosome:ASM357664v1:8:11456550:11459827:1 gene:itb08g11480 transcript:itb08g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) UniProtKB/Swiss-Prot;Acc:Q9AR07] MECLQFLHMNKGEGETSYAKNSTLQMKIMLEGNPLLEEALNGILYNNDNNNFPETMGIADLGCSSGPNTLTVVSKIIGIVYNSTRKTGQPVPELRVYLNDLPWNDFNDIFMSLPAFYKRLKEEKGKEMENCFVTGVPGSFYGRLFPRKSLHFIHSSSSLHWLSQVPPSLDGEDASTALNKGKIYISKTSPPSVLTAYSLQFQKDFSLFLKSRSAEMVPGGGMVLSFMGRSSGDPTTEDSCYQWELLAQALFALVSKGVVEEEKVDCFNAPYYAPSVEEVKDAVDGEGSFIINRIEAFEVEWDGDLELETNSSSGIMCCETLRMMSRGERVAKTIRAVVESMLTCQFGRNVMDELFTIYSELVDDYISRTRAKYINLLISLTRKS >itb15g08100.t1 pep chromosome:ASM357664v1:15:5695689:5698217:-1 gene:itb15g08100 transcript:itb15g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETTVLTGILLISLSIFLKIVRSARHRRLPPFPGIPFPIIGHLHLLKPPAPLHRTLYRLSQIHGPIISLRLGSRLVVVVSSPSLAEECFTTHDAVFANRPHTLAGKYIGYNDTTLVGLPYSDEWRHLRRLSAQEIFSAARINSFHSIRQHEVKHLLQSLYRGSKTSSFARVELKPKLLQAAFNVIMRMIAGKRYFGENDNQETKNVPKLINKILETGEASNPEDFFPLLRWLDCRGLKKKLAALGDRMDAFHQSLIDEHRREKRTNTMIGHLLSLQESQPLFYTDLTIKGLIINMIVAGTDSSVVTMEWAMSALLNHPEVMNKARAELDRVVGCGRLVDEPDLSELPYLQCIMSETFRLFPAGPLLLPHYSSQPCKVGGYEIPSDTMLLVNAWAIHRDPGLWDDPMRFMPERFEGREVESSHMLMPFGMGRRSCPGAGLARRMIGLVLASLIQCFDWERVTKDQVDMTEGKGLTMPKAKPLEAMCKAREEMHKVLAFP >itb01g00710.t1 pep chromosome:ASM357664v1:1:322409:323259:1 gene:itb01g00710 transcript:itb01g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPNFQCFCQQAITSCSDFVVKSAASFNGEDLLQLILALLDGAIKKKLLASEACRDDLEGIPCTTSALALRLGEVDESLHCRLLEAGN >itb03g04230.t2 pep chromosome:ASM357664v1:3:2649169:2653021:1 gene:itb03g04230 transcript:itb03g04230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRPRTSSFSRNIAVAPVPQIPGLKHGPNGTMFLSSGISDLDKILGGGFSLGSLVLVMEDPEAPHHMLLLRNFMSQGIVHKQSLLYASPERDPRSFLGTLPSLMSSKDDKSRERDTEQDKGLRIAWQYKKYFGEQNSEVQLGGKAEYCNDFDLRKPLERHFLSGQRIDCISLQDFPNLDPLRQCCSTFLAQIPRCDGNMTCTGRIAIQSLCAPQCKFSNNEWDILSFIRSLKCMIRSSNAVAVISFPSSLISPSSLKRWQHLADTLISVRPIPDEDKDLAKLLTGYQDMLGLLNVHKVAHINTQVPVILEATTFSIKLHKRRSLILECLNQAPVDGSSGASYGSSGNCSGSSKTGNLDF >itb03g04230.t3 pep chromosome:ASM357664v1:3:2649378:2652912:1 gene:itb03g04230 transcript:itb03g04230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRPRTSSFSRNIAVAPVPQIPGLKHGPNGTMFLSSGISDLDKILGGGFSLGSLVLVMEDPEAPHHMLLLRNFMSQGIVHKQSLLYASPERDPRSFLGTLPSLMSSKDDKSRERDTEQDKGLRIAWQYKKYFGEQNSEVQLGGKAEYCNDFDLRKPLERHFLSGQRIDCISLQDFPNLDPLRQCCSTFLAQIPRCDGNMTCTGRIAIQSLCAPQCKFSNNEWDILSFIRSLKCMIRSSNAVAVISFPSSLISPSSLKRWQHLADTLISVRPIPDEDKDLAKLLTGYQDMLGLLNVHKVAHINTQVPVILEATTFSIKLHKRRSLILECLNQAPVDGSSGASYGSSGNCSGSSKTGNLDF >itb03g04230.t1 pep chromosome:ASM357664v1:3:2649169:2653021:1 gene:itb03g04230 transcript:itb03g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRPRTSSFSRNIAVAPVPQIPGLKHGPNGTMFLSSGISDLDKILGGGFSLGSLVLVMEDPEAPHHMLLLRNFMSQGIVHKQSLLYASPERDPRSFLGTLPSLMSSKDDKSRERDTEQDKGLRIAWQYKKYFGEQNSEVQLGGKAEYCNDFDLRKPLERHFLSGQRIDCISLQDFPNLDPLRQCCSTFLAQIPRCDGNMTCTGRIAIQSLCAPQCKFSNNEWDILSFIRSLKCMIRSSNAVAVISFPSSLISPSSLKRWQHLADTLISVRPIPDEDKDLAKLLTGYQDMLGLLNVHKVAHINTQVPVILEATTFSIKLHKRRSLILECLNQAPVDGSSGASYGSSGNCSGSSKTGNLDF >itb09g13310.t1 pep chromosome:ASM357664v1:9:8658051:8658521:-1 gene:itb09g13310 transcript:itb09g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKHPSCSVPNTTPSSSLGLNKDSQTISKLKPPKIRIIHIFAPEIIKTDVENFREMVQRLTGKPPEKQSGKKRARKVAESIIPAKKMEVRAGLQPASLREKIEDEIWCGGNSGGGFLGGLPEFDGFMEELNCIPFPILPLDVPVYNPADNIAT >itb04g04380.t1 pep chromosome:ASM357664v1:4:2693484:2694284:1 gene:itb04g04380 transcript:itb04g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVNTSETAQSSVLHFSHPHPLHLSNPHQFLSCSACCLEAKSAPIYTCTQCNFCLHQTCFEMPRKLTHPFDTAHVLSLLPTPAYPEGLFSCDACGKRGSGFSYHCQPCGIDLHTICASLPTLLAHSSHHHPLSLTFSPPYQGNRFSCDLCRRVGSKNWLYHCTACEFDIHLSCITTIPAPHHITSNSFINQANPMAHNSPVRPPGIEMARFRPVRPAGNLGSALMNSLVNGLASGASQAATQAIIQGITGENSGGMDGENAEISY >itb07g04100.t1 pep chromosome:ASM357664v1:7:2757509:2765200:1 gene:itb07g04100 transcript:itb07g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQRREKQKLIAITGAAALLAVAVNFAITAFNSHRRNRKRKEIPGLNVRVNLSASEILRLADHIIAKSKQVHDTVASVPLDKVSCANVVLQLAELEAEQFPLIQSCLFPKWVSTSEDVRKASAEAERRIDAHVSICSKREDVYRVVKALASRVDRMSTEAKRLTQILVRDFERNGLNLTLTKREELQRLRTQIDELSKQYIRNLNDDTAFLTLSETALKGLPPEFLETLEKAENGKFKIILRSHHVSPVLELCKVGSTRKTVALAYGRRCEVNVSVLEKLIQLRHKFARLLGYANFAEYSTDVRMASSSSKVFELLENVSASLTDLASRELAMLKELKKKEEGELPFGIEDLPYYTKRIKEQQCDLNFGAIRQYFPADLVLSGIFKICQDLFGLGFEENAGVELWHPDVKLFSVSDLSSKEPLGYLFLDLYSREGKYTHTCVVSLQNGSLINGTRQIPVALLVSQFDKEIGAPPGLLRFSEVVNLFHEFGHVIHHICNRASFPKFSGLRLDPDFVEIPALLFENWCYESLCLKLISGFHQDITKPIKEEHCNALKKWRYSFSALKLKQEIFYCLFDQIIHSTENVDIIGLFKHLYPKVMLGLPMLEGINPASCFPQTAIGYEATCYSRIWSEVFAADIFTQKFRDDIFNQQSGLQFRDKVLAPGGGSDPIQLLSDFLGREPSVQAFLSSRVDSSSFLS >itb13g26070.t1 pep chromosome:ASM357664v1:13:31428346:31429427:1 gene:itb13g26070 transcript:itb13g26070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML16 [Source:Projected from Arabidopsis thaliana (AT3G25600) UniProtKB/Swiss-Prot;Acc:Q9LI84] MMASAQADQLENLRAIFMRFDLDRDGSLTQLELAALLSSLGLKTSCDQIHALTAHMDSNGNGSIEFDELVRAIMPDVNEEVLLNQEQLMEVFRSFDKDGNGYITAAEMAGQMAKLGHPLTYRELTEMMREADVNGDGVISFNEFAGILGKSAAEFLGLTVA >itb02g16490.t1 pep chromosome:ASM357664v1:2:12366283:12369254:1 gene:itb02g16490 transcript:itb02g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKDSEIKLFGKKIALPENGRVVLFDGAGEYAESCENDCGSERDRCSDDSKLNGSAGKGGADVDEIDTQKPEDDDKELLSEEFSEEKDQDQDMDELESTKNESESDNNVKTPPADEDSPSPKTSKTENDQTETNNSQQKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKSCQRYWTAGGTMRNVPVGAGRRKNKNSASHCRHITITEALQAARIDAPNGFHHPTYKPNGTVLSFGPESPLCESMASLLNLADKKVPNGMRNGFYKHEQGNSPNKVGENGDDCSSVSSVTTTSSVAGGKNLPQEAVMPNINGFPTPVPCLPGVPWPFPWNAAVPLPAICPPGFPMPFCPAPYWNCVVPGPWSLPWLAPPSPTANQKASSSSPNSPLGKHSREGELLSPNNPEAKESSEQKSSGNSVLVPKTLRIDDPDEAAKSSIWSTLGIKYDSISRGGLFKALQPKSDEKSHTATPPSALQANPAALSRSLSFQESA >itb02g08760.t1 pep chromosome:ASM357664v1:2:5543107:5544047:-1 gene:itb02g08760 transcript:itb02g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEQQHPQTLLHLFDSLWFQHQILASKNPTSISSNSNPTPQEDAENPKLSRTPTFISRSFSDQCLSSNDSLLDSEVPSPKSVLLESSKLQPILSGKEYIGISEDAGGSFTKNGENCSGRRRKRRKDGSGNSKSLSELEFKELKGFMDLGFVFSDKDRDSTLVSIIPGLQRLGREGVEEEGEKKGIAVSRPYLSEAWDVMGENMKNQNSLVNWRIPAFGNEMDMKNHLRFWAHTVASSAR >itb02g26260.t1 pep chromosome:ASM357664v1:2:27031061:27033429:1 gene:itb02g26260 transcript:itb02g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MKMRQRRSPRLFFVFVYVTLFLGLQICASDDADDDIVNAAKRLNFENQSLRDAYIALQSWKAVMLSDPLNFTLNWVGPDVCSYTGVYCAPLPTSKASVKVVAGIDLNHADIAGHLVPQLGLLSHLALFHLNSNRFCGVVPRSFKNMTLLRELDLSNNRFAGGFPRAVLSMPSLKFLDLRFNEFEGPVPSKLFDKDLDALFLNDNRFRFGIPENLGNSPVSVLVFANNDLGGCIPASIAKMGKTLNQLILLNDNLTGCLPPQIGMLKKLTVFDVGFNKIQGPLPSSVGSMRSLEQLNVAHNRLTGVIPASVCQLPRLQNFTYSFNYFTGEAPACVGRDGRENCIPGKKGQRSARECSSGDAKPFDCRKSSKCGGSNNFASSPKSKTKSKRRARPSPPSKSSPAHPPPPSKSSSSSHTRSHPSPPLLSSPPPPTQKVHFPPPPPTQNTHQAPPPPPNDYVKFPPPEHSSITPPPSSYHSSYTSPPPPPHSNEYVKSPPPPPATHYTYTSPPPPTYHTYTSPPPPPSKSPLIHPSHPLPLSPPPPSCNDSQSVPPPPPLHQHIPLPPVKGVPYASPPPPVIPYY >itb02g26260.t2 pep chromosome:ASM357664v1:2:27031150:27033422:1 gene:itb02g26260 transcript:itb02g26260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MKMRQRRSPRLFFVFVYVTLFLGLQICASDDADDDIVNAAKRLNFENQSLRDAYIALQSWKAVMLSDPLNFTLNWVGPDVCSYTGVYCAPLPTSKASVKVVAGIDLNHADIAGHLVPQLGLLSHLALFHLNSNRFCGVVPRSFKNMTLLRELDLSNNRFAGGFPRAVLSMPSLKFLDLRFNEFEGPVPSKLFDKDLDALFLNDNRFRFGIPENLGNSPVSVLVFANNDLGGCIPASIAKMGKTLNQLILLNDNLTGCLPPQIGMLKKLTVFDVGFNKIQGPLPSSVGSMRSLEQLNVAHNRLTGVIPASVCQLPRLQNFTYSFNYFTGEAPACVGRDGRENCIPGKKGQRSARECSSGDAKPFDCRKSSKCGGSNNFASSPKSKTKSKRRARPSPPSKSSPAHPPPPSKSSSSSHTRSHPSPPLLSSPPPPTQKVHFPPPPPTQNTHQAPPPPPNDYVKFPPPEHSSITPPPSSYHSSYTSPPPPPHSNEYVKSPPPPPATHYTYTSPPPPTYHTYTSPPPPPSKSPLIHPSHPLPLSPPPPSCNDSQSVPPPPPLHQHIPLPPVKGVPYASPPPPVIPYY >itb12g10900.t1 pep chromosome:ASM357664v1:12:8993169:8997430:-1 gene:itb12g10900 transcript:itb12g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPHRHFEPISKCSPEGRSNQTVAADLDGTLLLSASAFPYFLLVAMEAGSLLRALLLLVSVPLVYFFYHFVSEPLAIKAFIFLSFAGLKVKDIEMVSRAVLPRFYSEDVRADAWKVFSSFGKRYIVTANPRIMVEHFAINFLGADKVVGTELEVSRTGRATGFVKPPGVLVGELKRAALLKEVGTNVPDLGLGDRETDHDFMSICKEGYMVPRSKCEALPRKQLLSPIIFHEGRLVQRPTPFMALLTFLWMPIGIILSLIRVYLNIPLPEKVVRYTYMILGIKLIVKGTPPPPPRAGQRGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFSELISPIKAVALSREREKDAAHIKQLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAVSTKQSVFYGTTVRGHKVLDPYFVFMNPRPTYEITFLDQIPPELTCRGGGKSAIEVANYIQKVLAGTLGFEGTNLTRKDKYAMMAGTDGRVHTMDNKDK >itb03g21660.t5 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSSKLCYKMRFLDCDESWNLFCVKIQWCLNKDFETIGRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSGLISQSLSLI >itb03g21660.t8 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSSKLCYKMRFLDCDESWNLFCVKIQWCLNKDFETIGRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSDQNNSQGEEIKEDQKSNDAEQIDEIFDEQKNEKDIKMSVFLDARSLYIPHVNSRRYWMWTRDSGHEVAELVNVCWLEITGTVDTGCLSKITCYSAYLVFKLDPLSERLETAVACVKYLNDKGNYGENRRCQVFLEKSKYSGDPGQFPDCRPDGWMEIKLGDFYVSSGNEGEVQMRLWNTEPDWKSGLIVRGIEVRPI >itb03g21660.t4 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSSKLCYKMRFLDCDESWNLFCVKIQWCLNKDFETIGRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSGLISQSLSLI >itb03g21660.t6 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSSKLCYKMRFLDCDESWNLFCVKIQWCLNKDFETIGRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSARERRSKRIRRVMMRNRLMKSSMSKRTRKI >itb03g21660.t7 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSSKLCYKMRFLDCDESWNLFCVKIQWCLNKDFETIGRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSECIP >itb03g21660.t1 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSDEDQNNSQGEEIKEDQKSNDAEQIDEIFDEQKNEKDIKMSVFLDARSLYIPHVNSRRYWMWTRDSGHEVAELVNVCWLEITGTVDTGCLSKITCYSAYLVFKLDPLSERLETAVACVKYLNDKGNYGENRRCQVFLEKSKYSGDPGQFPDCRPDGWMEIKLGDFYVSSGNEGEVQMRLWNTEPDWKSGLIVRGIEVRPI >itb03g21660.t3 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSSKLCYKMRFLDCDESWNLFCVKIQWCLNKDFETIGRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSDEDQNNSQGEEIKEDQKSNDAEQIDEIFDEQKNEKDIKMSVFLDARSLYIPHVNSRRYWMWTRDSGHEVAELVNVCWLEITGTVDTGCLSKITCYSAYLVFKLDPLSERLETAVACVKYLNDKGNYGENRRCQVFLEKSKYSGDPGQFPDCRPDGWMEIKLGDFYVSSGNEGEVQMRLWNTEPDWKSGLIVRGIEVRPI >itb03g21660.t2 pep chromosome:ASM357664v1:3:19644036:19648547:-1 gene:itb03g21660 transcript:itb03g21660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVTSLMRTIELEFLQSNPRLALKNREHIKSLHEKLGFLQMFLEESEKKADNCEDLIAKIRRVVSKAEYDIEAEVAGIRRLQTMDRTLSGVLEDVEQLMEMTKNAHNHDLAKDFQKIQHLGSLSSSPGSQSSSSQHYTSKFEDTMVGHYKEFEEFKDLLLPLSNNEPRKVMAVVGMGGIGKTTFARRIFHDCAVRSYFDCCGWVTITEEHNKRQVLLDLCCSVMPVMRDDFKTRTDDQLAEQLQKSLKGRRYLIVVDDIWSTDAWDNIQTYFPEHGTSSRILLTARLQEVASYACSRRKIVENCRGLPLTIVVLAGHLSAKMAVDEWKSVESTLNSLVNLDLYQHFSRILNLSYNNLPCHLKSCFLYLGVFPEDSEIEIKRVIRLWIAEGFIEEEETTTLERTGKKYLKDLINRSLIMISGRGSNGKVKTCKMHDLLHELCASKAKTEKLLCTRDSSGHDDKYNNLVRSDGNRWLNLTLVSQLHHLSITSKKSRSILCFDRRDRYLRVNYVKMRAECFKMVRVLDLTALDYVGSIPSDIVLLRYLALASTRLLTSIRVWRNWNLQTLIICEDISGVRKLPRGIWELPQLRHLELYHQLIPMYTPEEAQLNLQTMYWLKCLQCTEEQVLLRIPNVKELGILAQGCRCHHCFLDNLSCLNKLEKLKVQGSYCPVKLQPYTLPQSLKNITFAKTVMPWEAMNIISMLPKLEVLKLKNHACVGQEWKLTVERGFPELKLLLISVMDLKHWELADDVDDDHPFPKLERLVLRNCFELKEMPSWIENLSNLKSVQLEHCHASLVTSARMIEKEQRECCGEECAFNILEFYTQSDEDQNNSQGEEIKEDQKSNDAEQIDEIFDEQKNEKDIKMSVFLDARSLYIPHVNSRRYWMWTRDSGHEVAELVNVCWLEITGTVDTGCLSKITCYSAYLVFKLDPLSERLETAVACVKYLNDKGNYGENRRCQVFLEKSKYSGDPGQFPDCRPDGWMEIKLGDFYVSSGNEGEVQMRLWNTEPDWKSGLIVRGIEVRPI >itb15g05260.t1 pep chromosome:ASM357664v1:15:3376787:3381079:-1 gene:itb15g05260 transcript:itb15g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSPDRESVESVAKISTISSGGRVQDRKEFLRRFTDSEILTTNLTTWFEEIAEKSAHDALPFETPFELIDLQKFDYALEGVPFQQLVRMPSAVYASTSGAVEATAYLALEDFLHAGVKGLWEAFWGQDEPLPFYVSCVYNTNLKFYQAEKAISKGRLGGICATAIILKNPRHAQGKWDDILELALLRPDIGSLATLDSNRKPSLSIINEALFFAIRVLVSRSLSKSNIPLSLNSVFVLLVDSQYGGVMKIEGDVSKLEIDLNNVYGCAAEWIINHASIAISPIDRIWNKLGNANWGDIGALQVLFATFHSITQYAGMSKNSVEDLAADHSSRLQSRRIERQLGDNRVNGNGLFRHQQHSASPEIVEVQEESIRLESNKPMMLEVGSVMLIEDSNLQKGYHINEMLSDGEITYYIASPVDDPGKSLFLYVGSHPSQLEPAWEDMKLWYQVQRQTKVLCVMKQKGLSCKYLPQLCASGRIVHPGQCRRSSSGGNCEHPWCGTSILATSPVGKTVADMVRDGQFGTDEAIRCCHDCLSALSSAASAGIRHGDIRPENIIYVTSGVRQPYFILIGWGHAILEERDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFSSGGAMPELDSVEGALQWRETSWSKRLIQQNLGDISAVLKAFADYVDSLCGTPYPLDYAIWLRRLKRHVFEEDHGKEIDTSN >itb12g26440.t1 pep chromosome:ASM357664v1:12:27349394:27350685:-1 gene:itb12g26440 transcript:itb12g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRKRLGQARCGLVRTKALKSEDGRRITGAFYQRRKRQNGRRAWEARGRRMRYLEGRTLTCAELVSRAFTAYTCTQFSHFARFSATHKTPSRKSYLCFIPFSSSSPSLSIILRRIGYVWR >itb09g07190.t1 pep chromosome:ASM357664v1:9:4166706:4168379:-1 gene:itb09g07190 transcript:itb09g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILKNCLQISLKLANLTLGTVGIAMLMYGLWMIRVWQRDAAGSGYAFPWFVHAFLGIGIGLCAITFLGHFAAHTANPFCLTSYMLTIFLLLLAETGLLADVYLNSDWEKDLPEDPSGRFNDFKNFVKNNSEDFQWITLFIVLAQGVSILLATVLRTLGRDRRYERENGEPRVPLLNQPDQTLPAYPYVLGEPRFPCKNV >itb09g07190.t2 pep chromosome:ASM357664v1:9:4166791:4168379:-1 gene:itb09g07190 transcript:itb09g07190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILKNCLQISLKLANLTLGTVGIAMLMYGLWMIRVWQRDAAGSGYAFPWFVHAFLGIETGLLADVYLNSDWEKDLPEDPSGRFNDFKNFVKNNSEDFQWITLFIVLAQGVSILLATVLRTLGRDRRYERENGEPRVPLLNQPDQTLPAYPYVLGEPRFPCKNV >itb07g18370.t1 pep chromosome:ASM357664v1:7:22807988:22809016:-1 gene:itb07g18370 transcript:itb07g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVTDPLDDRVKAQIVGHRAQVAEDDDLSSSSFSEIVFDFPEHDAGDYSGSERDLPPCNSDGELEGEVETINCGGDKDSFRNVLASRVSEAVELLSSCLNSSNTPEILRRHVTAYLRDFGYNAGVCKTKWERSEGITAGDHEFIDVLRSDSQNRITRYIVDLEFSSEFEIARPTTHFEGLILSLPKVFVGKSEELKKIVKVMSKAAKRSFKSRGLHLPPWRKQRFMENKWFGSYRRNTNFRPASTTSMELLPPLEQALAVKCRSVGFDAAVNRRLLFPVVTRTR >itb15g04740.t1 pep chromosome:ASM357664v1:15:3050276:3053141:-1 gene:itb15g04740 transcript:itb15g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRASSSLRKQGLNIQNTRIMSPGLGISNRCLEDCDSGVYWPAKAVTDRFLSLRKFHKTARSSPAEVWGIRSFSSQADTKSSGGEDDELDGFSDLESPATSGAIQKDIVDNNEDLVSESDLSEEDINDDFKDLINLSDTEAEISEKKSPRKRVTSKMFKAILDNPASSVSNVMNKWVEEGNEVTRLEVAVALTNLRKRRMFVKALQLSEWLESSRKIDFTERDFACRVDLIAKVRGLQRAEAYMQHIPSSEVVYRTLLANSVSAVNVKKSEEVFNKMKELEMPITCFAYNQLLLLYKRTDKKKIADVLLLMDKENVKPSHFTYQMLIDTKGQVNDIEGMEQIVETMKDEGLEPDIRINQLLAKHYIAAGCNEKAEVVLKKMEGGNIKENRWACQILLPLYASLGMAEDVSRIWQVCKSNPKIDECVAGIQAWGRLKKIEEAEDVFDILVNKYKKAKNSISKGYYTLLSAYADNKMLAKGKELVKQMGESDFPIGPLTWDAVIKLYCNAGEVEKADSILKKAGEKGQRKPLLRSYITIMDEYAKRGDVHNSEKIFYRMRQVGYTSRLKQFQTLIQAYINAKVPAYGMSERLKADNIFPNKALAGMMAQVDGFRKTAVSEILD >itb14g01420.t1 pep chromosome:ASM357664v1:14:1098683:1100252:1 gene:itb14g01420 transcript:itb14g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNAPRYLLNHAALSSSVYYQGKEDDNGSIIDLGLSLRVINPAETYHPSPHHGSYEELIDWQDLHPQIRNGRTEKDPRAYIEHCDDNEAEGIQSKLKERWDYVKVNMDGVIVGRKICILDHISYSTLALQLEDMFGKQSISGLRLFQDGSEFSLFYKDRDDIWRMVGDVPWK >itb11g13560.t1 pep chromosome:ASM357664v1:11:10581090:10584522:1 gene:itb11g13560 transcript:itb11g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MGRGISALLRPRYLLIFLLALVLIYFASSSFRQEEEKVEEVFEVTHRVFLDVDIDKQRVGRIVIGLYGKVVPKTTENFRALCTGEMGKTANGITLHYKGTPFHRIIPGFMIQGGDIAYGDGRGNDSIYGGSFPDENFKIRHSHPGVVSMVNFGPHSNGCQFFITTVKASWLDGEHVVFGKVIEGMDTVYAVEGGAGTYSGKPRKKVIIGDSGEIPKSEWDEDNRSSTS >itb13g11740.t1 pep chromosome:ASM357664v1:13:16636583:16638506:1 gene:itb13g11740 transcript:itb13g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINYFLFLSFVFFCICILWAKSKAAAKQKLPPGPWKLPFLGSLHHLVGSLPHHSLRNLSRKYGPIMHLQLGEISTVVISSPQLARAITKTHDLVFASRPNLMALDIVFYKSTDVVFSPYGDYWRQMRKICVLELLSRKMVKSFCSFRQEELSNLVSSIRLSETTGFPINLTEKVSWFTSSVIAKVAFGRVCSDDQEKFIALLKEVLSLAGGFDVDDLFPSKKWIHYISGMKPKLLKLHHELDRIFDIIIGEHKENHLKNRNSSGKDEDIVDVLLRVKEGGELQFPITEDNIKAVINDMFSAGTETSATTIIWAMSEMIKTPSVMAKAQAEVRQALKGKKTFDDKDLENLTYLKLVIKETLRLHPPFPLILPRESMEEAKIGEYIIPSKTRVIINAWAMATNPESWEDPERFLPERFENSFVDFMGNHYEYIPFGAGRRMCPGISFASTNIAHSLAGLLYHFNWELPNGVSPKDMDMTEAIGVVAFKKEDLCLIARPFVDL >itb03g20270.t1 pep chromosome:ASM357664v1:3:18203443:18207603:1 gene:itb03g20270 transcript:itb03g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGSSVASAGRTAGRSFNFGRTYVVKPKGKHQATVVWLHGLGDNGSSWSSLLETLPLPNIKWICPTAPQQPITLFGGFPSTAWFDVNDLSENAIDDIEGLDASAAYVASLLATEPPEIKLGVGGFSMGAATSLYSATCFTHGKYENGNPYPANLSAVVGLSGWLPCAKTLSNKIAGVEEAARRAASLPILLCHGKGDEVVPYKFGEKSSQKLISSGFKDAIFKSYTALGHYTIPEEMDEVCAWLTSKLGLDGK >itb03g20270.t2 pep chromosome:ASM357664v1:3:18203520:18207603:1 gene:itb03g20270 transcript:itb03g20270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGSSVASAGRTAGRSFNFGRTYVVKPKGKHQATVVWLHGLGDNGSSWSSLLETLPLPNIKWICPTAPQQPITLFGGFPSTAWFDVNDLSENAIDDIEGLDASAAYVASLLATEPPEIKLGVGGFSMGAATSLYSATCFTHGKYENGNPYPANLSAVVGLSGWLPCAKTLSNKIAGVEEAARRAASLPILLCHGKGDEVVPYKFGEKSSQKLISSGFKDAIFKSYTALGHYTIPEEMDEVCAWLTSKLGLDGK >itb04g12080.t1 pep chromosome:ASM357664v1:4:11818831:11819352:-1 gene:itb04g12080 transcript:itb04g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNMQLEKPDYNCSDSHLVLDSRLVSRAHPSYCFPIPVLDWSVELPMEAEASFPHGHEEFDIFPTSPPPDVVRMRYDRLRSIAGRVQTVVGDLATQGERLRSLLSWRDPRATTLFVIFCLVAVVVLYVTHIQVVTLLIGFYTLRHPRFRYKLPSVPLNFFRRLPARTDFML >itb10g24530.t1 pep chromosome:ASM357664v1:10:28294573:28297371:-1 gene:itb10g24530 transcript:itb10g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MSLLKPFCRSLHSSVTRLVSVNSRPSSSPDTSLADKAIAVLRGQCPIPLSSLASQFTPESASQLLLKSQFDKTLCLQFIAWARRRRFFTIECKCVSLHILTRFKVFKTAQSLAGEVAATTGDDGGGFVFSCLKDSYFACNSSSAVFDVVVKSYSQLKMINTAMNIIHLVKSNGFMPSVLSYNSVLLEIIKASSNGHIDLAQKVYEDMISSGISPNVYTYNILIRCFCRNGIIEKGLQFFYKMAENGCLPNVVTYNTLIDSYCKMGKISEAFKLLKDMSVRNLEPSLITYNMIINGLCKAGRMKETSEVLDELRRKGLTPDEITFNTLINGYCREGNFHQALVLHSDMLRQGLSPCVVTYTSLINSMCKARNLQRATELFDQMCGRGLYPNERTYTTLICGFSQQGCMVEAYELLNKMIASGFSPGIVTYNALINGHCVLGRTEDALKVIEDMSQRGIVPDVVSYSTIMSGFCRNRDLDKAFGMKDQMVKKGVFPDNIAYSTLIHGLCEQQKVSEACLLFREMQKIGLQTDKHTYTTIINAYCSEGNISGALCLHDEMISEGFFPDVVTYRVLINGLQKQAHSREAKQLLFKLFYEESVPNDITYQMLIDSCSNLEFRSAVDLIKSFCMKGLLNEADQVHELMLQQNQKPSEAVYNVLVHGHSRGGNVQKALNLYREMMDCGLAPHAISVIALMKELFREGKDRELNQVIQNTLSSCRLTDAEVAKVLVEVNCKEGNMDAVFNVLIEMAKDGLLPNSG >itb11g13710.t1 pep chromosome:ASM357664v1:11:10742590:10743394:1 gene:itb11g13710 transcript:itb11g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSAALRVRSCTCFEIPRTFLFLSGTSIFNKLRPKDSSSISLQEAFIQFSPYWDHVTRYYKASIQFPNNVFFVRYEDLKTETVFHVKRLAEFVGCPSSEEEEN >itb07g20320.t2 pep chromosome:ASM357664v1:7:24698681:24701823:-1 gene:itb07g20320 transcript:itb07g20320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIITHLTFFIIFLQFSSPCLSVRDVSLQQTNSSSSSSCPLDFDALRRLVEQSSSRPTLNTTTNCQYILQGLRLVQSDYLRRTNSFLPPLVSAQSCWDSYQAVVNGFLPGFDIRTRCGFQTGWISQGCMNITTRPEFESNVSAAALSSVVSACNQSLDNNSPCATCTTSLSSLSASYLTGPSIGNVSDCTAYPSIYAAAFANRFGPTDKGTAKCLFGLDSSSPSSGSGKKKVVIPIVSVLCGLILVLGFGYWYLRRRRKRKFMKKWRSSSNLGSALESISSSTTLIKFSFDEIKAATKNFSRVNIIGMGGYGNVYKGVLSDGSEIAVKRFKNCSLAGDASFAHEVGVIASVRHVNLVALRGYCTTTSFEGHQRIIVCDLMKNGSLHDHLFGSARKKLSWPIRQKIALGMARGLAYLHYGAQPAIIHRDIKANNILLDDNFEAKVADFGLAKFTPEGMTHISTRVAGTMGYVAPEYALYGQLSERSDVYSFGVVLLELLSGKKALIAVNEGQPTLVADWAWALVRERRALDVIEDNMPELGAPAIMEKYVLVAVLCSHPQLYARPTMDQVVKMLDTDVPVPMIPERPVPLIAGIEDIERSVSSSGSGYLSTSAGYQPYAFEGNSPKAPEGNGEDSVGKGNSPKAPEGDGEDSVGRRERLKSTLQLLLNS >itb07g20320.t3 pep chromosome:ASM357664v1:7:24699019:24701823:-1 gene:itb07g20320 transcript:itb07g20320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIITHLTFFIIFLQFSSPCLSVRDVSLQQTNSSSSSSCPLDFDALRRLVEQSSSRPTLNTTTNCQYILQGLRLVQSDYLRRTNSFLPPLVSAQSCWDSYQAVVNGFLPGFDIRTRCGFQTGWISQGCMNITTRPEFESNVSAAALSSVVSACNQSLDNNSPCATCTTSLSSLSASYLTGPSIGNVSDCTAYPSIYAAAFANRFGPTDKGTAKCLFGLDSSSPSSGSGKKKVVIPIVSVLCGLILVLGFGYWYLRRRRKRKFMKKWRSSSNLGSALESISSSTTLIKFSFDEIKAATKNFSRVNIIGMGGYGNVYKGVLSDGSEIAVKRFKNCSLAGDASFAHEVGVIASVRHVNLVALRGYCTTTSFEGHQRIIVCDLMKNGSLHDHLFGSARKKLSWPIRQKIALGMARGLAYLHYGAQPAIIHRDIKANNILLDDNFEAKVADFGLAKFTPEGMTHISTRVAGTMGYVAPEYALYGQLSERSDVYSFGVVLLELLSGKKALIAVNEGQPTLVADWAWALVRERRALDVIEDNMPELGAPAIMEKYVLVAVLCSHPQLYARPTMDQVVKMLDTDVPVPMIPERPVPLIAGIEDIERSVSSSGSGYLSTSAGYQPYAFEGNSPKAPEGNGEDSVGKGNSPKAPEGDGEDSVGRRERLKSTLQLLLNS >itb07g20320.t1 pep chromosome:ASM357664v1:7:24698681:24701823:-1 gene:itb07g20320 transcript:itb07g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIITHLTFFIIFLQFSSPCLSVRDVSLQQTNSSSSSSCPLDFDALRRLVEQSSSRPTLNTTTNCQYILQGLRLVQSDYLRRTNSFLPPLVSAQSCWDSYQAVVNGFLPGFDIRTRCGFQTGWISQGCMNITTRPEFESNVSAAALSSVVSACNQSLDNNSPCATCTTSLSSLSASYLTGPSIGNVSDCTAYPSIYAAAFANRFGPTDKGTAKCLFGLDSSSPSSGSGKKKVVIPIVSVLCGLILVLGFGYWYLRRRRKRKFMKKWRSSSNLGSALESISSSTTLIKFSFDEIKAATKNFSRVNIIGMGGYGNVYKGVLSDGSEIAVKRFKNCSLAGDASFAHEVGVIASVRHVNLVALRGYCTTTSFEGHQRIIVCDLMKNGSLHDHLFGSARKKLSWPIRQKIALGMARGLAYLHYGAQPAIIHRDIKANNILLDDNFEAKVADFGLAKFTPEGMTHISTRVAGTMGYVAPEYALYGQLSERSDVYSFGVVLLELLSGKKALIAVNEGQPTLVADWAWALVRERRALDVIEDNMPELGAPAIMEKYVLVAVLCSHPQLYARPTMDQVVKMLDTDVPVPMIPERPVPLIAGIEDIERSVSSSGSGYLSTSAGYQPYAFEGNSPKAPEGNGEDSVGKGNSPKAPEGDGEDSVGRRERLKSTLQLLLNS >itb03g07160.t2 pep chromosome:ASM357664v1:3:5236918:5244385:1 gene:itb03g07160 transcript:itb03g07160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSVCQKASHYMCYTCTYSLCKGCITNADYFSVRGNKGFCSTCMRTIMLIENKDEANKEMVQVDFDDKTSWEYLFKVYWMYLKGKLSLTLNELIQAKNPWKEAVAAQSNLQVANANDSKSVIGKSSEQIEVNNHKEREEIAKKDSLSSENQSTAKSENAGIAISNEHLGLMDPNVPPNKDKLGSANGSAMKGYTEWATKELLEFVAHMKNGDISALSQFDVQALLLDYIKRNNLRDPHKKSQIICDLRLKNLFGKPRLGHIEMLKLIEFHFLIKEDTQKNAFIPAGIVGDISSHLETDESSINSSLIIKNKKRKTCKKGEEKAPQVSLDEYAAIDAHNINLIYLRRSLMENLMEDTQKFHDDVVGSIVRIKITGSNQKQDMYRLVHVVGTSKVSTPYKIGEKTTDIMLEVLNLDKKEAVAIDTLSNQEFSEDECRRLRQSIKCGLVKRMTVGEIQKKAMALRPVKLNESLESEILRLNHLRDRASENGRKKDLRECIEKLQCLKTPEERRRRMLEIPEVHTDPKMNPNYESEEDAGGSEDKKQDENLRQRNPRLNKSGSKQIPPPLKKVKVEGAVIALMAQNKPNEKRQTSGVHTLGKRGNQTSVCGSVISGQVDKSVVRCGSETSVASLSTENSAPSSDDSETEKLWHYRDPSGHIQGPFCMMQLRRWNKTGLFPPDMRVWISDKHNESILLSDALHGQFHKASQILDNATIKDEGVEAASDSRGHAGWHGSSNGTVGESEGHHSDDKVHPNAVRTDELKPRSLLQCLNLLKENNSCSEKPQECNMMHSSSDGQVHLGLAQQERGHDSGGLHTDTDQGNQKLYGNTMSQLTDMASHEMQYNMQSVMGQLFGSLPVTNSENTDSGTHLESVTKSSDSPDQNGKINVSDLPSPTPKNNYESLEFQAAKELLSLSSDIPFHRSGIQDMPSPPPKANNDNQCGQTTETKEHLPSNIPIQDSGPSPNTSLVVDGVQLPEVTEWGGNSPTPKPPVEDWDPGLVSVSSLKPPEVLGDQVATPASNADQLTHSSPPSNVIEFSTLAEESVSDLLAEVDAMESQAQSGLGSPTSAMRCNVDLMQWSKSDFSSIEEMSPALGPAKSDAYSSTGDIQLPCQSPVTNELVRGGQTDGFDPSKRCNGHSSTSSEGETKSTDVSFSKGHSGSEVRPHVPCTVSQNTVVSAMDQSRGSEAMVTAWGTTQGNANYGAPQSVQGYANPGPGTSSKPAWRNPNTNRSAFNGNPAWDSQRRHAAERFPGPRDWAFQGGYSGHGRSRPAWNRQSFSGGGGSGGGHSRPPPKARVCKFYESGRCKKGASCDYLHP >itb03g07160.t1 pep chromosome:ASM357664v1:3:5236785:5244858:1 gene:itb03g07160 transcript:itb03g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYNNSSELYRPSLGAAESQRFDRDTTTTTVRRESLGGAQGKCTSSVQAMDGAQLVGGAVMQVGGGNPPSTVAAGVNNTSVAAVIGPEKRKRGRPPRGQTAAKPPPPKRKVEEEEEDVCFICFDGGSLVLCDRKGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSVCQKASHYMCYTCTYSLCKGCITNADYFSVRGNKGFCSTCMRTIMLIENKDEANKEMVQVDFDDKTSWEYLFKVYWMYLKGKLSLTLNELIQAKNPWKEAVAAQSNLQVANANDSKSVIGKSSEQIEVNNHKEREEIAKKDSLSSENQSTAKSENAGIAISNEHLGLMDPNVPPNKDKLGSANGSAMKGYTEWATKELLEFVAHMKNGDISALSQFDVQALLLDYIKRNNLRDPHKKSQIICDLRLKNLFGKPRLGHIEMLKLIEFHFLIKEDTQKNAFIPAGIVGDISSHLETDESSINSSLIIKNKKRKTCKKGEEKAPQVSLDEYAAIDAHNINLIYLRRSLMENLMEDTQKFHDDVVGSIVRIKITGSNQKQDMYRLVHVVGTSKVSTPYKIGEKTTDIMLEVLNLDKKEAVAIDTLSNQEFSEDECRRLRQSIKCGLVKRMTVGEIQKKAMALRPVKLNESLESEILRLNHLRDRASENGRKKDLRECIEKLQCLKTPEERRRRMLEIPEVHTDPKMNPNYESEEDAGGSEDKKQDENLRQRNPRLNKSGSKQIPPPLKKVKVEGAVIALMAQNKPNEKRQTSGVHTLGKRGNQTSVCGSVISGQVDKSVVRCGSETSVASLSTENSAPSSDDSETEKLWHYRDPSGHIQGPFCMMQLRRWNKTGLFPPDMRVWISDKHNESILLSDALHGQFHKASQILDNATIKDEGVEAASDSRGHAGWHGSSNGTVGESEGHHSDDKVHPNAVRTDELKPRSLLQCLNLLKENNSCSEKPQECNMMHSSSDGQVHLGLAQQERGHDSGGLHTDTDQGNQKLYGNTMSQLTDMASHEMQYNMQSVMGQLFGSLPVTNSENTDSGTHLESVTKSSDSPDQNGKINVSDLPSPTPKNNYESLEFQAAKELLSLSSDIPFHRSGIQDMPSPPPKANNDNQCGQTTETKEHLPSNIPIQDSGPSPNTSLVVDGVQLPEVTEWGGNSPTPKPPVEDWDPGLVSVSSLKPPEVLGDQVATPASNADQLTHSSPPSNVIEFSTLAEESVSDLLAEVDAMESQAQSGLGSPTSAMRCNVDLMQWSKSDFSSIEEMSPALGPAKSDAYSSTGDIQLPCQSPVTNELVRGGQTDGFDPSKRCNGHSSTSSEGETKSTDVSFSKGHSGSEVRPHVPCTVSQNTVVSAMDQSRGSEAMVTAWGTTQGNANYGAPQSVQGYANPGPGTSSKPAWRNPNTNRSAFNGNPAWDSQRRHAAERFPGPRDWAFQGGYSGHGRSRPAWNRQSFSGGGGSGGGHSRPPPKARVCKFYESGRCKKGASCDYLHP >itb15g16280.t1 pep chromosome:ASM357664v1:15:15715326:15715631:-1 gene:itb15g16280 transcript:itb15g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSVFPASSSKSRAANGGISVGESEQRSSAVGGLGGDAPGVGCSDGNIGFPLVDGSGWRTAATTFPPPSGTSTMQQKAANHPVRRSSAIMELPFNAQL >itb10g22720.t3 pep chromosome:ASM357664v1:10:27478231:27482332:1 gene:itb10g22720 transcript:itb10g22720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSGWTLLFCLLSPFLQLPLVSGNAEGDTLNALKINLDDPNNVLQSCDSTLVNPCTWFHVTCSPDNSVTRVDYANLTGQLVPHLDLLSNLQYLELYSKNISGITPNELGNLTNLVSLDLYMNHLSGSIPDSLGKLQKLCFLRLNNNTLNGHKYKIPANGSFSLFTPISFQNNPELRAPLVSPPPPFSPTPSSSSGGNSATWAITGGVAAGAALLFAGPAILLAWWRRRKPEVYFDVPSEEDSEVHFGQLKKFSLRELEIATDNFSNSNILGRGGFGKVYKGRLADGSLVAVKRRKEERTQGGEMQFQIEVELISMLVHRNLLRLLGFCMTPTERLLVYPYMANGSLASHLRGSCVHVGVERRDSDTPLDWAKRKRISLGAARGLAYLHDHCDPKIIHRDAKAANILLDEEYEAVVGNFGLAKYMDYNDIHVTTAVRGTIGHIAPEYLSTGKLSDKTDVFGYGVMLLEIISGQMAFDLARLANDDDAMLLDWVSNHSPKNF >itb10g22720.t1 pep chromosome:ASM357664v1:10:27478103:27482332:1 gene:itb10g22720 transcript:itb10g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSGWTLLFCLLSPFLQLPLVSGNAEGDTLNALKINLDDPNNVLQSCDSTLVNPCTWFHVTCSPDNSVTRVDYANLTGQLVPHLDLLSNLQYLELYSKNISGITPNELGNLTNLVSLDLYMNHLSGSIPDSLGKLQKLCFLRLNNNTLNGHKYKIPANGSFSLFTPISFQNNPELRAPLVSPPPPFSPTPSSSSGGNSATWAITGGVAAGAALLFAGPAILLAWWRRRKPEVYFDVPSEEDSEVHFGQLKKFSLRELEIATDNFSNSNILGRGGFGKVYKGRLADGSLVAVKRRKEERTQGGEMQFQIEVELISMLVHRNLLRLLGFCMTPTERLLVYPYMANGSLASHLRGSCVHVGVERRDSDTPLDWAKRKRISLGAARGLAYLHDHCDPKIIHRDAKAANILLDEEYEAVVGNFGLAKYMDYNDIHVTTAVRGTIGHIAPEYLSTGKLSDKTDVFGYGVMLLEIISGQMAFDLARLANDDDAMLLDWVKELLKDKKYETLVDTDLQGGFVEEEVEQLIQVALLCTQNSPLERPKMSEVVRMLEGDGLAEKWEEWQKKETFRADLIDNSTSNIRPDELFGSR >itb10g22720.t2 pep chromosome:ASM357664v1:10:27478231:27482332:1 gene:itb10g22720 transcript:itb10g22720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSGWTLLFCLLSPFLQLPLVSGNAEGDTLNALKINLDDPNNVLQSCDSTLVNPCTWFHVTCSPDNSVTRVDYANLTGQLVPHLDLLSNLQYLELYSKNISGITPNELGNLTNLVSLDLYMNHLSGSIPDSLGKLQKLCFLRLNNNTLNGHKYKIPANGSFSLFTPISFQNNPELRAPLVSPPPPFSPTPSSSSGGNSATWAITGGVAAGAALLFAGPAILLAWWRRRKPEVYFDVPSEEDSEVHFGQLKKFSLRELEIATDNFSNSNILGRGGFGKVYKGRLADGSLVAVKRRKEERTQGGEMQFQIEVELISMLVHRNLLRLLGFCMTPTERLLVYPYMANGSLASHLRGSCVHVGVERRDSDTPLDWAKRKRISLGAARGLAYLHDHCDPKIIHRDAKAANILLDEEYEAVVGNFGLAKYMDYNDIHVTTAVRGTIGHIAPEYLSTGKLSDKTDVFGYGVMLLEIISGQMAFDLARLANDDDAMLLDWVKELLKDKKYETLVDTDLQGGFVEEEVEQLIQVALLCTQNSPLERPKMSEVVRMLEGDGLAEKWEEWQKKETFRADLIDNSTSNIRPDELFGSR >itb10g22720.t4 pep chromosome:ASM357664v1:10:27478234:27481167:1 gene:itb10g22720 transcript:itb10g22720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSGWTLLFCLLSPFLQLPLVSGNAEGDTLNALKINLDDPNNVLQSCDSTLVNPCTWFHVTCSPDNSVTRVDYANLTGQLVPHLDLLSNLQYLELYSKNISGITPNELGNLTNLVSLDLYMNHLSGSIPDSLGKLQKLCFLRLNNNTLNGHKYKIPANGSFSLFTPISFQNNPELRAPLVSPPPPFSPTPSSSSGGNSATWAITGGVAAGAALLFAGPAILLAWWRRRKPEVYFDVPSEEDSEVHFGQLKKFSLRELEIATDNFSNSNILGRGGFGKVYKGRLADGSLVAVKRRKEERTQGGEMQFQIEVELISMLVHRNLLRLLGFCMTPTERLLVYPYMANGSLASHLRGTSSRSRIFSVPAVSIHGTH >itb02g03860.t1 pep chromosome:ASM357664v1:2:2284364:2288066:-1 gene:itb02g03860 transcript:itb02g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGISSNGHAHAHDFCVEHKRRPSQDPLNWNAAAEALKGSHLDEVKRMVEEYRTATVKLGGETLTIAQVAAVAARGTSEVTVELSEAARAGVKASSDWVMAGMENGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGIFGNGAESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLITGRPNSKAVGPNGEALDAEEAFRMAGIESGFFELQPKEGLAMVNGTAVGSGLASMVLFEANIMAVLSEVLSALFAEVMHGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKEATKTHELDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNVRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTSEALDILKLMSATYMVALCQAIDLRHLEENLKACTKNAVSQVAKKVLTVGENGELHPSRFSEKDLLKVVDREYVFAYADDPCSENYPLMQKLRQVLVDHALANGEAEMSSSTSIFHKIGAFEEELKAILPKEIEGARCELESGNAAIPNRIQECRSFPLYKFVRAELGTNLLTGEKVRSPGEECDKVFTAMCEGKLIDPLLDCLKEWNGAPLPIC >itb13g23840.t1 pep chromosome:ASM357664v1:13:29661352:29663608:-1 gene:itb13g23840 transcript:itb13g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRYFAIVSLLVFILNAASISVSSTTFTVQIQNLMAGNDTLFAGCDLNGRSLPKQEIKIAEKGNFTVRLRDEEDLEIMTCELRSRDDKRKHGSFVMFDNLNWNVSAYCAPDSVCRWGVVPEGCRCYLYGVPVIGYQNRLQPSGSCLDSLEDGLITACPWDPRIQLGLFFHQTTFSITLSKVKGFIEDVQKLVNLHPKSLYVLGLYNGILMRYVTASSAYLGKQEKAIDFDITYFRSEDPLAPRLFQDVLEEIEQMAVFKYGALPHWGKNRNVAFLGAVKKYAKSADFLEVKQKYDPAGLFSSDWTDKVLGLKEGLVILKEGCASEGLCICSQDSHCAPSKGYFCRVGKVYEKARVCSKTGSS >itb02g10580.t6 pep chromosome:ASM357664v1:2:6842925:6844495:1 gene:itb02g10580 transcript:itb02g10580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTDESLSVSSCLQLPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb02g10580.t4 pep chromosome:ASM357664v1:2:6842407:6844495:1 gene:itb02g10580 transcript:itb02g10580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb02g10580.t7 pep chromosome:ASM357664v1:2:6843358:6844495:1 gene:itb02g10580 transcript:itb02g10580.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLENVFIYASQIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb02g10580.t5 pep chromosome:ASM357664v1:2:6842470:6844495:1 gene:itb02g10580 transcript:itb02g10580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWEDGFCRGRVAECLEEMDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb02g10580.t2 pep chromosome:ASM357664v1:2:6842108:6844495:1 gene:itb02g10580 transcript:itb02g10580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb02g10580.t1 pep chromosome:ASM357664v1:2:6841480:6844495:1 gene:itb02g10580 transcript:itb02g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGASDRSKEAVGMMALHEALRSVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVAECLEEMDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb02g10580.t3 pep chromosome:ASM357664v1:2:6842110:6844495:1 gene:itb02g10580 transcript:itb02g10580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWEDGFCRGRVAECLEEMDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSTSPSSTVPLKQPSISIRPPAPLFNWGPRPMPSAPAVLTSPNYQNSVRLGIPPSKDESHMFLLPHSSEARMEDMMGDHEADIKWPNGLSFFNALTGRADDSRLLFNADNIGNKLDQNHHPLNLEVKTSNPNSDAASSLHTNGRANPNEFLSLDSHHPDSVHKMENKFKRSFTLPARMASSSSSTSLEQHQNNPGEFRNEAGMCSDVMETFLE >itb07g00820.t1 pep chromosome:ASM357664v1:7:529608:532563:1 gene:itb07g00820 transcript:itb07g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVLAAIFLLVAVYCAVDPFNHSALSGLPDFQAYKVDLPPWSELPVEKDTQNLLQKSELKFLNQIQGPESIAFDPQGRGPYTGVADGRVLFWNGEKWIDFTYTSPNRSSICDPKPNPTPFSYVKNEHICGRPLGLRFEKRTGDLYIADAYFGLMKVGPEGGQAMPLTTEAEGVPFRFTNDLDVDDEGNIYFTDSSINYPRRNFFQLSFSAEDTGRVLKYNQRTKETTVLLRNIQFPNGLSLSKDGSFFVYCEGLMERLKKYWLKGEKAGTSEVMAVVPGYPDNIRANERGEFWVGIHCRRSVYSYISGLYPNLRKFLLKLPIPLKIHYLLYIGGRLHGTIVKYSPEGKVLRVLEDSQGKVVKAVSEVEERDGKLWIGSVLMPFIAVYQLD >itb11g09560.t1 pep chromosome:ASM357664v1:11:6471703:6473810:1 gene:itb11g09560 transcript:itb11g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDWMNEHPILINGNLLHTDNFLYPFRSLLKSVLHVLEIYPDFLLHANAVYITGNQNGERHFKIAIIEGSNRVARRPLSCANDLANLVRHWLAEHRQGQGHRDLDFLLYMLSHLDIVEKLKMILKYPIFYVGNQKELLFHFAIKADLLLGHDKNRMVSASLEWALHKMGVTAPNGQRLYANSICWDRSRAIPEIVTCWRKAHGPISYEVQHLIMQIRNIGSHIFDRMTLSQRTVTHEELYDNIELMCPGRSGPYVVIDNRSKSNIDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSST >itb01g17230.t2 pep chromosome:ASM357664v1:1:21999142:22005358:-1 gene:itb01g17230 transcript:itb01g17230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEADELLRLQKVSLTREEAEILNSWRNNAIRDLGIGMGIASIASWLVTGRLNNFFRANIAAAAGISFGLWRCSKSLESSVEQSLSLHGTRLQREVAGIMVKKYPHDSWGMQLVSKYFVSENVYDDSSSDMPKQRWRLRNFFSANLDSFQRTVEDSYENATHLEGNNMKKPTAGEDSFVSRRKTNQKSDLEQKKVGVSYLLKLNHYSTNILFSL >itb01g17230.t1 pep chromosome:ASM357664v1:1:21998503:22005358:-1 gene:itb01g17230 transcript:itb01g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEADELLRLQKVSLTREEAEILNSWRNNAIRDLGIGMGIASIASWLVTGRLNNFFRANIAAAAGISFGLWRCSKSLESSVEQSLSLHGTRLQREVAGIMVKKYPHDSWGMQLVSKYFVSENVYDDSSSDMPKQRWRLRNFFSANLDSFQRTVEDSYENATHLEGNNMKKPTAGEDSFVSRRKTNQKSDLEQKKVGMTADDDATSDPFDCIFGPADNVDEIHHQSPDASHTLPRKHGRSHRRSRRRHQTHHQETSDPHNQS >itb07g15690.t1 pep chromosome:ASM357664v1:7:18705354:18710392:-1 gene:itb07g15690 transcript:itb07g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSNMLKNVVLSTEVFTRMVNLRILILDGVVLRGSFKYFSNELRLFRLRNCQLMSHIQSDFPCARLVELDLSKSNIEEFQPNMQHFVRLRMLKLDGCKQLKSTPDFTGAQSLQEISFFGCLNLANVHSSIGSLEKLVRLNLRKCKKLKVLPTSICKLKSLEELLLCGCKNLRELPIDIGKLEQLRQLRAQETGISHLPFSLGCLRNLEYLRLGNLVGSVAVDFLPSSAANLCSLDSLTVNFNKLQQVVINFPIALWSLTSLRNLSLEGIRHLESPLLDLSHLSNLKGLYLKDLQNLRALLKLPPSLIICHVVNCESLEKISDISNLRSLYELNIVECKSLIEFSGLESLKYLTSLAIGQLNAMHECLQISLELSILKSLTYVEIRKCSALRIPSIENWFQAHCKGNTVPISLDVIGQDYVCCSIPRLYLRHTSSLEIVNNVFGCNVEFDGVGVSVRSKTTGAWIVKEPPKHININEFEKIEFEVGTRIGEVLEVYAHLYLLQMEKMLLCLFEIHRNEDGEVRFFPSTRDLEEIDDGTTDNVDGCLGSSRQNENRLMIEEGQNGWSRSSIVWEFILKCFCWDEQGR >itb05g12070.t1 pep chromosome:ASM357664v1:5:18418269:18422954:-1 gene:itb05g12070 transcript:itb05g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVYIEAVGMVEEYQQALSVANLGGIRDVQGHYPQIGLKNPPQVYEALEHRMVVAEAAQRLRLPMISKDGEVQDDEIEKWSILSRSSLDSSVTSVTVSSSSISTNFTTLSASGTAVAGISAPSSSASDASEPSIGGVPNRYLGITPTYLWQTQLLQSPLSMDMAEYQILLSREVGSRLDAKCDKLADVVAIDDIDPSASNQIPTARLPERVKLIIEEIEREEATLREDLYSSDRKFAEYYNVLEQILGVLIKLVKDIKLKHQHKYDELQKTWLSKRCDTMSAKLRVLEHILLLETYTPDTIPALHKIRKYLVESTEEASLAYNKAATRLREYQGVDPHFDMIARQYHDIVKKLENMRWTIQQVEMDLKRLPAHPGA >itb03g17780.t1 pep chromosome:ASM357664v1:3:16235408:16238048:-1 gene:itb03g17780 transcript:itb03g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKPMEASSGKFRTAIIVLLIGGILGWVYQALNPPPPKLCGSPDGPPITGPRIKLRDGRFLAYKEHGVPKHTAKYKVIFVHGFGSCRHEVAIAASKAAEELQVHFVSFDRPGYGESDPDPNRSIRSTALDIEELGDQLGLGSKFYVLGFSMGGQIVWGCLKYIPHRLAGAGLIAPVVNYWWPGFPASLSAEAYNQQFPEDQWALWVAHHAPWLVYWWNTQTWFPYSSVIAGRANMSRQDKEIISKLLPGKKMNKEYVTQQGLFESLHRDTIVGFGKWEFDPMNISNPFPNGEGSVHLWHGVEDGLVPVTLQRYIAGKLPWIHYYEVPEGGHLLAHAEGMKEVILKTVLTGEK >itb08g04460.t1 pep chromosome:ASM357664v1:8:3658674:3660281:-1 gene:itb08g04460 transcript:itb08g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAQLKSDALMGQMKLHLASDAGKELIKKIGLVYQLNIAPKKLGFNEKIYVVDLKKGEVKEGKYEDGKPDATFSFTDDDFLKIAMGKMNPQIAFMRGAIRIKGSISAAQKFTPDIFPKPSKM >itb02g04760.t2 pep chromosome:ASM357664v1:2:2814918:2818580:-1 gene:itb02g04760 transcript:itb02g04760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALPFQHTPSYPYTETRFKNSPRIQIPYDRGIMNSTQFAMVEELASLVKDNLPCKHLVLSMEEAFVDFLQDDTSSNGVLELEPMNSYSRLLLHRLADIFGNLTCSPSILVRDLLWQYGEVQSPKKFDILSRKQEVSDGLQVENQKMLNFKFSLEEREAAYLAARERIFAIDGNGTEHMTERPRKDLKVARRMIEHALGQRIRPSNNEVNCKEEGGSNPNNVHSKSGGNPEGSKSRDTEMKMPINDGTGSLGEAKNDGSRIQKENFREQHMGAAKRMFANALGFSRDGSLSRQSESKHTNR >itb02g04760.t1 pep chromosome:ASM357664v1:2:2814918:2818580:-1 gene:itb02g04760 transcript:itb02g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDALPFQHTPSYPYTETRFKNSPRIQIPYDRGIMNSTQFAMVEELASLVKDNLPCKHLVLSMEEAFVDFLQDDTSSNGVLELEPMNSYSRLLLHRLADIFGFSHHSVGEGEDRHLILERCPETSIPSILVRDLLWQYGEVQSPKKFDILSRKQEVSDGLQVENQKMLNFKFSLEEREAAYLAARERIFAIDGNGTEHMTERPRKDLKVARRMIEHALGQRIRPSNNEVNCKEEGGSNPNNVHSKSGGNPEGSKSRDTEMKMPINDGTGSLGEAKNDGSRIQKENFREQHMGAAKRMFANALGFSRDGSLSRQSESKHTNR >itb08g01950.t1 pep chromosome:ASM357664v1:8:1549248:1551309:-1 gene:itb08g01950 transcript:itb08g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHTALCIFFLALSFGISLASRSILHFGLLFPGGGGGGAGAGAGGSAGVGAGVGVGVGAGVGAGVGGTGGAGAGGGAGADGRAYGSGSGHAHLGATLSGQAHAGLHGAAGAHGYGGGHGGGVGHGGWGGGPFGGAGWRGGPFGSGGGSGGGSGGAGGSGGGSGGAGGSGGGSSGTGGNGGGSGGVGGSGGGSGGASGSGGGSGGAGGSGGSSGGAGGSGGSSGGAGGSGGSSGGAGGSGGSSGGAGGSGGSSAGGSGGSSGGAGGSGGGSGGTGGSGGLSGGAGGSGGSSGGAGGSGGSSGGAGGSGGSSGSAGGSGGSSGGAGGSGGGSGGAGGSGGSSGGAGGSGGSSGGAGGSGGSSGGAGGSGAASGGVGGSGKSSGGAGGSGTSSSSGKGSENHPAAQKEAENHLAVQKKAENHLAVQKKAENHLAVQKKAEKSSSSAKESKKSSSSAEGSGKSSSSAEGSGNHQKEAENHQQRKRKSSGDAEGSGKSSGGSEGSGKSSSSAEGSGKSSGGAEGSGKSSSSAEGSGKSSSSAEGSRKSSSSGEGSGSGKSSGDAEGSGKSSGDAEGSGKSSARVANHPAAQKVAENHPAAQKEAENHLAAQKEAENHPGVDLVVVMQAQEEEHPVAVMPE >itb01g19690.t1 pep chromosome:ASM357664v1:1:25937409:25948148:-1 gene:itb01g19690 transcript:itb01g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVETALDGQTDFASVRTLTLNGAVKCVHGRLPPPALFERFQNLQHLSIANIGVSSLEQFPRLHYLQKLILSDNRIAAGLEFLVEAGLNSLRDLDLSNNRIQDIDDLRPLAELKLVSLDLYECPVTRVKDYRSRVECPVTRVKDYRSRFLGVRAFFLGGDGIREVGWVVSDFREWEKPLRKSCVYQKLDPQRNQQQQQKHHHLHFSDQIQGEEEEEDPSGNPPPPKKRSYFPSTEEHARLRGRMGENRQQQQQQQGSSSRLGLRNAGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKPAIDELAELPAWKPNTVNPNFDQEDAQKQHRETPGDANLLDNVAGPSKRGMIMQESENESSFLPPSLDSDSIADTIKSFFPMGASEQPNSSSMQFQTFAQQSDLLSRTGSQSQDLRLSLQSFQDPTILLHHQNQQVQHHQNPGSHRAILTGFDASGWSEHNQQNQQRFPAWHGGGGDGAAAAATCSSSGSGGAAAASATGGYLFNSPQQPLFQQLFGGQNQFFPSQRGPLQSSYTPSVRAWMDPSPAIAIASVDPNQQHQHYQSAMLPIYPSSLSGIGFSTGVGEFSGFRIPARIQGEEEEHDGISDKPSSASSDSRR >itb09g05730.t1 pep chromosome:ASM357664v1:9:3272989:3274844:-1 gene:itb09g05730 transcript:itb09g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLTSSLSSKLKPSFFDAKSSFNGTPVPSRVQAVKASSHSLSVSASYSFDSFKFQPIKESIVAREMTRRYMMDMITYADTDVIVVGAGSAGLSCAYELSKNPNVRVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAQMFLDEVGVEYEEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKNGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRALGEANALDGSAREVAAPELILASAENEEIADA >itb11g06850.t1 pep chromosome:ASM357664v1:11:4145826:4148287:-1 gene:itb11g06850 transcript:itb11g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSVGVWTSPLDYRKSAAGCESPTSSVQVYTRFFALGFRAMSRDKLPEILDFFIWTVEDVGLWLEEINLGNYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRQPWWAPSCLSVVFAKAAKRNRQSRVVSLKLEP >itb03g11100.t1 pep chromosome:ASM357664v1:3:8979809:8981844:1 gene:itb03g11100 transcript:itb03g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMAWVWTALAVLAAVYLLLHGLVQKKIKKKKLLPGPKGLPVLGHFHLLGKNPHRDLQNLAKQHGPIMYLRLGFVDSIVVSSPKAAQLFLKTHDLVFASRPPREASKYILYEQKNLSFSQYGPYWRNVRKLCTLQLLSNLKINSFRDMRREELSLLIESIKKGAAARGAVDLSAKVVSLSADMSCRMIFGKKYEDEEIDERGFKGVFREGMQVSAIPNLGDYFPYLGKLDLQGLTKRMKALGKIFDQFLERIIDEHEQEGNRGQAKDFVDTMLSIMKSGEIDFQFNREHVKSIMLDLLGASMDTSPTVIEWTMSELLKHPQIMKKVQQELKSEVGLNRMVEESDLEGLKYLELVIKESLRLHPVVPLLLPHEAREDCLVEGFHIPKRAEIVINVWAIGRDPNVWIDPEEFIPERFEGSNIDYRGHDFELIPFGSGRRSCPGIQLGITVVRLVVAQLVHCFDWELPKGMLANELDMTEEFGIVASREKNLMAVPHYRLHI >itb02g19330.t1 pep chromosome:ASM357664v1:2:16329915:16334401:-1 gene:itb02g19330 transcript:itb02g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITYYLGNKVSDFGFSPIAIADVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRGGVQLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLESKHGFKPTKEEWVVCRVFQKCNTIMKKPQATSASSPQSLDESPCDTNTTIANELGDIELPNFNTTIMPSPSNGIINNISLQTYNNNEGMNMNMNNLNMNNTLPLLSWPPSTSLLSSNLSSVNSLLFRALQLRGQGHHQAAISTSDYTGYNNMPQFGNDLINSNDFTPTSTSSMVLDSANNHQQQQQNPQDSHIW >itb06g15590.t1 pep chromosome:ASM357664v1:6:19888049:19888782:1 gene:itb06g15590 transcript:itb06g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILLDAELNPKISDFGLARIVEEDDGLAKTKRVIGTYGYMSPEYAVDGNFSVKSDVFSLGVILLELISGIKNRAFHHSDHHHNLLGHAWLLWNDGNPIELMDNCVRDSYVESQVRRCIHVALLCVSKLPKDRPTMASVVFMLENEEVALPKPKEPGFFVERSSTEAPSTDKERCCYEIAMITFSTLEGR >itb06g15590.t2 pep chromosome:ASM357664v1:6:19888049:19905884:1 gene:itb06g15590 transcript:itb06g15590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILLDAELNPKISDFGLARIVEEDDGLAKTKRVIGTYGYMSPEYAVDGNFSVKSDVFSLGVILLELISGIKNRAFHHSDHHHNLLGHAWLLWNDGNPIELMDNCVRDSYVESQVRRCIHVALLCVSKLPKDRPTMASVVFMLENEEVALPKPKEPGFFVERSSTEAPSTDKERCCYEIAMITFSTLEEHPIRDGNNSIVSAGGNFELGFFSPGKSKNRYVGIWYRKISTRDVVWVANREAPLHNTSGALIIKHNGNLQILDGTNTEIWSSNSSLASLKHPVAWLSDAGNLVVSERNDLTEKNSAWQSFDYPGNTFLPGMKVGWNLATNRVMSMSSWKSNDDPATGDYISKMDINGFPEFLVFREATITFNIGPWNGQTFAGVPGLQINPYYTFEFFMDHREIYYKYELTDSSVPSRIVLTAAGVLERLTWIEHKKSWFLYYSIQTDNCDRYAMCGAFVKCSINSSPPCDCLKGFIPKYPQDWNATDWSNGCTRRTPLECGGGDRFLKYTGIKLPDTHHSWFDRSISLEECKRLCLKSYNCSAYSNLDVRDGGSGCLIWFGDLTDIREFNEELGQDLYVRIAASDFDLYQNGQKKREVMIMTISMVSGILTLSFLVQFALQRRKRDESRKRLLTWQRRFEIAVEISRGLLYLHRDSRLKVIHRDLKGSNILLDAKMNPKISDFGLARILGENDTLAKTKRVIGTYGYMSPEYAIDGKISVKSDVFSLGVVLLELNSGRKNRTFHHLDHHHNLLGHFDDYVGMATVEKENEEVALPKPKEPGFFIERNSIEASPTNEERYCSGNAVTITILEAR >itb11g06310.t1 pep chromosome:ASM357664v1:11:3739393:3740897:-1 gene:itb11g06310 transcript:itb11g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLELLSKEMMIKPSSPTPPHLKTLKLSFLDQTSPPFFAPFIFFFHHGTTDDHGRSSQLLKQSLSKVLTLFYPLAGRIKGNDFVDCSDDGALWVEARVHGFLKDVVENPLMEELEKFLPLEPHNGDDSKLMLAVQVNYFLDGGIGVAVCVSHKIGDAFSVVNFVNAWAVTAREGDAAVISPPNFGLVSSLFPPTTEDLTGSGFSSTLGMTTREKIVTRRVVFDKQNLAALKKSAAAESSRVGNPTRVEALSAFLWKRFREASRKKTPHGSVKKTFRATQNVNLRARMNPPLPDATFGNLWSLANTTEKPSETDDDLVFQLRTSIREINAEYVEALQNGKGHSEHLRKWHERFSGEGEAEFLEFTSVCRFPIYEVDFGWGKPVWACITTFPYKNLVILMSTKCGDGIEAWINTGEEDDIYMGAGSLTPTPKL >itb11g21290.t1 pep chromosome:ASM357664v1:11:22808752:22818686:-1 gene:itb11g21290 transcript:itb11g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDMRLLVGAVAVLVAVAEGNVVFKVKHKYGGRGSSLLPELKAHDSRRHGRMLASVDFHLGGNGQPTDAALYYTKLSIGTPSNDYHVQVDTGSDILWVNCAGCDKCPEKSNLGIELKLYDIKASSSAKTITCDQDLCTTMFNAPYADCKVGVACEYQVTYGDGSATAGYFVKDEVHFDQVTGNLKTGPMIGAVGFGCSAKQSGELGTSTAAVDGIIGFGQANSSVISQLAESGKVKKIFSHCLDNHNGGGIFAIGEVVEPKLNSTPLVENEPHYNVVMEQVEVGGSVLDIRTSFFDLGKRDMIIDSGTTLAYLPDSIYSPLMKKLMEKQSDLEIHKLEGFKCFDYDENVDDGFPVVTFTFADSLKLTVYPHDYLFQVRDNEYCIGWQNSGMQTKDGKEMTLLGDLVLSGKLIVYDLEKQSISMTEYNCSSSIKVKDEASGNAYAVGAHDISVSNFSWRNTRNVYTMGLLIYFLCSLMN >itb03g24860.t1 pep chromosome:ASM357664v1:3:23688387:23694494:-1 gene:itb03g24860 transcript:itb03g24860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEEKENEKPLLITSSQTPKGGFRTFPFIIGNSALLFVAIYALTPDMILYLMKEYNMDMASGSNVLYLWSAALNFTPVIGALMADSFVGRFQMIGLGSALCLLGMAMFWSTTVIPQLRPPPCSENDNVCTSATTFQLLTLFTSFIVVALGSGAARSCSLAFGADQLQDLQKTTTGSMERYFGWYC >itb13g23880.t1 pep chromosome:ASM357664v1:13:29680580:29681894:1 gene:itb13g23880 transcript:itb13g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAARTKGCRLLCGVLAVLLLFISRIPVLSALAVTVIDVECVYEYVLYDHDTVSGNFVVVDHDIFWSFDHPGIDFIVTGQAGSIVLELKGTAGDKFEFKAPRSGMYKFCFNNPHATPETVSFYIHVGHIPNEHDLAKHEHIDPINVKIAQLREALESVTAEQNYLKARDSRHRHTNESTRKRVIYYTIGEYILLAVASALQVFYIRKLFSKSVGYNRV >itb03g21220.t1 pep chromosome:ASM357664v1:3:19026184:19029959:1 gene:itb03g21220 transcript:itb03g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVNYSGDDDFLAGSSLCSADLGLMLSLGHVDVYCPSRKRARITGPFVVEVQEKKPSIEALPDECLFEIFRRLSGGRERSSAACVSKRWLMLMSSVRNSEIFRSKSHQDLSIADKVDGATNDVEMSPADEDLEVECDGYLSRSVEGKKATDVRLAAIAVGTSSRGGLGKLYVRGSNSARGITNVGLSAIGRGCPSLRVLSLWNVPNVGDEGLLEIARECRSLEKLDLCQCPSISNKGLVAIAERCTNITSLTIESCPNIGNEGLQAIGRYCTKLQCLTIKDCPLVGDQGVASLLSSASAVLTKVKLHALNITDFSLAVIGHYGKAITCLSLGALQNVSQKGFWVMGNAQGLQTLASLAITSCRGTTDVSLEALGKGCPNIKQMCLRKCCFVSDHGLVAFTKAAGSLESLQLEECNRITQAGILYAVSNCNKLKSLCVVKCMAIKDIAPETLVLSPCETLRSLSIRNCPGFGSTSLAMVGKLCPQLHHLDLSGLYGITDAAILPLLESCEAGLVKVNLSDCLNLTDEVVLALARLHGQTLEVLNLDGCRRVSDASLVAVAENCLLLNDLDVSKCSITDSGVAVLSRGVQMNLQILSLSGCSMVSSKSVPSLRKLGKSLLGLNLQHCNSMTCSAIELLVENLWRCDILS >itb12g22780.t1 pep chromosome:ASM357664v1:12:24742563:24743105:1 gene:itb12g22780 transcript:itb12g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESDNDSDILPKLMICFIGAASAAVVITVYHCIATRNVRGLLSRRINRRPTAAPPQQNEYQSSVENSMAELIPAHKFQKGVGLTRDGDGVCAVCLSEFEDGEELRTLPECMHSFHVACIDMWFYSHTNCPVCRTEATPSPHVLIHLLDSSVRRSPPAPGRPQSQTNAMMPLFISGVMQ >itb05g00600.t1 pep chromosome:ASM357664v1:5:516584:522241:-1 gene:itb05g00600 transcript:itb05g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVLSLSTPMVSQNHAIETEEAIIVEHVKATHDPKGEMDVDASSILNFVDDIFNSESDTIPQEAMQDKLMLKEYIQNEIPSHVLQLSLKVVCSCLNNVDSHSIAICILSALSVYPWHTKVVMMLASFAIIYGKFKVVSNSRQQRGLTYNLALSKQMSSSNPINLEDNSIKFALDLVKLMVELEHYSSSMIVANYWMARFVVSYTRLCILGPESQIQLTEQSKLSTKIKEIMTSSHSLLEAKRAEENYQALLHAFDNSLDILEVLKLIFDIKSGEDEVILHLRPVVTLDREQSYGDDVIKRISPSRLDMFRGEGVLLLISSGEHFPFYAYYMPTGVRMIWVPITKVEKMDIQKIHRSIDSSQNSAMVCHKPVTQVFRRFLKDKCFPAFQAGNDPIVISLDKRGRLVHYNALHMILTWGNQQCEETTMSHNLIPSLENELRERTLGADRVIDDIVTRIHDFASEVRNNIDNWVKDIRDKMKSSFRSYNYTSEREQALWNKESWSLVLVASFAILIILGIMGIGDEGYILLCGGNDNKQVLEFTLKIQEVCSKTQMNIGIAYIGRSEKVKVEMERLCEQCGFEFFSVGIKEFWRRLESMASSRIQYLITTGLEEGNDEIMQGLKKLLAYEAEGSTGAGVWALLSKGNKIIACDMGDKILGVMNEYEK >itb01g18500.t1 pep chromosome:ASM357664v1:1:24048209:24052618:-1 gene:itb01g18500 transcript:itb01g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVSCMLLSINTILYFIVIVAASWAVNHGIVKSRETASVLPMPARIFPIYFPFGNLATGFLIIYSLLAGIVGFITSLNGLHNLAQWDSASQHVAAASSLISWLLTLLAMGLACKEISIGWTDSNLRTLETVLIILSGTQLFCTGAIHIRVEEVVRSEMEIGGRV >itb06g06700.t1 pep chromosome:ASM357664v1:6:9301237:9301904:-1 gene:itb06g06700 transcript:itb06g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFPLHPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQAA >itb09g04130.t2 pep chromosome:ASM357664v1:9:2297273:2299902:-1 gene:itb09g04130 transcript:itb09g04130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTRGRGRIASRQCQGEPYPSPSGNHSVDMNEKKCSKKSEKKCWDDAICSVCMEYPHKAVLILCSSHDKGCRPYMCGTSFRFSNCLKQYKKAYTKVTFPRHSQSPRGSTSSLTTAPFSAWHAGTCETIELACPLCRGQVKGWTVVEPAREYLNAKKRSCMHDSCSFAGNYKEMRKHVKAEHPSARPHEINPLVEQKWMQLERELERNDVISAVTSAVPGAVVFGDYVIGEHSGSESEDEDGFDAEVEQNEDFRTRADGSWMSFLLFLQAFGSAHGSRSDRDMRHQGIDSNHGLGEGTVGINNPPQIGTSGCPDEDSDGIAEDVQDNPTIQQ >itb09g04130.t1 pep chromosome:ASM357664v1:9:2297263:2300361:-1 gene:itb09g04130 transcript:itb09g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTRGRGRIASRQCQGEPYPSPSGNHSVDMNEKKCSKKSEKKCWDDAICSVCMEYPHKAVLILCSSHDKGCRPYMCGTSFRFSNCLKQYKKAYTKVTFPRHSQSPRGSTSSLTTAPFSAWHAGTCETIELACPLCRGQVKGWTVVEPAREYLNAKKRSCMHDSCSFAGNYKEMRKHVKAEHPSARPHEINPLVEQKWMQLERELERNDVISAVTSAVPGAVVFGDYVIGEHSGSESEDEDGFDAEVEQNEDFRTRADGSWMSFLLFLQAFGSAHGSRSDRDMRHQGIDSNHGLGEGTVGINNPPQIGTSGCPDEDSDGIAEDVQDNPTIQQ >itb15g10880.t2 pep chromosome:ASM357664v1:15:8641318:8646567:1 gene:itb15g10880 transcript:itb15g10880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVEDIADQFWSPPPVRLSQAADLDIDSSASSKVMNRSSSEWAFQRFLQEASANSPAAVAVDQAAAAPPFSVAAASSATSSSHSQKSLPSDVVEIQGGRLDANRSSDYGYKSSGNEVETVTATTTAKTTSFGSGAAPDIPIDSEDYQAFLKKRLDLACAAFALTRANNMKAQDSASVPSENTSLASHTPQPGPQTPAKVPAHDLPKVQEEEAGGPIGTSPLPAMQKKLVAQVKSTTSGSEQSEDEEAEGEAETTDKMNPADVKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENSSLLKRLTDISQKYNEAAVDNRVLKADVETLRAKVKMAEEAVKRVTGLNPLFQAMPEIPAMGLPPFAGSPDTSADASVPVQDDLKHHFYQPPSSTGISTNNPGMQNGLVDIPPIDDAQQGPTAAAGPNKMGRTASMQRVASLEHLQKRIRGEVGSSERDQKQ >itb15g10880.t1 pep chromosome:ASM357664v1:15:8641318:8646567:1 gene:itb15g10880 transcript:itb15g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVEDIADQFWSPPPVRLSQAADLDIDSSASSKVMNRSSSEWAFQRFLQEASANSPAAVAVDQAAAAPPFSVAAASSATSSSHSQKSLPSDVVEIQGGRLDANRSSDYGYKSSGNEVETVTATTTAKTTSFGSGAAPDIPIDSEDYQAFLKKRLDLACAAFALTRANNMKAQDSASVPSENTSLASHTPQPGPQTPAKVPAHDLPKVQEEEAGGPIGTSPLPAMQKKLVAQVKSTTSGSEQSEDEEAEGEAETTDKMNPADVKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENSSLLKRLTDISQKYNEAAVDNRVLKADVETLRAKI >itb06g10440.t1 pep chromosome:ASM357664v1:6:14871325:14871630:1 gene:itb06g10440 transcript:itb06g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKRLSNGMISAAAVDEISLVAAGKEGKKANVLIVGPSGKRPQIGQAFAIAQPPSPAFSSVDSKLERAKGLSSVFGMVSTPSSALILNQFHVYVLQSVDL >itb09g31130.t1 pep chromosome:ASM357664v1:9:31613126:31633784:-1 gene:itb09g31130 transcript:itb09g31130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MESSSSNGYRFRRIPRQTFAAVLNLDPLLDEKLEQWPHLNELVQCYRTDWVKDDSKYGHYESIGPTSFQSQIFEGPDTDIETEMHLGNARQTKIDDSFEGENPSTSGAQLTEASLSDLSNAKVSKHFGESPLPAYEPVFDWENERSMIFGQRIPEAHMSQYTSGLKIAVRVLSLSFQAGLAEPFYGTICLYNRERREKLSEDFLFRILPAEMQDASTSYERRAVFHLDAPSASVCLLIQLEKPATEEGGVTPSVYSRKESVHLTEREKQKLQVWSRIMPYRESFAWAIIPLFDSGLSAAVGGSNSPSSPLSTSISGSSYQEGGVEPIAKITSDGKLGYSSGNSIVVEVSNLNKVKEGYTEDSLQDPKRKVHKPVKGVLKLEIEKLQTNSVDFEHMESGSVTFDSVENGPLNAFSKSNSFERKELTRNGSVGNENPDVASADFEAFDFRTTTRNEPFLQLFHCLYVYPLTVSMSRKRNMFIRIELKKDDADISHPPLEAMHPRAPGASLQKWAHTQVAVGTRVASYHDEIKVSLPAIWTPMHHLLFTFYHVDLQTKLEAPKPVVVGYASLPLSTHAQLKSEISLPVMKELVPHYLQDSGKERLDYIEDGKSIFKLRLRLCSSLYPISERIRDLFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLYPILNMLLHLISNGGETLQVAAFRAMVNILTRVQQESVDEAERNVFLVNYVDYAFDDFDDRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHNIPSGEDVPPMQLKEGVFRCIVQLYDCLLTEVHERCKKGLSLAKQLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQILCDHDLFVEMPGRDPSDRNYLSSILIQEIFLTWDHEDLSMRAKAARILVVLMCKHEFDVRYQKPEDKLYIAQLYFPLVGQVLDEMPVFYNLAAIEKREVLVIFLQIVRNLDDSSLVKAWQQSIARTRLFFKLLEECLMHFEHRKPTDGILVASSSRSVVGEGPASPKYSDRLSPAINQYLSDAARQEVRQQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENVSAAVSLQVLEVTDKFSRTAASHSIATDYGKLDCITSIFMNVFSRNQPLSFWKALFPVFNSVFELHGATLMARENDRFLKQIAFHLLRLAVFRNDNIRKKAVVGLQILIRSSFSCFTQTARLRVMLTITLSELMSEVQVTQMKSDGTLEESGEARRLRKSLEEMADESKSLSLLVECGLPENALVASPEGLGENRWSWLEVKHLSDSLLMALDASLEHALMASVMNVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSKDHVSSLRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRKAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGTTLHIIPDSRQVKAEELQAGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >itb09g31130.t3 pep chromosome:ASM357664v1:9:31613205:31633784:-1 gene:itb09g31130 transcript:itb09g31130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MESSSSNGYRFRRIPRQTFAAVLNLDPLLDEKLEQWPHLNELVQCYRTDWVKDDSKYGHYESIGPTSFQSQIFEGPDTDIETEMHLGNARQTKIDDSFEGENPSTSGAQLTEASLSDLSNAKVSKHFGESPLPAYEPVFDWENERSMIFGQRIPEAHMSQYTSGLKIAVRVLSLSFQAGLAEPFYGTICLYNRERREKLSEDFLFRILPAEMQDASTSYERRAVFHLDAPSASVCLLIQLEKPATEEGGVTPSVYSRKESVHLTEREKQKLQVWSRIMPYRESFAWAIIPLFDSGLSAAVGGSNSPSSPLSTSISGSSYQEGGVEPIAKITSDGKLGYSSGNSIVVEVSNLNKVKEGYTEDSLQDPKRKVHKPVKGVLKLEIEKLQTNSVDFEHMESGSVTFDSVENGPLNAFSKSNSFERKELTRNGSVGNENPDVASADFEAFDFRTTTRNEPFLQLFHCLYVYPLTVSMSRKRNMFIRIELKKDDADISHPPLEAMHPRAPGASLQKWAHTQVAVGTRVASYHDEIKVSLPAIWTPMHHLLFTFYHVDLQTKLEAPKPVVVGYASLPLSTHAQLKSEISLPVMKELVPHYLQDSGKERLDYIEDGKSIFKLRLRLCSSLYPISERIRDLFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLYPILNMLLHLISNGGETLQVAAFRAMVNILTRVQQESVDEAERNVFLVNYVDYAFDDFDDRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHNIPSGEDVPPMQLKEGVFRCIVQLYDCLLTEVHERCKKGLSLAKQLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQILCDHDLFVEMPGRDPSDRNYLSSILIQEIFLTWDHEDLSMRAKAARILVVLMCKHEFDVRYQKPEDKLYIAQLYFPLVGQVLDEMPVFYNLAAIEKREVLVIFLQIVRNLDDSSLVKAWQQSIARTRLFFKLLEECLMHFEHRKPTDGILVASSSRSVVGEGPASPKYSDRLSPAINQYLSDAARQEVRQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENVSAAVSLQVLEVTDKFSRTAASHSIATDYGKLDCITSIFMNVFSRNQPLSFWKALFPVFNSVFELHGATLMARENDRFLKQIAFHLLRLAVFRNDNIRKKAVVGLQILIRSSFSCFTQTARLRVMLTITLSELMSEVQVTQMKSDGTLEESGEARRLRKSLEEMADESKSLSLLVECGLPENALVASPEGLGENRWSWLEVKHLSDSLLMALDASLEHALMASVMNVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSKDHVSSLRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRKAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGTTLHIIPDSRQVKAEELQAGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >itb09g31130.t2 pep chromosome:ASM357664v1:9:31613205:31633784:-1 gene:itb09g31130 transcript:itb09g31130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MESSSSNGYRFRRIPRQTFAAVLNLDPLLDEKLEQWPHLNELVQCYRTDWVKDDSKYGHYESIGPTSFQSQIFEGPDTDIETEMHLGNARQTKIDDSFEGENPSTSGAQLTEASLSDLSNAKVSKHFGESPLPAYEPVFDWENERSMIFGQRIPEAHMSQYTSGLKIAVRVLSLSFQAGLAEPFYGTICLYNRERREKLSEDFLFRILPAEMQDASTSYERRAVFHLDAPSASVCLLIQLEKPATEEGGVTPSVYSRKESVHLTEREKQKLQVWSRIMPYRESFAWAIIPLFDSGLSAAVGGSNSPSSPLSTSISGSSYQEGGVEPIAKITSDGKLGYSSGNSIVVEVSNLNKVKEGYTEDSLQDPKRKVHKPVKGVLKLEIEKLQTNSVDFEHMESGSVTFDSVENGPLNAFSKSNSFERKELTRNGSVGNENPDVASADFEAFDFRTTTRNEPFLQLFHCLYVYPLTVSMSRKRNMFIRIELKKDDADISHPPLEAMHPRAPGASLQKWAHTQVAVGTRVASYHDEIKVSLPAIWTPMHHLLFTFYHVDLQTKLEAPKPVVVGYASLPLSTHAQLKSEISLPVMKELVPHYLQDSGKERLDYIEDGKSIFKLRLRLCSSLYPISERIRDLFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLYPILNMLLHLISNGGETLQVAAFRAMVNILTRVQQESVDEAERNVFLVNYVDYAFDDFDDRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHNIPSGEDVPPMQLKEGVFRCIVQLYDCLLTEVHERCKKGLSLAKQLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQILCDHDLFVEMPGRDPSDRNYLSSILIQEIFLTWDHEDLSMRAKAARILVVLMCKHEFDVRYQKPEDKLYIAQLYFPLVGQVLDEMPVFYNLAAIEKREVLVIFLQIVRNLDDSSLVKAWQQSIARTRLFFKLLEECLMHFEHRKPTDGILVASSSRSVVGEGPASPKYSDRLSPAINQYLSDAARQEVRQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENVSAAVSLQVLEVTDKFSRTAASHSIATDYGKLDCITSIFMNVFSRNQPLSFWKALFPVFNSVFELHGATLMARENDRFLKQIAFHLLRLAVFRNDNIRKKAVVGLQILIRSSFSCFTQTARLRVMLTITLSELMSEVQVTQMKSDGTLEESGEARRLRKSLEEMADESKSLSLLVECGLPENALVASPEGLGENRWSWLEVKHLSDSLLMALDASLEHALMASVMNVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSKDHVSSLRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRKAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGTTLHIIPDSRQVKAEELQAGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >itb09g31130.t4 pep chromosome:ASM357664v1:9:31613126:31633773:-1 gene:itb09g31130 transcript:itb09g31130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MESSSSNGYRFRRIPRQTFAAVLNLDPLLDEKLEQWPHLNELVQCYRTDWVKDDSKYGHYESIGPTSFQSQIFEGPDTDIETEMHLGNARQTKIDDSFEGENPSTSGAQLTEASLSDLSNAKVSKHFGESPLPAYEPVFDWENERSMIFGQRIPEAHMSQYTSGLKIAVRVLSLSFQAGLAEPFYGTICLYNRERREKLSEDFLFRILPAEMQDASTSYERRAVFHLDAPSASVCLLIQLEKPATEEGGVTPSVYSRKESVHLTEREKQKLQVWSRIMPYRESFAWAIIPLFDSGLSAAVGGSNSPSSPLSTSISGSSYQEGGVEPIAKITSDGKLGYSSGNSIVVEVSNLNKVKEGYTEDSLQDPKRKVHKPVKGVLKLEIEKLQTNSVDFEHMESGSVTFDSVENGPLNAFSKSNSFERKELTRNGSVGNENPDVASADFEAFDFRTTTRNEPFLQLFHCLYVYPLTVSMSRKRNMFIRIELKKDDADISHPPLEAMHPRAPGASLQKWAHTQVAVGTRVASYHDEIKVSLPAIWTPMHHLLFTFYHVDLQTKLEAPKPVVVGYASLPLSTHAQLKSEISLPVMKELVPHYLQDSGKERLDYIEDGKSIFKLRLRLCSSLYPISERIRDLFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLYPILNMLLHLISNGGETLQVAAFRAMVNILTRVQQESVDEAERNVFLVNYVDYAFDDFDDRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHNIPSGEDVPPMQLKEGVFRCIVQLYDCLLTEVHERCKKGLSLAKQLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQILCDHDLFVEMPGRDPSDRNYLSSILIQEIFLTWDHEDLSMRAKAARILVVLMCKHEFDVRYQKPEDKLYIAQLYFPLVGQVLDEMPVFYNLAAIEKREVLVIFLQIVRNLDDSSLVKAWQQSIARTRLFFKLLEECLMHFEHRKPTDGILVASSSRSVVGEGPASPKYSDRLSPAINQYLSDAARQEVRQQGTPENGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENVSAAVSLQVLEVTDKFSRTAASHSIATDYGKLDCITSIFMNVFSRNQPLSFWKALFPVFNSVFELHGATLMARENDRFLKQIAFHLLRLAVFRNDNIRKKAVVGLQILIRSSFSCFTQTARLRVMLTITLSELMSEVQVTQMKSDGTLEESGEARRLRKSLEEMADESKSLSLLVECGLPENALVASPEGLGENRWSWLEVKHLSDSLLMALDASLEHALMASVMNVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVSRNDGVWSKDHVSSLRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRKAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDKKEYVYREPRDVRLGDIMEKLSHIYESRMDGTTLHIIPDSRQVKAEELQAGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >itb04g25790.t1 pep chromosome:ASM357664v1:4:30280416:30282114:1 gene:itb04g25790 transcript:itb04g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPSFCGEEVENQKVAEDEEESITSYTPGPLLSLKDQIEKDKEDESLRRWKEKLLGCLESDLNGQMEAEVKFHSIGIISSATGEITAPLPINKAQEGAVLFTLKEGSEYQLKLAFTVMHNIVSGLAYTNTVWKGGLQVDQSKGMVGTFAPQKEPYVHTLEKETTPSGVLARGVYKANLKFVDDDKRCHLELGYSFEINKGK >itb06g11110.t4 pep chromosome:ASM357664v1:6:15632124:15634253:1 gene:itb06g11110 transcript:itb06g11110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPGSIRTKKIFVGGLASSVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLYKTFHELNGKMVEVKRAVPKELSPGPIRSPLGPYNFGLNRVQNLLSPYNQGYSPSSIGSYGVRMDRTNPTAIGLNRYSSFNPSSYSMGLLDSTLNSNNGGGGNFSPNLGYGRIYGGNSARLNGLMGYASGRIGSGSMLNSTVQNMLDNDTLNYTTQSANYNNFVGLGSGNTGFSAAAFGGLETIWDSSIISGQGGRNGSPGNDLIGYNNAGVGGYGPYNGNTAGETSTLTATGDVRSANFGSLYGTGNGSFFEDQTWHSLSPELEGSGSFRYGLGSMASDVIPKNSLDYVNAYSITNRSNTGIAA >itb06g11110.t1 pep chromosome:ASM357664v1:6:15630631:15634256:1 gene:itb06g11110 transcript:itb06g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKLFVGGISWDTNEDGLREYFQTFGEIVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPRDDHHGNRNSGVMQSSPGSIRTKKIFVGGLASSVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLYKTFHELNGKMVEVKRAVPKELSPGPIRSPLGPYNFGLNRVQNLLSPYNQGYSPSSIGSYGVRMDRTNPTAIGLNRYSSFNPSSYSMGLLDSTLNSNNGGGGNFSPNLGYGRIYGGNSARLNGLMGYASGRIGSGSMLNSTVQNMLDNDTLNYTTQSANYNNFVGLGSGNTGFSAAAFGGLETIWDSSIISGQGGRNGSPGNDLIGYNNAGVGGYGPYNGNTAGETSTLTATGDVRSANFGSLYGTGNGSFFEDQTWHSLSPELEGSGSFRYGLGSMASDVIPKNSLDYVNAYSITNRSNTGIAA >itb06g11110.t3 pep chromosome:ASM357664v1:6:15630631:15634253:1 gene:itb06g11110 transcript:itb06g11110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKLFVGGISWDTNEDGLREYFQTFGEIVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPRDDHHGNRNSGVMQSSPGSIRTKKIFVGGLASSVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLYKTFHELNGKMVEVKRAVPKELSPGPIRSPLGPYNFGLNRVQNLLSPYNQGYSPSSIGSYGVRMDRTNPTAIGLNRYSSFNPSSYSMGLLDSTLNSNNGGGGNFSPNLGYGRIYGGNSARLNGLMGYASGRIGSGSMLNSTVQNMLDNDTLNYTTQSANYNNFVGLGSGNTGFSAAAFGGLETIWDSSIISGQGGRNGSPGNDLIGYNNAGVGGYGPYNGNTAGETSTLTATGDVRSANFGSLYGTGNGSFFEDQTWHSLSPELEGSGSFRYGLGSMASDVIPKNSLDYVNAYSITNRSNTGTRISFAHLTFRFTSLCSSIDFSPLLVILNLIY >itb06g11110.t2 pep chromosome:ASM357664v1:6:15631274:15634256:1 gene:itb06g11110 transcript:itb06g11110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIVMCRVKMEPGKLFVGGISWDTNEDGLREYFQTFGEIVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPRDDHHGNRNSGVMQSSPGSIRTKKIFVGGLASSVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLYKTFHELNGKMVEVKRAVPKELSPGPIRSPLGPYNFGLNRVQNLLSPYNQGYSPSSIGSYGVRMDRTNPTAIGLNRYSSFNPSSYSMGLLDSTLNSNNGGGGNFSPNLGYGRIYGGNSARLNGLMGYASGRIGSGSMLNSTVQNMLDNDTLNYTTQSANYNNFVGLGSGNTGFSAAAFGGLETIWDSSIISGQGGRNGSPGNDLIGYNNAGVGGYGPYNGNTAGETSTLTATGDVRSANFGSLYGTGNGSFFEDQTWHSLSPELEGSGSFRYGLGSMASDVIPKNSLDYVNAYSITNRSNTGIAA >itb06g11110.t5 pep chromosome:ASM357664v1:6:15630631:15634256:1 gene:itb06g11110 transcript:itb06g11110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKLFVGGISWDTNEDGLREYFQTFGEIVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPRDDHHGNRNSGVMQSSPGSIRTKKIFVGGLASSVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLYKTFHELNGKMVEVKRAVPKELSPGPIRSPLGPYNFGLNRVQNLLSPYNQGYSPSSIGSYGVRMDRTNPTAIGLNRYSSFNPSSYSMGLLDSTLNSNNGGGGNFSPNLGYGRIYGGNSARLNGLMGYASGRIGSGSMLNSTVQNMLDNDTLNYTTQSANYNNFVGLGSGNTGFSAAAFGGLETIWDSSIISGQGGRNGSPGNDLIGYNNAGVGGYGPYNGNTAGETSTLTATGDVRSANFGSLYGTGNGSFFEDQTWHSLSPELEGSGSFRYGLGSMASDVIPKNSLDYVNAYSITNRSNTGIAA >itb14g06210.t1 pep chromosome:ASM357664v1:14:5466251:5471818:-1 gene:itb14g06210 transcript:itb14g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPYSNFDSSTSDYPDFTPTQALQTQYGQHNTVIVEQQEQEDLAKPYMDMVELIGKMRSSGIDNGQAIEILGAYHHQEYPWKRNFYTPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERAVGQSVEEYREYLRNEMKLLDKARNYYKEIGITYPHNDEELVDMLLLDGCFVVEFVMKCKEGGNGDPRRSVEGKKAREDMLLFENQLSFDVLSAIYKKMTASHTEEVPSFIRLVKFSFASLAPNFTFHNFYDDNLPENPMNLLHVVHSLCVPNVNSHRIEVREAEGDIDDVTNKWLTLNHINTATELQEVGVGFKNTGEIFTMPKEYAKIPAKLKANKEPNGISLFDITFSSGEMRIPCFKVDNSTELFFRNMIALEQQSCQVNPKYYTDYARLMSHLVKGNKDITFLRKNGTIHNLLGEDGKVAYMFNNLSVEIDTSNNFYFASVYTAVNGLCRNRRHVWKQKLKKFFFVLWKVVYITLSAISLLLLAIQEYTNST >itb03g18330.t3 pep chromosome:ASM357664v1:3:16638012:16641442:1 gene:itb03g18330 transcript:itb03g18330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEDLIEYANGDSFVKVKQRLKDRSKKMAETKEKTKQILSEHADKIAKRAEEHETFITKVTHLLGVLWFGGFCFLLGARPQDIPYVYCLFYFTFVPLRWIYYRYKKWHYYLLDFCYYANTISIITILFYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSADKIVSVFIHLLPGLVFFTIRWWVPEYFGAMHPEGNERRASWPYAESKSYLWTWLFFVPLISYTLWQILYFLIVDVLRRQRFLRDPEVMTSYRLILYPFL >itb03g18330.t2 pep chromosome:ASM357664v1:3:16638012:16641442:1 gene:itb03g18330 transcript:itb03g18330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEDLIEYANGDSFVKVKQRLKDRSKKMAETKEKTKQILSEHADKIAKRAEEHETFITKVTHLLGVLWFGGFCFLLGARPQDIPYVYCLFYFTFVPLRWIYYRYKKWHYYLLDFCYYANTISIITILFYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSADKIVSVFIHLLPGLVFFTIRWWVPEYFGAMHPEGNERRASWPYAESKSYLWTWLFFVPLISYTLWQILYFLIVDVLRRQRFLRDPEVMTSYRELSKKAQKANNVWWRLSGLLGDQNRLFMYILLQALFTMATTALAVPIFLSYELHVVFQILKISAATWNGGNFLVEVMPRQAILKEKKKSEMQHMPTSSEYQTASPESAGKVSLESETETVFTE >itb03g18330.t4 pep chromosome:ASM357664v1:3:16638173:16641431:1 gene:itb03g18330 transcript:itb03g18330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEKTKQILSEHADKIAKRAEEHETFITKVTHLLGVLWFGGFCFLLGARPQDIPYVYCLFYFTFVPLRWIYYRYKKWHYYLLDFCYYANTISIITILFYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSADKIVSVFIHLLPGLVFFTIRWWVPEYFGAMHPEGNERRASWPYAESKSYLWTWLFFVPLISYTLWQILYFLIVDVLRRQRFLRDPEVMTSYRLILYPFL >itb03g18330.t1 pep chromosome:ASM357664v1:3:16638012:16641442:1 gene:itb03g18330 transcript:itb03g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKEKTKQILSEHADKIAKRAEEHETFITKVTHLLGVLWFGGFCFLLGARPQDIPYVYCLFYFTFVPLRWIYYRYKKWHYYLLDFCYYANTISIITILFYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSADKIVSVFIHLLPGLVFFTIRWWVPEYFGAMHPEGNERRASWPYAESKSYLWTWLFFVPLISYTLWQILYFLIVDVLRRQRFLRDPEVMTSYRELSKKAQKANNVWWRLSGLLGDQNRLFMYILLQALFTMATTALAVPIFLSYELHVVFQILKISAATWNGGNFLVEVMPRQAILKEKKKSEMQHMPTSSEYQTASPESAGKVSLESETETVFTE >itb04g16590.t1 pep chromosome:ASM357664v1:4:18319022:18321924:1 gene:itb04g16590 transcript:itb04g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYGSQRSKRRAPGHPFAPSTPATTGTSRAVEVPSRFKRRSNRDAPSSAVWDALGAATTGVASRVAARRWPAQWWFHRGPATQRPVVISGGDGDSDDDFHTAPRDPVAHHRKHQPRTAQMAADGSLPKISIRGSPRELVHVVTGLNQCQRQHVCDIGMGGLLGFQVDEIPSRLGYWLVSNFDLFLMRLKLANGSFISVTKEDVSSVLGLPNGLVPIVERDSQVVSTELCEWRDKVNQRRGRIMVKALATQLLNLREGQVVHMFHDVNKIKDLDWCGYLLRSLVVAHGFWARDKTRKFARPLLFLTLLYVDKLVVGA >itb12g13310.t1 pep chromosome:ASM357664v1:12:12148572:12150534:1 gene:itb12g13310 transcript:itb12g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAILLILSSVARLRGADGSDGGEWSDAHATFYGGADASGTMGGACGYGDLYGQGYGESTAALSTALFNNGLSCGACFEIKCAGDTEQCFPGSIVVTATNFCPPGGCCDSPFKHFDLSQPIFLQIAQYTAGIVPVQYKRVTCMKIGGIKFTITGHSYYILVLITNVGGAGDVVSVSIKGSNTSWLPMSHNWGQNWQSNAYLNGQALSFVVTTSDGQSVVSNDVTPPSWSFGQTYTGGQVPAGRRSSASKSLPTKQLISCNLLLGVLLLALVEASTLSSFLAFVHKEQCSK >itb09g11870.t1 pep chromosome:ASM357664v1:9:7421541:7423758:-1 gene:itb09g11870 transcript:itb09g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFKMAVAIDQHNYGFKLFGRPPRCKLQSYSQLDFSNTELTQTNLTYSHEHAALNFPNIHKSYSTPCLSLSTKLQEDNDVETNPRIEIIAGHGAPRVHALVVEAAVILASGINPEPVSSGLGGAYFLQSSNGIRIAVAKPIDEEPLAVNNPKGFGGRMLGQPGLKPSIRVGETGFRELAAYLLDHGGFAGVPPTALVKISHVAFNVNGSETMFSPPYKIASLQRFVDHDSDAGDMGPSCFSVASVHSIGILDVRLLNLDRHAGNILLKQRNQESYAVESTKLVPIDHGLCLPESLDDPYFEWLHWPQASIPFSESEIEYISSLDPFKDADLIRTRLPSIRESSVRVLVVCTIFLKSAAASGMCLADIGKMMTREFCGGEERWSVLETICSNAKASLETRVIDVNINIDQDIHEEINEVFQFDVESERVASEGSNCPKTSRSSLSSGKPPKIPRFSSLGSMNTLDDTVLNFFCQKNVCDDDVNNSYMDGDGTGKDDCDSSENMKGSGLMKSKSYPAAGAYQTVCGGICFGEMSGKEWYSFLEVFEKLLPEAFEARKCGGLSKQRLGSSY >itb01g03200.t1 pep chromosome:ASM357664v1:1:2103640:2107915:1 gene:itb01g03200 transcript:itb01g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYKLERMLPELDDLERRGLFSKFEIGEIVKQRRRFEYRLKRHSPLKSDFIAYIDYEKQLDALRLLRKKALVKEDGHAKSKKSVSDFSGVARIVEIYRLATNRFKGDIELWFQYLEFCRERRNGRMKKALVDAIRMHPTVPGLWIYAASWEFDQNLNVAAARALMQRGFRACPSSEDLWIEYLRMELTYLNKLKARKVALGEDVGTLTNNQKNPKEKQWRDENKELFMSLDDEIKDDKASNPQDAEQKDKVDLFKEHGLSIFQTVYNSAIEAIPSSFSLRTRFLEILDATNLAHSEDMQKQILDDLKKDFCKEPKYWDWLARLEMFGLKNVENASEGMITDRLSEAIQVYEEGLKVVPSATMFELYVKFLTDAIPCGNGDGKSSDNSSDHVVDPIAQLLMVYEKAESMGCITEALACQHVSFLLQLGKQVEAKTLVEKFCTGKFSEAVHLWALRLSIETMCTEKGFSSNKAAQLSLFELLRNVLMKVSISKAESLWLMALKVFSSHKQIFDKLIDTAVALLAKDGGSDGGFSLSSAIVNYVLQKDGLRSAREMYRRFIALPHPGLSIYKNCIELELSIVSTGDEGSLANARLLYDAMLTTYDQDANLWRDYYSMEVKFGTLEKAAAVEWRARKTLNKGFPLLSTV >itb09g00890.t1 pep chromosome:ASM357664v1:9:552699:557606:-1 gene:itb09g00890 transcript:itb09g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGEESMKWDKMQGTGLGEEEKILVLVRLRPLSEKEISRNEVSDWECINETTILYRNSLQERSGLPSAYTFDRVYRGDCSTREVYDGGSKDIALSVVRGVNSTIFAYGQTSSGKTYTMNGITEYTVADIYDYIQKHEERAFVLKFSAMEIYNEVVRDLLSSDNSPLRLLDDPEKGTIIEKLTEETLRDWNHLKELLSICEAQRQIGETYLNETSSRSHQILRLTIESSAREFIGKDNKTTLSASVNFVDLAGSERASQALSVGQRLKEGCHINRSLLTLGTVIRKLSKGRNGHVNYRDSKLTRILQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTNAQVNVVMSDKALVKHLQKELARLENELRGPGTCCDHVALLRKKDMQIEKLEKEVKEIAKQRDLAQSQVENLLQVKGTDQGSSQKRELSDECSSSGSEDEDSFFSSDLSKRRKELASRSGEVSDEHCKEVHCIEMDSSGINHTPESTRLLNNENENRERITVLPVSSNGRSAAHDFSSTSSGQASHASNYIIQGAPEHKMPGTQETSNSTVSPYPDRSSQWSLSNSMLDSRTLKLTRSMSCRANIMDDSFSPNSEMAEQCGETTPPNGLEKDFPGRPEVLRRKHWKLPPVIYGADNPKLSRNNSQSSYGSAFVDEVNSRSNGPEDDVIPTVDTFVAGLKEMAKIHYEDQAEDADLTGNSFQTSVKDVGLDPLGAPSNWPSEFERMQKLIIELWQTCNISLIHRTYFFLLFKGDPTDSIYMEVEVRRLSFLNSSFSKGNPAVQGGKRLTLASSLKAFRRERGMLSRLIYKRFTENERNHVYQKWGIALNSKQRRQKLVHRLWSSTDMNHVTESAAIVAKLIGFSEQEEAPKEMFGLSFTPRMSRRSFGWRNSVASLL >itb02g11630.t1 pep chromosome:ASM357664v1:2:7761159:7763010:1 gene:itb02g11630 transcript:itb02g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASTLASSVSKTSFLDAQKSFFHGTPAVSSQSRSFIQPLKSSIPRNMAISMSASASNPPPYDLKSFTFDPIKESIVSREMTRRYMTDMITFADTDVIVVGAGSAGLSCAYELSKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVEYDEQDNYVVIKHAALFTSTIMSKLLSRPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLRSIGMIESVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGMANAIDGTEVGSVHPELIMAAADDSDVVDA >itb11g00180.t1 pep chromosome:ASM357664v1:11:82647:83453:1 gene:itb11g00180 transcript:itb11g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNRRRFTTSHPSLTAFAPHALRPPPSLLRPHILRRSDLASSTVPSQHHLTGLRHSVALRTSPQPVIPLWLCFTKSLNSEQL >itb06g10140.t1 pep chromosome:ASM357664v1:6:14426172:14429477:1 gene:itb06g10140 transcript:itb06g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRSSSIRKRELRHCTGGGSEAIVEAQAEANSGRDVVVEGVSVRGAMRMHSRLSGGCISWNLRGRVRGWWRRNSILCLGIRAKFLGDNRDSGTIPLHLSRPNMAQGGINNNGNNPPTGGDRGVDALWAALQTQNDNHNRDFQEIRNILANISLRLDGHETLPAINLGRQQHARMMPQVNPPHGNMSSSDSEGDEIPIAYGQQQYRPKIQNTCAVTIRMNPTENDFGPVVYPKQPMPANEFYWLLGVDSRDLKGKHSQKMSLYKEKSKPRLSPKGYGYFKVRKKEFLKELITTLYYSDELTMYLKTRDESFATKGE >itb02g09510.t1 pep chromosome:ASM357664v1:2:6077744:6082630:-1 gene:itb02g09510 transcript:itb02g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQMTWQPSLLSHKRKSSPPVGLRNLGNTCYLNSVLQCLTYTPPLANFCLKSLHSSSCDATPEKKSECPFCLLEKRIARSLSLDLALDSPSKINSCLKIFAQHFRNGRQEDAHEFLRYVIDACHNTCLRLKKLQQQRKKVGNGSAGGGDGSESTVVKEIFGGALQNQVKCLSCGAESNKVDEIMDISLDVLHSSSLKDALQKFFQPEILDGNNKYKCDKCNKLVAARKQMSILQAPNVLVIQLKRFEGIFGGKIDKAIDFEEVLVLSSYMCKASQDPHPEYKLFGTIVHSGFSPDSGHYYAYIKDAMGRWYCCNDSYVSHSSLQDVLSEKVYILFFSRAKQRTPSAKKCLPSNGLKNNESNGTSKSEIPKGHLAKPENMKQFSGHQSEINNSVSSKVDKVPGIFEKANITKLRAAGNIKIVVHQRESGNKNGVERGKKEAWSPDRNGFSKTSVNGKTTKSSPLANGNGKLQTVTADTLDGGLCKENGHSKKETSDHKELLNGNVESSTTNSSLKRKSPDSCILPSEDVNSSGKMEVLKKELMKEASSVLRSCGWTDNVYSLMRSKKRLCVRGDSNGTSDYDEEKRLLIADAKATFISQIPESLKSSLIKHLRSFHQENT >itb02g09510.t2 pep chromosome:ASM357664v1:2:6077758:6082611:-1 gene:itb02g09510 transcript:itb02g09510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQMTWQPSLLSHKRKSSPPVGLRNLGNTCYLNSVLQCLTYTPPLANFCLKSLHSSSCDATPEKKSECPFCLLEKRIARSLSLDLALDSPSKINSCLKIFAQHFRNGRQEDAHEFLRYVIDACHNTCLRLKKLQQQRKKVGNGSAGGGDGSESTVVKEIFGGALQNQVKCLSCGAESNKVDEIMDISLDVLHSSSLKDALQKFFQPEILDGNNKYKCDKCNKLVAARKQMSILQAPNVLVIQLKRFEGIFGGKIDKAIDFEEVLVLSSYMCKASQDPHPEYKLFGTIVHSGFSPDSGHYYAYIKDAMGRWYCCNDSYVSHSSLQDVLSEKVYILFFSRAKQRTPSAKKCLPSNGLKNNESNGTSKSEIPKGHLAKPENMKQFSGNIKIVVHQRESGNKNGVERGKKEAWSPDRNGFSKTSVNGKTTKSSPLANGNGKLQTVTADTLDGGLCKENGHSKKETSDHKELLNGNVESSTTNSSLKRKSPDSCILPSEDVNSSGKMEVLKKELMKEASSVLRSCGWTDNVYSLMRSKKRLCVRGDSNGTSDYDEEKRLLIADAKATFISQIPESLKSSLIKHLRSFHQENT >itb02g09510.t3 pep chromosome:ASM357664v1:2:6077758:6082611:-1 gene:itb02g09510 transcript:itb02g09510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQMTWQPSLLSHKRKSSPPVGLRNLGNTCYLNSVLQCLTYTPPLANFCLKSLHSSSCDATPEKKSECPFCLLEKRIARSLSLDLALDSPSKINSCLKIFAQHFRNGRQEDAHEFLRYVIDACHNTCLRLKKLQQQRKKVGNGSAGGGDGSESTVVKEIFGGALQNQVKCLSCGAESNKVDEIMDISLDVLHSSSLKDALQKFFQPEILDGNNKYKCDKCNKLVAARKQMSILQAPNVLVIQLKRFEGIFGGKIDKAIDFEEVLVLSSYMCKASQDPHPEYKLFGTIVHSGFSPDSGHYYAYIKRTPSAKKCLPSNGLKNNESNGTSKSEIPKGHLAKPENMKQFSGHQSEINNSVSSKVDKVPGIFEKANITKLRAAGNIKIVVHQRESGNKNGVERGKKEAWSPDRNGFSKTSVNGKTTKSSPLANGNGKLQTVTADTLDGGLCKENGHSKKETSDHKELLNGNVESSTTNSSLKRKSPDSCILPSEDVNSSGKMEVLKKELMKEASSVLRSCGWTDNVYSLMRSKKRLCVRGDSNGTSDYDEEKRLLIADAKATFISQIPESLKSSLIKHLRSFHQENT >itb13g18150.t1 pep chromosome:ASM357664v1:13:25168028:25168896:-1 gene:itb13g18150 transcript:itb13g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSSFYPLNSGFSSDSSPVSFPNFDSYLPFNLNDSEEMLLFGVLAQAARENSETNSSDYSVKEEEVTSETPKKAYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDKAEEAALAYDQAAFSMRGPMAVLNFPVEIVRESLREMRCPVEEGGSPVVALKRRHSMRQRGLNRRSGKRREVKVENVVVFEDLGVDYLEQLLSSSGTTTSSSITPNPLV >itb14g14480.t1 pep chromosome:ASM357664v1:14:17163776:17164696:1 gene:itb14g14480 transcript:itb14g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATVLLSILMILSAVVKAAHPSPPSYEARLVKECAEALGPGGASLVTFCARDFLGHKAAALADLGNLETTALVLTESYNRAQAFEDFGMKIASGKGKDAGDLKRCWELMNDVVSSVGNIYGNVALEKLSMDAVLNGAYERAAVAKGKCNFSAAGRHGGVWLELQLKVKDTVKAEIVALAFMNQFHPVLN >itb02g03510.t1 pep chromosome:ASM357664v1:2:2035273:2036534:1 gene:itb02g03510 transcript:itb02g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIITDSVVVKAAAVAAEVQTVATKLVAAAPLEAEFVKCDCCGLSEECTQEYIETIRERYQGKWICGLCAEAVKDEIVRSESERRLISAEEALARHLNFCKKFRSTTPPPNPAVHLIAAMKQILRRSSESLKVRSLPSSPTKNNAVNINRRSVLSRTESCIPILPPVDHSMDKEPGS >itb11g18210.t1 pep chromosome:ASM357664v1:11:18402641:18407407:-1 gene:itb11g18210 transcript:itb11g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGFLTEEQREILKIATQNVEVLSSSPKSPTLRSPSALLSEHHVKAPLGGKASTAGIAVRHVRRTHSGKHIKIKKDGAGGKGTWGKLLDTDGESHIDRNDPNYDSGEEPYELVGSAVCDPLDEYKKSVVSLVEEYFSTGDVDVAASDLRELGSVEYHPYFIKRLVSMAMDRHDKEKEMASVLLSALYSDVISSAHISQGFFMLLESADDLAVDILDAVDILALFIARAVVDDILPPAFIARARKMLPESSKGYQVLQTAEKSYLMAPHHAELVERRWGGSTHFTVEEVKKKIAELLREYVESGDTGEACRCIRQLGLSFFHHEVVKRALVLAMEIRTAELLILKLLKEAAEEGLISSSQMLKGFARLAESLDDLALDIPSAKTLFQSLVHQAISEGWLDPSFLKSSSEDGKVHDAEYEKLRRYKEQCVTIIHEYFLSDDIPELIRSLEDLGAPEYNPIFLKRLVTLAMDRKNKEKEMASVLLSALHIELFSTEDIVNGFVMLLESAEDTALDILDASNELALFLARAVVDDVLAPLNLEEIGSRLQPNSSGSETVRVAQSLISARHAGERILRCWGGGTGWAVEDAKDKIMKLLEEFESGGVVNEACQCIRDLDMPFFNHEVVKKALVMAMEKKNDRMLDLLQACFDEGLITMNQMSKGFGRIKDGLDDLALDIPNAEDKFKFYAGHAKGRGWLLPSFTDVLSSSSSS >itb10g13180.t1 pep chromosome:ASM357664v1:10:19356112:19357737:-1 gene:itb10g13180 transcript:itb10g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHITHANTLLGVKPAPVIAAFSSLGPNTINPEILKPDISSPGVNVIAAFSEATGPSGMHYDKRIVSFNSESGTSMSCPLVAGVVGLLKTLYPSWSPAAIRSAIMTTARVRANSGKGITDASRVRATPFAYGAGHIRPTRAADPGLVYDMNLTDYANFLCAQGYNDTHIKTFLGTTPYKCPDHISLSSLNYPSIAIPLLNDTATVTRTVKNVGSPATYTASVRIPVGFSVTVDPNILEFNKVGEEKTFQVTLKATGKTATTDYAFGVLTWSDKKHHVRSPIVVKTA >itb13g01010.t1 pep chromosome:ASM357664v1:13:950687:957982:1 gene:itb13g01010 transcript:itb13g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVTILMDTLHQHFPQPTPRFPLRNKTKVKLLYKHLSSLQTCLEQDFKVGECDEAIKALEAQMRDVSVELRFQIEHQLRLFYLGKSMKVRLHSAQKLLPILNRAIKDIKTMDFDSMLRILPKHFMLPVSGMPAHIKKRVKSFCTQHHTIFYRHNIYYKNLYRKEESNDEQESKDEQDSKDEEESEDEKESEDEQESKDEQEKKGELKSKDEQRRCMLYEAESIIRQELRASYLNKYMKQRIEARQRIRQIFTQGIKLTSYIKKELLKVKNAAYHQSKISQNNNPASPTGGNITVGDDLLQHTSKPAIKMVGCDDEFNTIMDNLKQQSSNREIVSIVGMGGIGKTTLARKIYGDASIISHFDCQAWVTISQEYNSRQVFQGLLHSLGRGVCKNDETTNTELAELVYRCLKHQRYLIVIDDTWSIDVWGDLQKCFPDDNNGSRVLLTTRLKNVAAYVSAGSGFCHNKCFLGSYESWDLFCKVLSERIILSPKFDIIARKIVDKCKGLPLAITVAAGLLSNSKKTINEWESIAKNIPTLSLDHSNQLCDIIHLSYTFLPHHLKLCFLSFGCFPEDYEVYEDVIVNFWVSEGFLKVLRSERLEDVARKSLQDLVDRNLVLICEQRNIIAGGLSKAYQMHDVLRELASREAQKENLLYSKQGNGMGLRYKIIPRLNSSRISQPWSTTQSRICSYKSLTHSSNTSSFIDVYGYTKLVHMHSKFLRALVLHGFLNILLEIAGLVHLRWLKIACDLNIYSLPLFMLRNLQKLELHSYSSCDSLDICGLPQLRNLSIREGIALVPPRSVHHNLERIRFLDYRSCTEELFVRIPNVKTLGVTSTHHSERKAHNWFESLAYLYKLEELLFCGIDLQPEFKTLHSVGILSLDKFLPNLKKLQLFDTNLRWKDVDLIGTLSKLEELKLRAWSVHGRRWEPRDGGFHGLKFLAMTSCDLQCWEVTSGHFPVLEYLAFMYMGYNGVKEIPSDFADIATLKSINLFECSNQFMSSAKRIQEEQREYGNDALVVDM >itb04g12340.t1 pep chromosome:ASM357664v1:4:12070412:12074885:1 gene:itb04g12340 transcript:itb04g12340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLQLSCIRNESSHGDLSPRPHYPSMPKYPKGVSVSSDQERNMQGTEAKALFCVTGMTCSACAGSVEKAVKRLPGIKEAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFQAMLIEEETKEKATQVCRVAIKGMTCTSCSTTVESALQAIPGVQKAQVALATEEAEVHYDPKLLSHNQILEAIEDTGFESILISTGEFKSKVMLQVDGVRTENSMRLIANSLEALPGVQDIDIDPQLNKVSLSYKSGVTGPRNFISVIESTGSGRFKAKIFPEGGIKESHRKEEISQYRRSFLWSLIFTIPVFLTSMVFMYIPGIKDWLDTKVVNMLTVGELLRWILSTPVQFIIGRRFYIGSYAALRHGSANMDVLIALGTNAAYFYSVYSVLRAATSTDFKSSDFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPDAATLLTLDDEGNVVNEEEIDSRLIQKNDVIKILPGAKVACDGFVIWGQSHVNESMITGESRPVAKRKGEMVIGGTVNENGVLHIRATAVGSESALSNIVRLVESAQMAKAPVQKFADRISKYFVPLVITLSICTWLAWFLAGKFNRYPRSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGHALESTQKVNCIVFDKTGTLTVGKPVVVNTRLLKTMVLRDFYELVAATEVNSEHPLAKAIVEYAKKFKEDEENLVWPEASEFESITGHGVKAIVRNKEVIVGNKSLMLDQGIAIPIDAEELLTKTEELAQTGILVSIDRELAGVLAISDPLKPEAPQVISILKSMNVQSMLVTGDNWGTANAIAKEVGISYGVIAEAKPEDKANKVKELQASGKVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVIMRNNLEDVITAIHLSRKTFSRIRLNYFWALGYNLLGIPIAAGALFPSTRFRLPPWIAGAAMAASSVSVVCSSLLLKNYRRPKKLDSLEIGGITIE >itb13g09570.t1 pep chromosome:ASM357664v1:13:13717134:13718060:-1 gene:itb13g09570 transcript:itb13g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIYCSCGRVEDAKWIFESMPHKSLISWNAIIAGLCQNGLPIEVMDIFCRMNKMDTRMDRFSCASMISACASISSLDLGEQVFARAIIIGIDFDQIVSTSILDLYGKSGLVKQARKIFDQIVEPDEASWNSMLMGYATNGYGTEALNLFCEMRCAGVLPTNITFTGVLSACNHCGLLEEGKKWFNAMIHDYHTDPGIEHYSCMVDLYVRAGCLEVAMNITLTMPFEADASIWSSILRGCVAQGNEILGKQVAKRITELDPENSSAFAQLSSIYATSGKWERSALVWNLMKEKGVHKIPGQSRRDTWI >itb07g08600.t1 pep chromosome:ASM357664v1:7:6817099:6823799:1 gene:itb07g08600 transcript:itb07g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAAVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKAGGS >itb07g08600.t2 pep chromosome:ASM357664v1:7:6817137:6823799:1 gene:itb07g08600 transcript:itb07g08600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAAVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKAGGS >itb07g08600.t3 pep chromosome:ASM357664v1:7:6817137:6823799:1 gene:itb07g08600 transcript:itb07g08600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAAVLIFANKQDLPGALDDAAVTESLELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKAGGS >itb10g16290.t1 pep chromosome:ASM357664v1:10:22537605:22544182:1 gene:itb10g16290 transcript:itb10g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRDGSGDRDKYEDDILESDEKRLPSRGGDRSKDERYRDAKHKDGGYGDKYREDGDRDERHKEEKYEAEKDRRRREDKYRGDGYSRDNRRRDDKYSEDGDRDNRYREDKYYQHEDRDNRHKEYREEVERDSRHRDDKYREDSERDDRLRDDKYLEDGDKDVKRREDRYREEGEKDDRQRDNKYREDGERDNRRKEEKHRDDFERDGRHRDGKHGEESDREKRPRDTKYTDDHNQRDRSGDRSDSKRLKDESHAADIHSRKGGIRENSPNYDDRASRYKDDQGRRRTDDKEDRSDIRFQSSKDQYDAEKRSGTVPRVDSIADRGKSSSRNTDLERTSNHNRRRSSPSTGPYTTRDHFRQSKQEDSKYRDHAYDERGRRNRDYSGSVGAMDKIPSTRSAEKVTQKDDSYAGDGSAEWRLKSDSRASSLQVVDKSPSSTSNDRRHLSRSEVKRSLDAEDLALRSGSKDYSGKEGRGNRDLPIETLAGDELSHADGDTLSVSSPFARGSHLSGSTRSLLPPPLPFRPGVDSPSVLGSSEDDCRGKPGNRHRRGSESNVGRFQGNSWRGVPNWPSPVANGFIFQHGPAHVSFPPVMQQFPAPPMFGVRPSMELNHPGLPYHIPDADRFSSHGRPIGWRTPMDGSCAPFHSWDSNNAVLGDEANLYGRPEWDQYRNMPMNRSWDSSDMWKGPNRSASVELPSASHKEAHSAQGPGDESLTGQSAQQAQSEQRHADLEAESKAISQSSDAVEKNTPEDLKIIPEEPCSDSKMSKKEDTCLFRAYLSKLDVSADLTEPDLYEQCTSLLFSDQNMVADIDDSKILYLEPVEGKAPRKFSSTSLFAAANESVFQKAISLYKQEREDVKVINGEKGSVANKQNESFVAPEEGKVFSEDCVVEKVASVGGLDAKEMHPSSHDGMLPDSPQKVEGSPILTDHQIDDPMVEDIVEKPEQPIPAMERVSMEMDVISNLAEENAVEELSKSPAKVEGSYEAVPDDLTTTSNALDDDNHSPDGNGEMKLVDAKCGPLLSPDVSSEAFEVVVPIESGSVILSRIHHSPESTH >itb10g16290.t2 pep chromosome:ASM357664v1:10:22537605:22544182:1 gene:itb10g16290 transcript:itb10g16290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKSKSHKPSKHSKEAREYSDSEEDVKMKESSSRVSKDLASGEKRKLSSQSREGRESKDLSGYGNGNGNAPEEYLSTKRRKEKGEGSGGGDRWNGGVDESGDGRHAAEKESKAGSSKTDQEKGLRQKEAKGYGDSKSKSSKRHESGGGSERKEETVLSGVEKEEAKSGKGESKKKSETDSVRKEGKDLKEKEHGSERERKQDSKRETEVKGYSGDTEKKIWSQSGDVGEEKQSKRSRENTDRSIRSEVRNSDLDRDAEKKMRKRRDGSGDRDKYEDDILESDEKRLPSRGGDRSKDERYRDAKHKDGGYGDKYREDGDRDERHKEEKYEAEKDRRRREDKYRGDGYSRDNRRRDDKYSEDGDRDNRYREDKYYQHEDRDNRHKEYREEVERDSRHRDDKYREDSERDDRLRDDKYLEDGDKDVKRREDRYREEGEKDDRQRDNKYREDGERDNRRKEEKHRDDFERDGRHRDGKHGEESDREKRPRDTKYTDDHNQRDRSGDRSDSKRLKDESHAADIHSRKGGIRENSPNYDDRASRYKDDQGRRRTDDKEDRSDIRFQSSKDQYDAEKRSGTVPRVDSIADRGKSSSRNTDLERTSNHNRRRSSPSTGPYTTRDHFRQSKQEDSKYRDHAYDERGRRNRDYSGSVGAMDKIPSTRSAEKVTQKDDSYAGDGSAEWRLKSDSRASSLQVVDKSPSSTSNDRRHLSRSEVKRSLDAEDLALRSGSKDYSGKEGRGNRDLPIETLAGDELSHADGDTLSVSSPFARGSHLSGSTRSLLPPPLPFRPGVDSPSVLGSSEDDCRGKPGNRHRRGSESNVGRFQGNSWRGVPNWPSPVANGFIFQHGPAHVSFPPVMQQFPAPPMFGVRPSMELNHPGLPYHIPDADRFSSHGRPIGWRTPMDGSCAPFHSWDSNNAVLGDEANLYGRPEWDQYRNMPMNRSWDSSDMWKGPNRSASVELPSASHKEAHSAQGPGDESLTGQSAQQAQSEQRHADLEAESKAISQSSDAVEKNTPEDLKIIPEEPCSDSKMSKKEDTCLFRAYLSKLDVSADLTEPDLYEQCTSLLFSDQNMVADIDDSKILYLEPVEGKAPRKFSSTSLFAAANESVFQKAISLYKQEREDVKVINGEKGSVANKQNESFVAPEEGKVFSEDCVVEKVASVGGLDAKEMHPSSHDGMLPDSPQKVEGSPILTDHQIDDPMVEDIVEKPEQPIPAMERVSMEMDVISNLAEENAVEELSKSPAKVEGSYEAVPDDLTTTSNALDDDNHSPDGNGEMKLVDAKCGPLLSPDVSSEAFEVVVPIESGSVILSRIHHSPESTH >itb10g16290.t3 pep chromosome:ASM357664v1:10:22537605:22544182:1 gene:itb10g16290 transcript:itb10g16290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKSKSHKPSKHSKEAREYSDSEEDVKMKESSSRVSKDLASGEKRKLSSQSREGRESKDLSGYGNGNGNAPEEYLSTKRRKEKGEGSGGGDRWNGGVDESGDGRHAAEKESKAGSSKTDQEKGLRQKEAKGYGDSKSKSSKRHESGGGSERKEETVLSGVEKEEAKSGKGESKKKSETDSVRKEGKDLKEKEHGSERERKQDSKRETEVKGYSGDTEKKIWSQSGDVGEEKQSKRSRENTDRSIRSEVRNSDLDRDAEKKMRKRRDGSGDRDKYEDDILESDEKRLPSRGGDRSKDERYRDAKHKDGGYGDKYREDGDRDERHKEEKYEAEKDRRRREDKYRGDGYSRDNRRRDDKYSEDGDRDNRYREDKYYQHEDRDNRHKEYREEVERDSRHRDDKYREDSERDDRLRDDKYLEDGDKDVKRREDRYREEGEKDDRQRDNKYREDGERDNRRKEEKHRDDFERDGRHRDGKHGEESDREKRPRDTKYTDDHNQRDRSGDRSDSKRLKDESHAADIHSRKGGIRENSPNYDDRASRYKDDQGRRRTDDKEDRSDIRFQSSKDQYDAEKRSGTVPRVDSIADRGKSSSRNTDLERTSNHNRRRSSPSTGPYTTRDHFRQSKQEDSKYRDHAYDERGRRNRDYSGSVGAMDKIPSTRSAEKVTQKDDSYAGDGSAEWRLKSDSRASSLQVVDKSPSSTSNDRRHLSRSEVKRSLDAEDLALRSGSKDYSGKEGRGNRDLPIETLAGDELSHADGDTLSVSSPFARGSHLSGSTRSLLPPPLPFRPGVDSPSVLGSSEDDCRGKPGNRHRRGSESNVGRFQGNSWRGVPNWPSPVANGFIFQHGPAHVSFPPVMQQFPAPPMFGVRPSMELNHPGLPYHIPDADRFSSHGRPIGWRTPMDGSCAPFHSWDSNNAVLGDEANLYGRPEWDQYRNMPMNRSWDSSDMWKGPNRSASVELPSASHKEAHSAQGPGDESLTGQSAQQAQSEQRHADLEAESKAISQSSDAVEKNTPEDLKIIPEEPCSDSKMSKKEDTCLFRAYLSKLDVSADLTEPDLYEQCTSLLFSDQNMVADIDDSKILYLEQPVEGKAPRKFSSTSLFAAANESVFQKAISLYKQEREDVKVINGEKGSVANKQNESFVAPEEGKVFSEDCVVEKVASVGGLDAKEMHPSSHDGMLPDSPQKVEGSPILTDHQIDDPMVEDIVEKPEQPIPAMERVSMEMDVISNLAEENAVEELSKSPAKVEGSYEAVPDDLTTTSNALDDDNHSPDGNGEMKLVDAKCGPLLSPDVSSEAFEVVVPIESGSVILSRIHHSPESTH >itb06g03830.t1 pep chromosome:ASM357664v1:6:6304706:6305853:-1 gene:itb06g03830 transcript:itb06g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEVGKRDESSICTMKKRRTKRPRHHDTSSSLSSFSDNSDHRSLSNHSDIEFNHHRVEEEEDMAKCLMLLSQGHVRKPPPSPPSAAAAGMNREVYECKTCNRVFPSFQALGGHRASHRKPKAAEQNQESVDEDVTTLSLQIPGRAVAVSSPPRNKVSNRIHECSICGAEFSSGQALGGHMRRHRPLPTADQEIKKPSRHPLALDLNLPAPEDDHREITKFPFAAKEQVIVFSASPLVDCHY >itb09g00120.t1 pep chromosome:ASM357664v1:9:200489:200830:1 gene:itb09g00120 transcript:itb09g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKDVGEGWQLTIKVNEARRGEIPRTVSVWVISIKAVSVLLDVGDGRNLRRVSSPRAAVFGGFLSEFVGCRQYRFLMFCCRPSPEISFSPARFLLVAWACYTARSSNGTVV >itb07g07830.t1 pep chromosome:ASM357664v1:7:6062791:6064951:-1 gene:itb07g07830 transcript:itb07g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSKANYMVVAVALLFLFPVAHGWGVDGHLIACRIAQLRLSAAAADAVDKLLPAYAKGNLSSMCSWADNVRFRFPWSAPLHFINTPDVCNYQYKRDCKDEDGEVGRCVAGAINNYTTQLVSYVSGNDSSYNSTQALLFLSHFVGDIHQPLHVGFASDKGGNTIDVKWYTKKTVLHHVWDTSIIETAEEQFYESEVEELIDAIQKNITTGWADEVKSWEACTNDKEACPDVYASEGIKAACDWAYKGVSENSVLEDPYFLTRLPVVKLRLAQAGVRLAAILNRIFG >itb11g06650.t1 pep chromosome:ASM357664v1:11:4004751:4005380:-1 gene:itb11g06650 transcript:itb11g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKITVIFRKPKPSPARRSGDDQNNNPQSSALKIIHPGGTTEHYYMATPAARIIDEYYPSFILARPDVFRKPWESVVKRDEILTPGQKFFVVPRQTIKKLRRRSRRIKKGGIKVVPSNHASDQMISLAESSSGSFLQRSSIIKAASDEKTKQRSRRRVRFFGVDGVEGSSDFATSSESNGRRGSKSRREQRKRRARAWNPSLTVITEK >itb03g26040.t1 pep chromosome:ASM357664v1:3:25480361:25484270:-1 gene:itb03g26040 transcript:itb03g26040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLGGWWRWEAAGWRCGVAVAMAETWAACGGNGDMAARLELWWAVEDAGMRRPLQEEEEEKEEEEEEWRTGHFERTASSSHTDISFLRQAAAATAPPPGVTARHSHCTPPSATATPYHSALAAHHRSTLSRLISRKSPSISVS >itb02g07450.t1 pep chromosome:ASM357664v1:2:4616960:4618663:1 gene:itb02g07450 transcript:itb02g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIASMAARRAAAFNRLASPSSASQAASLVQRRGLAGAADHHGPPKVNFWQDPTSPSKWKEEHFVLISLSGWGLVFYGGYKFFTGGKKNKEETLVQTSH >itb12g06580.t1 pep chromosome:ASM357664v1:12:4908412:4910244:1 gene:itb12g06580 transcript:itb12g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCDVVIHFPLPPITGLFSGLTSWGVVDVDVIIEMFIFLLGARMWSFASNCIAGKVEPKTDTLKRAQDADCSDDEVSSTTSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPLQLPLFVACPWCNLLSLRLVYRGNLKFPRKNFFLLWMLESMNGDRTRLRSAFCGNHQPSWLSGSGATLVGNSGSGSGISLRRRSSTRQSESSEPHLDRSRTEEGFLAIEWLQSSLRKLLIFFFHLTAKFPLVVMFLLIILYAIPASAAILALYILITIVFALPSFLILYFAYPSLDWLVREIIT >itb12g06580.t2 pep chromosome:ASM357664v1:12:4908412:4910244:1 gene:itb12g06580 transcript:itb12g06580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNCIAGKVEPKTDTLKRAQDADCSDDEVSSTTSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPLQLPLFVACPWCNLLSLRLVYRGNLKFPRKNFFLLWMLESMNGDRTRLRSAFCGNHQPSWLSGSGATLVGNSGSGSGISLRRRSSTRQSESSEPHLDRSRTEEGFLAIEWLQSSLRKLLIFFFHLTAKFPLVVMFLLIILYAIPASAAILALYILITIVFALPSFLILYFAYPSLDWLVREIIT >itb04g18550.t1 pep chromosome:ASM357664v1:4:22113644:22115919:1 gene:itb04g18550 transcript:itb04g18550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGNEEKECIFGEVEDDDDDEENEDSLKKKIASHALYGLLVDTHIDCLKLCLGMADQIEEEKSSKYEPKAANCQIISSRKSDQLELDNFMEAYCMALSKLKEVMKEPHQETMAFITHMHSQLEELMEAPSMSQSHLAKTT >itb01g06530.t1 pep chromosome:ASM357664v1:1:4748537:4750768:1 gene:itb01g06530 transcript:itb01g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFNLILTFLFIACLHFSAVFATESEIYIVHVELPNGLTSRDSHYQSFMSSAVETSTDSSNIIYSYQHVISGFAAKLSPDGVKAMEKMDGFVYARPQRVYNLHTTHTPNFLGLHLNSGFWNSSNYGEGVIIGLLDTGIFNPHPSFSDYGMPPPPAKWKGTCDSFNCNNKLIGGKGFFSGNQSSSFDNLNGHGTHTSSTAAGNFVDSANVYGSDNGTATGIAPRAHLAMYRVCGENGGCFEIDILAGMDAAIEDGVDILSISLGGSSGPFYNDNIALGAYSAMEKGIFVSCSAGNSGPEHFTLSNEAPWILTVGAATVDRNVVATARLGNGEEVDGQSAYQPDHFSLELLPLVYPGMNASDFTAKYCGNSSLDNYDVKGKVVVCDMGGPGRPVPAILKGTVVKEAGGAAMILVNQDFMGYTTFALPNALPATHLSFADGEKVKSYLNSTSNPTATILLKGTVIGDPHAPAVSFFSSRGPSNASPGILKPDIIGPGVSIIAAWPFSVENRTDSKPTFNIISGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAIITTSDTTNLGNNKIEDERGLPADIFTVGAGQFNPSRANDPGLVYDVAPEDYVPYLCGLGYTDKQVSLILQRNVTCSTSIQEAELNYPSFSLNLVNTTSTSRSQTYTRTVTNVGEASSSYTVEILSPDGVSVTVEPSTLSFSELNQKASYQVTFSRSTSPTNATVVQGYLKWSSSRYVVRSPIAAILNNLVV >itb11g00740.t1 pep chromosome:ASM357664v1:11:335286:336949:1 gene:itb11g00740 transcript:itb11g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCDSVKIHNVSVEPQDGEQKLTMKYLLSWVRTNLIKERPEMFMKGDTVRPGVLVLVNDTDWELSGQLDTVLEEKDVIVFISTLHGG >itb03g28750.t3 pep chromosome:ASM357664v1:3:29447310:29452052:-1 gene:itb03g28750 transcript:itb03g28750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSNVVVVDNGGGLIKAGIGGERDPAAVVPNCMGRPLSSKKWLIADQLLGPDADLTSATARRPIDRGHVINPDLQSSIWSHIFTTLLKVTPSQSSLLLTEPLFTLPSIQRSIDEIIFEEFNFRGLFVADSPSLVHLYEASRRPYGLVSKAQCSLVVDSGFSFTHAAPVFQNFTLNYGVKRLDLGGKALSNYLKELVSYRSVNLMDESFLMDDVKEKLCFVSLNVARDLQIARKPGRDNLFRCTYVLPDGVTFTKGFVKEPEEAKRYMSLYDPSPLQATETQNSVEHGKNNDDPDSRKRIDVSKVDLTKNEFSLTNERFLVPEMIFRPADLGMNQTGLAECIVRAVNSCHPYLHPVLYESIILTGGNTLFPRFAERLENDLRPLVPDKYHVKITTQEDPILGVWRGGSLLASSPDFEMMCVTKAEYEELGSARCRQRFFH >itb03g28750.t2 pep chromosome:ASM357664v1:3:29447660:29452051:-1 gene:itb03g28750 transcript:itb03g28750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSNVVVVDNGGGLIKAGIGGERDPAAVVPNCMGRPLSSKKWLIADQLLGPDADLTSATARRPIDRGHVINPDLQSSIWSHIFTTLLKVTPSQSSLLLTEPLFTLPSIQRSIDEIIFEEFNFRGLFVADSPSLVHLYEASRRPYGLVSKAQCSLVVDSGFSFTHAAPVFQNFTLNYGVKRLDLGGKALSNYLKELVSYRSVNLMDESFLMDDVKEKLCFVSLNVARDLQIARKPGRDNLFRCTYVLPDGVTFTKGFVKEPEEAKRYMSLYDPSPLQATETQNSVEHGKNNDDPDSRKRIDVSKVDLTKNEFSLTNERFLVPEMIFRPADLGMNQTGLAECIVRAVNSCHPYLHPVLYESIILTGGNTLFPRFAERLENDLRPLVPDKYHVKITTQEDPILGVWRGGSLLASSPDFEMMCVTKAEYEELGSARCRQRFFH >itb03g28750.t1 pep chromosome:ASM357664v1:3:29447310:29452052:-1 gene:itb03g28750 transcript:itb03g28750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSNVVVVDNGGGLIKAGIGGERDPAAVVPNCMGRPLSSKKWLIADQLLGPDADLTSATARRPIDRGHVINPDLQSSIWSHIFTTLLKVTPSQSSLLLTEPLFTLPSIQRSIDEIIFEEFNFRGLFVADSPSLVHLYEASRRPYGLVSKAQCSLVVDSGFSFTHAAPVFQNFTLNYGVKRLDLGGKALSNYLKELVSYRSVNLMDESFLMDDVKEKLCFVSLNVARDLQIARKPGRDNLFRCTYVLPDGVTFTKGFVKEPEEAKRYMSLYDPSPLQATETQNSVEHGKNNDDPDSRKRIDVSKVDLTKNEFSLTNERFLVPEMIFRPADLGMNQTGLAECIVRAVNSCHPYLHPVLYESIILTGGNTLFPRFAERLENDLRPLVPDKYHVKITTQEDPILGVWRGGSLLASSPDFEMMCVTKAEYEELGSARCRQRFFH >itb13g23450.t1 pep chromosome:ASM357664v1:13:29451491:29454897:1 gene:itb13g23450 transcript:itb13g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIYTLLGACVLVGLLASAWGVLGWVWFKPKKLEKLLKRQGLKGNPYRILSGDMKELVKMRIDALSKPMSLSDNIAPRLIPYFVHLADKYGKNCYVWMGPTPMVFIRDPELVKEILNKHDLFQKPRNNPLARKLVGGLASYEKEQWAKHRRLINPAFYSEKLKLMQPAFLQSCSEMLSKWEGIVNGKGSSSCEVDVWPDLQDLTCDVISRTAFGSSYEEGKRIFELLKEQAMHFMVAVRQVYIPGWRFVPTKRNRRMSAIYKEVKSSIRVIVEKRMMAMQAGETTNNHDLLGILLESNLQEIRQQGNKEFGMSIDQIIDECILFYFAGQETTSAMLVWTMVLLSRHQDWQARARDEVLQVFGDKKPDFEGLNDLKVVTMILYESLRLYTPVAGLVRKTTEETKLGEMVLPPGVLLSLPILMMDLDTEIWGEDAKEFKPERFREGIMKATNGKQAFFPFSGGPRICIGQNFALVEAKMAMAMVLQHFSFELSPSYAHAPCTRMITQPQHGAPLIMHRL >itb04g33390.t1 pep chromosome:ASM357664v1:4:35643591:35648912:-1 gene:itb04g33390 transcript:itb04g33390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPFHLPPFGFPPGYRFLPTDEELIVHYLKKRIMNCALPHNQIQEVNLYKYSPEELSGRYPKLGEKDFYFFTHRDRKYKNGNRPSRAAGDGYWKATTADKRITHGVDGPVIGHKKTLVYYEGRPPKGEKTNWIMHEFTVEEGTITPKPRGDNVDPMRGFPEVLHFYVIHPTVQKPFAFSPIQMVLSNIHRLAHRLSLHSVAGKLSLLSVCRGYSTEKCCIPNLLIDSNSSNGVNATRLLTKGPSHWRHEHFCTAAGNNDPGNASQKEKISVTFVDKDGEEQQIKVPLGMSMLEAAHENDIELEGACEGSLACSTCHDMEYYNKLEDPEDEENDMLDLAFGLTETSRLGCQIIAEPELNGIRLAIPAATRNFAVDGFKPKPH >itb09g06940.t1 pep chromosome:ASM357664v1:9:4018910:4022595:1 gene:itb09g06940 transcript:itb09g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFSLLLVSLLLFEPVAVARLSQWSNEKISDSLGNGDLVTELPGQPSVSFRHYAGYVTVNENNGRALFYWFYESLTLPEEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDSDGSGLTANPYSWNREANLLFLESPIGVGFSYSNTSADYVNLGDDFAANDAYTFLHKWFLKFPSFRTRAFYIAGESYAGKYIPQLAELIVDKNNDPSLSIDLRGVLLGNPETSDAEDWKGIVDYAWSHAIISDETHSIILESCDFNSNDTWNNKDCTEAVDEVYRQYKEIDMYSLYTSVCIDSLPSSDDNSSEVVFKSSSKMAMPRLMGGYDPCLDDYTKSYYNRPDVQKALHACDGHNLKDWRICNMSLFNSWSYQKDSVLPIYHKLIAAGLKIWVYSGDTDGRVPVLSTRYSISALGLPITQAWRPWYHQKQVGGWVQEYKGLTFATFRGAGHAVPTFKPSESLAFFSSFISGASLPFQRNP >itb02g23660.t1 pep chromosome:ASM357664v1:2:23807644:23823252:1 gene:itb02g23660 transcript:itb02g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNKICPDSPYVWNAGGISPCFDDLYPLMAFVIVCSFDLIINEEGNIVTVIMLAAFGIVKRTYKDNRMVPLPVEVLFRAIPAFGVGIAFVDMIVLAKKNLNSYDIIPYHEWLYRSSQLSVWVTVLLVSKCCRCFVVITCVKEIFVALLDVIFAILINIIRLKSDDTGSSPMEESLLSGNVDIEEGCSRDLPKGIVGSFWSLATFKSIDSVLEHGIEKQLDFEDLLELPLDMDPLSCHTLLLNHWEYQQRKNFSHPSLFKAICSAYGWPYLCLGFLKVVNDCLGFASPLLLNKLIQFLQEGSTSYDGYVLAVSMGLASVLKSFLDTQYTFRLSKLKLKLRSSIMTLIYRKCLCTNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGIALYLLYKQVKFAFVAGIAITILLIPVNKWIANLIASATKNMMEQKDERIRRTAELLTNVRTLKMYGWEFLFASWLTKTRSVEVAYLSTRKYLDAWCVFFWATTPTLFSLFTFGLYALMGYKLDAATVFTCLALFNNLISPLNSFPWVINGLIDAIISTRRLSRYLSCFEHEPEKERTADSLLLPDPNKQSSSEDAAIFIHDASCTWSSSDEKQLDLVLDHVTLHIPKGLLVAVVGEVGSGKSSLLNLILGEMKLVNGSIHVNGSTAYVPQVPWILSGTIRDNILFGRDYDPRRYSEVLRVCSLDFDISRMVGGDSARVGEKGLNLSGGQRARLALARAIYHGAHIYMLDDILSAVDAHVACSILQTAILGPVMKQRTRILCTHNLQAITAADIVVVMDKGHIKWVGNPADLSCPSSVAFSSVDELSASHTEERRSSMSTEPSLEVSDSDSISISDEAQDIIEIEQRKEGRVELMVYKKYAAFSGWIITFVTGFSAIMMQATRNGNDLWLSYWVDSTGSNQNAYSTTFYLVILCMFCLANSFLTLVRSFSFAYGGLRAAVRVHDKLLKNLTSAPIRFFDQTPSGRILNRLSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQIVFLFLLLPFWFIYSKLQLYYRSTSRELRRLDSVSRSPIYASFTETIDGSSTIRAFKSTDLFLIKFFQHLMVYQRTSYSEVMAGLWLSLRLQLLAAFIVSFIAVMAVLGSHGYLHIDLGTPGLVGLALSYAAPIVSNLGSFLSSFTETEKEMVSIERVLQYMDIPQEELIEGQRFDQHWPFQGDVSFQNVTLRYMPSLPPALRGVSFTITGGTQVGIIGRTGAGKSSILNALFRLYPICGGRIIVDGIDTGAISLRDLRERFSVVPQVPFLFEGSLRTNLDPLDVSEDMQIWEALEKCHLKEEVEAAGGLDMNVKGSGAPFSVGQRQLLCLARALLKSSKILCLDECTASVDTQTASKLQKVIMDECQGTTVITIAHRISTVVNMDKILILDQGILVEQGNPHHLLEDESSLFSSFAKASNM >itb10g01930.t1 pep chromosome:ASM357664v1:10:1569032:1573960:-1 gene:itb10g01930 transcript:itb10g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSGLQHPYDNCLIKYAEYNFFGEIDNVNKFYLSNTEPVCNPRVFIPTRNQLLRQLATKAAGQNSFYAAGDANVGPAGSSTMKVYGLVQCTRDLSGKNCRNCLYGAIAELPVLWFPTGRESCGRELQRQI >itb06g15530.t2 pep chromosome:ASM357664v1:6:19855702:19856257:1 gene:itb06g15530 transcript:itb06g15530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCSGYMSPEYAIDGNFSVKSDVFSLGVILLELVSGRKNRKFHHLDHHHNLLGHAWLLWNDGDPIELMDNCVRDSYVESQVLRCIHVALLCVSKLAEDRPTMASIVFMLENEEAALPQPKAPGFFVERNSTEASSTNKETSRSDVAKMTFSILEPR >itb06g15530.t1 pep chromosome:ASM357664v1:6:19852910:19855495:1 gene:itb06g15530 transcript:itb06g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIKMKSLQGPRLYFFCSTLLSLFTFCTSVDTITPDQPITDGTTIVSAGGNFELGFFSPGKSKNRYVGIWYSNIPTKDVVWVANRETPLNNTSGKLLLRDNGILVLLDGSNEEIWSSNSSASLRNPVAQLSDTGNLVVIAGNDHSSENSAWQSFDYPGNTLLPGMKVGRNLATGHAWYQTSWKSVDDPALGEYTETLDINGFREFFQFKGANKSPFTRSGPWNGEMFTGVPSVKDNPYYRFGFIVNDREVYYTYELKDSSVPSRVVITPTGMVERLLWIGRTKSWIVYFTAPTDNCDHYGICGAFGKCNINSSPPCDCLKGFIPKYPQDWEETDWSNGCVRRTPLDCGDADRFFIYRGVKLPDTRHSWYDRSIGLEECNRLCLKNCSCRAYSNTDVRDGGSGCLLWFGDLTDIRDFDDVDQDLYVRIAASDFDISQNGQKKQEVTKIVIPTISGILILSFLVWFALYKRKKGAKIGKEDCDLPLFTLETLVSATNNFSSYNLIGEGGFGPVFKGKLPTGIEIAVKKLSENSGQGAKEWENEVSIIAKLQHRNLVTLQGCCAEGEQRILIYEYMPNNSLDYFIFDESRK >itb02g22340.t1 pep chromosome:ASM357664v1:2:21761177:21762129:-1 gene:itb02g22340 transcript:itb02g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEENDVSLEAPPVVAELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIEF >itb03g09590.t1 pep chromosome:ASM357664v1:3:7398489:7399967:1 gene:itb03g09590 transcript:itb03g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYKGAEEKERENGDDEDEALSLCDLPNKQENQSKKESPASGGGGEEEFDFCSWGGGSLKESEMCAADEVFFRGQILPLRHSISSDGAGIRCNSMDRCHSAGYTTASSRSSSTRSHQSSSSAASSITTTPHRKIRNQFQYSQPSPTPQLRFSKIPHANNNYAARNSTLWSLFRVGLVTMPEIGSHSFRARNRDNDNNNRNNLVRSSSINVSNADDTKPNITQKLKQRFSDKNLVFFDTCKCSSNSIQTIPSPSAKKKNKKNTKQQATTGKQTVSRIRTFEWLKQLSLEAEGAATQPLNN >itb13g00720.t1 pep chromosome:ASM357664v1:13:659690:664305:-1 gene:itb13g00720 transcript:itb13g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRWYIADNGVYSKLISVMGRKGQTRMAMWLFSEMRNSGCRPDTSVYNSLISAHLHSKDKPKALAKAVGYFDKMKGMERCMPNVVTYNILLRAFAQAGDVEKVNDLCKDLEESVVTPDIFTFNGVMDAYGKNGMIKEIESVLTRMKRNKLKADIITFNLLIDAYGRKQEFEKMEQVFKSLLRSKEKPTTPTFNSMITNYGKARLRDKAEGIFENMTDMGYKPSYITYECLIMTYGRCDSVSRARDIFDQIVETEKEKKVSTLNSMLDVYCMNGLSMEAHMLFERMHHSKIFPIDSSTYKLLYKAYTKADMKGLVEKLLKYMDGDGIIPNKRFFLDALGAFGSSTSSQKSIDKNIQSRTQASRARN >itb13g00720.t2 pep chromosome:ASM357664v1:13:660288:664267:-1 gene:itb13g00720 transcript:itb13g00720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSFTDKKPLVATLNKYVKMVRTEHCFLLFEELSKSDKWLQCLEAFRWMQRQRWYIADNGVYSKLISVMGRKGQTRMAMWLFSEMRNSGCRPDTSVYNSLISAHLHSKDKPKALAKAVGYFDKMKGMERCMPNVVTYNILLRAFAQAGDVEKVNDLCKDLEESVVTPDIFTFNGVMDAYGKNGMIKEIESVLTRMKRNKLKADIITFNLLIDAYGRKQEFEKMEQVFKSLLRSKEKPTTPTFNSMITNYGKARLRDKAEGIFENMTDMGYKPSYITYECLIMTYGRCDSVSRARDIFDQIVETEKEKKVSTLNSMLDVYCMNGLSMEAHMLFERMHHSKIFPIDSSTYKLLYKAYTKADMKGLVEKLLKYMDGDGIIPNKRFFLDALGAFGSSTSSQKSIDKNIQSRTQASRARN >itb13g00720.t3 pep chromosome:ASM357664v1:13:661556:664305:-1 gene:itb13g00720 transcript:itb13g00720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRWYIADNGVYSKLISVMGRKGQTRMAMWLFSEMRNSGCRPDTSVYNSLISAHLHSKDKPKALAKAVGYFDKMKGMERCMPNVVTYNILLRAFAQAGDVEKVNDLCKDLEESVVTPDIFTFNGVMDAYGKNGMIKEIESVLTRMKRNKLKADIITFNLLIDAYGRKQEFEKMEQVFKSLLRSKEKPTTPTFNSMITNYGKARLRDKAEGIFENMTDMGYKPSYITYECLIMTYGRCDSVSRARDIFDQIVETEKEKKVSTLNSMLDVYCMNGLSMEAHMLFERMHHSKIFPIDSSTYKLLYKAYTKADMKGLVEKLLKYMDGDGIIPNKRFFLDALGAFGSSTSSQKSIDKNIQSRTQASRARN >itb03g11480.t1 pep chromosome:ASM357664v1:3:9650561:9652589:-1 gene:itb03g11480 transcript:itb03g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVIGYLEILVAVACFLLFYVWREDSKGFPRNYPIVGMLPGFLYYRNQAHQRVTNSMRLSGGTFLYKGPWFANMDMLATVDPANVHHVMSANFMNFPKGPKFKEMFEVLGEGIFNADLEMWRVQRKIARGFMMHARFHRFLLETSRVKVEQGLIPVLEHYVVAAENQGGSAVMDLQDLFQRYTFDTSCILITGYDPGCVSVDFSEVPFSKAMDDAEEAILVRHIMPECIWKLQRWVGVGSEKKLTQASNTLDQVIAGYIAKKRQEEDPDDKEETIRGCDLLTSYLRHQQSDQDTMGLNLKLDDQKFLRDTIMNLMIAGRDTTSSALTWFIWLVSTHPHVESKIREELTKTLIPKDQSQKWRVFNAEELKPLVYLHASICESLRLYPPVPFQHKEPVHTDTLPSGHSVHPKMKIMIPLYAMGRMESIWGEDAAEFKPERWISEKGTVKHEPSYKFMAFNAGPRTCLGKEIAFTQIKPVAASIIHNYQVKVVEGHPVEPNSSIILYMRHGFKVRLHRRSMLN >itb14g19520.t2 pep chromosome:ASM357664v1:14:22135359:22137983:-1 gene:itb14g19520 transcript:itb14g19520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNMKGLLKGLRYISQIFEEEKEEEIQIGLPTDVKHVAHIGWDGGQSVDNPSWMKDFKGSAAVQSDDTKDNLEVLCASEDSNRRGTRSDDKDPDLPKSSKRQSDNPDSPKSKNKNSRRNRPKETSADSAAAAGDVPDIPKKSRRKKSKDNVSGDGSTRSAKSSKRKDSGQDSEASVKSAYSDFGGDGSTRSNKREGGAASESSAADAPGAW >itb14g19520.t1 pep chromosome:ASM357664v1:14:22135323:22137983:-1 gene:itb14g19520 transcript:itb14g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNMKGLLKGLRYISQIFEEEKEEEIQIGLPTDVKHVAHIGWDGGQSVDNPSWMKDFKGSAAVQSDDTKDNLEVLCASEDSNRRGTRSDDKDPDLPKSSKRQSDNPDSPKSKNKNSRRNRPKETSADSAAAAGDVPDIPKKSRRKKSKDNVSGDGSTRSAKSSKRKDSGQDSEASVKSAYSDFGGDGSTRSNKREGGAASESSAADAPGAW >itb02g05080.t1 pep chromosome:ASM357664v1:2:3043576:3047270:1 gene:itb02g05080 transcript:itb02g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYLKPETLHDDLKVTILDSGLIFPSQETTKRTMFLSNIDKVLNFDVQTVHFFPACPGFPPEIVAGKIKNALRRLLVTNTYDFLAGRLKKNEESERVEIECNAAGLGFAVASSEFALEDIGDLVYPNPAFRQLVTMSFDFVAKEDQPLCFVQVTAFKCGGFSLGTSMNHISFDGSGFKMFLENLAALAFNDDGPLAVVPCNDRSLLAARSPPQVTFPHPELLKVNLPAGEEMAPPVFDSLKEDLSFEIFQLSSADIDGLKDKAKAPDNVKTKVTGFNSLTAAIWRLNPPLPDSYSGNAVLTGYATAKCAELEEGPFWKTVQMVYEGAARMTDEYARSAIDWGELYKGFPHGEFLVSSWWRLGFEGVQFPWGKPKYSCPVVYHRKDIILLFPDIDNGGNSNRGVNVLVALPPKEMEKFKSLFHKFLA >itb13g02600.t1 pep chromosome:ASM357664v1:13:2513198:2515580:1 gene:itb13g02600 transcript:itb13g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDLSDGVLKKIVLSYTYVTIWIFLSFTVIIYNKYILDRKMYNWPYPISLTMIHMAFCSSFAYFLVRVLKLVDPVSMSWPIYLKSVIPIGLLYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLCSKESFRVDTMLNMVSISIGVAIAAYGEAKFDSWGVILQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLAFLFVPWVMVEYPNLKENSSYHFDYMIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWMLIAFSWSVIKDTVTPINLVGYGLAFLGVAYYNHIKLQTLRAKEAQKKSAENDDGESGKLLMQEIREGENNNAAKPNELHESRD >itb04g27670.t1 pep chromosome:ASM357664v1:4:31633814:31636684:1 gene:itb04g27670 transcript:itb04g27670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTVYSTAFTELLAGDVYPAGESPKFKSLSPPSLPLSPSTQLLDSPLLLSASNLLPSPTTGTFPAQPFSWNSTANVTREALKQENNGGFSDFSFLTNPASAGELNWAYQEGNEIEDAASSEKAIGELNTVVNSQSNGGNCSDYNHQKNQALKRSDDGYNWRKYGQKQVKRSDNPTSYYKCTHPNCPTKKKVETTLEGEITKIVYKGSHNHPMPQTTRRSAASPPSSYVSNGTGQLDNSVATPENSSVSYGDDDFEQSSRKRELGGEEFHEYDSNAKRWKAETGNENEGISSLGSRTVREPRVVVQTRSDIDILDDGYRWRKYGQKVVKGNPNAR >itb04g27670.t3 pep chromosome:ASM357664v1:4:31633815:31636684:1 gene:itb04g27670 transcript:itb04g27670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGELNWAYQEGNEIEDAASSEKAIGELNTVVNSQSNGGNCSDYNHQKNQALKRSDDGYNWRKYGQKQVKRSDNPTSYYKCTHPNCPTKKKVETTLEGEITKIVYKGSHNHPMPQTTRRSAASPPSSYVSNGTGQLDNSVATPENSSVSYGDDDFEQSSRKRELGGEEFHEYDSNAKRWKAETGNENEGISSLGSRTVREPRVVVQTRSDIDILDDGYRWRKYGQKVVKGNPNARSYYKCTTLGCPVRKHVERAPQDTRSVITTYEGKHNHDVPAARGGHSLNRQIPSNSNNSPAIAIRPSAMSHQSSLLAAILPTQGSGNFGANQGTVFSASREERLRDDMLLQMLLP >itb04g27670.t2 pep chromosome:ASM357664v1:4:31633814:31636684:1 gene:itb04g27670 transcript:itb04g27670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGTVYSTAFTELLAGDVYPAGESPKFKSLSPPSLPLSPSTQLLDSPLLLSASNLLPSPTTGTFPAQPFSWNSTANVTREALKQENNGGFSDFSFLTNPASAGELNWAYQEGNEIEDAASSEKAIGELNTVVNSQSNGGNCSDYNHQKNQALKRSDDGYNWRKYGQKQVKRSDNPTSYYKCTHPNCPTKKKVETTLEGEITKIVYKGSHNHPMPQTTRRSAASPPSSYVSNGTGQLDNSVATPENSSVSYGDDDFEQSSRKRELGGEEFHEYDSNAKRWKAETGNENEGISSLGSRTVREPRVVVQTRSDIDILDDGYRWRKYGQKVVKGNPNARSYYKCTTLGCPVRKHVERAPQDTRSVITTYEGKHNHDVPAARGGHSLNRQIPSNSNNSPAIAIRPSAMSHQSSLLAAILPTQGSGNFGANQGTVFSASREERLRDDMLLQMLLP >itb01g30370.t2 pep chromosome:ASM357664v1:1:34424448:34426808:-1 gene:itb01g30370 transcript:itb01g30370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMQGFAYAICLLLSVLLNILLVGNILYGGDGEWRLRLPNGELKWSQDAAAAAEAVAALQCSGHGIAFLDGEIGDDGVTPVCECHTCYSGSDCSLLLPDCFADADSGDPLFLEPFWVQNAASSAVVVSGWHRMSYSFPDSSIFSQQLENHIRKVHAIARNAITDGKYIVFGVGSTQLCNAAVYALSLENSSSLSPAKVVAKIPFYPLYKMQTEYFETKNYEFEGDPSLLRNDTDAAGNVIEFVTSPNNPDGHLRAPVVGGPFARTIYDRAYYWPHFTAIPAAADEDLSIFTISKLTGHAGSRFG >itb01g30370.t1 pep chromosome:ASM357664v1:1:34424416:34426814:-1 gene:itb01g30370 transcript:itb01g30370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMQGFAYAICLLLSVLLNILLVGNILYGGDGEWRLRLPNGELKWSQDAAAAAEAVAALQCSGHGIAFLDGEIGDDGVTPVCECHTCYSGSDCSLLLPDCFADADSGDPLFLEPFWVQNAASSAVVVSGWHRMSYSFPDSSIFSQQLENHIRKVHAIARNAITDGKYIVFGVGSTQLCNAAVYALSLENSSSLSPAKVVAKIPFYPLYKMQTEYFETKNYEFEGDPSLLRNDTDAAGNVIEFVTSPNNPDGHLRAPVVGGPFARTIYDRAYYWPHFTAIPAAADEDLSIFTISKLTGHAGSRFGWAIVKDKSVYENMVAYIRTAELGISKETQLRALSLLKTVVQGDGRGIFNFSYEKMKNRWERLSQIISQSRRFTLQEISPNYCNFFEKIRGPSPAYAWVKCLREEETDCGAVLEAAKIIGRAGSMFSAEDRYVRLSLLKRDDDFEQLLARLRELVAIEDGAKTM >itb09g09400.t1 pep chromosome:ASM357664v1:9:5672934:5675999:-1 gene:itb09g09400 transcript:itb09g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKRTVLVTGAGGRTGQIVYKKLRENSDKYIARGLVRSEESKAKIGSADDIYIGDIRNAESIVPAIQGIDALIILTSAVPKMKPGVDPSKGDKPEFYFEDGLSPEQVDWIGQKNQIDTAKASGVKHIVLVGSMGGTNPNHPLNTLGNGNILIWKRKSEQYLADSGVPYTIIRAGGLQDKNGGVRELLVGKDDELLQTDNRTVTRADVAEVCIQAVLFDEAKSKAFDLGSKPEGTGTPTQDFKALFAQVTTRF >itb07g13050.t1 pep chromosome:ASM357664v1:7:15143054:15144059:-1 gene:itb07g13050 transcript:itb07g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSPRSMAKDLTGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGEVEIPEA >itb02g02100.t2 pep chromosome:ASM357664v1:2:1157437:1161947:-1 gene:itb02g02100 transcript:itb02g02100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKCAWAGQAFAVAGCNDSGGRKTRIRRSKEERKSMVETFIKTYQKSNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRILGPATLPSEELTDEHPFFSLSVEPHSSLSSPDAIPVITDVAPSHYQSTSEENVLDSNGHIHGFDKNQSDNEQMFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLSETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb02g02100.t3 pep chromosome:ASM357664v1:2:1157437:1161947:-1 gene:itb02g02100 transcript:itb02g02100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLSETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb02g02100.t7 pep chromosome:ASM357664v1:2:1157415:1160964:-1 gene:itb02g02100 transcript:itb02g02100.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKCAWAGQAFAVAGCNDSGGRKTRIRRSKEERKSMVETFIKTYQKSNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRILGPATLPSEELTDEHPFFSLSVEPHSSLSSPDAIPVITDVAPSHYQSTSEENVLDSNGHIHGFDKNQSDNEQMFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb02g02100.t6 pep chromosome:ASM357664v1:2:1157437:1161004:-1 gene:itb02g02100 transcript:itb02g02100.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKCAWAGQAFAVAGCNDSGGRKTRIRRSKEERKSMVETFIKTYQKSNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRILGPATLPSEELTDEHPFFSLSVEPHSSLSSPDAIPVITDVAPSHYQSTSEENVLDSNGHIHGFDKNQSDNEQMFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLSETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb02g02100.t4 pep chromosome:ASM357664v1:2:1157415:1161008:-1 gene:itb02g02100 transcript:itb02g02100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKCAWAGQAFAVAGCNDSGGRKTRIRRSKEERKSMVETFIKTYQKSNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRILGPATLPSEELTDEHPFFSLSVEPHSSLSSPDAIPVITDVAPSHYQSTSEENVLDSNGHIHGFDKNQSDNEQMFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb02g02100.t1 pep chromosome:ASM357664v1:2:1157415:1161981:-1 gene:itb02g02100 transcript:itb02g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVKCAWAGQAFAVAGCNDSGGRKTRIRRSKEERKSMVETFIKTYQKSNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRILGPATLPSEELTDEHPFFSLSVEPHSSLSSPDAIPVITDVAPSHYQSTSEENVLDSNGHIHGFDKNQSDNEQMFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb02g02100.t5 pep chromosome:ASM357664v1:2:1157415:1160656:-1 gene:itb02g02100 transcript:itb02g02100.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVRSDILEQDRHEQPNIPSHNEILEDERILHYNLKSSETDVEKLNDDKTVEFSDAIEKDDNMSNDTSRNLLLHHQGDVEDNKISCSSGNVTETEAQNESLCSEEIVDGSSLTVERVETPQEPIYIESPVKESLADNEDGAEELKVSKAGVTRKIADVVVEKFPLRPISTTFFDSDENISEINGTSLGARREHDIINSSKNPSHLMNKKVDAILAGPTLEMSSEVMNKKVLESSLQSSTSSTNEAATAADLKVKDSSVETKTFSGSSNRSYEKLVSEESLAIKNIPGTQEHDSSHQKGNNPPLDRINLETWKETKGTTTKHESNPLLAFFKALFTPIMKLLD >itb01g25140.t3 pep chromosome:ASM357664v1:1:30626562:30630924:1 gene:itb01g25140 transcript:itb01g25140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRTVLPCHPRELRNGFYCRAVEDQPAAQSAITVNQRPNKKKVLVVGSGWAGLGAAHHLCKQECFDVMVIEGGYEFGPKNKSLSPDDIGIQGFWYPYRNVFKVVDEIGITPFTNWIKSAQYSQEGLEVKFPIFLEERELPTPFGTLLYSQFDRLPLIDRLTLLPLMAAIVDFDNTDTAWNKYDSITARELLKQFGCSERLFRNVVGPLLQVGLYAPAEQCSAAATLGMLYYYVLARQKHFDFVCCRGGVREKIFEPWMESLKSQGCKFLKGKKVTDVLLDEKSGRVSEVVCEKESFKADAIILAVGVSTLQEIIQNSATLCTREEFLKVLNLGSIDLLTVKLQLDKKVNIPYASNVSSGFDNSSACAFFDLNAIYDDCKDNPSTVIQADFCSIMPPICCP >itb01g25140.t1 pep chromosome:ASM357664v1:1:30626527:30630850:1 gene:itb01g25140 transcript:itb01g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGGYEFGPKNKSLSPDDIGIQGFWYPYRNVFKVVDEIGITPFTNWIKSAQYSQEGLEVKFPIFLEERELPTPFGTLLYSQFDRLPLIDRLTLLPLMAAIVDFDNTDTAWNKYDSITARELLKQFGCSERLFRNVVGPLLQVGLYAPAEQCSAAATLGMLYYYVLARQKHFDFVCCRGGVREKIFEPWMESLKSQGCKFLKGKKVTDVLLDEKSGRVSEVVCEKESFKADAIILAVGVSTLQEIIQNSATLCTREEFLKVLNLGSIDLLTVKLQLDKKVNIPYASNVSSGFDNSSACAFFDLNAIYDDCKDNPSTVIQADFYHATDLLPLKDERIVGKVMSCLSNCIKDFENATVIDREVERLPKSLTHFYPGSYKYMMRGSTSFPNLFMAGDWIINRHGSWSQEKSYVTGLEAANRVIDCLEEGSFAKVIPLEEDEPHVEALRSLNRNISEIRDQFPWSTFFLQ >itb01g25140.t2 pep chromosome:ASM357664v1:1:30626527:30630850:1 gene:itb01g25140 transcript:itb01g25140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRTVLPCHPRELRNGFYCRAVEDQPAAQSAITVNQRPNKKKVLVVGSGWAGLGAAHHLCKQECFDVMVIEGGYEFGPKNKSLSPDDIGIQGFWYPYRNVFKVVDEIGITPFTNWIKSAQYSQEGLEVKFPIFLEERELPTPFGTLLYSQFDRLPLIDRLTLLPLMAAIVDFDNTDTAWNKYDSITARELLKQFGCSERLFRNVVGPLLQVGLYAPAEQCSAAATLGMLYYYVLARQKHFDFVCCRGGVREKIFEPWMESLKSQGCKFLKGKKVTDVLLDEKSGRVSEVVCEKESFKADAIILAVGVSTLQEIIQNSATLCTREEFLKVLNLGSIDLLTVKLQLDKKVNIPYASNVSSGFDNSSACAFFDLNAIYDDCKDNPSTVIQADFYHATDLLPLKDERIVGKVMSCLSNCIKDFENATVIDREVERLPKSLTHFYPGSYKYMMRGSTSFPNLFMAGDWIINRHGSWSQEKSYVTGLEAANRVIDCLEEGSFAKVIPLEEDEPHVEALRSLNRNISEIRDQFPWSTFFLQ >itb01g25140.t4 pep chromosome:ASM357664v1:1:30626527:30630155:1 gene:itb01g25140 transcript:itb01g25140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRTVLPCHPRELRNGFYCRAVEDQPAAQSAITVNQRPNKKKVLVVGSGWAGLGAAHHLCKQECFDVMVIEGGYEFGPKNKSLSPDDIGIQGFWYPYRNVFKVVDEIGITPFTNWIKSAQYSQEGLEVKFPIFLEERELPTPFGTLLYSQFDRLPLIDRLTLLPLMAAIVDFDNTDTAWNKYDSITARELLKQFGCSERLFRNVVGPLLQVGLYAPAEQCSAAATLGMLYYYVLARQKHFDFVCCRGGVREKIFEPWMESLKSQGCKFLKGKKVTDVLLDEKSGRVSEVVCEKESFKADAIILAVGVSTLQEIIQNSATLCTREEFLKVLNLGSIDLLTVKLQLDKKVNIPYASNVSSGFDNSSACAFFDLNAIYDDCKDNPSTVIQADFCSIMPPICCP >itb09g15550.t1 pep chromosome:ASM357664v1:9:10789945:10796895:1 gene:itb09g15550 transcript:itb09g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKAIRECDDRRLKTKYNNAIHVIKRALALYSIQEVALSFNGGKDSTVLLHLLRAGYYLHEAERCHPNGDLGNGEITFPVRTIYFESSSAFTEINSFTYEMAANYNLQMDIIRLDFKSGLESLLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNALLCTAHSTNCNEKFRPAYLLSDGRLERAGRVKKYSSTVSKQVSPINNGFKGEDSCWKNMLTASVIAVGDEILFGTAEDKLGSSLCKKLHSIGWAVSQVVVTRNDVDSVAEQVETQKSTSNMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRHLIGEKCTGDKNEMAQLPEGITELLHHERMTVPLIKCHNVIVLNATNVTELDEQWGCLIELTKSNGLLALAEPFVSKHLATALSDVEVAQPLSKLCLQFPDIYIGGYRESRIGPLVITFEGKDLVRIKAAVEALLQNFHPGTFSDIH >itb09g15550.t2 pep chromosome:ASM357664v1:9:10790109:10796895:1 gene:itb09g15550 transcript:itb09g15550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKAIRECDDRRLKTKYNNAIHVIKRALALYSIQEVALSFNGGKDSTVLLHLLRAGYYLHEAERCHPNGDLGNGEITFPVRTIYFESSSAFTEINSFTYEMAANYNLQMDIIRLDFKSGLESLLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIHDTVPNALLCTAHSTNCNEKFRPAYLLSDGRLERAGRVKKYSSTVSKQVSPINNGFKGEDSCWKNMLTASVIAVGDEILFGTAEDKLGSSLCKKLHSIGWAVSQVVVTRNDVDSVAEQVETQKSTSNMVFIYGGVGPLHSDVTVAGVAKAFGVRLAPDEEFEEYLRHLIGEKCTGDKNEMAQLPEGITELLHHERMTVPLIKCHNVIVLNATNVTELDEQWGCLIELTKSNGLLALAEPFVSKHLATALSDVEVAQPLSKLCLQFPDIYIGGYRESRIGPLVITFEGKDLVRIKAAVEALLQNFHPGTFSDIH >itb05g26060.t1 pep chromosome:ASM357664v1:5:30146813:30150842:-1 gene:itb05g26060 transcript:itb05g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGLIGSTGYGHDEAGGREALDLAFAEIFGAESAIVRAQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRESHGLGSLKDFGVEYREVPLAEDGGLNWDALKIALKPHTKCALIQRSCGYSWRRSLSVNEIGKAIKIIKMQNPNCLVMVDNCYGEFVDTIEPPMVGSDLIAGSLIKNPGGTIAPCGGYIAGRKKWVEAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGSFLIAEVMAAKGYKVQPLCRVPRHDTVQAVQLGSRERLLSFCEAVQRVSPVGSFTKPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTQWGLVLGEILKTL >itb07g04050.t1 pep chromosome:ASM357664v1:7:2722519:2725002:-1 gene:itb07g04050 transcript:itb07g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSTVSLIRKAVPTARTTAAATTSYISSSIFTNYPLISALVAFTVAQSIKFFTVWYKERRWDPKQLIGSGGMPSSHSATVTALAVGVGLQEGFGGSLFATSLILACVVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVVAGGLLGVVTAAIIHMIAYIGTQAR >itb09g18970.t3 pep chromosome:ASM357664v1:9:15039957:15052239:-1 gene:itb09g18970 transcript:itb09g18970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNDVGVHTRSSNLANRQNLDMSSIPNNLNGHNDFNNSEDQETMELISRANEQQKEILFLRERISLASVRESQLLNDKYTLEKKFSELRMALDEKQSEAIASASNELARRKGDLEENLRLVNELKEVEDERYIFMSSMLGLLAEYGIFPRVANASTLTHNVKNLHNQLEMKIRTTHAKIATLNSMAANGSLNVDSLGSTPTNNQFPGSSMDIHGYPHYSKQYIDGQHSDVQGNMHEQMRGLLLNPNSSQLPDNGTHLNLSSNVDRDIPNHSTNLFNGNVLGGSYGEMPNEPPYPFPGGNEKSDSLSTEGRGPGIEGFQIVGDAKPGGRLQGCGYPVQGTSLCMFQWVRHNPDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANGQHKITCDADMQLEIDTHISNGQAMFNVLILVYSSENWEPATLFLRQSSFQVKVHRSQAVVLAEKFSKDLSVKIPSGLSSQFVISCPDGSSHPFSTTNNDVRMRDALVLTMRIFQSKALDEKRKAKA >itb09g18970.t1 pep chromosome:ASM357664v1:9:15039939:15053376:-1 gene:itb09g18970 transcript:itb09g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNDVGVHTRSSNLANRQNLDMSSIPNNLNGHNDFNNSEDQETMELISRANEQQKEILFLRERISLASVRESQLLNDKYTLEKKFSELRMALDEKQSEAIASASNELARRKGDLEENLRLVNELKEVEDERYIFMSSMLGLLAEYGIFPRVANASTLTHNVKNLHNQLEMKIRTTHAKIATLNSMAANGSLNVDSLGSTPTNNQFPGSSMDIHGYPHYSKQYIDGQHSDVQGNMHEQMRGLLLNPNSSQLPDNGTHLNLSSNVDRDIPNHSTNLFNGNVLGGSYGEMPNEPPYPFPGGNEKSDSLSTEGRGPGIEGFQIVGDAKPGGRLQGCGYPVQGTSLCMFQWVRHNPDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANGQHKITCDADMQLEIDTHISNGQAMFNVLILVYSSENWEPATLFLRQSSFQVKVHRSQAVVLAEKFSKDLSVKIPSGLSSQFVISCPDGSSHPFSTTNNDVRMRDALVLTMRIFQSKALDEKRKAKA >itb09g18970.t4 pep chromosome:ASM357664v1:9:15039939:15051876:-1 gene:itb09g18970 transcript:itb09g18970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGCRQNLDMSSIPNNLNGHNDFNNSEDQETMELISRANEQQKEILFLRERISLASVRESQLLNDKYTLEKKFSELRMALDEKQSEAIASASNELARRKGDLEENLRLVNELKEVEDERYIFMSSMLGLLAEYGIFPRVANASTLTHNVKNLHNQLEMKIRTTHAKIATLNSMAANGSLNVDSLGSTPTNNQFPGSSMDIHGYPHYSKQYIDGQHSDVQGNMHEQMRGLLLNPNSSQLPDNGTHLNLSSNVDRDIPNHSTNLFNGNVLGGSYGEMPNEPPYPFPGGNEKSDSLSTEGRGPGIEGFQIVGDAKPGGRLQGCGYPVQGTSLCMFQWVRHNPDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANGQHKITCDADMQLEIDTHISNGQAMFNVLILVYSSENWEPATLFLRQSSFQVKVHRSQAVVLAEKFSKDLSVKIPSGLSSQFVISCPDGSSHPFSTTNNDVRMRDALVLTMRIFQSKALDEKRKAKA >itb09g18970.t5 pep chromosome:ASM357664v1:9:15040019:15049802:-1 gene:itb09g18970 transcript:itb09g18970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSLNVDSLGSTPTNNQFPGSSMDIHGYPHYSKQYIDGQHSDVQGNMHEQMRGLLLNPNSSQLPDNGTHLNLSSNVDRDIPNHSTNLFNGNVLGGSYGEMPNEPPYPFPGGNEKSDSLSTEGRGPGIEGFQIVGDAKPGGRLQGCGYPVQGTSLCMFQWVRHNPDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANGQHKITCDADMQLEIDTHISNGQAMFNVLILVYSSENWEPATLFLRQSSFQVKVHRSQAVVLAEKFSKDLSVKIPSGLSSQFVISCPDGSSHPFSTTNNDVRMRDALVLTMRIFQSKALDEKRKAKA >itb09g18970.t2 pep chromosome:ASM357664v1:9:15040019:15053176:-1 gene:itb09g18970 transcript:itb09g18970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNDVGVHTRSSNLANRQNLDMSSIPNNLNGHNDFNNSEDQETMELISRANEQQKEILFLRERISLASVRESQLLNDKYTLEKKFSELRMALDEKQSEAIASASNELARRKGDLEENLRLVNELKEVEDERYIFMSSMLGLLAEYGIFPRVANASTLTHNVKNLHNQLEMKIRTTHAKIATLNSMAANGSLNVDSLGSTPTNNQFPGSSMDIHGYPHYSKQYIDGQHSDVQGNMHEQMRGLLLNPNSSQLPDNGTHLNLSSNVDRDIPNHSTNLFNGNVLGGSYGEMPNEPPYPFPGGNEKSDSLSTEGRGPGIEGFQIVGDAKPGGRLQGCGYPVQGTSLCMFQWVRHNPDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANGQHKITCDADMQLEIDTHISNGQAMFNVLILVYSSENWEPATLFLRQSSFQVKVHRSQAVVLAEKFSKDLSVKIPSGLSSQFVISCPDGSSHPFSTTNNDVRMRDALVLTMRIFQSKESGKSRTIVTSSFGQ >itb09g18970.t6 pep chromosome:ASM357664v1:9:15039939:15050519:-1 gene:itb09g18970 transcript:itb09g18970.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEVEDERYIFMSSMLGLLAEYGIFPRVANASTLTHNVKNLHNQLEMKIRTTHAKIATLNSMAANGSLNVDSLGSTPTNNQFPGSSMDIHGYPHYSKQYIDGQHSDVQGNMHEQMRGLLLNPNSSQLPDNGTHLNLSSNVDRDIPNHSTNLFNGNVLGGSYGEMPNEPPYPFPGGNEKSDSLSTEGRGPGIEGFQIVGDAKPGGRLQGCGYPVQGTSLCMFQWVRHNPDGTRHYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANGQHKITCDADMQLEIDTHISNGQAMFNVLILVYSSENWEPATLFLRQSSFQVKVHRSQAVVLAEKFSKDLSVKIPSGLSSQFVISCPDGSSHPFSTTNNDVRMRDALVLTMRIFQSKALDEKRKAKA >itb05g06950.t1 pep chromosome:ASM357664v1:5:7928899:7930444:1 gene:itb05g06950 transcript:itb05g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKLFKPSRVALRREHIPLSSFQTSSTAVALPSPPVTYEPRHRRRLRASLPPPLSHHHSIVRTPPPLLEGHQRCCNTSTSAATPQSLPR >itb07g04950.t1 pep chromosome:ASM357664v1:7:3338318:3342461:1 gene:itb07g04950 transcript:itb07g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVWMRRIQLAGATSVFAAADFSVATVTRLPLSFTSLKPPLPRSLSSRRCLVTAAIRPSPPLDNTTLRNDNYVAAGDTDKKGVLEDCSKVILKGMRYTELEKWVQSHGYRPAQALMLWKRLYGNNIWAQCSEELEGLNKEFRKMLSEHAEFKALNLKDIRTASDGTKKMLFTLEDGMVIETVVIPCDRGRTTVCISSQVGCAMNCQFCYTGRMGLRRHLSTAEIVEQAVLARRLLSSEVGPITNVVFMGMGEPLHNTDNVIRAADILVDEQGLHFSPRKVTISTSGLVPQLKRFLRESNCALAVSLNATTDEVRNWIMPINRKYNLNLLLGTLKEELHTKHNYKVLFEYVMLAGVNDSIEDAKRLIHLIHGIPCKINLISFNPHSGSLFKPTKEEKIIEFRNILAEAGCVVFLRLSRGDDQMAACGQLGNPGDIQAPLLRVPSQFQAALEASLT >itb07g04950.t2 pep chromosome:ASM357664v1:7:3338305:3342720:1 gene:itb07g04950 transcript:itb07g04950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVWMRRIQLAGATSVFAAADFSVATVTRLPLSFTSLKPPLPRSLSSRRCLVTAAIRPSPPLDNTTLRNDNYVAAGDTDKKGVLEDCSKVILKGMRYTELEKWVQSHGYRPAQALMLWKRLYGNNIWAQCSEELEGLNKEFRKMLSEHAEFKALNLKDIRTASDGTKKMLFTLEDGMVIETVVIPCDRGRTTVCISSQVGCAMNCQFCYTGRMGLRRHLSTAEIVEQAVLARRLLSSEVGPITNVVFMGMGEPLHNTDNVIRAADILVDEQGLHFSPRKVTISTSGLVPQLKRFLRESNCALAVSLNATTDEVRNWIMPINRKYNLNLLLGTLKEELHTKHNYKVLFEYVMLAGVNDSIEDAKRLIHLIHGIPCKINLISFNPHSGSLFKPTKEEKIIEFRNILAEAGCVVFLRLSRGDDQMAACGQLGNPGDIQAPLLRVPSQFQAALEASV >itb07g04950.t3 pep chromosome:ASM357664v1:7:3338305:3341958:1 gene:itb07g04950 transcript:itb07g04950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVWMRRIQLAGATSVFAAADFSVATVTRLPLSFTSLKPPLPRSLSSRRCLVTAAIRPSPPLDNTTLRNDNYVAAGDTDKKGVLEDCSKVILKGMRYTELEKWVQSHGYRPAQALMLWKRLYGNNIWAQCSEELEGLNKEFRKMLSEHAEFKALNLKDIRTASDGTKKMLFTLEDGMVIETVVIPCDRGRTTVCISSQVGCAMNCQFCYTGRMGLRRHLSTAEIVEQAVLARRLLSSEVGPITNVVFMGMGEPLHNTDNVIRAADILVDEQGLHFSPRKVTISTSGLVPQLKRFLRESNCALAVSLNATTDEVRNWIMPINRKYNLNLLLGTLKEELHTKHNYKVLFEYVMLAGVNDSIEDAKRLIHLIHGIPCKINLISFNPHSGSLFKPTKEEKIIEFRNILAEAGCVVFLRLSRGDDQMAACGQLGNPGDIQAPLLRVPSQFQAALEASV >itb07g23120.t2 pep chromosome:ASM357664v1:7:27614489:27616277:1 gene:itb07g23120 transcript:itb07g23120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKCIKIKNGNILVLFVATFTMIIHNTTSEWITHGKDITNRRYAEGELLINPKSVAQRLQFEWKFAAGFDITATPAVADGVVYFPSWNGNLYAVKASTGKLVWEQNLGQLTGIPPANVVSGVNATVSRSTPAVAGDYLMVGIYLPAVVIAVKRSTGELVWATKLDPRPLALITASASCVDLAGICLVGVSSLEVTLPADQCCTFRGSLVKLDIKSGKILWQTYTLPDNGGKLGGYSGAAIWGSSPAVDIRRGWVYVGTGNLYNAPEEVLQCQAAQNNRTGPPTGPDQCFGPDVHFDSIMALKISTGEIVWARQLGGYDVFYFVCLVPNNPDCPPGPNLDADFGEAPMLVTIWEKRRLRDVVVAVQKSGFAWALDRDNGGIVWFKVSYNITFSLITRS >itb07g23120.t1 pep chromosome:ASM357664v1:7:27614489:27617570:1 gene:itb07g23120 transcript:itb07g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKCIKIKNGNILVLFVATFTMIIHNTTSEWITHGKDITNRRYAEGELLINPKSVAQRLQFEWKFAAGFDITATPAVADGVVYFPSWNGNLYAVKASTGKLVWEQNLGQLTGIPPANVVSGVNATVSRSTPAVAGDYLMVGIYLPAVVIAVKRSTGELVWATKLDPRPLALITASASCVDLAGICLVGVSSLEVTLPADQCCTFRGSLVKLDIKSGKILWQTYTLPDNGGKLGGYSGAAIWGSSPAVDIRRGWVYVGTGNLYNAPEEVLQCQAAQNNRTGPPTGPDQCFGPDVHFDSIMALKISTGEIVWARQLGGYDVFYFVCLVPNNPDCPPGPNLDADFGEAPMLVTIWEKRRLRDVVVAVQKSGFAWALDRDNGGIVWFKKAGPGSLEGGGIWGAATDGKSRVYTNIVNGDRVPFRLAPTNQTTTAGGWVALDASTGEILWTTANPSNETAPGPVTLVNGVLFAGSVAPNGPLYAMDAATGEIVWSFNTGATIYGGASWSYGCVFIGTGYSVGLAKLFHPTWTSGKELFAFCIKRPS >itb13g18160.t1 pep chromosome:ASM357664v1:13:25190601:25192769:1 gene:itb13g18160 transcript:itb13g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTQQPQLISPATPPPPPPPPPTPFSGVPRHSHAASFPITAVAIIGIIATGFLLLSYYIFVIKCCLNWHRIDLLRRFSINSRRRRVDDPLSSVYSLAGEIRRGLDESTIRSIPVLQYKKGEEDGGSENRECCVCLNEFEEGEKVRVLPNCGHPFHIDCIDIWLQNNPNCPLCRNPISAPSPKLLNHHQDSVFSERFTGRDEDYVVIEICQDNGNPAELSPTLSISPSPIPKFQEKALKLHGQSFGDECIDMCREKDERFAVEPIRRSLSMSSAVDRRQLASQETVQRQRLNRPEVSCSEGSSGRPKKLCFSFGHRRGSRSSVIPVHLEP >itb06g17740.t2 pep chromosome:ASM357664v1:6:21511163:21514162:-1 gene:itb06g17740 transcript:itb06g17740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEGLAILDSKKIAPPGDKPKIEKAANTAFGKAARKTLADISNLQPQMRTSNQNEKPQNITMDTKQYIAKLEKENMALIQMLGDRTKIIELTGVEIQKMRTNMQKLQQQNQQLAQSNSQMLAELNSGKDRLKALQHELGCKNGLLKAKKLEAEDKSKKETCQYLNNEVKSIKSEEPGDSFKVDNVGSEPENTKRRRVSKSLGPSKQVQSQNNAERKRLSVRRQSARLKKEDLLEIDDSKTCPCQPSNDPIQENGSTSTSTLPENVESNNGSGNEARELGRSSMSRPLRQAAKKVQCYKEIPLNVKMRRSE >itb06g17740.t1 pep chromosome:ASM357664v1:6:21511163:21514162:-1 gene:itb06g17740 transcript:itb06g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEGLAILDSKKIAPPGDKPKIEKAANTAFGKAARKTLADISNLQPQMRTSNQNEKPQNITMDTKQYIAKLEKENMALIQMLGDRTKIIELTGVEIQKMRTNMQKLQQQNQQLAQSNSQMLAELNSGKDRLKALQHELGCKNGLLKAKKLEAEDKSKKETCQYLNNEVKSIKSEEPGDSFKVDNVGSEPENTKRRRVSKSECLGPSKQVQSQNNAERKRLSVRRQSARLKKEDLLEIDDSKTCPCQPSNDPIQENGSTSTSTLPENVESNNGSGNEARELGRSSMSRPLRQAAKKVQCYKEIPLNVKMRRSE >itb06g17740.t3 pep chromosome:ASM357664v1:6:21511163:21513023:-1 gene:itb06g17740 transcript:itb06g17740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQMLGDRTKIIELTGVEIQKMRTNMQKLQQQNQQLAQSNSQMLAELNSGKDRLKALQHELGCKNGLLKAKKLEAEDKSKKETCQYLNNEVKSIKSEEPGDSFKVDNVGSEPENTKRRRVSKSLGPSKQVQSQNNAERKRLSVRRQSARLKKEDLLEIDDSKTCPCQPSNDPIQENGSTSTSTLPENVESNNGSGNEARELGRSSMSRPLRQAAKKVQCYKEIPLNVKMRRSE >itb03g15400.t1 pep chromosome:ASM357664v1:3:14771504:14771854:-1 gene:itb03g15400 transcript:itb03g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRATTLSNRPAWKKKILSKQSLKNMDMPFLLYTLSSFRNMACFRTTSTSPNIIILSVFYSLSLIYSVTKNNYWQAMLHLQQSWMFFWAARQDPQLREPLHTAVEDTNEVARES >itb01g03130.t3 pep chromosome:ASM357664v1:1:2018700:2023887:1 gene:itb01g03130 transcript:itb01g03130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPELQPRTVRPYISSSISVPNLSSTLTSGYSPKRNPSLSPDASFYGLGSIASSRSLKNSRFAPSSFAHNARIAVALIPCAAFLLDLGGTPVIATITFGLMAAYILDSLNLKSASFFAVWFSLIFAQIAFFFSSSLTVTFNSIALGLLATLVCALVNFLIGVWASLQFKWIQIENPTIVMALERLLFACIPVIASTIFTWATVSAVGMINAAYYLMVFNCIFYWLFSIPRVSSFKMKQEVSYHGGEVPNDSLILGQLESCLHTLNLLFIPLMFHIASHYSVMFSSSAAVCDLCLLFFIPFLFQLYASTRGALWWVTKNDHQLQSIRMVNGSIALVVVVICLEARVVFHSFGRYIQVPPPFNYLLVTISMLGGAAAAAAYALGMISDAFSSLAFTVVGVIVSAAGAIVIGFPVLFIPLPSIAGFCLARFFTKKSASSYFAFVALGSLMFAWFVMHNYWGLNIWLAGMSLKSFCKLIVGCVILAMTIPGLAILPPKLRFLTEFGLISHALLLCHIENQFFSYSNIYYYGMENDVMYPSYMVFMTTFLGLAIVRRLLVDNRIGQKAVWVLTCLYFSKLSMLFISSRTVLWASAILSLAVTPPVLLYRSRPASKMKPWQGYAHTVVVALSVWFCRETIFEALQWWNGRPPSDGLILGSCILLTGLACLPIVVLHFSHVMSAKRCLVLVLATGLLFILMQPPIPLSLNYRSDFIKAARQSADDISIYGFFASKPTWPSWLLIVAILLTLAAITSIIPIKYIVELRTFYAIAMGISLGIYISAEYFLQAAVLHALIVVTMVCTSVFVVFTHFPSASSPKLLPWIFALLVALFPVTYLLEGQVRINKSMLGDDGVLDVGEEDSKLATYLAVEGARTSLLGLYAAILMLIALEVKFELASLMREKAEGGGLRHSQSSQSSSASVTPRLRFMHQRRASTVSSFTIKRMAAEGAWMPAVGNVATIMCFAICLILNVNLTGGSNRAIFFLAPILLLINQDSDFVAGFGDKQRYFPVAVAISSYLVLTALYSIWEESWHGNEGWGLEIGGPIWFFAVKNLALLVLTFPSHILFNRFVWSYTKQADTLPLLTIPLNLPSVLMTDIIKVKILGLLGVVYSLAQYLISRQQYISGLKYI >itb01g03130.t2 pep chromosome:ASM357664v1:1:2018647:2024216:1 gene:itb01g03130 transcript:itb01g03130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPELQPRTVRPYISSSISVPNLSSTLTSGYSPKRNPSLSPDASFYGLGSIASSRSLKNSSSSLTVTFNSIALGLLATLVCALVNFLIGVWASLQFKWIQIENPTIVMALERLLFACIPVIASTIFTWATVSAVGMINAAYYLMVFNCIFYWLFSIPRVSSFKMKQEVSYHGGEVPNDSLILGQLESCLHTLNLLFIPLMFHIASHYSVMFSSSAAVCDLCLLFFIPFLFQLYASTRGALWWVTKNDHQLQSIRMVNGSIALVVVVICLEARVVFHSFGRYIQVPPPFNYLLVTISMLGGAAAAAAYALGMISDAFSSLAFTVVGVIVSAAGAIVIGFPVLFIPLPSIAGFCLARFFTKKSASSYFAFVALGSLMFAWFVMHNYWGLNIWLAGMSLKSFCKLIVGCVILAMTIPGLAILPPKLRFLTEFGLISHALLLCHIENQFFSYSNIYYYGMENDVMYPSYMVFMTTFLGLAIVRRLLVDNRIGQKAVWVLTCLYFSKLSMLFISSRTVLWASAILSLAVTPPVLLYRDRSRPASKMKPWQGYAHTVVVALSVWFCRETIFEALQWWNGRPPSDGLILGSCILLTGLACLPIVVLHFSHVMSAKRCLVLVLATGLLFILMQPPIPLSLNYRSDFIKAARQSADDISIYGFFASKPTWPSWLLIVAILLTLAAITSIIPIKYIVELRTFYAIAMGISLGIYISAEYFLQAAVLHALIVVTMVCTSVFVVFTHFPSASSPKLLPWIFALLVALFPVTYLLEGQVRINKSMLGDDGVLDVGEEDSKLATYLAVEGARTSLLGLYAAILMLIALEVKFELASLMREKAEGGGLRHSQSSQSSSASVTPRLRFMHQRRASTVSSFTIKRMAAEGAWMPAVGNVATIMCFAICLILNVNLTGGSNRAIFFLAPILLLINQDSDFVAGFGDKQRYFPVAVAISSYLVLTALYSIWEESWHGNEGWGLEIGGPIWFFAVKNLALLVLTFPSHILFNRFVWSYTKQADTLPLLTIPLNLPSVLMTDIIKVKILGLLGVVYSLAQYLISRQQYISGLKYI >itb01g03130.t1 pep chromosome:ASM357664v1:1:2018647:2024216:1 gene:itb01g03130 transcript:itb01g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPELQPRTVRPYISSSISVPNLSSTLTSGYSPKRNPSLSPDASFYGLGSIASSRSLKNSRFAPSSFAHNARIAVALIPCAAFLLDLGGTPVIATITFGLMAAYILDSLNLKSASFFAVWFSLIFAQIAFFFSSSLTVTFNSIALGLLATLVCALVNFLIGVWASLQFKWIQIENPTIVMALERLLFACIPVIASTIFTWATVSAVGMINAAYYLMVFNCIFYWLFSIPRVSSFKMKQEVSYHGGEVPNDSLILGQLESCLHTLNLLFIPLMFHIASHYSVMFSSSAAVCDLCLLFFIPFLFQLYASTRGALWWVTKNDHQLQSIRMVNGSIALVVVVICLEARVVFHSFGRYIQVPPPFNYLLVTISMLGGAAAAAAYALGMISDAFSSLAFTVVGVIVSAAGAIVIGFPVLFIPLPSIAGFCLARFFTKKSASSYFAFVALGSLMFAWFVMHNYWGLNIWLAGMSLKSFCKLIVGCVILAMTIPGLAILPPKLRFLTEFGLISHALLLCHIENQFFSYSNIYYYGMENDVMYPSYMVFMTTFLGLAIVRRLLVDNRIGQKAVWVLTCLYFSKLSMLFISSRTVLWASAILSLAVTPPVLLYRDRSRPASKMKPWQGYAHTVVVALSVWFCRETIFEALQWWNGRPPSDGLILGSCILLTGLACLPIVVLHFSHVMSAKRCLVLVLATGLLFILMQPPIPLSLNYRSDFIKAARQSADDISIYGFFASKPTWPSWLLIVAILLTLAAITSIIPIKYIVELRTFYAIAMGISLGIYISAEYFLQAAVLHALIVVTMVCTSVFVVFTHFPSASSPKLLPWIFALLVALFPVTYLLEGQVRINKSMLGDDGVLDVGEEDSKLATYLAVEGARTSLLGLYAAILMLIALEVKFELASLMREKAEGGGLRHSQSSQSSSASVTPRLRFMHQRRASTVSSFTIKRMAAEGAWMPAVGNVATIMCFAICLILNVNLTGGSNRAIFFLAPILLLINQDSDFVAGFGDKQRYFPVAVAISSYLVLTALYSIWEESWHGNEGWGLEIGGPIWFFAVKNLALLVLTFPSHILFNRFVWSYTKQADTLPLLTIPLNLPSVLMTDIIKVKILGLLGVVYSLAQYLISRQQYISGLKYI >itb14g19150.t1 pep chromosome:ASM357664v1:14:21901404:21904422:-1 gene:itb14g19150 transcript:itb14g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKPESPINENEIRITSQGLLRNYISYATSLLQERRGKEIVLKAMGQAISKTVAITEIIKRRFPGLHQDTAISSVSITDVWEPIEEGLETVEQTRHVSMISITLSTKELNKSSPGYQAPSHSEQGRWYNNYQPQQHQPPRQALAVYNAGNEDSYGRGRGRGRGRGRGWSRGGYGNYQGNYRGNYQGNYRGNYQGNNQGSYQGNNQGSYQGNNQGSYQGNNQGNYQGSYQENGGYSNWGRGGGRGNWGYRGTGYGRGGGGRGYGGRGRGGRIGNRGGPRGGGSNRA >itb08g14390.t1 pep chromosome:ASM357664v1:8:16192072:16199806:-1 gene:itb08g14390 transcript:itb08g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTIDPLIHHSFRRTPKFCLNHRQPSPSSVNCTRRALSVGAARLSFCNCKHRPRRKALAVRASSTVIETSDVVFEETFDLKRPHRVEGRIAIRFDSGRDEENWKLTIGCNLPGKWVLHWGVHYAGDSGSEWDQPPLEMRPQGSITIKDYAIETPLKRLSTALEGESFYEVEIDLNINSQIAAINFVLKDEETGAWYQHRGMDFKVPLMDYAHDDSNMVGGKKGFGIWTGALGQLSDMLLKSEVDHTKGENGSNGSSEPREKTRCLAGFYEEHVIVKETLVDNSVTVSVKEYPETAKNLLQIDTDLPGDVLIHWGVCRDEGKNWELPAKPYPTETTIFKNKALRTSLQQKDDGSGSQRSFTLDEGPVGFVFVLKLDDGTWLNCKGNDFYVPLPRSTKGQLSSIESEVETQNKELDSLGASGSTSEAIEASLYTDEIINEIRSLVSDISSEKNRKTKTKEAQESILQEIEKLAAEAYSIFRSSVPTFSESALLEAEDLKPPVKISSGTGSGHEILCQGFNWESHKSGRWYLELQEKAELLSSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKMIVKRFHEVGIQVLGDVVLNHRCASFRNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYLETSEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAAIERCEYWRLSDTKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHVFSGYQSEIGSLLSLRKRNKIHCRSTVKITKAERDVYAAMIDEKLVVKIGPGYYEPPSGPQNWSVALEGSDYKVWEAS >itb08g14390.t2 pep chromosome:ASM357664v1:8:16192173:16198621:-1 gene:itb08g14390 transcript:itb08g14390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQGSITIKDYAIETPLKRLSTALEGESFYEVEIDLNINSQIAAINFVLKDEETGAWYQHRGMDFKVPLMDYAHDDSNMVGGKKGFGIWTGALGQLSDMLLKSEVDHTKGENGSNGSSEPREKTRCLAGFYEEHVIVKETLVDNSVTVSVKEYPETAKNLLQIDTDLPGDVLIHWGVCRDEGKNWELPAKPYPTETTIFKNKALRTSLQQKDDGSGSQRSFTLDEGPVGFVFVLKLDDGTWLNCKGNDFYVPLPRSTKGQLSSIESEVETQNKELDSLGASGSTSEAIEASLYTDEIINEIRSLVSDISSEKNRKTKTKEAQESILQEIEKLAAEAYSIFRSSVPTFSESALLEAEDLKPPVKISSGTGSGHEILCQGFNWESHKSGRWYLELQEKAELLSSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKMIVKRFHEVGIQVLGDVVLNHRCASFRNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYLETSEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAAIERCEYWRLSDTKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFYDHVFSGYQSEIGSLLSLRKRNKIHCRSTVKITKAERDVYAAMIDEKLVVKIGPGYYEPPSGPQNWSVALEGSDYKVWEAS >itb08g14390.t3 pep chromosome:ASM357664v1:8:16195491:16199806:-1 gene:itb08g14390 transcript:itb08g14390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTIDPLIHHSFRRTPKFCLNHRQPSPSSVNCTRRALSVGAARLSFCNCKHRPRRKALAVRASSTVIETSDVVFEETFDLKRPHRVEGRIAIRFDSGRDEENWKLTIGCNLPGKWVLHWGVHYAGDSGSEWDQPPLEMRPQGSITIKDYAIETPLKRLSTALEGESFYEVEIDLNINSQIAAINFVLKDEETGAWYQHRGMDFKVPLMDYAHDDSNMVGGKKGFGIWTGALGQLSDMLLKSEVDHTKGENGSNGSSEPREKTRCLAGFYEEHVIVKETLVDNSVTVSVKEYPETAKNLLQIDTDLPGDVLIHWGVCRDEGKNWELPAKPYPTETTIFKNKALRTSLQQKDDGSGSQRSFTLDEGPVGFVFVLKLDDGTWLNCKGNDFYVPLPRSTKGQLSSIESEVETQNKELDSLGASGSTSEAIEASLYTDEIINEIRSLVSDISSEKNRKTKTKEAQESILQEIEKLAAEAYSIFRSSVPTFSESALLEAEDLKPPVKISSGTGSGHEILCQGFNWESHKSGRWYLELQEKAELLSSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRNTTRSWGRKKRCPTL >itb14g08860.t2 pep chromosome:ASM357664v1:14:8805355:8809192:-1 gene:itb14g08860 transcript:itb14g08860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYAIAATVGALGWAYLALIKPPSPKVCGSPGGPPVISPRVKLSDGRHLAYRERGVPKETARYKIIIVHGFDNSKDLELPLSQDLIEELQIYLLSYDRAGYGESDPYPGRSVKSEAFDIQELADKLQVGPKFYVIGMSMGAYIIYSCLKYIPHRLAGASLVVPFVHYWWPRFPAKISNEALQKHLIHDQRTFKMAHYAPWLFYWWMTQKWFPSLSALQGNMAIFCPQDLETIKQLMEGPPNDNQERLRQQGVYESLYRDIMVGYAKWEFSPLDLTNPFPNNEGSVHIWQGQEDKIIPAVVNRYISEQLPWIQYHEVPDGGHLIIFNASVCETIFRKLVGA >itb14g08860.t1 pep chromosome:ASM357664v1:14:8805362:8811357:-1 gene:itb14g08860 transcript:itb14g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYAIAATVGALGWAYLALIKPPSPKVCGSPGGPPVISPRVKLSDGRHLAYRERGVPKETARYKIIIVHGFDNSKDLELPLSQDLIEELQIYLLSYDRAGYGESDPYPGRSVKSEAFDIQELADKLQVGPKFYVIGMSMGAYIIYSCLKYIPHRLAGASLVVPFVHYWWPRFPAKISNEALQKHLIHDQRTFKMAHYAPWLFYWWMTQKWFPSLSALQGNMAIFCPQDLETIKQLMEGPPNDNQERLRQQGVYESLYRDIMVGYAKWEFSPLDLTNPFPNNEGSVHIWQGQEDKIIPAVVNRYISEQLPWIQYHEVPDGGHLIIFNASVCETIFRKLVGA >itb02g08410.t1 pep chromosome:ASM357664v1:2:5296634:5297835:-1 gene:itb02g08410 transcript:itb02g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDDDEPPFFRQHNRYDLNSKIMLTAIISLSCVVFIVTVLHIYARCILRRQARRRAALQQMMIAAAARAHQSAEPPKTGLHPSVIAALPVTVYQKVEESETVECSVCLSLLQDGEMARTLPNCNHTFHADCVDKWLGTQSTCPICRTEAEPSSRPVPEPREGAVTAPPPSNPPPSAPPLERVASCAINAEGTSEAAGGSCGMLHPSGKAGGPSSSSFRLSSFRKILSGRERSSRRIQTLGGEDNNGIVQDLERQ >itb15g18940.t1 pep chromosome:ASM357664v1:15:20839151:20841766:-1 gene:itb15g18940 transcript:itb15g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGSDENNDAIEIKIKTMFCHQTQTLRVDKRVGVGALKEEVTLVTGVLAEHQRLIFREKALRDDKQLSDYDVEDGDTLHLIVTTTSDLSEQLPLITQMVSALVLSIAHAAANVESRNALLLSIAPPSPSLSAAEAALERELHRAAIMDINAMLGVRGLDAHRRAARSIREQRRASRSIRERTRASRWIREPRASRNVGNVSLGNSNTCAQLLMPMSAMLLVVISIYAAVLLISMYAMLVVISYDLVDADRRAARSIREQSASRNVGNRGSSSSSRDQPAPAADSLMNVSLGNSAQLLLLLLLLLLQVIALKKQMLMLRIEAKMMEDHQIGAGKFLLHSDKYGTSSFNAEVRGHNTSSRISRIRCGVNGKTLSTIGMLGRLSIACER >itb07g19340.t1 pep chromosome:ASM357664v1:7:23737950:23740020:1 gene:itb07g19340 transcript:itb07g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLTLTDITIDIKRVPKKKTLIAAMEAADVKNKWENSSWGRKLIVQKRRASLNDFDRFKIMLAKIKKAGIVRQELAKLKKETTV >itb03g14030.t1 pep chromosome:ASM357664v1:3:13930961:13932049:1 gene:itb03g14030 transcript:itb03g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNMFDEQGGGRRKRRRTHGAKTTSSNVVSSSIHSLPQELVVEILAKVAAHSWDDLFNARLSCRRWNDLDDEDFVYKHMSLAKLPIVKWKPETEESKKKRCLFFQRCLDAGNLEALYRKGLVDYLGGKGAEDDALGYLKKAANAGHIASQYAVCIILIFLGSEHKENGIRMLSEMMSKESREDIRTAREKLRDIINLTWLNNSSFATKPVCCTKHYGRVKNSWVPIDSNGDEDSSMDCKFCKCDKEISHILKFGLRFYIESL >itb02g11440.t1 pep chromosome:ASM357664v1:2:7637875:7640936:-1 gene:itb02g11440 transcript:itb02g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVLPTKGVKEFIKFRIVSRRVSFRVVFYSLLLFTFLLRFVFLLTAVDTIDGESKCSGIGCFGRKIRPSILGRQLESTLPEVIYSVLEEPANETEIQVGPETPQTLEDLIAEFKGDRPDAKTFAVKLKAMINFLEQTTRTAKIQEYLYRHVASNSIPKQLHCLSLMLANEHSSNANARLQLPSPELVPALVDNSFFHFVLASDNVLAASVVASSLVQNSLHPEKFVLHIITDKKTYSQMQAWFSLHPLTPAIVEVKGLHHFDWFTKGKVPVLEAMEKDQKARSQFRGGSSAIVANQTEKPRIIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLSPLWDIDMNGKVNGAVQTCRGEDKSVMFKHFKSYLNFSHPLIAKNFDPNECAWAYGMNIFDLEAWRRTNISQTYHHWLEQNLKSDLSLWQLGTLPPGLIAFHGHVHVIDSFWHMLGLGYQDNTSIADAASAGVVHFNGRAKPWLDIAFPQLRSLWTKYVNFSDTFIKRCHITAS >itb13g16750.t1 pep chromosome:ASM357664v1:13:23678373:23690090:-1 gene:itb13g16750 transcript:itb13g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAERLHVRWERRPVYNLDDSDDETDIRRGKSCPSQETIEGFVRHDAKVDSCQACGGDENLLNCEACMYVYHPKCLLQPLEATFPSSCRCPESVSPLNDIDKILDCETQPTIGDDSDASKLGSKQIFVKQYDVKWKGFSYHRCACVPEKEFVKAYKTLPCLKTEVNNFHRQMSCILLILGLFFCFIPLSCCDPGRSCPKTWNSSLLNYTYQFSMAQHQLRGVLNLVDGCSFRVSQFDMLEGSDVRWWGAVGEEFENLTKGFVISDQILNKTYKNESFVVSLMKNMTWDHIKVVSVWDLPTTSGFGHVVLGNSTNSTNYLAPSSVYVNGSEVQAKGQPTMLENCRILSENYRIRWTLNKDEDTIDIGLEAAIPFVNYMAFGWANPNASSKFMSGSDVTITGFREDGMPFADDFFISKYSECMIKKDRSAEGVCPDTVYEPSDQVLVNNTRLVYGHRRDGVSFIRYNRPLNSVDKKYDIRLYPKDKMTVIWALGLISPPDSLRPFYLPQNHGGTYGHLTLNISEHVNDCLGPLDADDKQDQDLVIADKKEPLIVTAGPALYYPNPPNPSRVLYINKKEAPILRVERGVPIIFSLQAGHDVAFYITSDPIGGNATLRNASETIYFGGPEAEGVQASPTEMTWAPNRNTPNLVYYQSVYTQKMGWKVEVVDGGLPDMYNSSVILDDEQVTLFWTLSENSISMAARGEKKSGYLAIGFGRGMVNSYAYVGWVDDDGKGRVSTYWIDGRDSSSIHPTNENLTNVRCKSENGIITMEFTRPFLPSCIKDDRPECKNIIEPTTPLRVVWAMGAQWSEGHLSVRNMHSITSSRPVLVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQIHVYLQYSGLAIVFLGFLFAVAELRGFAFNSLHVKFGLLAIMLVIAQPLNAYLRPKKPSPGEEVSSKRVLWEYVHVVTGRCAIIVGIAALISGMKHLGDRYDDEDVHALIWALIVWFLIGALTMIYLEYRQRKRRQDREFGRSNWVLGNGEEDDVDLLSPSRAVDKDLQASERMEVQLEPLKR >itb13g16750.t3 pep chromosome:ASM357664v1:13:23678373:23689900:-1 gene:itb13g16750 transcript:itb13g16750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAERLHVRWERRPVYNLDDSDDETDIRRGKSCPSQETIEGFVRHDAKVDSCQACGGDENLLNCEACMYVYHPKCLLQPLEATFPSSCRCPESVSPLNDIDKILDCETQPTIGDDSDASKLGSKQIFVKQYDVKWKGFSYHRCACVPEKEFVKAYKTLPCLKTEVNNFHRQMSCILLILGLFFCFIPLSCCDPGRSCPKTWNSSLLNYTYQFSMAQHQLRGVLNLVDGCSFRVSQFDMLEGSDVRWWGAVGEEFENLTKGFVISDQILNKTYKNESFVVSLMKNMTWDHIKVVSVWDLPTTSGFGHVVLGNSTNSTNYLAPSSVYVNGSEVQAKGQPTMLENCRILSENYRIRWTLNKDEDTIDIGLEAAIPFVNYMAFGWANPNASSKFMSGSDVTITGFREDGMPFADDFFISKYSECMIKKDRSAEGVCPDTVYEPSDQVLVNNTRLVYGHRRDGVSFIRYNRPLNSVDKKYDIRLYPKDKMTVIWALGLISPPDSLRPFYLPQNHGGTYGHLTLNISEHVNDCLGPLDADDKQDQDLVIADKKEPLIVTAGPALYYPNPPNPSRVLYINKKEAPILRVERGVPIIFSLQAGHDVAFYITSDPIGGNATLRNASETIYFGGPEAEGVQASPTEMTWAPNRNTPNLVYYQSVYTQKMGWKVEVVDGGLPDMYNSSVILDDEQVTLFWTLSENSISMAARGEKKSGYLAIGFGRGMVNSYAYVGWVDDDGKGRVSTYWIDGRDSSSIHPTNENLTNVRCKSENGIITMEFTRPFLPSCIKDDRPECKNIIEPTTPLRVVWAMGAQWSEGHLSVRNMHSITSSRPVLVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQIHVYLQYSGLAIVFLGFLFAVAELRGFAFNSLHVKFGLLAIMLVIAQPLNAYLRPKKPSPGEEVSSKRVLWEYVHVVTGRCAIIVGIAALISGMKHLGDRYDDEDVHALIWALIVWFLIGALTMIYLEYRQRKRRQDREFGRSNWVLGNGEEDDVDLLSPSRAVDKDLQASERMEVQLEPLKR >itb13g16750.t4 pep chromosome:ASM357664v1:13:23678373:23690090:-1 gene:itb13g16750 transcript:itb13g16750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEKEFVKAYKTLPCLKTEVNNFHRQMSCILLILGLFFCFIPLSCCDPGRSCPKTWNSSLLNYTYQFSMAQHQLRGVLNLVDGCSFRVSQFDMLEGSDVRWWGAVGEEFENLTKGFVISDQILNKTYKNESFVVSLMKNMTWDHIKVVSVWDLPTTSGFGHVVLGNSTNSTNYLAPSSVYVNGSEVQAKGQPTMLENCRILSENYRIRWTLNKDEDTIDIGLEAAIPFVNYMAFGWANPNASSKFMSGSDVTITGFREDGMPFADDFFISKYSECMIKKDRSAEGVCPDTVYEPSDQVLVNNTRLVYGHRRDGVSFIRYNRPLNSVDKKYDIRLYPKDKMTVIWALGLISPPDSLRPFYLPQNHGGTYGHLTLNISEHVNDCLGPLDADDKQDQDLVIADKKEPLIVTAGPALYYPNPPNPSRVLYINKKEAPILRVERGVPIIFSLQAGHDVAFYITSDPIGGNATLRNASETIYFGGPEAEGVQASPTEMTWAPNRNTPNLVYYQSVYTQKMGWKVEVVDGGLPDMYNSSVILDDEQVTLFWTLSENSISMAARGEKKSGYLAIGFGRGMVNSYAYVGWVDDDGKGRVSTYWIDGRDSSSIHPTNENLTNVRCKSENGIITMEFTRPFLPSCIKDDRPECKNIIEPTTPLRVVWAMGAQWSEGHLSVRNMHSITSSRPVLVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQIHVYLQYSGLAIVFLGFLFAVAELRGFAFNSLHVKFGLLAIMLVIAQPLNAYLRPKKPSPGEEVSSKRVLWEYVHVVTGRCAIIVGIAALISGMKHLGDRYDDEDVHALIWALIVWFLIGALTMIYLEYRQRKRRQDREFGRSNWVLGNGEEDDVDLLSPSRAVDKDLQASERMEVQLEPLKR >itb13g16750.t2 pep chromosome:ASM357664v1:13:23678373:23690090:-1 gene:itb13g16750 transcript:itb13g16750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAERLHVRWERRPVYNLDDSDDETDIRRGKSCPSQETIEGFVRHDAKVDSCQACGGDENLLNCEACMYVYHPKCLLQPLEATFPSSCRCPESVSPLNDIDKILDCETQPTIGDDSDASKLGSKQIFVKQYDVKWKGFSYHRCACVPEKEFVKAYKTLPCLKTEVNNFHRQMSCILLILGLFFCFIPLSCCDPGRSCPKTWNSSLLNYTYQFSMAQHQLRGVLNLVDGCSFRVSQFDMLEGSDVRWWGAVGEEFENLTKGFVISDQILNKTYKNESFVVSLMKNMTWDHIKVVSVWDLPTTSGFGHVVLGNSTNSTNYLAPSSVYVNGSEVQAKGQPTMLENCRILSENYRIRWTLNKDEDTIDIGLEAAIPFVNYMAFGWANPNASSKFMSGSDVTITGFREDGMPFADDFFISKYSECMIKKDRSAEGVCPDTVYEPSDQVLVNNTRLVYGHRRDGVSFIRYNRPLNSVDKKYDIRLYPKDKMTVIWALGLISPPDSLRPFYLPQNHGGTYGHLTLNISEHVNDCLGPLDADDKQDQDLVIADKKEPLIVTAGPALYYPNPPNPSRVLYINKKEAPILRVERGVPIIFSLQAGHDVAFYITSDPIGGNATLRNASETIYFGGPEAEGVQASPTEMTWAPNRNTPNLVYYQSVYTQKMGWKVEVVDGGLPDMYNSSVILDDEQVTLFWTLSENSISMAARGEKKSGYLAIGFGRGMVNSYAYVGWVDDDGKGRVSTYWIDGRDSSSIHPTNENLTNVRCKSENGIITMEFTRPFLPSCIKDDRPECKNIIEPTTPLRVVWAMGAQWSEGHLSVRNMHSITSSRPVLVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHVKGDGWFQIHVYLQYSGLAIVFLGFLFAVAELRGFAFNSLHVKFGLLAIMLVIAQPLNAYLRPKKPSPGEEVSSKRVLWEYVHVVTGRCAIIVGIAALISGMKHLGDRYDDEDVHALIWALIVWFLIGALTMIYLEYRQRKRRQDREFGRSNWVLGNGEEDDVDLLSPSRAVDKDLQASERMEVQLEPLKR >itb02g24450.t1 pep chromosome:ASM357664v1:2:25026267:25027472:-1 gene:itb02g24450 transcript:itb02g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVSWLSKSTLEPSLVYDYALTFAHNELNQEDIPFFNHEFLQSMGVSVAKHRLEILKLARKEKGRSSNSNSNSSSSSKQKILWLVFAVKQVRKNLAKQVRGWIRREDSPGSLTIVSARNCSLRWKAAMLKRKKTNNNQEWAPRMIANNVNTTPRTNKVLGGEGRLMMLTNGSPLVDHRDAWSYSSASSSPNLRYNEDEDDEEKMGGAGDGKYWSPTIEDIKWDSMFQNLKPT >itb08g05860.t1 pep chromosome:ASM357664v1:8:4815586:4816917:1 gene:itb08g05860 transcript:itb08g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPLLISLTFLVSILSISFAIDTISSTQFLKDGHTIVSSGGIFEMGFFSPTGSQNRYVGIWYKQIPVCTVVWVANRDTPLTNTSSVVLKIVVPGRLALVDDGNSNTSNIWYTNTSRQVQNPVAKLLDSGNLVVIDASDDKAANLVWQSFDHPTDTHLPGMKIGRNFVTGVDTTMSAWKAENNPGVGEYKLVLDPTGYPQVILRKGTTEVYRSGPWNGLRWGGLRGIEKGYIVGISVVINSNGVFTSYKVYNSSTLFRIVLTSAGSIEAYLWADGTREWSSVLKVPTDVCDGYGFCGEYGSCNYNNYPFCGCLEHFLPRDPAAWDRADFSGGCVRRTPLNCQNGSSNGFLKYSGIKLPDAKVSRFNTSMNLQECEQACFNNCSCMAYSSLDISNGENGCLHWFGDLIDINVVPSDGQDQDLYIRMASSDLGNNLFLIFWICN >itb12g20280.t1 pep chromosome:ASM357664v1:12:22746393:22749159:1 gene:itb12g20280 transcript:itb12g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEELDGSSESKCGSENERSLMIVRVDAKRALVGAGARILFYPTLLYNVFRNKIQAEFRWWDRVDQFILLGAVPFPKDVPRLKQLGVGGVITLNEPYETLVPTSLYRAHEIDHLVIPTRDYLFAPSFGNINQAVDFIHKNATSGRTTYVHCKAGRGRSTTVVLCYLVVYKHMTPAAALDYVRSVRPRVLLAPSQWKAVLEFKQWRTASTTTFSPADAVLITRADLEGYHSSPDDYSGRQLAVVPCMARTRPMIAKLSCLFATLKVSGVYGPVNRQLTEARAC >itb07g01010.t1 pep chromosome:ASM357664v1:7:634640:637298:1 gene:itb07g01010 transcript:itb07g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESRQSFVHHALGGGAVADVLLWRRWYGSVTVLVGSTFLWFLFERAGYNLLSFVANVLLLLVLILFFWAKSASLLNRPLPPLPDMEVSEEFIANAGEVMRVWVNHVLTIAHDIAIGGNIRLFVQVAFGLWVISYVGSFFNFLTLVYLGVIFSLAIPPLYEKYQDQIDDKLILAHNIILSQYKKIDDAILKKIPMHLNKEKKIQ >itb07g01010.t2 pep chromosome:ASM357664v1:7:634640:636728:1 gene:itb07g01010 transcript:itb07g01010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESRQSFVHHALGGGAVADVLLWRRWYGSVTVLVGSTFLWFLFERAGYNLLSFVANVLLLLVLILFFWAKSASLLNRPLPPLPDMEVSEEFIANAGEVMRVWVNHVLTIAHDIAIGGNIRLFVQVAFGLWVISYVGSFFNFLTLVYLGVIFSLAIPPLYEKYQDQIDDKLILAHNIILSQYKKIDDAILKKIPMHLNKEKKIQ >itb01g27920.t1 pep chromosome:ASM357664v1:1:32511245:32513407:-1 gene:itb01g27920 transcript:itb01g27920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSNKQVILKHYVTGFPKESDMELRTLTLKLEVPPGSKAVLVKNLYLSCDPYMRDRMSKPHDSYVPSFTPGSPIVGYGVAKVVDSDNPNFKKGDLVWGMTGWEEYTIITDTQAKALFKIEHTDVPLSYYTGLLGMPGMTAYVGFYEVCSPKKGDTVYVSAASGAVGQLVGQLAKLFGCYVVGSAGTNQKVDLLKNKFGFDEAFNYKEEQDLDAALKKFFPDGIDIYFENVGGKMLDAVLLNMKLHGRIAACGMISQYNLEKPEGVHNSFCLITKRIRMQGFLVFDYYHLYPQYLDMILPHIKKGMVTYVEDVAEGLESAPAALIGLFSGRNVGKQVVTISHQ >itb14g08010.t1 pep chromosome:ASM357664v1:14:7385695:7387936:-1 gene:itb14g08010 transcript:itb14g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTAARRSGGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVKKIWENNNVGKRYEDIPVLGQRPTE >itb03g04340.t1 pep chromosome:ASM357664v1:3:2704876:2709129:-1 gene:itb03g04340 transcript:itb03g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTGADVRLWWFSIVVYYLLLFASSVNAARSTPGSDSQEMKLSTPLRLQITDKQVVMENGFITVTLSNPNGGIAGIQCQGISNVLEYGLKETNRGYWDIMWSMPGTSTSNFDTLPATQFSVITADQNQIEVSFTKTYNPNDSSSVPMNFDKRYVMLPGRSGFYTYAIYDHPEGWPDVDIGETRLAVKLQRKLFNYMAISDEIQRVMPSDQDRSTGDPLDYKEAVLLTKPSNPSLKGEVDDKYQYSLENKDIKVHGWVCPNPHVGFWIITGGDEFRSGGPIKQDLTSHVGPTALSVFFSGHYAGNDYSISLRNGEAWKKVFGPVFIYLNSDQGTDPKSLWENAKQQVSESTAYASKICICGSGSTRTCWILARRCQDDNGYFKISAVRPGNYSLYGWAPGILGDYKYNVDVNINPGDEINLGELVFDPPRNGPTLWEIGIPDRKAAEFFVPDPAPKLMNYALLNHTEKYRQYGLWDRYTAVYPHEDLSYRVGQSDYRKDWFFAHVNRKVGDTYEPTTWRILFPLENVNATGTYTLQIALASSNFAKIQVWINSDPGTRMPGFTTSGNGRDNAIARHGSHGLYSLSSFEFPGNMVVNGENTIYLRQPRGGYRFNGAMYDYIRLEGPSY >itb03g06260.t1 pep chromosome:ASM357664v1:3:4624224:4625015:1 gene:itb03g06260 transcript:itb03g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNHTRSISFPSISHPSIQKAEEELTKLKTLQVSATPEAATISSGLLGLEELYKCMDDLLNLPQALQALSQRHNKTWVDGLLEKSVRLLDICGLARDSVSQLKENLRDLQSSQRRRKEDSSIESTITRYTSFIKKMNKDAKKSIAAIKKIDDEIDRLTPLEVHHDISAAIRALREATTVSLSIFQFVLLFLSVPVLKPKPSRWSLVSKLVQSGRVACEYQHDKSGNLETLEAQLEAIENQMESIFRCMIKLRSSLLNIISC >itb10g23460.t1 pep chromosome:ASM357664v1:10:27808619:27811007:-1 gene:itb10g23460 transcript:itb10g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFLLLFLSIIIPLVHSLSFNFDRFTASSAQNLTFERTAVVNRSIQLTSDRLASIGRATYGEPLHLWDKATGNLTAFNTHFAFVIDSKGSNRYGDGFAFFLAPVGSRIPPNATKGGGFGLANDDDRLNSSRNTFVAVEFDIYMNEEYDSQSKEVGIDVDSLKSAVSQTWFPDIEGGRPNEAWISYDPRSMNLSVVFTTLVNNRSVFDHLSYVVDLRKFLPEMVTFGFAGATGNRSALQKITSWNFTSTFDVSQIQANPESKSARKGQPVGIVIGVVVGGCFLMGGFGVLLFVMWRRSKMREKFEDEIFGNSEELGGGAGPKKFTYRELARVTNNFSQQNKLGEGGFGSVYKGHLKELNSYVAVKRVSTESKQGIKEYASEVRIISRIRHRNLVHLIGWCHENKDFLLVYEFLSNGSLDSHLYKGQSPLTWPLRYKIVQDLASALIYLHEECEKCIVHRDIKSSNIMLDSNLNAKLGDFGLARLVDHEKGSRTTALAGTMGYIALECVVSGKASKETDIYSFGIVTLEIACGRKPIDPTAEESRVNIVEWVWKLYGTGQILDAADPNLSRDFTGREVEQMMIVGLWCAHPDCSLRPSIRQAIQVLNFEAPLPDLPETMPIATFRPQPKVAYSPSSSYYGSDVSQTSQTQSSICSGNTKSRFPMASNSVGAHDESSERTISNVAAALPSP >itb13g00890.t1 pep chromosome:ASM357664v1:13:795034:796536:1 gene:itb13g00890 transcript:itb13g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKSHFQLFIASPEVTLHAINPKRRKQRATARSLQDRIQDSVQYRGFFVYAGCLNSTLAVFLGKMGI >itb11g23540.t1 pep chromosome:ASM357664v1:11:25561127:25561653:-1 gene:itb11g23540 transcript:itb11g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACEREEQWENRVVGISWANKRDSGLWFAPPDLRECHYFRSANLNGYDDGNLNGFTVDTILGRKVIEQGSCAGLRYDDLFYPKEHWDIKEALERLPQEVIDARNQRPKRAMDLSMNHDDLQVEFFMLNVCVNGDIDEA >itb12g28080.t1 pep chromosome:ASM357664v1:12:28163008:28164951:1 gene:itb12g28080 transcript:itb12g28080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLITLLIILAYPSSADRSLSPQQSTTPVIAATCVASRDPPSCEDALTKLTFVPPDANAFQVIQSALNVTLKNLDKAIWMTKDILGGASGNRNLTTAAKVCGEILGYSRYRVALAAHRGLQGPKIKDGRAWVSAALGYQYDSWSALKKENDTAKVINTMALINDTIMAMTSTALNMLANYDVHGENVGSWGPVKTEREGYWEPVKGDRSSRRFDFKGGVPKGLKPNVTVCKSEGCDYMTVQEAVNAAPSLKSRNLFVIWIKAGVYKEMVRVSVKKLNIVFLGDGMGQTVITGALHSGIPGMNTYGSATVGVVGDGFMAYNMTFENRGIGYQAVAFRSDADHTLIESCEFLGNQDTLYVKSLRQYYKSCRIQGNVDFIFGNSAAYFEDCEILFSARPDEAEKGETNAVSAHGRIDPAQTIGFVFQNCTINGTEQYLKVYYKNPSVHRNYLGRPWKEYCRTVFIMCSFGDIVSKDGWFPWKDDYALTTLYYGEFQNRGAGADTSGRVNWSSIIPPQHLYSYTVPNFIQGDTWIPQSGYISLNKLN >itb14g05510.t1 pep chromosome:ASM357664v1:14:4803624:4805714:1 gene:itb14g05510 transcript:itb14g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYSFDADAGDIQEMKNAKILFREFCSESKKLWYLAAPAICNLVSQHSINAVTQIFAGHVGDIQLAGCCRANQSYFWLCFLHLGSARWRKDKEMGRGFIRFTSSSKHFLASQCFM >itb05g25880.t1 pep chromosome:ASM357664v1:5:30042944:30044005:-1 gene:itb05g25880 transcript:itb05g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQEKGRPLPKFGEWDVNNPASADGFTVIFAKARDEKKATGAAGTAVPPQAAKPMNGGQNEADDNPKKWFCCF >itb05g16820.t1 pep chromosome:ASM357664v1:5:23926702:23933418:1 gene:itb05g16820 transcript:itb05g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MASSPYSVSRSNVEAFRHYASSSRMIDLNSRIRGSKLRFLTATAGLQRTKRTLLVKCVLDETKQTIQHVVTEKNEGTLLDAASIASSIKYHAEFSPAFSPERFELPKAYFATAQSVRDALIVNWNATYDYYEKLNMKQAYYLSMEFLQGRALLNAIGNLELTGEYAEALNKLGHNLENVASKEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLELGNPWEIIRNDVSYPVKFFGKVITGSDGKKHWIGGEDILAVAYDVPIPGYKTRTTISLRLWSTKVPSEDFDLYSFNAGEHTKACEAQANAEKICYILYPGDESIEGKILRLKQQYTLCSASLQDIIARFERRSGEYVKWEEFPEKVAVQMNDTHPTLCIPELIRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSYELMEKLLPRHIEIIEMIDDQLINEIVSEYGTSDLDMLEKKLNDMRILENFDIPSSIANLFTKPKETPIVDPSEEVEVSGEVVTESVEVSDEVVTGSEKDELEEKDTELEKDEDPIPAPIPPKMVRMANLCVVGGHAVNGVAEIHSDIVKEDVFNDFYQLWPEKFQNKTNGVTPRRWIRFCNPALSNIITKWIGTEDWVLNTEKLAELRKFADNEDLQIEWRAAKRSNKVKVASFLKERTGYSVSPNAMFDIQVKRIHEYKRQLLNILGIVYRYKQMKEMSAAEREAKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDPEIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEENFFLFGAEAHEIAGLRKERAEGKFVPDERFEEVKEFIKSGVFGSNTYDELLGSLEGNEGFGRGDYFLVGKDFPSYIECQEKVDEAYRDQKIWTRMSILNTAGSYKFSSDRTIHEYAKDIWNIQPVVFP >itb06g08810.t1 pep chromosome:ASM357664v1:6:12931915:12934027:1 gene:itb06g08810 transcript:itb06g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVHTTHTFSRNPIPYFHCKTPNHNSNIQSPFASVCWIAKSQSFFLIFWGVPKSSNVFTFPFTHYFEHLPCNPSLFLYMNFPFLQQNSGFSSSAIYIRGIIDERINQMSASNVQNKRRRKKVDGGGVSGDGEGSNEEKRGVVKTREVKEILTSLLLLEEQDKEEQEELDREDLESRALLEANHRNTNRAMWDYLSYVQKHDSAVEQIEGTRKRKVKGNSSSSAAAAAAIAAAASAEEQEIDPSGNRTGGGSEKPGSAPPQRRLWVKNRSQDWWDQCNSADFPEEEFKKAFRMGKDTFEMICNELSSVVAKENTMLRDAVPVRQRVAVCIWRLATGEPLRLVSKKFGLGISTCHKLVLEVCTAIKTVLMPKYLQWPDEEKMRSIKDEYEAKSGIKNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPKGVFTDVCIGWPGSMPDDQVLEKSALYQRANGGLLNGVWIVGSSGYPLMDWVLVPYAQQNLTWTQHAFNEKIGEIQRVSKDAFARLKGRWACLQKRTEVKLQDLPVVLGACCVLHNICEMRNEEMDPELNFELIDDEMVPEVQLRSASARMARDTIAHNLLHHNHAGTSFLS >itb05g13030.t1 pep chromosome:ASM357664v1:5:19867397:19868703:-1 gene:itb05g13030 transcript:itb05g13030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRTLSAATQSSKAAAYTLPALPNANVFFLASTADVFFFFALMPARLVDHFSADDSTAPTTHYRPSGCSHRRQLVRSAALSSAPVTYCCLSSATSVHCMV >itb05g17630.t1 pep chromosome:ASM357664v1:5:24485196:24486091:1 gene:itb05g17630 transcript:itb05g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRSKKSTWTQSRSSQRYRVAKRRPKFGLFWDLRRRSLFSLASFHAESTSSSTFLPASFLKSTLLPDNFLA >itb02g12300.t1 pep chromosome:ASM357664v1:2:8376430:8381095:1 gene:itb02g12300 transcript:itb02g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLGTLSQTARSIIFGGSRSSAADSSCTCPEDETFVSKRPQTGNDVRHLQRSSTLVSRDAVKAVTSHKNENPDDKVSQPQVIPASNPSGRADHVSYGSLEALDVVHSSPPLSDQFVRAGMAAVNFLSDLVNYKIPVSDGSRVFNSSHNCMVECAKPVSTVKPVTTKNFRKTSADAPATSRPANSNSSRGIKSRGEKYSSVKGANPISNNGPRNFVDTHDSVKGVGHVSTNDSKRTVPQESRPYSSRLKKKAPIDKDMNDRLGGFNKPLRETRAHMGVNPIARQFPGSVYPVETVSHILQHMNWGPETEDVLRKLNCSLDVYQANQILKQLQDHRMALSFFDWLKQKPGFKHDGHTYTTMVGILGRARQFGAINKLLEQMVNDGCQPNVVTYNRIIHGYGRANYLNEALDVFNQMQKAGCEPDRVTYCTLIDIHAKAGYLDVAMDLYKRMQVAGLSPDTFTYGVIINCLGKAGHLPDAHKLFCEMVNHGCMPNLVTYNVMIALHAKARNYTTALKLYRDMQNAGFEPDNVTYSTIMEVLGHFGHLEEVEAVFSEMKRKKWVPDEYIYGILVDLWGKSGNLEKALEWYHAMLDAGLCPNVPTCNSLLSAFLRVHRLSDAYYLLQSMLNLGLTPSLQTYTLLLSCCTEAQTSSDLRFCRELMEITGHPAHAFLKTMPSAGPDGQNVRDHVSRFLDLVRSEDRESKRGLVDAVVDFLHKSGLKEEAASVWEAAAQKNIYPDAVREKGSRYWLINLHVMSDGTAVTALSRTLASFRKHMLSSGVCPNRIDIVTGWGRRSRVTGTSLVRQTIEELLSMLNSPFLPVNGNSGCFVGRGESLSKWLVQPYVERMHLL >itb02g11800.t1 pep chromosome:ASM357664v1:2:7863834:7864253:1 gene:itb02g11800 transcript:itb02g11800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKNTELRRHNSNVDTGDVRSSPLLIMLWPTTTGAPSSPSPMNSDTTGAPSSPSPMNSDQSRRRTSPLPDLYAATTPCHRPRRPSWRQSQHLHRFHLSFPINDQQPHHHLRRTPSGASAEVQTTAPPPFHYYFSLSL >itb11g04750.t1 pep chromosome:ASM357664v1:11:2526468:2529555:1 gene:itb11g04750 transcript:itb11g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-19 [Source:Projected from Arabidopsis thaliana (AT2G20740) UniProtKB/Swiss-Prot;Acc:Q940P5] MALRFARSCIQSVLKVVNSLLGMVGIAMIMYALWMFRVWQKHMAGPSPPPFFGPNDAPIPWFIYTILGLGITLCFITCSGHIAAETANGCCLYIYMVFIFLLLVLEAAVTVDVFLNNNWEEDFPEDTTGNFDEIKSFVNDNFDLCKWIGLSVLAVQGLSILLSMVLKAMGPHRERYYESDDDYTPDGAPLLKNYVPQTSYVVGDPVYGSKSDSWNIRINSKAAR >itb04g30500.t1 pep chromosome:ASM357664v1:4:33615404:33616132:1 gene:itb04g30500 transcript:itb04g30500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSKLVFWCILVCAVLLHETTVAIRDVPTTSSPSRATEDSVAGGAEYVTLKPHLRNKRPLFHGKEIKNCLPKGFRHASAPSRYVNYHIFGSLDCSPDTHRANKP >itb04g28360.t1 pep chromosome:ASM357664v1:4:32132641:32135409:1 gene:itb04g28360 transcript:itb04g28360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGGGGGGFGYTNLQNGHHFRSGPRLLLDRFLWAHNRQNQVISSQQQVPNVAVENRSETILFPENRFFDYSPVIVGEHSRSHAASTLVGGAAASWPIPQEVSFYEAATFVHGVDRSAVVGNNANESPARQVNSRSSSSTLLIKGQWSEEEDRNLIRLVKQYGVRKWAQVAENMAGRAGKQCRERWQNHLRPDIKKDSWSEEEERVLIEAHEELGNRWAEIAKRIPGRTENSIKNHWNATKRRQNSRRRIKKVKDNNNESNTVNKSTVLQDYIKAKFPKVDSGAGAAVSESPSIQTDQILSPPAGEDDDSSSLLMQEAYHDEDMSFMESLFGNPTPYPTIMAADHPDNLKKHNEEPIPAPPDRYLAYLLEGATSSSSLMEFTMMNQVGSYGYPSLSSGTSKAKDIDLMELIFSSPSQSSQGSNNNTTN >itb09g08500.t1 pep chromosome:ASM357664v1:9:5067438:5070923:-1 gene:itb09g08500 transcript:itb09g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTILLTLWDSQVHSPPSRDSQVHFPVSRGFTSRLLNLMRVYSVFFDLSSVCLLTDALSVDFQGVIVVEVIVESKKKKKYLPTLRFRRDLAVPPPPSTAGTAWFRSRQQQQSSGSTGAPDDPGRVGLTSYLGII >itb02g18120.t2 pep chromosome:ASM357664v1:2:14517942:14523517:1 gene:itb02g18120 transcript:itb02g18120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGKSFARRDRLLEIESQVQKWWEEGDVFRAEPKDSRPLPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGENVLLPFGFHCTGMPIKASADKLSNEIEMFGNPPQFPVAEEEETDEQEVKAEGEGVGNQTAPGGKFKGKKSKAVAKTGAAKFQWEIMRSYGLSDEEIAKFTNPYHWLTFFPPLAVEDLKAFGLGCDWRRSFITTDMNPYFDSFVRWQVRKLKSLGKIVKDLRFAIYSPKDGQPCADHDRASGEGVIPQEYTLIKMEVVAPFPSKMSVLEGRKVYLAAATLRPETMYGQTNAWVLPDGKYGAYEINDTDVFILTYRAARNLAYQRLSRKPEEPTCLVELTGQDLIGLPLRSPLAFNEVIYTLPMLSVLTEKGTGIVTSVPSDSPDDYMALHDLKSKPAFRAKFGVKDEWVLPFEIVPIINHPDFGDKSAERICIEKKIKSQNERDKLDEAKKVIYKGGFYEGTMVVGEFSGMKVQDAKSLIRSKLLEMNQAVVYSEPEKKVISRSGDECVVALTDQWYIIYGEPEWRNAAEECLSGMNLYSDESRHGFEHTLSWLNQWACSRNFGLGSRIPWDDQFLVESLSDSTLYMAYYTVCHHLQKGDMYGSDHSSVKPEQLTDEVWDFLFCGGPYPSSSDISSSLLEEMKREFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAILPKHLWPRGFRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKEIAWMQEVLAAESSLRSGVPSTYADQVFANEMNIAVKATEKNYGEYMFREALKTGFYDLQAARDEYRLSCGSGGMNRDLLWKFMDVQTRLIAPICPHYAEYVWKELLKKDGYCIKSGWPKAEAPNLTLKKANKYLQDSIVSMRKLLQKQVSGSKKGNINVNAQNKPTVGLIYVNEQYDGWKMECLNILRRKFDMASRTFSPDKEILAELQQSSIAKEGNFKQIQKLCMPFLRFKKDEVMAVGVQALDLRLPFGEIEVLETNSDLIKRQLGLERLEILSAADPTAIGRAGPHASLLKQNPPSPGNPTAIFINE >itb02g18120.t3 pep chromosome:ASM357664v1:2:14514497:14520065:1 gene:itb02g18120 transcript:itb02g18120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVGVRKWQLGTKVEPTATPTKKTVDNCRVPTATPVSASALADDEAAARSPPQPLPTTKLLTLNLASPVNQVALLQCGSSFEAPLSSSQASIPPIYLQFLQFCW >itb02g18120.t1 pep chromosome:ASM357664v1:2:14517942:14523517:1 gene:itb02g18120 transcript:itb02g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGKSFARRDRLLEIESQVQKWWEEGDVFRAEPKDSRPLPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGENVLLPFGFHCTGMPIKASADKLSNEIEMFGNPPQFPVAEEEETDEQEVKAEGEGVGNQTAPGGKFKGKKSKAVAKTGAAKFQWEIMRSYGLSDEEIAKFTNPYHWLTFFPPLAVEDLKAFGLGCDWRRSFITTDMNPYFDSFVRWQVRKLKSLGKIVKDLRFAIYSPKDGQPCADHDRASGEGVIPQEYTLIKMEVVAPFPSKMSVLEGRKVYLAAATLRPETMYGQTNAWVLPDGKYGAYEINDTDVFILTYRAARNLAYQRLSRKPEEPTCLVELTGQDLIGLPLRSPLAFNEVIYTLPMLSVLTEKGTGIVTSVPSDSPDDYMALHDLKSKPAFRAKFGVKDEWVLPFEIVPIINHPDFGDKSAERICIEKKIKSQNERDKLDEAKKVIYKGGFYEGTMVVGEFSGMKVQDAKSLIRSKLLEMNQAVVYSEPEKKVISRSGDECVVALTDQWYIIYGEPEWRNAAEECLSGMNLYSDESRHGFEHTLSWLNQWACSRNFGLGSRIPWDDQFLVESLSDSTLYMAYYTVCHHLQKGDMYGSDHSSVKPEQLTDEVWDFLFCGGPYPSSSDISSSLLEEMKREFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAILPKHLWPRGFRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKEIAWMQEVLAAESSLRSGVPSTYADQVFANEMNIAVKATEKNYGEYMFREALKTGFYDLQAARDEYRLSCGSGGMNRDLLWKFMDVQTRLIAPICPHYAEYVWKELLKKDGYCIKSGWPKAEAPNLTLKKANKYLQDSIVSMRKLLQKQVSGSKKGNINVNAQNKPTVGLIYVNEQYDGWKMECLNILRRKFDMASRTFSPDKEILAELQQSSIAKEGNFKQIQKLCMPFLRFKKDEVMAVGVQALDLRLPFGEIEVLETNSDLIKRQLGLERLEILSAADPTAIGRAGPHASLLKQNPPSPGNPTAIFINE >itb09g13230.t1 pep chromosome:ASM357664v1:9:8575657:8579582:1 gene:itb09g13230 transcript:itb09g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQEDIRNLPIDIAFARLGEWLVDRKRIPADYRKRLAAVRAKILTAFASLPKDLDPYFHTLDAEGIGYLEAKYIYEALLKSTPESRNIFGQLSGAAGVWEAIVRAYEKERIFLGEAAQIMVQNVNYEIPYHKKQTQKVQHQLSELERKEADIKRNATLSAAKFIEACQELGLQGVNVRSELLETATSTLPSTFSRILEVLNSDSVSQAIEFYSNFVKDAHMEKNKTLGAVLQNLRNVRESPPSLNVSASTEIHHSVTAQASHGGVSHAITEADITADGIDWDITLDSSQIDWDIGTVEETEENGNGLGPYEIVNASDAMEPHLENQGESSVTEVPVSEISWDISVDNPQVDAVEDVGLLNTVPHASTLTEAHTTSNERSPFLETEYRNKILDDLFEVKAFLNQRLIESTNEETLSLQHQVQAVAPFVLQQYTSDSVQMMMSDVSSAISLLTNRKTRDLIMILNSKRFLERMTNTLEEKKRHEIKLKEGLKDLASKRMELQNSVSSSWPKQEAAIVRTKKLKELCEKTLSSMFDGRPVNIIGEINTLLTSSSSV >itb10g19770.t1 pep chromosome:ASM357664v1:10:25486023:25488985:-1 gene:itb10g19770 transcript:itb10g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRQFSTPEEMKAGTSAARPRTFRKEEAEVEEEEISENESGEESEGSDKEQRQKGLQGIIEIENPNLVKAKNLKAKDVDMEKTTELSRREREEIEKQKAHERYMRLQEQGKTEQARKDLERLALIRQQRAEAAKKREEEKAAKEQKKLEARK >itb15g05230.t1 pep chromosome:ASM357664v1:15:3372163:3373257:1 gene:itb15g05230 transcript:itb15g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCAPSCHDCSTLRAWWEEDEERRRRFFSTVVGSDELPPDQCTPEIVYFVLHQHFESPSMWSIFPLQDLLALKEDYTTRPAMEETINDPTNPKHYWQYRVHVTMESLLKDKELTGIIKNLVHGSGRSYPGRLQDVASDKGESVPLAGQKEKAAV >itb07g12180.t1 pep chromosome:ASM357664v1:7:13785753:13788094:1 gene:itb07g12180 transcript:itb07g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSQPHISHYLQTTDSEGTTMGRLSSMPWRRRGSDGGETSIPVTVDELCSGVTAPWTSVSSLLWASTDSVVAVAVDRRRQSMADAAAVVPAVVAVADSNLRHTGSEFESGSNANAIKHDEDVDEEYIEGSISLTGRNFDRVAHRYPILAVNFFAPWCYWSNRLVGEGDHVHGFKNLILLDFFNQFLLCNCNFDFIVLCLDDLYYCIKV >itb09g01210.t1 pep chromosome:ASM357664v1:9:738394:739943:-1 gene:itb09g01210 transcript:itb09g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVRDEHGNPIQLTDQHGNPVYLTDERGRPMHLTGVATAVGSDNVVVTHEYDTAVIKQRPEEPYQQPQLNRSGSSSSSSSEDDGQGGRRKKKGLTEKIKGKTAGEEQRTEGGACTRCDYRYSDPRRRNLHYYRRASPGEEECGGEDQRETAWLSSLITISSYLQIIII >itb04g01410.t1 pep chromosome:ASM357664v1:4:795649:796029:-1 gene:itb04g01410 transcript:itb04g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGEDETTGMRSRSFRNEDYNTRRVFLRSYPLQWGDDGGEKEAAAGGGEKKGKEETTATGRESAAETSEGMKSCMKKIVVAAFQWRGERGLVLRKFKNKFTLYFVTCIPVSFKAPTRAFISAT >itb15g03720.t1 pep chromosome:ASM357664v1:15:2336136:2338337:-1 gene:itb15g03720 transcript:itb15g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEATLTMEVEKGLSDRYFKSLPPGIRFRPTDGELMDYLKKKVLKERMPLHRIKTCNIYKYHPNDLCRPDDLAREKESYFFTSRDKKYPNGARPDRKAGDGFWKATGRDMPISCKGEVVGSKKTLVYYEGKHKDVSLHKTNWIMHEYTINPNIKITPVAKGQSDDNNNNDNNILDECVLAKIYEKTTGSKKGADNCSPSQDDTQQILDSEQIPPEEQDNPILEPQKYPTAEQVAPPPPQNPNHQNPMAGATAGGGYNTNMIILQGSEQLPLPLPPPEAQNNPILEPQQYSRAIHMGSLTGPNNEVPAQNNPILEPQQYPITTCMDNLTGLRGGVRVQNNPILEPQQYPMAIRMGNLIGPNDEIRAQNPILEPQQYPTANRMDSLIDRKGEIPTQNNPILKPQEYPATTHMNSLTGHNSEILKEDYSTALQDAVAAAAASYPNHQNPMAGATAAAAYHRYMMIPVSEQPPLPPPEAEENPILEQVAELPPFPYQENPMDVAAAAATFYSWDAGSFGYESLQTDENFYGINSGAFSFEENNNNGFWGSLNGGLEDVVSMYIGNNVNNPSANPHGQANAGDNVNPSHNPHGPANVSDK >itb12g22230.t4 pep chromosome:ASM357664v1:12:24357552:24365044:-1 gene:itb12g22230 transcript:itb12g22230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRTAQEMNLTLSRRSPSGYSFLKGLSVDVKSVSIAKRSLHNGVQKKHVGLIFRMLQCSIQLKSQLIDIQEFQDTLQYIASIRPQAEAYGICRIVPPASWKPPCPLKEKNVWEKSRFITRIQRIDKLQNRDSITKMLKINHHKKKKRRRCTKAGVDQNSAICDVKVPSEAAIFESERFGFEPGPEFTLDSFQKYADDFKAQYFRKNEGQCEPSVENIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPKHSHQVGSALDIKYISSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYLGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADALKLEAAMRKHLPDLFDEQPDLLHKLVTQLSPNILKSDGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCGQGRKTSISHDKLLLGAARDAVKAHWELSLLRKNTSENLRWRDVCGKDGILSKALKTRIEMERVRREFLCNLSQALKMESTFDSTSERECSVCFFDLHLSATGCHNCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNLLVDALEGKLSAVYRWARLDLGLALSSYVTKENQVPGIIGRLSSNSSGSVPKEVNPRPPAESLKDKKEEIHAELLKKPIGHTGPLQKGKLPVESVTFQTKPSSVIQNSTQAVEEAKNNFQNQKEGSDKLDSDRKTPVVELSQEGKPLTKTLCITKPEVKRPSLPEDVVLSAEDGEKPTVIPGRVKGSPEKQRVCLGNSVKVPVSAVYITDGTIHGETINSSSTLGCIKVENDQSETPKSPTVVNHSFHVVVSSDDVNKSSQGLQIKNGNTNCNVEVVDSLFPLKLSSESNSNKEDTPKKIDVDGNSRSMDVAQSLSSASQNNLDRYFRQKGPRIAKVVRRINCNVEVLNYGVVHHGKLWCDSRAIYPNGFRSRVRYIDVLDPTNMCYYVSEILDAGRDGPLFMVSLEHCQSEVFVHVSAVRCWEMVRERVNQEITKQHKLGRAKLPPLQPPGSLDGMEMFGFSSPAIVQAIQALDQSRVCMEYWKSRPFMQFPQCSPSGSNLKPKSECPDDQEAGKYDTILSNLFKKANAEELHALHSALNINSSSADQTLVTRCLGEEIHRRGK >itb12g22230.t5 pep chromosome:ASM357664v1:12:24357552:24365044:-1 gene:itb12g22230 transcript:itb12g22230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELVSHCVKDETIDMSTIPPGFESLASFTLEKVKDNEKKTNCSASSSEMQLQRAKVEPDLEGVEDAKLKSIRRRPCISYGQVENSSGDESDSEQKVSFRLQLPKGVIRGCEECVNCQKVTAQWRPEEARRPDLQDAPVFYPTEEEFQDTLQYIASIRPQAEAYGICRIVPPASWKPPCPLKEKNVWEKSRFITRIQRIDKLQNRDSITKMLKINHHKKKKRRRCTKAGVDQNSAICDVKVPSEAAIFESERFGFEPGPEFTLDSFQKYADDFKAQYFRKNEGQCEPSVENIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPKHSHQVGSALDIKYISSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYLGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADALKLEAAMRKHLPDLFDEQPDLLHKLVTQLSPNILKSDGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCGQGRKTSISHDKLLLGAARDAVKAHWELSLLRKNTSENLRWRDVCGKDGILSKALKTRIEMERVRREFLCNLSQALKMESTFDSTSERECSVCFFDLHLSATGCHNCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNLLVDALEGKLSAVYRWARLDLGLALSSYVTKENQVPGIIGRLSSNSSGSVPKEVNPRPPAESLKDKKEEIHAELLKKPIGHTGPLQKGKLPVESVTFQTKPSSVIQNSTQAVEEAKNNFQNQKEGSDKLDSDRKTPVVELSQEGKPLTKTLCITKPEVKRPSLPEDVVLSAEDGEKPTVIPGRVKGSPEKQRVCLGNSVKVPVSAVYITDGTIHGETINSSSTLGCIKVENDQSETPKSPTVVNHSFHVVVSSDDVNKSSQGLQIKNGNTNCNVEVVDSLFPLKLSSESNSNKEDTPKKIDVDGNSRSMDVAQSLSSASQNNLDRYFRQKGPRIAKVVRRINCNVEVLNYGVVHHGKLWCDSRAIYPNGFRSRVRYIDVLDPTNMCYYVSEILDAGRDGPLFMVSLEHCQSEVFVHVSAVRCWEMVRERVNQEITKQHKLGRAKLPPLQPPGSLDGMEMFGFSSPAIVQAIQALDQSRVCMEYWKSRPFMQFPQCSPSGSNLKPKSECPDDQEAGKYDTILSNLFKKANAEELHALHSALNINSSSADQTLVTRCLGEEIHRRGK >itb12g22230.t6 pep chromosome:ASM357664v1:12:24357435:24365133:-1 gene:itb12g22230 transcript:itb12g22230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELVSHCVKDETIDMSTIPPGFESLASFTLEKVKDNEKKTNCSASSSEMQLQRAKVEPDLEGVEDAKLKSIRRRPCISYGQVENSSGDESDSEQKVSFRLQLPKGVIRGCEECVNCQKVTAQWRPEEARRPDLQDAPVFYPTEEEFQDTLQYIASIRPQAEAYGICRIVPPASWKPPCPLKEKNVWEKSRFITRIQRIDKLQNRDSITKMLKINHHKKKKRRRCTKAGVDQNSAICDVKVPSEAAIFESERFGFEPGPEFTLDSFQKYADDFKAQYFRKNEGQCEPSVENIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPKHSHQVGSALDIKYISSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYLGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADALKLEAAMRKHLPDLFDEQPDLLHKLVTQLSPNILKSDGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCGQGRKTSISHDKLLLGAARDAVKAHWELSLLRKNTSENLRWRDVCGKDGILSKALKTRIEMERVRREFLCNLSQALKMESTFDSTSERECSVCFFDLHLSATGCHNCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNLLVDALEGKLSAVYRWARLDLGLALSSYVTKENQVPGIIGRLSSNSSGSVPKEVNPRPPAESLKDKKEEIHAELLKKPIGHTGPLQKGKLPVESVTFQTKPSSVIQNSTQAVEEAKNNFQNQKEGSDKLDSDRKTPVVELSQEGKPLTKTLCITKPEVKRPSLPEDVVLSAEDGEKPTVIPGRVKGSPEKQRVCLGNSVKVPVSAVYITDGTIHGETINSSSTLGCIKVENDQSETPKSPTVVNHSFHVVVSSDDVNKSSQGLQIKNGNTNCNVEVVDSLFPLKLSSESNSNKEDTPKKIDVDGNSRSMDVAQSLSSASQNNLDRYFRQKGPRIAKVVRRINCNVEVLNYGVVHHGKLWCDSRAIYPNGFRSRVRYIDVLDPTNMCYYVSEILDAGRDGPLFMVSLEHCQSEVFVHVSAVRCWEMVRERVNQEITKQHKLGRAKLPPLQPPGSLDGMEMFGFSSPAIVQAIQALDQSRVCMEYWKSRPFMQFPQCSPSGSNLKPKSECPDDQEAGKYDTILSNLFKKANAEELHALHSALNINSSSADQTLVTRCLGEEIHRRGK >itb12g22230.t1 pep chromosome:ASM357664v1:12:24357435:24365133:-1 gene:itb12g22230 transcript:itb12g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELVSHCVKDETIDMSTIPPGFESLASFTLEKVKDNEKKTNCSASSSEMQLQRAKVEPDLEGVEDAKLKSIRRRPCISYGQVENSSGDESDSEQKVSFRLQLPKGVIRGCEECVNCQKVTAQWRPEEARRPDLQDAPVFYPTEEEFQDTLQYIASIRPQAEAYGICRIVPPASWKPPCPLKEKNVWEKSRFITRIQRIDKLQNRDSITKMLKINHHKKKKRRRCTKAGVDQNSAICDVKVPSEAAIFESERFGFEPGPEFTLDSFQKYADDFKAQYFRKNEGQCEPSVENIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPKHSHQVGSALDIKYISSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYLGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADALKLEAAMRKHLPDLFDEQPDLLHKLVTQLSPNILKSDGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCGQGRKTSISHDKLLLGAARDAVKAHWELSLLRKNTSENLRWRDVCGKDGILSKALKTRIEMERVRREFLCNLSQALKMESTFDSTSERECSVCFFDLHLSATGCHNCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNLLVDALEGKLSAVYRWARLDLGLALSSYVTKENQVPGIIGRLSSNSSGSVPKEVNPRPPAESLKDKKEEIHAELLKKPIGHTGPLQKGKLPVESVTFQTKPSSVIQNSTQAVEEAKNNFQNQKEGSDKLDSDRKTPVVELSQEGKPLTKTLCITKPEVKRPSLPEDVVLSAEDGEKPTVIPGRVKGSPEKQRVCLGNSVKVPVSAVYITDGTIHGETINSSSTLGCIKVENDQSETPKSPTVVNHSFHVVVSSDDVNKSSQGLQIKNGNTNCNVEVVDSLFPLKLSSESNSNKEDTPKKIDVDGNSRSMDVAQSLSSASQNNLDRYFRQKGPRIAKVVRRINCNVEVLNYGVVHHGKLWCDSRAIYPNGFRSRVRYIDVLDPTNMCYYVSEILDAGRDGPLFMVSLEHCQSEVFVHVSAVRCWEMVRERVNQEITKQHKLGRAKLPPLQPPGSLDGMEMFGFSSPAIVQAIQALDQSRVCMEYWKSRPFMQFPQCSPSGSNLKPKSECPDDQEAGKYDTILSNLFKKANAEELHALHSALNINSSSADQTLVTRCLGEEIHRRGK >itb12g22230.t2 pep chromosome:ASM357664v1:12:24357435:24365133:-1 gene:itb12g22230 transcript:itb12g22230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELVSHCVKDETIDMSTIPPGFESLASFTLEKVKDNEKKTNCSASSSEMQLQRAKVEPDLEGVEDAKLKSIRRRPCISYGQVENSSGDESDSEQKVSFRLQLPKGVIRGCEECVNCQKVTAQWRPEEARRPDLQDAPVFYPTEEEFQDTLQYIASIRPQAEAYGICRIVPPASWKPPCPLKEKNVWEKSRFITRIQRIDKLQNRDSITKMLKINHHKKKKRRRCTKAGVDQNSAICDVKVPSEAAIFESERFGFEPGPEFTLDSFQKYADDFKAQYFRKNEGQCEPSVENIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPKHSHQVGSALDIKYISSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYLGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADALKLEAAMRKHLPDLFDEQPDLLHKLVTQLSPNILKSDGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCGQGRKTSISHDKLLLGAARDAVKAHWELSLLRKNTSENLRWRDVCGKDGILSKALKTRIEMERVRREFLCNLSQALKMESTFDSTSERECSVCFFDLHLSATGCHNCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNLLVDALEGKLSAVYRWARLDLGLALSSYVTKENQVPGIIGRLSSNSSGSVPKEVNPRPPAESLKDKKEEIHAELLKKPIGHTGPLQKGKLPVESVTFQTKPSSVIQNSTQAVEEAKNNFQNQKEGSDKLDSDRKTPVVELSQEGKPLTKTLCITKPEVKRPSLPEDVVLSAEDGEKPTVIPGRVKGSPEKQRVCLGNSVKVPVSAVYITDGTIHGETINSSSTLGCIKVENDQSETPKSPTVVNHSFHVVVSSDDVNKSSQGLQIKNGNTNCNVEVVDSLFPLKLSSESNSNKEDTPKKIDVDGNSRSMDVAQSLSSASQNNLDRYFRQKGPRIAKVVRRINCNVEVLNYGVVHHGKLWCDSRAIYPNGFRSRVRYIDVLDPTNMCYYVSEILDAGRDGPLFMVSLEHCQSEVFVHVSAVRCWEMVRERVNQEITKQHKLGRAKLPPLQPPGSLDGMEMFGFSSPAIVQAIQALDQSRVCMEYWKSRPFMQFPQCSPSGSNLKPKSECPDDQEAGKYDTILSNLFKKANAEELHALHSALNINSSSADQTLVTRCLGEEIHRRGK >itb12g22230.t3 pep chromosome:ASM357664v1:12:24357552:24365044:-1 gene:itb12g22230 transcript:itb12g22230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELVSHCVKDETIDMSTIPPGFESLASFTLEKVKDNEKKTNCSASSSEMQLQRAKVEPDLEGVEDAKLKSIRRRPCISYGQVENSSGDESDSEQKVSFRLQLPKGVIRGCEECVNCQKVTAQWRPEEARRPDLQDAPVFYPTEEEFQDTLQYIASIRPQAEAYGICRIVPPASWKPPCPLKEKNVWEKSRFITRIQRIDKLQNRDSITKMLKINHHKKKKRRRCTKAGVDQNSAICDVKVPSEAAIFESERFGFEPGPEFTLDSFQKYADDFKAQYFRKNEGQCEPSVENIEGEYWRMVEKPTEEIEVLYGADLETGSFGSGFPKHSHQVGSALDIKYISSGWNLNNFPRLPGSLLSYESSDISGVLVPWLYLGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGADALKLEAAMRKHLPDLFDEQPDLLHKLVTQLSPNILKSDGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCGQGRKTSISHDKLLLGAARDAVKAHWELSLLRKNTSENLRWRDVCGKDGILSKALKTRIEMERVRREFLCNLSQALKMESTFDSTSERECSVCFFDLHLSATGCHNCSPDKYACLNHAKQLCSCSWGAKFFLFRYDITELNLLVDALEGKLSAVYRWARLDLGLALSSYVTKENQVPGIIGRLSSNSSGSVPKEVNPRPPAESLKDKKEEIHAELLKKPIGHTGPLQKGKLPVESVTFQTKPSSVIQNSTQAVEEAKNNFQNQKEGSDKLDSDRKTPVVELSQEGKPLTKTLCITKPEVKRPSLPEDVVLSAEDGEKPTVIPGRVKGSPEKQRVCLGNSVKVPVSAVYITDGTIHGETINSSSTLGCIKVENDQSETPKSPTVVNHSFHVVVSSDDVNKSSQGLQIKNGNTNCNVEVVDSLFPLKLSSESNSNKEDTPKKIDVDGNSRSMDVAQSLSSASQNNLDRYFRQKGPRIAKVVRRINCNVEVLNYGVVHHGKLWCDSRAIYPNGFRSRVRYIDVLDPTNMCYYVSEILDAGRDGPLFMVSLEHCQSEVFVHVSAVRCWEMVRERVNQEITKQHKLGRAKLPPLQPPGSLDGMEMFGFSSPAIVQAIQALDQSRVCMEYWKSRPFMQFPQCSPSGSNLKPKSECPDDQEAGKYDTILSNLFKKANAEELHALHSALNINSSSADQTLVTRCLGEEIHRRGK >itb09g30160.t2 pep chromosome:ASM357664v1:9:30803179:30804113:1 gene:itb09g30160 transcript:itb09g30160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYSNPNCTVYVRRMVEKNITPMPFIGLYVAAASLLCYSAMIFNSFFTFSSYPFSSPVKFFGLNATWLTLLTVATKLTGDLTSPMWSPLDNLTKISSTVFLTVAMGQFLTSLGSMNGTDMQTNLTALSILVFTVLVDLCIQLGTGVLDFSLFPEIIFSLVLLFGMFIAIVCSALALPAIKKRVESKYQTLVKQMEAAAGGQQSLVRVEELRLSITKCWVMAVSGNPQFLITRYNLIDYSHEL >itb09g30160.t1 pep chromosome:ASM357664v1:9:30803179:30805440:1 gene:itb09g30160 transcript:itb09g30160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYSNPNCTVYVRRMVEKNITPMPFIGLYVAAASLLCYSAMIFNSFFTFSSYPFSSPVKFFGLNATWLTLLTVATKLTGDLTSPMWSPLDNLTKISSTVFLTVAMGQFLTSLGSMNGTDMQTNLTALSILVFTVLVDLCIQLGTGVLDFSLFPEIIFSLVLLFGMFIAIVCSALALPAIKKRVESKYQTLVKQMEAAAGGQQSLVRVEELRLSITKCWVMAVSGNPQFLITSQVSSLLSEEPPNCWTLPVMTLTTIAVALPNIANQHVDELIRSVDEGLQYASYIDVLDEKHVQKCIKSAASVAWMGVKLHKKWLDVDLGDTIREVHSTREVIQRLADEAERIVKEFSSTGSQNFVNNPLYWPANVLAANSMYRISRTILLYYGNGEYQAEELFRKLICMIANILVACLINLPHLIATKWINNALEERFKSICNAAILFGETEDILKLFEERQLLGIGPSQPLCIDEWLRWMESETQDPTTSTSTTNSGTSSVVESNDELVIVPM >itb12g09170.t1 pep chromosome:ASM357664v1:12:7203651:7204163:-1 gene:itb12g09170 transcript:itb12g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRISIFPLLFHILCLLALNTVPLHANKEEAEALLQWKINGLSSSLLDSSWSVNNLTNVCNWEGIICNLGGSVSELKLPHGNIRGTLEPLRFSSLPNLTRIDLNNNSLSGVIPSDITNLLKLTFLNLRDNSFTGAIPEEIGSITSLEVLILFNNSFQGKIPPSIGNLQHL >itb05g19490.t2 pep chromosome:ASM357664v1:5:25976321:25978674:1 gene:itb05g19490 transcript:itb05g19490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNKEGLRKGAWTAEEDKILVAYITKNGHGNWRSLPKLAGLLRCGKSCRLRWTNYLRPGIKRGQFSSEEVDTIIQLHTVLGNKWSAIASHLPGRTDNDIKNFWNSHLRKQGSDPNHQNHQMAHPHGNIDEKVETTLSTNHIVQWDSVSVAPSLLDLPSASKMDHHDPFLRLWNSEVGEAFCSFKKPRGVPCQSPVSSSSKFESSSGITLHSEPASKLLSSADTVEGVPMNCKVEEEEEEDTKSYELVDPSETTLKLLLDFPPVVNDMGFFQGPRDNLSIYLQN >itb05g19490.t1 pep chromosome:ASM357664v1:5:25976321:25978674:1 gene:itb05g19490 transcript:itb05g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNKEGLRKGAWTAEEDKILVAYITKNGHGNWRSLPKLAGLLRCGKSCRLRWTNYLRPGIKRGQFSSEEVDTIIQLHTVLGNKWSAIASHLPGRTDNDIKNFWNSHLRKQGSDPNHQNHQMAHPHGNIDEKVETTLSTNHIVQWDSVSVAPSLLDLPSASKMDHHDPFLRLWNSEVGEAFCSFKKPRGVPCQSPVSSSSKFESSSGITLHSEPASKLLSSADTVEGVPMNCKVEEEEEEDTKSYELVDPSETTLKLLLDFPPVVNDMGFFQGPRDNLSIYLQN >itb05g19490.t3 pep chromosome:ASM357664v1:5:25976321:25978674:1 gene:itb05g19490 transcript:itb05g19490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNKEGLRKGAWTAEEDKILVAYITKNGHGLLRCGKSCRLRWTNYLRPGIKRGQFSSEEVDTIIQLHTVLGNKWSAIASHLPGRTDNDIKNFWNSHLRKQGSDPNHQNHQMAHPHGNIDEKVETTLSTNHIVQWDSVSVAPSLLDLPSASKMDHHDPFLRLWNSEVGEAFCSFKKPRGVPCQSPVSSSSKFESSSGITLHSEPASKLLSSADTVEGVPMNCKVEEEEEEDTKSYELVDPSETTLKLLLDFPPVVNDMGFFQGPRDNLSIYLQN >itb01g22950.t5 pep chromosome:ASM357664v1:1:29056382:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t3 pep chromosome:ASM357664v1:1:29056382:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t12 pep chromosome:ASM357664v1:1:29057734:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t4 pep chromosome:ASM357664v1:1:29056348:29061776:-1 gene:itb01g22950 transcript:itb01g22950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t6 pep chromosome:ASM357664v1:1:29056382:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t1 pep chromosome:ASM357664v1:1:29056348:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t11 pep chromosome:ASM357664v1:1:29057734:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t2 pep chromosome:ASM357664v1:1:29056348:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t8 pep chromosome:ASM357664v1:1:29057734:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t9 pep chromosome:ASM357664v1:1:29057740:29061786:-1 gene:itb01g22950 transcript:itb01g22950.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t7 pep chromosome:ASM357664v1:1:29057734:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g22950.t10 pep chromosome:ASM357664v1:1:29057734:29061834:-1 gene:itb01g22950 transcript:itb01g22950.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSYADDEMDDEEQSEEQPQSSNQNPNSQPFEHLNHSPDSSPVRMSLPSKSAAPKVNDTMLALTVAGSAARALSKPLDPTQHTVSFNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANNFVGDMDALKKNDAISVYNIPQHEQKKRKLEKKKEMMENDQGDGEEDVDAAEVDNPATEVWLRKNRKSPWSGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEHLVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFCNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSARERFQLNKKKRFAGHVVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGCEWHPLEQSKVATCGWDGLIKYWD >itb01g09790.t1 pep chromosome:ASM357664v1:1:8040199:8042703:1 gene:itb01g09790 transcript:itb01g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCHLGNDVGSVVFDRPAPAAASNGGYSHLKLWSTSFRRMILDAVRCGGGGGGAVRQKHADAASKSTMERKPSRRHQSQRSECGSKFSGSDKLSDLLRLSENSEQEEETEEVRRKVEALERLKGVTKRLQSSEADGVLEGAKEVRMLTKDDSEARATLALLGAIPPLVALLDAADSASHIAALYALLNLAIGNDANKAAIVKAGAVHKMLMLIESPNGFPEPAVVEAIVANFLGLSALDANKPIIGSSGAISFLLKILKDLDDMHGYQARLDSLRALYNLSISPSNIFQILETDLVPFLMSKLGDMEVSDRMLSILTNIVPIGEGRKAISVVPDAFPILVDVLNWTDSPGCQEKASYILMVMAHKSYADRQAMLETGIVSSLLELTLLGSTLAQKRASRLLECLRVDKGKQVSESFGDVSATLSAPQTSACSSRQLRDTLEEENSMMSEEKKAVKQLVQQSLQHNMKKIVKRANLPQDFVPSDHFKTLTSSSTSKSLPF >itb09g11970.t1 pep chromosome:ASM357664v1:9:7513278:7515338:-1 gene:itb09g11970 transcript:itb09g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSNWFAKWADELPSPEELMPLSQTLISPDLALAFDIRNPGNTAAVPPQSHHHAPAPPVSAVHTPSSQPNSSAEFDSGEMGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSNGGAGAGNNSGAVAGAGDPATDHLFASSPVPAHFLHPGRPNSEHFMPFVNIPAMQHHQQMAAVLHPPYRHFGSPPNAQFEHPFVSRQSQQRMGTPVHNRSPVAPPYAVDDVESAAANARKVLTLFPTGDD >itb01g17590.t2 pep chromosome:ASM357664v1:1:22367543:22372555:1 gene:itb01g17590 transcript:itb01g17590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNPNSKEKKSVMQSVLLCCKLHISESRNREALESIQRAAKLDPETVIVNIFEDREYNRINYTLVSYVIHDATGTPIYCPLQQTVVAIAAAAYDGINLELHSGAHPRLGVVDDITVHPLAQASLDEAAWLAKRLAAEFGSRFRVPVYLYNDAHPTGKALDTIRRELGYYRPNLRGIQWAGWPHLESLPVKPDEGPDVVSRARGITMIGARAWVAMYNVPIMSTDVSAARRIAARVSARGGGLPAVQTLGLLHGEGTTEIACILLEPNQIGADHVQNRVEMLAAQEDLDVEKGYFTDFSPEMIIEKYMKLINAS >itb01g17590.t3 pep chromosome:ASM357664v1:1:22370722:22372523:1 gene:itb01g17590 transcript:itb01g17590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVLLCCKLHISESRNREALESIQRAAKLDPETVIVNIFEDREYNRINYTLVSYVIHDATGTPIYCPLQQTVVAIAAAAYDGINLELHSGAHPRLGVVDDITVHPLAQASLDEAAWLAKRLAAEFGSRFRVPVYLYNDAHPTGKALDTIRRELGYYRPNLRGIQWAGWPHLESLPVKPDEGPDVVSRARGITMIGARAWVAMYNVPIMSTDVSAARRIAARVSARGGGLPAVQTLGLLHGEGTTEIACILLEPNQIGADHVQNRVEMLAAQEDLDVEKGYFTDFSPEMIIEKYMKLINAS >itb01g17590.t1 pep chromosome:ASM357664v1:1:22367543:22372555:1 gene:itb01g17590 transcript:itb01g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNPNSKKSVMQSVLLCCKLHISESRNREALESIQRAAKLDPETVIVNIFEDREYNRINYTLVSYVIHDATGTPIYCPLQQTVVAIAAAAYDGINLELHSGAHPRLGVVDDITVHPLAQASLDEAAWLAKRLAAEFGSRFRVPVYLYNDAHPTGKALDTIRRELGYYRPNLRGIQWAGWPHLESLPVKPDEGPDVVSRARGITMIGARAWVAMYNVPIMSTDVSAARRIAARVSARGGGLPAVQTLGLLHGEGTTEIACILLEPNQIGADHVQNRVEMLAAQEDLDVEKGYFTDFSPEMIIEKYMKLINAS >itb11g00460.t2 pep chromosome:ASM357664v1:11:202859:205296:1 gene:itb11g00460 transcript:itb11g00460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPYEGLFGSPSHVPTGICIVLSLFRKFLLIPSVLPVADQQPKAAYSTLSKVVAMGSELLEQLPLIPGLPNDIALFCLARVPRKYHGVLKCVSKKWRDLMCGEEWYSYRKKNSLEEAWIYALCRDKSEQLCCYMLDPNYLKRGWKCIQGLPPRCLKRKGMGFEVLGKKLYMLGGCGWIEDATDEVYVYDAALNIWNEAAPLSAARCYFACEILDGKLYAIGGLGLQSSNQHSWDTYDPQTSCWSSHVHPSILPDIEDSFVLDGKIYLRSGYQAASSLVSAVVFEPSTGEWQQADSDLVLGWRGPAVVIDETLFVLDQTSGTRLMVWQKDSREWVAMGRLSPLLTKPPCRVAAIGKKIFIIGKGLSTVVFDVENAWNMDGVLVSSSVPKTTSDDEILDCKAIAI >itb11g00460.t1 pep chromosome:ASM357664v1:11:202859:205296:1 gene:itb11g00460 transcript:itb11g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELLEQLPLIPGLPNDIALFCLARVPRKYHGVLKCVSKKWRDLMCGEEWYSYRKKNSLEEAWIYALCRDKSEQLCCYMLDPNYLKRGWKCIQGLPPRCLKRKGMGFEVLGKKLYMLGGCGWIEDATDEVYVYDAALNIWNEAAPLSAARCYFACEILDGKLYAIGGLGLQSSNQHSWDTYDPQTSCWSSHVHPSILPDIEDSFVLDGKIYLRSGYQAASSLVSAVVFEPSTGEWQQADSDLVLGWRGPAVVIDETLFVLDQTSGTRLMVWQKDSREWVAMGRLSPLLTKPPCRVAAIGKKIFIIGKGLSTVVFDVENAWNMDGVLVSSSVPKTTSDDEILDCKAIAI >itb02g09020.t1 pep chromosome:ASM357664v1:2:5719967:5724260:-1 gene:itb02g09020 transcript:itb02g09020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDSSAVARARLAVLSYHFAPPASLNSTHEVLLDASPVSSTDLVQPPPNLKGALTIIDDRTGKRYRVHVSEEGTIKATDLKKISTGEHDKGLKLYDPGYLNTAPVRSSICYIDGDAGILRYRGYPIEELAEGSSFLEVAYLLMYGNLPSANQLADWEFTVSQHSAVPQGILDIIQSMPHDAHPMGILVSAMSALSVFHPDANPALRGQDIYNSKQVRDKQVVRILGKAPTIAAAAYLRMAGRPPVLPSNNLSYAENFLYMLDSLGDRSYKPNPKLARALEILFMLHAEHEMNCSTAAARHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIGSIERIPEFLDGVKNRKRKMSGFGHRVYKNYDPRARVIKKLAEEVFSIVGRDPLIEIAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLSHWRESLDDPDTKIMRPAQVYTGVWLRHYTPPSERKPSSQTDELSQVSVSNATRRRLAGSGA >itb11g02280.t2 pep chromosome:ASM357664v1:11:1153728:1156653:1 gene:itb11g02280 transcript:itb11g02280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRFKRRKMFTKSPLGAECNSMALVPVRVVNVPETKSGWTLLRRVFLQTQKESGNSPAKKLSVVQWVLKLRGRQSFPAVYPDQKQIISGDGECHSDEKNGTIVPAPSHASLIAKELEGVCEKYSSVCRLFSYQELSSATSNFVSENLIGKGGSSKVYKGCLPDGKELAVKILKPSEDLEKQFCSEIETLTALHHENIISLFGFCLEENNLLLVYDLLSRGSLEDNLHGTRNVGDSFGWQDRYKVALGVAKALDHLHNSTNGPVIHRDVKSSNILLSNDFEPKLSDFGLATSASSSSFHLDGVDVAGTFGYLAPEYFVHGKINEKIDVYALGVVLLELLSGKKPIDNTNAKGQESLVLWAKQILKNGKATELLDASLLNAYDHDEFDRMVLAATLCIRREPIFRPKIDIVVKLLQGEPETIQWARQQLDSGDEVDDVGGEQPAAASIQSFINLALLNLEDDSLSVSSDQNISVDDYLRGRWSRSSSFD >itb11g02280.t1 pep chromosome:ASM357664v1:11:1152902:1158134:1 gene:itb11g02280 transcript:itb11g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTGNCAAGECTDSNVGGGGAVVVVGVKMDSRSKELLTWALVKVAQTGDRIIALHVLDPDTDKSEMLRLVKTFDSVLAAYEGFCNLKQVDLKLRVCRGAPARKILAREAKTYRATDLIVGTSGSCRAVRSPVSVAKYCARNVDKSISVLAVNNGKVAFQSEPNNGSIGHELCPQNMAESRFKRRKMFTKSPLGAECNSMALVPVRVVNVPETKSGWTLLRRVFLQTQKESGNSPAKKLSVVQWVLKLRGRQSFPAVYPDQKQIISGDGECHSDEKNGTIVPAPSHASLIAKELEGVCEKYSSVCRLFSYQELSSATSNFVSENLIGKGGSSKVYKGCLPDGKELAVKILKPSEDLEKQFCSEIETLTALHHENIISLFGFCLEENNLLLVYDLLSRGSLEDNLHGTRNVGDSFGWQDRYKVALGVAKALDHLHNSTNGPVIHRDVKSSNILLSNDFEPKLSDFGLATSASSSSFHLDGVDVAGTFGYLAPEYFVHGKINEKIDVYALGVVLLELLSGKKPIDNTNAKGQESLVLWAKQILKNGKATELLDASLLNAYDHDEFDRMVLAATLCIRREPIFRPKIDIVVKLLQGEPETIQWARQQLDSGDEVDDVGGEQPAAASIQSFINLALLNLEDDSLSVSSDQNISVDDYLRGRWSRSSSFD >itb14g11540.t1 pep chromosome:ASM357664v1:14:13163366:13167673:1 gene:itb14g11540 transcript:itb14g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQGIAQLTQLCSLSAFKVGKESSKLGYMEKLNQLKGKLSISFLCDLNNAADVEEAEKAELRNKKHIKELGLDFISGVHVGIDVMEALKPPPELQTLKLFGYGGTNFPSWITLSLDNLRILEIWWCGNCSSLPPLGRLPSLETLLIRKMKELRYVGSEFFGVAEVGGGAFLKLKSLKFYDCLEWEEWEDFKQEATIIMPCIRELELEDCKKLKTVPHYLLSRLESLNIERCPRVRIDALKSPLELQTLKLNGYEGSHFPSWITLFLDNLRILEIIEGGNCSSLPPLGKLPSLETLSISEMKELRYVGSEFLGVAEVGGVAFPKLKNLWFSNCPKWVEWENFKEEATIIIMPCLRELEVNECSKLKIVPHHLLCRLESLRMDDCLGVSVGIDALKPPLELQTLELNWYKGSHFPSWITLTLDNLRILEITWCGNCSSLPPLGKLPSLETLIICVMEELRYVGSEFLGVAEVGGVAFPKLKELQFDYCSKWEEWEDFKQEATIIIMPCIRELQLKYCTKLKTVPHHLLRRVESLKIYRCPSLKVK >itb04g32290.t2 pep chromosome:ASM357664v1:4:34921815:34925949:-1 gene:itb04g32290 transcript:itb04g32290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPSTSNAAIPPSWEDIERSESYLVCCMYEEAASLSHSVLKRLCEINSNNNKPYYEIVESGDELDDMLESAGMVYVQSLNQLGRTLEIMKELKLLFGSVTAIPVQVFLTGACLQIPGGISSDFQEILEEFLSKWKYMDGNYYSSVSVGNDVTYVEGFSKQFVLGVDKYLEIVELYVVTFLGRIMRNVDLAVSWVEKASLPEEKRQHLLRQLHSMNTSKLSSSLEPATASLLQMDEYGTKSASIKEEETFSGSANNFSAENNTKQALKKMYKNRVPFWWFRTITLKFGNTRFVVSNGNIFLCCLALLLFYIVRRKSNLRSIIRKQASSVKKALSDLWELAFSYQVNPLAAVQSLPTAR >itb04g32290.t1 pep chromosome:ASM357664v1:4:34921163:34925948:-1 gene:itb04g32290 transcript:itb04g32290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPSTSNAAIPPSWEDIERSESYLVCCMYEEAASLSHSVLKRLCEINSNNNKPYYEIVESGDELDDMLESAGMVYVQSLNQLGRTLEIMKELKLLFGSVTAIPVQVFLTGACLQIPGGISSDFQEILEEFLSKWKYMDGNYYSSVSVGNDVTYVEGFSKQFVLGVDKYLEIVELYVVTFLGRIMRNVDLAVSWVEKASLPEEKRQHLLRQLHSMNTSKLSSSLEPATASLLQMDEYGTKSASIKEEETFSGSANNFSAENNTKQALKKMYKNRVPFWWFRTITLKFGNTRFVVSNGNIFLCCLALLLFYIVRRKSNLRSIIRKQASSVKKALSDLWELAFSYQVNPLAAVQSLPTAR >itb01g08620.t1 pep chromosome:ASM357664v1:1:6936797:6937529:-1 gene:itb01g08620 transcript:itb01g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNFGLSNMGLESQLLSTLQDMLDFADDHEKPAGSHHNNPSRAYVLDAKAMAATPADVKEYPNSYVFIVDMPGVKAAEIKVQVEDDNVLVVSGERKRKREKDEKDGVKYLRMERRVGKFMRKFVLPENANVEAINAVYKDGVLQVTVEKLPPPEPKKPKTVEVKVA >itb09g01040.t1 pep chromosome:ASM357664v1:9:650633:654120:1 gene:itb09g01040 transcript:itb09g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFSSSNNQKDASTFLYSRESLPGSYSEAPAVPGNIMMFMNYSSSSGGLCSDTIAESSQEQSRGCTDIPPIGSSVSNPSSQQGISNIRGSQIGAHDLSMWKDNRNEMLMMHQSMGGALDVLQTAHSMQGQGLSLSLGTQMPAGIQVAPSQCQNPSQGFTSFLSPPSIPGEGNGGNRSFGDIDDSHAKQSRAMEYLLPGFPSSYDSMKMDGSPYGMPSIGRAFPDSKFLKAAQQLLDEVVNVKKAVKERGSTKVEANGTNEVDADSNCKSSDPPVTGSSSNPQEPNELSANDKQELQNKLTKLFSMQDEIDRRYRQYYHQMHMVVSSFDVVAGEGAAKPYTALALLTISRHFRCLRDAISGQIRVLQKNLGDQDVADNNKGVGISRLRYVDQQLRQQRALQQLGMMQPHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKAMLARQTGLTRGQVSNWFINARVRLWKPMVEEIYKEEFADAEFESNSSSEIAPKATESDTKAFDEQEKGFQPPAASPEDEPCISGKLFQPKSNHAPNVEMVESNIGITFRDGDHVETDSQYETGDRGGAAIAKPATGDSSLLPDTVLQSSGAHNRFMYPASAYHMPELERFGNGNGNGVSLTLGLQQCEGSHNFLSTREDDVYIPSASSVEPEPADFNYIDPENRQHQFGSSHSHLFHDFVA >itb10g00970.t1 pep chromosome:ASM357664v1:10:702361:705998:1 gene:itb10g00970 transcript:itb10g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRHSNKLKRWGLDLDRRLLFLILFPISLFLIASLSPFPYAAVLAPLRSILGGFASPLDANASPELNRSRIAVCLVGGARRFELTGPSIIQKILRVYPNADLFLHSPLDSNAYKLSLLRAAPRIAAVKIFKPTPIDETEAETRVLTAANSPNGIQGLLQYFNLVEGCLTMIEHHQLKNNFTYDWIIRTRVDGYWSGRLKPEHFIPSRYVVPSGSSYGGLNDRFGAGDFNTSVVALSRLSLIPQLDAGGYALLNSETSFKAQLTTQKVPYSTHRLPFCVVTDRKYDFPPSHFGVPVAAISSRGPLSGAKCRPCTPSCTGSCVASVMSGLDRGWSWTDWSNDSLELCDAHADWENGWEKLFDRTAGKKLATARRRVKGLSFGQCVNDFEVMKEKTASWVAPPGTEICQLGLQAV >itb07g01360.t4 pep chromosome:ASM357664v1:7:840132:844754:-1 gene:itb07g01360 transcript:itb07g01360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTYFRKRKRKQKQAESEMQPEEEIPQGLDVFVQLPRIDDNCAEAECDANAMDVTNAESSQHIQQNVQLSGEAICTSVNSLVKNVPRRRTSKHKKGTDVGNSAKRPDVGNGAKRKTARPHREQICEEVVCLGKSVVTPQRSLRCRGQSRIRSSDLGELDSGTLSCYLEKIWAKIPEERRSLFTYLDSFWYYMYTNRNFKPKVLNWIKSKDISKTYVFVPIAQWGHWFLLIICNMGKGVQSKASTPCLLLLDSMQVAHAKQMEPGIRKFVFDSYGAGQTKEVQQMIRKIPFRIPKVPQQKDNKECGYYVLYYISRFLELAPEEFSLSDGYPYFMKKDWFTPEELDNFCNELKSSPPRGDSPSPKPDESSSDSGSIDLQDCCIS >itb07g01360.t1 pep chromosome:ASM357664v1:7:840132:844754:-1 gene:itb07g01360 transcript:itb07g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTYFRKRKRKQKQAESEMQPEEEIPQGLDVFVQLPRIDDNCAEAECDANAMDVTNAAESSQHIQQNVQLSGEAICTSVNSLVKNVPRRRTSKHKKGTDVGNSAKRPDVGNGAKRKTARPHREQICEEVVCLGKSVVTPQRSLRCRGQSRIRSSDLGELDSGTLSCYLEKIWAKIPEERRSLFTYLDSFWYYMYTNRNFKPKVLNWIKSKDISKTYVFVPIAQWGHWFLLIICNMGKGVQSKASTPCLLLLDSMQVAHAKQMEPGIRKFVFDSYGAGQTKEVQQMIRKIPFRIPKVPQQKDNKECGYYVLYYISRFLELAPEEFSLSDGYPYFMKKDWFTPEELDNFCNELKSSPPRGDSPSPKPDESSSDSGSIDLQDCCIS >itb07g01360.t2 pep chromosome:ASM357664v1:7:840425:844754:-1 gene:itb07g01360 transcript:itb07g01360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTYFRKRKRKQKQAESEMQPEEEIPQGLDVFVQLPRIDDNCAEAECDANAMDVTNAAESSQHIQQNVQLSGEAICTSVNSLVKNVPRRRTSKHKKDVGNSAKRPDVGNGAKRKTARPHREQICEEVVCLGKSVVTPQRSLRCRGQSRIRSSDLGELDSGTLSCYLEKIWAKIPEERRSLFTYLDSFWYYMYTNRNFKPKVLNWIKSKDISKTYVFVPIAQWGHWFLLIICNMGKGVQSKASTPCLLLLDSMQVAHAKQMEPGIRKFVFDSYGAGQTKEVQQMIRKIPFRIPKVPQQKDNKECGYYVLYYISRFLELAPEEFSLSDGYPYFMKKDWFTPEELDNFCNELKSSPPRGDSPSPKPDESSSDSGSIDLQDCCIS >itb07g01360.t3 pep chromosome:ASM357664v1:7:840132:844754:-1 gene:itb07g01360 transcript:itb07g01360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTYFRKRKRKQKQAESEMQPEEEIPQGLDVFVQLPRIDDNCAEAECDANAMDVTNAESSQHIQQNVQLSGEAICTSVNSLVKNVPRRRTSKHKKDVGNSAKRPDVGNGAKRKTARPHREQICEEVVCLGKSVVTPQRSLRCRGQSRIRSSDLGELDSGTLSCYLEKIWAKIPEERRSLFTYLDSFWYYMYTNRNFKPKVLNWIKSKDISKTYVFVPIAQWGHWFLLIICNMGKGVQSKASTPCLLLLDSMQVAHAKQMEPGIRKFVFDSYGAGQTKEVQQMIRKIPFRIPKVPQQKDNKECGYYVLYYISRFLELAPEEFSLSDGYPYFMKKDWFTPEELDNFCNELKSSPPRGDSPSPKPDESSSDSGSIDLQDCCIS >itb04g14440.t2 pep chromosome:ASM357664v1:4:15031240:15051586:-1 gene:itb04g14440 transcript:itb04g14440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEKCLRSAITFEVERGYSNALGRNMRFSNLLFSRMSTLFSRSKHKLAKRLLEEVDAYDRASVPDQSKLLSKVSVLMGYDGLNDLIDDERDISLVCRKFPSIILGYSEPIELFDVAENHSKNSGFLAAQISKGLFSKPVDSTLVSTDSVYETWQSQDIGSPGVDSSSSTAETIETEVREELQSTVVKPVSQPSLEIQEIAGSVEMLLDKSISCMTGLSKRHCHQLENCGFHTLRKLLQHFPRTYVDLHNAQVGIEDGKYMIFVGKILSSRALKASSSLSFLEVIVSCEIPYDAATTFSMTGDADCVIDKTVSSGGKTIILHLKKFFRGTRFTYLPFLRSLQGKQKVGDVVCVSGKVRTMHTKDHYEMKEYNMDVLQAEEDSHSHICVERMPYPIYPSKGGLKPNFLREIISRAMKFLPVNIDPIPEEIRQDFGLPSLYDAFSGIHQPKSLLDAELARKRLIFDEFFYLQLGRLFQMLQGLNTKLEKDALLNKCRKPELNTVDIDDWSFLSRKFLETLPYSLTSSQLVAISEIMWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGYQAAFMVPTELLAVQHYEHLLKLLENLEEVVCKPSVALLTGSTPSKQSRLIRMHYELLWLMSNIALEWSRGDCLMASLQLFFNSTSSKKISIGQDDSFKGSVLMAPHVLAMSATPIPRSLALALYGDMSLTQITDLPPGRIPVETYAIEGNESGFEEVYKVCDLYKLSHTRIYTILIYNEGKRVK >itb04g14440.t1 pep chromosome:ASM357664v1:4:15027005:15051586:-1 gene:itb04g14440 transcript:itb04g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEKCLRSAITFEVERGYSNALGRNMRFSNLLFSRMSTLFSRSKHKLAKRLLEEVDAYDRASVPDQSKLLSKVSVLMGYDGLNDLIDDERDISLVCRKFPSIILGYSEPIELFDVAENHSKNSGFLAAQISKGLFSKPVDSTLVSTDSVYETWQSQDIGSPGVDSSSSTAETIETEVREELQSTVVKPVSQPSLEIQEIAGSVEMLLDKSISCMTGLSKRHCHQLENCGFHTLRKLLQHFPRTYVDLHNAQVGIEDGKYMIFVGKILSSRALKASSSLSFLEVIVSCEIPYDAATTFSMTGDADCVIDKTVSSGGKTIILHLKKFFRGTRFTYLPFLRSLQGKQKVGDVVCVSGKVRTMHTKDHYEMKEYNMDVLQAEEDSHSHICVERMPYPIYPSKGGLKPNFLREIISRAMKFLPVNIDPIPEEIRQDFGLPSLYDAFSGIHQPKSLLDAELARKRLIFDEFFYLQLGRLFQMLQGLNTKLEKDALLNKCRKPELNTVDIDDWSFLSRKFLETLPYSLTSSQLVAISEIMWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGYQAAFMVPTELLAVQHYEHLLKLLENLEEVVCKPSVALLTGSTPSKQSRLIRMGLQTGDISLVIGTHSLIAENVEFSALRIAVVDEQHRFGVVQRGLFNGKLFFNSTSSKKISIGQDDSFKGSVLMAPHVLAMSATPIPRSLALALYGDMSLTQITDLPPGRIPVETYAIEGNESGFEEVYKMMLEELEKGGKIYLVYPVIEQSEQLPQLRAAAADLEIISSRFSAYRCGLLHGRMKSDEKSEALRQFRTGETDILLSTQVIEIGVDVPDATMMVVMNAERFGIAQLHQLRGRVGRGNRKSKCIFLASTTSGLNRVKVLEESSDCFYLANMDLLMRGPGDLLGKKQSGHLPEFPVARLEIDGNVLQEAHLAALRILSDSIDLEKFPYLKAELSMRQPLSLLGD >itb05g08050.t1 pep chromosome:ASM357664v1:5:10806919:10809462:1 gene:itb05g08050 transcript:itb05g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFGNVVLSVLLSVSVISPWLPISVCAKKEAARKEDIPYIKCQVCEKLSYQLYHQVQSKQADISPKKMSEYQIIEITENVCNLKKQEGDWILKIDIVEQGDRLELVEQEYEGQCNSECKTIERACQEVMGYSDTDIAEYLYNNKPQLDSLLNFLCKDVSKACSSMPPPVAKDRAAGEAFVPAPPKDAEADKILRSMEGKLVKEKQTKKMLDWKQKIRKGIEDAGEAVKKHATKVSNQFSKWWRAKKDEWEKTTYKHSKAAEL >itb15g03880.t1 pep chromosome:ASM357664v1:15:2462527:2464578:-1 gene:itb15g03880 transcript:itb15g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSYQSTKKEADIDDDCSSNHMTIVKPKLFYLLFLSLISCSFILAAHLFTSSPTFSLLYSIRFEDDEKLASEASEADENASSGNIVFNGTISCDRRFVRSDICNMTGDVRTDPSSSSIIVYGTKFNGSGSGDGDGVIQREKIRPYTRKLFNSIMVTIDELELVVKVCNSAVNHRCDVRHDSPGLFFSTGGYTGNLFHEFADSIVPLYMTSRRYNGKVVFVILEYHHWWFTFYDEILAHLSDYDVIDFRRDNRTHCFPGAVVGLRAHDDLQIDPAWTDDNTTIRDFRDFLDRAYAPRIKEILSQEPKHFEPEKESKKPKLVIMARNDSRKILNQGDLIKMAQEIGFRVSVLKPNRPTGLARMYKILNSTDALVGVHGAALTHFLFLRPGSAFIQIVPIGIDWAAETYFEQPAIKMGMKYIGYYIFANESTLIDEYDEKDPVFTDTLSVNRKGWNYTQQIYLDPQNVRLNLWRFRAPLTRAYYYAVRKLNGSSKVDS >itb09g30960.t1 pep chromosome:ASM357664v1:9:31491394:31493804:1 gene:itb09g30960 transcript:itb09g30960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFMVLLASLLLCNIANAASSSISYNVINFGAKPDGKTESTQSFLGAWASACRSPRPATVYVPKGRYLLKEVVFNGPCNNRISVRIDGTLVAPTDYRALGNSGFWILFSKVDGISVVGGKIDAKGAAFWACRNSGKSCPVGARSMTFRGANGVEVNGLVSLNSQKMHLVINDCKNVVIRNVRMIAPDESPNTDGIHVQGSTGITITSSILKTGDDCVSIGPGTKNMFMDRILCGPGHGISIGSLGQNAVEDGVQNVTLINSLFIGSQNGIRIKSWARPSTGFVNNINYRNIVMRYVNNPIIIDQNYCPDNIGCPNQTSGIKISGVTYKNIQGTSKTKVAMTFDCSPTQKCRGIKLEDIKLRYYLNNQKAQSICKNIQGTTTGVILPYNCL >itb10g16880.t1 pep chromosome:ASM357664v1:10:23119205:23120404:1 gene:itb10g16880 transcript:itb10g16880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSVASSSLSYGRQQQRVWLDGGGEGEGGGGGGEAAGVMMMMMDSSTAAAAGGGGGEGEGGGDEAASSSGVLMEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSNNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGAAESVKDRLFIDWRRRPDSHSDHHHHHRHHHHHHLPPISIPHHLSFQRSIYTAAAQPPPWNHQAVLSAPPPYGYTYGFGSTTHHNSVIFNGGASGSAVVVNGNPCSSGIYLRPMSAAHQQEIMQRRGGGGAMVFESVPVVQGKAAAKRLRLFGVNMDCPISDSDDCDIISSSSSAASAAVSAASPTTIPTSLQLRPCGGYDTTTQELMEAGSDNKPNKSSSSSMSLDLDI >itb15g03820.t1 pep chromosome:ASM357664v1:15:2399658:2407854:1 gene:itb15g03820 transcript:itb15g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVKELEPAFQGAGQRVGTEIWRIENFQPVPLPKSDYGKFYSGDSYIILQTTSGKGGAYLYDIHFWLGKDTSQDEAGTAAIKTVELDAILGGRAVQHREVQGHETDKFLSYFKPCIIPLEGGVASGFKEVEEEEFETRLYVCKGKRVVRLKQVPFSRSSLNHDDVFILDTKDKIYQFNGANSNIQERAKALEVIQFLKDKYHEGKCDVAIVDDGNLQAETNSGEFWVLFGGFAPISKKVASEDDIIPEKTPPKLFSISDGQANLVEGELSKSCLENNRCYLLDCGDEVFVWVGRVTQVNERKSAIQAAEEFIASQNRPKSTRITRLIQGYETHSFKSKFDSWPSGSAPAPEEGRGKVAALLKQQGVGIKGASKSAPVNEEVPPLLEGGGKIEVWCINGSAKTPVPKDDVGKFYSGDCYVVLYTYHSHDKKEDYYLCWWIGKDSVEEDQKTAAKLATSMCNSLKGRPVLGRIFQGKEPPQFVAIFQPLVILKGGLSSGYKNYIADKGLNDETYTADSVALIQISGTSVHNNKAVQVDAVATSLNSNECFLAQSGSTMFTWHGNQSTHEQQQLAAKIAEFLKPGVALKHTKEGTESSAFWFALGGKQSYTNKKMPPEVVRDPHLFTYSINKAHVLLPRGSSGKLEVEEVYNFTQDDLLTEDVLILDTHAEVFVWVGQSTDANEKQNAFEIGQKYAEMAASLEGLSLHVPLYKVTEGNEPCFFTTFFSWDPAKATAHGNSFQKKVMLLFGAGHASANQARSNGTNQGGGRTQRASALAALNSAFSSSSSTKATSVSRPVGVGQGSQRAAAVAALSSVLTAEKKQPTETSPARFKSPPPEATPTAAIENEKASDAVENSKEPSEVKETEVAESVEETNWAESEPKPEQEQDDSSDCSQTIFSYERLKAKSDNPVTGIDFKRREAYLSDEEFTTVFGTTKEAFYKLPKWKQDMLKRKVDLF >itb10g12960.t1 pep chromosome:ASM357664v1:10:19055161:19057981:1 gene:itb10g12960 transcript:itb10g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGGSSGSCYYSILGIRSDASSSDIRSAYRKLALKWHPDRWAKNPTVAGEAKRRFQKIQEAYSVLSDQGKRSMYDAGFLDLLEEDEGMGDFLHDLINMMDQNVGTQEEESLEDLQRTFVEMFGRDLVNMMDNEDPMVKKRPRDSGPSLRTAQNRTCNVNGNGTSYHCCAWVMQPNGTGLLGLLHVLFSIEQCKGDLKS >itb05g00620.t1 pep chromosome:ASM357664v1:5:530734:533598:-1 gene:itb05g00620 transcript:itb05g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQTTPSSTAEYNAPSIPPGGVITILSIDGGGIRGIIPGTIVAHLEEQLQALDGPDARVADYFDVIAGTSTGGLMTTMLATPDKNKRPLYAGKDIVPFYKEHGPKIFPTSSSSAVVWEATNLLAGPKYDGKYLHQVLQDLLGDTRLTQTLTHVVIPTFDIKTFQPTVFTTFDVKDFPERNAKLSDICIATSAAPTYFPSHYFDTADTNGGNVEFNLIDGGVAANNPTLVAISTVTQRMVAEDPNLVTSLTSSNEPIGLHRFLILSVGTGSAKNADKYTAQQAGKWGILAWLLQGDGNPLIDVFAGASSDMVDYHIATIFQALKVGHNYLRIQEDDLQGSTTSVDVTTKENLDALEDVGNKLLLKTVTKLNLLTGKNEPVPEAGTNQDALKRLAGLLSEERRRRTTAALNGSK >itb13g17720.t1 pep chromosome:ASM357664v1:13:24658933:24660695:-1 gene:itb13g17720 transcript:itb13g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALNCSADQLAPLLGSNATDATAAAAYICSRFADVSSAFTDAGFAIDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGSPSNGFIGRHFFGLNDVPSSSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWFWSGDGWASPIKASNLLFGSGVIDFAGSGVVHMVGGIAGLYGALIEGPRIGRFDHTGRAVSLRGHSASLVVLGTFLLWFGWFGFNPGSFNKILVPYSSGAYYGQWSAVGRTAVTTTLAGCTAGLTTLFGKRVLSGHWNVTDVCNGLLGGFAAITSGCSVVEPWAAIVCGFVAALVLIGCNKLAEIFKYDDPLEAAQLHGGCGAWGIIFTGLFAKKKYVNEVYPDVPDRPYGLLMGGGGKLLGAQIIQILVISGWVSATMGPLFYILHKLKLLRISPDDEMAGMDLTRHGGFAYYHEEDYLKPGIQMGKIEDAASS >itb07g11550.t1 pep chromosome:ASM357664v1:7:13026904:13030412:-1 gene:itb07g11550 transcript:itb07g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASLDMSLDDLIKKNKKVGGGNSRGRGRGSGPGPARRLPTRTSNRPAPYATAKLVEAPDSAWSHDMFSADQQMGFPGQVGGGARPSSIETGTKLYISNLEYGVSNEDVKELFSEVGDLKRYAIHYDRSGRSKGTAEVVFSRRQDALAAVKRYNNVQLDGKAMQIEIVGTNIAAPPAPSSYSGGAYGDFNGIPRSGQGRGGGFGRPRGGNRGRGRGFRGGGGRGQGRGRGEKVVVSAEDLDADLEKYHSEAMQEN >itb05g12810.t1 pep chromosome:ASM357664v1:5:19507944:19509365:1 gene:itb05g12810 transcript:itb05g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSLKLACFIVLFMAAFSLLPSSLMAKISVGPNNVQMVMTGQLCKSHSDCRSNSAYPGNFCMNKISGSGIGHCVGFQSTLALPSETKDEERKPKVGVCGRCETDEDCRECVPTAGCDHVLFPDGGMCV >itb13g23010.t2 pep chromosome:ASM357664v1:13:29130532:29133215:1 gene:itb13g23010 transcript:itb13g23010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGSFRANPLVHRWFKLVMLGACLVILILWGTHSVNLSVTNAPKNFVLLKFNDSSKEFRPEFKDLGYANASNLPPQEKLGNFSDNERGSSIEPSGSRIGNWILAELEDNYSSKLLDAWLAPGGEPCKDSRSVGIRVPGLDGREGLELSTGDIHEFVFQALDEKGQAHCLGGDYFEIDLSGEKWKSRPPTKDLGNGTYKFYLQVHPDFYGDYNVTIILLFQHYEGMRFSPQRFAFDRVLRVIPIKFTKSPTELPAIPQCVRSDLARMVWSGRWTRHAKNDSCLVDEGGRFICQDPNFPCQKPWCHGPMGVLESNGWVYSAHCSFKMFSTEEAWECLNNKWIFWWGDSNHCDTIRNVLHFILDVHDVQVVPRRFDANITNPKNPSQTVRFTSIFNGHPNDTGNYQGLNSLVNAKYREMLKGYFSGNVVPDTIIMNSGLHDGVYWPSVRTFIRGADYSAAFWSEVIQGVKQRHKVPPKLLYRTTVTTGGYARTLAYNPQKMEAFNLIVLDKLRAYAALDIVIDDFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGQIGHQYFVDLMLGHVLLNAMCTRW >itb13g23010.t1 pep chromosome:ASM357664v1:13:29130532:29134355:1 gene:itb13g23010 transcript:itb13g23010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGSFRANPLVHRWFKLVMLGACLVILILWGTHSVNLSVTNAPKNFVLLKFNDSSKEFRPEFKDLGYANASNLPPQEKLGNFSDNERGSSIEPSGSRIGNWILAELEDNYSSKLLDAWLAPGGEPCKDSRSVGIRVPGLDGREGLELSTGDIHEFVFQALDEKGQAHCLGGDYFEIDLSGEKWKSRPPTKDLGNGTYKFYLQVHPDFYGDYNVTIILLFQHYEGMRFSPQRFAFDRVLRVIPIKFTKSPTELPAIPQCVRSDLARMVWSGRWTRHAKNDSCLVDEGGRFICQDPNFPCQKPWCHGPMGVLESNGWVYSAHCSFKMFSTEEAWECLNNKWIFWWGDSNHCDTIRNVLHFILDVHDVQVVPRRFDANITNPKNPSQTVRFTSIFNGHPNDTGNYQGLNSLVNAKYREMLKGYFSGNVVPDTIIMNSGLHDGVYWPSVRTFIRGADYSAAFWSEVIQGVKQRHKVPPKLLYRTTVTTGGYARTLAYNPQKMEAFNLIVLDKLRAYAALDIVIDDFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGQIGHQYFVDLMLGHVLLNAMCTRW >itb15g10290.t1 pep chromosome:ASM357664v1:15:8110758:8113314:-1 gene:itb15g10290 transcript:itb15g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCDSKFSLLAFLSLSLSLFAAKTFANEFSIVGYAPDDLTCIDKLINLFESWIEKHGKMYESIEEKVQRFEIFKENLEHINERNKNVKNYWLGLNEFADLRHEEFKQKYLGLKNKGEKTEASSSSEEEFSYRDFVEVPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTTFNNGCNGGLMDYAFQFIISNGGLHKEDDYPYLMEEGTCDEKRGESESVTIDGYRDVPRNDELSLLKALANQPLSVAIEASGRDFQFYSGGVFDGHCGTELDHGVAAVGYGSTKGMDYIIVKNSWGPKWGEKGFIRMKRNTGKSEGLCGINKMASYPTKNKKK >itb11g04380.t1 pep chromosome:ASM357664v1:11:2298407:2298973:-1 gene:itb11g04380 transcript:itb11g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSYFLLLCLSMFLAVSSLGKAMAYDYDYDYSGYESYDDDEPHFDYPQMPPPEPEDGGDHDNEHDNDNPPPPPAAAAESEDGGDCSYVHAEDIPPPPPSPEDCPGVVERLSACQEYLNGHNDLPSPPCCDNLESMFSIGVNVCECVKDLQDSFKQIRADDIFKKCGFSLPYFSMSRKTCGFSLP >itb07g06400.t6 pep chromosome:ASM357664v1:7:4551988:4556284:-1 gene:itb07g06400 transcript:itb07g06400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGRTNLVMRGIRHGACDYLIKPIRDEELKNIWQHVVRKKCNLSKENDHSGSFEDNDQPKQGGDDAEHASSVIEGADGVLKTVKKKRDFKDDDDDDDDDEIENDDPANAKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQGGLPNSFCGPIEPNPKLGSLGRYEIQALAASCQIAPQTLAAIHAELLGRPTSGLVLPTIDHPALLQASLPATKYILDDQAVAYGQPLMKCPPNISKQFTQHLSAEDIPSGVVAWPPKNVCVVPSINLSGLGAQNGNMLTTMMQHHQQQQKQQQMEQHQKLSTIPESCRPVNVRPSCLVVPSQSSANFQVTNSPASISQTSSFSKSNVMDSRILSPQSGNSSSGAGEVANWKQKLPCRSNMLCATGSLSPSLSSCSTNADNSASWQVQNSACIIGASRHAAGVVPNITSIPVPDNHKSNQLLDQGPIRNLGFASRGSSIPSRFAIDESESPPISNIYHSRIYKETNTCKVKQEPDVNIADNAKVSVQTLQRIPPNDFMSVFQ >itb07g06400.t4 pep chromosome:ASM357664v1:7:4551988:4557624:-1 gene:itb07g06400 transcript:itb07g06400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCKAEAAAVVPEQFPVGLRVLVVDDDLLCLRIIEQMLRKCKYNGLFLFLLVTICSQATAALNLLRERRGCFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGRTNLVMRGIRHGACDYLIKPIRDEELKNIWQHVVRKKCNLSKENDHSGSFEDNDQPKQGGDDAEHASSVIEGADGVLKTVKKKRDFKDDDDDDDDDEIENDDPANAKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQGGLPNSFCGPIEPNPKLGSLGRYEIQALAASCQIAPQTLAAIHAELLGRPTSGLVLPTIDHPALLQASLPATKYILDDQAVAYGQPLMKCPPNISKQFTQHLSAEDIPSGVVAWPPKNVCVVPSINLSGLGAQNGNMLTTMMQHHQQQQKQQQMEQHQKLSTIPESCRPVNVRPSCLVVPSQSSANFQVTNSPASISQTSSFSKSNVMDSRILSPQSGNSSSGAGEVANWKQKLPCRSNMLCATGSLSPSLSSCSTNADNSASWQVQNSACIIGASRHAAGVVPNITSIPVPDNHKSNQLLDQGPIRNLGFASRGSSIPSRFAIDESESPPISNIYHSRIYKETNTCKVKQEPDVNIADNAKVSVQTLQRIPPNDFMSVFQ >itb07g06400.t1 pep chromosome:ASM357664v1:7:4551976:4557628:-1 gene:itb07g06400 transcript:itb07g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCKAEAAAVVPEQFPVGLRVLVVDDDLLCLRIIEQMLRKCKYNVTICSQATAALNLLRERRGCFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGRTNLVMRGIRHGACDYLIKPIRDEELKNIWQHVVRKKCNLSKENDHSGSFEDNDQPKQGGDDAEHASSVIEGADGVLKTVKKKRDFKDDDDDDDDDEIENDDPANAKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQGGLPNSFCGPIEPNPKLGSLGRYEIQALAASCQIAPQTLAAIHAELLGRPTSGLVLPTIDHPALLQASLPATKYILDDQAVAYGQPLMKCPPNISKQFTQHLSAEDIPSGVVAWPPKNVCVVPSINLSGLGAQNGNMLTTMMQHHQQQQKQQQMEQHQKLSTIPESCRPVNVRPSCLVVPSQSSANFQVTNSPASISQTSSFSKSNVMDSRILSPQSGSLSPSLSSCSTNADNSASWQVQNSACIIGASRHAAGVVPNITSIPVPDNHKSNQLLDQGPIRNLGFASRGSSIPSRFAIDESESPPISNIYHSRIYKETNTCKVKQEPDVNIADNAKVSVQTLQRIPPNDFMSVFQ >itb07g06400.t5 pep chromosome:ASM357664v1:7:4551988:4557624:-1 gene:itb07g06400 transcript:itb07g06400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCKAEAAAVVPEQFPVGLRVLVVDDDLLCLRIIEQMLRKCKYNVTICSQATAALNLLRERRGCFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGRTNLVMRGIRHGACDYLIKPIRDEELKNIWQHVVRKKCNLSKENDHSGSFEDNDQPKQGGDDAEHASSVIEGADGVLKTVKKKRDFKDDDDDDDDDEIENDDPANAKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQGGLPNSFCGPIEPNPKLGSLGRYEIQALAASCQIAPQTLAAIHAELLGRPTSGLVLPTIDHPALLQASLPATKYILDDQAVAYGQPLMKCPPNISKQFTQHLSAEDIPSGVVAWPPKNVCVVPSINLSGLGAQNGNMLTTMMQHHQQQQKQQQMEQHQKLSTIPESCRPVNVRPSCLVVPSQSSANFQVTNSPASISQTSSFSKSNVMDSRILSPQSGNSSSGAGEVANWKQKLPCRSNMLCATGSLSPSLSSCSTNADNSASWQVQNSACIIGASRHAAGVVPNITSIPVPDNHKSNQLLDQGPIRNLGFASRGSSIPSRFAIDESESPPISNIYHSRIYKETNTCKVKQEPDVNIADNAKVSVQTLQRIPPNDFMSVFQ >itb07g06400.t2 pep chromosome:ASM357664v1:7:4551976:4557628:-1 gene:itb07g06400 transcript:itb07g06400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGRTNLVMRGIRHGACDYLIKPIRDEELKNIWQHVVRKKCNLSKENDHSGSFEDNDQPKQGGDDAEHASSVIEGADGVLKTVKKKRDFKDDDDDDDDDEIENDDPANAKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQGGLPNSFCGPIEPNPKLGSLGRYEIQALAASCQIAPQTLAAIHAELLGRPTSGLVLPTIDHPALLQASLPATKYILDDQAVAYGQPLMKCPPNISKQFTQHLSAEDIPSGVVAWPPKNVCVVPSINLSGLGAQNGNMLTTMMQHHQQQQKQQQMEQHQKLSTIPESCRPVNVRPSCLVVPSQSSANFQVTNSPASISQTSSFSKSNVMDSRILSPQSGSLSPSLSSCSTNADNSASWQVQNSACIIGASRHAAGVVPNITSIPVPDNHKSNQLLDQGPIRNLGFASRGSSIPSRFAIDESESPPISNIYHSRIYKETNTCKVKQEPDVNIADNAKVSVQTLQRIPPNDFMSVFQ >itb07g06400.t3 pep chromosome:ASM357664v1:7:4551988:4557624:-1 gene:itb07g06400 transcript:itb07g06400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCKAEAAAVVPEQFPVGLRVLVVDDDLLCLRIIEQMLRKCKYNGLFLFLLVTICSQATAALNLLRERRGCFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGRTNLVMRGIRHGACDYLIKPIRDEELKNIWQHVVRKKCNLSKENDHSGSFEDNDQPKQGGDDAEHASSVIEGADGVLKTVKKKRDFKDDDDDDDDDEIENDDPANAKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQQGGLPNSFCGPIEPNPKLGSLGRYEIQALAASCQIAPQTLAAIHAELLGRPTSGLVLPTIDHPALLQASLPATKYILDDQAVAYGQPLMKCPPNISKQFTQHLSAEDIPSGVVAWPPKNVCVVPSINLSGLGAQNGNMLTTMMQHHQQQQKQQQMEQHQKLSTIPESCRPVNVRPSCLVVPSQSSANFQVTNSPASISQTSSFSKSNVMDSRILSPQSGSLSPSLSSCSTNADNSASWQVQNSACIIGASRHAAGVVPNITSIPVPDNHKSNQLLDQGPIRNLGFASRGSSIPSRFAIDESESPPISNIYHSRIYKETNTCKVKQEPDVNIADNAKVSVQTLQRIPPNDFMSVFQ >itb06g22050.t1 pep chromosome:ASM357664v1:6:24487974:24490786:-1 gene:itb06g22050 transcript:itb06g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVQSGYSYMGRSFSGLSINDDSSAFSDCNSDRSGEFPTASSQSRRLLVACATDNSDELIQQLVCDLQSTSIDEQKQAAMEIRLLAKNKPENRIKIARAGAVKPLISLIFSTDPQLQEYGVTAILNLSLCDENKELITASGAIRPLVRALKVGTSTAKENAACALLRLSQIERNKIVIGRSGAIPPLVDLLENGNFRGKKDACTALYSLCSEKENKVRAVQAGVMKPLVELMADFSSNMVDKSAFVVNVLASLTEARAALVEEGGIPVLVEIVEAGTQRQKEIAVAILLQLCEDSVTHRTLVAREGAIPPLVALSQSGTSRAKQKAEILTDLLRQPRSASATVPRASDVSV >itb06g22050.t2 pep chromosome:ASM357664v1:6:24488634:24490942:-1 gene:itb06g22050 transcript:itb06g22050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVQSGYSYMGRSFSGLSINDDSSAFSDCNSDRSGEFPTASSQSRRLLVACATDNSDELIQQLVCDLQSTSIDEQKQAAMEIRLLAKNKPENRIKIARAGAVKPLISLIFSTDPQLQEYGVTAILNLSLCDENKELITASGAIRPLVRALKVGTSTAKENAACALLRLSQIERNKIVIGRSGAIPPLVDLLENGNFRGKKDACTALYSLCSEKENKVRAVQAGVMKPLVELMADFSSNMVDKSAFVVNVLASLTEARAALVEEGGIPVLVEIVEAGTQRQKEIAVAILLQLCEDSVTHRTLVAREGAIPPLVALSQSGTSRAKQKAEILTDLLRQPRSASATVPRASDVSV >itb01g15840.t1 pep chromosome:ASM357664v1:1:19029019:19031439:-1 gene:itb01g15840 transcript:itb01g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFAGRFLVWIISILVPAVVLHLHLHRRKTISRQRLPPGPRGWPVFGNMFDLGEMPHKTLMGLKRQYGSVVWLRLGSINTVVVLTAKAAAELFKNHDVAFADRTITEVMTAQSYEKGSLALAPYGTYWRVMKRIMTVEMLVTKRINETVPVRRKCADVLLTWIENETTVGGSSVAVARFVFLSLFNMLGNLILSRDLVDPESRIGSEFFTAMMGLMEWGGHPNIVDVFPCLRWLDPQGLKRKMNRDLGNTYEIVSGFLKERMKEREAEGAESRKKDFLEVLLNFEGNGKDEPQTLSEHELIIIIMEIFLAGSETTSSSIEWAMTELLLKPETMDKAKAELAQVVGPNRKFEESDIDNCKYLQAIIKETLRLHPPIPFLVPRKAIHDTEFMGYHIPQNTQLFINVCAIGRDPECWGNPSSFRPERFLNLKTEYKGHHFELIPFGAGRRICAGIPLAHRMLHLVLGSLLHAFDWGIDRDFDDDIRDTRERMGVTVRKLKPLRAIARKSQLN >itb03g00950.t1 pep chromosome:ASM357664v1:3:512062:513535:1 gene:itb03g00950 transcript:itb03g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAELILVVLSMAIVINPCLSQRHHQQLYPNFYRRSCPRFDQIMQDTTTNKQIVSPTTAAATLRLFFHDCFVGGCDASMLISSTPFNKAERDADINLSLPGDGFDVVIRAKTALELACPGVVSCADILAVATRNLVVQTGGPFYAVKLGRKDALVSKAGSVEGNLPRPAMSMDQILKIFLSRGFSIQETVALSGAHTIGFSHCKEFSSNIYNYSRGSQSDPAYYPQFAQALRNACANYHQNPTLSVFNDVMTPNKFDNMYYQNLPKGLGLLASDHALNLDPRTRPFVEQYAKNQTAFFEAFGRAMEKLSTYGIKTGRSGEIRRRCDAFNN >itb05g27720.t2 pep chromosome:ASM357664v1:5:30952599:30956502:-1 gene:itb05g27720 transcript:itb05g27720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGDHMPIMGDWVPPSPSPRAFFSSVLGNDIESRSNASQPLVPDPKEYASSGNSDSKNGAQGSDQTPKLSSLSERNMNSHGGLLERMAARAGFSAPKLKTDSIRPPALVQNQELRSPYVTIPPGLSPTTLLDSPVFLSNSLVQSSPTTGKFAFPSIGDSRNSALFMGASDNNKETSFNNNDASSFAFKPVIETGPSLFPETISKVPPSSLSWQSVPGIEVSVHSENALVHQCAEPTLVHTQSGTLEQSVFSRSYTEGVSNIISEPRTFQAVAGSMEHSPPPDEQQDEDIDQRGGGDPNVLGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGTHNHQKPPPNRRAAFGSENAEQDGTIVIGDPIWENVQNGSGAGGPDWGNDNLEVTSSGTQFEPRDPVEGSSPFSNDEDEDDRGTHGSVSLPEGEGDDTDSKRRKIETYAADMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHPNSGASNGLSSQTTATQGHVHRPEPSQLQNTMSQFARPPSLASFGFPGGPQLGHTLGFGFGMNQQGLANLAMAGLGPNQGKFPVPPVHSYLGQQHPMNDMRPKAEPKMEPSSDPGLNLSNDSSVYQQFTSRLPLGPQM >itb05g27720.t3 pep chromosome:ASM357664v1:5:30952599:30956502:-1 gene:itb05g27720 transcript:itb05g27720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGDHMPIMGDWVPPSPSPRAFFSSVLGNDIESRSNASQPLVPDPKEYASSGNSDSKNGAQGSDQTPKLSSLSERNMNSHGGLLERMAARAGFSAPKLKTDSIRPPALVQNQELRSPYVTIPPGLSPTTLLDSPVFLSNSLVQSSPTTGKFAFPSIGDSRNSALFMGASDNNKETSFNNNDASSFAFKPVIETGPSLFPETISKVPPSSLSWQSVPGIEVSVHSENALVHQCAEPTLVHTQSGTLEQSVFSRSYTEGVSNIISEPRTFQAVAGSMEHSPPPDEQQDEDIDQRGGGDPNVLGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGTHNHQKPPPNRRAAFGSENAEQDGTIVIGDPIWENVQNGSGAGGPDWGNDNLEVTSSGTQFEPRDPVEGSSPFSNDEDEDDRGTHGSVSLPEGEGDDTDSKRRKIETYAADMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRFYNIFHLTLSCMRIQNYFLLPKVISFLACFPFPGVITSAQVRAALLGSTWRELHMI >itb05g27720.t1 pep chromosome:ASM357664v1:5:30952468:30956612:-1 gene:itb05g27720 transcript:itb05g27720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGDHMPIMGDWVPPSPSPRAFFSSVLGNDIESRSNASQPLVPDPKEYASSGNSDSKNGAQGSDQTPKLSSLSERNMNSHGGLLERMAARAGFSAPKLKTDSIRPPALVQNQELRSPYVTIPPGLSPTTLLDSPVFLSNSLVQSSPTTGKFAFPSIGDSRNSALFMGASDNNKETSFNNNDASSFAFKPVIETGPSLFPETISKVPPSSLSWQSVPGIEVSVHSENALVHQCAEPTLVHTQSGTLEQSVFSRSYTEGVSNIISEPRTFQAVAGSMEHSPPPDEQQDEDIDQRGGGDPNVLGAPADDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGTHNHQKPPPNRRAAFGSENAEQDGTIVIGDPIWENVQNGSGAGGPDWGNDNLEVTSSGTQFEPRDPVEGSSPFSNDEDEDDRGTHGSVSLPEGEGDDTDSKRRKIETYAADMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHPNSGASNGLSSQTTATQGHVHRPEPSQLQNTMSQFARPPSLASFGFPGGPQLGHTLGFGFGMNQQGLANLAMAGLGPNQGKFPVPPVHSYLGQQHPMNDMRPKAEPKMEPSSDPGLNLSNDSSVYQQFTSRLPLGPQM >itb09g07010.t1 pep chromosome:ASM357664v1:9:4067922:4069413:-1 gene:itb09g07010 transcript:itb09g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPVINMEKLNGVERGDAMELIKDACENWGFFELVNHGIPHEVMDNIEKLTKEHYKKVMEQRFKDLVASKGLEGVQAEVTDMDWESTFFLRHLPVSTISQVPDLDDNYREAMRDFAKRLEKLAEELLDLLCENLGLEKGYLKKAFYGSTAPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWVDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTNGARMSLASFYNPASDAVIHPAPALVEKVVDEEKVYPKFVFDDYMKLYAGLKFQPKEPRFQAMKAAQKA >itb02g16980.t1 pep chromosome:ASM357664v1:2:12987313:12988021:-1 gene:itb02g16980 transcript:itb02g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLLGYFSLFHPENQAVLRWGKSPTILHKVCDLPFVFFTDPELMPVLAGTLVAACFGSEQNKGIIQQELSTDMILSLLKACRSSLPTAHASSAVCNPSGDDSGVSNQLIPESKNPSTDLPQRFARNGPRNAQILSQKSGTLTNTRTAKMRNYQKDNKVGKLCDVKGLKSSSSSSSCALMLHSRFASSVVDKAEQFFAAELPVLIEEV >itb04g20930.t1 pep chromosome:ASM357664v1:4:25959992:25968168:1 gene:itb04g20930 transcript:itb04g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPTPPRKPPNFSSALPAAADSQKSALRTAATPASRRSPQSAAHRSLEHRRYPPPAGRRLTPTACRSPESPPVATFQSPDHLKPLLYQNSKRLRFPLFQAPEGSRAVSSGNRQLPLGLPYSITSPAHSGFAQSNPSLLFLSLVGDRQRDKRLQRRPPALSFLALDFHYFEKKIKGKTLPASCRSPPDAHSLPLTGVTARRYIPESRPFETIAVPKLQKAAISTLPGSRRQQGSLQWDSPNPILHCSSYHSSVTGREIRGFRDDRLPCPSSPSTFMSMVYSLSPAKSCTDWGNPGNKYHGTRHNVGFEMIDQISQEEGILLNTIQSKALIGIGSIGEVPVVLAKPQTYMNFSGESVGQLAAYYQVPLRHILLVHDEMSLPNGVLRLKPKRGHGHHNGVKSVMEHLDGCHQFPRLCIGIGNPPGAMDMKAFLLQKFSETNINYLYVGLSLGVKHKPVLVEI >itb11g20710.t1 pep chromosome:ASM357664v1:11:21968382:21970798:1 gene:itb11g20710 transcript:itb11g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTRNVEVPTQVVSFPTMHTRAMGKVLYVDHVWAGGCIVPRTMPSFVGWDSNMLKEREEWEITTGGFGWGEIFELIRPKKKDLRIRVIKKTRICGRSTQFDDDLYSNPDFLKVVEEIECAVHERNVFSNVPSFSLELSQGASTSHMEHTTTIGTVAPRGGAQAGGIEQARVERALLLTTLCCRDEEIFRYKEHCVSHGDIATLKDGSKIDFVIVDAWTCILNYRELTKANGAPNRLFASTMMMVYAFYLNCLTS >itb14g12010.t1 pep chromosome:ASM357664v1:14:13611500:13611938:-1 gene:itb14g12010 transcript:itb14g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRGGSLRKQKEGMKKKAELPKERAQQKRRRMKQQLEGVDHRFRRRRHSEANGCFRYSVWLNKIGVLGNQLDCSLSQFFPKRGKVFHHNIIKSTYL >itb10g15900.t1 pep chromosome:ASM357664v1:10:22154351:22156278:-1 gene:itb10g15900 transcript:itb10g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNMEGKNLEIFEVGPCENYQLGFLIGQRFSKLIRSRLSTDLILQNQLLPFAQSPISTPLIHSLSETNRKAFPGYWDELRGTSDGSGVPFLQILLLNFRKEILPFIRNEETQPEDNNNNDDCSDILVVNDSMAIAAHNEDANVALVGHTYLIKATLFDGTTFTAYTYAGELPSCAFGFNSHGVAFTLNSVPPTEEEIVAGSIGRNFISRDLLESKSIDDALTRISSSEASVGHSYNLVDTRTRRILNVETASRYRVSVLEVGETPFFHANMYLHLHVNQAHDENSLSRQKRAASLPKGSKSDYLELLGDMHDNKYPIYMTGPLLHTLCTAVIDMDERTLSIIQGNPKKNGASYVFSMS >itb10g15900.t2 pep chromosome:ASM357664v1:10:22154351:22156216:-1 gene:itb10g15900 transcript:itb10g15900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEGKNLEIFEVGPCENYQLGFLIGQRFSKLIRSRLSTDLILQNQLLPFAQSPISTPLIHSLSETNRKAFPGYWDELRGTSDGSGVPFLQILLLNFRKEILPFIRNEETQPEDNNNNDDCSDILVVNDSMAIAAHNEDANVALVGHTYLIKATLFDGTTFTAYTYAGELPSCAFGFNSHGVAFTLNSVPPTEEEIVAGSIGRNFISRDLLESKSIDDALTRISSSEASVGHSYNLVDTRTRRILNVETASRYRVSVLEVGETPFFHANMYLHLHVNQASNLFDLDHKKKNTE >itb11g09720.t2 pep chromosome:ASM357664v1:11:6609124:6614749:-1 gene:itb11g09720 transcript:itb11g09720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAECCPKSIDASAGGLVWVRRRNGSWWPGRIIGQEELLENSTASPRAGTPVKLLGRDDASVDWYNLEKSKRVKAFRCGEYDECIEKAKAAAANNSKKVARYARREDAILHALEIESASLGNSRIDKEDGIQHLIEEPPISPHLPEEIENVDKDGNNSEEYSSSDPELSESDASLEEANTISAFKEQSVLDYQNQSPNDSEDDGTQGAKRMRGLDDLGTGAVQSLKRKRSQMAHVREFLKKKSRRRRLMKVLESTAMVSVPVVCEDLPSPNGSDIVGNSGSKAMPINSKSDDAEVLCENGGASINASKDTCGSSFANCNLKENAIPSISGSPENGVLESLFDVPFVTEEKNSAGLSPIVSLASQIGAGEKSSQNGQVETMSLGNDEFNESGSRSSGNTEIHDVSQRLEKGTSKWQSKGKRKTRHSRQSKKCDSGKPVGTNDKSDSCLAAEFQLDEFRGWSRNISHRETHTKGRTTEALNPQRLLPYRQSRFTVNPKYESSDFSLRHHKPEPVLFDVNLEVKSSYRPQHVPYISLVSKLNGLPITGHPVTVEVLDDGFCSQLLLSTSECYSSSYDNTTAEDTSALQAVDMVNGTKPSSCGKHLRLHPPPRTSPSKSSKSKKSTALSKKMRKLSSLTGSHKQSQEKKRSVQKLIGPVVACVPLKVVFSRINEALNSSVRAGHRITGTSNG >itb11g09720.t1 pep chromosome:ASM357664v1:11:6609124:6614749:-1 gene:itb11g09720 transcript:itb11g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAECCPKSIDASAGGLVWVRRRNGSWWPGRIIGQEELLENSTASPRAGTPVKLLGRDDASVDWYNLEKSKRVKAFRCGEYDECIEKAKAAAANNSKKVARYARREDAILHALEIESASLGNSRIDKEDGIQHLIEEPPISPHLPEEIENVDKDGNNSEEYSSSDPELSESDASLEEANTISAFKEQSVLDYQNQSPNDSEDDGTQGAKRMRGLDDLGTGAVQSLKRKRSQMAHVREFLKKKSRRRRLMKVLESTAMVSVPVVCEDLPSPNGSDIVGNSGSKAMPINSKSDDAEVLCENGGASINASKDTCGSSFANCNLKENAIPSISGSPENGVLESLFDVPFVTEEKNSAGLSPIVSLASQIGAGEKSSQNGQVETMSLGNDEFNESGSRSSGNTEIHDVSQRLEKGTSKWQSKGKRKTRHSRQSKKCDSGKPVGTNDKSDSCLAAVQTGFRISVG >itb11g09720.t3 pep chromosome:ASM357664v1:11:6609124:6614749:-1 gene:itb11g09720 transcript:itb11g09720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPYSLDWYNLEKSKRVKAFRCGEYDECIEKAKAAAANNSKKVARYARREDAILHALEIESASLGNSRIDKEDGIQHLIEEPPISPHLPEEIENVDKDGNNSEEYSSSDPELSESDASLEEANTISAFKEQSVLDYQNQSPNDSEDDGTQGAKRMRGLDDLGTGAVQSLKRKRSQMAHVREFLKKKSRRRRLMKVLESTAMVSVPVVCEDLPSPNGSDIVGNSGSKAMPINSKSDDAEVLCENGGASINASKDTCGSSFANCNLKENAIPSISGSPENGVLESLFDVPFVTEEKNSAGLSPIVSLASQIGAGEKSSQNGQVETMSLGNDEFNESGSRSSGNTEIHDVSQRLEKGTSKWQSKGKRKTRHSRQSKKCDSGKPVGTNDKSDSCLAAEFQLDEFRGWSRNISHRETHTKGRTTEALNPQRLLPYRQSRFTVNPKYESSDFSLRHHKPEPVLFDVNLEVKSSYRPQHVPYISLVSKLNGLPITGHPVTVEVLDDGFCSQLLLSTSECYSSSYDNTTAEDTSALQAVDMVNGTKPSSCGKHLRLHPPPRTSPSKSSKSKKSTALSKKMRKLSSLTGSHKQSQEKKRSVQKLIGPVVACVPLKVVFSRINEALNSSVRAGHRITGTSNG >itb12g04490.t1 pep chromosome:ASM357664v1:12:2930169:2933749:-1 gene:itb12g04490 transcript:itb12g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRADINQRIARISAHLCPSSNSQMEGSSGLGMANCRAKGGSPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGPQQLENALTGMDLVVIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKRAGTYDPKRLLGVTKLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSLSPEETEYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAYVSSQVTELPFFATKVLLGRNGVEEIYSLGPLNEYERAGLNKAKEELAASIQKGVTFIRK >itb12g04490.t2 pep chromosome:ASM357664v1:12:2930169:2933721:-1 gene:itb12g04490 transcript:itb12g04490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRADINQRIARISAHLCPSSNSQMEGSSGLGMANCRAKGGSPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGPQQLENALTGMDLVVIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKRAGTYDPKRLLGVTKLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSLSPEETEYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAYVSSQVTELPFFATKVLLGRNGVEEIYSLGPLNEYERAGLNKAKEELAASIQKGVTFIRK >itb03g13730.t1 pep chromosome:ASM357664v1:3:13750845:13754135:1 gene:itb03g13730 transcript:itb03g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWTKSRIQSFSSTKQTLPLPPPTKSKAFPFSFSIIEAAVPGEESPATHMTRSTYANPDPNNEDQTRKASSSTGMKLIVPLQGVVQGRGGLILGSLIPCALFYFLQFYNKRHRSPRPSCGSNPLTPSTSSPNLAELPRTSSRLNLSSRGSIGRAHLSSRASSIAKPNDSPYYIGMDRFRDDPYDELNNPEGIMHLGLAENRLSLDLIEKWLSNNLNGSALESLGGGLNISGIAAYQPFDGLMELKVAMAGFMSEVMGRAVSYNPSQMVLTSGATPAVEILSFCLADQGSAFLIPTPYYPGFDRDIRWRTGIELIPVHCRSSDDFMLSMTAMDQAFNQARKRGQKVRGILISNPSNPVGNLLTREVLYDLLDFAREKNIHIISDEIFAGSNYGDEEFVSMAEILDLEDSDRERVHIIYGLSKDLSLPGFRVGVIYSFNENVLAASRKLTRFSSVSAPTQRLLVSMLSDTRFIRDFLEENRERLRRMHALFVTGLNQLGIECTKSNAGLYCWVNMSRLINPYNEKGELELWDKLLTVAKINVTPGSACHCIEPGWFRCCFATLQEKDVPLVVERIKRVVESCKPPA >itb07g15510.t1 pep chromosome:ASM357664v1:7:18595329:18596170:-1 gene:itb07g15510 transcript:itb07g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTYSEDVIFRVAFAAAFLLLPLPYEKIGGNPVPTVIFKRRPALFHVFLMSLTVAFSGAFVTMSFRERNPKFAGRCRWLALCSTATAAGVLAMSLLLPSSPMVIAQS >itb01g33670.t1 pep chromosome:ASM357664v1:1:36687850:36690858:-1 gene:itb01g33670 transcript:itb01g33670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVSLPLCFICFLFCLVVSVQCRRVKGLDSPADHQRQLSYSPSEPAYFPVYTGRQDGLKESNRIQRLPGQPTGADFGQYAGHVTVDEAAGRALFYYFVESPQQPSSKPLVLWLNGGPAYSSVGGGAFMELGPFRPARDGVTLNRNPFAWNAEANIIFLESPAGVGFSYSNTTADYDRTGDNSTALDNYAFLVNWLERFPEYKTRDFFLTGESYAGHYIPELARLILHNNQFPNLPKINLKGILVGNPYLDYETRMKGMMEYYWSHGLISDDLYNDILSTCDFSSPDSASDKCQDLVDNQTAREMGNVYLYDIYAPLCHSDSPSFHISGFDPCTEEYIHAYLNNFQVQTALHANITATPHPWNKRNDKLNGDWKMPATVLHIVKELMQSGIRFWIYSGDTDSVMPLTDTRRSIKKLGASVKTSWYPWYLRGEVGGYAVEYENLTLVTVRGSGHFVPSYQPARALVVFTSFINGQLPPRELNTVNLLLPGQEQKKKTSE >itb15g13930.t2 pep chromosome:ASM357664v1:15:12006434:12007440:1 gene:itb15g13930 transcript:itb15g13930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREAASKSGQFSIMNCLDGGTGTVACIVKEGVKTYVYSIKSTHVEIASHLAKEGALAEAISQGKTAKDATKEAQKAAAKAAKVATRQAEHILGPIVSSGWDLFEAFYFGGTIAEGTLRCSGTLVGTYLVGFLGEKSYGRVGYFVGSMLGSWGGGKVGLMIYDLVNGVEYILQSLQLKKPQQQHYRRPAGHEEL >itb15g13930.t1 pep chromosome:ASM357664v1:15:12004164:12007500:1 gene:itb15g13930 transcript:itb15g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKRVHFLLAIVAIYGLSTAAEKCREMVGREAASKSGQFSIMNCLDGGTGTVACIVKEGVKTYVYSIKSTHVEIASHLAKEGALAEAISQGKTAKDATKEAQKAAAKAAKVATRQAEHILGPIVSSGWDLFEAFYFGGTIAEGTLRCSGTLVGTYLVGFLGEKSYGRVGYFVGSMLGSWGGGKVGLMIYDLVNGVEYILQSLQLKKPQQQHYRRPAGHEEL >itb11g20610.t2 pep chromosome:ASM357664v1:11:21783438:21792154:1 gene:itb11g20610 transcript:itb11g20610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKGTVEENKPEEVKEEAKEEQEKKEEAKVEDANEEAKQDKEKLGEEEQDEEVKGVNGSEEMETDKKEEAEAEEEEGDADAEEEEGGGGSKEAKKKGSRKKAKLSPVTPNQSARPTRERKTVERFSVTATARTSSASKLSIKKGQGTQLKDIPNVAYKLSKRKPDDNLQILHSILYGKKTKVHNLKRNIGQFSGYVWVENEHEKQRVKVREKLEKCVKEKLLDFCDVLNVPVNRASVKKEELTVKLLEFLESPHATTDLLLANKEKNSKKQKGKATETKSSMDKAVSKQAKKQKTQVGEKRKRSSKLHDEEADSELSGSSDESQDEDNDDEAAPGRSDQEGSGSEDREGEKEEEEDEEEEGDKEHEDEEEEEEEGVKGKKRRREKDSGIKIGGKPMAAKKGTSARSPKSSEKSTKKSYSADSKKRSADSTTKPEKESSKKKKVEGDSGKEKNTSSKEKATGKKQSEKSSTKASEKEAVKPKSRQKSKPEPSKEEMHAVVVNILKGVDFNTATLSDIIRLLGSHFGVDLMHRKSEVKDIIAEVINNMSDDEEDENEDGDDENDA >itb11g20610.t3 pep chromosome:ASM357664v1:11:21783438:21792244:1 gene:itb11g20610 transcript:itb11g20610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKGTVEENKPEEVKEEAKEEQEKKEEAKVEDANEEAKQDKEKLGEEEQDEEVKGVNGSEEMETDKKEEAEAEEEEGDADAEEEEGGGGSKEAKKKGSRKKAKLSPVTPNQSARPTRERKTVERFSVTATARTSSASKLSIKKGQGTQLKDIPNVAYKLSKRKPDDNLQILHSILYGKKTKVHNLKRNIGQFSGYVWVENEHEKQRVKVREKLEKCVKEKLLDFCDVLNVPVNRASVKKEELTVKLLEFLESPHATTDLLLANKEKNSKKQKGKATETKSSMDKAVSKQAKKQKTQVGEKRKRSSKLHDEEADSELSGSSDESQDEDNDDEAAPGRSDQEGSGSEDREGEKEEEEDEEEEGDKEHEDEEEEEEEGVKGKKRRREKDSGIKIGGKPMAAKKGTSARSPKSSEKSTKKSYSADSKKRSADSTTKPEKESSKKKKVEGDSGKEKNTSSKEKATGKKQSEKSSTKASEKEVKPKSRQKSKPEPSKEEMHAVVVNILKGVDFNTATLSDIIRLLGSHFGVDLMHRKSEVKDIIAEVINNMSDDEEDENEDGDDENDA >itb11g20610.t1 pep chromosome:ASM357664v1:11:21783453:21792259:1 gene:itb11g20610 transcript:itb11g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKGTVEENKPEEVKEEAKEEQEKKEEAKVEDANEEAKQDKEKLGEEEQDEEVKGVNGSEEMETDKKEEAEAEEEEGDADAEEEEGGGGSKEAKKKGSRKKAKLSPVTPNQSARPTRERKTVERFSVTATARTSSASKLSIKKGQGTQLKDIPNVAYKLSKRKPDDNLQILHSILYGKKTKVHNLKRNIGQFSGYVWVENEHEKQRVKVREKLEKCVKEKLLDFCDVLNVPVNRASVKKEELTVKLLEFLESPHATTDLLLANKEKNSKKQKGKATETKSSMDKAKQKTQVGEKRKRSSKLHDEEADSELSGSSDESQDEDNDDEAAPGRSDQEGSGSEDREGEKEEEEDEEEEGDKEHEDEEEEEEEGVKGKKRRREKDSGIKIGGKPMAAKKGTSARSPKSSEKSTKKSYSADSKKRSADSTTKPEKESSKKKKVEGDSGKEKNTSSKEKATGKKQSEKSSTKASEKEAVKPKSRQKSKPEPSKEEMHAVVVNILKGVDFNTATLSDIIRLLGSHFGVDLMHRKSEVKDIIAEVINNMSDDEEDENEDGDDENDA >itb10g01160.t1 pep chromosome:ASM357664v1:10:867633:870342:-1 gene:itb10g01160 transcript:itb10g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGNPISQTEKIPGPSLPNIPTSLEMETYTQPIQNPEINTQPQQSPINNGHSQQSNTSSSDFNDEQRTTVDVPNSASASNENAPTDQPCVIPPCQPRRSDRVRHAPSKLQDYFCDTAIREVPSHKPDSKSERHRIEKLGDVIYNGYLNGQLSVARALGDWHMKAPKGSACPLSAEPELQEIILTDDDEFLIMGCDGLWDVMSSQCAVTMARKELMMHNDPERCSRELVREALKRNTCDNLTVIVVCFSLDPPSQIETPQTRVRQSASTERSNLLKGVLESNS >itb14g21600.t3 pep chromosome:ASM357664v1:14:23530288:23532298:-1 gene:itb14g21600 transcript:itb14g21600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALALALVRPPHLAWPPHPPPRRPNTNTNTSLRHPTVGVFCSSKPLPTDAGARSEYKPGPLDNLFLHLFRSKMVQVSDRDRDRVPNISFQTYLLHLKQRIAISSCTCVSQESGWDSDKPGYDGLIEVANRLVIGRSNSETREAAVRILQSLFPPWLLDLYKMLIAPLAGGRVAAVMVARVTALSCKWLMGPCTVNSVDLPNGSSWMSGVFVERCKYLEESKCVGICINTCKLPTQAFFKDCMGVPLLMEPKFSDYSCQFKFGILPPHDDDTVKEPCLEICPNAIKRKEDALRCPKA >itb14g21600.t2 pep chromosome:ASM357664v1:14:23530297:23532215:-1 gene:itb14g21600 transcript:itb14g21600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALALVRPPHLAWPPHPPPRRPNTNTNTSLRHPTVGVFCSSKPLPTDAGARSEYKPGPLDNLFLHLFRSKMVQESGWDSDKPGYDGLIEVANRLVIGRSNSETREAAVRILQSLFPPWLLDLYKMLIAPLAGGRVAAVMVARVTALSCKWLMGPCTVNSVDLPNGSSWMSGVFVERCKYLEESKCVGICINTCFL >itb14g21600.t1 pep chromosome:ASM357664v1:14:23530288:23532298:-1 gene:itb14g21600 transcript:itb14g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALALVRPPHLAWPPHPPPRRPNTNTNTSLRHPTVGVFCSSKPLPTDAGARSEYKPGPLDNLFLHLFRSKMVQESGWDSDKPGYDGLIEVANRLVIGRSNSETREAAVRILQSLFPPWLLDLYKMLIAPLAGGRVAAVMVARVTALSCKWLMGPCTVNSVDLPNGSSWMSGVFVERCKYLEESKCVGICINTCKLPTQAFFKDCMGVPLLMEPKFSDYSCQFKFGILPPHDDDTVKEPCLEICPNAIKRKEDALRCPKA >itb03g28160.t1 pep chromosome:ASM357664v1:3:28830741:28832873:1 gene:itb03g28160 transcript:itb03g28160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSNGASHETLHQILQRLVEDTERHIQCIEQRNITQISLLSYNPQSNQEHIIPGGGSKLDNDAMVGHAEELERTKEMLLQRFTQRRQVISIVGMGGIGKTTMAKNIYDDPSIRSHFDVLGWATVSQHYDLRRILHDLCICVVQMTDEQISEVKSSVDLADKVRRYLMGQRYLLVVDDIWGRRGWDDLQRCFPDDSNGSRILLTTRLKEVADYSGCSGKYVCNLPFLNSNESWKLFSQKLGSIILPLEVKEIGRQIVNKCQGLPLAITVAAGLLSKTKKSVENWQSIASAMDFPMTSDLHDQCSTILTLSYNNLPYHLKACFLYFGVFPKVRREIPTKDLLNLWIAEGFVREDSGTSLEEGAMDYLQDLIDRNLVLISKLSFIGNIKTCRMHDLLFDLCLREVEKEKLVSAFRLKSENVVELGDTEPLFEYANRWLTFQSVNFRPKFSDNYNFYKSRTLLFFYGASGYPGIPVSWRQNVSFTMIRVLDLRTISFNEASNIDFSDLILLRYLALNKIKYVRMLKNHHSLQTLIVKFKNSCRIEEIQSDEKVGSEWLQGLWESKNLRFIKYPYLFPISIDDVPVQHNLHTLYWVPYLRCIKEFVLKIPNVKVLGIRCCETFEYQTKTWWDTLHYLTKLEKLIVEDFDSAPLELPSINSFPQSLKELKIVETILGWEAITIISMLPNLESLKLLKAYYMEMSGKLETVGSPN >itb03g22090.t1 pep chromosome:ASM357664v1:3:20057873:20062902:-1 gene:itb03g22090 transcript:itb03g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAKLKIAGAWSGVLEVELEEWTVSMLREEVIRRSGCVAPPESINLICAGKLLKDGDGTEKLSQLGIKNNAKVLASKVSPDRGKSFKEESLAEEERSSRLSRLKAAATSLAQRHAEGSLPVEDFNLELENQSGEKVQLGSDTDQRAIMMGLMLHANGKALIRREQYREALEVLTMGEEAFSLCNPKVIEMVDNVAILQIDLVWCYFMLQDISWLSVAGIRLAKAREGLERAHGKEASRVRLLQGGRYPEIALHVRLELLEGVVGYHSGQFEKARQSLSSAQAKYFQLQIPDEALSVLLSMGYKEGDAKKALRMNNQDVQSAVNFLVEEKEKAAIKREEDHRRQREILEQKCYGMTPLRKAVNLQYLYELVSIGFEKELAAEALRRNENDTQKALDDLTNPETNSAIQLHIESKKKKRLRQEENATIEQLVAMGFPRERVVTAVRTFGTRQAALNHLLGQSNSSSAMAADESASSLSNNGGEDGNPPIPNNDGGDSVSADADASGSNADSTGPSHSEVEENRDVEMEDELTGELLRGDAYSDYDLDITQEGEAITQYLTLLTSAK >itb11g21060.t1 pep chromosome:ASM357664v1:11:22425858:22426616:-1 gene:itb11g21060 transcript:itb11g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNETTPLTPDWPPFYQQNAQPQHPIFSAATDRLSFSSAVAAAEPSSSHGLTPEGRVAKPVRRRSRASRRTPTTVFNTDASNFRAMVQQFTGGGLTMPPPPPPPFGMAFGPQHLESLNVPPRPPSYNNNNNNLHQYSLGSSSHGDHHLQAFMGRVSLNPSRGGEGSSSDQNRSQTNYML >itb13g21640.t1 pep chromosome:ASM357664v1:13:28202866:28205203:1 gene:itb13g21640 transcript:itb13g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLHTFPSFSANPLPSLLHILLSLLSQKKFSDAKSLLVNFIPSDQPNNDLHRHLLLQSPPLPKPSKALLDTAIGAYCHCRRPHLALQLFKKLRRHSLRPNLLTLNTLLIALVNYPSSHSVHFCNELLDDAIKLGLAPNTMSFNILINGHCLKNKFRDAIRLLYKMEEFGCRPDNVSYNTVLDGLSKKGRLKEIRELLVNMKNKGLVPNKNTYNILVSGYCRIGLLKDAAQVIELMTLSNTLPDVWTYNMLINGLCNAGKIDEAFRLRDEMKGLKLLPDVVTYNTLINGCFDSGMSSEAFNLLDEMSENGLGRNEVTYNILIKWYCKEGRMNDATDTVGKMEENGFCPDCVSYNTLISGFSKRGNLAEAFKIINTIGEKGLKMETVTLNTVLNTLCQEKNLSKAYELLTSATKRGYIVDEVSYGSLIVGYFKDENVDGALKIWGEMKEKEKEIIPSIVTYNSLIGGLCKSGKTEEAITKLNELLENGLIPDEITYNTIIHGYCWEGNVRKAFQFHNKMVENSVKPDMYTCNILLRGLCREGMIEKAINLFNTWIDKGKQLDAVTYNTLITALCKNGRLEDALALAAEMELKKLGPDSYTYNAIVEALTNVGRIREAEEFTSKMIEMRISSIGMNKGEEDIRGESSSVEQEDMSSIAQSKEINELCAQGRYKDAMHIFGELTQKGVFIPKSTYITLMYGLIKRRKSISKARRT >itb04g06320.t2 pep chromosome:ASM357664v1:4:4093564:4096635:1 gene:itb04g06320 transcript:itb04g06320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSATTTRSYGGTMLLSGRNVYQNPIHQNLSFPLNLSPKPITTKPSAPTKPNRICAISSSAGTESNVVNEETVNVLDNVTVFDLNGQGIPISDLWKDRRAVVAFARHFGCVLCRKRADYLAAVKDKMDAAGVALVLIGPGSVDQAKTFYAQTKFKGEVYADPSYASYKALRFVSGVLTTFTPGAGLKIIQLYMEGYRQDWELSFEKDTRERGGWQQGGIVIAGPGKTNFLYIHKDKEAGDDPPIEDILRACCLQSTP >itb04g06320.t1 pep chromosome:ASM357664v1:4:4093564:4096806:1 gene:itb04g06320 transcript:itb04g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSATTTRSYGGTMLLSGRNVYQNPIHQNLSFPLNLSPKPITTKPSAPTKPNRICAISSSAGTESNVVNEETVNVLDNVTVFDLNGQGIPISDLWKDRRAVVAFARHFGCVLCRKRADYLAAVKDKMDAAGVALVLIGPGSVDQAKTFYAQTKFKGEVYADPSYASYKALRFVSGVLTTFTPGAGLKIIQLYMEGYRQDWELSFEKDTRERGGWQQGGIVIAGPGKTNFLYIHKDKEAGDDPPIEDILRACCLQSTP >itb09g05640.t1 pep chromosome:ASM357664v1:9:3205734:3207669:-1 gene:itb09g05640 transcript:itb09g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MELMDAAILLLATVFLCLWWRYWSVTGGGPKNLPPGPPGWPLVGNLFQVVLQRRPFIYVVRDLRKKYGPIFTMQMGQRTLVIVTSSELIHEALVRQGPIFANRPADSPIRLIFSVGKCAINSAEYGPLWRALRRNFVTELINPTRIKQCSWIRKWAMEHHMKRIRDEASRNGYVEVMANCRLTICSILICLCFGAKISEERIRKIESILKDVMLITAPQLPDFLPVLTPLFRRQVKRAKELRKTQLDCLIPLVRDRREFVEGEKSNSEMVSPNGAAYIDSLFGLEPAGRKLGEEEIVTLVSETISAGTDTSATALEWALLHLVIDQNIQEKLYREIVDCVGKDGAITEEDVEKMPYLGAIVKETFRRHPPSHFVLSHSATKDTQLGGYTIPSDAYVEFYTAWVTEDPTLWKDPGGFRPERFLTGDGVDVDITGMRGVKMLPFGAGRRICPAWTLGTLHVNMMLAKMVQAFKWIPVPGSPPDPTETFAFTVVMKNPLKALILPRAKMII >itb02g12700.t1 pep chromosome:ASM357664v1:2:8745046:8751002:-1 gene:itb02g12700 transcript:itb02g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNICIPIRQLFIDGEWREPAKKNRLPIINPATEETIGDIPAATAEDVDIAVEAARRALAGEWGSKTGAQRAKYLRAIASKVKERKPELGTLETFDSGKPWAEADADMDDVAACFEYYADLAEALDSKQKTPIPLHLDQFKSYVHKEPLGVVGLITPWNYPLLMATWKVAPALAAGCAAILKPSELASITCLELGEICRDVGLPPGALNILTGLGPEAGAPLASHPHVDKIAFTGSAATGVKVMTAAAQLVKPVSLELGGKSPIVIFEDVGDLDIAAEWTIFGCFFNGGQVCSATSRLILQESIAAEFLDTLLKWTKNIKISDPLEEDCRLGSLVSRGQYEKVLKFISTAKSEGATILYGGQRPEHLEKGYFIEPTIITDVNTSMQVWKEEIFGPVICVKTFKTEEEAIELANDTHYGLGAAVLSKDPKRCERLTKAFQSGIVWINCSQPTFCQPPWGGKKRSGFGRELGEWGLENYLNIKQVTQYDSEDPWGWYKSPAPSKM >itb15g20420.t1 pep chromosome:ASM357664v1:15:23055478:23056202:1 gene:itb15g20420 transcript:itb15g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFASTAVGSQNHSHQKKSGSTAMVTSMQGTEKSVIFQSLNSGDRKRCSLMCCRWLQIEGQSWHRLSLRAQTELATAIPSIFSRFDSITKLALKCDRRSVIGREARAVYNGGLYSSDQSDLTPENSR >itb03g25280.t1 pep chromosome:ASM357664v1:3:24256773:24257292:1 gene:itb03g25280 transcript:itb03g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARNPELIRGVSKYSRSKMYRKRGLWAIKAKNGGAFPCHDKGPVESPAAEKPPKFYPAAPTAEKPPKFYPEDDVKKPLVNTHKPIRRRRTHSPADQWSSSGVNVEKIDDKYFGKQAEKKKKKMEGEFFQSDKEEKNVISQEKKDD >itb03g13820.t1 pep chromosome:ASM357664v1:3:13797754:13799250:1 gene:itb03g13820 transcript:itb03g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCIFRCVVLVGVLFLCAPPVNGWRPWQNKNYSAADFMFGGSKKYEGSSDLIHLKYHMGPVLTANITVYPIWYGRWTNAQKRIIREFVTAISAADSKPPSVAGWWKTVQLYTDQTGANISRNVHLGDEKNDRFYSHGKSLTRLTVQTVIKAAVTAKTRPLPVNPKSGVYLLLTSDDVYVQDFCNNVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGTCAYPFAVPGYIPGLKAVKSPNGDVGVDGMISVIGHEIAELSTNPLVNAWYAGGDPVFPVEIADLCEGIYGTGGGGSYTGQMLNGGDGATYNMNGIRRRYLVQWLWNHYKNYCSGPNALDQ >itb07g03070.t2 pep chromosome:ASM357664v1:7:2087469:2089881:-1 gene:itb07g03070 transcript:itb07g03070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MET1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55480) UniProtKB/Swiss-Prot;Acc:Q94BS2] MASTSCTSLCSSSPVRRISSRKQTSSLCSLLKLNQSSVNLPFLSSSFCNSRPASALKASKTEAAKAESEGNEEEEKYEEYEVEIVKPYGIKFAKGRDGGTYIDAIAPGGSADKTGAFTVGDRVISTSAVFGDEIWPAAEYGRTMYTIRQRIGPLLMRMEKRYGKMESMTGELSEKEIIRQERNAGFISDRVREIQLANAMKKREQKEQREKDLREGLQLYKNADTSNDAL >itb07g03070.t1 pep chromosome:ASM357664v1:7:2087469:2089881:-1 gene:itb07g03070 transcript:itb07g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MET1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55480) UniProtKB/Swiss-Prot;Acc:Q94BS2] MASTSCTSLCSSSPVRRISSRKQTSSLCSLLKLNQSSVNLPFLSSSFCNSRPASALKASKTEAAKAESEGNEEEEKYEEYEVEIVKPYGIKFAKGRDGGTYIDAIAPGGSADKTGAFTVGDRVISTSAVFGDEIWPAAEYGRTMYTIRQRIGPLLMRMEKRYGKMESMTGELSEKEIIRQERNAGFISDRVREIQLANAMKKREQKEQREKDLREGLQLYKSAKYEEALEKFESVLGSRPDPTEAAVASYNVACCYSKLNQIQAGLSALADALEAGFEDFKRVRTDPDLAGLRASEDFEPLLKKFDESFINENAINALKSLFGFNKK >itb11g15970.t1 pep chromosome:ASM357664v1:11:13890697:13895092:-1 gene:itb11g15970 transcript:itb11g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIKWLKGLFTTRQSNGGRSGSGGATAAATGLCHNPTTIPPNITPAEVAWLSSFYGGEEHSNHAIAVAAATAAAADAAVAAAQAAAAAVVRLTRQERGVPLFCRERWAAVKIQAAFRGYLARKALRCLKGLVKIQALLRGYLVRKQASATLHSMQALMRAQATVLAQKSPPSIINHPQNSNDKNKETRSGNITSVHSGRYFASLGSENDVITKVVEMDSLVTPKARKSRRSKTWVPEFQGNPFGPPHSSPLPYQISNCPDFQDLDTSLISDEYHFSTAQTTPRSKTVFVEPYFRNYDEGYPKYMASTQSFMSKLRSQSAPKQRPEPGPRRKRVSLNEMMESRASQSGVRMQKSCSHAQEVMNFKSAVIGRLGSSRTQTRSNEQIKNPSHTPATATALPTATALPTATATARHSRPPPTAIASAHSHRRSCRCSHRPPQPPTRPPLRRCSHRPPQPPTATATPLLQPPPATAGLRHRR >itb02g22180.t1 pep chromosome:ASM357664v1:2:21392041:21394287:1 gene:itb02g22180 transcript:itb02g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAANIQEKGSSIAVCEAPSIVEQVDGVEQVNSSIPLAGSSKKKGLVIGGGVSVHSRVSNVSYSFYKSLSSSIEKVKQHLSYNEGKLLVLEEARKEAVGFFQKTIEEMGWEEYEKSNAWIDELEDLTGVKWMNGVLMEIKSYTDVVYDNEQRIHVEDVDAMALKACIILIEMDKADPSGGCLGPDTKLGCQLRANQERLGGKLCRWAWRAYGPGYDE >itb05g09110.t1 pep chromosome:ASM357664v1:5:13062020:13063609:1 gene:itb05g09110 transcript:itb05g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMCFAVVAFIFSLICFPAACSSIHAHHQDFVHCLSSKLRNNATSQVLYTPSSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGVSFVSSLPFAILDLIKFRSIEVNVGEKSAWVQTGATLGELYYSIANKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGGGASFGIILAWKLELVPVPETVTVFNISKTLEQNLTNLLYKWQFFANKADRNLYLRVVLQNTNTTGERTVEGLFNAQYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQRSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLGYATGWDEVGQVAAQKHLSWIRKLYAYLTPYVSNNPRESYSNYRDFDLGQNNLVGTTSLDQASSWGYKYFMNNFYRLAKVKALVDPDNFFRNEQSIIPLSYPL >itb04g11550.t1 pep chromosome:ASM357664v1:4:11229950:11230783:-1 gene:itb04g11550 transcript:itb04g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSREGEGRTVKYLSLSEEVFIERGGGDEDDLREAGGGADRANQRNAFFYIGDSDHRLYICRNSEAGNGGDGVHKREAGYSEADGEIFSSRVRRDTRRRMERPQENGVGNKEQF >itb07g22480.t1 pep chromosome:ASM357664v1:7:26937945:26939599:1 gene:itb07g22480 transcript:itb07g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTLEEDKQLLAYIEQYGHGSWRALPAKAGLQRCGKSCRLRWSNYLRPDIKRGNFSLQEEQSIVQLHALLGNRWSAIASHLPRRTDNEIKNYWNTHLKKKLSKMGIDPMTHRPKMNSSFGSAANLSHMAQWETARLEAEARLVRHSKSIASSLISPHHKPPPPPPKVPPSLDMLKAWQETWTKPPRTRVSLSHVDHGAFLSNATPTTLNFPDQNLCYMETPYVHESSSNIVNLNTTGDDIIPHVAMDPLSDLPTFIHGFSELSPETLTGYLDDDNVVGNCGTADMEDNSRYWNSILHNLVMSPVGSPVF >itb06g21090.t1 pep chromosome:ASM357664v1:6:23816902:23821650:-1 gene:itb06g21090 transcript:itb06g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKFFKGSNHKVSQGQCDWNYEGYREENHPSTSLDSWSDVEDVGSGIALSLPEEDQRGKNVIDGESQLNEDEQLARALQESLKVESPPWQGNQNGGWQGYGYGNWNFYQPLPLPFPYATNYSLICAGCNTEIGHGRFLNCMGTFWHPECFRCHACNQPISDYEFSMSGNYPYHRTCYKVHYHPQCDVCKHFIPTNATGLIEYRAHPFWSQKYCPFHEHDGTSRCCSCERMEPRDTKFFALDDGRKLCMECLDSAIMDSNECQPLYLDIQEFYEGLNMKVEQKVPLLLVERQALNEAMDGERYGHHHMPETRGLCLSEEQTVSTIFRMPSMGTGNPVVDMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSQDVEEGICQVLAHMWLESQITSLSCSNVPSTSYSSKHGVGSPYERKLGDFFKHQIESDTSPVYGNGYRAGKMAVLKYGLPETLEHIGMTGTFPY >itb06g21090.t3 pep chromosome:ASM357664v1:6:23816902:23821245:-1 gene:itb06g21090 transcript:itb06g21090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKFFKGSNHKVSQGQCDWNYEGYREENHPSTSLDSWSDVEDVGSGIALSLPEEDQRGKNVIDGESQLNEDEQLARALQESLKVESPPWQGNQNGGWQGYGYGNWNFYQPLPLPFPYATNYSLICAGCNTEIGHGRFLNCMGTFWHPECFRCHACNQPISDYEFSMSGNYPYHRTCYKVHYHPQCDVCKHFIPTNATGLIEYRAHPFWSQKYCPFHEHDGTSRCCSCERMEPRDTKFFALDDGRKLCMECLDSAIMDSNECQPLYLDIQEFYEGLNMKVEQKVPLLLVERQALNEAMDGERYGHHHMPETRGLCLSEEQTVSTIFRMPSMGTGNPVVDMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSQDVEEGICQVLAHMWLESQITSLSCSNVPSTSYSSKHGVGSPYERKLGDFFKHQIESDTSPVYGNGYRAGKMAVLKYGLPETLEHIGMTGTFPY >itb06g21090.t4 pep chromosome:ASM357664v1:6:23816902:23819210:-1 gene:itb06g21090 transcript:itb06g21090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFWHPECFRCHACNQPISDYEFSMSGNYPYHRTCYKVHYHPQCDVCKHFIPTNATGLIEYRAHPFWSQKYCPFHEHDGTSRCCSCERMEPRDTKFFALDDGRKLCMECLDSAIMDSNECQPLYLDIQEFYEGLNMKVEQKVPLLLVERQALNEAMDGERYGHHHMPETRGLCLSEEQTVSTIFRMPSMGTGNPVVDMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSQDVEEGICQVLAHMWLESQITSLSCSNVPSTSYSSKHGVGSPYERKLGDFFKHQIESDTSPVYGNGYRAGKMAVLKYGLPETLEHIGMTGTFPY >itb06g21090.t2 pep chromosome:ASM357664v1:6:23816921:23821174:-1 gene:itb06g21090 transcript:itb06g21090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKFFKGSNHKVSQGQCDWNYEGYREENHPSTSLDSWSDVEDVGSGIALSLPEEDQRGKNVIDGESQLNEDEQLARALQESLKVESPPWQGNQNGGWQGYGYGNWNFYQPLPLPFPYATNYSLICAGCNTEIGHGRFLNCMGTFWHPECFRCHACNQPISDYEFSMSGNYPYHRTCYKVHYHPQCDVCKHFIPTNATGLIEYRAHPFWSQKYCPFHEHDGTSRCCSCERMEPRDTKFFALDDGRKLCMECLDSAIMDSNECQPLYLDIQEFYEGLNMKVEQKVPLLLVERQALNEAMDGERYGHHHMPETRGLCLSEEQTVSTIFRMPSMGTGNPVVDMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSQDVEEGICQVLAHMWLESQITSLSCSNVPSTSYSSKHGVGSPYERKLGDFFKHQIESDTSPVYGNGYRAGKMAVLKYGLPETLEHIGMTGTFPY >itb02g05650.t1 pep chromosome:ASM357664v1:2:3472970:3477192:-1 gene:itb02g05650 transcript:itb02g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQAWFTGGPSNDLEKKSPSLLADWNAYAAAKDDEASSSLGFDLEAAVRTANDKVTGTFGVVSKGVRDLPGNFQSATSTVPSGKALMYFGLFLSAGVFFIFIAFTMFLPVMVIMPQKFAICFTIGCGFIIGSFFALKGPKNQLSHMLSKERLPFTAVFIGSMVGTVYVSMVMHSYILCVLFSVVQVLALAYYAISYFPGGSTGMKFLSSTLASSILRCFGR >itb07g23590.t1 pep chromosome:ASM357664v1:7:27960566:27961304:-1 gene:itb07g23590 transcript:itb07g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPENPWATTSGGRDGMGGDGGGSQKLSKKFEKGLEKTKAAASKSYKQVKKGTSDWVNKFKILHRAQKLKPKDKEINKKKKWFFI >itb02g16690.t2 pep chromosome:ASM357664v1:2:12652236:12655293:-1 gene:itb02g16690 transcript:itb02g16690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARSLPPNLKATLLGKLIEYKSDLNNVKNEVKRITSGNGNQAARDELFESGMADAKAVSADQRQRLMMSTERLNQSSDRIREGRKVMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNISRSKKILTTMSRRMSKNKWIIGSIIAVLVIAIILILYFKLSR >itb02g16690.t1 pep chromosome:ASM357664v1:2:12652236:12656746:-1 gene:itb02g16690 transcript:itb02g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFEGYERQYCELSANLTKKCTSASLLDGEQKKQKISEVKSGLEDAEALIRKMDLEARSLPPNLKATLLGKLIEYKSDLNNVKNEVKRITSGNGNQAARDELFESGMADAKAVSADQRQRLMMSTERLNQSSDRIREGRKVMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNISRSKKILTTMSRRMSKNKWIIGSIIAVLVIAIILILYFKLSR >itb15g04930.t1 pep chromosome:ASM357664v1:15:3188915:3193928:-1 gene:itb15g04930 transcript:itb15g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGMNSRSPAVVSENDVVLKPTEKDAAMEAADVAAPAYMSMVDPFLIEALQNPRHRVTVLRMELDIQKFFLNPNMQQFEFPHFPTSYLRLAAHRVAQHYGLVTMIQDNSVDGQGARILVRKKAESKYPAICLSDVPPKQSENDKFDQKKIFIRPRPRASTGESGDSALKHSPLRTVEERKEEYDRARARIFSSPNNSVSEESLVRAASDGKDTVVENECLKSSIVDLDKKVSIRGENGSSSRVAILRDREKDLVDPDYDRSYERYANKNVPINQSFNMTPFNIQNFQPYVQYDSSFPQLCQMPRTQASLNYRNPVPSPYYATGLHPTSSDAVYMQWPTQSMMYAHSYEELRHGVFQVPFSQQPLSFDYSQNHC >itb07g01740.t1 pep chromosome:ASM357664v1:7:1049221:1050882:-1 gene:itb07g01740 transcript:itb07g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTNPNSLPVMPSPKKPLPAAKTVDTQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLAFPNEYPFKPPTVKFETACFHPNVDVFGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNSQAAALWGNQEEYRKMVEKLFKPTL >itb04g29740.t1 pep chromosome:ASM357664v1:4:33037464:33039513:1 gene:itb04g29740 transcript:itb04g29740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPTVSAEYLKTIEKCRRKLRGFIAEKGCAPLMLRIAWHSAGTYDVQTKSGGPFGTMRLKAEQDHNANNGLDIAVKLLEPFKEQFPIISHGDLYQLAGIVAVEITGGPEVPFHPGRQDKPEPPLEGRLPDANKGNDHLRDVFVKQMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTRNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPVFRPLVDKYAADEDAFFSDYAEAHMKLSELGFAEA >itb11g02850.t1 pep chromosome:ASM357664v1:11:1471523:1473376:1 gene:itb11g02850 transcript:itb11g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEESSPLLPRQQETQAKNENNSSNQAAPAALAPPPEADAVKPPPAVIPVGWTANGLPLRYSVTGEPVAARAQWDSGLCACLGRNDEFCSSDLEVCLLGSLAPCVLYGSNVERIGSSPGAFANHCLPYTGLYLIGNSFFGWNCLAPWFSYPSRTAIRRKFNLEGSCEALTRSCGCCGSFVEDDLQREQCESACDLGTHVFCHLCALCQEAREVRRRLPHPGFNAQPVLVMIPPGEQTMGR >itb11g01620.t1 pep chromosome:ASM357664v1:11:794420:797326:1 gene:itb11g01620 transcript:itb11g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWVEEVRTERGSDVIIVLVGNKTDLVEKRIVSIEEGEAKAREMNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKSTNSNSAQSQQQSGGCAC >itb12g06830.t1 pep chromosome:ASM357664v1:12:5042822:5043633:-1 gene:itb12g06830 transcript:itb12g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQNRVPMKKMPIAATPLPWLPIHGFFGLILLYIIIEWGRKIFNILTSQFSVHSNYTIDSGLNQKLPRFREDEISIGRDEVETVLSKLGISCHPESEGGEKRLSSKDFIGLFGGEEEEDGGFELDEVKAAFDVFDENKDGFIEEKELQRVMCALGLKEGLELENCRNMMRMFDQDGDGRIDFSEFCALF >itb01g19990.t1 pep chromosome:ASM357664v1:1:26286412:26293229:1 gene:itb01g19990 transcript:itb01g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQNLTVPKTLNPPHPLFTSFLPKFPNPLLRGIIPIPSSSAKTSKSLVIQSQNASKGRDIRAFAGRSKGKPSKGRIEGNAEVRREAKRNARMRSKKMAESLFYRLKNPHGNYPNNFSEEELQMIGLGYDRMVRFMEKDDPNLKHPYDWYKYGEFGPYSWRGVVLGDPIRGGMSDECVTMMGEVKDQEEWDKIEQFDMTQDFLKGLNAMDENVGRRYFWVFVRHPRWKTSDLAWEQWTLVSEVVVEAGNQRLDKWNLMGRLGNYSRRSITRCAAWMRPDIVYVKRPVYQCRFEPQDEFFKALTPLLDPQTEHDFLFELNKDDGSIELCTYFAGLCKIVKVNPKAFVDDVVKAYEKLSEEKKSKCLEFLLQNHPVPLLHPYTREWKTRSEEMELGCDAPDDDDYYRGGSKKGEVEIVDWIEDYENEDGEDDDEDGNQDDAAEEEEDDEDPEEDPKFWEDEFKKAMTSTEAMEKFAKKYMEKTDEHYEEQMKAYEESRKQRVRDGDAKGVSKDDGDELALRGVRAKVSPEEWKYIGIGPWKKKIKKSKIPPELFLRSAVRPFTYKNLVKEIVLTRHALVEGEIGREK >itb11g21020.t1 pep chromosome:ASM357664v1:11:22388103:22389454:1 gene:itb11g21020 transcript:itb11g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTDLPLMAAAAADCHSGGGAPLVMVVEYLETTMSRDLLCKFPDNSAFDFDYSQSTIWSPMVARPLIPVAGPGDLGSGLRRKLTYAETRTNFKEVTAKFKSKLRDAVSCSVRYQQLKKRKMRDFDFSPIPSSSKLTNTPRKGWAKVLKAASKNFKKSKKKPQIM >itb04g16700.t1 pep chromosome:ASM357664v1:4:18594745:18602183:1 gene:itb04g16700 transcript:itb04g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGHDWINSTLPDELIVEILCRLDSKSSRDACSLVCKRWLRLERLSRESLRIGASGSPNALVKLLARRFPNVRNVFIDERLSVPLPVQFRGRLTEYALESEFYSENGETGSEDNGTQSFCLSDAGLTAVGEGFSKIETLSLIWCSNITNVGLRSITEHCKSLKALDLQGCYIGDQGIAAVGEFCKQLEDLNLRFCEGLTDSGLVSLVVGCAKTLKSLGVAACAKITDKSLEAVGSHCTSLEMLSLDSEFIRDKGVLAVAQGCPLLQTLKLQCVNVSDEALQGVGNSCLSLEILALYSFQIFTDKSLCAIGKGCKRLKSLTLNDCNFLSDKGLEAVAVGCSGLTHLEVNGCHNIGTFGLESIGRSCTQLSELALLYCQRIGNYALCEIGKGCKFLQALHLVDCASIGDDAICSIASGCKNLKKLHIRRCYEVGNKGIVAVGENCKFLADLSLRFCDRVGDEALIAIGQGCSLRHLNVSGCHHIGDAGIIAIARGCPQLSYLDVSVLQNLGDMAMAELGEGCPLLKDVVLSHCRQITDVGLSYLVSKCTLLETCHMVYCPGITTAGVATVITSCAYIKKVLVEKWKVSPRTKRRAGSIISYLCVDL >itb09g10140.t1 pep chromosome:ASM357664v1:9:6291649:6293239:-1 gene:itb09g10140 transcript:itb09g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSEWPEPIVPVQCLYESGITTIPERYVKRVPGAEVEDEGVNIPLIDLKDLDSSDGSVRNRVLERISEACREWGFFQVVGHGVDHGLMADMQAAWREFFRLPLEEKQEYGNSPATYEGYGSRQGVEKGAKLDWNDYFFLHFLPNSIMDTCKWPHLPVSCRELIAKYCEEVANLGDKLTRILSVNLGLKEDRIHEAFGGHEERAACLRVNFYPKCSQPDLAMGLSPHSDPGGLTFLLVDADVAGLQIFHGDKWITVKPLPNAFIINIGDQIQVMTNDIYKSVEHRVMANSEKERLSMALFYNPGGDVVVKPLEEVVSKDKPAMYPAMTYYQYRTFIMTKGLKGKSQLESLKKSKS >itb02g08610.t1 pep chromosome:ASM357664v1:2:5451347:5456527:1 gene:itb02g08610 transcript:itb02g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDEASVQKIQILTPNIGVVYSGMGPDSRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKVFRVLTPAEIDDYLQEVE >itb04g06030.t1 pep chromosome:ASM357664v1:4:3926109:3931628:1 gene:itb04g06030 transcript:itb04g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGSLSKNNSLKLAASPQSLRRLGICSQISTGQHSSPVVFPEKRSKGRSSARSDDVSNSGDSKKEKGEEEHRIDMGDENSDLLGYDVFSGKLTLDKKKAAGKNGEVDASKCPNALDAKLTSKAMIWGSEMLALHDIVSVSYCPNLRHFTVHSYPRRASSGLTCFMKAQRTRKDFRFVASTPEDALQWVSAFADQQCYVNLLPHPLGSSKKQETELATNTFPPESYIRCKTPPKMLVILNPRSGRGRSSKVFYSMAEPIFKLAGFKLEVVKTTSAGHARKLASTVDFSTCPDGIICVGGDGIINEVLNGLLCRDNQKEAISIPIGIIPAGSDNSLVWTVLGVRDPISAAIAIVKGGLTATDVFAVEWVQSGQIHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYNFEVEYLPASKEVTRDGKASADREVIDMSALYTDIMRRSSKEGLPRPASLSSIDSMMSPSRMSGANLDTNCSSTEPSDYVRGIDSKSKRLSFGRINNSTAEPEVIHPQLPLASTPKPRTRSKSRSDKGWNGLTATNESTRCSWGNATTNDKEDISSTISDPGPIWDPEPRWDTEPNYYFHVENPIELPGPPPEDTIEVAQKEIPIKSEEKWVSTKGQFLGVLVCNHACKTVQSLSSQILAPKAEHDDNMLDLLLVRGSGRLKLLRFLLLLQMGRHITLPYVEYVKVKSVKVKPGKRSHNGCGIDGELFPINGTVISSILPEQCRLIGRPQINSDD >itb15g01590.t1 pep chromosome:ASM357664v1:15:951541:954980:-1 gene:itb15g01590 transcript:itb15g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MAMRLPPPYSSYPHSFRNHIATTLRPIIKPSFTPPFTIHCSAAAAGRLLSPISSLSHLKPYLQSEWQPILSGWLCSSVSVYCLSKLVPAIGEFSTLMSPADAAKLKERSFILGALLSVRLISNYLQQAFLWTAALSSACKIRVFVFRKVLQRELGFFEGEGAVASGDVAYRITAEASDVADTVYSLLNTIVPCTLQLIAMATQMLVISPGLSLISALVIPLMALVIGYLGEKLRNVSNQAHLTIAQLSAYLNEVLPSILFVKANNAESYEISRFQSLALDDLSASLEKKRLKAFIPQLVQAVYFGILFTFCASSLMISRGSFDCSAMVSFVTSLVLLIQPIQDVGKAYNELKQGEPAIQRLFSLTRFESKANDKQNAVNLGSVAGEVSFSDVSFRYGDNRPLILEGVNFRIKAGQTVALVGPSGGGKTTIVKLLLRLYDPLRGTIQIDGYDIRSIQLDSLRRHVGLVSQDITLFSGTIAENIGYRDRMAGIDMDRVELAARTANADEFIGSLPDGYETNVGPRGSVFSGGQKQRIAIARALYQDPSILVLDEATSALDSRSELLVRQALQRLMHNRTVLIIAHRLETVLMAQRVFLLDNRRLQEIPRSSLLDSRQHGLIC >itb11g07060.t1 pep chromosome:ASM357664v1:11:4276793:4277674:-1 gene:itb11g07060 transcript:itb11g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYCNTDNNSNSGTTTPSSTAAVGGGATSPTSSAPPAAGVILSPCAACKFLRRRCVDRCVLAPYFPPTEPLKFTIAHRVFGASNIIKTLQELPEDRRADTVSSMVYEANARVRDPVYGCAGAVCRLEKQINALRAELAKAEAEMLNLQCQNANLNAHVDWRLPPPPQQHDDMSSHRNAAAFFLPEDANVCAAPAGTPQL >itb01g33950.t1 pep chromosome:ASM357664v1:1:36848654:36851117:-1 gene:itb01g33950 transcript:itb01g33950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSFNLFLFLVLHYQTLTLTSSTDASTRFFNISHYLYPKATSFLFSQPPSPTSQPSFFLKDILEAIAKREKWDLEDIRVSKLDARRGKFRKLWKYEFGVRLGKTEFVFKVFDQVSQWTKFESMGKRNWSDFESLVKEIGSKAVLDGFKIEGPLELYAAGDNDLTLNLPLNTSIPGLKQILVSEGITIEVEGAEEITVFHTHTRSGLFYGNAFTNMGSESRSSCLSLLPIRVRGSASVTVYRTKNPDASIRTSFLSRGTVKLLPEKCYMRNVYRKQSSVFDSLSQRVTSLENVLKSFLGGRTNRNTGLGLKIRIKPSYIFRFQIELERNISSNDTYWSTLGEWRTRPAAERVWFEVVAGIGSGLMKPFTIRKVRPFIEVDSLSWSSLMSNISFTNFPSFLVPPEPLTLDVKW >itb06g11980.t1 pep chromosome:ASM357664v1:6:16542710:16543126:-1 gene:itb06g11980 transcript:itb06g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSKIVLLLLLSLIITQSCWQVDGREIETHIYIYNQLPQDSDIFKLHCFSKDDDLGYHDISRANSPFTWTFMDNFWGTTMFACHFWWGSKDQAFEVYGGKIRPKIDPSKFHYYVRSDGFYLSHVADSDHARKVVDW >itb07g24530.t1 pep chromosome:ASM357664v1:7:28799373:28801921:-1 gene:itb07g24530 transcript:itb07g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERRIAIIGAGVAGLAACRHAVARGLNPVVLEAEERIGGLWAQTIESTKVQTPRWYYEFPDFPWPSSVQETYPHNTKVLNYIQSYAQHFGLLPYIKLRSKVISLDYVGVSEEEMQSWELWGGTGLAFGSKGKWHLLVEHTVADPHHPSSTYTNIKEYVAEFVVICTGKFSGLPNIPEFPPGKGPEVFEGKVIHSVEYAAMDNASAAQLIKGKKVAVVGSQKSAVDVAAECANANGPKKACTLIQRTVNWILPGAYVMGVSLFALFGNRFAELLVHKPGESFIQSILATLLTPLLWGLAKFLEMYLRWKYPLKKYKMIPKHSMLEQVSACKCFWLPDNFYEKVEEGSLILKQSSNLSFCKQGLLLDSESEPIEADIVILATGYKSQQKLRNLFTSKTFQNYIMNPPSSLLALYRQMIPPRIPGIAIIGHSESLANLYTFDVRCQWLAHFLDEKFKMPSIKEMEKDVWEWEKYMRKYSGEAYRGPCIGALHIWYNDQLCRDVGCNPRRKKGWLLDLVQPYGPPDYVAFATPK >itb01g04410.t2 pep chromosome:ASM357664v1:1:2981634:2982888:1 gene:itb01g04410 transcript:itb01g04410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWFCLLISLITKASSDLLQYKCVNKTSYTPNSTYNANLHFLLSILSSDTTPDNGFYHTTVGDAASNDTVHGLFMCRGDVSADVCGHCVGDASKRILDLCTNEKTGIVWYDNCLLRYSEKSMLGMVDQSAWFAWRNKDNDTQSNAYMEFVGNVLDEIITRASIGSAKKFAVLEANFSPFERVYALGQCTPDISNVDCQICFRNVIAMLPGCCYGAVGARALFPSCNVRYELNPFYNLSAMAPPPPTVLPSSTKSKGSKGKSSAKVIAVASVVSVTGILLLTVSFCLLKMKRAKNSHAVKETTTGR >itb01g04410.t1 pep chromosome:ASM357664v1:1:2982255:2982888:1 gene:itb01g04410 transcript:itb01g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGCCYGAVGARALFPSCNVRYELNPFYNLSAMAPPPPTVLPSSTKSKGSKGKSSAKVIAVASVVSVTGILLLTVSFCLLKMKRAKNSHAVKETTTGR >itb13g19560.t1 pep chromosome:ASM357664v1:13:26534950:26535714:1 gene:itb13g19560 transcript:itb13g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGENDWDLAAVVRGCSNLNGNSSSQDVHEHFNGDFPSQSIPLLPQQSHDNGSAFPSIVTERYYFGLEEVIDRFTSRKTREPIVDPQGTIIPPTSLDKVDGSGSGGIEKDTPVPQSPNPSPTLEPQQSLPSPQTDSLEKSGGLEDEKLQVNEVEMLVEKVQVAVEKVEVPVKKVDEWDGWVWRKYGKKMVNDSPHSKSYYKCNHEGEKCPAKKHVQLSHMNESKYIITYRGNHNHPPPVQNTTTKHRKRSRARA >itb03g20790.t1 pep chromosome:ASM357664v1:3:18637242:18640557:-1 gene:itb03g20790 transcript:itb03g20790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARISVSSVVSCLTFCCKFVFFCHAFDTLRQGQTLQNGETLVSANGIFELGFFGTGDPGGNHYLGTWIKKDVHKKAFWVANRDNPVYGETGNLTIRYDGNLVISDVRIYPNIVVNSEMVATGGNTRATLLDSGNFVLYEGEKVLWQSFDYPSDTFLPGMKLGWLGIDTNQTRKSFLVSWLTPSVPASGPFALGLDSHNKSIFKVWRRVIMSQEIGFWDGHTFRFFFQRVSDSYSFTFVSNKNDIYLTFNTNEGKNMSWFVLTSSGEINEFTMLDGGVAIVNHTLCNTREAPETKGCLVPLPNMCGGNNNFSEIKGSIPVSMVVSRSTGVGPSDCEMMCLINCSCSAFASFQNDGTGCQLYYGDKRDLLKSIGGGNSSLYVRGDVPKSSGIAREEDDIRDSASLLLFQFGTNVAAVDTQNSADAVEQGKWNGCELPLGKLLGHEIAVKRLSRLSGQGLEEFRNEVRVISMLQHSNLVRLLGYCTEQEEKIVLYEYLQNRSLDSILFDETKSVMLDWRKRVHIIEGIAQGLLYLHKYSRLRIIHRDLKTSNILLDTYFNPKISDFGMARIIDESIPTKTKRIAGTYGYMSPEYAVHGLFSTKSDIFSFGVIVLEIISGKRNTTFYEANGSLNLLGFAWETWKEERWVEFMDPALANSCNLDEVKLCLNVALLCIQEKPKDRPTTSDVVSMLNNERGGRLPVPKRPAFSTLTESYVESKLEGQKPSCNDITFSAIEGR >itb11g22610.t1 pep chromosome:ASM357664v1:11:24560904:24565299:-1 gene:itb11g22610 transcript:itb11g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCANTINAFNRRNRNVYYRSGNKMRHLLRTLAHKAVSSSSSSWTRSKAQSSSQSYLRLFSNQIHSQASSSFGIAFDIDGVLLRGNAPIGGSPQALRRLYDDSGTLRVPYVFLTNGGGVPEFKRATELTRLLGINILPLQVIQGHTPFKQLVKRFENEFIVAVGKGEPAEVMSGYGFKNVLSIDEYASFFKNIDPLAQYKKWIDKQAVGHDKVTLRQDPCSERVQAVFVVSDSIDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDLAYQALFPAERLGMGAFRIALESVFNSIHPKALEYTSYGKPNPFVFKNAETTLMQLSPHCQNSQASGGMHSFKTLYMVGDNPSIDINGARQAGSPWFSILTRTGVFKGKGNDTDFPSDLVVDTVDEAVEYILRREFES >itb11g22610.t6 pep chromosome:ASM357664v1:11:24561225:24564451:-1 gene:itb11g22610 transcript:itb11g22610.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGFKNVLSIDEYASFFKNIDPLAQYKKWIDKQAVGHDKVTLRQDPCSERVQAVFVVSDSIDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDLAYQALFPAERLGMGAFRIALESVFNSIHPKALEYTSYGKPNPFVFKNAETTLMQLSPHCQNSQASGGMHSFKTLYMVGDNPSIDINGARQAGSPWFSILTRTGVFKGKGNDTDFPSDLVNECFFFLFN >itb11g22610.t5 pep chromosome:ASM357664v1:11:24560959:24563549:-1 gene:itb11g22610 transcript:itb11g22610.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQVIQGHTPFKQLVKRFENEFIVAVGKGEPAEVMSGYGFKNVLSIDEYASFFKNIDPLAQYKKWIDKQAVGHDKVTLRQDPCSERVQAVFVVSDSIDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDLAYQALFPAERLGMGAFRIALESVFNSIHPKALEYTSYGKPNPFVFKNAETTLMQLSPHCQNSQASGGMHSFKTLYMVGDNPSIDINGARQAGSPWFSILTRTGVFKGKGNDTDFPSDLVVDTVDEAVEYILRREFES >itb11g22610.t4 pep chromosome:ASM357664v1:11:24560904:24564451:-1 gene:itb11g22610 transcript:itb11g22610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGFKNVLSIDEYASFFKNIDPLAQYKKWIDKQAVGHDKVTLRQDPCSERVQAVFVVSDSIDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDLAYQALFPAERLGMGAFRIALESVFNSIHPKALEYTSYGKPNPFVFKNAETTLMQLSPHCQNSQASGGMHSFKTLYMVGDNPSIDINGARQAGSPWFSILTRTGVFKGKGNDTDFPSDLVVDTVDEAVEYILRREFES >itb11g22610.t3 pep chromosome:ASM357664v1:11:24561225:24565299:-1 gene:itb11g22610 transcript:itb11g22610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCANTINAFNRRNRNVYYRSGNKMRHLLRTLAHKAVSSSSSSWTRSKAQSSSQSYLRLFSNQIHSQASSSFGIAFDIDGVLLRGNAPIGGSPQALRRLYDDSGTLRVPYVFLTNGGGVPEFKRATELTRLLGINILPLQVIQGHTPFKQLVKRFENEFIVAVGKGEPAEVMSGYGFKNVLSIDEYASFFKNIDPLAQYKKWIDKQAVGHDKVTLRQDPCSERVQAVFVVSDSIDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDLAYQALFPAERLGMGAFRIALESVFNSIHPKALEYTSYGKPNPFVFKNAETTLMQLSPHCQNSQASGGMHSFKTLYMVGDNPSIDINGARQAGSPWFSILTRTGVFKGKGNDTDFPSDLVNECFFFLFN >itb11g22610.t2 pep chromosome:ASM357664v1:11:24560904:24565246:-1 gene:itb11g22610 transcript:itb11g22610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQGHTPFKQLVKRFENEFIVAVGKGEPAEVMSGYGFKNVLSIDEYASFFKNIDPLAQYKKWIDKQAVGHDKVTLRQDPCSERVQAVFVVSDSIDWSRDIQVLCDILRTGGLPGREIAHQPPLFFANDDLAYQALFPAERLGMGAFRIALESVFNSIHPKALEYTSYGKPNPFVFKNAETTLMQLSPHCQNSQASGGMHSFKTLYMVGDNPSIDINGARQAGSPWFSILTRTGVFKGKGNDTDFPSDLVVDTVDEAVEYILRREFES >itb04g31940.t1 pep chromosome:ASM357664v1:4:34652245:34653835:-1 gene:itb04g31940 transcript:itb04g31940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEVEIEIPSYFVCPISMQLMKDPVTVATGITYDRESIEKWVFSCRNNTCPVTKQELVSTDLTPNHTLRRLIQAWCTLNASNGVERIPTPKPAVDKAQILKLIDEARRRPESGLKCLRRLRSIANSGEYNKMEIRSTPGAVDFLVSVIMRKEVATVEDSEFTKASDEALTILNHLGPSDSDLKRFIADEGQFLDSLIHVLKCGNYQSRAAAIMVMKSALDVADPARLIAAKPEIFAETVQILKDNISQPATKAALKFLIELCPWGRNRIKAAEAGAVETLVDLLLDTSEKRLCELALTVLDQLCGCAEGRAELLRHGAGLAIVSKKILRVSHVGSDRAVRILSSISKFSATSRVLQEMLQVGVVSKLCLVLQVDASSKTKERAKQVLRLHSRVWRDSSCIPPALLSSYPS >itb14g14510.t1 pep chromosome:ASM357664v1:14:17210840:17211709:-1 gene:itb14g14510 transcript:itb14g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALPSILLTIIILFNGTTAAAHSSSSSSSPPSNETRLLKACTQALGPGGASLVSFCARDFLGHKAGVLAGCGKRETVAIVLREAHKRSQLVEDFQKKIACDECTKEAQDLKSCWVSMYKVVSSVGNIYADVSVKKLSIGVVLEGTYEQAAVAKGQCKFSAAGRDGGLWLELQTKVKESLKAEIVALAFVNQLYSILD >itb07g17310.t2 pep chromosome:ASM357664v1:7:21468155:21470533:1 gene:itb07g17310 transcript:itb07g17310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH1 [Source:Projected from Arabidopsis thaliana (AT2G29700) UniProtKB/TrEMBL;Acc:A0A178W3K4] MSSTVESLWRSVTGSNPDPSDYSGIEFWSNPERAGWLTKQGDYIKTWRRRWFVLKQGKLLWFKDPSSASTPDAVPRGVVPVNECLTVKGAEDVLHKPFSFELSTSRDTMYFIANSEKEKEDWINSIGRSIVQHSRSLADSEVIDYDSRQAVV >itb07g17310.t1 pep chromosome:ASM357664v1:7:21468155:21470533:1 gene:itb07g17310 transcript:itb07g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH1 [Source:Projected from Arabidopsis thaliana (AT2G29700) UniProtKB/TrEMBL;Acc:A0A178W3K4] MSSTVESLWRSVTGSNPDPSDYSGIEFWSNPERAGWLTKQGDYIKTWRRRWFVLKQGKLLWFKDPSSASTPDAVPRGVVPVNECLTVKGAEDVLHKPFSFELSTSRDTMYFIANSEKEKEDWINSIGRSIVQHSRSLADSEVIDYDSRQAVV >itb03g11280.t1 pep chromosome:ASM357664v1:3:9391878:9397395:-1 gene:itb03g11280 transcript:itb03g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISLLSSLSSLATQYNTLQFIPRTPNPRKWRLTITLSAASSSAAPGVDLTPLQSALSKKDSQAVKEALDQLSEIGWAKVWSSQPYVSRRTTSVRELTSLGIKNAENLGIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAIDGFSSFFPDYQERIANHEAAHFLVAYLLGLPILDYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLKYDKVVGQSADLFTLQRFINRSKPTLSKDQQQNLTRWAVLFAGSLLKNNKGLYEALIGAMSKKASVIECIEAIEKAA >itb05g16080.t1 pep chromosome:ASM357664v1:5:23369985:23373368:-1 gene:itb05g16080 transcript:itb05g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQYMKEDPNSKHQHPGGRLPVSEAGELRYEPPGPSRLQMRDRRGGESRALFYLHSLTAFIYSSVFYNRQIPSQKVEWGSMKMCDAERRLLANALLDQSNEWFVLLSESCIPLHNFTTIYDYISRSQHSFVGMFDDPGPAGRGRYNANMSPEITIDQWRKGSQWFEVDRKLAVEIVRDSVYYPKFEQFCRPACYSDEHYIPTMLSIQFPSLLANRPLTWTDWSRGGFHPATFGEADITKEFFKGFSNEACQYNNHTTNLCSLFARKFAPAALNNLLKTLEF >itb02g00260.t2 pep chromosome:ASM357664v1:2:212450:217985:-1 gene:itb02g00260 transcript:itb02g00260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLQSNINAIEEEPEDCEAASSSKNALACMINSEVSAVLAVMRRNMRWGGRFVSGDDQLEHSLIQSLKTLRKQIFSWQQQWQTINPALYLQPFLEVIRSDETGAPITGVALSSVYNILTLDVIDLNTTNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMRSKVSVVLSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHTMHELVRCIFSHLPEVDNTQCSLVKRGSSTKHEVSGLDGDYGFSSKSENGTGSSEYDSQPPSGGFASSGSTGLQSAAMDDSMVISDYGKDTVPYDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHKGMGPRANTIAFDEDVPLFALGLINSAIELGGMAIRNHPRLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLRTELKLQLEAFFSCVVLRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCGNVFEELANLLSKSAFPVNSPLSAMHILALDGLIAVIQGMAERISNGSYNSEPHIINLDEYTPFWMVKCENYSDPDHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRFTAGLDKNLVGDFLGNHDEFCVQVLQEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICSNEIRTTPEQGAGFAEMTPSRWIDLMSKSKKTSPYIVCDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACRIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSAVEEPVLAFGDDAKARMATVTVFTIANKYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEISSEPGHGKPLTNSLSSAHIQSIGTPKRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLLADSLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIGLLWQGVYDHIANIVHSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQTGWRTIASLLSITARHLEASEVGFNALLFIMSDGAHLSPANYVLCIDAARQFAESRVGQADRSVSAVDLMASSVSCLTSWSKDTREAMAETEALKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDDMYLPHGLWLQCFDMVVFTVLDDLIEISQGHSQKDYRNMEGTLVLALKLLNKVFLQLLPKLSQLTTFCKLWRGVLNRMEKYMKVKVRNKKSDKLQELVPELLKNTLLVMKTSGVLVQRSALGGDSLWDLTWLHVNNILPSLQSEVFPDQDPEQAQRIQGEPARSPVSTETDSTVNE >itb02g00260.t1 pep chromosome:ASM357664v1:2:212450:218574:-1 gene:itb02g00260 transcript:itb02g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLQSNINAIEEEPEDCEAASSSKNALACMINSEVSAVLAVMRRNMRWGGRFVSGDDQLEHSLIQSLKTLRKQIFSWQQQWQTINPALYLQPFLEVIRSDETGAPITGVALSSVYNILTLDVIDLNTTNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMRSKVSVVLSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHTMHELVRCIFSHLPEVDNTQCSLVKRGSSTKHEVSGLDGDYGFSSKSENGTGSSEYDSQPPSGGFASSGSTGLQSAAMDDSMVISDYGKDTVPYDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHKGMGPRANTIAFDEDVPLFALGLINSAIELGGMAIRNHPRLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLRTELKLQLEAFFSCVVLRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCGNVFEELANLLSKSAFPVNSPLSAMHILALDGLIAVIQGMAERISNGSYNSEPHIINLDEYTPFWMVKCENYSDPDHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRFTAGLDKNLVGDFLGNHDEFCVQVLQEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICSNEIRTTPEQGAGFAEMTPSRWIDLMSKSKKTSPYIVCDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACRIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSAVEEPVLAFGDDAKARMATVTVFTIANKYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEISSEPGHGKPLTNSLSSAHIQSIGTPKRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLLADSLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIGLLWQGVYDHIANIVHSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQTGWRTIASLLSITARHLEASEVGFNALLFIMSDGAHLSPANYVLCIDAARQFAESRVGQADRSVSAVDLMASSVSCLTSWSKDTREAMAETEALKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDDMYLPHGLWLQCFDMVVFTVLDDLIEISQGHSQKDYRNMEGTLVLALKLLNKVFLQLLPKLSQLTTFCKLWRGVLNRMEKYMKVKVRNKKSDKLQELVPELLKNTLLVMKTSGVLVQRSALGGDSLWDLTWLHVNNILPSLQSEVFPDQDPEQAQRIQGEPARSPVSTETDSTVNE >itb07g02150.t1 pep chromosome:ASM357664v1:7:1324554:1325336:-1 gene:itb07g02150 transcript:itb07g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQREKDEAELKVPETLPLCVLSSSSSAISPETSDPKLSGAADLRSSPAESPPQRSETKNGFEASEIRSLKRPRDVCRCSGAGCRRKLGLVGFRCRCGEVFCYQHRYSDRHDCSFDYKAAGREAIARENPVVRAAKILKV >itb01g11430.t1 pep chromosome:ASM357664v1:1:10297681:10305031:-1 gene:itb01g11430 transcript:itb01g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAVLEELMMLPPFSSSFSWCRRRGCFTAKSKDPRNIPLFPRIPGHEGVGIIESVGEEVRSLKEGDTVMPHFIGECGECPNCISGKSNLCFKYPLSFSGLLLDGSSRMSINGGAQRIYHHVSCSTLSEYLVLDENYVVKVDPRLPLHHASFLCCSFTTGYGAAWKLADIDKGSTVAVLGLGGVGLGVVEGARERGAAKIIGIDIHDKKEEKGKIFGVTEFINAKNCEDKSISEMVKEATGGLGVDYLFECTDVPDLMINQAIEATRKGFGTVILLGAGLQIDWEMNYVPLMFGRTLKGSIYGGVRIRSDLPSIINKCITKEIKLDELSSQEFSLHEANEALEYLKNPDCVKVLIKF >itb02g20100.t1 pep chromosome:ASM357664v1:2:17794135:17797078:-1 gene:itb02g20100 transcript:itb02g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSSTLCILFFISLYEAQSSNSTTCNPQDCGNGLKVSFPFWIQNKQESNCGLPAYTITCDDDKPVIKIRNDDYILKNIFYSNNSFRLAKSEVFDEDNECPVPRSNFSTEGTPFSYGPDTDDLFFFYGCTKPYEMETYSVDCASNGSHHSFADFHTDLFEHYNYSVESCQPPVNTPVETEAISKLVELNYTQVLKRGFVLQWDGSNCSECEKSGGNCGSYNNEFCCFCHNQIQNRTCPHAIGGVAFGFLLGFFCLFAYRRQKKRSVSSSYLMSRSVPSNPSSSTIDLEGKLRDGRVVAVKRLYENNYKRVEQFMNEIEILARMRHKNLVTLYGCTSRNSRELLLVYEYVPNGTIADHLHGERARPGLMCWATRLSIAVETASALAYLHLSDVIHRDVKTNNILLDNNFCVKVADFGLSRLFPIDVTHVSTAPQGTPGYVDPEYHECYQLTSKSDVYSFGVVLVELISSLPAVDITRHRHEINLSNMAINKIQCNALHELVDKSLGFESDVKIREMISAVAELAFQCLQSGKDMRPSMPEVLESLLEIQNMDSNGKTDSHSKRSPTDSSLLLKNIEPAALSPNSVITAQWPSRSTTNNSSSSC >itb03g01770.t2 pep chromosome:ASM357664v1:3:984389:987740:-1 gene:itb03g01770 transcript:itb03g01770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKGATMVKICCIGAGYVGGPTMAVIALKCPDIQVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLIFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGNKAVKALKDVYAHWVPVDCILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSSKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDRALLSIYDPQVNEDQIQRDLTLKKFDWDHPLHLQPMSPTTVKQVSVVWDAYSAAKDAHALCILTEWDEFKTLDYKKIYDNMQKPAFVFDGRNVINAEKLREIGFIVYSIGKPLDPWLKDMPAVA >itb03g01770.t3 pep chromosome:ASM357664v1:3:984447:987451:-1 gene:itb03g01770 transcript:itb03g01770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIQVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLIFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGNKAVKALKDVYAHWVPVDCILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSSKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDRALLSIYDPQVNEDQIQRDLTLKKFDWDHPLHLQPMSPTTVKQVSVVWDAYSAAKDAHALCILTEWDEFKTLDYKKIYDNMQKPAFVFDGRNVINAEKLREIGFIVYSIGKPLDPWLKDMPAVA >itb03g01770.t1 pep chromosome:ASM357664v1:3:984389:987740:-1 gene:itb03g01770 transcript:itb03g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDIQVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLIFSTDVEKHVCEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGNKAVKALKDVYAHWVPVDCILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSSKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDRALLSIYDPQVNEDQIQRDLTLKKFDWDHPLHLQPMSPTTVKQVSVVWDAYSAAKDAHALCILTEWDEFKTLDYKKIYDNMQKPAFVFDGRNVINAEKLREIGFIVYSIGKPLDPWLKDMPAVA >itb05g08410.t1 pep chromosome:ASM357664v1:5:11788028:11794098:-1 gene:itb05g08410 transcript:itb05g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPPPQQQQPRKRGRPKGSTNKPKEDRERDSHPSGGATSRKRGGAGGGKKAGGGVDEKYAQWKSLVPVLYDWFANHNLVWPSLSCRWGPVIEQHSHKNCQRLYLSEQTDQSAPNTLIIANCDVVRPRIAAENHIAHFNEEARSPYVKKYKTIIHPGEVNRIRELPQNKNIVATHTDSPEVLIWDIEAQPNRQAVLGAAVSLPDLTLTGHQDNAEFALAMCPIEPFVLSGGKDKYVVLWSIQDHISTLATDTQKSVGSAGSSIMAVNNPSIGARGIFQGHEDTVEDVQFCPSSSQEFCSVGDDSCLILWDARVGPNPAVKVEKAHNADLHCVDWNPHDDNLIITGSADNSVCLFDRRSLTSNGVGSPIHIFQHHKAAVLCVQWSPDKSSVFGSCAEDGRLNIWDYEKVGEDTETPAAGLFFQHAGHRDKVVDFQWNASDPWTIVSVSDDCDSTGRGGGTLQIWRMLDLLYRPKEEALAELQRFKDHISNCTSYQA >itb05g08410.t2 pep chromosome:ASM357664v1:5:11789628:11794098:-1 gene:itb05g08410 transcript:itb05g08410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPPPQQQQPRKRGRPKGSTNKPKEDRERDSHPSGGATSRKRGGAGGGKKAGGGVDEKYAQWKSLVPVLYDWFANHNLVWPSLSCRWGPVIEQHSHKNCQRLYLSEQTDQSAPNTLIIANCDVVRPRIAAENHIAHFNEEARSPYVKKYKTIIHPGEVNRIRELPQNKNIVATHTDSPEVLIWDIEAQPNRQAVLGAAVSLPDLTLTGHQDNAEFALAMCPIEPFVLSGGKDKYVVLWSIQDHISTLATDTQKSVGSAGSSIMAVNNPSIGARGIFQGHEDTVEDVQFCPSSSQEFCSVGDDSCLILWDARVGPNPAVKVEKAHNADLHCVDWNPHDDNLIITGSADNSVCLFDRRSLTSNGVGSPIHIFQHHKAAVLCVQVLSWFVLFHLLWLMQFSR >itb14g02240.t2 pep chromosome:ASM357664v1:14:1851566:1856944:-1 gene:itb14g02240 transcript:itb14g02240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERPRVMTGRRVLKSLQGVAAHGLLFSFTLLLVLKLDRAFPYSWWAVFIPLWLFHVVVARGRFSLPAPSMPHGRNWAPYHAIMATPLLVAFELLLCIYLDSRYAVNLKIVFFPLLMFESAILVDNVRMCRALMPGDDENLTDEAIWETLPHFWVAISMVFLIAATAFTLLKLCGDVVALGWWDLFINYGVAQCFAFLICTKWYNPAIHRHSHIRTPSSSSTSAGYSNRGSGLLVSPDEEFEQSGICSLQDIGGHIMKVLLVGFQIMLFMRLAETPPSARYIPIPVLFAPLFLLQGVAVVFAIFRFLEKIASLLYTEGGNRNYFRVPPIIHGSLGFMHRGSRLLGWWSIDEGSREEQARLYQAQTPGYNTFSPDIVKKMPKSDLVEEIWRLQAALSEQTEASEINQEEFEKLQNEKVLCKICFEKQINVVLLPCRHHILCRGKVLVILVFPYEKGF >itb14g02240.t1 pep chromosome:ASM357664v1:14:1851566:1856944:-1 gene:itb14g02240 transcript:itb14g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERPRVMTGRRVLKSLQGVAAHGLLFSFTLLLVLKLDRAFPYSWWAVFIPLWLFHVVVARGRFSLPAPSMPHGRNWAPYHAIMATPLLVAFELLLCIYLDSRYAVNLKIVFFPLLMFESAILVDNVRMCRALMPGDDENLTDEAIWETLPHFWVAISMVFLIAATAFTLLKLCGDVVALGWWDLFINYGVAQCFAFLICTKWYNPAIHRHSHIRTPSSSSTSAGYSNRGSGLLVSPDEEFEQSGICSLQDIGGHIMKVLLVGFQIMLFMRLAETPPSARYIPIPVLFAPLFLLQGVAVVFAIFRFLEKIASLLYTEGGNRNYFRVPPIIHGSLGFMHRGSRLLGWWSIDEGSREEQARLYQAQTPGYNTFSPDIVKKMPKSDLVEEIWRLQAALSEQTEASEINQEEFEKLQNEKVLCKICFEKQINVVLLPCRHHILCSTCCEKCKRCPICRVYIEERLPVYDV >itb12g26110.t1 pep chromosome:ASM357664v1:12:27133771:27135835:-1 gene:itb12g26110 transcript:itb12g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPSPSPPPQTPKTYTLTAASISYVKPTTFASAFHLLFKPCNAAAASPPSYILKDVSVTAHPSEILAVVGPSGAGKSTLLDILAARTSPSSGTLLLNASPLNTSSFRKLSAHVPQHDACLPLLTVSETFAFSARLLHPKSADVSGIVHALLEDLRLTHLEHTRLSHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSKSAFHVMHTLRSIADTRHRTVILSIHQPSFKILSTIDKILLLSKGAVVHHGTLSSLEAFLLANGFTVPPQLNSLEYAMETLNKLPSSSSPESPQNDTVSETRKSEITIKYKSSRLQEIGVLYKRFWMIIYRTKQLLFTNTLQALGVGLVLGTIYINIGFDKAGMEKRLGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGIYRLSSYIIANTLVFLPYLLAVAILYAVSVYFLVGLCATWQAFSFFVLVIWIIVLMANSFVLFLSAVAPNFIAGTSLVTALLAGFFLFSGYFISKDSLPRFWKFMHYFSMYKYALDALLINEYSCLVSRCLMWFDEEHTTCMYSGGDVLESRGLHEGERWRNIYVLIGFFVLYRLLCLLVLIRRVSSSKK >itb06g19340.t1 pep chromosome:ASM357664v1:6:22681876:22688960:-1 gene:itb06g19340 transcript:itb06g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEMANSKPLFLTIYATVAVGVVFSAFYVFSAVYSSSASSSFSLSPIAPSSPSDVPAAVLTQIHNESLKETVGSPQAPNTQAKSKSLKPIWNSPPPGSRMPSLETFRLTKELFQERVRDNVIIVTFGNYAFMDFILTWVKHLTDMDVDNLLVGAMDTKLLEALYWKGIPVFDMGSHMSTVDVGWGSPTFHKMGREKVLLIDAVLPFGFELLMCDTDMVWLKNPLPYLARFPEADILTSTDSVEPTVTDDRLDIWKLVGAAYNIGIFHWRPSESSKKLAKEWKELLLSDDTVWDQNGFNQIIRRQLGPSVDEDSGLFYAYDGNLKLGLLPASIFCSGHTFFVQSMYQQLKLDPYAVHTTFQYGGTEGKRHRLREAKIFLDPLEYYNPPGGFLTFKPSIPKRLLLDGENNIESHFSLVNYQIKQIRTALAVASLLNRTLVMPPIWCRLDRLWFGHPGVLVGSMTRQPFVCPLDHVFEVNVMLREQPEEEFGPGISFREYSLLDNPLMPQEVKESWLDVQLCREGSEGCQLSNSTTATGILRFPKNSTEETFKTVFSLFKDVKVIQFSSMQDAFQGFTDKAREHKFRNRVKRYVGIWCCVENQTPGHIYYDMYWDEKPNWKPIPPQSPQEDHPPW >itb11g20970.t2 pep chromosome:ASM357664v1:11:22351202:22358797:-1 gene:itb11g20970 transcript:itb11g20970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVTEVDRKYYDRDVCRLFLSGLCPHDLFQLTKMDMGPCPKVHSLPLRKEYEEAKSKGLDNYDRDLEDVIDRLIVECDRKISRAVKRLDEEDAKAAIAISVSEVTQSAEILELSKQIKEKLKEVDQNDLEGKTDLKIRALEELEELRTKRADKQAMLLLDAFNKDRASLPQPLQNPPQLEPRPAAATDPRIQELINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPILDSSKYTAADVRITDQKLRVCDICGAFLSVYDRLWAEFYEDKLLQLNNLIILGESSIWAICKSERSWLNFRKRGTINGNLVKKRGDLENEGAEIPKGNQVGIEVIAVKEGGIMIVKAKIVIGTVTEIAAMIERGSVLVAMILGGVTVDHDLDPENAQGIMIATGVMIATRSRLQ >itb11g20970.t1 pep chromosome:ASM357664v1:11:22351101:22358940:-1 gene:itb11g20970 transcript:itb11g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVTEVDRKYYDRDVCRLFLSGLCPHDLFQLTKMDMGPCPKVHSLPLRKEYEEAKSKGLDNYDRDLEDVIDRLIVECDRKISRAVKRLDEEDAKAAIAISVSEVTQSAEILELSKQIKEKLKEVDQNDLEGKTDLKIRALEELEELRTKRADKQAMLLLDAFNKDRASLPQPLQNPPQLEPRPAAATDPRIQELINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPILDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNNKRKSCEEERRSRERRSRDPKRESSRDRGDSRERGRDYDRKSKDRDRYSDRDRGYDRERERSRSYDSRRSHRGSRSRSRERSRDYDRHRRYDRY >itb03g15120.t1 pep chromosome:ASM357664v1:3:14553214:14554411:-1 gene:itb03g15120 transcript:itb03g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASNSPCASCKLLRRRCAKDCIFAPHFPSDDPHKFAIVHKVFGASNVSKMLQEIPADHRADAVSSLVYEANARLRDPVYGCVGAITYLQNQVSQLQMQLAVAQAEILCIQMQQPPPPPQDSSATVPPPQIQLRDAAADLDDDDERRLKSLFLHHQQNNHHQCFNNFTPSDNTVILHESFKRAPFFEHDDMVSSLTQL >itb12g13130.t1 pep chromosome:ASM357664v1:12:11896478:11898615:1 gene:itb12g13130 transcript:itb12g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTSTSFSQLNLISKLPHTSRLSTSPIGYPKHQWRRKKKRTAMVARHRFSSWRGGGGGGRFIEAEDDDDDDEARFEYAVALFNRMEYYKCHDVLEALWHSSDDPSRTLFHAILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFESGPFHQFEKEITAALDFVYQTQLELAAFSPKLM >itb12g13130.t3 pep chromosome:ASM357664v1:12:11896478:11898615:1 gene:itb12g13130 transcript:itb12g13130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTSTSFSQLNLISKLPHTSRLSTSPIGYPKHQWRRKKKRTAMVARHRFSSWRGGGGGGRFIEAEDDDDDDEARFEYAVALFNRMEYYKCHDVLEALWHSSDDPSRTLFHAILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFESGPFHQFEKEITAALDFVYQTQLELAACKYFIESSFIHHGYSLRRLCGPSFMSPCFNSHTSTLNLTNIMIN >itb12g13130.t2 pep chromosome:ASM357664v1:12:11896478:11898615:1 gene:itb12g13130 transcript:itb12g13130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTSTSFSQLNLISKLPHTSRLSTSPIGYPKHQWRRKKKRTAMVARHRFSSWRGGGGGGRFIEAEDDDDDDEARFEYAVALFNRMEYYKCHDVLEALWHSSDDPSRTLFHAILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFESGPFHQFEKEITAALDFVYQTQLELAACSEDLCLAMDQSEISYQLLGGYAAGQQLYILVRDDDGITYLVFCHDRYITAGQNPRIKIPILMASEEHLMELECI >itb01g28080.t2 pep chromosome:ASM357664v1:1:32614947:32621001:1 gene:itb01g28080 transcript:itb01g28080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKDGSISGVLPGPGSSSNSDNVFVVHYPAYPSSLQRAVETLGGTEAIVKARSSQSNKLELRFRPEDPYSHPTFGKLKQSVNFLLKITKEKVSAAENTDTNNRLSKCSSVALANIAHAESNTEFSKASEQGNEFKTLSADGKDVDETIEEALQEHLSADIVAQVSEAYHFNGMADYQHVLAVHADVARRKKRNWDEVEPNFEKSSLMDVHQEDLLILVPPFFSLKDMSENIMLKPTGILSSKKRQEGVVQQRWEMEIEPCLAIDFNIKDIPKKVNWEKYIPEGTVEWVHQTAVSKFFDERPVWAKESLTELLLEKGIDFREGMLKRLLFREAYYFSQGPFRRLWIRKGYDPRKDPESRIYQCVDFRVSQPSLQRYCEAQAESGLKLRWKDICSFQVFPSKCQTSLQLIELDDDYIQEEIRKPPKKTTCSCQTGWFASNVLKSIRYRVAIRFISIYPNPGAESILKSIYARFEKSKRPDIYTKNVRSEGEEEEEEEEEEEEDDADQEMENNDDNEADDGEEEEAEDDNAEEDKDAYEALDMAGHEGNISSQQDLYTDHDSISRTYLQELFGNFPSGAAAGMEDDQSVGEYQIYEQYSDEYYSEDDDY >itb01g28080.t1 pep chromosome:ASM357664v1:1:32614937:32621014:1 gene:itb01g28080 transcript:itb01g28080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKDGSISGVLPGPGSSSNSDNVFVVHYPAYPSSLQRAVETLGGTEAIVKARSSQSNKLELRFRPEDPYSHPTFGKLKQSVNFLLKITKEKVSAAENTDTNNRLSKCSSVALANIAHAESNTEFSKASEQGNEFKTLSADGKDVDETIEEALQEHLSADIVAQVSEAYHFNGMADYQHVLAVHADVARRKKRNWDEVEPNFEKSSLMDVHQEDLLILVPPFFSLKDMSENIMLKPTGILSSKKRQEGVVQQRWEMEIEPCLAIDFNIKDIPKKVNWEKYIPEGTVEWVHQTAVSKFFDERPVWAKESLTELLLEKGIDFREGMLKRLLFREAYYFSQGPFRRLWIRKGYDPRKDPESRIYQCVDFRVSQPSLQRYCEAQAESGLKLRWKDICSFQVFPSKCQTSLQLIELDDDYIQEEIRKPPKKTTCSCQTGWFASNVLKSIRYRVAIRFISIYPNPGAESILKSIYARFEKSKRPDIYTKNVRSEGEEEEEEEEEEEEDDADQEMENNDDNEADDGEEEEAEDDNAEEDKDAYEALDMAGHEGNISSQQDLYTDHDSISRTYLQELFGNFPSGAAAGMEDDQSVGEYQIYEQYSDEYYSEDDDY >itb15g04780.t1 pep chromosome:ASM357664v1:15:3072613:3079706:-1 gene:itb15g04780 transcript:itb15g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVREHLFIGNISDAAEILQHGSEEITHILSVLSSASISFFSEWRSGLVIPTKEIDKVYFGGNESEGNTADESNTSVSPKKLLYSLEFAGKDLKFVRMAVPLRDMENENLLDSLDVCLDFIEESRKKGSVLVHCFAGVSRSASIVAAYLMRSEQLSYEDAIQSLCQSCESVSPNDGFVDQLKMFEEMGFKVNRASPTYKRFHLKLLGECYNRGETIDASKFAEDPALPTKKLSSLVTDEPLSREACPTQAYRCKKCRRVVAVQDNVIDHVPGEGETAFGWSKRRSGRPFEKADDDECSSIFIEPLQWMKSVGEGALEGKLCCIHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDVSTL >itb05g21050.t1 pep chromosome:ASM357664v1:5:26959627:26969255:1 gene:itb05g21050 transcript:itb05g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVYTKAGNSYIRCALFFPANWRKPPPHSSSHYAAAAAEEAPAVGSIFRRRFSVSALTPVPTMSSQPTAAGLEWPARKVRDTFLQFFKSKDHKCVQSSPVVPHNDPTLLFANAGMNQFKPIFLGTVDPNTELGKMKRACDTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKTEAIQWAWELLTKVYKLPADRIYATYFGGDEKSGLPADTEAKELWLKLLPPERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASFVNNDDPTLIEIWNLVFIQFNREADGSLKPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFLPIFDAIQKTTGARPYSGKVGSDDADKIDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGTEVLKAEQGFFNRLVTVVVDVMGDVFPELKQHEAHIRDTIAAEEASFGKTLLHGIEKFKKAAQDVQGKLFSGQDAFVLWDTYGFPLDLTQLMAEERGFTVDIEGFNVAMDAARERSRSAQNKNTSGDIVMDADATAALHTKGIAVTDDIFKFTWFQDHDTEIKAIYTGSEFLGSASAGDEVAIILESTSFYAEQGGQIYDTGSLESAAGSFQVHNVQIYGGFVLHIGSFNGDNSKFCVGDKVICKVDYDRRTLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRYDFSHGKPVKDEELRKIESIVNEQIKAELDVFSKEATLTDAKRIKGLRAVFGEVYPDPVRVVAIGRKVEDLLTNPENDEWLSISAELCGGTHISNTREAKAFSLISEEGIAKGVRRVTAVTTIRAFEAMELASSLEQKVKEASTAEESLLEEKVTSLNALVERAHIPATKKADLKKELSKLQTQVIQAKKKIALENSQKVLKAASEISEVASSHGKTYCVLRVSVGLDTSAVREAVVKVMEQKGMPVLIFSTDEAANKVLVCAGVPEKSDSCRQLNVKDWLNAALKPLGGKGGGGKGGLAQGQATGLSHVDDAMDVATSFAAMKLS >itb07g17390.t1 pep chromosome:ASM357664v1:7:21534856:21537180:1 gene:itb07g17390 transcript:itb07g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRSFSTELPKRRARSITRASLDSFSDEEFSKKIQELALRFQLSGDEYEDSEKEELVGDSRQEQYERNDSMFLEPPDWVEREEIIPEWKANSVDLPFSLRIIKRKKQWEEGFHEEEEGEELVSCSVKRAFSNMVFIIRELHSYTLQMREMLFYEDLQGVLVRVQKEMHASFVWLFQQVFSRTPTLMVYVMILLANYSVHSMAMTNGGAAIAAPLPQQPYAATGEAVSVMEDQTNPKQKFDSSSIKTFSVSSSNGKSTCIGGSSGGGGKFRPTTGATDDDGGTFNSRLSSDYHQTVFPDGLSTIGGEESVSGQCDLEEELRLWNSIEEEASRMQSELRDEALDHETVIGFVSPINAKIESDDYTDYFRTELMYQTGLAQEPNNSLLLANYAQFLFLIAQDYDRYVASFNKTVIVAAVEPKDAEALNKYATFLWQARNDIWGAEQTYQAAMDADPNNPFYPANYAHFLWNTGGEDTCFPLDTPDA >itb10g11660.t1 pep chromosome:ASM357664v1:10:17313465:17314300:1 gene:itb10g11660 transcript:itb10g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRILAVISQNLGNMGKSPRVADESMLAAAAEIPAAVLGRRRGRRVSVVVYGIFRAPLSLFSCLSRPPANGSMDGVWVTGDFAQMSEMNHLMVSDSMRYAILM >itb02g09820.t1 pep chromosome:ASM357664v1:2:6312666:6316924:1 gene:itb02g09820 transcript:itb02g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEVAEANVLCCADEAALCWSCDEKVHAANKLASKHQRVPLSGSSSSMPKCDICQETVGYFFCLEDRALLCRKCDVAIHTANAYVSAHQRFLLTGVKVGLEPTEPGPSSSLGKSQSGEKISELEFLSVSRRNPHVASDIQYNKVLPTQITEVGNFAPTKAPFAGGSAAGSIPQWQLDEFLGLNEFNQNYGYMDNNSSKADSGKLGDTDCSATLRVNEEELDGDECLGPVLDASWAVPQIPSPPTASGLYWPKTNQNSFDSAVFVPDICYSPMQNFDNQQPNCSRMKRRRQY >itb14g14150.t1 pep chromosome:ASM357664v1:14:16559973:16567524:-1 gene:itb14g14150 transcript:itb14g14150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAGWYFFFILLVDLSALAAAKTRHFKWGVEYMRWSPDGVDGVVLGINGQFPGPTIRARAGDTIAVELTNKLSTEGVVIHWHGIRQIGTPWADGTALISQCPIIPGETFVYRFKVDKAGTYFYHAHYGMQRSAGLYGSLIVEVANGEKEPFQYDEEFNLLLSDWWHANSHEQEVDLNSKPFRWVGEPQSLLINGRGQYNCSLAASFSISPSTRCSLRGGEQYAPQILKVRPNKTYRLRLASSTSLASLNLAIGGHKMVVVEADGNYVEPFAVTDMDIYSGESYSVLFTTDQHPSNNYWISVSVRGRQPKTPQGLTILNYLPNSASKLPTSPPPVSPAWNDYNHSKAFSARILALGRGGPTGPPRGHDRRLHLLNTQNLIDGYIKWAINNVSLALPPTPYLGSIKLGVRNAFDRRPPPEKFSEAYDVMRAALNPNATVGNGVYTFRFNSTVDVILQNANALAANVSEIHPWHLHGHDFWVLGYGEGRFREEDDAGKFNLKNPPLRNTAVVFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVHLVNNIPTQALSCGLTAKMFMKNKP >itb14g14150.t2 pep chromosome:ASM357664v1:14:16559973:16567524:-1 gene:itb14g14150 transcript:itb14g14150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAGWYFFFILLVDLSALAAAKTRHFKWGVEYMRWSPDGVDGVVLGINGQFPGPTIRARAGDTIAVELTNKLSTEGVVIHWHGIRQIGTPWADGTALISQCPIIPGETFVYRFKVDKAGTYFYHAHYGMQRSAGLYGSLIVEVANGEKEPFQYDEEFNLLLSDWWHANSHEQEVDLNSKPFRWVGEPQGHKMVVVEADGNYVEPFAVTDMDIYSGESYSVLFTTDQHPSNNYWISVSVRGRQPKTPQGLTILNYLPNSASKLPTSPPPVSPAWNDYNHSKAFSARILALGRGGPTGPPRGHDRRLHLLNTQNLIDGYIKWAINNVSLALPPTPYLGSIKLGVRNAFDRRPPPEKFSEAYDVMRAALNPNATVGNGVYTFRFNSTVDVILQNANALAANVSEIHPWHLHGHDFWVLGYGEGRFREEDDAGKFNLKNPPLRNTAVVFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVHLVNNIPTQALSCGLTAKMFMKNKP >itb08g00190.t1 pep chromosome:ASM357664v1:8:187524:189319:-1 gene:itb08g00190 transcript:itb08g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLTKSVYDFIVKDAKGNDVHLNIYKGKVLLIVNVASKCGMTNSNYTELNQLYDKYRHQGLEILAFPCNQFGEEEPGSNDQILDFVCTRFKSEFPVFGKIEVNGENASPLYKYMKLGKWGIFGDDIQWNFAKFLVDQKGQLVDRYYPTTSPLTIERDVQKLLGSI >itb08g00190.t2 pep chromosome:ASM357664v1:8:187524:189319:-1 gene:itb08g00190 transcript:itb08g00190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLTKSVYDFIVKDAKGNDVHLNIYKGKVLLIVNVASKCGMTNSNYTELNQLYDKYRHQGLEILAFPCNQFGEEEPGSNDQILDFVCTRFKSEFPVFGKVKQNNKLDCNLRVVSKKYFLPQIEVNGENASPLYKYMKLGKWGIFGDDIQWNFAKFLVDQKGQLVDRYYPTTSPLTIERDVQKLLGSI >itb13g04810.t1 pep chromosome:ASM357664v1:13:5668452:5669492:-1 gene:itb13g04810 transcript:itb13g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIRTFSIFKKRTLSVLLLLLLSLFTSGYAATFEVHNNCPYTVWAASTPIGGGRRLDHGQCWKINAPAGTKMARIWGRTKCKFDSSGRGSCETGDCGGVLQCTGWGKPPNTLAEYALNQFNNLDFFDISNVDGFNIPMSFAPTKPSTNHKCRAISCTADIVGQCPGPLKVAGGCNNPCTTFRKPEYCCTNGPCGPTDYSRFFKTRCPDAYSYPKDDATSTFTCAGGSTDYTVVFCP >itb05g18480.t1 pep chromosome:ASM357664v1:5:25254018:25257086:-1 gene:itb05g18480 transcript:itb05g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNPTVSAQNLRDADDVDDLLCSGIAAAVADGDANHSHSLEEEEEVQSSSLVRPNGYLRGEAWIERAWTHWKKIGQPKLIVAPMVDNSELPFRMLCRKYGAEAAYTPMLHSRIFNEDEKYRSVEFTTCKEDRPLFVQFCANDPDTLLEAARRVEPYCDYVDINLGCPQRIAKRGNYGAFLMDNLSVVRALVEKLAQNLSVPVSCKIRVFPDLQDTINYAKMLEEAGCALLAVHGRTRDEKDGKKFRANWPFIKAVRDSVRIPVLANGNIRHMDDVQNCLEETGADGVLSAETLLENPALFAGYLTTEWASVSNGTMEDGQLDQAELLVEYLKFCERYPVPWRIIRSHVHKMLGEWFRIHPDVREDLNKQSKLTFEFLHGLVNRLRELGVRIPLFLKKPHKECLQVE >itb06g25820.t2 pep chromosome:ASM357664v1:6:26708735:26711286:-1 gene:itb06g25820 transcript:itb06g25820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRWKEALVAVVMMATTFYSEVVVCKEMESPQFTVLHSESDFEVRFYRESVWMTAHTDEISFDKATRDGFHRLFQYIEGANLNFSRISMTVPVLTSIVPGAGPLHSSAYFVKLYLPEEFQAEPPSPLPELNLRPDSWTSHCIAVRKFSGFARDRNIVKEAEKLALSLSRSPWANSTSASEYAYSIAQYNSPFKIIGRVNEVWVDVSGSEANGCKPGLVAPWLHTKEGGKPAGCRRV >itb06g25820.t1 pep chromosome:ASM357664v1:6:26708735:26710911:-1 gene:itb06g25820 transcript:itb06g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVKLANGNSERKSIGKMMLTKLRLFQYIEGANLNFSRISMTVPVLTSIVPGAGPLHSSAYFVKLYLPEEFQAEPPSPLPELNLRPDSWTSHCIAVRKFSGFARDRNIVKEAEKLALSLSRSPWANSTSASEYAYSIAQYNSPFKIIGRVNEVWVDVSGSEANGCKPGLVAPWLHTKEGGKPAGCRRV >itb12g09800.t1 pep chromosome:ASM357664v1:12:7799089:7799901:-1 gene:itb12g09800 transcript:itb12g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTNQDPPLLAPAPITSKTSDMNPLSAIFSLLLLLLLPVLIYAVCLVIKCPGSPFRQIGRSPASEPPGELKVKKQPLVEFFSTLKYAKEEPENESGVTECPVCLSVFVAGEEIRQLNACKHMFHVKCIDVWLGSHSTCPVCRTFVPFKRSKLPVIDGDDCNLRQDPSGLV >itb02g05010.t3 pep chromosome:ASM357664v1:2:2988553:2995504:1 gene:itb02g05010 transcript:itb02g05010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFKFFFFTLFLCFQETKSTFSESKGFSKESFSSGVDKAIGCYHAAIQELIVIDDLLSALIGIEGHYISIKRVRGKEDSISFQVDASMDLALQESSKRLFPLCESYLLINQFVETRSQFKTGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGKLSIQGLWFYCQPMMGSMQALSIVVKKASGSDISGSAVINLLQSQAKAMSGDHVVRSLLEKMLQSANSAYLGILERWVYEGKIDDPYGEFFIAENKSLHKESLTEDYDAKYWQQRYSLKGDIPSFLASAAETILTTGKYLNVMRECGHSIQVPVAENSKLTSFGSNHHYLECIKAAYDFASGELLNLVKEKYDLMAKLRSIKHYLLLDQGDFLVHFMDIAREELLKKPDEISVEKLQSLLDLALRTTAAAADPCHEDLSCCVERTTLLKRLSTLKDLEVIQFVSDGHNLEEPVSITGLETFSLNYKVQWPLSLVISRKALIKYQLIFRFLFHCKHVDRQLSGAWQVHQGVRKLDMHGTAVSVSSLLCRNMLKFINSLLHYLTSEVLEPNWHVMQSRLQTAKSIDEVIQYHDFFLDKCLRECLLLSPAILKKVERLKSLCLQYAAATQRLITTAFEAPVTGTLPQDAPQMEKFSRLKLRSRSQKLKPASEDATVFESILKFEREFTAELQSLGPIFSSGSRAEPYLTHLAQWILGVGNDQ >itb02g05010.t2 pep chromosome:ASM357664v1:2:2988330:2995504:1 gene:itb02g05010 transcript:itb02g05010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTATATAGAGISTPRWNVDRPFLTGRFHQETKSTFSESKGFSKESFSSGVDKAIGCYHAAIQELIVIDDLLSALIGIEGHYISIKRVRGKEDSISFQVDASMDLALQESSKRLFPLCESYLLINQFVETRSQFKTGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGKLSIQGLWFYCQPMMGSMQALSIVVKKASGSDISGSAVINLLQSQAKAMSGDHVVRSLLEKMLQSANSAYLGILERWVYEGKIDDPYGEFFIAENKSLHKESLTEDYDAKYWQQRYSLKGDIPSFLASAAETILTTGKYLNVMRECGHSIQVPVAENSKLTSFGSNHHYLECIKAAYDFASGELLNLVKEKYDLMAKLRSIKHYLLLDQGDFLVHFMDIAREELLKKPDEISVEKLQSLLDLALRTTAAAADPCHEDLSCCVERTTLLKRLSTLKDLEVIQFVSDGHNLEEPVSITGLETFSLNYKVQWPLSLVISRKALIKYQLIFRFLFHCKHVDRQLSGAWQVHQGVRKLDMHGTAVSVSSLLCRNMLKFINSLLHYLTSEVLEPNWHVMQSRLQTAKSIDEVIQYHDFFLDKCLRECLLLSPAILKVERLKSLCLQYAAATQRLITTAFEAPVTGTLPQDAPQMEKFSRLKLRSRSQKLKPASEDATVFESILKFEREFTAELQSLGPIFSSGSRAEPYLTHLAQWILGVGNDQ >itb02g05010.t1 pep chromosome:ASM357664v1:2:2988321:2995504:1 gene:itb02g05010 transcript:itb02g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTATATAGAGISTPRWNVDRPFLTGRFHQETKSTFSESKGFSKESFSSGVDKAIGCYHAAIQELIVIDDLLSALIGIEGHYISIKRVRGKEDSISFQVDASMDLALQESSKRLFPLCESYLLINQFVETRSQFKTGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGKLSIQGLWFYCQPMMGSMQALSIVVKKASGSDISGSAVINLLQSQAKAMSGDHVVRSLLEKMLQSANSAYLGILERWVYEGKIDDPYGEFFIAENKSLHKESLTEDYDAKYWQQRYSLKGDIPSFLASAAETILTTGKYLNVMRECGHSIQVPVAENSKLTSFGSNHHYLECIKAAYDFASGELLNLVKEKYDLMAKLRSIKHYLLLDQGDFLVHFMDIAREELLKKPDEISVEKLQSLLDLALRTTAAAADPCHEDLSCCVERTTLLKRLSTLKDLEVIQFVSDGHNLEEPVSITGLETFSLNYKVQWPLSLVISRKALIKYQLIFRFLFHCKHVDRQLSGAWQVHQGVRKLDMHGTAVSVSSLLCRNMLKFINSLLHYLTSEVLEPNWHVMQSRLQTAKSIDEVIQYHDFFLDKCLRECLLLSPAILKKVERLKSLCLQYAAATQRLITTAFEAPVTGTLPQDAPQMEKFSRLKLRSRSQKLKPASEDATVFESILKFEREFTAELQSLGPIFSSGSRAEPYLTHLAQWILGVGNDQ >itb09g10040.t1 pep chromosome:ASM357664v1:9:6222716:6224670:-1 gene:itb09g10040 transcript:itb09g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLTNMEGKVYSCKFCKTQLAVAEDIISKTVVCEFVALWVGWFVSMLWAFHCRHGEAYLFDKVVNVTLGAKEERMMITGMHTVVDTFCVACGALVGWKYETAHENSQKYKEGKFILERFMILGLDGSNYAVNQDAELDGSDDDEA >itb08g00200.t1 pep chromosome:ASM357664v1:8:189671:191861:-1 gene:itb08g00200 transcript:itb08g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSNPQSVYDFTVKDPKGNDVNLGDYKGKVLLIVNVASECGLTNSNYTELNQIYQSYKDKGLEILAFPCNQFGSQEPGTNEDILQRACTRFKAEFPIFEKVDVNGSNAAPLYKFLKASKGGLFGDSIKWNFSKFLVDKDGKVVDRYAPTTSPLNIEKDIKKLLGIS >itb01g00270.t1 pep chromosome:ASM357664v1:1:142768:143527:-1 gene:itb01g00270 transcript:itb01g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEESRRKLVNLKMQKEAASVREQPVLGSVNWSVSPEYTVRTMDVQELKDSIEETQVLADDRLIELQDAKDDNSVLLKQLQDLKIIPVLNLDYAQFLKVPLCTTYATELHHVSTATPSAV >itb12g08050.t5 pep chromosome:ASM357664v1:12:6202658:6205444:1 gene:itb12g08050 transcript:itb12g08050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLCAEKMDPLVMEGWIGGNVNREESDGQFVGTAYKEMYADSSPRSPLYTHSPAETDSFDLAMDGVMDTSIEQLYNNVYEMQSSDHSPSRRSFLSYGEESRIDSELRYLAGVDYGEVEEIKKEVVVEEKGVGGGGGGGIVSDREKAACEDEKFGKMNTNNKPNSPAKVNRKPNLRGSKSSHGRTSFTRKMSSSSTNPRKLNSPQSMRKGGEDPAKGGKTTYLGPYLLKQARTLVSLGENYQKALDLTLRAIKSFEVSLKPNSSNLEFVMCLHVAAALYCRLGRYREAIPVLERSIEIPAMDKGQNHALAKFAGCMQLGDTYAMQGQIENSILFYTAGLEIQRQVLGEKDSRLGETCRYVAEAHIQAMQFDEAERLCLMALEIHRQNARPASFEEAADRRLLGLVYDSKGDYEAALEHYVLASMALAAQGQEAEVAAIECNIGDAYLALARYDEAICSYQKALTTFKTIKGESHTSVASVFVRLADLYYRIGKFKESKSYCQNALRVYAKPAPATLPKTPPEEIASGLVDVSAIYESMDEPEQALNLLKKAIKAYGDAPGQQSTIAGIEAQIGVLYYILGEYSDSYTSLKTAIDKFRAIGEKKSALLGIALNQMGLTCVQLFAINEAADLFEEARSILEAEYGPYHADTLGVYSNLAGTYDAMGRYFLYQPFKGLSLLNQVYGSDDM >itb12g08050.t3 pep chromosome:ASM357664v1:12:6202060:6205444:1 gene:itb12g08050 transcript:itb12g08050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLCAEKMDPLVMEGWIGGNVNREESDGQFVGTAYKEMYADSSPRSPLYTHSPAETDSFDLAMDGVMDTSIEQLYNNVYEMQSSDHSPSRRSFLSYGEESRIDSELRYLAGVDYGEVEEIKKEVVVEEKGVGGGGGGGIVSDREKAACEDEKFGKMNTNNKPNSPAKVNRKPNLRGSKSSHGRTSFTRKMSSSSTNPRKLNSPQSMRKGGEDPAKGGKTTYLGPYLLKQARTLVSLGENYQKALDLTLRAIKSFEVSLKPNSSNLEFVMCLHVAAALYCRLGRYREAIPVLERSIEIPAMDKGQNHALAKFAGCMQLGDTYAMQGQIENSILFYTAGLEIQRQVLGEKDSRLGETCRYVAEAHIQAMQFDEAERLCLMALEIHRQNARPASFEEAADRRLLGLVYDSKGDYEAALEHYVLASMALAAQGQEAEVAAIECNIGDAYLALARYDEAICSYQKALTTFKTIKGESHTSVASVFVRLADLYYRIGKFKESKSYCQNALRVYAKPAPATLPKTPPEEIASGLVDVSAIYESMDEPEQALNLLKKAIKAYGDAPGQQSTIAGIEAQIGVLYYILGEYSDSYTSLKTAIDKFRAIGEKKSALLGIALNQMGLTCVQLFAINEAADLFEEARSILEAEYGPYHADTLGVYSNLAGTYDAMGRTADAIEILEFVVGMREDKLGTANPDVDDEKRRLEELLKEAGRVRNRKAKSLEALLGKKSHPLRNCQLNVLQCV >itb12g08050.t4 pep chromosome:ASM357664v1:12:6202658:6205443:1 gene:itb12g08050 transcript:itb12g08050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLCAEKMDPLVMEGWIGGNVNREESDGQFVGTAYKEMYADSSPRSPLYTHSPAETDSFDLAMDGVMDTSIEQLYNNVYEMQSSDHSPSRRSFLSYGEESRIDSELRYLAGVDYGEVEEIKKEVVVEEKGVGGGGGGGIVSDREKAACEDEKFGKMNTNNKPNSPAKVNRKPNLRGSKSSHGRTSFTRKMSSSSTNPRKLNSPQSMRKGGEDPAKGGKTTYLGPYLLKQARTLVSLGENYQKALDLTLRAIKSFEVSLKPNSSNLEFVMCLHVAAALYCRLGRYREAIPVLERSIEIPAMDKGQNHALAKFAGCMQLGDTYAMQGQIENSILFYTAGLEIQRQVLGEKDSRLGETCRYVAEAHIQAMQFDEAERLCLMALEIHRQNARPASFEEAADRRLLGLVYDSKGDYEAALEHYVLASMALAAQGQEAEVAAIECNIGDAYLALARYDEAICSYQKALTTFKTIKGESHTSVASVFVRLADLYYRIGKFKESKSYCQNALRVYAKPAPATLPKTPPEEIASGLVDVSAIYESMDEPEQALNLLKKAIKAYGDAPGQQSTIAGIEAQIGVLYYILGEYSDSYTSLKTAIDKFRAIGEKKSALLGIALNQMGLTCVQLFAINEAADLFEEARSILEAEYGPYHADTLGVYSNLAGTYDAMGRTADAIEILEFVVGMREDKLGTANPDVDDEKRRLEELLKEAGRVRNRKAKSLEALLGKKSHPLRNCQLNVLQCV >itb12g08050.t2 pep chromosome:ASM357664v1:12:6202048:6205444:1 gene:itb12g08050 transcript:itb12g08050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVMEGWIGGNVNREESDGQFVGTAYKEMYADSSPRSPLYTHSPAETDSFDLAMDGVMDTSIEQLYNNVYEMQSSDHSPSRRSFLSYGEESRIDSELRYLAGVDYGEVEEIKKEVVVEEKGVGGGGGGGIVSDREKAACEDEKFGKMNTNNKPNSPAKVNRKPNLRGSKSSHGRTSFTRKMSSSSTNPRKLNSPQSMRKGGEDPAKGGKTTYLGPYLLKQARTLVSLGENYQKALDLTLRAIKSFEVSLKPNSSNLEFVMCLHVAAALYCRLGRYREAIPVLERSIEIPAMDKGQNHALAKFAGCMQLGDTYAMQGQIENSILFYTAGLEIQRQVLGEKDSRLGETCRYVAEAHIQAMQFDEAERLCLMALEIHRQNARPASFEEAADRRLLGLVYDSKGDYEAALEHYVLASMALAAQGQEAEVAAIECNIGDAYLALARYDEAICSYQKALTTFKTIKGESHTSVASVFVRLADLYYRIGKFKESKSYCQNALRVYAKPAPATLPKTPPEEIASGLVDVSAIYESMDEPEQALNLLKKAIKAYGDAPGQQSTIAGIEAQIGVLYYILGEYSDSYTSLKTAIDKFRAIGEKKSALLGIALNQMGLTCVQLFAINEAADLFEEARSILEAEYGPYHADTLGVYSNLAGTYDAMGRYFLYQPFKGLSLLNQVYGSDDM >itb12g08050.t1 pep chromosome:ASM357664v1:12:6202048:6205444:1 gene:itb12g08050 transcript:itb12g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLVMEGWIGGNVNREESDGQFVGTAYKEMYADSSPRSPLYTHSPAETDSFDLAMDGVMDTSIEQLYNNVYEMQSSDHSPSRRSFLSYGEESRIDSELRYLAGVDYGEVEEIKKEVVVEEKGVGGGGGGGIVSDREKAACEDEKFGKMNTNNKPNSPAKVNRKPNLRGSKSSHGRTSFTRKMSSSSTNPRKLNSPQSMRKGGEDPAKGGKTTYLGPYLLKQARTLVSLGENYQKALDLTLRAIKSFEVSLKPNSSNLEFVMCLHVAAALYCRLGRYREAIPVLERSIEIPAMDKGQNHALAKFAGCMQLGDTYAMQGQIENSILFYTAGLEIQRQVLGEKDSRLGETCRYVAEAHIQAMQFDEAERLCLMALEIHRQNARPASFEEAADRRLLGLVYDSKGDYEAALEHYVLASMALAAQGQEAEVAAIECNIGDAYLALARYDEAICSYQKALTTFKTIKGESHTSVASVFVRLADLYYRIGKFKESKSYCQNALRVYAKPAPATLPKTPPEEIASGLVDVSAIYESMDEPEQALNLLKKAIKAYGDAPGQQSTIAGIEAQIGVLYYILGEYSDSYTSLKTAIDKFRAIGEKKSALLGIALNQMGLTCVQLFAINEAADLFEEARSILEAEYGPYHADTLGVYSNLAGTYDAMGRTADAIEILEFVVGMREDKLGTANPDVDDEKRRLEELLKEAGRVRNRKAKSLEALLGKKSHPLRNCQLNVLQCV >itb10g11930.t1 pep chromosome:ASM357664v1:10:17585476:17585823:-1 gene:itb10g11930 transcript:itb10g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEQDKLLPIANVGRMMKKILPPSAKISKEAKERMQECASEFISFVTGEASDKCQKENRKTVNGDDICWALSSLGFDVYAEAMTRYLHKFREYERQRVNQTMGASSNDEDGSPP >itb12g25560.t1 pep chromosome:ASM357664v1:12:26780137:26780574:1 gene:itb12g25560 transcript:itb12g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSNSKRMVVWVALAVMMAATTLEFPAANSQVDSCSASLGNLNVCAPFVMPGAVTTAPSADCCSILQSIDHDCICNTLRVAARIPSQCNIPSITCSGQSTNQLTNSPISFFISLTTFIPRCSKLTPDRPDRTLWIGGTEGSLI >itb06g20000.t1 pep chromosome:ASM357664v1:6:23127771:23132504:1 gene:itb06g20000 transcript:itb06g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMKAPINAVKAWLKAQPPKMKVFLALVVASFFIYLLHLLVEEQDNLFVAAEAVHAFGISVLVYKLTKGKSCAGLSLISQELTAMFLAVRLYCSIVMEYDIHTVLDMATLTATIWVIYMIRFKLNSSFMNDKDNFSLYYLVAPCVLLAMFIHPSTNHHIVNRICWAFCVYLEAVSVYPQLHVMQNTKIIEPFTANYVFALGISRFLSCAHWILQILDTQGTLLTALGYGLWPSMVILSEIIQTFILADFCYYYAKCLLGGNLVMRLPSGVV >itb04g04600.t1 pep chromosome:ASM357664v1:4:2859568:2862360:1 gene:itb04g04600 transcript:itb04g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLSGYTQSYKCNTVVYVLDKIRALLLEDDIEYIKDELERVIAFLGVEDGDADIKMWVNQARDVAYDIEDTIDEFMLLVPAEHQSNRFCRIMWRFFFFVRNFKSQQRIASEIQSIKSNVLRHQRCCYPCHAYEIANDRRSDALVLGEDEIVGIENARKELISLLLKKDHTLRVISVVGMGGSGKTTLVKRVYEDAEHYFQSHAWITVSQTFKVEEVLKDMILQLFSEVKQPVPEGMSSMKANKLKVIVKEFLLRRKYVLVFDDVWTTRVWEAIKHVLPKEKYGCRVIISTRLMDVASSSSIDTNGYIYEMKPLSEQVSWALLCRKAFHSSECPSHLREILKQILKRCGGLPLAIVAIGGVLATKNKTNINEWGALYHSFGPEFEGNDQFESLRIILLLSFNDLPQYLKLCFLYLSIYPEDHLIEHNTLIYQWTMQGFVKQKEGRTVEEVAEGYLFELINRSLIIPVKLNNDGTMKRGRIHDLYREIILSKSRDHNFVTTAWPEKARHLSIQGTLGNAEMKRQGTKLPIRSLLTFNVTDSQFSSRVVQILGSCRVLKVLDLRGTCLEIVPEEIFELLHLRYLSLRSTKVKVLPRSIKKLRMLEILDLKYTYVTELPVEILKLQHLKHLLVYGAIPYSYLPYDYSPGFKPPSGIGQLRYLQKLAYIEVNPGSGVIEEIGKLNELKRLCIQKLRTEDCKAMCSSIGKLHKLRSLNLKSIGEDVILDVNYLSSPPPLLQNLYLTGSLREMPHWIKSLHNLVKVYFRWSKLKDDPLEHLQDLPNLVHLEFLVGYTGETLHFNAGKFRSLRLLNLDKLEELRNVVIGEGAMPHLEKLVIQRCNLLARLPAGIECLFNLRYLEFFDMTDGFISTFLPDKRGGDYCKVSHISEVYYTYWKDGCWEVNSVEKKHGSNTSRGPGTAAAKITGRRNSL >itb05g03900.t1 pep chromosome:ASM357664v1:5:3318765:3321804:-1 gene:itb05g03900 transcript:itb05g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYLAVIFLQFGFAGMAIIAKSALNKGMSHYTFAAYRNLIAAVVIAPFAIFFERKVRPKMTISIFLKIMVLALLEPVIDQNLYFAGMNYTTATFTTALCNVLPAIAFILAWILRLEKVEVRKLHSQAKILGTAVTLGGAMIMTLVRGPNIGLPWTKHTQHLQTATALHSQQDLVKGAAMIMAGCSCWAIFYILQAITLKSYPAGLSLTTLICTVGSLQASVVTLVAERKNPAVWALHWDTKLLAYIYCGVICSGIAYYLSGVIMKEKGPVFVTSFSPLSMIVVAIMGSFILGEQVDLGKVLGAGVIVVGLYMVIWGKKQDEVPGRESTDDQVALPSSTLVKSSNTLKGVAGDEAV >itb14g21080.t1 pep chromosome:ASM357664v1:14:23257975:23261731:1 gene:itb14g21080 transcript:itb14g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALNVYGNPVSIATMRVLACVYQKGLQCYINLPMNAPIGEEKSLFGEVPALKDGSSKIYGSRAITSYLAFKYAEQGTKLIPGDGAKMAAMFELMDMEVRKLDPLVSKIACETRMKAVMEMDSGYCCESDAVEKHKKQLERVLDLYEERIKTLGYFPETEEKCGRMMTLADVNHMPLFHYLCNTPSLKGLVEGRPTVRKWSEFILGSGAWGKVTEQLSFHGAKMLEEDMNMLAERNEADEKGMKLMRKVTSEKGHHSPLNLGRWRSSWILLQAPDPVELRMRIVGLTGGIASGKSTVSNLFKSRGIPVVDADIVARNVLKKGTGGWKKVVAAFGEDILQANGEVDRAKLGQIVFSDPAKRHLLNRLLAPYISSGIFMEVLKLWMKGCKIIVLDVPLLFEAKMDKWTKPIVVVWVDPETQLQRLMTRDGSIEEEAKSRISSQMSLDVKRTKADIVIDNTGTLEDLNKQFETVLIQITKPLTWTEYALSRQGAILGLLSIFVGVVLCKKSL >itb09g04480.t1 pep chromosome:ASM357664v1:9:2507360:2510920:1 gene:itb09g04480 transcript:itb09g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTFHNLFDRRPIAKSRAPATKWFKEWVPQDVVATGGKCLLLKWVNEASLKALKEKSIEPEIPEAEPEPATEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYICHYENCGKKFLDSSKLKRHFLIHTGERAFVCPVEGCGKAFSLDFNLKSHMRTHSQENYHICPYPECGKRYAHEYKLKNHISTTHQKSATPEVAKYVQHIEKPTKTPKSSGGAYASASSDRPYVCPYEGCDKAYIHEYKLNLHLRKEHPGHFPDENAKNAQPPASAAVENEMDDESDQEAAYAGKRGNGKAQKQSSRPKPNLKLPPAKVTQRKGSTASPANLNVVKKPWPVKEDIYEEEEDSEETEEERDDVGDGWRYAGNNEDDDEETEYED >itb01g05000.t1 pep chromosome:ASM357664v1:1:3409645:3414514:-1 gene:itb01g05000 transcript:itb01g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGINGCEKMVRLRANDGEEFEVAESVAALSQTVKFVVEDAGLGEDFVIPLLKVDGKTLAKILEYCKVHAAGDKSNAEKKEFDKKFVEVGQAEVYDLLTAANYLEIRELLDIMIQRVADMIKGILYPHAKQTAAPYIYTETLLVSRIQSKNPSSLSLEESSDIMSSSGANDGEKTVTLRANDGEEFAVAESVVALSHTIKFVLEDSTMGETSVIPLFKVDGKTLAKILEYCKMHTATEKTNAEKEEFDQKFVEVDQTELYALLIATNYLGISELQEKLVRRVADMIKGKNPEEIRNTFNIKNDLSPEEEEEIKHENPWVF >itb04g11430.t1 pep chromosome:ASM357664v1:4:11049947:11059082:-1 gene:itb04g11430 transcript:itb04g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKCSPILESILLSGNGLLSSSEWKTVPDIWKTTAEEFGNCIALMDPYHNPPTNLSFKELEKEIVSFAEGLRVIGLKPDEKIALFADNSCRWLVADQGIMTTGAINVVRGSRSSVEELLQIYNHSESVALAVDNPEMYSRIAETFHSHADVRFVILLWGEKASITSEVRDGLPIYSFTEILDLGNESHMALLHSQEARNQYIYEPINSDDVATLVYTSGTTGNPKGVMLTHKNLLHQIVNLWDPVRVVPGDTFLSMLPPWHAYERAAEYFMLSFGCKQGKCLTKDQKQPSYLVSVLDWLWARAIAALLCPLHLLASKLVYSKIHSNIGISKAGISGGGSLSPHVDKFFEAIDITVLDGYGLTESSPCVACRHSNCVVLGSPGHPIRYTEIKVVDAETDEVLPPGSKGIIKAKGPQVMKGYYKNPKATKQVIDENGWLNTGDIGWIVPHHSVGRSRNSSGTVILEGRAKDTIVLSSGENVEPSEIEEAAMGSNLIKQIVVFGQDKRRLGAIVVPHKEEVLLAAKKLSVVDFDATEVSKEKTANLLHEELRKWTTGSAFQIGPLLVIDEPFTIDNGLLTPTMKVRRNKVTDLYKEQIDNLYK >itb06g12000.t1 pep chromosome:ASM357664v1:6:16550273:16552319:-1 gene:itb06g12000 transcript:itb06g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKGVVFDDGAPDDFDPESPYKDPVAMLEMREHLVREKWVHIEKAKILREKVRWCYRIEGVNHLQKCRHLVHQYLDATRGVGWGKDHRPPSLHGPKVQAVESNN >itb07g09400.t1 pep chromosome:ASM357664v1:7:8827274:8827751:-1 gene:itb07g09400 transcript:itb07g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKGESSNSSRSSSRMRQCYVYREWISIQQCECGQEMGLKTSWTNENPGRRYWECSRCKAHSRGFVRWYDPPMCPMSKRIIPGLLKKLNKIEEENAMLKAKLRSGCYCNVLEEVKNKH >itb01g21880.t1 pep chromosome:ASM357664v1:1:27877889:27879792:-1 gene:itb01g21880 transcript:itb01g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVHIFFFPMMAHGHMIPTLDMAKLFVYRGARATVITTPLNVPYLTKAIQKINHLGIEIAVRAITFPAAEAGLPDGCERMDQLSSDDLVPKFFKATALLQEPLENLLQECRPNALVADMFFPWATDAAAKFGIPRLVFHGIGYFALCATENVRAYKPHKNVSSDLEPFLVPGLPHEIYLTRSQLSLHDREDSETYMTKLLREVKESESRSYGVIVNSVYELEPEYAEYYTKVLGKRAWHIGPLLLCNTKIEEKARREKRTTLSPPSSEERHEILKWLDTKKPSSVIYVCFGSMSHFIPSQLHEIAVGLEASGQQFIWVVRKDDKDQENEEWLPEGYEERVRGKGMIVRGWAPQVLILDHEATGAFVTHCGWNSTLEGICAGVPMVTWPMFAEQFYNEKLLTTVLKVGVEVGAKQWSRVTADVKGEAVAQAVVRVMVGEEAEGFRSRARSLKEKALNAIEPGGSSYSALNALLKELSTAK >itb09g11470.t1 pep chromosome:ASM357664v1:9:7139612:7144179:-1 gene:itb09g11470 transcript:itb09g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSAWSFTKAPVKFKIPTNDNLVPIRLDIEIDGQRLRDAFTWNPSDPDTEVVLFAKRTVKDLKLPPAFVTQIAQSIQSQLAEFRSYEGQEMFTGEKVVPIKLDLRVNHTLIKDQFLWDLNNFESDPEEFARNFCKDVGIEDPEVGPAIAIAIREQLYEIAIQSVASARESRMHKKGRRGFEHFSASKAGGAGVDLVKLYGNKTSVVRKRREWDVYEPIVDLLSNEEVDALEAREERSAR >itb03g16090.t1 pep chromosome:ASM357664v1:3:15191085:15193215:-1 gene:itb03g16090 transcript:itb03g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAKVGESSGSRWSLSGMTALVTGGTRGIGRAVVEELAGLGASVYTCSRKEEELNQCLQEWTSKGLKVSGSVCDLSSADQRQLLSQKVSSAFDGKLNILINNVGTNIKKATAEYTMEEYSFIMATNLESSYHLSQLAYPLLKASGAGSIVFVSSVAGLLHVYTGSIYGATKGAMNQLTKNLACEWAKDNIRVNSVAPWYIKTSLVQHVLEKKEFMDKVISRTPFRRVGEPEEVSSVVAFLCLPSASYVTGQIIAVDGGFTVNGFE >itb15g02020.t1 pep chromosome:ASM357664v1:15:1202331:1203678:-1 gene:itb15g02020 transcript:itb15g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVGGAPFQAVFFDGEQEIGIGDVCVNPMMDFKAFQLMLSQRIGISPNQISIYLCSRKVDRRKIPITGKVNFALIAREKDCYFLAVLKRSRKSRNRKAKMNGGGGGAVVDYGDYLSENDFAVSPQPENLILLRRNQPEMSVNMIRNVDPVFNGFGPSYYDQISQAELAGLNDRLNNLQIQRENYAMAMARTNPNLMHLAIPSPNLELDPNNFPRIEDTYWTITAKTEGTTSTCKECMNAKNGKPAAFHPCVNDPVMKGWFRSRAGPVRRTPKTPR >itb13g16180.t2 pep chromosome:ASM357664v1:13:23082249:23085016:-1 gene:itb13g16180 transcript:itb13g16180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALRPSVGVCCGPVKSGFLPIHVAPDSFRFRSNSPFSASRIKLHPSVSHVKSGNQRVGFRSARCNSIRASLSPSDSGNSDVPIAPLQFESPIGQFLSQILMNHPHLVPAAVDQQLQQLQNDDDADKQKDEPSVSGTDLVLYRRIAEVKAKERKKALEEILYALLVQKFMDAGVPLIPAITSPSSDSSGRVDIWSGKDEQLVSLHSPEAYEMIQNHLSLILGNRMGEGDIQISKFKVGQLYAASVMFGYFLKRVDQRFQLEKNIKVLPEGVDREGGDILQVTGEELRSDDSYNAPLSSTQSHPEVESFSAGEVSPGGFGHGIKPSRLRSYVMALDAETLQRYANIRSKEAIGMIEKHTEALFGRPEIGITPQGTIDTSKDEVIKISFSGLKRLVMEAVTLGSFLWDVESYVDSRYHFVTQ >itb13g16180.t1 pep chromosome:ASM357664v1:13:23082139:23085073:-1 gene:itb13g16180 transcript:itb13g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALRPSVGVCCGPVKSGFLPIHVAPDSFRFRSNSPFSASRIKLHPSVSHVKSGNQRVGFRSARCNSIRASLSPSDSGNSDVPIAPLQFESPIGQFLSQILMNHPHLVPAAVDQQLQQLQNDDDADKQKDEPSVSGTDLVLYRRIAEVKAKERKKALEEILYALLVQKFMDAGVPLIPAITSPSSDSSGRVDIWSGKDEQLVSLHSPEAYEMIQNHLSLILGNRMGEGDIQISKFKVGQLYAASVMFGYFLKRVDQRFQLEKNIKVLPEGVDREGGDILQVTGEELRSDDSYNAPLSSTQSHPEVESFSAGEVSPGGFGHGIKPSRLRSYVMALDAETLQRYANIRSKEAIGMIEKHTEALFGRPEIGITPQGTIDTSKDEVIKISFSGLKRLVMEAVTLGSFLWDVESYVDSRYHFVTQ >itb08g00610.t1 pep chromosome:ASM357664v1:8:466857:470242:-1 gene:itb08g00610 transcript:itb08g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSNALSGSIPEFIGDLTNIRFLDLSRNRFTGEIPSALFNPCNKTRFISLSRNNLSGAIPASIENCQSLEGLDFSFNSLTGSFVSQICDIPRLVYLSLRRNMIAGSVQEQLSSCQRLQFLDLGSNMFTGFPPFGVLSLENLTYFNISSNSFQPGALNIGTCSQRLEFLDVSRNGFYGEIPSKISKCSALKYLDVGYNKLNGTIPLELVDLKGLLVFRLANNLITGTIPAELGSIEWLQVLDLHNLRLGGEIPEELSNCRFLLDMDISENFLQGEIPEKLYNISYLVNLDLHHNQLSGNIPTPIGNLSNLHSLDLSENHLSGLIPFSLGNLKSLKHFNVSYNNLSGEIPSIESIQQFGPSAFFHNNGLCGSPLDSCFARKPKLSASAIVAIVAAAVILSGLIVVAIVNTRARRTRRRRREEVMMIVESTSLASTDLNVIIGKLVLFTTSLPSKHEDWKSGKALLDKERVIGGGSIGTVYRTSFEGGVSMAVKRLKTLRSPRNQEEFEHEIGQLGSLQHPNLISMQGYYWSSSMQLILSEFAPNGNLYENLHRVNYPGSSNPELSWPRRFKIALGTARALAYLHHDCKPQVLHLNVKSTNVLLDRNYEAKLSDYGLVKLLPTKFHNAVGYVAPELAQSLRVSDKCDVYSFGVILLELVTGRKPVESPVMNEVVVLCEYVRRLIERGAASDCFDRGLFGFVENELIQVMKLGLICTCEVPSRRPNMAEVVQVLESIRNGSES >itb08g00610.t2 pep chromosome:ASM357664v1:8:466857:470242:-1 gene:itb08g00610 transcript:itb08g00610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIGTHAYFLVVFWCFWLFQFDKVYPITEKEILLQFKGNVSNDPYNSLRSWDPSKSPCQDYSGVFCNSDGNVVKIVLWNTSLEGELSAALSGLKSLRTLTLYGNRFTGNIPSAYGEISSLWKMNLSSNALSGSIPEFIGDLTNIRFLDLSRNRFTGEIPSALFNPCNKTRFISLSRNNLSGAIPASIENCQSLEGLDFSFNSLTGSFVSQICDIPRLVYLSLRRNMIAGSVQEQLSSCQRLQFLDLGSNMFTGFPPFGVLSLENLTYFNISSNSFQPGALNIGTCSQRLEFLDVSRNGFYGEIPSKISKCSALKYLDVGYNKLNGTIPLELVDLKGLLVFRLANNLITGTIPAELGSIEWLQVLDLHNLRLGGEIPEELSNCRFLLDMDISENFLQGEIPEKLYNISYLVNLDLHHNQLSGNIPTPIGNLSNLHSLDLSENHLSGLIPFSLGNLKSLKHFNVSYNNLSGEIPSIESIQQFGPSAFFHNNGLCGSPLDSCFARKPKLSASAIVAIVAAAVILSGLIVVAIVNTRARRTRRRRREEVMMIVESTSLASTDLNVIIGKLVLFTTSLPSKHEDWKSGKALLDKERVIGGGSIGTVYRTSFEGGVSMAVKRLKTLRSPRNQEEFEHEIGQLGSLQHPNLISMQGYYWSSSMQLILSEFAPNGNLYENLHRVNYPGSSNPELSWPRRFKIALGTARALAYLHHDCKPQVLHLNVKSTNVLLDRNYEAKLSDYGLVKLLPTKFHNAVGYVAPELAQSLRVSDKCDVYSFGVILLELVTGRKPVESPVMNEVVVLCEYVRRLIERGAASDCFDRGLFGFVENELIQVMKLGLICTCEVPSRRPNMAEVVQVLESIRNGSES >itb01g01530.t1 pep chromosome:ASM357664v1:1:891861:893270:1 gene:itb01g01530 transcript:itb01g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQCHVMIFPWLAFGHMLPMFKFGKKLAAKGFRVSFVSTPKNLQRLPPIPASFMERLSLREIALPSIPGLPENCEATVDLEQQEQVQFLKTAYDRMAPPFEELLRWDLPELILVDFASHWVPEIANRYGVPTAFLSVYTAATLAYLGSPEGLRSGNLRPSPEYFTGPPSWFDFHSLVAHRPDYAPTMMRNTHTPDASGVSSGQRLSSVIEGCDFVIVRSCKEFEREYIDLLEKLYKRPVLAIGLLPPRLNTAIHPETNSSSWSEAFEWLDKQRPKSVVFVGFGSEYKMPIHQIHELASALELSRMPFLWILRKPGIDNSALLPTDFVNRTSNQGRVILGWAPQQNILAHPAIGGCLFHSGWGTIIESLGFGHPLILLPMVADQGLNAKLLVEKEIGYEVPRREDGSFSPDIVANSIRLVMASQDGEGIRLKAAQMANIFGNQNLHDNYIDQFIQYFQSEVLTQCRRG >itb04g21240.t2 pep chromosome:ASM357664v1:4:26332899:26336947:1 gene:itb04g21240 transcript:itb04g21240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRERRRFCLYWEHLWPTLFWGLGFLTLSAVLHSKSSNCQDATDKDTCSPPQFEIIFFFFSLYLVGLAQGGHKPCVQAFGADQFDTQDPQENKAKSSFFNWWYFGMNFGIFVALLVLTYIQDYLSWGLGFGIPCVVMGIALIVFLFGTITYRFQKDNDNKNPFVRIGRVFIYAAKNHKTSTTEISMELEVQGILPNKGSQQFMFLNKALLAPNGSKEDRKTCTIDEVNEAKAILRLVPIWVTCLGYALVFAQTSTLFTKQGATMDRSIGSIVEVPAASLQCIICFSILVVMPIYDRVLVPIARAITRRPSGITMLQRIGTGLVFSILGMVIAAVVEKKRLQTALDYGLVDTPEVTLPINVCWLIPQYILVGISEAFSMVGLQEFFYDQMPSELKSVGLSLYLSIFGIGSFLSSFLISIIDHATGGDGQDSWFSDNLNRAHLDYFYWLVAGLSTLAFVAYLYFSRLYIYNKATVA >itb04g21240.t1 pep chromosome:ASM357664v1:4:26332813:26336987:1 gene:itb04g21240 transcript:itb04g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATMELPDAETQFLQHDFVDGSVDYKGRPAIRSRSGRWRSAYFIIGVEMAERFAYYGIGLNLISYLTGPLGQSTATAAENVNAWTGTASLLPLLGAFVADSFLGRYRTIISASVLYILGLGFLTLSAVLHSKSSNCQDATDKDTCSPPQFEIIFFFFSLYLVGLAQGGHKPCVQAFGADQFDTQDPQENKAKSSFFNWWYFGMNFGIFVALLVLTYIQDYLSWGLGFGIPCVVMGIALIVFLFGTITYRFQKDNDNKNPFVRIGRVFIYAAKNHKTSTTEISMELEVQGILPNKGSQQFMFLNKALLAPNGSKEDRKTCTIDEVNEAKAILRLVPIWVTCLGYALVFAQTSTLFTKQGATMDRSIGSIVEVPAASLQCIICFSILVVMPIYDRVLVPIARAITRRPSGITMLQRIGTGLVFSILGMVIAAVVEKKRLQTALDYGLVDTPEVTLPINVCWLIPQYILVGISEAFSMVGLQEFFYDQMPSELKSVGLSLYLSIFGIGSFLSSFLISIIDHATGGDGQDSWFSDNLNRAHLDYFYWLVAGLSTLAFVAYLYFSRLYIYNKATVA >itb01g34170.t1 pep chromosome:ASM357664v1:1:36993228:36995079:1 gene:itb01g34170 transcript:itb01g34170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQDLYPSLVFLAIALSLCVVIHYLAHNNKSGKQKLPPEAAAGWPILGHLHIFSGSKLAHVELGNMADKYGPAFTIRIGVHRALVVSDWKLVKELSTVHDVHVSSRPKFRAAEHLGYNYIMFAFTPYGQYWRDIRKFVSTELLSNRRLEQRKHIRVSEIDTSIKELYKLWTENRNSGDPSGRVLVEMKKWFGDITVNVFLQMMAGKRYFRTATASDERDGRRCKKALRDFFHYLGVFAPADALPFLGGLDIGGYEKTMKEVAKEMDSLVDDWLQEHRRKKVAVGDGSTGEEDFIDAMLSRLEEIDRNGYNADSVIKSTCMNLIAGGADTVTVMLTWALSLMMNNPHVLKMAQEELDRVVGRERKVNESDINNLMYLQAIIKETFRIYPAAPLGGPRMFTEDCNVSGFHVPKGTWLLFNVWKLQRDSQVWSSPHEFKPERFINSHKDLDVLGQDFELIPFGAGRRICPGTTFGLQMLHLVLASLLHSFELSNVSDEGIDMTETAGLTNLKLTPLEIRIAPRLPPHLY >itb02g07290.t2 pep chromosome:ASM357664v1:2:4514179:4519031:-1 gene:itb02g07290 transcript:itb02g07290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKAEKIKPGRNFASFVAEDSSEVMDENVKKYLRGEPINLEVLQDKKLKGQLAVREELYGRSAKAAAKAEKWLMPSEAGYLEPEGIEKTWRIKQEAIAREVDILSSRNQYDIVLPDLGPYTLDFTSSGRYMALAGRKGHLAIVDMKNLNLIKELQVRETVRDVVFLHNELFFAAAQKKYSYIYNRDGTELHCLKEHGAALKLQFLKNHFLLASINKYGQLHYQDVTTGEMVGNYRTGLGRSDVMQVNPFNSVISVGHSGGTVSMWKPTSAAPLVKMLCHNGPITALAFHSNGHLMATAGMERKIKLWDLRKYEVLQTLPGHAKTLDFSQKGLLASAIGSSVEVLGDLSGSQNYSRYMGHSMAKGYQIQKVLFRPYEDVLAIGHSMGWSSILIPGSGEPNFDTWVANPFETSKQRREKEVKSLIDKLPPETIMLDPSKIGTVRSTRKKEKPTKEDRESGMEAAIKEAKSVTVKNKTKGRSKPSKKARKKQEAVEKAKRPFFEQQMSGAESSKKRMRASNDDHLPKSLQRFVKKRSTA >itb02g07290.t1 pep chromosome:ASM357664v1:2:4514179:4519031:-1 gene:itb02g07290 transcript:itb02g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKAEKIKPGRNFASFVAEDSSEVMDENVKKYLRGEPINLEVLQDKKLKGQLAVREELYGRSAKAAAKAEKWLMPSEAGYLEPEGIEKTWRIKQEAIAREVDILSSRNQYDIVLPDLGPYTLDFTSSGRYMALAGRKGHLAIVDMKNLNLIKELQVRETVRDVVFLHNELFFAAAQKKYSYIYNRDGTELHCLKEHGAALKLQFLKNHFLLASINKYGQLHYQDVTTGEMVGNYRTGLGRSDVMQVNPFNSVISVGHSGGTVSMWKPTSAAPLVKMLCHNGPITALAFHSNGHLMATAGMERKIKLWDLRKYEVLQTLPGHAKTLDFSQKGLLASAIGSSVEVLGDLSGSQNYSRYMGHSMAKGYQIQKVLFRPYEDVLAIGHSMGWSSILIPGSGEPNFDTWVANPFETSKQRREKEVKSLIDKLPPETIMLDPSKIGTVRSTRKKEKPTKEDRESGMEAAIKEAKSVTVKNKTKGRSKPSKKARKKQEAVEKAKRPFFEQQMSGAESSKKRMRASNDDHLPKSLQRFVKKRSTA >itb15g01660.t1 pep chromosome:ASM357664v1:15:988750:997163:-1 gene:itb15g01660 transcript:itb15g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDAVVVGSGYGGSVAAFRLSMAGFKVCLLEKGRRWEAQDFPRDSWKILSSVRVEKGGVKIGPKDALFQIHQEQDCLAAVACGLGGGSLVNAGVMLPTPASTRRNPKWPKEWETDWEFCQASAAAILRIQTIPAKFQTAKVMDQVLRECLDSSADAPPLKLSVNFDMEDHHLPSKTPRQMGTCLACGNCLAGCPFGAKNSTDKTYLVSAVQAGCTIKTESEVQFIVRNQDDICRQHMTDTTRKRRWLVFLDDYNYITSDFIILSAGVFGTTKILFRSQARGLKFSERLGSGLSCNGNNVAFLAGSSAPLNARGLSSKNFSSVPFKDRPGPSISSSYISSLGFTIQSAVVPSAYPSGLFKGITTYIWPSRDWFLYCIKDKLQRFFRLKEGQEMALNVMGCDESDGEITFKKETESICFRSPRDPLLPRKIEALHKIAKKLGGCLFMSRFRSTSVHLLGGCNAAPDASGGVCNRNGQVFDAKSAKTVHHGLYVCDASLIPCSVGVNPCLTIATIAELVSKDLVRDALGYQSEKEPELSDQFWVTKPDSNYGHSAESETSSVDIKETMTGQIAGMPCSAFLKLRIGCGSYKDCAAGSKHHSFSRGKAGGYLEFRTVEMDRMYVIHGEVDLCGTDPKTPYTQYMHYHLLLGASSGSRYVLEGKKVMNPYMLGLYAWKESTTMHVTFRKISDSASMEENQEMMALKGKLHISFMGFLKAAISVKGNSRLMFLSAFLQSMLRTYILQIPRRNRNILAASELPERQYPTSTLHKIKTEDGFIISCRQWKCCQSEWGLEEGRKLYPVLLINGYSTESFWLPTEPNDLIRTLLQEGHEVWLLQSRVHPLNSSNSFSIEDIGRFDIPSVIDTILEVHGASMKIHVVAHCIGGLAFHIAVMGGHVSAKQIASLSCTNSSMFFKITTSSLVKMWLPLIPISMAILGNNKTLPMFQSSNLNTRQTLLKSLARFLPRYERCTCDECEVFSGIFGNAFWHDNVTQTMHSWLNKVSLPSLPMAAFPHLRKICKSGHIVDAQGNNSYLIHPERMALHTLYISGGRSLLVTPETSFLANKYMKMHRPEFRHGRVVVEGFGHSDLLIGEEADKKVFPHILEHIGLAENGRRFGKGRNDCNNGGLDWGDDPYEDEDDGFWRWNSTFVTLFFLGSSSLNSSLASTALFHPPEAMSRRKTREPKEENVTLGPAIREGELVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >itb08g08530.t1 pep chromosome:ASM357664v1:8:7491233:7493690:-1 gene:itb08g08530 transcript:itb08g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMERSGWGWAEEEERKQETENTKEMGNNGVRAVKIVLSREEVEWVLFQLQSRPGRRIDDLLEELESYRKTGRATKWKPSLESITEHPEAWGHRRLYTLPSVEGNSSAAATGEVTTEGGRIVEAKVEVPTSVPDDKTERGGCGKGNQT >itb08g10600.t1 pep chromosome:ASM357664v1:8:10236165:10244824:-1 gene:itb08g10600 transcript:itb08g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFTLSLDLKRTIQSLMILTSCPLLSHHRSSSCFRSFPQLASSSHLAFPTSSPTPYMESESSAPLLLVLCGKSAVENELAKSLKNNNAMKLLGDDEVEVVLHPEVEDSLGNGGFRIRDYFNSLLTMSLGRFLVYSPRLPSTQDVVARNFCELPVGAVCVADVQFKGRGRSMNVWESPKGSLLFSFTLQMEDGRMVPHVQYVVSLAMTDAINDLCKQYGIPHIDVRIKWPNDLYLGGLKVGGILCTSTYKSQKFNISAGIGINVDNEKPTTCLNAVLQKSTSVPNIFKREDIMAAFFNKFETFIDVFFNQGFQPLEELYYKTWLHSGQRVIVQEKTENQDPFVENVVTIQGLSSSGYLLAITDDGQTCELHPDGNSFDFFNGLVRRKLSQ >itb11g22590.t1 pep chromosome:ASM357664v1:11:24553730:24555692:-1 gene:itb11g22590 transcript:itb11g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTFPATTLPSRSCCLPAPAPPQHHSHPHKHLKPIITRRDLLTSLSVIFAPFFASHPFIDSLSVSHARGLFQMPPYRLSNRYFLVRAGESEYESLGIINTNPVAKTSVDNGLSEKGKKQTIRAALELKQMRACEGNCWIWPSITQRAYQAAEIIAAANAVSRSYIVPEYSFLDARGLGAYEGKSLDSVSEVYESDSLSPNIKPPPIDDGTPNESASDVFVRVTQLMSILETQYSDDTVVIVSPDSDNLTILQAGLIGLDLRRHMDLAFAPGEVRFVDASSIPAYKQPPSAVYKCLNPPYCT >itb10g12440.t2 pep chromosome:ASM357664v1:10:18477699:18480813:-1 gene:itb10g12440 transcript:itb10g12440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMTMEGVMDTGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSEPALLQIRAPVRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRMFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVTALIDEKILCMHGGLSPELNSLDQITEIQRPTDIPDSGLLCDLLWSDPDPRIKGWSESDRGVSCTFGADTVAEFLGKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNVGALLSVDEQLVCSFEILKPATTPKLPLKKVC >itb10g12440.t1 pep chromosome:ASM357664v1:10:18477699:18480845:-1 gene:itb10g12440 transcript:itb10g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMTMEGVMDTGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSEPALLQIRAPVRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRMFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVTALIDEKILCMHGGLSPELNSLDQITEIQRPTDIPDSGLLCDLLWSDPDPRIKGWSESDRGVSCTFGADTVAEFLGKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNVGALLSVDEQLVCSFEILKPATTPKLPLKKPPKIGGS >itb15g19610.t1 pep chromosome:ASM357664v1:15:22041153:22044203:-1 gene:itb15g19610 transcript:itb15g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGTVKDQTSIGIAKVASNMAPELEVAVVKATSHNDDPASEKYIREILRLTSFSRGYVSACVSVVSRRLGKTRDWIVALKCLMLIHRLLNDGDAVFQQEIMYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYALYLDQRLEMMCFERKVNGNGGEIERYGSREEQQWRSPPGSNRGNNYDYSEFRDEPANGMRRSRSSGDVRESTQDKKDVTPVREMKLERIFGKMSHLQRLFDRFLSCRPTGLAKNERMVLVALYPMVRESFKLYADICDVLAVLLDRFFDMEQPDCVKAFDAYASAAKQIDELMGFYNWCKEIGVARSSEYPEVQRITAKLLDTLEEFVRDRAKAVKSPERKVESQPVPEELPAPDMNEIKALPPPENYAPPPPPEPEPPKPVVQETADLVDLREEGVTADDQGNKFALALFAGPVGNNTNGSWEAFPSNGEPEVTSAWQNPAAESGKADWELALVESASHLSHQKATLGGGLDPLLLNGMYDSGIVRQHASTAQLTGGSASSVALPGPGKTTTPVLALPAPDGTVQTVGQDPFAASLNVPPPSYVQMADLEKKQQFLTQEQMVWQQYAQDGMQGQTSLAKISTGGYSAPGQLPYMPYGMPPVNGMGIPPAGYYTTY >itb10g16480.t1 pep chromosome:ASM357664v1:10:22747683:22749098:-1 gene:itb10g16480 transcript:itb10g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKDGLKKGPWSTKEDSLLTTYIQQHGEGHWRSLPKNAGLLRCGKSCRLRWMNYLRPGIKRGNFAPEEDDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLLKKLKSEGIQPKPARTSRAIPKNKNTAKPDKTGRDEKKKKHRHVSKPDVENGVKDRPKMIKVYAPRPVRVSTGLARNYSSDNLAVVSASHGSIASNNNNNNTVEGTSFVPWNMYEVGDDLFNDFMDGCDLSANYLLPDPDDALLEKVYDEYLELLSEDSYLQTCLPS >itb12g03110.t1 pep chromosome:ASM357664v1:12:2067135:2069525:1 gene:itb12g03110 transcript:itb12g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAIRSVARPVSRALFSYRNIFAVSSATSPSIFPSLSPELRSLFRPKLPWIPPSSAFHSLTDTRYPKRRPSESRRKRPIPKPPGPYAVARRLPHDPKPPKNPNEGSVKRRNEKKRIKLHKAFILSEKKKRKALVQEAKKKKLIKRVERKMAAVARERAWAERLAELQKLEEEKKAAVMA >itb09g15520.t1 pep chromosome:ASM357664v1:9:10758583:10761373:-1 gene:itb09g15520 transcript:itb09g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLFFLFLTVIPPTPSNAGSWPPSPGYYPSSKFRSMNFYDGYRNLWGSSHQSVDNNGLTMWLDRTSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITAFYLSNVEEHPGFHDEVDIEFLGTTFGKPYTLQTNVYVRGSGDGNIVGREMKYHLWFDPTQGFHHYAIFWSPREIIFLVDDVPIRRYPRKSIETFPLRPMWIYGSIWDASSWATEDGKYKADYRYEPFVGKLTNFKASGCSAYAPRWCRPLSASPYRSGGLSRQQNRALKWIESHYLVYNYCSDSKRDHSRTPECWMH >itb15g01340.t1 pep chromosome:ASM357664v1:15:807220:808199:-1 gene:itb15g01340 transcript:itb15g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSMNVLAEKLSWYCALLVALMLVLSCCESTESEFAAKMMVETPPHAAAGGCDEIYVVREGETLHTISDKCGDPFIVEENPHIHDPDDVFPGLVIKITPFKQRL >itb09g26290.t1 pep chromosome:ASM357664v1:9:26780680:26782441:1 gene:itb09g26290 transcript:itb09g26290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSTFNVRTTLLLLLLCLTLLMGHSWAGNFNKDFDISWGGDRAGILNNGKLLSLSLDKDSGSGIQSKREYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGATHDEIDFEFLGNLSGDPYIVHTNVYTQGQGNREQQFYLWFDPTANFHTYSILWNPQAIIFYVDGTPIRVFKNLESSGVAYPKTQPMKVYASLWDAEDWATRGGFVKTDWSQAPFKATLRNFKANACIWASGKSSCTSSNSTKINNKPWLSQELDATSAERLKWVQQNYMVYNYCADTNRFPQGLPAECTFNSTTT >itb12g04730.t1 pep chromosome:ASM357664v1:12:3126996:3129144:1 gene:itb12g04730 transcript:itb12g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTRHCPRIDTSELKLRIERKIGRQKTELYFHLLGRYLNSKLSKSEFDKLCINLLGRENICLHNGLIRAIIKNANVADTAPPKVEKVRPSLNIKVPNGYERGSLQSLCRDVFPQSPKKGRTPTLRDRKFKDRPSPLGPNGKTHTAIYEDSAPKVLEQQSATELLSLGSRPPVEVNSVEEGEEVEQAGRPAIYSRIPVTAPLGVSLNTRATRKVLHHGASSFLPPETCHSTGELPDSSTLRKRLEQKLEAEGLKISTDCANLLNNGLDSYLKRLLKPCLSLAAPKSRQKIIQQQALPTLNGMRAVRYIQKPSEYSHVSLLDFRVAMESNPRMLGEDWPLQLEKVCLRASEEP >itb12g04730.t2 pep chromosome:ASM357664v1:12:3127385:3129133:1 gene:itb12g04730 transcript:itb12g04730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTRHCPRIDTSELKLRIERKIGRQKTELYFHLLGRYLNSKLSKSEFDKLCINLLGRENICLHNGLIRAIIKNANVADTAPPKVEKVRPSLNIKVPNGYERGSLQSLCRDVFPQSPKKGRTPTLRDRKFKDRPSPLGPNGKTHTAIYEDSAPKVLEQQSATELLSLGSRPPVEVNSVEEGEEVEQAGRPAIYSRIPVTAPLGVSLNTRATRKVLHHGASSFLPPETCHSTGELPDSSTLRKRLEQKLEAEGLKISTDCANLLNNGLDSYLKRLLKPCLSLAAPKSRQKIIQQQALPTLNGMRAVRYIQKPSEYSHVSLLDFRVAMESNPRMLGEDWPLQLEKVCLRASEEP >itb13g09950.t1 pep chromosome:ASM357664v1:13:14455471:14457401:1 gene:itb13g09950 transcript:itb13g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >itb15g07690.t1 pep chromosome:ASM357664v1:15:5252266:5255967:1 gene:itb15g07690 transcript:itb15g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEHRDEQEESPPPLLEADMSSGPRRIALFIEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHAGLPEEFYGARLVGSQSFPCPLYRNVPLSLALSPRIISEVVKFKPDIIHSTSPGIMVFGALIIAKLSCIPIVMSYHTHVPVYIPRYTFSWLVQPMWLIIKFLHRAADLTLVPSAAIAKDLEAHKVTEANRIRLWDKGVDSESFHPRHCSHEMRLRLSNGEPDKPLIIHVGRLGVEKNLDFLRRVMDRLPDARIAFIGDGPYREELEKMFSGMPAVFTGMLLGEDLSQAYASGDVFVMPSESETLGLVVLEAMSSGLPVVAARAGGIPEIIPEEQQGKIGYLYNPGDLDDCLNKLELLLWSPELRETMGKAAREEMEKYDWRAATIRIRNEHYSSAIWFWRKKRALLLRPFQWLFKCFFPGT >itb14g18010.t1 pep chromosome:ASM357664v1:14:21146429:21147411:1 gene:itb14g18010 transcript:itb14g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVSNSPPEFNQVNAGESDSDTNSDESPEYYQPISSADGDDEDEEFSDQHSNLGDGDRDPSGSSFNRLPNGYARCVENGVSCLDLSDEDDEEEEEEERIRAASDSAIRRAFRDDDSRRSAPLTPENTMRVMEAMRGVSFGGVAPDWAGRVPESQWIQQLRTIRQSSATTTSAMHD >itb12g18980.t1 pep chromosome:ASM357664v1:12:21284469:21288106:1 gene:itb12g18980 transcript:itb12g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPITPCFLKFRAFSSSSSSQYSYNHNTQIGLMLASNSVRSLPRPSLLSSSIPNFRVSKFAPKSISLLPQTSRFCETHQRLTRKKWKISCFRNEEFCSGESNPEVIEEVLQEDQRKSEIDKSSVGKRDWISLLAEAPNIVRRVIGEPWDVPWTAKTIFQVMLLWIVSFWFIGSWMIPFGAHLVGFSKESLTFRGQALFSLLTDVTEGLAGILILQRCLSRFRPLPRDWFKFSVRGNWIFDLVLGCFMFPLVNRLSQFNLDLLPVLPSTPVTLSSVEQSIMARDPVAMALYALVLVVCAPMWEEIVFRGFLLPSLTKYMPVWCSILVSSVAFALAHFNVQRMLPLIFLGVVMGIIYARSRNILPSILLHSLWNGFVFIDLMK >itb12g18980.t2 pep chromosome:ASM357664v1:12:21284497:21287934:1 gene:itb12g18980 transcript:itb12g18980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPITPCFLKFRAFSSSSSSQYSYNHNTQIGLMLASNSVRSLPRPSLLSSSIPNFRVSKFAPKSISLLPQTSRFCETHQRLTRKEDQRKSEIDKSSVGKRDWISLLAEAPNIVRRVIGEPWDVPWTAKTIFQVMLLWIVSFWFIGSWMIPFGAHLVGFSKESLTFRGQALFSLLTDVTEGLAGILILQRCLSRFRPLPRDWFKFSVRGNWIFDLVLGCFMFPLVNRLSQFNLDLLPVLPSTPVTLSSVEQSIMARDPVAMALYALVLVVCAPMWEEIVFRGFLLPSLTKYMPVWCSILVSSVAFALAHFNVQRMLPLIFLGVVMGIIYARSRNILPSILLHSLWNGFVFIDLMK >itb09g00350.t1 pep chromosome:ASM357664v1:9:317338:319807:1 gene:itb09g00350 transcript:itb09g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSVRPNRTIIGIHPPGSSRRRSLCRRSYSIHATNRLKKLGVVFISRRRTLDTALYAGSRADDSAPFEMSVENALKLLGVTEGASFEDILRAKNSIIAACKDDAETIAKVEAAYDMLLMQSLSQRRAGKVVDKSVRYADVKSNAPRMDSMPKWLKTSVRNSPVSFETPSTRELAVQAGVYGALMVLTYANGASSSSEAAYAGADVPGLILATSFGATLYFMTKKNVKLGKASLITIGGLVAGAVVGSAVESWLQVDVVPFLGIHSPATVVGEFVLISQLLVSLYLR >itb02g09630.t1 pep chromosome:ASM357664v1:2:6183206:6183571:1 gene:itb02g09630 transcript:itb02g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFCKRGSKKKKKDYYEVSSGVRPAGIGRARVSIGQTYYGGGGMHAAAHTGGSGGCGGGSGVGVAAATTFAATAALADIGFSGSGDGGFGGGGGDGGGGGGGGDGGGGGGGGDGGGGGGC >itb02g00460.t1 pep chromosome:ASM357664v1:2:320139:321091:-1 gene:itb02g00460 transcript:itb02g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHYQLLPYALLLLSFLPLRAFSLRQIPLPPVISVEGKEVKLGKPYYVSSSLFPQVGLCLVDNVKCPKDIIQCPTFYDDPRGLPVTFSSVANSTEDTVVREDTPYRIELSAPGNCSDENFWYLKEEDGYADNDFVAIGPKKLAVEFIVQKVESGGYKIMQCVLIPIPPYPICYDVGFVSTYGYNRLGIGSGVLPAQFFFSIGTTNSTVAIDKPARC >itb04g18720.t2 pep chromosome:ASM357664v1:4:22340390:22346544:1 gene:itb04g18720 transcript:itb04g18720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELSKGNDARATIILTSGASGRISALFSMQALRSLWLLLNAFVLLFLIPFRGRRRMASAASVATSASQEKGVGKEEKATERKGAIVRVPTTMLSRKSAVEQEVAARRALAMRRVMQDDDDETVRECSLFVTPRGDTLFTQSWTPVSVKVRGLVIILHGLNEHSGRYNDFAKKLNANGFKVYGMDWIGHGGSDGLHAFVHSLDDAVNDTKLFLSKVLVDNNPGLPCFLFGHSTGGAIVLKTALDPKVSTLISGIVLTSPAVGVQPAHPVVTALAPVLSLLMPRYEWRTGNKRGISVSRDPEALVAKYSDPLVFTGSIRVRTGYEILRITTFLQNNLSRLTVPFLVLHGTADLVTHPEASKKLYEDASSTDKTIKLFQGLLHDLLFEPEKEQVADHIIQWLDQRS >itb04g18720.t3 pep chromosome:ASM357664v1:4:22340390:22346544:1 gene:itb04g18720 transcript:itb04g18720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLAIHELYVIRIRSLRFRGKLGSVSRLSSRAGDPARYLFSGLRVFAFPKPMAVELSKGNDARATIILTSGASGRISALFSMQALRSLWLLLNAFVLLFLIPFRGRRRMASAASVATSASQEKGVGKEEKATERKGAIVRVPTTMLSRKSAVEQEVAARRALAMRRVMQDDDDETVRECSLFVTPRGDTLFTQSWTPVSVKVRGLVIILHGLNEHSGRYNDFAKKLNANGFKVYGMDWIGHGGSDGLHAFVHSLDDAVNDTKLFLSKVLVDNNPGLPCFLFGHSTGGAIVLKTALDPKVSTLISGIVLTSPAVGVQPAHPVVTALAPVLSLLMPRYEWRTGNKRGISVSRDPEALVAKYSDPLVFTGSIRVRTGYEILRITTFLQNNLSRLTVPFLVLHGTADLVTHPEASKKLYEDASSTDKTIKLFQGLLHDLLFEPEKEQVADHIIQWLDQRS >itb04g18720.t4 pep chromosome:ASM357664v1:4:22340390:22344713:1 gene:itb04g18720 transcript:itb04g18720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLAIHELYVIRIRSLRFRGKLGSVSRLSSRAGDPARYLFSGLRVFAFPKPMAVELSKGNDARATIILTSGASGRISALFSMQALRSLWLLLNAFVLLFLIPFRGRRRMASAASVATSASQEKGVGKEEKATERKGAIVRVPTTMLSRKSAVEQEVAARRALAMRRVMQDDDDETVRECSLFVTPRGDTLFTQSWTPVSVKVRGLVIILHGLNEHSGRYNDFAKKLNANGFKVYGMDWIGIEFFPIRMQLVRKK >itb04g18720.t1 pep chromosome:ASM357664v1:4:22340390:22346544:1 gene:itb04g18720 transcript:itb04g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLAIHELYVIRIRSLRFRGKLGSVSRLSSRAGDPARYLFSGLRVFAFPKPMAVELSKGNDARATIILTSGASGRISALFSMQALRSLWLLLNAFVLLFLIPFRGRRRMASAASVATSASQEKGVGKEEKATERKGAIVRVPTTMLSRKSAVEQEVAARRALAMRRVMQDDDDETVRECSLFVTPRGDTLFTQSWTPVSVKVRGLVIILHGLNEHSGRYNDFAKKLNANGFKVYGMDWIGHGGSDGLHAFVHSLDDAVNDTKLFLSKVLVDNNPGLPCFLFGHSTGGAIVLKTALDPKVSTLISGIVLTSPAVGVQPAHPVVTVSTPFIPFL >itb06g09090.t1 pep chromosome:ASM357664v1:6:13225489:13226876:-1 gene:itb06g09090 transcript:itb06g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFNPRSVEEVFLQFKGRRAALLRALTTDFDEVYRLCDPALSDKRKLSLYGHLGERWEVNGAEEVMHAVLPEPLRGINFARDRMEKREWLAMLAHHSDLWLLSVAFNFSSRFGHDKADRNRLFGMINELPTILEVVSSGNAKEPPKLLNDDSKYSKVYTRRSVGVVPTNTTLLDGKKAKPSVSASRKWPTPPPPRVCGECNEGYIVGDLWKRCLECQRYFHLMKCLKFTKDQHRAPLFVCSSCALKAFSETAERDPQK >itb01g20260.t1 pep chromosome:ASM357664v1:1:26516389:26517395:1 gene:itb01g20260 transcript:itb01g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCDSSRDVISELPADVKERILERLPTRDAARTAILSTRWRDVWLGHGRIVVDLDLFQCVERCEGDKSVAFVNMINDILLRHAGPVKKFTLCICLQDLKLQPSDLDRWLLFLSKKWYSGTYNLHTWFGP >itb02g01500.t1 pep chromosome:ASM357664v1:2:833887:835439:1 gene:itb02g01500 transcript:itb02g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPFTQRVSAKRGFPHLTLPRSLLSTQSFVVRRRKKGAISAEIQLSYQTFSAGNFRLAKMSVKPTVALRAILVGGIAAFAKIGGAMKAAGGVKLGAAAAAVTAAASAAVSGSKQEPQEVSKQLPK >itb02g01500.t2 pep chromosome:ASM357664v1:2:833887:835439:1 gene:itb02g01500 transcript:itb02g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPFTQRVSAKRGFPHLTLPRSLLSTQSFVVRRRKKGAISAEIQLSYQTFRLAKMSVKPTVALRAILVGGIAAFAKIGGAMKAAGGVKLGAAAAAVTAAASAAVSGSKQEPQEVSKQLPK >itb03g06120.t1 pep chromosome:ASM357664v1:3:4506966:4507882:1 gene:itb03g06120 transcript:itb03g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEETACKSESPEICLRPMELSDLDDFMEWATDDRVSRFCKWDTYTCRDQAVDYINNYAIPHTWLRVICLENRAIGAITVTPRSGSDSCRGELGYVLTHKYWGKGIATRAVKMVASAIFSEWPHMERLEAFVDVDNKGSQRVLEKSGFLREGVLRKHHILKGRSRDFVIYSLLSSDSPSLG >itb07g12820.t4 pep chromosome:ASM357664v1:7:14863079:14867799:-1 gene:itb07g12820 transcript:itb07g12820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MHKLFAARSLTSALFKNRPRHQLLAAFSTSLLFDDTQIQFKESVSQFAQEHIAPHAEKIDKTNYFPQDVNLWKLMGDFNLHGITAPEEYGGLGLGYIYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNANPDQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCRADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDIAARSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGEEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYVRQREQFGRAIGEHQFIQVPTHMKLMQKLILFVVACLKTTFFFLLMQGKVADMYTALQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >itb07g12820.t1 pep chromosome:ASM357664v1:7:14863066:14867799:-1 gene:itb07g12820 transcript:itb07g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MHKLFAARSLTSALFKNRPRHQLLAAFSTSLLFDDTQIQFKESVSQFAQEHIAPHAEKIDKTNYFPQDVNLWKLMGDFNLHGITAPEEYGGLGLGYIYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNANPDQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCRADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDIAARSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGEEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYVRQREQFGRAIGEHQFIQGKVADMYTALQSSRSYVYSVARDCDNGKVDPKVGCCVLLSLRLMFTYYRSWIIFVVFFALFRC >itb07g12820.t3 pep chromosome:ASM357664v1:7:14863506:14867799:-1 gene:itb07g12820 transcript:itb07g12820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MHKLFAARSLTSALFKNRPRHQLLAAFSTSLLFDDTQIQFKESVSQFAQEHIAPHAEKIDKTNYFPQDVNLWKLMGDFNLHGITAPEEYGGLGLGYIYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNANPDQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCRADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDIAARSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGEEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYVRQREQFGRAIGEHQFIQGKVADMYTALQSSRSYVYSVARDCDNGKVDPKVGCCVLLSLRLMFTYYRSWIIFVVFFALFRC >itb07g12820.t2 pep chromosome:ASM357664v1:7:14863079:14867789:-1 gene:itb07g12820 transcript:itb07g12820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MHKLFAARSLTSALFKNRPRHQLLAAFSTSLLFDDTQIQFKESVSQFAQEHIAPHAEKIDKTNYFPQDVNLWKLMGDFNLHGITAPEEYGGLGLGYIYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNANPDQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCRADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDIAARSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGEEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYVRQREQFGRAIGEHQFIQGKVADMYTALQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >itb10g22200.t2 pep chromosome:ASM357664v1:10:27132877:27134242:1 gene:itb10g22200 transcript:itb10g22200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASECVLTFFFCKTFVVQFGELLFSSLSEKPTVVHAPIVAPTYRIPTVNRKQTPTSSSWVKDKGLSDDEEKKEHIRFAQVKSKKDFVHYERVDGEMVNVVEGVELYNGVFDAEEQMKIVECVYKYQQLGRKGQLRARTYYEPKKWMGRKGRTIIQFGGCYNYAVDKNGNPPGIMRDEEVDPLPAVFKQMIKRMVRLLIMALESFLVLSQYLYQSDRCLFYKVMELMLQSIAYLVFHLKEFPLLLEGWMKANCLTTTNPTQSS >itb10g22200.t1 pep chromosome:ASM357664v1:10:27132139:27134242:1 gene:itb10g22200 transcript:itb10g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNIQFGELLFSSLSEKPTVVHAPIVAPTYRIPTVNRKQTPTSSSWVKDKGLSDDEEKKEHIRFAQVKSKKDFVHYERVDGEMVNVVEGVELYNGVFDAEEQMKIVECVYKYQQLGRKGQLRARTYYEPKKWMGRKGRTIIQFGGCYNYAVDKNGNPPGIMRDEEVDPLPAVFKQMIKRMVRLLIMALESFLVLSQYLYQSDRCLFYKVMELMLQSIAYLVFHLKEFPLLLEGWMKANCLTTTNPTQSS >itb09g08780.t1 pep chromosome:ASM357664v1:9:5234584:5237625:-1 gene:itb09g08780 transcript:itb09g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFGCFRFGRDGSAPPSDHASRSTFGSKSLTPTAPEPVASRNRSPLSSLFVSEENDEEKSNLQSKEVEKQGCGTPELEFDVKELKDQAKFLKACGTIPETPIEIRKLSEKCKDLSTHNGEVDPLKFHSWLLNSSIEKQNLVSQPDQSPKPTKDCEDWVKESDSPVHTPSSCMTFEEKSNSPTVTSASPTTVPPSTTCRQRSVRFDCKTDMYSTLSKSSFEVDSPNSKASPYPTPLKLTDEMQTPGTVFPTHVDNLANGRFPRIRSQYVYSILNPIESASQLKEVINEDSESSQVSNSKLLFSDMGESVEPSSEANATSEIGLRETSTENNLNVEASLSSWLKPSLRQSSTQPFGRSIHHGKTLGDRPILGMVAAHWNENETSHVSPKWWDGNGIPNSTHKYKEDQKVSWHATPFEERLEKALSEESLVSQRKRMSGSPISFNETEESDTALSQLHNGNQSHHS >itb09g08780.t2 pep chromosome:ASM357664v1:9:5234584:5237625:-1 gene:itb09g08780 transcript:itb09g08780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFGCFRFGRDGSAPPSDHASRSTFGSKSLTPTAPEPVASRNRSPLSSLFVSEENDEEKSNLQSKEVEKQGCGTPELEFDVKELKDQAKFLKACGTIPETPIEIRKLSEKCKDLSTHNGEVDPLKFHSWLLNSSIEKQNLVSQPDQSPKPTKDCEDWVKESDSPVHTPSSCMTFEEKSNSPTVTSASPTTVPPSTTCRQRSVRFDCKTDVDNLANGRFPRIRSQYVYSILNPIESASQLKEVINEDSESSQVSNSKLLFSDMGESVEPSSEANATSEIGLRETSTENNLNVEASLSSWLKPSLRQSSTQPFGRSIHHGKTLGDRPILGMVAAHWNENETSHVSPKWWDGNGIPNSTHKYKEDQKVSWHATPFEERLEKALSEESLVSQRKRMSGSPISFNETEESDTALSQLHNGNQSHHS >itb14g07350.t1 pep chromosome:ASM357664v1:14:6731015:6731630:1 gene:itb14g07350 transcript:itb14g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGALLVSAATRSAEIWQYLACLPEHIASDQLLDLVLCFPAQQLGRMILRVWNFFCVPPTPYYIRRYSYNSSSSSSSDDDDDDDY >itb12g24470.t2 pep chromosome:ASM357664v1:12:25977980:25982811:1 gene:itb12g24470 transcript:itb12g24470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSVLRGVAHGRSSILHHSQFPTINRLDKPMKLQYRIRRTGFTVFARYSQSQEIFTTRLQDRLGNLPKFVEDIVQTSINTGPRGALRLAQGVQAVIGVGTEWLADVSKTANSSTRLPTEMQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTIFPPEYVEEFQYCFDRAPPIPYEEIQAIIREELGRPIDTVFEYVDPTPIASASIAQVHGARLKGSQDDVVIKVLKPGIEDILVADLNFVYVVARTLEFLSPELDRTSLVAIVKDIRESMLEEVDFTKEAANIEAFRGYLEAMGLTRQATAPRVYRQCSTRRILTMERLYGVPLTDLDSINSLVPNPEASLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLTSISTEDYDAMASGLIDMGATGKDVDSKAFSRDLEKIFSSIQELDTEIIVAAARDTNTNATAVSANVVFDERQMNALFLDLVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNMNMLRDQRINITQNRGQRIIYQ >itb12g24470.t1 pep chromosome:ASM357664v1:12:25977980:25983458:1 gene:itb12g24470 transcript:itb12g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSVLRGVAHGRSSILHHSQFPTINRLDKPMKLQYRIRRTGFTVFARYSQSQEIFTTRLQDRLGNLPKFVEDIVQTSINTGPRGALRLAQGVQAVIGVGTEWLADVSKTANSSTRLPTEMQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTIFPPEYVEEFQYCFDRAPPIPYEEIQAIIREELGRPIDTVFEYVDPTPIASASIAQVHGARLKGSQDDVVIKVLKPGIEDILVADLNFVYVVARTLEFLSPELDRTSLVAIVKDIRESMLEEVDFTKEAANIEAFRGYLEAMGLTRQATAPRVYRQCSTRRILTMERLYGVPLTDLDSINSLVPNPEASLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLTSISTEDYDAMASGLIDMGATGKDVDSKAFSRDLEKIFSSIQELDTEIIVAAARDTNTNATAVSANVVFDERQMNALFLDLVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNMNMLRDQRINITQNRGQRIIYQVQYVRVYDQLRCPHGWTPD >itb08g02120.t2 pep chromosome:ASM357664v1:8:1699742:1705537:-1 gene:itb08g02120 transcript:itb08g02120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGRELDSSRHYDVDLKRKWEAQSETFSNGTTCLLLPNSSPPNSCTDKLGKRRKLNGVEGKTSCGGFHIRKHMVQHYLNLKRSGPPKRLMYYQNDEWTDFPEDILAIVRKDFQMEKSVIEVDFYGKICVLDFVSVMLVDLKTGSQQPIAWIDEAGNRFVPENFADCDEVDEYNDTKNGNNYIEVNLDNLGSNDMKLKIKIEVNGSNISKPEESCGESNTLVRLVNGDLEPEVKDFNMENIDHYVGSSAAKCDEGSAENEQREEKATMIGSPLGYLESDSVRKMFLSGVKPSAHAYIIDVYRDTSILMKAKSELFLKQAEIVKKLHGDANIRFAWLPSSKSVLSRIMKYGLVSCDTIQMKSPYCYGVHLVAIDHTEISANYCDVDENGVRHMILCRVIMGNMEPVHLGSKQFHPSNEAFDNGVDDCQNPKHYIVWSMNMNTHIYPEYVVSFKSSSEAEGALVESENLTDISGVNNNRQILLQNPQGRVLPRIPRSPWMPFPMLFDAISQEVDPEKMRRINISYELFKSKKISRDDLVRKLRLTVGDTLLKNTIISLQAKMMAQSPGEIVNPPKPEPGN >itb08g02120.t3 pep chromosome:ASM357664v1:8:1699742:1705537:-1 gene:itb08g02120 transcript:itb08g02120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGRELDSSRHYDVDLKRKWEAQSETFSNGTTCLLLPNSSPPNSCTDKLGKRRKLNGVEGKTSCGGFHIRKHMVQHYLNLKRSGPPKRLMYYQNDEWTDFPEDILAIVRKDFQMEKSVIEVDFYGKICVLDFVSVMLVDLKTGSQQPIAWIDEAGNRFVPENFADCDEVDEYNDTKNGNNYIEVNLDNLGSNDMKLKIKIEVNGSNISKPEESCGESNTLVRLVNGDLEPEVKDFNMENIDHYVGSSAAKCDEGSAENEQREEKATMIGSPLGYLESDSVRKMFLSGVKPSAHAYIIDVYRDTSILMKAKSELFLKQAEIVKKLHGDANIRFAWLPSSKSVLSRIMKYGLVSCDTIQMKSPYCYGVHLVAIDHTEISANYCDVDENGVRHMILCRVIMGNMEPVHLGSKQFHPSNEAFDNGVDDCQNPKHYIVWSMNMNTHIYPEYVVSFKSSSEAEGALVESENLTDISGVSTCCQVSSDQVRSDSCPAWPVNNNRQILLQNPQGRVLPRIPRSPWMPFPMLFDAISQEVDPEKMRRINISYELFKSKKISRDDLVRKLRLTVGDTLLKNTIISLQAKMMAQSPGEIVNPPKPEPGN >itb08g02120.t1 pep chromosome:ASM357664v1:8:1699742:1705537:-1 gene:itb08g02120 transcript:itb08g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLGRELDSSRHYDVDLKRKWEAQSETFSNGTTCLLLPNSSPPNSCTDKLGKRRKLNGVEGKTSCGGFHIRKHMVQHYLNLKRSGPPKRLMYYQNDEWTDFPEDILAIVRKDFQMEKSVIEVDFYGKICVLDFVSVMLVDLKTGSQQPIAWIDEAGNRFVPENFADCDEVDEYNDTKNGNNYIEVNLDNLGSNDMKLKIKIEVNGSNISKPEESCGESNTLVRLVNGDLEPEVKDFNMENIDHYVGSSAAKCDEGSAENEQREEKATMIGSPLGYLESDSVRKMFLSGVKPSAHAYIIDVYRDTSILMKAKSELFLKQAEIVKKLHGDANIRFAWLPSSKSVLSRIMKYGLVSCDTIQMKSPYCYGVHLVAIDHTEISANYCDVDENGVRHMILCRVIMGNMEPVHLGSKQFHPSNEAFDNGVDDCQNPKHYIVWSMNMNTHIYPEYVVSFKSSSEAEGALVESENLTDISGVSTCCQVSSDQVNNNRQILLQNPQGRVLPRIPRSPWMPFPMLFDAISQEVDPEKMRRINISYELFKSKKISRDDLVRKLRLTVGDTLLKNTIISLQAKMMAQSPGEIVNPPKPEPGN >itb12g13050.t1 pep chromosome:ASM357664v1:12:11730192:11733022:1 gene:itb12g13050 transcript:itb12g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVMASHILIKHQGSRRKASWKDPEGRVICNTTRDAAASQLRALRDDILSGKSNFEDVASRFSDCSSAKRGGDLGPFGRGQMQKPFENATFALKIGEISDIVDTDSGVHIIKRTG >itb03g24060.t1 pep chromosome:ASM357664v1:3:22571019:22576116:-1 gene:itb03g24060 transcript:itb03g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MDRSRSKRYYYDQDYDSDNLPRTKQRYNQHSNHHYAPQSNHHRRLASGGGGGGRKMQDSSLMVTTTYRILCHDVKAGGVIGKSGSIIKAIRQHTGAWINVHELMQGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHERILESDAAFNAGFGGSGGGGSGDDEEEYGMRSGAGGGSNRVVTRLVVSRMHVGSLLGKGGKIIEQMRIETKTHIRILPRDHTLPRCVAMSEEIVQVTGEMNSVKNAIAIISSRLRESQHRDRSHFHGRAHSPERFFPPNDDFVPPMNNLGRRASVDGPNFGSRLSGGFNSSRGNSNSSRTSGYSMESGGGPFTDNAQLIAGELLVFRILCPVDKVDTIIGESDGIIELLQNDIGVEVEIIDPVAGSDEHIIIVSSDEGPDDELFPAQEALLHIQTAIVDLVPEKENIVTTRLVVQSDEVGCLGGRDGALSDIQKMSGADVHILPKEELPSCLSDTDEIVQIVGEIKAAREALIEVTTRLRSYTYRELFQKETPPPISAPSPMGNLSGAETASLNSNSLSQENHTVTDPAASIHQNGPTIPTHHSLKDAGAPVSEIAKQNDSERLKDMPSGLNRIPVPLVTRSTLEVVIPPHAAPKLITKSRNKLAQISELSGATVKLIEDRPEVTEKIIQISGTPDQAERAQSLLQGFILSTQEDGL >itb03g24060.t2 pep chromosome:ASM357664v1:3:22571019:22576116:-1 gene:itb03g24060 transcript:itb03g24060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MDRSRSKRYYYDQDYDSDNLPRTKQRILCHDVKAGGVIGKSGSIIKAIRQHTGAWINVHELMQGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHERILESDAAFNAGFGGSGGGGSGDDEEEYGMRSGAGGGSNRVVTRLVVSRMHVGSLLGKGGKIIEQMRIETKTHIRILPRDHTLPRCVAMSEEIVQVTGEMNSVKNAIAIISSRLRESQHRDRSHFHGRAHSPERFFPPNDDFVPPMNNLGRRASVDGPNFGSRLSGGFNSSRGNSNSSRTSGYSMESGGGPFTDNAQLIAGELLVFRILCPVDKVDTIIGESDGIIELLQNDIGVEVEIIDPVAGSDEHIIIVSSDEGPDDELFPAQEALLHIQTAIVDLVPEKENIVTTRLVVQSDEVGCLGGRDGALSDIQKMSGADVHILPKEELPSCLSDTDEIVQIVGEIKAAREALIEVTTRLRSYTYRELFQKETPPPISAPSPMGNLSGAETASLNSNSLSQENHTVTDPAASIHQNGPTIPTHHSLKDAGAPVSEIAKQNDSERLKDMPSGLNRIPVPLVTRSTLEVVIPPHAAPKLITKSRNKLAQISELSGATVKLIEDRPEVTEKIIQISGTPDQAERAQSLLQGFILSTQEDGL >itb03g24060.t3 pep chromosome:ASM357664v1:3:22571019:22576116:-1 gene:itb03g24060 transcript:itb03g24060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MDRSRSKRYYYDQDYDSDNLPRTKQRYNQHSNHHYAPQSNHHRRLASGGGGGGRKMQDSSLMVTTTYRILCHDVKAGGVIGKSGSIIKAIRQHTGAWINVHELMQGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHERILESDAAFNAGFGGSGGGGSGDDEEEYGMRSGAGGGSNRVVTRLVVSRMHVGSLLGKGGKIIEQMRIETKTHIRILPRDHTLPRCVAMSEEIVQVTGEMNSVKNAIAIISSRLRESQHRDRSHFHGRAHSPERFFPPNDDFVPPMNNLGRRASVDGPNFGSRLSGGFNSSRGNSNSSRTSGYSMESGGGPFTDNAQLIAGELLVFRILCPVDKVDTIIGESDGIIELLQNDIGVEVEIIDPVAGSDEHIIIVSSDEGPDDELFPAQEALLHIQTAIVDLVPEKENIVTTRLVVQSDEVGCLGGRDGALSDIQKMSGADVHILPKEELPSCLSDTDEIVQIVGEIKAAREALIEVTTRLRSYTYRELFQKETPPPISAPSPMGNLSGAETASLNSNSLSQENHTVTDPAASIHQNGPTIPTHHSLKDAGAPVSEIAKQNDSERLKDMPSGLNRYRSVFLFNWCVA >itb03g30280.t4 pep chromosome:ASM357664v1:3:32031001:32033924:1 gene:itb03g30280 transcript:itb03g30280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METRKKERFDQAVRDLSGVWHVCYSIHSSREELDWALQLLTPRWVVSTTPSCRAMELDYVKKHCFNRKHAMDDPFWKLLEIDMSASQGTELEEASVASDVPSFQVQTTSKSNIDLFTQPAVSPRRQLHVSPPMKRLPVTLFGRARQGIVDSAFTFEVNKGHINSGKTERNVFQKHVTKEDGKSDLEGFKGSKVAYTNHISVGKKIELDKVKESNAPNIIMKHQRNGSSTPNGSLENVAKEDKSHLEENRGSNVDTNHISVDSRIELNKFEECSLIDTVNEHQRTVSATSNGSSKSFSASLRKFYRSMNAPVPEPLPSLVELMNANKRARRWL >itb03g30280.t1 pep chromosome:ASM357664v1:3:32030329:32033924:1 gene:itb03g30280 transcript:itb03g30280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQSAIQQVINCIWKYPDAPTVYLTCDLLGQEEIFVNVSRAFGCKIYVDKEKNPECSQALELLVPEILSQDPRSRFQLFGGFPGLYDRAEAKIAEARANFQHEPLIIRASSQWYAYDEGCSEMETRKKERFDQAVRDLSGVWHVCYSIHSSREELDWALQLLTPRWVVSTTPSCRAMELDYVKKHCFNRKHAMDDPFWKLLEIDMSASQGTELEEASVASDVPSFQVQTTSKSNIDLFTQPAVSPRRQLHVSPPMKRLPVTLFGRARQGIVDSAFTFEVNKGHINSGKTERNVFQKHVTKEDGKSDLEGFKGSKVAYTNHISVGKKIELDKVKESNAPNIIMKHQRNGSSTPNGSLENVAKEDKSHLEENRGSNVDTNHISVDSRIELNKFEECSLIDTVNEHQRTVSATSNGSSKSFSASLRKFYRSMNAPVPEPLPSLVELMNANKRARRWL >itb03g30280.t2 pep chromosome:ASM357664v1:3:32030321:32033924:1 gene:itb03g30280 transcript:itb03g30280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEMLKGLPFSVDTWSPNSSRKRNHFLTHAHKDHTQAICTYASYPIYCTLLTKSLVLQHYPQLDRSVFVNIEVGQSFDIEDPDGRFTVTAFDANHCPGALMFLFEGNFGNILHTGDCRLTTECLQRLPEKYVGKRGRESRCPLDCVFLDCTFGKFPLRMPTRQSAIQQVINCIWKYPDAPTVYLTCDLLGQEEIFVNVSRAFGCKIYVDKEKNPECSQALELLVPEILSQDPRSRFQLFGGFPGLYDRAEAKIAEARANFQHEPLIIRASSQWYAYDEGCSEMETRKKERFDQAVRDLSGVWHVCYSIHSSREELDWALQLLTPRWVVSTTPSCRAMELDYVKKHCFNRKHAMDDPFWKLLEIDMSASQGTELEEASVASDVPSFQVQTTSKSNIDLFTQPAVSPRRQLHVSPPMKRLPVTLFGRARQGIVDSAFTFEVNKGHINSGKTERNVFQKHVTKEDGKSDLEGFKGSKVAYTNHISVGKKIELDKVKESNAPNIIMKHQRNGSSTPNGSLENVAKEDKSHLEENRGSNVDTNHISVDSRIELNKFEECSLIDTVNEHQRTVSATSNGSSKSFSASLRKFYRSMNAPVPEPLPSLVELMNANKRARRWL >itb03g30280.t3 pep chromosome:ASM357664v1:3:32031001:32033924:1 gene:itb03g30280 transcript:itb03g30280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGNFGNILHTGDCRLTTECLQRLPEKYVGKRGRESRCPLDCVFLDCTFGKFPLRMPTRQSAIQQVINCIWKYPDAPTVYLTCDLLGQEEIFVNVSRAFGCKIYVDKEKNPECSQALELLVPEILSQDPRSRFQLFGGFPGLYDRAEAKIAEARANFQHEPLIIRASSQWYAYDEGCSEMETRKKERFDQAVRDLSGVWHVCYSIHSSREELDWALQLLTPRWVVSTTPSCRAMELDYVKKHCFNRKHAMDDPFWKLLEIDMSASQGTELEEASVASDVPSFQVQTTSKSNIDLFTQPAVSPRRQLHVSPPMKRLPVTLFGRARQGIVDSAFTFEVNKGHINSGKTERNVFQKHVTKEDGKSDLEGFKGSKVAYTNHISVGKKIELDKVKESNAPNIIMKHQRNGSSTPNGSLENVAKEDKSHLEENRGSNVDTNHISVDSRIELNKFEECSLIDTVNEHQRTVSATSNGSSKSFSASLRKFYRSMNAPVPEPLPSLVELMNANKRARRWL >itb11g19970.t1 pep chromosome:ASM357664v1:11:20852363:20854330:-1 gene:itb11g19970 transcript:itb11g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKLILICQSGGSFVTTGDGSLTYTGGEANAMNITPETVFDDLKLKLAEVYDLDRSSVSAKYFLPGNNRALFNLNSDRDLKRMLDIHANYITAEVFVSGNPEFDRSVLNSSTIRATGVEMAETVNDNHRKSYNRLPWLCEKVQETNPDREKNLKNHMLEVFENGYHGYSIFHLMESLKKNIFQGDGQGDIPGIFLAAAHAVRLDAFQIFIEQIKQISSTTYDWVNQIEPEHWTTLLFKGVPYNYITHNAAETYSKLIEDMRGSTIMQKIDALICMLSDQINHRREESSNCFTKLAPSKEKQLREKAIKAQSLKVLFSSEVVFEVHDDLTHVVNIENQDCTCLEWKLSGLPCCHAVAVFLSSSKNVYDYCPQWFTVESFRSTYSEPINPIPGIGKLVEDEVDSESADVLPPLSPFDKTECSSFSSASLNEAIGNETLMNMCFAPFWDFEYDLAELNGTIDDAGEENSNAVEGAVAVEKNTIPTADAEASQFDTIG >itb07g23870.t1 pep chromosome:ASM357664v1:7:28208032:28210775:-1 gene:itb07g23870 transcript:itb07g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPSGHFRKHWQNYVRTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGISVDHRRRNSSLEGLQTNVQRLKTYKAKLVIFPRRSKKVKAGDSSAEELATATQVQGPYLPITREKPSVELVKVTDEMKSFKAYDKLRIERTNKRHLGARQKRAAEAEKEDKK >itb03g01090.t5 pep chromosome:ASM357664v1:3:576039:580065:-1 gene:itb03g01090 transcript:itb03g01090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKMVGVFISVWMRKELLRRYRISGVKVSSVACGLMGYLGNKGSVSVSMTIEGTSFCFVAAHLASGEKKGDEEKRNYQVSEIFRRTLFPRSPEDGDNPHPHNILGHDRIFWFGDLNYRLYLEDNLARQLIVKHDWNSLHKFDQLRRGQEDGGVFEGWREGNIEFAPTYKYSSSNGNIYSGGIPNAVGEKPRTPAWCDRILWYGKGIHQLSYFRSESKFSDHRPVSALFSTQVEALNNAKIPGRTSVSKHPMIHSYQGRFLDFCGPILINK >itb03g01090.t3 pep chromosome:ASM357664v1:3:576039:580065:-1 gene:itb03g01090 transcript:itb03g01090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVIGAEDPTEATKWNLLIGKILNDKYGCPWLTPVVKPISSDDDYEYNEAPDHHSGRYKLMASKKMVGVFISVWMRKELLRRYRISGVKVSSVACGLMGYLGNKGSVSVSMTIEGTSFCFVAAHLASGEKKGDEEKRNYQVSEIFRRTLFPRSPEDGDNPHPHNILGHDRIFWFGDLNYRLYLEDNLARQLIVKHDWNSLHKFDQLRRGQEDGGVFEGWREGNIEFAPTYKYSSSNGNIYSGGIPNAVGEKPRTPAWCDRILWYGKGIHQLSYFRSESKFSDHRPVSALFSTQVEALNNAKIPGRTSALERAVEEVTPTLLSLIVKDIETSPTHKQTCS >itb03g01090.t1 pep chromosome:ASM357664v1:3:576039:580162:-1 gene:itb03g01090 transcript:itb03g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKDNSRSWFEWWFKCRHKKPHLSGLTDSSDESDDGMGAVCVRSLEMEPCFPDNELRLFVGTWNVAGKPPVGSLAVDLDEWLNLKEAADIYVLGFQEIVPLNAKTVIGAEDPTEATKWNLLIGKILNDKYGCPWLTPVVKPISSDDDYEYNEAPDHHSGRYKLMASKKMVGVFISVWMRKELLRRYRISGVKVSSVACGLMGYLGNKGSVSVSMTIEGTSFCFVAAHLASGEKKGDEEKRNYQVSEIFRRTLFPRSPEDGDNPHPHNILGHDRIFWFGDLNYRLYLEDNLARQLIVKHDWNSLHKFDQLRRGQEDGGVFEGWREGNIEFAPTYKYSSSNGNIYSGGIPNAVGEKPRTPAWCDRILWYGKGIHQLSYFRSESKFSDHRPVSALFSTQVEALNNAKIPGRTSALERAVEEVTPTLLSLIVKDIETSPTHKQTCS >itb03g01090.t4 pep chromosome:ASM357664v1:3:576039:580065:-1 gene:itb03g01090 transcript:itb03g01090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKMVGVFISVWMRKELLRRYRISGVKVSSVACGLMGYLGNKGSVSVSMTIEGTSFCFVAAHLASGEKKGDEEKRNYQVSEIFRRTLFPRSPEDGDNPHPHNILGHDRIFWFGDLNYRLYLEDNLARQLIVKHDWNSLHKFDQLRRGQEDGGVFEGWREGNIEFAPTYKYSSSNGNIYSGGIPNAVGEKPRTPAWCDRILWYGKGIHQLSYFRSESKFSDHRPVSALFSTQVEALNNAKIPGRTSALERAVEEVTPTLLSLIVKDIETSPTHKQTCS >itb03g01090.t2 pep chromosome:ASM357664v1:3:576039:580162:-1 gene:itb03g01090 transcript:itb03g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKDNSRSWFEWWFKCRHKKPHLSGLTDSSDESDDGMGAVCVRSLEMEPCFPDNELRLFVGTWNVAGKPPVGSLAVDLDEWLNLKEAADIYVLGFQEIVPLNAKTVIGAEDPTEATKWNLLIGKILNDKYGCPWLTPVVKPISSDDDYEYNEAPDHHSGRYKLMASKKMVGVFISVWMRKELLRRYRISGVKVSSVACGLMGYLGNKGSVSVSMTIEGTSFCFVAAHLASGEKKGDEEKRNYQVSEIFRRTLFPRSPEDGDNPHPHNILGHDRIFWFGDLNYRLYLEDNLARQLIVKHDWNSLHKFDQLRRGQEDGGVFEGWREGNIEFAPTYKYSSSNGNIYSGGIPNAVGEKPRTPAWCDRILWYGKGIHQLSYFRSESKFSDHRPVSALFSTQVEALNNAKIPGRTSVSKHPMIHSYQGRFLDFCGPILINK >itb09g17880.t1 pep chromosome:ASM357664v1:9:13288969:13292584:1 gene:itb09g17880 transcript:itb09g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEAPISRELTSGSNSSFLFPSANNNELLKSKEVMGSDLYQNQSSGLMRYRSAPSSFFAGVMDSAAANFSAANDGAAADSFMVNDGSSSSDSETMFSSILNCGGSESRDLKNSVQFLKPEMAVESHKRSSEAQQMVFEAPEMGSYGVEMEMQAQMRQQQFRNPSNLIRQSSSPAGFFSGFDVMREGGNYNKGSDGAANRAAANLSSNGFNNHINYSSAQSSSSNYMPSIAENESWNDSSFNCLKRSRDGDFKILSALNGMEAQSGGEPRNCTPGLTHHLSLPSSVEIEKYLHFQQDSVPCKIRAKRGCATHPRSIAERNRRTRISERMKKLQELFPKMDKQTSTADMLDWAVEHIKELQKQVEILTDKKAKCTCSSEAQKVGMQ >itb09g17880.t2 pep chromosome:ASM357664v1:9:13289005:13291526:1 gene:itb09g17880 transcript:itb09g17880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEAPISRELTSGSNSSFLFPSANNNELLKSKEVMGSDLYQNQSSGLMRYRSAPSSFFAGVMDSAAANFSAANDGAAADSFMVNDGSSSSDSETMFSSILNCGGSESRDLKNSVQFLKPEMAVESHKRSSEAQQMVFEAPEMGSYGVEMEMQAQMRQQQFRNPSNLIRQSSSPAGFFSGFDVMREGGNYNKGSDGAANRAAANLSSNGFNNHINYSSAQSSSSNYMPSIAENESWNDSSFNCLKRSRDGDFKILSALNGMEAQVPILVPISPHG >itb09g17880.t3 pep chromosome:ASM357664v1:9:13288969:13292584:1 gene:itb09g17880 transcript:itb09g17880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEAPISRELTSGSNSSFLFPSANNNELLKSKEVMGSDLYQNQSSGLMRYRSAPSSFFAGVMDSAAANFSAANDGAAADSFMVNDGSSSSDSETMFSSILNCGGSESRDLKNSVQFLKPEMAVESHKRSSEAQQMVFEAPEMGSYGVEMEMQAQMRQQQFRNPSNLIRQSSSPAGFFSGFDVMREGGNYNKGSDGAANRAAANLSSNGFNNHINYSSAQSSSSNYMPSIAENESWNDSSFNCLKRSRDGDFKILSALNGMEAQSGGEPRNCTPGLTHHLSLPSSVEIEKYLHFQQDSVPCKIRAKRGCATHPRSIAERNRRTRISERMKKLQELFPKMDKVKSHNTITLILSVYSTIC >itb09g01590.t1 pep chromosome:ASM357664v1:9:974029:978373:1 gene:itb09g01590 transcript:itb09g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIDGLKSYVHKVKSGFTLTIFTTNQLIHLFSKYGLIGEAQNLFDEMSERNVFTWNAIINAHVKAQNFVRARELFDAAPQKDSVTYNSMLSGYVNCNGHENQAVEVFVVMQYESGKARIDEFTLTSMLGLTVKLGVLSYGKQLHSYMLKTGNDISSFAVSSLIDMYSKCGSFQDAWRVFSACDCQAVDLVSKNALVAACLREGQLEIARKIFWSNPELNDAISWNTMISGYAHNGYEKEAIQLFKLMAEEGLSWNEHSYASVLTACASLKFLKLGKETHAWVLKKGAVLNPFISSGIVDVYCKCGNMRYAESVHETIEGQNPFSVTSLIVGYSAEANMLAARRLFDSLAEKNPVVWTAIMSGYLKSQQSEEVFQLFKEHREKDVRVVPDVLILVSLLGACAMHATLDPGKQTHAYLLRTGTMMDEKLANTLVDMYSKCGSISYAENIFQQFESKDSILYNTMIAGYAHHGYENETLLLFREMKDRGFQPDAVTFLAILSMCRHRGLIKIGEEFFYSMTKDYNISPDIDHYSCMIDLYGRGNQLEKAVDIMEKIPSEPDAVVLGAFVNACKMNGNAELAKTAEEALLRIEGENGARYVQFASIYASDGKWSEMGRIMKMMRGKDVKKLVGCSWIVYTLGGVARNIAECMSKLGAKPYLISAVGFDMAGNLLLEHWKSAGLSIEGIRRHHSIETATVCHIYDSKGEVAAGVANFEAVEKFLTSAWIQNFKCNISSAPVLLLDANLNSLVLQASCQLAAECNTPVWFEPVSVVKSRRIASVANYITFASPNEHELISMGNAVANADIFQPIKNAEGSQKLSVETLFQRLKPAITLLLDKGVKALIVTIGSDGAFLCFRGTGSINKLGFTGNQPSPFSKQLYEAVTSKCPRDHIFNTSKRDSSSNMFAVHFPTLSTSVARLTGAGDCLVGGTLASWCAGLDVMQSLAVGIAAAKAAVEVDSNVPAKYSLAKLADDARIIYTGARTIFCPSML >itb11g11100.t1 pep chromosome:ASM357664v1:11:8050385:8054322:-1 gene:itb11g11100 transcript:itb11g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKEGSRPPWVGLGAAVWVQIAAGNAYTFPLYSPSLKSVLGFSQQQLTILGVANDIGENVGILPGIACNKFPPWAVLLVGAACAFLGYGVLWLVVSRTVQSLPYWLLWVALCIATNSSAWLGTAVLVTNMRNFPLSRGTVAGILKGYIGISAQALTEVFTMVLDDSASKLLLFLTLGIPVLCLGMMYFIRRCTPASGEDSSEYGHFLFTQAASVILSIYLLTTTILKDTISISSSVCYVFVGIMVIFLMSPLAIPLKMTLFPRKRKQLGQLDGSSSNLLAAEAEAEGDSSQTAHLLVPSISSSNLGSFREGEEMSDVEFLLAVGEGAVKVKRKPRRGEDFKFREAVVKADFWLLWFAYFFGVGSGVTVLNNLAQIGVSLGVNDTTILLSLFSFCNFLGRLGAGAVSELFVRSRTIPRTFWMIVTQIIMIITFLLYASALNGTLYPATALLGICFGVQFGIMIPTASELFGLRNFGVIFNFMQLGNPIGALVFSGSLAGYVYDAEAARQQVVQCMGPNCFRLTFLVLAGVCGLGTLLSIILTIRIRPVYQMLYAGGSFRIQQTSGH >itb02g08370.t1 pep chromosome:ASM357664v1:2:5272229:5281485:-1 gene:itb02g08370 transcript:itb02g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLRSLWSTSRRSVSSTSSPYLKLHSYARAAGFGRAFSTSTATAPAPAAVPGGAVDPGLLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERALDSISLERERGITIASKVTSLSWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDKPAVSEERCSEVESLVFDLFANLGASEEQLDFPVLYASAKEGWASSAYTKSPPNNAKDMSELLDAIIRHVPPPSASLDAPFQMLVSMMEKDPYVGRTLTGRVSSGVVRVGDKVHGLRHTDSGVVKIEEGKVTKLMKKKGMSAVSVDCAGAGDIISMAGLTTPAIGHTVANVEVLTALPTVELDPPTISMTFGVNDSPLAGRDGIHLTGGKIGDRLMAEAETNLAINVLPSNSDSYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKFENGRKLEPIEEVTIEVDDEHVGFVMETLSHRRAEVTDMGSVPGNVGRTRMCLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLNYEKHRGPLGNVRKGVLISMGFGTITAHALMSLEPRGVLFVTPGMDAYDGMIVGEHSRDSDLDVNPVRTKELTNVRAACKDDNVKLSPPRLMTLEEAIGYVASDELIEVTPKAIRLRKRYLDVNKRKTMRNKPKE >itb02g03980.t1 pep chromosome:ASM357664v1:2:2380439:2384695:1 gene:itb02g03980 transcript:itb02g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDAKLNPAANQLPEADSLPDGFVDSSGADSLVPETPSPEQEKPLSPELTNYKEDKLEEPEIRPVLIVNDNHFALPAVNGCPETAAEEQAKGQSSSLENSTGEGSDQQTLTINEAPSHESSENRKTEASDVKRKNVKRTFKSEKEFLEFTLKYQQVLAERDSAIAVRDKLESLCRELQRQNKILMDECKRMSTEGQNLRLDLSNRFQEAIKEVSNKLEEQKDECLSQLKENEMLKNKLKQVVDQYALTEQQYAQKLKQKDLELQIAELKIKQHEEKLVQGQSQMKLYAEQVSQLLTTEKSLRLQLTADGEKFQQFQEALLKSNEVFETFKQEIEKMGKSLKELKKENTFLKSKCNKSDVTLIELVEEREHLKKQLEKMKNQKERLESLCRSLQAELKANSTASRNLDPPQA >itb10g25190.t1 pep chromosome:ASM357664v1:10:28598698:28602517:1 gene:itb10g25190 transcript:itb10g25190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIEKWWSSDESPRTRSSFASPSASYPKRRRRNQVDSVCCSVEHEHNKWKLSSKVVDRCDPFALCNLEEGLDHEKFGSVAKEIEDLIARRGQVLNKLYAHDISSPCGTLDVGRHCDEDSNVSISHVIDLEDEHQEHNLPPAMPIPTVDISPSIPLVILDSDNEDCGSEMSNCPYQGNISKNTGDDIHKNDTMECDNAGHQTLSGGATLCSGNGKKKDGGVYVGVEDEENKQPDADGVDDIWKEMSFVLEYSKDTTVDLLSENRAIKDGEEDCDHFFIMKDDIGTVCRICGYIEKRILATWKKEFRRWQVEDLALYDFYSAKAENRSQQLELLRHWSAERSILFLGYKQFSSIVCDNDTTNTTAECRMILLTCPSILILDEGHTPRNEETNTLTALEKVQTPRKVVLSGTLYQNNVREVFNILNLVKPKFLKLDTSKAVKKRILSRASISGRHNLVKDVSDREFYELVEHTLFEDKNLSRKVTIIKDLREMTSKVLHYYKGDFLEELPGLVDFTVLLKLHQRQKSEVAKLKKLKQKFKVSSEGSAIYVHPKLKCLCGSRERFDEKKIDMILEDLEEQEGVKTKFYLNLLQLCESRGEKLLVFSQYLLPMKFLERLTVKLKGYSVGKEIFMITGDADSGMRESSMEQFNTSADARVFFGSIRACGEGISLVGASRVLVLDVHPNPSVTRQAIGRAFRPGQQKKVYTYRLVASGSPEEEDHSTCFRKESIAKLWFEWNEYDGHCDFQMEEVDVKTCGDMFLETPCLSEDVISLFKRSVNSFSPIYFNCKLLLALTNVFKFDFSDKDETFYKGKKQERFYCNYGCYDNMP >itb02g13920.t1 pep chromosome:ASM357664v1:2:10157215:10162224:1 gene:itb02g13920 transcript:itb02g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSIVVKVKYGETLRRFNAHVVDEKLALDIDQLREKILYLFNFSPDVEITLTYIDEDGDVVTLGDNEDLKDVVKQELDPLRITVKLNSERSGRPSSRSSGSSTPLRSPCMQPTSQNMNAGVSELLKAVPEPVRETLIKLSADLASKATSSAPPVLSELVEAFSKVGLSYLNQPQETQSRNESDSQGRPSGNACGVTESGPRVDDIPLEVFLDTTANKMESIVNEVLKPSEESLKTSGPNPTKVPEGEASVPKTVSVNLNEPLIGSAVGDPQPTVFKSDVGKRVGGKKFGDSSLVGKALGIANSGAPPFNGNKINNTRDCTGGFGSFNLNASADSDHLKWSIWDSGDSSSGGSLRKMSRGDFGSSGPQPGLSFMDGCPFSGVPTADNPFSSLPVPFDVPPKRSHSHSVGNGTIFHKGVRCDGCGVHPITGPRFKSKVKDDYDLCSICFAETGSSASDYIRIDRPVNYRHPWSFRGLSDHHARMRSTAPPHMFRGIGVKPSRPKLDSRFIQDVNILDGTIIAPLTRFTKIWRMRNNGNFVWPQGTQLVWIGGDRLSDAISVELEITAAGLPVEQELDVAVDFVAPNLPGRYVSYWRMATPSGQKFGQRVWVLIQVATTTMEPVKKPVPENLRGLNLNLPPASSSSIGIPEMINVNPEPMVEESHREPNVSSKSAELVEPVVEGTNSMKDQEVNFPINDSLFVGLDSIAQPAPHLPPAPVTTGGSSISYPVVDLSNVEPAVAPARPSPPHTNVDKPSQDVTDSSEVELSLLKELEEMGFKQIDLNKEILRMNEYDLQQSVDDLCGVSEWDPILEELEEMGFCDKEVNKKLLKKNGGSIKRVVMDLISGEK >itb09g00590.t1 pep chromosome:ASM357664v1:9:437187:438532:-1 gene:itb09g00590 transcript:itb09g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPSQARIFAIKSSSRTDKKNLKRPCLVQKLVDLCSQGHLKQAVDSLGLLARKGLRLDSNTLAFLLQQCASSKSIKLGKWLHLHLNLTGLKHPNTFLANHLINMYSKCGDHVEARKIFDKMTTKNMYSWNSMLSGYAKLGMAKPARRLFEKMPQKDIVSWNIMVIAYAQSGHIYEALRFYRESRASNVGFNEYTFAGVITVCVKAKELILTRQVHCQVLVAGFLSNLVLSSSILGAYAMCEEMGDARRLFDAMRTRDVLTWTTLVSGYAKWGDMKSARELFNMMPEKNPVSWTALIAGYARNACGNETVILLAEMIKHQVKPNQFTFSSCLSACASIPSLVLGKQIHALLIVTGFRPNNTILLRSLIEMYSNSGSFEDEKKVFNILDRKQNVVS >itb05g21730.t1 pep chromosome:ASM357664v1:5:27374478:27376675:-1 gene:itb05g21730 transcript:itb05g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDHSVSSATTAGGSSGGSPLRVDWPTVDGPLGLSEQDSIGYARRFFKFGFLLLPWLWAVNCFYFWPVLRRPTSHFNPDLRRYVVGSAIGFTVFTAILCSWAITFAVGGEQLFGNVWNELVMYNVAEKYGLTGWM >itb05g19500.t1 pep chromosome:ASM357664v1:5:25978746:25980033:-1 gene:itb05g19500 transcript:itb05g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEAKKVPGDVAQENATVSAQVVNEREDRDIMLARVEMEKKMSLINAWEDSEKSKAQNKAQKKISEISSWERSKKANIESELNKIEENLERQKAESIEKLQNKIAMIHKSAEEKRAMTEAKRGEDILKAEEMAAKYHATGTAPNKLLGLF >itb04g07620.t1 pep chromosome:ASM357664v1:4:5205804:5206682:-1 gene:itb04g07620 transcript:itb04g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTYTDEQTSPVAPSRIFKASIVDSHNLIPKLMPQAIKSIDIVQGNGGTGSIKQINFPEGSSLKSIKYYIDELNEETLTYKYTLVEGDALLDQLEKITYEVKFEKTAEGGSISKVTSKYYTVGDFKMKEEEIKAGKERVLAMYKAVEAYLIQNPQAYA >itb15g14420.t4 pep chromosome:ASM357664v1:15:12630410:12633691:1 gene:itb15g14420 transcript:itb15g14420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MQALRRYPLQNISSKFFNQVAGANAYSTKVLHDVGQPTAATHPMLLKEGEITPGITCEEYIMRRKKLLELLPEKSLAIVASAPIKMMTDVVPYPFRQDADYLYITGCQQPGGVAVLGHDCGLCMFMPEADPHDVLWQGEVAGVDAALQAFKADQAYPINRLHKILSGMIGSCSNLFHNVKTASSAYMDLEPFKKAYQSGIVKDFSIYTHEARLVKSPAELKLMRDSASIACQALIQTMLHSKLFPHEGFLSAKFEYECKMRGAQRMAFNPVVGGGPNGSIIHYARNDQKIEDGNLVLMDVGCELHGYVSDLTRTWPPCGRFSPVHVRNLLRYLFYSLITQNTVTLM >itb15g14420.t2 pep chromosome:ASM357664v1:15:12630412:12637731:1 gene:itb15g14420 transcript:itb15g14420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MRRKKLLELLPEKSLAIVASAPIKMMTDVVPYPFRQDADYLYITGCQQPGGVAVLGHDCGLCMFMPEADPHDVLWQGEVAGVDAALQAFKADQAYPINRLHKILSGMIGSCSNLFHNVKTASSAYMDLEPFKKAYQSGIVKDFSIYTHEARLVKSPAELKLMRDSASIACQALIQTMLHSKLFPHEGFLSAKFEYECKMRGAQRMAFNPVVGGGPNGSIIHYARNDQKIEDGNLVLMDVGCELHGYVSDLTRTWPPCGRFSPVHEELYHLLLETNKECVKLCRPGTSIREIHDYSVEKMQKAFKEIGLLKDNRPERYHMLNPTSIGHYLGMDVHDCSTVSFNRTLKPGVVITIEPGVYIPSNSDVPERYRGIGIRIEDEVLVTETGYEVLTGSIPKEIKHIESLLNNFSNGMESHNSTRAAFR >itb15g14420.t1 pep chromosome:ASM357664v1:15:12630410:12637731:1 gene:itb15g14420 transcript:itb15g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MQALRRYPLQNISSKFFNQVAGANAYSTKVLHDVGQPTAATHPMLLKEGEITPGITCEEYIMRRKKLLELLPEKSLAIVASAPIKMMTDVVPYPFRQDADYLYITGCQQPGGVAVLGHDCGLCMFMPEADPHDVLWQGEVAGVDAALQAFKADQAYPINRLHKILSGMIGSCSNLFHNVKTASSAYMDLEPFKKAYQSGIVKDFSIYTHEARLVKSPAELKLMRDSASIACQALIQTMLHSKLFPHEGFLSAKFEYECKMRGAQRMAFNPVVGGGPNGSIIHYARNDQKIEDGNLVLMDVGCELHGYVSDLTRTWPPCGRFSPVHEELYHLLLETNKECVKLCRPGTSIREIHDYSVEKMQKAFKEIGLLKDNRPERYHMLNPTSIGHYLGMDVHDCSTVSFNRTLKPGVVITIEPGVYIPSNSDVPERYRGIGIRIEDEVLVTETGYEVLTGSIPKEIKHIESLLNNFSNGMESHNSTRAAFR >itb15g14420.t3 pep chromosome:ASM357664v1:15:12630410:12635447:1 gene:itb15g14420 transcript:itb15g14420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MQALRRYPLQNISSKFFNQVAGANAYSTKVLHDVGQPTAATHPMLLKEGEITPGITCEEYIMRRKKLLELLPEKSLAIVASAPIKMMTDVVPYPFRQDADYLYITGCQQPGGVAVLGHDCGLCMFMPEADPHDVLWQGEVAGVDAALQAFKADQAYPINRLHKILSGMIGSCSNLFHNVKTASSAYMDLEPFKKAYQSGIVKDFSIYTHEARLVKSPAELKLMRDSASIACQALIQTMLHSKLFPHEGFLSAKFEYECKMRGAQRMAFNPVVGGGPNGSIIHYARNDQKIEDGNLVLMDVGCELHGYVSDLTRTWPPCGRFSPVHEELYHLLLETNKECVKLCRPGTSIREIHDYSVEKMQKAFKEIGLLKDNRPERYHMLNPTSIGHF >itb05g01630.t1 pep chromosome:ASM357664v1:5:1310135:1313455:-1 gene:itb05g01630 transcript:itb05g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAYAIYIYTCTMTIFAFLFPFSITLLLLLYTTRGAAAGIHFFVEICSWFGRRRVHLGSKLQHNYGHIQTDSFTCLLWMKLKTNNKLARHGGKNNNNTATAERNIRGSYALSSSVPIWSPLFLPRLPLHFFQDFFCLTFMNWRSIAAFSVSSKAGNKYSSLFWILTCVYPRFFGTVVAFENYLLFRVL >itb13g05760.t1 pep chromosome:ASM357664v1:13:6908841:6909314:1 gene:itb13g05760 transcript:itb13g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLLQSITPVEEPNVIKKGSTPDELEMQVRKCFGGKKYLIVLDNITSKQTWYQAWTEIMGCVANGLVGSYILLTTRHYFNLDVQRKRYIHIHKMTLLDQKESWELFCNILSIDEEHLAPKFEKIRNHVVEKCDGLPQLIVEVAKRLSKCNNIQQG >itb04g32910.t1 pep chromosome:ASM357664v1:4:35298985:35299514:-1 gene:itb04g32910 transcript:itb04g32910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGKLVTVVLVCMVVLCAVNVVPKAEAGSDSKFYKDCYEACNKNCMKGGKGATDCEMNCDTECNRKELASKLDNMA >itb01g25280.t1 pep chromosome:ASM357664v1:1:30734763:30736873:-1 gene:itb01g25280 transcript:itb01g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQAGKS >itb02g07770.t1 pep chromosome:ASM357664v1:2:4873767:4874930:1 gene:itb02g07770 transcript:itb02g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRICFVLLLFFLLLGIATPSFLKYDRLESPGEGRSLLYRTPGCPFDVKNMKYTIVTSQCQRRQGYYNAKICCTSFKKLACKYRDYLNSNTNTCATQMFYYLRGHGKYPPGLFQTLCHEAKNGLDCIGY >itb13g26650.t1 pep chromosome:ASM357664v1:13:31840063:31841845:-1 gene:itb13g26650 transcript:itb13g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFNINSFCILFVTFAIIFPTILGHIAEFDEVWQQRKIEAWEHALRTFEPDPNNVTAAFNHEVHRTLLDSENELAKKNDNVSDDTRRQLGTKHKHYHGPCNATNPIDRCWRCQANWANNRKRLADCGMGFGRKAIGGKHGGFYIVNDNSDNPMKPKPGTLRHAVIQKQPLWIIFGRSMNIRLIQELMVTSHKTIDGRGAQVHISGGAGITLQFVKNVIIHGIRVHDIVEGSGGMVRDSVDHFGLRTKSDGDGISIFGSSDVWVDHVSMKNCYDGLVDAIEASTGVTISNGHFTDHNEVMLFGANDWSPKDKIMQITIAFNHFGKRLVQRMPRCRFGYIHVVNNDYTHWNMYAIGGSADPTIISQGNRFIAPLDIHKREITHRAAGTPQQWKQWTWRSQGDIYMNGAFFVQSGDPNFMSKHNQLYDGVKTFKATEVTWLTRFAGALNCKPGAPC >itb13g26650.t2 pep chromosome:ASM357664v1:13:31840209:31840769:-1 gene:itb13g26650 transcript:itb13g26650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCYDGLVDAIEASTGVTISNGHFTDHNEVMLFGANDWSPKDKIMQITIAFNHFGKRLVQRMPRCRFGYIHVVNNDYTHWNMYAIGGSADPTIISQGNRFIAPLDIHKREITHRAAGTPQQWKQWTWRSQGDIYMNGAFFVQSGDPNFMSKHNQLYDGVKTFKATEVTWLTRFAGALNCKPGAPC >itb05g25550.t1 pep chromosome:ASM357664v1:5:29902858:29908168:1 gene:itb05g25550 transcript:itb05g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASADPRYAPEDPSLPKPWKGLVDGKTGYLYYWNPETNITQYERPGGSAPIHKSSAGFVSSSVQKSSHGQHRDNDDVDRYGRGHRGSSKPSGGEDYQCERNNYDHSRGVSNMPLVGNGASAYKNSSSTVESDLSPDAYRRRHEISVTGDNVPPPLTSFNSTGFPSEILREVHQAGFSAPTPIQAQSWPVALQGRDIVAIAKTGSGKTLGYLIPGFIHLKQRRNNSRLGPTVLVLSPTRELATQIQDEAVKFSKSYQISCTCLYGGAAKGPQLRDLDRGVDIVVATPGRLNDILEMRRVSLNQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPKEVRKIAADLLINPVQVNIGNVDELVANKSITQYVEVLPQTDKRRRLEQILRSQEQGSKVIIFCSTKKMCNLLAGNLTRQFGAAAIHGDKSQGERDFVLNQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGLAYTFFGDQDGKYASDLIKVLEGANQRVPVELRDIALRSGGMGRARRPWGSGPAGRDGGRGRYDSGNGVRDGARGSWGMSSSSDRGGGRGYDRDSRDRHGQGSRDADSFGSYNSRNFNDSVAHTSDRHGRSRSRSPNRASGWSGDNKSRGRSRSRSVDRFKPSNPSREGPTGRSFQEAVIPRAGTSSLALKQRSPPYDSENLKRSYTNGQKDWERSPPLKGQSNREYANGSHQTCREEEEEGIIRPEEDGTYQAA >itb05g25550.t2 pep chromosome:ASM357664v1:5:29905161:29907742:1 gene:itb05g25550 transcript:itb05g25550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAGTAVGDDSICHVVRMEEEESSCSSLQQYALAAYVHQAGFSAPTPIQAQSWPVALQGRDIVAIAKTGSGKTLGYLIPGFIHLKQRRNNSRLGPTVLVLSPTRELATQIQDEAVKFSKSYQISCTCLYGGAAKGPQLRDLDRGVDIVVATPGRLNDILEMRRVSLNQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPKEVRKIAADLLINPVQVNIGNVDELVANKSITQYVEVLPQTDKRRRLEQILRSQEQGSKVIIFCSTKKMCNLLAGNLTRQFGAAAIHGDKSQGERDFVLNQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGLAYTFFGDQDGKYASDLIKVLEGANQRVPVELRDIALRSGGMGRARRPWGSGPAGRDGGRGRYDSGNGVRDGARGSWGMSSSSDRGGGRGYDRDSRDRHGQGSRDADSFGSYNSRNFNDSVAHTSDRHGRSRSRSPNRASGWSGDNKSRGRSRSRSVDRFKPSNPSREGPTGRSFQEAVIPRAGTSSLALKQRSPPYDSENLKRSYTNGQKDWERSPPLKGQSNREYANGSHQTCREEEEEGIIRPEEDGTYQAA >itb06g15820.t1 pep chromosome:ASM357664v1:6:20058997:20061201:-1 gene:itb06g15820 transcript:itb06g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGVLMTCPMSSYLEAQLQKRFKLFRFWDAPSEPDFLKHNTGSIRAVVGNASCGADSCLIDSLPNLEIVSTYSVGFDKIDLAKCRERGIRVTNTPDVLTDEVADTAIALVLATLRRICVADRFVRSGLWRNGDFELATKFSGKSVGILGLGRIGTAIAKRAEAFGCRISYTARLKKPNNNYKYCSSVVDLAANCQILVVACSLTEETRHIINRQVIDALGPNGILINIGRGSHVDEPELVSALLEGRLGGAGLDVFESEPGVPEQFLGLKNVVLLPHVGSDTVETCRAMADLVIANLEAHFLNKPLLTPVV >itb05g26650.t1 pep chromosome:ASM357664v1:5:30409213:30409758:1 gene:itb05g26650 transcript:itb05g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMAAVLVALLAMSAATAFRTTITTIVDEQTPSRGQQHGGGQQPQCQEQIQQQSEELRHCVMYLSPENSHFIKMKSSSWRMPQQQQQQHLNQCCNALENFDRQCRCEAVKQALRWLQQEQQEQEQGQGQGQEQEQGQEQEQEQEQWQQLEQQILKKAKYLPQVCNLEPQKCQIRTFYF >itb11g05800.t1 pep chromosome:ASM357664v1:11:3443271:3445896:1 gene:itb11g05800 transcript:itb11g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMKSLLRPLMAVALLSLLGFCNAEDPYRFYTWNITYGDISPLGAKQKGILINGKFPGPPIESVTNDNLIVNVFNNLDEPFLLTWNGLQQRRSSWQDGVQGTNCPIPPGKNYTYALQVKDQIGTFFYFPSLDMHRAAGAFGTIKIDSRPLIPVPFPPPAGEYHILAGDWFTKSHNDLQGILDSGGNLPFPDGLLINGRGSDSFTFTVDQGKTYRFRICNVGLTTSINFRIHGHKLVVVEAEGTHTLQNTYDSLDVHLGQSYSILLTANQDPKDYYLVASTRFTSKVLTATSILRYQNLKQPVSGPPPPGPTTETDWSLNQARAIRQNLTASGPRPNPQGSYHYGEVNITRTIILQNSAPIVNGKQRFAVNSVSFLPADTPLKLADHFDIPGVYALGSIKDSPGGSPVSLQTSVMAADFRAFVEVVFQNPENTLQSWHLDGQFFFVVGMNPGQWSAGSRSLYNLRDGVSRSTVQVYPESWTAIYMPLDNVGMWNVRSANWARQYLGQQFYLRVYSNSSSLRDENPIPNNALLCGRALGHKSETALETEQQWELIV >itb12g12130.t1 pep chromosome:ASM357664v1:12:10642133:10643333:-1 gene:itb12g12130 transcript:itb12g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAQFTLPVGVGFNVGQTPNLRSIPPSRRHFPRRSFEFSGDSALPNTIYLSDAPLRIPAARAGGGGGGVGRGSGGGGGNDDGRGDSEGLDSWWSGFGFIGAFINGWRDRVAADPQFPFKVLMEELVGVGSSTLGDMSSRPNFGLNELDFVFSTLVVGLILNFLLMYLLAPTTSSLPQVLPSIFSNCPPSYMFQPGPYTLTHRLGTLVYKGIVFAAVGFPAGLLGTAITNGLIKMRKKMDPSFETPNKAPPTLLNASTWALHMGFSSNVRYQTLNGIEFLLAKGLPSPAFKASVFVLRLVNNVVGGMTFVMLARLTGSQKVGKVEEDALKEKLLNNSEDLHKEDTVSSSK >itb01g12750.t1 pep chromosome:ASM357664v1:1:12387032:12389350:1 gene:itb01g12750 transcript:itb01g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDSLSASGAKPGFKAIPGIVKDKVVGVAKNASKIGKDDPRKVMHCGKVGLALTLNSLFYYYNPLYDGFGQSGIWAVLTVVVVFEFTVGGTLSKSLNRGFATFSAASLGFGVVYLAQLSGRDEQAMVIGVVVFILAALTTFTRFFPRIKKKYDYGMVIFILTFSMVAVSGYRAEKIMVMSYQRLLTVVIGGATCIIISILVCPAWAGEDLQNLIAGNIEKLAKSLEGFGNAYFCFPDEDDESGVVAKGDDKAYDHQHYKSVLNSKTSEESLATFAWWEIGHGEFRFRHPWKQYLKVGDLARECASHIHALSAYLTSENQAPIEFVKTIKEPCMAMCSESTKALRELSSTLKTMTHPSPAIQTHLQTSKAAIADLRAALQASPAAGAGAGAGADLFSIIPVLAVASILTDIAACVDKISESTEELSVKARFKKPGKADNNSSAPAPAPELQLLHRGTVNPVAEDEGGYAAVAISGTSQDSPEIGNSNPPEDETKKIISKSGEANNIVNKT >itb04g06600.t1 pep chromosome:ASM357664v1:4:4304866:4308605:1 gene:itb04g06600 transcript:itb04g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEVDHIDLEVKGLSLLDDGAENDDFVLTLSPSPLKQTDNHKGLSLEMSGRMDVQEEKDMDNKGEEDDVLPELHESIEPERSRRKGKLNLRKSLAWDSAFFTDAGVLDAEELSTMVKGAEKIEKNVMPRIEEDVERSTDTISTLASDSVALEHLEAELFEDIRASIQRSNKVSNVMTSTRKEVSSVADNGGNSASKKADATLKNVPKPKLAPKRAIGAQTLGMLKSQQKHTDGIQGSRKSIKLDNPRVTQPVKTGDLTSSLAKLPKLPSKANPIPATMAKRASLSANHVKIDHDNTKTNTVAGKGAHTSKVFDSSNACKALPKPALSSKASSMRFSAASKMQSSRSSSDSTGSTLSDKAGKPNLPMARRKLVSKPVSQPSSASMLKTPSKTALKNRVSSGNSAISAYLMSSKINSSISPASSISEWSSASASSSSCSIINERSNKSRISFDTSSCISLESDASTLDFTNSSSNQISEKLNQGTASPKENTRKTSTQSDTVSLPPMKPSGLRMPSPKIGFFDGVKSARTPNGTMQKQSTALPKIGTTLCSPNGRSNMKSKASGLPPSRMSTASGNVNSGTQNLSSPTSFQDKSQSPTSSNITDAPKDKKSFPSFAPEVHHDPNEESNSYAMDVGSGVHETVKHVVQDAGLEAVNHGDLGVLKNEMTANVNEKANSNDIKVVAVEEETTYSNSKNADTTISEIAASRIPFAVKNSGVGDFLDFSKEAVVEEVVGKINSAIPPEIDYKENNNL >itb09g18450.t1 pep chromosome:ASM357664v1:9:14217131:14219955:1 gene:itb09g18450 transcript:itb09g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNQRLNVVPTVTMLGVMKARLIGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGEVMKSSSFALTEVKYCAGENIKHIVLENVQNATLKVRSRQENIAGVKLPKFEYFSEGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTITYIKGELDELEREDFFRLKKIQGYKKREIERQMASAKLFAEEKVAEEISLRKGISLSAVHNLLSQGSQKDDDIIF >itb04g32980.t1 pep chromosome:ASM357664v1:4:35348304:35349357:-1 gene:itb04g32980 transcript:itb04g32980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLVPYPTSSAPTIFVGPSSDIAIVHDENTIVVHKSNNRLINNSFDDSNSDDGFNFPPGFRFCPSDDELIECYLWKMVQNQPLPPNKIHEVNLYKFSPRELSEMYETIGEKDWYFFTPRDRKYKNGQRPNRAAGSGFWKATGADKSIMNKRKVLVGYRKALVFYEGKPPRGVKTNWIMHEYRVEGAPSPRPRGDANDMRLDDWVLCRIYNKTGKSSEKKLGKKFSPPPAALPEAAQAVAVMSPSLPPPPPQIEPMLIRGDEFALAQFSFLSGQDEMDELPAFYYLTTMDNDMIANDQPFHTADLENLFITENDDSIPKPME >itb07g00330.t1 pep chromosome:ASM357664v1:7:241936:244582:-1 gene:itb07g00330 transcript:itb07g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHVSTAYVCGQGSGILPERPLYLGETLNGNSYLDIEVEKKVIEEQLKELEAQKATEKEVRLAMKDLGIQRAKLHGWPNTYSFTKAMAEMLLLASKENIFVLMYGKGKANFVMGDPNTILDAIPGDMVVNSILAALVHHANHEYSAPQELIYHVGSSFTNPLKANDIQKLFFRYFTDNPWSSKSGEIVKVRKPVFLTSMKGLRTYIAIHYLPILKVLKLLNMILFNYFEEKCMTVEKNINLVIRLAELYKPYLFFYGSFDDTNTERLRRATTKMNLSETLYFDPNCIKWEDYFMKTHIPGVQHRFISLLRALTRHLRQPLSFRAVIVIVTQASTSPNTVIIASAFY >itb06g14060.t1 pep chromosome:ASM357664v1:6:18675891:18679649:-1 gene:itb06g14060 transcript:itb06g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSGVKGSLERLNRTTGNQTSERNSNRSSPSQISKSCNVEDLTSKEFPGDAREVSKQLRTDTTVNKKSHSSQQNGSANLLVERLDSSLSLGVLKHPPSGLSSTRDELIGTLEGGDDQEKEDTGNVSVKDSLALTKVSDGTSSIAKTSGSAKISDQADFMESGKSSIYRGSTSTDVSDESSCSSLSSSINKPHKANDSRWEAIQAVRARDGAMELRHFRLIKKLGSGDIGSVHLSELCGTKCYFAMKVMDKASLANRKKLLRAQTEREILQSLDHPFLPSLYTHFETERFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTVVKSSSLETEPLRKNSVYCVQPACIEPSCIQPSCAVPTTCFGPRFFSGKSKKERKPKPKNEVGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSDNRSTLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVDFERIQAPPPPSTTTKKAAGGALPYHQNPDNYLEFDFF >itb06g14060.t2 pep chromosome:ASM357664v1:6:18675891:18679649:-1 gene:itb06g14060 transcript:itb06g14060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSGVKGSLERLNRTTGNQTSERNSNRSSPSQISKSCNVEDLTSKEFPGDAREVSKQLRTDTTVNKKSHSSQQNGSANLLVERLDSSLSLGVLKHPPSGLSSTRDELIGTLEGGDDQEKEDTGNVSVKDSLALTKVSDGTSSIAKTSGSAKISDQADFMESGKSSIYRGSTSTDVSDESSCSSLSSSINKPHKANDSRWEAIQAVRARDGAMELRHFRLIKKLGSGDIGSVHLSELCGTKCYFAMKVMDKASLANRKKLLRAQTEREILQSLDHPFLPSLYTHFETERFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTVVKSSSLETEPLRKNSVYCVQPACIEPSCIQPSCAVPTTCFGPRFFSGKSKKERKPKPKNEVGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSDNRSTLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVDFERIQAPPPPSTTTKKAAGGALPYHQNPDNYLEFDFF >itb03g24650.t1 pep chromosome:ASM357664v1:3:23465786:23468252:1 gene:itb03g24650 transcript:itb03g24650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKRNAKIRKLGAVVDVPSSPEPDVDDGQQGTPLRPIFCLKRREQLREFDNKEECFILDFDPFDSSRPVSENLDPEIAVVAEKGNVACRDFPHARYVCAEFPFGTTPHEKCCKLVKYDFVP >itb13g01990.t1 pep chromosome:ASM357664v1:13:1822804:1824741:-1 gene:itb13g01990 transcript:itb13g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATQSAAGLLNTINCKEEIDDDDEANETQPSKHVDESDGDGSSSHGFLKPEGRMVGRHHDCTVIKDQLFSSVKFAFYTSESLWIAKGFVKPLEHKLMSTYKSYLIEKIEVVMAKSRLVECIVLCIASV >itb04g31140.t1 pep chromosome:ASM357664v1:4:34102779:34109259:-1 gene:itb04g31140 transcript:itb04g31140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIEFPAAGKMLNPNNCSKFDDTSPKGNPSSNSNSKPKVIVIMGATGAGKSKLAIDLASHFPVQVINADSMQVYQGLDVLTNKVRPEEQKGVVHHLLGTVSSNVEFTAKDFRDHAIPLIDEISSRNFLPVIVGGTNYYIQSLVSPFLMDVATEDTEENCLLDPPENEQPCPELKIEQESFDYTYDCLKAIDPVAANRLHPNDHRKVHQYLNLYARFGIPPSKVLQEKTAENWGHVDNSRFDFCFICVDASLSALDPFVDKRVDNMIEAGLLDEVFDIYRSDADYTKGLRQAIGVREFNDFLTCYFHKNEESSDASLSQTWSIETFKQTIQDILYGSCDDERKVLLTAAIDQMKLNTRRLVRRQRRRLQRLQMLFGWEIHYIDVRSSILGDSDETWAAEVVEPAVTIIESFLNRQSCPENARNNSDNIKLKQRDLWSRYICEACGNRVLRGAHEWEQHRQGRNHRKRISRLKRIPSSLNSSPARMEITSMTQAMQLHARILKSGAYDSNHGQDFHKLFTFSALSPSGDLNYARHILRTLHSPNSFYYNTMIRAYSDSPDPTHAFTLFLYMQNPDDASVAVPRPDHFTYPFVLKACSKSGHSRFGKQIHGLVFKSGVGSDRYINNALIHFYSVSGEPNLAYKVFDKMPDRDVVSWTSIIDGFVDNDRPIEAIRLFSHMIENGIEPNEVTVASVLRACADTGALNTGKRIHSFVKEKSFSSNANVSTALIDMYAKCGCIDGALQVFDETMDKDVYVWTAIIAGLASHGLCMKAIEFFENMKKSDVKMDERAITAVLSAYRNAGLVSEGLSFFRRLKKHKIRPTIQHYGCVVDMLTRAGRLKDAEEFIRKMPIEPDAVLWRTLIWGCKILGDVERSECLVRELELLNMDSRDTGSYVLLENLYAATGKWEEKAKTRELMYQRGLMKPPACSRIEIDGVVHEFTAGDSRHDEATTVYEKLEEVEERLRGEGYNPIVSEVLLEIDDDEKASQLLHHSEKLAVSFGLVKSSPGSVIRIVKNLRSCEDCHSFMKLISKVYQRDIIVRDRIRFHHFSGGNCSCGDRW >itb14g17900.t1 pep chromosome:ASM357664v1:14:21070546:21071726:-1 gene:itb14g17900 transcript:itb14g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSEPVVSEPAGEGGGGGGGEDQPSASGTGEGGGEGSTAAAAPSRYESQKRRDWTTFLQYLRNHKPPLTLPRCSGAHVIEFLKYLDQFGKTKVHVTGCPHFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFAARAVRIYLREVKDTQAKARGVPYEKKRKRSTPATATATGNNGRNGGSGGGDGDGDDTGGATTVGHPPPATTTV >itb01g00610.t1 pep chromosome:ASM357664v1:1:282126:282902:-1 gene:itb01g00610 transcript:itb01g00610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNGTTACASCKHQRKKCTEKCVLAPFFPAEKGREFQAVHKVFGVSNVTKMVRNLKEEERGRAVESLVWEAICRHNDPVLGPFGEYRRVYEELKLYKSQYEPTGMVIGWNNAAPAANNNDGRTTTNNNNVVHNNNDNNNNNNNNSLNYIHSNGNNSVVGYNNYPINHPQNFEKLRRESDNGSTVILPARQHPINGFNQQYFIAGQYNPADTKSMESSLWDGVS >itb15g02300.t1 pep chromosome:ASM357664v1:15:1394597:1397355:1 gene:itb15g02300 transcript:itb15g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKFGRSKDNNCVHGKASKKGLHSLFWWFRIPNKLRVKKKGASSHAASPDKEAESPVQTNKPQIEAEPIENNNNNNNKQKSSAEAKEVVVEAPKQDVVEAGNVMRAEQPEKEKEKPPDPSKSRKPMNTKRMLSAGLQVDSVLKTKTGNLREFFNLEEKLGHGQFGTTFLCTEKKSGLKYACKSIAKRKLLTKEDVDDVRREIEIMHHLAGHPNVVSIKGSYEDAVAVHVVMELCAGGELFDRIVKRGHYSERQAAGLTRTIVGVVESCHSLGVMHRDLKPENFLFVGEEEDSPLKTIDFGLSTFFKPGDVFNDVVGSPYYVAPEVLLKNYGQEADIWSAGVIVYILLSGVPPFWGETEQEIFDEVLHADIDFKSEPWPHISDSAKDLVTKMLVREPNKRLTGHEVLCHPWVQVEGVAPDKPLDSAVLTRLTQFSAMNKLKKMALRVIAERLSEEEIAGLKEMFKMIDIDGSGNITFEELKVGLKRFGADLNESEIHDLMRAADVDNSGTIDYGEFIAAMLHINKITKEDHLFAAFSYFDKDGSGYITADELQKACDEFGIKDTRLEEMIQEADQDNVYNIITDRAPKNEDITRQLAIARSTRLPLQVCKKSQAASMIILHWFLANFVICCKWHDMMMINF >itb11g11740.t1 pep chromosome:ASM357664v1:11:8624373:8630639:1 gene:itb11g11740 transcript:itb11g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNMGIGENKGQKVEDSENAESFSLQERNKIELMRALVQKQDPSSQEIDDYAMRRFLRARDLDIEKASVMLLKYLKWKRTFMPKGCISTSEIQNEIAQNKMFMQGVDKQGRPIAVVYGGRHLQNKGGLEEFKRYVVFALDKLCARTSPGKEKFVVIGDLEGFGYSNSDVRGYLASLSILQDFYPERLGKLFVVHVPYLFWTLYKIVCPFIDNNTKKKIIFVENKRLTSTLLEDIDESQLPEIYGGKQPLVPIHNA >itb11g11740.t2 pep chromosome:ASM357664v1:11:8624394:8628341:1 gene:itb11g11740 transcript:itb11g11740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNMGIGENKGQKVEDSENAESFSLQERNKIELMRALVQKQDPSSQEIDDYAMRRFLRARDLDIEKASVMLLKYLKWKRTFMPKGCISTSEIQNEIAQNKMFMQGVDKQGRPIAVVYGGRHLQNKGGLEEFKRYVVFALDKLCARTSPGKEKFVVIGDLEGFGYSNSDVRGYLASLSILQVYLSYME >itb07g14510.t1 pep chromosome:ASM357664v1:7:17183305:17188921:1 gene:itb07g14510 transcript:itb07g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAANGGGMIPETFRGPAADMAAQMGVMWELIKAPLIVPVLRLSVYVCLAMSLMLFFERLYMGIVIILVKIFWKKPEKRYNWEPMRDDLELGNAAFPMVLIQIPMYNEKEVYKISIGAACNLSWPSDRIVIQVLDDSTDPFIKDMIEKECIRWASKGINIKYQIRETRGGYKAGALKDGLKHDYVKECEYVAIFDADFRPEPDFLRRAIPFLVHNPQIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTGGVWRIGAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIIRNKRVNVWKKFYTIYSFFFVRKIIAHMVTFFFYCVVLPLTIIVPEVEIPKWGAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMSFHRTKATFIGLLEAKRANEWVVTEKLGDALKNNKANAKPAAKRTTKSLLGDRILPQELAFAGFLFFCGCYDFMYGKNQYFIYLFLQVITFTIAGFGYIGTIVPS >itb10g21400.t1 pep chromosome:ASM357664v1:10:26618453:26622539:-1 gene:itb10g21400 transcript:itb10g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLTAAAASHTLWLGWKLVIAASFVLCILAFLRLQRYSLSDSETILSVSRNYKPSNDNFSGNPKVAFLFLARRNLPLDFLWRSFFQSADSANFSIYIHSEPGFAFDKSTTSSPFFYNRQLKNSIKVAWGQSSMIEAERLLLNAALEDPANQRFVLLSESCVPLYNFSYVYAYLMASPRSFVDSFLDESEKEKENRYNPRMMPYIPKSKWRKGSQWITLIRKHAVVVADDDVVLPVFKKFCKRRPPIEASLRNPNLNLQKQHNCIPDEHYVQTLLEMHGLGGELERRTVTYTVWNQSKTNMEKGGWHPMRFTYADAGPEKIKRIKAIRNVYYKTEDRTEWCSNNSTLVSCFLFARKFSRAAAMRLLSEVGGARFDVSALMDPPT >itb10g21400.t2 pep chromosome:ASM357664v1:10:26618453:26622539:-1 gene:itb10g21400 transcript:itb10g21400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLTAAAASHTLWLGWKLVIAASFVLCILAFLRLQRYSLSDSETILSVSRNYKPSNDNFSGNPKVAFLFLARRNLPLDFLWRSFFQSADSANFSIYIHSEPGFAFDKSTTSSPFFYNRQLKNSIKVAWGQSSMIEAERLLLNAALEDPANQRFVLLSESCVPLYNFSYVYAYLMASPRSFVDSFLDESEKEKENRYNPRMMPYIPKSKWRKGSQWITLIRKHAVVVADDDVVLPVFKKFCKRRPPIEASLRNPNLNLQKQHNCIPDEHYVQTLLEMHGLGGELERRTVTYTVWNQSKTNMEKGGWHPMRFTYADAGPEKIKRIKAIRNVYYKTEDRTEWCSNNSTLVSCFLFARKFSRAAAMRLLSEVGGARFDVSALMDPPT >itb09g08880.t1 pep chromosome:ASM357664v1:9:5291581:5293727:1 gene:itb09g08880 transcript:itb09g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYHGLSSLLFSFILLSCATTEAKPLFQLDWGRSLSVEEADSTFLTSPDNTFTCGFYGLGSNAYWFAIWFTNSRDKTVVWVVNRARPVNSWGSKVKLEKNGALTLTDVDGVIVWESNTTSTRVNTAELLDTGNLVLKNPQGDVLWQSFGFPTDTLLPSQVFTKNNRLVSVLREGGFEPGYFSLHFDSENVLKLLYDGSEVSDFYWPNNDFNAYGNQRTSQNSTRIAFLDNSGRFFSSDRQVQILYLNASDAGDEMIKRRMTLDVDGNLRIYSLQDSTGVWKVTWQALPQRCGVHGICGRNGICNYAPEPKCSCPPGYVVVNPNDWSRGCRALFNVTSLVTQPVKFLEISQVEYWGFDLNFTMPSSLEDCKNMCSKDHNCMAFAYRQTGEASCLTKGTLYNGYRSPDFPGTVGVIELLVFALGWRALFSKDGVPAALENGYHMLSSQFRMFTYAELKKATKNFKVELGRGGSGAVYKGVLADDRAVAVKKLGDEFHGEEQLWAEMTTIGKINHMNLVRMWGLCAEGKHRLLVYEYVENSSLDKHIYTSNFLGWKERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLTDEHEPKIADFGLAKLSKRGDPGSYFTKIRGTKGYMAPEWALNQPITSKVDVYGYGVVILETVKGSRLSWRW >itb06g08880.t2 pep chromosome:ASM357664v1:6:13040825:13041505:-1 gene:itb06g08880 transcript:itb06g08880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNYQNTGSPFGSPHHQPVFEPSEFLELSDWAEEEPAAMHVSGGHYYPLLNPPHHQVPPPPEGVHGGYLQGGPRNNGGSYGGGREKFAFKTKSEVEILDDGYKWRKYGKKMVKNSPNPR >itb06g08880.t1 pep chromosome:ASM357664v1:6:13037996:13041505:-1 gene:itb06g08880 transcript:itb06g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNYQNTGSPFGSPHHQPVFEPSEFLELSDWAEEEPAAMHVSGGHYYPLLNPPHHQVPPPPEGVHGGYLQGGPRNNGGSYGGGREKFAFKTKSEVEILDDGYKWRKYGKKMVKNSPNPRNYYRCSVDGCQVKKRVERDKDDPSYVITTYEGIHNHQGPLS >itb15g06870.t1 pep chromosome:ASM357664v1:15:4608901:4614676:-1 gene:itb15g06870 transcript:itb15g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSLSQLRCRNHFSTQSLLHSTHTCFSPSPLAPLNLRNNRLSLRIRTTAKSSPNKSASILPEKAADGSKTSPDSSVISDNRVREPDPDHPSGLSLWSSASSILEGFKVDGLGREILSIALPAALALAADPIASLIDTAFVGHLGSVELAAVGVSASVFNLVSKLFNVPLLNITTSFVAEEQAVLSKGSDQPGQTYSDGGAEQKSKIILPSVSTSLALATGLGIAEAIAVSVGSSFLMNAMGIAADSPMRSPAEQFLTMRAFGAPAIVIALAAQGTFRGFKDTKTPLYAVGAGSLLNTILDPLLIFSCGFGISGAAIATVISEYLIAFILLFKLNDKVLLIAPDIDGERVVRYLKSGALLTGRTLAVFVTTTLATSLAAREGPIPMAGHQICFQVWLALSMLTDALALAGQALLASDYSQGNYTRAREVVYKVLQIGMATGLTLGVSLLLWFGALSSLFSSDSEVLEIASYGTLFVAGSQPVNAIAFVLDGLYYGVSDFEFAAYSMLLIGMISSVFLWVATPFFGLAGVWAGLFLLMALRVVAGCLRLGTRTGPWKFLWSDIERDSTDALDELSTHKKPKHE >itb01g26190.t1 pep chromosome:ASM357664v1:1:31406789:31412017:-1 gene:itb01g26190 transcript:itb01g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYPQVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPQPTEVINDYGALFVLSPCGSEKECMEWLKMFFLPWYNAYRFLVQNAKRFEVDGLGAFAPFDKKTLQSSSNVLDQWINSATESLVHFVRQEMAAYRLYTVLLTSCKAMAPFTPFFTEVLYQNLRKVLNGSEGSIHYCSFPEVEGKRWERIEQSVSRMTTVIDLARNIRERRNKPLKTPLRNMVVVHPDEDFLQDITEKLKEFVLEELNVQSLETCSNTLEYASLRAEPDFSVLGKRLGKSMGEVAKAVKAMSTEDILAFEKAGELTFAKHTLKLSDIKIIRGFKRPDNWTEDEIDAAGDGDVLVVLDLVIDESQLKTGAAREIVN >itb08g06570.t1 pep chromosome:ASM357664v1:8:5564712:5566941:1 gene:itb08g06570 transcript:itb08g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKNMAEKALYTVEETVERIERAAEVVDKVAENVADDLPAGKFRATVRSIENVAEKVDKSAEALGDFIDKVQEANDKVKLSEEIEEKKAEKKEDKPSKVKKD >itb07g12530.t1 pep chromosome:ASM357664v1:7:14467036:14468964:1 gene:itb07g12530 transcript:itb07g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAQEMSSQTLESMLGCTKAQQEKKPRPADQQALKCPRCESTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSASSASSRSRSQDQSLCSSPAPLPSLAGLPYEASDLSLALARLQKQANLGVGEHEMGMMCNPNNTPYDIVLGNHHHGFLETAANAPFHNMYYGNINVGGEMGIPYEEHHLGGGGAAAAVKQEMCSAREEGESNRVLWSFPWQQVGGDQGNNNMGDQIDSSKQNWYGYGNGFGSSSSWHGLLNSPLM >itb08g01100.t1 pep chromosome:ASM357664v1:8:821350:821670:1 gene:itb08g01100 transcript:itb08g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHTIGNCPIYVAEAWALMKGIQLAKEIRCCRVCLESDSKTIVNAFNTSATVNNQVVNILRACRQEREGITDWHITYAAREQNQAADALASFGFPVWRQTDIVV >itb09g24210.t1 pep chromosome:ASM357664v1:9:23813780:23848896:-1 gene:itb09g24210 transcript:itb09g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDPNEHGKSHGKEVESYREGPERGERVEVLKRENVVQNNVVSHGGESAGSVLNDDMFEHVSLKDHDKSVAELNLSQSPRSDTLRHSSRGSEGNFEFSFGKVQSGSNSPPDAESYHECHFSSPGPERLSPSGDDNRPSFSSLSFDSATYAYGDAGNSPSDSPRKPKQKQVVPNASPELLHLVDSAIMGKPESLDKLKNIVSGVESYGNGEDADAIAFLVIDSLLATMGGVESFEEDEDTNPPSVMLNSRAAIVAGELIPWLSSIGDIAGLMSPRTRMVRGLLAILRACTRNRAMCSAAGLLAVLLCSAEKIFLHDSSKTEQSRWDGTPLCYCIQYLAGHSLSLSDLHRWFEVITRTIATEWASHLMLSLEKAMSGKESTGPVCTFEFDGESSGLLGPGENRWPFTNGYAFATWIYIESFADTLNTATVAAAIAAAATSGQSSAMSAAATASALAGESTAHMPRLFSFLSADNQGMEAYFHAQFLVVEYSSGKGRKASFHFTHAFKPQCWYFLGLEHTCKQGLLGKAESELRLYIDGSLYESRPFDFPRISKPLAFCCIGTNPPPTMAALQQRRRQCPLFAELGPIYIFKEPIGPERMARLSSRGGDVLPSFGHGLAEESSLLDEEIGGCLHLLYHPSLLTGRSCSDASPSSALGMHRRPAEVLGQVHVATRMRPAETLWALAYGGPMSLLPLAISNVHENSLEPQQGDLSLSLATTALAAPIFRIISLAIQYRGNNEELRRRRGPEVLSRILNYLLRTLSSLDDGKRDGVGDEELIAAVVSLCQSQKNNYNLKVQLFSMLLLDLKIWSLCSYGLQKKFLSFLADMVFTELSVMRDANAIQMLLDGCRRCYWTIHESDSVNTFSILEEKRPVGEVNALVDELLVVIELLLVASPPSFASDDVHCLLGFMVDCPQPNQVARVLHLIHRLVVQPNTSRAQSFAEAFLSRGGIETLLVLLQREAKAGDHNDLDSSVQNDTTFSTQKTDLDSQDQASDQASEGSQVGNAGGMKGEDSLHERTSESEHLNNSSPNFQTRSNIGKAQSFSENAFSKTLGGISFPISAENARNNVYNVDRRDGIVLGIINLLGALVSSGYLKFDTHAPPDVTNNLLGLLEGGGTMFDDKVSLLLFAIQMAFQAAPNRLMTSSAYTALLGASINASSTDEGLNFYDSSHRFQHTQLLLILLRSLPYAPKVLQGRALQDLLILACSDAVNRNSLTKMDEWPEWILEILISNHETGGGKNSSSSLRDVEDAIHNFLIIMLEHSMRQKDGWQDIEATIHCAEWLCMVGGSSTGDQRIRREESLPIFKRRLLGGLLDFAARELQVQTELIAAAAAGVAAEGLSPKNAKAASENAAQLSVILIENAIVILMLVEDHLRLQSKLYCSSRCSAGLVSPLSAVLPVGNHSTAAASGEPSESIADSNSSTTDTGVVSVLASMPDTNGQVSATLMEQLTAAAAAEPYRSVSYAFVSYGSCVVDLAEGWKYRSRLWYGVGLPSNATTFGGGGSSRETWKSALEKDSNGDWIELPLIKKSVAMLEALLLDESGLGGGLGVGGASGTGMGGMAALYQLLDSDQPFLCMLRMVLLSLREEDDGEDHMLRRHVNAEDGSSEGIHKQTGSTASFDANACNLSRKPRSSLLWSVLSPVLNMPISEPKRQRVLVASCVLYSEVWHAVGRDQRPLRKHYLEAILPPFVAVLRRWRPLLAGIHELATVDGLNPLVVDDRALSADALPIESALAMISPSWAAAFASPPAAMALAMIAAGAGGGETPAPAPPTHLRRDSSLLERKTAKLHTFSSFQKPLEGSSKSSALPRDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRSKSDTERVKRWNVSEAMGTAWMECLQTVSKSVYGKDFNALSYKFIAVLVGSLALARNMQRSEVERRTQVDVIAQHRLYCGIREWRKLIHSLIEIECLFGPLGYRLYNSQHVFWKLDNMETSSRMRRCLRRNHRCSDHYGAAANYGQIGPKLEEVNLISSSEASLLIKEAILMEEADEDYEETLHLQCKLDETHHHGEIQNRTMTTAEQPLQASSVSGDLPDDPNPDPEMVWSPPAVAPGYVSSEQDERIILELPSSMVRPLKILRGTLQITTRRINFIIDNAESNILDHITKDRIREKDRSWPISYLREIYSRRYILRRSALELFMIDRSNFFFDFGNSEARRNAYQAIVQTRPPHLNNIYLATQRPDELLIRTKLMERWARREISNFEYLMQLNTLAGRSYNDITQYHVFPWVLSDYSSSTLDLTNPASYRDLSKPVGALNADRLKISDDAVHYGSHYSSVGSVLYYLIRVEPFTTLSIQLQGGKFDHADRMFSDIAATWKGVLEDITDVKELVPELFYLPEALTKQNYVNFGATQLGEKLDTVRLPPWAENPVDFIHKHRKALESEHVSAHLHEWIDLIFGYKQRGKEAILANNVFFYATYEGTLDIDKISDPIQQRAIQDHIAYIGQTPSQLLTFPHMKRMPLRDVLRVQTIFRNPTNVKPYAVPHPERCNLPAAAIHASSESLVIVDINAPAAHVAQYKWQPNTSDGQGTPFVFHHGKSCSSPASGTLKRMFKGPADSGQEDLHFPHTLAFPASGIRSSATVSITVDQDIITGGHADNSVRLISADTAKTLEIARWHCAPVTCLATSRDSKYLVTGSRDATVLLWRIYRASTSYSVSTSRLSSDSSTTPTADNMTAKSPFDRRMNRIEGPIHVLRGHFGEISCCCISSDVGIVVSCSNSSDVLLHSIKRGRLIRRLVSVEAHAVCLSSDGIILAWNRSLKTLSTFNLNGILIARTNISISCTVGCMEVSADGQNALIGLNPSLDNNGGFPDSINLPKLATPGIECLNGNKHETNEGNTLHMSLPSICFIDLYSLKVFYTMKLAARQDITALALDKDNTNLLVSTADKQLIVFTYPALSLSTVDHKTKLLGREGEVFSPRRK >itb06g16950.t2 pep chromosome:ASM357664v1:6:20928254:20931147:1 gene:itb06g16950 transcript:itb06g16950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLIVFPIKGRNWCFSRSVDPSACEAHSSNIPSTFVELWSRISKPAKDKLSWYNLELLIDFAANQMSNQWCNLERAPPGSFKSKIHGLGLWMLSGVKPSEIFLKSITKEVNKVEIIYPSRGTIIHKKYLYGSASLLPLTTVFMVLPFPNIPFFWILFRTYSHWRALQGSEKLLQLTTNFPNNQNSNENTIHTNRSTEVDSGKYHNHQDPMCVLLPSDELQKLLHHADASNGVSESSMDAICQRYSLNKMDVNKYRHSF >itb06g16950.t5 pep chromosome:ASM357664v1:6:20928254:20930656:1 gene:itb06g16950 transcript:itb06g16950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLIVFPIKGRNWCFSRSVDPSACEAHSSNIPSTFVELWSRISKPAKDKLSWYNLELLIDFAANQMSNQWCNLERAPPGSFKSKIHGLGLWMLSGVKPSEIFLKSITKEVNKVEIIYPSRGTIIHKKYLYGSASLLPLTTVFMVLPFPNIPFFWILFRTYSHWRALQGSEKLLQLTTNFPNNQNSNENTIHTNRSTEVDSGKYHNHQDPMCVRISNI >itb06g16950.t3 pep chromosome:ASM357664v1:6:20928254:20931147:1 gene:itb06g16950 transcript:itb06g16950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLIVFPIKGRNWCFSRSVDPSACEAHSSNIPSTFVELWSRISKPAKDKLSWYNLELLIDFAANQMSNQWCNLERAPPGSFKSKIHGLGLWMLSGVKPSEIFLKSITKEVNKVEIIYPSSLNGLLVRRRVQHIAFRGTIIHKKYLYGSASLLPLTTVFMVLPFPNIPFFWILFRTYSHWRALQGSEKLLQLTTNFPNNQNSNENTIHTNRSTEVDSGKYHNHQDPMYYCHQTNSKNFFTMQMQVMV >itb06g16950.t1 pep chromosome:ASM357664v1:6:20928254:20931147:1 gene:itb06g16950 transcript:itb06g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLIVFPIKGRNWCFSRSVDPSACEAHSSNIPSTFVELWSRISKPAKDKLSWYNLELLIDFAANQMSNQWCNLERAPPGSFKSKIHGLGLWMLSGVKPSEIFLKSITKEVNKVEIIYPSSLNGLLVRRRVQHIAFRGTIIHKKYLYGSASLLPLTTVFMVLPFPNIPFFWILFRTYSHWRALQGSEKLLQLTTNFPNNQNSNENTIHTNRSTEVDSGKYHNHQDPMCVLLPSDELQKLLHHADASNGVSESSMDAICQRYSLNKMDVNKYRHSF >itb06g16950.t4 pep chromosome:ASM357664v1:6:20928254:20930656:1 gene:itb06g16950 transcript:itb06g16950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLIVFPIKGRNWCFSRSVDPSACEAHSSNIPSTFVELWSRISKPAKDKLSWYNLELLIDFAANQMSNQWCNLERAPPGSFKSKIHGLGLWMLSGVKPSEIFLKSITKEVNKVEIIYPSSLNGLLVRRRVQHIAFRGTIIHKKYLYGSASLLPLTTVFMVLPFPNIPFFWILFRTYSHWRALQGSEKLLQLTTNFPNNQNSNENTIHTNRSTEVDSGKYHNHQDPMCVRISNI >itb15g05940.t1 pep chromosome:ASM357664v1:15:3890263:3894560:-1 gene:itb15g05940 transcript:itb15g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTKVVEIVAARDIVFSLTQSGVCAAFSRVVNRRVCFMNISPDEVIRSLFYNKNNDSLITVSVFASDNFSSLRCRSTRIEYIRQGDPDAGFELFESESLKWPGFVEFDDVNGKVLTYSVQDSIYKVFDLQNYRMLYTISDKDIHEIKISPGIMLLIFNRKQSYVPLKILSIDDGTILKDFNHLLHRNQKVEFIEQFNEKLLIKQENENLQIIDVRTSEQKEVSRNEFITPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFQDHLLWHPDCTTNNIYITSDQDLIISYCKTEAADQWDNGNVGSINISSISTGKCLAKISPGNSCPRYSEGESRRTKASLKHNGTVAEVLANGDSRRTTSSLKPNTTVAEALRNITSLFYDEERNEIYTGTLSGLVHVWSN >itb13g00700.t1 pep chromosome:ASM357664v1:13:650706:655464:1 gene:itb13g00700 transcript:itb13g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAQTVLSLRPGGGNRGGGSRVLGPRFDSSSTASFDLPVFRPHGGSSALHSFKTGDSQFEARECIRYTREQLLQLREVVDILEGILKAKREVESELFGENANRAHRENNLQNQSQIRYTEPDNRDWRNRSAQFSVQVEERSWHNQPNPEAARAQVSSNQGGGPAPALIKAEVPWSARRGNVSEKERVLKTIKGILNKLTPEKFDLLKGQLIDAGITSADILKDVITLIFDKAVLEPTFCQMYAHLCSDLNEKLPPFPSDEPGGREVTFKRVLLNNCQEAFEGADKLREEVQQMTAPEQESERRDKERLVKLRTLGNMRLIGELLKQKMVPEKVVHHIVQELLGQDPKSCPEEENVEAICQFFNTIGKQLDDNKKSRHINDMYFNRMKELSTNPKLAARLKFMIRDVLDLRANHWVPRREEIKAKTITEIHSEAEKNLGLRPGATASIRNPRGIAPGSTSPGGFPINQMMPGMPGTRKMPGMPGLDTDNWEVPRSRSMPRRNGPIIEPAGRAQPPLMVRSPSLNPRLLPQGSGGHVSGQANAPIQGSGASQVPVSSRQPLTASGPPATERVQTPPERYNPVELKRKTVALLDEYFSVRLLDEALQCVMELNSPQYFPEVVKEAIALGLDKSPPCVEPVAELLNYLLSKKIFSSKDLSTGCLQFAVLLDDLAMDLPKAPANFGDIIGKLVLAGGIDFGVMKEILTKVSDDLYQKDFFSAVMRVVNSSPSGKAVIDSQAPDVEACQNLFQ >itb05g02930.t1 pep chromosome:ASM357664v1:5:2372051:2375703:1 gene:itb05g02930 transcript:itb05g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLDKSGVDLEAGECGDGGRRNSAAVSGGRPCSSEAVEVGNPEVSGVLPEKRISSVSECSVEVDLEGAYAKEETKLHFLRTDRDCRICHLSMDSENQEPSGTGGVFIELGCSCKDDLAAAHKHCAEAWFKIKGNRICEICGSIASNVVCVNEAESIELWSEANAAAAVAGSRPVPQVESQRFWQGHRFLNFMLACMVFAFVISWLFHFNVSS >itb06g14820.t1 pep chromosome:ASM357664v1:6:19283013:19289086:-1 gene:itb06g14820 transcript:itb06g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSPNPPTMISNLRGCEGGDKERAAAIFGSMGAIYVLEQILGVVIKLVKDIKLQHQHKYDELATKDLAEQIKMCDTMSAKLSQPHAVPSDLRTEKPPPSQRLHRSNSPLGTGRPEDSTPSPALRASPIVPPSYSSHSGYRLALPSPASSTSSYGLIKGKYSSSGFDVGNCARIIAAEAAQRWRLPLISIDGEIQDDEIEKLSVLSRSSLDNTSTSVTMSSNSNSANFANVLQTLQLEPASRIALQSKSHEASAYAENPQHNSPLGTGKPVESNPSPAFRASPTVPP >itb07g18930.t1 pep chromosome:ASM357664v1:7:23334370:23340785:-1 gene:itb07g18930 transcript:itb07g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCVPDFDMDEDFNLPPTATSSSGLTRHKKSAMAEEDIMELLWQNGQVVVHSQNHRSLHKSHFSGDAAIPAEQPVTKEIRPAGEETAANQHVFMQEDEMASWLHYPLEEPSFDRDLYADLLYPPASSSPVYAAPTTAAPPREIRPPVSEIRQLPMHQPPTPRPPIIPPAKRTDLEGAPRVQNFGHFSRLPRARIEPGPSSSSQAARESTVVDSNETPMVKAESILSRVAESAAPISGGNVGCGTVSGTVATGTSTGNREMMTCEQTMTSSPGGSGASATAEPPQKPAPTATDDRKRKGRETDDTEGHSEDIDLESADTKKQSRGSATKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDDAIEYLKSLQLQVQMMSMGCGMVPMMYPGIQQYMPAMGMNMSMGMCMDMGMNRAMVPYPPILPGSSLPNATAAAQINPRFAMPPSFPMPPVPMPDPSRVQTSNQPDPMLNPLITHNPNPQQIPNCADPYQQLFGLHQAQATLPLNQTVEHPINSKPSSSKDVGNPGNH >itb06g23220.t1 pep chromosome:ASM357664v1:6:25274186:25276261:-1 gene:itb06g23220 transcript:itb06g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSFKSLVVIINCVFLAVGQIGGVILIRLYFLHGGKRKWLSSYLLTAGFPCLLVPIFISFAKNRAKAAANRRRVLVTPRLFASSAFLGLLLGVDSYLYTFGMSYLPVSISSLLSSTQLAFTAVFAYFIVKHKMTHYSVNAVVLMTFGSVVLGLHMGGDRPEGESDGRYKMGFFMTIAGAALHGFIVAGVEYAHLHAGVPVTNGLVLQVQFVISMFSALFCIVAMIINKDFQAISKEAGEFGLGPTKYYMIVGFGAIALQLMIMGSLGVIFSSSALLLGIFTSLLVAVQQVFSVIFLPESFSADKLLSLAICIWGFASYFYGDYKAGREKPPAPVTGDNDEEHQEV >itb07g18280.t1 pep chromosome:ASM357664v1:7:22716835:22718202:1 gene:itb07g18280 transcript:itb07g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEVRICSSFDATQLFFTHTSKEFVNFKNIFRDDYTPLRCIESASRLAVGFPIYGVDSTNVFITSIEDIYKKKEDGVVSDGAGSRGLDAMYKEMEKDKIVDLGDSDGASSSEQANVPLKRCLIDEFDRVGGTSKKAKEIVVKLEKM >itb05g21450.t1 pep chromosome:ASM357664v1:5:27197750:27198593:-1 gene:itb05g21450 transcript:itb05g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLVAIGVMALASSFANASDPDPLQDFCVAIDDPKDVLFVNGKFCKNPDDVNADDFLFRGLNKPGDTSNPLGSKVSTVNVANITGLNTLGISLARIDFAPYGLNPPHTHPRATEVLAVLEGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGKTQAVAFAGLSSQNPGVITIANAVFGSDPPINPDVLTKAFQVDDKVIQYLQSQFWYDNN >itb04g26010.t1 pep chromosome:ASM357664v1:4:30400001:30404004:1 gene:itb04g26010 transcript:itb04g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGHYQLSATALPGGSRRKSSRSPPSSVNFSVSRTRVFKSEMSVPSPPEDRIVLGVGGATVDFLAAVASYPKPDDKIRSTSFQVQGGGNTGNALTCAARLGLNPRIISKVANDGQGKGILDELDGDGVDTSFMVVSEGGNSPFTYIIVDNQTKTRTCIHTPGEPPMKPEELSQSHLLSAVDGARIVYFDGRLYETALVVAKEASSRSIPILVDAERVREGLDDLLNAASYVVCSTKFPQAWTDTPTLPSALVSMLIRLPNVKFVVVTLGEEGCIMLERTETESTQSEEIDIDNLYEILKQRKDANTTMPTCIPSNVAKLRAKGVGMVCGKLLLGTTEKIPPSELVDTTGAGDAFIGAVLYSICADMPPEKMLPFAAQVAAIGCRALGARAGLPRRGDPRLAPFLV >itb05g02280.t1 pep chromosome:ASM357664v1:5:1802592:1807767:-1 gene:itb05g02280 transcript:itb05g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVLRSLHHSVGNSHLKSILDMAGVVPMNNAAFSNRSGLTFGGKLICSRNRDNRLVMSSAKTPETIPTNLNAVLTDSQQTVPDEKNSLTQAIFPDGFEALITEVCDDTEIAELKLKAGDFEMHLLRKIEATAVPAPVVSPTAPPSPSQPAVDSLSAASSASPSKPSEKSSPFINVSTEKSAKLAALEASGSSGYVLVLSQAVGSFRRARTAKGKKLPLACKEGDIIKAGQTIGFLDQFSSEVPVKADVAGKVLKILYDDGEAVGYGDPLVAVLP >itb05g02280.t2 pep chromosome:ASM357664v1:5:1802592:1807767:-1 gene:itb05g02280 transcript:itb05g02280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVLRSLHHSVGNSHLKSILDMAGVVPMNNAAFSNRSGLTFGGKLICSRNRDNRLVMSSAKTPETIPTNLNGAAVLTDSQQTVPDEKNSLTQAIFPDGFEALITEVCDDTEIAELKLKAGDFEMHLLRKIEATAVPAPVVSPTAPPSPSQPAVDSLSAASSASPSKPSEKSSPFINVSTEKSAKLAALEASGSSGYVLVLSQAVGSFRRARTAKGKKLPLACKEGDIIKAGQTIGFLDQFSSEVPVKADVAGKVLKILYDDGEAVGYGDPLVAVLP >itb10g09760.t1 pep chromosome:ASM357664v1:10:13279114:13281705:1 gene:itb10g09760 transcript:itb10g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQNCSCNAYATLETDGTGCKFSSSTKYQYASQGDILYIRYGKTAANQIHSPPHRLPAPPPAALTRDISHHMMRTTTIIALTGILSNGQEVAAKRLSKMSGHGVEQFKNEVLLISKLQHRNLVRLLGCCTQGDERILIYEYLPNKSLDSILFDARKKVCLDWGKRASIIDGIAQGLLYLHKYSRLRIIHRDLKTSNILLDVHMHPKISDFGTARIFKDSDSRASTKSIIGTYGYMSPEYAMDGCFSEKSDVFSFGVMVMEIVSGKRNNGFYNPDRVSNLLGYAWSLWIEGKVSDLIDPTMDKMISVIEATRYIQVGLLCVQDSATDRPTMTDVVSMLGNESTILHIPKEPGFSAIIGLKCGDVANNSKSCSINEVTITEIEGR >itb03g07450.t1 pep chromosome:ASM357664v1:3:5461706:5464975:-1 gene:itb03g07450 transcript:itb03g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMNFNNSEKSIMKFDHFKSFTMLFRLIELCFGLVWLSWTSTRLPLLIQISCDYLRLAFSFIISPLFIFLLGNFIVLTLLLKSGRLSGQSPITCHAEETKIYNSLIQKSHNDYSMKVASGNSETVTEPAEIVYQDKQTVSETTALVAPNLEASRTTGFNPRVPLKRTRSDELTVEKSGEESCGKLQRTVTEKCRKVTDPSNAPTETSLDVENLSNEEFQRAIEAFIAKQIKFHQEEKLDIVLQSSSCPEAFPDVAISLVVSWINLQVNRSDRPLESLSDFPSLRLRKYQGVEEWYTQLVGAPSTTPLVYPRVVEIGKWLAAADVDKPMLVSRRPIFGRGVCRGCSPLSSPNQLVHCHPSGWCLVLPNLTNDLVGSFGREHVQMRVVDYQGGGRVVWCVLRCVVWMMV >itb13g17980.t1 pep chromosome:ASM357664v1:13:24953287:24959885:1 gene:itb13g17980 transcript:itb13g17980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKDAFLNYWQLKKLIKKIKVARERKHVVQAQNSHAALSIFDPMRALVNKISDKFQRCSVENPDDERIQVTGNGNEDGSGSGEEETYDEPENELAQLFSEEDEVKRFFVKLDAELEKVNEFYKMKEGEFVERGEILNNQLEVLFDLKQVLNDRRKGLPFSSPSSNLYNDNNIDFSGQY >itb01g31670.t1 pep chromosome:ASM357664v1:1:35307736:35308916:1 gene:itb01g31670 transcript:itb01g31670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTKHKHVFHSQEFPREASGFISAFQKIVAELVGTYIFIFVGCGSALVDREKELTIVGIALAWGLPLMALIYTLGHVSGAHFNPAVTIAFGTSGRLPLVQVPMYVVCQLVGSTLACLTLKVLFNHQNDIKPTLTQYNTPTTDLEAITWEFLMTFILIPITGASMNPARSIGPAVVSGVYKNQWVFVVAPIVGAMAATLVYALIRSPKTAVHSEETAKSVYNDLYSHSQVV >itb13g20290.t2 pep chromosome:ASM357664v1:13:27102716:27103693:1 gene:itb13g20290 transcript:itb13g20290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDSAVELDFFGMENQAAAKPPQPPKLFERRRSFRDIQHAISKINPEVVKNVIASASANSKEEPRLFPALPVYAPASRTTTVSSAENGGGETAPLTIFYDGTVSVFDVRRLEAEKIIKLIQQESLSKTADSADSNLANTDGG >itb13g20290.t1 pep chromosome:ASM357664v1:13:27102716:27106008:1 gene:itb13g20290 transcript:itb13g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDSAVELDFFGMENQAAAKPPQPPKLFERRRSFRDIQHAISKINPEVVKNVIASASANSKEEPRLFPALPVYAPASRTTTVSSAENGGGETAPLTIFYDGTVSVFDVRRLEAEKIIKLIQQESLSKTADSADSNLANTDGDLPLQRRKSLQRFLEKRRSRLVMVSPYGSPSEYAVSGDTALGSQA >itb13g01130.t1 pep chromosome:ASM357664v1:13:1071478:1071828:1 gene:itb13g01130 transcript:itb13g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAASSDGLLRAVFDGCISHDAGIKRRPYHRNCSCALHKLRGHCSHLAGNKNAVSYPIRRSWSEGCLALATGAGAGASPCSSPVATAENMAAGMRSNNSCPVFSIGDEDVSSSD >itb15g08170.t1 pep chromosome:ASM357664v1:15:5719715:5720975:-1 gene:itb15g08170 transcript:itb15g08170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGGWASPFSARVELALKLKGVEYEFIQQDLSNKSPLLLKSNPVHKKIPVLLHNGNPIAESLVILEYIDDTFPGAPILPKDPYERALARFWAKFIDDKFFPATMKVRSTKGEEQEKAKEEVGELLKVLDNELKKKKFLGGETIGLADIAGNFVAVWVGVVEEVMGVDLGVTEEKFPHLCRWKQDFLNCHVIKETLPSRDKLVAYFSKLLKPAAATASQ >itb08g06550.t1 pep chromosome:ASM357664v1:8:5556080:5557391:-1 gene:itb08g06550 transcript:itb08g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLIIPRNFYLNSIKIKPKSSIRSPDFKIQPAMNPRKRLRELISGALKDKASLIKATLTTKRAVSSVRVAVIRATTHASASPPPDHRVAAILDLGNRSVPVICACVGAITDRLHRTRDPFVALKCLYVLHRLIVKGSLSFYPADGGHNSLNLSGFATRSGGESRELSPWVRWYAGVLEQSIMTLKILGNSSVTYTRKSINFDKITVIAKDSKLRRQNAVSEIESLVCMVEDMSRAPESLHWRKNDVVHEVMVLVSEDYRAAQFQIMLRLSELGERVGKLSYAELTELQTCLRRLEGCKERMIELFMRRRNDAFWEMVRRTAAEIDEVKEEWERQSLVLWNADEGKSTESTRRGGRVGDATNQMLLLPYNSQ >itb13g26080.t1 pep chromosome:ASM357664v1:13:31429765:31435365:-1 gene:itb13g26080 transcript:itb13g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRKKKLHFSKIYSFRCGMSAFSKEEQSQIGGPGFSRVVYCNDTEGFEGEIIKNNYCGNYVRTTKYTAATFLPKSLFEQFRRVANFYFLVVGILSFTSLAPFHASSAIIPLVFVIGASMVKEAIEDWHRKQQDIEMNNRKVNVHQSNGVFKHTEWKNLRVGDIVKVQKDDFFPADLLLLSSSYDDAVCYVETMNLDGETNLKCKQALEVTLSLHEDSQFQDFKATVKCEDPNANLYTFVGSLECEGKQHPLSPQHLLLRDSKLRNTEFVYGAVIFTGHDTKVMQNSTEPPSKRSRIERKMDNIVYFLFGVLFVFGFVGSVYFGVVTKEDLDGDKTKRWYLRPDNAEIFFDPNRATAAAIYHFLTALLLFSNLIPISLYVSIEITKVLQSTFINQDIHMYYEEADKPANARTSNLTEELGQVETILSDKTGTLTCNSMEFVKCSVAGVAYGHGITEVERAMAKRKGGNCGELAEIVTKTHVKGFNFSDERIMNGSWITEPRSGVIQKFFRLLAVCHTVIPDVDDATGKVSYEAESPDEAAFVIAAREIGFEFSKRTQTSVSVNELDLESGKRVERLYKLLDVLEFNSTRKRMSVIVRDEDGKILLLCKGADSVMFERLAMNGREFEEETREHVNEYADAGLRTLILAYRQLSEEEYEVFNKKFSEAKNLVSAERDSKMNEVTEKIEKGLILLGATAVEDKLQQGVPDCIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMEQIIINLDTPKIIALEKSGDKDAIAKASKKNVLKQINDAKAQVTASSTEAFALIIDGKSLTYALKDDIKNLFLDVAIRCASVICCRSSPKQKALVTRLVKAGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFQYLERLLLVHGHWCYRRIATMICYFFYKNITFGFTLFLYEIYTSFSGQPAYNDWFLGLYNVFFTSLPVLALGVFDQDVSARFCLKFPLLYQEGVENVLFNWRRIIGWMLNGVCSALIIFFICITILDPQAYMEDGKIAGYAVIGATMYTCVVWVVNCQMALAISYFTLIQHLFIWGGIALWYIFLLIYGALPAEYSSTAYRVFLEALAPAPSYWIATLFVAVSALVPYFAYNAVQMRIFPTYHEMIQWIRYEGRLEDPEYCDMIRQRSIRHTTVGFTARSLARSGENLQLHSSCLSEQTEFASETQPRLELCSFVDS >itb04g05190.t1 pep chromosome:ASM357664v1:4:3224109:3231066:1 gene:itb04g05190 transcript:itb04g05190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDRRSVIIVGAGIAGITAAKVLALNGVEDVVILEAADRIGGRIRKDEFDGVTVELGAGWIAGVGGKQSNPVWELARQCDLRTCFSDYSCARYNIYDRSGKIFPIGIAADSYKKAVDSAIQKLRSQQANHDATVSDSSNLPETLSIPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREYLVADERGYEHLLYKMAETFLSTSEGKIVDSRLKLNTVVREVQHSRNGVSVTTEDGFVYEASYVILSVSIGVLQSDLISFAPPLPNWKMEAIRNIEIMVYTKIFLKFPYSFWPCGPGKEFFIYAHERRGYYTFWQHMENAFPGSNILVVTLTNGESKRVEAQSDEETLKEAMEVLRNMFGPDIPTATNILVPRWWNNRFQRGSYSNYPIYGNHQLVHNIKAPVGRIFFTGEHTSEKFSGYVHGGYFSGIDTCKALLEEMRKEDGRKSESQALLLEPLLGSLTLTQADAVSTGLHKCDIRRLFLSEGLPEAIL >itb04g05190.t2 pep chromosome:ASM357664v1:4:3224109:3231066:1 gene:itb04g05190 transcript:itb04g05190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDRRSVIIVGAGIAGITAAKVLALNGVEDVVILEAADRIGGRIRKDEFDGVTVELGAGWIAGVGGKQSNPVWELARQCDLRTCFSDYSCARYNIYDRSGKIFPIGIAADSYKKAVDSAIQKLRSQQANHDATVSDSSNLPETLSIPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREYLVADERGYEHLLYKMAETFLSTSEGKIVDSRLKLNTVVREVQHSRNGVSVTTEDGFVYEASYVILSVSIGVLQSDLISFAPPLPNWKMEAIRNIEIMVYTKIFLKFPYSFWPCGPGKEFFIYAHERRGYYTFWQHMENAFPGSNILVVTLTNGESKRVEAQSDEETLKEAMEVLRNMFGPDIPTATNILVPRWWNNRFQRGSYSNYPIYGNHQLVHNIKAPVGRIFFTGEHTSEKFSGYVHGGYFSGQQLSTFLFI >itb06g25030.t1 pep chromosome:ASM357664v1:6:26309921:26312228:1 gene:itb06g25030 transcript:itb06g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTTTLSFGSTTSARPKGSSISQSKALNLKFNSRVCLGSFNGLKAEASVRWESASSFFGRESTLALQQSFTPRAQKESHRSLNCVQPQASYKVAVLGAGGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGASELANCLKDVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKDLRLIDVDVPVVGGHAGITILPLLSKTKPSTSFTDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDSDVYECAFVQSDLTELPFFASRIKLGRNGVEALIPSDLQGLTEYEQQALEALKPELKSSIEKGIGFVQKQPVAA >itb01g29850.t1 pep chromosome:ASM357664v1:1:33972387:33976177:-1 gene:itb01g29850 transcript:itb01g29850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFTSFSSSDHFSLAKSPAFVKITALSLLSLSVFFLVRHFSEPATNFSASFSNLTPAVAVAVSAVTINTSTDVTNSSQPSASPPPLQSASPLPVPIISPPPRQPRDFLERTGIVDENGAMSFDFIVGDYDETLLETVVNGSRGGAESDEIRGSWKGKFGKFRACDESMSGYIPCLDNVEAISKLNSSEKGENYERHCPEKGKGLDCVVPWPKGYRIRVPWPKSRDEVWVSNVPHTSLVDDKGGQNLISRKKNKFVFPQGGTQFPHGVEQYLDQISKMVPEIAFGQNTRVALDIGCGVGSFGAYLTKRNVLALSIAPKDANNNQIQFALERGVSAMVAGFATRRLLYPSQAFDLIHCSGCGVKWTRDEGILLLEVNRMLRAGGYFVLAEQYVDKQRKLEEQWKEMEDLAGRLCWELVKKKSNIAIWRKPLNNSCYFNRDATAKPLLCGTDDDPDDVWYVNLKPCISLLPENGYGANITAWPARLNSPPDRLFSIKMDAMLSRKEIYKADTKYMNDIIRGYSGAFHWKKLNLRNVMDMKAGNGGFAAALVDFEFDCWVMNVVPVSGSNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLNAIGLFSVEKTRCNISNIVLEMDRILRPGGRVYIRDLTPVIDELEEIIHAVGWVVSRFDDSEGPHSNWKLLTCEKRM >itb15g22290.t1 pep chromosome:ASM357664v1:15:25052904:25056023:1 gene:itb15g22290 transcript:itb15g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPGTITCKGVVAWQKGEALKVEEIQVDPPKSSEVRIKMLFSSVCHTDILSHNGFPIPLFPRVLGHEGVGTIESVGDNVRDLKEGDLVVTVYVAECKECLNCKSGKSNLCFKYPLPLSCLMADGTSRISIRGQTLYHTFSCATWSEYAVCDANYVVKIDPRIPLPHASLLSCGFTSGFGAPSRIAGIEKGSTVVVFGLGTVGLGVVAGARDQGAAKIIGIDINDFKREKGKAFGMTDFINPKNSEKTVSELVKDVTEGLGADYGFECTGVASLLNEAIDATKVGSGTVVAIGAGAGGEKSWDISIASVLLCGRTFKGSIFGGVRVKSDFPSIVDKCIRKEVQLEELLTHEISLEETPSAFELLKQPDCVKVVIKF >itb11g11330.t1 pep chromosome:ASM357664v1:11:8263395:8271657:1 gene:itb11g11330 transcript:itb11g11330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDLKPLSCGVCWKKDIHSLVALCAIVEEASYERNDMSNCTQGYGYPFPANPTELSPWIGRNSRLDPSTTPKTNSSNLPTVYRNIRRVTNPKYALTSSLYALPTSRDALPESRRSLSYLILFPFSSISHAQFRLRFPEIESWLIVQIPAEPMSLDDKLSSPHRRSQTVFSVSPSYKKLYARGDELGSSWLAMLQRHRFLLTSMSLLAILCTIYLYFAITLGESSSCFGLKGTEKAACLLKSGGAALAKGKLKI >itb14g09640.t1 pep chromosome:ASM357664v1:14:10176351:10177139:1 gene:itb14g09640 transcript:itb14g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNFSHSLSITCTRSRSYYESMPNEFGGRCRRWRWETTGRSSPQPMPCLLFERKFLLLINTLNPWSYVPSAEQNKM >itb13g25690.t6 pep chromosome:ASM357664v1:13:30991204:30995038:-1 gene:itb13g25690 transcript:itb13g25690.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGKDDTETAKRNEDALSFHSDNMPSDWQLNGANLANVSMGVIPNSNPMTDSFWAINSVNLGFRDANVLPGVSGSNLLGSGTLGFEPARGAPDRNVGMFGTQPNAMLKGGMFLPTPSGMVPQFPGDSDFIERAARFSCFSGGNLCDPINPFNTTESMNPFAKGVMPTQKPQEVFVGNELKSAPAMQYQDYEMTNVAESSKEGSLPIDRAAEGSRPRNGTKNENTFEAKQCVEGSGNESDEPECSSPGDQDLSEGAGRESPATGLASKKRKRGGRQADLDKTKGTPPRLIEATMDQTAIQQDRDQNLISNASKQGGKNGKQGTQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVIPRLDFNIDGLLAKDILQSQTGPSSSLAFPPDITMPFPPINPPQPSLLQAGIPGYGNPINVLQRTINSEKATVNVSYKYLQKQFRFSYRLFVLILVKIDRYRVYGTTSFITSSRWASNRMLPSTAKIIVLYRPRQTVNREL >itb13g25690.t4 pep chromosome:ASM357664v1:13:30991204:30994820:-1 gene:itb13g25690 transcript:itb13g25690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGKDDTETAKRNEDALSFHSDNMPSDWQLNGANLANVSMGVIPNSNPMTDSFWAINSVNLGFRDANVLPGVSGSNLLGSGTLGFEPARGAPDRNVGMFGTQPNAMLKGGMFLPTPSGMVPQFPGDSDFIERAARFSCFSGGNLCDPINPFNTTESMNPFAKGVMPTQKPQEVFVGNELKSAPAMQYQDYEMTNVAESSKEGSLPIDRAAEGSRPRNGTKNENTFEAKQCVEGSGNESDEPECSSPGDQDLSEGAGRESPATGLASKKRKRGGRQADLDKTKGTPPRLIEATMDQTAIQQDRDQNLISNASKQGGKNGKQGTQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVIPRLDFNIDGLLAKDILQSQTGPSSSLAFPPDITMPFPPINPPQPSLLQAGIPGYGNPINVLQRTINSEKATVNVPSVWDNELHNVFQMGFKPNAPLNSQDHSTSNALTFYHFTRNQKKL >itb13g25690.t2 pep chromosome:ASM357664v1:13:30991214:30995031:-1 gene:itb13g25690 transcript:itb13g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGKDDTETAKRNEDALSFHSDNMPSDWQLNGANLANVSMGVIPNSNPMTDSFWAINSVNLGFRDANVLPGVSGSNLLGSGTLGFEPARGAPDRNVGMFGTQPNAMLKGGMFLPTPSGMVPQFPGDSDFIERAARFSCFSGGNLCDPINPFNTTESMNPFAKGVMPTQKPQEVFVGNELKSAPAMQYQDYEMTNVAESSKEGSLPIDRAAEGSRPRNGTKNENTFEAKQCVEGSGNESDEPECSSPGDQDLSEGAGRESPATGLASKKRKRGGRQADLDKTKGTPPRLIEATMDQTAIQQDRDQNLISNASKQGGKNGKQGTQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVIPRLDFNIDGLLAKDILQSQTGPSSSLAFPPDITMPFPPINPPQPSLLQAGIPGYGNPINVLQRTINSEKATVNVPSVWDNELHNVFQMGFKPNAPLNSQDHSSLPPQTNSES >itb13g25690.t5 pep chromosome:ASM357664v1:13:30991204:30995038:-1 gene:itb13g25690 transcript:itb13g25690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGKDDTETAKRNEDALSFHSDNMPSDWQLNGANLANVSMGVIPNSNPMTDSFWAINSVNLGFRDANVLPGVSGSNLLGSGTLGFEPARGAPDRNVGMFGTQPNAMLKGGMFLPTPSGMVPQFPGDSDFIERAARFSCFSGGNLCDPINPFNTTESMNPFAKGVMPTQKPQEVFVGNELKSAPAMQYQDYEMTNVAESSKEGSLPIDRAAEGSRPRNGTKNENTFEAKQCVEGSGNESDEPECSSPGDQDLSEGAGRESPATGLASKKRKRGGRQADLDKTKGTPPRLIEATMDQTAIQQDRDQNLISNASKQGGKNGKQGTQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVIPRLDFNIDGLLAKDVTKSLL >itb13g25690.t1 pep chromosome:ASM357664v1:13:30991204:30995038:-1 gene:itb13g25690 transcript:itb13g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGKDDTETAKRNEDALSFHSDNMPSDWQLNGANLANVSMGVIPNSNPMTDSFWAINSVNLGFRDANVLPGVSGSNLLGSGTLGFEPARGAPDRNVGMFGTQPNAMLKGGMFLPTPSGMVPQFPGDSDFIERAARFSCFSGGNLCDPINPFNTTESMNPFAKGVMPTQKPQEVFVGNELKSAPAMQYQDYEMTNVAESSKEGSLPIDRAAEGSRPRNGTKNENTFEAKQCVEGSGNESDEPECSSPGDQDLSEGAGRESPATGLASKKRKRGGRQADLDKTKGTPPRLIEATMDQTAIQQDRDQNLISNASKQGGKNGKQGTQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVIPRLDFNIDGLLAKDILQSQTGPSSSLAFPPDITMPFPPINPPQPSLLQAGIPGYGNPINVLQRTINSEKATVNVPSVWDNELHNVFQMGFKPNAPLNSQDHSSLPPQTNSES >itb13g25690.t3 pep chromosome:ASM357664v1:13:30991204:30995031:-1 gene:itb13g25690 transcript:itb13g25690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGKDDTETAKRNEDALSFHSDNMPSDWQLNGANLANVSMGVIPNSNPMTDSFWAINSVNLGFRDANVLPGVSGSNLLGSGTLGFEPARGAPDRNVGMFGTQPNAMLKGGMFLPTPSGMVPQFPGDSDFIERAARFSCFSGGNLCDPINPFNTTESMNPFAKGVMPTQKPQEVFVGNELKSAPAMQYQDYEMTNVAESSKEGSLPIDRAAEGSRPRNGTKNENTFEAKQCVEGSGNESDEPECSSPGDQDLSEGAGRESPATGLASKKRKRGGRQADLDKTKGTPPRLIEATMDQTAIQQDRDQNLISNASKQGGKNGKQGTQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVIPRLDFNIDGLLAKDILQSQTGPSSSLAFPPDITMPFPPINPPQPSLLQAGIPGYGNPINVLQRTINSEKATVNVPSVWDNELHNVFQMGFKPNAPLNSQDHSSLPPQTNSES >itb03g03490.t2 pep chromosome:ASM357664v1:3:2035934:2039569:1 gene:itb03g03490 transcript:itb03g03490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSEIWFRNLTALDSGEVVLSSNDSSNSIGLFNGGGLFLEDSVPASFVSSITNRRYSASSLNTVSCAARSDRRRWIGVEASRRGGGGMFLSVTLSVRGGNGGIGEDSNEILERSGENDVVSEAEVLPEIENEKVEKPGIRSGSQQALNVTKHLWAGAVAAMVSRTFVAPLERLKLEYMVRGEQKNLLELVRKIATTQGIKGFWKGNFVNILRTAPFKAINFYSYEKYRDQLLKISGNEEATNVERFIAGAAAGITATVLCIPMDTIRTVMVAPGGEALGGLSGAFRHMIQTEGREEATSKFEETRSGTKCLGTTGAGSN >itb03g03490.t1 pep chromosome:ASM357664v1:3:2035934:2039569:1 gene:itb03g03490 transcript:itb03g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSEIWFRNLTALDSGEVVLSSNDSSNSIGLFNGGGLFLEDSVPASFVSSITNRRYSASSLNTVSCAARSDRRRWIGVEASRRGGGGMFLSVTLSVRGGNGGIGEDSNEILERSGENDVVSEAEVLPEIENEKVEKPGIRSGSQQALNVTKHLWAGAVAAMVSRTFVAPLERLKLEYMVRGEQKNLLELVRKIATTQGIKGFWKGNFVNILRTAPFKAINFYSYEKYRDQLLKISGNEEATNVERFIAGAAAGITATVLCIPMDTIRTVMVAPGGEALGGLSGAFRHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRLQNLKKQGQELNALEQLELGPIRTLIYGAIAGCCSEAATYPFEVVRRQLQMQVRATKMSALATCAKVVEQGGIPALYAGLTPSLLQVLPSAAISYLVYEFMKIVLEVQ >itb11g21230.t1 pep chromosome:ASM357664v1:11:22699914:22703064:1 gene:itb11g21230 transcript:itb11g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLMRTLELEFLQPLPRPILQQKELIPCNKDLVLSLHNKLGFLMELFDENRMDGVEAIKDLETKLRDLAFRIEDEIELQVVHLYEEEEEEMPQGEKSTHHCQRLHQILHPLRGKSHPCLKFRRILHRAVQDIDAITRELAKAKEEYQLSKHHLQAGIKQPASLHVLPITMPDSSHHASRSKEIMVGKQDEFEIIKKMLIRHPSKQLEIVSIKGMGGIGKTTLARKIYEDSSITSYFDKQSWVVASQHHNKRQMLFGLLGSKHNNADNSGNEDLALQFYQSLKCQRYLIVMDDVWSEGAWDAIKTCFPDDGNGSRVLLTTRLAEVANHTCSKDDFSHQMQFLEQSESWKLFNEKACESRGAEFETIGRPVVEKCKGLPLAIIVVAGLFSKLNTLDEWKNTANALSSSSATTLDDEECLKILSLSYNHLPHNLKACFLYLGVFPEDHEINANNLARLWYAEGLVNAFENENFDRVANRYMQELMDRNLIILGELCSRGRKIKSFRMHDLLHAFSVREAQNENLLHVVHSENNSYFPQKSFRWVSIQYADFNMSTIQHKSCRSFFSFFGEKSFSNFRNTNLRRVLFYDTPVWIKNIVDIVHLRFLRVGNDIELSQGQEFLKSRCKESIKLSRSWNLQTLHSYQKVIYRLDEGRKYLEFPQLHYISCADYFCGNPPKFVHKLDGIRAEDCSEEYVTNIPCLKKVRIECKGNESNAYVANLAYLEQLEGLWISMLLPGTHSINNDIVLLKNLRKLAFNGMRFESGRINILSKLPRLEVLKLLWTTFKEWEIQEEVKFWQLIALVILHCDLKHWKASSHNFPKLEHLCLTYCFELRKIPTDFGEISTLKSIELSRCLPSAVESAKKIQDEQRDYGNYDMIVIEENTLHVSGSVKSPSEEEPEVDN >itb15g14160.t1 pep chromosome:ASM357664v1:15:12360299:12367826:-1 gene:itb15g14160 transcript:itb15g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MGVFIVAHAHSPARLTLCQARSPPPSCFRIRHCFSSRRWLIPNFTPLRVDNFKSRCSIAKADIFTDTTDEEVLDNFESADYNCTVPVVHLKSDILDSEALDLLAKGTYVDTILTTLPVLSEEEQNIIAATPAHPAGLYALYASCFAGNLVEQLWSFAWPAAIALLHPSLLPVASMGFVAKVAVIVGGPLVGKLMDHFPRVPAFNCLSIVQAAAQLLSVGVIIHAHRFHATATPFPLFRPWFAVFVLAGAVERLSGLALGVAVERDWVVLLAGTNRPVALAQANAVLSRIDLLCEVAGAALFGFLLSKYEPVKCLRLTASLMIGALPIVILLTWITNKLSSGVLDRAKSVQTCCPSYSSESLPESGNLVGMSIEAIKHGWAEYIQQPVLPASMACVLLYFNVVLAPGSLMTAFLTQRGLNPSIIGSFSVLSAFMGIAATFGSAKMVKRFGILKAGAAALILQASLLSTAVIVYWTGSLSQQTHFLFFLGLIVLSRIGHMSYDVVGAQILQTGIPASKANLIGTTEVSIASLAESIMLGVAIIANDVSHFGFLAMLSVLSVVSAAWLYCRWLANPTETQRSLFCFDPQF >itb15g14160.t2 pep chromosome:ASM357664v1:15:12360324:12367719:-1 gene:itb15g14160 transcript:itb15g14160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MGVFIVAHAHSPARLTLCQARSPPPSCFRIRHCFSSRRWLIPNFTPLRVDNFKSRCSIAKADIFTDTTDEEVLDNFESADYNCTVPVVHLKSDILDSEALDLLAKGTYVDTILTTLPVLSEEEQNIIAATPAHPAGLYALYASCFAGNLVEQLWSFAWPAAIALLHPSLLPVASMGFVAKVAVIVGGPLVGKLMDHFPRVPAFNCLSIVQAAAQLLSVGVIIHAHRFHATATPFPLFRPWFAVFVLAGAVERLSGLALGVAVERDWVVLLAGTNRPVALAQANAVLSRIDLLCEVAGAALFGFLLSKYEPVKCLRLTASLMIGALPIVILLTWITNKLSSGVLDRAKSVQTCCPSYSSESLPESGNLVGMSIEAIKHGWAEYIQQPVLPASMACVLLYFNVVLAPGSLMTAFLTQRGLNPSIIGSFSVLSAFMGIAATFGSAKMVKRFGILKAGAAALILQASLLSTAVLSRIGHMSYDVVGAQILQTGIPASKANLIGTTEVSIASLAESIMLGVAIIANDVSHFGFLAMLSVLSVVSAAWLYCRWLANPTETQRSLFCFDPQF >itb05g22300.t1 pep chromosome:ASM357664v1:5:27732502:27735905:1 gene:itb05g22300 transcript:itb05g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAASAVGHPATFPTGHQGCKQIKHIAFVPVGLKPLHPRIQISECRRQITCATQGRRSSVICAAALNARCSAEGQTQTVTRQSSTITVAPVQGKEKSPELDDGGTGFPPRDDDGDGGGGGGGGGNWSGGFFFFGFLAFLGLLKDKESESPYQEDRRR >itb07g13440.t1 pep chromosome:ASM357664v1:7:15690992:15700813:1 gene:itb07g13440 transcript:itb07g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEGSPGSSMHGVTGREPVLAFAVASPIVPTDTTAKFALPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLVKSDIGNAGVASVSGSILSRLVMGAVCDLLGPRYGCAFLIMLTAPTVFCMSFVSSAGGYVAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLLMPILYDIIRRAGATPFSAWRIAFFIPGWLHVVMGILVLTLGQDLPDGNLGSLQKKGNVTKDKFSKVFWYAVTNYRTWIFALLYGYSMGVELSTDNVIAEYFYDRFDLKLHTAGIIAATFGMANLVARPFGGFASDYAAKYFGMRGRLWALWILQTTGGLFCFLLGRANTLPLAVTWMIIFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSKFSTASGLSYMGIMIMACTLPVTLVQFPQWGGMFLPASKDAVKGTEEHYYCSEWSEEEKQKETKTLMADIEGSPGSSMHGVTGREPVLAFAVASPIVPTDTTAKFALPVDSEHKAKVFKLFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLVKSDIGNAGVASVSGSILSRLVMGAVCDLLGPRYGCAFLIMLTAPTVFCMSFVSSAGGYVAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLANGTAAGWGNMGGGATQLLMPILYDIIRRAGATPFSAWRIAFFIPGWLHVVMGILVLTLGQDLPDGNLGSLQKKGNVTKDKFSKVFWYAVTNYRTWIFALLYGYSMGVELSTDNVIAEYFYDRFDLKLHTAGIIAATFGMANLIARPFGGFASDYAAKYFGMRGRLWALWILQTMGGLFCFLLGRANTLPLAVTWMIIFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSKFSTASGLSYMGIMIMACTLPVTLVHFPQWGGMFLPASKDAVRGTEEHYYCSEWSEEEKQKGRQLDDDDDNDMYNNI >itb10g13440.t1 pep chromosome:ASM357664v1:10:19673292:19673812:-1 gene:itb10g13440 transcript:itb10g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPVWLIHLPPVSTLCLHLVSASLRFASTPPVAVAPPLVAVALQPVAAARKSATLPCPLVSPSLSLPLLMLRLSALSL >itb03g01920.t1 pep chromosome:ASM357664v1:3:1081159:1084294:1 gene:itb03g01920 transcript:itb03g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGKSLFQSLKRYIKAPWNYTGPQTSPEYLSAVPKATEYRVFCPATVPAKAIVPTSNPETVYDIKYFSRDQRRNRPPIKRTVLKKADIQKMMAEQTFDVNDFPKPYLTAKVQEDENAIGGGYQ >itb13g08340.t2 pep chromosome:ASM357664v1:13:10559302:10566059:-1 gene:itb13g08340 transcript:itb13g08340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYFKFKSAKDYDSIPIDSHFIAVGNLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEDTLIPKNTSVLIRRIPGRPRMPIVTAPITELEETVVENKLEEDQTVKVGFVGVETSAAKYPEDLEWDEFGTDLYATPEVMTVQSSNPVQEVSPPSKADEESKIKALIDTPALDWQSQSADGFGAGRGYGRGPGGRMMGGRGFGRGGFERKTPPPGYVCYRCKVPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLMATPDGSYALPSGANAVLKPNEAAFEKAVEAMPSTRSVGELPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCVRDYIISKSVCVCGATNILADDLLPNKTLRDTINRILESNNSSEHGGSALHVQDMESARNPMPKNPSPSQSAASRGEKVPQPLPQKEETSRVPETAGETSKADKISQPLPQKEETLRAPEKVEEVKLETAPLQLLEKGKMPKMGDISEPTHESFSLKEPASQGSALPVDEEVQQKPAAVAAAEAAKKKKKKKPRMPFPASEMQWRPPMDLAAENYMMPMGPGYNPYWTGMQPGMEGFGGPFPAPMPYMNYGMEGFGGPFPAPFGHMMPQDPFGGQGCMLPFVPPQRDLANFGTGFDAGPPIMSREEFEARKSGLKRKHENERHGEREPKDGREFSREVGSSCDISSKKPKSVCTFVIQVSRLSYICIPVGRVYVYRKSSLWDGISVAGSSYTGKSIFPASSFHYWGKGKFV >itb13g08340.t3 pep chromosome:ASM357664v1:13:10557496:10564005:-1 gene:itb13g08340 transcript:itb13g08340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGWLVRGMYHSMGLLHCVLGSVFDLNMLFCRTVVENKLEEDQTVKVGFVGVETSAAKYPEDLEWDEFGTDLYATPEVMTVQSSNPVQEVSPPSKADEESKIKALIDTPALDWQSQSADGFGAGRGYGRGPGGRMMGGRGFGRGGFERKTPPPGYVCYRCKVPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLMATPDGSYALPSGANAVLKPNEAAFEKAVEAMPSTRSVGELPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCVRDYIISKSVCVCGATNILADDLLPNKTLRDTINRILESNNSSEHGGSALHVQDMESARNPMPKNPSPSQSAASRGEKVPQPLPQKEETSRVPETAGETSKADKISQPLPQKEETLRAPEKVEEVKLETAPLQLLEKGKMPKMGDISEPTHESFSLKEPASQGSALPVDEEVQQKPAAVAAAEAAKKKKKKKPRMPFPASEMQWRPPMDLAAENYMMPMGPGYNPYWTGMQPGMEGFGGPFPAPMPYMNYGMEGFGGPFPAPFGHMMPQDPFGGQGCMLPFVPPQRDLANFGTGFDAGPPIMSREEFEARKSGLKRKHENERHGEREPKDGREFSREVGSSCDISSKKPKSNSQRPLPPLSSSDHHLRHRSERPSPDRRSRDPELPRPSKKKYDDYEDYHRRDRDYQDDRHRRREARDHHERDLDRHGRSKPSATSARPAPAEPPTAASKESAADKKNKASVFSRISFPEGEAAAASKKQKSSSSSTEAPASQRGGVPTVVEQHKASSGVRKSGGAGDDYESSDDERHFKRRPSRYESPPPRAEYEEEATARHSKGWRERDRDREREHRSGYSNKHK >itb13g08340.t1 pep chromosome:ASM357664v1:13:10557496:10566059:-1 gene:itb13g08340 transcript:itb13g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYFKFKSAKDYDSIPIDSHFIAVGNLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEDTLIPKNTSVLIRRIPGRPRMPIVTAPITELEETVVENKLEEDQTVKVGFVGVETSAAKYPEDLEWDEFGTDLYATPEVMTVQSSNPVQEVSPPSKADEESKIKALIDTPALDWQSQSADGFGAGRGYGRGPGGRMMGGRGFGRGGFERKTPPPGYVCYRCKVPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLMATPDGSYALPSGANAVLKPNEAAFEKAVEAMPSTRSVGELPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCVRDYIISKSVCVCGATNILADDLLPNKTLRDTINRILESNNSSEHGGSALHVQDMESARNPMPKNPSPSQSAASRGEKVPQPLPQKEETSRVPETAGETSKADKISQPLPQKEETLRAPEKVEEVKLETAPLQLLEKGKMPKMGDISEPTHESFSLKEPASQGSALPVDEEVQQKPAAVAAAEAAKKKKKKKPRMPFPASEMQWRPPMDLAAENYMMPMGPGYNPYWTGMQPGMEGFGGPFPAPMPYMNYGMEGFGGPFPAPFGHMMPQDPFGGQGCMLPFVPPQRDLANFGTGFDAGPPIMSREEFEARKSGLKRKHENERHGEREPKDGREFSREVGSSCDISSKKPKSNSQRPLPPLSSSDHHLRHRSERPSPDRRSRDPELPRPSKKKYDDYEDYHRRDRDYQDDRHRRREARDHHERDLDRHGRSKPSATSARPAPAEPPTAASKESAADKKNKASVFSRISFPEGEAAAASKKQKSSSSSTEAPASQRGGVPTVVEQHKASSGVRKSGGAGDDYESSDDERHFKRRPSRYESPPPRAEYEEEATARHSKGWRERDRDREREHRSGYSNKHK >itb05g08370.t1 pep chromosome:ASM357664v1:5:11749597:11753164:-1 gene:itb05g08370 transcript:itb05g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHQPMSFGGKPVGVLSLFVSFGCVSMLCSTVFKPSMSKLPEITSLFTALASKLRDVETRAENEDQNLDHAVGNLSRLLNLSETTPKVPVLDTALSLVCFTAPQVFDSMIEFMVKTIVTVLSSSVECEVVKCGSVEALQIGGSISGQDCVEMIEACADVLQKLEGFRVVAGDLSPSLLYAIVRVATLASRHQYSVQMAEMKATRKRNSAFAKLLCHSSRGFNFKSGEIPLRLLWWLLDPILLKNDITQILQEATDRPFLCLEKEFYERTDWHSVLICLVLSPTMFIETRALLHNWFLLTGLASILELQTELVLRVLDVGSRPMCWGIPMEIALKLPFSHAYFPCENQLLRILAGPLSWDSFRHLVCEVIKPDCGGNQLNSASNQAAKASRVDHSSCWAMAINFPSWFFFASLLLFLDRSSKDNCYLKCISWLNKPEQSCGASVPYSAAAARFIAWVLNPKGDSCQDLLVEYLTTLSNMWTLEHCDSRKRIESTGCNKNKVRRSLSVKRGNTNSSNFDCQTLQLWLKEVQDIHVRYSKKHNEHFALNEAYHSQGVGYQKNILYRKIPLGILLGYSDRISSMESELLLHYAATGTLHTLKHMKYNDEWRQGQVTLTGKYTRKEAVAGASIAFHLTDATQSMSTSMFETEESGLNFLCEVKGKIGKYLLNCVKRLLQLNAYEDYGQQTLRDLYLRMVQWKHQGQDICSSLKDWNDIVDALKCASASPC >itb06g16130.t1 pep chromosome:ASM357664v1:6:20338906:20340489:-1 gene:itb06g16130 transcript:itb06g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSYHKDKEEKTMRALVLVVVLLATIATFSHAGPPPRQVHNISGVNCLSWRLGVESNNIRDWTMVPQQCEGYVGHYMLGHQYRDDCISVAISAVQYAKNATLAHDGNDIWVFDIDETTLSNLPYYARSDVAFGATKYNATKFDAWVSEGRAPAVPGAVHLYTTLLSLGIEPVFLSGTKSEFRKVRIANLKKAGYSHWKTLILKGANDTGTAVEYKSKKRTELVKKGYRIIGNIGGQWSDLLGDNAGARTFKLPDPMYYIA >itb07g07060.t1 pep chromosome:ASM357664v1:7:5330727:5332706:-1 gene:itb07g07060 transcript:itb07g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDSIVTIEEGTHYGNQEERDEHSEGGQTFCTSSAVVVIIQKMIAETIGTYFLIFIGCGSVAVNKIYGSITFLGVSVAWGLVIMVMIYSVGHISGAHFNPAVTITFALFRQFPWIQVPLYMFAQLGGSIVASGTLYFLLEVTPKAFFGTIPVGSDVQSFVIEFIMSFLLMFVISGVATDNRAIGELAGIAIGMTVLICVLVAGPVSGASMNPARTLGPAIVMHEYKSIWVYMVGPMMGTISGGFTYNLIRFTEKPLKELTKSGSILNSLSRRQTSTH >itb03g09630.t1 pep chromosome:ASM357664v1:3:7451407:7452577:1 gene:itb03g09630 transcript:itb03g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVGIAVAFMCIVVAGVGGQSPASAPAAATTGTPPTASPSKPESPAPALAPRIAVSAPQANAPAAAPVSPPAQTPLSSPVSAPVSSPPAATPVQSPAPELATPPAKVPVAAPTQAPTPALLSPPAPPSEAPGPNPDSISPSPAGLNDQSGAMKVTSMVGSLVIGWAVLSCLLF >itb09g13830.t1 pep chromosome:ASM357664v1:9:9067762:9071145:-1 gene:itb09g13830 transcript:itb09g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKCFRGKLTKNGIFHKLFWWFRPPSILIFSKKKGAIKGEAATSTSEAKEAEAAIVPVQNKPPEVVKIENEEKKEQPAPANTGGNDKEKPAKPKKPNNVKRVASAGLQAESVLKTKTGNLKDFYKLGDKLGYGQFGTTFICIESSTGNKYACKSISKRKLVSEEDVEDVRREIEIMHHLAGHPNVISIKDSYEDSVAVHVVMELCAGGELFDRIVKRGHYSERQAAQLTRTIAGVIQTCHSLGVMHRDLKPENFLFVSGEEDSPLKTIDFGLSTFFKPGEIFEDVVGSPYYVAPEVLRKHYGQEADVWSAGVIVYILLSGVPPFWGETEQDIFEEVVNGELDFKAEAWTKISDSAKDLVTKMLDRDTKKRLTAHDVLCHPWVQDNGVAPDKPLDSAVLTRLTQFSATNKLKKMALRVIANNLSEEEIAGLKEMFKAIDTDNSGQITFEELKDGLHKFGANLNESEIQDLMKAADVDNSGTIDYGEFIAAMLHMNKVEKADHLAAAFSYFDKDGSGYITADELQKACEEFGFRDVVLEEMIREADQDNDGRIDYNEFASMMTKGNANLGQKRLPNTFSISLRDGVQSC >itb05g27190.t1 pep chromosome:ASM357664v1:5:30693133:30696584:-1 gene:itb05g27190 transcript:itb05g27190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVVRSRSARVSSLLSRNSRRSSSSSATNPPEDPIISSARVVHNQSPPSHTSPPSSVPPAAGAESKPWNFLMYALAAAITGGAATAGYATYAYSLDEVEQKTKALRAAANYTVGDDAPASEKFQSRLYSSVMTVPAKLVEFYLDMRQLTEEHVRGYTEPTSDKLLPDLHPMEQHVFTIVLDLNETLIYSDWKRDRGWATLKRPGVDAFLEHLAQYYEIVVYSDQLNMYVDPVIERLDQKHCIRYRLSRGDTKYVNGKHYRDLSALNRDPGRVIYISGHAVESSLQAENCVEIKPWKGEVEDTALLDLIPFLEYVAKHRPADIRPVLASYQGRDIAKEFIERSKEHQRRMQEQKQQGSFWRR >itb05g27190.t2 pep chromosome:ASM357664v1:5:30693638:30696571:-1 gene:itb05g27190 transcript:itb05g27190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVVRSRSARVSSLLSRNSRRSSSSSATNPPEDPIISSARVVHNQSPPSHTSPPSSVPPAAGAESKPWNFLMYALAAAITGGAATAGYATYAYSLDEVEQKTKALRAAANYTVGDDAPASEKFQSRLYSSVMTVPAKLVEFYLDMRQLTEEHVRGYTEPTSDKLLPDLHPMEQHVFTIVLDLNETLIYSDWKRDRGWATLKRPGVDAFLEHLAQYYEIVVYSDQLNMYVDPVIERLDQKHCIRYRLSRGDTKYVNGKHYRDLSALNRDPGRVIYISGHAVESSLQAENCVEIKPWKGEVEDTALLDLIPFLEYVAKHRPADIRPVLASYQGRDIAKEFIERSKEHQR >itb01g08850.t1 pep chromosome:ASM357664v1:1:7083719:7084066:1 gene:itb01g08850 transcript:itb01g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMAMPIAASQKRVQPPGAAAFFNPLPARPSKSVVSRSNKRLQISASLKEKAITGLTAAALTASMLVPEAAEAAVSPSLKNFLLSIVAGGVVVAVIVGAVIGVSNFDPVKRS >itb14g16070.t1 pep chromosome:ASM357664v1:14:19317956:19319322:1 gene:itb14g16070 transcript:itb14g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLCLAVVSLLLSVFLDAAMAQAQGNATRKKYPYDAASTHYSVLSPMPGTGQERVFCEARGACNYKTLTCPTQCPQRKPKKNKKQRGCFVDCSSVCEVTCKWRRPRCTGYGALCYDPRFVGGDGVMFYFHGAKGGDFAIVSDDNLHINAHFIGTRPRERTRDFTWVQALAVMFDTHTLVLAAKRVSNWDDNVDSLTVKWDGETINVPTEGEAEWRVSTGDREVVIERTDDVNTVKMTVSGLLELAVKVVPIGEEENRVHNYQIPAGNAFAHLETQFKFFGLSDLVEGVLGKTYQPAYVSPVKRGVPMPMMGGEDKYRTSSLYSPLCKFCRFQRPSGRAATM >itb03g21350.t1 pep chromosome:ASM357664v1:3:19217578:19229909:1 gene:itb03g21350 transcript:itb03g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHRPPFESWDCMLPGPPSRNNGGSADLSTTGLLAYAAGSSISVVETHSMQLITTIPLPPALSTSAAASTALSPFITSLRWSPIGLPHLLFAPETTNHHLLLAAGDRQGRICLIDFRSKSPLLFFETSSNSMSPKLGIQDLCWIQTAAVSWILAAISGPSLLSLYNTYTGRCFFKYDASPEYFSCVRRDPFDSRRFCALGLKGFLLSAKALGDSDHDIVLKELHIRTDTTELQRLERDSTAAATGAPALATFPKYVVRFAFSPHWKHILFVAFPRELVVFDLLYETALFSTGLPRGCGKFLEVLPDTNIEVLYCAHLDGKFSTWRRKEGEQVHMMCAMEELIPSIGTSVPSPSVLAVVISHSDSTIQNISKLYSDGHPSLYADPDNPYDSCDESLVISKTPLISISDDGILWKWLLTAEGSEDAQKNTKRLFTVPDIGQTLGNRQKDFVPSKSAEINMYTQPNDVNSSRSCLLNAAKNDEVSFKIMLVGQLHLLSSAVTMLAVPCPSLTATLARGGNSPAVAVPLVALGTQSGTIDVIDVSANAVAACFSVHSSVVRGLRWLGNSRLVSFSYTQGNEKPGGYINRLVVTCLRSGINRSFRVLQKPERAPIRALRSSSSGRYLLIMFRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTIPRPSSKEHSTMSDETPSPETSLPNASSKDTRAGTDGSQDEFSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFITSDGLVTAMAYRLPHVVMGDRSGNIRWWDVSTGQSSSLNTHREGVRRIKFAPVVAGDHSLGRIAVLFYDNTFSVFDLDSTDPLANSLLQPQFPGTLVLELDWLPVRNDKNDPLVLCIAGADSSFRVVEVNINKKVPYSLQNSTSSKERPSKERFRPVPLCSPILLPTAHAIALRTILQLGVKPSWFNIFSTTMGNGHHEFPGTPSSTSDLRGYMLDPPDIGDSVVPEMLLKVLEPYRREGCIIDDERVKLYAGIVNRGCALRFAFAAAIFGEPMEAIFWLQLPQALTHRMNELVNKSVIKVPQSTPTSELDEASMLNRISSKGKVAPGSGTLSNSQLGLMAFKQDELWKRANERIPWHEKLEGEEAIQNRVHE >itb12g21520.t1 pep chromosome:ASM357664v1:12:23848739:23853625:-1 gene:itb12g21520 transcript:itb12g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLKPLVHLLVPLCVHWIAEEMSKPMLVDITTNALCDGQSSCSQSIYINGLQHTVVGILQMAVVPFLGQLSDDYGRKPLLLLTSSTTIIPFVLLAINKSKGFVYAYYVTQTISYIISQGSIFCISIAYAADVVDETNRATAFSWITGLFSASHVLGDLVSRFLHEDYNIFQAALCLLIFVPLYMALHLVETVASDGGVEQSLPCTSKVKKFCREQCYCVRSTLEVVISSPTLKCACLVFFFYQLGMSGIDSVLPHYLKAAFGFGENPFSEILMAVGAGSIVSQMVVLPLVNPFAGEKVILCIALLASIVYALLYGLAWASWVTYLGAPFGVIYILVIPVIYAVISRASSSDDQGKMLGFFAGVQSLASLLSPFAMSPLTNWFLSENAPFNCKGFSIICASLCMTVALCCACALKLETPWKKFSEDDDEENTETPLLTSFH >itb11g13460.t1 pep chromosome:ASM357664v1:11:10464324:10469635:-1 gene:itb11g13460 transcript:itb11g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWLKARSFAEEAAKRSQEFTKEAAKRSQELTIGGSAKLSDVVLEASKRSKEIAAEASKRSKEIVAEASKRAEEIKVDALKRAEQITSQIPAAAISHLVDSSSTGSTQTTAAAEAAATQADLERFGVTDELREFVKGITLNTFQDFPLQDDSEMSSSIPTVSNVRQDLTEFQEKHAKLVLSSVKEISKLRYALCPRVMRERKFWRIYFILVNSHIAPYEKRYMEEANLKSADNVKTEVTEDNASSVTTSKTTVDGKDQKSKKTTSSTDQDLDVFLLGEDSDDGPDDGDDCFDEDFDKI >itb11g13460.t2 pep chromosome:ASM357664v1:11:10464324:10469635:-1 gene:itb11g13460 transcript:itb11g13460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWLKARSFAEEAAKRSQEFTKEAAKRSQELTIGGSAKLSDVVLEASKRSKEIAAEASKRSKEIVAEASKRAEEIKVDALKRAEQITSQIPAAAISHLVDSSSTGSTQTTAAAEAAATQADLERFGVTDELREFVKGITLNTFQDFPLQDDSEMSSSIPTVSNVRQDLTEFQEKHAKLVLSSVKEISKLRYALCPRVMRERKFWRIYFILVNSHIAP >itb11g13460.t3 pep chromosome:ASM357664v1:11:10467800:10469635:-1 gene:itb11g13460 transcript:itb11g13460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWLKARSFAEEAAKRSQEFTKEAAKRSQELTIGGSAKLSDVVLEASKRSKEIAAEASKRSKEIVAEASKRAEEIKVDALKRAEQITSQIPAAAISHLVDSSSTGSTQTTAAAEAAATQADLERFGVTDELREFVKGITLNTFQDFPLQDDSEMSSSIPTVSNVRQDLTEFQEKHAKLVLSSVKVHLISFGSN >itb13g18510.t1 pep chromosome:ASM357664v1:13:25448703:25452102:-1 gene:itb13g18510 transcript:itb13g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDDGYEVAVGGGGAAALLKKKGAVGWWRWVRMEASGEENVVDVDKYEILQRVKIHAHDLRILDPLLSYPSTILARQRAILLNLELFLRDPLDETVAPVVEELRRRLKPVNCNHGDQAEGKGEVEESPFEVKALEVALEAVCSYLDAQTSELEAAVYPALDLLTSKVRDEIEKLLDDDDDMADLYLSRKSGRGGASSSPVSSSFLSSPTDGSKRCRASSASMATFHGDYENNVLELEMLLEAYFMQIEGTLNKLVTLREYTDSTEDYINIQLDNHRNQLIQLELFLSSGMVAVSIYSLVTGIFGMNIKFTWNEGHGYMFKWVLIVTGAISALFFLLIIAYARYKGLVGS >itb09g03050.t2 pep chromosome:ASM357664v1:9:1722932:1724801:1 gene:itb09g03050 transcript:itb09g03050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNICRLIIVAAIFLSILNFHVSIASVVSTGDFNKDFFVSWAPAHVNTSADGRSRTLKLDRASGSGMASNDMFLFGQFDMKIKLIPGNSAGTVVAFYLTSDQPNRDELDFEFLGNVAGKPCILQTNVYADGFGDREQRIKLWFDPTQDFHTYSILWNLHQIVFMVDWVPIRTYRNHADKGVAYPRWQPMGLKISVWDGDSWATEGGRVKIDWSKGPFIASFRDYKIDACVWKGNPRFCRADGPTNWWNSEISSTLTWAQRRLYRWVRKYHVTYDYCMDTQRFNNSLPKECSLLKY >itb09g03050.t1 pep chromosome:ASM357664v1:9:1722932:1724801:1 gene:itb09g03050 transcript:itb09g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNICRLIIVAAIFLSILNFHVSIASVVSTGDFNKDFFVSWAPAHVNTSADGRSRTLKLDRASGSGMASNDMFLFGQFDMKIKLIPGNSAGTVVAFYLMQLTSDQPNRDELDFEFLGNVAGKPCILQTNVYADGFGDREQRIKLWFDPTQDFHTYSILWNLHQIVFMVDWVPIRTYRNHADKGVAYPRWQPMGLKISVWDGDSWATEGGRVKIDWSKGPFIASFRDYKIDACVWKGNPRFCRADGPTNWWNSEISSTLTWAQRRLYRWVRKYHVTYDYCMDTQRFNNSLPKECSLLKY >itb10g00540.t1 pep chromosome:ASM357664v1:10:354006:356712:1 gene:itb10g00540 transcript:itb10g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MASISLLTTTAPPLFLPIPSPSASPIRISHSLPLHHHKKLRTRLTLHASAVSAPSLPKPDDLVNALLSKVVQTDRGVLLTTEEHGKVAEMASDLESFCVDEPVKCPLIFGEWDVVYCSNPTSPGGLYRSAIGRLFFKTKEMIQVLEAPDTVRNKVSFSLFGFLDGEVSLKGKLNVLDEKWIQVVFESPEIKIGGLETRYGGESEVKLEIIYIDEKMRLGKGSRGSLFVFQRRGTF >itb10g00540.t2 pep chromosome:ASM357664v1:10:354137:356675:1 gene:itb10g00540 transcript:itb10g00540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MASISLLTTTAPPLFLPIPSPSASPIRISHSLPLHHHKKLRTRLTLHASAVSAPSLPKPDDLVNALLSKVVQTDRGVLLTTEEHGKVAEMASDLESFCVDEPKFLTEWDVVYCSNPTSPGGLYRSAIGRLFFKTKEMIQVLEAPDTVRNKVSFSLFGFLDGEVSLKGKLNVLDEKWIQVVFESPEIKIGGLETRYGGESEVKLEIIYIDEKMRLGKGSRGSLFVFQRRGTF >itb10g00540.t3 pep chromosome:ASM357664v1:10:354006:356712:1 gene:itb10g00540 transcript:itb10g00540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MASISLLTTTAPPLFLPIPSPSASPIRISHSLPLHHHKKLRTRLTLHASAVSAPSLPKPDDLVNALLSKVVQTDRGVLLTTEEHGKVAEMASDLESFCVDEPVKCPLIFGEWDVVYCSNPTSPGGLYRSAIGRLFFKTKEMIQVLEAPDTVRNKVSFSLFGFLDGEVSLKGTLIMGQNYYSLYCLLARFGFIFFFPHILSFLSCPKKCHPFYL >itb14g05560.t3 pep chromosome:ASM357664v1:14:4845901:4852345:-1 gene:itb14g05560 transcript:itb14g05560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRMLRCLSRSFTTVSSSSPRVCIVGSGPAGFYTADKILKAQEEAQVDIIDRLPTPFGLVRSGVAPDHPETKVVLCYGAESDRALGIPGEDLTGIYSAREFVWWYNGHPDCRNLAPDLKNTDTAVVVGQGNVALDVARVLLRSTFELAKTDISSHALAALEESCIRKVFVVGRRGPVQASFTAKELREILGIKNLYIHIEEADLHKTPADEEVLKNNRINRRIHELLSKAAISTAPYPTPGQRELHFVFFRKPERFLESDARSGHVAGVKFERTIIREDVGSGKQIAVGTGQFDDLECRLVLKSIGYKSVCVEGLPFDPQNGM >itb14g05560.t1 pep chromosome:ASM357664v1:14:4845888:4852388:-1 gene:itb14g05560 transcript:itb14g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRMLRCLSRSFTTVSSSSPRVCIVGSGPAGFYTADKILKAQEEAQVDIIDRLPTPFGLVRSGVAPDHPETKVVLCYGAESDRALGIPGEDLTGIYSAREFVWWYNGHPDCRNLAPDLKNTDTAVVVGQGNVALDVARVLLRSTFELAKTDISSHALAALEESCIRKVFVVGRRGPVQASFTAKELREILGIKNLYIHIEEADLHKTPADEEVLKNNRINRRIHELLSKAAISTAPYPTPGQRELHFVFFRKPERFLESDARSGHVAGVKFERTIIREDVGSGKQIAVGTGQFDDLECRLVLKSIGYKSVCVEGLPFDPQNGIVPNIRGRVLSDSTGDLTEVEKGLYVCGWLKRGPTGIIATNLYCAEET >itb14g05560.t2 pep chromosome:ASM357664v1:14:4846108:4852345:-1 gene:itb14g05560 transcript:itb14g05560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRMLRCLSRSFTTVSSSSPRVCIVGSGPAGFYTADKILKAQEEAQVDIIDRLPTPFGLVRSGVAPDHPETKVVLCYGAESDRALGIPGEDLTGIYSAREFVWWYNGHPDCRNLAPDLKNTDTAVVVGQGNVALDVARVLLRSTFELAKTDISSHALAALEESCIRKVFVVGRRGPVQASFTAKELREILGIKNLYIHIEEADLHKTPADEEVLKNNRINRRIHELLSKAAISTAPYPTPGQRELHFVFFRKPERFLESDARSGHVAGVKFERTIIREDVGSGKQIAVGTGQFDDLECRLVLKSIGYKSVCVEGLPFDPQNGIVPNIRGRVLSDSTGDLTEVEKGLYVCGWLKRGPTGIIATNLYCAEETVASISEDIKKGILPSTSGSSKPGREGLLRLLDSRNSTVIPFEGWQKIDAEEKRRGLLKNKPREKLTSWEELLEVAGK >itb12g04380.t1 pep chromosome:ASM357664v1:12:2871109:2872270:-1 gene:itb12g04380 transcript:itb12g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPKYAYPYPAQGYYQGPPVMPPPQYYAAPPPPRRETGFLEGCLAALCCCWLLDECCCDPSIVFIS >itb10g06530.t1 pep chromosome:ASM357664v1:10:7355012:7359624:1 gene:itb10g06530 transcript:itb10g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRTSSHFQLLSSLSKTSCKLSKFGNYNSFFYVRALCSCSSHHQANGTIDLSNYKETFAKRMAMAGLKPHHRIALGVSGGPDSMALCVLAASWKTSSHDIGLIADKRSESIDGLLAIVVDHGLRSESKAEANLVQRRVTDMGIKCEIAHCEWPEGRPKQGHLQEAARNKRYEILQSVCIQHHMSVILIAHHADDQAELFILRLSRNSGVLGLAGMAFVSELFYKQPSSNLESFGHRRSVLVRPLLEFSKEDMYKICQAAHQEWVEDPTNRSQLFARNRIRMSLTNLSSSAFKSELQDLITTCRRTRLHVDRICSNLIHHAVIITPLGYAVVDLGLLNPSKVKDIILSKFISLILQFVSQRQRPIRGSALKLLLDYIRTFPCKTCLTAAGCYLSPAPGSKGTKMLVCCSPNADLPLKTELLDTSVNEVRNCYSSDVEQIITEAKLYADQFSQDELGVRYLDLRPSDSLLVEAKRQGVLSESTYWSIVSLQKKESENFRSKSDTILDFQFENEESANDVPSRVLYEEKTGYFMNRFLVKWNLNKKMSCNLFSTKDTDLSRVENWNSCSSRVIGHDLLVEVRHMVDADWLYLAKLAESQNERLVPFPSGVDRKTGRISCSDYVKQSAERALLTLKSIPVAARRTLPVLVNSDGLLLSIPSIEFQHCPCLEASAVFKPMVPLGAGYTSFL >itb03g21180.t1 pep chromosome:ASM357664v1:3:18970196:18972442:1 gene:itb03g21180 transcript:itb03g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCDDEFETIKNKLISDSNNLEVISITGMGGIGKTTLAQRVYNGEAAMAHFDIRTWTTVSQEHNLGEMLCRLLGNNDTNPDVSNLASQLRQKLLGHRYLIVIDDIWSTQAWDDIHRCFPEDFNGSRILLTTRLKQVADYVSSDFKGIPNVKELGIYLMGYKYSYFKMSYLSKETWDLLPPISLEGLLNLHQLENLKFERNQSSPKCDSKLLKAFPPNLKKLTLTRTNFSWEDMVIINTLPNLEVLKLRKDAFCGPEWKATGNGFCKLKYLEVTKHSTLKHWSVDADHFPILECISLNSCHLLVEFPTGFGDINTLQLIDLKHCPSSLVTSAKNIQEERRDFGDDKLVLRELYTFPEPEVKFSNLIAT >itb03g21180.t2 pep chromosome:ASM357664v1:3:18969638:18972442:1 gene:itb03g21180 transcript:itb03g21180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLCKKLRFLQAFVEDSQKNNINCPAWRDLETEIRDVAAEAETKIDNINYPSGLLSHSERYLQFSERISHCVGLWKSWLHPKKELINCRAGRSLETEIRDVAAGAESKIELELYKLYSEEEAPVEPCESLHQTLQQVTGDIESLERRILQIESNDSVEPPRTNAAIQNIKADSSSKRSTEPENEMVGCDDEFETIKNKLISDSNNLEVISITGMGGIGKTTLAQRVYNGEAAMAHFDIRTWTTVSQEHNLGEMLCRLLGNNDTNPDVSNLASQLRQKLLGHRYLIVIDDIWSTQAWDDIHRCFPEDFNGSRILLTTRLKQVADYVSSDFKGIPNVKELGIYLMGYKYSYFKMSYLSKETWDLLPPISLEGLLNLHQLENLKFERNQSSPKCDSKLLKAFPPNLKKLTLTRTNFSWEDMVIINTLPNLEVLKLRKDAFCGPEWKATGNGFCKLKYLEVTKHSTLKHWSVDADHFPILECISLNSCHLLVEFPTGFGDINTLQLIDLKHCPSSLVTSAKNIQEERRDFGDDKLVLRELYTFPEPEVKFSNLIAT >itb07g08350.t1 pep chromosome:ASM357664v1:7:6556487:6560673:-1 gene:itb07g08350 transcript:itb07g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSQYSSPALPKTRWWSEETVAIVTGANKGIGFALVKRLAELGLTVVLTARDNARGLEAAERLRRLGLRVWFHRLDVSDRHSIGDFASWFRCTFSALDILVNNAAVSFNEIEQNSVEHAETVIATNYYGPKLLIAALLPMFRCSSSTARILNISSRLGQFNKLQNPKLREELEDEEKLREEKIEEMVKSFLGDVKNGSWKANGWPEVWTDYAVSKLALNAYSKVLARRYRGRGLSVNCFCPGFTQTSMTGGKGKHTPEAAAEIGAKLALLPADQLPSGIFFASSTTSASAFSKL >itb09g09580.t1 pep chromosome:ASM357664v1:9:5878112:5879259:-1 gene:itb09g09580 transcript:itb09g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLMVREEREKLMKAVGDHFNGKLDILVNNAGLAIFKEFQDFTEKDWSIIIGTNLEASFHIAQMAHPLLKASGNGSLVFMSSASSLIATPASSLYGVSKGAINQLTKNLAAEWAKDNIRVNAVAPWIVKSPLTELISKDPMIKETVNVQINRTMLRRLGELTEVSGPVAFLCSPAATYITGHILAIDGGATVNGCP >itb04g01270.t1 pep chromosome:ASM357664v1:4:714912:717986:1 gene:itb04g01270 transcript:itb04g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQYSRVVHILQIHYSIQGQFEILSLSGSYLQGQFEILSLSGSYLLSNSSGPQGLSSYLLSNSSGPQGLRTGSLSICLASPDGRVIGGGVGGVVIAASPVQLICNRSYQACLCNECLSVQIYKVQFHIDIDLERAEDYSFQQLTPSQKRGRGRPPGTGRKQQLASLGGWMSHSAGSGFTPHIITVAVGEDITTKIMSFLQQGPKAVCILSANGAVTTVTLRQPSNSGGTVTYEGQFEILSLSGSYLLSNSSGPQGLRTGSLSICLASPDGRVIGGGVGGVVIAASPVQVILGSFICVGSKAAKSKPPVSIEGAAAHLNRQSFDNSVSPSDMQQNQNLNWPLQ >itb14g16430.t1 pep chromosome:ASM357664v1:14:19766732:19771919:-1 gene:itb14g16430 transcript:itb14g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDDNAQLRRGKSMVNVEAESLSDVLLDNGEAGARTESSLRMTAAGMMKRRTSSEPKLGRLNSGIARGFKGIRFLERSATGKELDAWRSMERRFDENAEGGRLCRDKFGACIGMGDSKEFAAELFDALARRRKIEAQNGITIDEMRKFWEDMTNQDLDTRLHIFFDMCDKNGDGKLSEDEVTEVLVMSASANKLSNFKENARTYAALIMEELDPDHKGYIEMWQLETLLKGVEGKGEGKQSFKRTFSLTRTMVPKQYRNPVNKFMSLAREKILENWKRIWVLTLWLCINLSLFTWKFHHFKNHPAFQIMGNCLPSAKGAAETLKFNMALILLPVCRRTLTTLRESFLGAIIPFDDNISFHKIISLGIVIAAFVHASMHTGCNFVRVVSSPQDKFREILGHSFGYQKPSYYDLMESFPSITGIVIAVLMAFIFTLATHSFRKNVIKLPPPLHHLAGFNAFWYAHHLLVLVYIVLVFHSYFIFLTEEWYKKTTWMYLMLPVLAYASERVFLSYENKHHVNVIKAVIYTGNVLALYMSKPPTFKYKSGMYLFVKCRDISSFEWHPFSITSAPDDDYLSVHIRTLGDWTTELKTRFSKACEPQFAKPRKGNLVRMETMSYSDAGKSHTVFPEIIIKGPFGAPAQDYKNYDILLLIGLGIGATPFISIIKDILNNESVDGQQNGESRPEDTLLDRTYPHRAYFYWVTREQGSFEWFKGVMDDIAEHDQNHIIEMHNYLTSMYKEGDARSALITMVQSLQHAKTGLDVVSESRIRTHFARPNWKKVFSQMAASHPNSSIGVFYCGSPALTSQLLGLCQHFSLNSSTHFDFHKENF >itb14g16430.t2 pep chromosome:ASM357664v1:14:19766726:19771117:-1 gene:itb14g16430 transcript:itb14g16430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASANKLSNFKENARTYAALIMEELDPDHKGYIEMWQLETLLKGVEGKGEGKQSFKRTFSLTRTMVPKQYRNPVNKFMSLAREKILENWKRIWVLTLWLCINLSLFTWKFHHFKNHPAFQIMGNCLPSAKGAAETLKFNMALILLPVCRRTLTTLRESFLGAIIPFDDNISFHKIISLGIVIAAFVHASMHTGCNFVRVVSSPQDKFREILGHSFGYQKPSYYDLMESFPSITGIVIAVLMAFIFTLATHSFRKNVIKLPPPLHHLAGFNAFWYAHHLLVLVYIVLVFHSYFIFLTEEWYKKTTWMYLMLPVLAYASERVFLSYENKHHVNVIKAVIYTGNVLALYMSKPPTFKYKSGMYLFVKCRDISSFEWHPFSITSAPDDDYLSVHIRTLGDWTTELKTRFSKACEPQFAKPRKGNLVRMETMSYSDAGKSHTVFPEIIIKGPFGAPAQDYKNYDILLLIGLGIGATPFISIIKDILNNESVDGQQNGESRPEDTLLDRTYPHRAYFYWVTREQGSFEWFKGVMDDIAEHDQNHIIEMHNYLTSMYKEGDARSALITMVQSLQHAKTGLDVVSESRIRTHFARPNWKKVFSQMAASHPNSSIGVFYCGSPALTSQLLGLCQHFSLNSSTHFDFHKENF >itb04g01440.t2 pep chromosome:ASM357664v1:4:812008:814587:1 gene:itb04g01440 transcript:itb04g01440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSMALMKMMRFTDSSPSSTAAVSARVLPFRNPGRLHFQLGSTFRRTITTHRDSISSSNSATAFCCSSAVTASVTAESSFNVIDGKKVSKEIKEEICAEIAEMKDAIGVVPGLAVILVGERKDSATYVRNKKKACEAVGIKSYEVCLPENSTEEEVLKHISSFNDDPSVHGILVQLPLPSREDATVSIVHSRTKDPEEITKQADIIIAAVGQPNMVRGSWIKPGAVIIDVGINPVEDTTNPRGYRLVGDVCYEEASKVASAITPVPGGVGPMTIAMLLSNTLISAKRIHNLK >itb04g01440.t3 pep chromosome:ASM357664v1:4:812008:814603:1 gene:itb04g01440 transcript:itb04g01440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSMALMKMMRFTDSSPSSTAAVSARVLPFRNPGRLHFQLGSTFRRTITTHRDSISSSNSATAFCCSSAVTASVTAESSFNVIDGKKVSKEIKEEICAEIAEMKDAIGVVPGLAVILVGERKDSATYVRNKKKACEAVGIKSYEVCLPENSTEEEVLKHISSFNDDPSVHGILVQLPLPSHMNEQNILNAVCIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYDIGIKGKRAVVIGRSNIVGMPAALLLQVKYSANKESFHFVDSAFLLKRSPIFRWFNIEPF >itb04g01440.t1 pep chromosome:ASM357664v1:4:812008:814603:1 gene:itb04g01440 transcript:itb04g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSSMALMKMMRFTDSSPSSTAAVSARVLPFRNPGRLHFQLGSTFRRTITTHRDSISSSNSATAFCCSSAVTASVTAESSFNVIDGKKVSKEIKEEICAEIAEMKDAIGVVPGLAVILVGERKDSATYVRNKKKACEAVGIKSYEVCLPENSTEEEVLKHISSFNDDPSVHGILVQLPLPSHMNEQNILNAVCIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYDIGIKGKRAVVIGRSNIVGMPAALLLQREDATVSIVHSRTKDPEEITKQADIIIAAVGQPNMVRGSWIKPGAVIIDVGINPVEDTTNPRGYRLVGDVCYEEASKVASAITPVPGGVGPMTIAMLLSNTLISAKRIHNLK >itb12g03650.t1 pep chromosome:ASM357664v1:12:2396670:2400481:-1 gene:itb12g03650 transcript:itb12g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSELSRRTLAFSITATCLVFIHGFFAVVGSTDRLLQSPEPAVEDKKAERFLVRLMHFLWDGHSSYQPVWPEMKFGWEVVVGSMVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNMRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSTKAFFKGIETWKKETVMRKEAAQLLESESKPEGSGGDYKALPSNPKSSLNEEVSMMNNIYWKESALLLFVWLAFLAIQIIKTYTTTCSTWYWIVNLLQIPIAASVSLYEAIGLYKGTRIVASRGKEIQSWKPHLLFLYCVCGMVAGIVGGLLGLGGGFILGPLFLELGIPPQVASATSTFSMTFSSSMSVVQYYLLKRFPIPYASYFVLVATFAALAGQHVVRRVIAILGRTSIIIFILALTIFVSAISLGGVGIADMIEKLENHDYMGFDNLCYHS >itb12g03650.t2 pep chromosome:ASM357664v1:12:2396670:2400481:-1 gene:itb12g03650 transcript:itb12g03650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSELSRRTLAFSITATCLVFIHGFFAVVGSTDRLLQSPEPAVEDKKAERFLVRLMHFLWDGHSSYQPVWPEMKFGWEVVVGSMVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNMRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSTKAFFKGIETWKKETVMRKEAAQLLESESKPGEGSGGDYKALPSNPKSSLNEEVSMMNNIYWKESALLLFVWLAFLAIQIIKTYTTTCSTWYWIVNLLQIPIAASVSLYEAIGLYKGTRIVASRGKEIQSWKPHLLFLYCVCGMVAGIVGGLLGLGGGFILGPLFLELGIPPQVASATSTFSMTFSSSMSVVQYYLLKRFPIPYASYFVLVATFAALAGQHVVRRVIAILGRTSIIIFILALTIFVSAISLGGVGIADMIEKLENHDYMGFDNLCYHS >itb10g02190.t1 pep chromosome:ASM357664v1:10:1814200:1814613:1 gene:itb10g02190 transcript:itb10g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKVAAEKAPAEKKPKGGKKPPKEGGAAAAGDKKKKRVKKSSETYKIYIFKVLKQVHPDLGISSKAMGIMNSFINDIFEKLAQEASRLAGYNNKRTITSSEIQTAVRLVLPGELAKHAVSEGTKAVIRFASS >itb02g14600.t1 pep chromosome:ASM357664v1:2:10632395:10633126:-1 gene:itb02g14600 transcript:itb02g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHVQYVPSIKFSFESMLCFGLADPRTVVIIEVVLKYKRWIFLRNQRGQIVDFYETNSDSYACFDSPGLSYYDLRAQIRLWMPGMSPSLRTQLSQRIFLYVQQVAFANACELLDENGGANKVTAFVEIEEPQLLQDYTDEDDDDDDDDDDDDDDDDDDDDESKPPRSLSWDEINGLKQERFESGTAAEEEPLMCSICLEEFSKGVKITPLPCSHTFHHNCIASWLRKQANCPLCRFDITQEC >itb13g21010.t1 pep chromosome:ASM357664v1:13:27684380:27687027:1 gene:itb13g21010 transcript:itb13g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRTLLSSKNLYYNSSKYYSFSLNRGFASGSDEENDVVVIGGGPAGYVAAIKAAQLGLKTTCIDKRGTLGGTSVNVGSIPSKTLLHHSHLYHEATHSSANWFKFPSVEVDLPALMAQKDEVVSDLTKGIEGLFKKNKVNYVKGYGKFLSPSEIKVDTIDGGETVVKGKNIIIATGSDVKGLPGITIDEDKIVSSTGALALKKIPKKLVVIGAGGYIGLEIGCIWGRLGSEVTIVEFAPQIVPSMDGEVRRQFQRTLEKQKMKFMLKTKVLSVDNSGDGVKLTLEPAAGGDQTVLEGDVVLVSAGRTPFTVGLQLDKIGVETDKVGRILVNESFATTVPGVYAIGDVIPGPMLAHKAMKDGVACVECIAGKEGHLDYDMCPVVVYTHPEVASVGKTEEQVKALGVSYSVRKSPLVTNLSRAKAIYYAEGLLKILAEKETDKILGVHIMAPNAGDLIHEALKALQLGTSGEDLARAWF >itb11g00230.t1 pep chromosome:ASM357664v1:11:101433:107300:-1 gene:itb11g00230 transcript:itb11g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSARNSSLLCMAMLIMSVGCDAGGIAIYWGQNGNEGTLKETCATGNYDFVILAFLATFGNGHQPTINLAGHCDPSTNECTKLSPEIKSCQAKGVKVLLSLGGAAGSYYLASADDARQVATYLWNSFLGGQSSDRPLGKAVLDGIDFDIEGGTNQYWDVLAKYLSGYSKKGKKVYLSAAPQCPFPDAWIGNALKTGVFDYVWVQFYNNPPCQYASGDIANLEDAWKQWTSDIPADKIFLGLPAAPDAAGSGFIPAGDLTSKVLPAIKGSSKLRLIGHLFGTLHEGRCGRGKLHGSSACQNHNSRFDFHHLLCFLVFPHIRSCLPRLIPWWCWWSTMGDDNKKKYISSEELRKHSTREDLWISIQGKVYDVTEWIKQHPGGDIPILNLAGQDCTDAFIAFHPGSAWKHLDRFFTGYYLNDYEVSEVSRDYRSLCSEFSKAGLFEKKGHGVIYSFTFITLLILACLYGVLRFESFLVHMVSGGLLGLAWMQISYLGHDAGHYNIMATRGFNKFAQILTGNCLTGISIAWWKWTHNAHHVACNSLDYDPDLQHLPVFAVSSRLFQSLNSYFYGRKLTFDPLARFFVSYQHFTFYPVMCVARVNLYLQTLLLLFSKRKVPDRALNILGILVFWTWFPLLVSCLPNWTERVVFVLTSFCVCSIQHVQFCLNHFAANVYVGRPEGNDWFEKQTSGTIDISCTKWMDWFFGGLQFQLEHHLFPRLPRCQLRKISPVVQSLCKKHKLPYRSLSFFQANATTIKTLRAAALEARDLSCTAPKNLLWEAVNTHG >itb06g04620.t1 pep chromosome:ASM357664v1:6:7421149:7426678:1 gene:itb06g04620 transcript:itb06g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYASEAGSNKRKYEEPTSPSPVTRRPTGFSAPISSLSPPDVSAAAGAPPPSYNNVAPPMDDFQLAKQRAQEIAARLINNSDPKKPRVDNGGGAGAYETREPQKPVGSTLLPSVTGSFGYPGPSKKIEVPNSRVGVIIGKGGETIRYLQLQSGAKIQVTRDMDADLSSQTRGVELMGTPEQIAKAEQLIQDVLSEADSGGSGIVSRRVTGQQPGAEQFVMKVPNNKVGLVIGKGGESIKNMQARSGARIQVIPLHLPPGDTSTERTVQIDGSSDQIEHAKQLINEVISENRARNPSMTGAYPQQGYQARPPTSWAPPGGQMQQPGYGYMQPGTYPGPSPQYSMPQPPYPGYPPQPTSAGYGTGWDQSNPNQQTAPGGGYDYYSQVPPQQQQPTSGGSAAPTDSSGAYGYNQQPSSGYSQGQSYSQDGYSGYHAPAPQSGYPNPVPGYDQQQGYNSTTGYSNTSNPAADGQTPSYGTQSDTSQAPPNQSVGSQPGYHASQPGGYGIPTSQGGYGTQPSAYGNNYGQPPSQKPPASQPAYSQPQQSPSAQGVYAQPPQVYPHSQPSPTLSGYSQPDSGSQRAPSTGYGAPASQPGYGPPPYGAPQMTQSGVPYNSGYGGSGYSQASAYSTDGSGGGGARASYDTAPTSQSSQPTGSAKTSPQS >itb06g04620.t2 pep chromosome:ASM357664v1:6:7421149:7426649:1 gene:itb06g04620 transcript:itb06g04620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYASEAGSNKRKYEEPTSPSPVTRRPTGFSAPISSLSPPDVSAAAGAPPPSYNNVAPPMDDFQLAKQRAQEIAARLINNSDPKKPRVDNGGGAGAYETREPQKPVGSTLLPSVTGSFGYPGPSKKIEVPNSRVGVIIGKGGETIRYLQLQSGAKIQVTRDMDADLSSQTRGVELMGTPEQIAKAEQLIQDVLSEADSGGSGIVSRRVTGQQPGAEQFVMKVPNNKVGLVIGKGGESIKNMQARSGARIQVIPLHLPPGDTSTERTVQIDGSSDQIEHAKQLINEVISENRARNPSMTGAYPQQGYQARPPTSWAPPGGQMQQPGYGYMQPGTYPGPSPQYSMPQPPYPGYPPQPTSAGYGTGWDQSNPNQQTAPGGGYDYYSQVPPQQQQPTSGGSAAPTDSSGAYGYNQQPSSGYSQGQSYSQDGYSGYHAPAPQSGYPNPVPGYDQQQGYNSTTGYSNTSNPAADGQTPSYGTQSDTSQAPPNQSVGSQPGYHASQPGGYGIPTSQGGYGTQPSAYGNNYGQPPSQKPPASQPAYSQPQQSPSAQGVYAQPPQRAPSTGYGAPASQPGYGPPPYGAPQMTQSGVPYNSGYGGSGYSQASAYSTDGSGGGGARASYDTAPTSQSSQPTGSAKTSPQS >itb12g26050.t1 pep chromosome:ASM357664v1:12:27101325:27104201:-1 gene:itb12g26050 transcript:itb12g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNSGSFSKQTPAAAANSNAPPDDPTKKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVASKTVIQIRSHAQKYFQKVQKSGANEHLPPPRPKRKAAHPYPQKASRSGASQVPTSVQGLAALPDAEMARKPGFLPIIPATSASLPSRTDNNTQAGSDLESTDRPLTDTHCWSSNKNSSAELTNKRAHGNSLRVLPDFNEVYNFIGSIFDPNVSGHLQKLKKMDRIDVETVLLLMRNLTINLMNPDFENHKKLLSSSEVDGRSDGREV >itb12g26050.t3 pep chromosome:ASM357664v1:12:27101325:27104201:-1 gene:itb12g26050 transcript:itb12g26050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNSGSFSKQTPAAAANSNAPPDDPTKKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVASKTVIQIRSHAQKYFQKVQKSGANEHLPPPRPKRKAAHPYPQKASRSGASQVPTSVQGLAALPDAEMARKPGFLPIIPATSASLPSRTDNNTQADRPLTDTHCWSSNKNSSAELTNKRAHGNSLRVLPDFNEVYNFIGSIFDPNVSGHLQKLKKMDRIDVETVLLLMRNLTINLMNPDFENHKKLLSSSEVDGRSDGREV >itb12g26050.t2 pep chromosome:ASM357664v1:12:27101325:27104201:-1 gene:itb12g26050 transcript:itb12g26050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNSGSFSKQTPAAAANSNAPPDDPTKKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVASKTVIQIRSHAQKYFQKVQKSGANEHLPPPRPKRKAAHPYPQKASRSGASQVPTSVQGLAALPDAEMARKPGFLPIIPATSASLPSRTDNNTQAGDLESTDRPLTDTHCWSSNKNSSAELTNKRAHGNSLRVLPDFNEVYNFIGSIFDPNVSGHLQKLKKMDRIDVETVLLLMRNLTINLMNPDFENHKKLLSSSEVDGRSDGREV >itb14g14920.t1 pep chromosome:ASM357664v1:14:17993045:17993359:1 gene:itb14g14920 transcript:itb14g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKYEEIKEEPEVQLRRIAAFLGCPFSEEEEEGGVVGGISRLCSFESLSNLEVNKIGKSTHRPNNVYFRKGKVRDWRNHLTDEMASKLDQIVEEKFKGIGLKL >itb15g11720.t2 pep chromosome:ASM357664v1:15:9544364:9550162:1 gene:itb15g11720 transcript:itb15g11720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDMEGARHLQDLANCEPLRILSEKLKNVKQLSSEACIFKVHEMLHKTKPEAYTPLTISIGPYHHGKPEFRKMERLKELYTQSFMGRLELELYTHVQSLSEGVGVEELYTESLLNRAGAGVEECWKKLKELEGRAKRYYGDEIEEKVSGDKFVKMLLLDGCFIVEYVFRSYLGMKGKGQQYGNDPIYMQNIVRDMLLLENQLPFFVLQALYDMISNPGNPEFLEMVKFVFRSKINTITLPNTKVKPQEIKHLLQIVHILCQPQPQLQNNRQIQQKKAKAKACSSSCYFWKQPQDQGQGGSDIESNSLSNGQDRRQFFRCFLSKFWGRGVIMQFSSQSKDEDKELLYSIRTASELHEAGVHFKKVGNIIGTSSDQTISLFDIKFNHGVLEIPSFALYDTTETLFRNLIAYEQHLPPDVHPIYFTDYAVFMDDLINTEKNVNLLRLKNVFINKLGDDKEVTCLFNNLNKEVWSFHDDFYYRDVCKELNRHCKKPWNVLMAKLRRDYFHTPWAGISTFAAILLLSLAIAQTVLSALQLHN >itb15g11720.t1 pep chromosome:ASM357664v1:15:9544364:9550162:1 gene:itb15g11720 transcript:itb15g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMASHIGDNPQEQNSTNEKAKDTMIEDMEGARHLQDLANCEPLRILSEKLKNVKQLSSEACIFKVHEMLHKTKPEAYTPLTISIGPYHHGKPEFRKMERLKELYTQSFMGRLELELYTHVQSLSEGVGVEELYTESLLNRAGAGVEECWKKLKELEGRAKRYYGDEIEEKVSGDKFVKMLLLDGCFIVEYVFRSYLGMKGKGQQYGNDPIYMQNIVRDMLLLENQLPFFVLQALYDMISNPGNPEFLEMVKFVFRSKINTITLPNTKVKPQEIKHLLQIVHILCQPQPQLQNNRQIQQKKAKAKACSSSCYFWKQPQDQGQGGSDIESNSLSNGQDRRQFFRCFLSKFWGRGVIMQFSSQSKDEDKELLYSIRTASELHEAGVHFKKVGNIIGTSSDQTISLFDIKFNHGVLEIPSFALYDTTETLFRNLIAYEQHLPPDVHPIYFTDYAVFMDDLINTEKNVNLLRLKNVFINKLGDDKEVTCLFNNLNKEVWSFHDDFYYRDVCKELNRHCKKPWNVLMAKLRRDYFHTPWAGISTFAAILLLSLAIAQTVLSALQLHN >itb08g03410.t1 pep chromosome:ASM357664v1:8:2815129:2817449:-1 gene:itb08g03410 transcript:itb08g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQHRDADLVVYLHPSKSKNVKDAIYRELSDLLFKFNECLDGVVLAYEPEFRITLAKILPGIQPYFGVRFQAKLLTFNPKPDMLLEGEVVKVAPQSIHIVVLGFSSGVVAVEDMSGKFKYKIKHGEEVFVSKSHKRHKIKVGTIVRFSVKSFDVEVLHICGSLIPPNTGCVHWLEMNAGER >itb01g31380.t1 pep chromosome:ASM357664v1:1:35156058:35156830:1 gene:itb01g31380 transcript:itb01g31380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRPRPRPPKDLICRKHPKNAQSPGVCSVCLMEKLSQLYTATVSGSNTTTMASSCSSSSLSPLSSSSGVSSCSSPSRRRVQDISGLMMKSRSVAFITRRRRVEDDGKGKTAGFWSKLLRRKKNRVNERLMHSKTTRERVAVV >itb07g07040.t1 pep chromosome:ASM357664v1:7:5315045:5315858:1 gene:itb07g07040 transcript:itb07g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLLTPHPMASKLFQAILLLAAALTFPATTTTAQECPYPCYPPPTGPGNNNPPATTTPPSQPGSNYPPPLPTFTPPGGGGGVYFYNPPPDFTNGGGLTPPPPEPILPWYPYYFRKPPHGDQSSSSSRTLRAPTAVTAVATAAALFFIVFTVPFYY >itb03g02850.t2 pep chromosome:ASM357664v1:3:1626582:1631416:-1 gene:itb03g02850 transcript:itb03g02850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYDIFVGLVFFNLILQCVVGNNNVTKCRDKKLPYPFGFSEGSGIRLNCRGDGEAEIGGFKVQNLTAESILVSIPVDCGRGIEKLLPLNGRNFKMSSRNGFLLENCTEPLTDCTVPTRWVENRLGWRRCNSANSNTSCFFDVDKSARFLNYDSLKRSNCTVVASSIAVDNTTGQSSAVSLELQTVELVWWLEGNCSCHHNAKCLPVDLQNPQNRSKGYRCSCNDGFVGDGFAAGDGCREVSGCNASKYMSGKCGGTRVGVLVGGVVAGAVLMSLLALIYYCIRRRSASLKKRMSAKRFSEAAGGSSVPQFPYKELERATNGFADVSRLGTGAYGTVYAGKLNNNELVAIKRLRHQDPDGVEQVMNEIKLLSSVSHPNLVRLLGCCLENGEQILVYEFMPHGTLAQHLQTEPRSHLPWTVRLAIATQTAQALAHLHSAVNPPIYHRDIKSSNILLDSSYNSKIADFGLSRFAMTDDSHISTAPQGTPGYLDPQYHQNYHLSDKSDVYSFGVVLVEIITAKKVVDFSRPHSEINLAALAIDRIGKGHMDEIIDPYLEPHRDAWTLSSIHRVAELAFRCLAFHKDMRPSMTEVADELEQIRLSAWAPVEENICMTSSVASSCSSPRNGSEKSFGSSTKKSGVGSRRLIVPQKVIVGLSAMEEIKDSSPVSVQDPWSSKDSSPANTLLGK >itb03g02850.t1 pep chromosome:ASM357664v1:3:1626582:1631416:-1 gene:itb03g02850 transcript:itb03g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYDIFVGLVFFNLILQCVVGNNNVTKCRDKKLPYPFGFSEGSGIRLNCRGDGEAEIGGFKVQNLTAESILVSIPVDCGRGIEKLLPLNGRNFKMSSRNGFLLENCTEPLTDCTVPTRWVENRLGWRRCNSANSNTSCFFDVDKSARFLNYDSLKRSNCTVVASSIAVDNTTGQSSAVSLELQTVELVWWLEGNCSCHHNAKCLPVDLQNPQNRSKGYRCSCNDGFVGDGFAAGDGCREVSGCNASKYMSGKCGGTRVGVLVGGVVAGAVLMSLLALIYYCIRRRSASLKKRMSAKRFSEAAGGSSVPQFPYKELERATNGFADVSRLGTGAYGTVYAGKLNNNELVAIKRLRHQDPDGVEQVMNEIKLLSSVSHPNLVRLLGCCLENGEQILVYEFMPHGTLAQHLQTEPRSHLPWTVRLAIATQTAQALAHLHSAVNPPIYHRDIKSSNILLDSSYNSKIADFGLSRFAMTDDSHISTAPQGTPGYLDPQYHQNYHLSDKSDVYSFGVVLVEIITAKKVVDFSRPHSEINLAALAIDRIGKGHMDEIIDPYLEPHRDAWTLSSIHRVAELAFRCLAFHKDMRPSMTEVADELEQIRLSAWAPVEENICMTSSVASSCSSPRNGSEKSFGSSTKKSGVGSRRLIVPQKVIVGLSAMEEIKDSSPVSVQDPWSSKDSSPANTLLGK >itb13g20690.t2 pep chromosome:ASM357664v1:13:27452956:27454811:-1 gene:itb13g20690 transcript:itb13g20690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGENRVIKRRKGSSAAAADGGSGGGEPRTASRKRSKVSPERRDLFDSLPDDIVLSILSKLSSSAECPADFINVLITYALILLPRLFFASRFFVFCYFFGWLGFVDRINGLICGFGVCYRCKRLNGLGVQSIVLSKASQKMLAVKAQNWSESAHRFLKLCADAGNVEASYILGMIRFYCLENRGSGASLMAKAAINSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKRNIAEGRRFLVQANARELANVLATTPSALLNGSWLSWNPLPHHRNAAGTSCPLLSDFGCNVPAPEPHPANKFLSDWYATADGVPGPGLRLCCHVGCGRPESRRHEFRRCSVCGAVNYCSRACQAMDWRSRHKSECVPAERWGDDDGENVAAAAAEPPVNGNGNGEANDFEIENEMAVS >itb13g20690.t1 pep chromosome:ASM357664v1:13:27452956:27454811:-1 gene:itb13g20690 transcript:itb13g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGENRVIKRRKGSSAAAADGGSGGGEPRTASRKRSKVSPERRDLFDSLPDDIVLSILSKLSSSAECPADFINVLITCKRLNGLGVQSIVLSKASQKMLAVKAQNWSESAHRFLKLCADAGNVEASYILGMIRFYCLENRGSGASLMAKAAINSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKRNIAEGRRFLVQANARELANVLATTPSALLNGSWLSWNPLPHHRNAAGTSCPLLSDFGCNVPAPEPHPANKFLSDWYATADGVPGPGLRLCCHVGCGRPESRRHEFRRCSVCGAVNYCSRACQAMDWRSRHKSECVPAERWGDDDGENVAAAAAEPPVNGNGNGEANDFEIENEMAVS >itb11g08100.t1 pep chromosome:ASM357664v1:11:5203865:5204296:1 gene:itb11g08100 transcript:itb11g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSRMIGIVGGGISGIAAAKQLSKYEPVVFEATGGIGGVWRHCSYRSTKLQTPRCDYEFSDFPWTQRDNSSFPTYQEVLDYLHSYATHFDVLKFVKFNSKVVGIRFIGDREASAGDFGRLLPGHPVWEVSVQTNQSETLEV >itb13g21800.t1 pep chromosome:ASM357664v1:13:28322183:28326010:1 gene:itb13g21800 transcript:itb13g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MNMDIDAEEATKRVHVRFVTKLKPPFKAPPTSIAIPSNLTRLGLSAVVNNLLKAGDDDWKPEPFDFLIGGELIRMSLEEFLLAKKISAEKILDIEYIKAVAPRREEEPSLHDDWVSAVDGSNSKFIVTGCYDGVGRLWKEAGSCTHVLKGHTNAVTSVCVINPKGVVDGGDELVATASKDRTVRFWKIDADEILEQPKSIRAYKILRGHNASVQSIAAQPSGEMVCSGSWDCTINLWQANDSDAVSETVSIKKRKRGKKEEKDDDPQTEGEAVSTLIGHTQCVSSVVWPKNETIYSSSWDRSIRSWDVETGKDIVNMFSGKAINCLDVGGESSALVAAGGSDPILRIWDPRKPDSLAPIFQFSSHSSWISACKWHDKSWFHLVSASYDGKVMLWDLRTAWPLTVIDSHKDKVLCTAWWRGDSIISGGADSKLCISSELSVQ >itb12g24710.t1 pep chromosome:ASM357664v1:12:26156933:26158156:1 gene:itb12g24710 transcript:itb12g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF5 [Source:Projected from Arabidopsis thaliana (AT5G47230) UniProtKB/TrEMBL;Acc:A0A178UKK9] MALSEESCALDLIRHHLLDDSAFNQVYCNSEQNCFGFVASSPSSKFNERKPSLNIAIPRPAVNNKGSGKIPAPAPEVHDSGEVVKRHYRGVRQRPWGKFAAEIRDPNRKGTRVWLGTFDTAVDAAKAYDRAAFKLRGCKAILNFPLEVDNFRPASLPAPAPTCGQKRPREAEEEVAVIKQVKREVVEESSPPAPAPAAPLTPSSWTTVWDCSEVKGIFEIPPLSPLPLSPSVYNYHGIRV >itb04g13240.t1 pep chromosome:ASM357664v1:4:13297653:13299023:-1 gene:itb04g13240 transcript:itb04g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVIRRRKKGLRGYSHFSTKNREGIEMGVPVFSYSELVKATNGFDSSKELGDGGFGTVYHGKLRDGREVAVKRLYEHNCKRMEQFINEIEILTGLRHTNLVTLYGCSSKSSRELLLVYEYISNGTLADHLHGKRANEGSLPWPIRLNIAVETASALAYLHASDIIHRDVKTANILLDHNFSVKVADFGLSRLFPSNVTHVSTAPQGTPGYVDPEYHECYQLTDKSDVYSFGVVLLELISSMPAVDMSRHKQEINLANFAMNKIMRGSYNELIDPSLGFETDEEIMRMTTSVAELAFGCIQQEKDMRPTMDGVVDALKEIRGGEQKGEEIIPPLTESDHVVLSKSFRFPTSPISVTDKWISSSSTTNSSC >itb02g08090.t1 pep chromosome:ASM357664v1:2:5068193:5069184:1 gene:itb02g08090 transcript:itb02g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHEHTCGGSMKRPSPSFPSSLQEPSPKRLSLLPPSPSPLYRSLSEPIDSLGILNVQTADNSKISPPPPILSEPSSVKNSESRNRMPIPPLHRSLSDPTAAALEFRPSPPQTIKSPAQESPNTKRVKRMKDRLRQMREWWDQVLQEEDEKMREWCVRGLPWIPAHKRRKEKKKREQMTIMPSLNGNQKGVTIFRPNLASDLAGKTKFVKKSIVKGSIRYF >itb10g18990.t1 pep chromosome:ASM357664v1:10:24791659:24793981:-1 gene:itb10g18990 transcript:itb10g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEISSSVANGNRGNATTLDIRDDDVLCRPRNFVPCTCGDMPAFKKYAEAASSSAGYSDFMRFMQKLIAEFMGTYLLLFAGFAAALTNKDLSLPVTAMLWGMDVMIMLYTVGHLSGAHFNPAVTLAFASSKRFPWRHVPAYIIAQVLAATLATGTVRLMFSPEQDHFLGTVPAGSDLQSLILEFLITFYLMFAVSGVATDKRGVSEFTGLSVGAVITINSIIAGPISGASMNPARTLGPAIISNCYKSIWVYMLGPTAGATAGVWFYNAVRLQEKPSDEEIIKSQIFPQDCPTN >itb06g16610.t1 pep chromosome:ASM357664v1:6:20710582:20712698:1 gene:itb06g16610 transcript:itb06g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLTLIIFTLSFFAIAVQSQNDVNPPPQSPFVSPPPPPPPPPPPSPPHDQSLRPRPPPPPPPRKYAPIPPKMAPEDESGRQNNDQIHQSSNRKLNPPPKQTKLNLGKKIGLSFAGIAVFLQVVVIAFLVLNRRQLLKTECGSSKSSNGNDINGFISNDQSTAVPVPGLIC >itb05g26150.t1 pep chromosome:ASM357664v1:5:30187579:30190866:-1 gene:itb05g26150 transcript:itb05g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSFDLRSRPSKRRYSKLGWLVFCAFLALLFFVIVRQKSSSPSTPSLIQGFNRRNKFLEGFNITEEMLDPHSISRQVNDQISLAKSFVLIAKESNNLQLAWEISAKIHKSQVLLSNSATRKTPLTMKEAESRIREMALLLCQAQQLHYDSATMIMRLKANIQSLGEQLNSVTEKSSKYGQIAAEEVPKGLYCLGVRLTVDWFQNKKLQEKQPGEGLVAKKLEDNNLYHFCVFSDNILATSVVVNSTALNAKNPERIVFHIVTDEINYAPMKAWFSMNSFRGVSIEVQKFEDFSWLNASYVPVLKQLQDSDTQNYYFSGSQDGGKTPIKYRNPKYLSMLNHLRFYIPEVFPKLDKIVFLDDDIVVQKDLSPLFSIDLKGNVNGAVETCTETFHRYHKYLNSSHPLIRSHFNPDACGWAFGMNIFDLVQWRRKNVTGIYHYWQEKNADRTLWKLGTLPPGLLTFYGLTQPLDRSWHVLGLGYTSVDPQLIEKGAVLHFNGNSKPWLKIGMEKYKPLWNKYIDYSHPLLQQCNIH >itb08g07820.t1 pep chromosome:ASM357664v1:8:6736054:6737284:1 gene:itb08g07820 transcript:itb08g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDESIQLPLSSPHTLNHQKRNAKTDTKHYKVLLIVNYVFLLVGSVSSSLLSKFYFVHGGSSRWVATWVQCAGFPLLIPAVYAFSSAERRPFAGFNLRIFSLSVVIGLMLGVNNLLISWGTSYLPVSTSSLVLASQLVFVLFLSAIIVRQKITFANLNCVVLLTLAAVLLALDSSSDKPEGLTKGKYFVGFFCTIGAALLFALYLPLVEKLYRNVDCYAMVVEMQVVMQAAATVLATVGMAIDGEFSGMKKESQGGFDLGSKAYAITVAVNVVTWQLCFMGTAGMVFLTTSVTSGICSTALMAVNVIAGVLAYGDHMGGSKAVSTVLCVWGFSSYVYGMYVKTKRVPPAIGT >itb10g01230.t1 pep chromosome:ASM357664v1:10:905033:905860:-1 gene:itb10g01230 transcript:itb10g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKPSNVVFKAVHSSIPPHEFVFPGTVEMSTIPTPAHVCLMVQRDLNINEELFAHNGRTALLSDFNSYLYRSASRCH >itb03g17910.t1 pep chromosome:ASM357664v1:3:16320301:16321989:-1 gene:itb03g17910 transcript:itb03g17910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKLQAMALMKLSCLLFFLFASAMAANQPPLKVGFYRRSCPKAEAIVKNVVSKAVSSNPGIGAGLIRIHFHDCFVQGCDASVLLDGSDSEKEALPNKNSLRGLEVIDAAKSKLEAACPGTVSCADVVAFAARDSSQKLGNIYYDVPAGRRDGRVSSKDEAAANLPSPFAAVQELFVKFANKGMSLDEMVTLSGAHSIGIAHCAVFANRIYPQNSGERLPLDGKLAAVLKSICPPEALTAGTGVANQTNLDVLTPNRLDNRYYAALKGKKGVLISDQTLTEDPRTAKMVDFNARNGGAWAKKYAAAMVHMGNLDVLTGERGEIRKNCHFVN >itb04g25770.t1 pep chromosome:ASM357664v1:4:30269445:30273153:-1 gene:itb04g25770 transcript:itb04g25770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGLLGKLAARSLSVAGKWQQQQLRRLNIHEYQGAQLMGKYGINVPKGVAVGTIGEVKKAIQDVFPNENEIVVKSQVLAGGRGLGTFKNGFKGGVHIVKADQAEDIAAKMLGQILVTKQTGAQGKIVSKVYLCEKLSLVNEMYFSIILDRASAGPLIIACRKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKVADRNDSIEQVKKLYNLFCQCDCTLLEINPLAETSDKKLVAADAKMNFDDNAAYRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVQLKVPVVVRLEGTNVDQGKRILKESGLTLITAEDLDDAAEKAVKAITA >itb12g27910.t1 pep chromosome:ASM357664v1:12:28081344:28083722:1 gene:itb12g27910 transcript:itb12g27910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISRSPSYSRRRYSRSRSPVNHRHGSHRSRRDHSRSPYSSRRRSRSGTPRHRRSRSPASRHRRSRSPTPKRHRRRRSPSTYDSPSPKSRSPSIASAERKSAAEKLKKEEEEKKRLQQEAELKQLEEETAKRLEEEIRRRVEEKLASDEVKQEIEKRVEEGQRKLFEDVEAQLQKEKEAALNEARLKEEQARKERDELDKMLEENRRRVEEAQRREALELQRKEEERLRELELIQRQRGGWLRGEKL >itb15g23110.t1 pep chromosome:ASM357664v1:15:25882973:25884198:1 gene:itb15g23110 transcript:itb15g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNLKELGIYIEGQLAPSYLGSLVHLHLLEKLKFEVGRVERFYLPIGFPPNLKKLTLRYTYLPWKEMDTIGQLPRLEVLKLKDFAFCGSKWEPSKLGFWELKAILISHSNLKYWNANSNHFPVLERLVLRYCWELKQVPINFAKLSVGCSDQVRLKARKSVRKYLKKKVSKSLNAFKELKRKVL >itb01g17530.t1 pep chromosome:ASM357664v1:1:22295900:22299339:-1 gene:itb01g17530 transcript:itb01g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDENSKKSKVFWSKKLVRKWFNIKGRTEEFHADEVVYGGGNNWRNGFSEMEPWAVKSKTEKSGRKMECPGRRKVDLDHPKIINVQKYSIFVATWNVGGKSPSSNLNLDDWLHSAPRADIYVLGFQEIVPLNAGNILVAEDNGPAKKWISLIQKTLNNVPGTSGGSGCHTPSPVPDPIAEWNADFEGSSKQKSTFLPRRSFQTPHCWRMENDPSIPQPSLDRRYSVCDRVIFGNRHSDFDPNNRWGHRPSYSSSSHRLSDSSSSHRPSDYTSGHRPSNCSWGQRPSDYSPGHRPSDYSWGQRPSDFSRRGSLDDEFCPGDFPSTVSPLANGGCTPTEECYSMPRNTRYCLVASKQMVGIFLTVWVRSELKEHVKNMKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCTHLTSGQKEGDEIRRNSDVMEILKKTRFPSVNNLCGEKSPYTILEHDRVIWLGDLNYRIALPYRSAKALVEMQNWRALLENDQLRIEQRRGRVFVGWKEGKIYFPPTYKYSRNSDRYSGDDMHPKEKRRTPAWCDRILWYGSGLQQLSYACGESRFSDHRPVSSVFCAEVESVPSHLRKSMSCSNAKIEVEELLPYSHGYTELCFF >itb10g03870.t1 pep chromosome:ASM357664v1:10:3689977:3692051:1 gene:itb10g03870 transcript:itb10g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLGGTLQVPCVQELAKQSPEIVPPRYFRDDLDPAAPAQREIPVINMANLLQGDCEELKKLDIACKEWGFFQLISHGVSSSLIEKMKSDTKDFFNLPMEEKKKFWQETGDLQGFGQAFVHSEEQKLDWCDLFYIITSPTYLRKSNLFPNLPLPFRETVEMYAEELRNLALTIINYLAKALGIEQEHVQGLFEDGLQGMRTNYYPRCPQPDKVIGIYPHSDSVGLTILLQLNEMEGLQIKNNGKWIPVRPIHDAFVVNIGDILQILTNGIYSSVEHRAVVNSEKERMSLATFFSPRLEAEFGPAPSLITPQNPAKFRNMVVADYFRGFYSRKLDSKSYIDVMRIQTEECPQSVDGMA >itb02g25350.t1 pep chromosome:ASM357664v1:2:26131404:26136290:1 gene:itb02g25350 transcript:itb02g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRLMAEFRTFGAVFGGLIRIRRYNIALSLFDEVVGWGVQPDCYMSTAVVKSWCELKDFDKAEEMVKWVERSGCELNVNMYNVLIHGLCKGGRVQEAIEVKNLLGCKGLNADVVTYQTLVLGLCRVDQFSVARKLMDEMLDLGFIPSNGVLSTVVDGLRRYGDIMAAFSLVDQVMKVGAVPSLIVYTNLMNSLSKDGKLEEALFLWERMGVKGLLPNGITYSVIIDTLCKSGKLDAAVDVFNDMLGSRTEPSVYPYNSLINGYCKAGKSHAGHSVLNKMFDKGMTPTVVTYTSLIDGYCKEGEVHMAFRLYHEMTGKGISPNAYTFTALISGLCHANLLDEARELFDEMVRVNVTPNEVTYNVMIEGYCKGGNTTKAFELFNEMVERGLVPDTYTYRSLIAGLCSVGRTSEAEKFVEDLQKENHKLNEMCFSALLYGLCKEGRLKDALSASNEMAGRGMNLDLVCYGILIYGALKHDKKQVINILKKMHDHGLRPDNVIYTSMVDAYGKDGDLKMALGCWDIMMGEGSIPNVLTYTVMINSLCKAGLADRAEILCKELLATGLIPNQFTYACFLDHLTREGYMEKAMQLHNAMLKGFLANAVTYNILIRGFCKLGEIHKATDTLVEMRDNGIPPDCISFSTVIYEFCRRGDLPGAMRIWDSMISSGLKPDTVAYNLLIYGCCIAGELGKAFELRNDMVRRGLNPNKRTQTLLDH >itb02g25350.t2 pep chromosome:ASM357664v1:2:26131404:26136290:1 gene:itb02g25350 transcript:itb02g25350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLRPRRPLLNFGPERKFISSVRLNCTFKNAERNHIDDDETFIGTLNEIVRGRQSWSIALNDPFFSTRLKPRHIERVLLHTLDDSRLALRFFNFLGLHKNFHHSTASFCILVHALVQSNHFWPACSLLQTLLQRGLNPRLVFEELLNSYKRFNFASSLGFDLLIQSYVQNRKVLDAVLILRLMGECRLMAEFRTFGAVFGGLIRIRRYNIALSLFDEVVGWGVQPDCYMSTAVVKSWCELKDFDKAEEMVKWVERSGCELNVNMYNVLIHGLCKGGRVQEAIEVKNLLGCKGLNADVVTYQTLVLGLCRVDQFSVARKLMDEMLDLGFIPSNGVLSTVVDGLRRYGDIMAAFSLVDQVMKVGAVPSLIVYTNLMNSLSKDGKLEEALFLWERMGVKGLLPNGITYSVIIDTLCKSGKLDAAVDVFNDMLGSRTEPSVYPYNSLINGYCKAGKSHAGHSVLNKMFDKGMTPTVVTYTSLIDGYCKEGEVHMAFRLYHEMTGKGISPNAYTFTALISGLCHANLLDEARELFDEMVRVNVTPNEVTYNVMIEGYCKGGNTTKAFELFNEMVERGLVPDTYTYRSLIAGLCSVGRTSEAEKFVEDLQKENHKLNEMCFSALLYGLCKEGRLKDALSASNEMAGRGMNLDLVCYGILIYGALKHDKKQVINILKKMHDHGLRPDNVIYTSMVDAYGKDGDLKMALGCWDIMMGEGSIPNVLTYTVMINSLCKAGLADRAEILCKELLATGLIPNQFTYACFLDHLTREGYMEKAMQLHNAMLKGFLANAVTYNILIRGFCKLGEIHKATDTLVEMRDNGIPPDCISFSTVIYEFCRRGDLPGAMRIWDSMISSGLKPDTVAYNLLIYGCCIAGELGKAFELRNDMVRRGLNPNKRTQTLLDH >itb09g27420.t1 pep chromosome:ASM357664v1:9:27700401:27701071:-1 gene:itb09g27420 transcript:itb09g27420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVTRILRAKQGLRRSSSRTNRESEVPKGHFAVYVGESEKMKRFVLPISFLNNPSFQDMLNQAEEEFGFDHPMGGLTIPCPEDNFLDIISSLSRY >itb08g02050.t2 pep chromosome:ASM357664v1:8:1640016:1645577:1 gene:itb08g02050 transcript:itb08g02050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYYLQIHIHIEVPKELTVSVNLKTSALETQNDDSPEFLYSFKVEHLPPIILTCLLPKSYPSHLPPQFTISVQWLKSAKISHLCHMLDSIWNEQSGQEVIYQWVEWLQSSSLSHLQFDQEIKLSPYNERDIGDRRAISESVSPDIDVSSLKRYNDEQRHENFRKNIHECCICAGEFPGTYFARLPCQHFFCLNCMRTFSNMHVKEGTVTKLQCPNPKCGGMIPPGLLRRLLGEEEFEHWESLMLQKALESMSDVCYCPRCETICIKDEDNHALCPKCYFSFCTLCRDKRHVGVECMTPEMKLRILQERQYSSNLNDDQRYHERELINELLSVKEIHRSAKQCPSCMMAISRTEGCNKVVCNNCGQCFCYRCNKAIDEYGCDCRDGQSELFPQEEMQNCEEGINDSQVVGQMQAELFDDNSPNCFYCGQIKEQQNSSQLEDYQRHREHDESFDLLNQEQQNSSSELKDNKTHPSELELTLELLSLEEINRSAKQCPSCKMAITRIDGCHRVICTNCQQLFCYECNNTLEFGYDDHHEIHGFIIVGRSAYYSGSSAHPCPKCGEINEKDLDDNHIFCSACKNHHCYLCRMMVKIRSQHFHPKGRCKRRTY >itb08g02050.t1 pep chromosome:ASM357664v1:8:1640016:1645577:1 gene:itb08g02050 transcript:itb08g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRISRIVVKPVDDPIRNPLSSQPESSGSSSPATSQLNHSEVVPKDQPSQKNRRNFRNRRGRGVKHQFVQRVPAPAACLTKCGGEEDHRVEGGGGIEVRVPSDCGEGTSGTKEKEENGGVGVGVEGFDDVGRRLEELRLGVEEAELSGEQIRVNDQAQEDELLVLESIYGDDIFVLDRQNGLRTFQIHIHIEVPKELTVSVNLKTSALETQNDDSPEFLYSFKVEHLPPIILTCLLPKSYPSHLPPQFTISVQWLKSAKISHLCHMLDSIWNEQSGQEVIYQWVEWLQSSSLSHLQFDQEIKLSPYNERDIGDRRAISESVSPDIDVSSLKRYNDEQRHENFRKNIHECCICAGEFPGTYFARLPCQHFFCLNCMRTFSNMHVKEGTVTKLQCPNPKCGGMIPPGLLRRLLGEEEFEHWESLMLQKALESMSDVCYCPRCETICIKDEDNHALCPKCYFSFCTLCRDKRHVGVECMTPEMKLRILQERQYSSNLNDDQRYHERELINELLSVKEIHRSAKQCPSCMMAISRTEGCNKVVCNNCGQCFCYRCNKAIDEYGCDCRDGQSELFPQEEMQNCEEGINDSQVVGQMQAELFDDNSPNCFYCGQIKEQQNSSQLEDYQRHREHDESFDLLNQEQQNSSSELKDNKTHPSELELTLELLSLEEINRSAKQCPSCKMAITRIDGCHRVICTNCQQLFCYECNNTLEFGYDDHHEIHGFIIVGRSAYYSGSSAHPCPKCGEINEKDLDDNHIFCSACKNHHCYLCRMMVKIRSQHFHPKGRCKRRTY >itb07g03110.t1 pep chromosome:ASM357664v1:7:2116508:2120188:1 gene:itb07g03110 transcript:itb07g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLRRIGGLGFVIPGGKHGLGKWRGFSSSVVEEKGVQETGKSSMNLFSAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKHRVFNTPLCEQGIVGFAIGLAAMDNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPLQAKGLLLSSIRDPNPVVFFEPKWLYRLAVEEVPEGDYMLPLSEAEVMREGSDITLVGWGAQLSVMQEACIEAEKEGISCELIDLKTLIPWDKETVEASVKKTGRLLVSHEAPITGGFGAEISASIVERCFTRLEAPVARVCGLDTPFPLVFEPFYLPTKNKILDAIKSTVNY >itb13g25180.t1 pep chromosome:ASM357664v1:13:30618024:30619212:1 gene:itb13g25180 transcript:itb13g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEQMGWGIMGGWRKGPWTAEEDRLLVEYVRFHGEGRWNSVARFAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEERIIVELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAAANSSDHPKARILRQQQQQKKKKQEESNHQMDMRKMMSSFDEIDENRLSVPQPQPPTDLLSDQEQGFLYSMINGYASVPEPYSTEDMIMCDGLWNLDDFHGSFSANKACFLQPVAAPFY >itb11g13580.t1 pep chromosome:ASM357664v1:11:10595182:10606220:1 gene:itb11g13580 transcript:itb11g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCNRRIEVRSLSGETTAASVTPDLTVQQLKLILKQNFTPAASSPNFHLFFKGMKLAVENKLGSYSIGDGDFLVLVPFAKKDRQQTEQSATSPAMTRFATSKQSESTWRDVVEDLSVLRSTISNKTQNDIELESVNSENRQAQNANVSSSGTSQRKRKLKSVSNKTEQPTDELIFDILQSPSSSIDEQASKFVMVLDSVNCLTDPSSGNCICNEVHRQNTEMNLRLTKSNLCLCPSWLKSKMKLFSFINIYSAVLQLQHGKVTLCSLKQALDQLGKFGFQASITDVEHLSDLCPQVLSIVDNSKGAITSTNAIMIVKTSTEQIDHHEIAIKCILPSKIVNSMKKRQECFKTSLSKVIRSLMFEDGNKFSKLSLEDFLQYVKQCNDVASGSKVKTERSHSFEALCHDTNPLLPIEMLEHLRRGIGSKGQVVHFEEINARNAKYVEIPNGLSECTKSALKNIGVTRLYSHQVESVQASLAGKDVVVATMTSSGKSLCYNLPVLEALSQNLSACALYLFPTKALAQDQLRALLNMTNEFDHNLNIGVYDGDTSQTDRMWLRENARLLITNPDMLHVSILPFHGQFRRILSNLRFIIIDEAHAYKGAFGCHTALILRRLLRLCSHVYGSNPSFVFSTATSANPVDHAKELANLPALELIQNDGSPSGPKLFVLWNPPLCLRTISKRSRKSTDANKSTDRSEVARRSSPIMEVSYIFAEMVQHGLRCIAFCKTRKLCELVLSYTREILQEAAPHLVDAICAYRAGYVAEDRRRIERDFFSGNICGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRSGRRGKPSIAIYVAFEGPLDQYFMKFPNKLFRSPIECCHVDANNQQVLEQHLTCAAFEHPLSLQHDKKYFGPGFEAAVMTLKNKGYLNTDVSRDSSARIWSYIGHEKMPSNAVSVRAIETERYKVIDKQKNELLEEIEESRAFFQVYEGAVYMNQGKTYLVKDLDLSSKIAWCQQADLKYYTKTRDYTDIHITGGNIFARTTAQAQFCRVTTTWFGFRRIWKKSNQVFDTIELSLPNYSYESQAVWVPVSETIKKTVEALNYSFRGGLHAACHAILNVVPLYIICNTSDIASECVNPYDARYVPERILLYDPRPGGTGIAAQVS >itb11g17410.t1 pep chromosome:ASM357664v1:11:17168738:17175530:1 gene:itb11g17410 transcript:itb11g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVRHIKNEKSKIQEKMKSGEQEIAVLKQEPEAAPVAYELQCWQMRTDEHDVVSLMKERDEADQKIAILEQELEAFRKAYEQHCSQIKINEDDMTRLMKENDDASKMIAILKLELETAQKTYEQQCSQMQTDEHSVVRLVKEKDDVNREIAVLKQELEATRKTSEQHYSQMNTDDDDLVRLMEEKNDAMQEIAVLKKELEAVQNSSEQQCLMMKTEEHDVTRSMKEKNDAIQEIAVLKKKLEAVQNSSEQQCLLMKTEEHDVTRSMKEKNDAIQEIAVLKKKLEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLMMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLMMKTEEHDVTRSMKEKNDAIQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRSMKEKNDAIQEIAVLKKELEAVQNSYEQQCLLMKTEEHDVTRLMKEKNDSIQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAMQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAIQEIAVLKKELEAVQNSSEQQCLLMKTEEHDVTRLMKEKNDAIEEIAVLKKELEAVQNSYEQQCLQMKTEEHGVTMLMKEKNDAIQEIAVLKKELEAVQKSYEQQCLLMKTGEHDMARLMKEKGDANQEIAVLKQEFEEAKKTYEQNCLQMKIETGEVQRSLEERLKEITSLEERLKEVMNHFTQSRNRVQELEEFSEAKSQQWSKIQHIYQIFTEFQLGALRELRFSSQSIRQEVSKTQKIYSEEFNHLGVKIKSLGDAAASYSVILAENRKLHNEVQELKGNIRVYCRVRPFLPKQKDKQTIVEYVGDNGELIVMNPSKQAKEGRRSFKFNKVYGPSATQGQVFADIQPLIQSVLDGYNVCIFAYGQTGSGKTYTMYLRFTVKSFIFLDLHTLGILNTSQPNGLAVPDASMFPVNKPSDVLELMNIGLKSRAKSSTSMNERSSRSHSILTIHVRGMDKKSGSSMNSSLHLVDLAGSERIDRSEVAGDRLKEAQHINKSLAALGDVISALSTKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDHVSFSESLSTLKFAERAYGVELGAAKSSKDGKDVRELMEQVDMTYVGDIR >itb03g02130.t1 pep chromosome:ASM357664v1:3:1185599:1186137:1 gene:itb03g02130 transcript:itb03g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGESHYCRRLFLFVVFTFFVMLSTNVGPGECLSATNLTAAGGTVADFMEAGGGGGEEFLMDSETSGRFLGQIRGHISTDVLRKNYVPCGKSKTKNRNINCLGIKQNTKRPCDLRHRTCNK >itb08g08390.t1 pep chromosome:ASM357664v1:8:7363908:7367414:-1 gene:itb08g08390 transcript:itb08g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSRNVECVKNTLHNRKDKKNGESFCELSLPLIFSFWCLLFLLYSAFGLTRGNHHGDLQAYNESGCNSVVSETNFSNFLFPLEKGGRKNCKNELHLNVNVTVILKESAPDNSSGEYSLQGTSGLEDVVSSVLGYTALMCQIQPLGPLDRNKTEKPLNSRSQLMYPNLDELRNITKQAKGWDVPSPLGNITHRLEPDGTPYNYASASKGAKVVAHNKEAKGANNILGKDHDKYLRNPCSVSWKYVVIELAHETLIDVIKIANFEHYSSNFKEFELLGSLVYPSEAWEPLGTFVAENVKHLQCFKLPQPKWVRYLRLNLLSHYGSEFYCTLSVVEVYGVDAIEQMLEDLIVTSGEPSTNKLQDPNSTALPSIIPEAGSTNRKREDDARSAIESVNEGAENADEGKKVKVDGLKKTSTVNTISDPVKVRQSPNSRIHGDAVLKILLQKVRSLELNLSVLEEYIKELNRRQGEFLPELDKEMSQLSVLLEKNKLEIKTLLEWNEIMEKGLTDFETWKASVSTQLDLLIKENGMLRSDIEKVVSDQASLEKKEIAVLAVSFSFACIALFKLVSEMVLTLFRSPMSDNVLRTSRGWILILVSSSFTIFITLL >itb08g08390.t2 pep chromosome:ASM357664v1:8:7363908:7366490:-1 gene:itb08g08390 transcript:itb08g08390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIQPLGPLDRNKTEKPLNSRSQLMYPNLDELRNITKQAKGWDVPSPLGNITHRLEPDGTPYNYASASKGAKVVAHNKEAKGANNILGKDHDKYLRNPCSVSWKYVVIELAHETLIDVIKIANFEHYSSNFKEFELLGSLVYPSEAWEPLGTFVAENVKHLQCFKLPQPKWVRYLRLNLLSHYGSEFYCTLSVVEVYGVDAIEQMLEDLIVTSGEPSTNKLQDPNSTALPSIIPEAGSTNRKREDDARSAIESVNEGAENADEGKKVKVDGLKKTSTVNTISDPVKVRQSPNSRIHGDAVLKILLQKVRSLELNLSVLEEYIKELNRRQGEFLPELDKEMSQLSVLLEKNKLEIKTLLEWNEIMEKGLTDFETWKASVSTQLDLLIKENGMLRSDIEKVVSDQASLEKKEIAVLAVSFSFACIALFKLVSEMVLTLFRSPMSDNVLRTSRGWILILVSSSFTIFITLL >itb08g08390.t3 pep chromosome:ASM357664v1:8:7364672:7367403:-1 gene:itb08g08390 transcript:itb08g08390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSRNVECVKNTLHNRKDKKNGESFCELSLPLIFSFWCLLFLLYSAFGLTRGNHHGDLQAYNESGCNSVVSETNFSNFLFPLEKGGRKNCKNELHLNVNVTVILKESAPDNSSGEYSLQGTSGLEDVVSSVLGYTALMCQIQPLGPLDRNKTEKPLNSRSQLMYPNLDELRNITKQAKGWDVPSPLGNITHRLEPDGTPYNYASASKGAKVVAHNKEAKGANNILGKDHDKYLRNPCSVSWKYVVIELAHETLIDVIKIANFEHYSSNFKEFELLGSLVYPSEAWEPLGTFVAENVKHLQCFKLPQPKWVRYLRLNLLSHYGSEFYCTLSVVEVYGVDAIEQMLEDLIVTSGEPSTNKLQDPNSTALPSIIPEAGSTNRKREDDARSAIESVNEGAENADEGKKVKVDGLKKTSTVNTISDPVKVRQSPNSRIHGDAVLKILLQKVRSLELNLSVLEEYIKELNRRQGEFLPELDKEMSQLSVLLEKNKLEIKTLLEWNEIMVRITR >itb06g23200.t1 pep chromosome:ASM357664v1:6:25267370:25268778:1 gene:itb06g23200 transcript:itb06g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGADSSSTTTTTSASSANNNGGTDAILKNLSKISHKISKPVRRPPVYENQSLLSSQIINDVAPPQPAAAPQQPPVYNINKSDFRDVVQKLTGSPAHERMATPSPIHQPKPPSSRLQRIRPPPLAQIGNRPPPLMNVAGGPLPPLQPLPTNQILGGGGFIGDQRPFSGVQAQPPSPLPPFPAVHGAAESPISAYMRFLRGSVSGAAIDSDPKRFSSGLSPLAPLDSPRWTGPAPPPPQLQNIPPQPSFPALPSSPLPFGCLPSPRSSYPLLSPSGQLGFQQLPLSPTVPVPSPTWKGI >itb07g19230.t1 pep chromosome:ASM357664v1:7:23660961:23665121:-1 gene:itb07g19230 transcript:itb07g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVWHPLLKGGRGRENGYSHGLSSSQIEALSSICEALIPPLPPPEHDEKSSEAIRLFYASSASQSPLPDESAEMLVKQCLPEAVVVLRLVLMMLSTRLGSLVLCGRVCFDGRWPFILKFSELGLHQREAILQKWSRETLLLPLRVAFLLIKMACIYTFLSWTDESCNNPAWEAIGYHVDTKQVQVQRERPLEKGIIETADHTDVSLKESLIKKGLNVAEDPKEDILRIRCDVVVVGSGCGGGVAAAVLANSGHKVVVLEKGHYFVAEDYSGLEGPSMNEMYESGGMLTTSDGKVVIQAGTTLGGGSAINWSASIRTPSGVLKEWCLEHKIPMFGSTEYESAMDFVCKRIGVTENCTKEGLQNQVLRKGCESLGLKVEAIPRNCSENHYCGSCGFGCKTGEKMGTDSTWLVDAVNKGAVILTGCKAEKFIVEDGRGRCLGVKATSESKNIRKKLYIEAKATVSACGSLMTPPLLISSGLKNRNIGNNLHLHPVLLAWGYFPESLSGLEGKNHEGGILTSLHKVESESESEDDETKPKAIVEAAALGPGSLAGFFPWTSGREMKESMRKYSRTVTLLSLVRDEGRGEVKKAGRIKYELSVADRENLKLGLRRVLRILVAAGASEVGTFRSDGQKLSCKGMKNEDLEEFLGTICAEEGPSSGEEVWSMYCSAHQMGSCRMGASEKDGAVDENGECWEAKGLYVCDGSVLPSAVGVNPMITIQSTAYCISNRISDRLNNEKSL >itb01g21520.t1 pep chromosome:ASM357664v1:1:27636474:27636836:1 gene:itb01g21520 transcript:itb01g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNPPKITVSVVAASNCHCLFLLLQCWRQKRWTPPLLRPAASLLTEEEQKTPLLAELLLSTREDANHCSVHCKPPDEERHKIGKEEASDCLVERGRHEDRGSRWKEMVRFGGGGGGCR >itb14g07370.t1 pep chromosome:ASM357664v1:14:6738500:6745174:-1 gene:itb14g07370 transcript:itb14g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQLIKQLIHSASFGSSSSVKIRNSSPRVIIRCSSTSTSGSDSIPVICIKKKLRNQKMRRLLSLQHRNHFSSYVSSANSRIACFARLGQIKDARKVFDQLLNKNVASWNAIISCYFQNHRPIEAQQLFDQMPRRNIVSWNGLVSGYVKNGMVNEARKVFERMPERNVVSWTALVRGYVEEGLISEAESLFWQMPEKNVVSWTVMLGGMIQVGRIGEAKRLYDMMPVKDVVSMTNMVGGYCLAGRVDEAREIFDSMEVKNVISWTSMVTGYAQNDRVDIARKLFEVMPEKNEVSWTAMLLGYTQNGRIEEAWKLFKEMPVKPTAACNAIILGVGQNGEVDKARMVFDSTMEKDDGTWSAMIKVYERKGYELNALNLFRLMQREGVRPNFPSLISTLSVCASLATIDYGREIHALLIRSKLDEDIYVSSVLITMYVKCGDLVRARRIFDRLSSKDTVTWNSIITGYAQNGLGEEALEVFREMCSQGIAPDVVTFVGVLSACSYTGKVKEGQDIFEFMNSKYLLEPESEHYACMVDLLGRAGRLTEAMDLIEKMPMEADAVIWGSLLAACRMHMNLDFAEVAGKKLLQLEPEKTGPYVLLSNIYATKGRWADAAAVRRDMRSRKLSKSPGCSWLVVEKKVHMFTGGESKPHPEHQMIIKMLDKLGSMLREAGYYPDGSFVLHDVEEEERVQSLRYHSEKLAVAYGLLKLPGGIPIRVMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGSCSCRDYW >itb14g07370.t2 pep chromosome:ASM357664v1:14:6738500:6745174:-1 gene:itb14g07370 transcript:itb14g07370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSLQHRNHFSSYVSSANSRIACFARLGQIKDARKVFDQLLNKNVASWNAIISCYFQNHRPIEAQQLFDQMPRRNIVSWNGLVSGYVKNGMVNEARKVFERMPERNVVSWTALVRGYVEEGLISEAESLFWQMPEKNVVSWTVMLGGMIQVGRIGEAKRLYDMMPVKDVVSMTNMVGGYCLAGRVDEAREIFDSMEVKNVISWTSMVTGYAQNDRVDIARKLFEVMPEKNEVSWTAMLLGYTQNGRIEEAWKLFKEMPVKPTAACNAIILGVGQNGEVDKARMVFDSTMEKDDGTWSAMIKVYERKGYELNALNLFRLMQREGVRPNFPSLISTLSVCASLATIDYGREIHALLIRSKLDEDIYVSSVLITMYVKCGDLVRARRIFDRLSSKDTVTWNSIITGYAQNGLGEEALEVFREMCSQGIAPDVVTFVGVLSACSYTGKVKEGQDIFEFMNSKYLLEPESEHYACMVDLLGRAGRLTEAMDLIEKMPMEADAVIWGSLLAACRMHMNLDFAEVAGKKLLQLEPEKTGPYVLLSNIYATKGRWADAAAVRRDMRSRKLSKSPGCSWLVVEKKVHMFTGGESKPHPEHQMIIKMLDKLGSMLREAGYYPDGSFVLHDVEEEERVQSLRYHSEKLAVAYGLLKLPGGIPIRVMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGSCSCRDYW >itb14g07370.t3 pep chromosome:ASM357664v1:14:6738506:6745157:-1 gene:itb14g07370 transcript:itb14g07370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKARQLIKQLIHSASFGSSSSVKIRNSSPRVIIRCSSTSTSGSDSIPVICIKKKLRNQKMRRLLSLQHRNHFSSYVSSANSRIACFARLGQIKDARKVFDQLLNKNVASWNAIISCYFQNHRPIEAQQLFDQMPRRNIVSWNGLVSGYVKNGMVNEARKVFERMPERNVVSWTALVRGYVEEGLISEAESLFWQMPEKNVVSWTVMLGGMIQVGRIGEAKRLYDMMPVKDVVSMTNMVGGYCLAGRVDEAREIFDSMEVKNVISWTSMVTGYAQNDRVDIARKLFEVMPEKNEVSWTAMLLGYTQNGRIEEAWKLFKEMPVKPTAACNAIILGVGQNGEVDKARMVFDSTMEKDDGTWSAMIKVYERKGYELNALNLFRLMQREGVRPNFPSLISTLSVCASLATIDYGREIHALLIRSKLDEDIYVSSVLITMYVKCGDLVRARRIFDRLSSKDTVTWNSIITGYAQNGLGEEALEVFREMCSQGIAPDVVTFVGVLSACSYTGKVKEGQDIFEFMNSKYLLEPESEHYACMVDLLGRAGRLTEAMDLIEKMPMEADAVIWGSLLAACRMHMNLDFAEVAGKKLLQLEPEKTGPYVLLSNIYATKGRWADAAAVRRDMRSRKLSKSPGCSWLVVEKKVHMFTGGESKPHPEHQMIIKMLDKLGSMLREAGYYPDGSFVLHDVEEEERVQSLRYHSEKLAVAYGLLKLPGGIPIRVMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGSCSCRDYW >itb01g02210.t1 pep chromosome:ASM357664v1:1:1440131:1440736:-1 gene:itb01g02210 transcript:itb01g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNKNEESNQEVDFSKRSQWLRAALLGANDGLLSTASLMMGVGAVRKDARTMVLAGIAGLVAGACSMAIGEFVSVYSQHDMAVAEMERKESRRGGPGEAVMKAEMESLPNPFQAAGASAMAFAVGAAVPLLPAAFIRAYRWRVAVVVAVSSAALLGFGGLGAYLGRAPYMKSCFRVLIGGWVAMAITFAVSKSFDVLGF >itb10g03130.t1 pep chromosome:ASM357664v1:10:2804723:2805152:1 gene:itb10g03130 transcript:itb10g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISELSSSPCSDAFHHHPLRIQAVSKSISDKLLSKFSDLSEFDFDYSQSGLWSPPIQRSVFLSSPGNGKILSHTDMAAKLSKALKRHHRRTHFFNACLCSPKRFHGR >itb11g20880.t1 pep chromosome:ASM357664v1:11:22257184:22262078:1 gene:itb11g20880 transcript:itb11g20880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGITAIWCVMLTIFVFNSPFRRAPFTLALPRTDGGASLNEDGNVRRAMIELEGGPEDVAWVVQLSDLHFSVHHPDRALDFKAIVGPALAMVNPSLVFITGDLTDGKSKDLLTMKQDEAEWVEYQKVMEDVIKRSGLKGSTFYDLRGNHDNFGVPDTGGSFDFYSKYSINGQMGRNGSVNSVTVQTGTRNLLFVEFDSTTSLGLRGPTNLFGHPTDQLLSDISSELSQLDPLLAKPIVKISLGHFPLSFSAAAYSRRTLKDVFLTHSLSAYLCGHLHTRFGKNLKRHHQSNHHPLYSQQYFQLNGHGLPVERPKDFSLTGTKEFWEWEMGDWRKSRAMRILAIDRGWISFVDIDFRLGAKKTIILPTFPLDSRFILDKSFQKNESQPVDPTFYSNIRVLVFSSTPIVSVVARIYDSRPGKLIVVIEAPMKKNGNGLSRGDLYTCPWNFKAFEDPSPERFLLQIETVDISGRSTLTELRPFSIVGQRARLPWNWKEFFVMGCQWDVLYYPIFWSFYLFTLSILLIPKAIFLFSRKQYSYRTFISNKGLSSYITWILMELYSVPWVWYCMVGYLFYLILCPWLCGQVLMEGERGYMTFRGWAFRFNTSEKLKFVGFPDIMVVVLPHLYFVILPALFVIIACAAERGIYRDYLRALSGKKDDSENKISFSSTCGRNYTSILLRMRWIRKALVLICLAICWKHFMNCRALCKAYEMNPFIHFPVYSLAVPLLLVYTIHKTSRI >itb11g20880.t2 pep chromosome:ASM357664v1:11:22257184:22262078:1 gene:itb11g20880 transcript:itb11g20880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDEAEWVEYQKVMEDVIKRSGLKGSTFYDLRGNHDNFGVPDTGGSFDFYSKYSINGQMGRNGSVNSVTVQTGTRNLLFVEFDSTTSLGLRGPTNLFGHPTDQLLSDISSELSQLDPLLAKPIVKISLGHFPLSFSAAAYSRRTLKDVFLTHSLSAYLCGHLHTRFGKNLKRHHQSNHHPLYSQQYFQLNGHGLPVERPKDFSLTGTKEFWEWEMGDWRKSRAMRILAIDRGWISFVDIDFRLGAKKTIILPTFPLDSRFILDKSFQKNESQPVDPTFYSNIRVLVFSSTPIVSVVARIYDSRPGKLIVVIEAPMKKNGNGLSRGDLYTCPWNFKAFEDPSPERFLLQIETVDISGRSTLTELRPFSIVGQRARLPWNWKEFFVMGCQWDVLYYPIFWSFYLFTLSILLIPKAIFLFSRKQYSYRTFISNKGLSSYITWILMELYSVPWVWYCMVGYLFYLILCPWLCGQVLMEGERGYMTFRGWAFRFNTSEKLKFVGFPDIMVVVLPHLYFVILPALFVIIACAAERGIYRDYLRALSGKKDDSENKISFSSTCGRNYTSILLRMRWIRKALVLICLAICWKHFMNCRALCKAYEMNPFIHFPVYSLAVPLLLVYTIHKTSRI >itb04g11960.t3 pep chromosome:ASM357664v1:4:11602489:11608364:-1 gene:itb04g11960 transcript:itb04g11960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYWPTVSMGMMATMTTITMASTFYYHRQFQFLSHPTQLYSPPLLELNQHKRIVVRPMSSYRFYAVKGKHQGGSTISIGDTLDPEIRAVLELATDSELYELERILFGPSYLSPLLKSITKRADVDFAMIEEDLEKREEFMSMLESRFLYLAADARSTLRGQRPSYRDVLLNVRKKLNIRCSTKLSAEDLEAEIFLHLLQEYSSSMDRANDPDENESLEFGLSQWKIQADAALKGGQGQLGTMILRGGGMLTLGGIYKLLAKRLFGKCMVEVAKYGMQKELLKKGGELAAVNLEARLAYLVAKQGVKGAATRYLGLRSLATFFGPLLWGTFLADVFIQMLGTDYARIVRAIYAFAQIRITRSYKL >itb04g11960.t2 pep chromosome:ASM357664v1:4:11602370:11609482:-1 gene:itb04g11960 transcript:itb04g11960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYWPTVSMGMMATMTTITMASTFYYHRQFQFLSHPTQLYSPPLLELNQHKRIVVRPMSSYRFYAVKGKHQGGSTISIGDTLDPEIRAVLELATDSELYELERILFGPSYLSPLLKSITKRADVDFAMIEEDLEKREEFMSMLESRFLYLAADARSTLRGQRPSYRDVLLNVRKKLNIRCSTKLSAEDLEAEIFLHLLQEYSSSMDRANDPDENESLEFGLSQWKIQADAALKGGQGQLGTMILRGGGMLTLGGIYKLLAKRLFGKCMVEVAKYGMQKELLKKGGELAAVNLEARLAYLVAKQGVKGAATRYLGLRSLATFFGPLLWGTFLADVFIQMLGTDYARIVRAIYAFAQIRITRSYKL >itb04g11960.t1 pep chromosome:ASM357664v1:4:11602224:11609534:-1 gene:itb04g11960 transcript:itb04g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYWPTVSMGMMATMTTITMASTFYYHRQFQFLSHPTQLYSPPLLELNQHKRIVVRPMSSYRFYAVKGGSTISIGDTLDPEIRAVLELATDSELYELERILFGPSYLSPLLKSITKRADVDFAMIEEDLEKREEFMSMLESRFLYLAADARSTLRGQRPSYRDVLLNVRKKLNIRCSTKLSAEDLEAEIFLHLLQEYSSSMDRANDPDENESLEFGLSQWKIQADAALKGGQGQLGTMILRGGGMLTLGGIYKLLAKRLFGKCMVEVAKYGMQKELLKKGGELAAVNLEARLAYLVAKQGVKGAATRYLGLRSLATFFGPLLWGTFLADVFIQMLGTDYARIVRAIYAFAQIRITRSYKL >itb04g11960.t4 pep chromosome:ASM357664v1:4:11602224:11608364:-1 gene:itb04g11960 transcript:itb04g11960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYWPTVSMGMMATMTTITMASTFYYHRQFQFLSHPTQLYSPPLLELNQHKRIVVRPMSSYRFYAVKGGSTISIGDTLDPEIRAVLELATDSELYELERILFGPSYLSPLLKSITKRADVDFAMIEEDLEKREEFMSMLESRFLYLAADARSTLRGQRPSYRDVLLNVRKKLNIRCSTKLSAEDLEAEIFLHLLQEYSSSMDRANDPDENESLEFGLSQWKIQADAALKGGQGQLGTMILRGGGMLTLGGIYKLLAKRLFGKCMVEVAKYGMQKELLKKGGELAAVNLEARLAYLVAKQGVKGAATRYLGLRSLATFFGPLLWGTFLADVFIQMLGTDYARIVRAIYAFAQIRITRSYKL >itb12g01520.t1 pep chromosome:ASM357664v1:12:1035746:1037454:1 gene:itb12g01520 transcript:itb12g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTIGFNMRKVTKGKVTIKLWDLGGQSRFRTMWERYCRGVSAILYVVDAADRDSVPISKTELHELLAKPSVGGIPLLVLGNKIDKSEALSKQALVDQMNLNSIKDREVCCYMISCKEFVNIDTVIDWLLKISKSAK >itb12g01520.t3 pep chromosome:ASM357664v1:12:1035746:1037454:1 gene:itb12g01520 transcript:itb12g01520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLESLLSWLRSIFFKQEMELSLVGLQNAGKTALVNSIATGGYTEDMIPTIGFNMRKVTKGKVTIKLWDLGGQSRFRTMWERYCRGVSAILYVVDAADRDSVPISKTELHELLAKPSVGGIPLLVLGNKIDKSEALSKQALVDQMNLNSIKDREVCCYMISCKEFVNIDTVIDWLLKISKSAK >itb12g01520.t2 pep chromosome:ASM357664v1:12:1035746:1037454:1 gene:itb12g01520 transcript:itb12g01520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLESLLSWLRSIFFKQEMELSLVGLQNAGKTALVNSIATGGYTEDMIPTGKVTIKLWDLGGQSRFRTMWERYCRGVSAILYVVDAADRDSVPISKTELHELLAKPSVGGIPLLVLGNKIDKSEALSKQALVDQMNLNSIKDREVCCYMISCKEFVNIDTVIDWLLKISKSAK >itb08g15220.t1 pep chromosome:ASM357664v1:8:17246513:17246998:-1 gene:itb08g15220 transcript:itb08g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFCGHVVLLPYPAQGHINPILQFAKRLASKGVKATIATTTYTVKSIHAAAVTVEPISDGFDGGGFTQAQNVAAFLTSFEANGSATLSNVIAKYEDSPHPVDCVVYDSFFPWALDVARRRGVCGAAPRRMRRGVFYKLRHRLRRFRSHPPRESPGAGGG >itb06g02870.t1 pep chromosome:ASM357664v1:6:4902649:4903023:-1 gene:itb06g02870 transcript:itb06g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCRILRRIRRTKSSHLHCSRRRLKVEARSKSSHLHCSRRRLKLARCRRTSWVAQQENDPSALWLTDPSVEVKDFVNTNVQSFAQSLFQAGFQADEFKREKLRSISVFYSLRAGSGGCRREGI >itb01g08030.t1 pep chromosome:ASM357664v1:1:6369546:6381324:1 gene:itb01g08030 transcript:itb01g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLKAARTSGSLNLSNRSLREVPDEVYQSLDAVSGDEKWWEAVELQKLILAHNDIESLKEDLRNLPMLTVLNVSHNKLTCLPAAIGELQMLKSLDLSFNVIQSIPEVIGAAAALVKFDCSNNQLNDLPDSLGRCSNLAELKASNNNISRLPEDLANCSKLMKLEVEGNKLPLLSENLFSSCTMLTELNASKNMLKGIPENIGNLTRLIRLDVHQNRISSIPSSIKDCSSLLEFYIGGNALTSLPAEIGALTQLGSLDLHSNQLKEYPVEACKLHLSVLDLSNNSLSGLPPEIGLMTTLRRLLLAGNPIRTLRSSLVNGPTPALLKFLRSRLPTDEESAAKTPSKEDVISMARRMSLTSKEISLGKLGLTAVPSDVWESNDITKVDLSGNSIEELPVELCSCVALEALILSKNKIKDWPSSILISLSRLTCLRLDNNPLRKIPSDGFQAVAKLRILDLTGNIGSLPEHPAFSCMPDLQELYLRRMQISLVPSDIMSLKHLRILDLSQNALQSIPEGMKDLNSLTELDLSDNNISSLPPELGLLEPSLQVLKVEGNPLRSIRRAILDRGTKAILKYLKDKIIEH >itb04g07130.t1 pep chromosome:ASM357664v1:4:4766077:4769422:1 gene:itb04g07130 transcript:itb04g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTATFTVANVQSGALVTKQRYRFLERFHIKRASQRWRRTQFKTSCSLEDSVPRGVEFMNPHANYFFNLDESPSVLAKTTVETSHAASTLMQRLVLLDLDPATAKLAITFLGPFLSAFSFLFILRIVMSWYPRLPVEKFPYVIAYAPTEPILIATRKVIPPLGGVDVTPVVWFGLVSFVNEILLGPQGLLVLLSQQVSS >itb03g09510.t1 pep chromosome:ASM357664v1:3:7344843:7346808:-1 gene:itb03g09510 transcript:itb03g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMAREKNLEKMKAAGKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >itb02g15470.t1 pep chromosome:ASM357664v1:2:11260550:11261693:-1 gene:itb02g15470 transcript:itb02g15470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEMKNLTYVWISVSACLLYSYFISAKIPKGTLRLISLTPVFYLFTILPLFLSSAVLSAIVSFFFTWLSNFKLLLFAFDRGPLSSHMGPTKSLFLFAVMASLPIRVKQRRSSSHHSTKKLPLNLATETLGFAVLLQLILRYRDSVHRKLVMVAYCGLVFLMIDILVAASSFVVRGLVGLELDPPSDEPYLSGSLQEFWGRRWNLTVTNTLRLTVYGPTKEISAGVVGDEWAPLPAVLATFVVSGLMHELLFYYVTRATPSWEMTLFFVLHGICVAVEIAVKRALRDRWRLPRLVSGPLTVGFVVATSFWLFFPPLIRTGADAKVLEEFGICMEFIKRKLLSLTTNNTI >itb02g11980.t1 pep chromosome:ASM357664v1:2:8006311:8013855:-1 gene:itb02g11980 transcript:itb02g11980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATFTSASQFLAVGNPASSSSCYGSYSPRLLRYKLNTNALKFKAHTSSSIRASASSDGDSVVTLLDYGAGNVRSVRNAIRFLGFGIKDVQTPEDILKAKRLIFPGVGAFAAAMDVLNKNGMAEALCTYIEQDRPFLGICLGLQLLFESSDENGPVKGLGLIPGVVGRFDSSKGIRVPHIGWNALEITKDSGILDEIGNRHVYFVHSYRAIPSGDNQDWVSSTCNYGDNFIASIRKGNVHAVQFHPEKSGDVGLSILERFLNQESKRTKKPVQGKASKLAKRVIACLDVRANDNGDLVVTKGDQYDVREHTKENEVRNLGKPVDLAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFTDGNGRYYSSLEVASEYFRSGADKVSIGSDAVYAAEEYLKSGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYLKDPKDVEFKAVKVRNLGPNGEEYAWYQCTVNGGREGRPIGAFELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFNETNASAALAAGIFHRKEVPIQSVKEHLLKEGIEVRA >itb13g26440.t1 pep chromosome:ASM357664v1:13:31704674:31707925:-1 gene:itb13g26440 transcript:itb13g26440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNPQLLLTPFLPSSSIPKLDFPPPLLNPSRRKKKGALAMAAAANSAADKPPRLVTFLGKGGSGKTTSAVFAAQHYAMAGLKTYLVIHSQDPTAEYLLNCKIGTSPVACNANLSAVRLETTKMLLKPLNRLKEADTRLNMTQGILEEVVGQELGVLPGMDSIFSVLELERLIGFFGNVTQGNSQKEKFDIIIYDGMSTEEMIRMIGATSKARLYLKYLRKLAEKTDFGRLAGPSLLRFVDEAMSLSGRNSNLNGKMSSEIWDFLDQTLERGSSIIAEPDKFGCYVVVDPKSSASLASALRYWGCVIQAGAQVSGALAFASPNDAAGLREKVDKSFSPLPYTFIPHLSVDTRLEWNHIMQDACSEDARKLLAVTSHGTRIHPVKFDPTNKTVTLLMPGFDKSEIKLYQFRGGSELLVEAGDQRRAIFLPSKLQGKVVAAKFIERSLVITMQ >itb13g26440.t4 pep chromosome:ASM357664v1:13:31705987:31707916:-1 gene:itb13g26440 transcript:itb13g26440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNPQLLLTPFLPSSSIPKLDFPPPLLNPSRRKKKGALAMAAAANSAADKPPRLVTFLGKGGSGKTTSAVFAAQHYAMAGLKTYLVIHSQDPTAEYLLNCKIGTSPVACNANLSAVRLETTKMLLKPLNRLKEADTRLNMTQGILEEVVGQELGVLPGMDSIFSVLELERLIGFFGNVTQGNSQKEKFDIIIYDGMSTEEMIRMIGATSKARSELFIPRNHCLN >itb13g26440.t2 pep chromosome:ASM357664v1:13:31704674:31707925:-1 gene:itb13g26440 transcript:itb13g26440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNPQLLLTPFLPSSSIPKLDFPPPLLNPSRRKKKGALAMAAAANSAADKPPRLVTFLGKGGSGKTTSAVFAAQHYAMAGLKTYLVIHSQDPTAEYLLNCKIGTSPVACNANLSAVRLETTKMLLKPLNRLKEADTRLNMTQGILEEVVGQELGVLPGMDSIFSVLELERLIGFFGNVTQGNSQKEKFDIIIYDGMSTEEMIRMIGATSKARLYLKYLRKLAEKTDFGRLAGPSLLRFVDEAMSLSGRNSNLNGKMSSEIWDFLDQTLENPINLAAMLWWTPKVPRLWLLHYATGAVLSKQAPRSLVHSLLHRQMMLLD >itb13g26440.t3 pep chromosome:ASM357664v1:13:31704674:31707916:-1 gene:itb13g26440 transcript:itb13g26440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNPQLLLTPFLPSSSIPKLDFPPPLLNPSRRKKKGALAMAAAANSAADKPPRLVTFLGKGGSGKTTSAVFAAQHYAMAGLKTYLVIHSQDPTAEYLLNCKIGTSPVACNANLSAVRLETTKMLLKPLNRLKEADTRLNMTQGILEEVVGQELGVLPGMDSIFSVLELERLIGFFGNVTQGNSQKEKFDIIIYDGMSTEEMIRMIGATSKARLYLKYLRKLAEKTDFGRLAGPSLLRFVDEAMSLSGRNSNLNGKMSSEIWDFLDQTLEVFRFPFSSSQPVMQFS >itb07g00560.t1 pep chromosome:ASM357664v1:7:378718:380329:1 gene:itb07g00560 transcript:itb07g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACENPQNILDKPLPEIPTFLGPLSPSWNPIAAIKPLQKSSFAEIFGELLFKEQHQQQPQPQSFSSSVFSLYSDSSASSSSSSSSSTSSSLSSSSSSAASDIEAEIEMFNNETCGGEEMMINKSSEVVDPDEAELMISDLGIEERAAAVVCRRHLYAENRCSEWKRSKMIRAAFPPPISCIGRSGKPWVLFKSYRGDGRFILKEIRIPTQEFMHACRKDGRLKLHIIQSDDEIPDEDEDEEDNIDILDDDTTEDDEEILLQQVSNVLDCAE >itb03g16200.t1 pep chromosome:ASM357664v1:3:15241063:15242455:1 gene:itb03g16200 transcript:itb03g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPTTGWGKSLAVPSVQEIVRKDAKDVPERYIQNEEDRPLSSKISLLSSEIPIINLSLLDNGDENELRKLDFASKEWGFFQVINHGVTKEVLQNMEAAAASFFELPVGEKEKYAMAENDIQGYGQTYIVSEQQKLDWNDSMFLVTLPPKHQDMKYWPSTLVGFKEAVEQYAREIEMVTTKILANLSQLMGMEKQRLRQMHGEMKQGIRLNYYSPCAEPDLVLGVRPHSDISSISLLLQEDEITGLQIRHKESWVPVNPIPNAIVVNIGDVMEGWSNGVYKSIEHRAVTNQTKARISIAAFVIPEDQVDIGPVETMVDDHLLSRKYKSVKYIDFIRYNLARKMDGKSHTDYLKL >itb15g02120.t1 pep chromosome:ASM357664v1:15:1297579:1299621:-1 gene:itb15g02120 transcript:itb15g02120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSPSLLGKMKDKAVEMVKNAEKIGRDDPRRILHSVKVGVALTLNSLFYYYNPLYDGFGPSGIWALVTVILVFEFTIGATLSKCLNMSFAALLAGAMGVGAKYLGGLCGDKGEPVVLGILVFILASILTFMRFIPGIKTKYDHGVLISILIFSLVTVSGYRTEQIIQFAHQRLSAVAIGGVTCILISVLVCPAWAGEDLQNLIAANIEKLGRSLEGFGNAYFRFPKVEGEESGSTEKALFPQDYKSAFNSKASEESLAIFAWWEFGHGEFKFRHPWKQYLKVGVLARECASHLQALSGYLNTGDDQAVQTLLLLSFKSKIQEPCTRMCSESSQALKDISSAIKTMGQPSPAIQDHLRNSRAAINDLEVVFRSSSLSTEQLFEIIPCAAVTSILIDIVNCVDKISKSVDELSEKAGFKKPKEKSPSPPPESEDSPESEVVVAISIIDKDSLESENLQEPRLEQKSQELIPGERTKIYS >itb14g03830.t1 pep chromosome:ASM357664v1:14:3443104:3449036:-1 gene:itb14g03830 transcript:itb14g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLMRSNQTATAEQPPAQKIQAETSYAAKLTSTLGGLFAEDPLLPRKSFDHDGEGDGHGNENGVIAGLIGKGNHIEVAEGEGWIAIPKKELPDNWSETLDTASLRSLDRFFVFPGEQVHILACLSAYKQDTEIITPFKVAELINKNGTHNVSDGAEINDGEDINQNSKNNMQGNVEPRKEVSASESLLRMKDHKRQTESLLQRFKNSHFFARIAGSDEALWTKRKVMEDSYAIEEKFMTSDTRKNTKKKLPLNAAIDRGNFDAITSGGVARNAAKCCALPNGDIVVLLQINVGVEFVRDPVLEILQFEKSQDRSLPFEGQENSISSNQDPCGELLKWLLPLDNSIPPPARSIPPPQLSSPASIRSTSARVSLSGSTGSQLFSFGNLRSYSMSSLPPNSLPPPSTPIPNSGPGFDPEDWERFSFRKAIKSENSGSGGLLSYRGVSLEPERFSVHCGLEGIFIPGRRWRRKIEIIQPLEINSFAANCNIDDLICVQIKNVAPEHTPDVVMYLDAISIVFEEASKNGPPLSLPSVCIEAGSDHCLPNLALRKGEEHSFILKPATSMWKNSKGCSEKSSQLSHINSGNVASTRQNSLNVMGRNISLPTDQYAILVSCRCNYTESKLFFKQPTSWKPQVSRDLMISVASAMSEQTLGSNNKVAQLPAQVLTLQASNLTSEDLTMTVLAPASFTNLPSLMSLSSPKSPASPFFSSSKLSERVNGRQVTTWQSSSSASMSKVQVSDGHKRSVSFHGPSSVSVSKEEVTVHKRSVSFNERVIAIPDVHPSSDLSCTHLWLQSRVPLGCVPSQSTATVKLEVLPLKDGIITLDSLQIEVTEKGLTYIPQHSLKIYATSSISTGMR >itb04g22070.t1 pep chromosome:ASM357664v1:4:27249243:27250737:-1 gene:itb04g22070 transcript:itb04g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHNLLLFITLLTWLFLAATACHVDDESGLLAFKSGITRDPTGILSSWKPGTDCCGWSGVQCRSDGRVTMLSFRGESDVKPTLSGTISPSLSKLRFLDTILFQYLGGLSGPFPHFLFHLPDIQTVYFVGCKLSGKIPENIGELARLSSLSLEGNRFSGSIPSSIGNLSQLTELRLDGNRLTGGIPETITQLKKLNTLSLMNNKLSGSIPDYLAALPELATLRLSHNKFSGEIPSSISALSPKLQFLELGHNKLRGKIPDFIGNFHALETLDLSWNHFSGAVPKSFLNLTALFVLDLSHNSLVDPFPTMNVERAQTLDLSYNKFHLGEIPDFVTASPNMFSLRLARCGVKIKLDDWKPKEYLFYLYIDLSENEITGSPVGLFNRTDNLRGFYASGNKLEFNLEDLRFNVKELKELDLSRNRVFGKVPKTVAVLQKLNLSHNRLCGRLPATKFPAGVFAGNACLCGSPLPPCKA >itb02g05680.t1 pep chromosome:ASM357664v1:2:3506582:3511176:1 gene:itb02g05680 transcript:itb02g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCEFCGEQRSIVYCRSDAACLCLSCDRNVHSANALSRRHPRTLVCERCNAQPAFVRCIEERVSLCQSCDWAGHVSSSTGSTHKRQAVSCYSGCPSAAELSSMWSFLLDFPSVGDSTCEQGMGSMSITENCPRDSQGPEGKNVAQDVSAVDDASAMKAENESNIWMAPSVLTVDNKLQSVELPTGATIPTNSTSPKVCYSGAKGPSFYEDDPFNMDFNVDEVDISMENYEELFGMSLNEGIDDFFGTKEMPAADSSCQGANAVEGASLGQVNAMQPACSNAASADSMMSCKTEPNFCFARQQSSLSFSNITGESSGGDYQDCGVSSMLLMGEPPWGPSGPENSFPSSSRSDAVLRYKEKKKTRTFEKHVRYASRKARADVRRRVKGRFVKAGDAYDYDPLSPTRSY >itb02g05680.t2 pep chromosome:ASM357664v1:2:3506582:3511176:1 gene:itb02g05680 transcript:itb02g05680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCEFCGEQRSIVYCRSDAACLCLSCDRNVHSANALSRRHPRTLVCERCNAQPAFVRCIEERVSLCQSCDWAGHVSSSTGSTHKRQAVSCYSGCPSAAELSSMWSFLLDFPSVGDSTCEQGMGSMSITENCPRDSQGPEGKNVAQDVSAVDDASAMKAENESNIWMAPSVLTVDNKLQSVELPTGATIPTNSTSPKVCYSGAKGPSFYEDDPFNMDFNVDEVDISMENYEELFGMSLNEGIDDFFGTKEMPAADSSCQGANAVEGASLGQVNAMQPACSNAASADSMMSCKTEPNFCFARQQSSLSFSNITGESSGGDYQDCGVSSMLLMGEPPWGPSGPENSFPSSSRSDAVLRYKEKKKTRTFEKHVRYASRKARADVRRRVKGRFVKAGDAYDYDPLSPTRSY >itb14g02530.t1 pep chromosome:ASM357664v1:14:2163423:2165095:-1 gene:itb14g02530 transcript:itb14g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLREALFFYLYKKEVKSHKAHIQKSYIILLPSTTQKSMGSNNFSLIFSLLIISAFLCSTTTDAFGGFNYAYNMDGIGEEKGAYSEPQHPLKHVVGTPPTMYKVAGRRGLLSAPPTA >itb12g07230.t1 pep chromosome:ASM357664v1:12:5396268:5399819:-1 gene:itb12g07230 transcript:itb12g07230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPGLLLNTGLEKSNEEETELAKRDLENKLAQLDSQITMEEEEYKTMQNVHKQVQEELCMVERRALLMDATMKSSLLENEYNSLCDELQRKSLCPRCHQDNSEELGGFISHLSPKSPILILCAVCVCEXSCVPCACVSCVEISQSQRGGDRRGEAVRRSRGGGRGAAKAVTDKGSCGDRQAFQFPAYCYAAGEQEPPPTLAAEVVLLVVDGNSFWRVDGDRV >itb04g20040.t1 pep chromosome:ASM357664v1:4:24482608:24484711:-1 gene:itb04g20040 transcript:itb04g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHMDFCKTVKRRNRSYGMVLSWIFQKKRVNVTGELARQPVHSTFNAPSLQFSGLFQVEGNEYITAHELSRMPADESRELIERYHIPHKRLRGLVAPQQAEQAGGDEEDDESEEEAEEEEPVGEADREHRRKEQHDPLAPTPPVGPVLRQLQEMHRMVEARFDSLDLRVDRGFQRLGFIEAKLGIEVPPEYQQPCPYPYPYPHHGPGSSSQGGHPDDQEGGGAL >itb02g02380.t2 pep chromosome:ASM357664v1:2:1351640:1354127:1 gene:itb02g02380 transcript:itb02g02380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKKGKVTGIRQIVRLKGFLQKWQKVTLGSKRTNNHNHPSSPSSSSSSPPTKALPPNPRRPVAPPPSNPRRPVAPPPPRGGISPAISKRLISSNAYSDSDDDGCQSPEPPSGVPRGSLAVYVGPELRRFIIPTSYLADPLFKKVLEKVEEEFGFDHSGALTIPCETETFKYLLKCMENHQREHKSGLFIERLWCGVVLQLDLQMPWRIELWL >itb02g02380.t1 pep chromosome:ASM357664v1:2:1351640:1354127:1 gene:itb02g02380 transcript:itb02g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKKGKVTGIRQIVRLKGFLQKWQKVTLGSKRTNNHNHPSSPSSSSSSPPTKALPPNPRRPVAPPPSNPRRPVAPPPPRGGISPAISKRLISSNAYSDSDDDGCQSPEPPSGVPRGSLAVYVGPELRRFIIPTSYLADPLFKKVLEKVEEEFGFDHSGALTIPCETETFKYLLKCMENHQREHKSAGSSDAMED >itb09g16260.t1 pep chromosome:ASM357664v1:9:11465730:11466833:-1 gene:itb09g16260 transcript:itb09g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLEVESSDTIANVKAKIQDKQFIPHKYQRLIFDNKLLRDGRTLAYYNIQKDSTLHLEFNVLGCMHIFVKTFTAKTISLEVVRSDTIGNVKAKIQDKEGIPPDHQRLIFAGKMLEDGRTLADYNIKTESRLHLVGGMQIFVNDFGKTIALEVESSDTIDNVKAKIQVKEGIPRVQQLLVFAGKLLEDGRTLADCNIQKESTLHLALRMGESMQIFVKTLTGKTITLEVESFDTVDNVKAKIQDKEGIPPVRQGLIFAEKVLEDGRTLADYNIQKESILYLVLYLRGGMQIFVKTLTGKTITLEVESSDTIENVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGCDI >itb06g15600.t1 pep chromosome:ASM357664v1:6:19909881:19913743:1 gene:itb06g15600 transcript:itb06g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKTALQFFCCVLLIITTAAADTITSGRPLRDGETVVSAAGIYELGFFDGGDSASRFVGIWYKKISTRTIVWVANRNSPLNDTSGVLMINENGNLVLVGGANNRTIWSSNSSRILENPVAWLSDGGNLVIKDEKGNFAWQSFDHPGNTLLPGMKLGRNLVNGLDWSISAWKTADDPSPGEYRASLDINGYPQLFVNKGSVLQYSSGPWDGMAFTGSPVLKPDTYFTFKLVITSDEVYYTYEVKNTSLPTRAVIIPTGVVQHLTWIERTQIWSVYLTAQLDNCDRYGLCGPYASCSINNSPPCDCLRGFQPKFPAQWNGADWSSGCERRAPLVCGEKDGFREFSGIKMPDSRHSWYDKNIDLKECKKRCLENCSCTAYSNLDVTDGSGCLLYFSELMDLRELSQNEQTLYVRVAASEVDADRNQKKRRLMRIIIGPTVIGTLLICLFCWCASKRRTKRGLVDDKDMELPLFDLATVSSATKNFSAKNMIGEGGFGPVYKGKLASGQEIAVKRLSKHSVQGVQELKAEVNLISKLQHRNLVKLLGCCIEGEEGILVYEFMPNNSLDHFIFDPSRKAELGWQKRFEIVMGISRGLLYLHQDSRLRIIHRDLKASNILLDNNFLPKISDFGLARIVGLDQNEGKTKRVVGTYGYMSPEYAIDGEFSVKSDVFSLGVLILELVSGRKNRTFRNSDHLHNLLGHAWILWKEGRAMEIMDESLEEESCVKSQVLRCIQVGLLCVQKLPGDRPNMASVVFMLGNEDVHLAEPREPGFFVERGSTESGRVTENAETLTILEAR >itb06g15600.t4 pep chromosome:ASM357664v1:6:19909881:19911575:1 gene:itb06g15600 transcript:itb06g15600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKTALQFFCCVLLIITTAAADTITSGRPLRDGETVVSAAGIYELGFFDGGDSASRFVGIWYKKISTRTIVWVANRNSPLNDTSGVLMINENGNLVLVGGANNRTIWSSNSSRILENPVAWLSDGGNLVIKDEKGNFAWQSFDHPGNTLLPGMKLGRNLVNGLDWSISAWKTADDPSPGEYRASLDINGYPQLFVNKGSVLQYSSGPWDGMAFTGSPVLKPDTYFTFKLVITSDEVYYTYEVKNTSLPTRAVIIPTGVVQHLTWIERTQIWSVYLTAQLDNCDRYGLCGPYASCSINNSPPCDCLRGFQPKFPAQWNGADWSSGCERRAPLVCGEKDGFREFSGIKMPDSRHSWYDKNIDLKECKKRCLENCSCTAYSNLDVTDGSGCLLYFSELMDLRELSQNEQTLYVRVAASEVDADRNQKKRRLMRIIIGPTVIGTLLICLFCWCASKRRTKRGKEKRFTPCLVND >itb06g15600.t3 pep chromosome:ASM357664v1:6:19909881:19912913:1 gene:itb06g15600 transcript:itb06g15600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKTALQFFCCVLLIITTAAADTITSGRPLRDGETVVSAAGIYELGFFDGGDSASRFVGIWYKKISTRTIVWVANRNSPLNDTSGVLMINENGNLVLVGGANNRTIWSSNSSRILENPVAWLSDGGNLVIKDEKGNFAWQSFDHPGNTLLPGMKLGRNLVNGLDWSISAWKTADDPSPGEYRASLDINGYPQLFVNKGSVLQYSSGPWDGMAFTGSPVLKPDTYFTFKLVITSDEVYYTYEVKNTSLPTRAVIIPTGVVQHLTWIERTQIWSVYLTAQLDNCDRYGLCGPYASCSINNSPPCDCLRGFQPKFPAQWNGADWSSGCERRAPLVCGEKDGFREFSGIKMPDSRHSWYDKNIDLKECKKRCLENCSCTAYSNLDVTDGSGCLLYFSELMDLRELSQNEQTLYVRVAASEVDADRNQKKRRLMRIIIGPTVIGTLLICLFCWCASKRRTKRGLVDDKDMELPLFDLATVSSATKNFSAKNMIGEGGFGPVYKGKLASGQEIAVKRLSKHSVQGVQELKAEVNLISKLQHRNLVKLLGCCIEGEEGILVYEFMPNNSLDHFIFDPSRKAELGWQKRFEIVMGISRGLLYLHQDSRLRIIHRDLKASNILLDNNFLPKISDFGLARIVGLDQNEGKTKRVVGT >itb06g15600.t2 pep chromosome:ASM357664v1:6:19909881:19913743:1 gene:itb06g15600 transcript:itb06g15600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKTALQFFCCVLLIITTAAADTITSGRPLRDGETVVSAAGIYELGFFDGGDSASRFVGIWYKKISTRTIVWVANRNSPLNDTSGVLMINENGNLVLVGGANNRTIWSSNSSRILENPVAWLSDGGNLVIKDEKGNFAWQSFDHPGNTLLPGMKLGRNLVNGLDWSISAWKTADDPSPGEYRASLDINGYPQLFVNKGSVLQYSSGPWDGMAFTGSPVLKPDTYFTFKLVITSDEVYYTYEVKNTSLPTRAVIIPTGVVQHLTWIERTQIWSVYLTAQLDNCDRYGLCGPYASCSINNSPPCDCLRGFQPKFPAQWNGADWSSGCERRAPLVCGEKDGFREFSGIKMPDSRHSWYDKNIDLKECKKRCLENCSCTAYSNLDVTDGSGCLLYFSELMDLRELSQNEQTLYVRVAASEVDADRNQKKRRLMRIIIGPTVIGTLLICLFCWCASKRRTKRGLVDDKDMELPLFDLATVSSATKNFSAKNMIGEGGFGPVYKGKLASGQEIAVKRLSKHSVQGVQELKAEVNLISKLQHRNLVKLLGCCIEGEEGILVYEFMPNNSLDHFIFGLLP >itb10g26350.t1 pep chromosome:ASM357664v1:10:29718906:29719250:1 gene:itb10g26350 transcript:itb10g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIARRSRNLYYNSSKYYAFSLSRGFASGSDEENDVVVIGGGPGGYVAAIKAAHFGLKTTCIEKRGTLGGTCLNVGCIPSKVLLHSHSSIYIYLYYMVVVLKSKTIQLLLELQ >itb14g04670.t1 pep chromosome:ASM357664v1:14:4118371:4122341:1 gene:itb14g04670 transcript:itb14g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSPSFTLNISGRELNMDPPNPKGSHKYEPPAPSMAVPPPPPVYPGNAMPPYPYPYPYPYPYPYQYQYPYPYPYPQGKCLSPESAECAGKGDGAPMESFPNKNVWVPPSPGKGTAGAYECVNGFPAGPPSPSTSSVMYLQRIGTGSTSDGDHEIAWSTNLFGCLADFNTCLKTCFCPCITSGQIAEIVSEGKTSCLEATIIHGLLLYLFIAPSIYTCLNRSKLRRRFKLKGNNFTDCLTHAFCCFCALCQEYRELYNQGYDPAIGWLKNLERDRNTVAVFRITPPIAEKGMAR >itb07g14790.t1 pep chromosome:ASM357664v1:7:17439980:17440321:-1 gene:itb07g14790 transcript:itb07g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFTNFCSKRQSFLAVLFPPQTKNKIPCSRSLVPRHKLFTAHSSNSSSRQLHPLSLLAHPVSLRTPSRRLSLHVPVAHPPKPSLPVSATVLSRHGILFPSLSHLPLSSQRLR >itb07g24000.t1 pep chromosome:ASM357664v1:7:28287122:28288750:-1 gene:itb07g24000 transcript:itb07g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPLQLLQLLQRFLKQPKQVKQIHSHLTTAAYLRCTAEWANTLLYNTLIRAYLAFAQPTSSLLLFIHMLADEAPPNSHTFPSLIKALSSLPLHWASLLGRPLHAQALKRGASADPFVQTSFLGLYSQVGELGSALKVFDEVSEPCVVAYNAMLDACGKNGNMGLAVSMFSSMRQRDIYSWTSMINGYARNQAFQEAVKLFKKMMAHEDVTGGSLKPNEATFVSILSSCANLDSAAALYQGKQIHGYMVKNVELSDFMATALITFYGRMGCLDYATKVFDEIPIKEVCTWNAMISALAMNGREKRALALFEMMKAIGLKPNQVTFVAVLSACAHAKLVQTGLEAFEAMSREFKIVPKMEHYGCVVDLLGRAGLVREAYEFVERMPFEADDSVLGALLGACRVHGEIELGNEVGQRLLNLQPHHCGRYVLLSSIYAGAERWDHAAALRKAMVDAGVDKIPAYSVIH >itb05g07200.t1 pep chromosome:ASM357664v1:5:8735812:8738346:-1 gene:itb05g07200 transcript:itb05g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDTEGNILSSAAIVGHDGTVWAQSSSFPQFKPEEISAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEAGAVIRGKKGPGGICVKKTGQCLLIGIYDEPMTPGQCNIVVERLGDYLIDQGF >itb05g21060.t1 pep chromosome:ASM357664v1:5:26969698:26973205:-1 gene:itb05g21060 transcript:itb05g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKALAQQHLLQQIQRQKQQQQQDAMARFPSNIDAHLRPQPFIHRQQSQSLNPTPNPNQQSPNPNPALQIPIQQTPNANPSQQQQQQLQQQQQQKLNRPAQASNTAELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDKILDSDTTGQILSRSQQWDHNIAAKVAEFTATFEKQVLAFNIISRKREQGEFRTEEKLQIEQMLVQEEKLAFSELMDMRQKAGRETHEANMQMAAMVQAEQARAESHARAEMMSRGPIRASALGPRGGNILMGHDGGEQVQEVKDEEMMNGWGNNTQKDEKEPSEDFLNDEETENGEGGIQSDWRGGGELDLNTR >itb09g08950.t2 pep chromosome:ASM357664v1:9:5347689:5352913:1 gene:itb09g08950 transcript:itb09g08950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKVCTSREAKLEFLKRKRLQRMKPESVDDFPCVNRVMNRSGGDALQSHASCGVRIHGNLDIYPRFGASLNGKDVFSKHRVQKFETADLDWIDRIPECPVYSPTKEEFEDPLVYLQKIAPEASKYGICKIVSPITASVPAGVVLMNEKPGFKFTTRVQPFRLSEWDMEDKVTFFMSGRNYTFRDFEKMANKVFARRYYSVGSLPPAYLEKEFWHEIACGNTDSVEYACDVDGSAFSSSPSDNLAKSKWNMKRFSRLPKSVLRLLETTIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAAVDFERVVREYVYTNGILSTDGEDGAFDVLLGKTTMFPPNILLEHDVPVYRAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPMGLIACRRYALLSRTPLLPHEELLCKEAMLLSENLKFEEAGCSSEYLKSQHSIKVSFVNLMRFYHRARWALMRSKACSEISLVSHVKILCSICQRDCYIAYVSCGCYWHTVCLRHDPRTIELPCFTSRILCLREDILDKEEVAKKFEQEDVVLHEFQQLQKKDDDFSLLSNLFPQLDDTAYVPYCDLSFELSEEMEVQKEVTVRLPISSVNSLTEMPNPSCSGISTEFPSLTENVHGHANYDLGRSVSKNNSGDLAGCVNNVQESSCSDGYTNVHRNVIQGSNNRTVVDEDEDESDSEIFRVKRRSRVEQKSTHDSNNFNDYQSLKRLKKHQQPDRRSGSLSSPECSTSEGRNFSFVGNSSDYKEGRKYPPRDESSRGGANRILVKNKKLTGEESRDKHRELKKNDRSKHELGRSIREPPCPETGERRLKVRGPSILGFTGRMD >itb09g08950.t1 pep chromosome:ASM357664v1:9:5347265:5353543:1 gene:itb09g08950 transcript:itb09g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKVCTSREAKLEFLKRKRLQRMKPESVDDFPCVNRVMNRSGGDALQSHASCGVRIHGNLDIYPRFGASLNGKDVFSKHRVQKFETADLDWIDRIPECPVYSPTKEEFEDPLVYLQKIAPEASKYGICKIVSPITASVPAGVVLMNEKPGFKFTTRVQPFRLSEWDMEDKVTFFMSGRNYTFRDFEKMANKVFARRYYSVGSLPPAYLEKEFWHEIACGNTDSVEYACDVDGSAFSSSPSDNLAKSKWNMKRFSRLPKSVLRLLETTIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAAVDFERVVREYVYTNGILSTDGEDGAFDVLLGKTTMFPPNILLEHDVPVYRAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPMGLIACRRYALLSRTPLLPHEELLCKEAMLLSENLKFEEAGCSSEYLKSQHSIKVSFVNLMRFYHRARWALMRSKACSEISLVSHVKILCSICQRDCYIAYVSCGCYWHTVCLRHDPRTIELPCFTSRILCLREDILDKEEVAKKFEQEDVVLHEFQQLQKKDDDFSLLSNLFPQLDDTAYVPYCDLSFELSEEMEVQKEVTVRLPISSVNSLTEMPNPSCSGISTEFPSLTENQVHGHANYDLGRSVSKNNSGDLAGCVNNVQESSCSDGYTNVHRNVIQGSNNRTVVDEDEDESDSEIFRVKRRSRVEQKSTHDSNNFNDYQSLKRLKKHQQPDRRSGSLSSPECSTSEGRNFSFVGNSSDYKEGRKYPPRDESSRGGANRILVKNKKLTGEESRDKHRELKKNDRSKHELGRSIREPPCPETGERRLKVRGPSILGFTGRMD >itb10g25040.t1 pep chromosome:ASM357664v1:10:28533142:28537418:-1 gene:itb10g25040 transcript:itb10g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYCATLKSTTHLPRESELWGKRMLKNSVLVNQFGKSLKLERNGRKIKPGVAFSVLTRETGKETLTVEAPRLERVRANPKNVAAIILGGGAGTQLFPLTNRAATPAVPVGGCYRMIDIPMSNCINSGINKIFVLTQFNSASLNRHIARTYFGNGVSFGDGFVEVLAATQTSGETGMKWFQGPADAVRKFTWVFEDAKNKDIENILILSGDQLYRMDYMDLVQNHLDRNSDVTLSCAPVGDSRAVDFGLVKIDHRGKVVQFQEKPKGADLEAMQVDTTRLGLSPEDAKRNPYIASMGLYVFRRDLLLNLLRWIYPTANDFGSEIIPAVITEHNVQAYFFKDYWEDIGTIKTFYDANLALAEEFPKFEFYDPKTPFYTSPRFLPPTKIDNCKIKDAIISHGCFLRECIVEHSIVGERSRLDFGVELKDTLMMGADYYETESEIASLLADGKVPIGIGQNTKISNCIIDKNVRIGKDVIITNKDGVEEADRPEEGFYIRSGIPVIMEKAVIKDGIVI >itb09g26540.t1 pep chromosome:ASM357664v1:9:27155317:27163500:-1 gene:itb09g26540 transcript:itb09g26540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEYTTLPDSSHVIHGSHGVGSEGFGVSQTAISDEDARLIYINNPAKTNERFEFAGNSVRTRKYSILSFLPRNLFEQFHRVAYVYFLVMAILNQLPQLAVFGRGASILPLALVLFVSAVKDAYEDYRRHRSDTIENNRLAAVLSNGHFQQKKWKDIRVGDIIKISSSETIPCDMVLLSTSDTTGVAYVQTMNLDGESNLKSRYAKQETQIKFSRMENIGGLIECEKPNRNIYGFQANMEIDGKRVSLGPSNIILRGCELKNTDWAIGVAVYTGRETKAMLNSYGAPSKRSRIETRMNREIIFLSFFLVALCTVVSICAGVWLRHHKDDLDIIPFYRRKDYSKSKVENNNYYGWGLEVFFTFLMSVIVYQIMIPISLYISMELVRLGQAYFMIQDFMMFDESSNSRFQCRTLNINEDLGQVKYIFSDKTGTLTENKMEFQCASIWGVDYGCGKSSLEEDQVGYSIEVDGHVLTPKMKVKVDAQLLKISKSGKCIAERNRVHDFFIALAACNTIVPIIVDTSDPSVKLVVYQGESPDEQALVYAAASYGFMLIERTSGHIVIDVHGERQRFNVLGMHEFDGDRKKMSVILGCLDNSVKAFVKGADTTMFKAIDKSFNLEVVHATQMHLDSYSRMGLRTIVVGMRELSASEFELWQSSYESASNAMTERAALLQKVANSIESELKVLGASGVEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTIAMKQIIINKSSKDSCRRSLEDALSELNSVSGLFQLASNCTVVLCCRVAPLQKAGIVALIKKRTDGITLAIGDGANDVSMIQKADVGIGIIGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYRRMGNMILYNFYKNAVFVLVLFWYALFTAFTLTTAITDWSSMLYSSIYTSLPTIAVGILDKDLNRMTLLKYPQLYGVGQRDESYNAKLFWVTIMGTLWESVVVFFLPLLAYWESDIDGSSLGDLWTLAAVILVSIHLAIDVVQWNWIVHAAIWGSIVATVICLIVIDALPFLPGYWAIFHAAGDSAFWLCLLGVVVTALIPRFIGKVLAQHIFPSDIQIAREEEKFRHARLHQNAEIELSPSCYPP >itb09g26540.t3 pep chromosome:ASM357664v1:9:27155317:27163500:-1 gene:itb09g26540 transcript:itb09g26540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEYTTLPDSSHVIHGSHGVGSEGFGVSQTAISDEDARLIYINNPAKTNERFEFAGNSVRTRKYSILSFLPRNLFEQFHRVAYVYFLVMAILNQLPQLAVFGRGASILPLALVLFVSAVKDAYEDYRRHRSDTIENNRLAAVLSNGHFQQKKWKDIRVGDIIKISSSETIPCDMVLLSTSDTTGVAYVQTMNLDGESNLKSRYAKQETQIKFSRMENIGGLIECEKPNRNIYGFQANMEIDGKRVSLGPSNIILRGCELKNTDWAIGVAVYTGRETKAMLNSYGAPSKRSRIETRMNREIIFLSFFLVALCTVVSICAGVWLRHHKDDLDIIPFYRRKDYSKSKVENNNYYGWGLEVFFTFLMSVIVYQIMIPISLYISMELVRLGQAYFMIQDFMMFDESSNSRFQCRTLNINEDLGQVKYIFSDKTGTLTENKMEFQCASIWGVDYGCGKSSLEEDQVGYSIEVDGHVLTPKMKVKVDAQLLKISKSGKCIAERNRVHDFFIALAACNTIVPIIVDTSDPSVKLVVYQGESPDEQALVYAAASYGFMLIERTSGHIVIDVHGERQRFNVLGMHEFDGDRKKMSVILGCLDNSVKAFVKGADTTMFKAIDKSFNLEVVHATQMHLDSYSRMGLRTIVVGMRELSASEFELWQSSYESASNAMTERAALLQKVANSIESELKVLGASGVEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTIAMKQIIINKSSKDSCRRSLEDALSELNSVSGVSSVALIIDGTSLVYILDSELEEQLFQLASNCTVVLCCRVAPLQKAGIVALIKKRTDGITLAIGDGANDVSMIQKADVGIGIIGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYRRMGNMILYNFYKNAVFVLVLFWYALFTAFTLTTAITDWSSMLYSSIYTSLPTIAVGILDKDLNRMTLLKYPQLYGVGQRDESYNAKLFWVTIMGTLWESVVVFFLPLLAYWESDIDGSSLGDLWTLAAVILVSIHLAIDVVQWNWIVHAAIWGSIVATVICLIVIDALPFLPGYWAIFHAAGDSAFWLCLLGVVVTALIPRFIGKVLAQHIFPSDIQIAREEEKFRHARLHQNAEIELSPSCYPP >itb09g26540.t2 pep chromosome:ASM357664v1:9:27155317:27163500:-1 gene:itb09g26540 transcript:itb09g26540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEYTTLPDSSHVIHGSHGVGSEGFGVSQTAISDEDARLIYINNPAKTNERFEFAGNSVRTRKYSILSFLPRNLFEQFHRVAYVYFLVMAILNQLPQLAVFGRGASILPLALVLFVSAVKDAYEDYRRHRSDTIENNRLAAVLSNGHFQQKKWKDIRVGDIIKISSSETIPCDMVLLSTSDTTGVAYVQTMNLDGESNLKSRYAKQETQIKFSRMENIGGLIECEKPNRNIYGFQANMEIDGKRVSLGPSNIILRGCELKNTDWAIGVAVYTGRETKAMLNSYGAPSKRSRIETRMNREIIFLSFFLVALCTVVSICAGVWLRHHKDDLDIIPFYRRKDYSKSKVENNNYYGWGLEVFFTFLMSVIVYQIMIPISLYISMELVRLGQAYFMIQDFMMFDESSNSRFQCRTLNINEDLGQVKYIFSDKTGTLTENKMEFQCASIWGVDYGCGKSSLEEDQVGYSIEVDGHVLTPKMKVKVDAQLLKISKSGKCIAERNRVHDFFIALAACNTIVPIIVDTSDPSVKLVVYQGESPDEQALVYAAASYGFMLIERTSGHIVIDVHGERQRFNVLGMHEFDGDRKKMSVILGCLDNSVKAFVKGADTTMFKAIDKSFNLEVVHATQMHLDSYSRMGLRTIVVGMRELSASEFELWQSSYESASNAMTERAALLQKVANSIESELKVLGASGVEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTIAMKQIIINKSSKDSCRRSLEDALSELNSVSGVSSVALIIDGTSLVYILDSELEEQLFQLASNCTVVLCCRVAPLQKAGIVALIKKRTDGITLAIGDGANDVSMIQKADVGIGIIGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYRRMGNMILYNFYKNAVFVLVLFWYALFTAFTLTTAITDWSSMLYSSIYTSLPTIAVGILDKDLNRMTLLKYPQLYGVGQRDESYNAKLFWVTIMGTLWESVVVFFLPLLAYWESDIDGSSLGDLWTLAAVILVSIHLAIDVVQWNWIVHAAIWGSIVATVICLIVIDALPFLPGYWLSDGNVFLEFNVKDHFFRDS >itb12g00960.t1 pep chromosome:ASM357664v1:12:678204:684814:1 gene:itb12g00960 transcript:itb12g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGDLRHTPAATKPPGIATASGGADPRVALLNLSAVRDRVDSLHKFLYDSVNSNTLLGKHETDRVSAEITAAIHQIIVNGVALLGSTQAADGTDSKCSNLTSSSKLLCSESGLLAPKDRAGEDSPEEEGVGGEDYEIIELDAVELLAEHIHLCEICGKGFKRDANLRMHMRAHGNKFKTPEALAKPEKCSEFRSGRPALFSCPFPGCSRNKSHNKFRPLKSAICVKNHFKRSHCPKMYSCNRCNKKSFSVMADLKSHMKHCGISKWKCSCRTSFSRKDKLFSHIALFEGHMPTIETEEAKEAADMDMAAREAKEGIASKDTGMAENSQSDNSLFDRLLDDFSSIENFCFQDPLFSASPDANPINALDSDNPSGYIRVFNYTVKRSSESSSLWSDLQRMEGGSSSIATGRYRYVHDVSKGPPEAIDVHHLMLQRNASSKASILLLFFLLPCSLLLQARSIGVLLWSLPVMAVVVRMYLRRVVRESVIILPGFGVQLETQYRSGRITRRFVASSKILKPVLNECVTPVTCYWSLSLIIHGEEQLLVVFKELRPPVKTLVPIWKALCASIECGEHIHE >itb14g18580.t2 pep chromosome:ASM357664v1:14:21527859:21532556:-1 gene:itb14g18580 transcript:itb14g18580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSVPNSCLFRFAVVLCFAALGLAGDPYVFYDLTVSYLTASPLGVKQKVIGVNGQFPGPILNVTTNWNVVANVKNDLDEPLLITWNGVQHRKNSWQDGVSGTNCPIPAGWNWTYQFQVKDQIGSFFYFPSLGIQRAAGGYGGIIINNRDIIPLPFGFPDGDITLFISDWYTKSHKEMREDLENGVGLGSPDGVLFNGLGPYRYDNGLVPDGIPYSIVTVDPGKTYRLRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYTSMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSDWTKAVGVAVLHYTNSQGPVAGPLPDLPNENDKFFSMSQAKSVRWNVTASAARPNPQGSFKYGDITVTDVYVILNRPAELIDGKWHTTLNGISYLAPATPLKLAEQFDIPGVFKLDFPNRLMDRPAKVDTSVINGSYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQKMAKKMMI >itb14g18580.t3 pep chromosome:ASM357664v1:14:21527797:21532511:-1 gene:itb14g18580 transcript:itb14g18580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSVPNSCLFRFAVVLCFAALGLAGDPYVFYDLTVSYLTASPLGVKQKVIGVNGQFPGPILNVTTNWNVVANVKNDLDEPLLITWNGVQHRKNSWQDGVSGTNCPIPAGWNWTYQFQVKDQIGSFFYFPSLGIQRAAGGYGGIIINNRDIIPLPFGFPDGDITLFISDWYTKSHKEMREDLENGVGLGSPDGVLFNGLGPYRYDNGLVPDGIPYSIVTVDPGKTYRLRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYTSMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSDWTKAVGVAVLHYTNSQGPVAGPLPDLPNENDKFFSMSQAKSVRWNVTASAARPNPQGSFKYGDITVTDVYVILNRPAELIDGKWHTTLNGISYLAPATPLKLAEQFDIPGVFKLDFPNRLMDRPAKVDTSVINGSYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQVFPGAWTAILVFLDNAGIWNLRAQNLDSWYLGQEVYISVVNPEITDKTELSVPDNTIYCGALSPLQKDQSQRVNFSKGTPQFPRTVQTAVIAIVAAIIQTLMTW >itb14g18580.t6 pep chromosome:ASM357664v1:14:21527797:21532511:-1 gene:itb14g18580 transcript:itb14g18580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSVPNSCLFRFAVVLCFAALGLAGDPYVFYDLTVSYLTASPLGVKQKVIGVNGQFPGPILNVTTNWNVVANVKNDLDEPLLITWNGVQHRKNSWQDGVSGTNCPIPAGWNWTYQFQVKDQIGSFFYFPSLGIQRAAGGYGGIIINNRDIIPLPFGFPDGDITLFISDWYTKSHKEMREDLENGVGLGSPDGVLFNGLGPYRYDNGLVPDGIPYSIVTVDPGKTYRLRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYTSMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSDWTKAVGVAVLHYTNSQGPVAGPLPDLPNENDKFFSMSQAKSVRWNVTASAARPNPQGSFKYGDITVTDVYVILNRPAELIDGKWHTTLNGISYLAPATPLKLAEQFDIPGVFKLDFPNRLMDRPAKVDTSVINGSYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQMAKKMMI >itb14g18580.t5 pep chromosome:ASM357664v1:14:21527797:21532511:-1 gene:itb14g18580 transcript:itb14g18580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MREDLENGVGLGSPDGVLFNGLGPYRYDNGLVPDGIPYSIVTVDPGKTYRLRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYTSMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSDWTKAVGVAVLHYTNSQGPVAGPLPDLPNENDKFFSMSQAKSVRWNVTASAARPNPQGSFKYGDITVTDVYVILNRPAELIDGKWHTTLNGISYLAPATPLKLAEQFDIPGVFKLDFPNRLMDRPAKVDTSVINGSYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQVFPGAWTAILVFLDNAGIWNLRAQNLDSWYLGQEVYISVVNPEITDKTELSVPDNTIYCGALSPLQKDQSQRVNFSKGTPQFPRTVQTAVIAIVAAIIQTLMTW >itb14g18580.t4 pep chromosome:ASM357664v1:14:21527797:21532511:-1 gene:itb14g18580 transcript:itb14g18580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MREDLENGVGLGSPDGVLFNGLGPYRYDNGLVPDGIPYSIVTVDPGKTYRLRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYTSMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSDWTKAVGVAVLHYTNSQGPVAGPLPDLPNENDKFFSMSQAKSVRWNVTASAARPNPQGSFKYGDITVTDVYVILNRPAELIDGKWHTTLNGISYLAPATPLKLAEQFDIPGVFKLDFPNRLMDRPAKVDTSVINGSYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQVFPGAWTAILVFLDNAGIWNLRAQNLDSWYLGQEVYISVVNPEITDKTELSVPDNTIYCGALSPLQKDQSQRVNFSKGTPQFPRTVQTAVIAIVAAIIQTLMTW >itb14g18580.t1 pep chromosome:ASM357664v1:14:21527797:21532556:-1 gene:itb14g18580 transcript:itb14g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSVPNSCLFRFAVVLCFAALGLAGDPYVFYDLTVSYLTASPLGVKQKVIGVNGQFPGPILNVTTNWNVVANVKNDLDEPLLITWNGVQHRKNSWQDGVSGTNCPIPAGWNWTYQFQVKDQIGSFFYFPSLGIQRAAGGYGGIIINNRDIIPLPFGFPDGDITLFISDWYTKSHKEMREDLENGVGLGSPDGVLFNGLGPYRYDNGLVPDGIPYSIVTVDPGKTYRLRVHNVGTSTSLNFRIQNHNLLLVETEGSYTVQQNYTSMDIHVGQSYSFLVTMDQNASSDYYIVASPRFVNSSDWTKAVGVAVLHYTNSQGPVAGPLPDLPNENDKFFSMSQAKSVRWNVTASAARPNPQGSFKYGDITVTDVYVILNRPAELIDGKWHTTLNGISYLAPATPLKLAEQFDIPGVFKLDFPNRLMDRPAKVDTSVINGSYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQVFPGAWTAILVFLDNAGIWNLRAQNLDSWYLGQEVYISVVNPEITDKTELSVPDNTIYCGALSPLQKDQSQRVNFSKGTPQFPRTVQTAVIAIVAAIIQTLMTW >itb06g20050.t1 pep chromosome:ASM357664v1:6:23155802:23160035:1 gene:itb06g20050 transcript:itb06g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNEAIPEHSYQNKSLNPRITWEGCSVLLDINDGDRLVFARLTHASRLKIGNKNYSLQPLIGCPYGSLFQVESGEGGPILARVSPAIEGNNLEAESDSQTTDVSKDNRALVDNNTAQSLTGEDIDEMRRQGASGDEIVKALIANSATFEKKTQFSQEKYKLKKQKKYAPKVLLRRPYARSICEAYFKKHPDRTGFMRVDTLSLLLSMANVTAHANVLVVDKVGGLVTGAVAERLGGTGYVCNTYRGVAPYPTDIIRIFNLSDEISRRIVHASLADLCTSQTGASTSEDGVDQACNIRSQSNLSNELLQEEIPCTSSVQSVGVEGAGVSSDDGNMEITPEKVGSPVIKPCKAPKAGEKAPLEVVNSWKENGFSSLIIAAPEMDPWSIIKDILPLLSFSASFAVYHQYAQPLATCMHNLQVEKLAIGLQISEPWLREYQVLPSRTHPHMQMSAFGGYILSGTRICSNDQMHS >itb01g08260.t5 pep chromosome:ASM357664v1:1:6652450:6655105:-1 gene:itb01g08260 transcript:itb01g08260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEQIGDGNALNRPKKGVAGRDAYKKVGADEKKAAVHEEMTRLSRLPQNSTYVSHRTRVLNKILQLLSIQRTTSQDEELELLFAGLSLG >itb01g08260.t3 pep chromosome:ASM357664v1:1:6652450:6654917:-1 gene:itb01g08260 transcript:itb01g08260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEQIGDGNALNRPKKGVAGRDAYKKVGADEKKAAVHEEMTRLSRLPQNSTYVSHRTRVLNKILQLLSIQRTTSQDEELELLFAGLSLG >itb01g08260.t1 pep chromosome:ASM357664v1:1:6652450:6655105:-1 gene:itb01g08260 transcript:itb01g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEQIGDGNALNRPKKGVAGRDAYKKVGADEKKAAVHEEMTRLSRLPQNSTYVSHRTRVLNKILQLLSIQRTTSQDEELELLFAGLSLG >itb01g08260.t2 pep chromosome:ASM357664v1:1:6652450:6655105:-1 gene:itb01g08260 transcript:itb01g08260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEQIGDGNALNRPKKGVAGRDAYKKVGADEKKAAVHEEMTRLSRLPQNSTYVSHRTRVLNKILQLLSIQRTTSQDEELELLFAGLSLG >itb01g08260.t4 pep chromosome:ASM357664v1:1:6652450:6654917:-1 gene:itb01g08260 transcript:itb01g08260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEQIGDGNALNRPKKGVAGRDAYKKVGADEKKAAVHEEMTRLSRLPQNSTYVSHRTRVLNKILQLLSIQRTTSQDEELELLFAGLSLG >itb04g27890.t1 pep chromosome:ASM357664v1:4:31765453:31768293:-1 gene:itb04g27890 transcript:itb04g27890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVSRQIFLFRSLIENRRFDDGTLRVLECILASNDAKSLIGIVSALNDFMRRESLSVLREVSALRSVDDKLLIVEFHVRVFALIGDVESCLALRYEALLMREQKATSDQKLRVSCIEWLTFAQHSFQNGFYSIARKACEKALLCFDMKSNIIDTQTGDLFNNVQLIEKIEELKYYAAITASSQSVQAQAAQYLKKKAVDHSSDHPFPVIKDNDSGSAQFIKGIKRRHLRQLHHARSRLPSSACP >itb05g24850.t1 pep chromosome:ASM357664v1:5:29526017:29531657:1 gene:itb05g24850 transcript:itb05g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVTGIHQANPSTTGMAKLQSPYSSATSALFRKRRWLMVVALLVMLSVTTVIAFLIRGGIDSACDCRSGVESGKLFGANVEVSKQIAPAGVRQSPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVCWITNQRPAETDNVVYSLEHKMLDRGVQVFPARGQEAKDTALKADLVVLNTAVAGKWLDAVLKDDVPRVLPKVLWWIHEMRGHYFNLEYVKHLPFVAGAMIDSHVTAEYWKNRTAERLRIKMPKTYVVHLGNSKELMEVAEDSVAKRILREHVRESLGVRNEDILFALINSVSRGKGQDLFLHSFYESLQLIQQKKLQLPTVHAVVVGSDLTTQSKFELELRNFVQLKKIQNYVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTREIVLNGTTGLLHPVGKGGVMPLARNIVNLASHVERRLTMGKKGYGRVKEVFLEHHMEERIGGVLKDVLQRAKSAAA >itb12g08760.t2 pep chromosome:ASM357664v1:12:6866525:6868312:-1 gene:itb12g08760 transcript:itb12g08760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLATFRSSMALRGITGPLQSRSFQPDFVPRDPNAVPRRYKYPAVYDPYGPRPPPSDKIIQLVERIAGLAPEERKQIGPVLRERLKHPMMKPISVEGMDFGAAGAGAGASKTEEKKAEKTVFDVKLEKFDAAAKIKVIKEVRGFTNLGLKEAKDLVEKVPATLKQGVTKEEANDIIEKIKAAGGVAVME >itb12g08760.t1 pep chromosome:ASM357664v1:12:6866525:6868312:-1 gene:itb12g08760 transcript:itb12g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISASVYQRRRPPTASPSAASPPRPPPTTSLATPVAASPAVLPTLRQEAMKLLATFRSSMALRGITGPLQSRSFQPDFVPRDPNAVPRRYKYPAVYDPYGPRPPPSDKIIQLVERIAGLAPEERKQIGPVLRERLKHPMMKPISVEGMDFGAAGAGAGASKTEEKKAEKTVFDVKLEKFDAAAKIKVIKEVRGFTNLGLKEAKDLVEKVPATLKQGVTKEEANDIIEKIKAAGGVAVME >itb03g07040.t3 pep chromosome:ASM357664v1:3:5146005:5151986:1 gene:itb03g07040 transcript:itb03g07040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPNSETPKAASTAKKTPPAKKTPPSKKSAGKSQNTPDAEHASELTPMSNEAKRTPVTRAKQAKANETTANAAASVAAITPNLMDSKQSPAAEGSRALDGDRNACADHAALETKPVSRTKIVRRVVRKVVRKNPKSGKNASVETSKSTAAGTANMEDSLKEKEEDSKFEVSLQDETGPEKEGKHLVNLASSDDFGEPVKKDQGDVNVNKHAMMDIETAEAAGLSEKVVFASDDQQTGYNDVEISEDHETVASIVKSSYVKESVLKDVKPLEHQEEAVVKDVKSVEHDEAVVEDVESAGFTKPIGADTKSLKDHEFYNRIEGQQLNDKLEGGQNVVKDKIYVQGEVERMEEDSNEKMKGKNIYEEESDDDRIEAFREQVDHEVLSGEEFAEGDTPHNGEEADAVADERAELNAAANERRKRKELEIFVGGLDRDATEEDLRRVFQHAGEVLEVRMHKELPTNKNKGYAFVRFATKEQASRVLAEMRNPVIRGKRCGTAPCEDNDTLFLGNICNTWTKEAIRQRLREYGIESVVSITLVEDPKHEGLSRGFAFIEFSCHADAMTAYKRLQKPDAVFGHSERTAKVAFAEPLSEPDPEVMAQVKSVFVDGLPPHWDEDRVRDNFKGFGETVRIILARNMSSAKRKDFGFVDFTTHEAAVACVEGINNTELNDGNSKIRVRARLSNPLPKTQAVKGGMSGGFRIGRGNSGAYTNYERGFARGGRAFGQPNFQRGRGFYSRGHGYGGRMGFSEHEFDRPYPPFPERQNFGGERWGFRGGHPPSDVDPTFARPYFDRPQYGDRSHMDDGLRRQPYFADEAFDRPFLGRHYEDPYFYDQTAHGMKRPFFRTDPDYMEPSRHRPRLDYSDPAVSLRRNRYRDDLAADGGFSSQDYYGYGYGYGSDFRGHTYQSFYHGDSPYGRGYY >itb03g07040.t1 pep chromosome:ASM357664v1:3:5145960:5151986:1 gene:itb03g07040 transcript:itb03g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPNSETPKAASTAKKTPPAKKTPPSKKSAGKSQNTPDAEHASELTPMSNEAKRTPVTRAKQAKANETTANAAASVAAITPNLMDSKQSPAAEGSRALDGDRNACADHAALETKPVSRTKIVRRVVRKVVRKNPKSGKNASVETSKSTAAGTANMEDSLKEKEEDSKFEVSLQDETGPEKEGKHLVNLASSDDFGEPVKKDQGDVNVNKHAMMDIETAEAAGLSEKVVFASDDQQTGYNDVEISEDHETVASIVKSSYVKESVLKDVKPLEHQEEAVVKDVKSVEHDEAVVEDVESAGFTKPIGADTKSLKDHEFYNRIEGQQLNDKLEGGQNVVKDKIYVQGEVERMEEDSNEKMKGKNIYEEESDDDRIEAFREQVDHEVLSGEEFAEGDTPHNGEEADAVADERAELNAAANERRKRKELEIFVGGLDRDATEEDLRRVFQHAGEVLEVRMHKELPTNKNKGYAFVRFATKEQASRVLAEMRNPVIRGKRCGTAPCEDNDTLFLGNICNTWTKEAIRQRLREYGIESVVSITLVEDPKHEGLSRGFAFIEFSCHADAMTAYKRLQKPDAVFGHSERTAKVAFAEPLSEPDPEVMAQVKSVFVDGLPPHWDEDRVRDNFKGFGETVRIILARNMSSAKRKDFGFVDFTTHEAAVACVEGINNTELNDGNSKIRVRARLSNPLPKTQAVKGGMSGGFRIGRGNSGAYTNYERGFARGGRAFGQPNFQRGRGFYSRGHGYGGRMGFSEHEFDRPYPPFPERQNFGGERWGFRGGHPPSDVDPTFARPYFDRPQYGDRSHMDDGLRRQPYFADEAFDRPFLGRHYEDPYFYDQTAHGMKRPFFRTDPDYMEPSRHRPRLDYSDPAVSLRRNRYRDDLAADGGFSSQDYYGYGYGYGSDFRGHTYQSFYHGDSPYGRGYY >itb03g07040.t2 pep chromosome:ASM357664v1:3:5146058:5151984:1 gene:itb03g07040 transcript:itb03g07040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPNSETPKAASTAKKTPPAKKTPPSKKSAGKSQNTPDAEHASELTPMSNEAKRTPVTRAKQAKANETTANAAASVAAITPNLMDSKQSPAAEGSRALDGDRNACADHAALETKPVSRTKIVRRVVRKVVRKNPKSGKNASVETSKSTAAGTANMEDSLKEKEEDSKFEVSLQDETGPEKEGKHLVNLASSDDFGEPVKKDQGDVNVNKHAMMDIETAEAAGLSEKVVFASDDQQTGYNDVEISEDHETVASIVKSSYVKESVLKDVKPLEHQEEAVVKDVKSVEHDEAVVEDVESAGFTKPIGADTKSLKDHEFYNRIEGQQLNDKLEGGQNVVKDKIYVQGEVERMEEDSNEKMKGKNIYEEESDDDRIEAFREQVDHEVLSGEEFAEGDTPHNGEEADAVADERAELNAAANERRKRKELEIFVGGLDRDATEEDLRRVFQHAGEVLEVRMHKELPTNKNKGYAFVRFATKEQASRVLAEMRNPVIRGKRCGTAPCEDNDTLFLGNICNTWTKEAIRQRLREYGIESVVSITLVEDPKHEGLSRGFAFIEFSCHADAMTAYKRLQKPDAVFGHSERTAKVAFAEPLSEPDPEVMAQVKSVFVDGLPPHWDEDRVRDNFKGFGETVRIILARNMSSAKRKDFGFVDFTTHEAAVACVEGINNTELNDGNSKIRVRARLSNPLPKTQAVKGGMSGGFRIGRGNSGAYTNYERGFARGGRAFGQPNFQRGRGFYSRGHGYGGRMGFSEHEFDRPYPPFPERQNFGGERWGFRGGHPPSDVDPTFARPYFDRPQYGDRSHMDDGLRRQPYFADEAFDRPFLGRHYEDPYFYDQTAHGMKRPFFRTDPDYMEPSRHRPRLDYSDPAVSLRRNRYRDDLAADGGFSSQDYYGYGYGYGSDFRGHTYQSFYHGDSPYGRGYY >itb07g06800.t3 pep chromosome:ASM357664v1:7:4947355:4950946:1 gene:itb07g06800 transcript:itb07g06800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGLPSGYRPNVGICLINDDNLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAVRELREETGVVSAEVIAEVPQWLTYDFPPTVKAKVNRLWGGEWHGQAQKWCVLSLSLSLLTSKI >itb07g06800.t1 pep chromosome:ASM357664v1:7:4947355:4951278:1 gene:itb07g06800 transcript:itb07g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGLPSGYRPNVGICLINDDNLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAVRELREETGVVSAEVIAEVPQWLTYDFPPTVKAKVNRLWGGEWHGQAQKWFLMRLTKDESEINLETGAADAEFSEWKWASPEEVVEQAVDYKRPTYEEVIKTFRCYLNDNGKATKCQSTKW >itb07g06800.t2 pep chromosome:ASM357664v1:7:4947355:4951278:1 gene:itb07g06800 transcript:itb07g06800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGLPSGYRPNVGICLINDDNLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAVRELREETGVVSAEVIAEVPQWLTYDFPPTVKAKVNRLWGGEWHGQAQKWFLMRLTKDESEINLETGAADAEFSEWKWASPEEVVEQVS >itb08g02110.t2 pep chromosome:ASM357664v1:8:1693456:1700025:1 gene:itb08g02110 transcript:itb08g02110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVKLEFLKRKRLQRMKSESMNDFACSGNMMSRSGGDALRGHATCGVRIPGSLDTYPQSAAMLNGKDAFSKHKVSKFETSNLDWIDKIPECPIYYPKKEEFEDPLVYLQKIAPEASKYGICKIVSPITASVPAGVVLMKEKSGFKFTTRVQPLRLAEWDMEDKVTFFMSGRNYSFRDFEKMANKIYARKYCSAGCLPPSYLEKEFWHEIACGNTESVEYACDVDGSAFSSSPNDQLGKSRWNLKRVSWLPKSILRLLGRTIPGVTEPMLYIGMLFSMFAWHVEDHFLYSINYHHCGATKTWYGIPGQAALDFEMVVQKHVYTNDILAVNGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQNPGEFVVTFPRAYHAGFSHGFNCGEAVNFAIGDWFPVGSIACHRYALLNRIPLLPYEELLCKEAMLLSRSLELEDHEYVMEDVKSHLNTKASFVNLIRFHHFARWCLMKVKACTEICLVSHGTILCSICKRDCYLAYINCTCTSHAVCLRHDPWSLELPCGSNRTLCLREDILDMEDAAKKFEHEEVVLYEVQKLHKKSEDFYLLSNLFPKVEDKDYVPYCDLNLELPGEIESELERTVCKTLLSMESGTSVSETVPNSSDHFLSAHKKVQSSETRHIVDQDDDDESDTEIFRVKRRPRLLHRSPHDTVSFSNEHQGFKRLKKHLSDGRSGKSNTTQCSLADSRSYTSSAIPNHSKEDPHSALRDRPHKGSVIPISMKCKKLANEEPMVKHREHKKDDSMQHGSGRTVREPFPIETGPKRLKVRGPSILGRMG >itb08g02110.t1 pep chromosome:ASM357664v1:8:1693453:1700026:1 gene:itb08g02110 transcript:itb08g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKVCMSREVKLEFLKRKRLQRMKSESMNDFACSGNMMSRSGGDALRGHATCGVRIPGSLDTYPQSAAMLNGKDAFSKHKVSKFETSNLDWIDKIPECPIYYPKKEEFEDPLVYLQKIAPEASKYGICKIVSPITASVPAGVVLMKEKSGFKFTTRVQPLRLAEWDMEDKVTFFMSGRNYSFRDFEKMANKIYARKYCSAGCLPPSYLEKEFWHEIACGNTESVEYACDVDGSAFSSSPNDQLGKSRWNLKRVSWLPKSILRLLGRTIPGVTEPMLYIGMLFSMFAWHVEDHFLYSINYHHCGATKTWYGIPGQAALDFEMVVQKHVYTNDILAVNGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQNPGEFVVTFPRAYHAGFSHGFNCGEAVNFAIGDWFPVGSIACHRYALLNRIPLLPYEELLCKEAMLLSRSLELEDHEYVMEDVKSHLNTKASFVNLIRFHHFARWCLMKVKACTEICLVSHGTILCSICKRDCYLAYINCTCTSHAVCLRHDPWSLELPCGSNRTLCLREDILDMEDAAKKFEHEEVVLYEVQKLHKKSEDFYLLSNLFPKVEDKDYVPYCDLNLELPGEIESELERTVCKTLLSMESGTSVSETVPNSSDHFLSAHKKVQSSETRHIVDQDDDDESDTEIFRVKRRPRLLHRSPHDTVSFSNEHQGFKRLKKHLSDGRSGKSNTTQCSLADSRSYTSSAIPNHSKEDPHSALRDRPHKGSVIPISMKCKKLANEEPMVKHREHKKDDSMQHGSGRTVREPFPIETGPKRLKVRGPSILGRMG >itb07g13540.t1 pep chromosome:ASM357664v1:7:15822490:15823000:1 gene:itb07g13540 transcript:itb07g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSRSNGKKKNGMSLKTVVQKFQKSFVPDKRSSVDHFDEFENSKDNVANDVKEGHFAVMTVDDDEKLKRFIVPLSCLTHPSFLRLLEKAAEEYGFEHEGALMLPCRPTELERILAKQCNGGADWKCCTSQDLLWSN >itb03g13460.t1 pep chromosome:ASM357664v1:3:13594719:13599945:-1 gene:itb03g13460 transcript:itb03g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFEYDTRQSDLERLFRKYGKVDRVDMKSGFAFVYMEDERDGDDAIRGLDRLEFGRKGRRLRVEWSKEERGRRLESSKRSSGGTRPSKTLFVINFDPYHTRTRDLERHFEPYGKILNIRIRRNFAFIQYEMQEDATKALDATNLSKLMDRVITVEYAIKDDDERRSGHSPDRTRDRSPRRGYDRGRSRSPYGRERASPDYGRGRGRSPSPYRRERASPDYGRSPSGSPNHKGRDSEHARGRSPNVRKERNSGNGSAHSLSPRQGSPARDNGRANGELEGGSPDYAREHSPSPHRERQEKSSPDDYRHGGSPNLKHEVMDGPEYGGESPPERYRSGSASSRERSRS >itb03g13460.t2 pep chromosome:ASM357664v1:3:13594719:13599945:-1 gene:itb03g13460 transcript:itb03g13460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFEYDTRQSDLERLFRKYGKVDRVDMKSGFAFVYMEDERDGDDAIRGLDRLEFGRKGRRLRVEWSKEERGRRLESSKRSSGGTRPSKTLFVINFDPYHTRTRDLERHFEPYGKILNIRIRRNFAFIQYEMQEDATKALDATNLSKLMDRVITVEYAIKDDDERRSGHSPDRTRDRSPRRGYDRGRSRSPYGRERASPDYGRGRGRSPSPYRRERASPDYGRSPSGSPNHKGRDSEHARGRSPNVRKERNSGNGSAHSLSPRQGSPARDNGRANGELEGGSPDYAREHSPSPHRERQEKSSPDDYRHGGSPNLKHEVMDGPEYGGESPPERYRSGSASSRERSRS >itb03g13460.t3 pep chromosome:ASM357664v1:3:13594719:13599945:-1 gene:itb03g13460 transcript:itb03g13460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNFEYDTRQSDLERLFRKYGKVDRVDMKSGFAFVYMEDERDGDDAIRGLDRLEFGRKGRRLRVEWSKEERGRRLESSKRSSGGTRPSKTLFVINFDPYHTRTRDLERHFEPYGKILNIRIRRNFAFIQYEMQEDATKALDATNLSKLMDRVITVEYAIKDDDERRSGHSPDRTRDRSPRRGYDRGRSRSPYGRERASPDYGRGRGRSPSPYRRERASPDYGRSPSGSPNHKGRDSEHARGRSPNVRKERNSGNGSAHSLSPRQGSPARDNGRANGELEGGSPDYAREHSPSPHRERQEKSSPDDYRHGGSPNLKHEVMDGPEYGGESPPERYRSGSASSRERSRS >itb04g10010.t2 pep chromosome:ASM357664v1:4:9263929:9267396:-1 gene:itb04g10010 transcript:itb04g10010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGLGLLGLVILLMILGFAKNGEAQGKPYLINCGTNSSLIVDGNKWLGDLAPGNNVTLSSPGIEASTASINGDLDYAELYRTARFFSESLNYTVQGVAGNVFLRLHFCPFAFENYNVNESYFSVEANGLKLVSKFNVPGEILQKNSYISGSNSSFVSLVKEYFVAVETNVVVVDFIPEKGSFGFVNAIEIIPVVDKFFVDSVSKVGGNGAKVSLSLSKRGVETMYRLNVGGSTIKPRQDSEFRRMWEDDSSYMINPDAGSEARNKSNVTYASPNDTSIAPLLVYEIARTLTNTEVMENRFNMSWKLEVDPDFDYLIRLHYCELEYGKPSQRFFKVYINNKTAADNVDIFVRAGGMNKAYHEDYFDVMPSKSKSLWVQLGPDTSTGSPGTDPILNGLEIFKLSRNNNLAYIQDYGDLEGKRKSKTMILWLGIGAGIASILVLTGLAMLVICLCKKQRSEEGDTKKSSPGWRPLFLHGSIVGSNANVKGSTGNQNPNWSLGTIRTGRRFTLADIKAATNNFDESLVIGVGGFGKVYRGDIDDGILAAIKRSNPQSQQGLTEFETEIELLSKLRHRHLVSLIGFCDDQNEMILVYEYMANGTLRSHLFGSDLPPLSWKQRLEICIGAARGLHYLHTGSERGIIHRDIKTTNILLDENFVAKMADFGLSKRGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVACARAVINPSLPKDQINLAEWAMRWQRQRSLETIIDPQLKGKYSPESLVMFGEIAEKCLADEGKARPTMGEVLWHLEYVLQNHEAWLQSNDGGDSVIDVRDPETLEEKEPENSKDSCLEENN >itb04g10010.t1 pep chromosome:ASM357664v1:4:9263899:9267396:-1 gene:itb04g10010 transcript:itb04g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGLGLLGLVILLMILGFAKNGEAQGKPYLINCGTNSSLIVDGNKWLGDLAPGNNVTLSSPGIEASTASINGDLDYAELYRTARFFSESLNYTVQGVAGNVFLRLHFCPFAFENYNVNESYFSVEANGLKLVSKFNVPGEILQKNSYISGSNSSFVSLVKEYFVAVETNVVVVDFIPEKGSFGFVNAIEIIPVVDKFFVDSVSKVGGNGAKVSLSLSKRGVETMYRLNVGGSTIKPRQDSEFRRMWEDDSSYMINPDAGSEARNKSNVTYASPNDTSIAPLLVYEIARTLTNTEVMENRFNMSWKLEVDPDFDYLIRLHYCELEYGKPSQRFFKVYINNKTAADNVDIFVRAGGMNKAYHEDYFDVMPSKSKSLWVQLGPDTSTGSPGTDPILNGLEIFKLSRNNNLAYIQDYGDLEGKRKSKTMILWLGIGAGIASILVLTGLAMLVICLCKKQRSEEGDTKKSSPGWRPLFLHGSIVGSNANVKGSTGNQNPNWSLGTIRTGRRFTLADIKAATNNFDESLVIGVGGFGKVYRGDIDDGILAAIKRSNPQSQQGLTEFETEIELLSKLRHRHLVSLIGFCDDQNEMILVYEYMANGTLRSHLFGSDLPPLSWKQRLEICIGAARGLHYLHTGSERGIIHRDIKTTNILLDENFVAKMADFGLSKRGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVACARAVINPSLPKDQINLAEWAMRWQRQRSLETIIDPQLKGKYSPESLVMFGEIAEKCLADEGKARPTMGEVLWHLEYVLQNHEAWLQSNDGGDSVIDVRDPETLEEKEPENSKDSCLEENN >itb01g07390.t1 pep chromosome:ASM357664v1:1:5838840:5840270:-1 gene:itb01g07390 transcript:itb01g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKTKRVHVKELIQMCIAEGFINEDGGRPLERIARDYLLQLDNRSLIQIVTTESIFFGVDDEIKMHDLFRDIAGEVIRREMFAEIKLKHNTKLEWKQRRSLIILKGKQKVNLEKGNMKKLRTLIIHGGGVIVNSLPQMFQNMKLLRVLVLQLLPIGMEELPNEVGDLIHLRYISLFDNYAIRHIPDSLERLHNLQTLNLSRTSVKSLPKCVSQLMQLRHLFGNFNLQVADIVFTSSQLQTLSGMVINTIQARELVNLTQLTELDITFKEGEECWRAICDSINKLTNIRSLFILSQHNRFNMVWEFGNFSPPVYLEKLALYNFQKLVNFTCTLNYLRSINIQQCYVDGDFFNILEKLPSLVCLNIHSYRGKQLLCSDGSFPKLKKLEIFCEELTKWEIGKEAMKCLESLSIRKCYCLEMFPEGLRELKYLKELHLFCPSQLLVQVISVDGSDRWKVEHIPRVIIIQKVGEKPFLLS >itb03g07640.t1 pep chromosome:ASM357664v1:3:5672627:5673520:-1 gene:itb03g07640 transcript:itb03g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRCSSSCGQCSCCGGAASSAAAFSMFLSMPNSNHHSQCYKTCAIHSEDENNMYSFAAASVDCTLSLATPSTRRFNENEKRGMSNFCWDILQPSKQPPPSSVHKSAADTIVPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAAATATAGGVGIEAQHMINTSWVHHHHHHNQAQKMGYYSSAAYGFIDDDHRDSDAAAGAIPFLSWRLSNVADRSSLVHDFTR >itb11g04200.t1 pep chromosome:ASM357664v1:11:2230667:2233562:-1 gene:itb11g04200 transcript:itb11g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILDVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKSGVPPPGAGGAGGPGGATFFSTGEGPTSFRFNPRSADDIFAEFFGFSRPFGGMGGGPGMRDARFGGMFGDHMFSSLSEGGGGGGSMHQPSAHRKAPPIEQTLPCSLEELYKGTTKKMKISREIIDASGKIMPVEEILTINIKPGWKKGTKLTFTEKGNEHPGVIPADLVFIIDEKPHKVFTRDGNDLVATQKITLVEALTGCTVHLTTLDGRNLTIPINSMIHPNYEEVVPREGMPIPKDPSKKGNLRIKFDIKFPTRLTASQKAGIKELLGSSV >itb03g20180.t1 pep chromosome:ASM357664v1:3:18088426:18098345:1 gene:itb03g20180 transcript:itb03g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVRKSTTESGREDICSAKPMKQGEGLKQYYMQHIHDLQLQVRQKSHNLNRLEAQRNELNSKVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRWDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWNYGCDLALASYDVWAGMNITFLSQLFSTSPQKILDYNPTLNFTSPDMILVGSRINMPFRCDCLENGEFLGHVFPFSVAPGDTYDMIAKVYFSNLTTVDWLKRFNSIYPLTSNWDRGATLNVTVNCSCRNPDVSNIELEEKEMVDMGTLPDGQEVAIKRLAKSSGQGLLEFKNEILLIARLQHTNLVRLLGCCIEGNEKILIYEYMPNKSLDSFLFDPDRKELLRWGNRLNIVEGIAQGLLYLHKYSRLTIIHRDLKSSNILLDENMNPKISDFGMARIFGRQESEANTKRIVGTYGYMSPEYALRGIVSTKIDVFSFGVLLLEIVSGKKNNSSYRLEHPLNLIGLAWELWREGRGVELMDPALSGSCPEAEVMRCIQVGLLCVQDDAKYRPSMSTVVSMFANESAELPLPKQPAFFIADEGAQQSESQEKDERLSLSTNEITVSEMLAR >itb06g18640.t1 pep chromosome:ASM357664v1:6:22267801:22268484:1 gene:itb06g18640 transcript:itb06g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AS2 [Source:Projected from Arabidopsis thaliana (AT1G65620) UniProtKB/TrEMBL;Acc:A0A178WIA0] MASSSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFASVHKVFGASNVTKLLNELQPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQSHLGVGSTTHNLIAAAAAAAAAHHHHHHQQQNNLGFNLMGSAASGGGSGGAREHHQHQFFPRDQQQPYLINNYEPSSLLAMNMNVSASIGPLSQFQPPRAAGADGRRTPVEPS >itb06g19400.t2 pep chromosome:ASM357664v1:6:22760306:22763328:1 gene:itb06g19400 transcript:itb06g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLVKLMSTIIFVLVKPFSLIKQSVSFGVRSLCIVLLTWMELLRNAICLHVSILWKLTTWIISIPFLPMRVLNALQNERLLKIHLEEMQREFENLVWDKKGVEKQLRVAIKEHKLMGLMLRELEDEHDEAIIKIEQLEDEGLKEEIQQLKETRGKARWSPEHVDTSNLHNQATKTKESAANLSRRPNRAKTARTCQEIARADAWEDESKPTIAPKDMTKPLKPADLDTTTYIQCQRRETALSRSVFSAVLSVVVGTTVWTAPDPCVPLVAALFTVVAISLRSVVQFFASINNHPATEAVALLSFNWFILGTLTYPTLPKVAHMLSPLAHNFSGRTMRFLLGLFA >itb06g19400.t1 pep chromosome:ASM357664v1:6:22760306:22763328:1 gene:itb06g19400 transcript:itb06g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLVKLMSTIIFVLVKPFSLIKQSVSFGVRSLCIVLLTWMELLRNAICLHVSILWKLTTWIISIPFLPMRVLNALQNERLLKIHLEEMQREFENLVWDKKGVEKQLRVAIKEHKLMGLMLRELEDEHDEAIIKIEQLEDELQGLKEEIQQLKETRGKARWSPEHVDTSNLHNQATKTKESAANLSRRPNRAKTARTCQEIARADAWEDESKPTIAPKDMTKPLKPADLDTTTYIQCQRRETALSRSVFSAVLSVVVGTTVWTAPDPCVPLVAALFTVVAISLRSVVQFFASINNHPATEAVALLSFNWFILGTLTYPTLPKVAHMLSPLAHNFSGRTMRFLLGLFA >itb08g02760.t1 pep chromosome:ASM357664v1:8:2352158:2353483:1 gene:itb08g02760 transcript:itb08g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKDRGLHYPYSYDYPPPPTTAYPPPHGYPPPATVYPPSGYPPPSSAYTQPYSSTYHSSGGYPAHHPSGIYGSSSLAGPMVAAAAGYGAGRYHSGHGGGGRYGYGGGGYGYSSGGFGHYGHGKFKRGKFGKHGKFGKHGMFGGKFKKWK >itb09g18700.t1 pep chromosome:ASM357664v1:9:14664256:14666143:1 gene:itb09g18700 transcript:itb09g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGKAKKWRLKREWSQRHLLPSLPIALVSGIKAGNQSEVAGAPPTAKPTGIESCKQVVCENAKVTNMIGSKGFAKLKEQLETEEGDTATIRSRGVHAAATVDLLNLPEIPAPSLLPCVAEIRGTKLSRSCLTRRKGPFVLPSECSSPGKGRTGDAIVEIAAAAPFVEGETTHAGERGLLFFLACGADQFDETDPKQKTETWRFFNWYYFCMGTSMLVAVTTVVYIQDNIGWGWGFGVPTIAMAFSIFTFIFGYPLAFVSESGPRQQPLHVPCASVRRRLQEEEGAHSFQSRLALSKPRD >itb07g21620.t1 pep chromosome:ASM357664v1:7:26066466:26069896:1 gene:itb07g21620 transcript:itb07g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MLSRSCFNLLNLEDYNGGDRARLPKVVTVPGIISDFSGSSDEEEGRVGENNGKARRIIVANYLPVKGFRDEETQNWGFEWDKYALDALILQMRDGLPADVEVIYVGSLRGVEVEIDPGEQEEVAQLLLEKFRCVPTFLSLDLRNKYYHGFCKHYLWPLFHYLLPLTPSHGVRFDRAMWQAYVAANKVFADKVMEVINPDEDYVWIQDYHLMVMPTILRKRYPRIKLGFFLHNTFPSSEIYRTLPVREEILKALLNCDLIGFQTFDYARHFLACCSRMLGLDYQSKRGYIGIDYFGRTVSIKIQPVGIHMRQLNSVMSQEETAKKANELKEKYGGKVVMLGIDDMDVFKGISLKFLAMGELLEMNPSLRGKVVLIQIMNPPRSRGSDIQEVQNEINKTAREVNRKYSSPGYEPIVCIDGPVSTQEKIAHYAISECVVVNAVRDGLNLVPYEYTVCRQGSPDLDKALGNEGLGIARKSVIIVSEFIGCSPSLSGAIRVNPWNIESVAEAMNLAVEMPDSEKEMRHEKHYKYVSSHDVAYWARSFDQDLQRACADHFQKRCWGIGLGFGFRVVALGPNFRKLSVEHIVSAYNRTNSRLILLDYDGTMMPQDKVDKTPSEEVISVLNCLCSDPKNVVFIVSGRGRDSLAKWFSPCPNLGLSAEHGYFTRWTKDSEWESRAVAADLNWKSVVLPVMEHYTEATDGSSIEQKETAIVWHHQEADPDFGTWQAKELLDHLENVLANEPVVVKRGQHIVEVKPQDISKGLVVKSIMATMQAKGKPSDFVLCIGDDRSDEDMFESIASSVANHSLPEMAEVFACTVGQKPSMAKYYLDDTVEVLKMLHGLSAAATSQPSPKPSPREVSFEGSL >itb02g23210.t1 pep chromosome:ASM357664v1:2:22997397:22998608:-1 gene:itb02g23210 transcript:itb02g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIIFFSITLLFSSVAAINITTVLDQYPSFSTFNSYLSQTHLAEEINARQPPFTILVVDNSNIAPLSSMSPDMLKKTMSVHVILNYFDANKLHNLQGKSELATTLYQSTGNAVGNQGFLNITDFSDQDVGFLSLANGPALRSTMVKPVETRPYDLSVLQISNVIIPFDMANPGNSSTSPSSSPVQTSSPTKSPVSSPAKSPASNPAKSPVSPVSSPAKAPVSSPAKAPVAPVSSPAKSPAKSPASSPAKSPVSSPAASSPAKSPVSSPARTPVSSPAKSPSASPASAPGASPSNSPGASSISSPAQSPSNAPGASSISSPEQGPSSDPDASSISSPAQSPRKMLSPLSSPSPSETDTKPSTPESSSSTEESTDSSFGTALGVSAGVIAATLSSNLFLMLMA >itb02g12950.t1 pep chromosome:ASM357664v1:2:8956378:8959419:-1 gene:itb02g12950 transcript:itb02g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLGVGRQAGALLSVTPRTRFRSHAALGFGFLPLHSPIPTIHYLPESRFSVRATSGTTVGAVAPKKENEDQSSKNWKIKMLYDGECPLCMREVDMLRERNTSYGTIKFVDISSDEYLPEDNQGLDYKTVMGRIHAILSDGTVVTDIEAFRKLYEAVDLGWVYAITKYEPVATIADAVYGVWAKYRLQITGRPPLEEVLEARRKKEELCKDSKSCKM >itb12g01710.t1 pep chromosome:ASM357664v1:12:1137041:1142426:-1 gene:itb12g01710 transcript:itb12g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLFGSRRLHSSALLDPHRKNAGRRSLIRATCNTRSSILHRQRSPSYTRIKGSSWDGNGPRNRIGGAYLISGFINLRCGLSNHISCRCTPRHCFSALIPCEEREREAMARGMRKKN >itb01g28370.t1 pep chromosome:ASM357664v1:1:32832692:32839244:-1 gene:itb01g28370 transcript:itb01g28370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVVEKRNEQQFLLASMSQLSHSPSVSTSSPVLARFRIGCGVAELVLSGESEPNKAVAVFDLRSSKLFKLSPVQSLCISQTSESNTEQTCSRGITIQYKTEEESRAFHSAFEEWKTPLALQDLPNGTVSTPKSKFEEKVEASSAKMYFDYYGQLLHQQNMMQDFVRTGTYYAAVIENRNDFHGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLVAGNPLLAERITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTEGRIHMAPFSDEYLYLEMANKAVFWQQENYFGVNLSPLQGSAYVGYFAQPVVDAFDPRLLVAPAISHSIDFASIKEEELYEIDIPLRFISSVSTRIHGLACWFDVLFNGSTVPRWLTTAPGAPTTHWYQLRCVLAQPLYVMPGQEITGRIHLVAHEAQSYTIYLTLSAVVGEMLHTSFGKLDLKSPYYRMSQSQAYPVTQDQQPDQPLQTQDT >itb01g28370.t2 pep chromosome:ASM357664v1:1:32832692:32839244:-1 gene:itb01g28370 transcript:itb01g28370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVVEKRNEQQFLLASMSQLSHSPSVSTSSPVLARFRIGCGVAELVLSGESEPNKAVAVFDLRSSKLFKLSPVQSLCISQTSESNTEQTCSRGITIQYKTEEESRAFHSAFEEWKTPLALQGTDLPNGTVSTPKSKFEEKVEASSAKMYFDYYGQLLHQQNMMQDFVRTGTYYAAVIENRNDFHGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLVAGNPLLAERITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTEGRIHMAPFSDEYLYLEMANKAVFWQQENYFGVNLSPLQGSAYVGYFAQPVVDAFDPRLLVAPAISHSIDFASIKEEELYEIDIPLRFISSVSTRIHGLACWFDVLFNGSTVPRWLTTAPGAPTTHWYQLRCVLAQPLYVMPGQEITGRIHLVAHEAQSYTIYLTLSAVVGEMLHTSFGKLDLKSPYYRMSQSQAYPVTQDQQPDQPLQTQDT >itb03g06710.t1 pep chromosome:ASM357664v1:3:4865501:4868866:-1 gene:itb03g06710 transcript:itb03g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWELLGEMANVAQLTGIDAARLIGMIVKAASTAKMHKKNCRQFALHLKLIGNLLEQLKISDLKMYPETREPLEQFEDALRRSYVLVNSCQDRSYLYLLAMGWSIVYQFRKAQNEIDRYLKIIPLITLVDNTRVRERLEVIEMDQREYTLDDEDRKVQEVILKPDPSLDDAVVLKKTLSCSYPSMPINEALRKENEKLHLELQLSQANLDVHQCEFIQHILDVTEVVAASSLSETSSPAKPPNKAERDSSAAYIDQDHYNQNYKKSNEKRNNSSFSSKHDLPSSKGSHQYEQWHSDLLGCCSEPLLCIKTMFFPCGTLSRIATVAYNRHISSAEAFNEIMAYSLVLSCCCYTCCIRRKLRKKLSIVGGFIDDFLSHAMCCCCALVQEWREVEIRGIDGTQKTKTSPPPSQFMDSSMVQ >itb03g06710.t3 pep chromosome:ASM357664v1:3:4865408:4868784:-1 gene:itb03g06710 transcript:itb03g06710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASWELLGEMANVAQLTGIDAARLIGMIVKAASTAKMHKKNCRQFALHLKLIGNLLEQLKISDLKMYPETREPLEQFEDALRRSYVLVNSCQDRSYLYLLAMGWSIVYQFRKAQNEIDRYLKIIPLITLVDNTRVRERLEVIEMDQREYTLDDEDRKVQEVILKPDPSLDDAVVLKKTLSCSYPSMPINEALRKENEKLHLELQLSQANLDVHQCEFIQHILDVTEVVAASSLSETSSPAKPPNKAERDSSAAYIDQDHYNQNYKKSNEKRNNSSFSSKHDLPSSKGSHQYEQWHSDLLGCCSEPLLCIKTMFFPCGTLSRIATVAYNRHISSAEAFNEIMAYSLVLSCCCYTCCIRRKLRKKLSIVGGFIDDFLSHAMCCCCALVQEWREVEIRGIDGNALKPN >itb03g06710.t2 pep chromosome:ASM357664v1:3:4865408:4868784:-1 gene:itb03g06710 transcript:itb03g06710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWELLGEMANVAQLTGIDAARLIGMIVKAASTAKMHKKNCRQFALHLKLIGNLLEQLKISDLKMYPETREPLEQFEDALRRSYVLVNSCQDRSYLYLLAMGWSIVYQFRKAQNEIDRYLKIIPLITLVDNTRVRERLEVIEMDQREYTLDDEDRKVQEVILKPDPSLDDAVVLKKTLSCSYPSMPINEALRKENEKLHLELQLSQANLDVHQCEFIQHILDVTEVVAASSLSETSSPAKPPNKAERDSSAAYIDQDHYNQNYKKSNEKRNNSSFSSKHDLPSSKGSHQYEQWHSDLLGCCSEPLLCIKTMFFPCGTLSRIATVAYNRHISSAEAFNEIMAYSLVLSCCCYTCCIRRKLRKKLSIVGGFIDDFLSHAMCCCCALVQEWREVEIRGIDGTQKTKTSPPPSQFMDSSMVQ >itb11g06930.t1 pep chromosome:ASM357664v1:11:4187627:4190182:-1 gene:itb11g06930 transcript:itb11g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENVTGADIFALPEICIANTLSLTTPKDACRLSLVASNFRSAAQFEAVWERFLPPDYRDLISRAANTADLLAFTSKKDLYFHLCDHPIIIDCGTKSFSLDKASAKKCCMLAARSLDIAWGNDPNYWRWISFPGSRFSEVNELLQVWWFEIRGRISTKMLSPDTNYAAYLVFSARQNIYGFESQPVDALFRIIGQDAVTRMVYLDPKRALVRPRTKLGPWELDPREAERSWRMGQLGRQTGQNANPPPENHGENPKGRGDRWMEVELGEIFIRGSEEEEWVEISLMEVHGGQPKGGIIVQGIEIRPK >itb01g09870.t1 pep chromosome:ASM357664v1:1:8168641:8171163:1 gene:itb01g09870 transcript:itb01g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDGKSILLIVVVVLISAAAAVVESAIGVNWGTIAVHKLPPSTVVDLLRENNIQKVKLFDADPAVLSALMGSGIEVMVGIPNDMLAAVGSSPSAADLWVAHNLTRYMVNGGVNIKYVAVGNEPFLASYSGQFQSYVVPAVTNVQQSLTKANLGGAVKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVSLLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGTAHPVVDGPNVYYNAFDGNLDTLVAALSKLGYSQMPIVIGEVGWPTDGATSANLTAARAFNQGLIYHVLGNKGTPLRPGMPPIDVYLFSLFDEGGKSILPGDFERHWGIFSFDGQLKYPLDLGNGQLKNARGVPYLPYRWCILNPNKDLSAVSNHIRLACSLADCTRLNYGGSCNGIGEKANISYAFNSYYQLQKQNPQSCDFDGLGLVTFLDPSVGECRFLVGVTDNNNSSGFSLQTKWPLTLIIIISWGVWLFLST >itb14g12680.t1 pep chromosome:ASM357664v1:14:14412435:14417607:1 gene:itb14g12680 transcript:itb14g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWNLQMEFQYTDTTLPYDSIGSFMDFFEGMAYDHLNYIFADVPYAQENSSVNTNLYKFGFSEPESFSYYDYSPGYSVTGQVCGNDGQDCHIGNSQTLADNDVVAANMHREDNSISTSHANSVECSRVYQNTCDNEVVWQDNIDPDNMTYEELLELGEAVGTQSRGLSQDLISLLPVTKFKCGLFSRKRSRKERCVICQMEYKRGDRQITLPCKHVYHTSCGSKWLSINKACPVCYTEVVVVDASKR >itb14g12680.t2 pep chromosome:ASM357664v1:14:14412435:14417607:1 gene:itb14g12680 transcript:itb14g12680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWNLQMEFQYTDTTLPYDSIGSFMDFFEGMAYDHLNYIFADVPYAQENSSVNTNLYKFGFSEPESFSYYDYSPGYSVTGQVCGNDGQDCHIGNSQTLADNDVVAANMHREDNSISTSHANSVECSRVYQNTCDNEVVWQDNIDPDNMTYEELLELGEAVGTQSRGLSQDLISLLPVTKFKCGLFSRKRSRKERCVICQMEYKRGDRQITLPCKHVYHTSCGSKWLSINKACPVCYTEVVVVDASKR >itb15g09170.t1 pep chromosome:ASM357664v1:15:6549621:6553303:1 gene:itb15g09170 transcript:itb15g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITANPSSVATRRRTAVEKRQPAALDIAESNLAAAAAAAAVASPSTGNDKHAAGDAAIKDLSQHSIRTETRDPIQAVRKPLPNSNGASPSSRRAGTTKKSSKPEKPRWLTVVSIFTKNLCLLLIIVGLIQMVRRIFVDSGSRNTDGLTVISDDFEGKVAELENFLKKTTKMMQVQVDVIDKKIESEIKTVRNEFSEKLEEKGAELDAKFKELDGRSENLKKLVIEMETKNWVSKEEFDKFLDEFKEKKGVEISDVSLDEVRAYARDIVEREIEKHAADGLGRVDFALASGGAMVVKHSEPFVAKGGSSTWISLTNRYRVHSDAVKMLTPSFGEPGQCFPLKGNSGFVQIRLRTAIIPEAVTLEHVAKSVAYDRSSAPKDCRVSGWLQRQDMTDAAVDGEKMFLLTEFTYDLEKTSAQTFNVLESAASSVVDTIRLDFGSNHGNPLHTCIYRLRVHGSEPNSVSMMPMES >itb08g00700.t2 pep chromosome:ASM357664v1:8:537588:540947:1 gene:itb08g00700 transcript:itb08g00700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEGLSIGGVTVVGSDAPSDYHVAPRTTENPTQVAGSKPPEVPAAGGETAGATLMKKKRGRPRKYGPDGSFTVALSPKPISTAAPSPVIDFSAEKRGKVRPAAGGSASKPHQPKVGLDTSGDWESCSVGANFTPHIITVNSGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSETGGMRNRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFIAGNQHEQKAKKHKPETVTAAIPISSAEMEDPYHASPKPIMSSAPSFRADNWSALAPADSRNKPTDINVTLPA >itb08g00700.t1 pep chromosome:ASM357664v1:8:537340:540940:1 gene:itb08g00700 transcript:itb08g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEGLSIGGVTVVGSDAPSDYHVAPRTTENPTQVAGSKPPEVPAAGGETAGATLMKKKRGRPRKYGPDGSFTVALSPKPISTAAPSPVIDFSAEKRGKVRPAAGGSASKPHQPKVGLDTSGDWESCSVGANFTPHIITVNSGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSETGGMRNRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFIAGNQHEQKAKKHKPETVTAAIPISSAEMEDPYHASPKPIMSSAPSFRADNWSALAPADSRNKPTDINVTLPA >itb11g15770.t1 pep chromosome:ASM357664v1:11:13580784:13581684:1 gene:itb11g15770 transcript:itb11g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQTIKSINWAVLLNQSSRKWALPDSATQCHLSLSSKPSKTRNGKTSTSLKITDSVKGKLILGAKLLGAGGVQKVFKKNFGVREGEKLLKVSQCYLSTTAGPLAGLLFVSTEKVAFLSERSIRVPSSSGKSKRMHYKVLIPIAKIKTANESKNLKNPSEKYVQVVTEDHFEFWFMWFQQHQRTLKYLQDAISQSAQYP >itb07g01070.t1 pep chromosome:ASM357664v1:7:673171:674946:1 gene:itb07g01070 transcript:itb07g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDDTGSCNDYSDDGMDVEELEIKRRICRDKMKLKQLKEKKKSKEGDEAKQRQSEEQARRKKMSMAQNGVLKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLREWWKDKAKFDLNGPAAIAKYQADHAIPGRDENEANPVGATPHTLQALQDATLGSMLSALMQHCDPPQRRFPLEKGVPPPWWPNGNEEWWPQLGLHRDQATPPYKKPHDLKKAWKIGVLIAIIKHISPDISKIRMLVMQSKCLQDKMTAKESATWLAIVNQEEALARELDPDRCPPLPPTVGAGSGMLSVNDSHKYDVEGVDNDPELDVQVQKPNNIDLLSTGVEIFNNGLTDSIQDDVITGLDFSRKRKPVDELPILLDHRIYTCEFVQCPYKELHLGFEDRLARDNHQLTCPYRNSAQFGVSNSHMYEVKPVAFTQQFVQPKAAVAAPPVNPTPPSFNLSGPGVPQDGQRMISDLMSFYDTNIPGNAISNTRNVGPAKDQPLQQIQQPNIQCQQGGFLQDHEEIIFPESNISSTLPMFTSGDQFDQPNVVNSPFNSPFNVVSSTETLPFMFGSPFNLPFSDDYSEDIPGLPPLPKTHIHSWY >itb04g00550.t1 pep chromosome:ASM357664v1:4:300373:302539:1 gene:itb04g00550 transcript:itb04g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAASTSMAATAVFSPRFAVYSAPNRRCALPYPPLPRVSVPVFSAPLKLKEPKRSSLLQVKASSSEDTSIDANELFTDLKEKWDALENKSTVLLYGGGAIVAVWLASTLVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSRRKELAEDIEVLKKKISGFE >itb15g22250.t1 pep chromosome:ASM357664v1:15:24991801:25000233:-1 gene:itb15g22250 transcript:itb15g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSTPVAMEIGSDGIAVITLSNPPVNALTLSVIAELKQCYQEAMERDDVKGVVLTGTGGKFCGGLDIDIMRKIHKTGDISYLPDSSVDLIMNTIENAKKPTVAAIHGFALGGGLELAMGCSARIATPRSELALPELKFGIIPGCGGTQRLPRLVGVSKAVELLMTTNSITSDEGKELGLIDAVVSSEELLVASRLWALDIVEGRKSRINTLQKTDKLEPVHKSSEILKTARQQAMKTHPKFPHYIACLDVIEEGIISGGLSGVLKEREVSRELVLSKPCRGLLHVYFAERATSKVPGVTNSHLKPQKVEKVAVIGGGLMGTGIATALIVSNIHVILKEVNYESVQKAMKSIEVNLNGLVTRGELQLDQVKKTLSLLKGVTDYEDFKNVDMVIEAVYEDRELKQSVFEEIEKICPPHCILASNTSTIDLNEIGARTNSQDRILGTHLFSPAHVMPLLEIVRSETTANQVILDVLKFSKVLKKIPIVVKNCTGFAVNRTFFPYMQGADLLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYGIFLAGVGQFVAAFPDRTFQSPLVQLMIENGRTGKKDGKGFYLYVKGKKPEPDTSVLQIVEESRRFTKVMPGGKPISVTDQDILEMMFFPVINEACRVIEEGIVVRASDLDIASVHGMKFPSETGGIMFWADSIGPKYIYSRLKSWYEAYGNFFKPSHLLEDRAAKGIPLGGNLE >itb03g01620.t4 pep chromosome:ASM357664v1:3:903714:909555:-1 gene:itb03g01620 transcript:itb03g01620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNKHIEMNGVCSEPKGGTLKPSRGALACMVNSEIGAVLAVMRRNVRWGVHYAGNDDQLEHSLIQSFKELRKNIFSWQHQWNTIDPIAYLQPFLDVIHSDETGAPITGVALSSIYKFLSLEILDSTTKNVDKALHRIVDAVTSCRFEVTDSASEEVALMKILQVLLACMKSKASVYLGDHHVCNIVNTCFRLVHQASAKSELLQRIARHTMHELVRCIFSHLPDIESKEDGLAQGSRSHGTLEVGSMIEGQTSGDGQSDSGSVNAESNHKAPSDEGSVNAAPSNASSDPLESKDEKVGQSKEIANGEGLPMMDPYGIPCMVEILHFLCSLLKVMDHMEIGPRSNPIAYDEDVPLFALGLINSAIELGGASFGSHPKLLALIQEELFQTLMRFGLSMSPLILSTVTSIVLNLYHHLRAKLKLQLETFFSGVLLRIAENKHGASYQQQEVALEALVDFCRQHVFMTEMYVNFDCDMSCSDIFEDLANLLSKSAFPVNSPLSAMNTLALDGLIAMIEGMGERIGHGSVSEPASSDSEEYKPFWEEVCQDFNDPTHWVPFVQKMKQIKRKLLIGADHFNQDPKKGLEFVQGMHLLPATLDAVSVASFFRYAPGLDKNLVGDFLGSHDEFCIAVLHEFSRTFDFRDMNLDTALRVFLETFRLPGESQKIQRVLEAFAERYYEQSQDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEDDFIRNNRRINGGNDLPREFLSELYHSIRENEIRMMPDASAGASAMMPSYWIGLVHRSNQTAPFIACDMKPYLDRHLFAILSGPTIAAISVVFDNVEQEDVLKTCVDGFLAIAKISATYNFDEVLDDLVVSLCKFTNLLIPSYFEDFILTYGDDSKARMATTAVFTIANKYGDHIHLGWKNILDCILSLQRLGLLPSRLLRDATDDPESSADVENRSPAVSPSESHVPLLASSTRKSSGLMGRFSQFLYLDIEEPAPQPSEEQIAASQLTLQMIQSCHIDNIFADSKFLQADSLLQLVQALVSAAGKPCKGKQRLEEEGTAVFCLELLIAIALNNRDRIMLLWQRVYDHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLMSLQLVLKLDARVADAYLEQITQEVKHLVKANAAQIRSHTGWRTIISLLSITARHPEASEAGYETLSFIMADGAHLLPANYVLCINAARQFAEYRVGDIDQSVKSLDLMAGSLVCLVRWSQKTKEALVEEAALKMSQDIWEMWLRLVQGLRKVCLDSREAVRNHAILALQRCLTEVDGIHIPNDLWLLCFNQVIFTLLDDLLEITHQNSMKDYSIEGAIVLSLKLMSKVFLQRLQDLFQLPSFSALWLRVLDCTERCMKVKLRGKRSGKIPELVPELLKNTLLVMKTSGILSPGDLTEGDNFWHLTWLHVKNIAPSLQPEIFSPIELEQIQKQQHIQAGIRPLPDASILVASSETTA >itb03g01620.t3 pep chromosome:ASM357664v1:3:903714:909547:-1 gene:itb03g01620 transcript:itb03g01620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGQTSGDGQSDSGSVNAESNHKAPSDEGSVNAAPSNASSDPLESKDEKVGQSKEIANGEGLPMMDPYGIPCMVEILHFLCSLLKVMDHMEIGPRSNPIAYDEDVPLFALGLINSAIELGGASFGSHPKLLALIQEELFQTLMRFGLSMSPLILSTVTSIVLNLYHHLRAKLKLQLETFFSGVLLRIAENKHGASYQQQEVALEALVDFCRQHVFMTEMYVNFDCDMSCSDIFEDLANLLSKSAFPVNSPLSAMNTLALDGLIAMIEGMGERIGHGSVSEPASSDSEEYKPFWEEVCQDFNDPTHWVPFVQKMKQIKRKLLIGADHFNQDPKKGLEFVQGMHLLPATLDAVSVASFFRYAPGLDKNLVGDFLGSHDEFCIAVLHEFSRTFDFRDMNLDTALRVFLETFRLPGESQKIQRVLEAFAERYYEQSQDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEDDFIRNNRRINGGNDLPREFLSELYHSIRENEIRMMPDASAGASAMMPSYWIGLVHRSNQTAPFIACDMKPYLDRHLFAILSGPTIAAISVVFDNVEQEDVLKTCVDGFLAIAKISATYNFDEVLDDLVVSLCKFTNLLIPSYFEDFILTYGDDSKARMATTAVFTIANKYGDHIHLGWKNILDCILSLQRLGLLPSRLLRDATDDPESSADVENRSPAVSPSESHVPLLASSTRKSSGLMGRFSQFLYLDIEEPAPQPSEEQIAASQLTLQMIQSCHIDNIFADSKFLQADSLLQLVQALVSAAGKPCKGKQRLEEEGTAVFCLELLIAIALNNRDRIMLLWQRVYDHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLMSLQLVLKLDARVADAYLEQITQEVKHLVKANAAQIRSHTGWRTIISLLSITARHPEASEAGYETLSFIMADGAHLLPANYVLCINAARQFAEYRVGDIDQSVKSLDLMAGSLVCLVRWSQKTKEALVEEAALKMSQDIWEMWLRLVQGLRKVCLDSREAVRNHAILALQRCLTEVDGIHIPNDLWLLCFNQVIFTLLDDLLEITHQNSMKDYSIEGAIVLSLKLMSKVFLQRLQDLFQLPSFSALWLRVLDCTERCMKVKLRGKRSGKIPELVPELLKNTLLVMKTSGILSPGDLTEGDNFWHLTWLHVKNIAPSLQPEIFSPIELEQIQKQQHIQAGIRPLPDASILVASSETTA >itb03g01620.t1 pep chromosome:ASM357664v1:3:903349:909555:-1 gene:itb03g01620 transcript:itb03g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNKHIEMNGVCSEPKGGTLKPSRGALACMVNSEIGAVLAVMRRNVRWGVHYAGNDDQLEHSLIQSFKELRKNIFSWQHQWNTIDPIAYLQPFLDVIHSDETGAPITGVALSSIYKFLSLEILDSTTKNVDKALHRIVDAVTSCRFEVTDSASEEVALMKILQVLLACMKSKASVYLGDHHVCNIVNTCFRLVHQASAKSELLQRIARHTMHELVRCIFSHLPDIESKEDGLAQGSRSHGTLEVGSMIEGQTSGDGQSDSGSVNAESNHKAPSDEGSVNAAPSNASSDPLESKDEKVGQSKEIANGEGLPMMDPYGIPCMVEILHFLCSLLKVMDHMEIGPRSNPIAYDEDVPLFALGLINSAIELGGASFGSHPKLLALIQEELFQTLMRFGLSMSPLILSTVTSIVLNLYHHLRAKLKLQLETFFSGVLLRIAENKHGASYQQQEVALEALVDFCRQHVFMTEMYVNFDCDMSCSDIFEDLANLLSKSAFPVNSPLSAMNTLALDGLIAMIEGMGERIGHGSVSEPASSDSEEYKPFWEEVCQDFNDPTHWVPFVQKMKQIKRKLLIGADHFNQDPKKGLEFVQGMHLLPATLDAVSVASFFRYAPGLDKNLVGDFLGSHDEFCIAVLHEFSRTFDFRDMNLDTALRVFLETFRLPGESQKIQRVLEAFAERYYEQSQDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEDDFIRNNRRINGGNDLPREFLSELYHSIRENEIRMMPDASAGASAMMPSYWIGLVHRSNQTAPFIACDMKPYLDRHLFAILSGPTIAAISVVFDNVEQEDVLKTCVDGFLAIAKISATYNFDEVLDDLVVSLCKFTNLLIPSYFEDFILTYGDDSKARMATTAVFTIANKYGDHIHLGWKNILDCILSLQRLGLLPSRLLRDATDDPESSADVENRSPAVSPSESHVPLLASSTRKSSGLMGRFSQFLYLDIEEPAPQPSEEQIAASQLTLQMIQSCHIDNIFADSKFLQADSLLQLVQALVSAAGKPCKGKQRLEEEGTAVFCLELLIAIALNNRDRIMLLWQRVYDHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLMSLQLVLKLDARVADAYLEQITQEVKHLVKANAAQIRSHTGWRTIISLLSITARHPEASEAGYETLSFIMADGAHLLPANYVLCINAARQFAEYRVGDIDQSVKSLDLMAGSLVCLVRWSQKTKEALVEEAALKMSQDIWEMWLRLVQGLRKVCLDSREAVRNHAILALQRCLTEVDGIHIPNDLWLLCFNQVIFTLLDDLLEITHQNSMKDYSIEGAIVLSLKLMSKVFLQRLQDLFQLPSFSALWLRVLDCTERCMKVKLRGKRSGKIPELVPELLKNTLLVMKTSGILSPGDLTEGDNFWHLTWLHVKNIAPSLQPEIFSPIELEQIQKQQHIQAGIRPLPDASILVASSETTA >itb03g01620.t2 pep chromosome:ASM357664v1:3:903349:909547:-1 gene:itb03g01620 transcript:itb03g01620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGQTSGDGQSDSGSVNAESNHKAPSDEGSVNAAPSNASSDPLESKDEKVGQSKEIANGEGLPMMDPYGIPCMVEILHFLCSLLKVMDHMEIGPRSNPIAYDEDVPLFALGLINSAIELGGASFGSHPKLLALIQEELFQTLMRFGLSMSPLILSTVTSIVLNLYHHLRAKLKLQLETFFSGVLLRIAENKHGASYQQQEVALEALVDFCRQHVFMTEMYVNFDCDMSCSDIFEDLANLLSKSAFPVNSPLSAMNTLALDGLIAMIEGMGERIGHGSVSEPASSDSEEYKPFWEEVCQDFNDPTHWVPFVQKMKQIKRKLLIGADHFNQDPKKGLEFVQGMHLLPATLDAVSVASFFRYAPGLDKNLVGDFLGSHDEFCIAVLHEFSRTFDFRDMNLDTALRVFLETFRLPGESQKIQRVLEAFAERYYEQSQDILANKDAALLLSYSLIMLNTDQHNAQVKKKMTEDDFIRNNRRINGGNDLPREFLSELYHSIRENEIRMMPDASAGASAMMPSYWIGLVHRSNQTAPFIACDMKPYLDRHLFAILSGPTIAAISVVFDNVEQEDVLKTCVDGFLAIAKISATYNFDEVLDDLVVSLCKFTNLLIPSYFEDFILTYGDDSKARMATTAVFTIANKYGDHIHLGWKNILDCILSLQRLGLLPSRLLRDATDDPESSADVENRSPAVSPSESHVPLLASSTRKSSGLMGRFSQFLYLDIEEPAPQPSEEQIAASQLTLQMIQSCHIDNIFADSKFLQADSLLQLVQALVSAAGKPCKGKQRLEEEGTAVFCLELLIAIALNNRDRIMLLWQRVYDHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLTDELLMSLQLVLKLDARVADAYLEQITQEVKHLVKANAAQIRSHTGWRTIISLLSITARHPEASEAGYETLSFIMADGAHLLPANYVLCINAARQFAEYRVGDIDQSVKSLDLMAGSLVCLVRWSQKTKEALVEEAALKMSQDIWEMWLRLVQGLRKVCLDSREAVRNHAILALQRCLTEVDGIHIPNDLWLLCFNQVIFTLLDDLLEITHQNSMKDYSIEGAIVLSLKLMSKVFLQRLQDLFQLPSFSALWLRVLDCTERCMKVKLRGKRSGKIPELVPELLKNTLLVMKTSGILSPGDLTEGDNFWHLTWLHVKNIAPSLQPEIFSPIELEQIQKQQHIQAGIRPLPDASILVASSETTA >itb04g21090.t1 pep chromosome:ASM357664v1:4:26190182:26191188:1 gene:itb04g21090 transcript:itb04g21090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAKVVFVLFSLFLVGFWSWKGGRADALTPAECKEERRILVNACKAVITKRPPTAFCCERLRVTHVECVCPVITPTLAALIDVNYAIKVIQGCGRQVPRHFKCGSITTP >itb03g30320.t1 pep chromosome:ASM357664v1:3:32073586:32077708:1 gene:itb03g30320 transcript:itb03g30320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTSYFMEAFPAANLCRRPKPFRANLWCEIAEDINLPLFFSCFFPPPPPTADLRLLSSAHLGLCRQSRLSPSTLPSPSPGNAVLQVTACFGKLNKCSGKTTKESKLMCILKDCLSGNSHTIMIITISPADSQYHHTVNTLNVLGSPTMMEWSDGLQLASSTGYQFSTVLIPSASEDTINLITSLCSWDPYKRPTVVEVLQQSSSFLSELLLCSTSLRSGVAFARTTQSDLDDTLYPLSAGLVVACGNNIGDYMVEKLGIDPAKIPNLCNLLYKNYETISCSYSIEKK >itb11g02240.t1 pep chromosome:ASM357664v1:11:1114821:1123160:1 gene:itb11g02240 transcript:itb11g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKAVNRSASAAFAPDAPYLAAGTMAGAVDLSFSSTANLDIFELDFTSDDRELILAGSVASSERFNRLSWGKPLPNSEEFSLGLIAGGLVDGTIGLWNPKRLISSGKSREGPEASENAFVGHLSNHTGPVRGLEFNVYTSNLLASGADEGKICIWDIANPVEPTHYPPLKGSGSSAQSEISYVSWNSKVQHVLASTSLNGTTVVWDLRKQKPVISFSDSIRRRCSVLQWHPDFATQLIVASDEDGSPALMMWDMRNVMSPVKEFAGHTKGVIAMSWCPIDSSYLLTCAKDNRTICWDVVSGEIVSELPSGTNWNFDVHWYPKCPGVISASSFDGKIGIYNIEGCDRYVAEDGNFGAASLRAPKWYKRGGGVSFGFGGKLVSFHSTNASDKPSEVYVRTVVTEHGLVSRSSDFETMIQDGERSQLRLLCEKKSQDLESNDEKETWGFLKVMFEEDGAARTKLLSHLGFSLPVEEKVAKQDDISDQVSALGLGESVTSTEQNTMKKEPIGLPFDNGEDFFNNLPSPKTETPLSTSGNNFDNTEAASGWEETPSAINGLEESTDSSSDDAILRALVVGDYKTAVAHCISANRMADALVIAHVGGTSLWESTRNQYLKTSQLPYLKVVSAMVSNDLMSLVKIRPLKSWKETLALLCTFAQQDEWTLLCDTLGLRLFAAGETLPATLCFICAGNIDKTIEIWSRTLSDIHDGISYFDLLQDLMEKTIVFALATRQKRFSASLCKLVEKYAEILASQGQLSTAMKYLKVLGTEELSPELTILQDRIALSISPDKDTMSMAFENSQLQTGPGYSSEQPSYGMNGASQHYYPESPMQNTPSTLNGPYAENYQSNLGPSFKGYTPPSSYQPAPPSSYQPTPPSYQPTPPSYQPAPQQNVQQPSIFLPAPVPHVPQPPLQGNIAAPPGAGQPTIKPFVPTNPPALRNVEQYQQPTLGSQLYPGQANPSFPTGPHAPGGGYDPNLSQVNPPLGQRMPQVVAPSPNVRGFAPVTNPGVQRPNVSAMQPPSPTQAAPVQPPASPAVPPPTVQTVDTSNVPAEQKPVIATLTRLFNETSEALGGPRANPAKKREIDDNSKKLGALFAKLNSGDISKNAAEKLVQLCRALDNGDFSTALQIQVLLTTSDWDECNFWLATLKRMIKTRQNFR >itb11g02240.t2 pep chromosome:ASM357664v1:11:1114821:1123145:1 gene:itb11g02240 transcript:itb11g02240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKAVNRSASAAFAPDAPYLAAGTMAGAVDLSFSSTANLDIFELDFTSDDRELILAGSVASSERFNRLSWGKPLPNSEEFSLGLIAGGLVDGTIGLWNPKRLISSGKSREGPEASENAFVGHLSNHTGPVRGLEFNVYTSNLLASGADEGKICIWDIANPVEPTHYPPLKGSGSSAQSEISYVSWNSKVQHVLASTSLNGTTVVWDLRKQKPVISFSDSIRRRCSVLQWHPDFATQLIVASDEDGSPALMMWDMRNVMSPVKEFAGHTKGVIAMSWCPIDSSYLLTCAKDNRTICWDVVSGEIVSELPSGTNWNFDVHWYPKCPGVISASSFDGKIGIYNIEGCDRYVAEDGNFGAASLRAPKWYKRGGGVSFGFGGKLVSFHSTNASDKPSEVYVRTVVTEHGLVSRSSDFETMIQDGERSQLRLLCEKKSQDLESNDEKETWGFLKVMFEEDGAARTKLLSHLGFSLPVEEKVAKQDDISDQVSALGLGESVTSTEQNTMKKEPIGLPFDNGEDFFNNLPSPKTETPLSTSGNNFDNTEAASGWEETPSAINGLEESTDSSSDDAILRALVVGDYKTAVAHCISANRMADALVIAHVGGTSLWESTRNQYLKTSQLPYLKVVSAMVSNDLMSLVKIRPLKSWKETLALLCTFAQQDEWTLLCDTLGLRLFAAGETLPATLCFICAGNIDKTIEIWSRTLSDIHDGISYFDLLQDLMEKTIVFALATRQKRFSASLCKLVEKYAEILASQGQLSTAMKYLKVLGTEELSPELTILQDRIALSISPDKDTMSMAFENSQLQTGPGYSSEQPSYGMNGASQHYYPESPMQNTPSTLNGPYAENYQSNLGPSFKGYTPPSSYQPAPPSSYQPTPPSYQPTPPSYQPAPQQNVQQPSIFLPAPVPHVPQGNIAAPPGAGQPTIKPFVPTNPPALRNVEQYQQPTLGSQLYPGQANPSFPTGPHAPGGGYDPNLSQVNPPLGQRMPQVVAPSPNVRGFAPVTNPGVQRPNVSAMQPPSPTQAAPVQPPASPAVPPPTVQTVDTSNVPAEQKPVIATLTRLFNETSEALGGPRANPAKKREIDDNSKKLGALFAKLNSGDISKNAAEKLVQLCRALDNGDFSTALQIQVLLTTSDWDECNFWLATLKRMIKTRQNFR >itb02g13680.t1 pep chromosome:ASM357664v1:2:9901623:9904498:1 gene:itb02g13680 transcript:itb02g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAICCRVSLSANHIFHPKPGYKSHIQKQWASWNVMAMPIAGAGKGGGVLDKPVIEKATPGRQSEFDLRKSRKTSPPYRVMLHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHHNGLSVVIICAQVDAEDHCTQLRGNGLLSSIEPASGGGC >itb04g22360.t1 pep chromosome:ASM357664v1:4:27536603:27543469:-1 gene:itb04g22360 transcript:itb04g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWSRLRDTAVLTVVSGRKTAATATRMTSTSNHCCEEQKRWFLNCLHRVKRRESIVGVQERYKWGGAGSSSQPIRRIRAETDCPRCSNHTDLIFTNNRPNSVVNNDGTDTSAVSKIGGSNNLCPKCKTAYNMAPLQGSFFEIERAKKFGSAKNSGNKVEQYGKPIRSSFWGNLKSYSGEPPENWPPAGSSPPSENGVAVHTPPGPPFAPGTNVIRASAPGGNGEKSQWGGSNLGKNLPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRIYHASLQKGSGAETVREDSEDSVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNIEAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGEHIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTNASVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLVQVLTEPNNALCKQYIKLFSMNNVKLHFTDEALRLIAKKAMVKNTGARGLRALLESILTDAMYEIPDVKTGDERVDAVVVDEESVGSASGPGCGGIVLRGNGALETYLAKPRFKDQREMVEVEMQDNEAEVSSTAMSM >itb03g20900.t1 pep chromosome:ASM357664v1:3:18736448:18738087:1 gene:itb03g20900 transcript:itb03g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFRFICAVRKKIVYLLKLTVVARSVVPSQSSSSDLLFPQSSSLSSRPADGLHSETEAKGWENREGEELTKIWEETVKPPPLLKLVAVVGSAVPLARRRRRMRMSED >itb12g08850.t1 pep chromosome:ASM357664v1:12:6931872:6938140:-1 gene:itb12g08850 transcript:itb12g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFVAALHSSATSSSSSLDFDMDPSVSPPLPHDFPCSLRNLNCVSPPIDSLNYYSLRNPQQQQQQLNAEAPAFVPRSSSSPSLTTLHAAAVAATAAPKLVVHRRTPSASSATIAAKTVMHVYSTPGGTTYTQITTHVPNVPTNNNNYPCTPQQPLQNHRTLLRSVSGGILEKTTGQKAAAGIAIAAAAAPPQPLSDSDQGWKKLSDEACNKIVNQVEYYFSDLNVATTDHLIRVMSKDPEGYVPMSVVASFKKIKALVSSHAQLAKILRSSTKLVVSEDGKKVKRQHRLTETDMEDLQSRIVIAENLPYDHCHQNLMKIFSSVGSVKMLRTCQPQASSGGASSGTRTGKSDSTVFSTKLHAFVEYETIELAEKAIAELNDRNDWRNGLKVRPLHKRTAKSSQTPRAQKVGHESDATFKEDDVFAHKHQISSEHSESQSSELVGEEEAEKEGVWRAHNNRGRGKGQAVGRPQFVVNNNHRGSHGNSNGNLGPMLSVNPPEHLVSARQASVPRMPDGTRGFSMGRGKPIAIKTV >itb01g21830.t1 pep chromosome:ASM357664v1:1:27852502:27854644:-1 gene:itb01g21830 transcript:itb01g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVYFITPPFIQLPPSLRRRSLCSLPSNSPPQLIRASIGSSFLLNRNVRPRESKSPFHLRVFCHRDAKVVTGKSWDKMILNSDVPVLVEFYASWCGPCQMVHRVMDEIATDYSGRLKCFVLHADSDVQIAEDYDIKAVPVVMLFKNGEKRGSVIGTMPKEFYVDAIERVLAS >itb01g21830.t2 pep chromosome:ASM357664v1:1:27852502:27854644:-1 gene:itb01g21830 transcript:itb01g21830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVYFITPPFIQLPPSLRRRSLCSLPSNSPPQLIRASIGSSFLLNRNVRPRESKSPFHLRVFCHRDAKAAVVTGKSWDKMILNSDVPVLVEFYASWCGPCQMVHRVMDEIATDYSGRLKCFVLHADSDVQIAEDYDIKAVPVVMLFKNGEKRGSVIGTMPKEFYVDAIERVLAS >itb15g11220.t1 pep chromosome:ASM357664v1:15:9057586:9062979:-1 gene:itb15g11220 transcript:itb15g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEDQCITNENFEEYIWVHVSQGFDRKQKFIDILCQITSSTKDISMMTEEGLAREICELLKNQKYFVVLDDVRKKEDWDSFKVVFPTNLKGSRVLVTSPYGNVVDSNWKSHNLEKLSNEKGWLLLKNNAFGTEGYSDEVLEKVGKEVANRCNGLPLALVAVAGILRQRRNIVDWQRVAENPFLEINQEGQIYRNRVKMTYNDLPDEKLKNCFLYFACFPIGHEIVVWKLIRLWIAEELIPTIDEQGYALEAEVEAEKYLNDLVDRNLVMVKKRRVNGQIKTCSIHNTLHEFCKSEGARINLFHVMDEGQRLDENTSSTPHVNISSTRRLCFHSFTQKKCDVLINSYNQKGRSLCPFGKHIRSLLLFPSQNSETFVFTTKDLATIPKAFPLLRVLNIEFSIEFADDKFKTDELYNLHLLRYLAIKTINLNSLSKSFKNLRGLETLVIETTSWKLKIDKGIWNMNKLRHVHTNTSVQLPFPPKRSTTNSGGKDIHTLSTISPTNCTKEFFEKTPNLQKLGVRGDLSEFLKEPVVSFLFENLKRLENLKLYGQYDKVLTFPSGIVDAPRLKKLSFSGTLFEWKDMRVLGSLEELEVLKLDDYAFKGENWELSNDVVFKRLQYLRIGRTNLTIWKLATENSFPALRSLILRNCSSLQQIPEAFANVHTLEVMELFHMSESALQSAKEVKEKQLKNCGFQLLIPPKMGEGPMTEDTDTMIEKTILLMANCVEWVSDGHPGLYSELKDVSFDIQTFNAKLQEAYKNPIASVDVLMVKTFQNIVNEARDAALRYFIIKTFTSNLTKFLVLRYKRNVKSFASEIQSVRSKMNMFRQQHENDLHSLTKMSGSNVLLVTVQIQRPIGFDKAIEKVEQAVNVLVQTVGDNNIVNHIMKSEIEDITSEIKTFTEILVVACKSPLANEHRLLRLIVKQFGTHMHEARDAVSNYFAQEKKSGLAKAFDKIRLCGKLNNVASEIQSIKEKVKTIICEDHKAYLQHLQEDYNKRSDDLPPPKVPKVLFPNYDISNYFICFNNCNTRSHIRLYQYGIYV >itb09g05790.t1 pep chromosome:ASM357664v1:9:3294809:3295549:-1 gene:itb09g05790 transcript:itb09g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSYIFKNILIYTNCSYKLVVNQKMAVGKKYREKSEIYWWYFQVAAVLTLVSLVIWRTLIPSSPTFTIAGFHVLPSDTKNSTTTDHHNSTSIRLGIEILNPNKGMSIYYNDIYFTMNYNNGIVVAKNSTPGFYQPCKNDTMRAVEMKADLQFLQQIITGGNVSFKIGLETKVQYMYRIFKWKTKHHKMDYEAYVYNVTVSVNGTDLGQNGTVFKKKF >itb10g01390.t1 pep chromosome:ASM357664v1:10:1024171:1025832:-1 gene:itb10g01390 transcript:itb10g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCDKSGFKKGTWTPEEDRKLAAYVTRYGCWNWRQLPKYAGLARCGKSCRLRWMNYLRPNIKRGNYTKEEDEIIMRMHEQLGNKWSAIAAHLPGRTDNEIKNHWHTSLKKNSRQLSSSPAQPKKKSSSSRTKRQDRANSFPENPIISAHEILESSQWSPQQSSSEACSSSPSSSSTTTTTTKSDEIIHDDQTVQGEFGHMECDESFWSEPFIMDSLWSKNEFDVPSIDFGLLSPPSPFRDYDFLCSFDHFPEGVNNLNW >itb10g01390.t2 pep chromosome:ASM357664v1:10:1024197:1025832:-1 gene:itb10g01390 transcript:itb10g01390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPNIKRGNYTKEEDEIIMRMHEQLGNKWSAIAAHLPGRTDNEIKNHWHTSLKKNSRQLSSSPAQPKKKSSSSRTKRQDRANSFPENPIISAHEILESSQWSPQQSSSEACSSSPSSSSTTTTTTKSDEIIHDDQTVQGEFGHMECDESFWSEPFIMDSLWSKNEFDVPSIDFGLLSPPSPFRDYDFLCSFDHFPEGVNNLNW >itb09g12120.t1 pep chromosome:ASM357664v1:9:7629904:7631518:1 gene:itb09g12120 transcript:itb09g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASRSDHDFIHPQNLSNSGSSTRISRTLSLPTPLVHHPALGKGDTSHFVLLTSSTYGSLLLIDRPAIPNPNPNPNPNPKFSTGSDPLEPLSPDSVVNTWELMEGLDDDFGFHVVEPPKAPILESHEKEISLTKMLKSYEFIEHSDAELPCKHSSEEPLSSIDSNNRISLGTLVPDSAIQLKGTEDRIVLYYTSLRGIRKTYEDCCDVRMILGGLRVCVDERDISMDSSYRKELQSVLGGKAVSLPQVFIGGRHIGGVNEIKQLNEAGELPRLLKGFPVKQDGFFCGSCGDARFMPCPSCNGSRKVFKNGEGKLRRCLDCNENGLIRCPCCCP >itb15g08200.t1 pep chromosome:ASM357664v1:15:5731294:5732585:-1 gene:itb15g08200 transcript:itb15g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKVLGHWASPFSANVEIALKLKGVEYEFIQQDLSNKSPLLLKSNPVHKKIPVLLHNGNPLPESLVIVEYIDETFPGTPILPKDPYEKAMARFWAKFIDDKFFSGARKALFIRGEEEEKGKEEVCEALKILDSELKNKKFLGGETFGLADLAGSFIALWMGVFEEVIGVDLGVTQEKFPHLCRWKEDFLNCDVIKNTFPSRDKLVAFNNKRFHPEAASATE >itb14g16830.t2 pep chromosome:ASM357664v1:14:20184783:20187671:1 gene:itb14g16830 transcript:itb14g16830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSFVFGCILMGLVAELYYLLWVRRRIRDRETEGEYTSIGSDIAYLFCCKKSNSVVTRTSTQALTTSELNGYSQDLEVGTGKDSLLKGFGEDDGVESELMRLHNLCGPPRFLFTIKEETKEDLESEDGKSRKGSRTRSLSHDLMIGSVETPLLSPLSSPPLKPLALESYSHHGFNPLFESSTEAALNRMRSSPPPKLKFLRDAEEKLIKRLVQEAEKRALRNGDSAPPNSNGVTEVKDGSFMSFLDSSKAKEVKV >itb14g16830.t1 pep chromosome:ASM357664v1:14:20184783:20187975:1 gene:itb14g16830 transcript:itb14g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSFVFGCILMGLVAELYYLLWVRRRIRDRETEGEYTSIGSDIAYLFCCKKSNSVVTRTSTQALTTSELNGYSQDLEVGTGKDSLLKGFGEDDGVESELMRLHNLCGPPRFLFTIKEETKEDLESEDGKSRKGSRTRSLSHDLMIGSVETPLLSPLSSPPLKPLALESYSHHGFNPLFESSTEAALNRMRSSPPPKLKFLRDAEEKLIKRLVQEAEKRALRNGDSAPPNSNGVTEVKDGSFMSFLDSSKAKEVKV >itb14g19830.t1 pep chromosome:ASM357664v1:14:22365163:22369228:-1 gene:itb14g19830 transcript:itb14g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQLELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTAKACMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVDRYEARDEARRKYLKDQQLKKLEEKNNNENQEDDASEDEDLEDALKVDETKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLYKNYKVSKDKLKSQTKDTIMEKYGNAASDETLPRELLLGQSEREVEYDRTGRIVKGQEMSLPRSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGTAGIEAAEAAGDLMKANIARKEATEDAHTPTEQKKLATWGTDIPDDLVLDDKKLAEALKKEDERRREVTDERKRKYNVKYDNEVTPEEMEAYRMKKIHHDDPMRDFLH >itb14g19830.t2 pep chromosome:ASM357664v1:14:22365161:22368926:-1 gene:itb14g19830 transcript:itb14g19830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQLELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTAKACMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVDRYEARDEARRKYLKDQQLKKLEEKNNNENQEDDASEDEDLEDALKVDETKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLYKNYKVSKDKLKSQTKDTIMEKYGNAASDETLPRELLLGQSEREVEYDRTGRIVKGQEMSLPRSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGTAGIEAAEAAGDLMKANIARKEATEDAHTPTEQKKLATWGTDIPDDLVLDDKKLAEALKKEDERRREVTDERKRKYNVKYDNEVTPEEMEAYRMKKIHHDDPMRDFLH >itb01g25170.t1 pep chromosome:ASM357664v1:1:30646378:30648149:-1 gene:itb01g25170 transcript:itb01g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTLFCTASVLAGGLYWFVCVLGSAEQKGKRAVDLSGGSIAKEKVEDKYKQYWSFFRRPKEIETADKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRIHEEMAVDLLGVKPGARILDAGCGVGGPMRAIAAHSGANVVGITINEYQVNRARLHNKKAGLESQCEVVCGNFLQMPFADNSFDGAYSIEATCHAPELEDVYREIYRVLKPGSMYVSYEWVTTELYRPENPEHVEIINGIERGDALPGLRSYKDISEVATKVGFEIVKEQDLAKPPSNPWWTRLKMGRIAYWRNHILVTILAWLGIAPKGTVDVHEMLFITADYLTKGGDTGIFTPMHMILCRKPEH >itb04g13140.t1 pep chromosome:ASM357664v1:4:13187996:13192804:1 gene:itb04g13140 transcript:itb04g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGDRRSARDIFCDAGAGASAGAIAATFVCPLDVIKTRLQVHGLPEAARSGHRGSVIITSFQHIVRTDGFRGLYRGLSPTLVALLPNWAVYFTVYGHLKEILHSHADNSGQLSIGANMIAASGAGAATAIATNPLWVVKTRLQTQGMREGVVPYKSIVSALSRILHEEGIRGWYSGILPSLAGISHVAIQFPAYEKIKSYFAKRNHKSISELGPGEIAVASSLAKVVASVMTYPHEVVRSRLQEQGQARNSTRQYAGVIDCINQVLRKEGPTGFYRGCATNLLRTTPSAVITFTSYEMIHRFLLQVVSPKEDHSKAKPKPDSCFESEKVAKATGEDNAKSVQHMQSASNKRTFIPLGETDNLTAKH >itb04g13140.t2 pep chromosome:ASM357664v1:4:13187996:13192804:1 gene:itb04g13140 transcript:itb04g13140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGDRRSARDIFCDAGAGASAGAIAATFVCPLDVIKTRLQVHGLPEAARSGHRGSVIITSFQHIVRTDGFRGLYRGLSPTLVALLPNWAVYFTVYGHLKEILHSHDNSGQLSIGANMIAASGAGAATAIATNPLWVVKTRLQTQGMREGVVPYKSIVSALSRILHEEGIRGWYSGILPSLAGISHVAIQFPAYEKIKSYFAKRNHKSISELGPGEIAVASSLAKVVASVMTYPHEVVRSRLQEQGQARNSTRQYAGVIDCINQVLRKEGPTGFYRGCATNLLRTTPSAVITFTSYEMIHRFLLQVVSPKEDHSKAKPKPDSCFESEKVAKATGEDNAKSVQHMQSASNKRTFIPLGETDNLTAKH >itb01g27810.t1 pep chromosome:ASM357664v1:1:32451680:32453421:1 gene:itb01g27810 transcript:itb01g27810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDLHSFSHFADDAKEVAEIVICQASTLTKADCFLINSFDSLEDQVVKWMTDRWSVKTVGPLVPILHKDRIDLFELDSESCIQWLDIRESKSVVYVSFGSAAVLTEEQMEEIAWGLAQSNKYFIWVVRESEQVKLPKDFKSKISEKGIIVKWCPQVEVLPHKAIACFMTHCGWNLTLEALCLGVPMVCMPHFVDQSTNAKLVEDVWKVGVRVKVNEKGIVTREEVRGLYKAGDGGRESPGVSKKCHKMERVSKRGNK >itb14g04730.t1 pep chromosome:ASM357664v1:14:4143679:4147115:1 gene:itb14g04730 transcript:itb14g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVASLVRTIELEFLQYHPRPLVLHNHLISFNKELIQSFHQKLGFLISQLDEERINGVEAVKHLETKLRDLAFRVEDEIEFLVAHLYDIEEEDDVGIADLVLKEEKPQRDTDAEKATQPCVKLGQNFQTAMEEIEAIKEELLKIKNRDEVEERKTAHDVLQRSEVVSSQAQMVGKNDEFEIIKKLLTELGSKEKKVVSIIGMGGIGKTTLARQVYEDPSISIHFDVRAWVVASQLHNKRQMLIGLLNSISKQGNLEKSTNEDLALKLYQYLKRQRYMVVVDDVWSGEAWDDVSNCFPDDGNGSRVLLTTRLAEVANYSSSNSDFSHHMQLLDQRDSWDLFCEKAGKFCGAEFEIIGRPIVEKCKGLPLAIIVVAGLFSKLCTLNEWENVAKALDSSTTTTIAATCSKILSLSYNHLPHHLKACFLYLGVFPEDYAINANELVRLWSAEGLVKTSENENFDVVADRHIQELMDRNLILVSKWSCCGRKIKVFGVHDLLHAFCVKEAQKENLLHVVRENGSDFPQRCFRWVSIQSSNFDVSTLCYSSRSCRSFFCFSDNDISLNWEQFKLLRVFFFTSYLMYKNIVDFVHLRYLPPALDNQNIVKLFKAWNLQTLSICADDRNYLEFPQLQYFACLFMRGQSPKFVHQNLQCLSWLKPMRCTKEFFTLVPNVKKIRISGERRECNDCIENLVNLQQLERLYINANQWHFTSPNIVQINSHIALLKSLKRLRFQSNRFEWNGINVLCKLPRLEVLKLSGACVGKQWELPEDDKFCQLIVLKIGSTDLKDWEATGDHFPKLEHLSLFSCKKLKEIPSGFAEISKLKSIQLADCRPSVVASAEEIKEEQLDYLNNIVDVVVAERRGYSAYTRVSKPESDEYESDEA >itb01g33200.t1 pep chromosome:ASM357664v1:1:36403315:36408302:-1 gene:itb01g33200 transcript:itb01g33200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLFRVAPLLRLRFYHHQQSRGLCFGLLNRRLFCASTEPSQTPEDSSSAGQNPDTTVLRVNEPPRFPRWSELDYQKWKEKEAEILQDIKPVISLVKDIIHSTRYLDGERLTAEDEKIVVEKLLAHHPHCEDKIGCGLDSIMVDRHPQFRQSRCLFVVRTDGGWIDFSYHKCLRRYVRDRYPSFAEIFIRKHLKMGS >itb01g33550.t1 pep chromosome:ASM357664v1:1:36632478:36633662:-1 gene:itb01g33550 transcript:itb01g33550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAALFVNEYVLSSVTSLSSAAQNNNSNNNGICSDAGIRVFFFLFLITATCFHHFLVFTTKKSRWHWVCDF >itb03g25220.t1 pep chromosome:ASM357664v1:3:24165978:24168064:1 gene:itb03g25220 transcript:itb03g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFITYFLLFSTLAISCSCFNTKNLNISQSDPDWSPAGATVYGDRNGAGSTGGACGYTNSVAQPPFSSFVSAGGSSLFKSGKGCGACYEVKCTENAACSGNPVTVVITDECPGSDCGSQPVHFDMSDTAFVALAIPGNADQLRTAGVLNVLYRRVECNYPGVPLSFGVRSGSSPYYFETLIEYVSGSGALSAVELKQASASPDSWLSMQQSWGAVWKLEDRSGLTAPFSLKLIDESGNTLVADNVIPANWQPGTTYQSAVTPFA >itb10g01620.t1 pep chromosome:ASM357664v1:10:1239539:1241092:-1 gene:itb10g01620 transcript:itb10g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVSNGGKRRRSAHTDMGWLCYVFLAFLCFFCNVGRSTDSLRPGESVTRNRTLVSADGNFALGFFRPGNSSSSFLGIWYNSINNTVIWVANRESPLPQDSEALFTLGYDGNLQILDGGGRNIIWSTNISGSGLAGNSTAAQLQDTGDLIVKQGESIVWESFDVDSDTLMPGMRLKVNKKTGKRNLIRSWTGSDDPRGGKFSWGMDPKGSPQMFIWKENIPHFRTTLYQDGFPYSLYFPSAGYTSYSFATENDEVYFSYGYANTSIQARFILTPEGYIHILSRPKTSEIWQIQWVAPRLDCELYARCGSFGTCERIDSHPVCSCLEGFKPKSQRDWDKGKYDAGCERRIALGCGEADTFMRLAMMKWPDHSSSLGNKTFQECEMECSKTCSCTAFAYATTSNSAVNCINWFGDLVDLARNYSPGGFSQDLYVRVHSSELNGSSGNDHSSHKNKRRLVAIIVASVSAFFLITVLVYILIWKYCGRKGTLN >itb02g04630.t1 pep chromosome:ASM357664v1:2:2760126:2762432:1 gene:itb02g04630 transcript:itb02g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVEKEKAAELKKELQRAVKAIVDEQEDGGVEPTNRAMKCLCALRDLKLKPLGCSESLGIGNLSLLSLLPPKEFLCPLSGELMNDPVVLLSGQLLLMLMPLRARFDLQTYDRPFIQKWLKDGNQTCPQTQQILSNMVLLPNHLARKLISKWYEENGLKPPCCPQVEEDEPGTLKVDTGYLNELLKKLSSSSVSDQKEAAKELRLLTKQMRSVRALFGDISDSIAQLLYPLLSGAANSHPDLQEDLVATLLNISIHEGNKKLVGENPVVIPLLIESLKSGNIETRTNVAATLFTLSALDSNKYIIANSGALKPLIELLDEGHPMAIKDAASAIFSICIAHENRGRAVSEGAVRVIMKKIKERVLIDELLAILAMLSSHHRAVEEMGKLGAVPCLLGIIRENTNELNKENCIATVYTLCFTDRTKLREVLAEEETTGTIYRLAESGTSTSRAKRKAGSILERLDRSAFSLTLLEH >itb04g29850.t1 pep chromosome:ASM357664v1:4:33118335:33122019:1 gene:itb04g29850 transcript:itb04g29850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNHLIGLMNFLTFLASIPILGGGIWLSSRANSTDCMKFLQWPLIIIGVAIMVVSLAGFAGACYRNTFLMYLYLWAMFFIIAILIGFIIFAYAVTDKGSGRPVLNRAYSEYYLQDYTGWLEERVTTQSYWSKISSCVRDSHVCGKMGRSVNGIPETADMFNLRKLSPIESGCCKPPTACGYIYGNETYWTPGTGLVGADPDCARWSNDQEQLCYNCDSCKAGVLASLKKSWRKVSVINIVILIFLVIMYVVACAAFRHNKRMDNDEPYGETRMEKAQPSRIHF >itb03g06330.t1 pep chromosome:ASM357664v1:3:4654671:4655462:1 gene:itb03g06330 transcript:itb03g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNHIRSISFPSKSHPSIHKVEEELTKLKTLQVSAAPEAATISSGLLGLEQLYKCMDDLLNLPQTLQALSQNQNKKWVDGLLEKSVRLLDICGLARDCISQLKEHLRDLQSSQRRRKGDSSSEASITKYSSFVKKMNKDAKKAIAAMKKMDDEIDGSTPLDVHHDISAVIRALREASAVSTSIFQSVLLFLCVPVLKPKPSRWSLVSKLVQNGKVACEYQHNNTCNLETLEAQLEDIENKMESIFRCLIKSRSYLLNIISC >itb15g15460.t1 pep chromosome:ASM357664v1:15:14382912:14384981:1 gene:itb15g15460 transcript:itb15g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFGKIAAILGLMILGTMISSNTVSAGDPDLLQDVCVADPNSTVKVNGFACKKNFTAEDFSSMVLAKPGATNNTMGAVVTGANVEKVPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMIFVLEGELDVGFITTANVLVSKHITKGEVFVFPRGLVHFQKNNGGVPAAVIAGFNSQLPGTQSIAATLFAASPTVPDDVLTKAFQVGTKEVEKIKSRLAPKK >itb03g07070.t2 pep chromosome:ASM357664v1:3:5167304:5170219:-1 gene:itb03g07070 transcript:itb03g07070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADESCTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDKCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRITGQAKSMEGWESNFLYDEPNINNMDYMTRQAAAAAPSNFMAAQTFLCKQELEASENLTFMHADQLFNQLPQLQSPSLPLLKTPLLHENHRSNATEDTAGAGAGEQIKNNINCVSNKKVTDWRALDKFVASQLSHDVNQESFEDHGCDEMGSLLLLQSEMRDNAGGGGSGGRLNDFLNSGSSECDNIGICIFDK >itb03g07070.t1 pep chromosome:ASM357664v1:3:5167304:5172012:-1 gene:itb03g07070 transcript:itb03g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADESCTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDKCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRITGQAKSMEGWESNFLYDEPNINNMDYMTRQAAAAAPSNFMAAQTFLCKQELEASENLTFMHADQLFNQLPQLQSPSLPLLKTPLLHENHRSNATEDTAGAGAGEQIKNNINCVSNKKVTDWRALDKFVASQLSHDVNQESFEDHGCDEMGSLLLLQSEMRDNAGGGGSGGRLNDFLNSGSSECDNIGICIFDK >itb08g10790.t1 pep chromosome:ASM357664v1:8:10419372:10421792:1 gene:itb08g10790 transcript:itb08g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGGSVVFICLGLIAAFSLGLSSAQNCGCASRGLCCSKFGYCGTGNDYCGEGCREGPCYGSSPGGAGSGSVSSIVSDSFFNGIANQAPPSCEGKGFYTRNSFLEAAKSYSKFGTTGSADDKKREIAAFFAHITHETGHLCYIREINGASRNYCDPNNRQYPCAPGKMVSAAPFGLSTARLSAMALIPPLLTRGLSITKNKKSNGATGHELGEQIKDLHIVESERIKSVISDEQLMGDKWVRKQSQELRTSAKRGGGCYNA >itb07g19840.t1 pep chromosome:ASM357664v1:7:24279942:24288590:1 gene:itb07g19840 transcript:itb07g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNPLVFFDVSFDGGPVQRIVMELFADIVPKTAENFRALCTGEKGIGISTGKPLHYKGCIFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLDHSEPGLLSMANSGPSTNGSQFFITFKRQPHLDGKHVVFGKVVKGMDILKKMEQVGSADGKPSELVKIVDCGETSDSKTNGKTGDDKAKKKKSVRELSSDDGSDSPAKDKPKRSARDVKKRRKRYSSSDSYSSDTDSDTSSDTDSCSDSSESESSSSSSYGRHKKRRRLTKKGRNLHAKKGRNGRSGRRKNLRHKRLKRKTKRSSESSSSDSLSTSASDSSSDDENARGRGSAHKDLDKSQNLGKAGRKLQKEKQSEGNLTGEGEFSKKDDKLVNNGHGRDLSSDKPVPGSHYSDNLNKSRSASPSPEGRPRSRRRSPSVSPKGSPRLQQDGGSPKTSGQARERSKSPAERPAHKSPDGNSKRIRKGRGFTKEYSFARRYRTPSPERQPYRPYYGGRNIQRNRDRYSSYRNYSDRSPPRRYRGSPKGRSPPRYRYRGRSRSVSRSPNGSPSSKKHNRTPSRSRSPVDRRPAISDKLKSRLGPRVDSQKPSSRGRSNSSSRSRGASHSSSPETAPRKHARKASHSSRSRSSSPVGKRGLVSYQDISPGNGTE >itb15g08730.t1 pep chromosome:ASM357664v1:15:6165678:6172380:1 gene:itb15g08730 transcript:itb15g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MAYVALKKNYKCVQSLQQFYSGGPYAVSSDGSFLVCACDETIKIVDASNASIKSTIEGDSEPVTALALSPNNSLLFSASHSRQIRVWDLSTLKCLRSWKAHEGPVMGLACDASGGLLATAGADRKVQVWDVDGGFCTHYFKGHKGVVTSIMFHPDPNRLILFTGSDDSTVRVWDLTSKKCLATLEKHQSTVTSVAVSEDGWTLISAGRDKVVNLWNLHDFSCMITIPTFEAVEAVCIIGSGSCFNACIASSSDQHGKKRNDIPSINFITVGERGVVRIWKSDRALCIFEQKQSDVTVTSEREDTQRGFISAVILPTSQELLCATADQQFLIYSPKESDGILGLVLSKRLVGYNEEIADMKFLGEDEQFLAVATSVEQVRVYDLTTMSCAYVLAGHTEIVLCLDTCVSTAGRTLIVTGSKDNSVRLWDSQTKHCIGVGAGHLGAVGAVAFSKKHHNFFVSGSSDRTLKVWSLDGLLNDTEEVFNLKAKAVVAAHDKDINSLAVAPNDSLVCSGSQDRTACIWRLPDLVSVVTLRGHKRGIWSVEFSPVDQCVITASGDKTIKIWAISDGSCLKTFEGHTSSVIRASFVTRGTQFVSCGADGLVKLWTVKTNECLATYDQHEDKIWALAIGKKTEMLATGGSDAVINLWHDSTASDKEEAFRKEEEGVLRGQELENAVFDEDYTRAIQIAFELRRPHKLFELFGQLCRMEEPRVHLGKVLHAFGKEEICLLLEYIREWNTKPKFCHIAQFALSTVFTMLPPTEIIEIKGIGELLEGIMPYSQRHFSRIDRLERSAYLLDYTLTSMSVIEPEVRAGGLTWKSEEKDARDTVEAADDGLTWKLEEKDARDTVEAADEGQLGEGDLTEQEANQEEIKTKISSKKKKSHKLRDIEPSEGDLTEQEPNQELTTKVSSKKRKSHKSRDIEPSEGDLTEQEPNQELTTKVSSKKRKSHKSRDIVPLESDLTEQEPNQEELKTKTSSKKRKSHKSKH >itb15g23530.t1 pep chromosome:ASM357664v1:15:26380577:26380942:-1 gene:itb15g23530 transcript:itb15g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGKQNLALLLLFCTVAAAAIVPRASAVITCGEVYSTLYPCVGYIMNGGKVPGACCSGLASLVTSLKTTPDRRAACACAKAAAGSANPVQLGRAMGLPGKCGVHIPFKISPNVDCSKIK >itb04g21710.t1 pep chromosome:ASM357664v1:4:26805628:26808865:1 gene:itb04g21710 transcript:itb04g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLIREMGWHIVRQEASHDLKRYSRLWLPRDICQLVTGNEGTDKIEGIALNFRVVTDVKVSSGAFTHMTKLRLLKFHNANASEAPNFLPGELRWLDWHGYPSKNLPVSFDGEKLVGLKMQYSRVIQLWRGLKVLENLKFINLSHSQKLIRTPDFTGIPNLERLVLEECTSLVEIHASLGFLRNLVLLNLKHCINLKRLPKIIHLEKLETLILSGCLKLENFPEIGGHMACLVEVYAEATALRELPSSIEYLTCLSLINLSYCKHLASLPGSICRLKSLKTLVLSGCSMFDKLPDELGQMECLEELYCDYTAIQKLPSSISHLKKLKILSFRGCKPLASRSRGSLFLSWLLPGTFQDTNPKVSPFPSLSGLSSLARLDLRDCSMLDGGIPSDLGALSSLEVLDLGKNKFISIPAETISHLNRLRELHLAGCEKLEALPELPSSIEQVYADECTALKGNIDIFTKCPKLFRVSFTKCDQILEDPRYSHMIGAIWQHLLKGLSLVDDDVCICFPGTVIPEWFTYKNWGPSISVDLPQNWYNNKFMGFAFCAVSDLIKTTSSESYGSLSKTYGIDVDFSFKTGSGRKTNHGLRIGYMGTKQNADCELTCLAFVSFDVFWASYSHEVHSPNEWCEIEVYSNDLTMVYTGFGMRLVYEDDVKESDKDVMMIHTSSGSSSSSSQSVGKMGLFPAIFDGSWYAKKKRACFRYDVQFSRLPPEAFLDS >itb05g23800.t1 pep chromosome:ASM357664v1:5:28846917:28850106:-1 gene:itb05g23800 transcript:itb05g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLSAAVTAVVFLCIFQFQSLSQAEKLKTFRFEYGNFNQSFYNILDVKNATISNGALQITPDTAQEESYMLNTSGRIFFSKSFRLWDSSDVKTRVASFNSSFLLNIFPQKGFAAEGVTFLISPTKDAPPANSYGEYLGLTNATTDGNSRNQIVAVEFDTFKQDYDPDGNHVGLDINGVRSKMVESLGPHGIILAPISTIAIYYNIWVCYDGVAKVIEVYMAEQKRNDPTPPRPFSPVLRSEINLREHLNQESYFGFSASTGIKFQLNCVLRWNFTIEYFPQKKGSLWVIAVAMAMAVAVVVGSIIGGVWVLWRKRRVRSKSAILGALQSLPGTARGFEFKDLKKATNKFDEKNKLGEGGYGVVYKGVLAGEELEIAVKIFSRDSIKQEDDFLAELTIINRLRHKHLVKLLGWCHNKHGKLLLVYEYLPNGSLDKHLFSKSGGVPLSWNLRYKIASGVASALHYLHNEYEQTVVHRDLKPSNIMLDSNFNARLGDFGLARALDNERSSYSETAGVMGTVGYIAPECFHTGKATPQSDVYAFGAVLLEIVCGKRAGAKIGGFEYLVDWVWHLHRDGRILDAVDGTLGDEYAVEEAERLLLLGLACSHPTANQRPQTSAIVQMMLGFLPVPHVPPFKPSFVWSSMVPTSIDSSLTETSSFTTSLNSSAVYTQ >itb04g06290.t3 pep chromosome:ASM357664v1:4:4073852:4078218:-1 gene:itb04g06290 transcript:itb04g06290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEVKKIAVAKPVATRPTCSAYRPFSELLSGAINGSSTGGCSQTAVIAAIRPKTVRLRSAGNQTLGGKVEMPGTSAWSPPANVLKSDDKPTIVYKPMPKLLSKTTFPQNLNMRSSTSSQQNEAAEETNQVKPSDIRLEAHQSLSLKSGTEKKPVENSKMALQNIEEDERSVFQASGVDCLSGDGYNWRKYGQKQVKGSEYPRSYYKCTHPKCPVKKKVERSVDDQIAEIVYKGEHNHPKPQLPRSNLRDGQPKGVLVSEDTCNETNNPARSEQLTLQNEPCGPSTEHKNNTMLSTRSTYSSGAPPPCYPITSAAAFHGEVSTPENSCAPSGIHREGSQGLEAEGDELKGKRRKCGSQTNDGATLGNGAMETQTVVGSTTDSETTRDGFRWRKYGQKVVKGNTYPRSYYRCTSPKCNVRKYVERAPDDPKSFITTYEGKHNHDIPTRNPNPEASRSSTRAAATKEKS >itb04g06290.t5 pep chromosome:ASM357664v1:4:4073852:4078218:-1 gene:itb04g06290 transcript:itb04g06290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSAWSPPANVLKSDDKPTIVYKPMPKLLSKTTFPQNLNMRSSTSSQQNEAAEETNQVKPSDIRLEAHQSLSLKSGTEKKPVENSKMALQNIEEDERSVFQASGVDCLSGDGYNWRKYGQKQVKGSEYPRSYYKCTHPKCPVKKKVERSVDDQIAEIVYKGEHNHPKPQLPRSNLRDGQPKGVLVSEDTCNETNNPARSEQLTLQNEPCGPSTEHKNNTMLSTRSTYSSGAPPPCYPITSAAAFHGEVSTPENSCAPSGIHREGSQGLEAEGDELKGKRRKCGSQTNDGATLGNGAMETQTVVGSTTDSETTRDGFRWRKYGQKVVKGNTYPRSYYRCTSPKCNVRKYVERAPDDPKSFITTYEGKHNHDIPTRNPNPEASRSSTRAAATKEKS >itb04g06290.t2 pep chromosome:ASM357664v1:4:4073852:4078200:-1 gene:itb04g06290 transcript:itb04g06290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEVKKIAVAKPVATRPTCSAYRPFSELLSGAINGSSTGGCSQTAVIAAIRPKTVRLRSAGNQTLGGKVEMPGTSAWSPPANVLKSDDKPTIVYKPMPKLLSKTTFPQNLNMRSSTSSQQNEAAEETNQVKPSDIRLEAHQSLSLKSGTEKKPVENSKMALQNIEEDERSVFQASGVDCLSGDGYNWRKYGQKQVKGSEYPRSYYKCTHPKCPVKKKVERSVDDQIAEIVYKGEHNHPKPQLPRSNLRDGQPKGVLVSEDTCNETNNPARSEQLTLQNEPCGPSTEHKNNTMLSTRSTYSSGAPPPCYPITSAAAFHGEVSTPENSCAPSGIHREGSQGLEAEGDELKGKRRKCGSQTNDGATLGNGAMETQTVVGSTTDSETTRDGFRWRKYGQKVVKGNTYPRSYYRCTSPKCNVRKYVERAPDDPKSFITTYEGKHNHDIPTRNPNPEASRSSTRAAATKEKS >itb04g06290.t4 pep chromosome:ASM357664v1:4:4073852:4078200:-1 gene:itb04g06290 transcript:itb04g06290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSAWSPPANVLKSDDKPTIVYKPMPKLLSKTTFPQNLNMRSSTSSQQNEAAEETNQVKPSDIRLEAHQSLSLKSGTEKKPVENSKMALQNIEEDERSVFQASGVDCLSGDGYNWRKYGQKQVKGSEYPRSYYKCTHPKCPVKKKVERSVDDQIAEIVYKGEHNHPKPQLPRSNLRDGQPKGVLVSEDTCNETNNPARSEQLTLQNEPCGPSTEHKNNTMLSTRSTYSSGAPPPCYPITSAAAFHGEVSTPENSCAPSGIHREGSQGLEAEGDELKGKRRKCGSQTNDGATLGNGAMETQTVVGSTTDSETTRDGFRWRKYGQKVVKGNTYPRSYYRCTSPKCNVRKYVERAPDDPKSFITTYEGKHNHDIPTRNPNPEASRSSTRAAATKEKS >itb04g06290.t1 pep chromosome:ASM357664v1:4:4073802:4078234:-1 gene:itb04g06290 transcript:itb04g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEVKKIAVAKPVATRPTCSAYRPFSELLSGAINGSSTGGCSQTAVIAAIRPKTVRLRSAGNQTLGGKVEMPGTSAWSPPANVLKSDDKPTIVYKPMPKLLSKTTFPQNLNMRSSTSSQQNEAAEETNQVKPSDIRLEAHQSLSLKSGTEKKPVENSKMALQNIEEDERSVFQASGVDCLSGDGYNWRKYGQKQVKGSEYPRSYYKCTHPKCPVKKKVERSVDDQIAEIVYKGEHNHPKPQLPRSNLRDGQPKGVLVSEDTCNETNNPARSEQLTLQNEPCGPSTEHKNNTMLSTRSTYSSGAPPPCYPITSAAAFHGEVSTPENSCAPSGIHREGSQGLEAEGDELKGKRRKCGSQTNDGATLGNGAMETQTVVGSTTDSETTRDGFRWRKYGQKVVKGNTYPRSYYRCTSPKCNVRKYVERAPDDPKSFITTYEGKHNHDIPTRNPNPEASRSSTRAAATKEKS >itb14g13370.t1 pep chromosome:ASM357664v1:14:15323544:15329740:-1 gene:itb14g13370 transcript:itb14g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREDGSSEVQLGQTHVMGFVTSQLVQPYRDRPNEGSLAIYTEFSPMADPSFEAGRPGESAIELGRVIDRGLRESRAVDTESLCVVAGKWVWSIRIDLQILDNGGNLVDAANIAALAALSTFRRPDCTLGGEDGKEIILHPPEVREPLPLVIHHMPIAVTFAFIGKGNIVVVDPTHFEEAVMGGRMTATVNANSDVCAIQKAGGDGVMQSVIMQCLRIASVKAGDITSKIKNAVESYSTERALRKIKRHTPATATIDIPDPGHGKVNDFSMQTMDIQRVKSEDCCVSHSDDMDVETQSSKPDGNNRGVGKYQKFSGRPSSWDPYSTGVNIEELKSTLALHGSSALLEKPYETETVHKKPCDTESGQRFADVSPAPLIAVTTGNEIPTNRVKTLKDAIKPKHKRKKKPAMNADAS >itb14g13370.t2 pep chromosome:ASM357664v1:14:15323366:15329740:-1 gene:itb14g13370 transcript:itb14g13370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANTLRMTVNEKKFIETALLSDLRVDGRRPFDYRKLNIKFGREDGSSEVQLGQTHVMGFVTSQLVQPYRDRPNEGSLAIYTEFSPMADPSFEAGRPGESAIELGRVIDRGLRESRAVDTESLCVVAGKWVWSIRIDLQILDNGGNLVDAANIAALAALSTFRRPDCTLGGEDGKEIILHPPEVREPLPLVIHHMPIAVTFAFIGKGNIVVVDPTHFEEAVMGGRMTATVNANSDVCAIQKAGGDGVMQSVIMQCLRIASVKAGDITSKIKNAVESYSTERALRKIKRHTPATATIDIPDPGHGKVNDFSMQTMDIQRVKSEDCCVSHSDDMDVETQSSKPDGNNRGVGKYQKFSGRPSSWDPYSTGVNIEELKSTLALHGSSALLEKPYETETVHKKPCDTESGQRFADVSPAPLIAVTTGNEIPTNRVKTLKDAIKPKHKRKKKPAMNADAS >itb15g02700.t1 pep chromosome:ASM357664v1:15:1712207:1713444:1 gene:itb15g02700 transcript:itb15g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLIFGRGDMILYQSDGTNLIFDILIWNPFTRETKNLPSVKVPAIKKPVEYWITNGFGFGLSKNMSWKIVMLWYFQYPHVESKDIYEIVMVCSQIGDGSWGWRQIDEAPHVPVDSNESFYLKGRYYWRSSGPIIRQRPGDPRIGRLVWFDFSDEIFGIIEFPSHSKVASVTIMNDNIALLSCRGYAKVGDCIEILLMNGNDGNICWHKHASIDCTRSIDYHKYWTLKGNWNETWKPIGIWKLGGHDHLLVCPGYEGHRSDNKNKGFIAYVISIDLVTQEWKFVYLTRDGRTINILSNSDGFVQVCSETNSALGPICIFPNVPIYARAFSESLKLLQ >itb06g25230.t1 pep chromosome:ASM357664v1:6:26381172:26383264:1 gene:itb06g25230 transcript:itb06g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:Projected from Arabidopsis thaliana (AT3G47810) UniProtKB/TrEMBL;Acc:A0A178V791] MVLVLVLGDLHIPHRAPDLPAKFKAMLVPGKIQHIICTGNLCIKEVQDYLRTVCADLHVTRGEYDEEIRYPETKKLTIGQFKIGVCHGHQVIPCGDLDSLAMLQRQMNVDILVTGHTHQFTAYKHEAGVVINPGSATGAHSSATYDVNPSFVLMDIDGGRVVVYVYELIDGEVKVDKIDFKKTAPIELATHKQ >itb03g17740.t1 pep chromosome:ASM357664v1:3:16214245:16220336:1 gene:itb03g17740 transcript:itb03g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MAIANAFFTAAFAPPRSAFPDAGSDAADQQFTLSKLCFPLHRGISRPGTATFLRKKVAVSCTIDGVESSSLSQARDSSLVPTPIVQIIQDPESDATAVELSFGDRLGALIDTMKSLNDLGLDVKKGFVTTEGTVKRTKFLITRLATGRRVEDPDLLERIRLTIINNLIKYHPESSERLAMGEVFGIKAPEKKPDVDVATHIHVKNEGNKRSMLYVETADRSGLLMEIIKIMADINIDVESAEIDTEGLIAKDTFYVSYRGAALNDSLSQVLVNCLRYYLRRPETDEDSY >itb03g26340.t1 pep chromosome:ASM357664v1:3:25894938:25897580:1 gene:itb03g26340 transcript:itb03g26340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKTRKEEEEEEEEDSKNEAAEEYEQHRAQRIKENMDRMKKLGLPELSKKLKPEIPQPKGSDKHKPPSHKKPPSTDEPPRRSSRLKTMSPVNYSERREASKGGKVAKDVEICIPEGEKPELYTKEHERVLGDSKKSWSLYEDGYDEDGQRIYDPDFGKSCHQCRQKTLGLHTECSKCKAIQGQFCGDCLFMRYGENVIEVNENPSWICPVCRDICNCSRCRRVKGWAPTGAIYRKVLSLGYKSVAHYLIMNYMQREKEEEGDENHHPPSIKSSEPSDDDLADVIVVSDESNDKNEVLEKQKQQIDDEEEEEEAGSHESEDDEDANASADDSE >itb06g11940.t1 pep chromosome:ASM357664v1:6:16478943:16499016:-1 gene:itb06g11940 transcript:itb06g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MAGIFEYFVVCGIGPEIRTLDGQRGYHGTGIMYLASLLDQYPPPNHTLYPPPPPQLSTCVLPAGVEFYPSGFDSNNPSTFPKSYPIVLTEGDGSKIYVSCIAFRDPVCEDIAEAYRIPANSFADKCICFVSRSPSFRILRDALEEIFTLCFSSSGSSKPLWDVIAYVVSNVPLPNPGRDRVLFAVENTLLSVEVPPKDGLPHADISFQPLVQCLDVDNVIQLFIAVLLERRILLRSDKYSLLTLVSESICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTYGLMMDGVVIVDLEHNSITTSEEIPSVPEPEYSTLRGEIMKLLYPNVVGIDQMKSSMGNATEQNLRGGSRPWGEDHDLQLRFIFLKFLASILGGYRNFIENTATQVFNTQAFLKKRSRSTNQPPDPMISQFLESQGFMDYLERGLGSDVSNNNLLDKLQDAIGRDQNPLSILPSLLSEPDIITISDPDVGVSGSGAKYCYDRFPENVRTEEQEEKRKQILATASGALEYSGRHTPSSPSVLAGNDSRAESLSPRERAAERERMVLDIKVKLQGLWLRLLKLGSTDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIYSGWNCQLTEEQFIAVKELLKTAISRATSRNDMATVRDALEVSAEMYKKDVNNVSDYVQRHLRSLSIWEELRFWEGYFDFLLDRYSSKSTNYATLVTTQLIVVATHMAGLGLHDNDAWYMIETIAGKNNIGYKHIIRLRGYLSHIRQMFIGYWGIYSVKSQSASAFGVPSPRKDAADDDQQPAEVSGVGRSWVQSMFSRESSLRANSFSRVRKWASDSGSLASNESGAPRKQDLSAAGQKKMHTSIRMLRGHTGAVTTIHCVTKREVWDLVGDREDAGFFISGSTDTTVRIWDPSLRGSELRATLNGHSRTVRAISSDRGKVVSGSDDQNVIVWDKQTTQLLEELKGHEGQVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRYSGAVLCMEYDDSTGILAAAGRDTVANIWDIRAGRQMHKLMGHTKWIRSIRMVGDTVITGSDDWTARMWSVSRGTCDAVLACHAGPILCAEYSLQDKGIITGSTDGLLRFWESDDGGIRCVKNVTIHSGSILSINAGEHWLGVGAADNSMSLFHRPQERLGNLSGTGLKMGGWQLYRTPQKTAAMVRCISSDLERKRICSGGRNGMLRLWDATINI >itb06g22840.t1 pep chromosome:ASM357664v1:6:25022413:25023819:1 gene:itb06g22840 transcript:itb06g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 91C1 [Source:Projected from Arabidopsis thaliana (AT5G49690) UniProtKB/Swiss-Prot;Acc:Q9LTA3] MGTEKPLHIVMFPWLAIGHLIPFHHLSKCLAQRGHKVSFISTPRNLERLPTTPSHLAPFIHLVSLPLPKVENLPHHAESSMDIPHHKAQFLKIAFDNLESPLTKFLQNSNPFPDWIIYDYASHWLPRIATQLKISSAYLSLFTAATMAFIGPPSVILSGGREFNPEAVTVAPNWVPFQSDVVYRPHEIMKYSDGAVGNESGTPDEVRFGLAVDESDIVLFRTCVEFEPEWFDLVCKLYNKPVISIGVLPPEMDGGDQAWIKIKEWLDEQRVNSVIYVALGTEVTLSQTELRELATGLEKCGLPFFWVLRNPPGSTRGYSEMLPTGFTDRVRNRGVVSTEWVPQAKILSHPAIAGFLTHCGWNSVIEGLCCGRVLIMFPVMNDQGLNARLLAGKKVGVEIPRDERDGSFTSDSVAESVELAVLSEAGESLRANARRMKELFGDGDRNGSCMDSFVSYLRKNKTKFMGSE >itb13g11520.t1 pep chromosome:ASM357664v1:13:16231126:16233426:1 gene:itb13g11520 transcript:itb13g11520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGLFVCLVRKEEDSMIIRSPEPEVKILVDRDPVKTSFEAWAKPGHFSRTIAKGPETTTWIWNLHADAHDFDSHTSDLEEISRKIFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYADFLTFRGGLDPVTGGLWLTDTAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLALNLAMLGSLTIVVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPSATAPGATASTSLTWGGSDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >itb13g11230.t1 pep chromosome:ASM357664v1:13:16055896:16058614:-1 gene:itb13g11230 transcript:itb13g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEKPRYSNHITAIKPKTTKLRPFIVADTETLLDEKSHAHVPYAVGYMLVSPGDDVGSKADYEIETRFSESHVKFLPKMEDRSKRMMFEFLNSLERTLTVNKSVRTVYFHNLAKFDGIFFMRHYVERTDNIYRFKPLIRNHMLYELKVHKGRKLLMRFRDSCNLLPGSLASLAERLCPQLGPKGSIQHQEVRLSNLQERGEELLSYMRQDIRLLGGVMLKAQEIYWLNYQIYIEELMTLSSLATRIFRMKYYDEKRFPIHIPNRNEDTFIRRGYYGGHTDAYIPSGENLYYYDVNSLYPFIMKTYPMPGGVPVWRGNLEGERLDDLFGFIEALVVCPSDIIRPFLPYRDKNSNTLLFPTGQFAGVYYSEEFQYARDLGYTIIPLRGYLFEKKASPFEAFVSELYGSRQRAKKEGDEAMSYVYKTLMNSLYGRFGINPVSTVTELCDRERYEEFLRKDNFTSANQLTENYYIVSYITNRAEDKDWNPPRISAVQISAAITACSRINMYQYISRDDCYYTDTDSVVLGSPIPDEVISSTELGKFKLEYFVKKGIFLAPKSYYILTTEEKRVLKHKGIAKSLVNEEWFETQYAELHKTKQIPVQTNFQIDWESLNVMKREKIVNLGIKVNTKREPVFDNNQTWVDTTPLNVTDYAGQEKRILEYNLECLQKENAMKDREIEQLRSLIASISSDSLRKDEQGRSQPSDDPNNPAIQSHSSPTLFKHPPIKNKNKNKNKHTYRGKKKKKKPGTFGETGKLPPPGVSLPRRLRHGGSTMSSRNPRVQRSLSIGLITR >itb07g12010.t1 pep chromosome:ASM357664v1:7:13571377:13572585:1 gene:itb07g12010 transcript:itb07g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDILGGSNPSAIAVTGIVTNPAVSGQVAFAKPNGAVLAVNNGVDVNNANSGIISNNNIPFLTGLSGITGNAIQNNGNNNNNIIGGGFGFPALNMAQLGSGITFQKLMFGTMTVFDDELTEGHELNSGLVGKAQGFYIASSEDGTSQTMAFTVMFHSGSYSDSLTFFGVHRIRVSESHLAIMGGTGKYVNAKGFATVKTIPAAPNQQETDGVQTVLHITVYLAY >itb07g12010.t3 pep chromosome:ASM357664v1:7:13571377:13572585:1 gene:itb07g12010 transcript:itb07g12010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNLLKNVILSLVLALTLTAAAVSGRVLEEEPVSPGVEPETPASVATPVSGVTASGASPAGAGAAAAAGSGGDGGEGPDDHTFSFFMHDILGGSNPSAIAVTGIVTNPAVSGQVAFAKPNGAVLAVNNGVDVNNANSGIISNNNIPFLTGLSGITGNAIQNNGNNNNNIIGGGFGFPALNMAQLGSGITFQKLMFGTMTVFDDELTEGHELNSGLVGKAQGFYIASSEDGTSQTMAFTVMFHSGSYSDSLTFFGVHRIRVSESHLAIMGGTGKYVNAKGFATVKTIPAAPNQQETDGVQTVLHITVYLAY >itb07g12010.t2 pep chromosome:ASM357664v1:7:13570927:13572585:1 gene:itb07g12010 transcript:itb07g12010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNLLKNVILSLVLALTLTAAAVSGRVLEEEPVSPGVEPETPASVATPVSGVTASGASPAGAGAAAAAGSGGDGGEGPDDHTFSFFMHDILGGSNPSAIAVTGIVTNPAVSGQVAFAKPNGAVLAVNNGVDVNNANSGIISNNNIPFLTGLSGITGNAIQNNGNNNNNIIGGGFGFPALNMAQLGSGITFQKLMFGTMTVFDDELTEGHELNSGLVGKAQGFYIASSEDGTSQTMAFTVMFHSGSYSDSLTFFGVHRIRVSESHLAIMGGTGKYVNAKGFATVKTIPAAPNQQETDGVQTVLHITVYLAY >itb04g11930.t1 pep chromosome:ASM357664v1:4:11545262:11551159:-1 gene:itb04g11930 transcript:itb04g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFNKPKQPLTNSSSSSSSPPQTPHLFLQQAFTSLQANVSNFLQNALPKPPSPSSSESPAWARVSNFNNILNTAAASSATGRSTRGNDAMSSAAIKERLAGVPVYALTSPGEEFVLVSPVRSETSLGLFCLSEADATALLNQMTSIDPSMRKGSRVVPVALNEVVQLKVDGVAFRLIPEASQVMNAIKERKRNGTSDNETFRGVPVFQSKSLALRSDDKRYRPVFFRKEDLERSLSRASKEQNLLNPTLKGGDIQVSVLEDIIQGMKDSSTSTWNDVVFIPPGFDVSTNPPRG >itb12g01880.t1 pep chromosome:ASM357664v1:12:1275131:1280822:1 gene:itb12g01880 transcript:itb12g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQLLAPGASDRAVEQAIVALKKGAHLLKYSRMGKPKFCPFRLSQDEKFLIWYSGEKEKHLRLSSVTNIIHGQQTKQLQPERESQCISLVYANGEQYFDLICKDKMQAESWFLGLRAVISRSRQNRLLGTGKHRRGAQSCINSPASYIRRRQILGLPEEMARSNQVRSLARSPDQSFSGRGYSDGLSCSSDSIFSKISVSDMQSAPDGINPSSPYSEADDINQRAADFFQDEIQSDILAVYAASVNEPAQTGHVLRDVYVWGEGIGGFLGGGGEKLDSSLPKVLDSTVMLDVQTLSLGRNHASLVTKQGEVFCWGEGKKGRLGHKVDMDVSHPKLVETLSGVSVKSVACGEYQTYAVTPSGELYTWGDSSFSEDWKRRSHWLPQRVSGSLDGVPVSSVACGEWHTAIISTSGELFTYGEGNFGALGHGNTKSLPHPKHVESLKDLWVKSVACGPWHTAAIVDIMVDRYKLNNPGGKLFTWGDGDKGKLGHSDQDKKLLPTCVTKLVGQDFIQVSCGRTLTVGLSIIGKVYTMGSAVYGQLGNPQAEDKPITVVQGKLKDEFAREICAGSYHVAVLTTNGNVYTWGRGANGQLGLGDTKDRNSPTLVDGLRDRQVEHITCGPSSTAAICLHKSVSSTDQASCRGCSMAFGITRKKHNCYNCGLLFCRICCSKKSPNASLAPNKNKPFRVCDTCFSQLQRTTAQVGRPPELETCTPRPFFITTKALVEKEEREQAQSTTARVTSRRKCSNMSDFSYDGKTLNNQVEIKQCLDLTSSVDSLPRWGQVPCPESFKRHLREHTEFQTSSARDQFTSISPVRLLQYPKEPKVIPCSASVQQDFSESDKLLLEEVQKLRAQVEGLKKLCHSRKEKIQERKQKIKEIWSLAIEQEANCEAAKEDIRVLTSRLQAMSEDVSAGTGTKDQSSESSPQITSPYTGNTDMNPEQSNLLLATCTPIEVLQPEERKTDSLCSSPIVFSSTSRFLRKKDSHGQSRSAEESCPVEGDSGQAGSVASGLEWVEQFQPGVYITLKTLPGGRKNLKRVRFSRKRFTEKEAEKWWSENQMFVCQRYNIDINSDIS >itb14g21010.t1 pep chromosome:ASM357664v1:14:23217739:23222168:-1 gene:itb14g21010 transcript:itb14g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSQMKTIELEEGWDFMQKGITKLKRILEAQPESFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFDEYINSVVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLSPLHEVGLSCFRDLVYQELRAKARDAVIALIDQERESEQIDRALLKNVLDIFVEIGMGQMEYYENDFEDALLKDTASYYSRKASNWIVDDSCPDYMLKAEECLKKEKDRVAHYLHSSSETRLLEKVQNELLVVYTNQLLEKEHSGCRALLRDDKVEDLSRMYRLFLKITKGLDLVANMFKQHVTAEGMVLVQQAEDAASSKAESSGSSQEQVFVRKVIELHDKYMAYVTDCFSNNSLFHKALKEAFEVFCNKIVAGCSSAELLASYCDNILKKGGSEKLSDEAIEETLDKVVKLLAYISDKDLFAEFYRKKLSRRLLFDKSANDDHERLILTKLKQQCGGQFTSKMEGMVTDLTLAKENQNHFQDYLNNNPAASPGIDLTVTVLTTGFWPSYKSSDLSLPQEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNINGKFEPKTIELILGTYQAAALLLFNASDRLSYQDIKSQLNLADDDLVRLLQSLSCAKYKILIKEPSNRTVSASDYFEFNSKFTDRMRRIRIPLPPVDERKKVVEDVDKDRRYAIDACIVRIMKSRKVLAHQQLVLECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKENPNMFRYLA >itb01g19540.t1 pep chromosome:ASM357664v1:1:25655434:25657546:1 gene:itb01g19540 transcript:itb01g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIFPYVPYLVAFLALILLLEQISYLKKKRFLPGPAFVFPVIGNVVTLVTNPTKFWDDQSALAKTNAAGISVNYIIGRFILYIHSTDLSHKVFSNVRPDAFHLIGHPFGKKLFGEHNLIYMFGQEHKDLRRRIAPNFTPKALATYTEIQQRIIIKHLACWLKRSSDSPSEPIPLRILCRDMNLETSQTVFAGPYLSGEARRRFNVDYTFFNVGLMKLPIDLPGFAFRNARLAVDRLVETLSLCAEQSQEKMETGEEPTCLIDFWMQEAVREINEAKQNPGEIGGGFVYNPKEIGGHLFDFLFAAQDASTSSLLWAVTLLDSHPGVLERVRTEVRKFWSPESGNPIAAEHLREMKYVEAVAREVLRFRAPATLVPHIAGVDFPLTENYIVPKGTIVFPSVLDSSFQGFPEPEKFDPERFMEERQEDRVYKKNFLAFGAGPHQCVGQRYAINHLMLFIAVFTALIDFRRHRTDGCDDIAYVPTIAPKDDCKVFLSQRCINFPSLN >itb09g02870.t2 pep chromosome:ASM357664v1:9:1615339:1616226:1 gene:itb09g02870 transcript:itb09g02870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMFDNSECSSGCESGWTVYLENSIMAPYSSYNNGNKFFRGKARVNGEEEEEDLSMVSDASSGPPQVVAEEDYGNDSHGWCFHAPTGAVGSALPKKSNVKKQRKKEKKENRLQNLKEKASMLDDTASSPIFNCTNNNFTINNQTSLESVVPDFSQGYSTTQFQKRLSEEKHVFMQGQSAYQEFFQSSLLPANQFQQNQWIEGRRWG >itb09g02870.t1 pep chromosome:ASM357664v1:9:1615274:1616517:1 gene:itb09g02870 transcript:itb09g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMFDNSECSSGCESGWTVYLENSIMAPYSSYNNGNKFFRGKARVNGEEEEEDLSMVSDASSGPPQVVAEEDYGNDSHGWCFHAPTGAVGSALPKKSNVKKQRKKEKKENRLQNLKEKASMLDDTASSPIFNCTNNNFTINNQTSLESVVPDFSQGYSTTQFQGQSAYQEFFQSSLLPANQFQQNQWIEGRRWG >itb06g21010.t1 pep chromosome:ASM357664v1:6:23759988:23762840:-1 gene:itb06g21010 transcript:itb06g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQLSSFLKSGVYRLQSANAFFIDPVRVVNRSYTRYRVSPSAYYSRFFESTEKLETSAESRKRKRKEKKTISLNEREKVAEQRHQEVRPLLLKAHGALLGATELLKVVRNLRCEECTDEERRELSQQSCELSFVDLGSVWQAPLYEIVLNYQEGDAHIENEGSRLGEDLKQDVIPAFDNLIVNKGSGDAEAEFLNHKYIIPKESCFYMSDVRKIHNLIPADSDSGFNIIVIDPPWENSSAHQKQRYQTLPNRFFLSLPIKQLTHTAGALVALWVTNKEKLRSFVEKELFPAWGVKYVTSFYWLKAI >itb15g03390.t1 pep chromosome:ASM357664v1:15:2161751:2165376:-1 gene:itb15g03390 transcript:itb15g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIQQNIGSSNPKFSGFSFPQPEGPLLHLNNNLDNFASNGDYGLVDENVGNQNDEAGQEFTFPTQPSNNEFMHNDGMSSFLSSGDKSNSLTNFVSVSESLSQGSDFFNYQGNFSSSFTSAAQSMSLDNSHMYQDNLTLTHQSISQGHNLFSQQSNLSTIFASNPQSLNQEADISYQNSFTNFAFNTSQSFNQASPNSSNYLNTLSRNFNSTPQPWNHQGLNPQYPQPNLVTNNFSSLPPPLTQEYNPPYQANLITNFSSTPQPVGQVPDLSYHQDNSSTNFFFTPQSLNQESSLVHLNHDNPSSNFTFTPHQSLNQWSSLHPSNPNNPSFNFIFASQPLNQGSSWRHLNHDNPSTNFPFTSQQLNQGYSLPSQNRDNPSSNFTTPQSLNQVSPSTNFTFTSQPLNQAPSALPPNPGFNNPNENLLGFPNERDDYSNYLIAQGRLQSSQNDEAARAPSVPPFSYNPTVAQQNPNNQGFNMAQPSPVMPQNNSYTSLLNSMMEAPTNNAATTTPPQPPSVDVGGLLRSGNRSAAAVLATNTQLFGKGLLGQYQALSNKSSWRNAILESILIAASGPDRGNKAAAAEEVKLDEQALQVALAADSLLDLQGEVGPRWTFRLPPHLVAAAGNTGPTTGGGVAAVAGTEAGRKKGGERRGRKKSKGITINEGGRPRETGVIINEGGRGKGKEKVGEGGVQGASRKGKEKVGEGSVQGRGRKGKEKVHPNIDEDDDDDDTSYEDDDIPFQDDDYNMAVMLNWHDFYNRIPPGQTSFQGPLPPPPPSAN >itb12g04770.t2 pep chromosome:ASM357664v1:12:3161691:3163948:-1 gene:itb12g04770 transcript:itb12g04770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNLEKNKGAKGSQLDSNKKAMNIQQIVYAVQILIMSWEFISHKCKNGGINV >itb12g04770.t1 pep chromosome:ASM357664v1:12:3161691:3163948:-1 gene:itb12g04770 transcript:itb12g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNLEKNKGAKGSQLDSNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKADVSACFPHLKK >itb10g17440.t1 pep chromosome:ASM357664v1:10:23628507:23632401:1 gene:itb10g17440 transcript:itb10g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQKALIYAFVARGSVILAEHTDFSGNFNSIAYQCLQKLPASNSKFTYNCDGHTFNYLVDNGFTYCVVAEESAGRQIPIAYLERIKDDFVSKYGGGKAAVAVANSLNREFGPKLRDHMQYCVDHPEEVSKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHNQAQDFRNTGTQIRRKMWLQNMKIKLIVLGIIIALILIIVLSVCHGFNCGK >itb07g23750.t1 pep chromosome:ASM357664v1:7:28119445:28122581:-1 gene:itb07g23750 transcript:itb07g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVSNNLLGLLNIATFLISIPILAGGIWLSRQANTECERFLEKPVIALGVFVMLVSLAGLIGSCCRVTWLLWVYLLVMFLLIVLLFCFTIFAFVVTNKGAGEALSGKGYKEYRLGDYSHWLQKRVNNNWGRIGSCLQDSKICQKLIDDGSNTAADDFYKEHLSALQSGCCKPSNDCNFEYVSPTNWTKTPTSSLINPDCNTWSNDPKTLCYSCQSCKAGLLDNIKTDWKKVAILNIIFLVFLIIVYSVGCCAFRNNREDNSWKRYP >itb05g27800.t1 pep chromosome:ASM357664v1:5:30998763:31000473:-1 gene:itb05g27800 transcript:itb05g27800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNTIGMSPESFLDCPPPKYQSYGWLSPRVSYSREFPAESESTNTAGPNHSSLLPDKCADDKLQDSDPDVSAKDVGDFEFRLDDPVIMLPADELFSDGKLVPLQLSMIRPVATSAAMPTSMEVRSPDTPKLRIRNEICGTDPYLFSPKAPRCSSRWKELLGLKKLYQNSNAKQDTQKTSSLQANNGHKSLKNFLHRSSKSLHTSIDASLSQPLLKDSDNESVSVSSRLSLSSSSSGHEHDDLPRLSLDSEKPNGHTRNASQNINPPRVRVVKPRALSSENPTAAASRVGRSPMRRAAEATTSTTVVRGVSVDSPRMNSSGKIVFHSLERSSSSPSSFNGGPRYKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGVFGFPLFSSSQPKKESGSSSSGNGGGSKAHQSIAKHRTDRIKE >itb04g10350.t1 pep chromosome:ASM357664v1:4:9686465:9687695:-1 gene:itb04g10350 transcript:itb04g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNSLPRPKPGRHLTEKHRRHRMKVLYRQLASVISRENSLKQSPAFDVLDHATNYIKQLEKEVTELKARKDSLQLPLIIDVNESDEDETLEINIVCGSENKKLKMDKVFRILEEEGAQVVRATKSIMDLKSYHTIICKAFSPRLGMDTAGVRERLKSFISDA >itb10g02570.t1 pep chromosome:ASM357664v1:10:2221697:2223317:1 gene:itb10g02570 transcript:itb10g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLYLLTKTSSTNALLATVVVGLVAVAVAVALSSSPPVVGCYTSIFGFGDSITDTGNYMTSCLKGVVQSEHYGLPLVPPYAGGEDIKLGGSVNFAVIGAPALDDTYRDEQGTLTRKDISMKAQLDRFKNLLPSICKTSNCEEIFGSSLIVFGPFGGDDYSSAMSKKDIKEAHLLQPRIVNAIASAVEELIELGAVNIMVPGLMPDGCIAITLTLFYGSNQNDYDLTTGCLSWLNEFSQNHNKLLQNELNRIRDRHPNVFITYADYYNAALQLFGFPAKYG >itb07g07430.t1 pep chromosome:ASM357664v1:7:5629537:5629968:-1 gene:itb07g07430 transcript:itb07g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGTIPKEIAHLSFLSTFNISANSFHGHIPDTIGFLTKLQTLDLSYNSLTGNIPATLYNVSSLRLVDLGNNHFSGTLPGAFCDNFSLLQALSLSRNGLSGQIPSSLHKCMELRILDLSDNEFHGSIPPQIGNFSKLHFVTI >itb05g04430.t1 pep chromosome:ASM357664v1:5:3981333:3987713:-1 gene:itb05g04430 transcript:itb05g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGKEELRMQSSSSLTGIPIKKRRFPFVLPSSSPPEEPTSFHDESNLKNGESGSTQRSSFNPIDTAGSASKSDAIKNPLLEVKQEKPGGAHVSFGQPVMDSSGSKLADADKTSVQSTEEPPGSKPAEADNTSIQFTKEPFGSKVTEADVSAAQSFEEPSGSKATETNASSLHYVEGPSGSKPTEMDVTAAQPNVEPSGSKPADTNVSSVHNMEEPSGSKPAEKNFSFVQSAKDPFRSKSEETNVASVQPSREPCGMQLSEANITSAQTNEESLESKVQVTNTKICSGSTNSADNCVKGALTESSLEVAENISVNVKMENIGKQAEGSIKFQSFAHSGNTELSLGLQRQNLPLGVQNRGGSEMPNNLDPSLLSLSLNKEKAIDSQIGRSNSRSNDDSPDTDTNRSNWDLNTTMDAWEGSGNGFQDTDNIDALSKISTRHDLKPSLSSFSMLGACNDKGKQIFGVSEQISSIQSSQSEDSLFLSLGNGLDFSKRHFGSMTKADSIPHTSVPRTITNMNSIGCGTVKVEPIDESSKQDYAGSSNNAAKALDGNSLKMEHVERGNMEPVEFPIKCAEKLIEKKSIKSEPLQETNQESCRMSSMTLHQSIGNVVPSKESSSTLPVPLTPEKLLSTRMATFSELSVSGELSNQSEHSVHTKEVYAMNAGIAIQNENSALKGLNASCSKVDACESEGVKIDSLEMSQLKQVNEHHQALVASCEGSVSGEQDMNISVDMEEEQLYGSDYESDGNHACTVHTDVEGRPCGKEDDDYEDGEVREPILQSTEENTIALGTDSKKIIDSDIHAMAASTDDNNVQSGCDEKDETAMIYHEAINDCSKECGGGTVCDKIVDQVLGKDGSLSTSISENVPATCSYDSMPVNATQQIHFDQAGAKDRQEENIEGVLCDGALDASNDMRVTVTKISVEDANGTNDAEKLNSSLANAETPLNGNSAKDSSAIKSRIINLPRTSNSTSPSKARSIMGRPLSSRSGRERYFDAQDERLHLSGNRGEMYTDGSNKYARERIRDRPYESSRVSFMRGRGRVSARFGRSHRDWDSERDFSFTRRDDYCFPRHKRAAAIDDAEVERNDFDIAPNGAVMGPSRGRKHLNGESPSFRHSSSRRLSPVDRGGHATMGIQILGRMPRNSSPSRCTDEDGSEFVGLRHGERFVRDLPTDIIDPVYRSDGQFVRGKKIFPPIQRRDFSRMRSKSPDGSQRHSPGPWTSHRRAMEGLDDLSELPQRRSPAMYRVDRMRSPECDYFSEEIVPRRRGSPSYVARSSNNMRDVDTMQEHGHPNSLSNRSPNRLFARNTRRADGVNRRERVDDDEYFSGPINSSRLHELRGDGGSDERRKYTERRSVRSFRPPYSNDTENFRFHPDDGPRNFGCSPEEDAEFMERTNTREREFDARIKTGPGIAPRRMRNIEEQEGNYRPQIWHGDGGFNDASRLKRRRF >itb06g25250.t1 pep chromosome:ASM357664v1:6:26397130:26397906:-1 gene:itb06g25250 transcript:itb06g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPVPVLLKYECNVSVRHDIMGGFQNASLRGFYFQFVVSQRRMLYYFSNATYDWVHQYDVVGEQVACGRFVVKVEDMSQCEVRAVHVITGMLRTINIAQTEQPEMVWKIYSTVRSLCRQAEDISDVRIPVMVDIVRVFQQAVVNPFVVWATPHPYPVLNQGILRDGDRNEDQDEDEDEEDTEDEEEGGPVPAARSAVAALEKVKLKPKETCSICFGGLIKATRMPCSHVFHERCIVRWLKRSNECPLCRFQLPTDN >itb09g22420.t1 pep chromosome:ASM357664v1:9:20833130:20834093:1 gene:itb09g22420 transcript:itb09g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRSVAGALLVLLVFAISAYPFEARHHRCKHGGKKGHKCLPGGHHVSGEKAVLTINSFEKGGDGGGPSECDGHFHSDDTLIVALSSRWYNHGQRCHRHINIHGNGRSVRAKVVDECDSNRGCRANIVDGSKAVWKALGVPKHQWGELDVTWTDD >itb10g02740.t1 pep chromosome:ASM357664v1:10:2347499:2348065:1 gene:itb10g02740 transcript:itb10g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLGLINVSAAEGSSRSAAADQPPAPPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNCHHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPENNPFGNGAIRVFLREVKECQAKARGIPYKKKKKKRLNPIKGIGGHDHHHHHHPHNNLKQST >itb15g02610.t1 pep chromosome:ASM357664v1:15:1610535:1614777:1 gene:itb15g02610 transcript:itb15g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSWADLVENAASGNAGTSDGSGASASTRKSSYVPPHLRNKPPSAEAPAPPQGGPQSRNDRSSYGGSASGSVWSGPRSDNGRPRYGGGGRGGGAWGSRGGGWGREQEVNPFGSDDIDGDGESPSEQENSGINFDAYEDIPVETSGNNVPPPVTTFAEIDLGDALNLNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIIKGSVPERPRGGRTVYPLALILSPTRELSMQIHDEAKKFSYQTGVRVVVAYGGAPMHQQLRDLERGVDILVATPGRLVDLLERAKVSLQLIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPPGERQTMLFSATFPREIQRLASDFLSNYVFLTVGRVGSSTDLIVQRVELVQNNDKRSHLMDLIHAQKANGVNGQQALTLVFVETKRGADALEGWLCSNGFPATSIHGDRSQQERELALRSFKRGDTPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNLSLAKPLADLMQEANQEVPDWLTRYASRSYSGGRNNRRPGGNRFGGRDFRRDSFNRGGSGKDFYGGGSGGVGGGYGGYGGGGYGSGGANSAWD >itb05g07480.t1 pep chromosome:ASM357664v1:5:9749910:9752533:-1 gene:itb05g07480 transcript:itb05g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKLNLEGSDVMLSDLPTAISSPFFPPTDLKPPPPFLCGSCHPTPPPSLSLPFSADAIQEWIERVAAIPVDGKDGPADVCVIELGGTIGDIELMPFIEALGQFSYRVGKVENIVSLYDVSNMWHVPLQLRVRVAMVGKYTGLSDSYHFVLKENCVLTLKNEVEHCVKMAELIEYNLEDVDAAILAVRVALAKGMSWEDLARMVKEEKKYGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTQLVDKVWLD >itb15g17730.t1 pep chromosome:ASM357664v1:15:18814096:18816558:-1 gene:itb15g17730 transcript:itb15g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRAKQTCCVPSSSAPLFPSKMATKLNLKPESSSQPESETENEEEEEARRRRNSRKEFDLGNGSEVIYIPRFMSYDQSWEYLEYLNKHIPWTRPTIRVFGRPFVQPRDTCYVASEGVSELVYSGYKPHAYSWDEFPPLKEILDAVHKAIPGSHFNSLLLNRYKGGNDYVGWHADDEKLYGPTPEIASVSFGCERDFFLKKKPNKTSRGKNAEGEPPSKRSKTHNNSEQHHFALKHGSLLLMRGYTQRDWLHSVPKRAKVEAVRINLTFRCIL >itb05g10270.t1 pep chromosome:ASM357664v1:5:15391591:15396375:-1 gene:itb05g10270 transcript:itb05g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIEWAATPNHLGGVPRKMVIAAVGCFAKAVANLINTTTVHNADTLLRLVGSRPPGIPLITVSNHMSTLDDPVMWGFKGFPTCDAKLARWVLAAEDICFKNSVLSYFFRLGKCIPIRRGGGIYQEHMNEALDRLSDGAWLHTFPEGKVCQEDAPIRRLKWGTASLIARAPVTPIVLPIIHHGFEKVMPENHMFGRRPPFPLCNKKMSIVVGKPIEFDLPVLRQMALSMSKESTFSSDDQWPKTVEGGLDEAAQKYLYTTISDQIRTAMERLRNRCKVHMKLECNLTSSEQSK >itb14g17820.t1 pep chromosome:ASM357664v1:14:21000472:21008809:1 gene:itb14g17820 transcript:itb14g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKNPDSSSHGSEEREDQGGGQLYVSLKMENFNLKLKAELIPHVFGSVPLVGSWDSSKALPMERESTSMWELSFVVPPNHETLDFKFLLKPKNNYGPCLVEEGANRVLTGGTLQGDGRSALFKLNEEEVIEYKVYIKADRVSPFDLAASWRAFQENRKPSTVRGIPDVSINSSTELGNEHGSSATLELDLEHYVVPAPATSANSALVYAANLTETPRSLKGTGVFSRTDGANSGLHSYKDGRVSNDRPATIKEMEVIVPDPTKVASCSGAAESKSVGTLVSLQKQDSHRGLFVDRGVGSPRLVKSPSSTTFTFDLKLDSETKNPMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQCADFFRGDNPEGLEARNEVAALAMEDMIAWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKIIFLETLCNDRKIIERNIRLKVQQSPDYAEETDFEAGYRDFKSRLDNYEKVYEPVEEGSYIKMIDMVSGNGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESLDNVRGRIGGDTVISETGKLYSKKLANFVEKRLKNERAASIWTSTLQRTILTASPIAGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLM >itb12g26010.t1 pep chromosome:ASM357664v1:12:27090298:27090927:-1 gene:itb12g26010 transcript:itb12g26010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKAQSGVRKLKKKQVKDELDRMKQAEKKKRRLEKALATSAAIRSELEKKKEKKKEEQQRLDEEGAAIAEAVALHVLHGEDSEDSRDVMLKKDEGSDLWDHGRNLDIFMGGGRRAVFPQQNLTKYSFEGTEWVARNQTFGWKEFGNQDWMASYEPRGRDLYQQYYGGGNWDITGISPQLIAEQAFSSLQIAEDAHVGTYIFNQVLRG >itb05g10210.t1 pep chromosome:ASM357664v1:5:15238422:15239172:-1 gene:itb05g10210 transcript:itb05g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMIEEGDNKDEPTWTCEASGMFSVNSGYNVITVTTNNIQEPIWRKMWKIKVTNKMKTFIWITRHDRVLGNAERKMRGINAEGGCDVCSCKEESIVQILRDCHHVEEVWTTMLAGIQEADKEVSRAFLREANIRNNVTTENLLKLCWKPSLIYRYTTNVDGNVKATTKTTGIGRILRDEKVE >itb06g16710.t1 pep chromosome:ASM357664v1:6:20756673:20759530:-1 gene:itb06g16710 transcript:itb06g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MIHGCFLASRHRITLPSVLTLQRSFTSYNPSLNTGLSLVRPILYSSAQNELHGFFDNLLQQLNACAEQIKQIHSQIILTGASNSGFLVARLISAYSGFGLLDEARKVFETSLVECFSNLLFWNSILRANVTHGEFLEAVKIYAKMRLFWIWPDAFGFPLIVRACGMLGDYNLCKVVHCHVIQMGFQNHLHTVNELLSMYGKVGRMDIAHILFDKMSTRTHLSWNIIISGFSRNFDCDGARQMFSRMESEGWQPNPVTWTSLLSSYAKCRRHEDFWGLYIQMKRIGVEITAEALAALISVCATMNIPDKGEMIHEYVIKGGFENHSIVINSLISLYGKNGGVKAAEHLFSGLDSRSIVSWNSLISSYAESGLCDEAFAIFSQLEKLGEHSMIRPNVVSWSAVIGAFAAKGRHKESLELFQRMQFAGVMANSVTISSVLSVCADISALRLGREIHGFIIKVLRDHVDLLVGNGLINMYMKCGSLKDGKIVFDSVEERDLFSWNMMISGYGMHGHGDCALETFDQMIKAGFKPDEVSMVAILSACGHAGLVSQGRKLFDQMRTRFGIEPQVEHYACMVDLLGRAGLMQEAHDMVQNMPMKPNACVWGALLNSCRMHKNTEVAEKAAAEILNLDSEMTGSYMLLCNLYAGCRRWDESANVRVSAKTKGLKKIAGHSWIEVKKKVYMFSAGKALQTGMEEIHWMLSVLSYQMDKEEQKI >itb01g02760.t1 pep chromosome:ASM357664v1:1:1791117:1794040:-1 gene:itb01g02760 transcript:itb01g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSMEEMAIPQSEEDGFLRAIGLPSGIAAIMVSKAAIELGVFEIIAKAGEGAKLSAKQIADCLPTHNPNAPVMLDRMLKFLANQSILKCTLTEDNQCSYNLTPISKNFVPNEDGVSLSALVQLGTDKVFVNSWYALKDAVLEGGVPFNRTHGMHAFEYPGKDSRFNEVFNRAMHDHSAIAMKRVVECYKGFEGVKEVVDVGGGFGSTLSCIISKYPNIKGINFDLPHVIKEAPAIPGVEHIPGDMFESVPCGEIIFMKWILHDWDDEHCLKLLKNCWKALPESGKVVLVESILPEHPEKDVEYGSAFYADVLMMTMNPGGKERTHREFEALAKEAGFSALKAVCAVNAEWVIELYK >itb01g05950.t1 pep chromosome:ASM357664v1:1:4151732:4154866:-1 gene:itb01g05950 transcript:itb01g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLGGTSSSTLAILASKPFLSSHPSKSSIFSLSPSSGLSVGKKFYGGIGLRVNKGRPQFHVSISNVATEATPAQERAKKLAASESRPVYPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPFNSDPDDPEVMSVEIREKITTGEDLPVVLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRESYKAEQEKLQNQIASARNSLSSVTIDHDLRVKISKVCAELNVDGLRGDIVTNRAARALASLKGRNEVTSEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >itb07g16780.t1 pep chromosome:ASM357664v1:7:20694484:20696058:1 gene:itb07g16780 transcript:itb07g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIPRVVHCSASQAGEVLVILYSTNLSIKAAKAARVQGKCGKGANNKLGDSDTGIVSLKNYLDAQYYGEISIGYPLRNLLLYLTLAVLISGFRHPSATFLLLAIFIQIKVQVKQVLYLYKDSSGTSCSITYGSGSISGFLSQDNVGVGDLVVKDQEIEDVVPVC >itb07g16780.t2 pep chromosome:ASM357664v1:7:20694484:20696177:1 gene:itb07g16780 transcript:itb07g16780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIPRVVHCSASQAGEVLVILYSTNLSIKAAKAARVQGKCGKGANNKLGDSDTGIVSLKNYLDAQYYGEISIGYPLRNLLLYLTLAVLISGFRHPSATFLLLAIFIQIKVQVKQVLYLYKDSSGTSCSITYGSGSISGFLSQDNVGVGDLVVKDQLIQGSFALWDMRCNKCSVAKDFVYSEYYFPKSTRIMIYEY >itb07g16780.t3 pep chromosome:ASM357664v1:7:20694484:20696098:1 gene:itb07g16780 transcript:itb07g16780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIPRVVHCSASQAGEVLVILYSTNLSIKAAKAARVQGKCGKGANNKLGDSDTGIVSLKNYLDAQYYGEISIGYPLRNLLLYLTLAVLISGFRHPSATFLLLAIFIQIKVQVKQVLYLYKDSSGTSCSITYGSGSISGFLSQDNVGVGDLVVKDQSLLKLQRNLVLHLYWPNLMGYLGLGSRKSKMLFLSADTRVLCSMGYEVQ >itb10g06570.t1 pep chromosome:ASM357664v1:10:7401876:7407706:1 gene:itb10g06570 transcript:itb10g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESNSGDVILGVDGGATSTVCVCLPLLRLPDLPDPLPILGRALAGCSNHNSVGEHAAREALEKVMQEALSNAGMKQSHVRAVCLGLSGVNHPKDQENILNWLRNIFPSDVKLYVRNDAIAALASGTMGKLSGCVLIAGTGSICYGFTEDGRESHAAGAGPILGDWGSGYGIAAKALTAVMRAHDGRGPQTMLTSHILQWLGLSSPEELIGWTYADLSWARIAAIVPVVVSCAEDGDAIANEILNAAVHELAISVRAVVQKLCLAGKDGNDSFPLVMVGGVLEANKKWNIGNEVTNSILKTYPRASVIRPKVEPAVGAALLAMNFLLKEAEANGHR >itb05g26480.t1 pep chromosome:ASM357664v1:5:30338922:30339944:1 gene:itb05g26480 transcript:itb05g26480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTSSTSLYGIILGSLSVLLLIQRGCASYTFKVGGAGDWAVPSDPNTNTYNQWAEKTRFQIGDTLMFMYPADKDSVLLVTKDDFTNCNTQAPLEKYSDGHTVFSFNHSGAFYFISGVQDNCKKNQKVVVVVMADRSGRSSAPSPSPSEQQVLPPSPPPAGEEAAPSPAEASPPAPTTSSEVPFPSPSSAPAGEEAPPPPDNSTPAPSQESNPPKKGNGNAASSTFTATVSSIGALIGSSIILAF >itb14g09740.t1 pep chromosome:ASM357664v1:14:10601365:10602497:1 gene:itb14g09740 transcript:itb14g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVHPRDSPPASLPVSSNNSGEAVGQPAKPQCPAPAKPVPPPGTYVVQVPKDQIYRYPPPENARRFNALSKRKPRRSCCCRCLCYTLFLLVALIVALGVAAGVLYLVFRPESPKYTVSSIAIKGLNLTSPSPISPEFDVTIRAENPNDKIGIYYRKGSSVTVFHSDVKLCEGALPTFYQPSNNVTVFPTALKGSNVALGSAVKSVLANQQRQGGVPFRLNIKAPVKIKIGAVKTWKITVKVKCDVTVDALTEKSNVISKDCKYSARPW >itb03g26240.t2 pep chromosome:ASM357664v1:3:25757054:25761230:-1 gene:itb03g26240 transcript:itb03g26240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTEDVEDWMYHQLEDTSHLLHGVIHANGYGHLLRVNGREGGSRVLSGCHIMNFWDRLCKVLGVRKVSVMDVSKKYGLEFRLIHAITKGHPWYGEWGYEFGTGSFGLTHDAYKRAVQNLSSLPLTILLSQGRKPRTRMQDLISFYQSLSERELVNIRDLFSFLISLIHDTPKSSLRVDDLTCKKRKYCDTKALCAWSSSDVLRVEEAMFRVLRAVSGSKWVSWRALRGAVCKVGSPELLDYCLKELTGKQAADGMVVNSRCASGSGAMEYRLEPGSATVNVNTAGDNNYIRPNFPSEEHLLRDLKYLYECMLHPQTMVNHLHVCKKDVIIQSATTLIDCKKFMKEYQPEKFVPVLNPRAIQLLCELDLTDYSERCSVNPPPELLVLSSNATISDLKVEASRAFQDVYLMFRRFQVEELVGYAGVSDSTGLKLLLGSAEFVRVRGRSLGKNALSRFRMERGLESWTVDCHCGAKDDDGERMLACDSCGIWQHTRCAGIPDLDSVPARFVCLRCGCVIGQAKTIGQMRDEFVGSAAYCVGKGLGKSMTSAF >itb03g26240.t4 pep chromosome:ASM357664v1:3:25757054:25761230:-1 gene:itb03g26240 transcript:itb03g26240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTEDVEDWMYHQLEDTSHLLHGVIHANGYGHLLRVNGREGGSRVLSGCHIMNFWDRLCKVLGVRKVSVMDVSKKYGLEFRLIHAITKGHPWYGEWGYEFGTGSFGLTHDAYKRAVQNLSSLPLTILLSQGRKPRTRMQDLISFYQSLSERELVNIRDLFSFLISLIHDTPKSSLRVDDLTCKKRKYCDTKALCAWSSSDVLRVEEAMFRVLRAVSGSKWVSWRALRGAVCKVGSPELLDYCLKELTGKQAADGMVVNSRCASGSGAMEYRLEPGSATVNVNTAGDNNYIRPNFPSEEHLLRDLKYLYECMLHPQTMVNHLHVCKKDVIIQSATTLIDCKKFMKEYQPEKFVPVLNPRAIQLLCELDLTDYSERCSVNPPPELLVLSSNATISDLKVEASRAFQDVYLMFRRFQVEELVGYAGVSDSTGLKLLLGSAEFVRVRGRSLGKNALSRFRMERGLESWTVDCHCGAKDDDGERMLACDSCGIWQHTRCAGIPDLDSVPARFVCLRCGCVIGQAKTIGQMRDEFVGSAAYCVGKGLGKSMTSAF >itb03g26240.t1 pep chromosome:ASM357664v1:3:25757054:25761230:-1 gene:itb03g26240 transcript:itb03g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGRPMKRMKRRVTADLYDFLTFPSPSDGVSSHAGSGAGPFRTNVRAFLSMHALLPPPSSLFPHLLTWQILFRVGDLTESGGDSSPAVVYLDIVEEDVARSRSVYCDHCRVVGWSNNPVCAKRYHFIIKADGNSIGGYNKSCAGCGDPLHLSESRCKSCNHMVTTEDVEDWMYHQLEDTSHLLHGVIHANGYGHLLRVNGREGGSRVLSGCHIMNFWDRLCKVLGVRKVSVMDVSKKYGLEFRLIHAITKGHPWYGEWGYEFGTGSFGLTHDAYKRAVQNLSSLPLTILLSQGRKPRTRMQDLISFYQSLSERELVNIRDLFSFLISLIHDTPKSSLRVDDLTCKKRKYCDTKALCAWSSSDVLRVEEAMFRVLRAVSGSKWVSWRALRGAVCKVGSPELLDYCLKELTGKQAADGMVVNSRCASGSGAMEYRLEPGSATVNVNTAGDNNYIRPNFPSEEHLLRDLKYLYECMLHPQTMVNHLHVCKKDVIIQSATTLIDCKKFMKEYQPEKFVPVLNPRAIQLLCELDLTDYSERCSVNPPPELLVLSSNATISDLKVEASRAFQDVYLMFRRFQVEELVGYAGVSDSTGLKLLLGSAEFVRVRGRSLGKNALSRFRMERGLESWTVDCHCGAKDDDGERMLACDSCGIWQHTRCAGIPDLDSVPARFVCLRCGCVIGQAKTIGQMRDEFVGSAAYCVGKGLGKSMTSAF >itb03g26240.t5 pep chromosome:ASM357664v1:3:25757072:25759853:-1 gene:itb03g26240 transcript:itb03g26240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNSLCGIRKVSVMDVSKKYGLEFRLIHAITKGHPWYGEWGYEFGTGSFGLTHDAYKRAVQNLSSLPLTILLSQGRKPRTRMQDLISFYQSLSERELVNIRDLFSFLISLIHDTPKSSLRVDDLTCKKRKYCDTKALCAWSSSDVLRVEEAMFRVLRAVSGSKWVSWRALRGAVCKVGSPELLDYCLKELTGKQAADGMVVNSRCASGSGAMEYRLEPGSATVNVNTAGDNNYIRPNFPSEEHLLRDLKYLYECMLHPQTMVNHLHVCKKDVIIQSATTLIDCKKFMKEYQPEKFVPVLNPRAIQLLCELDLTDYSERCSVNPPPELLVLSSNATISDLKVEASRAFQDVYLMFRRFQVEELVGYAGVSDSTGLKLLLGSAEFVRVRGRSLGKNALSRFRMERGLESWTVDCHCGAKDDDGERMLACDSCGIWQHTRCAGIPDLDSVPARFVCLRCGCVIGQAKTIGQMRDEFVGSAAYCVGKGLGKSMTSAF >itb03g26240.t3 pep chromosome:ASM357664v1:3:25757072:25761162:-1 gene:itb03g26240 transcript:itb03g26240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNSLCGIRKVSVMDVSKKYGLEFRLIHAITKGHPWYGEWGYEFGTGSFGLTHDAYKRAVQNLSSLPLTILLSQGRKPRTRMQDLISFYQSLSERELVNIRDLFSFLISLIHDTPKSSLRVDDLTCKKRKYCDTKALCAWSSSDVLRVEEAMFRVLRAVSGSKWVSWRALRGAVCKVGSPELLDYCLKELTGKQAADGMVVNSRCASGSGAMEYRLEPGSATVNVNTAGDNNYIRPNFPSEEHLLRDLKYLYECMLHPQTMVNHLHVCKKDVIIQSATTLIDCKKFMKEYQPEKFVPVLNPRAIQLLCELDLTDYSERCSVNPPPELLVLSSNATISDLKVEASRAFQDVYLMFRRFQVEELVGYAGVSDSTGLKLLLGSAEFVRVRGRSLGKNALSRFRMERGLESWTVDCHCGAKDDDGERMLACDSCGIWQHTRCAGIPDLDSVPARFVCLRCGCVIGQAKTIGQMRDEFVGSAAYCVGKGLGKSMTSAF >itb10g09850.t1 pep chromosome:ASM357664v1:10:13352069:13358299:-1 gene:itb10g09850 transcript:itb10g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGGAAGLTFWSPNVNVLRDPRWGRSQETPGEDPHVVAQYAVSYVKGLQGGDNPNNHLKLAACCKHYTAYDLDDWKGIDRYHFNARVSAQDLEDTYNVPFKACVEEGKVASVMCSYNQVNGKPTCADPNLLRGVIRGQWHLNGYIVSDCDSIGVMFEQQHFTDTPEDTVAATIKAGLDLDCGPYLAIYTEKAVRTGKLSEGDVNNALSNTLTVQMRLGLFDGAQQPYGYLGPKDVCSPAHQQLALQAARYAKTVHQPGCSGVACTTNQNFGFAEIAARHSDATVLVMGLDQSIEREANDRVSLLLPGLQQELVARVARASRGPTILVLMSGGPVDVTFARDDPKISAILWAGYPGQAGGAAIADILFGTVNPGVACGYTTPLHGIGRYAKTVHQPGCSGVACTTNQNFGFAEIAARHSDATVLVMGLDQSIEREANDRVSLLLPGLQQELVARVARASRGPTILVLMSGGPVDVTFARDDPKISAILWAGYPGQAGGAAIADILFGTVNPGGRLPMTWYPENYVQKVAMTNMEMRAGAGYPGRTYRFYKGPVVFPFGAGMSYTNFKQSLAQAPTTLSAPLLAKSANMTTKLNDEVKVAHTNCDSLNLELHVDVKNTGEMDGTHTVMLFSAPPRLAKNTAHPERQLVAFEKVHVMAGAKKRVRLNVNACKHLSVVDEFGVRRIPMGEHSIHIGDDLKHSVSLQTSNLEQIKV >itb13g13660.t1 pep chromosome:ASM357664v1:13:20150833:20155602:-1 gene:itb13g13660 transcript:itb13g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IWS1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G32130) UniProtKB/Swiss-Prot;Acc:F4ICK8] MGYENDPYRDEDGEALVDFDEDIQSDHDPQDNILDDDGWDQRQRERSPTPVYDDDYKSKPRKRLIKKSGGGKDTAPDLGFADEDAYDDGMAGMVRDESDGGGPSYSDGGKRKRFEKESGGEKRKEKKRDKGEKKFKLRKNGGYSGASRRGNDGDQEELKELWDTVAGGDSEDDRDGAKTIDDDNFIDDSGVDPADRYGSDHEQRSPGSYPQAEEGEEDDEIKELFKMGKKKKKNEKSAQEISLLVENVMAELEVVAEEDAELNRQSKPAINKLKKLPLLTDVLSKKQLQQEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTDYPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMKNYEEERAAFRRPPVKKPMNKASGMQSRDDDLDLAEFSQGQKSGQSSTRQLTSRPEAMSMDFVVRPQSKVDPDEVRARAKQIVQDQRRQKMNKRLQQLKAPKKKSLQASKISVEGRGMVKYL >itb09g14470.t3 pep chromosome:ASM357664v1:9:9738537:9742596:-1 gene:itb09g14470 transcript:itb09g14470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRMKSLAAMIPKNEGVSLDKNEEPVQQIQPELPRDILRVIIGRLNLRDNICASAVCKLWHSVALFVRVANKPPWLMFIPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTMDGWLLLYRPKDQCVLFFNPYTREVIDLPELDLTYQIVAFSAAPTSPNCIVFTVKHINPTVIAISTCHPGATEWVTSNYQNHLPFVSSIWNKLVFCNGLFYCLSLSGWLGVFNPEERTLVQVVPPPKCPQKFFAKNWWKGKFMAEYNGEIYVIYTCSTANPAIYKLDQTNEVWVEMKTLGGMTLFASFLSSQTRTDILGVMRNSIYFSKVRFYGRRCISYSLDHNRYYPRKQCYDWGEQDPFENIWIESPDDFSAFV >itb09g14470.t4 pep chromosome:ASM357664v1:9:9738568:9741877:-1 gene:itb09g14470 transcript:itb09g14470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNEGVSLDKNEEPVQQIQPELPRDILRVIIGRLNLRDNICASAVCKLWHSVALFVRVANKPPWLMFIPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTMDGWLLLYRPKDQCVLFFNPYTREVIDLPELDLTYQIVAFSAAPTSPNCIVFTVKHINPTVIAISTCHPGATEWVTSNYQNHLPFVSSIWNKLVFCNGLFYCLSLSGWLGVFNPEERTLVQVVPPPKCPQKFFAKNWWKGKFMAEYNGEIYVIYTCSTANPAIYKLDQTNEVWVEMKTLGGMTLFASFLSSQTRTDILGVMRNSIYFSKVRFYGRRCISYSLDHNRYYPRKQCYDWGEQDPFENIWIESPDDFSAFV >itb09g14470.t1 pep chromosome:ASM357664v1:9:9738537:9742596:-1 gene:itb09g14470 transcript:itb09g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNEGVSLDKNEEPVQQIQPELPRDILRVIIGRLNLRDNICASAVCKLWHSVALFVRVANKPPWLMFIPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTMDGWLLLYRPKDQCVLFFNPYTREVIDLPELDLTYQIVAFSAAPTSPNCIVFTVKHINPTVIAISTCHPGATEWVTSNYQNHLPFVSSIWNKLVFCNGLFYCLSLSGWLGVFNPEERTLVQVVPPPKCPQKFFAKNWWKGKFMAEYNGEIYVIYTCSTANPAIYKLDQTNEVWVEMKTLGGMTLFASFLSSQTRTDILGVMRNSIYFSKVRFYGRRCISYSLDHNRYYPRKQCYDWGEQDPFENIWIESPDDFSAFV >itb09g14470.t2 pep chromosome:ASM357664v1:9:9738537:9742596:-1 gene:itb09g14470 transcript:itb09g14470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRRMKSIDVSRLAAMIPKNEGVSLDKNEEPVQQIQPELPRDILRVIIGRLNLRDNICASAVCKLWHSVALFVRVANKPPWLMFIPKFGDLVEFYDPSLRKTYSVELPELRSSRLCYTMDGWLLLYRPKDQCVLFFNPYTREVIDLPELDLTYQIVAFSAAPTSPNCIVFTVKHINPTVIAISTCHPGATEWVTSNYQNHLPFVSSIWNKLVFCNGLFYCLSLSGWLGVFNPEERTLVQVVPPPKCPQKFFAKNWWKGKFMAEYNGEIYVIYTCSTANPAIYKLDQTNEVWVEMKTLGGMTLFASFLSSQTRTDILGVMRNSIYFSKVRFYGRRCISYSLDHNRYYPRKQCYDWGEQDPFENIWIESPDDFSAFV >itb13g24850.t1 pep chromosome:ASM357664v1:13:30423924:30425534:1 gene:itb13g24850 transcript:itb13g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNWTDSVIGPTGYPRESFFSFRPNQKIDKIVISYGTPSNLIIVGLTFFSSNEDGSTDTLTIGGGGKDSVVIRKDTVLFDGANEYLTGISGRFSVFDDPTSPILYCIKFTTNLRETRMYGVELQSSPLFNLNAPDGDKIVGFLGRSGPYINALGVYHTKA >itb02g16480.t1 pep chromosome:ASM357664v1:2:12338851:12342508:1 gene:itb02g16480 transcript:itb02g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVVVSIILSVIVLVQQGCESKVVQFIFGDSLSDVGNNQYLSKSLAKANLPWYGIDFGNGLPNGRFCNGRTVADIIGDKMELPRPRAYLDPSLSEDVILENGINFASGGGGILNDTGNLFMQRFSHYKQIELFRGIQELIREKIGSKEAERFFQESQYVVALGSNDFINNYLMPVYPASWKYNDQTFIPFLMDTLRSQLIMLHSLGARRLIVFGLGPMGCIPLQRVLSTSGGCQDRTNQLAMAFNEGAANLVNELSATLPNASFKFGDAYDVVNDLITNPNKYGFSNSDSPCCSFGRIRPALTCIPASTLCKDRSKYVFWDEYHPTDSANELVANEMIKKLGFLKVNGTDNASSPGPAMPPSSND >itb12g00630.t1 pep chromosome:ASM357664v1:12:462161:463922:-1 gene:itb12g00630 transcript:itb12g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGFSYAHVHVQQDRLKEKLRRSKQEQERGKDAAQQERNKRSNKTKKIHPTLNSEKNSGEKTVLEALTWAPLERVEPIQIS >itb04g06170.t1 pep chromosome:ASM357664v1:4:4008160:4011139:1 gene:itb04g06170 transcript:itb04g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRRRIRFRLPIIFLLYSSILLTWMPELMTSAVVTLDSIEIFTTHELFGFKPTVYFRCKGENMTVLPDVKQKDYLYNFTGEESWQPLTELLDKKCKRCGFYEEDMIKLDDTFDEWEFCVSAFTSADGKYTHSKENEFNATFLCLECVPLVGAPVHSDYGNEKKGMDWTLVLLISALVSAVLIVGGLTAYKYWQKRRRQQEQARFLKLFEEGDDIEDELGIGPLTRVI >itb14g16790.t1 pep chromosome:ASM357664v1:14:20160622:20161302:-1 gene:itb14g16790 transcript:itb14g16790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTMPCIAALSCLLLLLSSFLQCQAQLSPTFYDKTCPNALNIIRTTVRQAVSSERRMAASLVRLHFHGCFVQGCDASILLDESPTIESEKTALPNFNSVRGYDVIEAAKRELEKACPGIVSCADVLSVAARNAIAAVGGPSWIVKLGRRDSTMASRTIDLPSPFDNLDRLISSFASKGLNTRDMVALFGAHTLGQAQCFLFRDRIYSNGTDIDAGFANTRRRFC >itb05g02850.t1 pep chromosome:ASM357664v1:5:2315196:2318329:1 gene:itb05g02850 transcript:itb05g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLDYGKYIIFNAILQVIVYTKEKNAILFTYPPAGSFNSTGLVVSSKLPRFSDMYTLTIASADPQSISAKSPVELTKSVTQWFTKDGVLVEGLFWKDVEALIFDYAKENKKSK >itb11g19250.t1 pep chromosome:ASM357664v1:11:19887897:19891392:1 gene:itb11g19250 transcript:itb11g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLNNPTILFKQLSSIRSSPSSYITTSKGSFQTREEKSGRERSLNQAPLAAEPIGLVAISLSQRQSPPSSAPPDGDLPECWPIAKPAVHRRPKRKAIASHRLLPPSNATVSIADRRIKARPHKIAGRNRRETV >itb04g15200.t1 pep chromosome:ASM357664v1:4:16356065:16356397:1 gene:itb04g15200 transcript:itb04g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLYKSGPPGDFQDRIARNSTPWALLDSAAPSSMYMGIPPYGTSVDKYASVIHGGIFADGMSLGKTLTLLSLISFDKYASVIHVENAKVERDDIDERELMDDMDGILYE >itb09g13760.t1 pep chromosome:ASM357664v1:9:9003475:9004362:-1 gene:itb09g13760 transcript:itb09g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYGAGCMAVFVASGTVVLLALRVHKHLMSDFMNKIDAEMGTMAAGRDNQERRKVKFAGDDHHLQPSAAAAAGRNRAVVGGETLESMPPNWQVLYKGIIEYNRASLRRLN >itb01g19620.t3 pep chromosome:ASM357664v1:1:25845613:25851516:1 gene:itb01g19620 transcript:itb01g19620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSPMSSTLSTSRGIIPPAGFGAYEDSMSGDLGPFGNTQSESSDTDGPFGNTQSESSDTDETELHSVSWNQDYSCFAAGTSRGFRIYNCDPFKESRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFRCNILALVGARTNTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEYKIYVYNFMDLKLLHQIETLENPRGLCCLSHYSNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTMDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGVDKADIYSIALSPNVQWLSVSSDKGTIHVYSLRVRIVGEDVATDSSTVGNQALLYQQHSSTSLDALISPSTGANPGSSLSFMRGVLPRYFSSEWSFAQFHLPECTQFIAAFGSQNTVIIAGMDGSRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFRCNILALVGARTNTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEYKIYVYNFMDLKLLHQIETLENPRGLCCLSHYSNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTMDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGVDKADIYSIALSPNVQWLSVSSDKGTIHVYSLRVRIVGEDVATDSSTVGNQALLYQQHSSTSLDALISPSTGANPGSSLSFMRGVLPRYFSSEWSFAQFHLPECTQFIAAFGSQNTVIIAGMDGSFYRCSFDPVNGGAMAQQEYVCFLKTESRPR >itb01g19620.t1 pep chromosome:ASM357664v1:1:25844653:25851516:1 gene:itb01g19620 transcript:itb01g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSPMSSTLSTSRGIIPPAGFGAYEDSMSGDLGPFGNTQSESSDTDGPFGNTQSESSDTDETELHSVSWNQDYSCFAAGTSRGFRIYNCDPFKESRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFRCNILALVGARTNTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEYKIYVYNFMDLKLLHQIETLENPRGLCCLSHYSNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTMDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGVDKADIYSIALSPNVQWLSVSSDKGTIHVYSLRVRIVGEDVATDSSTVGNQALLYQQHSSTSLDALISPSTGANPGSSLSFMRGVLPRYFSSEWSFAQFHLPECTQFIAAFGSQNTVIIAGMDGSRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFRCNILALVGARTNTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEYKIYVYNFMDLKLLHQIETLENPRGLCCLSHYSNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTMDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGVDKADIYSIALSPNVQWLSVSSDKGTIHVYSLRVRIVGEDVATDSSTVGNQALLYQQHSSTSLDALISPSTGANPGSSLSFMRGVLPRYFSSEWSFAQFHLPECTQFIAAFGSQNTVIIAGMDGSFYRCSFDPVNGGAMAQQEYVCFLKTESRPR >itb01g19620.t2 pep chromosome:ASM357664v1:1:25844653:25851516:1 gene:itb01g19620 transcript:itb01g19620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSPMSSTLSTSRGIIPPAGFGAYEDSMSGDLGPFGNTQSESSDTDGPFGNTQSESSDTDETELHSVSWNQDYSCFAAGTSRGFRIYNCDPFKESRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFRCNILALVGARTNTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEYKIYVYNFMDLKLLHQIETLENPRGLCCLSHYSNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTMDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGVDKADIYSIALSPNVQWLSVSSDKGTIHVYSLRVRIVGEDVATDSSTVGNQALLYQQHSSTSLDALISPSTGANPGSSLSFMRGVLPRYFSSEWSFAQFHLPECTQFIAAFGSQNTVIIAGMDGSRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFRCNILALVGARTNTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDRVVVVLEYKIYVYNFMDLKLLHQIETLENPRGLCCLSHYSNTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTMDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGVDKADIYSIALSPNVQWLSVSSDKGTIHVYSLRVRIVGEDVATDSSTVGNQALLYQQHSSTSLDALISPSTGANPGSSLSFMRGVLPRYFSSEWSFAQFHLPECTQFIAAFGSQNTVIIAGMDGSFYRCSFDPVNGGAMAQQEYVCFLKTESRPR >itb12g06480.t1 pep chromosome:ASM357664v1:12:4813859:4815612:-1 gene:itb12g06480 transcript:itb12g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKPHIIAIPFPAQGHVIPLMELCLCLVKHGCKVTFVNSEFNHNRIINSMSEADNDINLVSVPDGLEVEEDRNDLKKLTEAFFEAVPGKLEALIHNINESDENRVSCLIADENLGWALELAKKLGLQRVAFWPASAASLTMMFNAPKLLDDGIVGKNGEVLRKQSIKLLPLMPAMNTTDLVWNGFSKPELKRLFFDLAFKNNESVKAAEWLLCNSSHVMEYEVFAAYPKLIPIGPLLASNRLGKTSGHFWREDTDCLKWLDQQPLNSVIYVAFGSHTIFDKAEFQELALGLELTNRPFLWVVRQGFIEEAGNPYPEGFIDRTRNRGRLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVSNGLPFLCWPYFADQLFNKSYICDVWKIGLGFDRNENGIIGRQEIKNKVEQLFGDENCKGRAVDLQAEVLSSVKRGGSSYRNFSSLVNWIKPAN >itb07g08060.t1 pep chromosome:ASM357664v1:7:6299377:6301467:-1 gene:itb07g08060 transcript:itb07g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGKTTLIKKVFEDSEIEYEFFPRLWVYVSRSMNRREIFMDIIRQFTKQTNDYKNMSEEELGERIKEFLKDEKYLIAMDDVWCKEDLELLKIVFPNSKNGSRVLITTRDFGVARDVDSYGKPHALKFLSNDESFELLTMKVFGKEAFPEYLEFPARRIAEKCNGLPLAIVVIAGVLNKGQSSLLWNEVAENLISIFNHETQDYNNILRLSYNHLSNHTKDCFLYLAAFPIEHEISVWKLIRLWIAEGFIPPVSGSTMERTAYSYLKEIVSRNLLMVVKRRADGGIKTCRLNNTLHEFCKDEAAKNYLFHEINGARIEGNDNYRRLCVRSSLKDFIGSEEKPSGEHIRSLLTSHKLDVPKEHLATIPKSYPFLKVFDAESLKFEILPKEFYQLYQLRYWAISTDNNIIPKLFTHLWNLQTLMLNTTSSTVDVKAEIWNMPKLRHILSNASLQLPHPNSESCKENSSSSCPELQTLSTISPKSCTEEIFDKTPNLQKLGVRGNVSELLESKESGRICLFDNIRKLEKLENLKLMHEAAFNEEAAATTLRSIPQADKFPPNLRKLTLSETSFDWKDICALGSLDKLEVLKLEEFSAKGESWELNVNVVFKSLQFLRIGRTDLVYWTCEQSSFPALKRLQILQCEQLKEVPLSFKDVKSLKIIDLFFTNKQAANSARNIRDQKPKLDNFVLSILPPHH >itb11g18450.t1 pep chromosome:ASM357664v1:11:18783795:18789427:-1 gene:itb11g18450 transcript:itb11g18450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIAASHNAVVPAAQPRVQPLARRAPPLFTLSDDHAMVKKIQDTHSPDGRDVDANIILQIIEEIFQHAYPGVEGVLQGTQGATIPGAQPVANIEKLEVKASLAVDGVLEGLAYITHKVSCELTCKCSGGGDSNATTMAILNMLSNYQWDAKVVLSLAAFAVTYGEFWLVAQLFASHPLAKSVGILKQLPDIVEHSAALKSRFDAINNLIMAVMDVTRRIMEFKKLPVQYISEDQPPLSVAKTHIPTAVYWTIKSIVACAAQITSLLGMNYEIILATTVETWEITSTTHKLVNISEHLRGELDRCYLHIEEKMHIEYYRMLVHLFEITHFDNMKILKALIYIKDDILPLEVGNVHTRASIEVLRRKTVLLLLSDLDVSHEELLVLSHIYMESRARPELQYEIVWLPIIERAAVEWNEEREEKFKELQAMMPWYTLHHPSLLEPAVIKFFKEKWHFTKKMMLVALDPQQGKVACQNALHMAWIWGNMAYPFTLAKEESLWSIESWRVELIVDSIDSNVLEWTEQGKHICLYGGEDIDWIRNFTALAKSVAQRAGIELHMVYVGKSNTKERVRKINQMILKEELSYCWNDPTSVWYFWARMGSMLYSKLQQGRKINEDKIMQEVVTMLSFDGSDKGWALISRGSEEMARAKSDILIVTLDNFPEWEQDARENGFVQALIKYFLHLHTPQHCNRLILPGIAGDIPEMIVCAECGRQMEKFFMYRCCTD >itb08g00820.t1 pep chromosome:ASM357664v1:8:610204:612456:-1 gene:itb08g00820 transcript:itb08g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRVTVLLVVIVVLAATITTEAQQLPSCLQKLIPCFEYLNYTGELAPCCDGLKEVTANEVPCLCEFARAHGLLSYSKVVGDDLRSPYDLPRECGVSDTIPCNDDKDDDTDDAVLRYFASLPRCSSPTPQLQSFHFFLLYSMLRRPLAVDSVRHLEPLKLLISDCDHDARSYQFSGVSDVYD >itb06g09120.t1 pep chromosome:ASM357664v1:6:13254050:13256032:-1 gene:itb06g09120 transcript:itb06g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSAGSAFFPYYDHHHPFDHHLPFDQIPFFSPPELETTTLQPQSDFAFDGGDFADNNIVCPSHLLEDPVVSTTFGEVKDVPQVWSDVENPTSISAWPPAMASICNNSCQVLREITHTNGLYIWRLEIHGGLGMIAHVLLEKCSLDFSSQNPEYQTFDFSQESMSAVKQFLVGYFEDRKQEGYVVLEDPLWEFYEALSVGHGGGEHMDIDTFFQLPPTTHSGNYNEEAEIGGGGVEVKAQKIPLSAQRERTGKLRLKDFAGYLHLPIEEAARRMNICPTVMKKICRRDGLLRWPYRKIRSIHRKISNRSKTLSAGNVDAQERERAQADILDLQQQLATISEAFFD >itb04g20350.t1 pep chromosome:ASM357664v1:4:25009074:25012019:1 gene:itb04g20350 transcript:itb04g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCAHITSTIFSCFCFCFCFFLPIVYSELPQDQKNIMGRLYDMIHDNTSTSFAWIGTNRGSDPCSWKGVSCSPNNSSITNISLHLFSISNPDTLPLLCGIKTLESLDVSNNQLSSIPDGFITGCGGISGLKVLNFSSNKLKGPLPIFSGFGVLESLDLSMNSLSGNIDLQLDSLSSLKNLDLSYNNFVGHIPTSLGKSNALVELQLSVNHFDGQIPSQIVKYENLALIDLSLNNLSGPIPESLGNLSSLKILILSANKLIGTIPKTLGNITTLFRFAANQNYFVGNVPLGITSNLNNLDLSYNNLSGTIPLGLLSPPNLQYVDLTNNKLEGSIPANMGSTLVRLRLGNNSLAGPIPPKPFQSLQNLTYLELENNQLNGNIPLELLSCKNLALLNLAQNKLAGPLPADIGNLINLQVLKLEMNGFVGGIPDSISKLNKLQRLNMSWNSLTGIIPDSISTLHTLTNLDLRENGLNGSIPNSIGNLNNLLELQLGNNQLSGLIPEMPMQLQIALNLSHNLFTGPIPTTLTRLTALEVLDLSNNTFSGQIPESMTRMSGLTHLILANNHLSGVVPTFPKYVELSLGGNRQLIFPPPPSPQNAPAVSGKKKLSVAVVIVIAVAVAAVAVGLFTFIALFVLRNMYKVRGYWLMDDHHIHHKSQLDFCKAMAVTRRPTNTILSTEWYSFYRAVMPSGISYCIKKINWSNKAFTLQDPEKFVEELTRLARVANPNIMIPLAYVLTSHSAFIFYEFPEFGSLFHLLHSEDNNVLDWKCRFSIALGICRGLNFLHRNGSEDAPIILLNLSTRSIMMKSLSEPIIGDFELSNLIRPSHNKRTPSVVAAAVGFVPPEYAYTMRVTTSGNIYSFGVIMLELLTGKQGVYEGIELATWVTSRSDQHENLEEILDSRVGETSMEVQHQMAALLRIALRCISTSPSERPDAEALLQMLLDLAKNVGI >itb04g20350.t2 pep chromosome:ASM357664v1:4:25009074:25010985:1 gene:itb04g20350 transcript:itb04g20350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCAHITSTIFSCFCFCFCFFLPIVYSELPQDQKNIMGRLYDMIHDNTSTSFAWIGTNRGSDPCSWKGVSCSPNNSSITNISLHLFSISNPDTLPLLCGIKTLESLDVSNNQLSSIPDGFITGCGGISGLKVLNFSSNKLKGPLPIFSGFGVLESLDLSMNSLSGNIDLQLDSLSSLKNLDLSYNNFVGHIPTSLGKSNALVELQLSVNHFDGQIPSQIVKYENLALIDLSLNNLSGPIPESLGNLSSLKILILSANKLIGTIPKTLGNITTLFRFAANQNYFVGNVPLGITSNLNNLDLSYNNLSGTIPLGLLSPPNLQYVDLTNNKLEGSIPANMGSTLVRLRLGNNSLAGPIPPKPFQSLQNLTYLELENNQLNGNIPLELLSCKNLALLNLAQNKLAGPLPADIGNLINLQVLKLEMNGFVGGIPDSISKLNKLQRLNMSWNSLTGIIPDSISTLHTLTNLDLRENGLNGSIPNSIGNLNNLLELQLGNNQLSGLIPEMPMQLQIALNLSHNLFTGPIPTTLTRLTALEVLDLSNNTFSGQIPESMTRMSGLTHLILANNHLSGVVPTFPKYVELSLGGNRQLIFPPPPSPQNAPALSSF >itb13g17780.t1 pep chromosome:ASM357664v1:13:24691062:24693496:-1 gene:itb13g17780 transcript:itb13g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEEMMGWGVLDEGWRKGPWTAEEDRLLIEYVKLHGEGRWNSVAKLQGLKRNGKSCRLRWVNYLRPDLKRGQITPHEERIIIELHAKWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKSSAADNTEKSRARLLRKQQFHLQQLQQQQQQQQYNIQTDITKKVIPLFDENNETRVAAPSVPQGQGGVFYPEQDQGFFFSLMNGYVPSVAEAPSNDQDSIMWDGLWNLDDFQGNFCAVSAANKICSLQPIAAPFY >itb03g23210.t1 pep chromosome:ASM357664v1:3:21358826:21377734:-1 gene:itb03g23210 transcript:itb03g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCTRLDTEFFNPLSGLFYGTHCAFVRVLRMGSPKKPLVFAYYVTGHGFGHATRVVEIVRNLILAGHEVHVVTGAPNYVYTSEIQSPQLFIRKVLLDCGAVQADALTVDRLASLEKYIELAVVPREEILATEVDWLKSIKADFVVSDVVPVACRAAANAGIPAVCCTNFSWDFIYAEYVMAAGIQNRSIIWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRAEVRKELGIGDDVKLLVYNFGGQLAGWQLKKEYLPDGWICLVCGASEGQELPPNFVKLPKDFYTPDAIAASDVMLGKIGYGTASEALAYNVPFVFVRRDYFNEEPFLRNLVEHYQGGVEMIRRDLLTGNWAPYLQRAITLKPCYEGGINGGEVAARILQDTAYGNNRASDKFSGVRRLRDAIVLGYQLQRISGTDIAVPDWYSLAQTELSSRSQSANHKAVESNVKSIETEDFVILHGNHRGLSDTIGFLKTLAELQSMNPVSSKSPQTREHLAAAAMLNWEEDIIVSRAPGRLDVMGGIADYSGSLVLQMPTREACHVAIQRTHPTKHKLWKHAQARQPTEGPIPVLQIVSFGSELGIRAPTFDMDLSDFMDGDQPMSYEKAYRYFSRDPSQRWAAYVAGTILVLMTELGVRFSDSISILISSGVPEGKGVSSSASIEVASMSAVAAAHGLEISPRDLALLCQKVENCVVGAPCGVMDQMASACGEENKLLAMVCQPAEVLGLVEIPLYIRFWGIDSGIRHSVGGGDYKSVRIGTYMGRKMIKSMASEWLNSSMSNNIAEQGDDINSDELDEGSRNLFETEASLGYLCNLSPHRYEAAYASRLPESVSGKEFVQKYADHDDSVTKIDENLSYAVQSPTRHPVYENFRVKAFKALLSAAPSDHQLYSLGQLMYQCHYSYSACGLGSDGTDRLVGLVQEMQHLKSPKSDDSNLFGAKITGGGSGGTVCIIGRNCSRSSEQLFEIQRRYKAATGYLPYVFEGSSPGAGKFGYMRIRLRSSLSK >itb13g19370.t1 pep chromosome:ASM357664v1:13:26364123:26368134:-1 gene:itb13g19370 transcript:itb13g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEDEITRLVRIRNTVMQMLKDRGYIVLDSELGMSKLKFIQKYGENMKREDLVIQKTLRNDNSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCISEISTKFHLEVFQEAELLVNIKEHELVPEHQLLTPEEKKTLLERYTVKETQLPRIQVTDPVARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >itb10g09950.t1 pep chromosome:ASM357664v1:10:13490776:13500577:1 gene:itb10g09950 transcript:itb10g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLGTAAESEFSAAAEIISRFQAYHRIDTAQPEPRYGEAADFIISQAKSLSLETDFIISQAKSLSLETQIIISQAKSLSLETQIVEFEAKSLSLETQIVEFVKGKPVVILKIVEFVKGKPVVILKWPGIVKGKPVVILKWPGKDSSLPTILLNSHTDVVPSEPHKWSHPPFGAQIDRATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFTSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPQTEGMTFSGEIPYFIIDTAQPEPRYGEAADFIISQAKSLSLETQIVEFVKGKPVVILKWPGKDSSLPTILLNSHTDVVPSEPHKWSHPPFGAQIDRATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFTSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPQTEGMTFSGEIPYFIPRYQCDGGDGLLHDGRLASDAITTEIPRTTIRPLDAPRNHADNTLLI >itb04g08650.t1 pep chromosome:ASM357664v1:4:7297564:7298783:-1 gene:itb04g08650 transcript:itb04g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLMKEAPPHSPRPSQEKSLRFLLHLAMVLPLQQRVGISVFGSDMLFNFADLYYLNLDLANWLKKEGRAQVVGWPPIRSFRKNSVVTNPPKTEEGPNGKSGSGCLYVKVSMDGAPYLRKVDLKIYGCYKDLTLALQKMFSYFTIGQSGSPGVHIRDGMSKSRLMVTGCSLVIFHGSKFGFSLVIDSPFRP >itb02g02440.t1 pep chromosome:ASM357664v1:2:1419441:1423386:1 gene:itb02g02440 transcript:itb02g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MTTSKRNHKEKIIRRNKEEKAEEPEQPKYRDRAKERREDQNPDYVESGAYHSVRPPGSDIGADAHKLSIEKSKYLGGDVEHTHLVKGLDYALLHKVRSEIDKKPDVGDDSDGKSRASKEDQTLAFRTATAKSVYKWIVKPPTVVKTNEMFLPGRMAFIFNMENGFSHDIPTTVHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDGKGKVSGFPNGYEDENITKSDAMKNHHDKATVLPAPASSKKNHNESREKQGPTVARAEEDDIFVGDGVDYSVPTVDPNQSPISEDMEESPRNKERPSYFSESAYASIPSSEPPHGWQQVNGYDAVQAQAMAGVYPPEWQDYQYAEQVAYPDQYLQQDIQTYDMQTGLNIQQDPRFMTQEEKDRGLGSVFKRDDQRLQQLRERDAREKDPNFVSESYSECYPGYQEYNREIVDSDDEADLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNELHKINKILSRKKMEEKGDINEDDSHPGKKLRI >itb04g32830.t1 pep chromosome:ASM357664v1:4:35234244:35240309:1 gene:itb04g32830 transcript:itb04g32830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDISPMTDTSTDADTEDKNSRFPSDQSLGTVASNGSDKTKDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLSQIEQELQRARQQGIFISSSGDHSQSMSGNGAMAFDVEYSRWVDEQNKRINELRGAVNSHAGDGELRIIVDSILAHYDDIFRIKADAAKGDVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINHLEPLTEQQLLAIHNLQETSQQAEDTLSQGMEALQQSLAETLIGTLGSSSSSGNVANYMGQMAMAMGKVGALEGFICQADKLRQSTLQQLHNILTTRQSARALLAINDYFSRLRALSSLWLARPRE >itb04g32830.t4 pep chromosome:ASM357664v1:4:35237779:35240279:1 gene:itb04g32830 transcript:itb04g32830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGAMAFDVEYSRWVDEQNKRINELRGAVNSHAGDGELRIIVDSILAHYDDIFRIKADAAKGDVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINHLEPLTEQQLLAIHNLQETSQQAEDTLSQGMEALQQSLAETLIGTLGSSSSSGNVANYMGQMAMAMGKVGALEGFICQADKLRQSTLQQLHNILTTRQSARALLAINDYFSRLRALSSLWLARPRE >itb04g32830.t2 pep chromosome:ASM357664v1:4:35234244:35240309:1 gene:itb04g32830 transcript:itb04g32830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDISPMTDTSTDADTEDKNSRFPSDQSLGTVASNGSDKTKDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRMKLSQIEQELQRARQQGIFISSSGDHSQSMSGNGAMAFDVEYSRWVDEQNKRINELRGAVNSHAGDGELRIIVDSILAHYDDIFRIKADAAKGDVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINHLEPLTEQQLLAIHNLQETSQQAEDTLSQGMEALQQSLAETLIGTLGSSSSSGNVANYMGQMAMAMGKVGALEGFICQADKLRQSTLQQLHNILTTRQSARALLAINDYFSRLRALSSLWLARPRE >itb04g32830.t3 pep chromosome:ASM357664v1:4:35236099:35240309:1 gene:itb04g32830 transcript:itb04g32830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQIEQELQRARQQGIFISSSGDHSQSMSGNGAMAFDVEYSRWVDEQNKRINELRGAVNSHAGDGELRIIVDSILAHYDDIFRIKADAAKGDVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINHLEPLTEQQLLAIHNLQETSQQAEDTLSQGMEALQQSLAETLIGTLGSSSSSGNVANYMGQMAMAMGKVGALEGFICQADKLRQSTLQQLHNILTTRQSARALLAINDYFSRLRALSSLWLARPRE >itb01g01490.t1 pep chromosome:ASM357664v1:1:865424:869506:-1 gene:itb01g01490 transcript:itb01g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLKTSSYIRMSEWSREEISQMGLLKFGLLCIDFFAWPMLALAYPLCVSIRAIETGSKYHMRKLVTYWTVLSIVSLFEHTLRVMEWVPLWPYLKLVGTFWLVVPRFNGACYAYDCLVNADLGAKLHCILSQINHAFLAHKHLLNSPENGCCCRLMQPIAIKGMEQTTEQGTEETKSRLVQSKEFKAMEQTTEQGITEERKVKSAVDNIGQMQKQETEAPWVKGIPVANAAEEKTIPEINSSKLIQREWTCAVCQVTTTSENNLKSHLGGKMHRTNCAELKPIKWVTKDPGSSSPKATDLPNQVKNEPAKHAPAGGRLKQETDVKPEKVTGLPNQVTNESAKHAPIEGEWKLTTSGKQEKIQANASGEEESSVNVGADPRPYCSICNIWISREADVVSHLKARKHMSKLKQMGIMTANEPAKPEKETGLQNQVENEPAKPNAPAEGESKQKTDASVSTDSKPYCSFCNIWPPCEAALVVHLKGRKHLSKLKQMGILMANEPAKPEKETGLQNQVKIEPAKPNAPAEEGSMQKTDASVITDSKLYCSFCNIWLPCEAALVVHLKGRKHLSKLKQMGILMANEPAKPEKETGLQIQVENEPAKPNSPAEEGSKQKTNASVSTDAKPYCSFCNIWPPSEADLVAHLKGRKHLSKLKQMGILMANEPAKPEKETGLQIQVENEPAKPTGLQIQVENEPAKPNSPAEEGSKQKTNASVSTDAKPYCSFCNIWPPSEADLVAHLKGRKHLSKLKQMGILMANEPSKLKQMGILMANEPANHTPAGGGSKQETNVKPEKATGLENQVGNEPAKPNAPAEGGSKQKTDASVSTDSKPFCSFCNIWPPCEAALVVHLKGRKHLSKLKQMGIMIPNEPAKPEKETGLQNQVENEPAKPNAPAEGGSKQKTDASVSTDSKPYCSFCNIWPPCEAALVAHLKGRKHLSKLKQMGILMV >itb01g01490.t2 pep chromosome:ASM357664v1:1:865424:869504:-1 gene:itb01g01490 transcript:itb01g01490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLKTSSYIRMSEWSREEISQMGLLKFGLLCIDFFAWPMLALAYPLVPLWPYLKLVGTFWLVVPRFNGACYAYDCLVNADLGAKLHCILSQINHAFLAHKHLLNSPENGCCCRLMQPIAIKGMEQTTEQGTEETKSRLVQSKEFKAMEQTTEQGITEERKVKSAVDNIGQMQKQETEAPWVKGIPVANAAEEKTIPEINSSKLIQREWTCAVCQVTTTSENNLKSHLGGKMHRTNCAELKPIKWVTKDPGSSSPKATDLPNQVKNEPAKHAPAGGRLKQETDVKPEKVTGLPNQVTNESAKHAPIEGEWKLTTSGKQEKIQANASGEEESSVNVGADPRPYCSICNIWISREADVVSHLKARKHMSKLKQMGIMTANEPAKPEKETGLQNQVENEPAKPNAPAEGESKQKTDASVSTDSKPYCSFCNIWPPCEAALVVHLKGRKHLSKLKQMGILMANEPAKPEKETGLQNQVKIEPAKPNAPAEEGSMQKTDASVITDSKLYCSFCNIWLPCEAALVVHLKGRKHLSKLKQMGILMANEPAKPEKETGLQIQVENEPAKPNSPAEEGSKQKTNASVSTDAKPYCSFCNIWPPSEADLVAHLKGRKHLSKLKQMGILMANEPAKPEKETGLQIQVENEPAKPTGLQIQVENEPAKPNSPAEEGSKQKTNASVSTDAKPYCSFCNIWPPSEADLVAHLKGRKHLSKLKQMGILMANEPSKLKQMGILMANEPANHTPAGGGSKQETNVKPEKATGLENQVGNEPAKPNAPAEGGSKQKTDASVSTDSKPFCSFCNIWPPCEAALVVHLKGRKHLSKLKQMGIMIPNEPAKPEKETGLQNQVENEPAKPNAPAEGGSKQKTDASVSTDSKPYCSFCNIWPPCEAALVAHLKGRKHLSKLKQMGILMV >itb13g19600.t1 pep chromosome:ASM357664v1:13:26585261:26589594:1 gene:itb13g19600 transcript:itb13g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGHVTKEFGLKNVSSSCSISEMDYDLSKLLDKPRLNIERQRSFDERSLSEMSVGLARGLDNYETAYSPSRSGLDTPVSSTRNSFEPHPMVAEAWDALRRSLVYFRDQPVGTIAANEHGSEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKKVDRFKLGEGVMPASFKVLHDPVRKTDTIIADFGENAIGRVAPVDSGFWWIILLRAYTKSTGDTTLAERPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALAMLKPDAEGKEFIERIVKRLHALSYHMRSYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFVGNVSPARVDFRWFALGNCVAILASLATPEQASAIMDLIEARWEELVGEMPLKISYPALENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLVTAACIKTGRPQIAKRAIDLAESRLLKDGWPEYYDGKLGRYIGKQARKQQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPIIKRSSSWTC >itb06g21930.t1 pep chromosome:ASM357664v1:6:24423323:24426295:1 gene:itb06g21930 transcript:itb06g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKEEVVRGLSPARSRGRSPSPSGSGLLRRRRASHAPPPDVYISRSGSLRPGGGVETLSPLMEGPDPNASECGGGGGDSKSEKWGHWMKGQLCRAPSVSVSGSSFQQRSDLRLLLGVLGAPLAPVHVSNNDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNVIHNAYAMGKVKMLASDIETATKVIKSKNSSKTAESGGFVLWQMNPDMWYVELALGSSKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTANMFANAKCTGEKKINGEDCFVLKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQTNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEAWTIEEVAFNVPGLSEECFIPPAELRYGSIGEACELSQGQRVQTTMAAAAYRAKVAALEKSRDGNVNNIVLRMNI >itb05g04700.t1 pep chromosome:ASM357664v1:5:4319634:4325050:-1 gene:itb05g04700 transcript:itb05g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLIQQTLLPSLVSSQDSGVLCDCYSVTSVPLSSHGRHCCTSRVATKHGTPATGRPSRVSRSPPWFLISFSHSVTVSEANSLDFCRYLEPLTISLDREKWSLVQFLFSSSYSGYGTSSLKQKIHLFSVTLKCPTLLQLPYLLNPFLFLNMSSFCCSGKRFVSNEEVRLYLKAKDFVMITLVEATDHALNLCQCNENQNRETQINRYQSSLHKSFHFGSHRIQILRKIEYFFNAYITLFESLLVLSGFPFDEYFYAGNLNGLGSIECHLNESWKSPNFDHSFEEKLNSDVSPGSMGVNGFSEGPSHQNFSTRKNFVGNFRSEAQMILGILHRGDPQFDAKAALDDLHVRLTGLLVREVLLGILKTANKKSCAEMGYMFFEWSGKQENYMHTANSYHLMMKIFSEAGEFKAMWALVDEMIEKGYPTTARTFNILICTCGDAGLARKVVQRFIKTKTFNYRPFKHSFNAILHTLLAVNNYKLIEWVYQQMLVEGYSPDVLTYNVLLCTKYRLGKLYQFHRLLDEMGRNGFSPDFHTFNLFLHILGKGDKPLAALSLLNHMKEVGCDPSALHFTTLIDGLSRAGNLDACKYFFDEMIKQGYFPDVVCYTVMITGYVVAGNFDEAEELFGEMISKGQLPNAFTYNSMIRGLCMTGKFEDACLMLKEMESRGCNPNFHVYSTLVSCLRNVGKLSEAHRVIRQMVDKGRYIHLVSKIKRCRRR >itb15g00310.t1 pep chromosome:ASM357664v1:15:191942:194201:-1 gene:itb15g00310 transcript:itb15g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKEEAKKEGGEKKGGDAAVKKEEKPTAVVLKADLHCEGCAKKVRRSIRHFDGVEDVKTDWESGKLTVKGNVDPAWLRDILASKIKKKVEILSPQPKKDGGGGGGAAGDKKSDDKSAKKDEKDTEKKPKEPQVSTVVLTVRLHCDGCADKVKRIIRKINGVKDVDVDLAKDLVTVKGSMDVKEITAYLREKLKRGVEVVPSKKDGGEGEKKKMVDDGEKKEKKEKGGGSESSKVEANKMEYHGFQSNTFYARPIYNQSYYNQDYGLTMSDPSSSHAAMGYSYPYAHAPPPYMHVPPTPPPTYVHAPSPNMFSDEDPNACSVM >itb08g10650.t1 pep chromosome:ASM357664v1:8:10303279:10304622:-1 gene:itb08g10650 transcript:itb08g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGSFLVCLALIALSLGLSSAQNCGCAGGLCCSKWGYCGIGDPYCGEGCREGPCYNPPSGGGSVANIVSEAFFNGIAGQAASWCEGKGFYTRNSFLDAVNSYPQFGTVGSADDSKREVAAFFAHVTHETGHMCYINEIGGGSRDYCDRTNTQYPCAPGKKYYGRGPIQLSWNFNYGPAGQSIGFDGLNNPDVVATNPTISFKTALWFWMNNCHSLITSGQGFGATIRAINGQLECNGANPDTVRKRVEYYTQYCQQLGVNPGNNLQC >itb07g24380.t2 pep chromosome:ASM357664v1:7:28622318:28629482:1 gene:itb07g24380 transcript:itb07g24380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGDLYTDVLRPFTASLQSEQPPPVAHSLGGGGGAAAEASKATETSAFQTPRIDPKVDEDDRGIIFGAQKSNCDPNLSSGSSVNVQEKTLATQPEEKQPRPNSSDFNLSLNPQSGQSGGSIGVGVGANESDLGARVFEKSDVKSRDWAFGDSTFTDEANIDVVVEERDDKDDDLVQKDENIDKPMGRSENCGSSVVEAGGSEHMIPGLSIPGVSGVPETCGDKALDDEWDSDSDDDDLRIVLNDNNHGPMGMDRMGGDGDDDDDDGDRLVIVANSDDPSHQPMAEEQEWGEELGNSADGERKEDAAKVNVGPGYAPKIGYSNHGYHHPYHSQFKYVRPGAAPIPGAPPVGPGGTPGQVHPPVNTSLVAGRGRGDWRPPGMKGALTMQKGFPGYSAWGSNPSGRGIGLDFTLPSHKTIFEVDIDSFEEKPWRLQGIDMSDFFNFGLNEESWKDYCKQLEQLRLESTMQGKIRVYESGRTEQEYDPDLPPELAAAAGIQDIPSENANLGKTEAGQNDLARGSMRSRPPLPSGRPIQVESGSGDRLPSIDTRPPRVRDSDAIIEIVCQGSADDDNNLEQGNQPSREGIRGGDEIGVLQQEDTHVDGFQHAYNGWKREFGSRRADFNSGPYDKSRSDRVVILPSEEPDSYHPDSRGQTSVYPGRKHAVAHDSNREKGRARCRSSDIGASESPKDKQIDADNQREDSLSVGDRRSPLSSSPVSNRSVQESGVENNDNLHDELVPAQKNSGMERGKISVDERTNCEKMKDDSVINTAKKHKLSSQLEEPSPQETDGGEDSKAARSSENSKTRSGSSKDYHKLHDGLEEEVQDERFAHVDDTRQTGGEEDRLRRKGRDEKETEKHRMIVKGREDSYLRKGYDSSSAHHSHTKTSIADRRKERDYSDVAWQHRDDDLHGRRSKVEDSRKREHGDEIESKHRNKIRDIDRSEREGRHPLRKQLDNGSLRPDHDKDAGSRHRERDDLKNRYDNIDDRHSKRRKEDTKLSREHVDREETLHSRGDGTLRRKRERDDISDQRKRDDQRLRDDDQHYARYKDEPLFQSERGERHRERDEWYRPKQSHDEILSKRDRDEGRGGLRVGRAAEEKSWAGLSRGKDEYKGSDREYHSKDAGRHVEHLKRRERDENGSPSRHRSREDGYGRGHQPSTDERRARNERVSTRQDHAAYESDSSKVHEKKRKENSTRDKVPEAGDKNSFLPSNLNEDDRSGNASETVC >itb07g24380.t1 pep chromosome:ASM357664v1:7:28622283:28631072:1 gene:itb07g24380 transcript:itb07g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGDLYTDVLRPFTASLQSEQPPPVAHSLGGGGGAAAEASKATETSAFQTPRIDPKVDEDDRGIIFGAQKSNCDPNLSSGSSVNVQEKTLATQPEEKQPRPNSSDFNLSLNPQSGQSGGSIGVGVGANESDLGARVFEKSDVKSRDWAFGDSTFTDEANIDVVVEERDDKDDDLVQKDENIDKPMGRSENCGSSVVEAGGSEHMIPGLSIPGVSGVPETCGDKALDDEWDSDSDDDDLRIVLNDNNHGPMGMDRMGGDGDDDDDDGDRLVIVANSDDPSHQPMAEEQEWGEELGNSADGERKEDAAKVNVGPGYAPKIGYSNHGYHHPYHSQFKYVRPGAAPIPGAPPVGPGGTPGQVHPPVNTSLVAGRGRGDWRPPGMKGALTMQKGFPGYSAWGSNPSGRGIGLDFTLPSHKTIFEVDIDSFEEKPWRLQGIDMSDFFNFGLNEESWKDYCKQLEQLRLESTMQGKIRVYESGRTEQEYDPDLPPELAAAAGIQDIPSENANLGKTEAGQNDLARGSMRSRPPLPSGRPIQVESGSGDRLPSIDTRPPRVRDSDAIIEIVCQGSADDDNNLEQGNQPSREGIRGGDEIGVLQQEDTHVDGFQHAYNGWKREFGSRRADFNSGPYDKSRSDRVVILPSEEPDSYHPDSRGQTSVYPGRKHAVAHDSNREKGRARCRSSDIGASESPKDKQIDADNQREDSLSVGDRRSPLSSSPVSNRSVQESGVENNDNLHDELVPAQKNSGMERGKISVDERTNCEKMKDDSVINTAKKHKLSSQLEEPSPQETDGGEDSKAARSSENSKTRSGSSKDYHKLHDGLEEEVQDERFAHVDDTRQTGGEEDRLRRKGRDEKETEKHRMIVKGREDSYLRKGYDSSSAHHSHTKTSIADRRKERDYSDVAWQHRDDDLHGRRSKVEDSRKREHGDEIESKHRNKIRDIDRSEREGRHPLRKQLDNGSLRPDHDKDAGSRHRERDDLKNRYDNIDDRHSKRRKEDTKLSREHVDREETLHSRGDGTLRRKRERDDISDQRKRDDQRLRDDDQHYARYKDEPLFQSERGERHRERDEWYRPKQSHDEILSKRDRDEGRGGLRVGRAAEEKSWAGLSRGKDEYKGSDREYHSKDAGRHVEHLKRRERDENGSPSRHRSREDGYGRGHQPSTDERRARNERVSTRQDHAAYESDSSKVHEKKRKENSTRDKVPEAGDKNSFLPSNLNEDDRSGNASETVSLKRKIEKGSDENVRQSSKKHREDASDDDEQPDSRRGRSKLERWTSHKERDFSVNMKSSTSLKIKENDAYNSTGPSSDRQHPNEHSKKVEDNQQLQQNDKTSVPEINNVDVKRMEDKHLETVEKLKKRSERFKLPMPGEKEATTTKKMENETFPSGQAEARPDSEVKPERPPRRRRWTSS >itb02g17650.t1 pep chromosome:ASM357664v1:2:13800347:13802043:-1 gene:itb02g17650 transcript:itb02g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEVFISPGEAVNVGGRQWCAKSHNSESYSYFSFSYVMPPIWCRLDRLWFGHPGVLVGSMTRYPFVCPLDHVFEVNVMLREQPEEEFGPGISFREYSLFDNPLMPQEVKESWLDV >itb06g21060.t1 pep chromosome:ASM357664v1:6:23801584:23802660:-1 gene:itb06g21060 transcript:itb06g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSYWLSEHPSVVGFQWSLALWGSTWSFLITSISFYILTAVALHLLLLLFSGRRPVPIGRLPALHSLALSLVSFGIFLGILSSAAAEIRETRWIWRRSKTPFQWLLCFPIGTRPSGRVFFWSYAFYLSRFLHVLRTYLAVLRRRRLSFFHLFNHSILICMSFLWLEFSQSFQVLAILFTTLGFAVVNAYRVCTELGLRPARFPFVMNCHLVFLLCNSACHVGVLLLHFWKGGCNGFGAWVFNSALNTFALILFLRSYVKARVIRRKAMASMAAREEDERESMASQTKNKPLTSKEL >itb14g11070.t1 pep chromosome:ASM357664v1:14:12592067:12598541:-1 gene:itb14g11070 transcript:itb14g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLFRGVQSYPLRQYQFPYFSRKHATLYCTLKRTETNTTTQEKQQTKLKGLPKNQSKPLDNILKDYESIIGIETHVQLSTLTKAFCGCPYTYGAPPNTYICPICMGLPGALPVLNSKVIESAIKVGHALNCKLSLSSKFDRKQYFYPDLPKGYQISQFDIPIATGGYLDLDLPVEFGGGHRRFGITRVHMEEDAGKLLHTDGGSYSQETAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNISVRPIGQLEFGTKVEIKNLNSFSSVSRAIDFEISRQVLLHCQGQSDQIVQETRLWEEGSQKTITMRKKEGLSDYRYFPEPDLPGVTITQDYIDSVCNSLPELPEMKRRRYEKMGLSMQDVLFLTNDSNIAEFFDATIARGADVKLATNWMMGDIAAYLKNEKLSINEIKLSPQELSELISAIKEETISGKIGKEILFEIMAKGGTVKGMIKEKDLVQIVDPQEIEKMVDKVIAVNPKQLEQYRGGKTKLQGYFAGQVMKQSKGKANPKMLNKILLEKLNPES >itb09g02440.t1 pep chromosome:ASM357664v1:9:1399446:1401256:1 gene:itb09g02440 transcript:itb09g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKDLAGPSLPHALLISFPGQGHVNPLLRLGKRLASKGLLVTFSAPETVGRDMRKANSNISGEPTPYGDGMIRFEFFDDEFPHSENGDDVDFYIDHLKRVGSRKVAEMVKEYEKQGRPVCCLIHNPFIPWVSDVAESLGISNAMLWVQSCASFSCYYHYYNKSLPFPSESEPETDVQLPCMPLLKYDEIPSFLHPSSPLPFLARAILGQFKNLSKPFCILMDTFQELEGEVLEYMCKFCPIRTVGPLFKDPEKLAGSSEVRGDFVKVDDCIEWLDSKPPASVVYISFGSIAVLKQEQVDEIAEGLLSSGLNFLWSMKPPSNWAAFKPAVLPDGFLERAGERGRVVQWCPQERVLAHPSVACFVTHCGWNSSMEALTIGTPTIAFPQWGDQVTNAKYSVDVFKTGLRLCRGEAEDRLIPREEIEKCLVEATSGPTAAKIRENASKWKKKAEEAVEDGGSSDRNMQRFVNEILHRPSNGKVEKHLSNGK >itb07g13210.t2 pep chromosome:ASM357664v1:7:15354348:15360653:1 gene:itb07g13210 transcript:itb07g13210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVLGVVSRRRYSTEPEIPSLYSFLRPSIFSLRTADPLSAAAPKPNDATQKSLTQDQKFHLESALQASLTAHNTDEAWKSFKALSSCSAFPSKSLANSLIAHLSSLSDTLNLKRAFASVVFLIEKNPELLHHETVQNLLDSMRNANTAAPAFALVKCMFKNRFFVPFSLWGNALVDISRKTGSLVAYFHIFNESCRIAMDEKLVFLKPDLAACNAALECCCRVLESVSDANKVVDTMSILGVRPDEFSFGLLAYLYARKGMKDKILELEDLVTRFGFPNSKVVLSSLISGYMKSGSLESVSATIMHNLREGVSGEGIGLGEGTYTEIARGFLDNGGMKNLASLIVETQKLESSSIVAEKSVGYGVISACVNLGLLDKAHSVLEEMNIQGTCFSLGVYIPILNAYCKERRTAEATQLVMEISSSGFQLDAGMYDSLIEASMSCHDFQSAFSLFRDMREARISDLKGSYLTIMTGLTESHRPELMVSFLDEVVEDPRIVIGTHDWNSIIHAFCKAGRMEDARRTFRRMTFLRFEPNEQTYLSLINGYVAVEKYFNILMLWTEVKRKISANGERRFKLDNSLVDAFLYALVKGGFFDAVMQVVEKSQEAKIFVDKWRYKQAFMEKHKKLRVSKLRRRNFRKMESLIAFKNWAGLNA >itb07g13210.t1 pep chromosome:ASM357664v1:7:15354348:15360653:1 gene:itb07g13210 transcript:itb07g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVLGVVSRRRYSTEPEIPSLYSFLRPSIFSLRTADPLSAAAPKPNDATQKSLTQDQKFHLESALQASLTAHNTDEAWKSFKALSSCSAFPSKSLANSLIAHLSSLSDTLNLKRAFASVVFLIEKNPELLHHETVQNLLDSMRNANTAAPAFALVKCMFKNRFFVPFSLWGNALVDISRKTGSLVAYFHIFNESCRIAMDEKLVFLKPDLAACNAALECCCRVLESVSDANKVVDTMSILGVRPDEFSFGLLAYLYARKGMKDKILELEDLVTRFGFPNSKVVLSSLISGYMKSGSLESVSATIMHNLREGVSGEGIGLGEGTYTEIARGFLDNGGMKNLASLIVETQKLESSSIVAEKSVGYGVISACVNLGLLDKAHSVLEEMNIQGTCFSLGVYIPILNAYCKERRTAEATQLVMEISSSGFQLDAGMYDSLIEASMSCHDFQSAFSLFRDMREARISDLKGSYLTIMTGLTESHRPELMVSFLDEVVEDPRIVIGTHDWNSIIHAFCKAGRMEDARRTFRRMTFLRFEPNEQTYLSLINGYVAVEKYFNILMLWTEVKRKISANGERRFKLDNSLVDAFLYALVKGGFFDAVMQVVEKSQEAKIFVDKWRYKQAFMEKHKKLRVSKLRRRNFRKMESLIAFKNWAGLNA >itb07g13210.t3 pep chromosome:ASM357664v1:7:15354348:15360653:1 gene:itb07g13210 transcript:itb07g13210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVLGVVSRRRYSTEPEIPSLYSFLRPSIFSLRTADPLSAAAPKPNDATQKSLTQDQKFHLESALQASLTAHNTDEAWKSFKALSSCSAFPSKSLANSLIAHLSSLSDTLNLKRAFASVVFLIEKNPELLHHETVQNLLDSMRNANTAAPAFALVKCMFKNRFFVPFSLWGNALVDISRKTGSLVAYFHIFNESCRIAMDEKLVFLKPDLAACNAALECCCRVLESVSDANKVVDTMSILGVRPDEFSFGLLAYLYARKGMKDKILELEDLVTRFGFPNSKVVLSSLISGYMKSGSLESVSATIMHNLREGVSGEGIGLGEGTYTEIARGFLDNGGMKNLASLIVETQKLESSSIVAEKSVGYGVISACVNLGLLDKAHSVLEEMNIQGTCFSLGVYIPILNAYCKERRTAEATQLVMEISSSGFQLDAGMYDSLIEASMSCHDFQSAFSLFRDMREARISDLKGSYLTIMTGLTESHRPELMVSFLDEVVEDPRIVIGTHDWNSIIHAFCKAGRMEDARRTFRRMTFLRFEPNEQTYLSLINGYVAVEKYFNILMLWTEVKRKISANGERRFKLDNSLVDAFLYALVKGGFFDAVMQVVEKSQEAKIFVDKWRYKQAFMEKHKKLRVSKLRRRNFRKMESLIAFKNWAGLNA >itb06g23400.t1 pep chromosome:ASM357664v1:6:25368618:25370369:-1 gene:itb06g23400 transcript:itb06g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVMQMVSYQAMNMSSATTHQFAPTRAMAAMYEPFHQMGGWEETLRGDIIPAVSACVVPQATTGIDDKSEYTSSESAAPSGSGDNQPTQSVADKVQRRLAQNREAARKSRLRKKAYVQQLETSRLKLTQLELELQKARQQGLHSFGATRNIALCGTINPGIASFEMDYTHWVEEQQKKNSELRNALQSHMHDMELQMLVDSFLGHYSDLFRMKADVAKADIFYLISGMWVSPIERFFLWLGGYRPSEFISVITPQIEPLTDQQLLNVCNLQLCCQQAEDALTQGMDKLQQTLGQSMKLRSAGAGSFNTQMVSAMENLESLESFINQADHLREQTLQQMCRILTTRQAARGLLAFGEYFQRLRALSSIWAARPYETYD >itb03g20490.t1 pep chromosome:ASM357664v1:3:18381613:18382698:1 gene:itb03g20490 transcript:itb03g20490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKVQGQEEPHVRRKRQKRSEQRCDPLILAAPPCRLPLRLKPPPSSFVVCDLFVINVPTRTSSKMSQKG >itb09g09210.t1 pep chromosome:ASM357664v1:9:5515016:5516605:-1 gene:itb09g09210 transcript:itb09g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMCFAVVAFIFSLICFPAACSSIHAHHQDFVHCLSSKLRNNATSQVLYTPSSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGVSFVSSLPFAILDLIKFRSIEVNVGEKSAWVQTGATLGELYYSIANKSDTLAFPAGICPTVGTGGHISGGGYGMLLRKYGLAADHVIDARIMDVNGRILDRKSMGEDLFWAIRGGGGASFGIILAWKLELVPVPETVTVFNISKTLEQNLTNLLYKWQFFANKADRNLYLRVVLQNTNTTGERTVEGLFNAQYLGRSEALLAMIQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQRSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLGYATGWDEVGQVAAQKHLSWIRKLYAYLTPYVSNNPRESYSNYRDFDLGQNNLVGTTSLDQASSWGYKYFMNNFYRLAKVKALVDPDNFFRNEQSIIPLSYPL >itb08g07710.t1 pep chromosome:ASM357664v1:8:6606026:6609239:1 gene:itb08g07710 transcript:itb08g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHRVLLLITLLSIHLISIAAHLEWPPPPTTAATAAYSNNLVVRDIIPSWLPSKERKLLQMSPGNMRIDAVVASDGSGQFARIGDALKAVPDNSGTRFVIYVKEGVYVENVVVEATKSNVMIIGDGMDSTVVSGSLSTAEESSMFSTATFGVLATDFIACDIGFENTAGAAKNQAVALAAAGDRGVFYRCKMVGFQDTLYAQSNRQFFRDCAIYGTIDFICGDSAAVFQNCDIRPRRPLPGQFNSITAQSRSDPSSQTGFSFQDCRITAAEDLSGVQTFLGRPWMSYSRTIFMHTYMDSVIDPRGWVGWTSSVAPDTVYYAEFGNYGPGAATANRVEWRGLHLGISSAEAARFSVDSFIGGSSWLPGTGVSFNPGTEAGNGFDVFVGSCDNTKLNTAVLLICLLINVTLLRGVFEE >itb05g22070.t1 pep chromosome:ASM357664v1:5:27615264:27618770:1 gene:itb05g22070 transcript:itb05g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRHLHFSLSKPQSMKPLFPRPIPAIFQIANFSNAPFHPSHATSSSSRRHEVESRRVKVSVWWDFENCNLPTGSNVFRVTQGITAAIRANGIKGPIQITAFGDVMQMSRVNQEALSSTGISFTHVPNGGKNSADRSLLVALMYWVSQNPPPAHLFLISGDRDFASILHRLRMNNYNILLASLESVPSVLSSAASIMWQWNALIRGENLTGRHFNQPPDGPYGSWYGHFKSPLEDPFVAMEQPTLCCTQEPSNSNSELKIRPIPKAVQKLILHILCSKPTGISSKELRSELDKSNLSIDKDLYGYKKFSCFLLAMPHILKLQSGNDGQLLVRGVCSKASEQNGGISRITAAGVSNAGVPADSKPQSAPSPYCVPKMKTSKNLQKREKVK >itb05g20850.t1 pep chromosome:ASM357664v1:5:26821413:26824894:-1 gene:itb05g20850 transcript:itb05g20850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETEQGMVDCGVGSIVWVRRRNGSWWPGKILGPDELSASHVMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIERAEASLGMPPKKREKYARREDAILHALELERELVGKKYGKISYSSNNKIDKSSDNTVTREAVASSKQSENQNGEEFHLKSQQAFERDGLSLKDDSRVGILCVDRTQKGTQLSRDNDNSSVPSRMRDLQDFGLSSAPAEDGLPVSTSGASKPLPDSNILLGGSPKAEVNVDRESLLAEETPAKRHDKRRPLVQVLQTSEKFPVSYLQQDESSDFMSVLGDEPLGGGHAKKARYTYLSTESGDHFSGKIDLPSQIEISTPKLEGNAGPQPAGSCEANPSVSTEYTETDSPESDSQESDTDDNMATLSEGAASIELQPKYLGRSEAPAEHGSTSSEELDDLSGADSHPFLNDTVSAGVGVSKWQLKGKRNNRNLVKRSLDAFEANHFRTASYMIVPPDKGSGGSEATPFIKSTVPMAGYGSRVVGNASRSIVRGDLPTIDRHVTKGYWGDSGENLDTMCNYHHLGGQTMLVDVELKVQASYHRQRVPMISLMSKINGQAIVGHPIQIEALEDGASQNLLGALDVFPETLSNDTSLQPVWRTARRTAGVRVPRPNISSGLDGTESSKHPLAAEGDRNVRRASSDGFGQKPSTMRKNTSRPPVVKTFSRKTPKRISLSSNQKIRTLSSISSQQKQSGDLKRSSNIFQVDGIMKQDTVPTVIACIPVKLVFSRLNEELVGRPK >itb13g22990.t1 pep chromosome:ASM357664v1:13:29114212:29121016:-1 gene:itb13g22990 transcript:itb13g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLINALAVVRMTTREMTLGAEIEFGTFTWYLYVGISCVLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAATIFPVVQKQHQLLVTLLLCNAASMEALPIYLDKIFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRFLMIVCYPIAYPIGKILDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKVKGKSKNPPLIENEQSEAAETDGDSQLTTPLLTKKDEKSDSVVVDIEKAVVPAPINILASNDTETNGLPQSSEDLEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTAQKAAGGQNRQGQTPRKSSEDVRRGHLSEPLPGNKK >itb04g20240.t1 pep chromosome:ASM357664v1:4:24883091:24884800:-1 gene:itb04g20240 transcript:itb04g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGYQIGKNKVFLRAGQMAELDARRTYKVFIAARIIQRQIRTYITRKEFIALRQAAVQMQSCWRAAVTEDGELYAWD >itb01g05690.t1 pep chromosome:ASM357664v1:1:3934637:3936446:-1 gene:itb01g05690 transcript:itb01g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQVVPNGWPFGLEKMNIWLRLNDAPNSHVDTLSLDPSPFCVVHSPSLSSFSSSNLDTECISKTTDWNEIRKQWEVVLFERNA >itb14g12740.t1 pep chromosome:ASM357664v1:14:14472754:14481507:-1 gene:itb14g12740 transcript:itb14g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNTGEFAGDHPDFHRNSYCAAPSWPPDESITQNTENHRRNFSGDAHHYQRRQQQQNDHHYSHSDHRHHQNSNLEPNGSVDGAATGNFGNSPPLSGRKRPFSHSQPAPFSDGLEGGGFVKLYAAGVPRTTTEEDVRRAFGEYGHIIEVVLLREKKTGQKQESCFVKYRTLEDADRAIVALHDRFTFPGAECPLKVRYAEGERERLGSFGEHIHKLYVGGMSRQTSKREIDEVFSRYGIVESIYLVRDRDEQKQSRGCAFVQFSRRDMAVSAINALHGTYIMRGCDHPLIVRFADPKKPRLGDSRAAASMGEPFGGHMLPNPASPKQSPGGGSKPQTVSNTCAVLEQVLPSTTSSANKSALDTEMIEFIDCEWSEHVCPDGYLYYYNCETCESRWEKPEEYALYEQEIEKFEEQQNAQPSVTTTPDFSQALKMDVETPLSTEESQKISSTEFQELNYEHTQMTASPTVPPACV >itb14g12740.t2 pep chromosome:ASM357664v1:14:14472862:14481491:-1 gene:itb14g12740 transcript:itb14g12740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITITVTLTTVTTKIPTSSQMVPLMAPPPAISAILRLFRGVKGLFPTHNQRLFLMDWKVGVSLNYMLQVFQEQLQKKMFVVLLVNMDILLRLFSSEKKRLVKNKLYADLAESCFVKYRTLEDADRAIVALHDRFTFPGAECPLKVRYAEGERERLGSFGEHIHKLYVGGMSRQTSKREIDEVFSRYGIVESIYLVRDRDEQKQSRGCAFVQFSRRDMAVSAINALHGTYIMRGCDHPLIVRFADPKKPRLGDSRAAASMGEPFGGHMLPNPASPKQSPGGGSKPQTVSNTCAVLEQVLPSTTSSANKSALDTEMIEFIDCEWSEHVCPDGYLYYYNCETCESRWEKPEEYALYEQEIEKFEEQQNAQPSVTTTPDFSQALKMDVETPLSTEESQKISSTEFQELNYEHTQMTASPTVPPACV >itb09g28850.t4 pep chromosome:ASM357664v1:9:29445318:29448625:-1 gene:itb09g28850 transcript:itb09g28850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSASTNDQK >itb09g28850.t1 pep chromosome:ASM357664v1:9:29445318:29448591:-1 gene:itb09g28850 transcript:itb09g28850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPYMPPANGTQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSASTNDQK >itb09g28850.t3 pep chromosome:ASM357664v1:9:29446824:29448591:-1 gene:itb09g28850 transcript:itb09g28850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPYMPPANAGTQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVCIIFINTRLASFFLSDTIEILKS >itb09g28850.t2 pep chromosome:ASM357664v1:9:29445318:29448625:-1 gene:itb09g28850 transcript:itb09g28850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPYMPPANAGTQSQLVCSGCRNLLMYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSASTNDQK >itb10g20270.t1 pep chromosome:ASM357664v1:10:25899887:25904334:-1 gene:itb10g20270 transcript:itb10g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSALLDYALLKLTPTRTRCDLVVVSGGKTEKLASGLVEPFIAHLKFAKDQIPKGGYSIALRPPSSAASWFTKATFQRFVRFVSTPEILERFMRLEKEILQIESSNNESNSISKSSSEEGTPPAKSKGEVDGDESTAAKENSKYRLQCLLDTRKALLRKEQAMAYARATVAGFEVEQMDDLICFANAFGASRLREACIDFMELYKQKHTDCQWMDEVTAMKAFSPAELSFLGNSGVVLACENGNGSLVRSCSLDTSSDSKESQLNSDGVGDNTLPASTKAQMQMPWPNQMPPYMYNFPGPVPPMSFPGMHPVPPYYPGHMHWAQAVDDASNGLSRENSRKHHHKSSRKKEKVSHSDAPESSEDENNALTNSDSETDSDESGKDEKEHLSRQQTHGRKHKKKSSKTVVIRNINYITSKRRDGGFDDSSSLETTSLDEDSIKQQVDDAISVLEQGHNKKTHKHSNRGHQDSKPHNGSNGGFENWDAFQNILMSQEDEEKQTVSFNGEDCMMKNSDDGVTNGHAVNLESEKVRRKHLLSDDSVIVTERNGAHTGDAGTLDFANGEDIRPGMKRRDSENVQFLLPQTREGSGSTTLGTASDFAVESCTIRNKSGDDWFVANKPGNFERQGAKDMIFENGDSLLSDREANKRATAIDDSFIIQSRSMSDDQCSSQWKTDINMDADFITAAQPENSDPVVSQTKPSSGEANDLCVVLARDPGMESAEVSWMPELDYEMEASFAGINKSSPATESNAQAEESSPVKGVKKGNKKDMSPSTKTPAKDTKSKLSPGYLSRSRIEALSKSKKISPTNKPGVQKSKLEREEEARKRTEELVLERQRRIAERTAASGLTPSPSKKVPAGSKTASPKLEKHRVQPSNSRVKAS >itb14g20690.t1 pep chromosome:ASM357664v1:14:22976745:22978570:-1 gene:itb14g20690 transcript:itb14g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02980) UniProtKB/Swiss-Prot;Acc:P33487] MSRRLVGVWSLAVVFFFSTVGASHCSIKGLPLIRNISELPQDNYGRPGLSHITLVGSVMHGMKEVEVWLQTFAPGSKTPIHRHSCEEVFVVLKGSGTLYLASNSHPKYPGNPQELPIFTNSTFHIPTNDAHQVWNTGESEDLQVLVIISRPPVKVFIYDDWLMPHTAARLKYPYYWDEECHQTTVKDEL >itb12g23720.t1 pep chromosome:ASM357664v1:12:25343583:25346231:-1 gene:itb12g23720 transcript:itb12g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKEEKRNRVLRWIKTLFFLITMLISLLMFSAPILVGIADAVLPSALLSASWSPASLSSILSSYDFRYSLVDIPLVSIVRSAIILCVYSSCDGPSLSRGPYLGIATVCSVTSLVFVSIKASYVFGNSSRSSYDGVNGGRAAEMAMFACSLAMAIAHIVVAYRISCRERRKLLVYKIDIEAVTACKKGFSRYPKKIQEERVK >itb12g23720.t2 pep chromosome:ASM357664v1:12:25343583:25346231:-1 gene:itb12g23720 transcript:itb12g23720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKEEKRNRVLRWIKTLFFLITMLISLLMFSAPILVGIADAVLPSALLSASWSPASLSSILSSYDFRYSLVDIPLVSIVRSAIILCVYSSCDGPSLSRGPYLGIATVCSVTSLVFVSIKASYVFGNSSRSSYDGVNGGRAAEMAMFACSLAMAIAHIVVAYRISCRERRKLLVYKIDIEAVTACKKGFSRYPKKIQEERVK >itb11g17890.t1 pep chromosome:ASM357664v1:11:18006373:18008269:1 gene:itb11g17890 transcript:itb11g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRQSIHRPFSPQRHCRLKEERRQRQSIRRREKRKVAAATPKLLAVTKSVLPKRPSSSDRLPENQRHRQCPYGEEDAKMQTEEGEGPAHLLCISLLCRRRATARDSKSHATTQRPLSQPRSSMDGEAPITSLECTTTPPAVSESRCQFSWPPASMSVTP >itb06g21470.t1 pep chromosome:ASM357664v1:6:24023629:24028626:1 gene:itb06g21470 transcript:itb06g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMETMKGNAPPEYNFVPGGSSTDEDEPNGVFQTNKHDNGDMNSERQSLAVDGSILDHISHDPSPTENSVSMSSVKVGKTGDNSETGSPMNSSLLDQDTFLESTKSSEPFEPQGDENSGVPKSIPSSELDVKPNEVLQPLVDVGAQSSPHSSSNNASGGEDTSALSSGNSDILKPPEEEMAPDSSVAKAGDANATELFGDSSDKTNGDDDARFASHPRASDAESCNDLLPSDDDEHLVAKVPMPSSEDDFFEPVAKVSNHLVSINAFGQPLAKVSEPSDDVDQPLPKDPNDLLPLDDADQPLAKVPSHLVPPDDADRPLAKVSSFSVKSPTSGSSKNPESYDIDRVQIDTAMPIESVKQAVSKFGGIVDWKAHRVQTVERRKCIDQELEKLQEEIPLYKKQFETSEEAKMQVLKELDGTRRLIEELKLNLERAQTEEKQARQDSELAKLRVEEMEQGIADEASIATKAQLEVARARHAAAVSDLKDVKAELEQLRSDYAVLVAEKDATVKQAEEAVSESKKVEKSVEDLTVELITIKQALDAAHAAHLEAEDHRIGEAMAREQDTLYWENEVKEAEEEIERLNQQILSAKELKSELDTASGLLQDLKAELAAYMETKVEEENEEDGKREAELLEPEKRIHCEIQAAVASAQKELEEVKLQTEKAVAEVNFLKVASTSLKTELEKEKEDFASTRQREEMASITIASIEAELNRIKSDILLAQMREKEAQEKMVELPKQLQLAAREADRAKAVAEAARLELSRAKEEADHAKTGATTVESRLIAAQREIEAAKAAEKLAIAAMNALEESEQARINKGVDSPSGVTLSLEEYYELSKQAHAAEEEAKMRVAAAFSQIDLAKESELNSLKKLEDVNCKLAERRQVLENALQKAEKAKEGKMAVEQELRKRRESHEQRRKANESISSIKSARSSFDDRKDSESVNGPAEDTSRHSRKSSKGHADESKPENPHAQESNTEPESSPEVTKTTKKKKKSFFPRIFMFLARKKSSKT >itb06g21470.t2 pep chromosome:ASM357664v1:6:24023629:24028626:1 gene:itb06g21470 transcript:itb06g21470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMETMKGNAPPEYNFVPGGSSTDEDEPNGVFQTNKHDNGDMNSERQSLAVDGSILDHISHDPSPTENSVSMSSVKVGKTGDNSETGSPMNSSLLDQDTFLESTKSSEPFEPQGDENSGVPKSIPSSELDVKPNEVLQPLVDVGAQSSPHSSSNNASGGEDTSALSSGNSDILKPPEEEMAPDSSVAKAGDANATELFGDSSDKTNGDDDARFASHPRASDAESCNDLLPSDDDEHLVAKVPMPSSEDDFFEPVAKVSNHLVSINAFGQPLAKVSEPSDDVDQPLPKDPNDLLPLDDADQPLAKVPSHLVPPDDADRPLAKVSSFSVKSPTSGSSKNPESYDIDRVQIDTAMPIESVKQAVSKFGGIVDWKAHRVQTVEEEIPLYKKQFETSEEAKMQVLKELDGTRRLIEELKLNLERAQTEEKQARQDSELAKLRVEEMEQGIADEASIATKAQLEVARARHAAAVSDLKDVKAELEQLRSDYAVLVAEKDATVKQAEEAVSESKKVEKSVEDLTVELITIKQALDAAHAAHLEAEDHRIGEAMAREQDTLYWENEVKEAEEEIERLNQQILSAKELKSELDTASGLLQDLKAELAAYMETKVEEENEEDGKREAELLEPEKRIHCEIQAAVASAQKELEEVKLQTEKAVAEVNFLKVASTSLKTELEKEKEDFASTRQREEMASITIASIEAELNRIKSDILLAQMREKEAQEKMVELPKQLQLAAREADRAKAVAEAARLELSRAKEEADHAKTGATTVESRLIAAQREIEAAKAAEKLAIAAMNALEESEQARINKGVDSPSGVTLSLEEYYELSKQAHAAEEEAKMRVAAAFSQIDLAKESELNSLKKLEDVNCKLAERRQVLENALQKAEKAKEGKMAVEQELRKRRESHEQRRKANESISSIKSARSSFDDRKDSESVNGPAEDTSRHSRKSSKGHADESKPENPHAQESNTEPESSPEVTKTTKKKKKSFFPRIFMFLARKKSSKT >itb06g21470.t3 pep chromosome:ASM357664v1:6:24025105:24028626:1 gene:itb06g21470 transcript:itb06g21470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMETMKGNAPPEYNFVPGGSSTDEDEPNGVFQTNKHDNGDMNSERQSLAVDGSILDHISHDPSPTENSVSMSSVKVGKTGDNSETGSPMNSSLLDQDTFLESTKSSEPFEPQGDENSGVPKSIPSSELDVKPNEVLQPLVDVGAQSSPHSSSNNASGGEDTSALSSGNSDILKPPEEEMAPDSSVAKAGDANATELFGDSSDKTNGDDDARFASHPRASDAESCNDLLPSDDDEHLVAKVPMPSSEDDFFEPVAKVSNHLVSINAFGQPLAKVSEPSDDVDQPLPKDPNDLLPLDDADQPLAKVPSHLVPPDDADRPLAKVSSFSVKSPTSGSSKNPESYDIDRVQIDTAMPIESVKQAVSKFGGIVDWKAHRVQTVERRKCIDQELEKLQEEIPLYKKQFETSEEAKMQVLKELDGTRRLIEELKLNLERAQTEEKQARQDSELAKLRVEEMEQGIADEASIATKAQLEVARARHAAAVSDLKDVKAELEQLRSDYAVLVAEKDATVKQAEEAVSESKKVEKSVEDLTVELITIKQALDAAHAAHLEAEDHRIGEAMAREQDTLYWENEVKEAEEEIERLNQQILSAKELKSELDTASGLLQDLKAELAAYMETKVEEENEEDGKREAELLEPEKRIHCEIQAAVASAQKELEEVKLQTEKAVAEVNFLKVASTSLKTELEKEKEDFASTRQREEMASITIASIEAELNRIKSDILLAQMREKEAQEKMVELPKQLQLAAREADRAKAVAEAARLELSRAKEEADHAKTGATTVESRLIAAQREIEAAKAAEKLAIAAMNALEESEQARINKGVDSPSGVTLSLEEYYELSKQAHAAEEEAKMRVAAAFSQIDLAKESELNSLKKLEDVNCKLAERRQVLENALQKAEKAKEGKMAVEQELRKRRESHEQRRKANESISSIKSARSSFDDRKDSESVNGPAEDTSRHSRKSSKGHADESKPENPHAQESNTEPESSPEVTKTTKKKKKSFFPRIFMFLARKKSSKT >itb04g00080.t1 pep chromosome:ASM357664v1:4:72876:74864:1 gene:itb04g00080 transcript:itb04g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAFFLLFLFLFLFCCHPIIASLDYQTLALRPLPTAQYSLSSNPQYSQTLDSENTLSLDLHHIDLISSAAVNATPKALFVKRLQRDAARAKALSLLAAAPHNATRAAVPGRDFSSSVISGLAQGSGEYFTRLGVGTPPRYAYMVLDTGSDVVWLQCSPCRKCYTQTDPVFDPTKSSSFVGVKCGSPLCRRLDSSGCNNRQMCLYQVSYGDGSFTVGEFSTETLTFRRTRVKNVALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQAGRRFGRKFSYCLVDRSSASSRPSSSSSIVFGDSAVSRSAVFTPLLTNPKLDTFYYVELTGISIGGARVRGIKPSLFKLDPTGNGGVIVDSGTSVTRLIRPAYVAMRDAFRKGASDLKPASEFSLFDTCFDLSGKTEVKVPTVVLHFRGADVSLPAANYLIPVDTDGRFCFAFAGTTSGLSIIGNIQQQGFRVVFDLAGSRLGFAASGCA >itb10g18050.t2 pep chromosome:ASM357664v1:10:24089142:24099690:-1 gene:itb10g18050 transcript:itb10g18050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREGNGVDAGEEHEGFPPAVALGRRKYTPVVAHDNDPAMVEMSTVDLGSSSSSFPPQDLKKIKVNKEPNSASEGSEGSIHNHVGVNGPQTESKLELFGFDSLVNILGLKSMIGDQMPAPSSPRDGDDVTIAVGHHQPNVVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAISGAMYVLGAVETFLNAVPGAGIFRESVTTVNGTAIAEPITRPSLHDLQVYGIVVTIVLCFIVFGGVKMINRVAPAFLIPVFLSLCCIFLGIFVARKDRPEVGFTGLSLESFKDNWSSDYQMTNNAGIPDPNGKIYWGFNALVGLFFPAVTGIMAGSNRSASLRDTQRSIPIGTLAATLSTTGLYLVTVLFFGAVAHREKLLTDRLLTATVAWPFPAIVYVGIILSTLGAALQSLAGAPRLLAAIANDDILPVLNYFKVSDGNEPHVATLFTAFICIGCVVIGNLDLISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALICIVIMFLISWTFTIVALALATLIYYYVCLMGKAGDWGDGFKSAYFQLALRSLRSLGATQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSVFVSIMDGDYLECAEDAKTACKQLSTYIDYKQCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLHEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFEGCKIQVFCIAEEDSNAEELKTDVKKFLYDLRMQAEVIVISMKSWETHGEQQESTEAFSGAQQRIANYLGEMKEKAQREGTPLMADGKRVIVNDQQVEKFLYTTLKLNSTILNYSRMAAVVLVSLPAPPLSHPSYFYMEYMDLLVENVPRLLIVRGYHRDVVTLFS >itb10g18050.t4 pep chromosome:ASM357664v1:10:24089142:24096743:-1 gene:itb10g18050 transcript:itb10g18050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDQMPAPSSPRDGDDVTIAVGHHQPNVVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAISGAMYVLGAVETFLNAVPGAGIFRESVTTVNGTAIAEPITRPSLHDLQVYGIVVTIVLCFIVFGGVKMINRVAPAFLIPVFLSLCCIFLGIFVARKDRPEVGFTGLSLESFKDNWSSDYQMTNNAGIPDPNGKIYWGFNALVGLFFPAVTGIMAGSNRSASLRDTQRSIPIGTLAATLSTTGLYLVTVLFFGAVAHREKLLTDRLLTATVAWPFPAIVYVGIILSTLGAALQSLAGAPRLLAAIANDDILPVLNYFKVSDGNEPHVATLFTAFICIGCVVIGNLDLISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALICIVIMFLISWTFTIVALALATLIYYYVCLMGKAGDWGDGFKSAYFQLALRSLRSLGATQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSVFVSIMDGDYLECAEDAKTACKQLSTYIDYKQCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLHEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFEGCKIQVFCIAEEDSNAEELKTDVKKFLYDLRMQAEVIVISMKSWETHGEQQESTEAFSGAQQRIANYLGEMKEKAQREGTPLMADGKRVIVNDQQVEKFLYTTLKLNSTILNYSRMAAVVLVSLPAPPLSHPSYFYMEYMDLLVENVPRLLIVRGYHRDVVTLFS >itb10g18050.t3 pep chromosome:ASM357664v1:10:24089142:24099690:-1 gene:itb10g18050 transcript:itb10g18050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNREAAMEGGREGNGVDAGEEHEGFPPAVALGRRKYTPVVAHDNDPAMVEMSTVDLGSSSSSFPPQDLKKIKVNKEPNSASEGSEGSIHNHVGVNGPQTESKLELFGFDSLVNILGLKSMIGDQMPAPSSPRDGDDVTIAVGHHQPNVVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAISGAMYVLGAVETFLNAVPGAGIFRESVTTVNGTAIAEPITRPSLHDLQVYGIVVTIVLCFIVFGGVKMINRVAPAFLIPVFLSLCCIFLGIFVARKDRPEVGFTGLSLESFKDNWSSDYQMTNNAGIPDPNGKIYWGFNALVGLFFPAVTGIMAGSNRSASLRDTQRSIPIGTLAATLSTTGLYLVTVLFFGAVAHREKLLTDRLLTATVAWPFPAIVYVGIILSTLGAALQSLAGAPRLLAAIANDDILPVLNYFKVSDGNEPHVATLFTAFICIGCVVIGNLDLISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALICIVIMFLISWTFTIVALALATLIYYYVCLMGKAGDWGDGFKSAYFQLALRSLRSLGATQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSVFVSIMDGDYLECAEDAKTACKQLSTYIDYKQCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLHEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFEGCKIQVFCIAEEDSNAEELKTDVKKFLYDLRMQAEVIVISMKSWETHGEQQESTEAFSGAQQRIANYLGEMKEKAQREGTPLMADGKRVIVNDQQVEKFLYTTLKLNSTILNYSRMAAVVLVSLPAPPLSHPSYFYMEYMDLLVENVPRLLIVRGYHRDVVTLFS >itb10g18050.t1 pep chromosome:ASM357664v1:10:24089142:24099690:-1 gene:itb10g18050 transcript:itb10g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREGNGVDAGEEHEGFPPAVALGRRKYTPVVAHDNDPAMVEMSTVDLGSSSSSFPPQDLKKIKVNKEPNSASEGSEGSIHNHVGVNGPQTESKLELFGFDSLVNILGLKSMIGDQMPAPSSPRDGDDVTIAVGHHQPNVVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAISGAMYVLGAVETFLNAVPGAGIFRESVTTVNGTAIAEPITRPSLHDLQVYGIVVTIVLCFIVFGGVKMINRVAPAFLIPVFLSLCCIFLGIFVARKDRPEVGFTGLSLESFKDNWSSDYQMTNNAGIPDPNGKIYWGFNALVGLFFPAVTGIMAGSNRSASLRDTQRSIPIGTLAATLSTTGLYLVTVLFFGAVAHREKLLTDRLLTATVAWPFPAIVYVGIILSTLGAALQSLAGAPRLLAAIANDDILPVLNYFKVSDGNEPHVATLFTAFICIGCVVIGNLDLISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALICIVIMFLISWTFTIVALALATLIYYYVCLMGKAGDWGDGFKSAYFQLALRSLRSLGATQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSVFVSIMDGDYLECAEDAKTACKQLSTYIDYKQCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLHEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKASFEGCKIQVFCIAEEDSNAEELKTDVKKFLYDLRMQAEVIVISMKSWETHGEQQESTEAFSGAQQRIANYLGEMKEKAQREGTPLMADGKRVIVNDQQVEKFLYTTLKLNSTILNYSRMAAVVLVSLPAPPLSHPSYFYMEYMDLLVENVPRLLIVRGYHRDVVTLFS >itb11g07160.t1 pep chromosome:ASM357664v1:11:4358705:4359772:1 gene:itb11g07160 transcript:itb11g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATILTIASPSSSSPSHPLSLKSHFRNPFATPTHPPKLALRPSKPRPLTIRSAISRTRKEETVEAVKQQLQDCYLLAGIRYKGLTVKQFQDLRTQLPETTKLLVAKNTLVLKAIEGTPWEALKPCMTGMNAWLFVHSEEIPAALKPYRTFQKEQKLEGNDFAGAVFEGKFYGPDEFKSLENLPSRAEVYAKLLGSLKGPASAVVGTLQAPARNLVMVLQAYVKKLEEEGGQ >itb06g17460.t1 pep chromosome:ASM357664v1:6:21305434:21308280:1 gene:itb06g17460 transcript:itb06g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHCKIGIIGAGISGLAAAKQLSEHEPVVFEATDCIGGVWKHCSFRSTKLQTPRCDYEFSDFPWTQRDNSTFPTYQEILDYLHSYATHFDILKFVKFNSKVVETRFIGHRESTGSGADNNGEYGSLLPGHPVWEVAVQTNQSETLQWYSFECLVVCTGKYGDVPNTISFPHNKGPQVFEGKVLHSLDYCKLDKEESTQLLKGKKVVVFGYKKSAIDLAVECAEANQADLSMCLNKGTDGQACTMVIRTLHWTVPHYSVWGLPFYLFFSTRSSQFLYERPKQGLARTLLCHLLSPLRWGVSKTIESYLSWKLPLDKYGLRPDHPFVEDYASCQMAILPENFFQEAEKGKIQFKKASSKWWFWEGGVEFEDGTKLEADVVILATGFDGKKKLKTILPDPFRSLLEFPASGLMPLYKGTINPLIPNMAFVGFIESVSNLHTAEIRCKWLSRLVDGHFKLPRVEKMVEQTQEEVEIMKRSTRFYKRSCISTFSINHSDEICEEMGWESWRKKTWLSEAFSPYNSQDYTEEK >itb13g04890.t5 pep chromosome:ASM357664v1:13:5785994:5790643:1 gene:itb13g04890 transcript:itb13g04890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKGIEM >itb13g04890.t1 pep chromosome:ASM357664v1:13:5785994:5790643:1 gene:itb13g04890 transcript:itb13g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKGIEM >itb13g04890.t3 pep chromosome:ASM357664v1:13:5785994:5790643:1 gene:itb13g04890 transcript:itb13g04890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKIFQGIEM >itb13g04890.t6 pep chromosome:ASM357664v1:13:5785994:5790643:1 gene:itb13g04890 transcript:itb13g04890.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKIFQGIEM >itb13g04890.t2 pep chromosome:ASM357664v1:13:5785994:5790643:1 gene:itb13g04890 transcript:itb13g04890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKGIEM >itb13g04890.t4 pep chromosome:ASM357664v1:13:5785994:5790643:1 gene:itb13g04890 transcript:itb13g04890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKGIEM >itb13g04890.t7 pep chromosome:ASM357664v1:13:5785994:5790436:1 gene:itb13g04890 transcript:itb13g04890.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPSWVGLLQFGLSLHYLASLSTKRFSVNSNRRYAEKGKKPPPPEVLPVPGRICRRRRIRFRLMLFLLQFRFQREFKQDALRLYGNLVQIEKVQKIFQGIEIFTGVVPFDLNFFLFCDVVNQITESSGRYQTLFPEAERQRNSKFGQSSTHAACEEQIEQLKEEKQISSAQISKLLHLLV >itb08g10230.t1 pep chromosome:ASM357664v1:8:9618777:9620062:1 gene:itb08g10230 transcript:itb08g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDPKPSNSFMQQFSQESCYPKELRESIISLPREEGWLSPHMYNYKGFWFDSQFLNQKDTVVSFWHFINKLRAELSGLEVIPFPEAFDRYCRGASHFGPFWDHLLGYWKESLENPRKVLFLKYEEMKNEPNVQLSFESLSNLVNKTGKSSHRPNNVYFRKGKVGDWRNHLTDEMASRLDQIVEEKFKGIGLKL >itb09g11180.t1 pep chromosome:ASM357664v1:9:6989253:6995824:1 gene:itb09g11180 transcript:itb09g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSCSFSSAINLRFHLNPPTSYTLKHRIQLRRISKRNFGRLIIRSFSGTDGGNGGRNNGDGKLEKDNSSNTATATTTTTASDDAAEDRRGAGVAKDSEDSPPSVSSRQPTLVGSPYNNFQIDSFKLMELLGPEKVDPSDVKIIKDKLFGYSTFWVTREEPFGDLGEGILFLGNLRGKREDVFAKLQSQLSEIMGDKYNLFMVEEPNSEGEDPRGGPRVSFGMLRKEVSEPSPTTLWQYVIALILFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAIEPPDMQLLLPFVESALPLAYGVLGVQLFHEVGHFLAAFPRKVKLSIPYLIPNITLGSFGAITQFKSILPDRKALVDISLAGPFAGAALSSVMFAVGLLLSSNPTASGDLVQVPSTLFQGSLLLGLISRGILGYSEMHAAAVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRAIQGAFGKSAIIAFGLVTYTMLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLNDVTEVGTWRKTLISVAVFLVVLVLLPVWDELAEELGIGLVSTF >itb09g11180.t2 pep chromosome:ASM357664v1:9:6989330:6994652:1 gene:itb09g11180 transcript:itb09g11180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSCSFSSAINLRFHLNPPTSYTLKHRIQLRRISKRNFGRLIIRSFSGTDGGNGGRNNGDGKLEKDNSSNTATATTTTTASDDAAEDRRGAGVAKDSEDSPPSVSSRPTLVGSPYNNFQIDSFKLMELLGPEKVDPSDVKIIKDKLFGYSTFWVTREEPFGDLGEGILFLGNLRGKREDVFAKLQSQLSEIMGDKYNLFMVEEPNSEGEDPRGGPRVSFGMLRKEVSEPSPTTLWQYVIALILFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAIEPPDMQLLLPFVESALPLAYGVLGVQLFHEVGHFLAAFPRKVKLSIPYLIPNITLGSFGAITQFKSILPDRKALVDISLAGPFAGAALSSVMFAVGLLLSSNPTASGDLVQVPSTLFQGSLLLGLISRGILGYSEMHAAAVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRAIQGAFGKSAIIAFGLVTYTMLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLNDVTEVGTWRKTLISVAVFLVVLVLLPVWDELAEELGIGLVSTF >itb10g22050.t1 pep chromosome:ASM357664v1:10:27026039:27029297:-1 gene:itb10g22050 transcript:itb10g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEGQSSIRNVMFNGKSSLLPPKSPFPSLSPSYIEYTPSFGHKGIPKPREGNSHHQRTSSESFLIEEQPSWLDDLLNEPDTPVRRGHRRSSSDSFTYYDAPNVANLDFIVQDHNNFRNMMPIRSWGSQEFDYHGDAHHTAFYGDHNSSNRHKNRTRDASPNKIMHPHGIPSPKENLIVQSSGSPCPPQGDRAQSPAIDKQDLLESGPPDPNSSAEKRDSLVKNSSSGTDKKYSRQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLIMNMENKALKQRLESLTQEKLLKYVEHELLEKERERLQALYQQQQQPQPQQQQKQQSHGHRRTTSRDLDQQFKNLSFEK >itb10g22050.t3 pep chromosome:ASM357664v1:10:27026039:27029297:-1 gene:itb10g22050 transcript:itb10g22050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEGQSSIRNVMFNGKSSLLPPKSPFPSLSPSYIEYTPSFGHKGIPKPREGNSHHQRTSSESFLIEEQPSWLDDLLNEPDTPVRRGHRRSSSDSFTYYDAPNVANLDFIVQDHNNFRNMMPIRSWGSQEFDYHGDAHHTAFYGDHNSSNRHKNRTRDASPNKIMHPHGIPSPKENLIVQSSGSPCPPQGDRAQSPAIDKQDLLESGPPDPNSSAEKRDSLVKNSSSGTDKKYSRQQFAQRSRVRKLQYIAELERNVQALQALTYIS >itb10g22050.t2 pep chromosome:ASM357664v1:10:27026039:27029291:-1 gene:itb10g22050 transcript:itb10g22050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEGQSSIRNVMFNGKSSLLPPKSPFPSLSPSYIEYTPSFGHKGIPKPREGNSHHQRTSSESFLIEEQPSWLDDLLNEPDTPVRRGHRRSSSDSFTYYDAPNVANLDFIVQDHNNFRNMMPIRSWGSQEFDYHGDAHHTAFYGDHNSSNRHKNRTRDASPNKIMHPHGIPSPKENLIVQSSGSPCPPQGDRAQSPAIDKQDLLESGPPDPNSSAEKRDSLVKNSSSGTDKKYSRQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLIMNMENKALKQRLESLTQEKLLKYVEHELLEKERERLQALYQQQQQPQPQQQQKQQSHGHRRTTSRDLDQQFKNLSFEK >itb09g27340.t1 pep chromosome:ASM357664v1:9:27662282:27663147:1 gene:itb09g27340 transcript:itb09g27340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGLRRSSSRTNREGEVPKGHFAVYVGESEKKRFVLPISFLNNPSFQDMLNQAEEEFGFDHPMGGLTIPCPEDTFLDIISSLNRY >itb10g11920.t1 pep chromosome:ASM357664v1:10:17574505:17574894:1 gene:itb10g11920 transcript:itb10g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQQDKLLPIANVGRIMKKILPPSAKISKEAKERMQECASEFISFVTGEASDKCQKENRKTVNGDDICWALSSLGFDVYAEAMTRYLHKFREYERQRANQTKGASSNDDDGSPCSQQDKQTAGETAYI >itb05g17880.t1 pep chromosome:ASM357664v1:5:24748942:24771015:-1 gene:itb05g17880 transcript:itb05g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGLIQRRLVALLRPWLMDEPQLEVKLGLLRSHGVARNLSLNTSALNQLLEDPSRMCFKEVIIEQFDVHVANWSAPAFTFQIHGLHITLSLCDKEEGGVERRLKPRDTSIEQKTKLLAELDPQVHCTYCSLMLCRIIFCFSRVSAFKFALVCDTEFLFFTLQFSLLCEANYNFCELCEFQGSSLHAAMERIAEITAPGWTNSLLDHIFQHCQFNVHDVHVILQPSHPNNLFSFSFRMKELGVGCQSVQCCFLNGVISSLIFPSRESYFDLEIKSFEIRLKTEDCMSNIVPSTNLHASIKVKNLLFLDFDFSVEAVKFSLSPFHVSTILLLFVCLSKEAKCVRNGRQLWNIAADKIRSLTSMHKFLLHKFVCIICNWIHYIQTYENMLLLVGYPSDDAIRRSVVFMIGDRAHSRAVKEQWKTICQIEEELPSEAIALARRIIRNRVALGAQRVKEYCHQSKLKTLSLKICRPLHFVWMYICHLFHSIKSLLFFQNIASKHSNSNTNLGHVPDCSLLHRHIGLDVGEFSISISPETEVDPSVSGNLLSDIGLSYHELLSFSLSIDVFCLRYSQNITQKCFAFGCETLKVVPLSLMEDSSRNSTRHLKGSQKKKVHNLSPILWVEPAEIIDSVENADYHANDTGVRSFSFLDFIIGEMLLKWRKGCPKNGANEIQDSKNPFLLCEIKSFLTDQTQKDLISGSLSCGMVAGRLNLVIEHASIVSVAVISSQIKNALSWTGCNMRTDTVLHAPTSLGDPPCIDWSSKYISLSTGMAVAAQRLLPQKHIQMGIRVAGPHIQISLGKPGLHGHISDQYHAVRNNMVHLRIEAANIELAVLPNLESDFTFPSGSITVTDAKAKSLALLELHQVDIPKSNDETISRQGRISFDAYLKFDGLKVYLDVTDNPRRQIIVLTPVTFRLLASRKDLHSLGSTVVAWFISLSWMVSGLAVLLFLDELDAFVKEAYGLFCAALHVSNLPSLGTGLSYQEFSRHYMSANLGNHEVLMTRMTQAGPVFMKSLIIIQNICELKSVEIILHYSRKGHRTESDRMNAYTVINKMLDSEALLDYGIHINVKQSDIMFSFETEKMDLSINLSGFQSDILGQTKEIAEVSDQLEIKNLIQSLHCLYGASFSQCTFSLCLNAIPHPSLRNAADLSTSGGETSQMSEDSPLIANTDDCLHMTIVLDEVYLAGCPVKDLLGQWHKSNKLEGSLSVGGKLQRISCQTQGGYIMIETTALMMFIQCFTLYHCQFRDLWPAATSSGEQHDGNTDAMINPPNQELQCQQLVNWNQLEALSINISQLSLALMGRDESGRLQELLLEATSHFNLETPTKISFSISKLSILSCSFDVSTKKKIRDTNVLSLSSVTPNDPSSIYLHGDASVSSNYVNKVHSLDDASSSSSHVPQKEYLPDGSGKSCMNNIGSQNLHASPQNYVLRNLTASVVAERLAKRNWVSSQQTDYFWVGNGSLSGFDTTLSLPEIQMILFAGESISALYSNDTSKGVEQRHWSSSQESDGSMNHSVPDGTIVAIEDVCQHMFIAVDRAESGYALVGEIHYTLAGERALFRVKYHNSRRWRSQIPYFSLISLYAKDETGESLRLSCRPRSDFVDITKSDHGACTLWSAIPCESKGFDRDAEYDPPTKHTFQLVNKKNDLAAAFVNGVLEFVIKPGNPFKWKVFHSHFPAANNLLPNSCLVDESQTGSQRDLHVEEGADLRKNGNTLGITITTDNISWTIVHELSDTKEKFPLLQGSIGATEIVVQVSNIKIRVISRLLALLYFFDAKKNLWRELMHPLEVFLFYRYRLLSKDLEHVSHQVPGHFYVRIGEFNLSISELSLDIVLFVIGQLNLAGPYAVRSSSILANCCKIENKSSLTLVCNFYDNQEVSVAGRQSNIIFLRHLALTNQPSDASFFSIQLTEKRTLSTSLIHLSLLEAQAFACRTRIESLQESKTFPGPFLVVEISPRTEDGFSIQVAPLLQVHNETDFHMELRFQRGQQKETEYASVVLKTGDTIDDSMATFGATSLSGEQRKALMSVSVGNFLLSFRPSIIDEITNSKILSVNWSSDLRGRKPVHLSGIFDKLTYQVRKAFSVDSLKHSFDTAYCDIKLEDGRTAKIHFLIHSIGKDVPLAHPDTFGYVHVDKSSSISLQEQKEIFLLPTVCVSNLLHSEIHVTLNETDPHSTVGSDCIWNKATIPSGSCVKLYADPAFKYFNVTLTAFGSTCKPVDSSDWAKRLQKQKSSIRHLDIELDFCSGKYFALLRLSRGHRGILEAAIFTSYTLENNTAFPLFCFPVNQKPMSRDVVQKLGYEVSPELGSYLPPKSTRSWFMKCHKVRLTLTDENASHGLLDLDALSGLTEVDIEQEGKSGFKHITKLGVSLRPYISQEVPAQMISMNPRYVVVNESKEVIYVRQCYLEEDGTETIITLNSKERIALTLRKGMQKKRETTVFENFLIKHQKSQEDLLFIQFRLNESGLSWSGPVCIASLGRFFLKFRRSSEFPSSQSDQATFYNSNKHEFASVYVVEEGSSLVLHFYQPPNIDLPYRIENCLHDTAVTYYQKDSLEPEVLGSGSSINYVWDDLTLPHKLIVQIGDVHLLREISLDKVREWKQFYRIKQQRGLGFHLPIENQPENKKSSYGKSSSSGTEMVKLGYEVYTDGLTRVLRICQFADRRKGDTSFHSKTKMQLIISSLAIQLLECAKQEISEVDLGEPSIYTPILVARLESISLYSVFTDKHKLNQLSIQALSVDQKWAGAPFAAMLRRNQLEDCSKSDSVLRMELVLVSSNSKVKQVKYLSIVLQPLDFKLDEETLMKIVPFWRSSLSDSNAPSQQYYFDHFEIHPIKIVASFLPGEAYASYSSTQETLRTLIHSVIKMPVIKNMTVELNGVLVTHVLLTLRELSIKCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDFASSSLDVFFDPSSGFVNFPGLTIGTFKLIRKFTHGKGFSGTKRYFGDLGKTFKSAGSNILFAAVTEISDSVLKGAEASGFNGMVTGFHQGILKLAMEPSVLGTAFMEGGPDRKIKLDRSPGVDELYIEGYLQAMLDTIYKQEYLRVRVIENQVILKNLPPNSSLIDEIMERVKGFLVSKALLKGGPSEPSHPLRHIRGESEWRIGPTVLTLCEHLFVSFAIRILRKQANKVMANVKWKGKPETGDQTAAIVTASGSEESKGGFIWRWGIGNFVISGIVAYIDGRLCRRIPNPIARRIVSGFLLSFLDKNEKE >itb05g17880.t2 pep chromosome:ASM357664v1:5:24748942:24771015:-1 gene:itb05g17880 transcript:itb05g17880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGLIQRRLVALLRPWLMDEPQLEVKLGLLRSHGVARNLSLNTSALNQLLEDPSRMCFKEVIIEQFDVHVANWSAPAFTFQIHGLHITLSLCDKEEGGVERRLKPRDTSIEQKTKLLAELDPQGSSLHAAMERIAEITAPGWTNSLLDHIFQHCQFNVHDVHVILQPSHPNNLFSFSFRMKELGVGCQSVQCCFLNGVISSLIFPSRESYFDLEIKSFEIRLKTEDCMSNIVPSTNLHASIKVKNLLFLDFDFSVEAVKFSLSPFHVSTILLLFVCLSKEAKCVRNGRQLWNIAADKIRSLTSMHKFLLHKFVCIICNWIHYIQTYENMLLLVGYPSDDAIRRSVVFMIGDRAHSRAVKEQWKTICQIEEELPSEAIALARRIIRNRVALGAQRVKEYCHQSKLKTLSLKICRPLHFVWMYICHLFHSIKSLLFFQNIASKHSNSNTNLGHVPDCSLLHRHIGLDVGEFSISISPETEVDPSVSGNLLSDIGLSYHELLSFSLSIDVFCLRYSQNITQKCFAFGCETLKVVPLSLMEDSSRNSTRHLKGSQKKKVHNLSPILWVEPAEIIDSVENADYHANDTGVRSFSFLDFIIGEMLLKWRKGCPKNGANEIQDSKNPFLLCEIKSFLTDQTQKDLISGSLSCGMVAGRLNLVIEHASIVSVAVISSQIKNALSWTGCNMRTDTVLHAPTSLGDPPCIDWSSKYISLSTGMAVAAQRLLPQKHIQMGIRVAGPHIQISLGKPGLHGHISDQYHAVRNNMVHLRIEAANIELAVLPNLESDFTFPSGSITVTDAKAKSLALLELHQVDIPKSNDETISRQGRISFDAYLKFDGLKVYLDVTDNPRRQIIVLTPVTFRLLASRKDLHSLGSTVVAWFISLSWMVSGLAVLLFLDELDAFVKEAYGLFCAALHVSNLPSLGTGLSYQEFSRHYMSANLGNHEVLMTRMTQAGPVFMKSLIIIQNICELKSVEIILHYSRKGHRTESDRMNAYTVINKMLDSEALLDYGIHINVKQSDIMFSFETEKMDLSINLSGFQSDILGQTKEIAEVSDQLEIKNLIQSLHCLYGASFSQCTFSLCLNAIPHPSLRNAADLSTSGGETSQMSEDSPLIANTDDCLHMTIVLDEVYLAGCPVKDLLGQWHKSNKLEGSLSVGGKLQRISCQTQGGYIMIETTALMMFIQCFTLYHCQFRDLWPAATSSGEQHDGNTDAMINPPNQELQCQQLVNWNQLEALSINISQLSLALMGRDESGRLQELLLEATSHFNLETPTKISFSISKLSILSCSFDVSTKKKIRDTNVLSLSSVTPNDPSSIYLHGDASVSSNYVNKVHSLDDASSSSSHVPQKEYLPDGSGKSCMNNIGSQNLHASPQNYVLRNLTASVVAERLAKRNWVSSQQTDYFWVGNGSLSGFDTTLSLPEIQMILFAGESISALYSNDTSKGVEQRHWSSSQESDGSMNHSVPDGTIVAIEDVCQHMFIAVDRAESGYALVGEIHYTLAGERALFRVKYHNSRRWRSQIPYFSLISLYAKDETGESLRLSCRPRSDFVDITKSDHGACTLWSAIPCESKGFDRDAEYDPPTKHTFQLVNKKNDLAAAFVNGVLEFVIKPGNPFKWKVFHSHFPAANNLLPNSCLVDESQTGSQRDLHVEEGADLRKNGNTLGITITTDNISWTIVHELSDTKEKFPLLQGSIGATEIVVQVSNIKIRVISRLLALLYFFDAKKNLWRELMHPLEVFLFYRYRLLSKDLEHVSHQVPGHFYVRIGEFNLSISELSLDIVLFVIGQLNLAGPYAVRSSSILANCCKIENKSSLTLVCNFYDNQEVSVAGRQSNIIFLRHLALTNQPSDASFFSIQLTEKRTLSTSLIHLSLLEAQAFACRTRIESLQESKTFPGPFLVVEISPRTEDGFSIQVAPLLQVHNETDFHMELRFQRGQQKETEYASVVLKTGDTIDDSMATFGATSLSGEQRKALMSVSVGNFLLSFRPSIIDEITNSKILSVNWSSDLRGRKPVHLSGIFDKLTYQVRKAFSVDSLKHSFDTAYCDIKLEDGRTAKIHFLIHSIGKDVPLAHPDTFGYVHVDKSSSISLQEQKEIFLLPTVCVSNLLHSEIHVTLNETDPHSTVGSDCIWNKATIPSGSCVKLYADPAFKYFNVTLTAFGSTCKPVDSSDWAKRLQKQKSSIRHLDIELDFCSGKYFALLRLSRGHRGILEAAIFTSYTLENNTAFPLFCFPVNQKPMSRDVVQKLGYEVSPELGSYLPPKSTRSWFMKCHKVRLTLTDENASHGLLDLDALSGLTEVDIEQEGKSGFKHITKLGVSLRPYISQEVPAQMISMNPRYVVVNESKEVIYVRQCYLEEDGTETIITLNSKERIALTLRKGMQKKRETTVFENFLIKHQKSQEDLLFIQFRLNESGLSWSGPVCIASLGRFFLKFRRSSEFPSSQSDQATFYNSNKHEFASVYVVEEGSSLVLHFYQPPNIDLPYRIENCLHDTAVTYYQKDSLEPEVLGSGSSINYVWDDLTLPHKLIVQIGDVHLLREISLDKVREWKQFYRIKQQRGLGFHLPIENQPENKKSSYGKSSSSGTEMVKLGYEVYTDGLTRVLRICQFADRRKGDTSFHSKTKMQLIISSLAIQLLECAKQEISEVDLGEPSIYTPILVARLESISLYSVFTDKHKLNQLSIQALSVDQKWAGAPFAAMLRRNQLEDCSKSDSVLRMELVLVSSNSKVKQVKYLSIVLQPLDFKLDEETLMKIVPFWRSSLSDSNAPSQQYYFDHFEIHPIKIVASFLPGEAYASYSSTQETLRTLIHSVIKMPVIKNMTVELNGVLVTHVLLTLRELSIKCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDFASSSLDVFFDPSSGFVNFPGLTIGTFKLIRKFTHGKGFSGTKRYFGDLGKTFKSAGSNILFAAVTEISDSVLKGAEASGFNGMVTGFHQGILKLAMEPSVLGTAFMEGGPDRKIKLDRSPGVDELYIEGYLQAMLDTIYKQEYLRVRVIENQVILKNLPPNSSLIDEIMERVKGFLVSKALLKGGPSEPSHPLRHIRGESEWRIGPTVLTLCEHLFVSFAIRILRKQANKVMANVKWKGKPETGDQTAAIVTASGSEESKGGFIWRWGIGNFVISGIVAYIDGRLCRRIPNPIARRIVSGFLLSFLDKNEKE >itb07g07770.t2 pep chromosome:ASM357664v1:7:6006680:6008124:1 gene:itb07g07770 transcript:itb07g07770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPERSKPPLHNFTLPCGLKWGNQKFLRCAKVDSNGEISAGVVHRRSYGSSEPSARRRVQPPAAAMAQPQRRGSNEPTCVAKRAKVGETGRKFGSDVDNGIAAVREKLMFDLQKAADKMKDAIFREGLEDEERRRVVTVDVDVEEPPAPPPPLAPAPELAPTTAIPSAGAGDSARPWNLRTRRSACKTAATGILADGGGGSSRGLNIDVTKPNVSPSRTTDNKSPRLRSGSVGAATAGASSSGEKRDRAKFSVPLGKREIEEDFMAILGQRPPRRPKKRPKLVQRNLDTLFPGLWLSEITPDLYKVPDDHSK >itb07g07770.t1 pep chromosome:ASM357664v1:7:6006680:6008722:1 gene:itb07g07770 transcript:itb07g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPERSKPPLHNFTLPCGLKWGNQKFLRCAKVDSNGEISAGVVHRRSYGSSEPSARRRVQPPAAAMAQPQRRGSNEPTCVAKRAKVGETGRKFGSDVDNGIAAVREKLMFDLQKAADKMKDAIFREGLEDEERRRVVTVDVDVEEPPAPPPPLAPAPELAPTTAIPSAGAGDSARPWNLRTRRSACKTAATGILADGGGGSSRGLNIDVTKPNVSPSRTTDNKSPRLRSGSVGAATAGASSSGEKRDRAKFSVPLGKREIEEDFMAILGQRPPRRPKKRPKLVQRNLDTLFPGLWLSEITPDLYKVPDDHSK >itb01g20100.t1 pep chromosome:ASM357664v1:1:26398323:26401591:-1 gene:itb01g20100 transcript:itb01g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78630) UniProtKB/Swiss-Prot;Acc:Q9SYL9] MAALQCFTASSAKPFSSTPNKPPFLGFSLPSSKPSLQLLRTNWTTHIRCQAKAAAIPAEQQWMFDQTDFKGPDIWNTTWYPKAVDHVNTEKPWYIVDATDKKLGRLASTIAIHIRGKNLVTYTPSVDMGAFVIVINAEKVAVSGKKRTQKIYRRHSGRPGGMKEENFEQLQKRIPERIIEHAVRGMLPKGRLGRHLFNHLKVYKGPEHPHQAQKPADLPIRDKRIEKI >itb02g11170.t1 pep chromosome:ASM357664v1:2:7287652:7288609:-1 gene:itb02g11170 transcript:itb02g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAKRKCELCGDGARMYCDSDEASLCWSCDEKVHSANFLVAKHSRTLLCHACCSPTPWTASGAKLCRTVSVCPACLDQINHAQLRRDEGESNREMGSDVHQDFIDSGSDYDSGYSSDEYEEEEGDENQVVPWSASSSPSSSPPPGPSSSGGDEGSFSSRDGGGEAFSSTLRRRLRDDLDDQVVTSSSLLD >itb06g21490.t1 pep chromosome:ASM357664v1:6:24031378:24034476:-1 gene:itb06g21490 transcript:itb06g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSTPQQQNLAKLQTLWVSVRGRDKMEKYGVVFYVFIILLSVFSYILSATRNKKHKLPPGSMGWPYIGETLQLYSQDPNIFFSHKHTRYGEIFKSRMLGYPCVMLASPEAARFVLVTHANLFKPTYPKSKEDLIGPAALFFHQGDYHLRLRKVVQRCFSPEAIRNFVADIEDIAVSALDSWADGQVVNTFREMKKFSFEVGIMAIFGHLEDHHKEELKRNYCIVEKGYNSFPTSFPGSSYSNALKARKMLSKILCKIISERKEKKTAENGLLSCFLSSQNENNNGISLTENQIADNIIGVLFAAQDTTASALIWIIKYLHDNPKLLESVKAEQKAICKQLKVEETRHLTWRNTREMPITHKVVLETLRKSSIISFTFREAVEDVEYKGYLIPKGWKVMPLFRNIHQNPDFFSDPHKFDPSRFEKAPKPNTFMPFGSGVHACPGNELAKLEMLIITHHLVTKFRWEVVGPESGIQYGPFPVPIHGLPARFWRQSDNNNIINQQQ >itb13g09530.t1 pep chromosome:ASM357664v1:13:13351429:13352693:1 gene:itb13g09530 transcript:itb13g09530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMSSKTYVCWDLHEPTLKDLPILQYDFEGRKDLVKFVKLVGEAGLYVHLRIGPYLYAEWNYGIFLLLSSSFFFCTFFFSLTTTLTFFFSFTTTLSQFQFPSKSRQSLIHRSRYFILSDDEPRVGDGNLNLVTLEEFLRLLHHSGEIRNIEQLRLRFQFFASALQFNLMPEDQRTIAKATLVQKLRDAIHRLKLRYGFGQPYKKIESSQVEANRFALIWI >itb05g14130.t6 pep chromosome:ASM357664v1:5:21189225:21194834:-1 gene:itb05g14130 transcript:itb05g14130.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRIPHFPSVAACLQVGPSCFFLEQ >itb05g14130.t7 pep chromosome:ASM357664v1:5:21190008:21194834:-1 gene:itb05g14130 transcript:itb05g14130.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRIPHFPSVAACLQVGPSCFFLEQ >itb05g14130.t3 pep chromosome:ASM357664v1:5:21190008:21194834:-1 gene:itb05g14130 transcript:itb05g14130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRSNDSLLVFSLIYLVLNVLLIRSAGAVGLILANSLNMIFRIIYSALFIKNYFQDSSFSFGSCLPSGWTFLLFSGAVTMVSEKLFLDHDDFWRTFCIHFSVGFTCFLVTALTMYVYLVTLSLQAVLVVWHTLSILEI >itb05g14130.t2 pep chromosome:ASM357664v1:5:21189225:21194834:-1 gene:itb05g14130 transcript:itb05g14130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRSNDSLLVFSLIYLVLNVLLIRSAGAVGLILANSLNMIFRIIYSALFIKNYFQDSSFSFGSCLPSGWTFLLFSGAVTMVSEKLFLDHDDFWRTFCIHFSVGFTCFLVTALTIYRRERSFINNIIRFREHAD >itb05g14130.t5 pep chromosome:ASM357664v1:5:21190526:21194835:-1 gene:itb05g14130 transcript:itb05g14130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRSNDSLLVFSLIYLVLNVLLIRSAGAVGLILANSLNMFLWSVVSLTARKI >itb05g14130.t8 pep chromosome:ASM357664v1:5:21189225:21194834:-1 gene:itb05g14130 transcript:itb05g14130.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRIPHFPSVAACLQVGPSCFFLEQ >itb05g14130.t1 pep chromosome:ASM357664v1:5:21189225:21194834:-1 gene:itb05g14130 transcript:itb05g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGTSEAFLHAVANENQLKRSNDSLLVFSLIYLVLNVLLIRSAGAVGLILANSLNMIFRIIYSALFIKNYFQDSSFSFGSCLPSGWTFLLFSGAVTMVSEKLFLDHDDFWRTFCIHFSVGFTCFLVTALTIYRRERSFINNIIRFREHAD >itb05g14130.t4 pep chromosome:ASM357664v1:5:21191629:21194835:-1 gene:itb05g14130 transcript:itb05g14130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSSDTERSQPVGDGDRSANLPRTFKYLLATQFLARGIPFIFNSWIVRHLSEEDYALYAIQFHLFITCVLFLSREGFRRACMRADISCDGSSMRENAAKLLKVAWISFPLGLIITLIGCLFVLWWQDLSYSTPYAQAIWINGFACIVELLAEPLYILSQNLLLLKLRLILETAATLSRCITTYMLIVKLPDMEKAIVFALSQTAYGACIFIGYWGYFLLFHVYKVSELFPFSVMGYDKDLSKMCTLFTFQSFRKLLLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSTSGEYAQKNKKLGRSLTDALKLVLLIGLVVMAFGPSYSYSAIRLLYGQKWSDGEAPRALKYYCLYVVVLAMNGK >itb03g18080.t1 pep chromosome:ASM357664v1:3:16464598:16467372:-1 gene:itb03g18080 transcript:itb03g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDVTMVPAGEGEGGAGATSSVASTSSSSKKGKKFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >itb12g26120.t1 pep chromosome:ASM357664v1:12:27140945:27142534:-1 gene:itb12g26120 transcript:itb12g26120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 5 [Source:Projected from Arabidopsis thaliana (AT5G52870) UniProtKB/Swiss-Prot;Acc:Q9FLX4] MDAFNLLKFWRSNGGGDGVSDDADGRNPALETDEESDEESFFDLVLNGADYSCKDENEKPKTVEVMRKGETSLSFPESPKDVFLKGNAFSVDSCSKPQSPISLLRTAPKFRVFFLGFKKSKVEKSESDGGSDSSPKHPLQKTAQNEQSKRFAAVNCKVEDVEVVASLPTRENSLRSKLQMEKFDDFSLDEPSKRFPKNAVPKYLKLIKPLYSKASKCNPSDKLSVTSPLSPATETLPRRLSEERPGSRTAGFSTVRKHLVKSRSASAGISSPPARRRDDSLLEQQDGIRSAILHCKTSYNSTTRGSSLLSRSVSEPHPQKSTDPQRSSYEDAKRCSI >itb14g11480.t1 pep chromosome:ASM357664v1:14:13127700:13129001:-1 gene:itb14g11480 transcript:itb14g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPCRQSKLALRWDESNLFDKLCDELVGEILVRLPTTTAVRFQAVCKRWNSLISSPYFIARFRHLRHQHTESSSLVFRFAYSCDVSCISDGCNQCQYSHFQIISNSNSSNSLLGKVYGESAFRLSYLPCSPKDLQTLRFEGSFDDLILFSCINLNNTNTYKVDYYISNLLTRQWIALPPVAYEDVYVSTGFLCVPPPVDTSHHHKFMVVRLCSGNYAYTPRSRFKAQVFSSENWQWRTLVVSSPLTLEQHIGGISLVAYRGMLHWLNGDCIVVYDPLNTPERFSRIIHLPTQLRTFDIFKYNCFEVSLGCLRVTGVLSENAVNPDPVLDVWELEDYDSGLWNLVYKVRLKNLIHNGLLGSVQCELCYLLVISFHPENGDVIYLRLRTGVVSINMKSGIIERLYPIDMAMLCWADAFHLVDQWWPTALSLLY >itb14g01390.t3 pep chromosome:ASM357664v1:14:1072066:1077314:-1 gene:itb14g01390 transcript:itb14g01390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNKMPNFRDMIQGAPDDVEPGCQHTIQSHGSTLAWDHKLDWLIMLLLLAIEVALYIVGPFYRFVGVDMMTDLKYPMKENTVPVWAVPIYAVLMPILIFVFFYIRRKNVYDLHHSILGLLFSVLITAVLTDAIKNAVGRPRPDFFWRCFPDGRDRYDRWGNVVCHGNESDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRRGHVAKLCIVFLPLLMASLVAVSRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHTECWRPYAYSRAVEEFRSHSQAHAGVVNGPNIQYLELPAPTADQQTSNPSEDVEHGRTRILRDDNL >itb14g01390.t2 pep chromosome:ASM357664v1:14:1072066:1077398:-1 gene:itb14g01390 transcript:itb14g01390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNKMPNFRDMIQGAPDDVEPGCQHTIQSHGSTLAWDHKLDWLIMLLLLAIEVALYIVGPFYRFVGVDMMTDLKYPMKENTVPVWAVPIYAVLMPILIFVFFYIRRKNVYDLHHSILGLLFSVLITAVLTDAIKNAVGRPRPDFFWRCFPDGRDRYDRWGNVVCHGNESDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRRGHVAKLCIVFLPLLMASLVAVSRVDDYWHHWQDVFAGGLLGLFLVARCIYNSSNYGFPFTLVYLAFRSCSRNILLSPIFPTAISH >itb14g01390.t1 pep chromosome:ASM357664v1:14:1072066:1077398:-1 gene:itb14g01390 transcript:itb14g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNKMPNFRDMIQGAPDDVEPGCQHTIQSHGSTLAWDHKLDWLIMLLLLAIEVALYIVGPFYRFVGVDMMTDLKYPMKENTVPVWAVPIYAVLMPILIFVFFYIRRKNVYDLHHSILGLLFSVLITAVLTDAIKNAVGRPRPDFFWRCFPDGRDRYDRWGNVVCHGNESDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRRGHVAKLCIVFLPLLMASLVAVSRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHTECWRPYAYSRAVEEFRSHSQAHAGVVNGPNIQYLELPAPTADQQTSNPSEDVEHGRTRILRDDNL >itb14g01390.t4 pep chromosome:ASM357664v1:14:1072066:1077398:-1 gene:itb14g01390 transcript:itb14g01390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNKMPNFRDMIQGAPDDVEPGCQHTIQSHGSTLAWDHKLDWLIMLLLLAIEVALYIVGPFYRFVGVDMMTDLKYPMKENTVPVWAVPIYAVLMPILIFVFFYIRRKNVYDLHHSILGLLFSVLITAVLTDAIKNAVGRPRPDFFWRCFPDGRDRYDRWGNVVCHGNESDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRRGHVAKLCIVFLPLLMASLVAVSRVDDYWHHWQDVFAGGLLGLFLVARCIYNSSNYGFPFTLVYLAFRSCSRNILLSPIFPTAISH >itb02g04510.t3 pep chromosome:ASM357664v1:2:2676873:2680267:-1 gene:itb02g04510 transcript:itb02g04510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVSRIVPKAEFNPSGFRFPFCSYRRRSSATDSGSSDCATTENCTGMASASSSSSSKPPPVGNIPKLPRDEVVGIAKKCWNKFRKEATFALYTPFIVCLASGTLEVETFGQCVSQGVYFVKPFDQALEFAEANAEDDDAKHRIRELRKTTLDKLNLHHDSLVLEWGSDVVKDTTQNRATSKCTEFLLDTATGKLATPCEKTKLSAYTLGAVTSCIRLYAYIGKELQGLIDGKSNHRYKKWIENYSSDSFQEFSLQTEDLLDKLSVSLTGEELDIIEKLYSQGIKHEIDFFLAQPLIQKAVVPFSGEHNLEDRRFMIFSDFDLTCTHVDSSVILAKLAISTAPKSDQIRTENQIARMTSADLSDIWEALSKKYRDGYKQCIENILATEKGNFISWLVIIDNLHCPVP >itb02g04510.t1 pep chromosome:ASM357664v1:2:2676855:2680315:-1 gene:itb02g04510 transcript:itb02g04510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVSRIVPKAEFNPSGFRFPFCSYRRRSSATDSGSSDCATTENCTGMASASSSSSSKPPPVGNIPKLPRDEVVGIAKKCWNKFRKEATFALYTPFIVCLASGTLEVETFGQCVSQGVYFVKPFDQALEFAEANAEDDDAKHRIRELRKTTLDKLNLHHDSLVLEWGSDVVKDTTQNRATSKCTEFLLDTATGKLATPCEKTKLSAYTLGAVTSCIRLYAYIGKELQGLIDGKSNHRYKKWIENYSSDSFQEFSLQTEDLLDKLSVSLTGEELDIIEKLYSQGIKHEIDFFLAQPLIQKAVVPFSGEHNLEDRRFMIFSDFDLTCTHVDSSVILAKLAISTAPKSDQIRTENQIARMTSADLSDIWEALSKKYRDGYKQCIENILATEKAKKFNYEGVHTALEQLSDFEKEANLRVIESGLLNGLSLEDINQAGGSLILQDGCMNFFQTVIKKDVDVRVLSCCWCADLIRSAFSSGGLNDKLKVRANELEFKGSFCTGEIVKKVESPFDKLQELLAKRRCAGDRKILDVYIGDSVGDLVCLLAASVGIVVGSNSSLRRVGAHFGVRFIPLFRGVVDQQKEREVGSGILYTASSWAEIHAFVIGS >itb02g04510.t2 pep chromosome:ASM357664v1:2:2676859:2680315:-1 gene:itb02g04510 transcript:itb02g04510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVSRIVPKAEFNPSGFRFPFCSYRRRSSATDSGSSDCATTENCTGMASASSSSSSKPPPVGNIPKLPRDEVVGIAKKCWNKFRKEATFALYTPFIVCLASGTLEVETFGQCVSQGVYFVKPFDQALEFAEANAEDDDAKHRIRELRKTTLDKLNLHHDSLVLEWGSDVVKDTTQNRATSKCTEFLLDTATGKLATPCEKTKLSAYTLGAVTSCIRLYAYIGKELQGLIDGKSNHRYKKWIENYSSDSFQEFSLQTEDLLDKLSVSLTGEELDIIEKLYSQGIKHEIDFFLAQPLIQKAVVPFSGEHNLEDRRFMIFSDFDLTCTHVDSSVILAKLAISTAPKSDQIRTENQIARMTSADLSDIWEALSKKYRDGYKQCIENILATEKAKKFNYEGGLNDKLKVRANELEFKGSFCTGEIVKKVESPFDKLQELLAKRRCAGDRKILDVYIGDSVGDLVCLLAASVGIVVGSNSSLRRVGAHFGVRFIPLFRGVVDQQKEREVGSGILYTASSWAEIHAFVIGS >itb14g01370.t1 pep chromosome:ASM357664v1:14:1055150:1058959:-1 gene:itb14g01370 transcript:itb14g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDDMEKTCEYCMLLKPVVYCSADAAHLCLSCDSKVHSANALSSRHPRTLVCESCRCNPAFVQCSSHQMFMCRDCDRSHHGPSSQHQKRVISSYFGCPSAKDLAALWGFDLNELDSSTFLQSQLVSTSNITVERFNGSSNITKRSNSSARGSSGVSEVSPVASVVAADSEVGSSIKYSKALKMGNQQEHSCLILQQILDLKRLQLTEGNESSSLISSHAQRESSIKCKKALNVNKTFSQNLPRSPDLAPELQDWGGAPESAADPFPSAFPQLDGDSFWQCKSPINSSLLWPQNMQDLGVCDELGCLDSFNMPDVDLTFHNIEELFGSEQELNKSLLDEKDVMCSSLDNDSSIDLSCNGYSKMVEDISAASSTCKAPSGNDERANNPSTRVHSLPTVKDCPRPIRQSFSTLSFSASRFSNESSGTEYMDSGLSPIANGLELSCNSHDSESSPLDDKENISTRHKGKKKIRRHDKEAQYTTRRARSSIRKKVKGQLIKTGGYESDAANVARSI >itb14g01370.t2 pep chromosome:ASM357664v1:14:1055150:1058959:-1 gene:itb14g01370 transcript:itb14g01370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVDDMEKTCEYCMLLKPVVYCSADAAHLCLSCDSKVHSANALSSRHPRTLVCESCRCNPAFVQCSSHQMFMCRDCDRSHHGPSSQHQKRVISSYFGCPSAKDLAALWGFDLNELDSSTFLQSQLVSTSNITVERFNGSSNITKRSNSSARGSSGVSEVSPVASVVAADSEVGSSIKYSKALKMGNQQEHSCLILQQILDLKRLQLTEGNESSSLISSHAQRESSIKCKKALNVNKTFSQNLPRSPDLAPELQDWGGAPESAADPFPSAFPQLDGDSFWQCKSPINSSLLWPQNMQDLGVCDELGCLDSFNMPDVDLTFHNIEELFGSEQELNKSLLDEKDVMCSSLDNDSSIDLSCNGYSKMVEV >itb01g35250.t1 pep chromosome:ASM357664v1:1:37548794:37550744:1 gene:itb01g35250 transcript:itb01g35250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTFASCLLFFILIVEGARGATFMLINRCGYTVWPGILANAGSAGLDTTGFELAPGGSRGFQVTASWSGRIWGRTGCNFDAASGQGSCATGDCGSNQVECNGAGATPPATLAEFTIGSSGANNKDFYDVSLVDGYNLPMQVEAVGGSGACGSTGCASDVNRICPKELRSGDGLACRSACDAFGNPEYCCSGAYGSPSTCQPSMYSEMFKNACPRSYSYAYDDATSTFTCSGADYRITFCPSSTSGQQKSSSSLPAPAGASSASGPPAAEDSGPQDGIVFGNSWLGFITGDSSTTMSHFALHSKSPLTSAVAFFILSFLHLLLVL >itb06g17900.t3 pep chromosome:ASM357664v1:6:21654582:21657475:1 gene:itb06g17900 transcript:itb06g17900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQSVGANSASSKLSSFWGKTLSSQIHVHNKNIRLPIIGYHNLSIRCGVRFRPCIDIHKGKVKQIVGSTLNDTKDGDSSLVTNFVSDNSAGVYAKLYKDDGLTGGHVIMLGADPLSQAAAVEAIHAYPGGLHVGGGINSNNAVSYIEAGASHVIVTSYVFNNGQMDLDKLKELSQVVGKQRLVLDLSCRKKEGKYVIVTDRWQKFTDVHLNQEVLDFLAQYADEFLVHGVDVEGKK >itb06g17900.t2 pep chromosome:ASM357664v1:6:21654582:21657475:1 gene:itb06g17900 transcript:itb06g17900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQSVGANSASSKLSSFWGKTLSSQIHVHNKNIRLPIIGYHNLSIRCGVRFRPCIDIHKGKVKQIVGSTLNDTKDGDSSLVTNFVSDNSAGVYAKLYKDDGLTGGHVIMLGADPLSQAAAVEAIHAYPDFSSGELPVRMITSRWLACWRRHQFKQCSKLHRSRSKPCHCYILCLQQWANGP >itb06g17900.t1 pep chromosome:ASM357664v1:6:21654582:21657475:1 gene:itb06g17900 transcript:itb06g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQSVGANSASSKLSSFWGKTLSSQIHVHNKNIRLPIIGYHNLSIRCGVRFRPCIDIHKGKVKQIVGSTLNDTKDGDSSLVTNFVSDNSAGVYAKLYKDDGLTGGHVIMLGADPLSQAAAVEAIHAYPDFSSGELPVRMITSRWLACWRRHQFKQCSKLHRSRSKPCHCYI >itb14g05780.t1 pep chromosome:ASM357664v1:14:5020503:5021144:1 gene:itb14g05780 transcript:itb14g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQYLNIPWGYHFRPGDDELVKYLYQYEAGGPEPSEELIRKEDIFGDKEPWELFRCNSGEKVQYFFTQLKMKAKKSMEGSRFERTVGKKVNGKRPGTWHGQDKGSPVLVNSNGALLGYKRSFLYKNENEKDHHKKWLLKEFYLCEDLIKILSEKYPTSFSQRKSFVLCALRKKEDEETNTRSRMEGVGVEMALEFLEDDTSEAMRPLTESR >itb01g30720.t4 pep chromosome:ASM357664v1:1:34626795:34630370:-1 gene:itb01g30720 transcript:itb01g30720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVSYQAMNIPSTSQFAPTRMGLYEPFHQMSLWEETLRGDMIPSTGGCMVTQANERTDDNKFEYKYNESAIPSPSGDNQAGKSLTDKAQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELELEKARQQGICMFGASSSSIGLCATLNPGIAVFELDYANWIEEHQKKTSELRNFLQPPTNDMELEVLVPSVLNHYCDLFRMKLEVAKSDVFYLISGAWRTPVERFYLWIGGFRPSEMIKVVLPQLGDLSEQQLLNVNNLRHCCQQAEDALTQGMDKLQQTLSQNMTLITTGAGTYTTQMASAMEKLEALESFVNQADHLRQQTLLQMSRILTIHQAARGLLTFGEYFHRLRSLSSLWAARPRETLDC >itb01g30720.t2 pep chromosome:ASM357664v1:1:34626795:34629654:-1 gene:itb01g30720 transcript:itb01g30720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYQAMNIPSTSQFAPTRMGLYEPFHQMSLWEETLRGDMIPSTGGCMVTQANERTDDNKFEYKYNESAIPSPSGDNQAGKSLTDKAQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELELEKARQQGICMFGASSSSIGLCATLNPGIAVFELDYANWIEEHQKKTSELRNFLQPPTNDMELEVLVPSVLNHYCDLFRMKLEVAKSDVFYLISGAWRTPVERFYLWIGGFRPSEMIKVVLPQLGDLSEQQLLNVNNLRHCCQQAEDALTQGMDKLQQTLSQNMTLITTGAGTYTTQMASAMEKLEALESFVNQADHLRQQTLLQMSRILTIHQAARGLLTFGEYFHRLRSLSSLWAARPRETLDC >itb01g30720.t5 pep chromosome:ASM357664v1:1:34627003:34629673:-1 gene:itb01g30720 transcript:itb01g30720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVANKLVYLWSQTEMVSYQAMNIPSTSQFAPTRMGLYEPFHQMSLWEETLRGDMIPSTGGCMVTQANERTDDNKFEYKYNESAIPSPSGDNQAGKSLTDKAQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELELEKARQQGICMFGASSSSIGLCATLNPGIAVFELDYANWIEEHQKKTSELRNFLQPPTNDMELEVLVPSVLNHYCDLFRMKLEVAKSDVFYLISGAWRTPVERFYLWIGGFRPSEMIKVCRDHKVSSQTRHLNQ >itb01g30720.t3 pep chromosome:ASM357664v1:1:34627003:34629673:-1 gene:itb01g30720 transcript:itb01g30720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVANKLVYLWSQTEMVSYQAMNIPSTSQFAPTRMGLYEPFHQMSLWEETLRGDMIPSTGGCMVTQANERTDDNKFEYKYNESAIPSPSGDNQAGKSLTDKAQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELELEKARQQGICMFGASSSSIGLCATLNPGIAVFELDYANWIEEHQKKTSELRNFLQPPTNDMELEVLVPSVLNHYCDLFRMKLEVAKSDVFYLISGAWRTPVERFYLWIGGFRPSEMIKVVLPQLGDLSEQQLLNVNNLRHCCQQAEDALTQGMDKLQQTLSQNMTLITTGAGTYTTQMASAMEKLEALESFVNQADHLRQQTLLQMSRILTIHQAARGLLTFGEYFHRLRSLSSLWAARPRETLDC >itb01g30720.t1 pep chromosome:ASM357664v1:1:34626795:34630409:-1 gene:itb01g30720 transcript:itb01g30720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYQAMNIPSTSQFAPTRMGLYEPFHQMSLWEETLRGDMIPSTGGCMVTQANERTDDNKFEYKYNESAIPSPSGDNQAGKSLTDKAQRRLAQNREAARKSRLRKKAYVQQLETSRLKLAQLELELEKARQQGICMFGASSSSIGLCATLNPGIAVFELDYANWIEEHQKKTSELRNFLQPPTNDMELEVLVPSVLNHYCDLFRMKLEVAKSDVFYLISGAWRTPVERFYLWIGGFRPSEMIKVVLPQLGDLSEQQLLNVNNLRHCCQQAEDALTQGMDKLQQTLSQNMTLITTGAGTYTTQMASAMEKLEALESFVNQADHLRQQTLLQMSRILTIHQAARGLLTFGEYFHRLRSLSSLWAARPRETLDC >itb01g02740.t1 pep chromosome:ASM357664v1:1:1774779:1781784:1 gene:itb01g02740 transcript:itb01g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPGPPQLSRVGGLLKQASSAPLERSIGTSKRAVLLHGDLDLWVMAAKSLPNMDQVKGLAKFKDFDTSDPYVSVRVSGASIARTAVIRNCENPTWDEHFCLPIAHPIEKVEFHVKDNDGVGAEMIGSVEIPVEDILDGNEVSGWFPILGSSGGAVKAGAELHLSIEYRPVATNPIYKDGIGILKDSLGLPNTYFPMRRGGSITLYQDAHVPDEMLPDIPLDDGKVFKQKKCWEDICHAIVEAKHLIYVVGWSVYHRVKLVREPTRPLPSAGAKCLGDLLKYKSQEGVRVIVLVWDDKTSSHDFLVKTEGVMQTHDEETRKFFKHSSVNCVLCPRSPSNKLSIFKQKVVGNLFTHHQKCVILDTAAAGNCRKLTAFIGGLDLCDGRYDTPEHRILTDLDTIFEKDIHNPTFSDCSSGPRQPWHDLHCRIDGPAAFDVLTNFEQRYKKSSRRIYLNIKKVRHRSEDSLLNLKLIPHFKLPSVGPDGDDSVRVTSDEDPETWHVQVFRSIDSGSVKGFPKDVKEAEAKEGVMQTHDEETRKFFKHSSVNCVLCPRSPSNKLSIFKQKVVGNLFTHHQKCVILDTAAAGNCRKLTAFIGGLDLCDGRYDTPEHRILTDLDTIFEKDIHNPTFSDCSSGPRQPWHDLHCRIDGPAAFDVLTNFEQRYKKSSRRIYLNIKKVRHRSEDSLLNLKLIPHFKLPSVGPDGDDSVRVTSDEDPETWHVQVFRSIDSGSVKGFPKDVKEAEAKNLVCGKALRIDRSIHTAYVNAIRFAQHFVYIENQYFIGSSYNWSSHRYAGANNLVPMELAMNIASKIAAYEDFAVYIVIPMWPEGVTTSSAVQEILFWQYQTMSMMYKVVARALENAGLSERHPQDYLNFYCLGKREAADSGSHSEPSTPPQGRALKLAQKFRRFMIYVHSKGMIVDDEYILMGSANINQRSLSGSRDTEIAMGAYQPHNTWANKQSLAQGQVYGYRMSLWAEHLGELEPCFQKPNSIKCVRRVNEIARYNWKAFVANNYHEMRGHLMQYPVQVSSAGEVTCLPGFECFPDVGGSILGSSTNLPDALTT >itb11g00810.t1 pep chromosome:ASM357664v1:11:355442:357911:-1 gene:itb11g00810 transcript:itb11g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQVGLISFSSCISSALRPRFRFSSFNDHKPLLVVAAMSDDPIREWILSEGNATKITGIRSIGGGCISMANRYDTDAGPFFVKTNRGIGPSMFEGEAVGLNAMYETSSIRVPKPYKVGSLPTGGSYIIMEFIEFGASRRDQYVLGRKLAEMHKAAKSDKGFGFQVDNTIGSTPQINTWTQDWIDFYAEHRLMYQVQLARDQYGDSSIYQKGQKLAKNLGLLFQNVVLEPCLLHGDLWSGNITYDKNGEPVILDPACYYGHNEAEFGMSWCAGFGAAFYKGYFEVMPKQPGFEERRDVYMLYHYLNHYNMFGSGYRSSAMSIIDDYLRMLKL >itb11g00810.t2 pep chromosome:ASM357664v1:11:355496:357898:-1 gene:itb11g00810 transcript:itb11g00810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMDASLKYVKNLCIRGIGPSMFEGEAVGLNAMYETSSIRVPKPYKVGSLPTGGSYIIMEFIEFGASRRDQYVLGRKLAEMHKAAKSDKGFGFQVDNTIGSTPQINTWTQDWIDFYAEHRLMYQVQLARDQYGDSSIYQKGQKLAKNLGLLFQNVVLEPCLLHGDLWSGNITYDKNGEPVILDPACYYGHNEAEFGMSWCAGFGAAFYKGYFEVMPKQPGFEERRDVYMLYHYLNHYNMFGSGYRSSAMSIIDDYLRMLKL >itb04g32930.t1 pep chromosome:ASM357664v1:4:35308150:35315994:1 gene:itb04g32930 transcript:itb04g32930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWSRQITGGSIGTQAGSETSRHLHLRRQGAEFQRVISPWRRRRPEIVLRAAATRQWAVEAPAGASGKFREVRIRKRRCSCAVGDLQGVEDSVESCGKSGGNRRAEVLVAATATVILGVGNRVLYKLALVPLKQYPFFLAQLATFGYVIVYSSILFLRYHAGKVTNEMLSLPKTPFLAVGLLEALGAASGMAAGAILSGAAIPVLSQSFLVWQLLLSYIFLGRRYSFNQLFGCFLVAMGVIITVASGSSAGSIMEAGIFWSLLMIVSFLFQAADTVLKEIIFLDAARKLKGGTVDLFVVNTFGSAFQAVFISLLLPFLSKLWGIPFFQLPTYVKDGAACFLNLGTIQGCDGAPLLPLLFVIVNMGFNISLLHLLKISSAVVSCLASTFSVPIAVFIFTLPLPYLGVASSLPPGFIVGAAILVLGMLIYAWTPSLHMAKAST >itb04g04080.t1 pep chromosome:ASM357664v1:4:2530916:2531221:1 gene:itb04g04080 transcript:itb04g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEIGEQGRRRESDFWGFCVRRGRWTRNEERKFVAALVAAVGGGVRRREEIDMTVLKKKKMEKEWFFLSLSTGESSRWRGAAIACYSEQLNFCVPSFIII >itb04g23050.t1 pep chromosome:ASM357664v1:4:28248630:28252844:-1 gene:itb04g23050 transcript:itb04g23050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTCAVCAETLEWVAYGACGHKDVCSTCVARLRFICDDRRCCICKTENSIVFVTKAMGDYTRIISDFAVFPPEPKEGRAGSYWYHEGIQAFFDDSDHYKMIRAMCRLSCSVCDRMEEQSGEGPKRRGKFRNIEQLKGHLFHQHRLLMCSLCLEGRKVFICEQKLYTRAQLNQHINSGDSEVDGTESERGGFMGHPMCEFCRTPFYGDNELYSHMSTEHYTCHICQRQNPGQYEYYKNYDDLEMHFRGSHFLCEDESCLSKKFIVFQSEAELKRHNALEHGGRMSRSKRNAALQLPTSFRYRRSNDQDGRRGRGRTFRRDSSDAELSMAIQASLEMANSDRRLQDASSSSARVVPDQAETSDFDPLVPPFELSTNTDSVLPSRYRQALSQSSRNAPLEDSSFPPLPMAPGGSLEKSGLPMNTMAAHLRQENKKSALGKPAANRTSTQHATTQRNSWPVLSSASGSASSFGSKQATENVSAPAISHQHAWPSVNSAQSTSVRSKQSIVKGPTSSGNQSSSQSRSVSVQEITATDSFSSMRNQTSSNGINHSTSVPNRVENGSFDSSSSVFPPVSVHKLPGHAQATEDVKDVHTANKSLVGRIQAALDFDQDKYAAFKNISAEYRNGVIDAVSYLAYVDEFGLSNLVPELARLCPDSKKQKELIETYNTNLGSFVPEQNGRRSESPLKGSNGFNKGKGKGKGKEMSIDAGEKRSKEKLADDIINTAKKLQSSIKLSEEEVEVLSKDGYRGTKGKSKVIDDASGVSNACSKPLSVKTQNDSLSDGGVCQNLGDGDRRKQKKKTSKFIRVRLGDGSAEALLNLRTPNPGDTDFKDPSSDGHSSSGSLPVQGVWRSGGVQRLFKGA >itb04g04410.t1 pep chromosome:ASM357664v1:4:2730313:2733808:1 gene:itb04g04410 transcript:itb04g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAETQKKKTTWSTWDELLLAFSVKRHGLKDWDSVAMELRNRSSLPAILTTAQICREKYRDLKRRFTNSDTIVEEDEGGGGDVVIPWLEDLRQLRVAELKQEVHHRDLSIQSLKLKVKRMEEEREQSMKERQEMAMKPDLEDGRKENSANDVTADGGGEKPDGIVREVVSGGNSDRENRSFNESNSVEKREPDPVHGIDPKPDPQAKPARENSCKDSSNRHEASKTSQESKGNDVANDARKEGSDVESSAGLKMKRRKRGEAAGGEQVAAMMSPAIAATKRAGAVKSEPLVRLLDVIRSHKHGSVFKRRLQVQKTAKYKSIIRRHVDLETVQARIDDGSYSSCSTELYLDLLLLFNNAIVFFPKSSPEWVAAHQLRSLVMKELKNINNKTPLSSSTTPPPPTKVQPDPEHDSSDSLLPKRSCTAAPIVVCRRRSSISAKAAASGDDVMSPKEDDSLAKLKIMKERPVTGVRSMRRTSKGRLSHSQPTKQPDGAINKDEDEEEKVSKAETEKKKEEVSNVDKKRSAADFLKRINKSSPKKGTSSVDTQKQSPPNVKDGDGRRQPKRNATKMKDGPTTRQNRGGNAAKMSKEEESFPAKRRVGRPRRNAASDKLVKEHDKDDYSKRPKKRFRS >itb04g04410.t2 pep chromosome:ASM357664v1:4:2730890:2733727:1 gene:itb04g04410 transcript:itb04g04410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREQSMKERQEMAMKPDLEDGRKENSANDVTADGGGEKPDGIVREVVSGGNSDRENRSFNESNSVEKREPDPVHGIDPKPDPQAKPARENSCKDSSNRHEASKTSQESKGNDVANDARKEGSDVESSAGLKMKRRKRGEAAGGEQVAAMMSPAIAATKRAGAVKSEPLVRLLDVIRSHKHGSVFKRRLQVQKTAKYKSIIRRHVDLETVQARIDDGSYSSCSTELYLDLLLLFNNAIVFFPKSSPEWVAAHQLRSLVMKELKNINNKTPLSSSTTPPPPTKVQPDPEHDSSDSLLPKRSCTAAPIVVCRRRSSISAKAAASGDDVMSPKEDDSLAKLKIMKERPVTGVRSMRRTSKGRLSHSQPTKQPDGAINKDEDEEEKVSKAETEKKKEEVSNVDKKRSAADFLKRINKSSPKKGTSSVDTQKQSPPNVKDGDGRRQPKRNATKMKDGPTTRQNRGGNAAKMSKEEESFPAKRRVGRPRRNAASDKLVKEHDKDDYSKRPKKRFRS >itb01g14740.t2 pep chromosome:ASM357664v1:1:16875017:16884839:1 gene:itb01g14740 transcript:itb01g14740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MAWTENDAENVKEADSVTSNGFLKTDQLSSGSGGSPGCLSPVKNKVEGKDDVSFGNILRSRNKFSDALTIYEKVLEKDSGNVEALIGKGICLQMQNMARPAFECFSEAIRLEPQNACALTHCGILYKDEGRLVEAAESYQKALRADSSYKAAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEAIEIDQHYAPAYYNLGVIYSEMMQYDLALRCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDFGTKVKLEGDIDQGVAYYKKALYYNWHYADAMYNLGVAYGEMLNFDMAIVFYELAFHFNPQCAEACNNLGVIYKDRDNIDKAVECYQKALSIKPNFSQSLNNLGVVYTVQGKMDAAQSMIQKAIIANPTYAEAYNNLGVLYRDAGNISLAIEAYEQCLKIDSDSRNAGQNRLLAMNYINEGTDDKLYEAHRDWGRRFMRLFPQYTSWDNPKDPERQLIIGYVSPDYFTHSVSYFIEAPLTYHDYANYKLVIYSAVVKADAKTNKFRDRVLKKGGVWRDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDALADPPDTKQKHIEELVRLPECFLCYTPSPEVGPVSSTPALSNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLIVKCKPFCCDSVRQGFLSTMEQLGLEPQRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLFMGVPCVTMGGSVHAHNVGVSLLKTVGLENLVAKNEDEYVQLALQLASDLTALSKLRLSLRDLMLKSPLCDGPKFGQNLEEIYRSIWRRYCKGDVPSLKHLEMLQQQQQQEKKTVSEGTVDLPENNRSTADSKGGLLGSIKANGFSLSSSTLNRISTDENKSSFKSDVDS >itb01g14740.t1 pep chromosome:ASM357664v1:1:16875017:16884839:1 gene:itb01g14740 transcript:itb01g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MAWTENDAENVKEADSVTSNGFLKTDQLSSGSGGSPGCLSPVKNKVEGKDDVSFGNILRSRNKFSDALTIYEKVLEKDSGNVEALIGKGICLQMQNMARPAFECFSEAIRLEPQNACALTHCGILYKDEGRLVEAAESYQKALRADSSYKAAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEAIEIDQHYAPAYYNLGVIYSEMMQYDLALRCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDFGTKVKLEGDIDQGVAYYKKALYYNWHYADAMYNLGVAYGEMLNFDMAIVFYELAFHFNPQCAEACNNLGVIYKDRDNIDKAVECYQKALSIKPNFSQSLNNLGVVYTVQGKMDAAQSMIQKAIIANPTYAEAYNNLGVLYRDAGNISLAIEAYEQCLKIDSDSRNAGQNRLLAMNYINEGTDDKLYEAHRDWGRRFMRLFPQYTSWDNPKDPERQLIIGYVSPDYFTHSVSYFIEAPLTYHDYANYKLVIYSAVVKADAKTNKFRDRVLKKGGVWRDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDALADPPDTKQKHIEELVRLPECFLCYTPSPEVGPVSSTPALSNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLIVKCKPFCCDSVRQGFLSTMEQLGLEPQRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLFMGVPCVTMGGSVHAHNVGVSLLKTVGLENLVAKNEDEYVQLALQLASDLTALSKLRLSLRDLMLKSPLCDGPKFGQNLEEIYRSIWRRYCKGDVPSLKHLEMLQQQQQQEKKTVSEGTVDLPENNRSTADSKGGLLGSIKANGFSLSSSTLNRISTDENKSSFKSDVDS >itb15g17960.t1 pep chromosome:ASM357664v1:15:19202706:19203281:-1 gene:itb15g17960 transcript:itb15g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSHQLVKVILALSTICLLVTSAVSRQGGGGGGGARGGGGGGGIIGAKGSGVSSGGDAKSGGTTTGTGGGTNNRGGTVGGDDGGPAGTANRGSGTVGGAIGARPVLTPRRNFSGSSPSIAFEWTHVPIYFLVYPTFVRLYV >itb04g30430.t3 pep chromosome:ASM357664v1:4:33545137:33549345:-1 gene:itb04g30430 transcript:itb04g30430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEKHSILMVSDFFYPNFGGVENHIYYLSQCLMKRGHKVVVMTHAYKNRSGVRYMTGGLKVYYVPWKPFLMQNTLPTFYGTLPIIRTILIREKITLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPEKVYVIPNAVDTAMFKPAPEKLSQEEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHAKVQSVLITGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPSDMVQAITKAIHMLPQIDPIVMHNRMKKLYSWHDVAKRTEIVYDRALKHSNPPLLERLSRYLTCGAWAGKLFCIVMIIDFLLWRLLQLWQPDNEIEVVPDICLMNSQHDTNKDESNT >itb04g30430.t2 pep chromosome:ASM357664v1:4:33545564:33549635:-1 gene:itb04g30430 transcript:itb04g30430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEKHSILMVSDFFYPNFGGVENHIYYLSQCLMKRGHKVVVMTHAYKNRSGVRYMTGGLKVYYVPWKPFLMQNTLPTFYGTLPIIRTILIREKITLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPEKVYVIPNAVDTAMFKPAPEKLSQEEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHAKVQSVLITGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPSDMVQAITKAIHMLPQIDPIVMHNRMKKLYSWHDVAKRTEIVYDRALKHSNPPLLERLSRYLTCGAWAGKLFCIVMIIDFLLWRLLQLWQPDNEIEVVPDICLMNSQHDTNKDESNT >itb04g30430.t1 pep chromosome:ASM357664v1:4:33545137:33549635:-1 gene:itb04g30430 transcript:itb04g30430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEKHSILMVSDFFYPNFGGVENHIYYLSQCLMKRGHKVVVMTHAYKNRSGVRYMTGGLKVYYVPWKPFLMQNTLPTFYGTLPIIRTILIREKITLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPEKVYVIPNAVDTAMFKPAPEKLSQEEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHAKVQSVLITGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPSDMVQAITKAIHMLPQIDPIVMHNRMKKLYSWHDVAKRTEIVYDRALKHSNPPLLERLSRYLTCGAWAGKLFCIVMIIDFLLWRLLQLWQPDNEIEVVPDICLMNSQHDTNKDESNT >itb15g16760.t1 pep chromosome:ASM357664v1:15:16739814:16746447:1 gene:itb15g16760 transcript:itb15g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) UniProtKB/Swiss-Prot;Acc:Q9LTB2] MAVKGLCGSVDEFLTQCEHSGDAAYAALRSLLERLEDPNTRKEARIFLALLQKRFDSKEASDQCLKSYHFQIQDVFLEQHEGFQKRKKLTMLVIPSIFFPEDWSFTFYEGLNRHPDSIFEDKTVAELGCGNGWISIAIAEKWSPLKVYGLDINPRAIKISWINLYFNALDDNGEPIYDREKKTLLDRVEFHESDLLSYCRDNRIELERIVGCIPQILNPNPDAMSKIITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCKRLFERRGLRVTKLWQTKVLQAADTDISALVEIEKNSPHRFEFFMGLVGDQPICARTAWAYGKSSGRISHALSVYSCQLRQPNQVKKIFEFVKNGFHDISNSLDLSFEDDAVADEKIPFLAYLASVLKENAFFPYELPAGSKRFRNLIAGFMKTYHHIPLTADNVVVFPSRAVAIENVLRLFLPCLAIVDEQLSRHLPRQWLTSLNLEKKETAGSSDNIITVIEAPRQSDSMVELIKKLKPQLVVTGVTHFESVTSSAFELLLDTTREIGCRLFLDISDQFELSSLPNPNGVLKYLAGTPLPPHAAIVCGLLKNKVYSDLEVAFVISEEEQMYKALSKVVELLQGSTSLISQYYYGCLFHELLAFQLADRHPPLERQGEKAKACDMIGFPSSVVSVLDHAEFAVTEADSSNLIHMDVDQSFLPIATPVKAAIFESFVRQNVTESEIDMRSNIRHFLKTTYGFPTDGTTEFVYADSTLALFNKLVLCCIQEGGTLCFPTGSNGNYVSAAKFLKANVVNVPTNSEQGFKLTEKVLGAVLEKVNKPWIYISGPTVSPTGQLYSNDEIKAILSLCAKFGARVVIDTSSSGVEFNSKGWGGWDLEDTLTKLKTTNSSFCVSLLGGLFLKMLTGGLAFGFLLLNQPALVDFHCFSGLSKPHSTIKYTVKKLMDLREQKTGGLLDVVAGREKILSDKYEHLKETLEKCGWDVLGACSGVSVVAKPTAYLGKTIKINKDSKSWEAKIDDSNIREAMLRSTGLCINSSSWTGLPGYCRFVFALEDSEFERALACIVKFKELVGN >itb08g15270.t1 pep chromosome:ASM357664v1:8:17351304:17356492:-1 gene:itb08g15270 transcript:itb08g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNVVGVFFLFSCLVFLLPVFCTAESLWLKDRVMGVANDSRTVEWMRRVRREIHRNPELAYEEFATSALVREELDRLGVKYRWPVAKTGVVATIGSGSPPFVALRADLDALPIQEMVEWEHKSQVDGKMHACGHDVHTAMLLGAAKILHQLQHDLQGTVVLIFQPAEERGVGAKDMIAEGVLENVEAIFALHVVHNYPTGVFASRPGQFLAGCGSFKAIIKGKGGHAALPQESVDPILAASTAVISLQSIVSRETDPLDSQVVSVAMIQGGYAFNVIPDSATISGTYRAFSKKSFYALRKRIEEIVRAQAAVHRCTVEIDFEGTEHPTLPPTINDRRIYEHARKLSRLLVGEDGFQLAPNYMGSEDFAVYLDKVPGSFFLLGTRNQKTKSLFPPHNPYFSIDEDVLPIGAALHAMFAYSYLSNSSNHIAISSSK >itb11g11490.t2 pep chromosome:ASM357664v1:11:8418228:8420490:1 gene:itb11g11490 transcript:itb11g11490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLKLKFVSFRLIISTAHFISAAQLIPLTPHLKSSRLYVSHLDCQRHHRPIAGVFFGPASPPWLVTDDIRKIFPLVLSLMDQNPNASFTRKASVNYTKTPSRESLYNKAWAKEDLLREQNKELQTYSKLMCILKDGLSGNSHTIMIITMLTL >itb11g11490.t3 pep chromosome:ASM357664v1:11:8418228:8419323:1 gene:itb11g11490 transcript:itb11g11490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLKLKFVSFRLIISTAHFISAAQLIPLTPHLKSSRLYVSHLDCQRHHRPIAGVFFGPASPPWLVTDDIRKIFPLVLSLMDQNPNASFTRKASVNYTKTPSRESLYNKVQRIDSKF >itb11g11490.t1 pep chromosome:ASM357664v1:11:8418228:8420490:1 gene:itb11g11490 transcript:itb11g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLKLKFVSFRLIISTAHFISAAQLIPLTPHLKSSRLYVSHLDCQRHHRPIAGVFFGPASPPWLVTDDIRKIFPLVLSLMDQNPNASFTRKASVNYTKTPSRESLYNKQAWAKEDLLREQNKELQTYSKLMCILKDGLSGNSHTIMIITMLTL >itb12g03830.t1 pep chromosome:ASM357664v1:12:2517478:2518875:1 gene:itb12g03830 transcript:itb12g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSAAALPAVNSILESPASSFSSHKPFLPNKLFFPQPPIAASQPSLLSTKLYVSASSSAAVSFSSAPLQKEKLGVVVKPMEKPRLVLKFIWLEKNIGISLDQVIPGHGTIPISPYYFWPRKDAWEELKVMLESKPWISQKQMVILLNQATDIINLWQQSGGNFA >itb14g08290.t1 pep chromosome:ASM357664v1:14:7678100:7680025:1 gene:itb14g08290 transcript:itb14g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAO [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/TrEMBL;Acc:A0A178W5Y5] MAATLCAASTVVGLATSSLPTLSSPNKLFLTSDFLKPAVAARNPLKLPRACMGKFTCFERDWLRRDLNVIGFGLIGWIAPSSVPLINGKSLTGLFFESIGTELSHWPTGPALTSQFWLWLVCWHLGLFLCLTFGQIGFKGRTEDYF >itb15g07070.t1 pep chromosome:ASM357664v1:15:4757539:4758105:1 gene:itb15g07070 transcript:itb15g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLVSLIIFATKLAHGTAQGPEEVKQWFAKLPTLKQKVMDLHFFFYTSTVGANPNDVLVGQSNVTSQSPTLFGATAVMDGPVTVGPERNSSQLGRFQGFYALSSLDEMSFTMLFNFVFTHGEYNGSSLSVLGYNPVQHEHREMVIVGGSGCFRLARGILTIKTVWWNITTKLGDTVIECNVMLLHY >itb13g26470.t1 pep chromosome:ASM357664v1:13:31715120:31718120:1 gene:itb13g26470 transcript:itb13g26470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWTPKKGSLNKFNGQHALRERARKIDQGILIIRFEMPFNIWCGGCESMIAKGVRFNAEKKQVGNYYSTKIWSFSMKSACCRHEIVIQTDPKNCEYVITSGARRKTEEYEAEDAETLVLPVEEDRSKLADPFYRLEHQEEDIKKKKEAEPRLVRLQKVSDARHSDDYALNKALRARLRGQKKRVAEEETAAKKMGLGFRLLPASKEDAAAASRIKFAHKFDRNRKDKRALIHASSIFSDSSSGSSQRLELEAKRRKINASGASKLLVGGFKPSSWTQGVATSSNHRRM >itb06g15770.t1 pep chromosome:ASM357664v1:6:20037661:20040585:-1 gene:itb06g15770 transcript:itb06g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLSLTSTPTAAMLSSSSSSATSSSGGFAASAPCRPPQTSAFVVLPPLSFRNNVVSLNRRKSQVVRMAPEDEKMTRRSPLDFPLEWIRPKPGRRPDIFPQFSPMKTPLPPPLPVDPPLEDDEEEEEEDEKKEDDENPEKEEEPESPDGK >itb09g16430.t2 pep chromosome:ASM357664v1:9:11637319:11644748:-1 gene:itb09g16430 transcript:itb09g16430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MIGECLQFKASRRPSFNAMLAIFLRYLQGIPRSPPASPDNDFNQYSGTNGMAPPLASDLEVSLDVNSLLHRYVSEGNLNGVRELLGKTHKGQGGNSVRSLLEAQNPDGQTALHLACRRGSMELVEAILDYTEANVDVLDKDGDPPLVFALAAGSPDCVRALIKRQANVRSRLREGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVAKKFIDCAIVILENGGCESMGIMNSKSLTPLHLCIATWNVAVVKRWVELASSEEIASAIDIPSPVGTALCMAAALKKDREAEGRELVKILLAAGADPTAQDAQHFRTALHTAAMINDLELVKIILDSGVDVNIRNVHNTIPLHVALNRGAKSCVGLLLSAGANCNLQDDEGDNAFHIAAFSANMIRENLEWISIMLRHPDSAVEARNHSGKTLRDYLEALPREWISEDLMEALMEKGVYLSPTIYDIGDWVKFKRCVTTPSFGWQGAKHKSVGFVQNVLDKDNLIVSFCSGEGKEARVLTDEVVKVIPLDRGHHVRLKPDVKEPRFGWRGQARDSIGTVLCVDDDGVLRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPNLTSAKHGLGSVTPGSIGIVYCIRPDNSLLLELCYLPHPWHCEPEEVEPVEPFRIHDRVCVKRSVAEPRYAWGGETHHSVGRIIDIEADGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVTSPKYGWEDITRNSIGVIHSLEEDGDVGIAFCFRSKPFSCSVTDIEKVSPFEVGQEIRVMPSVTQPRLGWSNETPATVGKIVRIDMDGALNAAVGGRQSFWKVSPGDAELLPGFEVGDWVRSKPSLGARPSYDWYSIGKEGLAIVHSVQDTGYLELSCCFRKGRWITHYTDVEKVCGFRVGQHVRFRAGLVEPRWGWRGTQSDSRGVITGINADGEVRVAFFGLQGFWRGDPVDLEIEQIFEVGDWVKLRENVQSWKSIGPGSIGVVQGIIYEGNEWDGNISVAFCGEQDQWMGHYSHLERVNKILVGQRVKVKNCVKQPRFGWSGHSHVNVGSISGVDGDGKLRMYTPAGSKSWMLDPSEVDVVEEEEIRIGDWVKVRASVSTPTHQWGDVCHSSLGVVHRIEDGGDVWVAFCFLDRLWICKACEVERVRRFKVGDKVKIKEGLVAPRWGWGMETHASRGEVVGVDANGKLRIKFQWREGKPWIGDPADIVIYEPEPEPEPALSTNAPA >itb09g16430.t1 pep chromosome:ASM357664v1:9:11637319:11647566:-1 gene:itb09g16430 transcript:itb09g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MKIPSCSVCQNSYNEEERCPLLLQCGHGYCRECLSRLFSASLDTNLSCPRCRHVSLVGNSVTALKKNYAILALIRDSSARDSSDDEEEEEEEDGAEDLRDNGGGREERELDRRRKSRSALSSGCGVEAGGRIELTAHPEVRLIKRISGETKKPGVEIWAAVVLGDHSGGRRERCRHRVVVKKVTIGEDMDLVWVQENLEKLKRGSMWCRNVCAFHGVTKIDSSLCLVMDRCKGSVQTEMQRNEGRLTLEQILRYGADIARGVAELHAAGIVCMNIKPSNLLLDVNGHAMVSDYGLPAILKKPACRKARLESESSKVHSCMDCTMLSPNYTAPEAWEPVKKSLNIFWDGSIGISPESDAWSFGCTLVEMCTGSIPWTGLSTEEIYQAVVKARKQPPQYAGVVGVGIPPELWKMIGECLQFKASRRPSFNAMLAIFLRYLQGIPRSPPASPDNDFNQYSGTNGMAPPLASDLEVSLDVNSLLHRYVSEGNLNGVRELLGKTHKGQGGNSVRSLLEAQNPDGQTALHLACRRGSMELVEAILDYTEANVDVLDKDGDPPLVFALAAGSPDCVRALIKRQANVRSRLREGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVAKKFIDCAIVILENGGCESMGIMNSKSLTPLHLCIATWNVAVVKRWVELASSEEIASAIDIPSPVGTALCMAAALKKDREAEGRELVKILLAAGADPTAQDAQHFRTALHTAAMINDLELVKIILDSGVDVNIRNVHNTIPLHVALNRGAKSCVGLLLSAGANCNLQDDEGDNAFHIAAFSANMIRENLEWISIMLRHPDSAVEARNHSGKTLRDYLEALPREWISEDLMEALMEKGVYLSPTIYDIGDWVKFKRCVTTPSFGWQGAKHKSVGFVQNVLDKDNLIVSFCSGEGKEARVLTDEVVKVIPLDRGHHVRLKPDVKEPRFGWRGQARDSIGTVLCVDDDGVLRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPNLTSAKHGLGSVTPGSIGIVYCIRPDNSLLLELCYLPHPWHCEPEEVEPVEPFRIHDRVCVKRSVAEPRYAWGGETHHSVGRIIDIEADGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVTSPKYGWEDITRNSIGVIHSLEEDGDVGIAFCFRSKPFSCSVTDIEKVSPFEVGQEIRVMPSVTQPRLGWSNETPATVGKIVRIDMDGALNAAVGGRQSFWKVSPGDAELLPGFEVGDWVRSKPSLGARPSYDWYSIGKEGLAIVHSVQDTGYLELSCCFRKGRWITHYTDVEKVCGFRVGQHVRFRAGLVEPRWGWRGTQSDSRGVITGINADGEVRVAFFGLQGFWRGDPVDLEIEQIFEVGDWVKLRENVQSWKSIGPGSIGVVQGIIYEGNEWDGNISVAFCGEQDQWMGHYSHLERVNKILVGQRVKVKNCVKQPRFGWSGHSHVNVGSISGVDGDGKLRMYTPAGSKSWMLDPSEVDVVEEEEIRIGDWVKVRASVSTPTHQWGDVCHSSLGVVHRIEDGGDVWVAFCFLDRLWICKACEVERVRRFKVGDKVKIKEGLVAPRWGWGMETHASRGEVVGVDANGKLRIKFQWREGKPWIGDPADIVIYEPEPEPEPALSTNAPA >itb01g03020.t1 pep chromosome:ASM357664v1:1:1965037:1969232:-1 gene:itb01g03020 transcript:itb01g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISSSTAAASYSALSFLGRQQNYAAKLYANHPRLSFTGHVNLDCFNLRLNSTSRRAFTSYCSLNPVLNALSSFDGASQQRVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGDATCISDLDVSNSSDVISFCHKWGVGLVVIGPEAPLVAGLSNDLGKAGILAFGPSSEAAALEGSKSFMKSLCDKYGIPTAKYQTFAEASEAKEYIKQQGAPIVIKADGLAAGKGVTVAMTLDEAYDAVDSMLVGGVFGSAGSQVVIEEYLEGEEASFFALVDGEHAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKDLQTEVMESIILPTVKGMDAEGCKFVGVLYAGLMIEKKTGRPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACRGELNGVSLDWSAGSAMVVVMASEGYPGNYKKGTVIQKLDEAEQVAPSVKVFHAGTALDADGNFIATGGRVLGVTAKGKDLQEARDRAYQAVEQINWPGGFFRRDIGWRALPQKQYSR >itb01g03020.t2 pep chromosome:ASM357664v1:1:1965275:1969198:-1 gene:itb01g03020 transcript:itb01g03020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACISSSTAAASYSALSFLGRQQNYAAKLYANHPRLSFTGHVNLDCFNLRLNSTSRRAFTSYCSLNPVLNALSSFDGASQQRVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGDATCISDLDVSNSSDVISFCHKWGVGLVVIGPEAPLVAGLSNDLGKAGILAFGPSSEAAALEGSKSFMKSLCDKYGIPTAKYQTFAEASEAKEYIKQQGAPIVIKADGLAAGKGVTVAMTLDEAYDAVDSMLVGGVFGSAGSQVVIEEYLEGEEASFFALVDGEHAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKDLQTEVMESIILPTVKGMDAEGCKFVGVLYAGLMIEKKTGRPKLIEYNVRFGDPECQVLMVRLESDLVQVLLAACRGELNGVSLDWSAGSAMVVVMASEGYPGNYKKGTVIQKLDEAEQVAPSVKVFHAGTALDADGNFIATGGRVLGVTAKGKDLQEARDRAYQAVEQINWPGGFFRRDIGWRALPQKQYSR >itb03g21640.t3 pep chromosome:ASM357664v1:3:19542112:19546036:-1 gene:itb03g21640 transcript:itb03g21640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALTSLMRTLELEFLQPNPRLILNDKQLIHSLCLKLGCLQTLLDQSEKKAHNCEELKDLIAKIGGVTLEAEYDIEAELLEIRHQMLDVTLRRVLEDVEHLIVMIEARTAKVLQTQHPEESSSAQHASKIEDIMVGHAKEFEEFKEKLVSSNKLLQVMSLVGMGGIGKTTFARKLADDSAVKRHFDCRGWATMSQEHNKRQVLLQLCRSIMPMRDDFNTMNDGELAEQLRKSLLGKRYLIIVDDIWTVEAWDDVKRCFPSEVENTGSQILLTTRHKEVSIHACSDNLHDMRFLNFDESWKLFCQNFLVRESLNREFETIGREIVEKCGGLPLTVVVLAGHLSTNMVVDEWRSVKSMLNSSVNLDLSKRIFKILSLSYNNLPCHLKSCFLYLGAFPEDSEIGIKKVIRLWIAEGFIKEESEKTLEESGEDYLQDLMNRSLIMVSERSSNGKVATCKMHDILHELSASKAKTEKLLCSRDNSKKVESIESDGNRWLSLKIVSKGSLYLTALEKFRSILCFGLRNWDAFSSLMKPNSFKMLRVLDLTASSENNGSIPSVIIDVVLLRYLALSSSRLLTSIPVSRNRNLQTLVIREDINGFRKLPHGIWELPQLRHLQLYHQLIPMYTPEVAQVNLQTMYWLQCLQCTKQVLSRIPNVKELGIIAQGCISHSCLDDLNSLKKLEKLKVQGSYCPIKLWPCTFPENLKEITFAKTLMPWEAMNVISMLPKLVVLKLKNHACVGEKWKLTVKRGFPELKLLLISVMDLKHWELADDVDDDCPFPKLERLVFRNCFELKEMPSWIENLSNLKSVQLEHCHASLVKSAKEEKEQRENYGEYGLEILDFHTQSGLSLSLSLQ >itb03g21640.t2 pep chromosome:ASM357664v1:3:19542112:19546036:-1 gene:itb03g21640 transcript:itb03g21640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALTSLMRTLELEFLQPNPRLILNDKQLIHSLCLKLGCLQTLLDQSEKKAHNCEELKDLIAKIGGVTLEAEYDIEAELLEIRHQMLDVTLRRVLEDVEHLIVMIEARTAKVLQTQHPEESSSAQHASKIEDIMVGHAKEFEEFKEKLVSSNKLLQVMSLVGMGGIGKTTFARKLADDSAVKRHFDCRGWATMSQEHNKRQVLLQLCRSIMPMRDDFNTMNDGELAEQLRKSLLGKRYLIIVDDIWTVEAWDDVKRCFPSEVENTGSQILLTTRHKEVSIHACSDNLHDMRFLNFDESWKLFCQNFLVRESLNREFETIGREIVEKCGGLPLTVVVLAGHLSTNMVVDEWRSVKSMLNSSVNLDLSKRIFKILSLSYNNLPCHLKSCFLYLGAFPEDSEIGIKKVIRLWIAEGFIKEESEKTLEESGEDYLQDLMNRSLIMVSERSSNGKVATCKMHDILHELSASKAKTEKLLCSRDNSKKVESIESDGNRWLSLKIVSKGSLYLTALEKFRSILCFGLRNWDAFSSLMKPNSFKMLRVLDLTASSENNGSIPSVIIDVVLLRYLALSSSRLLTSIPVSRNRNLQTLVIREDINGFRKLPHGIWELPQLRHLQLYHQLIPMYTPEVAQVNLQTMYWLQCLQCTKQVLSRIPNVKELGIIAQGCISHSCLDDLNSLKKLEKLKVQGSYCPIKLWPCTFPENLKEITFAKTLMPWEAMNVISMLPKLVVLKLKNHACVGEKWKLTVKRGFPELKLLLISVMDLKHWELADDVDDDCPFPKLERLVFRNCFELKEMPSWIENLSNLKSVQLEHCHASLVKSAKEEKEQRENYGEYGLEILDFHTQSGLSLSLSLQ >itb03g21640.t1 pep chromosome:ASM357664v1:3:19542112:19546036:-1 gene:itb03g21640 transcript:itb03g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVALTSLMRTLELEFLQPNPRLILNDKQLIHSLCLKLGCLQTLLDQSEKKAHNCEELKDLIAKIGGVTLEAEYDIEAELLEIRHQMLDVTLRRVLEDVEHLIVMIEARTAKVLQTQHPEESSSAQHASKIEDIMVGHAKEFEEFKEKLVSSNKLLQVMSLVGMGGIGKTTFARKLADDSAVKRHFDCRGWATMSQEHNKRQVLLQLCRSIMPMRDDFNTMNDGELAEQLRKSLLGKRYLIIVDDIWTVEAWDDVKRCFPSEVENTGSQILLTTRHKEVSIHACSDNLHDMRFLNFDESWKLFCQNFLVRESLNREFETIGREIVEKCGGLPLTVVVLAGHLSTNMVVDEWRSVKSMLNSSVNLDLSKRIFKILSLSYNNLPCHLKSCFLYLGAFPEDSEIGIKKVIRLWIAEGFIKEESEKTLEESGEDYLQDLMNRSLIMVSERSSNGKVATCKMHDILHELSASKAKTEKLLCSRDNSKKVESIESDGNRWLSLKIVSKGSLYLTALEKFRSILCFGLRNWDAFSSLMKPNSFKMLRVLDLTASSENNGSIPSVIIDVVLLRYLALSSSRLLTSIPVSRNRNLQTLVIREDINGFRKLPHGIWELPQLRHLQLYHQLIPMYTPEVAQVNLQTMYWLQCLQCTKQVLSRIPNVKELGIIAQGCISHSCLDDLNSLKKLEKLKVQGSYCPIKLWPCTFPENLKEITFAKTLMPWEAMNVISMLPKLVVLKLKNHACVGEKWKLTVKRGFPELKLLLISVMDLKHWELADDVDDDCPFPKLERLVFRNCFELKEMPSWIENLSNLKSVQLEHCHASLVKSAKEEKEQRENYGEYGLEILDFHTQSDEDQHSTLTQVSLPLCHSFAEENDEDIKMSVFLEARSLCIIHGNDPKYWRWTRDSGHEIAILRYVCWLEITGKLDVRRLHKITSYSAYLIFKLKEESPKLNTAFTMVRYINDKRCSSENRRCQVFLTERSSSKDPGRFSNRRDDGWMEIRLGDFYINSTNEGEVEMQFWNITSHWKYDLIVKGIEVRPT >itb13g05110.t5 pep chromosome:ASM357664v1:13:6038978:6047329:1 gene:itb13g05110 transcript:itb13g05110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSGLNGSASNLPETTARALATSFSAQSGTVQSLQGIHGNFNMSNVHGTFASRNSAMTGGPSGSVQQAGNVSNGRFSINNIPTVLSQLSLASSHGHSGATNIGGRIANSMTNIVSGSNIGRGLSADGGSNMHGVASRINLTAPQMVSMLGNSYSGAGVPLLQNQFQAGNSHLASMALLNEYNARDNATFDINDFPQLGGRPPSAGGSQGQLGFIRKPNIGFSQQNQEFSIQNEDFPALPGFKGQKDQLQESMASMMQSQHLAVGRSSGFSFGGNYSSHQPQQQQASSTNGSGISFPPANYQDARFHGPEARTMGPPSTGSGSSNLSNLGPYDQLLDHYQPFQRQSQFRSISPFRDQDLKPLQASQAADRFGMFGLLNVIKMTNPALSTLALGVDLTSLGLNLNSVDNLHKTFASPWSDEPAKGEPEYTIPECYNAKQSPALKQSYFSKFRPETLFYIFYSMPKEEAQLYAANELHVRGWFYHRELRLWFTRVTNIEPLVKTATYERGCYFCFDPNTWEVVRKDNFVLQYEMIEKVPVLP >itb13g05110.t6 pep chromosome:ASM357664v1:13:6038978:6047329:1 gene:itb13g05110 transcript:itb13g05110.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSGLNGSASNLPETTARALATSFSAQSGSTATVLNHSGGTVQSLQGIHGNFNMSNVHGTFASRNSAMTGGPSGSVQQAGNVSNGRFSINNIPTVLSQLSLASSHGHSGATNIGGRIANSMTNIVSGSNIGRGLSADGGSNMHGVASRINLTAPQMVSMLGNSYSGAGVPLLQNQFQAGNSHLASMALLNEYNARDNATFDINDFPQLGGRPPSAGGSQGQLGFIRKPNIGFSQQNQEFSIQNEDFPALPGFKGQKDQLQESMASMMQSQHLAVGRSSGFSFGGNYSSHQPQQQQASSTNGSGISFPPANYQDARFHGPEARTMGPPSTGSGSSNLSNLGPYDQLLDHYQPFQRQSQFRSISPFRDQDLKPLQASQAADRFGMFGLLNVIKMTNPALSTLALGVDLTSLGLNLNSVDNLHKTFASPWSDEPAKGEPEYTIPECYNAKQSPALKQSYFSKFRPETLFYIFYSMPKEEAQLYAANELHVRGWFYHRELRLWFTRVTNIEPLVKTATYERGCYFCFDPNTWEVVRKDNFVLQYEMIEKVPVLP >itb13g05110.t2 pep chromosome:ASM357664v1:13:6038796:6047329:1 gene:itb13g05110 transcript:itb13g05110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSGLNGSASNLPETTARALATSFSAQSGTVQSLQGIHGNFNMSNVHGTFASRNSAMTGGPSGSVQQAGNVSNGRFSINNIPTVLSQLSLASSHGHSGATNIGGRIANSMTNIVSGSNIGRGLSADGGSNMHGVASRINLTAPQMVSMLGNSYSGAGVPLLQNQFQAGNSHLASMALLNEYNARDNATFDINDFPQLGGRPPSAGGSQGQLGFIRKPNIGFSQQNQEFSIQNEDFPALPGFKGQKDQLQESMASMMQSQHLAVGRSSGFSFGGNYSSHQPQQQQASSTNGSGISFPPANYQDARFHGPEARTMGPPSTGSGSSNLSNLGPYDQLLDHYQPFQRQSQFRSISPFRDQDLKPLQASQAADRFGMFGLLNVIKMTNPALSTLALGVDLTSLGLNLNSVDNLHKTFASPWSDEPAKGEPEYTIPECYNAKQSPALKQSYFSKFRPETLFYIFYSMPKEEAQLYAANELHVRGWFYHRELRLWFTRVTNIEPLVKTATYERGCYFCFDPNTWEVVRKDNFVLQYEMIEKVPVLP >itb13g05110.t4 pep chromosome:ASM357664v1:13:6038796:6047329:1 gene:itb13g05110 transcript:itb13g05110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVHGTFASRNSAMTGGPSGSVQQAGNVSNGRFSINNIPTVLSQLSLASSHGHSGATNIGGRIANSMTNIVSGSNIGRGLSADGGSNMHGVASRINLTAPQMVSMLGNSYSGAGVPLLQNQFQAGNSHLASMALLNEYNARDNATFDINDFPQLGGRPPSAGGSQGQLGFIRKPNIGFSQQNQEFSIQNEDFPALPGFKGQKDQLQESMASMMQSQHLAVGRSSGFSFGGNYSSHQPQQQQASSTNGSGISFPPANYQDARFHGPEARTMGPPSTGSGSSNLSNLGPYDQLLDHYQPFQRQSQFRSISPFRDQDLKPLQASQAADRFGMFGLLNVIKMTNPALSTLALGVDLTSLGLNLNSVDNLHKTFASPWSDEPAKGEPEYTIPECYNAKQSPALKQSYFSKFRPETLFYIFYSMPKEEAQLYAANELHVRGWFYHRELRLWFTRVTNIEPLVKTATYERGCYFCFDPNTWEVVRKDNFVLQYEMIEKVPVLP >itb13g05110.t3 pep chromosome:ASM357664v1:13:6038796:6047329:1 gene:itb13g05110 transcript:itb13g05110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSGLNGSASNLPETTARALATSFSAQSGSTATVLNHSGGTVQSLQGIHGNFNMSNVHGTFASRNSAMTGGPSGSVQQAGNVSNGRFSINNIPTVLSQLSLASSHGHSGATNIGGRIANSMTNIVSGSNIGRGLSADGGSNMHGVASRINLTAPQMVSMLGNSYSGAGVPLLQNQFQAGNSHLASMALLNEYNARDNATFDINDFPQLGGRPPSAGGSQGQLGFIRKPNIGFSQQNQEFSIQNEDFPALPGFKGQKDQLQESMASMMQSQHLAVGRSSGFSFGGNYSSHQPQQQQASSTNGSGISFPPANYQDARFHGPEARTMGPPSTGSGSSNLSNLGPYDQLLDHYQPFQRQSQFRSISPFRDQDLKPLQASQAADRFGMFGLLNVIKMTNPALSTLALGVDLTSLGLNLNSVDNLHKTFASPWSDEPAKGEPEYTIPECYNAKQSPALKQSYFSKFRPETLFYIFYSMPKEEAQLYAANELHVRGWFYHRELRLWFTRVTNIEPLVKTATYERGCYFCFDPNTWEVVRKDNFVLQYEMIEKVPVLP >itb13g05110.t1 pep chromosome:ASM357664v1:13:6038796:6047329:1 gene:itb13g05110 transcript:itb13g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSGLNGSASNLPETTARALATSFSAQSGTVQSLQGIHGNFNMSNVHGTFASRNSAMTGGPSGSVQQAGNVSNGRFSINNIPTVLSQLSLASSHGHSGATNIGGRIANSMTNIVSGSNIGRGLSADGGSNMHGVASRINLTAPQMVSMLGNSYSGAGVPLLQNQFQAGNSHLASMALLNEYNARDNATFDINDFPQLGGRPPSAGGSQGQLGFIRKPNIGFSQQNQEFSIQNEDFPALPGFKGQKDQLQESMASMMQSQHLAVGRSSGFSFGGNYSSHQPQQQQASSTNGSGISFPPANYQDARFHGPEARTMGPPSTGSGSSNLSNLGPYDQLLDHYQPFQRQSQFRSISPFRDQDLKPLQASQAADRFGMFGLLNVIKMTNPALSTLALGVDLTSLGLNLNSVDNLHKTFASPWSDEPAKGEPEYTIPECYNAKQSPALKQSYFSKFRPETLFYIFYSMPKEEAQLYAANELHVRGWFYHRELRLWFTRVTNIEPLVKTATYERGCYFCFDPNTWEVVRKDNFVLQYEMIEKVPVLP >itb14g02550.t1 pep chromosome:ASM357664v1:14:2189318:2190150:1 gene:itb14g02550 transcript:itb14g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRLKSDSLQSGDNGSEDDVESDGSESEYEIDTFEFVQNVDSTVEFGEVKGNSSEPVVNIVYGLWFQAMETHDVLTQEEYEFEMALSSFNFDDFILPMQAAQVES >itb04g25860.t1 pep chromosome:ASM357664v1:4:30310224:30312834:1 gene:itb04g25860 transcript:itb04g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTASPLGSGVFSPVTVKNPRTSGGGAITSRIRHRSPRMSGERQSPTTSLVTGITKLLWGNALPPQLLISTVRSTWSTAWNIMMSQLAPSDPTGSYTRPASQFRIPNPNSSGLQLSPGNIHLYVGLPCPWAHRTLIVRALKGLEEAIPVSIASPGADGSWEFRYSPVPDTDKLVPTLDKSSGRKSLREVYQSSRGGYSGRSTVPMLWDSDKKQVICNESYDIIEFFNSGLNRMSNNPELDLSPPSLTHEIAKWNQIIYPKVNNGVYRCGFAQSQEAYDRAVNEVFSTLDMVDDHLGSSRYLCGDVLTLADVCLFTTLIRFDLVYHVLFKCSKKKIIEYPNLHGYMRDIYQIPKVADTCNMGAIMDGYYKTLFPLNPGGIRPVMPSDFQDDTLLKPPNRNSLMKMGEKLQLSVS >itb12g04210.t1 pep chromosome:ASM357664v1:12:2748243:2750151:-1 gene:itb12g04210 transcript:itb12g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKRVPTVVSNYQDDAPEGSKTESLGCGRNCLGQCCLPVSCLPLFAFKNDEHKCDADWSYLSYGECPISFLSDLLLGQWEERMSRGIFRYDVTSCETKIIPGMFGFIAQLNEGRHLKKRPTEFRVDQVLQPFDENKFNFMKIGQEEVLFRFEPSNDCKSHYLPSGLVDARSGSPTVLAINVSPIEYGHVLLIPRVLDCLPQRIDHDSFFVAMHLAKEAADPFFRLGYNSLGAFATINHLHFQAYYLQVPFPVEKAPTCEIMRGREQGDGGIVVSQLLNYPVHALLFEGGSTVRDLSDAVANSCISLQNNNIPFNVLISECGNKVFLFPQCYAEKQACGKIDQEILDTWVNPAVWEISGHMVLKRREDYDDASEDYAWRLLSEVSLSEESFEEVKAYVSRAADLVLDSEYSNGSQPWEPQMSCRLPRECLVLR >itb01g34300.t1 pep chromosome:ASM357664v1:1:37067339:37068565:-1 gene:itb01g34300 transcript:itb01g34300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPDRFSVSGKTKNCKRQAYSFLSSDLGAFNPASVGWFWLIDSSINLSYPSNYLRGILECFEVVTWLVGLGNHRSIGHPQAPLHLAAMALVEEEKLVPLYGRGKNSTDPRSKSIPGMEIPHRPTGQRPETAAPPPDTNAFPQHGFGFTGGFGGFAPMATARFGNFTFSAAVGGLFPSLFNIQLNGYPNTPNYGTAAGYPFGYGNTFHGGHAHGFPQHTNQQQQADSNLKWLFLFIGITVILALIWN >itb07g20180.t2 pep chromosome:ASM357664v1:7:24604767:24610207:-1 gene:itb07g20180 transcript:itb07g20180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKSSNNNSDKGRTLPVDPNLPRYLCQNCHNSFCIVGVDSYADKLFGADSSSSSSSRSAMQGSSIHGAGSVTGSTRMENSFVVLPKQRNHGLGVPSRTRGVTNPPDSSQFGRAMEESFVVLPPPAASVYQYASASDGTGTNLPSQEDGPTSAPIQPNNSGFNSTITILKRAFDIATTQTQVEQPLCLECMRVLSDKLDKEVEDVNRDIKAYEACLQRLDGEARNVLTEADFLKEKLKIEEEERQLEAAIEETEKQCAEVTAELKELELKSSRFKELEEKYWLEFNNFQFQLISHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIGHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMAQYFRPKFPYRIKILPMGSYPRIMDTNNNTYEL >itb07g20180.t1 pep chromosome:ASM357664v1:7:24604767:24610207:-1 gene:itb07g20180 transcript:itb07g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKKSSNNNSDKGRTLPVDPNLPRYLCQNCHNSFCIVGVDSYADKLFGADSSSSSSSRSAMQGSSIHGAGSVTGSTRMENSFVVLPKQRNHGLGVPSRTRGVTNPPDSSQFGRAMEESFVVLPPPAASVYQYASASDGTGTNLPSQEDGPTSAPIQPNNSGFNSTITILKRAFDIATTQTQVEQPLCLECMRVLSDKLDKEVEDVNRDIKAYEACLQRLDGEARNVLTEADFLKEKLKIEEEERQLEAAIEETEKQCAEVTAELKELELKSSRFKELEEKYWLEFNNFQFQLISHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIGHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMAQYFRPKFPYRIKILPMGSYPRIMDTNNNTYELFGPVNLFWSTRYDKAMTLFLNCLKEFAEFANAKDRENNIPPEKRFRLPYKIENDKVENCSITQSFNKQENWTKALKYTLCNLKWVLYWFVGNTNFQPLSAPVSSQAEVPAATSMYNKRPADAKLHQ >itb09g19850.t2 pep chromosome:ASM357664v1:9:16201731:16202786:-1 gene:itb09g19850 transcript:itb09g19850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPIKPLKKPPGYRDPSIPIQMPPSFYPPRRKRRNCCCRCCCYFIIFLIFAILLLIMCGAIFFLWFNPKLPVFHLTSLDFTKFAVTNSPDGGSSLNAQGTVGVEMKNPNKQLKMVYGRTKLELRGGTGGSEDMSLGKGIVRGFVQKAGNVRELKVRIWSKELLFGKSATNVGDRFKRKTLKVNAEVKTGIGIGFSGGWSTGPIGVTVRCNALSLKHISDVTSRKCHVKLLNLYDS >itb09g19850.t1 pep chromosome:ASM357664v1:9:16201731:16202786:-1 gene:itb09g19850 transcript:itb09g19850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPIKPLKKPPGYRDPSIPIQMPPSFYPPRRKRRNCCCRCCCYFIIFLIFAILLLIMCGAIFFLWFNPKLPVFHLTSLDFTKFAVTNSPDGGSSLNAQGTVGVEMKNPNKQLKMVYGRTKLELRGGTGGSEDMSLGKGIVRGFVQKAGNVRELKVRIWSKELLFGKSATNVGDRFKRKTLKVNAEVKTGIGIGFSGGWSTGPIGVTVRCNALSLKHISDVTSRKCHVKLLNLYDS >itb14g16460.t1 pep chromosome:ASM357664v1:14:19805701:19806045:-1 gene:itb14g16460 transcript:itb14g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISSGGNHICFGKYALQALEPAWITSRQIEAGRRAMTRNARRGVKIWVHIFPDKPVTVRPAEIRMGSGKGSPEYWVAVVKPDRILYEMGGVTKKIARRAISIAASKMCCCAV >itb11g08550.t1 pep chromosome:ASM357664v1:11:5626074:5628904:-1 gene:itb11g08550 transcript:itb11g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGMHPYHQQWAPAPAPAAAPPPPPPHVPQMPLNDPNRPPNDEVRTIFISGLPEDVKERELQNLLRWLPGYEASQVNFKGEHPMGFALFSTPQFAIAAKDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADSNAYDQSKRMRTGGDYTHTGYSSPSPFHPPPAPAWGPPAYMTPPPQPYDPYTGYHVPPVPMPVPAPMPAAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFTAQPGYKQMKVLRQERHTVCFIEFEDMQSATNVHHTLQGAVIPSSGMHLKMHAVDKACQLSPVVEDLKEKSIRLFCNALHVALCQLYMFFMQMFLHGRPWFSLTSSYIFKESIWKKEGFQSHFPCCKWSSTTYDLPVAGRGCILFSML >itb04g21400.t1 pep chromosome:ASM357664v1:4:26536775:26537221:1 gene:itb04g21400 transcript:itb04g21400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSNLILKALLLLSVASTAAVARPLSAKSTLLTRLKLDGGEGDEVSECWDSLFQLQSCTGEVILFFMNGEAYLGPSCCRAIRIVGRKCWPAVFGSLGFTADESDVLRGYCDDVEDDGHDGDARPPSPPRPVNLTTTRFSVQESSP >itb07g24230.t2 pep chromosome:ASM357664v1:7:28489874:28493268:1 gene:itb07g24230 transcript:itb07g24230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVAMATLGLTKPNQIQRPKISFAAREVDLLEWKGDILAVGVTEKDMARDQNSKFQNLVLQKLDSNLGGILSKASSEEDFSGKSGQSTVLRLSGLGFKRIGLVGVGSSVSSTIAYRTLGETIAAAAKSAQASSVAVALASAEGLSVELKLSAASAMATGIVLGTFEDNRFKLEPKTPTLKSVEILGLGTGPEIEKKLKYSEVVSSGVIFVKELVNAPANVLTPGVLAEEAKNIASLYSDVLTATILDAEQCKELKMGSFLGVAAASAHPPYFIHLCYKPPSGSVKTKLALVGKGITFDSGGYNIKAGANSAIEIMKNDMGGAAVVFAAAKAMGQIKPAGVEVSHIATARKGCFLSGPNAYHYAKTYSL >itb07g24230.t1 pep chromosome:ASM357664v1:7:28489659:28494063:1 gene:itb07g24230 transcript:itb07g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVAMATLGLTKPNQIQRPKISFAAREVDLLEWKGDILAVGVTEKDMARDQNSKFQNLVLQKLDSNLGGILSKASSEEDFSGKSGQSTVLRLSGLGFKRIGLVGVGSSVSSTIAYRTLGETIAAAAKSAQASSVAVALASAEGLSVELKLSAASAMATGIVLGTFEDNRFKLEPKTPTLKSVEILGLGTGPEIEKKLKYSEVVSSGVIFVKELVNAPANVLTPGVLAEEAKNIASLYSDVLTATILDAEQCKELKMGSFLGVAAASAHPPYFIHLCYKPPSGSVKTKLALVGKGITFDSGGYNIKAGANSAIEIMKNDMGGAAVVFAAAKAMGQIKPAGVEVHFLTAACENMISGAGMRPGDILTASNGKRIEVNNTDAEGRLALADTLIYACKLGVEKIVDLATLTGACITALGPSVAGVFTPSDDLAREVLEAAEIGGEKLWRLPMEESYWGTMKSGIADMINTGPRHGGAITAALFLKQFVDEGVEWLHIDIAGPVFDEKKKLATGFGISTMMEWVLKHSS >itb07g24230.t3 pep chromosome:ASM357664v1:7:28489874:28493268:1 gene:itb07g24230 transcript:itb07g24230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVAMATLGLTKPNQIQRPKISFAAREVDLLEWKGDILAVGVTEKDMARDQNSKFQNLVLQKLDSNLGGILSKASSEEDFSGKSGQSTVLRLSGLGFKRIGLVGVGSSVSSTIAYRTLGETIAAAAKSAQASSVAVALASAEGLSVELKLSAASAMATGIVLGTFEDNRFKLEPKTPTLKSVEILGLGTGPEIEKKLKYSEVVSSGVIFVKELVNAPANVLTPGVLAEEAKNIASLYSDVLTATILDAEQCKELKMGSFLGVAAASAHPPYFIHLCYKPPSGSVKTKLALVGKGITFDSGGYNIKAGANSAIEIMKNDMGGAAVVFAAAKAMGQIKPAGVEVSHIATARKGCFLSGPNAYHYAKTYSL >itb10g04820.t2 pep chromosome:ASM357664v1:10:4731170:4733684:1 gene:itb10g04820 transcript:itb10g04820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCWWAEDPNCDEFKYHLARIPDYLWVSEDGMTMHSFGSQNWDSTFATQAIIASGMVEEYGDCLKKAHFYIKESQIKENPKGDFKSMYRHFNKGSWTFSDQDHGWTLSDGTAEALKCLLLLGQMHPEIVGEKADAQRLYEAVDVLLYLQSPNSGGFSIWEPPVPQPYMQLLNPSEFFADIVVEKEHVEPTGCIISALAAFRSQYPNYRPKEIDISISKAVQYLENEQQADGSWYGYWGICFLYGTCFALLGLATAGKNYENSKAIRKAVHFYLSKQNQEGGWGECLESCPSMKYIPLEGNRTNLVQTSWAMLGLMYSGQAERDPTPLHKAAKLLINAQMEDGDFPQQDITGVFMRNCMLHYAQYRSYFPLWALADAQYRSYFPLWALAEYRKRL >itb10g04820.t1 pep chromosome:ASM357664v1:10:4730574:4735775:1 gene:itb10g04820 transcript:itb10g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCWWAEDPNCDEFKYHLARIPDYLWVSEDGMTMHSFGSQNWDSTFATQAIIASGMVEEYGDCLKKAHFYIKESQIKENPKGDFKSMYRHFNKGSWTFSDQDHGWTLSDGTAEALKCLLLLGQMHPEIVGEKADAQRLYEAVDVLLYLQSPNSGGFSIWEPPVPQPYMQLLNPSEFFADIVVEKEHVEPTGCIISALAAFRSQYPNYRPKEIDISISKAVQYLENEQQADGSWYGYWGICFLYGTCFALLGLATAGKNYENSKAIRKAVHFYLSKQNQEGGWGECLESCPSMKYIPLEGNRTNLVQTSWAMLGLMYSGQAERDPTPLHKAAKLLINAQMEDGDFPQQDITGVFMRNCMLHYAQYRSYFPLWALAEYRKRL >itb13g22310.t2 pep chromosome:ASM357664v1:13:28605257:28610258:1 gene:itb13g22310 transcript:itb13g22310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MRRPGHRHQLKQQLGVGVKGMFGRLTAVAIVVVICMVMLFSAPMTSNDNSPSDIDVKALWETAASDGWRPSSAPRSDWPPPPVETTGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFISTLRFDVKVVESIPEIHKKGKTKKIKAFQLRPPRDAPISWYTTVAMEKMKEHGAIYLTPFSHRLAEEIDNAEFQRLRCRVNYHALRFKPHIVELSTAIVNRLRTQGHFMSIHLRFEMDMLAFAGCLDIFTPEEQKILTKYRKENFAEKRLVYSERRAIGKCPLTPEEVGLILRAIGFDNSTRIYLAAGDLFGGERFMKPFRSLFPHLENHSTVDTSGELAKNNRGLLGSAVDYMVCLLSDVFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDREKGHTKGFEEAVRRVMLKTNFGGPHKRISPESFYTNSWPECFCQKSALDPAHKCPRDDVLNILESRLEGEGINSSNETSLADR >itb13g22310.t1 pep chromosome:ASM357664v1:13:28604507:28610258:1 gene:itb13g22310 transcript:itb13g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04910) UniProtKB/Swiss-Prot;Acc:Q8W486] MRRPGHRHQLKQQLGVGVKGMFGRLTAVAIVVVICMVMLFSAPMTSNDNSPSDIDVKALWETAASDGWRPSSAPRSDWPPPPVETTGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFISTLRFDVKVVESIPEIHKKGKTKKIKAFQLRPPRDAPISWYTTVAMEKMKEHGAIYLTPFSHRLAEEIDNAEFQRLRCRVNYHALRFKPHIVELSTAIVNRLRTQGHFMSIHLRFEMDMLAFAGCLDIFTPEEQKILTKYRKENFAEKRLVYSERRAIGKCPLTPEEVGLILRAIGFDNSTRIYLAAGDLFGGERFMKPFRSLFPHLENHSTVDTSGELAKNNRGLLGSAVDYMVCLLSDVFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDREKGHTKGFEEAVRRVMLKTNFGGPHKRISPESFYTNSWPECFCQKSALDPAHKCPRDDVLNILESRLEGEGINSSNETSLADR >itb14g13860.t1 pep chromosome:ASM357664v1:14:16169425:16176699:-1 gene:itb14g13860 transcript:itb14g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLQPQIILLKEGTDTSQGKAQLVSNINACMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPYIEDGVHPQNLIRSYRTACNLAIEKVKELAVSIEGKSMEEKRSLLAKCAATTLSSKLIGGEKDFFASMVVDAVLSIGDDDRLNMIGIKKVAGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFIHPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYEKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVTAATGGTVQTTVNNVIDEVLGTCEHFEEKQVGNERFNIFSGCPSGKTATIVLRGGAEQFIEEAERSLHDAIMIVRRAVKNSTVVAGGGAIDMEISRYLRQHSRTIKGKSQLFINSYAKALEIIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDINTGGIANSFANFVWEPSVVKINAINAATEACCLILSVDETVKNPKSESAQGAAAAGAMGGRGGFASRGRGRGMRRR >itb03g07000.t2 pep chromosome:ASM357664v1:3:5116939:5119795:1 gene:itb03g07000 transcript:itb03g07000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNIELSVSNSHMTPTHVDLKQEMEPSQCKVDALEAKLLEVKSCIQHSELEAKKELGVLWRRVRTAASLMTYLKTKARVMGVPHLANMTCGIKQLDGVGLVDRNGVPLSGWSKDVDLSSFDDLDEEMWIKLSSNQGSLDEQDGAYISELLRSVQMVTDVMENLVKRVLMAESETAVEKVKVTIGEEEIRKKAVQIENMSVKLEEMERFALGTNCIINEMRQRVEDLVEETSRQRERAAENDQELCRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLESEKAQKEAEVQKLMEENVKLTALLDKKEAQLLAMNQQCKLMALSSLNI >itb03g07000.t4 pep chromosome:ASM357664v1:3:5116939:5119959:1 gene:itb03g07000 transcript:itb03g07000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNIELSVSNSHMTPTHVDLKQEMEPSQCKVDALEAKLLEVKSCIQHSELEAKKELGVLWRRVRTAASLMTYLKTKARVMGVPHLANMTCGIKQLDGVGLVDRNGVPLSGWSKDVDLSSFDDLDEEMWIKLSSNQGSLDEQDGAYISELLRSVQMVTDVMENLVKRVLMAESETAVEKVKVTIGEEEIRKKAVQIENMSVKLEEMERFALGTNCIINEMRQRVEDLVEETSRQRERAAENDQELCRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLESEKAQKEAEVQKLMEENVKLTALLDKKEAQLLAMNQQCKLMALSSLNI >itb03g07000.t6 pep chromosome:ASM357664v1:3:5117453:5119959:1 gene:itb03g07000 transcript:itb03g07000.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNIELSVSNSHMTPTHVDLKQEMEPSQCKVDALEAKLLEVKSCIQHSELEAKKELGVLWRRVRTAASLMTYLKTKARVMGVPHLANMTCGIKQLDGVGLVDRNGVPLSGWSKDVDLSSFDDLDEEMWIKLSSNQGSLDEQDGAYISELLRSVQMVTDVMENLVKRVLMAESETAVEKVKVTIGEEEIRKKAVQIENMSVKLEEMERFALGTNCIINEMRQRVEDLVEETSRQRERAAENDQELCRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLESEKAQKEAEVQKLMEENVKLTALLDKKEAQLLAMNQQCKLMALSSLNI >itb03g07000.t3 pep chromosome:ASM357664v1:3:5117444:5119959:1 gene:itb03g07000 transcript:itb03g07000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNIELSVSNSHMTPTHVDLKQEMEPSQCKVDALEAKLLEVKSCIQHSELEAKKELGVLWRRVRTAASLMTYLKTKARVMGVPHLANMTCGIKQLDGVGLVDRNGVPLSGWSKDVDLSSFDDLDEEMWIKLSSNQGSLDEQDGAYISELLRSVQMVTDVMENLVKRVLMAESETAVEKVKVTIGEEEIRKKAVQIENMSVKLEEMERFALGTNCIINEMRQRVEDLVEETSRQRERAAENDQELCRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLESEKAQKEAEVQKLMEENVKLTALLDKKEAQLLAMNQQCKLMALSSLNI >itb03g07000.t5 pep chromosome:ASM357664v1:3:5116939:5119959:1 gene:itb03g07000 transcript:itb03g07000.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNIELSVSNSHMTPTHVDLKQEMEPSQCKVDALEAKLLEVKSCIQHSELEAKKELGVLWRRVRTAASLMTYLKTKARVMGVPHLANMTCGIKQLDGVGLVDRNGVPLSGWSKDVDLSSFDDLDEEMWIKLSSNQGSLDEQDGAYISELLRSVQMVTDVMENLVKRVLMAESETAVEKVKVTIGEEEIRKKAVQIENMSVKLEEMERFALGTNCIINEMRQRVEDLVEETSRQRERAAENDQELCRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLESEKAQKEAEVQKLMEENVKLTALLDKKEAQLLAMNQQCKLMALSSLNI >itb03g07000.t1 pep chromosome:ASM357664v1:3:5116939:5119959:1 gene:itb03g07000 transcript:itb03g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNIELSVSNSHMTPTHVDLKQEMEPSQCKVDALEAKLLEVKSCIQHSELEAKKELGVLWRRVRTAASLMTYLKTKARVMGVPHLANMTCGIKQLDGVGLVDRNGVPLSGWSKDVDLSSFDDLDEEMWIKLSSNQGSLDEQDGAYISELLRSVQMVTDVMENLVKRVLMAESETAVEKVKVTIGEEEIRKKAVQIENMSVKLEEMERFALGTNCIINEMRQRVEDLVEETSRQRERAAENDQELCRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLESEKAQKEAEVQKLMEENVKLTALLDKKEAQLLAMNQQCKLMALSSLNI >itb15g03100.t1 pep chromosome:ASM357664v1:15:1956192:1958711:-1 gene:itb15g03100 transcript:itb15g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAAKREAVSTRSGGNGVAESSNGNSNNGSSAEEDALDGRFLRSRYLNIKSRICDEKDDLSKADSDKFTSIFEEVERLHEKVQKPREQVADAEALLDITNTLVTSVKAHSNEGVTPTDFLNCLLRQFGDQVGLSSGTDESQNSIHWEEVGLAVSNVFKSAPGCCTMIGPMTTEIKHRKPVVQRKLVRPTGNERPEELPESEAEKNTDTDKNMATMFQILRKHRRVRLEALLLNRRSFAQTVENLFALSFLVKDGRAQITVDDKGVHTVGPRNAPSANAVLSGEVSYQHFVFRFDFKDWKLMLASVEAGEELMPHRNEDVPGDSQSASTHEETGRGPSTPIRKLSRNRGLVLQEQTVVEDSPEGDSSGARAAAIRKGKRKIGI >itb02g00180.t1 pep chromosome:ASM357664v1:2:161927:169669:1 gene:itb02g00180 transcript:itb02g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSSVGTILEFLKKNKFTRAEAALRSELSNHPDSNAVPHKPTLKDKELNQPFEEANGRKTSGENLRMDHRNNEEVGQNTSSRSAEVSKELTISEIECGTGRSGPDCKWKNHANVGEQSKVHESVGTSEKNFGFNSSKDTAFDLYSRKYNPNIGPVVSHQSDCGNSIMDNFVGFSVYGKTMPNPSEFHDSSKAYENCGEVSSSYNERRTSSPGNTSKTYMEVEWEKNQNSELKEVDQWHKQIGGCSKDAFADNSWNDCLLKTVFPFSKEDVSMSYDHKIVIGDKNEEKRKPEITDIKAAIKEQVDEIGRALYLARAHGSEQRDLSGSGLPLGLENQKEELPRLPPVRLKSEEKLFDIDWVEKFERDANDLSISNTDKGYFIGSFLDVPIGQEINPLGGKKPVGGSLFSVSQGIAGDTSDLISGFATIGGLSDQVDYPTEYWDSDEYDDDDDVGYTRQPIEDETWFLSHEIDYPSDTEKGTGHGSVPDPQRSQNREEDDQSFAEEDSYFSGEQYIKSKAVDEVGPADDPMGLSVTEIYRRADHDGLAAQHDGRLMDEDELNLIRAEPVWQGFVTQTNELVMLGDEKVLNECSRSHIDQICLDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSIDGDMEYFHDNYVGIGGSRSVLHDSDKTYSQRSNGDKKAGNHSSDKFITCLDRTKSHLDGGFSFPPPRGGQLVQTNSGKSIWSNEVKSAIAEDTDDCLVTNDGMLVPWRQKSSESSPVKSSRYDNNANATGSANSSPSSLPNYGYTERDHVKKEHDTQIIAREKDLGASLEDEEVVAVQEQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHVLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIISPIDQKMLAKGKDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPVGDQCFIDFLTHLLEINPKKRPSATEALKHPWLSYPYEPISS >itb02g00180.t2 pep chromosome:ASM357664v1:2:161927:169444:1 gene:itb02g00180 transcript:itb02g00180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSSVGTILEFLKKNKFTRAEAALRSELSNHPDSNAVPHKPTLKDKELNQPFEEANGRKTSGENLRMDHRNNEEVGQNTSSRSAEVSKELTISEIECGTGRSGPDCKWKNHANVGEQSKVHESVGTSEKNFGFNSSKDTAFDLYSRKYNPNIGPVVSHQSDCGNSIMDNFVGFSVYGKTMPNPSEFHDSSKAYENCGEVSSSYNERRTSSPGNTSKTYMEVEWEKNQNSELKEVDQWHKQIGGCSKDAFADNSWNDCLLKTVFPFSKEDVSMSYDHKIVIGDKNEEKRKPEITDIKAAIKEQVDEIGRALYLARAHGSEQRDLSGSGLPLGLENQKEELPRLPPVRLKSEEKLFDIDWVEKFERDANDLSISNTDKGYFIGSFLDVPIGQEINPLGGKKPVGGSLFSVSQGIAGDTSDLISGFATIGGLSDQVDYPTEYWDSDEYDDDDDVGYTRQPIEDETWFLSHEIDYPSDTEKGTGHGSVPDPQRSQNREEDDQSFAEEDSYFSGEQYIKSKAVDEVGPADDPMGLSVTEIYRRADHDGLAAQHDGRLMDEDELNLIRAEPVWQGFVTQTNELVMLGDEKVLNECSRSHIDQICLDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSIDGDMEYFHDNYVGIGGSRSVLHDSDKTYSQRSNGDKKAGNHSSDKFITCLDRTKSHLDGGFSFPPPRGGQLVQTNSGKSIWSNEVKSAIAEDTDDCLVTNDGMLVPWRQKSSESSPVKSSRYDNNANATGSANSSPSSLPNYGYTERDHVKKEHDTQIIAREKDLGASLEDEEVVAVQEQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHVLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIISPIDQKMLAKGKDTYKYFTKNHMLYERNQVKDTCTLQCCIPFITPILGGFMSI >itb07g11830.t1 pep chromosome:ASM357664v1:7:13340712:13343845:1 gene:itb07g11830 transcript:itb07g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNSSTALTPPNSMQTPPTHFRRRHSHPRGGGDYSTDSPLLAAAAPPSSAAITPFSPAGSGRFMLPAGWGIQEVPRSTASNVDRYYFEPGTGRKFRSLREVERHLNGGEYTPSSGAFTSTTRLSRKMVVSGGKLLSVDEGDLNTEHWALVLSSANNTATSRYQLPDGWVVEEVTRRDGSSVDKYYYEPGTGQKFRSILAVEKHLAEFGEHHHIPLSESQQLLNQNRLLSNKFKPNQQHCSKNSAASKRNMSGEVKRASFVAPPSKIKWVLASPRGNAWNPFLDETPIPDSVKQQWINRFMLFVNNEAAGRMKHETQFGRG >itb07g11830.t3 pep chromosome:ASM357664v1:7:13340712:13343278:1 gene:itb07g11830 transcript:itb07g11830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNSSTALTPPNSMQTPPTHFRRRHSHPRGGGDYSTDSPLLAAAAPPSSAAITPFSPAGSGRFMLPAGWGIQEVPRSTASNVDRYYFEPGTGRKFRSLREVERHLNGGEYTPSSGAFTSTTRLSRKMVVSGGKLLSVDEGDLNTEHWALVLSSANNTATSRYQLPDGWVVEEVTRRDGSSVDKYYYEPGTGQKFRSILAVEKHLAEFGEHHHIPLSESQQLLNQNRLLSNKFKPNQQHCSKNSAASKRNMSGEVKRASFVAPPSKIKWVLASPRGNAWNPFLDETPIPDSVKQQWINRFMLFVNNEAAGRMKHETQFGRGN >itb07g11830.t2 pep chromosome:ASM357664v1:7:13340712:13343845:1 gene:itb07g11830 transcript:itb07g11830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNSSTALTPPNSMQTPPTHFRRRHSHPRGGGDYSTDSPLLAAAAPPSSAAITPFSPAGSGRFMLPAGWGIQEVPRSTASNVDRYYFEPGTGRKFRSLREVERHLNGGEYTPSSGAFTSTTRLSRKMVVSGGKLLSVDEGDLNTEHWALVLSSANNTATSRYQLPDGWVVEEVTRRDGSSVDKYYYEPGTGQKFRSILAVEKHLAEFGEHHHIPLSESQQLLNQNRLLSNKFKPNQQHCSKVSTKSSLLFTKCCVFISCLLRTLLHQRETCLEK >itb07g11830.t4 pep chromosome:ASM357664v1:7:13340712:13343278:1 gene:itb07g11830 transcript:itb07g11830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNSSTALTPPNSMQTPPTHFRRRHSHPRGGGDYSTDSPLLAAAAPPSSAAITPFSPAGSGRFMLPAGWGIQEVPRSTASNVDRYYFEPGTGRKFRSLREVERHLNGGEYTPSSGAFTSTTRLSRKMVVSGGKLLSVDEGDLNTEHWALVLSSANNTATSRYQLPDGWVVEEVTRRDGSSVDKYYYEPGTGQKFRSILAVEKHLAEFGEHHHIPLSESQQLLNQNRLLSNKFKPNQQHCSKVSTKSSLLFTKCCVFISCLLRTLLHQRETCLEK >itb07g13940.t2 pep chromosome:ASM357664v1:7:16271765:16273684:-1 gene:itb07g13940 transcript:itb07g13940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL57 [Source:Projected from Arabidopsis thaliana (AT2G27940) UniProtKB/Swiss-Prot;Acc:Q9SJJ7] MEMEMNLTLSRRFLQVNDHDSLSPATENSKEQALSPITGFNKPTSAFDSSLALTVLVMLTALFFIGFFSIYIRHFTAGAAAGGGGGGEAEDELRRRHPSHPPPPSSTLRCHAGGRKGLDPSAISALPAVLYGGAAVHVMEECPICLSEFELKETLKIIPCCGHVFHLKCIDTWLSSHVSCPLCRSTQLFNNVDDVRHPSHPPPPSSTLRCHAGGRKGLDPSAISALPAVLYGGAAVHVMEECPICLSEFELKETLKIIPCCGHVFHLKCIDTWLSSHVSCPLCRSTQLFNNVDDVRLDVSPEVNRDGERRING >itb07g13940.t1 pep chromosome:ASM357664v1:7:16271765:16271992:-1 gene:itb07g13940 transcript:itb07g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL57 [Source:Projected from Arabidopsis thaliana (AT2G27940) UniProtKB/Swiss-Prot;Acc:Q9SJJ7] MEECPICLSEFELKETLKIIPCCGHVFHLKCIDTWLSSHVSCPLCRSTQLFNNVDDVRLDVSPEVNRDGERRING >itb03g09390.t1 pep chromosome:ASM357664v1:3:7216232:7222155:1 gene:itb03g09390 transcript:itb03g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRKLVKELKVNDKTVNFWFKYGTCRDPKFKKIKTDAELYNIVYEIPENKTVEIFVEHVVEDQWDYDVEMTHISDEVRIMNEVYANAIATPLSLGEGPSNFVAASLSDFYSTPNREYFEDNLYSHEVEDNLKDTAINCKATSEFVMVGPEDITENDCVQSEEVLRSLGSESEGEVSKHVATFQEKNLHKEGFKFQEGMIFNSSAEFKWDVKCHQAMRRKDIKFVKNEENKTRKMKKVGEVKRCRVCGQRGHNKTTCKFQNGQAQVAEIEVDENEAEFEKEDAFENVSIETQVPKFVLDEMRAKWQMKKMTLDKCVPIPPPLPPSYHPNRSMASRSSMRVDCCLSTADRLFDEAYGADVTINTSNGCVIYAHSSILGVASPVIKAMLSKSTRRGSRRLAILIPGVPAEAVRVFIRYLYSSCYEESKLKEHALSLLVLSHSYMVPQLKRECEWVIEHGLINTENAVDIFQIALLCDAPRLSLITHRFIRKNLKPVCATEGWKAMKQSHPALEKQVLESLTYDNIMEKERLRKMDERKTYMNLYEAMEALVHICREGCRTIGPYDKVLKADQEPCSYEACKGLEKLIRHFAGCKTRAPGGCLRCKRMWQIFELHSRLCADPESCRVPLCKNFQLKRRQDKKDDITWRILVRKIVRSKSISGAPFFSLEST >itb10g03200.t1 pep chromosome:ASM357664v1:10:2904888:2909937:1 gene:itb10g03200 transcript:itb10g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSHSKGDGAETVKKVRKPKPWKHSEAITRAQLVQMRDEFWDTAPHYGGRKEIWDALRAAAEADISFAQAIVDSAGIIVQASDLTICYDERGAKYELPKLTDDNDSSSDNDPTVGIRGDSSSNEQPFATTAGISTVLSLRAGEYTSAKIAVAASMEPPWIPAKQHSANSSNVSRTIATRQLMTVAYGKSSTATRQILRRT >itb14g19100.t3 pep chromosome:ASM357664v1:14:21854443:21867180:1 gene:itb14g19100 transcript:itb14g19100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSATCALVVNAEVDLMGGVVEGGVGGGTETSPWSAALEKVQEKLRQEFDVRDESRRQLEFLEKGGDPLEFKYGNAASVSVQSTSPTDQQPDQFVTSEAKGSFAFTASPHGDSVESIGRPGATLICEPNSADNLMLFDGENESVEGEQRISRNLSRTSTATPEQSTQMDGNQSAKELGDSAAFEVPRKAYKRRVRPRPNRDGARSSSNDVLACVGHGSLPSHNGLSDAKGLVVDAENKAIQNGTGLPNGDATCKNIPSDNQVDLESTGAKAAESTTDLMKDGQLNTVSDSIFSKDQINIQQDQDSAVVAQETPIEVAPVEPESLTEKEKIGLAGQLCADSEKIEILSGSGQINGFISAKGENKSIANNNAALVTKGLESESSCTHPSLSLDRNNDSDMCTNLKILDSNGNTKVQSSVPEGAAITEIKEVKESKADDICLSINEGCKPMHENDQENEIGQKPMEELDSSHSDLQTKVKDKILVEGKEPVGHTSSETELKSSVPVGDNSNPQNDNTCGVVLQGSNDSSIPQLAEASPLVAVSTAPSGGHQSGVNTELLSKADENSILEEARIIQAKHKRIAELSAVTCPMENRRRSHWDYVLEEMAWLANDFVQERLWKITAASKISYHAAFTSRLRFQECNSSRKQKTVARTLAKFVTDFWHSVKGSTEKLDLQCPKEGFGLAIKEYAVRFLKYNSTDVPPSQTEVPATLERIIDSEIIDVPWEDHLTEENLFYTVPPSAIEIYRKSIESHVLQCEKVGSSMQEELEMPGCEAVADLGSQDYLYEEDEGETSTYNLSVAFEGSNSSRFVQKKRKIQIRTNSGRSFDNGLQLPIKTDASSGETSSFQDDQSTLHGGSRMPNTLEVESVVDFEKQLQFDSAEVSTKPKKKKKNSSYEQRWQDDSNFQNEQRENSKKRLEGHQIESNGSSGLFGLHIPKKPKILRPSLENSFDNMSPVTGSIPSPAASQMSNMSNPNKIMKVLSSRDRNRKGKNLKSPAGNLISGSQWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIYRKPKECKDRHKILMDKTNGDAADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLKGPMEEDTLKSHFDKIIIIGQKYQFHKTQGDNRDPKHLQQPHSSHANALSEVMPNKMTGLSVLTPLDLCDASPPSSDIVSPGYQGPHSSALANSNPALLGPAATAPGANSALQVPSNVMVGNNFSSSSNPLNATVRDGRYAVSRSASVPFTEQQRMHPYNRMLPGRNIHQSNSATPGGFPGANPGVRMLPGGNGVGIMCGTNRSMPVRPGYQGISPSSMLNSGSMVSSGMVPNANSVNMHSGIGSNQGNSMMRPHDTLNMMQPTQNQDSQRQMMVPEHQMQVSPGNSQYGGLNSSYANQTASPPASSYPVNQQSHPMSAQQPHVLASHHAHLQGSNNATNPQKQALMQFAKDRQYQQRLLQQQFGTSSPLMPPIQQHQLPVSSPSQNSPQITSQSSPPASLSPMPSTSSMTPVPQHQLKHPISPHGLGRGAQSGGNASTNQASKQRPRQTHMQQQQLQQSSRSHLQQRKQLQPQQQAKLLQGAGRGNMIANQNMPIDPSLVNGINTSLGNRAEEKVDQVTQPMQTQGLYPRSNLNPVQPTKPSAPHTNAKMPQSQQKMYSVKIASQTESLQQTPSHSDEVNHGHGPPTALGSALPSCHQPRLPAQKNLMNQSQPNSQRVMQPTGCNSSLGGTMKAAPRECSNTANVASTTVPANVSQWKGAEPLFDSAGAQTQPSNPAGSELSSQVGQGLNQRRPSGNLSSEGHEASVQLQQQQQQPHSPLHEPQQQQLPSLKKSLQPQQVMQAGNNSFYAHPSISGPD >itb14g19100.t2 pep chromosome:ASM357664v1:14:21854443:21867169:1 gene:itb14g19100 transcript:itb14g19100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSATCALVVNAEVDLMGGVVEGGVGGGTETSPWSAALEKVQEKLRQEFDVRDESRRQLEFLEKGGDPLEFKYGNAASVSVQSTSPTDQQPDQFVTSEAKGSFAFTASPHGDSVESIGRPGATLICEPNSADNLMLFDGENESVEGEQRISRNLSRTSTATPEQSTQMDGNQSAKELGDSAAFEVPRKAYKRRVRPRPNRDGARSSSNDVLACVGHGSLPSHNGLSDAKGLVVDAENKAIQNGTGLPNGDATCKNIPSDNQVDLESTGAKAAESTTDLMKDGQLNTVSDSIFSKDQINIQQDQDSAVVAQETPIEVAPVEPESLTEKEKIGLAGQLCADSEKIEILSGSGQINGFISAKGENKSIANNNAALVTKGLESESSCTHPSLSLDRNNDSDMCTNLKILDSNGNTKVQSSVPEGAAITEIKEVKESKADDICLSINEGCKPMHENDQENEIGQKPMEELDSSHSDLQTKVKDKILVEGKEPVGHTSSETELKSSVPVGDNSNPQNDNTCGVVLQGSNDSSIPQLAEASPLVAVSTAPSGGHQSGVNTELLSKADENSILEEARIIQAKHKRIAELSAVTCPMENRRRSHWDYVLEEMAWLANDFVQERLWKITAASKISYHAAFTSRLRFQECNSSRKQKTVARTLAKFVTDFWHSVKGSTEKLDLQCPKEGFGLAIKEYAVRFLKYNSTDVPPSQTEVPATLERIIDSEIIDVPWEDHLTEENLFYTVPPSAIEIYRKSIESHVLQCEKVGSSMQEELEMPGCEAVADLGSQDYLYEEDEGETSTYNLSVAFEGLQLPIKTDASSGETSSFQDDQSTLHGGSRMPNTLEVESVVDFEKQLQFDSAEVSTKPKKKKKNSSYEQRWQDDSNFQNEQRENSKKRLEGHQIESNGSSGLFGLHIPKKPKILRPSLENSFDNMSPVTGSIPSPAASQMSNMSNPNKIMKVLSSRDRNRKGKNLKSPAGNLISGSQWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIYRKPKECKDRHKILMDKTNGDAADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLKGPMEEDTLKSHFDKIIIIGQKYQFHKTQGDNRDPKHLQQPHSSHANALSEVMPNKMTGLSVLTPLDLCDASPPSSDIVSPGYQGPHSSALANSNPALLGPAATAPGANSALQVPSNVMVGNNFSSSSNPLNATVRDGRYAVSRSASVPFTEQQRMHPYNRMLPGRNIHQSNSATPGGFPGANPGVRMLPGGNGVGIMCGTNRSMPVRPGYQGISPSSMLNSGSMVSSGMVPNANSVNMHSGIGSNQGNSMMRPHDTLNMMQPTQNQDSQRQMMVPEHQMQVSPGNSQYGGLNSSYANQTASPPASSYPVNQQSHPMSAQQPHVLASHHAHLQGSNNATNPQKQALMQFAKDRQYQQRLLQQQFGTSSPLMPPIQQHQLPVSSPSQNSPQITSQSSPPASLSPMPSTSSMTPVPQHQLKHPISPHGLGRGAQSGGNASTNQASKQRPRQTHMQQQQLQQSSRSHLQQRKQLQPQQQAKLLQGAGRGNMIANQNMPIDPSLVNGINTSLGNRAEEKVDQVTQPMQTQGLYPRSNLNPVQPTKPSAPHTNAKMPQSQQKMYSVKIASQTESLQQTPSHSDEVNHGHGPPTALGSALPSCHQPRLPAQKNLMNQSQPNSQRVMQPTGCNSSLGGTMKAAPRECSNTANVASTTVPANVSQWKGAEPLFDSAGAQTQPSNPAGSELSSQVGQGLNQRRPSGNLSSEGHEASVQLQQQQQQPHSPLHEPQQQQLPSLKKSLQPQQVMQAGNNSFYAHPSISGPD >itb14g19100.t1 pep chromosome:ASM357664v1:14:21854407:21867180:1 gene:itb14g19100 transcript:itb14g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSATCALVVNAEVDLMGGVVEGGVGGGTETSPWSAALEKVQEKLRQEFDVRDESRRQLEFLEKGGDPLEFKYGNAASVSVQSTSPTDQQPDQFVTSEAKGSFAFTASPHGDSVESIGRPGATLICEPNSADNLMLFDGENESVEGEQRISRNLSRTSTATPEQSTQMDGNQSAKELGDSAAFEVPRKAYKRRVRPRPNRDGARSSSNDVLACVGHGSLPSHNGLSDAKGLVVDAENKAIQNGTGLPNGDATCKNIPSDNQVDLESTGAKAAESTTDLMKDGQLNTVSDSIFSKDQINIQQDQDSAVVAQETPIEVAPVEPESLTEKEKIGLAGQLCADSEKIEILSGSGQINGFISAKGENKSIANNNAALVTKGLESESSCTHPSLSLDRNNDSDMCTNLKILDSNGNTKVQSSVPEGAAITEIKEVKESKADDICLSINEGCKPMHENDQENEIGQKPMEELDSSHSDLQTKVKDKILVEGKEPVGHTSSETELKSSVPVGDNSNPQNDNTCGVVLQGSNDSSIPQLAEASPLVAVSTAPSGGHQSGVNTELLSKADENSILEEARIIQAKHKRIAELSAVTCPMENRRRSHWDYVLEEMAWLANDFVQERLWKITAASKISYHAAFTSRLRFQECNSSRKQKTVARTLAKFVTDFWHSVKGSTEKLDLQCPKEGFGLAIKEYAVRFLKYNSTDVPPSQTEVPATLERIIDSEIIDVPWEDHLTEENLFYTVPPSAIEIYRKSIESHVLQCEKVGSSMQEELEMPGCEAVADLGSQDYLYEEDEGETSTYNLSVAFEGSNSSRFVQKKRKIQIRTNSGRSFDNGADLSFTHYMENKIVNQQSTLQAKRPASSLNVSFPTKRVRTGSRQRVLSPFIGGTSGLQLPIKTDASSGETSSFQDDQSTLHGGSRMPNTLEVESVVDFEKQLQFDSAEVSTKPKKKKKNSSYEQRWQDDSNFQNEQRENSKKRLEGHQIESNGSSGLFGLHIPKKPKILRPSLENSFDNMSPVTGSIPSPAASQMSNMSNPNKIMKVLSSRDRNRKGKNLKSPAGNLISGSQWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIYRKPKECKDRHKILMDKTNGDAADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLKGPMEEDTLKSHFDKIIIIGQKYQFHKTQGDNRDPKHLQQPHSSHANALSEVMPNKMTGLSVLTPLDLCDASPPSSDIVSPGYQGPHSSALANSNPALLGPAATAPGANSALQVPSNVMVGNNFSSSSNPLNATVRDGRYAVSRSASVPFTEQQRMHPYNRMLPGRNIHQSNSATPGGFPGANPGVRMLPGGNGVGIMCGTNRSMPVRPGYQGISPSSMLNSGSMVSSGMVPNANSVNMHSGIGSNQGNSMMRPHDTLNMMQPTQNQDSQRQMMVPEHQMQVSPGNSQYGGLNSSYANQTASPPASSYPVNQQSHPMSAQQPHVLASHHAHLQGSNNATNPQKQALMQFAKDRQYQQRLLQQQFGTSSPLMPPIQQHQLPVSSPSQNSPQITSQSSPPASLSPMPSTSSMTPVPQHQLKHPISPHGLGRGAQSGGNASTNQASKQRPRQTHMQQQQLQQSSRSHLQQRKQLQPQQQAKLLQGAGRGNMIANQNMPIDPSLVNGINTSLGNRAEEKVDQVTQPMQTQGLYPRSNLNPVQPTKPSAPHTNAKMPQSQQKMYSVKIASQTESLQQTPSHSDEVNHGHGPPTALGSALPSCHQPRLPAQKNLMNQSQPNSQRVMQPTGCNSSLGGTMKAAPRECSNTANVASTTVPANVSQWKGAEPLFDSAGAQTQPSNPAGSELSSQVGQGLNQRRPSGNLSSEGHEASVQLQQQQQQPHSPLHEPQQQQLPSLKKSLQPQQVMQAGNNSFYAHPSISGPD >itb06g22360.t1 pep chromosome:ASM357664v1:6:24675731:24678637:-1 gene:itb06g22360 transcript:itb06g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVLLLLLWAFLLSISNNCLGKNLISSTQFLKDGDTIISKGGTFEMGFFSPTNSSNRYVGIWYKKIPVHTVVWVANRDAPLAADTSSSSTVLKITLGGQLALLGDKGQAVWSVNTSRSVRNPVAELLDSGNLVVRDAEDENPENFLWQSFDYPTDIFLPGMKVGWNLQTGHEVFLTAWKGENDPASGQYTMHLDPTGYPQYTLKNRTTEIFSSGPWNGLRFSVAPIEQSNTNLPYGLGELDGGEEIAVKRLSKNSRQGLEEFMNEVIFIAKLQHRNLVKLLGCCMSEEEKMLIYEYMPNKSLDFFIFVKSDVFSFGVLVLEIVSGKRNNKFSNEDQYETLPGHAWKLYKEGESLTLVDEHISDSYDVAQVLRSIHVGLLCVQQSPKNRPNMSSVVQMLVNDAALPQPKEPGFFAGRRAVFNSESSSGKHAKSSLNEVTMSSLDPR >itb01g31680.t1 pep chromosome:ASM357664v1:1:35309969:35311263:1 gene:itb01g31680 transcript:itb01g31680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYLCYSVVIFTFALVPPAWISAESGAPPQVSNSDDSKIKVNVHAATIILCFIALFFLIGIITVYVRQCAERRMALDFSDGETGSRRRRRRAVTRGLDTDFIDTFPTFLYSDVKGLKLGKGALECAVCLNEFEDDETLRLLPACCHVFHHDCIDVWLASHVTCPVCRANLVPTADEQGSEGWIAILSHHPVNDSLNYEVRDSIRPEMEITNASPAPEDPIPLQAPILNRPPRSTPRRQRLAGKFPRSHSTGHCNSLIRPGDDVERFTLRLPDEVRNRLVNTGLSRNPSFAELPAQRSSTKGYRSNSAGTGWGRANNFYYERFDREGTADRCGFTPAPPFFSRGSSTRSSKEEGGGGGGEELTVIPKSLFKSVKAPLGRLFSGPDKDETGERSSNKLMPDNPV >itb12g06500.t2 pep chromosome:ASM357664v1:12:4832334:4850343:-1 gene:itb12g06500 transcript:itb12g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METNGGKSTGVQTHNSKTSENSNPNIACESLKVSKSPSISKSASKTQKSASKNQSPNPTTTMVSPSPQKKIRQRKFVVAKRNLKKENAEPLKTASVACKCNNGGGHKCLCVAYESLRASQEGFFKYGSEEGIREELKALYEAELTSKQEKENNEKVVIRDLESADGGCGGKDTTEDSSPIIGLDKSESNEHKVFAEMGVSTIKRRRDRLMEEARENVPDAGSGRVMHLVKAFEKLLSIPKSKNTEEKDDKEVEDTMNGLKWALPGLPPPNVSETQVSSSSFCPSDFYLTSESLGLVSRRASSLGSEGSISSRTLGGGRKSRRKSAESAGTHARRQWKRGQPKATSQKPFKLRTEQRGKCKEEEFFKKVKEMMEEEERLRIPIAQGLPWTTDEPERLVKPPAKESTRPTDLVLHSDIRAVERAEFDHQVAEKWNFIEQYKMERERLQKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSEKLPTIPREPRFHIPQHKKIKSCMSWNDISNSSPALMSHSTEHLQAEHQNPFNKGSEVFIGGLARTVTENKIREVFSACGKIVEIRLIQDQSGNIKGFGFVRFATKEAAEKAVKEKSGYVIDGRKIGVLPSIEQDTLFFGNLNKGWSAEEFENLIHQVFPDVVSVNLVMHGDLQAGQKQRNRGFAFVKFSSHAAASRAYRLGTSSEFLLGNLHPAIQWAEEEPEIDQKEIAKIKIAFVRNLPSEADENYLRELFERFGKVERVVLPRKGNSLVGFVHFEKRLDLDNAIKELNEKTLPGPNGGPPYKLQVEVARPMDKSKKRVRDESQSKSSTNIQNHPKEPEEVKVSDPYEAAIVALPAVVTERLLRIMRLGIATRYDITIQSLTRLKELPESTVIPILDQFMLSGADAQNKGAYLEGLIAKHYAKVGKHWSPSSFSRVEDATLTEPDTVRYSKQVRLPVDSYGPHVPSPVSRSGLYGDLYSPTLPHHHLLQGRVTTRLEETSPPLQGIRSSTSAYGRAGPTFYNIEETSPPLQRPLSSAAYGRVVATLRGAEETSARLLVPPSSAAAYGRVVTTLRGAEEASARLQVPPSSSAAYGRVGLNSYTTNAADHQPTRSQVRFDPFTGEPYKFDPFTGEPILPESSSR >itb12g06500.t1 pep chromosome:ASM357664v1:12:4846561:4850560:-1 gene:itb12g06500 transcript:itb12g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGGKSTGVQTHNSKTSENSNPNIACESLKVSKSPSISKSASKTQKSASKNQSPNPTTTMVSPSPQKKIRQRKFVVAKRNLKKENAEPLKTASVACKCNNGGGHKCLCVAYESLRASQEGFFKYGSEEGIREELKALYEAELTSKQEKENNEKVVIRDLESADGGCGGKDTTEDSSPIIGLDKSESNEHKVFAEMGVSTIKRRRDRLMEEARENVPDAGSGRVMHLVKAFEKLLSIPKSKNTEEKDDKEVEDTMNGLKWALPGLPPPNVSETQVSSSSFCPSDFYLTSESLGLVSRRASSLGSEGSISSRTLGGGRKSRRKSAESAGTHARRQWKRGQPKATSQKPFKLRTEQRGKCKEEEFFKKVKEMMEEEERLRIPIAQGLPWTTDEPERLVKPPAKESTRPTDLVLHSDIRAVERAEFDHQVAEKWNFIEQYKMERERLQKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSEKLPTIPREPRFHIPQHKKIKSCMSWNDMYVQQE >itb06g02540.t1 pep chromosome:ASM357664v1:6:4399462:4401473:-1 gene:itb06g02540 transcript:itb06g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGKHKRPTVEEFESKAQSLHRFLTKNWIDRELVSLKQRIDLANEKGRRADMHYLIENRRRLLTSAEQERLLSQKPIVAVAEPETEEDEEEEDEEGVEAQKPVEAELEETPSSVSAEQKEKPPGRSDKTEGGEEEKEQKPVNQEPIVTGYEADHVEAPEGTSEEENVLMSQPNAVAEEPEPEPTLMDTSVTEEQAEGRAEEENNVANAVAEEPEPESPLMSASTPLDDIQREAQARANYTRRILRAACELSAAGLPGTAPEDPTAVIWLGADHRGYIEGPVSLNHLRIWLQQGYLGPDFRVWKTGQDQSQSVLLTHLLNS >itb04g01970.t2 pep chromosome:ASM357664v1:4:1213633:1218637:-1 gene:itb04g01970 transcript:itb04g01970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARPCRYESKFIDEIVQDVLPVVSWMPMFVAKHVVGLESRVDHVLQINYGTHDNDVHMIGIYGMGGIGKSTLAKALYNKLFGYFERSYFLEISSEILETKKLQEELLSKLLKKKIEVGSEGEGKMLIKHWLQAKKCLIVLDNLEHRNQFDALCGERDWFGKGSMLILTTRDAHVLKELNECECYEAKALVHEESLQLFTLHAFREPTLPKEDYAEVLDGIVAYCEGLPLALEVIGSFLSDKSKEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHTKSLFLDLVCFSRWISNETINAMGYFSVIEIRNLVDKCLIKYSRPFCWMHSLIREMGREIIYSESPNKPGERSRLWCPSNIHDVLIEQKGTEKIEMIVLNSPMKNMEYIYNTKAFKDMKNLKFDGINLEGNFKHLPRKALRCLQWYHCPLKYISINCVFEKLVKLVMWESNIKEFGAPLKVIWQYFFLFNLIALCL >itb04g01970.t3 pep chromosome:ASM357664v1:4:1216420:1218719:-1 gene:itb04g01970 transcript:itb04g01970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARPCRYESKFIDEIVQDVLPVVSWMPMFVAKHVVGLESRVDHVLQINYGTHDNDVHMIGIYGMGGIGKSTLAKALYNKLFGYFERSYFLEISSEILETKKLQEELLSKLLKKKIEVGSEGEGKMLIKHWLQAKKCLIVLDNLEHRNQFDALCGERDWFGKGSMLILTTRDAHVLKELNECECYEAKALVHEESLQLFTLHAFREPTLPKEDYAEVLDGIVAYCEGLPLALEVIGSFLSDKSKEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHTKSLFLDLVCFSRWISNETINAMGYFSVIEIRNLVDKCLIKYSRPFCWMHSLIREMGREIIYSESPNKPGERSRLWCPSNIHDVLIEQKVMMYFLFVYFILRINYMSTLVFKLEPTLISYQNEDLVLCLSVL >itb04g01970.t1 pep chromosome:ASM357664v1:4:1212963:1218719:-1 gene:itb04g01970 transcript:itb04g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARPCRYESKFIDEIVQDVLPVVSWMPMFVAKHVVGLESRVDHVLQINYGTHDNDVHMIGIYGMGGIGKSTLAKALYNKLFGYFERSYFLEISSEILETKKLQEELLSKLLKKKIEVGSEGEGKMLIKHWLQAKKCLIVLDNLEHRNQFDALCGERDWFGKGSMLILTTRDAHVLKELNECECYEAKALVHEESLQLFTLHAFREPTLPKEDYAEVLDGIVAYCEGLPLALEVIGSFLSDKSKEEWISAFEKLRKIPHNDVQAKLKISYEGLPDDHTKSLFLDLVCFSRWISNETINAMGYFSVIEIRNLVDKCLIKYSRPFCWMHSLIREMGREIIYSESPNKPGERSRLWCPSNIHDVLIEQKGTEKIEMIVLNSPMKNMEYIYNTKAFKDMKNLKFDGINLEGNFKHLPRKALRCLQWYHCPLKYISINCVFEKLVKLVMWESNIKEFGAPLKYFQCLESLDLGWCKHLTRTPDLSGAKNLRKLSFCGCSSLEKVHSSIGDLRMLVELNLGGCTRLKKIPKKFWHWQLRSVEDPSSSKIKEFGENSGMLTSLRRLDLRETNIQSLPSNTSYLLKPPKSISNLRRSFSHNDYLKLVPQFPPNLEDIILEDCQNLEVVSATLPTCLKEIILNGCTNLKMLPKLFHTLVNNIYLDHSKNLEVVSATTLPTCLESISLFGCTNLKMLPELPHTLAYIWLKDCKNLKMLPQLPQNLLSLYAINCELLETVHLPKMLTYVNLTHCKKLKEIQGWENAHFLMEIKLRGVPCIKFSENINQVLKVSKWNCNIEFEGNLPNNETLSWIKFEENGSSFQWPPLISNLEFLGICIWVLSKLCCESLCVILQRN >itb01g10890.t1 pep chromosome:ASM357664v1:1:9511889:9512371:1 gene:itb01g10890 transcript:itb01g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPNKNRFGSGNCKDHRYRSGGGDVGPSGAGNDEEGGGRKEGEGEGDDNRKKGKKVSILGFRKVKRSVLRRKQKGNSNSVGPSRAAYGQCFLCLKPPRDMDSQTQSQASDPNSPDFGYEMLRVLIEKNDFYSKECNPHLDEQFVPSCPHQTVVNTCEKN >itb06g23800.t9 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t4 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t2 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t3 pep chromosome:ASM357664v1:6:25584139:25587933:1 gene:itb06g23800 transcript:itb06g23800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t6 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t5 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t7 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t8 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb06g23800.t1 pep chromosome:ASM357664v1:6:25584142:25587933:1 gene:itb06g23800 transcript:itb06g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGKLVDGREIMVQFAKYGPNAERIHKGRIEEPVYKTRGRSRSYSPKPRHRDQYSDREYRRRSRSRSKGRYERDRYRGKERDYPPRSRSRSASPDNRKDRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTSPRDGSPKAGNHNERSLTPKSLSPRGRRADSRSPSPRYDADE >itb10g08480.t7 pep chromosome:ASM357664v1:10:10589724:10593116:1 gene:itb10g08480 transcript:itb10g08480.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGCCLMQMQNVSSRVEKLYA >itb10g08480.t4 pep chromosome:ASM357664v1:10:10590987:10592814:1 gene:itb10g08480 transcript:itb10g08480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGLKNFMHEPEYILELKLQISQNQSARLRGEGSRDSDSLDAK >itb10g08480.t6 pep chromosome:ASM357664v1:10:10589743:10592814:1 gene:itb10g08480 transcript:itb10g08480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGLKNFMHEPEYILELKLQISQNQSARLRGEGSRDSDSLDAK >itb10g08480.t1 pep chromosome:ASM357664v1:10:10589724:10594070:1 gene:itb10g08480 transcript:itb10g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGCCLMQMQNVSSRVEKLYA >itb10g08480.t3 pep chromosome:ASM357664v1:10:10589724:10592987:1 gene:itb10g08480 transcript:itb10g08480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGCCLMQMQNVSSRVEKLYA >itb10g08480.t5 pep chromosome:ASM357664v1:10:10590987:10592998:1 gene:itb10g08480 transcript:itb10g08480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGCCLMQMQNVSSRVEKLYA >itb10g08480.t2 pep chromosome:ASM357664v1:10:10589724:10592814:1 gene:itb10g08480 transcript:itb10g08480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLQGLNKLVNILHLRLFLMPAGTRGQLQQVLMPAENGNTDQISAEALLVSPSSISSISQPTPMPQTAATCSRRHPPTLPFRLDLLASATPLLASTTDGLKNFMHEPEYILELKLQISQNQSARLRGEGSRDSDSLDAK >itb15g07220.t1 pep chromosome:ASM357664v1:15:4889044:4891295:-1 gene:itb15g07220 transcript:itb15g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQKGGKPTIPPYMKAISGSLGGIMEASCLQPIDVIKTRLQLDRSGTYKGIAHCGSTIVKNEGVRALWKGLTPFATHLTLKYALRMGSNAVLQSAFKDSQTGNLSPYGRLLSGFGAGVLEALVIVTPFEVVKIRLQQQRGLSPELLKYKGPIHCARMIVREDGIRGLWAGAAPTVMRNGTNQAAMFTAKNAFDTVLWKKHEGDGRVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSRSAGDMKYRGMFHAISTIYTEEGIRALWKGLLPRLMRIPPGQAIMWAVADQVIGLYERRHIQNAAL >itb15g02640.t1 pep chromosome:ASM357664v1:15:1637517:1645713:-1 gene:itb15g02640 transcript:itb15g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAQRNDHQYANGAAVLFNGSVSTTGFWSKHCDDVSYNQLQKFWSELSSQARHELLRIDKQTLFEQARKNMYCSRCNGLLLEGFYQIVMYGKSLQEEGVGIHHPCNSLGTLKNQGEDDVQAPSVHPWGGLTTTRDGALTLLDCYIYTKSMKGLQNVFDSARARERERELLYPDACGGGGRGWISQAMSGYGRGHGTRETCALHTARLSVDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMKRETHCTSWFCVADTTIQYEVSQNSIQADWHHTFIDTSGTYHHFEWAVGTGEGKSDILEFENVGLNERVQVNGLDLCSLSACYITLRAWKMDGRCSELSVKAHALKGQQCVHCRLVVGDGFVTITRGENIRRFFEHAEEAEEEEDDDGMDKDGNDLDGECTRPQKHAKSPELAREFLLDAATVMFKEQVEKAFREGTARQNAHSIFVSLALKQLEEQVHVACKEIITLEKQKKLLEEEEKEKREEEERKERRRLKEKEKKLRRKERLREKEKDREKKSHVTNQNHEEVSEELTHCTNEESNVIDSGHCVSEMEEPNPSSPSCLDIQNNTVQTGYSPSDMQVCTDGDVANMKDESESSEFDHLKHSCQKLKYPKEFELDSGLKWSDRRQFAVSENEGMVGKHEGRHQGDGSEISRSNNRLNKQLRNNSAKSNVRVGGPKFAEKFYCPVNRLNGRYDSHACNCNTHTEYRVKADPCIPRWTRETKAVNKSESASDISKPYYRVSKCTRPEYVCENFARPKNKITIWSNASNRDSPVTKKVWEPTDSQKYPRSNSDSNLTLRSTLQTGASDTYKHLESSATNSSDEVSEISVQMIAEEKVAQELIKSSSETDNKCHSSFDLEAKSPLCMKEVADEGNDSCQRNLSSIRGTSNLSTSSSSNSDNCSSCLSEGDSNTSSSNLLNPESSSTSDSEESSQNSEGRDTNLCLHNGFNECQDEVRPQKIECVGRVQDVKILAPVSVGTESLVNLPPMLAPSSNSARLNATLGIQPQAVLPPPVHNQNVQFPMFQHPTMGYYYQSPVSLAAAPANGLMQFPHSGQYLFGNPYGYGLNGSTPFMHYGALQHTSQPLYNSGCLPVFQSTAQTKGINSSEHAKGTKENHHEDSVERTSRTGLQATEAAPTSGTTGKSSKFDVGNPGFSLFAFSDPASVKEGIAGNFSQNLSMHRTKGDDHDCNKKLAPPVEEYNPFANGIKFSFADIVI >itb10g01580.t1 pep chromosome:ASM357664v1:10:1211300:1212319:-1 gene:itb10g01580 transcript:itb10g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 21 [Source:Projected from Arabidopsis thaliana (AT5G06920) UniProtKB/Swiss-Prot;Acc:Q9FL53] MADSCSHWWHAPFYLALSVTLAFIAISTGIRSGNPQSKLSLQQSLHHNLSINASRALRLRGGFTVFAALLQISPDLFLSSPDSTIFAVQDPAFFNVSLPPWAIKELLLYHTSPSRLPFNLLLRIPAGNCVSTLLLDKNAKITKIDPKRRSVEINNVLITHPDLFLGNGLSVHGVAGFFLPVGKEIVQSSPCDSNTSHLEWPRIVQLLSSNGFVSFAIGLYSVLDGLTGDHANLTSVTIFAPPSLGFLSSPSPLLNKVVKLHLVPSKYTFQELSSLPQGTVLNTLLPGAEVKISFNNSTRSLSVNGVEITAPDVLRSRNFTVHGISRALGVYNQVQNQIV >itb15g04670.t1 pep chromosome:ASM357664v1:15:2998369:3001073:-1 gene:itb15g04670 transcript:itb15g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRFRRCFFMILCPLFISVFPFLSAYTFPEKYFINCGSNSSSLFGNRTFVSDKKTAAGETVSDSDPTPESNLSAIYQTARIFKNPSYYELEIDQIGIYVVRLHFYPFSSSQNILSLARFDVAVSKVLVLSNFSVPNKTSVFKEFLVSVKDSKFRVEFNPQESSFAFINAIEMFVAPENFIPGWALSGTGDNVFQVIHRINVGGSVIPPGNDTLMREWVGDDRFLFSKGMAKNVTQYSKKPNYFNGGASEYDAPDYVYQTAKEGSTTGQNRDQRFNVTWSFDVEENVGHFVRLHFCNIISEVENGTEFNVYVNGGLSRLIRLQDYNSSPASPFYFDCEVESDGSGVMNISVGVLGDDLSPAAFLNGVEIMQVIEREGNSGVKRWVFVVISGCLVVVVLAGIVLFCCLKSRRRKPGEGDGGGTEMTSVFCMSPDMNLDLRVPLVEVILATNSFDPKNMIGEGGFGKVYKGTLQNGVKVAVKRSEPGHGQGLQEFHTEIMVLSRIRHRHLVSLIGYCSEQEEMILVYEFMEKGTLRDHLYSFKPQQPGSGRSSGSVSVLSWDQRLEICIGAAKGLHYLHTGLDGPIIHRDIKSANILLDENYVAKVADFGLSRSGPNDFSHISTDVKGSFGYLDPEYFRSLQLTQKSDVYSFGVVLLEALCARPAVNNMLVREEVNLADWGMFWQKKGELDKIIDPLLVGKIDPNSLRKFGETVEKCLQEYGVDRPNMVDVVWDLKYALQLHHSAMRRELQPEDDSRTGYSWQLPPPVFHRFPSHIMPIDRDEECEPLTDSLGNSHSSPSAVFSQLRINDAR >itb06g19860.t1 pep chromosome:ASM357664v1:6:23051802:23054120:-1 gene:itb06g19860 transcript:itb06g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTQGMECDHAPTPADSSQSVGNAPPESPVNSPTESPMDSTYESDDEYENATRNEDGGMLKNQMNNDVYAFNFYSLQDRSDYVDQKPRTVNF >itb02g06400.t1 pep chromosome:ASM357664v1:2:3987600:3989649:1 gene:itb02g06400 transcript:itb02g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPFMASLPSLAFTVTRQKPELIAPAEPTPREIKYLSDIDDQQGLRFQIPLIKFYRNVPSMSGKDPVQVIRQALAKALVFFYPFAGRLREGPGKKLMVDCTGEGVMFIEADADATLQHFAIGGEVKPPFPCFDQLLYNVPGSAEILNCPLFLIQVTRLRCGGFIFALRMNHTMADGSGLVHFMNTIAEIARGADAPTFQPVWQREYFSARNPPRITCTHYEYEEEPTDSMATNIPLDNLVHRSFFFGPTEIWALRRTLPPHLRKCSTVEILTALMWRCRTIALGFDPDEEVRMLLLINARFRFKDTPVPSGYTGNAFVYPGVKTTADKLRKKPLGYAVELVTSIKRCFSEEYMQSVVDLMVLKGRPPFHVAGSFIMSDLTRSKIPDVDYGWGKAVYGGVAHGGTGDVPGVITFHVPYENNKGENGTLIPICLPAFAMDKFVNELANTFIRAAL >itb03g06750.t2 pep chromosome:ASM357664v1:3:4887700:4890229:-1 gene:itb03g06750 transcript:itb03g06750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFCSPRHALFLSSSTKSTLRDCPVRFPACNAFHSRRRLVSATRLKVSASSPAPAVDQSPSRASSRAPTVVEVDLGNRSYPIYIGSGLLDQPELLQRHIHGKRVLVVTNTTVAPLYLDKTISALTDGNPNVSVESVILPDGEQFKNMENLMKIFDKSIESRLDRRCTFVALGGGVIGDMCGYAAASYLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLVDTDTLNTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMPSLLARDPSAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAVAAGTVSFVFYSCTYPREYGHSLIAFIDSGNLLNCFGTPFLNQ >itb03g06750.t1 pep chromosome:ASM357664v1:3:4886999:4890252:-1 gene:itb03g06750 transcript:itb03g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFCSPRHALFLSSSTKSTLRDCPVRFPACNAFHSRRRLVSATRLKVSASSPAPAVDQSPSRASSRAPTVVEVDLGNRSYPIYIGSGLLDQPELLQRHIHGKRVLVVTNTTVAPLYLDKTISALTDGNPNVSVESVILPDGEQFKNMENLMKIFDKSIESRLDRRCTFVALGGGVIGDMCGYAAASYLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLVDTDTLNTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMPSLLARDPSAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAVAAGTVMAVDMSHRLGWIDDLLAQRVGNILKEAKLPNAPPKTMTVEMFKSIMAVDKKVADGRLRLILLKGPLGNCVFTGDYDKKALDETLHAFCKS >itb10g01460.t1 pep chromosome:ASM357664v1:10:1100433:1101600:1 gene:itb10g01460 transcript:itb10g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQQKSVHFEPGHHRRVRSVTPLAIAPPSLPRVFSPVVSPTQRRRVAIAVNLSDESAFAVRWAVQNYLRPGDGVVLLHVQPTAVLYGADWGISPPADEKDLPSPAENFADDLTSVKAGNLAEPLVRANVPFKIHIVKDHDLKERLCLEVERLGLSAMIMGSRGFGKGSNNKVERLGSVSDYCVHHCICPVVVVRYPGGDGGGRVGKRAATDVVDLHPVPEDSECEYHDADDEVDDEFEGV >itb15g04340.t1 pep chromosome:ASM357664v1:15:2741612:2745907:1 gene:itb15g04340 transcript:itb15g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKNAIPTRAHKERSQPESRKKFGLLEKKKDYVKRAQAYHKKEETLRKLKEKAAFRNPDEFYFGMIKTKTVGGVHRQESEANKYTQEELMLMKTQDIGYILQKLQTEKKKTEKLTAMLHSLDNQPSNKRVHYAEDREEAEEIKSRISEHRNMPKPDDLPGSIKRKLASSYKELEARNNRVKTLEKLYMDMAMQKELQKKGRKRKLREDEIVTPNAKPVFKWRQERKR >itb09g06130.t1 pep chromosome:ASM357664v1:9:3514824:3515458:1 gene:itb09g06130 transcript:itb09g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNLFFLLGYGESTGTTDIESIISSVAAILRFLDDLGTAEDEGQEGNDGAYMEYYMKEQQGWSLSDGRQHVLDKVSKEWKLLNKHCLSPTTIPTSFKTACLNVARLVPMMYAYNDNHRLPVLEEYVKFMFSNIKEDLMW >itb03g12120.t1 pep chromosome:ASM357664v1:3:11104526:11110975:1 gene:itb03g12120 transcript:itb03g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAGRLIAGSHNRNEFVLINADEIGRIKSVRELSGQICQICGDEIEITVDGELFVACNECAFPVCRPCYEYERREGSQACPQCKTRYKRIKGSPRVAGDEEEDDIDDLEHEFDYGNSEAFGPTLPAGVIHSSNGYVGHPSSSQSATFTPALEVPLLTYGEVDSEISSNQHALIIPSANAVYASPYGDPSASLQCRPMVPEKDAALYGYGSVAWKDRMEEWKKKQGDKLLAIKHHGNGDGGGYDGNALDDTDLPMMDEGRQPLSRKLPIASSKINPYRILIIMRLIILGFFFHYRILHPVADAYGLWLTSVICEIWFAVSWVLDQFPKWYPIVRETYLDRLSLRYEKEGKPSELAPVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVNPAFVKQRRAMKREYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNDVRDHPGMIQVFLGEDGVRDIAGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFFMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPEKKKKPNKTCNCWPKLFCCCCCCRGPRKNSKGKTKKERKKKPKHRDTSKQVYALETIEEGIEEMNADPSRATQTKLEKKFGQSPVFIASTLVEMGGVPKDAGTASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSKHCPIWYGYGGGLKGLERLSYINSVIYPFTSIPLLVYCSLPAICLLTGKFIVPEISNYASIVFMAMFISIAATGVLEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVDTNFTVTSKGGDDGEFSELYLFKWTSLLIPPTTLLVINVVGVVVGISNAINNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLLGKQDRTPTIVIVWSILLASILTLLWVRVNPFVSRDGPVLEICGLNCDG >itb03g12120.t2 pep chromosome:ASM357664v1:3:11104542:11110069:1 gene:itb03g12120 transcript:itb03g12120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAGRLIAGSHNRNEFVLINADEIGRIKSVRELSGQICQICGDEIEITVDGELFVACNECAFPVCRPCYEYERREGSQACPQCKTRYKRIKGSPRVAGDEEEDDIDDLEHEFDYGNSEAFGPTLPAGVIHSSNGYVGHPSSSQSATFTPALEVPLLTYGEVDSEISSNQHALIIPSANAVYASPYGDPSASLQCRPMVPEKDAALYGYGSVAWKDRMEEWKKKQGDKLLAIKHHGNGDGGGYDGNALDDTDLPMMDEGRQPLSRKLPIASSKINPYRILIIMRLIILGFFFHYRILHPVADAYGLWLTSVICEIWFAVSWVLDQFPKWYPIVRETYLDRLSLRYEKEGKPSELAPVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVNPAFVKQRRAMKREYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNDVRDHPGMIQVFLGEDGVRDIAGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVISNAPYLLNVDCDHYINNSKALREAMCFFMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPEKKKKPNKTCNCWPKLFCCCCCCRGPRKNSKGKTKKERKKKPKHRDTSKQVYALETIEEGIEEMNADPSRATQTKLEKKFGQSPVFIASTLVEMGGVPKDAGTASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSKHCPIWYGYGGGLKGLERLSYINSVIYPFTSIPLLVYCSLPAICLLTGKFIVPEVINYIPMLFLVA >itb08g05030.t1 pep chromosome:ASM357664v1:8:4107350:4112642:1 gene:itb08g05030 transcript:itb08g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQQQQQQQQHWGVRIPSYLQPQSHQNSPPQQRSSLPEIYANPPDVHGLESVLSNLRVSSDYGLRRYHPLTAPAVGPTWGGGGGADVSPGLRSDPGAGYQVISGNGDVEGLMMMRSRAHSRNVGDFLSFGGPHHQNLNVGPARSWPTTAADSGAGAGGRNLGDAAAAQPWLWYGGRGGEPVRLRGSEMVYHEQDPFLLCKKPLPGNPTLPFPNLNQNSAQSMDGSMPRNLSFLNNKDEIHQGALNLEDIRGKIVSLAKDQNGCRILQDNLVFFDNEGTEIVLSEVIEYVGDLMKNQSGCYLIQKLFSVCSEEQRTNIILAVTKKPFQLVAICLNPFGARAMQKLLEDLSSPQQRSLVIAALCPGAVTLASDPNGHLVILYCLKNFSFEYNKHLINEIAKNCFGIATNRNGCCVLQSCIENACGEARESLVNEIIANAVQLSENPYGNYVVQHLLGQNIPGVEDNLLGRLEGKFDSLARNKYASNVVEKFFVKSGEQSTKIIKELISSPNLSMLLVDPFGNYVIQKALKVAKGEVFNALIDLIMVNAPSMQSNIYGKMILACLSRGGRDIHAGSNILYSK >itb01g19120.t1 pep chromosome:ASM357664v1:1:25117387:25118996:1 gene:itb01g19120 transcript:itb01g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSADRRLEGKVALVTGGTNIIGEPIIKLFLKHGAKVAFTDTDDKLGEKLCQDLDPAAAVYFHCNVAEESDVESAVDATVAKFGKLDIMVMSVNVVGTFLGTKHAARVMIPNGAGTIINLGSVASTTAGTTPHSYTSSKHAVLGLTKNTAVELGRCGIRVNCVSPFIVYSPVGMKALGLTTNEDLKKLYNNLKGEVLKQDDVAEAALYLASDESKYVNGHNLVIDGGFSAMNSTLCIFPPK >itb03g27780.t1 pep chromosome:ASM357664v1:3:27992783:27997880:1 gene:itb03g27780 transcript:itb03g27780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDAEGREVPYQLYKDEIGEKFLGCNIPPNIKQWNCEMKQTYMYFINHRIAEEERRVERIEKDKKRQREEAAAEARKVKAKVDSLKKGLRSPLTDDFSAPLTETVPADEIRPKWPNVYAYLYYIWQKVDAFDNDGWWVGNITRKMGGRYYVYFETTDDEILYHKDRIRIHLD >itb13g17670.t2 pep chromosome:ASM357664v1:13:24619304:24625056:1 gene:itb13g17670 transcript:itb13g17670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MSFIDEFETNLEVLPNILQKKYGLMRDLDKSLQEVQRQNDQRCQLEVEDMKQRIKSGNIASDSSLIKFSDDALDEQKHAIRIADEKVALAIQAYDLVDTHIQQLDQYLKKFDEELRRVAERENAAAAGSPTSNVDNNVKSGRSGDSSKGGRKKTRLATATPAANPTGMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPNCKIEWFHYGCVGLKEQPKGKWYCPDCAGKRGRKKGK >itb13g17670.t1 pep chromosome:ASM357664v1:13:24619304:24626338:1 gene:itb13g17670 transcript:itb13g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MSFIDEFETNLEVLPNILQKKYGLMRDLDKSLQEVQRQNDQRCQLEVEDMKQRIKSGNIASDSSLIKFSDDALDEQKHAIRIADEKVALAIQAYDLVDTHIQQLDQYLKKFDEELRRVAERENAAAAGSPTSNVDNNVKSGRSGDSSKGGRKKTRLATATPAANPTGMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPNCKIEWFHYGCVGLKEQPKGKWYCPDCAGKRGRKKGYQRDKGDMNIFAKEYNCRGTYQRVPGTIERGKIYREPTGEGHNIGCGVARKTTMPRAN >itb09g15340.t1 pep chromosome:ASM357664v1:9:10602220:10603807:1 gene:itb09g15340 transcript:itb09g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELQASLLIVLCALLPCVFGGDPQGGWLEAHATFYGPPAGTIGGACGLEEFKQTYSPYTTALSPALFNNAASCGACYEIKCVNTTGQCKAPQKSITVTATDLCPTGDGKWCAPPRAHFDLSMPAYLRIGEYKAGVIPVNYRRVPCMRKGGERFTISGHQYFNLVTVSNVGGSGDVKKVEVNAEGDEKWTQLKRNWGEKWETNEKLSGKSLTFRVTTNDGKTVTSQNVAPKSWQYGQTFEGRN >itb02g19820.t1 pep chromosome:ASM357664v1:2:17080914:17082528:-1 gene:itb02g19820 transcript:itb02g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKKIITCAIHWKHISSGFATVATKDGSEHVEPLRNRLSDKMEQESAKLEDFHAVVDWAYKIDPLRCISLHGVTERYISGQKSDTAGFVRILLDDLESRISVLFSRVTSCILAYQLL >itb02g19820.t2 pep chromosome:ASM357664v1:2:17080914:17082528:-1 gene:itb02g19820 transcript:itb02g19820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKKIITCAIHWKHISSGFATVATKDGSEHVEPLRNRLSDKMEQESAKLQAELAKKALHENVCRLKEDFHAVVDWAYKIDPLRCISLHGVTERYISGQKSDTAGFVRILLDDLESRISVLFSRVTSCILAYQLL >itb09g10340.t1 pep chromosome:ASM357664v1:9:6428782:6433115:1 gene:itb09g10340 transcript:itb09g10340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MASVNFNPFSDNWFSKPPNPIKFVDVHTFFESLNPFKPQAKNPPFAAISLPFSRKPKKERPPGMYRRMLDQFYWECETLPDHRHTPKVEKILSEDSIFETKENLTREEIEENERWFSEFKESPVVQFLARSEEIADKINETALRENSAPYQREDRKLWKAVPNVIGLDGRPMPRKSIKTKEESDDKFWDFARQFFFGLWGFRQRPYPPGRPIDAAQAIGYKKLEKRYYDFIMKTGGWFYKDRLGRSRGPMELVQLRTAWTGGIIDRHTFIWGEDMDEWAPISMVYGLECAIATWDVRLYAAATTLFHKVQKGIAPWAPLKGQEEKTYNQLQKEAYESKRRDLAVLEANGGVWPGVRTPSHALFLWASGSELTSILDEDHMPNKYIPKDLRIQLAKIIPGLRPWEVLSVEQAMDQLTFGRDWYREPLGSYTTGPPYIYDWNRDVWALMGHYNDFWQKMRSILMRGIPGFGAVLDIIAVYNDARLKRRMKIKEAKKDAELEIKYLGHRRGEK >itb12g03810.t1 pep chromosome:ASM357664v1:12:2503971:2506400:-1 gene:itb12g03810 transcript:itb12g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKQQQKANESASGNGPVNRQSAGELRLRKDITELNLPKSCTISFPNGSDDLMNFEITIKPDDGYYLGGKFLFSFQVSPIYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLSINTVVYGLYHLFTEPNHEDPLNHDAAAVLRDNPKLFQSNVRRAMSGGYVDQTFFPRCT >itb14g16820.t1 pep chromosome:ASM357664v1:14:20177840:20178663:1 gene:itb14g16820 transcript:itb14g16820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLEAGGLTRDDRCDLPNLETDGGVDSWEAAVASKSRIQGGALNLSIDHADNWNNVSFGNLLALVHAAGAVENATAHTPFSSRNADGSSVSSRISLDQCSTSVSQVSSTIEH >itb15g12260.t1 pep chromosome:ASM357664v1:15:10202361:10206225:-1 gene:itb15g12260 transcript:itb15g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNYTAIDNQNVSGSVPAVADPSPQVSVKFTESNLQTFPPSTSQGKISRSSGPPRDADDTFSKPVSGSEDPQQQQQASGWLSVFTIAAYKPYFDVDTSDVLERIKDSLFPFSGAFTEKTSSSPDLYGPFWICTTLIFVAASIGTFVTYLSHKLQNKEWDYDINLLTWSAGLFYGYVLIVPLCLYVILKYFSAPAGIVQLFCLYGYSLFIFIPALCLSVVPFEIFRWVIAGVAGFMSATFVALNLKTHIVSSGERWFLIVVGIFLLQLALALVLKLYLFTVTV >itb03g07420.t1 pep chromosome:ASM357664v1:3:5437133:5439873:1 gene:itb03g07420 transcript:itb03g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVLSPSSADAIPAAIQQQYYHQPTTNVVPQHENYYSEQTGISMSSSSHCNIIPVNSDYVVKREQHNSFDLNHNIFLGAPGAGRQIPIPAPRFANMNSSNNSPPPSLLSSISAAYTEFPGDNTTSYFGFANIHEPIHSPSSSSNKVSNAKRVSGAAMESHQQPKKPRTGSQRSSCPVLKVRKEKLGDRIAALHRLVAPFGKTDTASVLTEAIGYIQFLHDQIQALSLPYMKSSGAGSHHPHRTSRVQAPNMKNEEGKTTLDLKSRGLCLVPLSLTSYITSCQGIYMATHN >itb03g07420.t2 pep chromosome:ASM357664v1:3:5437133:5438760:1 gene:itb03g07420 transcript:itb03g07420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVLSPSSADAIPAAIQQQYYHQPTTNVVPQHENYYSEQTGISMSSSSHCNIIPVNSDYVVKREQHNSFDLNHNIFLGAPGAGRQIPIPAPRFANMNSSNNSPPPSLLSSISAAYTEFPGDNTTSYFGFANIHEPIHSPSSSSNKVSNAKRVSGAAMESHQQPKKPRTGSQRSSCPVLKVRKEKLGDRIAALHRLVAPFGKVYYF >itb03g15870.t2 pep chromosome:ASM357664v1:3:15077236:15079741:-1 gene:itb03g15870 transcript:itb03g15870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQTEFWLPPEFLTGDDMLMDPYYFESSAAKSLPRSNLVFPSEFSPDLCSSPVDSGENESDEEDFLAGLTRRFCQTFIEEADKSARSLHHMEKGFVLSRSPQSTLAQVGSWTGRSSGSSNGSPNGPSLVSSPPTTPLGAESDAWDLLFQDVGNIDRLKMTAGDGSTAKNRSLPDLPPANLINNSVSGVRISPFHQARGTQMMPHQSSEMWGQKVSPGWLGARQLYQTRVGSGYAENGSRGRTVLGGSAQSAALHSLQLLQQNHYGGPATRTVFPGGSSGGGMKRECSGTGVFIPRRYGCNTTKPPSDSRKTTGRSAALNLTKAVSGVNPSFESFEGFNGLAQPQMNPLDLLIARRNATLLAQRMRNLTPDVSISPELRLPPEWTY >itb03g15870.t1 pep chromosome:ASM357664v1:3:15077236:15079741:-1 gene:itb03g15870 transcript:itb03g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQTEFWLPPEFLTGDDMLMDPYYFESSAAKSLPRSNLVFPSEFSPDLCSSPVDSGENESDEEDFLAGLTRRFCQTFIEEADKSARSLHHMETQKGFVLSRSPQSTLAQVGSWTGRSSGSSNGSPNGPSLVSSPPTTPLGAESDAWDLLFQDVGNIDRLKMTAGDGSTAKNRSLPDLPPANLINNSVSGVRISPFHQARGTQMMPHQSSEMWGQKVSPGWLGARQLYQTRVGSGYAENGSRGRTVLGGSAQSAALHSLQLLQQNHYGGPATRTVFPGGSSGGGMKRECSGTGVFIPRRYGCNTTKPPSDSRKTTGRSAALNLTKAVSGVNPSFESFEGFNGLAQPQMNPLDLLIARRNATLLAQRMRNLTPDVSISPELRLPPEWTY >itb02g05510.t1 pep chromosome:ASM357664v1:2:3325256:3336542:-1 gene:itb02g05510 transcript:itb02g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTAAGGSGKGGKGGKGRKKSNKSNKKANNGGWPKGVDEATRIRISEILQRFRSSNEEVYKFESNLNNFERAAVHMLCRKMGMRSKSYGHGKQRQVSVFNKGKKIDSQRGKETLTCLKFSEGAQAILKDLFSRYPPGVEETTSYMSEKHNKKANKLYGLRDDIFRKPAMDKSDIAKEVELLASRVENNQDLRQIFERRSKLPIASFKDTITSSIESNQVVLISGETGCGKTTQVPQYILDHMWAKGEACKIVCTQPRRISATSVSDRISTERGEHLGDTVGYKIRMESSGGRHSSIVFCTNGVLLRILVNTSKLNKKALQGEKDDVSEITHIIVDEIHERDRFSDFMLAIIRDMLPLYPHLRLILMSATMDEERFSKYFGGCPIIRVPGFTYPVKTFYLEDVLGFVKSVENNHPDNTTASLNSEDSILTEEYRVALDEAINLAVSDDEIDTLLDIISSEGVPEAINYQHSLTGVTPLMVFAGKGSINDICTLLSLGADCHLKAKNGTTALDWALQENQTEAAEIIKKHIEKSFSNSEEQQLLLDKYLSTVHAELIDDVLIEQLVRKICMNSKDGAILVFLPGWDVINRTRDRLHKSAYFKDTSKFSIIPLHSMVPSVEQKKAFKRPPRDCRKIILSTNIAETAVTIDDVVFVIDSGRVKEKSYDPYNNVSTLQSSWISKASAKQREGRAGRCQPGICYHLYSKLRAVSLPEFQVPEIKRMPIEELCLQIKLLDPDCNVEEFLQKTLDPPVYETIRNAIIVLQDIGALTIEEKLTQLGQRIGSLPVHPLTSKMLLIAILLNCLDPALTLACASEYKDPFTLPMLPNERKKADAAKAELASLYGGRSDQLAVVAAFECWRGAKQKREESRFCSQYYVSSGTMKMISRMRKQLQSELVRNGFIRGNMSEYNLNAQDPGILHAVLVAGLYPMVGRMLPTPKSGRRNVIETAGGDKVRLHPCSTNFKLSVKKLEQPIILYDEITRGDSGLHIRRCSIIGPLLLLLLATEIVVAPANHKNDVGDDSESDYESGCEDDSEEEKRKSDLSNGENAMSSPDNRVKVVVDRWLPFFLTALDVAQIYCLRERLSAAILYRVTYPSRELPETLCASMYAFACILSYDWMNGISLPCESIDSLTTMVGSAEISESPSGKKNVEADKNYLKSLLCHDSLSGNHNMPTSRGASSSQSSAPQHLLSGPGSARPHKMPTSLDASSSQSSAHRYFLRPRISKAGHSKRQHGNGSSQVDS >itb02g05510.t2 pep chromosome:ASM357664v1:2:3325256:3334908:-1 gene:itb02g05510 transcript:itb02g05510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKMGMRSKSYGHGKQRQVSVFNKGKKIDSQRGKETLTCLKFSEGAQAILKDLFSRYPPGVEETTSYMSEKHNKKANKLYGLRDDIFRKPAMDKSDIAKEVELLASRVENNQDLRQIFERRSKLPIASFKDTITSSIESNQVVLISGETGCGKTTQVPQYILDHMWAKGEACKIVCTQPRRISATSVSDRISTERGEHLGDTVGYKIRMESSGGRHSSIVFCTNGVLLRILVNTSKLNKKALQGEKDDVSEITHIIVDEIHERDRFSDFMLAIIRDMLPLYPHLRLILMSATMDEERFSKYFGGCPIIRVPGFTYPVKTFYLEDVLGFVKSVENNHPDNTTASLNSEDSILTEEYRVALDEAINLAVSDDEIDTLLDIISSEGVPEAINYQHSLTGVTPLMVFAGKGSINDICTLLSLGADCHLKAKNGTTALDWALQENQTEAAEIIKKHIEKSFSNSEEQQLLLDKYLSTVHAELIDDVLIEQLVRKICMNSKDGAILVFLPGWDVINRTRDRLHKSAYFKDTSKFSIIPLHSMVPSVEQKKAFKRPPRDCRKIILSTNIAETAVTIDDVVFVIDSGRVKEKSYDPYNNVSTLQSSWISKASAKQREGRAGRCQPGICYHLYSKLRAVSLPEFQVPEIKRMPIEELCLQIKLLDPDCNVEEFLQKTLDPPVYETIRNAIIVLQDIGALTIEEKLTQLGQRIGSLPVHPLTSKMLLIAILLNCLDPALTLACASEYKDPFTLPMLPNERKKADAAKAELASLYGGRSDQLAVVAAFECWRGAKQKREESRFCSQYYVSSGTMKMISRMRKQLQSELVRNGFIRGNMSEYNLNAQDPGILHAVLVAGLYPMVGRMLPTPKSGRRNVIETAGGDKVRLHPCSTNFKLSVKKLEQPIILYDEITRGDSGLHIRRCSIIGPLLLLLLATEIVVAPANHKNDVGDDSESDYESGCEDDSEEEKRKSDLSNGENAMSSPDNRVKVVVDRWLPFFLTALDVAQIYCLRERLSAAILYRVTYPSRELPETLCASMYAFACILSYDWMNGISLPCESIDSLTTMVGSAEISESPSGKKNVEADKNYLKSLLCHDSLSGNHNMPTSRGASSSQSSAPQHLLSGPGSARPHKMPTSLDASSSQSSAHRYFLRPRISKAGHSKRQHGNGSSQVDS >itb01g26510.t1 pep chromosome:ASM357664v1:1:31663682:31666177:1 gene:itb01g26510 transcript:itb01g26510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKDTNFHSAYHMAKDKESHPNLGYLTRKQTEVNLPRPTRVKNKTPAPIQITAEQILRESRERHVEEIQLPKHKITDSGELADYRLQKRKEFEDQIRRARWNKGVWVKYARWEESQKDFNRARSVWERALEIFYREPTIWLNYAEVEMKNKFINHARNIWDRAVTLLPRVDQLWYKYIHMEEMLGNAVGARQVFERWMAWQPDQSRWLSYIKFELRYNEVNRAREISKRFVHHYPKVSALMQQYGNKVEDALIEKRRIEYKDEVRKNPHNYDASVDYIRLEESEGIKERIREVYETAIANIPPVEEKTVLAKIYLLVIFKKYIEIELHLGNIDRCRKLYEKYLEWSPENCYAWTKFAELEKSLDETERARAIFELAIDQPALDMPELLWKAYIDFEISEGEYERTRVLYERLLSHTKHLKVWISYAKFEASAVEGDCSGPDQQEVSPEQKKRMALVYFRTSAPELKEERTMLLEEWLNMESSFGDVGLVRAKLPKKLKKRRQIETDDVPARYEEYIDYLFHEETQTTNLKILEAAYKWKKQKISTDDD >itb04g11640.t1 pep chromosome:ASM357664v1:4:11327471:11334071:1 gene:itb04g11640 transcript:itb04g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEAATSSLGPRYAPDDPTLPQPWKGLIDGNTGLLYYWNPETNVTQYEKPSALPPPLPPGPPPASTTPKLAPIPGASAVPSSDVQGQQGLHMGGQNLQQGQHMAPLLQQHPQVTQQGPSQVPTGQQQGSLVSPTMQQQLHHVPQLRPHGIQQQGHQMATQPGPQISQPTMQHIPQPQVQQVQPFQGAQTGKPPDFQFTQHQTSHGLYPQNMNSQGQNVPEQQKPHIMQGQHFPHQQEHNMEFRQREDIDFPQGKQIGFSPLPFQLSGSASGQTPTLGANPSLGPQYSGSSVNMQQPTSQVQWQHSGTDSVHHQHSSRFQSQMGPGSSHGQQLNVPPLGSKTSYEENSHGRVGNKYFDNTSKDAHAMPPQASHPTLAAIPHARSQHEMRMGDITVQNPAHGFPGGYSNAGGPPLHNTYGQATGGPQFPNHAQMRPPAAVMGPSDAEDYRQKHEVSTMGDNVPDPFITFEATGFPPEILRDIHFAGFASPTPIQAQTWPIALQNRDIVAIAKTGSGKTLGYLIPAFIQLSRRRNNPQNGPTVLVLAPTRELATQIQDEALKFGRSSRVSCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILDMKRIDFRQVSLLVLDEADRMLDMGFEPQIRKIVNVIPSNRQTLMYTATWPKEVRMIASDLLRNPVQVNIGSVNELEANKSITQYVELVPQMEKQRRLEQILRSQERGSKVIIFCSTKRLCDQLARSIGRSFGAAAIHGDKSQVERDWALNQFRSGRSPILVATDVAARGLDIRDVRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSDQDWKYAADLVKVLEGANQQVPQQIRDMARRNGPNFGKDRAEMNRSDSGMDNGVRTRWDSGGRGGMRDGGFGGRGMRDGGFGGRGAGRDGGFGGLGGRDGGFMGRGGRDGNFGGRGGMRDGHFGGRGGRVAPGGHTGWDRNDRGPRDRFNNLDSRGRGRGRGAGRFDNRRDTSNMSRGRGHSSSPERVRTWGRSRSRSTSRSRSRSRSYSRSRSRSRSWSRSYSPRRSRSRSRSLSRSRSRSRSYDRYRRRPRVSKFDQMEVPGVEVAPELVARQPVALHPQGSGFAGADTAEQKSGVEAAPESAMSPMSPGTQGFSGANPPSH >itb06g15130.t1 pep chromosome:ASM357664v1:6:19513073:19514873:-1 gene:itb06g15130 transcript:itb06g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLFLLPAALILIYYYVLLPTCKRIGKAQTSLPGPPGLPIIGNLHQFDSAAPQVFLWKLSKKYGPLMGMKLGSREVLVISSARMAKEVLKTHDLAFCSRPSLIGRQRLSYDGLEIAFTPYGDYWREIRKISVLHLFSLKRVKHFQPIREDEVSRMMNRISELAFSSQLVNLSEIAMSLTCNIICRSAFGKGYDEERPGKWGFHKLLAESQAMMMAGSSIADFLPYFGWLDKLIGNSARLERVFKEQDSFYQELIDQHLDPNRPKSMDGDILDVLISLKTENSSAVKLTWDHIKAILMIVFVAGSDTSAALVVWAMTALMKEPRIMNIVQLEIRNRVGKKGRIDEEDIQELPYFKAIVKETMRLYPPVPLLVERETLSKCTIDGYEIKPKMLVFVNGWAIARDPECWENPHEFYPERILDNKIDYKGQDFEFIPFGAGRRICPGMTLGVASAELALANLLYAFDWELPSWLKKEDIDTNVLPGITMHKKIPLCLVAKKV >itb03g16210.t1 pep chromosome:ASM357664v1:3:15246583:15248691:1 gene:itb03g16210 transcript:itb03g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVSELEGTLLKDPDPFCYFMLVAFEASGLLRFAALLMLWPAIRLLEVFGKGEMGLKVMIFVATAGVRMSEIEAVARAVLPKFYLDDVEMEAWRVFGGGGERRRVVVTRMPRIMVEMFVKGHLRADEVVGSELAVNQFGFATGFIKEGFDSVHERVAKLFEGDDDGQPSLGLGRSNPGSSYLSLCKEKLSPPFMSSKGQDHNQMIQPAPVIFHDGRLVKRPTPSTALLILLWIPLGILLAAIRILIYSSFPIRLVLLLAPILGGKVKVKGKPPLPPATNSGVLFVCTHRTLMDPVVLSAVLGRKIPAVTYSVSRFSELLSPIPTIRLTRIRDIDAQKIKRELENGDLAVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKAMDPIFFFMNPRPVYEVTFLNQLPVEATCSAGKSPYDVANYVQKILAATLGFECTNFTRKDKYRILAGNDGTVAVSNCSRGDGVKKLLGAFKKVVGTFKPFIH >itb12g03020.t1 pep chromosome:ASM357664v1:12:1990567:1994227:1 gene:itb12g03020 transcript:itb12g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKLEQPGGDETIIPTINRRIGGEGGENDAVNLVKDGGVEGSASSSASPSLQSPSSKNKGKSCKGCLYYSSTLKSKSRNPLCVGITRSFPQVPRYIVGESEAEASKEGRSLTDFRYACVGYSVYSDQNSHPTDGQETPTELPACVGLEILLDKRTGPANPAPVHAHNREEGLGTPQPPRPYKPAHSAGDEFLSRFSRNATLVAMGVVKNLRKVGNRIKESIDDILYRRPK >itb12g03020.t2 pep chromosome:ASM357664v1:12:1990567:1992844:1 gene:itb12g03020 transcript:itb12g03020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKLEQPGGDETIIPTINRRIGGEGGENDAVNLVKDGGVEGSASSSASPSLQSPSSKNKGKSCKGCLYYSSTLKSKSRNPLCVGITRSFPQVPRYIVGESEAEASKEGRSLTDFRYACVGYSVYSDQNSHPTDGQETPTELPACVGLEILLDKRTGPANPAPVHAHNREGISYVTQYIMGRVVSHWTWLVSAT >itb13g23850.t1 pep chromosome:ASM357664v1:13:29669972:29671234:-1 gene:itb13g23850 transcript:itb13g23850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSITYVEKDDTTLGDEAGVFGSEHEFGDMIWFPSERKVMYRIDDRVPTNASGDGLNDFIGFRSTLSTGMSATRSAEERQEEGSDANGKCTNAKIINLFLKAAAFGLTNNGVIFTGYPVIGYQNRLQSSGSCLDSLEDGLITACPWDPRIHGLFFHQTTFSITLSKVKGFIEDVQKLVNLQPKSLCVLGLYNGILMRYVTASSAYLGKQENAIDFAITYFRSHDPLAPRLFQDVLEEIEQLAVFKYGALPHWGKNRNVAFLGAVKKYAKSADFLEVKQKYDPAGRFSSDWTDQVLGLKQGLVILKEGCASEGLCICSEDSHCASSKGYFCGVGKVYEKARVCRSSTVG >itb12g19960.t1 pep chromosome:ASM357664v1:12:22407401:22409658:1 gene:itb12g19960 transcript:itb12g19960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIISITTTLAYLLLLLPLLFLVSTAYAAENSSADGDVFLPSAVKEPLAAPAQKPDLEMDPLASKWEIVTQNVGVSAMHMQLMINNKVVWFDTTNLGPSALMQNPRWCRKDLKGLDDCWAHGVTYDPYTAKVVKTLGLTLNPWCSSGGLSSTGKLINTGGYDNGIRGIRIVDPCDTCDFRENIGLASNRWYASQQMLENGDIIVVGGRRSPNYEFIVPDQLKFPNKQFPLRLLVETTDGHLENNLYPFVYLLPDGNVFLFANDRSIIFNPRTGRTIRELPKLPGGSRNYPASGQSALLPLKLTPNTKANDFVKAEVIVCGGNTHEAFKVTERPPRQFPPALKDCGRIVANQVGAQWEIDEMPSRRVMGDMLILPNGDLLLLNGAQTGTAAWDAAEEPNFTPVLYSPNKPKGSRFTQLKLTRIARMYHSSSGVMPDGKILVAGSNTHATYDFKAKYPTDMRVQKFSPPYLAPALQKFRPEIVDITPKQLVYGQNFKINIRLDVPADISGIKVTMYPPPFTTHGFSQGQRMLILGLTSVANKTISAVAPPSGKLAPPGYYLIFVVHRGVPSKGMWVHIK >itb09g09260.t1 pep chromosome:ASM357664v1:9:5562675:5564145:1 gene:itb09g09260 transcript:itb09g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLCFVVVAFIFSLICFPAACSSIHAHHQDFVHCLSSKLRNNATSQVLYTPSSPSFLPILNMSAQNSRFTGPGTPKPVVIITPLRESHVRAALICSRKYNIQLRTRSGGHDYEGLSFVSSLPFAILDFQIPVDQAFPAGICPTVGTGGHISGRGYGMLLRKYGLATDHVIDARIMDVNGRILDRKSMGEDLFWAGGGGASFGIILAWKLELVPVPEIVTVFNISKTLEQNLTNLLYKWQFFANKAERNLYLRVVLQNTNTTGERTVEGLFYAQYLGRSEALLAMMQKGFPELGVTKSDLTEMSWINTVTYHYPVDSLLNRSFNLKQWSFKIKSDYAKRPFTPQIFQGMLELFKEEDINGPNMQLVPYGGIMDEISPSETPYPHRAGNLFFLRYATGWDEVGQVAAQKHLSWIRKLYAYLTPYVSDNPRESYSNYRDLDLGQNNLVGTTSGSGKFLGL >itb09g12270.t2 pep chromosome:ASM357664v1:9:7742774:7750491:1 gene:itb09g12270 transcript:itb09g12270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPMDNSVDHSSRERVQRLFNKNVELENKRRKAAQARIPSDPNSWQQMRENYEAIILENHAFSEQHEIEYALWQLHYRRIEELRAYLNAALSASGSTTSQNGKGPSRGGPDRITKIRTQFKTFLSEATGFYHDLMLKIRAKYGLPLGYFSDDPENQIPSSKDGSKSADMKKGLISCHRCLIYLGDLARYKGLYGEGDSKARDFSAASSYYMQASSLWPASGNPHHQLAILASYSGDELVAIYRYFRSLAVENPFTTARDNLIIAFEKNRQNYSQLLGDAKSSVKVAPARVSGKGRGKGDARFPQKEERVEANPVKERASSISDIFKIFSTRFVRLNGVLFTRTSLETFGEVLSVVKNDLLELLSSGPDEKYSFGSDAADCRLAVVRLVAILIFTVYNVNREAENQSYAEILQRSVLLQNAYTAVFEFMGHVVERCGQLNDPSASFLLPGVMIFVEWLACHEDIAVGNEPEETQANARSFFWNNCITFLNKLLSSGSKFVDEDEDETCFFNMSKYDEAETANRLALPEDFELRGFLPLVPAQLILDFSRKHSLGGDVGSKERKARIQRLVGAGKALASVVRVGGDGVYFDTKAKKFVIGTKPQISDDYWLSSTLEDPTLSGVEQENPVGGQMVLGALSPKPQLFIEGEEEDEVIVFKPSINEKHMDGFSSNMITSHVPVSSVTTVNAPLPAVNVSGVDLGIDMGVFSSGLDGLLMQNGFNPSLRLPTSVVNNNTHYVSSIQPSSSIWSSEQSSVTNGLAHLNLMENGSAKSEMQDHSGLMQTAAYSVPFPQSLNFTTADNIPIQFSEVCVPSKLNSISVAGLDCMAVKSSSIISTGSKKNPVSRPIRHRGPPPGFGSVPPKVMDDSSSAMTLKNENAHALPMDDYSWLDGYQLPSTNQSSGYSTINQSVQASQPPNCSNSMGMASFPFPGKQVSPLYVQADNQKGWPDFQMSEQMKLYQEQQQQLQRGNQQTVALPQQYQGQSLWEGRFFV >itb09g12270.t1 pep chromosome:ASM357664v1:9:7742774:7750491:1 gene:itb09g12270 transcript:itb09g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPMDNSVDHSSRERVQRLFNKNVELENKRRKAAQARIPSDPNSWQQMRENYEAIILENHAFSEQHEIEYALWQLHYRRIEELRAYLNAALSASGSTTSQNGKGPSRGGPDRITKIRTQFKTFLSEATGFYHDLMLKIRAKYGLPLGYFSDDPENQIPSSKDGSKSADMKKGLISCHRCLIYLGDLARYKGLYGEGDSKARDFSAASSYYMQASSLWPASGNPHHQLAILASYSGDELVAIYRYFRSLAVENPFTTARDNLIIAFEKNRQNYSQLLGDAKSSVKVAPARVSGKGRGKGDARFPQKEERVEANPVKERASSISDIFKIFSTRFVRLNGVLFTRTSLETFGEVLSVVKNDLLELLSSGPDEKYSFGSDAADCRLAVVRLVAILIFTVYNVNREAENQSYAEILQRSVLLQNAYTAVFEFMGHVVERCGQLNDPSASFLLPGVMIFVEWLACHEDIAVGNEPEETQANARSFFWNNCITFLNKLLSSGSKFVDEDEDETCFFNMSKYDEAETANRLALPEDFELRGFLPLVPAQLILDFSRKHSLGGDVGSKERKARIQRLVGAGKALASVVRVGGDGVYFDTKAKKFVIGTKPQISDDYWLSSTLEDPTLSGVEQENPVGGQMVLGALSPKPQLFIEGEEEDEVIVFKPSINEKHMDGFSSNMITSHVPVSSVTTVNAPLPAVNVSGVDLGIDMGVFSSGLDGLLMQNGFNPSLRLPTSVVNNNTHYVSSIQPSSSIWSSEQSSVTNGLAHLNLMENGSAKSEMQDHSGLMQTAAYSVPFPQSLNFTTADNIPIQFSEVCVPSKLNSISVAGLDCMAVKSSSIISTGSKKNPVSRPIRHRGPPPGFGSVPPKVMDDSSSAMTLKNENAHALPMDDYSWLDGYQLPSTNQSSGYSTINQSVQASQPPNCSNSMGMASFPFPGKQVSPLYVQADNQKGWPDFQMSEQMKLYQEQQQQLQRGNQQTVALPQQYQGQSLWEGRFFV >itb12g10650.t1 pep chromosome:ASM357664v1:12:8771860:8777439:-1 gene:itb12g10650 transcript:itb12g10650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNSPSAIEREQIFGMAEKEMEYRVELFNKLTHTCFKKCIENKYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >itb12g10650.t4 pep chromosome:ASM357664v1:12:8771860:8777439:-1 gene:itb12g10650 transcript:itb12g10650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNSPSAIEREQIFGMAEKEMEYRVELFNKLTHTCFKKCIENKYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >itb12g10650.t2 pep chromosome:ASM357664v1:12:8771860:8777439:-1 gene:itb12g10650 transcript:itb12g10650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNSPSAIEREQIFGMAEKEMEYRVELFNKLTHTCFKKCIENKYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >itb12g10650.t3 pep chromosome:ASM357664v1:12:8771860:8776192:-1 gene:itb12g10650 transcript:itb12g10650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNSPSAIEREQIFGMAEKEMEYRVELFNKLTHTCFKKCIENKYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >itb08g15210.t1 pep chromosome:ASM357664v1:8:17244660:17246406:-1 gene:itb08g15210 transcript:itb08g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFSNVANADWIFCNTFQELEGQVMAGVWDVWPAKLIGPMVPSSYLDGRIEGDKGYGASLWKPLDEECLTWLKSKPNKSVVYISFGSMVSLTSKQMDEMVWALIASNLDFLWVVRESEREKLPDGFIQVTPEKGLIVSWCNQLELLAHPAIGCFVTHCGWNSTLELLSLGVPTVAMPQWSDQFTDAKFIKEIWKVGIWPKLDKYGVVNREEFVYCLNEVMEGERSEEIKRNARKWRELAKGAVSEGGSSDNAINEFVEHLKCAKIGK >itb08g15210.t2 pep chromosome:ASM357664v1:8:17244660:17245391:-1 gene:itb08g15210 transcript:itb08g15210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWDVWPAKLIGPMVPSSYLDGRIEGDKGYGASLWKPLDEECLTWLKSKPNKSVVYISFGSMVSLTSKQMDEMVWALIASNLDFLWVVRESEREKLPDGFIQVTPEKGLIVSWCNQLELLAHPAIGCFVTHCGWNSTLELLSLGVPTVAMPQWSDQFTDAKFIKEIWKVGIWPKLDKYGVVNREEFVYCLNEVMEGERSEEIKRNARKWRELAKGAVSEGGSSDNAINEFVEHLKCAKIGK >itb03g00250.t1 pep chromosome:ASM357664v1:3:128802:129089:1 gene:itb03g00250 transcript:itb03g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKSIDNNAVTLPSCAAPMIIDSVIVLGCHLSIGNANATQNIISDFPSVNKARGLRVLAVLCSFRLEIYGTGQYFTQRAVELQTLPGAAAYHSF >itb04g01920.t2 pep chromosome:ASM357664v1:4:1156668:1162132:-1 gene:itb04g01920 transcript:itb04g01920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTIGLESLPWEYDVFLSFRGEDTRKTFTGHLYTALHHATINTFIDDEELKRGEYLAPAFTRAIQSSRISMIIFSKNYASSKWCLEELVQILECKEKGKQLVYPIFYDVDPSEVRNQRDVYGLALAEHEKKFVEKKIDGKDKIQKWKDALTKVGNMSGWHLQGIANGYEAKFIDEIINEVKSVVKRVPMLVSNHVVGIESQVKHVVRSMWRERDNSVRMIGIYGVDGMGKTTLARVLYNKFFEYFERSCFLEISSDDTKIRILQERFLSVLLNRKDIKVNSEGEGMMFIKNWLQTKKCLVVLDNLEDRDQFKALCGERYWFGAGSRIILTTRDAQLLNELEKGERYEIKALDHERSLQLFSHHAFKGCFLSKEDYIEILDDMVVYCEGMPLALEVIGASLCNESKEQWMNTFEKLKKIPNKKVLAKLKISFDGLHYSIKSLFLDLVCFSNGVSKETINRMGYSTEIQSLVGKCLIKCSESWISMHSLIQDMGREIICEESPNNPSKRSRLWCPNDIHDVLIGQKGTENIEVIVFNESPIENMKYSTEAFKNMENLRFLRIKGERIHIDGTFKHLSKKLRCLEWDYCPLKYINISSNSYFGKLVMLELVRSNIKEFQAPLKYFPCLESLDLSFSKYLTKTPDFSGAQRLHTLSFWQCKNLVNVHSSLGELVSLKVLKFGWCSKLRKLPNNLCQLLKLESIDLQHCKKLQVLPKLPHSVNRWSLINCVNLSMIEEFPPFTDIDLCDCKNLEKLPQLPPDLERVDLSGCEKLKMLPEFPHSVKNIKLLGCKKLKVLPQLPHNLEWIDLSGCEKLKMLPLLPPNLSSITLNVCAKLKMLPELPQKLRTLEAKNCVSLEKVPNLSNNTSLYGLDFSGCGKLKEIPGWESLPLLMLNLGGIPHILVSHTIKEVRIFYSL >itb04g01920.t1 pep chromosome:ASM357664v1:4:1156668:1162132:-1 gene:itb04g01920 transcript:itb04g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTIGLESLPWEYDVFLSFRGEDTRKTFTGHLYTALHHATINTFIDDEELKRGEYLAPAFTRAIQSSRISMIIFSKNYASSKWCLEELVQILECKEKGKQLVYPIFYDVDPSEVRNQRDVYGLALAEHEKKFVEKKIDGKDKIQKWKDALTKVGNMSGWHLQGIANGYEAKFIDEIINEVKSVVKRVPMLVSNHVVGIESQVKHVVRSMWRERDNSVRMIGIYGVDGMGKTTLARVLYNKFFEYFERSCFLEISSDDTKIRILQERFLSVLLNRKDIKVNSEGEGMMFIKNWLQTKKCLVVLDNLEDRDQFKALCGERYWFGAGSRIILTTRDAQLLNELEKGERYEIKALDHERSLQLFSHHAFKGCFLSKEDYIEILDDMVVYCEGMPLALEVIGASLCNESKEQWMNTFEKLKKIPNKKVLAKLKISFDGLHYSIKSLFLDLVCFSNGVSKETINRMGYSTEIQSLVGKCLIKCSESWISMHSLIQDMGREIICEESPNNPSKRSRLWCPNDIHDVLIGQKGTENIEVIVFNESPIENMKYSTEAFKNMENLRFLRIKGERIHIDGTFKHLSKKLRCLEWDYCPLKYINISSNSYFGKLVMLELVRSNIKEFQAPLKYFPCLESLDLSFSKYLTKTPDFSGAQRLHTLSFWQCKNLVNVHSSLGELVSLKVLKFGWCSKLRKLPNNLCQLLKLESIDLQHCKKLQVLPKLPHSVNRWSLINCVNLSMIEEFPPFTDIDLCDCKNLEKLPQLPPDLERVDLSGCEKLKMLPEFPHSVKNIKLLGCKKLKVLPQLPHNLEWIDLSGCEKLKMLPLLPPNLSSITLNVCAKLKMLPELPQKLRTLEAKNCVSLEKVPNLSNNTSLYGLDFSGCGKLKEIPGWESLPLLMLNLGGIPHILVSHTIKELLTSSKLMSRFTCTLTCNEIPSWIGCTEEGWALSFQWPLCDAEDYTSSPFLGLFFWVVFKPQPIPEINRGGEDLVTIQINGINVNMFVRNIQLEAEEISFLHWSPRQHWSSRRLCICLDNVKGGDVMTIALKTSIGPSIVKKIGVAALYKDDDGLRHFVPITKVGLNTKNLKGHVH >itb04g21030.t1 pep chromosome:ASM357664v1:4:26065569:26066423:1 gene:itb04g21030 transcript:itb04g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDFEEGEFYYHSNRKEKRARNKDDEEPRLKKHVVQKVIDMRGPQIRILTNLENLNAEEKAKENHIFMPQLQHNACIQKIEKEKEKHQKKQLEKMEAIMSELDRIDEESKEGTLTLDSLVNSFLNLQQRYADYYKLYNLSSIACSHSLPLFNRVFKGWGPLQNPTHGLEIVSSWKNLLQDNSFGFSDSDDMTSPYSQLFMKAVFPAVRISMTNTWQARDPKPMFLFFDSWEKLLPPVALYTILDNIVLPTLSAAVDSWDPRRDTIPIHSWIHPWLPKVAGCHA >itb03g23360.t1 pep chromosome:ASM357664v1:3:21556918:21557571:-1 gene:itb03g23360 transcript:itb03g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFSLKIFSVVCVVFVTMISNYNEARILKEESDKLSTLPPLSFSIPPFPFPLPLLPNIPGLPPLPSLPLPPLPNIPGLPPLPSLPLPPLGPGFPNTYSKAFSPYPFIDSIPTNTYSKASSPYPFIDSISTNTHSKASSPYSFIDSIPTNTYSKASSVPISLDSIPTNTYSKASSPYPFIDSIPTNIYSKASSVPISLDSIPTNTYSKGFFPLSLH >itb04g06080.t1 pep chromosome:ASM357664v1:4:3953403:3955331:1 gene:itb04g06080 transcript:itb04g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRTICFSPKRSSTSSATDSRVSSPSPSRFGFSPSRPSFSDSVMDRTLEVSGPAIMKWDPEGSNFAKVTSLIYENRREAKEFIKCVYNLQKAMQFLKTEHSSSDKLVRGQNLMQIAMKRLQKEFYQVLSMNRAHLDPESVSTRSSVSRASTQSSQSDYDVDDSEDETRAAGDSIAEVEDASSIAMADLRLIAECMISSGYAKECLKIYKVIRKSIIDEGLHKLGVEKLSSSQVRKMDPEVLDLKIKSWLGAVDVSMKTLFNGERILCDHVFASSSDSIRELIFTEISNDGATILFGFSENVAKNSRKWPEKTFRLLDMYTAIANRWTEIESIFSSDSASTVRDLAVTSLVKLGESIRSELGEFETALQKDSSKTVVAGGGIHSLTIESMNYLTMIADYSNVLGDILADSPPPETSSLPESYFGVSDTELSPAPAISLRFAWLILVLLCKLDRRAQHYKDVSMAYLFLANNLQFIAAKVRVSNLKFLLGDNWSSKQEAKVKQFAENYERLAWGHVISTIPQNPTAPMPPQQIKEHFKRFNSSFEQAHRKHSLCVVHDTKLRNDLKLSIATKLLPVYREFYNAHRLTATRERSLSVVVRFAPEDVSNCLSDLFFWTNESTESYSFLADSSSSHATSSTSH >itb12g02380.t1 pep chromosome:ASM357664v1:12:1568434:1573775:-1 gene:itb12g02380 transcript:itb12g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKNRPSSAFNSPFFTTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLSNFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPIILRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDMVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGIPQDYRHMDGSGVNTYTLINKAGKSHYVKFHWKPTCGVKSLLEDEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDIEDSFDFDPLDVTKTWPEDLVPMQPVGRLVLNKNIDNFFNENEQLAFCPSIVVPGIYYSDDKLLQTRIFSYSDTQRYRLGPNYLQLPANAPKCSMHNNHYDGSMNFMHRDEEIDYFPSRYDPVRHAERYPIPAAVCTGKREKRVIEKENNFKQPGERYRSFSPDRQERFICRWVDALSDPRITYEIRSIWISYWSQADKSLGQKIASRLNMRPNM >itb11g06570.t1 pep chromosome:ASM357664v1:11:3955511:3959517:-1 gene:itb11g06570 transcript:itb11g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQCAPTRKRESHASRAVTAAAWQRTTLTPPPEGNPFSPILPFSSTSYRRLMMEVPAGFIAKLWSFVSFLPFFFLLFTLGCLKGLIIGPIVLGVIVIGNSTIVVGLWLAHFIWTYYCVARSKRLGWVLKSAVLVLLPLPLILWPIIAILGSLLGGLGYGFFAPLIATFEAVGEGVTDAFYHCFVDGTVSTVKGSLTVVVDFTDFCFHSYFSYMDELCEEVHPNEKPMEVKLSKLPSCLLVSMLAIPVDVPVITAVALWKSPFMLFRGWKRLLEDLVGREGPFLETVCVPFAGLAILLWPLAVVASVIASFLSSFLLALYSGVIVHQEDSFQLGLAYIVAAISLFDEYTNDLLDMREGSCFIRPRYRRNMSPYNDEEIRRNSLDQQNGREESRSSKMASQGSRKLKQAIQQYTPVQVWDWLFKSCEVNGRILLHEGLIDAKDIMDCIARGKCKKLGVKLPSLSILQCLLESAKSNSAGLVIFDEVELTKTNWPKDRIFEWFVGPLLIMKEQIKRLQLQEDEEICLRKLLMHCKNDRPEDWDTIGFPSSDNVKRAQLQAIIRRLQGIVSSLSRIPTFRRRFKNLVKLLYMEAIEIGLIVSXANIEGATKSGHGNGSRCSNGRDDSKDGNASPENELGFQVNGNVV >itb06g01610.t1 pep chromosome:ASM357664v1:6:2825255:2825761:-1 gene:itb06g01610 transcript:itb06g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTNPRRKQQRICRVEQPPERGIIDAAREWVSTRIRRISVVDGVPHRTAQLSTANAASSSIAHCRQTCRAKKRERTLGYCRWIASRNFPLLMSPIGAATSTGGRCLNFYR >itb03g12630.t1 pep chromosome:ASM357664v1:3:12184968:12189929:-1 gene:itb03g12630 transcript:itb03g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGKTHHRNLVKLLGYCIDGPKRLLVYEYMSNGSLANILFSPEKKPCWEERIGIARDIARGLLYLHEECDTQIIHCDIKPQNILMDNRFVAKISDFGLAKLMKQDQSRTYTVVRGTKGYVAPEWHRKMAVTVKADVYSFGIVLLELISRRKSVDWSLCDEEAILEEWVYNCFEAGELVVDMGRSII >itb02g07690.t1 pep chromosome:ASM357664v1:2:4800101:4803128:-1 gene:itb02g07690 transcript:itb02g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRSCIQRMLKMVNSLIGLSGLLMILYGCWMFTTLQPHVPCSDVAPSFWFIYTTIGVGFSVHLIACTGHIAAETCNTCYLYSYVFFIYIFHLLETYLLANVFLNINWEEDLPKDTTGNIDKIKDFIEDNYEICKWIGLLAVTVPAVCASLALILKALEPGYLEGRQDYALDVAPLLENYVAQPSHVIDIDPASGSKQA >itb01g02770.t1 pep chromosome:ASM357664v1:1:1796966:1799305:-1 gene:itb01g02770 transcript:itb01g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSMEEMAKLPSEEEVGFLRAMGLSSGIAVMMVSKAAIELGVFEIIAKAGEGAKLSAKQIADCLPTHNPNASVMLDRMLKFLANQSILKCTLTEDHHCSYSLTPISKNFVPNEDGVSLSAMVQLLADKVFVNSWYALKDAVLEGGVPFNRTHGMHAFEYPGKDSRFNEVFNRAMHDHSAIAMKRVLECYKGFEGAKEVVDVGGGYGSTLSCIISKYPNIKGINFDLPHVIKEAPAIPGVEHIPGDMFESVPCGEIIFMKWILHDWDDEHCLKLLKNCWKALPESGKVVLVEAILPEHPEKDVGYGCPFYADVLMMTMNPGGKERTQRQFEALAKEAGFAALKVICAVNTEWVIELYK >itb03g04470.t1 pep chromosome:ASM357664v1:3:2800349:2803743:-1 gene:itb03g04470 transcript:itb03g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMNQCMMRLALLLFLSVSAVFADEDAFIGVNIGTDLSDMPHPTQVVELLKAQQIRHVRLYNADRGMLLALANTGIQVAVSIPNEQLLGVGQSNSTAANWVSQNVVAHYPATNITAICVGSEVLTSLSNAAPVLVNALKFIHSALVASNLGRQIKVSTPLASSIILDSFPPSQAFFNHSLKPVLVPMLDFLQSTGSYFMLNVYPYYEYMQSNGVIPLDYALFKPLPANKEAVDSNTLLHYTNVFDAIVDAAYFALADLNFTNVPVLVTESGWPSTGDSKEPDATLDNANTYNSNLIKHVLNKTGTPKHPGIAVSTYIYELYNEDTKDGPLSEKNWGLFNANGTPAYILRLTGSGSMLANDTTNQTYCSAKDGADHKMLQAALDWACGPGKVDCSPMLQGQPCYEPDTVAAHATYAFDTYYQMMGKAPEACNFNGVAAVTTTNPSHGLCLFGSGDRNGTFLNGTASAMESNGTSVSSSPHLHYTFSMSHIMLGIAGLSAILF >itb05g03410.t1 pep chromosome:ASM357664v1:5:2882972:2885985:-1 gene:itb05g03410 transcript:itb05g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGVCETFLDREEMGNLNSEEAPLPQSGQRLNVVNLLNEDGGYEDYDLRGQMLKWINQILMEDNVEEKAYMSRQSTELKDAERSFYELIGEEYPPSPNLHRVPDLDRNDNIGSGDNGNDDSLCPNLDPNPRERQTTSDHVPVNVASSTITIPDISNCTESVEKGVREASSFLPTRNSVLVDGVGAEKNTGNQDALEGRRGTKNTLREDTHLPEGRSYKQSAIYAEPSIKQEEFDKVLLWSGEDESSLCHSLQGVLCESATGNDDSKGSNRKKKPGSERTLVDLRSLLTLCAQAVAVQDIRTANDYLKRIRQHSSQTGDDMQRLAHYFAEALEARITGSGTRIYKALMKYPRYAARALKAFQLYRSSCPFVKISYLFSNKTITTLAQNASSLHIIHFGIGFLFGFQWPCLIQNLSSRPGGPPKLRITGIDFPQSGFRPAEKAERTGRLLAYYAEKFNVPFEFNAIAKQWETITVDDLKIIEGEVLVVNCIYQLRKLLDDTVVVNSLSPRDTVLKLIHEVHPDVFIHGILNSACNSPLFTSRFRAALSHYSAVFDMLEVTIPREVHERMLIESYIFGQQAMNAIACEDTERIERPETYKMWQARNTRAGFLQLPLNREIVKMSMHTLKRYHKEFVIDEDGHWLLLGWKGRAIFALSSWKPA >itb08g13830.t1 pep chromosome:ASM357664v1:8:15220942:15223831:1 gene:itb08g13830 transcript:itb08g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRFWEKVYMKMVEGGSRYCSKKKDDICSGESGRGLSVSRLKCFLRGLDLKVLIFLFVLVPTCVFGIYVHGQKITYFLRPLWEKPPKPFNEITHYYDENVSMEKLCKLHGWGVREFPRRVYDAVLFSNEVDMLNLRWKELYPYVTEFVLLESNSTFTGLPKPLYFARNRKNFTFVEPRLTYGQVPGRFKRGENPFVEEAYQRLALDYLLKQAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDIPSVLHLRLKNYLYSFEFFVDNNSWRASVHRYQSGKTRYAHYRQSDDILVDAGWHCSFCFRRISEFIFKMKAYSHCDRVRFSHFLNPKRVQKVICQGDDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSQLFQDPNTYKFLLPGNCLRESG >itb07g12510.t1 pep chromosome:ASM357664v1:7:14392529:14397949:-1 gene:itb07g12510 transcript:itb07g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWEKHSFLGSGSYGQVHLAVLKPARKMEMAVKSAAIQDSSSLEREGVFLDALRDCPFIVRCFGEDVSVEHGQHVYNLLLEYASGGTLHQLIRTTGEIPEVLAKIFIYQLLKGIHYMHTLGIIHCDLKPGNVLLFPGKFHGLKRLKLCDFGLAKFFDEKNVYGDSHRGTLRYTPPECVAGKSYTAAKDIWALGCIFVEMVTGKPMWQFGNKKELAMKIASTNPEIPEDLSPGAKSFLKMCLARDPWRRWTAEMLLGHRFFERLGVPKSKEDEGFVNPLGPWRWSSGRDLFTVPSFTYIVENVVSPEEEENEKEKEVDGSGSVINRKMKKLYSASKDDKFLEFWKREIGFSAARTFSRRISASEAVVKQLDLYGKLNGHQGCVNTINFNSTGDILVSGSDDKQVILWDWATKTSKLSYPSGHLDNIFQAKFMPFTDDRKIVTASADGQVRLGQVLENGEVDTKRLGKHQGRVHSLAVEPGSPYIFYSCGEDGFVKHYDLRNNPRSTSATKLLCCSSLVENNKQSSHSIRLNAIVIDPRNPNYFAVGGSDEYARVYDIRKYQLDAPSNSHGPVNTFCPRHFTQRNDFHITALAYSNTSELLISYNDELIYLFQKNMGLGPNPLSVPHEEVEKLEEPQVYSGHRNLQTIKGVNFFGPNDEYVMSGSDCGNIFIWKKKGAQLVRLMRGDKHIVNQLEPHPHISVLATSGIEKNIKLWAPSSNDVSPLPHNVQEIMDANRRGREDHSRVTLTPDVIMHVLRLHRRQAMAYAERRYNREDVESDEEDGEAIVLGYSEGDSEEGGNSRECNVS >itb08g12580.t1 pep chromosome:ASM357664v1:8:12788666:12792927:1 gene:itb08g12580 transcript:itb08g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFMLAKLFLFLLFPNLMYQAICCSTLFPLLAFSLLPLLMKFWVVEGGFAWRNYSNNQNPKKLNGPMSWPFLGFLPQMGSHAHRKLACMAASMGLNRLMAFSLGTTRMIISSHPDTAKEILCGTSFSDRPIKESAKLLMFERAIGFAPFGSYWRQLRRMAANHMFSPRRIACLEGVRSAVAEEMIGKMDKEMGESGFVEVRRVVGEGSLRNVVESVFGSCLGLQGEELGLMVKQGYELIGEFNWGDYFPLGFLLDFGGTKRKCHRLAGRVNDLVGQIIEKRKRELEGVELNVKTNNNDFLSVLLSLPKEDQLVDADLVAVLWEMIFRGTDTVAILVEWIMARMVLHQDVQAKAQQEIDTCVGSIRHVQDSDIPNLPYLQAIVKEVLRLHPPGPLLSWARLAVHDVYVDKCFIPAGTTAMVNMWAITHDPQIWNDPWAFRPERFMEEEVSIMGSDLRLAPFGSGRRVCPGRALGLSTVHLWLARFLQHFKWLPGPSVDLSECLKLSLEMKKPLAIRAFRRQL >itb14g06320.t1 pep chromosome:ASM357664v1:14:5584185:5587466:-1 gene:itb14g06320 transcript:itb14g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTLLSLCPTMATAATLNRIRIVFRTQSFGLKQVARRSYSTKANSALQPPDVPRLAETARISLTPTEVEEFGLKIRQVIDWFGQLQAVDLQSIEPAIRADTEGDNLRDDFPEVFENREAMIAAVPSYEEPYIKVPKVLNKE >itb09g08320.t1 pep chromosome:ASM357664v1:9:4988567:4991151:-1 gene:itb09g08320 transcript:itb09g08320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILIQAAWLCILLASFVTGLHAAIFDVMKFGAKADGKTDDSKAFVGAWGAACKAAGANTITAAGKYMAGSILFQGPCKGPITIEAHKMILTALSDPYAFKGQPWVTFSRVNGLTINGGTFDGNGAAAWKLPNCGKKGNVCRLPANLYFNAVTGLKIIGLTNKNSKQFHMTIAGCKDVTIQNYTVDTPGDAPNTDGVHIGKSSKVSITGASIKTGDDCVSLGDGVQDVHVEKVTCGPGHGLAIGSLGKYPNEKPVLGITVKNCTLTGTTNGARIKTWPGSPVGSASNMHFEDIIMNNVTNPILIDQQYCPWNQCKAGAPSKVKLSGIGFKNIKGTSSSKEAMKIHCSPAVPCQGVIMNDINLVYKGKDGPAVSSCTNVKPSITGKVVPAACSTHK >itb11g03450.t1 pep chromosome:ASM357664v1:11:1823987:1828168:1 gene:itb11g03450 transcript:itb11g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDLNAELSKRTSFFGLKLWVIIGICVGVFIVGILCLLSVWVTFQRKSRRKLDKYSHYQIPNVSKDIKIDRVAVNDQPESLFLTIHDKSSEKNSEKLIAHLDRSKSSDGDNISQCSSIYHHERGFSSQSGEEGSSGTVRKQSSSYGLPMASPLIGLPEVSHLGWGHWFTLRDLELATNRFSAENVIGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGGMRQHGTLTWEARMKILLGTAKALSYLHEAIEPKVVHRDIKSSNILIDSDFNSKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYGRPANEVNLVEWLKMMVGYRRAEEVVDPNLEAKPSTRSLKRALLVALRCVDPDSEKRPKMSQVVRMLEADEFPYREDRRNRKSRTASMEIDSIKDGCCSTDVESRAGQSDSVHG >itb11g03450.t2 pep chromosome:ASM357664v1:11:1824003:1828113:1 gene:itb11g03450 transcript:itb11g03450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDLNAELSKRTSFFGLKLWVIIGICVGVFIVGILCLLSVWVTFQRKSRRKLDKYSHYQIPNVSKDIKIDRVAVNDQPESLFLTIHDKSSEKNSEKLIAHLDRSKSSDGDNISQCSSIYHHERGFSSQSGEEGSSGTVRKQSSSYGLPMASPLIGLPEVSHLGWGHWFTLRDLELATNRFSAENVIGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGGMRQHGTLTWEARMKILLGTAKALSYLHEAIEPKVVHRDIKSSNILIDSDFNSKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAITGRDPVDYGRPANEVNLVEWLKMMVGYRRAEEVVDPNLEAKPSTRSLKRALLVALRCVDPDSEKRPKMSQVVRMLEADEFPYREVHSRFFPQNLEFSVA >itb11g04250.t1 pep chromosome:ASM357664v1:11:2248649:2256556:1 gene:itb11g04250 transcript:itb11g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSMTSVPKPLKFLRPHYGTLKSHYEKMSDSGAKKLMADMLSVMALAMSAKGERESLKYRLLGSYGDIGSWGHEYLTNLGAEVVHECRQHNAEPEAVDLLMELTPVEDLSVLLESSLDSANYKRTCLYLLSSANYLAEPDDMLAFDNVYRIYRQFKQYERALFIALCLDNLVYVRKIFMSCDDLLRKKQLCYILAHHRKTFELDEDMCSEEDLEGLQFIIGNNKLIEGYLTLARDFDMMEPKSPADIYKAHLVDDLGVAGTIILSARENLATTYVNAFVNAGFGQDKLMTVPSEASGDSSTSWLFKNKGLGKASAAASLGMIMLWDVEIGLAQIDKYFHSTDTLVVAGALLAVGIVNCTVKNEQDYALAILTEYVVKEEPCIRVGAIIGLGLAYAGSKRYQVFEQLRPILVEDTNASLDVIAFTVITLGLVFLGSCNEEIARTIRFSLKNRSESELGEPLARLLPLGLGLLYLGKQDSVNETAKVSKTFSEKIRRHCNMTLLSCAYAGTGNVHKVRDFLGECAQHLEKGETYQGPAVLGIAMVAMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLRISNPKVNVTDTLSRLSHDSDTEVAMAAIISLGLIGAGTNNARIAGMLCNLSSYYYKEPDLLFCVRIAQGLVHLGKGLLTLSPYHSERFLFSQTALAGLIVTLHACLDMKALILGEYHYLLYFLCLAMTPRMLMTVDENLKPLSVPVRVGQAMDVVDQPGRPKTITGFRTYSTPVLLSAGDKVELATDKYSPLSPILEGFVILKENPEYKDDH >itb03g03140.t1 pep chromosome:ASM357664v1:3:1779821:1780377:-1 gene:itb03g03140 transcript:itb03g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSNTKVVGRFCFLFLLVLFMSSHELGGVEGRRLRSERNVGEVGFQSSPAVAASRVARVDAFRPTAPGHSPGVGHSVHN >itb09g29840.t1 pep chromosome:ASM357664v1:9:30559923:30561768:-1 gene:itb09g29840 transcript:itb09g29840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSISRHFSTCKKPNFGISNVLLFSTASSSSLAASQFMTHLQKNGPNIEKSLNLVKAELDAPCVTRVLESFSVENRQMGLRFFIWAGVHPSYRHSTYMYNKACNLLKVKENPNIVTDAFEAYEVEGCIVSVKMFRVVFNLCREAKDAGLGLWVLRKMKDFNCRPDMIAYNGVIRLLCEKGDIDGAMGLMREMGLIDLYPDMATYVMMIKGLSEVGRLEEACRLVKSMRGHGCLPGTVVYSVLLDGILRFGSLERAMELLEEMEKEGGDCRPNVVTYTTLIQGFVEKGCSVEAMPILGRMEDLGCLFENGGLIFVVAELLSGSFSAVFFIADSPRATPLCLLIFYIAPARRRAVRRLQLRFLLAAGAWFTSIPFRNVGKAALLSIFCYRKIKIFISTFIVN >itb14g17560.t1 pep chromosome:ASM357664v1:14:20772677:20779745:1 gene:itb14g17560 transcript:itb14g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGSGKTTLLRVLTGKLESGLKKSGTITYNGQGLDSFCVQRTSAYISQTDNHIAELTVRETLDFAARCQGADQGLGGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCIRNFVHLMEGTVLMALLQPAPETFDLFDDLILLSEGYVVYHGPRVNVVEFFESLGFKLPPRKGVADFLQEVTSRKDQAQYWGDHSKPYAFIPVSEMAEAFRTSRYGQALESSLSVPYERTNTNPSALCTKKYAVSNLELFKACFTRELLLIKRHSFLYIFRTCQVAFVGFVTCTMFLRTRLHPTDLVQANLYLSCLFYGLVHMMFNGFSELPITIFRLPVFYKQRDNLFYPAWAWSISSWVTRIPYSIIEAIIWSCVVYYSVGFAPGAGRFFRYMLVLFSIHQMALGLFRSMAAISRDIVISNTFGSAALLVILLMGGFIMPKEMIKPWWIWAFWVSPLSYGQRAISVNEFTATRWNERKTSGNVTLGISLLHSHSLPISGNWYWLGVGVLLLYALFFNIIVILALTFLNPIRKSQAFIKESSANDGNHNNSNSVSSRDASRRKGMILPFQPLTMTFYNVNYFVDMPKEMASQGVTEKKLQLLSNVSGVFSPGVLTALVGASGAGKTTLMDVLAGRKTGGCIEGDIRISGHPKEQRTFARVSGYVEQNDIHSPQVTVFESLWFSSYLRLPKEVTRKQTKEFVEEVMELVELDTLRNALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIEIFEAFDELLLMKRGGQVIYGGKLGPQSQTMINYFQSMPGTSPISPGYNPATWMLEITTAAAEERIGHDFAELYRNSEQYREVEASIKRLSVPPENSEPLKFKSDYSQDIFSQFRICLWKKNIIYWRSPSYNAVRICFTIITALIVGSVFWNIGSRRDSTKDLMVIMGALYSTALFLGVNSASSVQPMVSIERTVFYREKAAGMYSSFPYAAAQGLIEIPYIMLQTVIYGTITYFTINFERTAGKFFLYLLFMFLTFTNFTFYGMMAVGLTSNQQTAAVLSSAFYSLWNLLSGFLVPKPSIPRWWIWFYYICPYAWTLRGIISSQLGDVETITVGPGFKGPVKEFLRVTLGFGPGMIGWTALVLVGFSVLFFSVFAASVKFLNFQRR >itb01g01750.t1 pep chromosome:ASM357664v1:1:1046674:1048440:-1 gene:itb01g01750 transcript:itb01g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWSDGLQLASSTGYQFSTVLIPSASEDTINLITSLCSWDPYKRPTVVEVLQQSSSFLSELLLCSTSLRSGVAFARTTQSDLDDTLYPLSAGLVVACGNNIGDYMVEKLGIDPAKIPNLCNLLYKNYETISGSYSIEKK >itb04g31120.t1 pep chromosome:ASM357664v1:4:34069489:34076534:1 gene:itb04g31120 transcript:itb04g31120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELLYGPGLNPKRRVFGEWEPAFGCGGANACPSQGGFAAGECSGDGLGMNVGFGENSGNCGVGDPEKMSFMELLGLAASGAAIPAGATPAKNSGRIPDDNGISVPPTPMEKEQISRKTKVKTPRQPKVKRKKHRPKIFDESKPKRVPKSTPKRENGKRKYARRSSTGQPPGSPMRSEMVVETSLNPETPGSLVEGRKRKCTLKASKHPLKSCKRGINFDPSVEDDTDVETVNNTAEEQINKSGDCSIEFYANSSQYVDHKALELENKTANIYATSIISADTTSIISADTTSIISADTTSIISADTTSIISAENNLYEKRLTVGNNSVESLKPVQSSPNHGCKESMDVMNHNSGQTNTLQVYQRTFQVYRRKFRANEIIKNSRKLGPNCPKFAKKKRSKRRSANKYNFWLLEEGREQMVIAPKYALRSKKKYLDLDVNNSILPGLPKSQIKAAIADPKSFICLYSLFPLVKSERKRSKRFSQSNLSHSSVTHSDMGKKNSNLEFLCHALDSIPKGKRSKNLMRRQGLPSSSTITHNSPDNIKLEAPAEIPAYPPQWVVQPHGYDNFMEKDRRLLDTAIIPTESSHAKVELRFHPPYPRTSQSTSPQHHRTSHQHPRTSQSTSHQHPMTSQSISDITLFHPIAAVHPPPPRPGESLKEYLWSSNSKVEIRIHPPYPHPAPQRYLLPNAASTSGLQSKYKVEKHHLRHGTTMSKRNNMLSDIEFCRQDHFPIAQGSLGQLSKHLMEIIQKLQRLQISDEHGQLVVRDLNLHGAVIPFNNKFDGAIIPYNNKVEKKRKMPKVDLDPETLRRWNLLMETDASELPEDEDEKKKKDWEEQKEIFCNRLKEFITCMHVLQGDRRFSPWKGSVVDSVVGVFLTQNVSDYLSSNAFMSLAAKYGVTSTSCDIQVDSPCSQESTSNPTGPNNVQSHETFISSAEKEPGSLGNDRVVKHPPVNHDFCCSSPAKIHLPEEVDLVELPGFQSENGTRLCGCESGIITSSTERLEDMNGAPERLEDMNGAPVPNGCTFNGAPVPNGCTFNLGFGCDCGEPVNRNCGSKSGCACEEMAKASANSNTLLNELEVAMEDNTVLLNNTLLEENTSSKSTEKKGKVTKMPKPDVDWEELRRTYYNSNRTPGTLLDSIDWNAVRCAPVGEVAKVIETRGMNNVLAEKIKAFLDRLVEDHGSIDLEWLKDVPPEKAKEFLLSIRGVGLKSTECVRLLTLGHHAFPVDTNIARIVVRLGWVPLEPLPGDLQIHLLEQYVLHYQLITFGKVICTKKNPNCNACPMRAECKHFASAFASSRLRLRGAPEKRGMSNSQPMLPHIPDIEDFPYKFKDSHIRQQPSALAIEIASQDTDPTRGLVRDIEDFPYELDNKYEILASQDADPTGGFVRDIEEFPYELDNKDEKSYTQTCEPIIEVPASLEPESQTCEPIIEVPASPEPEPESTVSLERDISNILHETEDDDDEIPHIKLDTEEFKRNLMTFLNPEFEDEEVSNALVALTPQDTTIPSPKIKSVERLRTRHRV >itb07g19880.t1 pep chromosome:ASM357664v1:7:24312822:24317307:-1 gene:itb07g19880 transcript:itb07g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRWKDRNLDAGLLQLPESPRQPMEFLSRSWSASALQVCKALAAPKPASTIATPPPENAAAAAEDEEPAAKLLGTAFPFASSATSQLVLERIMSQSMHNGQEISPLTSGRLSHSSGPLNGSLTEETDSPPVSPSEEMEDVVKYLQANNTLQPLFTNIRGGYVGGGGGGSGSGGAGTPAGGKTVGRWLKERREKKKEESRAQNAQLHAVVSVAGVAASVAAIAAATAAASSSNGKDENTAKADMAVASAAMLVAAQCVETAEAMGADRDHLMAAISSAVNVRSHGDISTLTAAAATALRGAATLKARALKEVWNMASVIPSDEVAAAAAGRGGKGGRSNNSNSNGNGYCEGLDIEENFLGVCNQELLARGRELLKRTRNGDLHWKIVSVYIHRSGEVVLKMKSKHVANTITKKKKNVVLEVCKDIAAWPGRHLFEGGEQFRYFGLKTQVRGLIEFECKNQKEYEMWTQGVSRLLSIVAERKLRRFHN >itb02g22540.t1 pep chromosome:ASM357664v1:2:22015836:22018794:1 gene:itb02g22540 transcript:itb02g22540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MVALRPHLNRRLICKQSWRLITILKLKCNTISHIHQVQAQAVTRGCLSPFNQTPLLTQILHSFTSLLPLPAFTPSIAAHLNYATAIFNLIRTPSSFCYNTVIRSHALLSSPTTALGFFTEMQRSGVPPDSHTFPFVLKACASLGSSFLARAIHCQALRFGFLVDVYVVNNLVHAYCLNGGVEDAFQVFDESCYRDVVSYNVMIDGFVKAGETGKARELFDEMPVRDTFSWGALIAGYAKMGQCRDAINLFDQMLDLNFLKPCNTSLVSALSACSQLGELEKGMSIHNHIKQNGVCIDAFLATGLVDMYAKCGCIEAAREVFETCSEKNLFTWNAMLVGLAMHGHGKSLLDYFSQMVASGTTPDGVTFLGVLVGCSHAGIVDEARKLFGEMESVYGVPRELKHYGCMADLLGRAGLIKEAMEMIERMPMKGDVFVWGGLLGGCRTHGNVEIAEKAAERVMEMKPEDGGAYSILANVYANTERWDELVKIRRMRDGGRSIKKNGGCSLIHL >itb02g12830.t1 pep chromosome:ASM357664v1:2:8870219:8871459:1 gene:itb02g12830 transcript:itb02g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYDQPSSSNEEIFAENNNASTSLHSRCVATLKSPNSYTSSLVLAGKFLFTGSSDKEIRMWKRHQNPTDDDDDVVVAGKGAVKSLVVSADKIFSAHQDNKIRVWKINNNQNSGTQNLAHLATLPILSDRAIKYLRPKNHVQIRRHKTSTWVHHVDAVSALALSRDESLLYSVSWDRTLKIWSTADFKCIQSIENAHDDAINALAASRDGQVYTGSADKKIKVWGKPHGDDEKKHSLVATLEKHNSGINALAVDMNGSVLYSGSCDGSVLVWEKDCGGRAVAALRGHEKAVLCLGVVADLVCSGSADKTIRIWRQRCYSCVAVLAGHDGPVKCLTAASDCHNEGDQTSSYLLYSGGLDGDTKVWEIFVLPRK >itb13g00810.t2 pep chromosome:ASM357664v1:13:741571:746640:-1 gene:itb13g00810 transcript:itb13g00810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFQATALVASPSCANAIAWSDENLLAVASGHLVSILNPAKLFGGARGLITVPASKPFHVGLIGKKDLLSSCLLPTCVSRDIRPCVRSISWSPIGFAPNASCLLALCTNEGRVKVYRMPFREFRVEWVEVMDISEMLYDYLKKANFEVSSISSNVSDTTEAGSDPEYTDDVPISQFMKANKKRKQKGLTVVAGAVKEKDSHRVPASEAKHSKAAARNSGLPLIAAEEYASRNAMLSLLTVAWSPYLQSACGGDIPSTDGSTNGCTVLAVGGKSGRLSFWRFRKPQCYYTQSGTDSNSAMLVGFLQAHDTWVTAITWTLQVSDASNPRVLLCTGSSDGSVKIWRACNRELQDSSDISHDSFSLLKEVTVIDYAPVSVLSVIVPLDSPRKTYLAIGKGSGSIDVLVCETPNNTFEKAGSYHGHNHIVTGLAWAFDGRCLYSCSQDNSLCSWIFMENSLRKVPFPSNTPAVKSSSDVPNAFDSCFGLAVSPGNLVLAVVHGYDVELLNPMYEARACKAAVAFRWIGGQHLDTFSDILPDCDFESFPGLSNREMISWENNIMWSLRQCEHLEKAVVVWDVIAALSAFNESDHKYVERVLLKWLAYIFRSQSSISTILSEASRHLPRIASRVLQLLNIITSQVALKKPEADKTISKQRDDAEEEEMMMWMQLRSNVEKELRERLVGFSLSVISSLVSNSNENNGHKEFGCWTPIGSAQMEKWVDLNRANVNEHLKLLAEKVGRTKKRKLRSICEYKTEEECNFCSASVPLDSPENAFCRGEKTDAGTGPSHKLLRCAVSMRVCLPTPSWHCVCCKRSASQLVPLALFTLPEYPSDFESFVGRCAHEKPVKPLCPFCGILLQRLQPEFLLSPSPV >itb13g00810.t1 pep chromosome:ASM357664v1:13:741571:746914:-1 gene:itb13g00810 transcript:itb13g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFQATALVASPSCANAIAWSDENLLAVASGHLVSILNPAKLFGGARGLITVPASKPFHVGLIGKKDLLSSCLLPTCVSRDIRPCVRSISWSPIGFAPNASCLLALCTNEGRVKVYRMPFREFRVEWVEVMDISEMLYDYLKKANFEVSSISSNVSDTTEAGSDPEYTDDVPISQFMKANKKRKQKGLTVVAGAVKEKDSHRVPASEAKHSKAAARNSGLPLIAAEEYASRNAMLSLLTVAWSPYLQSACGGDIPSTDGSTNGCTVLAVGGKSGRLSFWRFRKPQCYYTQSGTDSNSAMLVGFLQAHDTWVTAITWTLQVSDASNPRVLLCTGSSDGSVKIWRACNRELQDSSDISHDSFSLLKEVTVIDYAPVSVLSVIVPLDSPRKTYLAIGKGSGSIDVLVCETPNNTFEKAGSYHGHNHIVTGLAWAFDGRCLYSCSQDNSLCSWIFMENSLRKVPFPSNTPAVKSSSDVPNAFDSCFGLAVSPGNLVLAVVHGYDVELLNPMYEARACKAAVAFRWIGGQHLDTFSDILPDCDFESFPGLSNREMISWENNIMWSLRQCEHLEKAVVVWDVIAALSAFNESDHKYVERVLLKWLAYIFRSQSSISTILSEASRHLPRIASRVLQLLNIITSQVALKKPEADKTISKQRDDAEEEEMMMWMQLRSNVEKELRERLVGFSLSVISSLVSNSNENNGHKEFGCWTPIGSAQMEKWVDLNRANVNEHLKLLAEKVGRTKKRKLRSICEYKTEEECNFCSASVPLDSPENAFCRGEKTDAGTGPSHKLLRCAVSMRVCLPTPSWHCVCCKRSASQLVPLALFTLPEYPSDFESFVGRCAHEKPVKPLCPFCGILLQRLQPEFLLSPSPV >itb04g32070.t1 pep chromosome:ASM357664v1:4:34762771:34766409:1 gene:itb04g32070 transcript:itb04g32070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKVSESHLTSAAAFVEGGVQDACDDACSICLEAFSDNDPSSVTVCKHEFHLQCILEWGQRSSQCPMCWQSLSLKDPNSQELFDAVEHERNMRMNPPRNTTIFRHPTLGDFELQHLPVSSTDSELEERIIQHLAAAAAMGRARHLARRGGQRGRASSQGHPHFLVFSTNPNPSPTVPAFSTQRTGGEPASPVPVSGSTPLVVVGEGSGQFVALPSSAQTDSISGAGLSAGVGQLGTSSNNNRGSPSQSSPHNQNRAGPSDFQSFSESIKSRLSAMSTRYKESITKSTRGWKERFFSRNNSMQDHDGSEAQNEVSSDLATVSRLMEHPETRETSRTPVSPLSNRLEEDLPHLLADRSEPQISEIDGNHSLSEGNSQTPCATSSSH >itb04g32070.t3 pep chromosome:ASM357664v1:4:34762771:34766409:1 gene:itb04g32070 transcript:itb04g32070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKVSESHLTSAAAFVEGGVQDACDDACSICLEAFSDNDPSSVTVCKHEFHLQCILEWGQRSSQCPMCWQSLSLKDPNSQELFDAVEHERNMRMNPPRNTTIFRHPTLGDFELQHVCTIIIIIILFAFRDVPACTIFPILIDNHLAYSLFQLPVSSTDSELEERIIQHLAAAAAMGRARHLARRGGQRGRASSQGHPHFLVFSTNPNPSPTVPAFSTQRTGGEPASPVPVSGSTPLVVVGEGSGQFVALPSSAQTDSISGAGLSAGVGQLGTSSNNNRGSPSQSSPHNQNRAGPSDFQSFSESIKSRLSAMSTRYKESITKSTRGWKERFFSRNNSMQDHDGSEAQNEVSSDLATVSRLMEHPETRETSRTPVSPLSNRLEEDLPHLLADRSEPQISEIDGNHSLSEGNSQTPCATSSSH >itb04g32070.t2 pep chromosome:ASM357664v1:4:34762771:34766409:1 gene:itb04g32070 transcript:itb04g32070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKVSESHLTSAAAFVEGGVQDACDDACSICLEAFSDNDPSSVTVCKHEFHLQCILEWGQRSSQCPMCWQSLSLKDPNSQELFDAVEHERNMRMNPPRNTTIFRHPTLGDFELQHLPVSSTDSELEERIIQHLAAAAAMGRARHLARRGGQRGRASSQGHPHFLVFSTNPNPSPTVPAFSTQRTGGEPASPVPVSGSTPLVVVGEGSGQFVALPSSAQTDSISGAGLSAGVGQLGTSSNNNRGSPSQSSPHNQNRAGPSDFQSFSESIKSRLSAMSTRYKESITKSTRGWKERFFSRNNSMQDHDGSEAQNEVSSDLATVSRLMEHPETRETSRTPVSPLSNRLEEDLPHLLADRSEPQISEIDGNHSLSEGNSQTPCATSSSH >itb04g32070.t4 pep chromosome:ASM357664v1:4:34762771:34766409:1 gene:itb04g32070 transcript:itb04g32070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKVSESHLTSAAAFVEGGVQDACDDACSICLEAFSDNDPSSVTVCKHEFHLQCILEWGQRSSQCPMCWQSLSLKDPNSQELFDAVEHERNMRMNPPRNTTIFRHPTLGDFELQHLPVSSTDSELEERIIQHLAAAAAMGRARHLARRGGQRGRASSQGHPHFLVFSTNPNPSPTVPAFSTQRTGGEPASPVPVSGSTPLVVVGEGSGQFVALPSSAQTDSISGAGLSAGVGQLGTSSNNNRGSPSQSSPHNQNRAGPSDFQSFSESIKSRLSAMSTRYGYTKHFMLASVFMISEL >itb10g08630.t1 pep chromosome:ASM357664v1:10:11069729:11071385:1 gene:itb10g08630 transcript:itb10g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQLSSVQTLSTEWATNRCKGSLIIQVVNEENNFCSHRKKEEEEATVYSKSRSQSSVAVFPSLRPRDQAPPPRRRPPPHATMSHHQSSPRLHLRPSALHSASTFW >itb02g18130.t1 pep chromosome:ASM357664v1:2:14551806:14554778:1 gene:itb02g18130 transcript:itb02g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTMFRISILMFISSPCVIHSQLGIGLPIKLKLHAIGPEDVAFNGKGQGPYTGVADGRILEYYNDKFIDFATTSPFRTKEICDGTNDPNLQLTCGRPLGLEFHRRTGDLYIADINYGLLRVGLKGGLATQLAAGVNGKNFSFTNAVALDESTNTAYFIDSGKIFRTGNFTKVAQSGDTSGRLLKYEMNTGQVKVVLRRLSGPTGLAISKDKTFLVISEFIGRKITKHIIKGPKAGLTQTILKLEGQPDNVKWATSGGFWVAVNILKPPQPEQLIPMTESIAVKFDINGKILDKKNVTLGYPNSFSGYLEYFGKAYTGSLVPDFLGVYRLK >itb11g09830.t1 pep chromosome:ASM357664v1:11:6673726:6676692:-1 gene:itb11g09830 transcript:itb11g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSRKEEKCNNKVLFDLVPKESEWVVKKEGRSHGVCEEKKLELRLGPPDGDWCVKDSSKVRGRDDSSSSHLSFTHNFSCATAKRGFLDPPVNGAQPHQFSSFLQLQSPSQCMHVRPQEPSQPGCSKGVDLNSSEKMAFSPPAVPNTSQKRTAPSPVVGWPPLRSFRKNLASSSSLKQIPESQNAVSNKFSSEKPMERSQQKGFFVKINMDGIPIGRKVDLSAYDSYEKLASAVNELFKGLLAAQTEGGNRNKEGGEKAITGLLDGSGEYTLVYEDNEGDTMLVGDDVHWQMFVSTVKRLRVLKTSELSTLSRGKQVKL >itb11g09830.t2 pep chromosome:ASM357664v1:11:6673726:6676692:-1 gene:itb11g09830 transcript:itb11g09830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSRKEEKCNNKVLFDLVPKESEWVVKKEGRSHGVCEEKKLELRLGPPDGDWCVKDSSKVRGRDDSSSSHLSFTHNFSCATAKRGFLDPPVNGAQPHQFSSFLQLQSPSQCMHVRPQEPSQPGCSKGVDLNSSEKMAFSPPAVPNTSQKRTAPSPVVGWPPLRSFRKNLASSSSLKQIPESQNAVSNKFSSEKPMERSQQKGFFVKINMDGIPIGRKVDLSAYDSYEKLASAVNELFKGLLAGFVLITKNVFFFFFLCTNFLVTVF >itb07g11950.t3 pep chromosome:ASM357664v1:7:13501317:13506334:1 gene:itb07g11950 transcript:itb07g11950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSSPVKDNKLLKGIFAVSGIMSTLVIYGVLQEKIMRVPYGSNKEYFTYSLFLVFCNRISTSAVSAGVLLASKKVLDPVAPVHKYSVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYQGPDYLLAFMVTAAGDINPFNRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMDIHNQIFYTTLCSCFLSFIGLILQGNLVMAIEFVSLHHDCFFDILLLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIFLSCLWFGHPLSWEQCIGAVIVFGSLYSKSFLRKKPKPLPMEDPENGAPMPSKGNNI >itb07g11950.t4 pep chromosome:ASM357664v1:7:13501332:13506458:1 gene:itb07g11950 transcript:itb07g11950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSSPVKDNKLLKGIFAVSGIMSTLVIYGVLQASKKVLDPVAPVHKYSVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYQGPDYLLAFMVTVGCSLFILYPAAGDINPFNRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMDIHNQIFYTTLCSCFLSFIGLILQGNLVMAIEFVSLHHDCFFDILLLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIFLSCLWFGHPLSWEQCIGAVIVFGSLYSKSFLRKKPKPLPMEDPENGAPMPSKGNNI >itb07g11950.t5 pep chromosome:ASM357664v1:7:13501323:13506489:1 gene:itb07g11950 transcript:itb07g11950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSSPVKDNKLLKGIFAVSGIMSTLVIYGVLQEKIMRVPYGSNKEYFTYSLFLVFCNRISTSAVSAGVLLASKKVLDPVAPVHKYSVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYQGPDYLLAFMVTVGCSLFILYPAAGDINPFNRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMDIHNQIFYTTLCSCFLSFIGLILQGNLVMAIEFVSLHHDCFFDILLLSTVATASQFFISYTIRTFGALTFATIMTTRQVRRGNLTFKLF >itb07g11950.t2 pep chromosome:ASM357664v1:7:13501317:13506434:1 gene:itb07g11950 transcript:itb07g11950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSSPVKDNKLLKGIFAVSGIMSTLVIYGVLQEKIMRVPYGSNKEYFTYSLFLVFCNRISTSAVSAGVLLASKKVLDPVAPVHKYSVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYQGPDYLLAFMVTVGCSLFILYPAAGDINPFNRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMDIHNQIFYTTLCSCFLSFIGLILQGNLVMAIEFVSLHHDCFFDILLLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIFLSCLWFGHPLSWEQCIGA >itb07g11950.t1 pep chromosome:ASM357664v1:7:13501317:13506489:1 gene:itb07g11950 transcript:itb07g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSSPVKDNKLLKGIFAVSGIMSTLVIYGVLQEKIMRVPYGSNKEYFTYSLFLVFCNRISTSAVSAGVLLASKKVLDPVAPVHKYSVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTLIMQKKYQGPDYLLAFMVTVGCSLFILYPAAGDINPFNRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMDIHNQIFYTTLCSCFLSFIGLILQGNLVMAIEFVSLHHDCFFDILLLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIFLSCLWFGHPLSWEQCIGAVIVFGSLYSKSFLRKKPKPLPMEDPENGAPMPSKGNNI >itb09g07490.t1 pep chromosome:ASM357664v1:9:4363611:4374086:-1 gene:itb09g07490 transcript:itb09g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSEGEESNKNVNHSPSDGGQHKKPKRQMKTPFQLQTLEKAYAMETYPTEATRAELSDKLGLTDRQLQMWFCHRRLKDKKEAAGGSAVKPHVGHADGIGGKRSFMESPRDEEMAEPGSDHGSGSGSGSRSSQFDNGDDMPTGPLKYYEPPRVVKQHQIIACIEVQLGHPLREDGPVLGVEFDELPPGAFGASIVETPHQDRYQLSYDRMSFGSREAKQINTLPKTSCEPAVHKARSDRYGQVGPSYIYDSPVNGPSAKTLSVTESSGNLPREYCAEGHASSANLLFQQSRQGQFSSPTGDGEFIPCNEGTSQMERKRKTDEARTEREVQAHEKRIRKELEKQDLLRKKMEEQMKKEMEKQDRERRKEEKRMMREQQRMEERFQREERREMERREKFMQKELIKAERKRQKEELRREREAAKQKAAMERAAARKFAKESMELIEDERLELMELAASSKGLPSILSLDYNTLQNLELFREFLCDFPPKSVQMKKPFPIQPWIDSDDNVGNLLMAWRFCLNFADVLELWPFTLDEFVQGFHDYDSRLLSEIHIVLLKLIIKDIEDVARTPSGGPGTNQYSAVNPEGGHPKIVEGAYLWGFNICNWKKHLNLLTWPEVLRQLGLSAGFGPRLKKKEKEGANFNDSDETKGCEDIVSTLRSGSAAENAVAVMQEKGHMLQRKSRHRLTPGTVKFAAYHVLALEGEKGLNVIDLAEKIQKSGLRDLRTSKTPEASISVALSRDPVLFERIAPSTYCVRPAFRKDPADAEAIISAAREKIQRYLNGFLAGQNGDDEERDDDSECDATDVPEVDDLGTPCAANKPSDQQSEVEACSLNEKDILPEETLPNGFDTVGIRESNPGQEGEEIDESKSGEPWVQGLTEGEYSDLCVEERLNALTALISLANEGNSIRAILEERLDAANALKKQMWAEAQLDKRRTKDENLNKLPDSSFNAVAEGSQSPLAIPDYKNHEASATAEVNETSTGNTSVKRHLEIFSAEKSSVAQEVTTNLPSGHTAERSRMQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWLFVASGSSHDPGSGRIFVESPGGCWKVIDTEEAFDALLTSLETRGIRESHLHMMLQKIEVPFRERVRSTISDADSMVQHRNTTGNEANEASISPACYANADSPNSMVCNINFEGCEYSSSFKIELGRNEVEKGSALRKYQDFQIWMWKEFFGSSNLCSVESRKKRCSPLLGICDACLDSYLFEEGLCPSCHRAFGKVDGIITFLEPSINVDKIKVDSKDLIVSSPPRIRMIKALLSMLEVSIPSEALQPSWTEECRRIWGLRLHNSSSTEDLLQNLTQLEGFLKRDYLSSSFETTKELLGCSTSRFTACHSAFPGSVPELPWIPQTTAAVGLRLLELDAAVSYDPDQNTNELKVSFIKPALGYASTKNLQKVEPMVLDQNGQLKEENWNYTGSAPGRQVARSKGFGRPGGRWPKETAASVSGSSRPSARHSETLTQVLMQQGEAQGHKHSRGRRTMRRRRTEKLVIDEIQPGDEANLERYAQSPRSNGHEREAIDMNIGRMQVDNNDNSSSEESDDSAQENPYEFGKRQVHFKVSNRGDDMMEITNDDVDDSSDDDHCGYPDYNQNLGGDVDMEDGEDELDGNGDSEDDQGSDSEVHGDYSD >itb09g07490.t3 pep chromosome:ASM357664v1:9:4363611:4373898:-1 gene:itb09g07490 transcript:itb09g07490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSEGEESNKNVNHSPSDGGQHKKPKRQMKTPFQLQTLEKAYAMETYPTEATRAELSDKLGLTDRQLQMWFCHRRLKDKKEAAGGSAVKPHVGHADGIGGKRSFMESPRDEEMAEPGSDHGSGSGSGSRSSQFDNGDDMPTGPLKYYEPPRVVKQHQIIACIEVQLGHPLREDGPVLGVEFDELPPGAFGASIVETPHQDRYQLSYDRMSFGSREAKQINTLPKTSCEPAVHKARSDRYGQVGPSYIYDSPVNGPSAKTLSVTESSGNLPREYCAEGHASSANLLFQQSRQGQFSSPTGDGEFIPCNEGTSQMERKRKTDEARTEREVQAHEKRIRKELEKQDLLRKKMEEQMKKEMEKQDRERRKEEKRMMREQQRMEERFQREERREMERREKFMQKELIKAERKRQKEELRREREAAKQKAAMERAAARKFAKESMELIEDERLELMELAASSKGLPSILSLDYNTLQNLELFREFLCDFPPKSVQMKKPFPIQPWIDSDDNVGNLLMAWRFCLNFADVLELWPFTLDEFVQGFHDYDSRLLSEIHIVLLKLIIKDIEDVARTPSGGPGTNQYSAVNPEGGHPKIVEGAYLWGFNICNWKKHLNLLTWPEVLRQLGLSAGFGPRLKKKEKEGANFNDSDETKGCEDIVSTLRSGSAAENAVAVMQEKGHMLQRKSRHRLTPGTVKFAAYHVLALEGEKGLNVIDLAEKIQKSGLRDLRTSKTPEASISVALSRDPVLFERIAPSTYCVRPAFRKDPADAEAIISAAREKIQRYLNGFLAGQNGDDEERDDDSECDATDVPEVDDLGTPCAANKPSDQQSEVEACSLNEKDILPEETLPNGFDTVGIRESNPGQEGEEIDESKSGEPWVQGLTEGEYSDLCVEERLNALTALISLANEGNSIRAILEERLDAANALKKQMWAEAQLDKRRTKDENLNKLPDSSFNAVAEGSQSPLAIPDYKNHEASATAEVNETSTGNTSVKRHLEIFSAEKSSVAQEVTTNLPSGHTAERSRMQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWLFVASGSSHDPGSGRIFVESPGGCWKVIDTEEAFDALLTSLETRGIRESHLHMMLQKIEVPFRERVRSTISDADSMVQHRNTTGNEANEASISPACYANADSPNSMVCNINFEGCEYSSSFKIELGRNEVEKGSALRKYQDFQIWMWKEFFGSSNLCSVESRKKRCSPLLGICDACLDSYLFEEGLCPSCHRAFGKVDGIITFLEPSINVDKIKVDSKDLIVSSPPRIRMIKALLSMLEVSIPSEALQPSWTEECRRIWGLRLHNSSSTEDLLQNLTQLEGFLKRDYLSSSFETTKELLGCSTSRFTACHSAFPGSVPELPWIPQTTAAVGLRLLELDAAVSYDPDQNTNELKKPALGYASTKNLQKVEPMVLDQNGQLKEENWNYTGSAPGRQVARSKGFGRPGGRWPKETAASVSGSSRPSARHSETLTQVLMQQGEAQGHKHSRGRRTMRRRRTEKLVIDEIQPGDEANLERYAQSPRSNGHEREAIDMNIGRMQVDNNDNSSSEESDDSAQENPYEFGKRQVHFKVSNRGDDMMEITNDDVDDSSDDDHCGYPDYNQNLGGDVDMEDGEDELDGNGDSEDDQGSDSEVHGDYSD >itb09g07490.t2 pep chromosome:ASM357664v1:9:4363613:4373877:-1 gene:itb09g07490 transcript:itb09g07490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSEGEESNKNVNHSPSDGGQHKKPKRQMKTPFQLQTLEKAYAMETYPTEATRAELSDKLGLTDRQLQMWFCHRRLKDKKEAAGGSAVKPHVGHADGIGGKRSFMESPRDEEMAEPGPLKYYEPPRVVKQHQIIACIEVQLGHPLREDGPVLGVEFDELPPGAFGASIVETPHQDRYQLSYDRMSFGSREAKQINTLPKTSCEPAVHKARSDRYGQVGPSYIYDSPVNGPSAKTLSVTESSGNLPREYCAEGHASSANLLFQQSRQGQFSSPTGDGEFIPCNEGTSQMERKRKTDEARTEREVQAHEKRIRKELEKQDLLRKKMEEQMKKEMEKQDRERRKEEKRMMREQQRMEERFQREERREMERREKFMQKELIKAERKRQKEELRREREAAKQKAAMERAAARKFAKESMELIEDERLELMELAASSKGLPSILSLDYNTLQNLELFREFLCDFPPKSVQMKKPFPIQPWIDSDDNVGNLLMAWRFCLNFADVLELWPFTLDEFVQGFHDYDSRLLSEIHIVLLKLIIKDIEDVARTPSGGPGTNQYSAVNPEGGHPKIVEGAYLWGFNICNWKKHLNLLTWPEVLRQLGLSAGFGPRLKKKEKEGANFNDSDETKGCEDIVSTLRSGSAAENAVAVMQEKGHMLQRKSRHRLTPGTVKFAAYHVLALEGEKGLNVIDLAEKIQKSGLRDLRTSKTPEASISVALSRDPVLFERIAPSTYCVRPAFRKDPADAEAIISAAREKIQRYLNGFLAGQNGDDEERDDDSECDATDVPEVDDLGTPCAANKPSDQQSEVEACSLNEKDILPEETLPNGFDTVGIRESNPGQEGEEIDESKSGEPWVQGLTEGEYSDLCVEERLNALTALISLANEGNSIRAILEERLDAANALKKQMWAEAQLDKRRTKDENLNKLPDSSFNAVAEGSQSPLAIPDYKNHEASATAEVNETSTGNTSVKRHLEIFSAEKSSVAQEVTTNLPSGHTAERSRMQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWLFVASGSSHDPGSGRIFVESPGGCWKVIDTEEAFDALLTSLETRGIRESHLHMMLQKIEVPFRERVRSTISDADSMVQHRNTTGNEANEASISPACYANADSPNSMVCNINFEGCEYSSSFKIELGRNEVEKGSALRKYQDFQIWMWKEFFGSSNLCSVESRKKRCSPLLGICDACLDSYLFEEGLCPSCHRAFGKVDGIITFLEPSINVDKIKVDSKDLIVSSPPRIRMIKALLSMLEVSIPSEALQPSWTEECRRIWGLRLHNSSSTEDLLQNLTQLEGFLKRDYLSSSFETTKELLGCSTSRFTACHSAFPGSVPELPWIPQTTAAVGLRLLELDAAVSYDPDQNTNELKVSFIKPALGYASTKNLQKVEPMVLDQNGQLKEENWNYTGSAPGRQVARSKGFGRPGGRWPKETAASVSGSSRPSARHSETLTQVLMQQGEAQGHKHSRGRRTMRRRRTEKLVIDEIQPGDEANLERYAQSPRSNGHEREAIDMNIGRMQVDNNDNSSSEESDDSAQENPYEFGKRQVHFKVSNRGDDMMEITNDDVDDSSDDDHCGYPDYNQNLGGDVDMEDGEDELDGNGDSEDDQGSDSEVHGDYSD >itb14g20140.t1 pep chromosome:ASM357664v1:14:22600631:22618805:-1 gene:itb14g20140 transcript:itb14g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATLLKDFKEKVGLAQPPSAVSSPSASASSSFTDNYALPTNHNLDFSLSPSRDKHELELDFKRYWEEFRSSSLEKEKEKALNMTVEIFCRLVKQHANVAQIVTMLVETHIFSFVVGRAFVTDIEKLKLSSKARSLEVERVLGFFSEITKDGINPGANLLYAVEVLVSGPIDKQSLLDSGILCCLIHILNSLLGPNEGLLRQSVDNNTEQGLAENNHDDDAASRRRLEVEGSVVHIMKALSSHPSAAQSLIEDNSLQLLFQMVAMGSLIAFSQYKEGLLRLHTIQLHRHAMQILGLLLANDNGSTAKYIRKYHLIKVLLMAVKDFNPDCGDSAYTMGIVDLLLECVELSYRPEAGGVKLREDIHNAHGYQFLVQFALVLSKDQSGQTFYSESLPCQEPASDDHHGANSAESNDSIKKAAESSEKNLSPTLSRLLDALVCLAQTGPTLKTSKSAHAKPSGSGRSLTSSSERIGDEIWEKDNDKVKDLEAVQMLQDIFLKADSRELQVEVLNRMFKIFSSHIENYKLCQQLRTVPLFILNMDGFPSTLQEIILKILEYAVTVVNCVPEQELLALCCLLQQPITSELKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHQLLSSPQQHDGEPNQLERKSSPSSFKKHLSSKDAILSSSKLLEPGSGKLPIFEVEGTIAIAWDCMVSLLKKAEPNQAMFRSSNGVTTVLPFLVSEIHRPGALRALSCLIIEDATQSHPEELGAVVDILKSGIVTSALGSQYRIQDDAKCDIFGALWRILGVNNSAQRVFGESTGFSLLLTTLHSFQTDGELANQSSSAIYIKVFTYLLRVMTAAVCDNAVNRTKLHAIISSHTFYDLLLESGLICVDCERQVIQLLLELSLEMVLPPFLMSEDAALSRTNDNESACFLLITSSGSVVPENERVYNAGAVRVLLRNLLRFTPKLQLEVLSLLDKLARASSFNQENLTSVGCVELLLEMIYPFLSGSSPLLSHALKIVEVLGAYRLSTSELRILVRYILQMRLATSGRFLVDMMEKLILNEDIASEDVSLAPFIEMDMSKMGHASIQVPLGERSWPPAAGYSFICWFQFRKFFKSQAKEAEASRTGYSKKQAVAGGQHHGPHVLRIFSVGDAESSNAFYAEICLQEDGVLTLATSNSSSLSFSGLEMEEGRWHHLAVVHSKPNALAGLFQSSIAYVYLNGKLRHTGRLGYSPSPPGRSLQVIIGTPVTCARVSDLSWRLRSCYLFEEVLSPGSICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDTDMPLASTTQKADNASKQRSSNADRSGIVWDFEKLGNLSLQLSGKKLIFSFEGTSTELLRASGTLSVLNLVDPMSAAASPIGGIPRFGRLIGDVYICKHCVIGETIRPIGGIAVVLALVEAAETRDMLHMALMLLACTLHQNPQNVRDMQQYRGYHLLALFLHRRMSLFDMQSLEIFFQIAACEASFSEPKKLEAAQKILPPTSAISEGDVEVLSFSKFREEFSSVGSHGDMDDFSAPKDSFSHISELENTDMPAETSNCIVLSNADMVEHVLLDWTVWVTAPIPIQIALLGFLENLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKFVVLLGVILEDGFLPSELEQVVRFVIMSFDPPELLSRNQINRESMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKIVSSKLITYFLDEAVHPTSMRWVMTLLGVCLVSSPTFALKFRSSGGYQGLARVLPSFYDSPDIYYILFCLIFDKPVYPRLPEVRMLDFHALMPSDGNYGELKFTELLESVIAMAKATFDRLCMRAMLAHQTGNLSQISAGIVAELADEYTDWSGELQGEALVHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAVCRRAEFLETCIDLYFSCVRASHAVKMAKQLSVTVEEKTLVDDGDETCSSQNTFSSMPHEQEQSAKSSISLGSFPPGQVSSSSEDMLVVPNKVTGDKGEMRIKVTGDKGEMRNKVTGDKGEISVSSDQKDFKKEAKEDAQISATLDGEVVDLASTTSSNEFNFRDMKSSLDPTAQADSQSTASFTNVESPILSERSYAKSPLTAYSSPVVALTSWLGAANNNDNKGQIASTPSMVSYASFSEVDSFSDTKSSSQGQYAANTMFTISSKLLLEVDDSGYGGGPCSAGATAVLDFMAEVLSGLLTEQMKAVPIIEGILESAPLNIDVESILTFQGLWLIRLMNFLERRLLRDDEENEKKLDKSRWSLNLDALCWMIVDRVYMGAFPRPSSVLKTLEFLLSMLQLANKDGRVEEAAPTGKGILSIGRGNRQLDAYIHAILKNTNRMILFCFLPLFLVSIGEDELLSSLGLQIESKKRVPINSSPEDSGVDISTVLQLLVANRRIIFCPSNIDTDINSCLCINLISLLRDQRRNVQSMAVDILKYLLVHRRAALEDLLASKPNQGCYDVLRGGFDKLLTGNLSAFFEWLHSSEQEVNKVLEQSAALMWAQYITGSTKFPGVRIKGIDSRRKKETGRKLKDNSKLDARHWEQINERRIALELVRDAMATELRVIRQDKYGWVMHAESEWQSHLQQLVHERGIFPVSKLFSGDEPEWQLCPIEGPYRKRKKLERCKLKIDTIQNVLNGQFELGELELYKEKTENDLNASDAGSDYFFNLLTDNPRQDTFDSDLYGGSIFKEVDEFKDAASSKTGWNDHDSSINEASLSSAAFGVKSSTASHRKDESLPGRSDLGSPRQSSSARIDDVRMSGEKSEKELNDNGEYLIRPFLEPFEKIRCKYNCERVLGLDKHDGVFLIGELSLYVIENFYIDESGCICEKESEDDLSVIDQALGVKKDFSCSMDSHSKSSSSWAATAKAYVGGRAWAYNGGAWGKERVCSNGTVPHPWRMWKLDSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKREREEVFKNLVAMNLPRNSMLDTTISGSIKQESNEGSRLFKVMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLTNPKTFRRLDKPIGCQTGEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSVENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYLPEFLENRFNLELGEKQSGEKVGGVLLPPWAKGSTREFIRRHREALESDFVSENLHHWIDLIFGCKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKHSLHLVPHEIRKSASSISQIVTVNDKILVAGANTFLKPRTYTKYVSWGYPDRSLRFMSYDQDKLLSTHENLHGGYQIQCVGASLDGCLLATGGDDGLVCIWRIGKDSGPRALRHLQLEKALCAHTSKITCLNVSQPYMMIVSGSDDCTVILWDLSSMVFVRQLPEFPSPVSAIYVNDLTGEIVTAAGIMLAVWSINGDCLAVVNTSQLPSDFILSLTGCTNSDWLETKWYVSGHQSGAIKVWKMVHCSSEECAQSKPTSGNPTAGLRLGDKAPEYKLILHKVLKCHKHPVTALYLTSDLKQLLSGDSVGHLHSWTLPEESLKLVANQG >itb15g13130.t1 pep chromosome:ASM357664v1:15:11096907:11099317:1 gene:itb15g13130 transcript:itb15g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATSPNSQPQSETVETQSEEQRLKYLEFVQVAALHAILCAAKVYSYAKENLGPLKPGVQTVEGTVKTVVGPVYDKVHNVPGEVLKFVDRKVDESVHKIEDRVPPSVKQVSTQAFLTAQMAPGYVRDVVSEVKSTGVILAKSVYTQYQPAAKGLYNKYEPVAEQYASSAWRSLNQLPLVPKVAQAVAPTASYWSERYNHTVQVGAEKGYKVASYLPLVPTEKIAKLLSNGSPVETS >itb12g26780.t1 pep chromosome:ASM357664v1:12:27525780:27528126:-1 gene:itb12g26780 transcript:itb12g26780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHFVIDKTCDGTRDISKVSLGRSQHVKTNCVLFNPALGFYGKSVLTDTFTQHSTLALSAVLAFISDFFLFKAVEFQWVCLGWCSCSMDETIGPRLYSCYKCKNHVSVHDDIISKDFQGRNGRAFLFSHVMNIRVGTKEDRHLTTGLHTVADIFCADCHELVGWKYERAYEPTQKYKEGKFILEKSKIVKENW >itb12g26950.t1 pep chromosome:ASM357664v1:12:27609445:27609735:-1 gene:itb12g26950 transcript:itb12g26950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAKPTGRREVKVVCFKVTVDDRRDNGHGLLFTQRRRFNTTPMQFDDQIDNVVVFNDVVLFLEKHASINGNDKDDMSYASHVYGENIGIRHFCF >itb05g16620.t1 pep chromosome:ASM357664v1:5:23797320:23799868:1 gene:itb05g16620 transcript:itb05g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASASSCRSRFLTEWCRKRELNTKWRFNALRGKQKLKWVKTRTQMVNASTYSSRISTDIPLYEVPGASFDRYLEDKPRVFKAIFPDKRRSQQLNEEEWRIHMLPIQFLFLTVLPVIDMRLQCKSQGIGYPSGVPTDVSKVLELDIIRWELQGLDDMLKPSQFSLGVKGALYPDRRGLRSRLKGQLQLTISFVLPPVLALVPEHVRQDVAESVLKTLVENMKTKVNGSLLADYSEFKRETSRSSLV >itb03g08570.t1 pep chromosome:ASM357664v1:3:6430720:6432292:1 gene:itb03g08570 transcript:itb03g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSEVEEQTLLSKYAELLNCGALLKLKTREKKFKPIADHVNAVHHLQDPVLFPFKWSWRDVSIKVQNMRHQYLGVKQKIRTPNNEFNWKDGENHWENFMKYKEVFGDVELELKDCNFNCSKKFGDDPFADGGMLGLGLGFGIDSEESEEGEEDEDEEEEEEELGDDVGISEEAELGNGERVGDSGCGEGKKLKKGISQKRFRMVGARLLNLRDVVLRREEKRRERDWSREEEMMEREHRRRDTELQRERHEEEKESEWEMRELKMEERQMMWTKKELETRLRLERELAEERQRRIKLEEKWEEEEMEWRERLVSMQLEHEKQMMQMHADACQNQMQILGVMTRLMCQFFGVANDGLGSGLGTLPHQVLQNLQHPGGLEDNGKPDAASPSEFCRL >itb10g02590.t1 pep chromosome:ASM357664v1:10:2236080:2239776:1 gene:itb10g02590 transcript:itb10g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPLHLLNATIHAAKHQPKILASCALFSSTLHSCLETQDKHEGQRQTCQHIEKQKQEPSIWSAARIQKLIASQSDPLLSKEIFDLLSRQPNFKHSYAAFHTLIIKLGRARHFSLMQSVLYSLKSQQFSISPSLFSNIIQIYGDAGLPDKALKTFYTILEFNMKPRPKHLNQILEILVAHQYFIRPALDLFKSAHRYGVSPNTKSYNILMQVLCLNDDLSIAYSLFNQMFKRDVLPDVESYRIMMQGLCRKSQVNKAVDLLEDMLNKGFIPDTLSYTTLLNSLCRKKQLREAFKLLCRMKVKGCNPDIVHYNTVILGFCREGRALDACKVLEDMPANGCLPNLVSYRTLVGGFCSQGMYDEAKGYVQEMMSKGFSLHFSVVHVLVKGFCNLGKIEEACGVVEVLLKHQNIVHIDTWAEIIPKICEEDNAGKWGDILKEITKVEIKPDSRIVDVGAGLGEFLINKIKSRSSMI >itb04g04910.t1 pep chromosome:ASM357664v1:4:3061977:3065138:-1 gene:itb04g04910 transcript:itb04g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAIVSTSSIADTQFPKPRFCDSYRHSSSRFCCFRARGEVGFCRSLQGRRQLKLGSRAANGGVSRRRQKLRKFVVFGELKGQTDDSFNDVKDEILNMMTYKAVRTVLHQLYEMNPPQYTWFYNFVATQGPNTGKGFLMELFKERRELAERVMITRLHLYTIWMKRCDHAEMYKRISKENVEMMRERLYQTVIWPSEDDENAENMDS >itb04g04910.t2 pep chromosome:ASM357664v1:4:3062856:3065138:-1 gene:itb04g04910 transcript:itb04g04910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAIVSTSSIADTQFPKPRFCDSYRHSSSRFCCFRARGEVGFCRSLQGRRQLKLGSRAANGGVSRRRQKLRKFVVFGELKGQTDDSFNDVKDEILNMMTYKAVRTVLHQLYEMNPPQYTWFYNFVATQGPNTGKGFLMELFKVIF >itb07g01590.t2 pep chromosome:ASM357664v1:7:958185:962216:1 gene:itb07g01590 transcript:itb07g01590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFPSKLAVKPSFISPTVLQPHRFTASSSAVAAFRVFSASTSTVVAVPPALERPAVTFEPQDLGKNGGRGRGRGGKEIQKAELKENWLNAISCPFPHEKPSPEWVIGVDPDVSGALALLKPDQSAQVFDSPHLKVMVGNRVRRRLDAKSIVQLLQSFEVPVGTTAYVEQSIPFPQDGKQGWWSGGFGYGLWIGILVTLGFSVVPVPSLSWKNKFKLAGSRSNKV >itb07g01590.t1 pep chromosome:ASM357664v1:7:958185:962216:1 gene:itb07g01590 transcript:itb07g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFPSKLAVKPSFISPTVLQPHRFTASSSAVAAFRVFSASTSTVVAVPPALERPAVTFEPQDLGKNGGRGRGRGGKEIQKAELKENWLNAISCPFPHEKPSPEWVIGVDPDVSGALALLKPDQSAQVFDSPHLKVMVGNRVRRRLDAKSIVQLLQSFEVPVGTTAYVEQSIPFPQDGKQGWWSGGFGYGLWIGILVTLGFSVVPVPSLSWKNKFKLAGSRSNKDDSREVASRLFPSMSSQLKRKKDHGRAEALLIAAYGKDLKISNDTVCV >itb09g04840.t1 pep chromosome:ASM357664v1:9:2735772:2737037:-1 gene:itb09g04840 transcript:itb09g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transfer-like protein VAS [Source:Projected from Arabidopsis thaliana (AT5G13900) UniProtKB/Swiss-Prot;Acc:Q9FFY3] MGCFWGVFGVAVIVVCGLGVESEAQNQKCINKLMPCMQYLNGSRDPPSSCCDPLKDVIKNMPECLCEMVSVRGSNEAQKAGIDINQAQMLPARCGQRVNYIGCVQGSESNAGSRSFQFASVITAASSLIILAEILWASYFADLWQTIS >itb12g13320.t1 pep chromosome:ASM357664v1:12:12154185:12156575:-1 gene:itb12g13320 transcript:itb12g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTASLGSSRSLLTKENTSTYLGKRKFSPAEDVFHQTAAADILKQEEDGGNQIPKTKIVCTLGPASRSVEMIGKLLNAGMSVARFNFSHGSHEYHQETLDNLRLAIKNTGIPCAVMLDTKGPEIRTGFLKDGKPIQLKKGQEITLTTDYTVDGDDTLISISYHKLATDVSPGSTILCSDGTLTLTVLNCDKDSGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTAKDKKDILGWGVPNKVDIIALSFVRKGSDVVMVRELLGPENAKNIVLMSKVENEEGVCNLEEILASSDAFMVARGDLGMEIPIEKIFVAQKVMIRKCNALGKAVVTATQMLESMIKCPRPTRAEATDVANAVIDGTDCVMLSGETAAGDYAEEAVRTMAKICLEAEKCLDYAQIFKKALENSPLPMNPLESLACAAVQTANSAKAAMVLVLSKDGNAAKLLAKYRPSMPILSALIGDDAPAGHGLIYRGILPVSISELKGNGGGEDEVTAAAIGYGKEKQLCHAGDTVVVTSPENGVINVLTIN >itb05g15170.t1 pep chromosome:ASM357664v1:5:22555053:22555917:1 gene:itb05g15170 transcript:itb05g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITSFSRRPNTLIPARRFLATPPPRTTGEKKMTRIAAAARRGDNNHQDHRCGGRNKIVDENMIVLRLRIKEMKALEGDDGDRTAEMHWMGWEKEYVKHYNEDVCEFVGLLQRFLMENRPALGLGLMAVVLGSVSLSTFVAIMHAMEMANGVLSHLN >itb06g02460.t1 pep chromosome:ASM357664v1:6:4249482:4264132:-1 gene:itb06g02460 transcript:itb06g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGIVKPPGAFSVTPHRVSICILIQIYAPPAQPSVPFPFSSVAQHNSLAMYLISLTKSCEDIFEPKLDELIAQLREVVGPLNDWLSENLTRRLSSLASPDDLFNFFNELRGVLGGSYSNDIDDDQISLDPSSNLGMFVRRCLLAFNLLSFEAACHLLTHIVTYCKESLSSCSHYELNFDDPSCDPEAPMEYENMELENFVYEKVNKEMEAKNIANERVSFHNHAPRALVGLVEGNVVSHSPKLEHIGKPEEFSPNGSSSCDASNGANSSVAMFLRTNWQIQGYLLDQASLIEKHGSSFLLNAFDSVLQQLQKLAPELHRVHFLRYLNSLYHDDFPAALENLHRYFDYSAGTEGCDYVPPPSSEGGIFGRYEIALLCLGMMHFHFGHPKQALEVFSEAVRVSQQYSDDTCLAYTLTTICKLLSNVGLSNTTSFIGSSYSPVAYIGTSLSVQQQLYVLLRRSLKRAESLKLKRLVASNHLAVAKFDLTHVQRPLLSFGPKATMKLATCPINVCKELRLSSHLINDFGDETSTLTSDGAFCTEWLKDLKKPNGCLIFAQENESSNNRAFHFCAQPSSIPGSVLQLLGSSYLVRATAWEVYGSAPLARMNALAFATCFSDSSSSEDVALAYAKLIQHLAVYKGYKEAFAALKIAEEKFLCISKSRIQLVKLQLLHEHALHQGRLKLAQQLCDELGVYASSLAGVDMEIKAEASFRHARTLLAANQFSQAAAVAHILFCMCYKFSLQVENANILLLLAEIHKKSGNAVLGIPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSSHAKRALALIHSAFPILLGHGGLELRARAFITEAKCYLADSSFSVYEEPENVLQPLRQALEDLEHLEYHELAAEAFYLMAVVYDKLGMVNEREEAASSFKEHILALEQPLNDEDPQLS >itb15g05630.t1 pep chromosome:ASM357664v1:15:3616510:3625419:-1 gene:itb15g05630 transcript:itb15g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESPNRSPEIRKDGINNRWVIFSPARSRRPSDFKSKSNPNPNNQSECPFCKGHEHECAPEIFRIPADSTSDWRIRVIQNLYPALNRDLHFRNHEDSSSHGDVSVSGFGFHDVVIESPVHSVHLIDLSPAEVGDVLLAFKARIDQLRMNDSIKYVQVFKNHGASAGASMSHSHSQMIALPIVPPTVSARLNSMKEYYEQTGNCSLCDTRVDDLIINESTHFKSVVPFAATFPFEIWIIPRDHSTYFHDLDSEKAVDLGGLLKFMLLKMSSQLNNPPFNFMIHTSPFQVDPSYLPCCHWFLQIVPQLSGVGACNYTFPSPTLRTLHTSISTLISILARQYPSSNDVAPDFTPAIVMAAVVPPSDEAAEELLQNLTLDSDNEKPLQATETTMKPSAARFAPAESGALANGINKPFEGSTTHSQQDFMGPSMFYNPNGYPSSTYYYRGYDGSSTNEWDRFLNPDRVELPPSMYGDYHHGYGYAPYGTYSPLGSHIEHDNQMYGAQQYQYPTTYFQSSTTNGTFSSNKPYAPQSEVPTSIAPDKVQLIGDTTKVNQSHVGAGTPDRTTISKPVRPIYEDSSLKSLDSYGWGSIPSANQWISSPVSHNSNFPSGTNQSLQPLPHLMGLQHPRASSGLGGYVNQMYPSNRMYGHYGNTFRSGLGLGPNSYLSAGSHDWLSVDNKSRSWGRGNGLFSNCNESVYGLNELNRGPRLKGFKDLKDSESITLAVKGQSLPLRVNSNEDNLPLFPDREQYNKDDFPETYTDAKFFVIKSYNEDDVHKSIKYGVWSSTPNGNKKLDEAYKEAQDKSGGCPVFLLFSVNASGQFVGLAEMVGRVDYDKTVEYWQQDKWNGCFPTKWHIIKDVPNSMLRHITLENNENKPVTNSRDTQEVSFEHGIDVLKILKGHSSKTSILDDFEFYEGRQKIMQEKKAKQRLLEKQAGNAKPFIVLSSQETDKTEATDKNVPAKPADSDGSAAPETAKADGGVIPHGEKVTFAAVLSGGVGNAC >itb12g27240.t1 pep chromosome:ASM357664v1:12:27741463:27745419:-1 gene:itb12g27240 transcript:itb12g27240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNAYSESLRRSFMGANREGLLSRDGCSSELEWGIPSQSANRGFFHGFRDRVSAFFGEVKETSIKAYEMGRKDPRKVIFAAKMGLALSLVSLLVFFKEPLSYIGSKSVWAILTVVVVFEFSIGATLSKGFNRALGTFSAGGLSLAIAELSFLAGKWQEVVITISIFVAGFLASYFKLYPTMKQYEYGFRVFLLTYCIVLLSESSQFIKTAVSRLLLIGVGAGVCLLVNVCVYPIWAGEDLHKLVVKNFKGVADSFEGCVNGYLQSIEYERIPSKILLYQAWDDPVYSGYRSAVESTSQEDSLLSFAVWEPPHGRYKMFRYPWNEYVKVSGALRHCAFMVMAMHGCILSEIQAAPELRQVFGKEIQRVGSAGAKVIRHLGEKVEKMEKLSPGDLLEEVHEAAEELQILIDRKSYLLINAENWERGKRPKNLQDPEELQDLKDSHQKPMLINSLSEATLNLRSMQSLKHIDAQNPNMSVISQWESTNDMLKQQMNWPSRLSMLGDTILNEREVRTYESASPLSLATFTSLLVEFVARLHNLVGAFEVLSEKAKFKEPVDDDDDDDEN >itb07g05110.t1 pep chromosome:ASM357664v1:7:3461349:3462757:1 gene:itb07g05110 transcript:itb07g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVLSGPSKAPLEIQLMGTLKAPPNPKDMDMKKEWLTIQYIDHLTITGGGTLDGQGNVVVYPAKGKSHKLPNNLSLNFLTNSVIRGITTLNSKQFHVNVLGGKNLTFDHFTVKAPGDSPNTDGIHIAKIVGAVIKDSVFATGDDCISIGDGLENLKITGVTCGSGHGISVGSLGRTPGETPVKGMFVSNTKFIGTDNGARIKTWPSSHPGVVTDIHFENIDMKNVKNPIVIDQEYCPNNECNKQKPSLVKISKVSYKNIKGTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGAAKSVCKNVKPKLTGKQVPPLVCK >itb03g05880.t1 pep chromosome:ASM357664v1:3:4148151:4148489:-1 gene:itb03g05880 transcript:itb03g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAQASQASSSPGLLHYLCFHSIQLYHIMKARNFLPLSQSPTFRFETIPDGLPSRENPDTALDIAELCFSTANNCYAPFMELIDRLNGASDVPPVSCVVSDTIMAFTVGAA >itb10g00520.t1 pep chromosome:ASM357664v1:10:342476:345000:-1 gene:itb10g00520 transcript:itb10g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQGATPYVPGNILITGAAGFIASHVTNRLVKRYPNYRIVGLDKLDYCSNLGNLNPSLSSPNFKFVKADVTSADLINYVLSEERFDTVMHFAAQTHVDNSFGNSFEFTNNNIYGTHVLLEACKVTGSVRRFIHVSTDEVYGETDLLTDVGNPEASRLLPTNPYSATKAGAEMLVMAYHRSYGLPTITTRGNNVYGPNQFPEKLIPKFILLAMKGERLPIHGDGSNVRSYLYSDDVAEAFDVILHKGVIGHVYNIGTKKERRVLDVAEDVCKLFGRSSKEAIEMVQDRPFNDKRYFLDDQKLKKLGWEESTPWEEGLKRTLEWYTKNSDWWGDVTAALHPHPKVSITMPSYDYDGALQQLAIGRSNSTGGMKFLIYGRTGWIGGLLGELCQQGGIMYEYGAGRLQDRGALIRDIARARPTHVFNAAGITGRPNVDWCESHKAETIRTNVVGTLTLADVCREQGLLMMNFATGCIFEYDEKHPQGSGIGFKEEDKPNFIGSFYSNTKAMVEELLREYDNVCTLRVRMPISSDLTNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVISHNEILELYREYIDPGFKWQNFSLEEQAKVIVAPRSNNELDMTKLKKEFPQLLSIKDSVIKYVFQPNKKT >itb04g06960.t1 pep chromosome:ASM357664v1:4:4632223:4634077:1 gene:itb04g06960 transcript:itb04g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNNFEDEESAIERVANYSAEGGKKNNKVDHLQTVDSIVESLRHNASLESAETRKQQLLDEFGTTLSHAFFTQHNTHHPSYSISVSSASLTLDELTGTLPDELHSIAQRLSAMRYLQDCEQVYKTKRLAFIDATLRRFGVQKLSAVEVKRMEWEALDKAVGMWLKALVFCVDLIPIEKQTHDSIFKDDSSSALVVGIVRAYVSPFLDFTQAIVTSRPSPRKLFRFLDLHRILNSTLRPSVLFEVPRDDPLATQMEEALSQLIEAAKVSFQNFERAVNREISHISSVREGGVHTTTNYVMDYMIRLISEYSEECEWIVSAPRKVEISTRINGNICDKKTIDGSGLSPFASHVLWVIASLLRRLKNKTVQHQDDDAFAHFFMMNNLNYIIQTVNEQPSLREIVKGGDFLPDLETGLRARKLKYLGSTWTKIVQDLAQCSTPKKIKLFNVTLSRQSRRKETLRNFNEMFGKVHQTQSRWSGSDANLMRQLRKIILDRLIPTYEHLLDQLSDSSGNPTTEIQYSVEDLKKAISQLFQGRPPTAFPST >itb13g10570.t1 pep chromosome:ASM357664v1:13:15431058:15437199:1 gene:itb13g10570 transcript:itb13g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGTSLPFSSKNAQSRLLTSHCRRNSLFCYSSSPSCSSAQFTPHLRNESEMESDQWQQRRNVVEILEERGLLESITSENLRSICSNPNLPPLKVYCGFDPTAESLHLGNLLGLIVLSWFLRCGHKVVALLGGATGRIGDPSGKSVERPELDYVTLNHNIAGISANIRQVLLSPDSSYLTSDIQILDNYYWWKDVKFLDFLRDVGRFARVGTMMSKESVKKRLENAEQGMSYAEFTYQLLQGYDFVHLFEKEGVTVQIGGSDQWGNITAGTDLIRRIIGKSSETEAAASLAHGLTFPLLLKSDGTKFGKSEEGAIWLSPSLLSPYKFYQYFFSVPDADVVRFLKILTFLTIEEIEELKTQMGNPGYAPNTAQRRLAEEVTRFVHGQEGLDEALKATEALRPGNADTKLDWKTIEGIAGDVPSCSLPYDQVLSISVLDLIVSSGLLESKSAARRMLKQGGLYLNNARVDSEAKKIEDDDIVDGKVLLLSAGKKNKMVVRIS >itb13g10570.t2 pep chromosome:ASM357664v1:13:15431058:15437021:1 gene:itb13g10570 transcript:itb13g10570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGTSLPFSSKNAQSRLLTSHCRRNSLFCYSSSPSCSSAQFTPHLRNESEMESDQWQQRRNVVEILEERGLLESITSENLRSICSNPNLPPLKVYCGFDPTAESLHLGNLLGLIVLSWFLRCGHKVVALLGGATGRIGDPSGKSVERPELDYVTLNHNIAGISANIRQVLLSPDSSYLTSDIQILDNYYWWKDVKFLDFLRDVGRFARVGTMMSKESVKKRLENAEQGMSYAEFTYQLLQGYDFVHLFEKEGVTVQIGGSDQWGNITAGTDLIRRIIGKSSETEAAASLAHGLTFPLLLKSDGTKFGKSEEGAIWLSPSLLSPYKFYQYFFSVPDADVVRFLKILTFLTIEEIEELKTQMGNPGYAPNTAQRRLAEEVTRFVHGQEGLDEALKATEALRPGNADTKLDWKTIEGIAGDVPSCSLPYDQVLSISVLDLIVSSGLLESKSAARRMLKQGGLYLNNARVDSEAKKIEDDDIVDGKVLLLSAGKKNKMVVRIS >itb03g12270.t2 pep chromosome:ASM357664v1:3:11321949:11338000:1 gene:itb03g12270 transcript:itb03g12270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCSYCGKSVHADNVDGRQCCTLCGRVLSEDNFATEVTFVKNAAGQSQMAGNFVRTVQSNFSASRDRTLREAYEGIDSMLYALGIDGGDSIANPALRFYTIALERNFTRGRRKEQVQAACLYIACREKKKPFLLIDFSEYLRINVYVLGAVFLQLCKLLSLEEHPIVQKPVDPSLFIHRFTDRLFGERKPNVSRTALHIVASMKRDWMQTGRKPSGVCGAALYIASLSYGLKCSKLDIIKVVHICEATLTKRLIEFENTDSGSLTIEEFNTRAVELEKEQRLMTVQFPVSKESGISELLCEHKGSGIPPFAHGLCESCYTDFITISGGLDGGSEPPAFQRAEKERLMAKEADDDDRDVLDYVASTEAENNYKNLAYSANGNVQNANANETMQGKEHELMGVASTSGTMQDDTVDKSHGIDDINSKGSDESDNFSDIDDVEVHTYLNDEEEKRLKQIIWEKVNREYLEEQAAKEAAALASKKGFESSYTCPEEMEAAQKLAAAAAAALAKSKKEKQQRRAAELRNSGPAKTAAEATQQMLSRKPLPNPKKSRNDSDANEGGNPKDNKLDPATEDGGEDWMGGGEYDNDVNYTEQEAYDYDEQYGFEEY >itb03g12270.t3 pep chromosome:ASM357664v1:3:11321923:11337977:1 gene:itb03g12270 transcript:itb03g12270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCSYCGKSVHADNVDGRQCCTLCGRVLSEDNFATEVTFVKNAAGQSQMAGNFVRTVQSNFSASRDRTLREAYEGIDSMLYALGIDGGDSIANPALRFYTIALERNFTRGRRKEQVQAACLYIACSYVLGAVFLQLCKLLSLEEHPIVQKPVDPSLFIHRFTDRLFGERKPNVSRTALHIVASMKRDWMQTGRKPSGVCGAALYIASLSYGLKCSKLDIIKVVHICEATLTKRLIEFENTDSGSLTIEEFNTRAVELEKEQRLMTVQFPVSKESGISELLCEHKGSGIPPFAHGLCESCYTDFITISGGLDGGSEPPAFQRAEKERLMAKEADDDDRDVLDYVASTEAENNYKNLAYSANGNVQNANANETMQGKEHELMAGVASTSGTMQDDTVDKSHGIDDINSKGSDESDNFSDIDDVEVHTYLNDEEEKRLKQIIWEKVNREYLEEQAAKEAAALASKKGFESSYTCPEEMEAAQKLAAAAAAALAKSKKEKQQRRAAELRNSGPAKTAAEATQQMLSRKRNSIVNRDVLDSLFDESPLPNPKKSRNDSDANEGGNPKDNKLDPATEDGGEDWMGGGEYDNDVNYTEQEAYDYDEQYGFEEY >itb03g12270.t1 pep chromosome:ASM357664v1:3:11321949:11338000:1 gene:itb03g12270 transcript:itb03g12270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCSYCGKSVHADNVDGRQCCTLCGRVLSEDNFATEVTFVKNAAGQSQMAGNFVRTVQSNFSASRDRTLREAYEGIDSMLYALGIDGGDSIANPALRFYTIALERNFTRGRRKEQVQAACLYIACREKKKPFLLIDFSEYLRINVYVLGAVFLQLCKLLSLEEHPIVQKPVDPSLFIHRFTDRLFGERKPNVSRTALHIVASMKRDWMQTGRKPSGVCGAALYIASLSYGLKCSKLDIIKVVHICEATLTKRLIEFENTDSGSLTIEEFNTRAVELEKEQRLMTVQFPVSKESGISELLCEHKGSGIPPFAHGLCESCYTDFITISGGLDGGSEPPAFQRAEKERLMAKEADDDDRDVLDYVASTEAENNYKNLAYSANGNVQNANANETMQGKEHELMGVASTSGTMQDDTVDKSHGIDDINSKGSDESDNFSDIDDVEVHTYLNDEEEKRLKQIIWEKVNREYLEEQAAKEAAALASKKGFESSYTCPEEMEAAQKLAAAAAAALAKSKKEKQQRRAAELRNSGPAKTAAEATQQMLSRKRNSIVNRDVLDSLFDESPLPNPKKSRNDSDANEGGNPKDNKLDPATEDGGEDWMGGGEYDNDVNYTEQEAYDYDEQYGFEEY >itb03g12270.t4 pep chromosome:ASM357664v1:3:11321923:11334656:1 gene:itb03g12270 transcript:itb03g12270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCSYCGKSVHADNVDGRQCCTLCGRVLSEDNFATEVTFVKNAAGQSQMAGNFVRTVQSNFSASRDRTLREAYEGIDSMLYALGIDGGDSIANPALRFYTIALERNFTRGRRKEQVQAACLYIACREKKKPFLLIDFSEYLRINVYVLGAVFLQLCKLLSLEEHPIVQKPVDPSLFIHRFTDRLFGERKPNVSRTALHIVASMKRDWMQTGRKPSGVCGAALYIASLSYGLKCSKLDIIKVVHICEATLTKRLIEFENTDSGSLTIEEFNTRAVELEKEQRLMTVQFPVSKESGISELLCEHKGSGIPPFAHGLCESCYTDFITISGGLDGGSEPPAFQRAEKERLMAKEADDDDRDVLDYVASTEAENNYKNLAYSANGNVQNANANETMQGKEHELMAGVASTSGTMQDDTVDKSHGIDDINSKGSDESDNFSDIDDVEVHTYLNDEEEKRLKQIIWEKVNREYLEVILHILFLFPFIHAREEYFLPFIKIIIFD >itb03g12270.t5 pep chromosome:ASM357664v1:3:11321923:11334656:1 gene:itb03g12270 transcript:itb03g12270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCSYCGKSVHADNVDGRQCCTLCGRVLSEDNFATEVTFVKNAAGQSQMAGNFVRTVQSNFSASRDRTLREAYEGIDSMLYALGIDGGDSIANPALRFYTIALERNFTRGRRKEQVQAACLYIACSYVLGAVFLQLCKLLSLEEHPIVQKPVDPSLFIHRFTDRLFGERKPNVSRTALHIVASMKRDWMQTGRKPSGVCGAALYIASLSYGLKCSKLDIIKVVHICEATLTKRLIEFENTDSGSLTIEEFNTRAVELEKEQRLMTVQFPVSKESGISELLCEHKGSGIPPFAHGLCESCYTDFITISGGLDGGSEPPAFQRAEKERLMAKEADDDDRDVLDYVASTEAENNYKNLAYSANGNVQNANANETMQGKEHELMAGVASTSGTMQDDTVDKSHGIDDINSKGSDESDNFSDIDDVEVHTYLNDEEEKRLKQIIWEKVNREYLEVILHILFLFPFIHAREEYFLPFIKIIIFD >itb01g07620.t1 pep chromosome:ASM357664v1:1:6006326:6008866:-1 gene:itb01g07620 transcript:itb01g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRSAAVKRELRKPVFVKVSGLKPGTNGHTLIVKVIGSNMVLAKGSAVSTHLRNTSIAECLVGDETGCILFTARNDQVNVMEPGTTVILRNAKIDMFKGCMRLAVDKWGRIEVTEAAAFDVKEDNNLSLIEYELVNVVQE >itb04g05930.t2 pep chromosome:ASM357664v1:4:3853695:3857270:1 gene:itb04g05930 transcript:itb04g05930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSCPWISGAYASSVSRSRSLSKFPSRIHHFSPKEIDGGGGGGFAVFSCCKSQAESNKDLKFLLHDALDEAGIDTAHARMAREGFYSQIQKLSEIERETSISINRRVDLGKAALYVAAEDDSLISHSSVPLPVDDFLARLDDLSMDYCSHYGSSFRSSPEDFLKCLDRYLYIDKGFQRMSKSNQTEQRALYLHSVLTHRSGSAPLLSLIYSEVLKMLRLWGLLNFDAEIFFPLDHHSYPRGYPKKKGTESDQSHIMTAESLLVQILKDLKVAFWPFQHDRTRSPFLRAADAANCCERTQNTNESALELASVKAAQHRLQRGVWTSVRFGDMRRALSACERLILVKTDPKETRDYGALLYHCGFYKESLQYLSLYQDTKVYQLCFRVT >itb04g05930.t1 pep chromosome:ASM357664v1:4:3853663:3857316:1 gene:itb04g05930 transcript:itb04g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSCPWISGAYASSVSRSRSLSKFPSRIHHFSPKEIDGGGGGGFAVFSCCKSQAESNKDLKFLLHDALDEAGIDTAHARMAREGFYSQIQKLSEIERETSISINRRVDLGKAALYVAAEDDSLISHSSVPLPVDDFLARLDDLSMDYCSHYGSSFRSSPEDFLKCLDRYLYIDKGFQRMSKSNQTEQRALYLHSVLTHRSGSAPLLSLIYSEVLKMLRLWGLLNFDAEIFFPLDHHSYPRGYPKKKGTESDQSHIMTAESLLVQILKDLKVAFWPFQHDRTRSPFLRAADAANCCERTQNTNESALELASVKAAQHRLQRGVWTSVRFGDMRRALSACERLILVKTDPKETRDYGALLYHCGFYKESLQYLSLYQDTKNEVIDPSDEEDEAVQKLMFRVNLILMEEGWSRPADNGSLLRNNSEPW >itb03g18600.t2 pep chromosome:ASM357664v1:3:16857664:16863114:-1 gene:itb03g18600 transcript:itb03g18600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPFQVLYCGVCGLPAEYCEFGPDFEKCKPWLIQNAPDLYPDLLKGADGKEADKVSDQLQSTSISDGSSAPKQEEVKRLPGGKIKKKEKKEIVIEKMTRNKRKCITTIKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVDFLTETWPDVPESAIFFVEDGKKVPAV >itb03g18600.t1 pep chromosome:ASM357664v1:3:16857608:16863117:-1 gene:itb03g18600 transcript:itb03g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPFQVLYCGVCGLPAEYCEFGPDFEKCKPWLIQNAPDLYPDLLKGADGKEADKVSDQLQSTSISDAGAGSSAPKQEEVKRLPGGKIKKKEKKEIVIEKMTRNKRKCITTIKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVDFLTETWPDVPESAIFFVEDGKKVPAV >itb10g13230.t1 pep chromosome:ASM357664v1:10:19401469:19402237:1 gene:itb10g13230 transcript:itb10g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSVITPEDVLGTLMNDGTIDAIRLKIITQLKANEELKNTTIKMVEQSKVLNTPGAEKQTKRELFDALRQELELVFFPLSFKH >itb15g12000.t1 pep chromosome:ASM357664v1:15:9868430:9870061:1 gene:itb15g12000 transcript:itb15g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLVKKDCVVTPHADSCLPGVTRATVIGLVLKENLVFKERRITLSEFHTADEVWTTGTMGELTPVTKIDGRVVGDGQVGPVTLRLQNAYKKLTEEAGVPIPMDQRT >itb10g10930.t1 pep chromosome:ASM357664v1:10:16010315:16010689:1 gene:itb10g10930 transcript:itb10g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGICLWYVCWLCYPVSTPPRAAVVQPRNRQAVGSTVANNNVNVEVQVVPEIRLNIKSFEEGSIKGEERENECAICIAGFEKGDISTILSSCNHKFHSDCIAIWLVLHQTCPICRATAVQPVS >itb10g23860.t1 pep chromosome:ASM357664v1:10:27983392:27985044:-1 gene:itb10g23860 transcript:itb10g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCCACDKTVYLVDRLAADKRIFHKACFRCYHCKGTLKLSNFNSFEGVLYCRPHYDQLFKRTGSLDKSFDGTPKTKTDKPIDSEKLAQGNKISSMFVGTTTKCLGCSKTVYPTEKVAVNGTAYHKACFKCSHGGCTISPSNFIAHEGKLYCKHHHIQLFREKGNYSQLENEVAAES >itb01g33470.t1 pep chromosome:ASM357664v1:1:36599119:36603032:-1 gene:itb01g33470 transcript:itb01g33470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLMMLFKAAAPSKALVIRINLVLLAGFLIVYAALLLRPSSSLYHENAASFLSCSLRECHHHKGDGGMIKMKAMLEEEARGGKESRLEKKMVKRERPSFMREMGRGMKIAMVNMKDEDVGEWEINGGQAIHVNFEKASELFEWKDLFPEWIDEEEEMDGTFCPEIPMPELGGYPYVDLVVAKLPCNYSEAGVADGRDVFRLQLHLIAANLAVKRGKRDWHRRTKVVVLSECRPMVELFRCDDLKERDGDWWYFEVDMPKLEHKVSLPVGSCNLALPLWGKGIDEVYDVSKLEESTTVSKREAYVTVLHSTDAYVCGAITLAQSLLRTGTKRDLILLLDKSISPNKRQALINAGWKLRFIKRIRNPKAEKGTYNEYNYSKFRLWQLTEYDKIIFIDADIIVQRNIDILFHFPQMSASGNDGSIFNSGVMVIEPSNCTFRMFMQRRKEIVSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNSSVEAGVKNQLFGSDPPQLYAIHYLGLKPWLCYRDYDCNWDIEDQRVYASDVAHRTWWRLHDSMDESLQKFCGLSEQRKIELEWDRNKARKTGLKDEHLNINVTDPRKFN >itb13g11750.t1 pep chromosome:ASM357664v1:13:16669044:16671548:1 gene:itb13g11750 transcript:itb13g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDYFLSLSFVFFCICVLWAKSKAAAKQRLPPGPWKLPFLGSLHHLVGSLPHRSLRNLSRKYGPIMHLQLGEISTVVISSPQLARAITKTHDLVFASRPNIMVPDILYYKSTDVAFSPYGDYWRQMRKICVLELLSVKIVKSFCSFRQEELSNLVSSIRLSGETGFPIINLTEKVSWFTSSVIAKVAFGRVCCDDQEKFITLLKQVASLAGGFDVGDLFPSKKWIHYISGMKPKLLKLHHEIDRIFDIIIGEHKENQQAKRNDSGTDEDIVDVLLRVKEGGELQFPITEDNIKAVINDMFSAGTETSATTIIWAMSEMIKRPSVMVKAQAEVRQSLKGKKIFEDKDLENLTYLKLVIKETLRLHTPFPLILPSESIKEAMIGEYIIPPKTRVIINAWAMATNPESWEDPEKFLPERFENSSVDFMGNHYEFIPFGVGRRMCPGISFASISIAHSLAGILYHFNWELPDGVSLKDLDMTEAIGILHPKKGSLLDC >itb03g11030.t1 pep chromosome:ASM357664v1:3:8910982:8912586:1 gene:itb03g11030 transcript:itb03g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMAWLWTTLAVFAALSLIHGLVRRMKGKKLPPGPRGLPILGHLHLLGKNPHQDLSKLAKEYGPIMYLRFGFVDNIIASSPQAAQLFLKTHDLVFASRPPHEAAKYLSYDQKNLSFSQYGPYWRNMRKLCTLELLSNLKINSFQATRREELFNLIESLKQAAFDRVAVDLSAKVFDLVADMSCRMVFGKKYEIKGIDERGFKAAINEAMQLVAKPNLGDYFPYLGKFDFQGLNRRMKAIAEDFDLFLERILDEHEQSGSSGQMTKDFVDIMLSIMKSGETEFQINREHVKSTLLDMIAGSIDTSATAIEWILSELLKHPQIMKKVQQELENKVGLNQMVEESDLKGLNYLEMVMKESFRIHPVGPLLLPREAREDCMVDGFHIPKKTRVIVNIWAIGHDPNVWANPEKFFPGRFEGNNIDYRGHNFEFIPFGSGRRSCPGLQLAITVVRLVVAQLVHCFDWILPNGMSSKELDMTEEFGIVVTRANHLMAIPHYRLHI >itb02g08400.t1 pep chromosome:ASM357664v1:2:5290865:5292599:1 gene:itb02g08400 transcript:itb02g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTFCFSFAFFCLLWSLCAAQGGGGSTGVAVELWCVAKNNAEDAALQSAIDWACGAGGADCAPIQPGGPCYDASDVLRTASFAFNDYSLKHGMTQDSCNFQNTAALTSLNPSFNGCKFPSSFSKGSINGTTNVGLEPPAADISSSSSSARLGRWIGGLTTMPMFFATLLML >itb15g06340.t1 pep chromosome:ASM357664v1:15:4145118:4149607:-1 gene:itb15g06340 transcript:itb15g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEAALHTAINAVQALGRGFDVNYDTRLLYCKGVAGSRVVEIDEEHTKDLCLYGDIVVPNVSRDVVTSPESGGRDGSGVCSYNEMVEFFNKKANLPGHAPLGSFNAAFSFTGSKQTDAAATKTLCMDGFFIPLAKVQLNKMPLVLQENVRRAVPTSWDPPALASFIENFGTHVITSITIGGKDVIYVKQHLSSPLSTVEIKNYVQDIGNQRFSSIESLTSSGLLKYKDKGSDPSLFNSQGIYPQPTSAPFLAGNGKEDVTVIFRRRGGDDLEQSHVHWAKTVKSSPDVIEMSFYPITMLLEGVKGKEHLSRAISLYLEYKPQLEELRYFLEFQVPRIWAPLQDRHPGQQRKEPVCPSLQFSMMGQKLYVSQEQISVGRKPVTGMRLTLEGAKKNRLNIHLQHLQSLPRILQPYWDSHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIDSPETFIGDLSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRVPGCTIRRSLWDHAPTDKSKKQTAASPSPPGDTSLDSGENTASNKLAKFVDMTEMCKGAQDPPGHWLVTGGKLSVEKGKIVLRLKYSLLNY >itb08g15280.t1 pep chromosome:ASM357664v1:8:17396112:17396792:-1 gene:itb08g15280 transcript:itb08g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDENFSSLIVPPPCKKLKLFGFDLTNNNPSSSSSDSSHHQEAYHHHESVNSSSSSSTLSSEKPAVSSKQKSAENSDDHKKFECQFCLKEFSNSQALGGHQNAHKKERMKRKRLQIQARKASSLSYYLQPHQKKNSNNNCSGGPFTYNIINYHPSASASSTWCFDPSQSYFTVYDEGTQINFRATQDHHHLLPQTRNTEKTVKNKEHSTTMMKKSLDLDLGLNLR >itb04g23870.t1 pep chromosome:ASM357664v1:4:28790310:28792403:1 gene:itb04g23870 transcript:itb04g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRADKVYISVLLPDAKTPKVSLEPDGVFTFSASAGAENHLYELKLELNDKVNVEESKINIGLRNIFCVLEKAEKQWWNRLLRAEGKPPHYVKVDWDKWADEDEDNSPADFDMGGMDFSKFGNMGGLGGMDFGNGAVGDDFDDSDDEEQEGTDPASEKVAENGQSTEGKVEASTNS >itb04g11100.t2 pep chromosome:ASM357664v1:4:10634282:10637228:-1 gene:itb04g11100 transcript:itb04g11100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYIGDGYVDGQEKIQIIPDKGSSIGVQDIEKPLVICKKCRGNITENNAMMPICKPWPYLVHTNCVNDCNKCPLCEESAHYVSVKLIPVRTEKKQSKWRKIFKSK >itb04g11100.t1 pep chromosome:ASM357664v1:4:10634282:10637228:-1 gene:itb04g11100 transcript:itb04g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYIGDGYVDGQEKIQIIPDKGSSIDIEKPLVICKKCRGNITENNAMMPICKPWPYLVHTNCVNDCNKCPLCEESAHYVSVKLIPVRTEKKQSKWRKIFKSK >itb02g06290.t1 pep chromosome:ASM357664v1:2:3918147:3919835:-1 gene:itb02g06290 transcript:itb02g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKHAKVSPLTYAASSLKPGALLHAHICHLNQSPWDVITFPPEEQEEEGSSSMLLPPAAAPPPHSFLFDGCESYPPNGSFNDSNEAVQSPDSAMFSPGWDYSNRIAAAAGYTAAEDGAAEAEEATILCCKTDGKAWQCKREAKDGHTFCDHHLEQLKHYNNLAHPTRTAVKKSEKQTPPPPPADDSAMTTRCRRARPKKPSVSTNPYELYYYTGFGPLWGKKRGSGRASGTTSSSAAASEHDQRNGGSSSASEMDDMDDGEFDYVEDEYEDDEEHELDDGKRKKARKPIKARSLKSLM >itb02g10830.t3 pep chromosome:ASM357664v1:2:7054317:7057532:-1 gene:itb02g10830 transcript:itb02g10830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGDEEEEDECFHDSFDRLISSANTSCSSSALPSEEEEEEEEEQRVLGSPPNYASVPCFPMGVSGNYDVWISQPSSIEERRLSLLQKTGLSRDPSILRHRPSLSAAECSSSGDREEFFARSVSSDQLSSPTDGGEGFPNIHINNNDFRNSNKISSVSGFARSKSEGNRNSSNYASCSSSSPIYKNTEIFSIDSVPPANGGRPIVVNNSQNHSRSLSNVDKFLNGSGSSMAIAVSPKKPPTGRCRNDSTHNEEAEEVLESNGVVGVEVPVCTIKDLGNGKEFVVNEVREDGTWNKLKEVGTGRQLTMEEFEMCVGTSPIVQELMRRQNVEDGGKDGLDSNANGVGGNGSKFKKTGSWLRSIKNVANSVTGYKERRSSDEKDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKELTALYKSQEISAHNGSIWTIKFSLDGKYLASAGEDCVIHVWQVMESERKGDLLLNKPDDPNMNLLLLTNGSPEPNSISPNLDGPTEKKRRGRSSISRKSVSFDHVLVPETVFSLSEKPICSFQGHENAVLDLSWSKSQQLLSSSMDKTVRLWDLSSNSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQSALVGSYKGSCRLYNTSENKLQQKGYINLQNKKKKSHQKKITGFQAGSSYLRV >itb02g10830.t1 pep chromosome:ASM357664v1:2:7052947:7057532:-1 gene:itb02g10830 transcript:itb02g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGDEEEEDECFHDSFDRLISSANTSCSSSALPSEEEEEEEEEQRVLGSPPNYASVPCFPMGVSGNYDVWISQPSSIEERRLSLLQKTGLSRDPSILRHRPSLSAAECSSSGDREEFFARSVSSDQLSSPTDGGEGFPNIHINNNDFRNSNKISSVSGFARSKSEGNRNSSNYASCSSSSPIYKNTEIFSIDSVPPANGGRPIVVNNSQNHSRSLSNVDKFLNGSGSSMAIAVSPKKPPTGRCRNDSTHNEEAEEVLESNGVVGVEVPVCTIKDLGNGKEFVVNEVREDGTWNKLKEVGTGRQLTMEEFEMCVGTSPIVQELMRRQNVEDGGKDGLDSNANGVGGNGSKFKKTGSWLRSIKNVANSVTGYKERRSSDEKDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKELTALYKSQEISAHNGSIWTIKFSLDGKYLASAGEDCVIHVWQVMESERKGDLLLNKPDDPNMNLLLLTNGSPEPNSISPNLDGPTEKKRRGRSSISRKSVSFDHVLVPETVFSLSEKPICSFQGHENAVLDLSWSKSQQLLSSSMDKTVRLWDLSSNSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQSALVGSYKGSCRLYNTSENKLQQKGYINLQNKKKKSHQKKITGFQFVPGSTSEVLVTSSDSRIRVIDGVDLVHKFKGFRNTNTQISASLSADGRYAVCASEDSYVYVWNHEGDSRPSRNKGVTVTQSYEHFYCQDVSVAIPWPGMSDTWKFPPTNSSTGHSSPSDCLDEVLAANHPPTPVEESNDNERSTLTSGCSSSPLNGTISSAMNSYFFDRMSATWPEEKLVSATKMCSPHVNADASIDFSSGLNQSKPAWGMVIVTAGLRGQIRTFQNFGLPIRI >itb02g10830.t4 pep chromosome:ASM357664v1:2:7054317:7057532:-1 gene:itb02g10830 transcript:itb02g10830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGDEEEEDECFHDSFDRLISSANTSCSSSALPSEEEEEEEEEQRVLGSPPNYASVPCFPMGVSGNYDVWISQPSSIEERRLSLLQKTGLSRDPSILRHRPSLSAAECSSSGDREEFFARSVSSDQLSSPTDGGEGFPNIHINNNDFRNSNKISSVSGFARSKSEGNRNSSNYASCSSSSPIYKNTEIFSIDSVPPANGGRPIVVNNSQNHSRSLSNVDKFLNGSGSSMAIAVSPKKPPTGRCRNDSTHNEEAEEVLESNGVVGVEVPVCTIKDLGNGKEFVVNEVREDGTWNKLKEVGTGRQLTMEEFEMCVGTSPIVQELMRRQNVEDGGKDGLDSNANGVGGNGSKFKKTGSWLRSIKNVANSVTGYKERRSSDEKDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKELTALYKSQEISAHNGSIWTIKFSLDGKYLASAGEDCVIHVWQVMESERKGDLLLNKPDDPNMNLLLLTNGSPEPNSISPNLDGPTEKKRRGRSSISRKSVSFDHVLVPETVFSLSEKPICSFQGHENAVLDLSWSKSQQLLSSSMDKTVRLWDLSSNSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQSALVGSYKGSCRLYNTSENKLQQKGYINLQNKKKKSHQKKITGFQVIIRLHAA >itb02g10830.t2 pep chromosome:ASM357664v1:2:7052947:7057524:-1 gene:itb02g10830 transcript:itb02g10830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGDEEEEDECFHDSFDRLISSANTSCSSSALPSEEEEEEEEEQRVLGSPPNYASVPCFPMGVSGNYDVWISQPSSIEERRLSLLQKTGLSRDPSILRHRPSLSAAECSSSGDREEFFARSVSSDQLSSPTDGGEGFPNIHINNNDFRNSNKISSVSGFARSKSEGNRNSSNYASCSSSSPIYKNTEIFSIDSVPPANGGRPIVVNNSQNHSRSLSNVDKFLNGSGSSMAIAVSPKKPPTGRCRNDSTHNEEAEEVLESNGVVGVEVPVCTIKDLGNGKEFVVNEVREDGTWNKLKEVGTGRQLTMEEFEMCVGTSPIVQELMRRQNVEDGGKDGLDSNANGVGGNGSKFKKTGSWLRSIKNVANSVTGYKERRSSDEKDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKELTALYKSQEISAHNGSIWTIKFSLDGKYLASAGEDCVIHVWQVMESERKGDLLLNKPDDPNMNLLLLTNGSPEPNSISPNLDGPTEKKRRGRSSISRKSVSFDHVLVPETVFSLSEKPICSFQGHENAVLDLSWSKSQQLLSSSMDKTVRLWDLSSNSCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQSALVGSYKGSCRLYNTSENKLQQKGYINLQNKKKKSHQKKITGFQFVPGSTSEVLVTSSDSRIRVIDGVDLVHKFKGTLHCLMLTGNFSLCQAGDSVLQMTGDIWD >itb15g11180.t1 pep chromosome:ASM357664v1:15:9007319:9011038:-1 gene:itb15g11180 transcript:itb15g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTLIEELGRKIAEKCNGLPHALVLVAGILRNCITSAGWQRVVDNPLLEINEEDQSYHELVKLSYNNLPDEELKNCFLYFAYFPMGHEIVAWKLICLWIVEGFFSTGDEWSPLNKEAEALNYLNVFVNRNLVMVLNIESLKLSSLPNDKLFSKYHSIKYLAITADISSLPKSFEYLSCLETLVIKTTERALKINEGIWNMEKLRHVHTNTSTQLPSPSEKEIYSDKQTDIHTLSTISPGSCTNEIFNKTPKLQKLGVRGDLSELLEEKQNVCLFNNVQMLECLENLKLHGNSEKVELKVPMSDKFPRRLRKLTLSGTLFQWNDMSVLGLLEILKVLKLDDNAFSGEHWDLSSDVIFKGLQYLRIGKMNLKTWTAVDSKKSFPVLESLVLRNCISLQNIPQDFANVDSLKVMELFEVKVSDFAREICVKRHGKTNVKINGFDLFITPLPSQATVNNQATQAYGDENVTPVDSTNPAPLLHHKKLYISNQTGRKMSTPVDSTTPAPLLCHKK >itb13g22640.t2 pep chromosome:ASM357664v1:13:28915513:28920307:-1 gene:itb13g22640 transcript:itb13g22640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQLVVIQPPELKFTFEVMKQSSCVVHLTNITDQYVAFKVKTTSPKKYCVRPNIGIIKPKATVEFRVTMQAQSAAPFDMQCKDKFLIQSTVVPFGKMEEVMPEMFTKDEGKYIQENKIRVFLISPPQYPVLQPVNGVLKEESPDNTSTLKEKLPIGVENLPPPHTLIDNSEDTKNAAGKEDPRLGNVLDVADLAHPKSDISSDELAESRSLEDVATMKVTFSKDFEEMKAKLGVEQRSVEDVKTTNEVFSKDFEEVKAKLDVEQRSVEDVETTKVTFSKDFEELKAKLGVEPRSVEEVETIKVTSSKDFKELKPKLGVEPRSLNGVESIKVAFSKDTEELKPKLQAELISSEDVETKKVTFSKDIEDLKAKLGALNSKLIEAEHTISKLNQEKENIAQEKENLKQELVLFRKKTGVTVRKVQVGFPPLFLCMTALVGLAVGFLLRA >itb13g22640.t3 pep chromosome:ASM357664v1:13:28915513:28920346:-1 gene:itb13g22640 transcript:itb13g22640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQLVVIQPPELKFTFEVMKQSSCVVHLTNITDQYVAFKVKTTSPKKYCVRPNIGIIKPKATVEFRVTMQAQSAAPFDMQCKDKFLIQSTVVPFGKMEEVMPEMFTKDEGKYIQENKIRVFLISPPQYPVLQPVNGVLKEESPDNTSTLKEKLPIGVENLPPPHTLIDNSEDTKNAAGKEDPRLGNVLDVADLAHPKSDISSDELAESRSLEDVATMKVTFSKDFEEMKAKLGVEQRSVEDVKTTNEVFSKDFEEVKAKLDVEQRSVEDVETTKVTFSKDFEELKAKLGVEPRSVEEVETIKVTSSKDFKELKPKLGVEPRSLNGVESIKVAFSKDTEELKPKLQAELISSEDVETKKVTFSKDIEDLKAKLGALNSKLIEAEHTISKLNQEKENIAQEKENLKQELVLFRKKTGVTVRKVQVGFPPLFLCMTALVGLAVGFLLRA >itb13g22640.t1 pep chromosome:ASM357664v1:13:28915513:28920179:-1 gene:itb13g22640 transcript:itb13g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQLVVIQPPELKFTFEVMKQSSCVVHLTNITDQYVAFKVKTTSPKKYCVRPNIGIIKPKATVEFRVTMQAQSAAPFDMQCKDKFLIQSTVVPFGKMEEVMPEMFTKDEGKYIQENKIRVFLISPPQYPVLQPVNGVLKEESPDNTSTLKEKLPIGVENLPPPHTLIDNSEDTKNAAGKEDPRLGNVLDVADLAHPKSDISSDELAESRSLEDVATMKVTFSKDFEEMKAKLGVEQRSVEDVKTTNEVFSKDFEEVKAKLDVEQRSVEDVETTKVTFSKDFEELKAKLGVEPRSVEEVETIKVTSSKDFKELKPKLGVEPRSLNGVESIKVAFSKDTEELKPKLQAELISSEDVETKKVTFSKDIEDLKAKLGALNSKLIEAEHTISKLNQEKENIAQEKENLKQELVLFRKKTGVTVRKVQVGFPPLFLCMTALVGLAVGFLLRA >itb13g22640.t4 pep chromosome:ASM357664v1:13:28915513:28920179:-1 gene:itb13g22640 transcript:itb13g22640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRQLVVIQPPELKFTFEVMKQSSCVVHLTNITDQYVAFKVKTTSPKKYCVRPNIGIIKPKATVEFRVTMQAQSAAPFDMQCKDKFLIQSTVVPFGKMEEVMPEMFTKDEGKYIQENKIRVFLISPPQYPVLQPVNGVLKEESPDNTSTLKEKLPIGVENLPPPHTLIDNSEDTKNAAGKEDPRLGNVLDVADLAHPKSDISSDELAESRSLEDVATMKVTFSKDFEEMKAKLGVEQRSVEDVKTTNEVFSKDFEEVKAKLDVEQRSVEDVETTKVTFSKDFEELKAKLGVEPRSVEEVETIKVTSSKDFKELKPKLGVEPRSLNGVESIKVAFSKDTEELKPKLQAELISSEDVETKKVTFSKDIEDLKAKLGALNSKLIEAEHTISKLNQEKENIAQEKENLKQELVLFRKKTGVTVRKVQVGFPPLFLCMTALVGLAVGFLLRA >itb01g10190.t1 pep chromosome:ASM357664v1:1:8461377:8466713:-1 gene:itb01g10190 transcript:itb01g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSALLFNQLKTAEPFFLLAGPNVIESEDHILYMAKHLKAMTSKLGLKFVFKSSFDKANRTSSKSFRGPGLAEGLKILERVKTTYDVPIVTDVHESIQCEAVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCASSVMLNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGLFMEVHNDPLNAPVDGPTQWPLRHLEELLEELIAIGRVSKGKKQFKIDLTPVRD >itb14g05960.t1 pep chromosome:ASM357664v1:14:5211879:5216339:-1 gene:itb14g05960 transcript:itb14g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPLTSSNINDSIILKEVMDTHGLVSKDFKASYILHFVKKILFLIMMGGKAIYEDEDEDEFDDNEPDNSTMSNEMELKDREHSYQIKRLSFEIALRCSKNADDPHSTVMHFVKMLCAYPWEGKLLMMLAAFSINFGESRLRALKGSKTPLLAMAPSHIQQSISLFIKSVLRLTDIIVELAQSSSYNSSPVIPLASYWIFTSILTCASYFNCLPSIIGSEWLTDEVSKLSSLTATVKDIFSYCRPMLEMKREEDSYNALWCAFSDENPAPSTNLDVLKLIFNVKDVDKEKPLFDPDGRTVGLRSLENKKLALLITSHLDIDYSMVFASSSISKMIAHLWIPIVDDPTLWNTAAVENNYRSLASTIKLHTLKNVQKSIASGFARFVKKKFFPTFQIGGTAIIVLLDHQGRMVYYIATHMTIKRIIDFLSKGGVGEFMKRDSKVPFFKNMMKEMTLPVRHLVSDIDEKISDFANKMDSKLEEWCRGIRNDVQNSIEHIGFKAVMKEDPWKEKTWCTKLLIGGGYNFNEQAKEWVNGNEHIFFIGGKDIKWVKTFASNVLTEIHFNPQLTIKMTYVGSNNKVASTIRQDRICATFDQIEQGFSFWMNLQSIFLSRIKFLDETYGDEESDEILKGLKFLLAYEIAVDGWALLCKGNKIVVYDLGDKMLAVMNEFAKWKESAIVKGFDQAFKDHHHEMFGSTCTPQHYPCALEYPSNSDKVPENIKCPQCCHNMEKFVTFKCYHKYVCKEFKELANMREG >itb09g22880.t1 pep chromosome:ASM357664v1:9:21831154:21833187:1 gene:itb09g22880 transcript:itb09g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSPPVIPSGRNASPTSAKPAAVADRRIRHHRSKARHRRRLPLQPPSPVSKRRSPLSSSTNRSREAQPRHRLLHRPSPVLPEGGGKQRRRRKTSFAAATVAVSLRRTSSLLP >itb09g22880.t2 pep chromosome:ASM357664v1:9:21831103:21833238:1 gene:itb09g22880 transcript:itb09g22880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSPPVIPSGRNASPTSAKPAAVADRRIRHHRSKARHRRRLPLQPPSPVSKRRSPLSSSTNRSREAQPRHRLLHRPSPVLPEGGGKQRRRRKTSFAAATVAVSLRRTSSLLP >itb09g30350.t1 pep chromosome:ASM357664v1:9:30997235:31000134:1 gene:itb09g30350 transcript:itb09g30350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSQAAAHIMGGRGLVARSVASSLRTRAGMGLPVGKHIVPDKPLPVNDELMWDNGTPFPEPCIDRIADTVGKYEALAWLCGGLGFFASLGLLAVWNDKASKIPYTPKVYPYDNLKVELGGEP >itb02g15680.t1 pep chromosome:ASM357664v1:2:11434540:11438334:1 gene:itb02g15680 transcript:itb02g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPYLQEWEGYVDWRNRPALKGRHGGVLAVSFVLVVEIVENLAYLANASNLVLYLSKFMHFSPSSSANIVTNFMGTSFFLALLGGFLSDAIFTTYSIFLISATIEFLGLLMLTVQAQIPSLKPSVCSSPNRNTPCHEVKGSKAAMLFAGLYLVALGVGGIKGSLPPHGAEQFDEQTPRGRKQRSTFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGIATIMILASIVVFLLGSATYRNKVPTGSPITTIFKVLAAALCNSFLRKNPRNAIASMSGTETSDEEGRSKAKAEIQNATEDHGFLNKAAIEKPEFPSLYCTVKEVEEVKIVLRILPIFMSTIMLNCCLAQLSTFSVQQAATMNTKIGSLRIPPASLPVFPVLFVMVLALVYNHIIIPFARKITKSEMGITHLQRIGTGLVLSIVAMAIAALVESKRKRVAMQTGLVNSKEPLPITFLWVALQYLFLGSADLFSLAGLMEFFFTEAPFSMRSLATALSWASLAMGYFLSSVLVSIVNRITGTFRHTPWLYGNNLNHYHLERFYWLMCILSGLNFLHYLFWAYRYKYRSSKHEEDTNSNNNMS >itb02g15680.t2 pep chromosome:ASM357664v1:2:11434889:11438334:1 gene:itb02g15680 transcript:itb02g15680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTGPYLQEWEGYVDWRNRPALKGRHGGVLAVSFVLVVEIVENLAYLANASNLVLYLSKFMHFSPSSSANIVTNFMGTSFFLALLGGFLSDAIFTTYSIFLISATIEFLGLLMLTVQAQIPSLKPSVCSSPNRNTPCHEVKGSKAAMLFAGLYLVALGVGGIKGSLPPHGAEQFDEQTPRGRKQRSTFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGIATIMILASIVVFLLGSATYRNKVPTGSPITTIFKVLAAALCNSFLRKNPRNAIASMSGTETSDEEGRSKAKAEIQNATEDHGFLNKAAIEKPEFPSLYCTVKEVEEVKIVLRILPIFMSTIMLNCCLAQLSTFSVQQAATMNTKIGSLRIPPASLPVFPVLFVMVLALVYNHIIIPFARKITKSEMGITHLQRIGTGLVLSIVAMAIAALVESKRKRVAMQTGLVNSKEPLPITFLWVALQYLFLGSADLFSLAGLMEFFFTEAPFSMRSLATALSWASLAMGYFLSSVLVSIVNRITGTFRHTPWLYGNNLNHYHLERFYWLMCILSGLNFLHYLFWAYRYKYRSSKHEEDTNSNNNMS >itb12g02810.t1 pep chromosome:ASM357664v1:12:1835632:1837774:-1 gene:itb12g02810 transcript:itb12g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSCILVVPAALILLFLCPGGRGAMFTLVNKCNQTVWPGILGSPKLDTTGFELPPRGSSRSFQAPTGWSGRFWGRTGCRFDDSGSGSCATADCGSGQIECGGGGATPPATLAEFTLGTGSQDFYDVSLVDGYNLPVTVDASGGSGPCASTGCAEDLNQKCPAELRTENGRACRSACDAFKTPQYCCAGAYASPSLCAPSIYSQLFKSACPKSYSYAYDDATSTFTCTAANYIITFCPSSAPKSLGSEGTTTEPGSGMEAEPEPGTEEEPESGTEGEPGSGSMQSAMLADGSWLADLATGGSNRLDHSRGGVQFMAFNIVIVFLHRLLQS >itb12g02810.t2 pep chromosome:ASM357664v1:12:1836295:1837774:-1 gene:itb12g02810 transcript:itb12g02810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSCILVVPAALILLFLCPGGRGAMFTLVNKCNQTVWPGILGSPKLDTTGFELPPRGSSRSFQAPTGWSGRFWGRTGCRFDDSGSGSCATADCGSGQIECGGGGATPPATLAEFTLGTGSQDFYDVSLVDGYNLPVTVDASGGSGPCASTGCAEDLNQKCPAELRTENGRACRSACDAFKTPQYCCAGAYASPSLCAPSIYSQLFKSACPKSYSYAYDDATSTFTCTAANYIITFCPSSAPK >itb15g09390.t1 pep chromosome:ASM357664v1:15:6663943:6678848:1 gene:itb15g09390 transcript:itb15g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHSFHLSSGLRLSKPMAFTTGFRLLCRYQHRLTLPARVPPFPGLRRGASELRFLSAAVPQRRRVRSVSATRREVEAADGGDTVNGSVIVSDGGGGEGRIVATELHKEATDAYMSYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPYKKCARVVGEVLGKFHPHGDNAVYDSLVRMAQDFSLRFPLIQGHGNFGSIDADPAAAMRYTECRLDPLTEAMLLSDIDQNTVDFVPNFDNSQREPSLLPARIPSLLLNGASGIAVGMATNIPPHNLGELVDALSSLIHNPEATLQELLEHMPGPDFPTGGIIMGNIGILEAYRTGRGSITVRGKTDIELLDSKTKRTAIIIKEIPYQTNKASLVEKIAELVENKSLEGISDIRDESDRSGMRVVIELKRGSDSSIVLNNLYRHTALQSTFSCNMVGILNGQPKLMGLKEMLQAFLDFRCSVVERRARFKLLQAQERNHIVEGIIIGLDNLDMVINIIKQASSNAQAAGNLMKEFGLSEKQADAILDISLRRLTALERNKFVAEGKSLTEQILKLQELLSSRRKILQVIEDEAIEIKHKFSSPRRSMLEEAGSGHVEDIDVIPNDEMLLAFSEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVDDAMSNFIVCHAHDQVLYFSDKGTVYSARAYKIPECSRAATGTPLVRILSLSEGERITSIIPVDEFMEDQFLIMVTAKGYIKKVSLNFFSSIRSTGIAAILLVPGDELKWVRRCTNDDLVAMASQNAMVILSPCENVRAQGRHTRGSVAMRLKEGDKMASMDIIPAALWSDFGKVTEAHKSGKSTKGPWLLFVSESGLGKRVPLSRFRTSPLNRVGLRGYKLSSEDRLAAVFVVGFSLGDDGESVEQVVLVSQSGTVNRIKVRDISVQSRFSRGVILMRLDQAGKIQSASLISAAESESDEIEEATVAAASS >itb08g09370.t1 pep chromosome:ASM357664v1:8:8624766:8627978:-1 gene:itb08g09370 transcript:itb08g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTLLSPSKFLPSPKPKQTQISSVPKSPNFISSVFHPIPIDFNNQKTVTTLLGVAGLALIAISGPASAAEQAAMASSSVQFAEPANALSLPTWTIHVSSVVEWVIAMALVWQYGEKSGYESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCIAAFRIYKSSQESSKSL >itb08g09370.t2 pep chromosome:ASM357664v1:8:8625313:8627956:-1 gene:itb08g09370 transcript:itb08g09370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTLLSPSKFLPSPKPKQTQISSVPKSPNFISSVFHPIPIDFNNQKTVTTLLGVAGLALIAISGPASAAEQAAMASSSVQFAEPANALSLPTWTIHVSSVVEWVIAMALVWQYGEKSGYESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCIAAFRIYKSSQESSKSL >itb07g09970.t1 pep chromosome:ASM357664v1:7:10112803:10117797:-1 gene:itb07g09970 transcript:itb07g09970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRYSRLDDKKSSSSGYCSTATIVVFVALCLVGVWMMTSSSVVPVQNTDDVASQESMSELKTQMDESSSGSNAASGEMMSTSSGDEPKSKPFDSSSSSESNNNKNNATDDENSSGDDEAKSKPFESSSSSSGSFESNNASDENSSGDDEAAKSKPKPFENATTSSGDEAKSKPSQSDEAKPNSFKDNLPVDANTVIPEEKNTSSTEDAKTTQEVIEEKPKEDEESYKGGVSDNVEIEEPPKGGDKDAAETGDKNEREQVSDVNKFNDAEKKPEEMKDKENGEIEEKVDDKKDQFQEGSAGETQDNGAPVQSPLPSDVPKVDEASGEKVSLDEGSNFKIEDMRENKKGSPVQSPLPSAVPKMYEGSGEKVSLDEAFDDKGNLKIEDMTENKKGSTVETQDNTSPVQSPLPSGSGEKDKAASDVTNGDKQGNLKIEGKGSGENKEPLSEVFPSVAQSELLNETNTQNGVFSTQAMQSKKEKLAEKSLKLDNNKGNGYSWKVCNVSAGCDYIPCLDNLEAIKKLRTTKHYEHRERHCPNDPPTCVVPLPKGYQRSIKWPTSREKIWYRNVPHTKLADFKGHQNWVKANGEYLTFPGGGTQFTHGALHYIDTIQKSVPEIAWGKRTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPALSAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLALELNRMLRPGGYFVWSATPVYRKNQEDSRIWEAMTNLTKSMCWDLVSVTKDRLNKVGIAIYRKPSSNACYEQRPQDDPPLCYQSDDPDAAWNVDLQSCMHKVPTSPSERGSKWPQVWPARLEKSPYWLLSSQTGVYGKPAPEDFAVDYQHWKRVVTKTYLNGLGIDWSTVRNVMDMRAIYGGYVCIFAAALKELNVWVMNVISIDAPDTLPVVYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKIKNKCGLAALVAEVDRILRPEGKLIVRDSLVVIAELQSMMRSMHYEIRMTYSRDKEGLLCVKKTMWRPKEVQTLTYAIQ >itb07g09970.t2 pep chromosome:ASM357664v1:7:10112803:10117797:-1 gene:itb07g09970 transcript:itb07g09970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRYSRLDDKKSSSSGYCSTATIVVFVALCLVGVWMMTSSSVVPVQNTDDVASQESMSELKTQMDESSSGSNAASGEMMSTSSGDEPKSKPFDSSSSSESNNNKNNATDDENSSGDDEAKSKPFESSSSSSGSFESNNASDENSSGDDEAAKSKPKPFENATTSSGDEAKSKPSQSDEAKPNSFKDNLPVDANTVIPEEKNTSSTEDAKTTQEVIEEKPKEDEESYKGGVSDNVEIEEPPKGGDKDAAETGDKNEREQVSDVNKFNDAEKKPEEMKDKENGEIEEKVDDKKDQFQEGSAGETQDNGAPVQSPLPSDVPKVDEASGEKVSLDEGSNFKIEDMRENKKGSPVQSPLPSAVPKMYEGSGEKVSLDEAFDDKGNLKIEDMTENKKGSTVETQDNTSPVQSPLPSGSGEKDKAASDVTNGDKQGNLKIEGKGSGENKEPLSEVFPSVAQSELLNETNTQNGVFSTQAMQSKKEKLAEKSLKLDNNKGNGYSWKVCNVSAGCDYIPCLDNLEAIKKLRTTKHYEHRERHCPNDPPTCVVPLPKGYQRSIKWPTSREKIWYRNVPHTKLADFKGHQNWVKANGEYLTFPGGGTQFTHGALHYIDTIQKSVPEIAWGKRTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPALSAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLALELNRMLRPGGYFVWSATPVYRKNQEDSRIWEAMTNLTKSMCWDLVSVTKDRLNKVGIAIYRKPSSNACYEQRPQDDPPLCYQSDDPDAAWNVDLQSCMHKVPTSPSERGSKWPQVWPARLEKSPYWLLSSQTGVYGKPAPEDFAVDYQHWKRVVTKTYLNGLGIDWSTVRNVMDMRAIYGGFAAALKELNVWVMNVISIDAPDTLPVVYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKIKNKCGLAALVAEVDRILRPEGKLIVRDSLVVIAELQSMMRSMHYEIRMTYSRDKEGLLCVKKTMWRPKEVQTLTYAIQ >itb11g22760.t1 pep chromosome:ASM357664v1:11:24654605:24656417:-1 gene:itb11g22760 transcript:itb11g22760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISPILLLVIVILAVIFFLFGILHLLIRYFTKRPSFSSFPQSNRFPDTSNGSHVLQRQLQHLFRLHDSGLDQAIIEALPMFYYKDITGLKEPFDCAVCLCEFSEQDMLRLLPLCCHAFHIHCIDTWLLSNSTCPLCRGLIGSEGSNFDEPRNQWHCFLEDGGREDLSGHEEVRNGQQIGGDCRVFSVRLGKFLSQGGEIGEISRCNLDARRCFSMGSCQYVVGDSELQVSLPNANGCHARNAGVLKGNHHGNIVLSSEGKKISARTEGESFSISKIWLWSKKGKLPSCPESESNVSGTSLNGLGLSMANTTQLV >itb01g04740.t1 pep chromosome:ASM357664v1:1:3223356:3225806:1 gene:itb01g04740 transcript:itb01g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKELSVRVKKKEVVEAAAPPIPQHWVPQSNLDLLLPPVTFGVFFCYQKTPKLTFGAICSDLKAALAETLVSYYALAGEVVMKNAGGEAEIVCNNRGVEFAEALADVELSEVNLYNPDESIEKKLVPNVKHGGVLAIQVTQLKCGGVVVGCSFDHRVADAYSANMFLVSWSEVAQAKPLSQLPCFRRSFLFPRRHGHYDLSVDAMYTLISSLPPPLPAAEPKPLQTDEQSVISRIYYIESDEIIRIQSLANSQKGSAKHHRITKLQAFSAFLWKTIAAGMCKDNSFKNFRLGVVVDGRTRLSGGDEKQAEQLKGYFGNVLSIPFGDRKIEDLQEKPLSWVANAVREFLEEALTREHFLGLIDWVESHRPEPALAKIYASGDGPAAVVSSGQQFPVRKIDFGWGKPIFGSYHFHWGGEAGYVMPMPSPKGNGDWIVYMHLQKWQVELIEAHASHVFKPMTSEYLDFN >itb12g22820.t1 pep chromosome:ASM357664v1:12:24769781:24770988:1 gene:itb12g22820 transcript:itb12g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLQVLNLALRIVSFASLVVSIIVFVSATSGNNYDHAAFMYDVDVKTKFTFNDFFSYRYSVAVNGIGIFYSFLQIVLAVVHGQSEESSEELVKFNLYADEIMSVVLGTGAAAGFGLTLDLKHLSCSSIIAKSFLSKMLVASSFSLGGFVSTALSSIVSIKIFQKDLDVYC >itb06g11750.t1 pep chromosome:ASM357664v1:6:16310752:16313046:1 gene:itb06g11750 transcript:itb06g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSCSSLALLPLNPSPHAFPKSSCSYLQTPLHIKSEIPPGFPTLKIRAAADGRDQISNTPLLVQEESKKKQPNQEVEESVGVLKNAAKTRKVPAKEILAAFSVIEKAKIDPSGFLETLGGTESPGRTWMLIFTAEKGLEQGKYFPITAVQRFDAAAKRIENGVYLGPFGNLTFEGRFSWNNRILAFIFERLRIKVGPLNPFEISLKGKDDREPTNKGKDPFFVWFYIDEEIAVARGRSGGTAFWVRCQRVNPY >itb12g24410.t1 pep chromosome:ASM357664v1:12:25939196:25941760:1 gene:itb12g24410 transcript:itb12g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGSLISRKFCTLKQTHSSSHLNFNIRALVQKGQHFEALQCYSKEPLKTFKLTFPAVLKACASLQSLSIGRTIHATIIVMGMQCDPYMATSLINMYVKCGSLCNAVQVFDHIPKSEPLSRDVTIWNAMIDGYFKNGFRKDCVAQFREMQALQVKPDGYTLSILLGVFSGVSTGKEIHGYVIRNSFEDDPFIVTALVDMYTKCGRAMDAWNVFESQGDKNNVVIWNAMMSGFCENGLWNRSLELYSLLRERNYEIMSSTCCSVLTACSEGEDVEFGRQVHCDVVRMGFGSDQYVHTSLLTMYAKCGLIEDAEKVFGSVLGKGVETWNAMVSAYVGNGRVHESLITYNQMKTRVVASDSFTISNILTSCSIIGYYDFGRSIHAELIKRPVQAFVAVQSALMTMYSRCGKVEDALEVFGRMEEKDVVAWGAMVSGFYQNKRYEDAVGLCKEMLSFYMKPDRNILVMAINASVGVESIELGCSFFGLAIKLGQESDAFVGSSLMDIYSKFGQPEMAKRIFSGVSNKNLVVWNSLISCYAQNGLPELSISVLPQIVQHGLIPDAVSVTTALAAVSSVASLLKGKAIHGYLIRYQIQEDNQVENALTDMYIKSGYLKYAECIFNNTSGRTLVTWNSMIAGYGSHGECFKAINLFGEMRRSEITPDHVTFLSLISACNHSGLVDEGLKLFQLMREYTIEPQIDHYVNIVDLLGRTGRLDDAYNLILNMSMDPEPSIWLCLLSACRVHRNVGLGELAAQNLLKMEETRGSNYVQLLNLYGEAGMKEKAANLRAQMKQKGLRKIGGCSWIEVKDSTDVFFSGDSSSPRTIEVYHTLHSLRSTMKWKGDCSESCLQ >itb05g26520.t1 pep chromosome:ASM357664v1:5:30359006:30361875:-1 gene:itb05g26520 transcript:itb05g26520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTVPHYSFSSHPNMAFSTATTKTSAPLLPKPHGAAAAASSSLRHLPLKLNKFATRRAKAPAVFCTLTAGSVKQQMAAREASELTFLQRPDSFGRFGKFGGKYVPETLMYALDELEAAFKALSVDDAFQKELDGILRDYVGRESPLYFAERLTEHYKRPNGEGPHIFLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECVIYMGAQDMERQALNVFRMRLLGAEVRPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFIDDEDVRMIGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIVEPHSISAGLDYPGVGPEHSFLKDLGRAEYYSITDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLPDGTKVVLNCSGRGDKDVQTAIKYMEV >itb07g00480.t1 pep chromosome:ASM357664v1:7:330435:336063:-1 gene:itb07g00480 transcript:itb07g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYAFLNILEHWNLECYVMGSLLIQIILVFIAPMRRWSNNGLMHVVIWLVYLMAIFFSTFALSLIYYHDAAKEKKEYAVYPLWAPFLLVHLGGPHTITALSVEDNNLWHRHVLTIVVQGCSVLVVLYQYRILDSEWLLPTAIVFLVGIVKCFERIFSLQFASPDFVRWSMLERARNVGKKTTRMVSGLDEELEIVRRGYELYQTFKGFIIDHTFIINKDTTEMEWFRKLEDDEEVKVAFKVMEMELYFMYEGMFTKMVAVEYWKDNYFWCIWRFVCHALLITVTVIFFCHSKHQLHPSVIGITYCLLGCALLLDQLALLNLIFSHWTIVKIMNSSCYKATASEEKGKATASEVVSNIILPVIHCGLKLFVNKRWSGKIIQYSLVRHSRGRLKWAELKPEFYYLREAIDSCLYTETAEVEDRLKELVFKYIQRVANSHQQQQQKEEDLYHGNMDDYATCVLILHVATEICYHLTAADDEEEEKKAKFCIQISQYLAYLLVLEGNITSTLPGSIGMRFKDICEEQVNYTFGHLHSTFNPPDKGRWCRKRMSIKQACQNLINEEWEKRRNKTDVEQQRECEDSCLTKENKYSSCNDEQLEDNNQKEKITITEDVEQPRACEDPCLTKENKYSSCNDEQLKDNHESDNHEKPVLLKAAVDLAAAMIIQIDPKAGNDKQQQQKDLEAGNNEEQQQRSKVFWEGLSEVWVGLLVYASTHCRGDVYYLNRGGQFYTFVRLLMAHFGLQQSLKFERSFQFHNEICTNLSKPSFQLYHDICTNLRLKPTTSGK >itb14g03740.t1 pep chromosome:ASM357664v1:14:3369457:3371073:-1 gene:itb14g03740 transcript:itb14g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADNNGGRLNFPLQLLEKTEDIISNSSSMSTAIIPVSQDLSKKAPAKRTSTKDRHTKVDGRGRRIRMPATAAARVFQLTKELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISVRSSGSTMSASSHLRNINSYFHGYSQLRLMEESQRRIMLGLSSEYSPAAAAALALNFGFANSNDEVNVAMLQAKQEVGGDRHSSPALHNYMSGAGAGNYMLQSTSGCIPASQGQIPATTTLYRMGNNHNPSTSGGGHDPCLWALPANNNCGGLHLMNFPTPVVAVSNGGATVAEGQPTGMFAATLNGFRSSMDDSR >itb06g15010.t1 pep chromosome:ASM357664v1:6:19360571:19365941:-1 gene:itb06g15010 transcript:itb06g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIKSSKPSKPPNQTTTAPLRSSTSSSLSSHLAMVELKQRILTSLSKLSDRDTHQIAVEDLEKIIHSLSHDGVPMFLNCLYDAANDSKPAVKKESLRLLAALCASHADSTASHLTKIIAHIVKRLKDSDSAVRDACRDAIGSLSSQYLKGEAETGALASVVSLFVKPLFEAMGENNKTVQVGASMCMAKMVECASDPPVLAFQKLCPRICKYLNSPNFMAKASLLPVVSSLSQVGAIAPQNFESLMRSIHECIGSSEWATRKAAADTLSVLALHSSNLVTDGAASILTVLEASRFDKIKPVRDSMLEALQLWKKIAGKGDGVADDQKSISHDGEISESADLSENKELQNHERHETVVKDSSNKPSPTDSSSKTKGGNISEKTVGILKKKAPVLSDKELNPEFFQKLERRGSGDLPVEVVVPRRCLNASNVQNEEESEPSDTAKERIRGNNHPNDRYINAEKVISGASCREQDTLNGIDLSQRESSSSHASFAKSGGQSEGFLNNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHNNMVTLENRVRGLERVVEDMAQDLSISAGRRGSNFMIGFEGSSNRPLGKYNGFPEYGGGKLVTGSDGRIPFGDRFVQSDGIPSGIRGRGPPWRSEVTDARDFHTYGKNVYMGSRRGVAGSMDGRSPKSENETDQVGSRRAWDKGALPFGFGEGPSARSVWRASKDEATLEAIRVAGDDSGTARGARVPIPELTAEALGDGNIVQERDPVWISWSNAMDALVAGDADSAFAEVLSTGDDLLLVKLMDRSGPVIDQLSNEVVSEVVHAVAQFLMEQNLLDICLSWVQQLVDIGVEHGPDVLGLPDEVKKELVLNLHDVSSSVELPEDWEGATPDQLLLQLAAAWEIDLQKLEK >itb06g18800.t1 pep chromosome:ASM357664v1:6:22375797:22379947:1 gene:itb06g18800 transcript:itb06g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLISQLAAKLAFFPPSPATYQVKTRDDGRVVAVSATSAAPISGAADDPSLDVLLLDTKRGNKIVAFFLKNPCAKLTVLYSHGNAADLGQLYDLFLQLKANLRVNIMGYDYSGYGASTGKPSEFDTYADIEAAYECLQTEYGVSQEDVILYGQSVGSGPTLHLAARLPRLRGVVLHSGILSGLRVLCHVKFSFCFDIYKNINKMHKVKSPVLVIHGTEDDVVNWLHGDRLWKMARDPYEPLWIKGGGHCNLELYPDYIRHLRRFIQEMENMTTQIRLKKIRQTLRLPKRSNTTTTPSSTTRCCEIKCRKPRCLECSKPSCAKCCCWPKCPEWRPKCPKCVCFKPGCVKCSCWCPKCSCCCWFTNCACW >itb12g18070.t1 pep chromosome:ASM357664v1:12:20315507:20318254:-1 gene:itb12g18070 transcript:itb12g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSTCSSGLVFNNKDSSRNGVSVCQYNGLKPVQTTQLRLGSAASKPKGPRCRRIRAMASPNVSAPKRETDPKKRIVITGMGLASVFGSDVDKFYECLLEGQSGISLIDRFDVSSYSVRFGGQIRDFSTEGYVDGKNDRRLDDCWRYCLVAGKRALNDANLSQQVLDTMDKSKIGVLVGSGLGGMTAISNAIEALVQKGYKKISPFFIPYAITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEANIMVAGGTEAGVMPAGVGGFIACRALSQRNDEPEKASRPWDKNRDGFVIGEGSGVLVMESLEHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCIIKSLQDAGVSPEEVNYINAHATSTLAGDLAEVNAIKTVFKDTSEVKMNGTKSMIGHGLGAAGGLEAVATIKAITTGWLHPTINQYDLEPEVTIDTVPNVKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >itb10g11700.t1 pep chromosome:ASM357664v1:10:17366251:17366858:1 gene:itb10g11700 transcript:itb10g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGKGNITVVVAPYFVHFHPREAKNTNGTAETQTIATISSSFSINYMGMSNLSSSSSNNGNEFYEFGERFPIIHCKCGHQLKIRTSWTNENPGRRFWLCSAGISRVGCGFVDWYDHPMCSSSKRIILGLLKRINRQDEAIWVLNMKLQGEKKQEKGKVFNKIVGILYWL >itb11g08590.t2 pep chromosome:ASM357664v1:11:5637254:5642363:1 gene:itb11g08590 transcript:itb11g08590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEESFDLESDEVPLSSSHGTSKMETLHYEAPHNHIASPRAFLSTRLLRKMRQISLLRSIYIVLIRAKINVLLPFGPLAILLHHVTGKHAWVFFFSLMGIIPLAERLGYATEQLSFYTGATVGGLLNATFGNATEMIISIYALKNDMIRVVKQSLLGSILSNMLLVLGCAFFCGGIIHHKKVQVFNKASAIVNSGLLLMAVMGLLFPAVLHFTRTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSERSPYSSIDEEGENNAEDSNEEEAPEITHWEAIGWLAMLTIWISVLSGYLVDAIEGASVSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGKSMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHNDQSTEMGNSV >itb11g08590.t1 pep chromosome:ASM357664v1:11:5637247:5642441:1 gene:itb11g08590 transcript:itb11g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEESFDLESDEVPLSSSHGTSKMETLHYEAPHNHIASPRAFLSTRLLRKMRQISLLRSIYIVLIRAKINVLLPFGPLAILLHHVTGKHAWVFFFSLMGIIPLAERLGYATEQLSFYTGATVGGLLNATFGNATEMIISIYALKNDMIRVVKQSLLGSILSNMLLVLGCAFFCGGIIHHKKVQVFNKASAIVNSGLLLMAVMGLLFPAVLHFTRTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSERSPYSSIDEEGENNAEDSNEEEAPEITHWEAIGWLAMLTIWISVLSGYLVDAIEGASVSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGKSMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHNDQSTDKL >itb11g08590.t3 pep chromosome:ASM357664v1:11:5637260:5642441:1 gene:itb11g08590 transcript:itb11g08590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEESFDLESDEVPLSSSHGTSKMETLHYEAPHNHIASPRAFLSTRLLRKMRQISLLRSIYIVLIRAKINVLLPFGPLAILLHHVTGKHAWVFFFSLMGIIPLAERLGYATEQLSFYTGATVGGLLNATFGNATEMIISIYALKNDMIRVVKQSLLGSILSNMLLVLGCAFFCGGIIHHKKVQVFNKASAIVNSGLLLMAVMGLLFPAVLHFTRTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSERSPYSSIDEEGENNAEDSNEEEAPEITHWEAIGWLAMLTIWISVLSGYLVDAIEGASVSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGKSMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHNDQSTDKL >itb11g08590.t4 pep chromosome:ASM357664v1:11:5637859:5642414:1 gene:itb11g08590 transcript:itb11g08590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEESFDLESDEVPLSSSHGTSKMETLHYEAPHNHIASPRAFLSTRLLRKMRQISLLRSIYIVLIRAKINVLLPFGPLAILLHHVTGKHAWVFFFSLMGIIPLAERLGYATEQLSFYTGATVGGLLNATFGNATEMIISIYALKNDMIRVVKQSLLGSILSNMLLVLGCAFFCGGIIHHKKVQVFNKASAIVNSGLLLMAVMGLLFPAVLHFTRTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSERSPYSSIDEEGENNAEDSNEEEAPEITHWEAIGWLAMLTIWISVLSGYLVDAIEGASVSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFVIPFCVVVGWIMGKSMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHNDQSTDKL >itb03g22330.t1 pep chromosome:ASM357664v1:3:20400570:20401355:-1 gene:itb03g22330 transcript:itb03g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYQEKQPFMNTYSPIRESPREGSAAGGLYYQDDAVSPPSWCGCFRLCGFGSGAGDGRHLIGGEDNGRGSWLGEKLKKLKEFSEVVAGPKWKNMVRKIGKYCNPKKGKTTAFQYDAESYALNFADGMPEEEGDGLLRNFSTRFATPGQRVGGGL >itb06g14160.t1 pep chromosome:ASM357664v1:6:18780342:18781849:-1 gene:itb06g14160 transcript:itb06g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPNCYGKFSSTPTGSNRTKTSSFRTKSAQRAVRPTKPPSDGATGHSNVGTNVDKEEQTSTEGLIFQTSTGIC >itb04g21890.t1 pep chromosome:ASM357664v1:4:26993705:26999375:-1 gene:itb04g21890 transcript:itb04g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNLSSLPEDSSEEIQNSDTTTGDNLPSAFSSVPARPPIQSSSQKYGPLDWSDYFDKEDDVQISDSNDVFHVYLAGTEGPVVLCLHGGGYSGLSFALAASKMKEKARIVAMDLRGHGKSSTENEVDLSIETLCSDVVAVFKTMYGDAPPAVVLVGHSMGGAVAVHIAAKKVLSTLAGLIVVDVVEGTAMSSLIHMQKILSNRMQHFSSLEKAIEWSVKGGTLRNIDSARISIPSTLIFDDSKKCYTYRSRLEETEQYWRGWYEGLSEKFLSSAVPKLLLLAGTDRLDRSLTIGQMQGKFQMVVVRHTGHAIQEDVPDEFASLILNFISRNRIGPRGVEIPGIHSWSKPKP >itb13g18270.t1 pep chromosome:ASM357664v1:13:25256812:25257180:1 gene:itb13g18270 transcript:itb13g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKILSNGESLTEERVDEVVNEFLKNYKEGPTIAQAKGWPRYGSAYKVSKAVVNAYTRILAQKYPNFRVNCVCPGYVKTDMTINNGMLTPMEGAESIVKLALLPDDGPSGFFFCKKNVMAF >itb13g23990.t1 pep chromosome:ASM357664v1:13:29767021:29768818:1 gene:itb13g23990 transcript:itb13g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKASFMVALLVILLCSSSSLTSCSNTEEVESMVELELGTTATTLTTTTVSDIRQVDACRTKKCNITCDTNAQCQTKNCPSSCPSCNKVGFPNEQKRCGPRPNDVGLLELPVTRQENALIIRSEMEKKRAPFMLAFLAILLCSSSSSILCSNIEAEDMAELELGNAAAATTSSDAPPLINSCNQVCITNADCKDIECPSCNHNPASNKKECGPIGRIKLWATHDEENIRVYQ >itb05g00640.t1 pep chromosome:ASM357664v1:5:545745:547800:-1 gene:itb05g00640 transcript:itb05g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHTTASSTADDTTAAVDPANLQEYNSVAIPPGGIITILSIDGGGIRGIIPGTIVAYLEEQLQALDGPDARVADYFDVIAGTSTGGLMTTMLAAPDKNKRPLYAGKDLVPFYKEHGPKIFPSAGIFETVRDTTRLLSGPKYDGKYLHQLLKNLLGDTRLGQTLTHVVIPTFDIKTFQPTVFNTCDVKDSPEKNAKLSDICIGTSAAPTYLPGHYFETTDANGAKVEYNLVDGGVAANNPTLVAISTVTQRMVNKDPKFLLDNPSKQPIGCHRFLILSIGTGTAKYGSKYTAKQAAGWGVLGWLSQGDGNPLIDVFSDASSDMVDYHIATIFQALNVGDNYLRIQEENLRGTASSVDISTKENLNALEQVGKNLLKKAVSKLNLFTGQYEPIEGAGTNQDALKRFAKLLSEERKRRITVSAAGGQ >itb15g19200.t4 pep chromosome:ASM357664v1:15:21379874:21387293:-1 gene:itb15g19200 transcript:itb15g19200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MTLFKCYRPLTPLLHCQSLIPFHSLKLFTNSGTGRALPFPTRTRVRVRCFNSSSQSAVRIENINKDKDKTGDQLKNHQLWLYNTMSKEKELFKPKVLGKVGMYVCGVTAYDLSHIGHARVYVCFDVLYRYLKHLGYEVNYVRNFTDVDDKIIARANELGEDPISLSRRYCEEFLLDMAYLHCLPPSVEPRVSDHMPQIINMIQQILDNGCAYRINGDIYFSVDKFPEYGKLSGRKLEDNRAGERVAVDTRKKNPADFALWKSAKDGEPFWESPWGPGRPGWHIECSAMSATYLGYSFDIHGGGMDLIFPHHENEIAQSCAACNKSAISYWMHNGFVNIDSEKMSKSLGNFFTIRQVIDIYHPLALRLFLMGTHYRSPINYTIAQLESASDRIFYIYQTLYDCEIVLTQHDGSSRKDSVPSGTAECIEKFQNELLISISDDLHTPVALAAMSDPLKMMNDLLHTRKGKKQELRLESLAALEKTLRSSLTVLGIMPSSYSEALQQLREKALKRAKLTEDQVFHKIEERASARKNKEYERSDAIRKELAALGIALMDSPEGTTWRPTIPFAFQEEQFATT >itb15g19200.t3 pep chromosome:ASM357664v1:15:21379917:21387337:-1 gene:itb15g19200 transcript:itb15g19200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MFVVSPHMISATLAMLAFTSASTFSTGRYLKHLGYEVNYVRNFTDVDDKIIARANELGEDPISLSRRYCEEFLLDMAYLHCLPPSVEPRVSDHMPQIINMIQQILDNGCAYRINGDIYFSVDKFPEYGKLSGRKLEDNRAGERVAVDTRKKNPADFALWKSAKDGEPFWESPWGPGRPGWHIECSAMSATYLGYSFDIHGGGMDLIFPHHENEIAQSCAACNKSAISYWMHNGFVNIDSEKMSKSLGNFFTIRQVIDIYHPLALRLFLMGTHYRSPINYTIAQLESASDRIFYIYQTLYDCEIVLTQHDGSSRKDSVPSGTAECIEKFQNELLISISDDLHTPVALAAMSDPLKMMNDLLHTRKGKKQELRLESLAALEKTLRSSLTVLGIMPSSYSEALQQLREKALKRAKLTEDQVFHKIEERASARKNKEYERSDAIRKELAALGIALMDSPEGTTWRPTIPFAFQEEQFATT >itb15g19200.t1 pep chromosome:ASM357664v1:15:21379874:21387337:-1 gene:itb15g19200 transcript:itb15g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MFVVSPHMISATLAMLAFTSASTFSTGRYLKHLGYEVNYVRNFTDVDDKIIARANELGEDPISLSRRYCEEFLLDMAYLHCLPPSVEPRVSDHMPQIINMIQQILDNGCAYRINGDIYFSVDKFPEYGKLSGRKLEDNRAGERVAVDTRKKNPADFALWKSAKDGEPFWESPWGPGRPGWHIECSAMSATYLGYSFDIHGGGMDLIFPHHENEIAQSCAACNKSAISYWMHNGFVNIDSEKMSKSLGNFFTIRQVIDIYHPLALRLFLMGTHYRSPINYTIAQLESASDRIFYIYQTLYDCEIVLTQHDGSSRKDSVPSGTAECIEKFQNELLISISDDLHTPVALAAMSDPLKMMNDLLHTRKGKKQELRLESLAALEKTLRSSLTVLGIMPSSYSEALQQLREKALKRAKLTEDQVFHKIEERASARKNKEYERSDAIRKELAALGIALMDSPEGTTWRPTIPFAFQEEQFATT >itb15g19200.t2 pep chromosome:ASM357664v1:15:21379874:21387293:-1 gene:itb15g19200 transcript:itb15g19200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MSKEKELFKPKVLGKVGMYVCGVTAYDLSHIGHARVYVCFDVLYRYLKHLGYEVNYVRNFTDVDDKIIARANELGEDPISLSRRYCEEFLLDMAYLHCLPPSVEPRVSDHMPQIINMIQQILDNGCAYRINGDIYFSVDKFPEYGKLSGRKLEDNRAGERVAVDTRKKNPADFALWKSAKDGEPFWESPWGPGRPGWHIECSAMSATYLGYSFDIHGGGMDLIFPHHENEIAQSCAACNKSAISYWMHNGFVNIDSEKMSKSLGNFFTIRQVIDIYHPLALRLFLMGTHYRSPINYTIAQLESASDRIFYIYQTLYDCEIVLTQHDGSSRKDSVPSGTAECIEKFQNELLISISDDLHTPVALAAMSDPLKMMNDLLHTRKGKKQELRLESLAALEKTLRSSLTVLGIMPSSYSEALQQLREKALKRAKLTEDQVFHKIEERASARKNKEYERSDAIRKELAALGIALMDSPEGTTWRPTIPFAFQEEQFATT >itb08g06350.t1 pep chromosome:ASM357664v1:8:5424642:5429044:-1 gene:itb08g06350 transcript:itb08g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSVSAAFKPEIKSIPKLQPIPVAQSPFQKPSVPLCNYKLRFRAQSVAREVPAGVSAASGNNDVILKSKAAEDGILKDPRQLWKRYVDWLYQHKELGLYLDVSRVGFSDDFVAQMEPRLQKAFKDMEALEKGAISNPDEGRMVGHYWLRSPHLAPNSFLRLQIENTLEAVCKFADDVVGGKVRTPSGGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLEFAKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGINIREMLAGAALMDEANRTTVVRNNPAALLALCWYWATDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGITVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANERESITVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLEEIADRCHALEDIEMIYKIIAHMAANDRALIAEGNCGSPRSIKVFLGECNVDELYA >itb14g00880.t1 pep chromosome:ASM357664v1:14:624431:628892:1 gene:itb14g00880 transcript:itb14g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYVVLTAVVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISSNNPYEMNLRFIAADTLQKIIMLVVLCFWANLTKNGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVESDVVSLDGQDFLETDAEIGNDGKLHVTVRKSNASRRSFAMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHSDFYSMMGFPGRLSNFGPADMYSVQSSRGPTPRPSNFEENCAPGGLMNSPRFGFYPSQPAPASYPAPNPEIASAVPKSQKQPAPAAPPPPPQQTAKPNHDAKELHMFVWSSSASPVSEAGGLHVFNEQSGRSDGAKEIRMLVSDHPQNGETKTIPQPGDLEDFSFAGAGGKEGEEEKEKLEGPTGLSKLGSSSTAELHPKSAAGNNDAGAGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNTVASFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIILGV >itb07g21650.t1 pep chromosome:ASM357664v1:7:26115065:26119578:-1 gene:itb07g21650 transcript:itb07g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLSTILQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAATLLGSPSGYLRQACIRSHPNSSHPLQCRALELCFSVALERLPTAQNMPPGTEPPVSNALNAALKRAQANQRRGCPEQQQQPLLAVKVELEQLIISILDDPGVSRVMREASFSSPAVKATIEQTLNSTSNHTHHHTAPVNLGSFSGIGPRMLPNPAAISPAPPNRNMYLNPRLGSGQVGNQNQTYQRNEELRKVLEILAKTKKRNPILVGEGEPEAVAKELFRRIEKSELGAAGEGPLKNLQLIPIDKDSLLSDKTEIPVKIKELGGLIGTRLGNGKGVILDLGDLKWLVEQPPSGGIPATQQPQPVVSDASRTAVAEIAKLLAAFAGDRIWLIGTATCETYLRCQVYHSSMENDWDLQAVPMASKSTNPGIGIGISPWLGKERLLSNPCESLSPLKTFPVAAVPPLLRCIPENVNLVRRASVTTSCPQCMEKYEQELAKLVSEFENSPSDANSDSARPTLPQWLQNAKISNGDKGTSLSQSKDQELLKLKTQALQKKWSEKCLQLHPNFHHNVGSEKATGSPMLGMPSLQNPNLLLRQPLQPKLQTAKSLGETLQPKLQSTKGLGETLQPKLQTTKGIGEALQLNTQQRASSGRPPQLATSPPESPVRTELVLGQKPTEITSQKSQDDHVKDFLGCISLESEKKILGKFASALDADTYKRLLKGLVEKAWWQQDAASAVASAVTKCRLGNGRQRGGASKGDTWLIFTGPDRIGKRKMASVLADQICGASPILVSLGSRRDDEETEKDFRGKTAIDRIAEAVRRNPFSVIMLEDVDEANMLVRGNIKRAMERGRLTDSHGREISLGNIIFILTGNWSTINPESYRNENSMDDKKMASLSSGNWQLRLAIGQKSTKRGASWLYDDEDRPTKQRKELGLGLGFDLNEAADTADDRADGSHNSSDLTIEHEDELGLENMQFSITSVPHELVNAADDLVVFKPIDFALVRRKIKKTISSIEDKVVIEVEEEVIDRILAGLWRGQMSLDEWVHNVLAPSFDKLQPRLSSPHDDNTIVRLQLEPDTDLSGRSATGEWLPSKVTVTAS >itb06g23480.t1 pep chromosome:ASM357664v1:6:25430857:25431667:1 gene:itb06g23480 transcript:itb06g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVTRLCSYTGRFTATFFSAWLPQALRITILAMFVVMNRCGQAYLRYQYRAMVKKQGRKFVYRRKSFFSRPSAPAPAPECAICLSEFVEGEVGRELERCRHVFHAGCVEKWLLHGEGHGSCPLCRSPVVVPEADVGETWKCERGERLMCFEEDLALLLLPGLTKICAAQY >itb13g22820.t1 pep chromosome:ASM357664v1:13:29026737:29030745:-1 gene:itb13g22820 transcript:itb13g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAKACSNNATQISALVTVSEKGSRNKRKFRADSPLCDPNKITSAPQNEFTSYKFSVDKFGIIPSFVGSNGCDTCSVKNDTSEVLKLDIGLSCAVGSSEAGPSRPREEPEVTEEFHDADWSDLIESQLEELILSNLDAIFRSAIKKIVACGYSEEIANKAVLRSGICYGCKDIVSNIVDNTLAFLRNGQEIDLSVEHYFDNLQQMEKYVLAELVCLLREVRPFFSTGDAMWCLLICDMNVSQACAMDSDPLSNSVLNGVLNHNPSAFVQPQLKTDGNNAESNTVIPCKPIPSVYCPSETPNVDSDGHRSQSGAPTAVGMQHAKPQSSFVLNGLITEKESSNSLFDTADKSFSAAATSHPSAADEKFVGNRKVSGTTRREYVLRQKSIHLEKHYKTYGSKGSRAGKVSGFGGLILDKKLKSVGCPAGLNIKNASLKFNKSAVAVSQDNVNHNCSTNSDTVFPSTSLFGSDTTNATSALLKPNIPSLLPPTNTLPAQPMADTELSLSLPAKCSSIQVPTCGYAVTNEKRLNQWAAQDKKDEMILKLVPRVQELQGQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLRKEKQTLEENTMKKLTEMANALGKASGQVDRANAAVHRLEVENAVLRREMEAAKSHAAQSAVSCQEVSNRARKAQLKFQSWEKQKAVLQDEHAAEKRKLTQLQQELEQSKELQSQLEAKWKQEEKAKEELLTQAISLRKEREQIEASSKSKEDLIKLNAQSNLQKYKDDIEKLEKEISQLRLKTDSSKIAALKRGIDGSYASKLTDFRNPPVMKETHISYISRFMADFQHYPNSGGVRRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRSPIQQRIGVHFARS >itb13g22820.t2 pep chromosome:ASM357664v1:13:29026800:29030745:-1 gene:itb13g22820 transcript:itb13g22820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAKACSNNATQISALVTVSEKGSRNKRKFRADSPLCDPNKITSAPQNEFTSYKFSVDKFGIIPSFVGSNGCDTCSVKNDTSEVLKLDIGLSCAVGSSEAGPSRPREEPEVTEEFHDADWSDLIESQLEELILSNLDAIFRSAIKKIVACGYSEEIANKAVLRSGICYGCKDIVSNIVDNTLAFLRNGQEIDLSVEHYFDNLQQMEKYVLAELVCLLREVRPFFSTGDAMWCLLICDMNVSQACAMDSDPLSNSVLNGVLNHNPSAFVQPQLKTDGNNAESNTVIPCKPIPSVYCPSETPNVDSDGHRSQSGAPTAVGMQHAKPQSSFVLNGLITEKESSNSLFDTADKSFSAAATSHPSAADEKFVGNRKVSGTTRREYVLRQKSIHLEKHYKTYGSKGSRAGKVSGFGGLILDKKLKSVGCPAGLNIKNASLKFNKSAVAVSQDNVNHNCSTNSDTVFPSTSLFGSDTTNATSALLKPNIPSLLPPTNTLPAQPMADTELSLSLPAKCSSIQVPTCGYAVTNEKRLNQWAAQDKKDEMILKLVPRVQELQGQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLRKEKQTLEENTMKKLTEMANALGKASGQVDRANAAVHRLEVENAVLRREMEAAKSHAAQSAVSCQEVSNRARKAQLKFQSWEKQKAVLQDEHAAEKRKLTQLQQELEQSKELQSQLEDVLLRKYLFSLES >itb04g07850.t1 pep chromosome:ASM357664v1:4:5431141:5431635:-1 gene:itb04g07850 transcript:itb04g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFPHYGVVKDDYILIKGCCVGPKKRVVTLRQTLLNQTSRVALEEIKLKFIDASSKFGHGRFQTTQVEILWSSQGLNIPFNCDNNQQPLHRSHNWRALTFSVQVGSEVVLFHWLETGTGVSSPFADMK >itb08g05840.t1 pep chromosome:ASM357664v1:8:4790152:4793864:-1 gene:itb08g05840 transcript:itb08g05840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLLLLFSIHKICFARDTISPTQSLKYGDTVVSSGGIFEMGFFSPTNSSHNIYLGIWYKQIPIRTVVWVANRDTPLTNTSSLALKIISPAQLALIDGNNNANSWHTNSSSSRLAQNPVAKLLDSGNLVVTDANDDNPENLLWQSFDHPTDTLLPGMKLGRNLVTGLDTALSAWKSEKNPGNGEYKLCINPTGYPQLVLRKDTTQVFRSGPWNGLRWSGSTGTIKKNSIAETFVIADINEVSVSYKASNTSNLVRLVLTISGSVETFVWGDGTGQWNGILASTDVCDSYGLCGAHGSCNANYNPLCECLPKFLPRDPVGWGRANFSGGCVRGTPLSCQNDGFLKYSDIKLPDTEVSWFNSSMNLQECEQVCLKNCSCMAYSSLDISNGENGCLLWFGDLMDIKVVPFHGQDLYIRMAFSDLDHQRRTLLDWPKRFNIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGLAKSIGLNEIGANTSRVAGTRGYMSPEYAAQGIFSIKSDVFSFGVLILEIAWKLHRDGRSIELVEEHLDEPCDLPQVLRSIQVGLLCVQKCPEDRPEMCSIVHMLANDVELPSAKEPGFFVEKMAIEARFSSSVEVSCSINEVTISMLDPR >itb14g07600.t1 pep chromosome:ASM357664v1:14:7023597:7028012:-1 gene:itb14g07600 transcript:itb14g07600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKASTFQPFHFAVNSTTLPPIQFHKPISPFPSKPLPFSPLRTTRSKTARFTAISPDVSAEPEKEGASREEKFDWYAQWYPVMPLCDLDKRRPQGKRILGIDVVVWWDRNEGEWKVMDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFSGSGDCKFIPQAPRDGPPIHTSKRACVAVYPSCVQNDILWFWPNSDPLYKDIFMKKKPVYIPELDDPSFTKSIIVRDIPYGYEVLIENLMDPAHVHYAHHGIMRIPPTPESLKADREGGKPLEMSLVQLDVNGFMGKQFFGCNNFAAPCVYYGILPWAISSSQSTSSPKATEEKPSNAKVKRAFLVFYCIPVSPGNSRLIFAVPRNFAVWIDRVVPRWMFHIDVNLILDSDLYLLHVEEHKLKEIGPYNWHKSCFVPTKADTLVVAFRRWLNKYAGGQVDWRGKFSGALPQTPPREQLMDRYWSHTVKCSSCNAAYKSLSVLEVALQVISIASIGIVAAAKQGLMSVATRNTLIAMAVLCFLASRWLSHFTYKTFRFHDYDHAFR >itb10g14390.t1 pep chromosome:ASM357664v1:10:20725095:20727782:-1 gene:itb10g14390 transcript:itb10g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEKAKEKREKRRQEISLLRTIPYSDHQRWWTTETIAVVTGANRGIGFEITHQLAMHGLTVVLTSRETAVGEEAVKVLQEGGLNVVFHQLDIIDPVSIERFSGWLKEEYGGLDILINNAGVNFNFGKENSVEHAETVIKTNYYGTKNMIKAMIPLMRPSTAGGRMVSVSSRLGRFTGKRNKIENVEFREKLEDVESLSEEFIDQMVENFLEQTKDGSWVSGGWPETLTDYSVSKLAVNAYTRLMAHELSGREEGHKIYINCYCPGWVKTAMTGWAGSISPEDAADTAVWLALLPDMCVSGKFFAERREINF >itb10g19520.t1 pep chromosome:ASM357664v1:10:25344217:25346692:-1 gene:itb10g19520 transcript:itb10g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAEKWQVLSGSTNWEGLINPLDIDLRNYLIHYGEMTQATHDAFNADSASKFAGTCRFSEPNLFAKVGLQKGNPYKYEVTKYFYATSSYTLPDPFIVKSTPIDAWSRESNWMGYVAVATDQGKAALGRRDIIVCWRGTIRPSEWVNDFEFSLVPATKIFGNGANNNNAPQVHRGFYFIYTSENPNSAFNATSARDQILSEMKRLVELYKDEEVSITICGHSLGAALATLNAIDIVTNGINKTSAGKAFPVTGLAYASPKTGDHNFKNAYNNATPNLHLLRVRNEPDIVPDVPPATPFGGYRDVGVELRINVTKSGYVKPVSGVWNWHNLEGYLHGIAGTKGVGVLDGFEMVVDRDISLVNKSQDYLKQEYCVPGNWWIERNKGMVQQDDGSWELMGIDEGYIPLPP >itb12g19660.t2 pep chromosome:ASM357664v1:12:22066170:22072003:1 gene:itb12g19660 transcript:itb12g19660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQAPSSATLSFLPLSSQPKAGLYVAENCCLLCNSPFPSQRHLRPLSVASTRSILTPKVASVKGSASVVSNQTAEAEVLKALAQIIDPDFGTDIVSCGFVKDLLINEALGEFEQRANEVVAALPWVKEVKVTMSAQPAKPVYAGQLPPGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRHYPFGKGSGAQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVASTFQELGVCVVQQCAKIRQQVSTAVSYDRSIKAIKVKVPNSDEEFYLHPATVRRNDRSADSVDEWTGEQKLQYSDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLVEVSQLTPAQP >itb12g19660.t1 pep chromosome:ASM357664v1:12:22066146:22072061:1 gene:itb12g19660 transcript:itb12g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQAPSSATLSFLPLSSQPKAGLYVAENCCLLCNSPFPSQRHLRPLSVASTRSILTPKVASVKGSASVVSNQTAEAEVLKALAQIIDPDFGTDIVSCGFVKDLLINEALGEVSFRLELTTPACPIKDMFEQRANEVVAALPWVKEVKVTMSAQPAKPVYAGQLPPGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRHYPFGKGSGAQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVASTFQELGVCVVQQCAKIRQQVSTAVSYDRSIKAIKVKVPNSDEEFYLHPATVRRNDRSADSVDEWTGEQKLQYSDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLVEVSQLTPAQP >itb12g19660.t3 pep chromosome:ASM357664v1:12:22066146:22072061:1 gene:itb12g19660 transcript:itb12g19660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQAPSSATLSFLPLSSQPKAGLYVAENCCLLCNSPFPSQRHLRPLSVASTRSILTPKVASVKGSASVVSNQTAEAEVLKALAQIIDPDFGTDIVSCGFVKDLLINEALGEVSFRLELTTPACPIKDMFEQRANEVVAALPWVKEVKVTMSAQPAKPVYAGQLPPGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRHYPFGKGSGAQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVASTFQELGVCVVQQCAKIRQQVSTAVSYDRSIKAIKVKVPNSDEEFYLHPATVRRNDRSADSVDEWTGEQKLQYSDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLVEVSQLTPAQ >itb04g08290.t1 pep chromosome:ASM357664v1:4:6278298:6280569:1 gene:itb04g08290 transcript:itb04g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFIVAAQLHPQQTTKAIRLSSFDATQLFFTHTSKEFVNFKNSFRGDYTPLRCIESASRLAVGFPINGVGSNNQIITSIEDIYKKKEGVHVIPNEIAALRGMSMLFQIVMKKEQVDNYYSAFTVLRICRDEVVLTQHCSGLFDRNEGDLVATNGGDWLDFEDNSEDGIVSDDDGSRGLDAMYKEMEKDKIVDLGDSDGASSSEQATLPLKRCLIDVFDCVGGTSKKAKEIVVKLEKM >itb04g00420.t1 pep chromosome:ASM357664v1:4:262125:266339:1 gene:itb04g00420 transcript:itb04g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYVVASLLTVLTSSQGILTTLSQSNGGYRYDYATVPFLAEVFKLLISSILLSREMKKSPPPKMTTEWKSIRLFPIPSIIYLIHNNIQFATLTYLDTSTYQIMGNLKIVTTGILFRLFLKRKLSNLQWMAIVLLAVGTTTSQVKGCGEASCNSMFTSPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGSIFNMGRLLLDDFKSGFEKGAWWQRVFNGYSITTWLVVLNLGTTGLLVSWLMKFADNIVKVYSTSMAMLLTMLLSVALFDFKPTVQLFLGIVICMMSLHMYFAPPNTLVDLPLTTKDAPENLTEASVDRRTVS >itb12g02030.t1 pep chromosome:ASM357664v1:12:1373558:1376017:-1 gene:itb12g02030 transcript:itb12g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNKIGRDELKPGDHIYSWRHNYLYAHHGIYVGDGKVIHFTRAAGQEIGTGTALDRFIFSASPSHASDSPCPRCGNQVSAEGVISSCLECFLSGGELYLFQYGVSPALFIAKARGGTCTLAASDPPDHVLHRAEFLLQNGFGVYSLFKNNCEDFAIYCKTGLLIFTNVSVGRSGQATSFLAAATAVVSSPLRFMTNSFPGLAAVGCTVYCLSRLELPMALAVSLSKNFWIFPVEVLGRSS >itb12g02030.t3 pep chromosome:ASM357664v1:12:1371727:1376017:-1 gene:itb12g02030 transcript:itb12g02030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNKIGRDELKPGDHIYSWRHNYLYAHHGIYVGDGKVIHFTRAAGQEIGTGTALDRFIFSASPSHASDSPCPRCGNQVSAEGVISSCLECFLSGGELYLFQYGVSPALFIAKARGGTCTLAASDPPDHVLHRAEFLLQNGFGVYSLFKNNCEDFAIYCKTGLLIFTNVSVGRSGQATSFLAAATAVVSSPLRFMTNSFPGLAAVGCTVYCLSRFVSDIGVRRDVTKIPVERLVAGCNNLEPENSTHTTIES >itb12g02030.t2 pep chromosome:ASM357664v1:12:1373558:1376017:-1 gene:itb12g02030 transcript:itb12g02030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNKIGRDELKPGDHIYSWRHNYLYAHHGIYVGDGKVIHFTRAAGQEIGTGTALDRFIFSASPSHASDSPCPRCGNQVSAEGVISSCLECFLSGGELYLFQYGVSPALFIAKARGGTCTLAASDPPDHVLHRAEFLLQNGFGVYSLFKNNCEDFAIYCKTGLLIFTNVSVGRSGQATSFLAAATAVVSSPLRFMTNSFPGLAAVGCTVYCLSRFVSDIGVRRDVTKIPVERLVAGCNNLEPENSTHTTIES >itb12g02030.t4 pep chromosome:ASM357664v1:12:1371821:1375003:-1 gene:itb12g02030 transcript:itb12g02030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGIYVGDGKVIHFTRAAGQEIGTGTALDRFIFSASPSHASDSPCPRCGNQVSAEGVISSCLECFLSGGELYLFQYGVSPALFIAKARGGTCTLAASDPPDHVLHRAEFLLQNGFGVYSLFKNNCEDFAIYCKTGLLIFTNVSVGRSGQATSFLAAATAVVSSPLRFMTNSFPGLAAVGCTVYCLSRFVSDIGVRRDVTKIPVERLVAGCNNLEPENSTHTTIES >itb15g00240.t1 pep chromosome:ASM357664v1:15:156379:159534:1 gene:itb15g00240 transcript:itb15g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESESDSAASFFDVDALFSYNYGQSSELGATYCQPPYTDGTVYSNTNNYEDISHTAVYSNTNNDEDISHTLQEGMSRLSITGASEWHTGEYPQSFANVQPWPHIEYSQPSFGVQPWHIPPENYYAGNIGVLEDIGCCSSTGDRNYDEEEQNHGIEITNQPEIDGENDRQQNEMNAVPQTPQTNRDIPPVDDAMSDHETLLNRLRLSGFVEREVEGDGNCQFRALSDQFYQTPEHHRDIRKRVVNQLKNNPEMYKEYIAMDYDEYLEMMARDGEWGDHVTLQAAADLYGVKILIVTSFKDSWYIEIIPKTEKSKRKVYLSFWAEVHYNSIVLQGDDVKVKGKKRWSLGRKNPGR >itb01g22270.t1 pep chromosome:ASM357664v1:1:28202108:28204490:-1 gene:itb01g22270 transcript:itb01g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSWNSDIDDGEVRSHRKIWHSFKRIADIDDCEEDCEEAESDREWNSAEAFGSDSEDDVEYEEENNHNLLNRRQLPTHPLAVNSRKVRIFELQKIRAKKIPEHVAAVSGDARCALEICRRAAELADCRVKKVHFSAVGKVLVGVADVEAAIQEKCSRLSYSSESRIYGFFLLLTCAI >itb15g20730.t1 pep chromosome:ASM357664v1:15:23396697:23400597:-1 gene:itb15g20730 transcript:itb15g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLRYVSVQMVIADDGGGASLGRFCSSTQFRGGAPSNFSGYRNGYTGVPVIRPQFYTGVYVSLLIHPQFYRNGYSGGNHQEDSGGGNHQEDSGEETETELGQEILDVKFECRSEMKSEISVQGDPALKKFRNFLENITQFLESLEKFLIISMHVLACLNEVSFDPTATANPKELKNFSIASPQVSGLPSPTPHSAAVPHHVRQSSTGGQQSPLLRPDADHHQSTTAPPTVQHFNSR >itb03g14280.t1 pep chromosome:ASM357664v1:3:14069563:14071290:-1 gene:itb03g14280 transcript:itb03g14280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGISITNEAGHYNGRITSFVVLSCMMAAMGGVIFGYDIGISGGVTSMEPFLKRFFHDVYTKMKEDSAEVSNYCKFDSELLTSFTSSLYIAGLFASLLASSVTRAYGRTPSILIGGVAFLAGAALGGAAINVYMLILGRVLLGIGVGFANQAVPLYLSEMAPAKYRGGMNVSFQLCVGIGVLIANLINYGTEKIRGGWGWRVSLALAAVPALVLTMGAIVLPETPNSLIQRCNDHERAKATLQRVRGANDVQAELDDLISASEISKTIKHPFKKILQRRYRPQLVMAFAVPFFQQVTGINVIAFYAPVLFRTIGLGESAALMSAVVTGAVGIVTTFASMLLVDKVGRRRLLISGGILMLVTQMGVGGVMAAKLGNQGGLSKVWAIVVLLLISSYVAGFGLSWGPLGWLIPSEIFPLEIRSAGQSITVAVGFLFTFLVGQTFLAMLCHLKYGIFFFFGGWVAIMTTFVYFLLPETKNLPIEKMDLVWREHWFWKKIVV >itb07g08980.t1 pep chromosome:ASM357664v1:7:7644620:7645237:1 gene:itb07g08980 transcript:itb07g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISNSRYCQHHRLPPFIRSADHRRRKGGREDVCRCWTSAARPPRAGLRNAANNHRRVLCFVMLLPCSAVYRRKTGEVAEVARRTGKDDGEVCAARMLRHHRTPLFCLAGRRVGDATGASLLSLHCQAWFSCCDAGDSGMPPCIPAGNEGRRTEMLGRRRRTRRPRSPVVEVAVVATIAVHRCCLLLRRRRSERRDEGRQGSNYG >itb10g04570.t1 pep chromosome:ASM357664v1:10:4343378:4348024:1 gene:itb10g04570 transcript:itb10g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLFKFSLLRRRTSEEEDDGADSSEVKNPLEENEVVGITNYIDFGFELQSRYSCSDLHLYKKQSLDSQISFDNLHSTKSIDNLVKAEEREFGYTGADLKAFTATLDRDIGSSSSMPSASDAVEGVFAASEAVSSTSLKKPTTFIVGAKPSMSWR >itb14g12610.t2 pep chromosome:ASM357664v1:14:14293049:14296448:1 gene:itb14g12610 transcript:itb14g12610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMPQHPTVFQKVANQLHLSSSLYQDTQARYGGLPRPTQYSRHFACGNYSNAGLKYPVTQQCQATMDLSSITANASPVFVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFSRTIKEEGVGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >itb14g12610.t1 pep chromosome:ASM357664v1:14:14293049:14296565:1 gene:itb14g12610 transcript:itb14g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMPQHPTVFQKVANQLHLSSSLYQDTQARYGGLPRPTQYSRHFACGNYSNAGLKYPVTQQCQATMDLSSITANASPVFVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFSRTIKEEGVGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGA >itb06g10900.t1 pep chromosome:ASM357664v1:6:15380388:15384056:-1 gene:itb06g10900 transcript:itb06g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSWGKLPRCCAISARQSFISSAFSTSSGGSGWGRGHGGGGFGSDNSPIFGITPNNDAKDDKSAAAPQPPSGIGHGRGSGKPFPSSSDPFVADNASADAPAGRGRGVPGPISVPPPSQQRMPLQQPQPMFFVEGEKSSDSQPKASADSSLPPSILSVLSGAGRGKPTVTPPVSEKPKEENRHLRVRKTPQAESSKPPSPRLSREEAVKKAVGVLSRGDDDGGSGRGAMAPRGGRGFSARGRGGRRGGRGGGGRGRMGRREGIQQDSGDEYGDVFEVGDDAAAEKLAQKVGPEIADQLAQGIEEMGPRVFPSLIEDAYLDALDTNLKIECEEEYMMGEFDMNPDIDEKPPIPLRDALEKMKPFLMVYEGIESQEEWEEVMKETMEVRLPIIKEIVDHYSGPDRVTAKQQHEELNRVAATLPQSAPTSVKLFTERAVQSLQSNPSWGFHKKCQFMDKLVYEVSKQYK >itb14g18870.t1 pep chromosome:ASM357664v1:14:21729118:21729576:1 gene:itb14g18870 transcript:itb14g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSERAAAEDCGQHYFLFTAIETTEKEKVEETGKQLQSFAAQFNLPFSFNVLYIPDLKHLKAEQVEIKADEAVVIHASFALRAMISKLLELESVMRAITRLNPCVMVVQEMEANLNSPSFVHRFIDALHNAERRSTSGEHRSRVGPRRDP >itb07g05510.t1 pep chromosome:ASM357664v1:7:3807279:3811441:-1 gene:itb07g05510 transcript:itb07g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFQLLLMLQVSCLVTTSFADPSVPDLLLKFRDSLTNASRLSNWSLNNVPYCNNGTAVWTGLVCKEGQFVGLKLEGMGLAGRIDVDTLSQLNSLSPDSHLRSISFMNNNFAGPFPNVEKLHSLRGIFLSNNRFSGEIPDDMFSGMNAMQRVLLANNQFTGRIPSSLTGLRNLSELKLQNNQFEGPIPDFPQKDLDVDFSNNRLEGPIPPHLSGKNASIFAGNPKLCGKPLKVECPPKKKTFPKIAIIIAAVAGVLLLAAILALLVRRRRRRSNGELPAKSNVPIPKAAAENSASEIYTTRGGEKGKLNFVRADRETFELEDLLRAPAEVLGSGSFGSSYKALVVTGSVVVRRFRQMTNVGKDEFYGHMTRLGRLSHPNLLPLVAFYYRKEEKLLVSDFVEKGSLASLLHSKKGSGQPGLDWPTRLKIIKGVAKGLSYLYEHLSTLTLPHGHLKSSNVLLDQTFNPLLADYALAPVTNKDHAQQFMVGYKSPESTHHERVTRKTDVWSLGILILEVLTGRFPANYLAQGKGASADLAAWVNSVVREEWTGEVFDKEMRGGKSGEGEMLKLLKIGMCCCEWEVGRRWDIMEAVERIEELKEKDVEDDYSFGSDEDLCASALITDDEFSFSKTA >itb04g02080.t1 pep chromosome:ASM357664v1:4:1279900:1284654:1 gene:itb04g02080 transcript:itb04g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSGAVMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYVVVITNYGPILAGGGGGVGVFDTLVALFVIALFHCLLVMLLWSYFSVVFTDPGSVPPNWRPALDEERGDTDPLTASEFGSSPADLPRSRIRFCRKCNQMKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPDFIAFFTDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLILHMSLVSGNTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGMDRRYWFIPRYSVEDLRRTPALHGLEYPTQPDLDAQEF >itb08g01380.t2 pep chromosome:ASM357664v1:8:1016080:1019824:1 gene:itb08g01380 transcript:itb08g01380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASNQLISVSPDELKFQFELEKQSYCDLKVSNTTENNVAFKVKTTSPKKYFVRPNTGVIQPWDSCFIRVTLQAQREFPSDMQCKDKFLLQSTIVASYSDDLPADTFSKDNGRTVEECKLKVVYVTPHSTTGNSEDGSKQGSDANSMVQRTREERDAAVRQTQQLQQELDMLKRRRNRKNDLGFSLKFALLVGVIGFMVGILFKLLSSPTTAE >itb08g01380.t3 pep chromosome:ASM357664v1:8:1016083:1019290:1 gene:itb08g01380 transcript:itb08g01380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASNQLISVSPDELKFQFELEKQSYCDLKVSNTTENNVAFKVKTTSPKKYFVRPNTGVIQPWDSCFIRVTLQAQREFPSDMQCKDKFLLQSTIVASYSDDLPADTFSKDNGRTVEECKLKVVYVTPHSTTGNSEDGSKQGSDANSNQMVQRTREERDAAVRQTQQLQQELVSNSFYSLHLQGTYTIMPPLPSCIDTA >itb08g01380.t1 pep chromosome:ASM357664v1:8:1016059:1019824:1 gene:itb08g01380 transcript:itb08g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASNQLISVSPDELKFQFELEKQSYCDLKVSNTTENNVAFKVKTTSPKKYFVRPNTGVIQPWDSCFIRVTLQAQREFPSDMQCKDKFLLQSTIVASYSDDLPADTFSKDNGRTVEECKLKVVYVTPHSTTGNSEDGSKQGSDANSNQMVQRTREERDAAVRQTQQLQQELDMLKRRRNRKNDLGFSLKFALLVGVIGFMVGILFKLLSSPTTAE >itb08g00560.t1 pep chromosome:ASM357664v1:8:449441:451981:-1 gene:itb08g00560 transcript:itb08g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTNMAKTLSILCIAFVSIFHSTIAENINGGMDIYIVHVSKAVAQNSADEPEDLETYYRSFLPETNTAVSPEDLPSIVYSYRHVASGFAAKLSVEMVEELSKKDGFVSARRQKVYSLHTTHTPNFLGLYQNYGFWPESNFGKGVIIGMLDTGITPDHPSFNDEGMPAPPAKWKGKCELNGTTCNRKLIGARNFVREEASEPPIDAEGHGTHTASTAAGNFVKGAALYDNAKGTAAGMAPLAHLAIYKVCNDDCDESDILAAMDAAVEDGVDILSLSLGGYSSDFYDDTTALGAFSAMQKGIFVSCSAGNSGPDNTSLSNEAPWILTVGASSIDRTLRATAVLGNGLEFEGQSAFQIQGFPSTQLPLIYPGLQDEDAGYCGEGTLNNTNVRGKVVVCDKGGGVRRIDKGATVKAAGGAAMIIVNEEEDGYTIIADTHVLPATELNYIDGVKIKTYINSSDSPTATVVFKGTKIGDKNAPAVSSFSSRGPSYASPGILKPDIIGPGVNILAAWPFSVENITNTKSTFNIISGTSMSCPHLSGIAALLKSAHPDWSPAAIKSALMTTADQANLDGGDILDERLIPADVFSIGAGHVNPARANNPGLVYDIHPDDYIPYLCGLNYTDREMGQILQYKFKCSEVSSIPEAQLNYPSFSIKLGTASQTYTRTVKNVGEANSSYSVRIENVPGVDIVVEPETLVFTATNQETTYKVRFSLSSGEIYNVPFVQGAISWVSANNVVRSPVVVMFE >itb01g11500.t2 pep chromosome:ASM357664v1:1:10463024:10465656:-1 gene:itb01g11500 transcript:itb01g11500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYVSKLEKELAATRAQLQAQNDMQKAMGGALVAVLEKTFGKVPEQFVTLLTKHLNQYSMKDHLLLLLLDSTRT >itb01g11500.t1 pep chromosome:ASM357664v1:1:10463024:10465656:-1 gene:itb01g11500 transcript:itb01g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYVSKLEKELAATRAQLQAQNDMQKAMGGALVAVLEKTFGKVPEQFVTLLTKHLNQYSMKDHLLLLLLDSTRT >itb06g05950.t2 pep chromosome:ASM357664v1:6:8647715:8656194:1 gene:itb06g05950 transcript:itb06g05950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQSSLGTKQQKMEEEKSEVWWRKEVDTNLKRLHSFVFGAEKARERGDFSSAQVLALGLVGFLDSHSHSDTDRAFLRPIRCEALSNLDSARRSLIPESDRLAFEQAGRDPGCIFTKKGGIDVDKIKQSKYFQTLIQQSEGITCHKTADKLTRRENLTSKISKSPVLTNYGDVSRFNHKRSEKDNSPDICLIEKVHSNYDSKDRGAAMEIEDEEAERTFGNSPKMKRLHMETIGQRNKNVKSPLCNEGADADSPCNGFVTARAKLEMDVRQRRGINGSPSATDSPQSDNTMANKSYGVRPYGFPRRGVRGNFVPPIRGSGNNGGNVTSRNAGKGEDALEDSTRRCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKVVNEMVIYPLMRPDLFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRKPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIQNLLEKDGLFNLSKEEIETVCKLTEGYSGSDMKNLVKDASMGPLREVLQQGVEITKLRKEDIRPVSLQDFKNSLQESRLSTPTTPLIHYNMRHSVNRPPTPDVGDDEEKQPSFQEIINIKLIESGEKERLMELLRERLVECGWKDEMLTLCRAFIKKKGRNNVTLDDLIQVITPKGRASIPDSVKAELLQRIRTFLVSASL >itb06g05950.t1 pep chromosome:ASM357664v1:6:8647715:8656194:1 gene:itb06g05950 transcript:itb06g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQSSLGTKQQKMEEEKSEVWWRKEVDTNLKRLHSFVFGAEKARERGDFSSAQVLALGLVGFLDSHSHSDTDRAFLRPIRCEALSNLDSARRSLIPESDRLAFEQAGRDPGCIFTKKGGIDVDKIKQSKYFQTLIQQSEGITCHKTADKLTRRENLTSKISKSPVLTNYGDVSRFNHKRSEKDNSPDICLIEKVHSNYDSKDRGAAMEIEDEEAERTFGNSPKMKRLHMETIGQRNKNVKSPLCNEGADADSPCNGFVTARAKLEMDVRQRRGINGSPSATDSPQSDNTMANKSYGVRPYGFPRRGVRGNFVPPIRGSGNNGGNVTSRNAGKGEDALEDSTRRCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKVVNEMVIYPLMRPDLFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRKPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIQNLLEKDGLFNLSKEEIETVCKLTEGYSGSDMKNLVKDASMGPLREVLQQGVEITKLRKEDIRPVSLQDFKNSLQESRLSTPTTPLIHYNMRHSVNRPPTPDVGDDEEKQPSFQEIINIKLIESGEKERLMELLRERLVECGWKDEMLTLCRAFIKKKGRNNVTLDDLIQVITPKGRASIPDSVKAELLQRIRTFLVSASL >itb04g31520.t1 pep chromosome:ASM357664v1:4:34370084:34375062:-1 gene:itb04g31520 transcript:itb04g31520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPLPQMGALQIPASALLRHLFCPPHLLCLDLDSKCSCSVSQGMSAVPIQEFDTYFVMYLSASCAVKGNSRNRAKMRFGYSVSGLLLLAVLSLVAAKKSGDVTELQIGVKYKPKTCELQAHKGDSVKVHYRGKLTDGTEFDASYERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGDNGSPPKIPGGATLIFDTELVAVNGKTSAGDKADDSEL >itb05g13680.t1 pep chromosome:ASM357664v1:5:20712009:20723494:-1 gene:itb05g13680 transcript:itb05g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPPVHRHRRTVQPERTENVRTEKRQMSRLSSPSELWEQKVSPFIRNREPNGGVETDDTKKTMSRVTQLIEQLHANKSSPPERELITARLLGIVKSRKEARSLIGSHSQAMPLFVSLLRNGTPIAKANVAATLSVLCKDDDLRLKVILGGCIPPLLTILKSETGEARKAAAEAVFKVTSSGLSDDPVGIRIFTTEGVVPALWQLMISDRNQDKVVEVFVTGSLRNLCTEKDGYWKTTLDAGGVDIVVKYLSADNPAAQSNAASLLARMVLASSECIPKIIGSGVINTLLSFLEEKNDVSLRASTAEALNVISSKSPEARKLITDAQGVALLIGAVVSPYKQGIEGEEADALQLHATRALANISGGMPALLVYLGELSQSSRLSAPVPDILGALAYALKVFERSPEAEQFDAKKIENFLMLLLKPRDNKLVQERLLQAMINLYGNAYLSKLINQSKTKRVLTGLILMAVADVKEYLILSMMRLCNQGMDIWESIRMREGVQLLISLLGLSGEQQQEYAVEMLAMLTDQVEDSKWAITAAGGIPPLVHLLDNGTLKAREYAAHALEILCSHSEDIRACVENADAIPSFLWLLKNGGPKGQETSASALKKLIRVGDAPTINQLSAMLQGDLPSSKTHIIPVLGHVLAMASSNDLVEEGAAANKGFRSLVQVLNSSNESTKECAAFTLSDLFSAREDICDSLATEELVNQCMKLLANDTPAAASHSARALGALSRLNKRMSTRKMSYADGDIKHLIRMAKTAPIVSAETAMAAMANLLSDPELATEALYEDVASALTRVLGEGSIEGKRNATRSLHQLLKYFLIPDILKGPAQRRFVVLGVAESLKAMAMDGNDAANALDIITLLAKIKEGANTNNATFSALAKVPSSSEPLVQCLSEGSPVVQDKAIEILSRLCMEQPAMLSGLLISKARSVAALAERIINSSLEVRIGGTALLICAARDYRHQSMDSLDASGYLKPLVFALVGMMKHKSKYSSLEIEVKTPRGYAGNAAFQEGDELKVSDSVTVSGSTLAMWLLSIISSFHAKSKVTIVEAGGLDILADRLAKHTANQQEGFEDSEGLWISTLLLALLFQDPNVVSSPTTIRFVPIIAMLLKSEEVFNQFFAAQALSSLVSHRNEGINLAVSNSDAIGGLMTLIGHTETDIPNLFALFIEFSLVTIPDQVVLQCLFEIEDVRAGSIARKTIPLLVDLLKPMPNKCGAPPFAVRLLTQIADGNDTNKLIMAEAGALNGLNKYLCLNPQDLTEATISELLRVLFGNHNLLKYEAATSCMINLVGVVRLGSRSARLSAISALNELFGAENIRACEASMQAVQPLVEVLESASESEQHATISTLIKLTSDSNPRAMLMTISEPNPLEILYKILTSSSSLELKSDAAKLCFIIFSDPKSRTVPIASQCMEPLISLIQSGYETAVESGICAFERLLDEEQQVELASTFDLVDLLVGLVSGTNYRLIEASVCAMIKLGKDRTPRKLDMVKAGIIDNCLQLLPVAPISVCSTISELFRVLTNSSAISRSPAAAKIVEPLFTLLRRPDFGLWGQHSVLQALVNILEKPQSLDALKLTPSQAIQPLITFLESPSHAILQLGTELLSHLLEQEHFKQDIMTKNAVVPLVQLAGIGILNLQQTAIKALENISLNWPNEVADAGGIFELSKFIIQDDPQPPDALLELAAEILCNVLRSDSEYYLKVPLVVLVKILYSTSETSVMVALNALTLYESTDPSSAELMAEAGVIDALIDLLRSHQCEEPSGKLLEALFNKDRVREMKVSKYAIAPLAQYLLDPQTRSQPGTFLATLALGDLSRHEGLARASDSAFACRALIRVLTHHRTEEVCIVVISALQNFILRSRNNRRAVADAGGILAIQELLLSPNTELVLQSAILLRCLFSNHTLQDCVSNELIKSLTAVLEKQDSLPTPVIEEILRTFLVVFSTFPKLHASEAATLCIPHIVAGLNGSSATQELVLAPLMLLKQSWPSMPVDMSKAQATAASEAILALQTLLKNCPPALHDKLESLLHCLPGCLTVTIKRASSLKSVMGGTNAFCRLKVGNGPARQTKVVYHSTSPEWNEVFTWAFDVPPTDQKLYILCRSKNTFGKTTLGRVSIQIDKIVGEGTYSEVFTLSSDTSKDGSARTLEVEVAWSNNRTSNEGE >itb10g17920.t1 pep chromosome:ASM357664v1:10:24019450:24020022:1 gene:itb10g17920 transcript:itb10g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNAMHGGIPPSMGELKQLQFLDLSNNNLSGELPEEFVQGCISLQFLKLENNNLQGQVLPTNSKLSSLEYLRLENNRFSGELSRGLLNSMSLKLLDLSNNSITGEIPDWIGNLSQLSSIILSNNFLQGPIPMSFCKAKELSFLDLSKNKLIETIPACLNVSSLRYLHLHGNGFTGFLPKLLSTASSLVT >itb05g02340.t1 pep chromosome:ASM357664v1:5:1872028:1875101:1 gene:itb05g02340 transcript:itb05g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLCGRCCKPAADSDSPGHHGATPTAAGVSAIAHDIRNFEINSQVPQGLSQHVVSSKKAQANWYKKLSDAWREAKPPPKTPEEASRFVIQTLKRHQKADVEGLLAFYGLPLPHSLVEHTNGVPPSHPQGLKFELHTLPVDVKAVADGDTITVYVSTADPRESSSVPKDVQTAAVQRSKARARKDYARADELHKQIIDSGYRVIQVNNEEVLARKYRIRLRGIDAPESKMPYGKEAKEELVKIVQGKCLRVLVFTVDRYGRSVGDIYCNGTFVQEVMLKKGLAWHYTAYDQRPELDKWEKEARANQIGIWASKNPEMPWQWRKDRRENNHH >itb09g08790.t1 pep chromosome:ASM357664v1:9:5237902:5241901:-1 gene:itb09g08790 transcript:itb09g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLNGLDSPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITKLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLAYNNKNMLQTGLIVGGWDKHEGGKIYGVPLGGTILEQPFAIGGSGSSYLYGFFDQAWKEGMTREEAEKLVVKAVSLAIARDGASGGVVRTVTINSEGVERKFYPGDTLPLWHEEMEAHNSLLDILPSASPEPMVS >itb12g00380.t1 pep chromosome:ASM357664v1:12:337945:345712:1 gene:itb12g00380 transcript:itb12g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMERTNSMRGKRSLEGDEEQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIAARSSPKRIEGPDGRNMQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDSNSGHVVTTGPESCMKLDVVVLEGDFNNENDDDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELIFTDNSSWIRSRKFRLGLKVAPGYSEGIRIREAKTEAFTVKDHRGELYKKHYPPSLNDDVWRLEKIGKDGAFHKKLNTAGIFSVEDFLRLMVRDPQKLRHILGSGMSNKMWDALIEHAKTCALSGKLYVYYPDDSKSVGVVFNNIYELSGLIASDQYYSADSLSDSQKVYVDSLMKKAYENWNQVVEYDGKTLMSFKNKKARNELPMGQVDYSNSLNNQLQQPRLPAPVQSEPSALDPGMLIGGSSYNENMGARYPNQSHIMSSSSRMQYENTQFAPNDQQQLMNNSQQMQNARYDNNVGLALGPPQSSCFPTVGSSVQQTNLNSFEDWSNTRDKGVEEYLSEEEIRLRSHEMLENEDMQHLLRLFSMGGHAAVNIPEDGFGFTPFMAPSPSFGYDEDRPRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQLVELDDE >itb05g12720.t2 pep chromosome:ASM357664v1:5:19390354:19394365:1 gene:itb05g12720 transcript:itb05g12720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVALRLHCLKEIHRLLPLNHAGKSYRLLGGAGSLVPLSLSSSLQKSIGSIEKHDYESCDYRRYSTISKSKQESLPQHDLLSFIQSTINKHEGPSHCWLNGIALKKNFFKKEGITLVLVAEFFQGSSLSQHDLFIMLDKVKLLQQSNGISILHISPSL >itb05g12720.t3 pep chromosome:ASM357664v1:5:19390354:19394389:1 gene:itb05g12720 transcript:itb05g12720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVALRLHCLKEIHRLLPLNHAGKSYRLLGGAGSLVPLSLSSSLQKSIGSIEKHDYESCDYRRYSTISKSKQESLPQHDLLSFIQSTINKHEGPSHCWLNGIALKKNFFKKEGITLVLVAEFFQGSSLSQHDLFIMLDKVKLLQQRYPFLPVMGYQYCTSPLLSKDGYTHLLRRVMKEYITFPILLSNKNFPKVCCISSL >itb05g12720.t1 pep chromosome:ASM357664v1:5:19390354:19394389:1 gene:itb05g12720 transcript:itb05g12720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVALRLHCLKEIHRLLPLNHAGKSYRLLGGAGSLVPLSLSSSLQKSIGSIEKHDYESCDYRRYSTISKSKQESLPQHDLLSFIQSTINKHEGPSHCWLNGIALKKNFFKKEGITLVLVAEFFQGSSLSQHDLFIMLDKVKLLQQRYPFLPVMGYQYCTSPLLSKDGYTHLLRRVMKEYITFPILLSNKNFPKVCCISSL >itb11g07290.t1 pep chromosome:ASM357664v1:11:4460487:4460933:1 gene:itb11g07290 transcript:itb11g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLYLFSLLVHFLIFTHFSVHAKPAPSPGPGSPACTPSPHNPTLPPSPTPPPVPKPTLPPSPTPPPTPNPTPPPHQPPVDPHSGPKQETPPESGSSGGLSGGQKAGIVIGVFAAAGAGWVGWRIYKKRRGNIRRARFGYQARSDMQL >itb03g18820.t1 pep chromosome:ASM357664v1:3:17027549:17031165:-1 gene:itb03g18820 transcript:itb03g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDVVRTKTYQNVIYKNAFLFKDKVVLDVGAGTGILSLFCAKVGAKHVYAVECSTMAEMAQEIVKSNGFSDVITVIKGKIEEIDLPVAQVDIIISEWMGYFLLYENMLNTVLYARNKWLVPNGLVLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMKCIRKQAMSEPLVDTVDKNQIVTNCQLLKTMDISKMDAGDESFTAPFKLVAERDDYIHALVAYFDVSFTRCHKLMGFSTGPKSRATHWKQTVLYLEDVLTICQGEALVGSMTISQNKKNPRDVDIMLKYSLNGSRSVASRTQYYRMR >itb05g01080.t1 pep chromosome:ASM357664v1:5:924367:925067:1 gene:itb05g01080 transcript:itb05g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPGLPNHIALECLIRISFDQFPKAASVCRAWNILIKHPNFIQRWKAFAGWQISVHYKTRVYRFQMHSPSVEYLRNQIKTLLPDIDINDFDITFSDWDGRNVIAACDEDLAYCFQCLGADGYHIELTLKRCPLPTTSPQTSSQIKIFTLHLYTWLTFSMYVLYLQKKYQPDPSVVIVTGREVWEHITT >itb03g06470.t1 pep chromosome:ASM357664v1:3:4726796:4729491:-1 gene:itb03g06470 transcript:itb03g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYTYLYRVLPFSPAFASLSFGNLADRAKEFFTLAVSTVIGNVFSAIFTFFFVLVGILLGAKTGALIGKETESGFIRGAAVGAITGVVFSLEVSDSSLSDESLIGCLQFLIDVIASLLSGRLVRERLGPAILSVMQRQMGGVETAYEEASNFFNTGGAKGLLGDSVERIPKIVISSDNNVDASGERVSIE >itb03g16020.t3 pep chromosome:ASM357664v1:3:15163311:15167251:-1 gene:itb03g16020 transcript:itb03g16020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGEGSGGEGKMERVVYMWGYLPGALQKRSPLLSPVVVKLPPSVAAGTSWKDVSGGGCGFAMAISDSGKLITWGSADDLGQSYVTSGKHGETPEPFPLPDGVSVAKASAGWAHCVVVTENGEVFTWGWKECIPSGKVIGDPSVGLNIENSETEKQTMLFTEQVSPRFQGSGSTAGAVSVSRGVEDGAKRRRVSSSRQAAESSSSNDESLSALPCLVTLNPGVRVVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCISSSPMAKDRAVGVSHGSMGSEGLGHIAPGSYVKGIACGGRHSAVVTDAGALLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTLCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENVNAKVVSCGARHSAIVTGMLTIFSGTH >itb03g16020.t2 pep chromosome:ASM357664v1:3:15163311:15167251:-1 gene:itb03g16020 transcript:itb03g16020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGEGSGGEGKMERVVYMWGYLPGALQKRSPLLSPVVVKLPPSVAAGTSWKDVSGGGCGFAMAISDSGKLITWGSADDLGQSYVTSGKHGETPEPFPLPDGVSVAKASAGWAHCVVVTENGEVFTWGWKECIPSGKVIGDPSVGLNIENSETEKQTMLFTEQVSPRFQGSGSTAGAVSVSRGVEDGAKRRRVSSSRQAAESSSSNDESLSALPCLVTLNPGVRVVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCISSSPMAKDRAVGVSHGSMGSEGLGHIAPGSYVKGIACGGRHSAVVTDAGALLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTLCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENVNAKVVSCGARHSAIVTEDSKVFCWGWNKYGQVLSANIFHNILSSYSPEY >itb03g16020.t1 pep chromosome:ASM357664v1:3:15163311:15167251:-1 gene:itb03g16020 transcript:itb03g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGEGSGGEGKMERVVYMWGYLPGALQKRSPLLSPVVVKLPPSVAAGTSWKDVSGGGCGFAMAISDSGKLITWGSADDLGQSYVTSGKHGETPEPFPLPDGVSVAKASAGWAHCVVVTENGEVFTWGWKECIPSGKVIGDPSVGLNIENSETEKQTMLFTEQVSPRFQGSGSTAGAVSVSRGVEDGAKRRRVSSSRQAAESSSSNDESLSALPCLVTLNPGVRVVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCISSSPMAKDRAVGVSHGSMGSEGLGHIAPGSYVKGIACGGRHSAVVTDAGALLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTLCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENVNAKVVSCGARHSAIVTEDSKVFCWGWNKYGQLGLGDVIDRNIPTQMSIDGCVPKKVSCGWWHTLLLAESPT >itb06g03360.t1 pep chromosome:ASM357664v1:6:5685816:5686145:-1 gene:itb06g03360 transcript:itb06g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNEVVRPAVTKFSPSLWGDEFRYFVFDNEVAKRYAQEIELLKERVRSMLVRIRSSKPAEKLNFIDTIEHLGISYHFNSEIDEILKEISNANLKFHGDDLCTYVSIT >itb01g32060.t1 pep chromosome:ASM357664v1:1:35653459:35658966:-1 gene:itb01g32060 transcript:itb01g32060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSGNRKKCSVSEEDISTLLQRYNATTVLALLREVAQVTEVKIDWNELVKKTSTGITNVREYQMLWRHLAYRHGLADRLDDTALPLDDDSDLEYELEASPAVSSEASAEAAACVKVFIASGVPNDSYLSNGTIVEAPLTINIPTGQTCRNPSDYCYQSISQGTNITVPVFVQKQPLPNVTSAEGLDNNGASNATLPPRRKRKPWSEAEDLELIAAVQKCGEGNWANILKGDFKGDRTASQLSQRWAIIRKRQGTLVGNSSQPTEAQLAARRAMSLALDMPMGDNLKAVCSINTGANTNISPGNPANPPAAEASFAGTQSQNHLGQQQDSAMVAQKPGTLGPSKARVPPKKPPTKTTLSPDSMVKAAAVAAGARIATPSDAASLLKAAQSMNAVRIMPGGGGPMVKPPAVGANTLPSNVHFIRTGLLSHSKTLPNATRTGPQPVQSHSTKPASQLVQSNLASTTIPGPKASNEVPNGTNSTLAAELDVKTVEDAVISGPKALAEVPNGTNSTSVSKVPNGTNSTPESELDTKTAKDSAISGSGGSGTVMEKPAKESRAPGPSNSPEGNKAVPTKEAPLELAQVDQTAIPAKEKIEETCFSGDAPKENGQRDQASVSTARAATICVQEKQINSSSTANVTTAKCSANDRNQAEVECASSNDNSAIVSPVGNTGKEMNEKQPTNRNQIDPSSMAVDGADEEVT >itb07g19650.t1 pep chromosome:ASM357664v1:7:24122567:24127648:-1 gene:itb07g19650 transcript:itb07g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLVVKVRRETIAACMTCPLCRKLFRDATTISECLHTFCRKCIYKKLQDEETDCCPICNIDLGCAPVEKLRADHNLQDVRAKIFPYKRQKVQAPEIVSSVSLPVRRKERSLSSLVVSTPRVSTHTGMTGRRSKSIARKSLRGSNFSIEKPIKNEEGSSEDQPDSSSSPETLNKFAQNIRQNSSNAEPSSHHKPDKETENGSEQWEGKVDLWKPLTCLVEAANRSKSSRSISQGSVKSHVADNEGQTRKVKEHGHKLNVQDKKNNSDHAPPESEKPKKSRRIQKKASKFGNFNVSPQVVLDAATPICERSTPIWFSLVASANQEGEAPLPQISASYLRIKDGSIPVSFIQKYLMRKLDLKSEDEVEIRCMGQSIAPTLQLNNLVDLWLQMTTHERVSAKIGSSAKDFVMVLAYGRRVPS >itb01g30320.t1 pep chromosome:ASM357664v1:1:34391319:34395407:-1 gene:itb01g30320 transcript:itb01g30320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDKKEHLQVEEIYNCLLTSNKMQKTMISTYSFRGSIELGAISSDDTGKRHESYFLDIEKLKRCLDKRNKRKATEQGETSRAKETRTNSAPEQAEEQTQQPAEEHADQQQAEPIQSREPNDNTEQESEGDSEDESEEDGSGQSSDDELHIKSLPTRITKNVVLLNPAQQRCVREMGMGNLDRCQK >itb01g11270.t1 pep chromosome:ASM357664v1:1:9985557:9986706:1 gene:itb01g11270 transcript:itb01g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLNLRLTKREELQRLRTQIDELSKQYIRNMNDDTPFLTLSETALKGLLPETLEKAENGKFKIILRSHHHVSPVLELYKIQLRHKLARLLGYAILLDMPRMLECPAHPQSYLTCLLECPAHRDFSCSVLPATSLPIRQYLKMQFLWDRSCRRIFDALVDDLLVNRGMKDATPHVFLTGDSAGGLSVIIHCDRFSKRFPNANTVKCLFDGGFFLHSKDPARAQEMESKFPGVVDLHNSTGLLPEACKNKMNAKTIQQLDPTQ >itb03g20380.t2 pep chromosome:ASM357664v1:3:18301985:18307713:-1 gene:itb03g20380 transcript:itb03g20380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNNSNSNTPVIKNAGLGNPSGVVQMQMQMPQSVSVTQNQPAPHLLAQSRVPPHFPGHFQLSEPQTLALGAQGPSHSHFQPHQQPQSFHHFQNSAANNANTGASAPAASTPSVVASAGVSAKKVLQRQPSRATGQGQVAGPMLKTMELTPAARKRKRKLSEKHIPEKVAAILPESALYTQLLEFESRVDAALVRMKTDILESLKNPQRIQKTLRLYVFNTFANQTGASPQKEHGQPPSWSLRIFGRILNNKTPAVGMQEKPSPSDPKFSSFFKKITVYLDQSLYADNHVILWESSRSPVLHDGFEVRRKGDKEFTAIIRLEMNYVPEKYKLSPALQDVLGIEMETRPRILAAIWQYVKARKLQLPDETSSFMCDPALRKVFGEEKLKFSTVSQKIDAHLTIPQPIHLEHKVKLSGSSPTGNTCYDILVDVPFTPQKEMLTYLANLGKNKEIDVCDEAISAAIKKIHEHNQRRAFFLGFSQSPYEFINALVASQARDLKLVSGDSVRDEENERRSEFYHQSWIEDAVIRYLNRKAPPGQ >itb03g20380.t1 pep chromosome:ASM357664v1:3:18301985:18307713:-1 gene:itb03g20380 transcript:itb03g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTMELTPAARKRKRKLSEKHIPEKVAAILPESALYTQLLEFESRVDAALVRMKTDILESLKNPQRIQKTLRLYVFNTFANQTGASPQKEHGQPPSWSLRIFGRILNNKTPAVGMQEKPSPSDPKFSSFFKKITVYLDQSLYADNHVILWESSRSPVLHDGFEVRRKGDKEFTAIIRLEMNYVPEKYKLSPALQDVLGIEMETRPRILAAIWQYVKARKLQLPDETSSFMCDPALRKVFGEEKLKFSTVSQKIDAHLTIPQPIHLEHKVKLSGSSPTGNTCYDILVDVPFTPQKEMLTYLANLGKNKEIDVCDEAISAAIKKIHEHNQRRAFFLGFSQSPYEFINALVASQARDLKLVSGDSVRDEENERRSEFYHQSWIEDAVIRYLNRKAPPGQ >itb03g20380.t3 pep chromosome:ASM357664v1:3:18302004:18307692:-1 gene:itb03g20380 transcript:itb03g20380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNNSNSNTPVIKNAGLGNPSGVVQMQMQMPQSVSVTQNQPAPHLLAQSRVPPHFPGHFQLSEPQTLALGAQGPSHSHFQPHQQPQSFHHFQNSAANNANTGASAPAASTPSVVASAGVSAKKVLQRQPSRATGQGQVAGPMLKTMELTPAARKRKRKLSEKHIPEKVAAILPESALYTQLLEFESRVDAALVRMKTDILESLKNPQRIQKTLRLYVFNTFANQTGASPQKEHGQPPSWSLRIFGRILNNKTPAVGMQEKPSPSDPKFSSFFKKITVYLDQSLYADNHVILWESSRSPVLHDGFEVRRKGDKEFTAIIRLEMNYVPEKYKLSPALQDVLGIEMETRPRILAAIWQYVKARKLQLPDETSSFMCDPALRKVFGEEKLKFSTVSQKIDAHLTIPQPIHLEHKVKLSGSSPTGNTCYDILVDVPFTPQKEMLTYLANLGKNKEIDVCDEAISAAIKKIHEHNQRRAFFLGFSQSPYEFINALVASQARDLKLVSGDSVRDEENERRSEFYHQSWIEDAVIRYLNRKAPPGQ >itb08g02350.t1 pep chromosome:ASM357664v1:8:1867508:1872070:1 gene:itb08g02350 transcript:itb08g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLFLLILCSMASVASSQTDSMKQGDVLNSSESTFLVSAGETFTLGFFTPERINMTYLAIWFTERFEGESEKPIWIGNREAPLPSNSSAKLLIDASGRLILTHSEKQGNPYPVSSKRTSRNVSATLQDSGELVLREINADGSSGQELWSSFDSPTDTLLPGMKLGVNHRTGGKWSLRSWQNDNSPAAGAFSLEWEPIKRRVVIKHRGVTHWSSGELKNATHFQHLFFHYGFLKVSFLNISTKTEDYFTISAKLNRSEIPREMQAGMDYRVVALRLDPRGLVYDPNNGPAIIDAGDCYGYENKTQQSKGCEIWEQPACRGGGGQTFQERSGFFFNYSNSGLAGAVPLGYTEEDSTASPSDCREKCWKDCDCVGYQRFDDGCTYWRGTSLQFQQDNSGKTVALYVLNRPIKEGNESSSNSKKWKWILIPIVIIATIVIVLLGLLQLRRRRRKRDPNRKEQLTWEKRLSIIEGIAQGLLYLHKYSRVRIIHRDMKAGNILLDENMNPKISDFGMAKILKQNLTNANTMRLSGTFGYMAPEYAMEGIFSTKSDVYSFGVLVLEIISGKKNRYFRSEDGPLNLVEHAWELWNKDAALQIVDPALSNMCGKEEQLQRCINLGLLCVEDLAVDRPSMSDVISMLTNENLALTKPKKPAFVSRYGVVHGFQEDRSEKVTVNQLSISAMEAR >itb10g20030.t1 pep chromosome:ASM357664v1:10:25706973:25707541:-1 gene:itb10g20030 transcript:itb10g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRNSIVVFNLCKAFPTPSSIFICRHVWKGGDVVTEDNESKGEIGEEGWYSEASKMGEKKKETKEKATETAQETWRAIEEVAGEMKQRVVANMDSNKETDIKDEMALHKEEEEEEEEWRTKNSVKEL >itb02g21490.t1 pep chromosome:ASM357664v1:2:20382519:20387243:-1 gene:itb02g21490 transcript:itb02g21490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKDTGDKGGRGSSGMTAYIQPLHNLKQICRRQSANRTQASHAATKHHSSGLRVEQFSSAPLLFRAALRRRLIRFALHRRNVSEESDENENDIEKKIVALSLKTQPNAPSTTTAQHTTTTATVADCTAHLQHSSDLALPVPPRPRASSSPRPHTAHRRRRLPLSRLPVTGRLQSLSVVRRLVALSHRLSPLADQVHRESVILSIPLVMRFIEVVPYQMFEGQLICLWL >itb01g20480.t2 pep chromosome:ASM357664v1:1:26730435:26736835:-1 gene:itb01g20480 transcript:itb01g20480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQWPADELLMKYQYISDFFIALAYFSIPVELIYFVKKSAVFPYRWVLVQFGAFIILCGATHFINLWTFGMHTRTVAIVMTTAKLLTALVSCVTALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRHQNPVGFTVPIHLPVISQVFHTNRAVKISPNSPVARLRPAGKYIPGEVVAIRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLVEQNIALDLARREAETAVRARNDFLAVMNHEMRTPMHAIIALSSLLQETKLTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEIGTFNLQALFWEVHNLIKPIASVKKLSVTLSLSSDLPEYAIGDEKRLMQVLLNVVGNAVKFSKEGSISVSAFVAKSEFLRDPQAPDFFPVITENHFYLRVQVKDTGVGINPLDIPKIFSKFAQNQSLATKNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGATAIFIVKLGIPGLSNELKPTLVPKLPANHIHTIFLGLKVLLMDDNSMSRMVTKGLLAHLGFDVTTANSGDECFRVVKQEHKVVIIDVSMAVVDGYKLSNQIHEKFSKCHERPFIVGLIGSTDRVMKEKCLRAGMDGVILKPISVEKMRNVLTELFEHGVVLDAQ >itb01g20480.t1 pep chromosome:ASM357664v1:1:26730435:26736835:-1 gene:itb01g20480 transcript:itb01g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCIDPQWPADELLMKYQYISDFFIALAYFSIPVELIYFVKKSAVFPYRWVLVQFGAFIILCGATHFINLWTFGMHTRTVAIVMTTAKLLTALVSCVTALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRHQNPVGFTVPIHLPVISQVFHTNRAVKISPNSPVARLRPAGKYIPGEVVAIRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLVEQNIALDLARREAETAVRARNDFLAVMNHEMRTPMHAIIALSSLLQETKLTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLEIGTFNLQALFWEVHNLIKPIASVKKLSVTLSLSSDLPEYAIGDEKRLMQVLLNVVGNAVKFSKEGSISVSAFVAKSEFLRDPQAPDFFPVITENHFYLRVQVKDTGVGINPLDIPKIFSKFAQNQSLATKNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGATAIFIVKLGIPGLSNELKPTLVPKLPANHIHTIFLGLKVLLMDDNSMSRMVTKGLLAHLGFDVTTANSGDECFRVVKQEHKVVIIDVSMAVVDGYKLSNQIHEKFSKCHERPFIVGLIGSTDRVMKEKCLRAGMDGVILKPISVEKMRNVLTELFEHGVVLDAQ >itb12g22200.t2 pep chromosome:ASM357664v1:12:24324197:24325736:-1 gene:itb12g22200 transcript:itb12g22200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFECLKKEYQLCEELGRGRFGTVYRCFSPATGESFACKTIDKNLLLDPTDRECLDKEPKILQLLAGSPNILNLLEVYEGDGYLHIITDLCGGGDLYERVSSRGPLSEPSAAVIFRQLMSAVRSCHVAGIAHRDIKPDNVLFDSRGGVKLADFGSAEWFGVYEVEEGNVGMSGVVGTPNYVAPEVLMGREYCEKVDVWSAGVILYIMLAGVPPFYGETPAETFEAVLRANLRFPTRIFRSVSPEAKDLLRKMMCKDPSRRFSAEQVLRHPWVLSGGETNSLD >itb12g22200.t1 pep chromosome:ASM357664v1:12:24324197:24325736:-1 gene:itb12g22200 transcript:itb12g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFECLKKEYQLCEELGRGRFGTVYRCFSPATGESFACKTIDKNLLLDPTDRECLDKEPKILQLLAGSPNILNLLEVYEGDGYLHIITDLCGGGDLYERVSSRGPLSEPSAAVIFRQLMSAVRSCHVAGIAHRDIKPDNVLFDSRGGVKLADFGSAEWFGVYEVEEGNVGMSGVVGTPNYVAPEVLMGREYCEKVDVWSAGVILYIMLAGVPPFYGETPAETFEAVLRANLRFPTRIFRSVSPEAKDLLRKMMCKDPSRRFSAEQVLSHKAGVSMHTSRHPWVLSGGETNSLD >itb01g10350.t1 pep chromosome:ASM357664v1:1:8603733:8605286:-1 gene:itb01g10350 transcript:itb01g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYVKSCSGSPLNLTEKIFQYTNVVVCRAAFGTKFKDELGVVSLINEGVALAGGFDVADLFPSWRFLRLLTGMEPTLRKLRRRTDQIIEQHRQKRKVDGFCDEDIVDVLLRLHEQGGFNFPITTDNIKAVIQDIFTAGTETSASTTEWAMSEMLRNPGVMEKAQAELREVMKGKHKIQESDVKELKYLRMVIKETLRDPDHWKDPESFKLERFSIRDNNEIMEYMVGGQNMEFVLFGGGRRVCPGIAFGLANVELPLANLLYHFSWNLPHGTKPADVDMTEGFGSTSKKKNNLFLIATPTT >itb06g11280.t1 pep chromosome:ASM357664v1:6:15864573:15870920:-1 gene:itb06g11280 transcript:itb06g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRIQLLLVPVFIQFLGIVALTDNNDYVALKSLQDGWENVPPTWKEGSDPCGDHWEGVGCNGSKVVSLTLSSMDLSGKLSGDIEGLSELQILDLSYNKGLEGPLPREIGNLKNLYNLILVGCGFSGPIPDTIGSLSKLKYLSLNSNKFIGPIPPSLGKLSELYWLDLADNDLTGSIPVSDGNTPGLDMLVGTRHFHFGGNQFTGEIPAQLFSSNMKLKHLLLEQNQLKGSIPETLGLVRTLEVVRLDRNSLSGSVPSNLNNLTSVSELHLSNNNLKGPFPNLTDMSLLNYVDMSNNTFDPTDFPSWFSTLESLTTLVLDNTGLEGLIPPSFFSLVQLQNVIMRNNKLNGTLNIGTTYSSQLQLIDLRNNLIDSFTQRKGYHFQISLVGNPLCLEGSGGSYCAVPQLSKSSYSTPTNCLSSQCTSDQISSPNCKCAYPYTGNLVFRAPSFSNLGNSSIFDALQESMMHSFKSQELPVDSVSLSNPADNLNDYLVVHLQVFPNGMDHFNRTGVSAIGFALSNQTFKPPPSFGPFFFQPDVNYKFFDGDSTGSGKSSSTGIIIGAVVGGSVLVILVLIIGVYAFCQKRKAQDAAKKHDPFASWDRSKSSGAVPQLQGAKFFSFEELAKCTNNFSEANTVGSGGYGKVYRGALPHGQLIAIKRSQQGSMQGAPEFKSEIELLSRVHHKNVVGLVGFCFDQGEQILIYEFIPNGTLKESLTGKSGIKLDWMRRLRIALGAAKGLQYLHDLVNPPIIHRDIKTNNILLDERLNAKVADFGLSKSLGEQEKAHITTQVKGTMGYLDPEYYMTNQLTEKSDVYSFGVVLLEIITARPPIEKGKYIVREVKQAMDKTKDMYDLQSLLDPAIAPSVTPRSLEKFVDIALRCLEDVATQRPTMREVVKEIESIMEMVGLNPHADSASTSESYSGVSKGSEHPYSDESLSVYTGVLPK >itb06g11280.t3 pep chromosome:ASM357664v1:6:15864573:15868601:-1 gene:itb06g11280 transcript:itb06g11280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNYVDMSNNTFDPTDFPSWFSTLESLTTLVLDNTGLEGLIPPSFFSLVQLQNVIMRNNKLNGTLNIGTTYSSQLQLIDLRNNLIDSFTQRKGYHFQISLVGNPLCLEGSGGSYCAVPQLSKSSYSTPTNCLSSQCTSDQISSPNCKCAYPYTGNLVFRAPSFSNLGNSSIFDALQESMMHSFKSQELPVDSVSLSNPADNLNDYLVVHLQVFPNGMDHFNRTGVSAIGFALSNQTFKPPPSFGPFFFQPDVNYKFFDGDSTGSGKSSSTGIIIGAVVGGSVLVILVLIIGVYAFCQKRKAQDAAKKHDPFASWDRSKSSGAVPQLQGAKFFSFEELAKCTNNFSEANTVGSGGYGKVYRGALPHGQLIAIKRSQQGSMQGAPEFKSEIELLSRVHHKNVVGLVGFCFDQGEQILIYEFIPNGTLKESLTGKSGIKLDWMRRLRIALGAAKGLQYLHDLVNPPIIHRDIKTNNILLDERLNAKVADFGLSKSLGEQEKAHITTQVKGTMGYLDPEYYMTNQLTEKSDVYSFGVVLLEIITARPPIEKGKYIVREVKQAMDKTKDMYDLQSLLDPAIAPSVTPRSLEKFVDIALRCLEDVATQRPTMREVVKEIESIMEMVGLNPHADSASTSESYSGVSKGSEHPYSDESLSVYTGVLPK >itb06g11280.t2 pep chromosome:ASM357664v1:6:15864573:15870920:-1 gene:itb06g11280 transcript:itb06g11280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRIQLLLVPVFIQFLGIVALTDNNDYVALKSLQDGWENVPPTWKEGSDPCGDHWEGVGCNGSKVVSLTLSSMDLSGKLSGDIEGLSELQILDLSYNKGLEGPLPREIGNLKNLYNLILVGCGFSGPIPDTIGSLSKLKYLSLNSNKFIGPIPPSLGKLSELYWLDLADNDLTGSIPVSDGNTPGLDMLVGTRHFHFGGNQFTGEIPAQLFSSNMKLKHLLLEQNQLKGSIPETLGLVRTLEVVRLDRNSLSGSVPSNLNNLTSVSELHLSNNNLKGPFPNLTDMSLLNYVDMSNNTFDPTDFPSWFSTLESLTTLVLDNTGLEGLIPPSFFSLVQLQNVIMRNNKLNGTLNIGTTYSSQLQLIDLRNNLIDSFTQRKGYHFQISLVGNPLCLEGSGGSYCAVPQLSKSSYSTPTNCLSSQCTSDQISSPNCKCAYPYTGNLVFRAPSFSNLGNSSIFDALQESMMHSFKSQELPVDSVSLSNPADNLNDYLVVHLQVFPNGMDHFNRTGVSAIGFALSNQTFKPPPSFGPFFFQPDVNYKFFDGSGKSSSTGIIIGAVVGGSVLVILVLIIGVYAFCQKRKAQDAAKKHDPFASWDRSKSSGAVPQLQGAKFFSFEELAKCTNNFSEANTVGSGGYGKVYRGALPHGQLIAIKRSQQGSMQGAPEFKSEIELLSRVHHKNVVGLVGFCFDQGEQILIYEFIPNGTLKESLTGKSGIKLDWMRRLRIALGAAKGLQYLHDLVNPPIIHRDIKTNNILLDERLNAKVADFGLSKSLGEQEKAHITTQVKGTMGYLDPEYYMTNQLTEKSDVYSFGVVLLEIITARPPIEKGKYIVREVKQAMDKTKDMYDLQSLLDPAIAPSVTPRSLEKFVDIALRCLEDVATQRPTMREVVKEIESIMEMVGLNPHADSASTSESYSGVSKGSEHPYSDESLSVYTGVLPK >itb12g00810.t1 pep chromosome:ASM357664v1:12:583520:585510:1 gene:itb12g00810 transcript:itb12g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGSLLHDFNSSIKIPRICILSIMFFFFFVKAQSFPPANLNETSTAAPPSVYQLLSAYALPMGLFPEGILDFYLDNVSGRFELRLSHSCAAEFETPVWYDVTVSGTLSYGQISDLSGVAAQELFLWLPAKSIRVDIPSSGLIYFDVGVVNKQFSLSFFETPRVCTFLDNDEAPSWSSGDLVSIEDLFRIIETKSGKELKQHYQEDAGRAVS >itb08g13230.t3 pep chromosome:ASM357664v1:8:13857487:13863693:-1 gene:itb08g13230 transcript:itb08g13230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERKAEIENPKRRVRMRPHDDLNSYPNLLSEARRINSVILAKAKPCHCLKRGIGSLQGDLTSIRSQHRKKLRRLLLVLLRRHNWAEASGVLSLLHKGTSKEIAISKTRAKFSATLEILRHIKGDSISSKKIQNIYGLWMTKLGPMKNWPMKHRVAVQLEHNLFCLTSKEMDGAYQAALSLMQEHGFEGDPVCNLVVGLTFYELWYSSLPKEMQLDKHNEFGSNMQRDTFKDNMCMSIVDSKGGDAPEGQETNSLVQCDSNTSVRNDKDNMDADVNQHGEGPMDIDNDPQQKVGPDGFQPQDVSMHSTERSEHENSDCSNSPPSLSTFFVHGLPPCLLPIQLPQLDNLEELYDMHKQLRNDQYNSAVKFLSHAVNSMPPTLEAFHPLVQLLLLGDKVKEALDIVEKFSPDSSTVLHLRLKAHLLEHFDGNNHAKLATCFEDILKKDPSCSHSLGWLTNLHRRGDYSTEMLVEMIALNLDAIYAKSNTWRDFASCLLKLSQIEGDSASICFNGGDGDGVKPKFSGYISRVPEIFITPESGKSWRFRCKWWLTRHFKQSILVSDIASGDTELLTYKAAAACHLYGREFGYVVKASEFLKEENILDMLVVLNTHVNNSVGFYLNLNRKNLC >itb08g13230.t2 pep chromosome:ASM357664v1:8:13857510:13863691:-1 gene:itb08g13230 transcript:itb08g13230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERKAEIENPKRRVRMRPHDDLNSYPNLLSEARRINSVILAKAKPCHCLKRGIGSLQGDLTSIRSQHRKKLRRLLLVLLRRHNWAEASGVLSLLHKGTSKEIAISKTRAKFSATLEILRHIKGDSISSKKIQNIYGLWMTKLGPMKNWPMKHRVAVQLEHNLFCLTSKEMDGAYQAALSLMQEHGFEGDPVCNLVVGLTFYELWYSSLPKEMQLDKHNEFGSNMQRDTFKDNMCMSIVDSKGGDAPEGQETNSLVQCDSNTSVRNDKDNMDADVNQHGEGPMDIDNDPQQKVGPDGFQPQDVSMHSTERSEHENSDCSNSPPSLSTFFVHGLPPCLLPIQLPQLDNLEELYDMHKQLRNDQYNSAVKFLSHAVNSMPPTLEAFHPLVQLLLLGDKVKEALDIVEKFSPDSSTVLHLRLKAHLLEHFDGNNHAKLATCFEDILKKDPSCSHSLGWLTNLHRRGDYSTEMLVEMIALNLDAIYAKSNTWRDFASCLLKLSQIEGDSASICFNGGDGDGVKPKFSGYISRVPEIFITPESGKSWRFRCKWWLTRHFKQSILVSDIASGDTELLTYKAAAACHLYGREFGYVVKASEFLKEENILDMLVVLNTHVNNSVGFYLNLNRKNLC >itb08g13230.t1 pep chromosome:ASM357664v1:8:13857484:13863704:-1 gene:itb08g13230 transcript:itb08g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEERKAEIENPKRRVRMRPHDDLNSYPNLLSEARRINSVILAKAKPCHCLKRGIGSLQGDLTSIRSQHRKKLRRLLLVLLRRHNWAEASGVLSLLHKGTSKEIAISKTRAKFSATLEILRHIKGDSISSKKIQNIYGLWMTKLGPMKNWPMKHRVAVQLEHNLFCLTSKEMDGAYQAALSLMQEHGFEGDPVCNLVVGLTFYELWYSSLPKEMQLDKHNEFGSNMQRDTFKDNMCMSIVDSKGGDAPEGQETNSLVQCDSNTSVRNDKDNMDADVNQHGEGPMDIDNDPQQKVGPDGFQPQDVSMHSTERSEHENSDCSNSPPSLSTFFVHGLPPCLLPIQLPQLDNLEELYDMHKQLRNDQYNSAVKFLSHAVNSMPPTLEAFHPLVQLLLLGDKVKEALDIVEKFSPDSSTVLHLRLKAHLLEHFDGNNHAKLATCFEDILKKDPSCSHSLGWLTNLHRRGDYSTEMLVEMIALNLDAIYAKSNTWRDFASCLLKLSQIEGDSASICFNGGDGDGVKPKFSGYISRVPEIFITPESGKSWRFRCKWWLTRHFKQSILVSDIASGDTELLTYKAAAACHLYGREFGYVVKASEFLKEENILDMLVVLNTHVNNSVGFYLNLNRKNLC >itb04g30320.t1 pep chromosome:ASM357664v1:4:33451668:33458336:-1 gene:itb04g30320 transcript:itb04g30320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSRSDGSSSRMEGWLYLVRSNPIGLQYSRKRYFVLQDHFLNSYKSIPISRDEDPIRSAVIDSCIRVTDNGRESIKRRVIFVFTLYNTSNHNDQLKLGATSPEEGARWIQAIQEAALKADTNQGDALDFPKHGSQSLRLNCSNRSHRRANSIDWTAFSSSAADTRSDVVASSSWTIFGCQNGLRLFKESKDRESYMKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCLYKGSMIEHLDGHTDIVHKCLHRNWLPWGMKRRDLLLQRYWRREDDGTYVILYHSVFHQKCPPQKGYVRACLKSGGYVISPMNQGKASVVKHMLAIDWKFWKSYVQTSSARSITIRMLGRLAALRELFRAKIGEYTSSDSSGELIRGRRLHRIEENNVEAQTRVETGKHKEDMEEEVVKTPSEHASLTGLNDAADEFFDVPEPLDCEQSENGWASDFGPEMCSQDTRHPKVSSAAVFVKKLHDLAVQKRGYVDLHEMAREDCSSCNYGSTLPKDPLCNLLCSWTQTDPSTFLIRGETYLDDRKKIKAKGTLMQMVGADWLKSDKREDDLGGRQGGIVQKYAAKGGPEFFFILNIQVPGSTTYSLALYYMMDTPLKDTPLLKSFVEGDDSYRNSRFKLIPYISKGSWIVKQSVGRKACLIGQALEINYFRGKNYLELGVDIGSSTVARGVVGLVLGYLNNLVIEMAFLIQANTPEELPEYLLGTCRLNHLDASKAVIVKP >itb07g20710.t1 pep chromosome:ASM357664v1:7:25074768:25077438:1 gene:itb07g20710 transcript:itb07g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLLYACFLTGATLALYHGSPLGHSFGKFIQDAGVSILGTVPSLVKAWKSTDCMKGLDWTRIRVFATTGEVSNVDDALWLTSRAYYKPILECCGGTELASTYVAGNLLQPQAFGTFSSASMSVDFAILDEDGHAYTGVNSELRPRGANPGAPFAAYRHRSFGAYNGDDALVMFVTCHFSKVSTTFCSS >itb07g20710.t3 pep chromosome:ASM357664v1:7:25074768:25077438:1 gene:itb07g20710 transcript:itb07g20710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLLYACFLTGATLALYHGSPLGHSFGKFIQDAGVSILGTVPSLVKAWKSTDCMKGLDWTRISRVFATTGEVSNVDDALWLTSRAYYKPILECCGGTELASTYVAGNLLQPQAFGTFSSASMSVDFAILDEDGHAYTGVNSELRPRGANPGAPFAAYRHRSFGAYNGDDALVMFVTCHFSKVSTTFCSS >itb07g20710.t2 pep chromosome:ASM357664v1:7:25074294:25077438:1 gene:itb07g20710 transcript:itb07g20710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGKTKLLHTSLSTSRLYHQYTILIWNNRRFKSIPWTHLSPMRTAADSCAHADVRAGDIYCSPTNLGWDAGVSILGTVPSLVKAWKSTDCMKGLDWTRISRVFATTGEVSNVDDALWLTSRAYYKPILECCGGTELASTYVAGNLLQPQAFGTFSSASMSVDFAILDEDGHAYTGVNSELRPRGANPGAPFAAYRHRSFGAYNGDDALVMFVTCHFSKVSTTFCSS >itb13g20980.t1 pep chromosome:ASM357664v1:13:27660082:27663998:1 gene:itb13g20980 transcript:itb13g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHKLSTFFFVVLVAVVVVTIVTADLVDTVCGKTPQPAQCAQTLRADPRSKGADLKALGLIAIDIATNQTKSGQALTDPALVEESLLQQLQVLLLLLEQRIRVGSDEENDVVVIGGGPAGYVAAIKAAQLGLKTTCIDKRGTLGGTSVNVGSYSF >itb15g02650.t3 pep chromosome:ASM357664v1:15:1649943:1661361:-1 gene:itb15g02650 transcript:itb15g02650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQGTKSQKSSSRVANSPTSSTTSSSKQFPENSIDGVSSPASSSARSKPQYYYTENLPLSAERSKENVTVTVRFRPLSPREIRQGEEIAWYADGDTIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGSMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCENTEGEAVSLSQLHLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDEKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTIKFAHRAKHIEIQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKKGIVTIPEVKDNGREDIVLLKQKLEDGQVRLQSRLEQEEDAKAALLGRIQRLTKLILVSSKASQSSRLPHRPGPRRRHSFGEEELAYLPHRRRDLILDDENNDMCVSPDCNVESVDDTIKEEKKARKNGLLNWLKLRKREGGSGTLASTSDKSSGLKSNSTPSTPQAESVNVHMESLPTESTNSADHLSEARPDRELPGDNFLGQETPLTSIKTIDQLDLLREQQKILSDEVALHTSALKRLSEEASRSPEKEQIQLEIRKLKDDIRRKNDQISSLEKQITDSFMGSHEKISNLEDSQSVAEIMAQLNEKSFELEVKAADNRIIQEQLNHKTHECEQLQETIASLKQQLSDASERRNSSPSLGNSQQLSDTKRWLGELRNEKENAASKSTKEAILLRSQASQLEELQRKVVELTESKEQLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAADLAASQKSSPAQRRTSIPLRNGRRDGHISRRNDQSVLTTDMKRELALSRERELSYEAALTEKEQREAELQRKIEESKEREAYLENELANMYITVAKLKKSQGAETNASEATRDSQRIDEFDIWNNPAQKMGF >itb15g02650.t2 pep chromosome:ASM357664v1:15:1649792:1661460:-1 gene:itb15g02650 transcript:itb15g02650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQGTKSQKSSSRVANSPTSSTTSSSKQFPENSIDGVSSPASSSARSKPQYYYTENLPLSAERSKENVTVTVRFRPLSPREIRQGEEIAWYADGDTIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGSMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCENTEGEAVSLSQLHLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDEKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTIKFAHRAKHIEIQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKKGIVTIPEVKDNGREDIVLLKQKLEDGQVRLQSRLEQEEDAKAALLGRIQRLTKLILVSSKASQSSRLPHRPGPRRRHSFGEEELAYLPHRRRDLILDDENNDMCVSPDCNVESVDDTIKEEKKARKNGLLNWLKLRKREGGSGTLASTSDKSSGLKSNSTPSTPQAESVNVHMESLPTESTNSADHLSEARPDRELPGDNFLGQETPLTSIKTIDQLDLLREQQKILSDEVALHTSALKRLSEEASRSPEKEQIQLEIRKLKDDIRRKNDQISSLEKQITDSFMGSHEKISNLEDSQSVAEIMAQLNEKSFELEVKAADNRIIQEQLNHKTHECEQLQETIASLKQQLSDASERRNSSPSLGNSQQLSDTKRWLGELRNEKENAASKSTKEAILLRSQASQLEELQRKVVELTESKEQLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAADLAASQKSSPAQRRTSIPLRNGRRDGHISRRNDQSVLTTDMKRELALSRERELSYEAALTEKEQREAELQRKIEESKEREAYLENELANMYITVAKLKKSQGAETNASEATRDSQRIDEFDIWNNPAQKMGF >itb15g02650.t1 pep chromosome:ASM357664v1:15:1649782:1661360:-1 gene:itb15g02650 transcript:itb15g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQGTKSQKSSSRVANSPTSSTTSSSKQFPENSIDGVSSPASSSARSKPQYYYTENLPLSAERSKENVTVTVRFRPLSPREIRQGEEIAWYADGDTIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVSGSMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGVKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCENTEGEAVSLSQLHLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDEKATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNSEETHNTIKFAHRAKHIEIQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKKGIVTIPEVKDNGREDIVLLKQKLEDGQVRLQSRLEQEEDAKAALLGRIQRLTKLILVSSKASQSSRLPHRPGPRRRHSFGEEELAYLPHRRRDLILDDENNDMCVSPDCNVESVDDTIKEEKKARKNGLLNWLKLRKREGGSGTLASTSDKSSGLKSNSTPSTPQAESVNVHMESLPTESTNSADHLSEARPDRELPGDNFLGQETPLTSIKTIDQLDLLREQQKILSDEVALHTSALKRLSEEASRSPEKEQIQLEIRKLKDDIRRKNDQISSLEKQITDSFMGSHEKISNLEDSQSVAEIMAQLNEKSFELEVKAADNRIIQEQLNHKTHECEQLQETIASLKQQLSDASERRNSSPSLGNSQQLSDTKRWLGELRNEKENAASKSTKEAILLRSQASQLEELQRKVVELTESKEQLELRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNQNERLAADLAASQKSSPAQRRTSIPLRNGRRDGHISRRNDQSVLTTDMKRELALSRERELSYEAALTEKEQREAELQRKIEESKEREAYLENELANMYITVAKLKKSQGAETNASEATRDSQRIDEFDIWNNPAQKMGF >itb02g25820.t1 pep chromosome:ASM357664v1:2:26596575:26598172:-1 gene:itb02g25820 transcript:itb02g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKLKESHLSFIKETELTLGLPGESRKSGGLKRRRSDSSSIDFSCLTTEHQTKGDDDVPTQNEISTATKPPAKAQVVGWPPVRSYRKNIMKSCKFVKVAVDGAPYLRKVDLEAFHSYQQLLSSLEHMFTCLTICNLLNGKKLMDISDGTEYVPTYEDKDGDWMLVGDVPWKMFVESCKRLRLMKSSDVVVLGPTTPSKCSRTS >itb02g25820.t2 pep chromosome:ASM357664v1:2:26596575:26598172:-1 gene:itb02g25820 transcript:itb02g25820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEKLKESHLSFIKETELTLGLPGESRKSGGLKRRRSDSSSIDFSCLTTEHQTKGDDDVPTQNEISTATKPPAKAQVVGWPPVRSYRKNIMKSCKFVKVAVDGAPYLRKVDLEAFHSYQQLLSSLEHMFTCLTICNLLNGKKLMDISDGTEYVPTYEDKDGDWMLVGDVPWK >itb14g03920.t1 pep chromosome:ASM357664v1:14:3533250:3537169:1 gene:itb14g03920 transcript:itb14g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAASDVTEGPVLCVISRRIRALRKKLNRISQMEDSLSKGKTLNKEQEETLRSKPDVLAGIEELQKIRQPLAAAVEEEIALSVQRQKATSETSVDAAGDADCKDEGDKAAENDADDKAADSERFPVIEDLLSVLYFGSMFDVTTLQNHTQVMYSKALERGSCLSYDCMKDDDSADLLEEKDLDLISMLSGLLISRPVTSSLPHKNALERCIEHAKLWLENSDQPIEPNSDITYAGLRSKLNKILASQYLTAAPVMNYGTYQVPVQESIPPVNVQVQAEDAEVQYQQKEESISSHENEANDSNPVEEFHQEEEDISGLIAEAEAVHPEEGAEDPNDMKEQHVSRGSYHNYRGNRGGGRRGNFNGRGGRGRGGTYQNGHNQYHDHPGNYRSRNSNYRGRGGRGGRGGYYNNQGYGGQAGNYNADS >itb03g02870.t1 pep chromosome:ASM357664v1:3:1640107:1641758:-1 gene:itb03g02870 transcript:itb03g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTFQLLLTFASTLCAFIFLARYFHSSRRRVGRSLPQPDGALPVIGHLHCFGGGKLTYKVLGAMADKHGPAMAIKLGSHKALVISSWEMAREIFTTHDKTFSDRPRIAASKFLGYDYAFFGVAPYGEYWREMRKIATLHLLSNRQVEMLSPIRKSEVESSIRELYEMWASNGSPERGFVADLQPWFRNLSRNMSVRMVGGKRLTGDGVDCNKEEARRCEKVIREFLYFFGVFVLSDYFPFLEWLDLQGHKKRMKRIARELDNLVGGWLEEHKKRRRSEKGMGAQDFMDVMLEIMEDAQIYGFDADTIIKATCLNMLVAGSDATAVTLTWALSLLLNNPTVLDKVQEELDTQIGRDRKVNESDIKNLVFLQAVIKETFRLYPPGPVNGLRSSLKDCILSQGYHVPAGTRLMINIWKIHRDESIWPEPNEFKPERFLTTHKHIDVRGQHFELIPFGSGRKSCPGIQLSLQTLHISLATLLHCFDFALPSDEKIDMSESAGLINAKATSLKVCLTPRLPITVFGH >itb11g04220.t1 pep chromosome:ASM357664v1:11:2243742:2245092:1 gene:itb11g04220 transcript:itb11g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCILQAAVFSLGLVGAGTNNARIARILHNLSSTYCKESDVLFCVRIAQGLVHLGKGLLTLSPYHSERFLFSQTALAGLIVTLHACLDMKALILGEYHYLLYFLSLAMMPRMLMTVDENLKPLSVPVRVGQAVDVVGQPGRRKTITGFRNYSTPVLFSAGDKAELATDKKTQNTKPIISLYNGDTFILGLLYLKDYIVFILLSEV >itb14g02560.t1 pep chromosome:ASM357664v1:14:2194212:2196063:1 gene:itb14g02560 transcript:itb14g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETILPITAATATSVGKVVKTVVEISDKKRGNGWNANAALYAITLSEISSMMSRPSFPRLDGGNYDIWSIRTKILLKLYDVWDLVEKGYKVKGRNGKASKKDLDKDSFAYNIIMESVKISVSRSIVDADNSKELWDAIKTKYKDKDKSSWKSYFCLRSI >itb13g13670.t5 pep chromosome:ASM357664v1:13:20168181:20174273:1 gene:itb13g13670 transcript:itb13g13670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSKSSKEAKEAKEAKEPKTPNSQEQASSSTTGTVNPDWSGFQAYSPMPPPGFLASSPQPHPYMWGVQHLMPPYGTPPHPYIAMYPPGGIYAHPSIPPGSYPFSPFAVPSSNGVADASGNTHSTLEVDGKAEGKEKLPIKRSKGSLGSLNMLTRKNNEPGKTSGAFANGGYSKSAESGSEGSSEGSDANSQNESQMKSGGRQDSAETSQNGTSHSSQNGGTNAHELINHSVAIVPMSAAGAAVGVPGPTTNLNIGMDYWGTTASSSIPAIRGKVPSASVAGGMVTAGSRESVQSQLWPQDEREIKRQRRKQSNRESARRSRLRKQAECEELAQRAEGLKEENASLRAEVSRIRSEYDQLLAQNASLKERLGESSGNEDPRLSKNEQLLSNDAPHPSEAEPMQGTQ >itb13g13670.t4 pep chromosome:ASM357664v1:13:20167964:20174204:1 gene:itb13g13670 transcript:itb13g13670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSKSSKEAKEAKEAKEPKTPNSQEQASSSTTGTVNPDWSGFQAYSPMPPPGFLASSPQPHPYMWGVQHLMPPYGTPPHPYIAMYPPGGIYAHPSIPPGSYPFSPFAVPSSNGVADASGNTHSTLEVDGKAEGKEKLPIKRSKGSLGSLNMLTRKNNEPGKTSGAFANGGYSKSAESGSEGSSEGSDANSQNESQMKSGGRQDSAETSQNGTSHSSQNGGTNAHELINHSVAIVPMSAAGAAVGVPGPTTNLNIGMDYWGTTASSSIPAIRGKVPSASVAGGMVTAGSRESVQSQLWPQDEREIKRQRRKQSNRESARRSRLRKQAECEELAQRAEGLKEENASLRAEVSRIRSEYDQLLAQNASLKERLGESSGNEDPRLSKNEQLLSNDAPHPSEAEPMQGTQ >itb13g13670.t6 pep chromosome:ASM357664v1:13:20167694:20172849:1 gene:itb13g13670 transcript:itb13g13670.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSKSSKEAKEAKEAKEPKTPNSQEQASSSTTGTVNPDWSGFQAYSPMPPPGFLASSPQPHPYMWGVQHLMPPYGTPPHPYIAMYPPGGIYAHPSIPPGSYPFSPFAVPSSNGVADASGNTHSTLEVDGKAEGKEKLPIKRSKGSLGSLNMLTRKNNEPGKTSGAFANGGYSKSAESGSEGSSEGSDANSQNESQMKSGGRQDSAETSQNGTSHSSQNGGTNAHELINHSVAIVPMSAAGAAVGVPGPTTNLNIGMDYWGTTASSSIPAIRGKVPSASVAGGMVTAGSRESVQSQLWPQVFISSNIQLLSTFLFRLL >itb13g13670.t1 pep chromosome:ASM357664v1:13:20167680:20174308:1 gene:itb13g13670 transcript:itb13g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSKSSKEAKEAKEAKEPKTPNSQEQASSSTTGTVNPDWSGFQAYSPMPPPGFLASSPQPHPYMWGVQHLMPPYGTPPHPYIAMYPPGGIYAHPSIPPGSYPFSPFAVPSSNGVADASGNTHSTLEVDGKAEGKEKLPIKRSKGSLGSLNMLTRKNNEPGKTSGAFANGGYSKSAESGSEGSSEGSDANSQNESQMKSGGRQDSAETSQNGTSHSSQNGGTNAHELINHSVAIVPMSAAGAAVGVPGPTTNLNIGMDYWGTTASSSIPAIRGKVPSASVAGGMVTAGSRESVQSQLWPQDEREIKRQRRKQSNRESARRSRLRKQAECEELAQRAEGLKEENASLRAEVSRIRSEYDQLLAQNASLKERLGESSGNEDPRLSKNEQLLSNDAPHPSEAEPMQGTQ >itb13g13670.t3 pep chromosome:ASM357664v1:13:20167963:20174308:1 gene:itb13g13670 transcript:itb13g13670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSKSSKEAKEAKEAKEPKTPNSQEQASSSTTGTVNPDWSGFQAYSPMPPPGFLASSPQPHPYMWGVQHLMPPYGTPPHPYIAMYPPGGIYAHPSIPPGSYPFSPFAVPSSNGVADASGNTHSTLEVDGKAEGKEKLPIKRSKGSLGSLNMLTRKNNEPGKTSGAFANGGYSKSAESGSEGSSEGSDANSQNESQMKSGGRQDSAETSQNGTSHSSQNGGTNAHELINHSVAIVPMSAAGAAVGVPGPTTNLNIGMDYWGTTASSSIPAIRGKVPSASVAGGMVTAGSRESVQSQLWPQDEREIKRQRRKQSNRESARRSRLRKQAECEELAQRAEGLKEENASLRAEVSRIRSEYDQLLAQNASLKERLGESSGNEDPRLSKNEQLLSNDAPHPSEAEPMQGTQ >itb13g13670.t2 pep chromosome:ASM357664v1:13:20167963:20174288:1 gene:itb13g13670 transcript:itb13g13670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSKSSKEAKEAKEAKEPKTPNSQEQASSSTTGTVNPDWSGFQAYSPMPPPGFLASSPQPHPYMWGVQHLMPPYGTPPHPYIAMYPPGGIYAHPSIPPGSYPFSPFAVPSSNGVADASGNTHSTLEVDGKAEGKEKLPIKRSKGSLGSLNMLTRKNNEPGKTSGAFANGGYSKSAESGSEGSSEGSDANSQNESQMKSGGRQDSAETSQNGTSHSSQNGGTNAHELINHSVAIVPMSAAGAAVGVPGPTTNLNIGMDYWGTTASSSIPAIRGKVPSASVAGGMVTAGSRESVQSQLWPQDEREIKRQRRKQSNRESARRSRLRKQAECEELAQRAEGLKEENASLRAEVSRIRSEYDQLLAQNASLKERLGESSGNEDPRLSKNEQLLSNDAPHPSEAEPMQGTQ >itb09g19160.t1 pep chromosome:ASM357664v1:9:15294161:15297683:1 gene:itb09g19160 transcript:itb09g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGWVVLLVGVLGLSIFWWVVRRVNCWYYEIVKLGHEKSLRLPPGDLGLPFIGNMWSFLRAFKSSNPDSFTSSFVSRYGATGMYKAFMFGSPSIIVTTPEPSRRVLTDDEAFKPGWPASTTELIGRKSFIGISFEEHKRLRKLTMAPVNGYDALSFYIGYIEENVKIALEKWASMGEIELLTQLRKLTFTIIVYIFLGAESKQVREALEREYTTLNYGVRAMAINLPGFVYYDALKARKRLVAIFQSVVDERREKRRNNLNGLNEKKDMMDRLMEVKDENGKMLDDEEIIDIMVMYLNAGHESSGHITMWATLLLQSHPEAFKKAKAEQEEIVRNMPPGQKGLTLKEYRQMEYLSKVVDETLRYVTFSLMVFREAKKDVNVCGYLIPEGWKVLVWFRGPHYDEEIYEDPFAFNPSRWDNLIPKAGEFLPFGAGSRLCPGNDLAKLEISIFLHYFLLGYELERKNPDCPLMYLPHTRPKDNCLGRIRKTSSTV >itb09g19160.t2 pep chromosome:ASM357664v1:9:15294277:15297605:1 gene:itb09g19160 transcript:itb09g19160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGWVVLLVGVLGLSIFWWVVRRVNCWYYEIVKLGHEKSLRLPPGDLGLPFIGNMWSFLRAFKSSNPDSFTSSFVSRYGATGMYKAFMFGSPSIIVTTPEPSRRVLTDDEAFKPGWPASTTELIGRKSFIGISFEEHKRLRKLTMAPVNGYDALSFYIGYIEENVKIALEKWASMGEIELLTQLRKLTFTIIVYIFLGAESKQVREALEREYTTLNYGVRAMAINLPGFVYYDALKARKRLVAIFQSVVDERREKRRNNLNGLNEKKDMMDRLMEVKDENGKMLDDEEIIDIMVMYLNAGHESSGHITMWATLLLQSHPEAFKKAKAEQEEIVRNMPPGQKGLTLKEYRQMEYLSKVVDETLRYVTFSLMVFREAKKDVNVCGYLIPEGWKVLVWFRGPHYDEEIYEDPFAFNPSRWDVSLTIQPSFVFQHIIVGNV >itb14g19950.t1 pep chromosome:ASM357664v1:14:22450932:22462518:-1 gene:itb14g19950 transcript:itb14g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYAEVREESDHKNEAKSSKTPIPQRLLLSLLQESFFLHPSPTPHSFHRRSIVYVFFANPSSILSPKRSSDLNRRPYNPNFPLQDVARRTAGKGTPVNIIVGSQVWVEDPTVAWVDGRVTKINKQEVTIDATDGRQVVSNLSKIYPKDEDAPAGGVDDMTKLSYLHEPGVLQNLKSRYQLNEIYTYTGSILIAINPFQKLPHIYDIHMMEQYRGSGLGELSPHVFAIADVAFRAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRKGTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSRISKLLSFSPQEAIFRVVAAILHLGNIEFTKGKDADTSVLKDEQSKFHLQTTSELLMCDRVALEDALLKRVMVTPEEVIKRSLDPENAAVSRDGLAKTIYCRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTREEIDWSYIEFVDNQDVLDLIEKKPGGVVALLDEACMFPKSTHETFSQKLYQTFKSHKRFIKPKLSRTDFTISHYAGEVLYQSDQFLDKNKDYVVPEHQDLLSSSKCSFVAGLFPPLPEETNKSSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNQLKPAIFENVNILQQLRCGGVLEAIRISCAGYPTRKTFFEFLNRFGLLAPEVLEGSYEEKAACKTILDNAGLAGSQIGKTKVFLRAGQMAELDARRAQKLSNAAKKIQRKIRTHIARKYFLALQKSAVTLQSSCRGRIAWKLFEHMQRESASLKIQKNLRGRFAWKSYRNLQDAVISVQTGIRAMAARKAFRFKNQNKAAIIIQAARETGALKEAKDKLEKQVEELTWRVQLEKRLRTDLEEAKAAEIAKLQNTIQSMHGKVEETTSLLSKEKEAAKKAIEEVSSIVKETPVAVEDTAKIESLSTEMDTIKDELQSEKRRADEFESKCEEALKSNEEKQQKLEETEKKVQQLQESLNRLEEKMTNLESENKVLRQQALAMAQNTKMQSVRSRSSVIQDLHSSSLNAREHVEVEGRPQKSLNEKQQEYQDLLIRCVAQHLGFSKGRPVAACIIYKCLRQWRSFEVERTSIFDRIIQTIGQAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGIAPQHRRSTSATLFGRMTQSFRGAPQGVNLSLIDGDSATGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRISRASLIKGTARALANAAAQEILIAHWQGIVKSLANFLDMLKANHVPPFLVRKVFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSLSPEVISNMRVLMTEDSNNAVSSSFLLDDDSSIPFSVDDLSKPVDQMDISDIEPPPLIRENSGFSFLLPRAD >itb01g15180.t1 pep chromosome:ASM357664v1:1:17548774:17549978:1 gene:itb01g15180 transcript:itb01g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLVSACAGGGADRKIACETLAVDGAADDKDDSDDEIEEKEVIPVDFPPESFWLSKDAEYDWFDRNAFLERKESTKGINPNPTTTTTHPSSNSGSQRFLKPKASIIGLPKTQKTAYAESKRRTCNKPANIRLFPPRRTESMGKPVAEPSSPKVSCMGRVRSKRRRRRSSSKREKPVERSRSGRENRKLGFYSRFVSMFMSDRNHKPAKKVEKERKGEPPVPARKSVKTKKAREIQISTEPVAEPPGLGSMNRFASGRRSQSWGADEISAVISETFDTDATRVGPKARN >itb02g24790.t1 pep chromosome:ASM357664v1:2:25466493:25470778:1 gene:itb02g24790 transcript:itb02g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVFWGLLFILQWGGHECCVKEERVALLQIKAFFTTGVNVVVAHRFLPSWLEKGDNDCCRWERVTCNPITGRVTELSLDHLIQNCNSFSGLNLDVSLFSPFEELVNLHLSGNCFSCCLHNQGFDKLKRVEGLNLAENDFGDNIFRSLGALTTLTSLNLSWNSIYGRRGGLQDLTMLKNLKVLDLSANYVEGSIPPAIGNLTTLVALSLAENNLIGTLPNQEFCKLKNLEELDLSLNYGLEGVLPPCFTNLTSLRLLDLSTNKFKGEISFIFPNLISLEYIRLGDNHFEGKFSFSLLANHSKVKLVEILNNDNLEVETEDSNWVPEFQLEVLVLSRCNLNKQSLQVPTFLAYQSMLKVFDLSHNNLRGGFPDWVIKNNSQLQVLRLSNNSLEGQLHFQPHLNTSILWMDVSENQLRGRLEENLGRKLPYMTTLNLSRNHFEGFVPSSFCNMSGLVQLDLSNNFFDGEIAKEMVSGCLNSLEVLILSGNRFHGQIFSTDFNMTTLGVLHLEDNQFSGTITNAISTSHYLWLLDASNNNFSGELHWISNMTHLITLLIQNNSLTGRFPCEIPGLNHLDVSHNSLSGALLPCKYEINHLLLHSNKFTGPIPETLLHWPLLTLNIRDNNLSGNIPSVTRAESLRVLLLGGNQLNGSFPKQLCSLSKISLLDLSHNNFFGEIPECINNITFGKTRYADFNHDDVSILFIGSKVVTFGNFLVKQYEIVPRLVEYYEQVTVDFVVKKRSNSYKGDILNYMSGLDLSCNSFTGEIPHELGELELILTLNLSRNQIKGPILASFSGLRQLESLDLSYNNLTGKIPPELIDLNFLAFFSVAYNNLSGRIPEMKGQFGTFDESSYEGNPYLCGELLANKCNKIIEPNPPTPPSEFISEAKWYEFDMLIFWVAFIVAFIIFFLGVVISLYVNPYWSRRLWNFVDDCYYACYFKFCM >itb04g28790.t1 pep chromosome:ASM357664v1:4:32392351:32394525:1 gene:itb04g28790 transcript:itb04g28790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) UniProtKB/Swiss-Prot;Acc:Q9LTH9] MAILVTLITKSTFSIALLFFLLLQFSGTDGHGGSDHSEETDGAAAVDLHRRGLILVKIYCLIILFASTFAGGVSPYFYRWNESFLVLGTQFAGGVFLGTSLMHFLSDANSTFGDLTEKTYPFAFMLASAGYLLTMCADCVILMVTNGGGGEAKVEVEHGGRVTAGDDDHEQEMMNPFAKTASLGDTVLLILALCFHSIFEGIAVGVSGTKGEAWRNLWTISLHKIFAAMAMGIALLRMIPKRPFLLTCVYSFAFAISSPIGVGIGIAIDATTEGKAADWVYAISMGFACGVFIYVAINHLIAKGFKPHNKSYFDTPLFKFLAVLLGVGVIAVVMIWD >itb04g28790.t2 pep chromosome:ASM357664v1:4:32392356:32394421:1 gene:itb04g28790 transcript:itb04g28790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) UniProtKB/Swiss-Prot;Acc:Q9LTH9] MAILVTLITKSTFSIALLFFLLLQFSGTDGHGGSDHSEETDGAAAVDLHRRGLILVKIYCLIILFASTFAGGVSPYFYRWNESFLVLGTQFAGGVFLGTSLMHFLSDANSTFGDLTEKTYPFAFMLASAGYLLTMCADCVILMVTNGGGGEAKVEVEHGGRVTAGDDDHEQEMMNPFAKTASLGDTVLLILALCFHSIFEGIAVGVSDPPLIFFALARKLSNLPRPSSPLLRSLGRPILFTRLNENELSGTKGEAWRNLWTISLHKIFAAMAMGIALLRMIPKRPFLLTCVYSFAFAISSPIGVGIGIAIDATTEGKAADWVYAISMGFACGVFIYVAINHLIAKGFKPHNKSYFDTPLFKFLAVLLGVGVIAVVMIWD >itb15g11750.t1 pep chromosome:ASM357664v1:15:9594586:9598217:1 gene:itb15g11750 transcript:itb15g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIGDNPQEQNSTNEKAKDTMIEDMEGATLTHLQDLANCEPLRILWEKLRNVKQLSSESCIFQVNERLRNTNPEAYTPLTISIGPYHHGKPELRKMERLKELYTHSLLQRAGVGVEVCWKKLKELEGRVESYYGDEIEEEFSGDEFVKMLLLDGCFILEFVIRLYHRAFGHGQEYKDDPIYMISGMESNIVRDMLLLENQLPFFVLQQLYDMIVRIPGKPEFSMLIKFVFRNNIPKVNISSFVNTEANPREIKHLLQLVHILCQPQPQNNGQIQQQKACSSSSCCFWKQPQSQGQAGSDIESQSLSNGQHRDRHWACFPCKFWEQPQQSTDVHEVELFCIHAASELQEAGVDFKKLGKISNTSSDETISLFDIKFNHGVLEIPSFGLFDSTETFFRNLIAYEQHSPDVRPKYFSDYSHFMDDLINTEKDVNLLRLKDVFVNGLGDDKEVTRLFNKLSKGVMHYTDDFYYKDVCTELNRHCKKPRNVLMAKLRHDYFNTPWAGISTFADILLLTLTIAQTVLSALDLHK >itb05g19920.t1 pep chromosome:ASM357664v1:5:26199670:26204047:1 gene:itb05g19920 transcript:itb05g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQSRGSNARLSGMAVRSPISSLMLAMFATVASLYVAGRLWIDAENRVYLTKELDRITGQGQSAISVDDTWKIIECREQMKKLSSLEVELTAARREGFVPKELADVNGNPKQRPLVVIGILTSFGHKNERDAIRKAWMSSGAALKKMEDKKGIIARFVIGRSSNRGDSLDRSIDNENRETNDFFILEDHVEAPEEISKKTKLFIAHAADSWIADFYAKVNDDVYVNIDALGITLAAYMDKPRVYAGCMKSGEVFSEQGHKWYEPDWWKFGDGKSYFRHASGEMFVISRALAKYISINRSILRTYAHDDITVGSWFVGADVKHVDEKRFCCSSWSSGSICSGV >itb05g19920.t2 pep chromosome:ASM357664v1:5:26199687:26204047:1 gene:itb05g19920 transcript:itb05g19920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQSRGSNARLSGMAVRSPISSLMLAMFATVASLYVAGRLWIDAENRVYLTKELDRITGQGQSAISVDDTWKIIECREQMKKLSSLEVELTAARREGFVPKELADVNGNPKQRPLVVIGILTSFGHKNERDAIRKAWMSSGAALKKMEDKKGIIARFVIGRSSNRGDSLDRSIDNENRETNDFFILEDHVEAPEEISKKTKLFIAHAADSWIADFYAKVNDDVYVNIDALGITLAAYMDKPRVYAGCMKSGEVFSEQGHKWYEPDWWKFGDGKS >itb09g24940.t1 pep chromosome:ASM357664v1:9:24859671:24866601:-1 gene:itb09g24940 transcript:itb09g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLDNEDTVRRCKERRRFMKEAVYARHHLASAHSDYCRSLRLTGSALTCFASGESLSVSDQAPAVLLRAFKTPPPPVKIHAPSPPSGSPTIASSKLPHILSASSVSSHRQQPPLKKPLKLPHILSESSLASTPKARNLFENENCTYNAKANSTYSSTPSQASSVWNWENFYPPSPPTSEYFEQLQKSNAPSRDNWIDNNDDGFDADKSSSYSHDKDYRQNPSTKRFDFFDNQSVDDDKASNYSSHSYFSNRDLRNLNPAQSREQTKHTHHLNCDSEAEREEVECSEWGDHDHYSTTSSSDLEEEGEREDLRSEIGATRSNFGTASVKNEVTTATTAQLRSNSINPSATKSEMDDGGSSSMSWGNGTAKGEMISDRRIVVRHKDLAEIVAAIKEYFDKAASAGEQVSEMLETGRAQLDRSFKQLKKTVYHSSGVLSNLSSSWSSKPPLAVKYKFEPSSTDESGGTKSLCSTLERLLAWEKKLYQEVKAREGVKIEHEKKLATLQNQEYKGDEEGKLDKTKASITRLQSLIIVTSQAVSTTSSAIIDLRDSDLVPQLVEVCHGFMYMWRSMNQFHEVQNDIVQQVRGLVNRSTKGQSTSDLHRQATRDLESAVSAWHSSFCRLIKFQRDFIRSLHGWFKLTLVPVNSEPTNGNKELSEAFSFCDEWKLALDRVPDTVASEAIKSFINVVHSIFLRQTEELKIKKRTESASKELEKKASSLRSIEKKYYNSYSMVGIGLPDNGPDTGHVLDARDPLAEKKAELASCQRRVEDEMLKHSKAVDVTRAMTLNNIQTGLPGVFQAMTSFSALFTQALEAVCTRSYSI >itb08g03490.t2 pep chromosome:ASM357664v1:8:2852478:2856421:-1 gene:itb08g03490 transcript:itb08g03490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGPRENGRYKPPPHGQWLMQHQPSMKQIMAIMAERDAAIQERNLALSEKKAALNERDMAILQRDSAIAERNNAIMERDNAIATLQYRENSMNTGSMSPSAQSCQITRGVKHIQHPQQHVHHQPHLGKTSYSPRDMHLSDAIPTSPADPEPAKPRRNKRAKEPKPATPKKASKPSKKAKKEADDLNKTMCGKMQEWKGTQEIGGASDDLNRQLGMSRPDWKDQDLGLNQVSFDESTMPVPVCSCTGVLRPCYKWGNGGWQSSCCTTNLSMYPLPAIPNKRHARIGGRKMSGGAFNKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb08g03490.t1 pep chromosome:ASM357664v1:8:2852473:2856426:-1 gene:itb08g03490 transcript:itb08g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGPRENGRYKPPPHGQWLMQHQPSMKQIMAIMAERDAAIQERNLALSEKKAALNERDMAILQRDSAIAERNNAIMERDNAIATLQYRENSMNTGSMSPSAQSCQITRGVKHIQHPQQHVHHQPHLGKTSYSPRDMHLSDAIPTSPADPEPAKPRRNKRAKEPKPATPKKASKPSKKAKKEADDLNKTMCGKMQEWKGTQEIGGASDDLNRQLGMSRPDWKDQDLGLNQVSFDESTMPVPVCSCTGVLRPCYKWGNGGWQSSCCTTNLSMYPLPAIPNKRHARIGGRKMSGGAFNKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb09g13460.t1 pep chromosome:ASM357664v1:9:8767581:8768657:-1 gene:itb09g13460 transcript:itb09g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEQRETRDFMNVDSFSQLPFIRPTGTPMKAEKGIRLFGKDFGGGGGGAEDESESNDTNHAPAAAGKDNDSNGGESSRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRANLQSAMVHGGLSAADAQLYGLINYRIGSAPAAAPPMPYHHHHHSQPWSGGTRVYGSHVGSNPYSQPPINGSPLALWRVPTVSHNSPPQSAYFSRDRSMHPFLAKEAADLKPPSSMISSAAATHQIRFGYDPKPPSVKDNHVSLDLHL >itb09g06950.t1 pep chromosome:ASM357664v1:9:4024643:4030127:-1 gene:itb09g06950 transcript:itb09g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSSLDCIQSLVNEIKKQVFSTQNSRDLVAPSPYDTAWLAMIPDPSNPDRPLFQSCLDWVLDNQNEQGFWGDYNGEDGIPTIDALPATLACLVALKKWNVGEDKISKGLVCFHANLNLVLTENTGRLPRWFTVVFPAMIDLAQATGLPLKFLNQPADILLSKLFLKRKQMFEREKLVDEWGNYSPTFAYLECFPSEILGDQEKIYEHLCRDDGSFFRSPSATAHLFMTTANAKSLKYLNSLVQNYPNGVPARYPVDEELIKLCVIDHIQRLGLSEHFSQEIAETLSQIYRNQQKAAELPHNMTTLAEKLHEDSLAFRLLRMHGFHMNPRKLSWFLKHEDIVGHLEENHEYFTSVLYNVYRATDLMFLGENELEEARSFSRKLLQKSLALKDGDDNLSVFPELLNLIERELSVPWMARMDHLDNRLWIENKFNPLSWIGKDSYYRLSCLQNEKLLQLAVKNFEFRQSTYRDELEELKRWSKEKGLVDIGFGREKTMYTYFAVATSTSLPHDSIIRLIVAKAAIVITVADDFFDMEGSLPDLQLLNDAVQRWDGENLRGPSKVIFDALEDLVTKIATRYYIQHGSKITAELRDIWRETFGSWMRESTWSQTGYRPTMEQYLETGMVSIAADTVLLPAACFLTPRLPTEKMKPAFYEDATKLLMTCTRLLNDIQSYQKEKEVGKINYVLLHLKEHPEGHIEDSIAYVKDMVDKMRKQFLEQVLMTTDDDDNYNMPKSCNLFHLSCFKVFQMFFNSYNLFDSKADLRQEIMKAFYIPFEQDLQQPSNPLITVIKPLPVLPPLERSSEGAKVSASLGPATLKHKNKVAISFIRKQVPSNCSLGFYSPKPCSPAKLKSCFI >itb14g00500.t1 pep chromosome:ASM357664v1:14:342561:345246:1 gene:itb14g00500 transcript:itb14g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTNCKHNIMLVLIFLLLICKFEYCYSNDAASCIEGERIALLQFKESLIDISNRLSSWSGLNCCEWEGISCSSTTGHVLKLDLHNPTTPPDSIYDTLPSNYTNNCLGGEINHSLINLTHFNYLDLSFNNFSKIRIPEFFGSFKNLKYLNLSSSGFVGNIPTHLGNLSSLEYLDLGKVLVDVPSYNDLATDNLDWLASLSSLKSLDISSVSILHSEDWLHTINKLVSLSSLNLVGCNLYTTSPLSHVNSTSLISLDLSDNTLDFAILPWLSNLTRLEHLNLHDNSVNSTMLEIFEPLASLKVLDLSENRFTSSVPNSLENLTSLTSLDLSYNRFEGSILNYLGNLTSLTSLDLSDNKFAGSIPNSLGNLTSLTYLDLSYNKFAGSIPNSLGNLTSLTSLDLRYNRFEGSILNSLVNLTCLTFLSLSNNNFTGSIPNSLGNLASLTSLSLSDNSFTGSIPNTIGSLCKLQVLDFSRNKFTGFITILSDCLLDSLKELYLDSNNFSGQLPNQLYKYKNLQFLSLSSNSFSGPIIESLGNLSMLQTLDISNNKFNGSVPSSLGQLSNLEELRLFSNSFVGVLSEFHFSNLSNLQSLYISSNLFVWNVSSTWVPPFQLSNIAMESIKIGPHFPHWLRTQTNVQSLFMSNASISSAVPHWFEKFFWNSFALDLSKNQISGKLPFKPHVEGDTHMWYLSLSNNHLSGGIPKWLCSLKDLQILALSTNQLYGEIPPCLGKLQSLKVLDLGNNNLSGHIPNSLGSLQLLSSMHLQNNELEGKLPGSMQNLTSLIILDLSENKFMDVIPSWIGGKLLSLKYLVFYRNKFYGDIPLQLCQLHDLQLLNLANNNISGYIPPCFGNFTAMVFDGNQTHIQYNKFSIITYEDKIDEVIKD >itb09g20510.t1 pep chromosome:ASM357664v1:9:17356581:17357325:-1 gene:itb09g20510 transcript:itb09g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQVRPLAPAWERPSSDDLETVSNTQNKEFRRKRCLRCGACLAALLLILATLILVLIFTVFRVKEPIIRLNGMAVDKLELANGMPKPGSNLTLTADVSVKNPNFASFRYSNTSSSLSYRGTVVGEARSPPGKTKARRTSRMNITVDIVTDRILSQPSLIVDISTGLLTMDSYTRVGGRMKFLKVISRYVVVKMNCTITVNITTRSIQTQKCKRKVKL >itb11g00850.t1 pep chromosome:ASM357664v1:11:371654:374275:1 gene:itb11g00850 transcript:itb11g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEDQSGLMVDEIYANGGAVTNDGSNRQRPIINGEQLDVEAYASLYSGRTKIVRLLFIADRCDNVSMQLEALRMAYEEIKKGENSQLFREVVQKICGRLGPNYEMDSAWAYAVDRRAEQRKERLENELNAYRTNLIKESIRMGYNDFGDFYYAHGQLGEALKNYVRTRDYCTTAKHIIHMCLNVILASIEMGQFTHVLSYVTKAEQALDSFDNATAAKLCCAAGLAHLEAKKYKLAARKFLEVGQELANEYNEVIAPQDVATYGGLCALASFDRAELKSKVIDNVNFRNFLELVPEIRELIQDFYTSRYASCFGYLENLKTNLLLDIHLHDHVETLYNQIRNKALIQYTHPFVSVDLHMMANAFKTNVAGLEKELEALITENEIQARIDSHNKILYARHADQRNATFQRVLQTGREFDRDVKAMLLRTSLLKYEYNHRSRKH >itb12g01920.t1 pep chromosome:ASM357664v1:12:1297965:1299924:-1 gene:itb12g01920 transcript:itb12g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIIMADENQTHLQDSSSLLSPSSSDVCSDDLSSDSDLFGDEEDEEQEEEDSLSPSSGSSHGDEPLQDMSTLLQELPFKRGLSKHYNGKSQSFTSLSNVRSLEDLAKPENPYNKKLKSCRSYGGLFLEGCKNSHNQPQRSSSSSRLGLLKKSSSRGSCSSLSSRRNGSFLGNRPPTVPPHRSASTSNFANQTPLLA >itb13g02880.t1 pep chromosome:ASM357664v1:13:2823587:2824759:-1 gene:itb13g02880 transcript:itb13g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLSLLFFFFVLPSSGSTGGSKLLTGDLNLLEFPLNLEYLEAEFFLWGAFGYGLDKLAPNLSSNGPSSVGAKAAKLSPLVKDIIAQFGYQEVGHLRAIKKTVPGFPRPLLNLSAEAFASVVNDAFGKALKPAFDPYANDINYLIASYLVPYVGLTGYVGANAKLRSQTAKRLVAGLLGVESGQDAVLRTLLYEHAKEEVAPYGITVAEFTNRFSDLRNNLGNKGFIKDEGLIVKEREGAEGKISGNILAGDEYSVAYDRSPEEILRIVYGSGEERKPGGFFPKGAHGKIAESYL >itb03g21530.t1 pep chromosome:ASM357664v1:3:19410730:19412189:-1 gene:itb03g21530 transcript:itb03g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTVFLALLFALGFIITTFFNFPTKMFLSWVHSISAKPASPKPPAPTNKSMAGDKKVERSGSNNKNQNKVELKSVFATFDKNNDGFITKQELKESLRNIGIVMEDSEIAEMVAKVDENNDGLVDLDEFCELCNSFLGVEMAAGDEEGENLDDDLREAFDVFDGDKDGLISEEELSRVLSCLGLNQGKRLEACKEMIRSVDVDGDGMVNFDEFKRMMRGGSIPATLIPVA >itb05g16650.t1 pep chromosome:ASM357664v1:5:23815664:23819894:-1 gene:itb05g16650 transcript:itb05g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAQGGGNVDSFLRNYKLGKTLGIGSFGKVKIAEHALTGHKVAVKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARKFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSATARDLIPKMLIVDPMKRVTIPEIRMHPWFQAHLPRYLAVPPPDTMQQAKKIDEEILQEVIKMGFERNTIIESLRNRVQNEGTVAYYLLLDNRFRASSGYLGAEFQESVEYGFNQMNSNDFVAFPSGQRFPGTMDYQPVGARQFLVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWIPSIPGQHEGSVNNSMHNNNFFEDESTIIENHGISRATNVVKFEVQLYKTRDNKYLLDLQKVQGPQLLFLDLCAAFLAQLRVL >itb03g05420.t1 pep chromosome:ASM357664v1:3:3745617:3748748:1 gene:itb03g05420 transcript:itb03g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGNVQHRSPSNEAAPPPTPAEFIGTASADSLRMTLTMLAWLGVPPSIVVVVLASIIFLPFTKAMMVFGLLFLLMFIPIDQNSKWGLRFARYICKQASGYFPMHLYVEDISAFDPNRAYIDFRKICQVFYTPVMRHIWTWLGISPAGRQNFKSLLSSGYSCIVVPGGVQEAYYMEHGCETMFLKSRTGFVQIAMEMGTPLVPVFCFGQSNVYNWWKPSSILYLKFSRAVKFTPILFWGYMGSFVPFQRPIHVVVGKPIEVEKNSEPTTEQLAALHSRFIEDLLELFEKHKKRLGYVDLQLKIM >itb12g22620.t1 pep chromosome:ASM357664v1:12:24662866:24665131:-1 gene:itb12g22620 transcript:itb12g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSMSNNRLVNLLKQSKPYLAVILLQFGYAGSSIITKYALDKGVNHYTFVLYANAIAGIFFAPFALFFERAGIDQNLFYAGMQYTTATFATALCNILPAITFVLAWILRLENVNIRALHSQAKILGTLVTVGGAMMMTLVKGPKILLPWTQERGHIQSTTAATHQHPIKGAIMITAACCFWACFFTLQAITLRSYPAGLSLTSMICLMGALQCAVLTLAVERSNTAVWALNWDIKLLAIVYNGIICTGIGYYLSGVIMKEKGPFFVTAFNPLSLVIVAIMGSFLLAEQLLLGREGTLSLSRDSIEVVGLR >itb13g13130.t1 pep chromosome:ASM357664v1:13:19640425:19641378:1 gene:itb13g13130 transcript:itb13g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASAASSPPPPPPSEYVSPPPVTLLLIAFLLVFFFLGIFFIVFCKCCITTLWQNRRASQAGAPVGPVGNVDSPGIDPSIIESFPTFTYSTVKDYRKEQYGLECAICLVEFEDASVLRLLTSCNHVFHQECIDLWLESHKTCPVCRMALDSPAKTTEPCSPLSATGNAMPDSFQDDTELPGDMHSITIKEDDDEKGEGGDSNIDNNTNEENQRTRTSGVGPAESSPVVTKQRESIVTFNTNDDNDTEEKFSRSHSTGHSLGRSRGGEDRFTLRLPEHVRAKIIRGHNATKSCTAFGEHKNRTTTTTTPENFGFSQV >itb07g20410.t1 pep chromosome:ASM357664v1:7:24772238:24780247:1 gene:itb07g20410 transcript:itb07g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAIDGETNGQNPPTGSAMAPGNDQTKISRGVNGGRPYGSWMIATRRERRQQGQPATAAPKATPTQTRGATMGTRSRFSLLEPDVQPGDGADVAQDLESGAADRAAENVEGHLQSETRASSSGGRLRRANVIVNEKQILNEAPKSNPGPTMATKPTQGRRATGSGSKRAAEEDEHVVNRGAQGGKVINTMTVHNEDTTSDGVAAVAQLATEHSGDPPDGRDEEGDIIMDMGSQQENLLGQGDMALDISALMF >itb14g01990.t1 pep chromosome:ASM357664v1:14:1612012:1612584:-1 gene:itb14g01990 transcript:itb14g01990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRENRNNTTLPGNKITLDLLGAIAKDDVNQVENYIISPIDLMSYSVLCISCKMGSLNVVKSLANKNPHLCLEAGDDGRIPLHIAIMEGKAEIVKQLVLTCPESLDYVTPRGETVIHFALLSNNNGDSCLNFLRQEIHRLDKHYLLEYLNPTNATTAASFEIEASTNSPQVCLFFFKFYLGTHVLWKF >itb01g08570.t1 pep chromosome:ASM357664v1:1:6915032:6915499:-1 gene:itb01g08570 transcript:itb01g08570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLMGFDHPLFHHIMDYAGDDKSSNSSGPSQTFMLDAKAMAATPADVKEYPNSYVFIIDMPGMKSGDIKVQIDGDNMLSISGERKREAEEKEGAKYVRMERRVGKLMRKFVLPENADKEKITAVCQDGVLTVTVEKLPPPEPKKPRTIEVKIA >itb15g13790.t1 pep chromosome:ASM357664v1:15:11877453:11878095:1 gene:itb15g13790 transcript:itb15g13790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPKPIIANKFLFRINVKRPRPEDAVETDELVDLIARVARKRRTPRFVVRDEMIGKLDRINNVVQDDVDRMEVDVAHANIEVEVEQVDIAIEEEEFQNLEAIKMIHLTLKLAVCRLRKINSTG >itb07g10460.t1 pep chromosome:ASM357664v1:7:11498867:11501395:-1 gene:itb07g10460 transcript:itb07g10460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSQSVGTSNKQSAVELDFDLRLAFFYLSLFLKSESGYEVNNSEIKSHRKSIRICDSVGIVASPSQEFPTRVVKKQGTHEVICVAYELVRRRRIVVEKPIDASFGNDTDLNAVISSLILDVFVGCSALDMCSKTCIRELADKVFEEMPDRNIAKWNVCISNAIIDGRHNDVVKRPVELLQMGKEPPNSITSCAFLNACSNGFYLKLGMQFHSNVTGCDHDLDISVLYFHKLKLQFSTHQYNIRFLTLLSLLCVFQHGNRALMDPCMVASKLAYENENVIRNVVNLHWGMHSVDFYNCWNEYQQESSTQIFILSDKPKDANLILVGFRGTEPFDVDDWSFAVHQDIDGRALMLFLGSQLPLAPHLLLLLLGRHLILLSSIWFLEKNQIYDLLIEEDCKAGDHSNALTIAYEMEATGRMATTTFHFNCLLSVQATYEIAFATFENMEYRETYMKPDAETYNWVIQAYTRAESYDRLSLFSFTKSLCSHSSHSDSILGAETHPLHLHGHNFYVVGQGFGNFDLKKDPPKYNLVNPVERNTVGGWVAIRFRADNPGKWKENSLTRSCLPASTTF >itb03g09750.t1 pep chromosome:ASM357664v1:3:7576653:7578748:-1 gene:itb03g09750 transcript:itb03g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSIVSSSSLGPPACEKDAVALHFIQEMTTNCDPVQEKVLGEILRRNGETEYLRQFKLDGATDRETFKSKVPVVSYDDLHPYIHRIANGDFSPILSSHPISEFLTSSGTSAGERKLMPTIPEEMDRRQKLYSLLMPVMNLYVPDLEKGKGLYFLFTKASTKTPGGLLARPVLTSYYNSQQFKNRPHDPYNVYTSPNEPILCIDSFQSMYAQMVCGLLMRDEVLRVGAVFASGLLRAIRFLQIHWRQLAEDISSGILNPNITDVSVRDSVTKILRPNLELAQFIAGECEGENWEGIIKRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPKACTMYASSECYFGLNLKPMSPPSEVSYTIMPNMGYFEFLPHDPVNPVTVSRDTPPQLVDMADVEVGKEYELVITTYSGLCRYRVGDILQVTGFHNAAPQFKFIRRKNVLLSIDSDKTDEAELQTAVNNATALLREYDTSVVEYTSFADTKTIPGHYVVYWELLMKDPANPPSDDVLNKCCLVMEESMNSVYRQCRVADNSIGPLEIRVVKSGTFEEVMDLAISRGASINQYKAPRCVNYTPIVELLDSRMVSAHFSPAAPHWTPARRG >itb12g03260.t1 pep chromosome:ASM357664v1:12:2144408:2146417:1 gene:itb12g03260 transcript:itb12g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLRLTFHDCFVQGCDASLLLDNGDGIKSEKNANPNRNSLRGFNVIDDIKDALEKECPQTVSCADILQLAARDSTVLSGGPFWEVPLGRKDSRSGSVSGSNNNIPAPNSTFQTILDKFNRQGLDLADLVALSGGHTIGDSKCSSFRQRLYNQDGNNQPDSTLDQYYADQLRSRCPRSGGDSNLFFLDFVSPTKFDNTYFKLLLANKGLLNSDQVLTTKNEESLQLVKAYAENNELFLQHFVSSMIKMANISPLTGSNGEIRKNCRKINS >itb09g01860.t1 pep chromosome:ASM357664v1:9:1142041:1147168:1 gene:itb09g01860 transcript:itb09g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRCFLDISIGGELEGRIVVELYNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFHRVIKSFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTAHLDGKHVVFGKVIKGMGVVRSIEHVQTGENDCPTADVIIADCGEIPEGADDGIANFFNDGDMYPDWPADLAENHTELSWWVAAVESIKAFGNEHFKKQDYKMALRKYRKALRYLDVCWEKEGIDEDKSVYLRKIKSQIFSNTSACKLKLGDFKGALLEADFAMRDGENNAKALFRQGQAHMALNDIDAAVESFKKALELEPNDGGIRKELAAAKKKIADRRDQEKKACVKMFK >itb15g15240.t1 pep chromosome:ASM357664v1:15:13867394:13867898:-1 gene:itb15g15240 transcript:itb15g15240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLGASSNCSGSSTVRRKCYLYGECISVEVCGCGQEMILRTSWTNENPGRRYWECSRHKDGFMRWYDPPMCPRSKRIIPGLLRRINKIEEENVKLKSKLRSLGEDTEIKYIRRSGKCTSMRILAVVGMILVIIY >itb10g00280.t1 pep chromosome:ASM357664v1:10:185544:190209:1 gene:itb10g00280 transcript:itb10g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSTFLTGSAHKAAPPCPRFRPISSTSALKLPAATFFNCPARKSFRVSSKLRAVAVETVETEAKEDIESLFSSNAGDEADGRRWNKQSAAGASGISSGIKLENISKGYKGVTLLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGLEEPDSGNVIKAKPNMKIAFLNQEFEVEASRTVKEEFLSAFKEEMEVASRLEKVQKAIEKSVDDLELMGRLLDEFDLLQRRAQAVDLDEVDVKINKMMPELGFAPEDADRLVASFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLSEQDVPMVIISHDRAFLDQLCTKIVETDRGVSRTYEGNYSNYIIERAAWVEAQFAAWEKQQKEIEHTKDLISRLSAGANSGRASTAEKKLEKLQEEEQVDKPFIRKQMKIRFPERGRSGREVVTIKNLDFAYEDKVLFKNAYLTIERGEKIAIIGPNGCGKSTLLKLIMGLESPTKGEILLGDHNVLPNYFEQNQAEALDLDKTVIETVAEAAEDWRLDDIKGLLGRCNFKADMLDRKVSFLSGGEKARLAFCKFMVTPSTLLVLDEPTNHLDIPSKEMLEEAITEYKGTVITVSHDRYFIKQIVNRVLEVKDGTLQDYAGDYEYYLEKNVEAREKELEREAELEEKSPKAKSKAKMSKAEREAQKKQKQQAFQAAKQKSKKLKNSKRWN >itb10g00280.t2 pep chromosome:ASM357664v1:10:185611:189855:1 gene:itb10g00280 transcript:itb10g00280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSTFLTGSAHKAAPPCPRFRPISSTSALKLPAATFFNCPARKSFRVSSKLRAVAVETVETEAKEDIESLFSSNAGDEADGRRWNKQSAAGASGISSGIKLENISKGYKGVTLLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGLEEPDSGNVIKAKPNMKIAFLNQEFEVEASRTVKEEFLSAFKEEMEVASRLEKVQKAIEKSVDDLELMGRLLDEFDLLQRRAQAVDLDEVDVKINKMMPELGFAPEDADRLVASFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLSEQDVPMVIISHDRAFLDQLCTKIVETDRGVSRTYEGNYSNYIIERAAWVEAQFAAWEKQQKEIEHTKDLISRLSAGANSGRASTAEKKLEKLQEEEQVDKPFIRKQMKIRFPERGRSGREVVTIKNLDFAYEDKVLFKNAYLTIERGEKIAIIGPNGCGKSTLLKLIMGLESPTKGEILLGDHNVLPNYFEQNQAEALDLDKTVIETVAEAAEDWRLDDIKGLLGRCNFKADMLDRKVSFLSGGEKARLAFCKFMVTPSTLLVLDEPTNHLDIPSKEMLEEAITEYKGTVITVSHDRYFIKQIVNRVLEVKDGTLQDYAGDYEYYLEKNVEAREKELEREAELEEKSPKAKSKAKMSKVRTSYSPHNTHTLQFNIIIIHKS >itb13g11630.t1 pep chromosome:ASM357664v1:13:16278584:16279294:1 gene:itb13g11630 transcript:itb13g11630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S2, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00160) UniProtKB/Swiss-Prot;Acc:P56797] MTRRYWNINLEEMMEAGVHFGHGTRKWNPRMSPYISAKCKGIHIINLTRTARFLSEACDLVFDASSRGKQFLIVGTKNKAADSVARAAIRARCHYVNKKWLGGMLTNWSTTETRLHKFRDLRMEQTAGRLARLPKRDAAVVKRQLSHLQTYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCNPDLADISIPANDDAIASIRLILTKLVFAICEGRSSYIRNP >itb02g02520.t1 pep chromosome:ASM357664v1:2:1473667:1476377:-1 gene:itb02g02520 transcript:itb02g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDFDLISERRKAERRKKLQRRIAIAVVIILILLIAVGAAVVYFVVMKKDDGHKKSGTKSKTESSAAKSAEKSVRTLCHGTDFEETCERTLSKTVEEDASAAKKPKELLRVSMVATESEIDKAVKKSGDVKGDTLQKKAAIKDCADLLQDAKDDLDAAIASMKGDDIGKVSSHADDLHNWLSAVITYQDTCVDGFPDGEEKTAMEKTLKTVKELGSNSLAIVSQLASVISTFESPKRRLLAGGSPEWMNDEQRRMLKADIPEHPNVTVAKDGSGKFRTINAALKALPATYKGRYVIFIKEGIYDETVLMTKKMVNITMCGEGSQKTIITGKKNFVDGVTTYQSATFAVLGEGFMAQSIGFQNTAGAEKRQAVALRVESDKSLFLNCRMEGYQNTLYVHTHRQFYRGCYITGTIDFIFGNAAAIFQNCEMVVRKPLAKQQNTVTAQGRTDKRQTTGIVLQNCQILADDHLVPVKAKFKSYLGRPWKEFSRTIVMETEIGDLIDPEGWTPWSGDFALKTLYYAEFQNTGPGSTLLKRVKWPGYQGAIKIDEATRYTVVPFIQGDTWVKALDCPVRLGLTS >itb12g14480.t1 pep chromosome:ASM357664v1:12:14160627:14162642:1 gene:itb12g14480 transcript:itb12g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGWQILINKDSLWVKILKEKYSHGKAGVEMLCDKANCSNAWRGIVAARNITLKGTRSYVRDGKATHFWTDAWIHEMPLAPLSPMQDSNSCNDSLVRDYLNTSTGWEWDLLPNLPPEIKNRLEGFTLYDGEGSDEYYWSREANGKFSITSAYALVQDLPANMQEKVLSKVWKLRTPSKIKTFIWTAIHDRIMGNAERRRRGFTMEGNCEVCHGMMESTDYILRECKEAQQIWEAFTTADRRRSWRGLNLKDWITENLSEGRNEIEEDWLTTFAIIVWWLWKWRCDRVFNRQDTALQHKINRIRETSEEIERAFAHLNDSRAMSDRITVRRLRWKAATEHGVTLNVDGSTKASTTTAGWGGVIRNKNGEWIEGRLRTNERLLAKLIKTSSIVPNTRLSPFAGCQDTIAIAGRDTRIAVNRRIVVAVVKPRLHHRTWLHQPKIAVAGEP >itb13g00820.t1 pep chromosome:ASM357664v1:13:746438:750420:-1 gene:itb13g00820 transcript:itb13g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPVVVGWWPRPTETQKASAVVVGCWLRRQRLKLRKQHVAGKPASPPSTEVAGDRSRLDPSPSAILPWFRSVF >itb10g16990.t1 pep chromosome:ASM357664v1:10:23259861:23261882:-1 gene:itb10g16990 transcript:itb10g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYWKAKVLPKIKKVFEKNGTKKAAAAEACKAFDDSKEQYGKEFEDKKAELQPKVVQVYEACSAEIKGLVKEPKDSGLKKHSADVQKLLDELVKIDFPGSKAVSEACTKLGPAYVSGPVLFIFEKVSVLIPVEEKKEEEAAPAPAEAEAKEETSKEVEVKAEEIAAAAEEEPKAAEAPPAAECAPATETTAAAAEPPKAEEKAEPAAEPPKA >itb12g02350.t1 pep chromosome:ASM357664v1:12:1533531:1537127:1 gene:itb12g02350 transcript:itb12g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQTRILRALVVYINSMYKEMFRAVRVYRISGGSEEETEEKEYVLSSNRKDKRFGENGGSRVLTLYGFDVSEQRAGVNNGVWKCVYVFDSLRSQSPVVDFCDEWIPISQNCRLVFHDLVNDLHTISKLARSAETTDSENSLITEARSSKNFSKHPMEMTTSVRFLDLNSIPDSDADENNGISEPKKRRAAPEDIARIALEDLAKYFDLPITEASKSLKVGLTVLKKKCREFGIPRWPHRKIKSLDRLISNLQEEMQQQQEEDEATAMAVSKKKRMIEFEKKRIEKKPSMDIREETKKFRQDVFKRKHKARILERESQNRNNATLSLS >itb03g00100.t1 pep chromosome:ASM357664v1:3:59350:59799:-1 gene:itb03g00100 transcript:itb03g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVASEEPLLSPNPSRFCMFPIQYPEIWEMYKKAEASFWTAEEVDLSQDLNHWDTLTPDEKYFIKHVLAFFAASDGIVLENLACRFMKEVQVSEARAFYIEFVADRLLGALGYHKIYNAQNPSDWMELISLQGKCNTHCKQNNYTYSY >itb06g09700.t1 pep chromosome:ASM357664v1:6:13944465:13948413:1 gene:itb06g09700 transcript:itb06g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIYANAIQALSGACSKQHRQVRQGAMPVSLDPAIVKLEVGLVDIFMSLCRFEWQAGYKELATALFQAEIEYSLFSPSLLLSKNSKQRLFEHFWSSNGARVGEDGALGWSTWLEKEEEQRQRAVKEESSSNVDEGGWTGWSEPLSKIKEINEVSEKVIDSDGVLEELEDGAEMQEAYEKDDTEALLKMLGIDAAAAEVNGEVKDTRTWARWSEEEVERDSDQWMPVRANCDDDQLLRVIAYEDVSDYLFSIYSKEARLSLVSQFIDFYGGRMAQWTCTNSSTWVEKTLSLEALTDHLLDELKVNDFLTKSEGNPAGISLEHLLSSANGISMRTNMMKFIRNATLLCMTVFPQNFILEEAALVAEELSNTMMNSSSCSVTPCRALAKSLLKSNRQDILLCGVYAHREAAFGNIDHARKIFDMALSSIEGLPVVCS >itb06g03300.t1 pep chromosome:ASM357664v1:6:5586316:5588304:1 gene:itb06g03300 transcript:itb06g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVYAPRPIFASAPLPRHNATTHHCHHLPGLRLHPQTASVAGREPPPSSPATTVQSPPCLCLQEDGVKNIDEVMRNQFIFGGVDITGPHLHTIYPHGYTDTLPFATMGSGSLVAMPVFESRYREELIKKAEGIQLVTEYSMIWVVEAMLVSQNR >itb09g04820.t1 pep chromosome:ASM357664v1:9:2723491:2727850:-1 gene:itb09g04820 transcript:itb09g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTPTTVNLEELSMSAAGAPKTVLITGVSRGLGKALALELAKRGHTIIGCSRSQDKLNSLHAELASASSSTSSSQIKHLIMKVDVRSNSSVEELAHAVMEKKSIPDIVVNNAGTINRNNRLWEVPAEDFDNVMDTNVKGVANVLRHFIPLMIDKKQGIIVNLSSGWGRSAAAQVAPYCASKWAIEGLTKSVAKEIPTGIAVVALSPGVINTEMLQSCFGSSASLYQAPESWAPKAATMILNLTVADNGLSLTV >itb09g04820.t2 pep chromosome:ASM357664v1:9:2723491:2727863:-1 gene:itb09g04820 transcript:itb09g04820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSTPTTVNLEELSMSAAGAPKTVLITGVSRGLGKALALELAKRGHTIIGCSRSQDKLNSLHAELASASSSTSSSQIKHLIMKVDVRSNSSVEELAHAVMEKKSIPDIVVNNAGTINRNNRLWEVPAEDFDNVMDTNVKGVANVLRHFIPLMIDKKQGIIVNLSSGWGRSAAAQVAPYCASKWAIEGLTKSVAKEIPTGIAVVALSPGVINTEMLQSCFGSSASLYQAPESWAPKAATMILNLTVADNGLSLTV >itb09g05710.t1 pep chromosome:ASM357664v1:9:3266810:3267617:-1 gene:itb09g05710 transcript:itb09g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MGEQIEVVGRSFVDHYYHLFDNDRPSLGSLYQPTSMLTFEGQKLQGGEDICAKLNALPFGQCRHVVSTVDSQPSSLAGGIIIFVSGSLLLPGEEHPLRFSQMFHLIPTAEGSFFVQNDVFRLNYG >itb01g10680.t1 pep chromosome:ASM357664v1:1:9310009:9315035:-1 gene:itb01g10680 transcript:itb01g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKEFQDTTAARRRHLQGIQHQRAKALWYNSLLNPLVHNDPESFGKGVCNRFVRTGSCQYGESCRYYHPKQNTQNMNLERIRGNAFNDDILKHNLHGQAFGATSFPGDIFRDKTGASLGTLPPSLMPPPEGGYPPLPFLEWG >itb01g10680.t2 pep chromosome:ASM357664v1:1:9310013:9315029:-1 gene:itb01g10680 transcript:itb01g10680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKEFQDTTAARRRHLQGIQHQRAKALWYNSLLTDPLVHNDPESFGKGVCNRFVRTGSCQYGESCRYYHPKQNTQNMNLERIRGNAFNDDILKHNLHGQAFGATSFPGDIFRDKTGASLGTLPPSLMPPPEGGYPPLPFLEWG >itb01g22750.t1 pep chromosome:ASM357664v1:1:28842454:28844775:1 gene:itb01g22750 transcript:itb01g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDSPGSDSPGTRMGLVGAGAGAGSPRGSVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCRERGCWHTHCTSAVDLALDTLSAARSFGVEQLALLTQKQLASMVEKASIEDVMRVLIASGKQEMQQLWSTCSHLVAKSGLPPEVLAKHLPIDVVAKIEEIRHKSSLARSSLLAHHHHRHDLGAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGAAGRTPLHIAAEMVSPDMVSVLLDHHADPNVRTADGITPLDILRTLTSDFLFKGAIPGLAHIEPNKLRLCLELVQSAAMVISREEGNAAANNNSSSGVIYPAALAMNEEHHHRNIPSSGSGNMVNLNLDSRLVYLNLGAVENSGGGGGHHSQRETMTTRQNSCDPPSMYHHSHEY >itb08g08260.t1 pep chromosome:ASM357664v1:8:7204389:7207714:-1 gene:itb08g08260 transcript:itb08g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMNTTRTIVGIIGNLASVFLRISPLPTIVQIWKKKSVEQYSAAPYLATFLNCGLWVFYGTPIVHPNSLLLLTVNGLGVVITIVYLFVFLYCSDRNNRFKVALIVLAEVVFMAAHAILVLTLAHNWKLRSAIVGSTAGVCNILVYASPLAIMKLVITTKSVEYMPFSISLCSFVTGVCWTVYAFLPIDPYILAPNGIGALAGLAQLVLYAKYYKSNKSLDENSQVDIEVGKSEFGLTTHAKKPSSEA >itb08g08260.t2 pep chromosome:ASM357664v1:8:7204389:7207714:-1 gene:itb08g08260 transcript:itb08g08260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMNTTRTIVGIIGNLASVFLRISPLPTIVQIWKKKSVEQYSAAPYLATFLNCGLWVFYGTPIVHPNSLLLLTVNGLGVVITIVYLFVFLYCSDRNNRFKVALIVLAEVVFMAAHAILVLTLAHNWKLRSAIVGSTAGVCNILVYASPLAIMAPNGIGALAGLAQLVLYAKYYKSNKSLDENSQVDIEVGKSEFGLTTHAKKPSSEA >itb08g08260.t3 pep chromosome:ASM357664v1:8:7206449:7207714:-1 gene:itb08g08260 transcript:itb08g08260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMNTTRTIVGIIGNLASVFLRISPLPTIVQIWKKKSVEQYSAAPYLATFLNCGLWVFYGTPIVHPNSLLLLTVNGLGVVITIVYLFVFLYCSDRNNRFKVALIVLAEVVFMAAHAILVLTLAHNWKLRSAIVGSTAGVCNILVYASPLAIMVHTLTDFTFHFHSIAWFLTSTNCWGWKNLKFAGS >itb04g17830.t1 pep chromosome:ASM357664v1:4:21105674:21109407:-1 gene:itb04g17830 transcript:itb04g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKQIWNHRTTASIRRNHRHVKSQASADRHYYTPPLLRRPHTPPQASAAPTARLCRSHKLVNPFFPGSGYYGTPILVKVIDCTRNRSCYFGNTKNNVAATHPEVNRGSAGDLCERLSWGFIDLLPALALAKVIAQVLKAYGRVMRSAHSSWADLPNQSAPPE >itb06g21750.t1 pep chromosome:ASM357664v1:6:24323579:24326695:1 gene:itb06g21750 transcript:itb06g21750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLILFTFLFAVVSAAAAAEDMSIITYDEQHPAKGLVRSEDEVKEMFESWLVKHGKSYNAVDEKDKRFKIFKDNLKYIDEKNSLENRSYKLGLNRFADITNEEYRTGYLGSKTRRNMVTSKSDRYAPAAGDSLPDSIDWREKGAVTGVKDQGSCGSCWAFSTIAAVEGVNQLATGNLISLSEQELVDCDRKINQGCNGGDMDYAFQFIIKNGGIDSEEDYPYTGKDGKCDSYRQNNAKVASIDGYEDVPINNEKALQKAVASQPVSVAIEAGGYDFQLYTSGIFTGSCGTDLDHGVAAVGYGSENGVDYWIIKNSWGDYWGEKGYVRMQRNVKAKTGLCGIAMEASYPTKTGDNPPPSPPSPPSPTPTPPSPSPSVCDQFNACPASTTCCCVFPFGNYCFAWGCCPLDSAVCCEDHYSCCPHDYPVCHVRSGTCTKKKNNPLGVKAMTRIPAQPMWAFKNAGKKGTSS >itb01g12470.t1 pep chromosome:ASM357664v1:1:11994376:11999828:1 gene:itb01g12470 transcript:itb01g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGETIKRYLHNVRGDNDEGKTLYLMDYKRLKGILMNCWANNVAKTTNEPCHFCDERLMVELRRESWEVERCFRYRVKEVVRVHAAGGVERCIASMRRYFFNDRREIAKECRRLMQYAADNAALMHKIIHKYNQVHNCKGGSRNCESTFGAKSLNILQSPWLKELGALYINLNEDSNGGKFKDLFTPFSCDLNPADLLITLNLSKSLKLEYSITCPICLDIVFHPHALGCGHFFCKECACAAASVTIAQGFKAATQYSKCPTCRQEGVYADAEPMRELGLLVKKRNKKYWRERRAAEYEARLKQSAEANTDALFVLAL >itb06g21570.t1 pep chromosome:ASM357664v1:6:24179637:24180581:-1 gene:itb06g21570 transcript:itb06g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEFLKSASEDQLEMMLMMQMEKLPPEFSSGAFSDDISGMQTMNFSPQLMEQNGSSSPAFMGIPSTISFTASPQVAGEFSGFPASPAQKRSTSMAAMREMIFRIAAMQPVHIDPESVKPPKRRNVKISTDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLERAGANRPSGMGFPVPMSSSSSMNGGNYFPMTTKGFHHHPPQNNVQHYADPQLSN >itb10g00980.t1 pep chromosome:ASM357664v1:10:710124:715971:1 gene:itb10g00980 transcript:itb10g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWQSTFSKDRPISEKDHRQGQGHTRPSSNGKDSGGGGGGGGASEGGASVFAEFSLSELKAATNNFSSEFIVSESGEKAPNVVYKGRLQNRRWIAVKKFTKTAWPDPKQFADEAKGVGKFRHKRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTLEWAMRLRVALYIAEALDYCSCEGRPLYHDLNAYRVLFDEGGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRTGRVTPESVVFSFGTVLLDLLSGKHIPPSHAIDMIRGKNILLLMDSHLEGNFKSDEATVVFELASRCLQYEPRERPNTKDLVATLAPLQSKPEVPSHVMLGIPKHEEAPPTPQHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDLAFRDKEFKTAIDCYSQFIDVGTMVSPTVYARRSLCYIMCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALSKLDMHKDAADMLNEASTLEEKRQKGGRG >itb01g32510.t2 pep chromosome:ASM357664v1:1:35997143:36002084:1 gene:itb01g32510 transcript:itb01g32510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGEIVGYKGVVEEEERREEMEEIVAVPKKYAVVGGFPQTQPMKVVVVGYALTSKKTKSFLQPKLQGLARNKGILFVAIDESRPLADQGPFDIVLHKLTGKKWQSILEDYRMKHPDVTVLDPPDAIKHVYNRQSMLQDVAALKLSDAYGKVCVPRQLVIEDDPSSIPAAVSKAQLRLPLVAKPLVAKSHELSLAYDALSLQKLEPPLVLQEFINHGGVMFKVYIVGEAITVVRRFSLPDVCRRELSKNAGVFRFPRVSCAAASADNADLDPRVAELPPRPLLERLARELRRRLVF >itb01g32510.t1 pep chromosome:ASM357664v1:1:35997080:36002084:1 gene:itb01g32510 transcript:itb01g32510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGEIVGYKGVVEEEERREEMEEIVAVPKKYAVVGGFPQTQPMKVVVVGYALTSKKTKSFLQPKLQGLARNKGILFVAIDESRPLADQGPFDIVLHKLTGKKWQSILEDYRMKHPDVTVLDPPDAIKHVYNRQSMLQDVAALKLSDAYGKVCVPRQLVIEDDPSSIPAAVSKAQLRLPLVAKPLVAKSHELSLAYDALSLQKLEPPLVLQEFINHGGVMFKVYIVGEAITVVRRFSLPDVCRRELSKNAGVFRFPRVSCAAASADNADLDPRVAELPPRPLLERLARELRRRLGLRLFNLDIIREHGTKDRYYVIDINYFPGYGKMPEYEHVFTDFLLSLVKHK >itb02g17340.t1 pep chromosome:ASM357664v1:2:13280387:13281846:1 gene:itb02g17340 transcript:itb02g17340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQNARARPTTILDSLGEEIIRIITPVSICMFLVVILVSVLNTDADSSASSFTTIASAAYSESGSDSAWDKLKGALLNALIFVAVVTVVTFILVLLFYFRCTGFLKYYMGFSSFLVLGFMGGEIALFLIQDFSFPVDCVSFGLVLYNFTVVGVLAVFMSKMAIFVTQGYLVVIGVLVAYWFTMLPEWTTWVLLVAMALYDLAAVLLPGGPLRLLVELAISRDEDIPALVYEARPVINHDSVPRGTVIQRRVWRERSGNGSDSIENLDARPELNPNSSSSLDSSARNDQNETNLVSAEEGRSTREEDSELSAPLIQHSSITARLNSPEGPRDNFALEGVGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIVAGLGVTLMLLALYQKALPALPVSIMLGVLFYFLTRFLLEAFVVQCSMNLLMF >itb02g17340.t2 pep chromosome:ASM357664v1:2:13280476:13281080:1 gene:itb02g17340 transcript:itb02g17340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQNARARPTTILDSLGEEIIRIITPVSICMFLVVILVSVLNTDADSSASSFTTIASAAYSESGSDSAWDKLKGALLNALIFVAVVTVVTFILVLLFYFRCTGFLKYYMGFSSFLVLGFMGDGDFCNTRVLGCHWSVGCLLVYNVARMDDLGASRCNGIV >itb05g18200.t2 pep chromosome:ASM357664v1:5:25009894:25012904:1 gene:itb05g18200 transcript:itb05g18200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRYEKRARFYISYSSSGSEEGWETSESEHECHCGAVDTSSSISCSEDYGSDFSESDDERGESSEYEDVKEEGSDDHVNRAICLLGGGGDLQELKLAECKAYLRKNGLRLSGTKDECIERILEHWRIIHGKGETFYPRSSFKIDCTGDVCKGDVVLFTQEVYKNFDKVTRSADVLGKRTVAGRIVKESYGAAKQQHTFTVEVLWSQGVKKLPPLFPLLVKGRNLYKLKTLRQRWPNEKERLGVLAEKHRRGTTARLVRETSKKRSMKRKQSITDTGGVKKQKCDQIRSSQVRQSLKGRKPEKEKQTKKRGSKKSSTDHHGKLPPTGKQKMNQGKKTKATSSHQRPPNYANSYQFRESSFHMFAYQSDIYHEGTFVPPQNHQFQSGTYNQRIPHPYSHDRASASTMNKPRGSSSSSAMAKGKQRK >itb05g18200.t1 pep chromosome:ASM357664v1:5:25009707:25013097:1 gene:itb05g18200 transcript:itb05g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGIWQAETHKAIIYLSHKTLIFNRILLSTNLMMGKRGRYEKRARFYISYSSSGSEEGWETSESEHECHCGAVDTSSSISCDSSSEDYGSDFSESDDERGESSEYEDVKEEGSDDHVNRAICLLGGGGDLQELKLAECKAYLRKNGLRLSGTKDECIERILEHWRIIHGKGETFYPRSSFKIDCTGDVCKGDVVLFTQEVYKNFDKVTRSADVLGKRTVAGRIVKESYGAAKQQHTFTVEVLWSQGVKKLPPLFPLLVKGRNLYKLKTLRQRWPNEKERLGVLAEKHRRGTTARLVRETSKKRSMKRKQSITDTGGVKKQKCDQIRSSQVRQSLKGRKPEKEKQTKKRGSKKSSTDHHGKLPPTGKQKMNQGKKTKATSSHQRPPNYANSYQFRESSFHMFAYQSDIYHEGTFVPPQNHQFQSGTYNQRIPHPYSHDRASASTMNKPRGSSSSSAMAKGKQRK >itb14g14620.t1 pep chromosome:ASM357664v1:14:17359445:17362295:1 gene:itb14g14620 transcript:itb14g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAILATAKKIPTVAILATAGNLAVAIGNGKKIAVANGNGSPLRRNRLACLFQSPRLSQSATMVAAKKTKKTHESINNRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGDQ >itb09g14340.t1 pep chromosome:ASM357664v1:9:9635693:9637837:1 gene:itb09g14340 transcript:itb09g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEENVTLGPAIREGELVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >itb06g20230.t1 pep chromosome:ASM357664v1:6:23297576:23301715:1 gene:itb06g20230 transcript:itb06g20230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMISSSSDAKKRSLWPSALRWIPTSTDHTIAAERRLLSLVKTPYTQEHVNIGCGLPRSKIRWFQSTSGKPRFINTVTFDSKEGCPTLVMLHGYGASLGFFFRNFDALAKHFRVIAIDLLGWGGSSRPDFTCRSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPQHVLHLILVGPAGFTSENESIPKRFMFRAIWKRALLNHLWESNFTPQKLIRSFGPWGPDIVRRYTLATFGGNRIAESLIEEESQVFTDYIYHAQAAKASGELCLKYLFSFGALARSPLLHRASEWKVPTTFIYGFRDWMNYEGAVEVRKNMKVPCEIIRIPQGRHFVFAQNPIGFYSAVCYACRMFIPPDQSNHSLPEGLLCI >itb03g17900.t1 pep chromosome:ASM357664v1:3:16309716:16312745:-1 gene:itb03g17900 transcript:itb03g17900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVKGESSLPNQSVRGKEDPRVTWTKQLNAPLEQIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAERLCQKRALDTFQLDPEKWGGNDLPIIFSDKHVVVKRDVALNA >itb02g25370.t1 pep chromosome:ASM357664v1:2:26139784:26141032:1 gene:itb02g25370 transcript:itb02g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKHAKFVSRIASRIYFLVIILQVPLFRFQCRIGTCKTPIEVTLYQLFGSEILPAAMVKPLLYPGAMAKALVNKKPIPSYDNLLHIHNFINSKQTLPTLGLNHLEILAGSYLCVAGAVLGVIKKSGRLSLFGLLLIIWGVKKQAIFGKDASRSVLIFPTMFVALVSAFFSIRKDVRKLFRTLGIFSKRKHKYS >itb15g09330.t1 pep chromosome:ASM357664v1:15:6600880:6604284:-1 gene:itb15g09330 transcript:itb15g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLINMAKKWQKFVIIRRKRISFPKQNEEGVDSCSTSSAGHFVIYTADQKRFVIPLSFLGNKIIRQLLEMSEEEFGLPTDGPITLPCDAVLMKYIISLLSREETPRNMVAMVAAATALAFLAGDREYF >itb13g26930.t1 pep chromosome:ASM357664v1:13:32015274:32016755:1 gene:itb13g26930 transcript:itb13g26930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKETSSEETCSRSLGSTDTFSTAYLNENGGESRQGAGKKDLWSDLFKINKDTVGASAALKYFPPINGCAQLELNEILTIKEQWGFALLGCFVGRFPGIHAIQTLVKEWKVECKFFTRPNGHVLFRFQSEEDRSAILKDGPYFLFGKRLFLKSLPEKFRLENEDFSILPIWVRFPFLPIECWSPTALGKIASCIGIPICADEKTREQRMGRDEFARILIDVDTSKRVPDSVVVNMPNGNSFRQKVTFELNPCYCTKCKSNDHLMDECTGKKPWTKKRNKKGKAAKWVATKASSPNNSVYKGQDSLGAALEYHTNIQEPCPQTNDAPNPCTDLPCQSMHPEPCPEAMEAVEPCSNLPSNIDSIPEIEDQRPDNASSDEAEKQTEEDTQSVTGEGKERDGTSSLDGTESLDAEQGDQPDDRSKQPNAPDTSGMSTRSKAKAGPKTSFKNALLSPPKDKGTAGGSRAGNRFVSLPVGGKPLARGGGRRQPISGSK >itb14g08890.t1 pep chromosome:ASM357664v1:14:8827946:8832088:-1 gene:itb14g08890 transcript:itb14g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKKQNHHRRGARSTHYLQEEAEYPPAQQGHELPEEEEEEEEEDEDTEIDNNSSASTNDMPSKFMLYQQSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTALLSAEWLRGDARRTAVGLDLDLEALEWCMENNVKKIGADISSRAFLFHGNVLQPLEARLVNAGTQDLVQNMNLKDGEHGSPDPKLMDAQLPARDIVCAFNYSCCCLHTRPELVTYFRHALSALNKKGGIFVMDLYGGTSSECELRLQRKFPNFTYVWEQTEFDIINRKTRISLHFNLQKPKRKLRHAFSYSWRLWSLPEIKDCLEEAGFRRVHFWMRQMPDSEDIKSTYGFSAGQDIKYEEVTSFQQQDSWNAYIAKITR >itb12g21600.t1 pep chromosome:ASM357664v1:12:23896800:23899995:-1 gene:itb12g21600 transcript:itb12g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLETSRKSTAEIAPADVMKKNQPTVKLYGAPFGIETYYIRFALLYKPVSLKFLPSDRHDAPVIEYKSDSVSGSPEVMVQFLDAKFPEPQLLKGSVGGWYGETTPLLVWVVTLQHRSILWHLDRMVRWAEDLSARGGKTRGDPAMGSPRMEIRKFGKSYSQLLELLQEHAQMEERVVFPILEKADRGLCKAANEEHARDLPVMNGIKEDIKSIGVLDAGNPVYQEALSNLSTRLKTLQEHSKQHFEEEERELLPLLEAAELSKLQQVSAMEQCLDTMHGTHSHLFRFFMEGLLPQDAMQYMDMITKCGDKDHVSKMFRLVVEKENSLGVAMGDPH >itb15g21420.t1 pep chromosome:ASM357664v1:15:24137242:24144927:-1 gene:itb15g21420 transcript:itb15g21420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDSNSQMKIVKGDFGYVLEDVPHLTDYIPDLPTYPNPLRSNPAYSVVKQYFVNMEDTVPQKVVVHIGSPRGVHFRRAGPCQKVYYRSDDVHACIVTCGGLCPGLNTVIREIVHSLDYMYGVKKILGIEGGYRGFYAKNTINLTPKLVDDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQKGAAAIYEEVRRRGLKVSVAGIPKTIDNDISVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLLEFVEGRLKESGHIVIVIAEGAGQELLAAETSSAAERDASGNRLLKDVGLWLSQKIKDYSKRRNFPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSTVHGAMAGFTGFICGLVNGRHTYIPFNRIIERHNKVVITDRMWARLLCSTNQPSFMCPIELEKVKNEEKLRAQLLDGGNVNTPVPKTPESK >itb02g16560.t3 pep chromosome:ASM357664v1:2:12488693:12490648:-1 gene:itb02g16560 transcript:itb02g16560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MASSLKNRFSLSGSLLSSRAKGSSGVSLPFVAVQVADSRSKEFLGKPLNISDQIGFSSCNVRPLCVNAQASICVSRSLRWWEKTLQPNMIEIHSAQELVDTLANAGDRLVIVDFYSPGCGGCKALHPKICQLAETNQNAIFLKVNYEEHKPMCHSLHIHVLPFFRFYRGAEGRVCSFSCTIATVSICTMLS >itb02g16560.t2 pep chromosome:ASM357664v1:2:12488758:12490635:-1 gene:itb02g16560 transcript:itb02g16560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MASSLKNRFSLSGSLLSSRAKGSSGVSLPFVAVQVADSRSKEFLGKPLNISDQIGFSSCNAQASICVSRSLRWWEKTLQPNMIEIHSAQELVDTLANAGDRLVIVDFYSPGCGGCKALHPKICQLAETNQNAIFLKVNYEEHKPMCHSLHIHVLPFFRFYRGAEGRVCSFSCTIATIKKLKDALVKYGTERCCSSLGAAKGLEESELLALSSTVKVSRKSPVEESTVMDRIEDLVSSLGKAENMAGLSGELLAT >itb02g16560.t1 pep chromosome:ASM357664v1:2:12488693:12490648:-1 gene:itb02g16560 transcript:itb02g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MASSLKNRFSLSGSLLSSRAKGSSGVSLPFVAVQVADSRSKEFLGKPLNISDQIGFSSCNVRPLCVNAQASICVSRSLRWWEKTLQPNMIEIHSAQELVDTLANAGDRLVIVDFYSPGCGGCKALHPKICQLAETNQNAIFLKVNYEEHKPMCHSLHIHVLPFFRFYRGAEGRVCSFSCTIATIKKLKDALVKYGTERCCSSLGAAKGLEESELLALSSTVKVSRKSPVEESTVMDRIEDLVSSLGKAENMAGLSGELLAT >itb08g16100.t1 pep chromosome:ASM357664v1:8:18264259:18267904:1 gene:itb08g16100 transcript:itb08g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLPGQPSGVNFNQYSGYIVTDKSRGRALFYYFAEAQTEDRFSKPLTLWLGGAHDCSIAVVGMFYEHGPFRPTKDGKLAKNQFSWNLESNMLYVDSPIVAFSYSNTTSDYKIWSDTMTARENMKFLRKWFIQYSEYRNQDFYIAGDSYGGHLAPQLAALILKYNRKPEHIRPIKLKGIVLGNPLLEKMSLSNVEHLWYHGIISTELYHLQKKECNATRMVNELLNGELSEYCSDIDVMISEEIGDDTDQENLLSQSCEFSSISSSQQRYLATERAQDDPCLRDWIDQYMNRPDVQKALHANTTDLQNPWKSTLHGYCIL >itb12g08830.t2 pep chromosome:ASM357664v1:12:6906804:6920478:-1 gene:itb12g08830 transcript:itb12g08830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVDIENEEDGAKQPPPLAASEGEESTHVKKNHISKFSLARDLLLAYQSFGVVYGDLSISPLYVYKSIFEGKLQSHQHPDAILGAFSLIFWTLTLMPLLKYVFVVLSADDNGEGGPFALYSLLCRHAKFSLLPNQQAADEELSAYKYGLSRQSASSLPFKRFLEKHKKLRTVLLLVVLLGACMVIGDGVLTPPMAVLSSISGIQAAAEKMSNGEVLLITCIILVGLFALQHSGTHRVAFLFAPIIIIWLVSMFSLGLYNTIFWNPKVVSALSPHYIVKFFRVTGRDGWISLGGVLLSVAGTEAMFANLGHFTAFSMRLAFVFGVYPCLVVQYMGQAAFLSKNIASIPNSFYGTVPVAIGFRNTTLIGNAYGLACMTVMFITTFLMSLIMVFVWQRSNALASIFLLFFWVIEGVYLSASLMKFPQGGWVSVALSLVFLFVMFVWHYGSRKRYNFDLHNKVPLKWLLGLGPSLGIARVPGIGLIYSELATGVPSVFSHFVTNLPAFHSVLVFACVKSVPVPHVPHEERFLIGRICPRPYRMYRCIVRYGYKDIQRDNGNFEHLLIQSIAEFIQMEAEEPQLLSSDSFYGRMAVVSTRTIQSSSSLVIPTEEDLGKNHSFQNSKSPSLQNLRSFYADENKKIRRRGVRFRVAESPAMDPAVREELEDLIEAKEAGVAYIMGHSYVKARRSSSFLKKIAIDIAYLFLRRNCRAPSVALNIPHISLIEVASGSANTEYGQGGKPRSIHEIWNGIEINFKLPFSWFACSVLKKQLAKAYREILHFKPPALPTLNMAKAASQGAYMKYGME >itb12g08830.t3 pep chromosome:ASM357664v1:12:6906804:6920478:-1 gene:itb12g08830 transcript:itb12g08830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMVRNSHHHLQQVRFSLARDLLLAYQSFGVVYGDLSISPLYVYKSIFEGKLQSHQHPDAILGAFSLIFWTLTLMPLLKYVFVVLSADDNGEGGPFALYSLLCRHAKFSLLPNQQAADEELSAYKYGLSRQSASSLPFKRFLEKHKKLRTVLLLVVLLGACMVIGDGVLTPPMAVLSSISGIQAAAEKMSNGEVLLITCIILVGLFALQHSGTHRVAFLFAPIIIIWLVSMFSLGLYNTIFWNPKVVSALSPHYIVKFFRVTGRDGWISLGGVLLSVAGTEAMFANLGHFTAFSMRLAFVFGVYPCLVVQYMGQAAFLSKNIASIPNSFYGTVPVAIGFRNTTLIGNAYGLACMTVMFITTFLMSLIMVFVWQRSNALASIFLLFFWVIEGVYLSASLMKFPQGGWVSVALSLVFLFVMFVWHYGSRKRYNFDLHNKVPLKWLLGLGPSLGIARVPGIGLIYSELATGVPSVFSHFVTNLPAFHSVLVFACVKSVPVPHVPHEERFLIGRICPRPYRMYRCIVRYGYKDIQRDNGNFEHLLIQSIAEFIQMEAEEPQLLSSDSFYGRMAVVSTRTIQSSSSLVIPTEEDLGKNHSFQNSKSPSLQNLRSFYADENKKIRRRGVRFRVAESPAMDPAVREELEDLIEAKEAGVAYIMGHSYVKARRSSSFLKKIAIDIAYLFLRRNCRAPSVALNIPHISLIEVASGSANTEYGQGGKPRSIHEIWNGIEINFKLPFSWFACSVLKKQLAKAYREILHFKPPALPTLNMAKAASQGAYMKYGME >itb12g08830.t1 pep chromosome:ASM357664v1:12:6906804:6920478:-1 gene:itb12g08830 transcript:itb12g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVDIENEEDGAKQPPPLAASEGEESTHVKKNHISKFSLARDLLLAYQSFGVVYGDLSISPLYVYKSIFEGKLQSHQHPDAILGAFSLIFWTLTLMPLLKYVFVVLSADDNGEGGPFALYSLLCRHAKFSLLPNQQAADEELSAYKYGLSRQSASSLPFKRFLEKHKKLRTVLLLVVLLGACMVIGDGVLTPPMAVLSSISGIQAAAEKMSNGEVLLITCIILVGLFALQHSGTHRVAFLFAPIIIIWLVSMFSLGLYNTIFWNPKVVSALSPHYIVKFFRVTGRDGWISLGGVLLSVAGTEAMFANLGHFTAFSMRLAFVFGVYPCLVVQYMGQAAFLSKNIASIPNSFYGTVPVAIGFRNTTLIGNAYGLACMTVMFITTFLMSLIMVFVWQRSNALASIFLLFFWVIEGVYLSASLMKFPQGGWVSVALSLVFLFVMFVWHYGSRKRYNFDLHNKVPLKWLLGLGPSLGIARVPGIGLIYSELATGVPSVFSHFVTNLPAFHSVLVFACVKSVPVPHVPHEERFLIGRICPRPYRMYRCIVRYGYKDIQRDNGNFEHLLIQSIAEFIQMEAEEPQLLSSDSFYGRMAVVSTRTIQSSSSLVIPTEEDLGKNHSFQNSKSPSLQNLRSFYADENKKIRRRGVRFRVAESPAMDPAVREELEDLIEAKEAGVAYIMGHSYVKARRSSSFLKKIAIDIAYLFLRRNCRAPSVALNIPHISLIEVASGSANTEYGQGGKPRSIHEIWNGIEINFKLPFSWFACSVLKKQLAKAYREILHFKPPALPTLNMAKAASQGAYMKYGME >itb02g09110.t1 pep chromosome:ASM357664v1:2:5810668:5812305:1 gene:itb02g09110 transcript:itb02g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMNFSLSFFFLALIPMAVAAFLVHFKGYESRSKKKLPPGEMGLPWIGETIDFYKAQKKNKLFEEFVQPRIHKYGKTFKTRIMGSPTVLVNGAEANKFFLSNEFKLVVSSWPSSSVQLMGKNSIMEKQGEAHRFIRGIVASSLGCLGLETMVPKICKTVQMHLHNNWQGKQIISLFHLTKMLTFNIVFECLLGIDVKPGLLDTFERVLEGVFAPPIAFPGSKFSRAKGARLEIEKMLINVVRKKKEEIDVHGEKEEETLLSRLVTAMINGEISEEEVVDNIVLLVFAAHDTTTFAIAMTFRMLAQHPNAYSLLLQEHESILSNKRPDDELLSLEDTKNMKYTWQVARESMRLFPPIFGSFRKATADIEYEGFTIPRGWKVLWTTYGTHYDAEHFQDPLKFDPSRFEEPIQVQPYAYIPFGGGPRLCAGYQLAKLNILIFVHYVVTQYNWSLINPDEPITMDPLPFPSQGMNIKISPKLK >itb11g01820.t2 pep chromosome:ASM357664v1:11:905593:909237:1 gene:itb11g01820 transcript:itb11g01820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKPISFSAESSKPAEDGGILAGRNGVGAMHPLDSPVNAQAPSEQLKLVAEGENKRPRFEEGFYELEAIRKKRLRQGKVQYLIKWRGWPESANTWEPHENLSSCPDVVTAFEKRSRAHSGKRSRRGRKRKLSATLTRTEMGLHHSAAAAKVTPQTKTRRRQYASAPAAKDTMGIETRNMPCHSSAAAKDNVPATKGIPLVAVPFQCLDDSSIENHVANDVCRPKVSGSSRARNEHPLVTNGSSLEKSVADSEETQILGTCLPPGFSKSNIGKPVRYGRCASSAAAKDNVPATKGIPLVAVPIPCLDDSSIENNVSSDVCRPKVSGSSRGWNERPLVTNGSSLEKSVADSEETQILGTCFPPGFSKSNIGKPVRSGRCASSAAAKDNVPATKGIPLVAVPIPCLDDSSIENNVSNDVCRPKVSGSSRARDEHPLISNGSSLKKSVADSEETELGACFPPGFSKSNIGKPVRSGRCASSASAKDNVPATKGIPLVAVPIRCLDDSSIENNVSNDVCRPKVSGSSRARDEHPLITNGSSLKKSVADSEETQLGVCFPPGFSKSNIGKPVRSGRCARSAPRAIRKTVGSTRKFTGKSSVHTSDDAQDPIRTTCSNMTAFGKDGFENVTINEIMRALSCQASVSRGILTFEAKRSDGKVVRVTNDFLKANNPFLLLNFYDTYFRNTPEAAGMLGSGNRY >itb11g01820.t1 pep chromosome:ASM357664v1:11:904000:909237:1 gene:itb11g01820 transcript:itb11g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKPISFSAESSKPAEDGGILAGRNGVGAMHPLDSPVNAQAPSEQLKLVAEGENKRPRFEEGFYELEAIRKKRLRQGKVQYLIKWRGWPESANTWEPHENLSSCPDVVTAFEKRSRAHSGKRSRRGRKRKLSATLTRTEMGLHHSAAAAKVTPQTKTRRRQYASAPAAKDTMGIETRNMPCHSSAAAKDNVPATKGIPLVAVPFQCLDDSSIENHVANDVCRPKVSGSSRARNEHPLVTNGSSLEKSVADSEETQILGTCLPPGFSKSNIGKPVRYGRCASSAAAKDNVPATKGIPLVAVPIPCLDDSSIENNVSSDVCRPKVSGSSRGWNERPLVTNGSSLEKSVADSEETQILGTCFPPGFSKSNIGKPVRSGRCASSAAAKDNVPATKGIPLVAVPIPCLDDSSIENNVSNDVCRPKVSGSSRARDEHPLISNGSSLKKSVADSEETELGACFPPGFSKSNIGKPVRSGRCASSASAKDNVPATKGIPLVAVPIRCLDDSSIENNVSNDVCRPKVSGSSRARDEHPLITNGSSLKKSVADSEETQLGVCFPPGFSKSNIGKPVRSGRCARSAPRAIRKTVGSTRKFTGKSSVHTSDDAQDPIRTTCSNMTAFGKDGFENVTINEIMRALSCQASVSRGILTFEAKRSDGKVVRVTNDFLKANNPFLLLNFYDTYFRNTPEAAGMLGSGNRY >itb13g25640.t1 pep chromosome:ASM357664v1:13:30960465:30964305:-1 gene:itb13g25640 transcript:itb13g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSARSKHAILDKQPQKPSNNYFIFSNNLKKVHPINNGLHKTCSALSLSSLSLSLSQTSNDSSLTDSSLSPLDQNISLALALISSPEKRGSRPPATRNARRQRCISPGRNIPSPSSSEDEVKRCNWITKNSDNVYMKFHDECWGVPVYDDNQLFELLALCGMLMDFNWTQILKRREVLREAFGGFNVNNVAKMGEKEIREIASNKALMLAVSKVRCIVQNAKCIVKIVIEYGSFSSYMWKHVSFKPIINRFKYPRNVPLRSPKAEMISRDLVKRGFRFVGPVIVYSFMQAAGMTIDHLLGCFRYKDCVNLAS >itb11g03730.t1 pep chromosome:ASM357664v1:11:2018027:2021602:1 gene:itb11g03730 transcript:itb11g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSYTNLLDLATGNFPVMNGEGEGRRLGAMSARKDVFSFDDQEPHSARSDNPSSLVSDRLIIVANLLPLKATRSPDDRGWNFSWNEDSVLLRLKDGLPEDMVVQYVGCLCVDVEPIDQDDVAHYLLEKFKCIPTFLSPKILEKYYEGFCKKHLWPLFHYMLPFSPEHGSRFDRCLWEAYVSVNKTFSQKVVEVLNPDYDFVWIHDYHLMVLPTFLRRRSNRLRMGFFFHSTFPSSEIYRSLPVREEVLKALLCSDLIGFHNFDYARHFLSCCSRMLGVEYQSKRGYIGLEYYGRTVTIKIMPVGIHMGHIESVMRLSEKEMKFKELREQFEGKTVLLGVDDMDIFKGINLKLLAMEHMLKEHPKWQGKAVLVQIATPARGKGINLEEIENEIQESCKRIRKEFGKPGYEPIIFIDTPISSCEKMAYYSIAECVVTTPVRDGMNLKPYEYIVCRQGIPSAEADSNLDGPKKSMLVLSEFIGCSPSLSGAIRVNPWNVEATAEAMNEALSMAEPEKQLRHEKHYRYVSTHDVAYWSRSFLQDLEKTCVDHFRNRCYGIGLGFGFRVVALDPNFRKLSIDEIVSVYFKAKNRAILLDYDGTVIPQSSIIKSPSAQVLTILNKICSDKKNTVFIVSGRGRDNLSSWCSPCQKLGLAAEHGYFMRWSQDHEWEQTIQNSDLGWMQLAEPVMQSYTDATDGSCIEKKESAIVWQYSDADPSFGYSQAKEMLDHLESVLSHEPVAGVNKGLVAEKIFTSMAEGGKLADFVLCIGDDRSDEDMFEIIESALSRNTLSQNTKVFACTVGQKPSKAKYYLDDPSEVILMLESLAEMSDTPVNSDEEADNPA >itb03g29340.t1 pep chromosome:ASM357664v1:3:30203826:30206843:1 gene:itb03g29340 transcript:itb03g29340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRISSLFKTRLQNFSFSSSPPLSRRPHRFPATLPPKPGLLQLCNRREGFASSLALRYLSTTSSEEDIDHQSSNGDHLINYDTSEEVEPIDSWEEEEEVEPEIGDGGDGGGVVFRNCPWGEQALSIAHEVLKEHTVDMELYAFKTSPRGYIYVRLDKLTNEYGCPSMDEIEHFSHQYKKRLDEAGAMGEFPEDLALEVSSPGADRLLKVPDDLNRFKHMPMRVSFVEDEESRAPETNKIFFLESIDADSGSCVWKLVDVKENRSPSAKGRPLSRKQKDWRLTLPYAMIKQVTLYLPC >itb04g05080.t1 pep chromosome:ASM357664v1:4:3150858:3151322:1 gene:itb04g05080 transcript:itb04g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKNRHHLIVFAAILVVLEMWACCEATLRWRLDDDDEGMVKRYEEWIVEYGRVYANQTEKMERFSVFKINAKYIDSFNQVKNRTFTLGINQFADLSNEEFQQRMGCEMPPTNSEVTPFKYENVTVLPPTMDWRQRGAVTPIKNQQQCGNYYS >itb01g29310.t1 pep chromosome:ASM357664v1:1:33565134:33565913:1 gene:itb01g29310 transcript:itb01g29310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTTYQLPQHFFMALYLSLRCNSLQLMILESFDVLLLSLCTRGLIKLITLELLLCLTDHSGTVDLAEATWLHPKREAETARKGKKKAADQSDEPSDLKMSEAMRPT >itb04g03010.t1 pep chromosome:ASM357664v1:4:1855147:1861904:1 gene:itb04g03010 transcript:itb04g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVSESRSNIAAVQATNDDASASKLSCVRKGYIKDDYVHLFVRRPVRRSPIINRGYFARWAALRKLLYQFLDSEPNSGEEEKTKKQIVSLGAGFDTMYFQLKDEGKAPHLYVELDFIEVTSKKAALIENYSQLKEKVGETASISSERGEVLGDHYKLLPVDLRDIEKLNDIVTLANLDPSLPTFIIAECVLIYIDPDSTPAIVGWASKTFSTAVFFLYEQIHPNDAFGQQMIRNLESRGCALLGIYATPTLEAKEKLFLDQGWQKAVAWDMLRVYTDFVEAQERRRTERLELFDEFEEWYMMQEHYCVVYAINDLLGMFKEFGFPNVQPLIGTSSATSS >itb09g04940.t1 pep chromosome:ASM357664v1:9:2806399:2810884:-1 gene:itb09g04940 transcript:itb09g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQRGNAYTFRPVGRDSGHPFLASAQCPSRPRARDAESVRHSECPTVYCAVETDLQAGADHRYELLWVVLIGLVFALIIQSLAANLGVSTAFLRSSFSTFHSSSYLLQPLQIHHFCSSGTLISHQPHSWPTYHPYPEKELYTVQRTGLHCLIYVSVPAVITD >itb11g21410.t1 pep chromosome:ASM357664v1:11:23114712:23115776:1 gene:itb11g21410 transcript:itb11g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDTFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb09g15360.t1 pep chromosome:ASM357664v1:9:10636828:10638761:1 gene:itb09g15360 transcript:itb09g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPATGQSSSSNYDLSFKILLIGDSGVGKSSLLVSFISNAADDPAPTIGVDFKIKILTIGGKKLKLTIWDTAGQERFRTLTTSYYRGAQGIILVYDVTRRETFLNLSDIWAKEIELYSTNQDCVKILVGNKVDKESERVVSSEEGASLANELGCLFLECSAKTRENVENCFEELALKIMEVPSLLEQGSNIGKRNILKQKEEQQTQTGSGCCS >itb05g14010.t1 pep chromosome:ASM357664v1:5:21060235:21062109:1 gene:itb05g14010 transcript:itb05g14010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMILHTVSNPSPPKAPTTAFKSNAFQGVESCSTMAELKQLHAQFIKLGLSCDNDAMGRVIKFCALSESGDLNYALKVFDTLPEPDTFIYNTIIRGYLKGQFPESSVPFYSQMLLNSVMPNNFTFPPLIRCCCIANAIGEGKQVHGHVIKFGFGSDGFSRNNLIHMYVNFNCLEEARRVFDRLPVKDDVACTTLISGYAQWGYLNEALSVFGSMPEEKNSVCWNAMIASYVKNDRFYEAFALFQRMRAKGVTIDKFVAASILSACTGLGALKQGEWIHDYIKKSDIYVDPKLATTIIDMYCKCGCLDKAFDFFNGLPVKGISSWNCMIGGFAMHGKGEAAIKLLKEMEREKVVPDYITFVNVLSACSHSGLIEEGKHYFNYMTKTYGIEAGMEHYGCLVDMLGRAGLLEEARRVIEEMPISPDVGVLGALLGACRIHNNLGLGEDIGKKVIELEPHNSGRYVLLANLYANAGRWEDVAKVRKLMNDRGVKKPPGYSMVELGGVVDEFIAGGRTHPQAREIYGKVHEMLDRIRLEGYEAETHEMSEEERENPVYYHSEKLAIGYGLLKTEAGETIRITKNLRVCQDCHQASKLISKVYNREIIVRDRNRFHHFKGGECSCNDYW >itb02g08670.t2 pep chromosome:ASM357664v1:2:5508148:5512955:1 gene:itb02g08670 transcript:itb02g08670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIELLLSQARRHCPTKIPPSLSPFLRNHFHRFLSSSPESPPDNPVPKPNSRTPIQVEPVSYKPRDPPQSPPKDSSQSEPSYNARRPAEPNAPDQINPEARTWTREDLRYLKDMPTMSTVSYPTRVAPLPEEDRIMAEGEEERKERGDAELEREARRIQNEQRFAAMSRIRGEVEMVPFPTLIKVENDKAKVKSVYDLKEAIRLAKSNARTTFDETLEAHVKLTPEMRRSDLKLDGSVRLPHGWGKKFRVAVFAEGADADEARAAGADIVGGLELIQNIKNGSVKIDFDKCLATHAMMPNLKQIAKYLRQLMPDTKKGTVIKDVSNAVKEARDQGIMFKKDKTAIVHVGLGKVH >itb02g08670.t1 pep chromosome:ASM357664v1:2:5508148:5512955:1 gene:itb02g08670 transcript:itb02g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIELLLSQARRHCPTKIPPSLSPFLRNHFHRFLSSSPESPPDNPVPKPNSRTPIQVEPVSYKPRDPPQSPPKDSSQSEPSYNARRPAEPNAPDQINPEARTWTREDLRYLKDMPTMSTVSYPTRVAPLPEEDRIMAEGEEERKERGDAELEREARRIQNEQRFAAMSRIRGEVEMVPFPTLIKVENDKAKVKSVYDLKEAIRLAKSNARTTFDETLEAHVKLTPEMRRSDLKLDGSVRLPHGWGKKFRVAVFAEGADADEARAAGADIVGGLELIQNIKNGSVKIDFDKCLATHAMMPNLKQIAKYLRQLMPDTKKGTVIKDVSNAVKEARDQGIMFKKDKTAIVHVGLGKLSFAEEALCENVGAFVNALLLAKPAGLKKSSKYAGYVNAFHICSTMGQSFQVSIQSLSIAADRHTRMHLQ >itb03g29580.t1 pep chromosome:ASM357664v1:3:30547087:30552485:1 gene:itb03g29580 transcript:itb03g29580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLITVGSSDAMESVEGNDSLYTLISQATGKEPIILGDKPIQWIQLLHALEQPDLPYWPMVTPVKVQMHKCEKCSQEFFSSINYRRHIRLHRRALNFDKESRKYRDFLGAFWNKLSLEEVKEVASLDDISLKEIPGTLLVKTLTESLQTSSAWMWSLPTVYMKAGATLMDIIEAKPSRLPISSQELFSVLDDASEGTFLCAGTADSMQKYLFDDEVIKVCLELRNLAACICFAFEQKLVKAWIADKEAEALRCQKLLVEEEEAAQRRQTELLEKKRQKKLRQKELKAKDQMSEEKASLGGTADSSENPLVAEISSPPTLFDSDSNTLDVAEDVSGLQNGCEVMIGSISVSVKNCPIHTLRTNLAKAHDQSGCDLSLGSKTSVPSDDWVHVTRRGKGPKVRQDNEECASVEVGDQNLSCAMSVQPYAVENPDSKTHSHQLPFSSGTVKTFLTKRWNEVVSADHVKLVISAVPKSQGSPSTQSNVQKSAPPPSAPKKHSIVGENQKRR >itb03g29580.t2 pep chromosome:ASM357664v1:3:30547089:30552485:1 gene:itb03g29580 transcript:itb03g29580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLITVGSSDAMESVEGNDSLYTLISQATGKEPIILGDKPIQWIQLLHALEQPDLPYWPMVTPVKVQMHKCEKCSQEFFSSINYRRHIRLHRRALNFDKESRKYRDFLGAFWNKLSLEEVKEVASLDDISLKEIPGTLLVKTLTESLQTSSAWMWSLPTVYMKAGATLMDIIEAKPSRLPISSQELFSVLDDASEGTFLCAGTADSMQKYLFDDEVIKVCLELRNLAACICFAFEQKLVKAWIADKEAEALRCQKLLVEEEEAAQRRQTELLEKKRQKKLRQKELKAKDQMSEEKASLGGTADSSENPLVAEISSPPTLFDSDSNTLDVAEDVSGLQNGCEVMIGSISVSVKNCPIHTLRTNLAKAHDQSGCDLSLGSKTSVPSDDWVHVTRRGKGPKVRQDNEECASVEVGDQNLSCAMSVQPYAVENPDSKTHSHQLPFSSGTVKTFLTKRWNEVVSADHVKLVISAVPKSQGSPSTQSNVQKSAPPPSAPKKHSIVGENQKRR >itb06g05270.t1 pep chromosome:ASM357664v1:6:8004426:8006164:1 gene:itb06g05270 transcript:itb06g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRADDDYKYLFKVVLIGDSGVGKSNLFSRFTRNEFSLNPKSTIGIDLASRKLRVHGQLVKAQIWDTAGQERYRDMTSAFYRGAVGALLVYDVTRHVTFENVERLLKELRDHTHQDIVIMLVGNKVDLRHLRSVSTEDAKAFAEKEGTYFMEISTLESLNVENAFTELLTQIYCFYGGCKGLC >itb12g06990.t2 pep chromosome:ASM357664v1:12:5214186:5217323:1 gene:itb12g06990 transcript:itb12g06990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVENYTVEVTGLSPNASDKEVYEFFSYCGEIEHLEIIRLSDYVSLAYVTFKEAYGLETALLLSGSAIVDQCVRITRLGEKLDAHDPFFFSRMDNQSSSQVTHANEFVSTPGEAVTIAQQVVTTMIAKGYVLSQDALAKAKAFDEEHQVSSTAAAKVAELSMKIGLTEKINSGVVAVKSVDEKYHVSEITKSAASYTGKTAIAAAAAVVNSSYFAKGALWVSGILDRAAKATADLGKKGNTMP >itb12g06990.t1 pep chromosome:ASM357664v1:12:5214091:5217323:1 gene:itb12g06990 transcript:itb12g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVENYTVEVTGLSPNASDKEVYEFFSYCGEIEHLEIIRLSDYVSLAYVTFKEAYGLETALLLSGSAIVDQCVRITRLGEKLDAHDPFFFSRMDNQSSSQVTHANEFVSTPGEAVTIAQQVVTTMIAKGYVLSQDALAKAKAFDEEHQVSSTAAAKVAELSMKIGLTEKINSGVVAVKSVDEKYHVSEITKSAASYTGKTAIAAAAAVVNSSYFAKGALWVSGILDRAAKATADLGKKGNTMP >itb02g22230.t1 pep chromosome:ASM357664v1:2:21423311:21427248:1 gene:itb02g22230 transcript:itb02g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFRLGFVLLLCLAPAFEIGAFKFHSSRHTERIEGSAGDVLEDNPVGRLKVFVYELPSKYNKKILQKDQRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLIASNWPYWNRTEGADHFFIVPHDFGACFHYQEEKAIERGILRLLQRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMQTHLIPPETPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVFVAEKDVPNLDTILTSIRPEEILRKQRLLANPSMKQAMLFPQPAQSGDAFHQILNGLARKLPHDRSVYLKPGEKVLNWTAGPVGDLKPW >itb08g17310.t1 pep chromosome:ASM357664v1:8:19766779:19770703:1 gene:itb08g17310 transcript:itb08g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKQKTWPSKFETPRFTALPFVKKHPSRPDPTGPKNTSKPPSFPDPCDDHRCHQDYSHLPYDVLMKIAATFSWTHLRTASLVCKSWNDALRPLRDAMLFLRWGKRFKHGRGGVKPNSAKALDCFLKGAARGSTLAMVDAGLLYWEMGRKEEGIAWYSKAAQLGDPTGQCNLGICYLQGETPNSQQAVKWLYKASVAGHVRAQYQLALCFHQGRGGVHKNFQEAAKWYLKAAEGGYVRAMYNTSLCYSFGEGLMQSHRLGRKWMKRAADRGHSKAQFDHGLSLFSEGDMMKAVVYLELATRAGERAANHVKNVVLQQLSTSSRDRAMLMVDNWRALPSTR >itb15g00840.t1 pep chromosome:ASM357664v1:15:483038:486061:1 gene:itb15g00840 transcript:itb15g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNRMFARWGSSSGVLCIIAVPLILVAAFYALHSSSGFQSVFSSETHPWLRGFPMPFYQQPSSHPKKLTNLERVEAGLARVRAAIRQAQTENRTLEDPDYVPHGPIYRNPHAFHSQGILGIEGILIHQLEISKFRTKNPEKAHVFFLPFSTYSLVEFVFERDSHAWGPMQNTARDYINGISTKYPYWNRSLGYDHFMLACYDWGPKISQCNPKLFKNSIRVLCNANTSEQFKPAKDVSLPEIYLPQGNMDGLIGGPPPSNRSVLVFYAGGIHGYIRQVMMETWVNKDPEVEIHEYLPNNVSYYGMIRKSKYCICPSGNEVASPRMVEAMYMGCVPVLLKDHYAKPFAYVLDWDTFAVDIPVKEIPNLKKILLSIPEEKYLSMQEKGIQVRRHFEVNFPPKRYDVFHMVLHSIWLRRLNIQVHDIQATS >itb08g05720.t1 pep chromosome:ASM357664v1:8:4709348:4712512:-1 gene:itb08g05720 transcript:itb08g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFLQFLFSLFLHSMPNISLARDTITSTQSLKDGDTIISSDGSFEMGFLSIPAGSINRYVAIWYKQIPVPTLVWIANRETALTNTTSAVFKILNPGRLVLTDGNNGIIWSSNKSKSAQNPIAQLLDSGNLVVRDSEDENPENFLWQSFDYPTDTFLPGMKLGWNLETGKEVYITARKTEDDPSSGQFTFHIDPTGYPQGVIKNGLEKTYETGPWNGLRWSGTPEIRPDNPHYKYQVQMNPREVYARYDIINKSTIGRFVITSSGDFQCFTWSNETQSWISFIKAPMDVCDNYDKCGANGICNVENSPICGCMENFVNNTKGGWDYWSDGCHRRIPLKCKNGTDGFKKYSGVKLPDTTHSWFNKTMNLKECEQKCLKNCSCTAYSSLDLNKGETGCLLWFNDLIDIRLLSQNAQDIYIRLDSSEVPKPITQGSQASRKWKKVKIILGCLLPLTILILLGLCLGLYFHKKNKKKMMKLKEWLELPTYDLSTISRATNNFSENNKLGEGGFGAVYKGVMDDKQEVAVKRLSKTSTQGVQEFKNEVICIAKLQHRNLVKLLGCCIQGEEKLLVYEYMANKSLDIFIFDEAKGKLLDWPKRHSIINGIARGLMYLHQDSRLRVIHRDLKASNVLLDSNMNPKISDFGLARSVVGDATQANASRIMGTHGYISPEYAADGIFSIKSDTFSFGVLLLEIVAGKRNRGFSHPDHCLNLIGHAWKLYKENMSLELIDVHLAPSCDLSQVQRCIHVGLLCVQQRSDDRPTMSSVVAMLSNDSTLPEAKEPGFFTERRVNEGDYSSSTQGISSKNECTITALDPR >itb13g02270.t1 pep chromosome:ASM357664v1:13:2105366:2109189:-1 gene:itb13g02270 transcript:itb13g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVALTSLKTTIELHFLQPIPRVSFPDDDQTPPIYSFYENLSSLQAFLENKSSVGGGAAIKHFETILRDFALKVEDEIEMQVSNFVVAKAKDDDTVHQEAAFQQLCQILQQALEKTTDVVEIINSLKRQNDDLTYLQRIIDHHIKSSSIQSNARREVYDQIPTLFKSLIQTLSSLRHYIIGAPAAAIRIDFETKIHDLAVDDIKIQLSSFLLAKDTVHEEEAFQEWCQTLQQGEEMRVELINKEKERQKTCGCCDDLINLLRRLMDHGYLRPSSSTTPSAWVPDDLQTQLESLHQNLFALQEFVLKSKFGGSRAEIQIRHFVIKAKEDIKTQFRNFCVAKEEHRLPNQASEQLFQTLHQVAENAAQLLSTIHNTRSNDPKLEEGRIMVGRQNDVSFIKNRLFSRFHGVNVVPIIGMPGIGKTTLARKILKDQSIALNFQVRGWATVTQNYNKTKVLRDLLQSISPNHEIIKKVPLCEQVRECLKGKRYLIVLDDIWSTQHWDELQYFYSNSAVNGSCILLTTRFYGVADHACTIKGTHHVMSLLNPNESWDLFCTIFPLQRYRAPSFGKFRSDMFHVVEICEGLPQSIVVVAKRLSECKTNIQHELKKIEKEIELLGILDYSALILMYNQLPEYLKGCFLYLGVFPKRSEIQVKILLRLWIAEGFVKPSENKELERIAYCYLKDLINTSLVLISKQTLDGKIKTCRVHSVMHNICFREAQKEGILCAVNTRLLPRWSLNAFANSCRWLSLCKHSFDYYVLFSLNNPRSIFFFQENTEIFVSFKLLRVLAFVPSSFFQRVPMHVGDLVFLRYLSVTQWFEGLSDVLSRNVNLQTLIVSGSDNESQVGAPTLHLPSTIWESPQLRHIELDTSYTVNPPSMVKENLQTLSWVAPAPTHCKKTVYSNFPNIKILKIFYKVDLEASQISGSSSNCFILDKLDYLGRLKSLTISVSVGCIVSLPEKCIFPLQLKKLKLSDTNLSGRDLTVIGMLQWLEVLKLENALHEKVWKVAEGGFYRLRLLVLKDKKLERLEAYTDSFPCLEHLVLKCCECLEEISSSFGEIFCFKSIEMDRFSHRPSIVASARDIQEKLKKNFGKENFEIKIQGQGQGPEECVEDVEKANSEIKMEEGESSAGGRW >itb12g20660.t1 pep chromosome:ASM357664v1:12:23112481:23117079:1 gene:itb12g20660 transcript:itb12g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRGHIPVLFMVVLFSFVYCPVRATVSYDGKGFIINGVRRILISGSIHYPRSSPEMWPDLLQKAKDGGLDVIQTYVFWNGHEPSPGKAIIILLVVIVIIITISFSSWHVWHCTLTGSRMDTVHHYNFEGRYDLVKFIKLVQQAGLFVHLRIGPYICAEWNFGGFPVWLKYIPGMEFRTDNQPFKEAMQGFVELIVDLLKLEKLFEPQGGPIIMSQIENEFGPVEWEIGDPGKAYTKWMTQMAIGLNTGVPWIMCKQEDAPDPIIDTCNGFYCENFHPNKPYKPKMFTEVWTGWYTQFGGPVPRRPAEDLAFAVTRFVQNNGSFFNYYMYHGGTNFGRTGAGLFVATSYDYDAPLDEYGLVNEPKYGHLSGLHRAIKLAEPALVSSYPIVTWPGKNQEVHVFRSETGACAAFLSNYDPQFSAKVTFLNLQYDLPPWSISILPDCKNVVYNTATVRSPSLQTNMIPVGSGFSWQSYTEEIASSDDSDTVAAADGMWEQINVTRDSSDYLWYMTEVNIAPDEEFLRNGKDPVVTVMSAGHALHIFINSELSGTVYGGLAFPKLTYSNSVKLRAGINKISLLSVAVGLPNVGLHFEKWNAGVLGPVSLSGLNEGTRDLTNQTWFYKVGMKGELLSLNTVTGSSSVEWEDGPLLASKQPLTWYKATFDAPEGNDPLALDMSSMGKGEIWVNGEGVGRHWPGYTAQGSCSSECNYAGTYNQKKCQVNCGQPSQRWYHVPRSWLKSSGNFLVVFEEWGGDPDRISMVRRTNN >itb08g16900.t1 pep chromosome:ASM357664v1:8:18896804:18900036:-1 gene:itb08g16900 transcript:itb08g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVWDFVRNNQLSVCCLLETKLTLEKANRFVTNRRGNWKFSTNFHDVEGGRMLVVWDFARVDCLILETAPQFIHCLLVCKISQVKVLCTFVYGLYSVVTRRPLWNGLERLGSAISEPWLVMGDFNATLAASERRGGANLSRYDVRDFEACCMNLDLTDCPSFGREFTWTNGHMEAKLDRVLINDGWLQNGITCMAVFGRMEWCSDHSPVIVTTTLAENTGKKPFKFLNMWLKHPEFEDTLNGPWAEVMDGAKQLILSRKLKALKGPLRKLNRQNFSHISERVKTAKDVLAEAQENFDVINAGEDERTALRDLKVKAVFLLEAERQFFAQKLSTTHLVEADKGSKYFHELINKRNAATSISAILDSNGTLTHSLDQVGRLFVDFFSGLFGKVCERERTEDLSFTRIPEGSLPVRNESALMRSLANTRDLLMEKLGERQGCISKMATWVGPKGLDTSKAYEALRTMGQKSFAMRYIWRDYIPPKFAFCTWLCLHGRLPTKDTINFIEIDKQCVLCGSVNESVNHLFFLCPFTKQVWEKVREAVGFTRCTNSIKGAIKWHHKDSRGSRLRSKVGALVTCGAIYHIWRSRNAQYFDGKIANVDQTAAMITKNVYQVLHRLYPAGWQ >itb08g10220.t1 pep chromosome:ASM357664v1:8:9615768:9616844:-1 gene:itb08g10220 transcript:itb08g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGKVSQTKYSMAAPSDPKITSNSFSHDQFFQENCWPEELRESMISSLPREEGWISPHIYNYRGFWLAPHHLHGVLRSHQHFQAQHSDVILCTPPKCGTTWLKALIFALITRKHYFPTQLETLTHPLLTTNPQDLIPNMEFSYAGENSHPDFPSLNNGQMRLMSTHLPLALLPKSVGESKCKLIYMCRNQKDTVVSFWHFMNELRGELRGLGAMPFPEAFDKYCRGASHYGPFWDHLLGYWKESSENPGKVLFLKYEEMKNNADVQLRRMAAFLGCPFSEEEEECGVVGGILRLCSFESLSSLEVNKTGKGLLLGNSNNVLFRKGNVGDWRNHLTDEMAIRLDQIVEEKFKGTGLKL >itb02g06770.t1 pep chromosome:ASM357664v1:2:4242178:4244547:1 gene:itb02g06770 transcript:itb02g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAGQSYAISTAFDPLNQSFRATKPQTAPFFPKKIASRKYDQKANVMSKKPLCISAVSGFGGIIEPKDSKTRDPLSQCNAYEASRPQSIPIGIDLDREAQAETAQKIKIGVYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLMMLVSWATRVADTPKTDLHFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSSFLLGETFPLPVYLSLLPIIGGCSLAAVTELNFNLTGFMGAMVSNVAFVFRNIFSKQGMKGRSVGGMNYYACLSMLSLLILTPFAIAVEGPQVWALGWQKAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLNEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >itb07g06850.t1 pep chromosome:ASM357664v1:7:5047315:5049518:-1 gene:itb07g06850 transcript:itb07g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGNKIMLLTLVKNHRALTLFCFRPALGVLELQIQKNAREWVLSAGAECYIFASVDAISKAKMESRVKVARHLEPWRDLTGKVVMVTGASSGLGREFSLDLAKAGCRVIAAARRVDRLKSLCDEINGVDGSGDSNTAPQTRSVAIALDISAGGSAVEAAVQKAWAAFGRIDALVNNAGIRGSRQTPFDLTEKEWNDNIRTNLTGTWLVTKYVCLLMRNARLGGSVINISSLTGLNRGQFMGALAYSCSKSAVNTFSMAMALELGAYGIRVNSISPGIFKSEITMNLVHKHWIKNVTHRTVPLRTYGTTDPALTSLVRYLIHDSSEYVSGNIYVVDAGASLPGVPIFSSL >itb04g03330.t1 pep chromosome:ASM357664v1:4:2049507:2050109:1 gene:itb04g03330 transcript:itb04g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFILLLLSFSIFPLFIIHGTQEDKKHYTLIQKTCEFGSHNFTTDIHYDFCTSALESRPARECQTLRGVGMASIRLVIANVTDTRRHIQAVVHSGKVRPWVKRCIDECSDLYDSALIGVEEAMTNYKSQDYTQAQYGIDQVIDMAGLCEDLFAVGSQDTPAGGCGGGVSPFSARNNNAMELSAMVLAVMRLLQEGRVH >itb04g23420.t1 pep chromosome:ASM357664v1:4:28483156:28484380:1 gene:itb04g23420 transcript:itb04g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLAAKLNRPLLGLIPRNQYCLPERLLSASVGRTADPDLHGGDVDEYDAAEAKKAETATGDSEIKPSSTGKVPFSPSKSPCGSSPKIESRGVNDRIDPNVQQKRRNSTASIPDVSCAGLDGGPWPDDATERRRQEDDNKEYFKHHKASPLSGLKMVDTRKPITRATDGSSGGAAAGGVVVWLPEQLESAEDTLLRAMEIWKENAARGDPDSPHGKILRNLRGEYW >itb07g07500.t1 pep chromosome:ASM357664v1:7:5715340:5717809:1 gene:itb07g07500 transcript:itb07g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFNPACFFSFLLPLMATFYFVHGEARFLSNCTKEFSCGAIGFVGFPFVKHTEPHCGLVAVKCDTTPPIVQLGTGGDWYPLLSVMKPRGVDNTYTIDLEDSKLQRPFESRNYSNLNYTIHFHNSPSVTFLNWDASTLNTYFKCNDSEADDIGNYERYNCSDGFSLYYKRHLPENPKCDAVNCTMYPTPIIIQQTNDGLTAQFVLRMEVSKTCQECYQGGGQCTEDSNNDFRCAQVKVLKELKASGEEFINEVASISRTSHINIVTLVGFCIEGNKRALVYEFMPNGSLEKFIYDNKSLTGRQLEWSMLYKISIGIARGLEYLHSGCNTRILHLDIKPHNILLDEDFSPKISDFGLAKLCTRKESIVSVLGARGTIGYIAPEVVCKNIGGVSHKSDVYSYGMMVLEMVGGRKNVDIGVSCNSKIYFPHWIYTRFVLDDELGLIGVMNEEENECARKMLIASLWCIQTDPSTRPSISRVVEMLEGKLEYLQIPPKPYLYSPTRLEEQHSSSVFIT >itb15g05520.t1 pep chromosome:ASM357664v1:15:3551600:3556277:1 gene:itb15g05520 transcript:itb15g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHGHNNRTPHAGGGTTAGQHVTHESQTASHHSSSQAHGKESSGGNNKATYKVYCRAETNHCLSIRNGEVILARANPSDQNQHWYKEEEFSTRVKDAEGLPSFALVNKATGQAIKHATGNTKPVRLTEYKANHLDESILWTESKDTGESYKAIRMANNISLNFDAFHGDKDHGGVHDGTTVVNWEWTKGDNQRWKIVPY >itb08g15570.t1 pep chromosome:ASM357664v1:8:17741511:17744161:1 gene:itb08g15570 transcript:itb08g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDRESPTCTELGGGGGGAGKGFMLFGVRVMEGAAGPFRKCASMTNLAQFDHHPQESNADVNAGYTSDDVVHPSAGSRERKRGVPWTEEEHRLFLLGLQKVGKGDWRGISRNFVKSRTPTQVASHAQKYFLRRNNHGRRRRRSSLFDITTDNVLGATKLEQQSSTLEKLPVAAFPMTTKPVIPPPVIADESSHNNPTKPTRPIPVLPAPPSSKLADLNLNRITIAAEPLPLSLKLPTPPSPPPSSEQKAPPPGRHTPAFQGMSGSFSSSSGDSIISVA >itb15g02140.t1 pep chromosome:ASM357664v1:15:1316773:1318846:1 gene:itb15g02140 transcript:itb15g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDSSSVLWRMKHKVAEMAKNARKIGKDDPRRIAHSVKVGLALTVNSFFYYYHPLYDGFGQSGIWALLTVVFVFEFTVGATLSKGLNRSFATLLAGALGVGANFLADLSGEKAEPVVLGLLVFILAAILTFTRFNPGIKKFDYGVVIFILTFSMVTVSGYRTEQIIHYALQRLSTVAIGAVSCMLISVLIFPAWAGEDLQNLIAANIDKLGRSLEGFGNTYFRVPVGEESGSGSDVAFPQDYKSAFNSKASEDSLEYLKVGSLARECASHLQALCSYLNIKDDYQKKQTLLSFKSKIQEPCTRMCSESSQALKAISSAIKTMAQPSPAIQDHLQNSRAAINDLEVVFRSSSLSPEQLFEIIPCAAVTSILIDIVNCVDKISKSVDELSEKAGFKKPKAKTGIVKPVNEDSPESEVVAITIDEDTHKDSSEFDNFQAPRQEQKSQELITGEKTG >itb06g25610.t1 pep chromosome:ASM357664v1:6:26563630:26565490:1 gene:itb06g25610 transcript:itb06g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGKQQRWWFSFHRSPSSKLDNKDPPTEYLCPISGGLMFDPVVVSSGQTFERTSVQVCKDLAFQPQLSGGGTPDFTAVIPNLALKTAILSWCEKSGAEKPKQPDYYAVESTVRSMMVGSGRSQEDSRFRVSEKELLRQVADHPPVLHSHAATELNPRNNHQFYSSSSSEESVIASGSPLLPFTTRPVCFSTPSSQSNSSEIISDETPSSASTSSSEDGYYVVQFNKLDAFDQEQAVIELRKMTRTNEEARVSLCSSRLLSALKPLIVSKYAGIQTNAAAALVNLSLAKVNKVKIVRAGIVPLLIDVLKGGFEESQEHAAGAIFSLALEDDNKTAIGVLGSLPPLMHALRTGTERTRHDSALALYHLTLVQSNRTKLIKLGAASALLGIMKSGDLAGRVVLVVCNLAVCAEGKTALLDGHAVEVVVEMLRDPELDESTRENCVAALYSLSQGSLRFKGLAKEAKAAEVLKVIMERGSERAKEKAKRLLVALRGRDDDDGGEDVDWEDVLEGGLSRTRYRVGKSLLSPNSTEF >itb04g02720.t1 pep chromosome:ASM357664v1:4:1644938:1650814:-1 gene:itb04g02720 transcript:itb04g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGQTLDISGERQSGQDVRTQNVMACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKDSLINCAKTSMSSKLISGDSDFFANLVVEAVQSVKMTNARGEIKYPIKGINILKAHGKSARDSYLLKGYALNTGRAAQGMPLKVSPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKLLKAGANVILTSKGIDDMALKYFVEAGAIAVRRVRKDDLRHVAKATGATVVSTFADMEGEETFEASLLGQAEEVAEERIADDDVIMIKGTKTTSAVSVILRGANDFMLDEMERALHDSLCIVKRTLESTTVVAGGGAVESALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDSTELVSQLRAHHHYAQTQADKKHWSSMGLDLVKGIVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLIKDESQNEE >itb10g15590.t1 pep chromosome:ASM357664v1:10:21819765:21822309:1 gene:itb10g15590 transcript:itb10g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSNFKIRYSPTAAALPHVADSLAAAASVVYSGSNAVANHDAFSSSFSKFNSALTAGLLNPMSPPPSDDKARASPTLFEMMASEPDRVPKTSPQIPNGFVSTLNQRPNSLVIQDKQALLQQRLSDLLACRSPGNQFNDSSSSDVKLTLSSKDGLSVSMNVHSQILVAHSRFFALKLPEKWAKQPRNSGPYIVEIADCDDIEVYIETLRLMYCKDLKKKLIKEDVPKVLGILKVSAAIGFNAGVLSCLEYLEAAPWAEDEEEKVASLLSELHLEGIGAGEVLKRVSLDAVAGTEDGDGNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDACLQLLCDCFLRAAGGDLEDISQIARQADNLHWLLDILIDRQVAEDFLRTWASQSELSEAHCRVPAVHRYEVSRVTARLFVGIGKGQLLASKDLRCLLLQTWLTPFYEDFGWMRRATKGLDKHLIEDGLSSTILTLPMALQQEILMSWFNRFLNSGDDCPNIQRGFEIWWRRAFWRRNSEQPEQLRQMRIVTATIENS >itb01g19950.t1 pep chromosome:ASM357664v1:1:26271954:26273112:-1 gene:itb01g19950 transcript:itb01g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSGAEEDNKDGSRRTLAQKSQSLKEMIIKPEFADTKRRPNWLERQLSSRRMSQDYDSREGSIDYAVAVAAAAYAIKSLEDSIRDQRKGPLPEKNFTIRTATIVDTRKPIAPTSSIKKAPTLMNSTNGVASSKPEIEAARKIDRPAATSFRKTPTFGERLLDRPATRKPETPVNLPSRQFSSQPSTPTKVVKGGTRADVWEQEELEKIRKRYEKLNSTIDEWETKKTIKAKRHLEETEADLDTTRAKALAHYCNEVERIVNISEGANRQAEQNQQNEELKVK >itb12g10690.t1 pep chromosome:ASM357664v1:12:8805078:8806608:-1 gene:itb12g10690 transcript:itb12g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMMILFFVGSLFLQGALGDITCEYLPANVCSFAISSSGKRCLLENSVTNEGNKVEYQCKTSEVVVGSSVGEHIETDECVEACGLDRKSVGLSSDSLLESSFTAKLCSSSCYQNCPNVVDLYFNLAAGEGVYLPDLCEKQRSHTRRDMIELKSSNGEMAPGPSSEEGLVGAPQKSPRRAMVELSSGAPTPTDDDDVAAPSV >itb08g07410.t1 pep chromosome:ASM357664v1:8:6354822:6359182:1 gene:itb08g07410 transcript:itb08g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSDLFMQFEAIGMEGEVAGYAGIEWLVNQRAVEACMLSKNGRIMMKMMMRELLGLVWDGGWRGKGKGKGRRKVSWLGGEEHSERQVDYSNMDVRVVYPWQSSLVLYEIPEYFPDLFPPRRHYDDEAGFHNDLEKDAEGVSNEIFRGLYNAAINGDWTSAERILRDNPSYDVRDSITKRKDTLLHIAALGGNTLLFVNKVVEKLSTKELEVQNKYGCTAFYNAVASGVVENAKCMLVKNQNLLSIGAGGLGADSNNKIAPIYQAALLRHNKMVSYLYTVKPLVDYLDRKELIKLLVITIYNDMHDVALKILEKNKALALAKLKGNRSFLHLLVRKQAHIAPAGIWPRFLAAASTTALFTKPDFLHNGDEEEKECVAKTKAGVEEEKERVAKTKARVEEEEKCLAKTKAGELLDIIWKEYCNLTDNEFRKEISRQDDILHYAAKHGNVEFLDMVLKSKPELFCESNKCGHTILHVAVLYRQQNVVNYISNKQGYKYSMILCLDLNYNNILHLAAKAENTFCDDYLWIYDDDEVRKYFIQK >itb15g00360.t1 pep chromosome:ASM357664v1:15:211270:217426:-1 gene:itb15g00360 transcript:itb15g00360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSEEIKTIEQWKWSEMQGLELVVSSEDSSSFKPNNSPSSIIPTPLTATLTTTTETGKEREERDMDGSEGNTGGGGGASGDGEKPSSPPPAVGFGELFRFADGLDYVLMGIGSLGAIVHGSSLPLFLRFFADLVNSFGSYANDVDKMTQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQSAKMRIKYLEAALNQDIQFFDTEVRTSDVVFTINTDAVMVQDAISEKLGNFLHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTMTLAKLSGKSQEALSKAGNIVEQTVVQIRTVFAFVGETKALQAYSAALKVAQKLGYKSGLSKGLGLGATYFTVFCCYALLLWYGGYLVRHHTTNGGLALATMFAVMIGGLALGQSAPSMTAFVKARVSAARIYRIIDHKPSVERNSETGLELDSVTGQLELKNVEFCYPSRPDIQILNNFSLLVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVVLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDASSNEVEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELISKGEHGVYAKLIKMQEAAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDAAYPNYRHEKLAFKDQASSFWRLAKMNSPEWAYALVGSIGSVICGSLSAFFAYVLSAVLSVYYNPDHAYMIREIAKYCYLLIGVSSAALIFNTLQHFFWDVVGENLTKRVREKMLAAVLKNELAWFDQEENESSRVAARLALDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLSLVLIAVFPVVVAATVLQKMFLQGFSGDLEAAHAKGTQLAGEAVANVRTVAAFNSETKIVSLFSSNLQTPLRRCFWKGQIAGSGYGIAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFELLDRKTEIEPDDPDATPVPDKIRGEVEFKHVDFSYPSRPDVPIFKDLNLRARAGKTLALVGPSGCGKSSVIALTERFYEPSSGRVMIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHESASEAEIIEAATLANAHKFVSALPDGYKTFVGERGVQLSGGQKQRIAIARAFLRKAELMLLDEATSALDAESERCVQEALERACAGKTTIVVAHRLSTIRNAQVIAVIDDGKVAEQGSHSHLLKHYPDGIYARMIQLQRFTHGEAVNMATSSTSGRPREDQD >itb07g22630.t3 pep chromosome:ASM357664v1:7:27154010:27157591:-1 gene:itb07g22630 transcript:itb07g22630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MGRQVKAGMGSISIAALVCILFLCCSYQFNAAHGSESEMSTTRVVFQTNYGDIEFGFYPSVAPRTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRTVPMNEEQRVEAEKTVKGEFSDVKHVRGILSMGRYSDPDSASSSFSMLLGDAPHLDGQYAVFGKVTKGDETLRKLEQLPTRREGIFVMPTERITILSSYYYDTEMESCEQERSLLKRRLAASVIEIERQRMKCFP >itb07g22630.t1 pep chromosome:ASM357664v1:7:27153488:27157591:-1 gene:itb07g22630 transcript:itb07g22630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MGRQVKAGMGSISIAALVCILFLCCSYQFNAAHGSESEMSTTRVVFQTNYGDIEFGFYPSVAPRTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRTVPMNEEQRVEAEKTVKGEFSDVKHVRGILSMGRYSDPDSASSSFSMLLGDAPHLDGQYAVFGKVTKGDETLRKLEQLPTRREGIFVMPTERITILSSYYYDTEMESCEQERSLLKRRLAASVIEIERQRMKCFP >itb07g22630.t2 pep chromosome:ASM357664v1:7:27153488:27157591:-1 gene:itb07g22630 transcript:itb07g22630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MGRQVKAGMGSISIAALVCILFLCCSYQFNAAHGSESEMSTTRVVFQTNYGDIEFGFYPSVAPRTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRTVPMNEEQRVEAEKTVKGEFSDVKHVRGILSMGRYSDPDSASSSFSMLLGDAPHLDGQYAVFGKVTKGDETLRKLEQLPTRREGIFVMPTERITILSSYYYDTEMESCEQERSLLKRRLAASVIEIERQRMKCFP >itb15g10830.t1 pep chromosome:ASM357664v1:15:8580466:8586559:-1 gene:itb15g10830 transcript:itb15g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSRGVATPFKTKARRADALPVSGFVELRWRKRRLLGGDARWSVQCCCPDSVVPIRGSSGSGKSADNCEEWRFDPLKINRVRVHASTAMPFASPQSRFVSKPEKFFSRCTPRNSGPQSRDTPPKRDTGIANEKDWGIDLLNENINESGVNEDGSTWYRESGEDLGENGYRCRWARMGGRNHDSTSEWKETWWEKSDWSGYKELGVEKSGENAEGDSWWETWREVLHQDEWSNLARIERSAQKQAKSGTENAGWYENWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETALGTKWGDKWEEKFFAGIGSRQGETWHVSPIGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSNQLLSIQPRERPPGVYPNMDFGPTAPPPSSSEPSDELPPSSSQPNDELPPSSP >itb15g10830.t2 pep chromosome:ASM357664v1:15:8580466:8586559:-1 gene:itb15g10830 transcript:itb15g10830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATSRGVATPFKTKARRADALPVSGFVELRWRKRRLLGGDARWSVQCCCPDSVVPIRGSSGSGKSADNCEEWRFDPLKINRVRVHASTAMPFASPQSRFVSKPEKFFSRCTPRNSGPQSRDTPPKRDTGIANEKDWGIDLLNENINESGVNEDGSTWYRESGEDLGENGYRCRWARMGGRNHDSTSEWKETWWEKSDWSGYKELGVEKSGENAEGDSWWETWREVLHQDEWSNLARIERSAQKQAKSGTENAGWYENWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETALGTKWGDKWEEKFFAGIGSRQGETWHVSPIGDRWSRTWGEEHFGNG >itb02g07280.t1 pep chromosome:ASM357664v1:2:4511570:4513888:1 gene:itb02g07280 transcript:itb02g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVGAPARLEGKFAAILVCWLLGIGCLFAWNSMLTIEDYYAQLFPKYHPARVLTLVYQPCALMATAAFAYNEAKIDTRKRNLFGFYLYLIGIALVLVLDLATSGRGGLGHYIGICVCSGLFGVADAHVQGGMIGDLSFMLPEFVQSFLAGLAASGALTSALRLFTKAIFDNSKHGLRKGAIMFFAISVFFELLCCFLYAFVFPKLPIVKYYRKKAASEGSTTVASDLAAGGVSTQGLDETEESPKQLERLSNYKLLLQNADYALAIFLIYVLTLSIFPGFLSEDTGSHGLGSWYVLVLIAMYNVWDLIGRYVPLMKCTKLESRKGLLIVILMRFLLVPPFYFAAKYGGQGWMLFLTSFLGLTNGYLTVCVLISAPKGYKGPEQNALGNILALFLIGGIFAGGVLDWLWLIGKCW >itb13g17480.t4 pep chromosome:ASM357664v1:13:24482360:24486837:1 gene:itb13g17480 transcript:itb13g17480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPTGLQSDPPAFQESGCQPKYLPPEFVGSRDNGPQTSYSCYLIELNKYCYKKTQLQNVILALRTRLESDDEKLSFELRVDGGSWVGQIVYIGDVGLSNEEIHRCQRFQVIVFRVLLDRNLNKLDKALGRFNRVDGSAVIDYLLLPSIGPVDNPFIDWKIISSALFSYAKPEHRHSHFCSRHGCRPMHTKNGLLFSCLLENSLVWTPHNGNLYCVVGILDNLDGSSSFKIRGKESITYKEYYKKKHGVELCFETEPFLNGKHIPMVQNYLHRGEARKIRESSTSSVELPPELCDVIMSPVPIAMLYSFSCVPSIMHRFESLLIASRLKKLCTDHCAKSAVIPTSKILEAITTNLCQENFHLESLETLGDSFLKYASSRHVFKTYPNDPEGVLTAKRERMISNSLLYKLGCNCNIPGFIRNEQFDPKTWVVPGDCSIDNKLDEECVLTSGKVYSWGRRNMKNKMIADVTEALIGTSP >itb13g17480.t2 pep chromosome:ASM357664v1:13:24482360:24486837:1 gene:itb13g17480 transcript:itb13g17480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPTGLQSDPPAFQESGCQPKYLPPEFVGSRDNGPQTSYSCYLIELNKYCYKKTQLQNVILALRTRLESDDEKLSFELRVDGGSWVGQIVYIGDVGLSNEEIHRCQRFQVIVFRVLLDRNLNKLDKALGRFNRVDGSAVIDYLLLPSIGPVDNPFIDWKIISSALFSYAKPEHRHSHFCSRHGCRPMHTKNGLLFSCLLENSLVWTPHNGNLYCVVGILDNLDGSSSFKIRGKESITYKEYYKKKHGVELCFETEPFLNGKHIPMVQNYLHRGEARKIRESSTSSVELPPELCDVIMSPVPIAMLYSFSCVPSIMHRFESLLIASRLKKLCTDHCAKSAVIPTSKILEAITTNLCQENFHLESLETLGDSFLKYASSRHVFKTYPNDPEGVLTAKRERMISNSLLYKLGCNCNIPGFIRNEQFDPKTWVVPGDCSIDNKLDEECVLTSGKVYSWGRRNMKNKMIADVTEALIGAFLNTAGEIAALSFMKWLGLDIDLINVPIVRNIPVNAEKLVDIKHLESDSLLNYTFRDPSLLVEALTHGSYVLHGTQSYQRLEFLGDAVLDYAITAHLYDKYPGLSPGCLTELRSASVNNTCYALSSVKAGLHNHILHSSTALQSLINDAVQDIKQSSASSTFGWELEASFPKVSSSYLQSSACQLPICQFAIHF >itb13g17480.t1 pep chromosome:ASM357664v1:13:24482360:24486837:1 gene:itb13g17480 transcript:itb13g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPTGLQSDPPAFQESGCQPKYLPPEFVGSRDNGPQTSYSCYLIELNKYCYKKTQLQNVILALRTRLESDDEKLSFELRVDGGSWVGQIVYIGDVGLSNEEIHRCQRFQVIVFRVLLDRNLNKLDKALGRFNRVDGSAVIDYLLLPSIGPVDNPFIDWKIISSALFSYAKPEHRHSHFCSRHGCRPMHTKNGLLFSCLLENSLVWTPHNGNLYCVVGILDNLDGSSSFKIRGKESITYKEYYKKKHGVELCFETEPFLNGKHIPMVQNYLHRGEARKIRESSTSSVELPPELCDVIMSPVPIAMLYSFSCVPSIMHRFESLLIASRLKKLCTDHCAKSAVIPTSKILEAITTNLCQENFHLESLETLGDSFLKYASSRHVFKTYPNDPEGVLTAKRERMISNSLLYKLGCNCNIPGFIRNEQFDPKTWVVPGDCSIDNKLDEECVLTSGKVYSWGRRNMKNKMIADVTEALIGAFLNTAGEIAALSFMKWLGLDIDLINVPIVRNIPVNAEKLVDIKHLESDSLLNYTFRDPSLLVEALTHGSYVLHGTQSYQRLEFLGDAVLDYAITAHLYDKYPGLSPGCLTELRSASVNNTCYALSSVKAGLHNHILHSSTALQSLINDAVQDIKQSSASSTFGWELEASFPKVLGDIIESIAGAIYLDSGLKADIVFQKIRLLLEPMVTPESLRLNPVKELTELCQKRKYRMEAPVETYKNGEAYITLEVVANGAVHRGSSSARSKKTAIRLACKSVLKLLEPET >itb13g17480.t3 pep chromosome:ASM357664v1:13:24482360:24486837:1 gene:itb13g17480 transcript:itb13g17480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPTGLQSDPPAFQESGCQPKYLPPEFVGSRDNGPQTSYSCYLIELNKYCYKKTQLQNVILALRTRLESDDEKLSFELRVDGGSWVGQIVYIGDVGLSNEEIHRCQRFQVIVFRVLLDRNLNKLDKALGRFNRVDGSAVIDYLLLPSIGPVDNPFIDWKIISSALFSYAKPEHRHSHFCSRHGCRPMHTKNGLLFSCLLENSLVWTPHNGNLYCVVGILDNLDGSSSFKIRGKESITYKEYYKKKHGVELCFETEPFLNGKHIPMVQNYLHRGEARKIRESSTSSVELPPELCDVIMSPVPIAMLYSFSCVPSIMHRFESLLIASRLKKLCTDHCAKSAVIPTSKILEAITTNLCQENFHLESLETLGDSFLKYASSRHVFKTYPNDPEGVLTAKRERMISNSLLYKLGCNCNIPGFIRNEQFDPKTWVVPGDCSIDNKLDEECVLTSGKVYSWGRRNMKNKMIADVTEALIGAFLNTAGEIAALSFMKWLGLDIDLINVPIVRNIPVNAEKLVDIKHLESDSLLNYTFRDPSLLVEALTHGSYVLHGTQSYQVISIVSVALIPCYEG >itb05g25020.t1 pep chromosome:ASM357664v1:5:29631950:29636894:1 gene:itb05g25020 transcript:itb05g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSKEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACRTIPNLAEVFLIGFYEEREFALYVSSISTELKVPVRYLKEEKPHGSAGGLYYFRDLIMEDSPSHIFLLNCDVCCNFPLPDMLDAHIRYGGMGTLLVSKVSAESANQFGELVADPVTNELLHYTEKPETFVSELINCGVYVFTPEIFSAIQDVSRHREDRATLHHFPSFEAFQLATRSLPRDFVRLDQDILSPLAGKKQLYTYETVDFWEQIKTPGMSLKCSALYLNQFRYTSPDLLALGDGTKNATIVGDVYIHPSAKVHPTAKLGPNVSISANVRVAAGARLINCIILDDVEIKDNAVVMYAIVGWKSSIGKWSRVQADGDYNSKLGVTILGEAVAVEDEVVVINSIILPHKTLNISVQDDIIL >itb02g00770.t1 pep chromosome:ASM357664v1:2:439673:441180:-1 gene:itb02g00770 transcript:itb02g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTKSESDVTSLAASSPSGHVYYVQSPSRDSHDDGEKSTSMQATPTFTSPVESPSHPSLGRHSRTSSGTRFSGIFRSSSGRKGRSGKRMSNDKGWPECNVIMEEGKYDEFEDSWLLSRRFQALLALCGFVLLFTVFCLIIWGAGRPYKAQITLKSLSVSNIYIGEGSDFTGVPTRLLSVNGSLRISVYNPATFYGIHVSSTPINLVFSDITVATGQLKKYYQPRKSRRTVTVNIQGTKVPLYGAGSSLTATKNKDGTGGVEVPLALDFEVKSQGDVVGKLVRTKHRRKIWCEFIIDSAGNKPIKFKKHSCAYT >itb06g14400.t1 pep chromosome:ASM357664v1:6:18950535:18954696:-1 gene:itb06g14400 transcript:itb06g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLYLRDGGVNQNKCMKEKRSEMLKRRSRQVVPKLDLLGEVSGEPLGMASTNLPRVPDRDDAEARQNLPVSGGVSSCRLFPILLRFSKLNHPHPIITSSFLFNFIPPPIPIQKAMASSGDSWVREYNEAVKLADDITNMISERSSLPTTGTEAQRHSSAIRRKITILGTRLDSLESLLSKLPTKQPLTEKEMNRRKDMLVTLRSKANQMASTLNMSNFANRDSLLGPEIKPVDAMSRTNGLDNSGVVALQRQIMKEQDEGLEKLEETVISTKHIALAVNEELGLQTRLIDDLDQHVDVTDSRLQRVQKRLAILNKRTKGGCSCMCMLMSVIGIVVLIAVIYMLFKFL >itb13g05560.t1 pep chromosome:ASM357664v1:13:6659377:6660158:-1 gene:itb13g05560 transcript:itb13g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPKGKLYEFSSSRYSTVLSLSISIQYA >itb14g09620.t1 pep chromosome:ASM357664v1:14:10077394:10078903:-1 gene:itb14g09620 transcript:itb14g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKFTLPLNYEDFDPDYDQVQEPQVDTLLIYLPDFRKEQIRVQLTGTGILKISGQRRLEGDKWKRFLKQFQVSSNCDTNRISAKFESGTLYITQPKLIIPAAVEKPADAEGEEEKKTKEAAEPKAGEESENGSDKEGVGPASDDKKAADDKRAAVAGKSDGAAGKAAKMMDGYSGSAEAVQLKRSRKMMNVALVVLLVVGVGLYLNSKMRSSSSNDAAGEL >itb06g20770.t1 pep chromosome:ASM357664v1:6:23608448:23609829:-1 gene:itb06g20770 transcript:itb06g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTTWTSKQNKLFENALVTYDKDTPDRWRNLARAVGGKTTEEEVKRQYAMLVEDVNHIETGNIPLPNYDAHAAKPGYAFMQQQAQDRMKYMKLQ >itb12g18670.t1 pep chromosome:ASM357664v1:12:20923613:20926052:1 gene:itb12g18670 transcript:itb12g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCSPDLGALVRVGAVRSRRAALARTCATKWVRLWSKCKRLRTNGPKRCVWLDYGAIDLAVGLLSDLRGAVFQRCGILVGKRGSGLHGQPTTEELMLSL >itb03g00310.t1 pep chromosome:ASM357664v1:3:154795:157769:-1 gene:itb03g00310 transcript:itb03g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDSPAALKRRRLTTADPMEAESAKAQLAAAKEKFGREIRVFSTITNSPSSSDVSNNDKYLKTKKIREAEEAARRSKITKTVIRVRFPDNFTLEATFHPSETIQNLVDLLIKVVVRPDVPFYLYTTPPKKQIKDLSQDFYSAGFVPGAIVYFSYDVPKGDDVAAGNYLKEEVLSLQGLEFKTTQAEPVQSVPEPTLEAKPTPAQEQKPPAEKKNIKPKCCDEDMPVVISAIEEHSSPRSR >itb12g08130.t1 pep chromosome:ASM357664v1:12:6307868:6312358:-1 gene:itb12g08130 transcript:itb12g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIESVVAQIQGLSSNSQDIAQLHSFLKQSEEFLRAESTRLAPVLLQLDLVKHSLGYLYVLDACMCAPIPKEQSHELVSTVATFINGCAADQIRLAPEKFISICKRFKEQVILIEAPIRGVAPMLTAIQKLQLSPEQLTTLHPDFLLLCLLTKCYKIGFSILGDDIFEIDQPRDFFLYCYYGGMICIGQKQFRKALELLQNVVTAPMSTLNAIAVEAYKKYILVSLIHLGQFSTSFPKYTSSVAQRNLKNYSQAYLELANSYSTGRISELETCVQTHKDKYQSDNNLGIVQQVVSSMYKRNIQRLTQTYLTLSLQDIAITVQLSSPKDAEMHVLQMIEDGEIYANINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMMLSKKLTDMDERMSYDPSYLAKVGKEKQRFDFDDFEGVAPKFNL >itb12g08130.t2 pep chromosome:ASM357664v1:12:6307983:6312140:-1 gene:itb12g08130 transcript:itb12g08130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPIPKEQSHELVSTVATFINGCAADQIRLAPEKFISICKRFKEQVILIEAPIRGVAPMLTAIQKLQLSPEQLTTLHPDFLLLCLLTKCYKIGFSILGDDIFEIDQPRDFFLYCYYGGMICIGQKQFRKALELLQNVVTAPMSTLNAIAVEAYKKYILVSLIHLGQFSTSFPKYTSSVAQRNLKNYSQAYLELANSYSTGRISELETCVQTHKDKYQSDNNLGIVQQVVSSMYKRNIQRLTQTYLTLSLQDIAITVQLSSPKDAEMHVLQMIEDGEIYANINQKDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMMLSKKLTDMDERMSYDPSYLAKVGKEKQRFDFDDFEGVAPKFNL >itb01g31810.t1 pep chromosome:ASM357664v1:1:35426361:35428536:1 gene:itb01g31810 transcript:itb01g31810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNQRKPRFLCLHGFRTSAEILKKQVVGKWPSSVVEKLDLVFVDAPFPCQGKSDVEGIFDPPYYEWFQFNKEFTEYQNFDNCLAFIEDCMIKYGPFDGLLGFSQGAMLSAALPGLQEKGVGLANVPKIRYLIIIGGAKLKNQAIAEKAYSLPIKCPSVHFIGKEDFLKEYGSELLESFVDPLVIHHPKGHTIPRFDEEGLQQMLSFLESIQGDISMSEEKQTEIAKKHS >itb07g00320.t1 pep chromosome:ASM357664v1:7:235694:240482:-1 gene:itb07g00320 transcript:itb07g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVSKTILIIGATGFLGKVLIEKILRTQPDIKKLFLVVRAKNSEDAQERFNNEVLESELLGVLRDKYGGNLSTLVEEKVFPVAGDICSEDLGIQNPDLKEQILGEVDIIINTAATITFDERYDVAMRINALGAMNLLNFAKKCLKVKMFVHVSTAYVCGEGTGIVPERAYHLGETLNGNSYLDIDEEKKVIEEKLMELEAQNASEKEVKLAMKDLGIQRASMHGWPNTYSFTKAMGEMLLLAFKEDLHLIILRPTIITSTYKEPFPGWIEGAKTIDIFVLMYGKGKSNFMLGDPNSILDVIPVDMVVNAMVAAVLHHANQESCHQEFIYHVGSSSTNPLKLVDIQNLLFRYFTDNPWTTNTGNLVKVHKYLLLTSMASLRRYIAIYHLPLLQVIAAYLLAVLGGNACPSAGDIKKILSSVGAEADDDRIELLLSQVEGKDITELIAAGREKLASVPSGGGAVAVAAPAGGAASAAPAAEEKKEEKKVEEKEESDDDMGFSLFD >itb10g22390.t1 pep chromosome:ASM357664v1:10:27250771:27254795:1 gene:itb10g22390 transcript:itb10g22390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWRTGMARTSIQCLLFTLLPALITVFYAVGSAEASIHNYDRDPFREVGNAYLVSGGSEGLAATFSVPKPRRAFHSTVHDGLSYIRFENLTFWRSKETADQHPDMAYNSGLIQIIIFEAADRDNIGGSPYGGQRSICCTPDLAKLEGCKQGEVIRTPSATDINWPVVLNVHFRGKQLSARMKNREVYIKKTGMYNLFFISCDPKLKGMKVTGKTLWKNPDGFLPGRMAPLMKFYSVMSLAYVILSAIWCFQYVRYWKDVLPLQHCIAGVIALGLFEMLFWYLDYSYFNNTGARPVGLTSWVVTIGSIRRTFSRILILSISMGYGVVRPTLGGLTIKVLLLGMTYFVASELLNITEYVGTINDVAGRARVFLVLPDAFLDAFLILWVFTSLSKTLEQLQAKRSSVKLDLYRQFSNALAVTIIASVAWIGYEVYFKATDPFNERWQSGWIITAFWDILAFALLCVICFLWAPSQSSQRYAYSDGKGEDSDDEETESLCKESPRGDISLVKMERKEGIETSDGEDEPEDGKRE >itb01g09980.t1 pep chromosome:ASM357664v1:1:8276459:8277245:-1 gene:itb01g09980 transcript:itb01g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAGLNVIAELIMGYMYPGKPLANVTFKMYGLSSLSQSLMFLSDFKLGHYMKIPPRSMFMVQLVGTLLSCCVHFGTGWWLLTTVENICNPSKLPEGSQWTCPGDDVFYNASIIWGVVSPRRMFGNLGIYQAANYLFLVGLLAPIPIWYLGRKYPEKRWVSLINMPILISGASGIPMVKSVNYVCWFAVGLYFNLVGVSMDQSGGVWSWMTIALWLVALLPLAL >itb14g21610.t2 pep chromosome:ASM357664v1:14:23532588:23535366:-1 gene:itb14g21610 transcript:itb14g21610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALAVLSLLSLAQVSSHQESGDWSCDSNPEIRLQAQFRPGIVTLDGHVDDWKDVGGSQFSLLPALDPDADEEYNGGKMTIKALHDGKDVFFMLQVDGNYAYTDGDNTKCPSVALMFPIGDNATYHRMGGCEQEPDTCSSKTCHGHEVDIMHFSIGNAIPGRLYGGNPVDNTAGNGGGRFGHLVDVYAWNPHCRYLDGIGPSANDSSAQNDWKGAWWHSSLTSHSGFVKEDSPYASNAESGTYYFEFSRPLTTMDRLQQLGWCRMPSSELGIQVRFLQLYGTLLMGNLGMDKLRLDIAGHNGRDRQ >itb14g21610.t1 pep chromosome:ASM357664v1:14:23532588:23535366:-1 gene:itb14g21610 transcript:itb14g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALAVLSLLSLAQVSSHQESGDWSCDSNPEIRLQAQFRPGIVTLDGHVDDWKDVGGSQFSLLPALDPDADEEYNGGKMTIKALHDGKDVFFMLQVDGNYAYTDGDNTKCPSVALMFPIGDNATYHRMGGCEQEPDTCSSKTCHGHEVDIMHFSIGNAIPGRLYGGNPVDNTAGNGGGRFGHLVDVYAWNPHCRYLDGIGPSANDSSAQNDWKGAWWHSSLTSHSGFVKEDSPYASNAESGTYYFEFSRPLTTMDRLQQDAQFRIGNSSKVSAALWYPVDGKPWHG >itb12g05850.t1 pep chromosome:ASM357664v1:12:4362431:4363987:1 gene:itb12g05850 transcript:itb12g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNQLGWIPLVKDALAGYNTSLLAYGQTGSEKTYTLWGPPSAMVETPSTNSLQGIVRRIFQVLFDNIQREHENSKDKQISYQCRCSFLEVYNGHIGDLLDPMQRNLKLLEWNGNRDCEQEIVKEIQGEVDHDDRG >itb07g14410.t1 pep chromosome:ASM357664v1:7:17075451:17078225:-1 gene:itb07g14410 transcript:itb07g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWQWLLLLQLIFLSSTTCGSLPHEIPRLTPFYEPILQHSSSKTQLLPPDFRTFYYTQTVDHFNYAPQSYATFQQRYIVNSKYWGGAQFNSPIFAWLGAEAPIDINPTLIGFLTENAPRFKALLVYIEHRFYGESIPFGDIEEGVINDTIRGYFNSAQALADYAQEVSDTCYQTIKQSWSIIDTIASQPNGLSILSRKDLNSALELKNYLDRMYCAAAQYDTPAEQPVRVVCGGIDGAPKGANILDQIQAGVVAIKENKPCYTVSAIEGNQSFYTVSAGGDDGWSWQTCSELVFPIAKGNDSMFDPALFNLEQYSQFCISAFGVPLDHIGSQHISEDKVLEDISDTILAVYTQNGMFINMYIHIVDQHLSLSY >itb06g06020.t1 pep chromosome:ASM357664v1:6:8699815:8703054:-1 gene:itb06g06020 transcript:itb06g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRLKEKVNSFFSNRWLVFVAAMWIQTCAGIGYLFGSISPTIKSTMGYNQKQLSSLGVAKDLGDSVGFLAGTLSEILPLWAVLLVGVAQNFVGYGWVWLIITGRLPALPLWAMCVLIFVGTNGETYFNTAALVSGVQNFPKSRGPVVGILKGFAGLSGAILTQIYTLIHSPDHASLVFMIAVGPAIVIISLMFLIRPVGGHRQIRSTDGSSFTFIYSVCLLLAAYLMGVMLVEDLVNVSNTIVMIFTIVLFILLLVPIVIPIFVTFNQEPSVPAEEPLLSEAPKQDPGKSEQDVTQEIIFSELEDEKPKEVDLLPASERHRRIAQLQAKLAQAAAEGAVRIKRRRGPHRGEDFTLMQALIKADFWIIFFSLLFGSGSGLTVIDNLGQMSESLGYENTHVFVSMISIWNFLGRVGGGFFSEIIVRDYAYPRHASMAIAQVIMAVGHFFFAMGWPGAMYVGTLLVGLGYGAHWAIVPAAASELFGLRNFGALYNFLTLANPGGSLVFSGLIASGIYDREAEKQAQATPHNSQTNLGSVLSTVLTADETLKCTGSICYFLTSLIMCGLCIVAAILSMILVYRTKSVYSQLYGKSRT >itb13g19710.t1 pep chromosome:ASM357664v1:13:26656448:26657584:1 gene:itb13g19710 transcript:itb13g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTCLTTTLTWADSNIFLKTCYKILRLLIILIFFFFYILRVLSRNKNMMNILALSLILITLGTTGIFSPNADTRAVVIEFEKDDNNGGNPKVSISPQEAAQKSRLEKGYVLNAMEKISEKADEVKQRFKDAAAVLPNVGEGISAYSAPEEEEVKETAREEKLQKRIKGDEQRKEKVIIKEKREKELKDILRRGGEVLYDALEYIFSPENLNALFGTAHLLGLAIAYGMCVWVTFISSYVLALALPRQQFATVQTKVYPLYFKAMGYSIGAAFSGHLLGQRRWPYSSGTETYLGFNLVAALVMVMVNLVYLEPRASKVTVERMKMEKEEGRGMSAGMMKLSKRLKKLNSQSSFLNVVTLMAMTLHLAHIAQRLHYVC >itb01g20120.t1 pep chromosome:ASM357664v1:1:26410217:26413707:-1 gene:itb01g20120 transcript:itb01g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYTPKNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNLKNLTPSRSSPNFKFVKGDIGSADLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERRVIDVAKDICQLFNMDPKTCIEFVENRPFNDQRYFLDDQKLKNIGWSERTAWTEGLKKTIEWYTNNPDWWGDVSGALLPHPRMLMMPGGVERHFDGPEKCDSETSQFTAKSNQTQMVVPIPKKNPAQKPPYKFLIYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRSLLLADIQSIKPTHVLNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCRENGILVVNFATGCIFEYDAAHPEGSGIGYKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKITRYNKVVNIPNSMTILDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYKKYIDPEFKYANFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKYVFEPNRKTSA >itb01g20120.t2 pep chromosome:ASM357664v1:1:26410217:26413659:-1 gene:itb01g20120 transcript:itb01g20120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYTPKNILITGAAGFIASHVANRLVRSYPDYKIVVLDKLDYCSNLKNLTPSRSSPNFKFVKGDIGSADLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERRVIDVAKDICQLFNMDPKTCIEFVENRPFNDQRYFLDDQKLKNIGWSERTAWTEGLKKTIEWYTNNPDWWGDVSGALLPHPRMLMMPGGVERHFDGPEKCDSETSQFTAKSNQTQMVVPIPKKNPAQKPPYKFLIYGRTGWIGGLLGKLCEKQGIPYEYGKGRLEDRSLLLADIQSIKPTHVLNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCRENGILVVNFATGCIFEYDAAHPEGSGIGYKEEDKPNFTGSFYSKTKAMVSSLSPILIFFSHYNPVNVFLNLLFPSTRLKSS >itb05g15710.t1 pep chromosome:ASM357664v1:5:23094017:23094524:-1 gene:itb05g15710 transcript:itb05g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATDYGELQPSSTFSSWLTLHRRHRALPPTPKMYDEQRRSSLVFLPSTDAISYVNGSSPSLRRAGCDNDNDNFFMSSCFHNIYLFTGIYLMHKYMMIVFNRSYSYSGGRSSVFNRSYSYSGGRSS >itb10g16930.t1 pep chromosome:ASM357664v1:10:23169595:23170142:-1 gene:itb10g16930 transcript:itb10g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGESSPPRRNRSPLTGDGSQSPEPATITTTRIWTYRMTMRMTLWTPTHRQDPRQPSPFCFPAGETALPTKEELLRCLIPAPPNYKVPFKWPQSRDFAWFANIPIKSLALRRRSRIGFRWWVTDSDFQGVGPDFHVALMPTLMI >itb04g30270.t1 pep chromosome:ASM357664v1:4:33416033:33417319:1 gene:itb04g30270 transcript:itb04g30270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVPTTGQERAFCKAKGACYYKTLTCPAECPERKPKKNKKKKGCFINCGSKCEAVCKWRKPKCSGYGSLCYDPRFVGGDGVMFYFHGAKGADFAIVSDENLHINAHFIGTRPQGRTRDFTWVQALAVMFDSHTLVLAAKRVSKWDDSVDSLMVKWDGETVNVPTDGEAEWRINTGERSVVVERTDDVNTVKLTVSGLLELAAKVVPIGEQENRSHNYQIPADNAFAHLETQFKFLALSDSVEGVLGKTYQPGYESPVKRGVAMPMMGGEDKYQTPSLYSPLCKHCRFQRPSGISTM >itb04g30270.t2 pep chromosome:ASM357664v1:4:33416409:33417319:1 gene:itb04g30270 transcript:itb04g30270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKPKCSGYGSLCYDPRFVGGDGVMFYFHGAKGADFAIVSDENLHINAHFIGTRPQGRTRDFTWVQALAVMFDSHTLVLAAKRVSKWDDSVDSLMVKWDGETVNVPTDGEAEWRINTGERSVVVERTDDVNTVKLTVSGLLELAAKVVPIGEQENRSHNYQIPADNAFAHLETQFKFLALSDSVEGVLGKTYQPGYESPVKRGVAMPMMGGEDKYQTPSLYSPLCKHCRFQRPSGISTM >itb11g21780.t1 pep chromosome:ASM357664v1:11:23510621:23513757:-1 gene:itb11g21780 transcript:itb11g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQYAYFNSEAAAIVQIKIPTTLNTPFEPEVKLVFSNPSKPPLPLGMGVFSHNHILYMVGGYNTRKFKGTGNNRREVKDDDDAYGCIIRYEYEYDDRVHMFDPTKCHQIPVENIETLQNLGCAHTVLPKVIRAEDRIYLLSRKDDHFGYRIWSSKEHLDENVPLDFQYFDLNKKLFETLPSPPIRINLEMHLSVIGVKGHFFLRGYIYVFITDTTTCFETFKFSTKDSKWEDCKSFVDRFEERNIPFPFLHAGDMGVSDEFDDNTWILVSLHGKLPTAYRVRLSDTGDIDPISHRVLAEFKFSDADMPYSVHDWKQLADMGGERFCVMHTTSSGDFFIYVFEINFRLEHAIQTFESGDRSSNIIFSMKFNPYDTLPKGHALTGFCIASAPLPASPDNEDQDKTPPRASPDNVDQDSSSCAAELAVKTTII >itb10g21570.t1 pep chromosome:ASM357664v1:10:26746163:26748988:-1 gene:itb10g21570 transcript:itb10g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFTGPVRKWKKRWVHVTPPNSGNVNQNHQQATANGGVNGNNGSHLLLLKWTPITARQNNNNSNNSNGGVDKNDDSKSSAKDDDVAVEEPRKRKFKYIPIAVLEEQKNESIEDAECEAKPIDTETDVGQPTSKADGSDEKPNIDDATMEENQAPEGSNSHGHNTNESTLDLSLGLAGDGENDLLKDESEEMKES >itb15g17800.t1 pep chromosome:ASM357664v1:15:18912063:18916757:-1 gene:itb15g17800 transcript:itb15g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIGARHCPEMQQKSTGAHQSADASKSRSLPSQASLMNKHKLVPFEQIEESGKISVPVAAKALDDKKSENCNEKGSSDSSTDKSHSVISSIDNDQVSTPKVNESRSSREVSVDQEKETSEPGSVKNSSVSPKVSDGTSSLPKTSGTAKISGRAEYAESGKSSMCRGSTSTDVSDESSCSSFTSSVSKPHKANDCRWEAIQVVRAKDGVLGLSHFRLLKRLGCGDIGSVYLAELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVRFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKTSTLESDPLRKNTVYCVQPACIEPSCIQPSCAVTTTCFSPRLFSSKSKKSRKPKTDIGNQVSPLPELIAEPSSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQNRLAYKKGATEIKQHPFFEGVNWALIRCATPPEVPKPVEFEQLPVPAASTSEKATPVAVAPTQKGSDNYLEFDFF >itb05g11750.t1 pep chromosome:ASM357664v1:5:17972523:17973705:-1 gene:itb05g11750 transcript:itb05g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLECGAICSKHTFDGDRCHYAALNLKVRKLLKAFEARPPPLAPLQAALRDTFLGCFANAYGYADEQNSDAPYSLVLGVLSKVFKTAQSLAGEVAATTGDDGGGFVFSCLEDFVGCAIVEVTCMAGEKKIVAYETTKINGKYSIEVKEFDYNKYGGKTCKAKLQTPPKGSKCNISTKYGH >itb04g34020.t1 pep chromosome:ASM357664v1:4:36070879:36073847:-1 gene:itb04g34020 transcript:itb04g34020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKYAVQYCTVETSDGCSLHARIFYSRREEAEVVGERNLVLVLVHPYSILGGCQGLLGGIAGGLAHAGYKAVTFDMRGVGRSTGKPSLTGFKEIRDVVAVCQWVSRNLDTDRILLVGSSAGAPIAGSAVDQVDQIVGYVSIGYPFGFLASVLFGRHHKAILKSPKPKLFIMGTQDGFTSVKQLKNKLSGAAGRTESHLVEGVGHFELEGPAYDDAMVNLTLDFIRSL >itb07g07630.t2 pep chromosome:ASM357664v1:7:5803484:5809072:1 gene:itb07g07630 transcript:itb07g07630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFNPCFFFLLFPLILLLCFAHGEDELSYNCTKEFSCGNISHLEFPFAQHTQPHCGLVVVNCSATPPTLQLETGGDWYQLQLVKPPVWGDWGEYVIFLGDSKLQRLFDSHNCSILDGYTIQFPNSPSFKFHNFEKTEANNLWKCSYQSQPDDPFYNYERYDCIEGLTLYYKNHLVPEYPKCDHANCTLYPNPFFVKQNTAHFGLELHVSNDCYDCYYGGGRCTADSNNKFQCKKVTTGKRKLRVILITVISGGLALILVSLAIFLVWRRKKGSKGYSRNTSSHPTLDLERGRSRLFGILVFSYSELEEATNNFDPSKELGDGGFGTVYYGILKDGREVAVKRLHERNCKRMEQFVNEISILTRLKHPNLVTLYGCTSRHNRELLLVYEYIQNGTLEDHLHGQRAADGLLTWPIRLKIAVEAAAALVYLHASEVIHRDVKSSNILLDENFCVKVADFGLSKLFPANVTHATTTPKGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELLSSMPAVDVRRHTHEISLAKLATNKILIGAFDELIDSSLGYEMDTEIKRMTTSVAELAFQCLQTDKDMRPTMEQVLESLKEIRGDELNEDESVNGEETNASTEEEPQRKAVTWAGPSLAPHGILKLVSTRKSS >itb07g07630.t3 pep chromosome:ASM357664v1:7:5804134:5809012:1 gene:itb07g07630 transcript:itb07g07630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSCGNISHLEFPFAQHTQPHCGLVVVNCSATPPTLQLETGGDWYQLQLVKPPVWGDWGEYVIFLGDSKLQRLFDSHNCSILDGYTIQFPNSPSFKFHNFEKTEANNLWKCSYQSQPDDPFYNYERYDCIEGLTLYYKNHLVPEYPKCDHANCTLYPNPFFVKQNTAHFGLELHVSNDCYDCYYGGGRCTADSNNKFQCKKVTTGKRKLRVILITVISGGLALILVSLAIFLVWRRKKGSKGYSRNTSSHPTLDLERGRSRLFGILVFSYSELEEATNNFDPSKELGDGGFGTVYYGILKDGREVAVKRLHERNCKRMEQFVNEISILTRLKHPNLVTLYGCTSRHNRELLLVYEYIQNGTLEDHLHGQRAADGLLTWPIRLKIAVEAAAALVYLHASEVIHRDVKSSNILLDENFCVKVADFGLSKLFPANVTHATTTPKGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELLSSMPAVDVRRHTHEISLAKLATNKILIGAFDELIDSSLGYEMDTEIKRMTTSVAELAFQCLQTDKDMRPTMEQVLESLKEIRGDELNEDESVNGEETNASTEEEPQRKAVTWAGPSLAPHGILKLVSTRKSS >itb07g07630.t4 pep chromosome:ASM357664v1:7:5805890:5809072:1 gene:itb07g07630 transcript:itb07g07630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFNPCFFFLLFPLILLLCFAHGEDELSYNCTKEFSCGNISHLEFPFAQHTQPHCGLVVVNCSATPPTLQLETGGDWYQLQLVKPPVWGDWGEYVIFLGDSKLQRLFDSHNCSILDGYTIQFPNSPSFKFHNFEKTEANNLWKCSYQSQPDDPFYNYERYDCIEGLTLYYKNHLVPEYPKCDHANCTLYPNPFFVKQNTAHFGLELHVSNDCYDCYYGGGRCTADSNNKFQCKKVTTGKRKLRVILITVISGGLALILVSLAIFLVWRRKKGSKGYSRNTSSHPTLDLERGRSRLFGILVFSYSELEEATNNFDPSKELGDGGFGTVYYGILKDGREVAVKRLHERNCKRMEQFVNEISILTRLKHPNLVTLYGCTSRHNRELLLVYEYIQNGTLEDHLHGQRAADGLLTWPIRLKIAVEAAAALVYLHASEVIHRDVKSSNILLDENFCVKVADFGLSKLFPANVTHATTTPKGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELLSSMPAVDVRRHTHEISLAKLATNKILIGAFDELIDSSLGYEMDTEIKRMTTSVAELAFQCLQTDKDMRPTMEQVLESLKEIRGDELNEDESVNGEETNASTEEEPQRKAVTWAGPSLAPHGILKLVSTRKSS >itb07g07630.t5 pep chromosome:ASM357664v1:7:5803484:5809072:1 gene:itb07g07630 transcript:itb07g07630.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFNPCFFFLLFPLILLLCFAHGEDELSYNCTKEFSCGNISHLEFPFAQHTQPHCGLVVVNCSATPPTLQLETGGDWYQLQLVKPPVWGDWGEYVIFLGDSKLQRLFDSHNCSILDGYTIQFPNSPSFKFHNFEKTEANNLWKCSYQSQPDDPFYNYERYDCIEGLTLYYKNHLVPEYPKCDHANCTLYPNPFFVKQNTAHFGLELHVSNDCYDCYYGGGRCTADSNNKFQLTTGKRKLRVILITVISGGLALILVSLAIFLVWRRKKGSKGYSRNTSSHPTLDLERGRSRLFGILVFSYSELEEATNNFDPSKELGDGGFGTVYYGILKDGREVAVKRLHERNCKRMEQFVNEISILTRLKHPNLVTLYGCTSRHNRELLLVYEYIQNGTLEDHLHGQRAADGLLTWPIRLKIAVEAAAALVYLHASEVIHRDVKSSNILLDENFCVKVADFGLSKLFPANVTHATTTPKGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELLSSMPAVDVRRHTHEISLAKLATNKILIGAFDELIDSSLGYEMDTEIKRMTTSVAELAFQCLQTDKDMRPTMEQVLESLKEIRGDELNEDESVNGEETNASTEEEPQRKAVTWAGPSLAPHGILKLVSTRKSS >itb07g07630.t1 pep chromosome:ASM357664v1:7:5803484:5809072:1 gene:itb07g07630 transcript:itb07g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFNPCFFFLLFPLILLLCFAHGEDELSYNCTKEFSCGNISHLEFPFAQHTQPHCGLVVVNCSATPPTLQLETGGDWYQLQLVKPPVWGDWGEYVIFLGDSKLQRLFDSHNCSILDGYTIQFPNSPSFKFHNFEKTEANNLWKCSYQSQPDDPFYNYERYDCIEGLTLYYKNHLVPEYPKCDHANCTLYPNPFFVKQNTAHFGLELHVSNDCYDCYYGGGRCTADSNNKFQCKKVTTGKRKLRVILITVISGGLALILVSLAIFLVWRRKKGSKGYSRNTSSHPTLDLERGRSRLFGILVFSYSELEEATNNFDPSKELGDGGFGTVYYGILKDGREVAVKRLHERNCKRMEQFVNEISILTRLKHPNLVTLYGCTSRHNRELLLVYEYIQNGTLEDHLHGQRAADGLLTWPIRLKIAVEAAAALVYLHASEVIHRDVKSSNILLDENFCVKVADFGLSKLFPANVTHATTTPKGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELLSSMPAVDVRRHTHEISLAKLATNKILIGAFDELIDSSLGYEMDTEIKRMTTSVAELAFQCLQTDKDMRPTMEQVLESLKEIRGDELNEDESVNGEETNASTEEEPQRKAVTWAGPSLAPHGILKLVSTRKSS >itb01g23760.t1 pep chromosome:ASM357664v1:1:29661560:29664701:1 gene:itb01g23760 transcript:itb01g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57610) UniProtKB/Swiss-Prot;Acc:Q96529] MNPSALRLDSSAIYAPPIPPPYRSGGQGCRFLPLQLRKMAAPGFSLTVCSAKQTSAVMESGEATESKLSRIESLSQVSGVLGCQWGDEGKGKLVDVLAKHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETICVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQEVDGLREAELAKSFIGTTRRGIGPCYSSKVIRNGIRVGDLRHMDTFPQKLDLLLSDAASRFPGFNYGPDMLREEVERYKKFAERLEPFITDTVHYMSETISQNKKVLVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTTRVGSGPFPTEILGKGGDLLRFAGQEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSDLEEIEIGVSYKQLDGSPIRSFPADLRILDQVKVEYEVLPGWQSDISSVRKYSELPSAARQYVERIEELVGVPVHYIGVGPGRDALIYK >itb13g16020.t2 pep chromosome:ASM357664v1:13:22916779:22927134:1 gene:itb13g16020 transcript:itb13g16020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEQQQAGVVGGGSHRIVAVDASPLPSDSTNKLHLDLQEEHNKKPGWRKFISYVGPGFMVSLAYLDPGNLETDLQAAKILHFIVETDLQAGADHRYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPKYVKYCLWLLAEIAVIAADIPEVETDLQAGADHRYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPKYVKYCLWLLAEIAVIAADIPEVVSVSGTVCSNGKLSKDDLDHCSDLSLNSASFLLKNVLGKSSSTVYAIALLASGQSSTITGTYAGQYIMQGFLDLKMKKWSRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASIIVVSWILGLGIIGINIYYLSTAFVDWLIHNNLPKAGNVLVGIVVFPLMAIYVVSVIYLMFRKDKVVTFIQPNDDSKLDNANNNNVCMTPIDNGFPHTLP >itb13g16020.t1 pep chromosome:ASM357664v1:13:22916779:22918916:1 gene:itb13g16020 transcript:itb13g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEQQQAGVVGGGSHRIVAVDASPLPSDSTNKLHLDLQEEHNKKPGWRKFISYVGPGFMVSLAYLDPGNLETDLQAAKILHFIVETDLQAGADHRYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPKYVKYCLWLLAEIAVIAADIPEG >itb12g27450.t1 pep chromosome:ASM357664v1:12:27824795:27828943:-1 gene:itb12g27450 transcript:itb12g27450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSKSALAETPNNKPANATPRASKASRDAAAKSDTGSPSPLQATCSVDKSPRSVVSKPSMERRVSKIGTPPDKKPTRILKPSEIQAELNIAHEDLKKAKEKLALVEKEKSQALDDLKEAQILAEEASEKLREALMAQKWAEESSEIEKFRAVEMEQAGIEAAQKKEEEWHKEIEAVRSQHALDVAALLSASQELQRAKDELAMTSDAKNQALSHAEDATKIAEIHVEKVEILSAELARVKSLLDSQIATEANEKNKLVEEMNLEIETLKQEFEKAKTFEMEVMEKEAILEQLNVELEAARMAESYAHNLVEEWKNRVEELEAQTAEAHRNERSASESLESAMKQLEGSNDSLHDAKSEISSLKEKVGLLEISIARQKVDLEESDRNLKMAKEEASEMTNKVESLSSDLETAKEEKIQALSNEKLAAESVQKLLEEKHKFLGELESSKAEEEKNKKAMENLASDLHEVSLEAREAKENLISIQAKNENYDSQIEDLKLDLKATNEKYERILDDAKHEINLLTKSVEQSKQDYQALKTGWEEKELSLMNRAKKTEEKNASMDKEISRLVTLLQEAQEEAYTRKENVAHLNSSLKEVESEVIYLQEVLGEAKAESMKMKESLIDKENELQNVTHENDELRSREAASLQKVEELSKMLEEALSKKQAEENGELTDSEKDYDMLPKVVEFSEQNGGREEQKPKVELPFPPLQPEQSVKEEPQETNNASADEARGCSTNEAGEVDSKATETENKEKDDNASADGEFKMWETCKIDEKDFSPERVPEQEEELETKPDASENHDQPNGLSSTENDENGNTSPSKQQSQKKKKPLLSKFGSLLKKKGTGTPKQ >itb10g24950.t2 pep chromosome:ASM357664v1:10:28486448:28489995:-1 gene:itb10g24950 transcript:itb10g24950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSPFKNSSILFLLCLLLQSFSSASSQSFLGINYGILADNLPPPAQTVKLLQSTSIQKVRLYGADPAIIKAMAGTDIGIVIGAANGDIPALASDPNFAGQWVSSNVLAYYPASKIIVVTVGNEVMSSGEQSLVSQLLPAMQNVQKALNAASLGGKIKVSTVHSMAVMSQSDPPSSGAFNPATGDTMKALLQFHKENGSPFMINPYPFFAYQSDPRPETLAFCLFQPNAGRVDAGSGIKYMNMFDAQVDAIYSALKAIGFKDVEIVVAETGWPYKGDANEVGPSVENAKAYNGNLINHLKSMAGTPLMPGKSVDTYIFALYDEDLKPGAGSEKWFGIFKPDLSATYDVGLSKSAQSAQVIANLALIEHRFSRNLMTDIDEEATRAFFFI >itb10g24950.t1 pep chromosome:ASM357664v1:10:28486448:28489995:-1 gene:itb10g24950 transcript:itb10g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSPFKNSSILFLLCLLLQSFSSASSQSFLGINYGILADNLPPPAQTVKLLQSTSIQKVRLYGADPAIIKAMAGTDIGIVIGAANGDIPALASDPNFAGQWVSSNVLAYYPASKIIVVTVGNEVMSSGEQSLVSQLLPAMQNVQKALNAASLGGKIKVSTVHSMAVMSQSDPPSSGAFNPATGDTMKALLQFHKENGSPFMINPYPFFAYQSDPRPETLAFCLFQPNAGRVDAGSGIKYMNMFDAQVDAIYSALKAIGFKDVEIVVAETGWPYKGDANEVGPSVENAKAYNGNLINHLKSMAGTPLMPGKSVDTYIFALYDEDLKPGAGSEKWFGIFKPDLSATYDVGLSKSAQSAQTPAVAPVALATPAPTTPVVNSVTPPTTPTTGVNPVTPVTPIYQSGSLGSGTAISCRPPCSLQLVLVTMTAFILVKAYGS >itb12g08950.t2 pep chromosome:ASM357664v1:12:7004079:7005652:-1 gene:itb12g08950 transcript:itb12g08950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIFDSTALNPKPTMDELEAFERDYEPGMGIETIGGQYFRPTEYELIDEFLKKKIGRRPLPNEIIKEIPFLYEYPPELLPIWKFKYARNYEAYYFTHKGKKPNGEFLGGKNFEGQWKTEGPEEPCFGQNQILGFKRKLYFYRSEKRTGWVIKEYRYNPKRVPAHYLQNSY >itb12g08950.t1 pep chromosome:ASM357664v1:12:7004079:7005652:-1 gene:itb12g08950 transcript:itb12g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIFDSTALNPKPTMDELEAFERDYEPGMGIETIGGQYFRPTEYELIDEFLKKKIGRRPLPNEIIKEIPFLYEYPPELLPIWKFKYARNYEAYYFTHKGKKPNGEFLGGKNFEGQWKTEGPEEPCFGQNQILGFKRKLYFYRSEKRTGWVIKEYRYNPKRVPAHYLQNRFFHGFLLNYVVLKIKFNPDLREKFTAEESFSISMEDAKDLDVFQDFEG >itb15g22480.t1 pep chromosome:ASM357664v1:15:25177509:25180640:1 gene:itb15g22480 transcript:itb15g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEFGWTPLHYAVQLRDDKTVGMILEKKSSAAYIRAGKGDDWTTIFHIAARHGNIKTMKYISNRFPNCWTMINCKSQNVLHEAILSGRVNMIKYILKDLQMDNLVDQKDRDGNTPLHLLSFSSWSHVDYNHIVTRLKRKHFVFNKRHQTPHDLFNQPINAFKYISGGIPQRSGRRSGPRVDLAENQETASREDTDNVEGEINRMLRMSKTMTIIATLILTITFAAGFTVPGGYDSNSGSKQAGKPILFENTAFMVFVYSDSIAFVCSILSILIYIRMVSEASSSSKKYTTIASLYHLEAIMIVNTTVGVVVAFISGMYATLSLANEVLFIGLLLLLIVGRNGVFHSEAKSAKENSGSRDGGDDDAVDTRKGHLKMGLG >itb11g08400.t2 pep chromosome:ASM357664v1:11:5511492:5516018:1 gene:itb11g08400 transcript:itb11g08400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MDDESNAYTVEEALVTMGFGKFQVLVLIYAGMGWISEAMEMMLLSFVGPAVQSAWDLSPHEESLLTSMVFVGMLFGAYTWGIVSDNYGRRNGFFVTAMITAIAGLSSSLSANITVLIIMRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTIGTILEASLAWAVMPKLGWRWLLAFSSVPSSLLLLCYSFTIESPRYLCAKGRRDEALVILEKISRINGAKLPPGMLVSDREVAVEGNGSASEDRHLLSPKAEESVKSKPVEPATGWFSSLVMLLSPELAKSTLLLWTVFFGNAFSYYGLVLLTTQLNSSKNKCAASGVQSDAHEGVNYQDVLITSFAEIPGLLLSAATIDRLGRKLSMSSLFFICCVFLLPLVYPQSKVLTTGLLFGARTCITATFTIVYIYAPEVYPTSVRTTGFGIASSVARIGGIVCPLVAVGLIHGCHQTMAILLFEVVAFMSGVCVIFFPFETKGRELNDNVSRTKRENTLEIN >itb11g08400.t4 pep chromosome:ASM357664v1:11:5511453:5516018:1 gene:itb11g08400 transcript:itb11g08400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MDDESNAYTVEEALVTMGFGKFQVLVLIYAGMGWISEAMEMMLLSFVGPAVQSAWDLSPHEESLLTSMVFVGMLFGAYTWGIVSDNYGRRNGFFVTAMITAIAGLSSSLSANITVLIIMRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTIGTILEASLAWAVMPKLGWRWLLAFSSVPSSLLLLCYSFTIESPRYLCAKGRRDEALVILEKISRINGAKLPPGMLVSDREVAVEGNGSASEDRHLLSPKAEESVKSKPVEPATGWFSSLVMLLSPELAKSTLLLWTVFFGNAFSYYGLVLLTTQLNSSKNKCAASGVQSDAHEGVNYQDVLITSFAGMTSCFNQFY >itb11g08400.t3 pep chromosome:ASM357664v1:11:5511450:5515834:1 gene:itb11g08400 transcript:itb11g08400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MDDESNAYTVEEALVTMGFGKFQVLVLIYAGMGWISEAMEMMLLSFVGPAVQSAWDLSPHEESLLTSMVFVGMLFGAYTWGIVSDNYGRRNGFFVTAMITAIAGLSSSLSANITVLIIMRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTIGTILEASLAWAVMPKLGWRWLLAFSSVPSSLLLLCYSFTIESPRYLCAKGRRDEALVILEKISRINGAKLPPGMLVSDREVAVEGNGSASEDRHLLSPKAEESVKSKPVEPATGWFSSLVMLLSPELAKSTLLLWTVFFGNAFSYYGLVLLTTQLNSSKNKCAASGVQSDAHEGVNYQDVLITSFAEIPGLLLSAATIDRLGRKLSMSSLFFICCVFLLPLVYPQSKVLTTGLLFGARTCITATFTIVYIYAPEVYPTSVRTTGFGIASSVARIGGIVCPLVAVGLIHGCHQTMAILLFEVVAFMSGVCVIFFPFETKGRELNDNVSRTKRENTLEIN >itb11g08400.t1 pep chromosome:ASM357664v1:11:5511450:5516018:1 gene:itb11g08400 transcript:itb11g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MDDESNAYTVEEALVTMGFGKFQVLVLIYAGMGWISEAMEMMLLSFVGPAVQSAWDLSPHEESLLTSMVFVGMLFGAYTWGIVSDNYGRRNGFFVTAMITAIAGLSSSLSANITVLIIMRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTIGTILEASLAWAVMPKLGWRWLLAFSSVPSSLLLLCYSFTIESPRYLCAKGRRDEALVILEKISRINGAKLPPGMLVSDREVAVEGNGSASEDRHLLSPKAEESVKSKPVEPATGWFSSLVMLLSPELAKSTLLLWTVFFGNAFSYYGLVLLTTQLNSSKNKCAASGVQSDAHEGVNYQDVLITSFAEIPGLLLSAATIDRLGRKLSMSSLFFICCVFLLPLVYPQSKVLTTGLLFGARTCITATFTIVYIYAPEVYPTSVRTTGFGIASSVARIGGIVCPLVAVGLIHGCHQTMAILLFEVVAFMSGVCVIFFPFETKGRELNDNVSRTKRENTLEIN >itb11g08400.t5 pep chromosome:ASM357664v1:11:5511453:5516000:1 gene:itb11g08400 transcript:itb11g08400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MDDESNAYTVEEALVTMGFGKFQVLVLIYAGMGWISEAMEMMLLSFVGPAVQSAWDLSPHEESLLTSMVFVGMLFGAYTWGIVSDNYGRRNGFFVTAMITAIAGLSSSLSANITVLIIMRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTIGTILEASLAWAVMPKLGWRWLLAFSSVPSSLLLLCYSFTIESPRYLCAKGRRDEALVILEKISRINGAKLPPGMLVSDREVAVEGNGSASEDRHLLSPKAEESVKSKPVEPATGWFSSLVMLLSPELAKSTLLLWTVFFGNAFSYYGLVLLTTQLNSSKNKCAASGVQSDAHEGVNYQDVLITSFAGMTSCFNQFY >itb07g13600.t1 pep chromosome:ASM357664v1:7:15902533:15903192:1 gene:itb07g13600 transcript:itb07g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSRANGQKKNATRLKIMVEKLQKMSFLMGSKRSNRFEESDDVVPNDVKEGHFAVMAVDDDENLKRFIVPLSCLTHPPFLKLLEQAAEEYGFHHEGAVMVPCRPCELEKILADQWVEESSSFTTQQLLF >itb05g13770.t1 pep chromosome:ASM357664v1:5:20823308:20832870:1 gene:itb05g13770 transcript:itb05g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASPLSRLSVFDVLLLHSNAGFRPHLSSLTLSLLSPSSLTASRPPPSATLPHALCHQTARPHYDTTQSATQRRPPGTQRLQLANSSVSSSIRPQGVEYYCTNLVNSSKIISGNRMKKAMEPEVVATSSKIKFEDSDEYESSSEDVEEEIERELAEVTFEELQKARSDGSEVLYRKPTAETKGGRSNKNRPMEISSKKPVSRFREVVQVPKRVVRDPRFETLCGKLDEEGFKKRYNFLYQKDLPAEKEDLKKQMTRTNDPQVINELKNRIAWIDKQLKSASTRNTEKVILSEHKKKQREAAKQGKQPYYLKKSEIRQRKIIEKYKELKGSGKLEAFIEKKRRKNASKDHRLSSALGLRRPRPSLVLSFGWPKLSSTLVLEHTKAGISLRHLARHVLIAASGAQPKLILDQRRP >itb06g17050.t1 pep chromosome:ASM357664v1:6:20994339:21004373:-1 gene:itb06g17050 transcript:itb06g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGDGKGGREDNVTTTSKLNFPFQAVSSSTDAMPDLEAGKPDVACASESGGCVDDGSSDPFDIANTKKASVQALKRWRQAALVLNASRRFRYTLDLKKAEQKDQRRRMIRAHAQVVRAALLFKLAGQRAIVLGTAATPPVLSGDYGISLEQLVSITRNHDISVLQQNGGVKCLSEKLKTNLNTGIMGDEDELSKRRNTFGSNTYPTKKGRSFLRFLWEAWQDLTLIILIIAAVASLGLGIETEGLSKGWYDGGSIFFAVFLVIFVTATSDYRQSLQFQNLNEEKRNIKVEAIRGGRREKMSIYELVVGDIIPLKIGDQVPADGILISGHSLAIDESSMTGESKLMQKDSKSPFLLAGCKVADGAGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVALTVLIVLLARFFTGTTKNPDGSAQYVKGKTSVSEIVNGVIHIITAAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVNAYVGKKKIDPPEDGSQVPPVVCRLLDESIVLNTAGSVFTSKDGSGIEVSGSPTEKAILTWGVKLGMKFNVVRSGSVVLHISPFNSTKKRGGVAVRGLNNSQVRAHWKGAAEIILASCTAYYDSNGCLQPIENEKDFFKGAIVDMAARSLRCVAIAYRSCDTDKVPTDEEQLSEWQLPEDDLILLAIVGIKDPCRPGVKDAVRLCTNAGVKVRMVTGDNIQTAKAIALECGILSSDADITADDIIDGKTFRELPEKEREQVAQRISVMGRSSPSDKLLLVQTLRKQGDVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLVTNIMWRNLTVQAVYQVGVLLVLNFCGKSILNLEQDKTGNANMVKNTLVFNAFVLCQIFNEFNARKPDQMDVFEGVMKNHLFVGIVGTTFILQIVIIEFLGKFTSTVRLDFKQWLISLAIGIVSWPLAILGKLIPVPKTPLATVFFKLYRR >itb06g17050.t2 pep chromosome:ASM357664v1:6:20996437:21004373:-1 gene:itb06g17050 transcript:itb06g17050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGDGKGGREDNVTTTSKLNFPFQAVSSSTDAMPDLEAGKPDVACASESGGCVDDGSSDPFDIANTKKASVQALKRWRQAALVLNASRRFRYTLDLKKAEQKDQRRRMIRAHAQVVRAALLFKLAGQRAIVLGTAATPPVLSGDYGISLEQLVSITRNHDISVLQQNGGVKCLSEKLKTNLNTGIMGDEDELSKRRNTFGSNTYPTKKGRSFLRFLWEAWQDLTLIILIIAAVASLGLGIETEGLSKGWYDGGSIFFAVFLVIFVTATSDYRQSLQFQNLNEEKRNIKVEAIRGGRREKMSIYELVVGDIIPLKIGDQVPADGILISGHSLAIDESSMTGESKLMQKDSKSPFLLAGCKVADGAGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVALTVLIVLLARFFTGTTKNPDGSAQYVKGKTSVSEIVNGVIHIITAAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVNAYVGKKKIDPPEDGSQVPPVVCRLLDESIVLNTAGSVFTSKDGSGIEVSGSPTEKAILTWGVKLGMKFNVVRSGSVVLHISPFNSTKKRGGVAVRGLNNSQVRAHWKGAAEIILASCTAYYDSNGCLQPIENEKDFFKGAIVDMAARSLRCVAIAYRSCDTDKVPTDEEQLSEWQLPEDDLILLAIVGIKDPCRPGVKDAVRLCTNAGVKVRMVTGDNIQTAKAIALECGILSSDADITADDIIDGKTFRELPEKEREQVAQRISVMGRSSPSDKLLLVQTLRKQGDVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRRQGFIFYV >itb11g01140.t1 pep chromosome:ASM357664v1:11:524708:525443:1 gene:itb11g01140 transcript:itb11g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKPLIVLSFLLLTCISLSHSDVVPCPPAPAKCPKDTLKFGVCGDWLGLVHEVVGAPPSSGECCTVLKGVAELEAAVCLCTAIKAEVLGALKMEIPVAISLVLNSCGKKVPDGFVC >itb15g22000.t2 pep chromosome:ASM357664v1:15:24647082:24648143:-1 gene:itb15g22000 transcript:itb15g22000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCSFNPSFLGKKYRYAYACGAQRPCNFPNTLTKIDLVGKKAKNWYDEGSIPSEPFFVARPGATEEDDGVVISMISDKNGQGYALVLDGSTFEEIARAKFPYGLPYGLHGCWVPKK >itb15g22000.t1 pep chromosome:ASM357664v1:15:24647082:24652024:-1 gene:itb15g22000 transcript:itb15g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLSPANTCAKNVINVPRYSSDELCSLPAVKLIGRTRKQRRLVVSNVASKMAAAGATVASPPEVKERKLAAWTSVRQERWEGELVVEGEIPKWLKGTYLRNGPGLWHIGDYNFRHLFDGYATLVRLSFNHGRLTMSHRQVESDAYKAAKKNKKLCYREFSEVPKPDNFLSYVGELASLFSGASLTDNANTGVVKLGDGRVVCLTETIKGSIVINPDTLETVGKFEYEDSLGCLIHSAHPIVTDSEFLTLLPDLINPGYVVARMEPGSNERRFVGRVNCRGGPAPGWVHSFPVTEHYVIVPEMALRYCAQNLLRAEPSPLYKFEWHPQSRAFMHVMCKSSGKIVASVEVPLYVTFHFINAYEERDEDGRVTAVIADCCEHNADPTILDKLRLQNLRSYNGEDVLPDARVGRFTIPLDGSPYGKLEAALDPNEHGRGMDMCSFNPSFLGKKYRYAYACGAQRPCNFPNTLTKIDLVGKKAKNWYDEGSIPSEPFFVARPGATEEDDGVVISMISDKNGQGYALVLDGSTFEEIARAKFPYGLPYGLHGCWVPKK >itb14g13220.t1 pep chromosome:ASM357664v1:14:15167541:15168941:-1 gene:itb14g13220 transcript:itb14g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGYRISRYLYATSNINLPNFFQHSSVNRIWSQHANWMGYVAVATDEEEIRRLGRRDIVIAWRGTVTYLEWIHDLKNILHPAHFRDDPDIKIESGFFDLYTNSKKDDSCNFCSYSAREQLLAEVNRLIERYKGENLSITVTGHSLGAALALLSAYDIAEMKLNVVHQGEGETSASATKIPVSVFSFAGPRVGNLRFKERCDELGIKVLRIVNVRDKVPTVPGIIANEKFQYQKQLETTLKNFPWSYAHVGVELALDHSHSPFLKTGTDLGCAHNLEGHLHLVDGYHGQGRRFRSATGRDIALVNKDSNFLKREQGVPPRWRQDENKGMVRTSDGRWVVPERSRIEAHPPDTGHHFRKVLRLARARLHLFEKRVHVAKQ >itb13g18050.t1 pep chromosome:ASM357664v1:13:25104789:25106927:-1 gene:itb13g18050 transcript:itb13g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGKPINIPEATRKLEVDNRVSLRYYYRIADNLLQEANIHRDMKNVVDLYIVLLKFSSLLSETIPCHKDFTRSNKPEKDYYKKKLLLALNELETLRLEVEPKISRLNKAYASNEPVPGLTDDQQATQGRLSAVTVNVPQTSRQQTCSSNMKGERWVGSSLVIDSFSPKTEKVSSREDGRGSTSSSSSSSSACCVVCFEGGIEGACVPCGHMAGCMACLNEIKAQNWGCPVCRTPIQQVLRLYAV >itb14g03070.t1 pep chromosome:ASM357664v1:14:2769247:2769768:-1 gene:itb14g03070 transcript:itb14g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASNAFIFVFFVVICKFIFKKLLQMFPQSKVLNCVVSVATQLKWGWDCLLLQSLCQVPHKFNAVTGIMSPENGASEVGVRVFEGESDGAVECAVCLCKIEEGEEVRDLKCKHIFHRACLDRWLGTGHITCPLCRTHVKPASRLLEEHLHQQEVIVFDFCSDRRDRCTWWLR >itb11g01230.t1 pep chromosome:ASM357664v1:11:554227:555653:1 gene:itb11g01230 transcript:itb11g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGAGPQRGTAAAAAANMRRRRTAGGGGAAAGGASGTMLQFYTDDAPGLKISPNVVLIMSIGFIAFVAVLHVMGKLYFVRREA >itb10g23080.t1 pep chromosome:ASM357664v1:10:27624531:27627698:1 gene:itb10g23080 transcript:itb10g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLGKKRKGVDISVACEKEEALLTLVRSHFSLEDYSRRRKKCKEVVVKDDAVIRKSVVTGVVTAPPCGSEHSNTPGRGLKRKIGCLDAATRLGRKKKIDQDYELGEIIGKGKFGSVMLCRSKLSGQQFACKTLSKGEESVHREVEIMQHLSGYQGIVTLKAVYEDAEYFHLVMELCSGGRLLDQMAKFGPYSEQRAANVIKELMLVIRYCHEMGVVHRDIKPENVLLTTSGQVKLADFGLAARISDGQSLTGIAGSPAYVAPEVLLGHYTEKVDIWSAGVTLYALLCGLLPFHGNSLESVFNAIKKENLTFCGEVWKSVSQPARDLISRMLTRDASARYSADEVLRHPWVLFFTEPTLNTLISKPRIRNHVRLTRNQLIAVHGSESERNSLTANRSFNDDSVSTPPSGKLLEGEESSLVDVLAMAISRVKISEPKRSRLCSPARQIEQECTSNIKVNHLCTAF >itb10g23080.t2 pep chromosome:ASM357664v1:10:27624531:27627698:1 gene:itb10g23080 transcript:itb10g23080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLGKKRKGVDISVACEKEEALLTLVRSHFSLEDYSRRRKKCKEVVVKDDAVIRKSVVTGVVTAPPCGSEHSNTPGRGLKRKIGCLDAATRLGRKKKIDQDYELGEIIGKGKFGSVMLCRSKLSGQQFACKTLSKGEESVHREVEIMQHLSGYQGIVTLKAVYEDAEYFHLVMELCSGGRLLDQMAKFGPYSEQRAANVIKELMLVIRYCHEMGVVHRDIKPENVLLTTSGQVKLADFGLAARISDGQSLTGIAGSPAYVAPEVLLGHYTEKVDIWSAGVTLYALLCGLLPFHGNSLESVFNAIKKENLTFCGEVWKSVSQPARDLISRMLTRDASARYSADEVLRHPWVLFFTEPTLNTLISKPRIRNHVRLTRNQLIAVHGSESERNSLTANRSFNDDSVSTPPSGKLLEGEESSLVDVLAMAISRVKISEPKRSRLCSPARQIEQECTSNIKVNHLCTAF >itb10g23080.t3 pep chromosome:ASM357664v1:10:27624536:27627616:1 gene:itb10g23080 transcript:itb10g23080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MECLGKKRKGVDISVACEKEEALLTLVRSHFSLEDYSRRRKKCKEVVVKDDAVIRKSVVTGVVTAPPCGSEHSNTPGRGLKRKIGCLDAATRLGRKKKIDQDYELGEIIGKGKFGSVMLCRSKLSGQQFACKTLSKGEESVHREVEIMQHLSGYQGIVTLKAVYEDAEYFHLVMELCSGGRLLDQMAKFGPYSEQRAANVIKELMLVIRYCHEMGVVHRDIKPENVLLTTSGQVKLADFGLAARISDGQSLTGIAGSPAYVAPEVLLGHYTEKVDIWSAGVTLYALLCGLLPFHGNSLESVFNAIKKENLTFCGEVWKSVSQPARDLISRMLTRDASARYSADEVLRHPWVLFFTEPTLNTLISKPRIRNHVRLTRNQLIAVHGSESERNSLTANRSFNDDSVSTPPSGKLLEGEESSLVDVLAMAISRVKISEPKRSRLCSPARQIEQECTSNIKVNHLCTAF >itb01g04020.t1 pep chromosome:ASM357664v1:1:2683614:2684213:-1 gene:itb01g04020 transcript:itb01g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCNCQTRRGLKICCAVTALLILVIAAVLITLYFTIFKPKEPKIVTQLVTLEHIKLVPIPGLLPIPVSFHLNITLGLLVTVHNPNYGSFRYQNSTAYITYRGIQAAQAPIHEDNIPARAQHNVSTDVVVMADKVISDTNFLADIFNGSLNFSSSTTLHGKATIFKIFKLKATTFSTCDISVFIKYQNATAACKSKIHL >itb06g23790.t1 pep chromosome:ASM357664v1:6:25577025:25583461:1 gene:itb06g23790 transcript:itb06g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKQKIVLPPELPPEVPDEEVEISDDDVQFVTENREYASLLKNLDTKSIDKHVTRIADVKEDAVEALYEERTRKRSRPEEKEEDTIEVDRVDALPVKTLDGKLYYRTLPKEPKKAEGKRDDDGAVGDGDTGGNTVKLSKAEKRAKLKKTRKEAKKQAKEGVNLEEVHQTPQTDVLDEVRKDLTVEEDNERKKYKLAELGTALLMDPETNIKSLKEMMQISKDGDHIIVTLGLKSLLAVFKDIIPGYRIRLPTEKEQEMKVSKTIKKMRFYESTLLSSYKAYVQKLIALEKHAVYKRVAMRCICTLLEAVPHFNFRENLVTAVVRNISSQDDIIRKLCCGTIKLLFTNEGKHGGEVTVEAVQMIANLVKTHDCQLHPDSIEVLLSLSFDEDLGKPEPSDADNKTNSKKSKTKNFKELNHASENEKKKTRQEMMSKTREEINAELKAASFAKDVAEQRRMQSETLSAVFEIFFRVLKHAIQPRSETNASPALCGHPLLATCLKGIGKYSHLIDLDFMSDLLKYLRKLAGGVVDGNGSAGNSSTHLTVTERLQCCIVAFRVMRNNLDALNVDLQHFFVQLYNLIIEYRPGRDQGEVLAEALKIMLCDDRQHDMQRAAAFIKRLATFSLCFGSAESMAALVTLKHLLQKNVKCRNLLENDAGGGSVSGAIAKYHPYASDPNLSGALASVLWELNLLSKHYHPAVSSMASGISMMSVANNQVLHSNTSPQQAFMELSLEKKSFIPESDSKRPTIKRKKGAPSHHPATTGLPNLDLITQVDQTAVSKKLSEHFLLIRDITENERLRSELDRTTSSLELFEQFRKQKRRKTK >itb12g08100.t1 pep chromosome:ASM357664v1:12:6271164:6272294:-1 gene:itb12g08100 transcript:itb12g08100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDAGNGWGPTPAASRDKTIINRMMLRFRPIAPKPAADTPSTTTTPASSSGSKSKDLVTERPRSKRKYVRVKKMRKPKGIRTQNICNNNDRRGEEEGKSERMTVLSLQLLPESSSCNTNFNRSSPNKGSLQKPFLMNFDDTQLGAPGWRDGSGRVVESWVMVDGMTHTWVDGGELGYSDTEKMKNLERDSCPALISDALNRVQWVNPAYRKLVDPWHHDGAAAATAPELIVRLSITKNVIFPAAAFACTVRVVYWWQTAKMQSKTMPCDVWKMEFGGLAWRLDAKAALCLGR >itb10g05620.t1 pep chromosome:ASM357664v1:10:5879775:5881608:-1 gene:itb10g05620 transcript:itb10g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAFLLTIFLLSIGAWAPLCSCASTILECLNDRSDPSHPISPVIYTPNNGSFSSVLQEYIRNLRFNESYTKKPLFIVTATHLSHIQASILCAKEHGLQMKARSGGHDYEGVSYVSDVPFFILDMFNFRAVNVSIEDETAWVEAGATLGEVYYGIANKSNVHGFPAGVCPTVGVGGHISGGGYGNMMRKYGLTVDNVIDAKLIDVNGRLLDRKSMGEDLFWAITGGGASSFGVVLSYRINLVRVPPKVTVFSVRRTYDQNATNIVYRHQRVAHMLDPNLFIRLTLDVVNSTTQPGEKTIRATFNALFLGDSKTLLSTMNESFPELGLTQTDCTEMSWIESVLFYTSFPSGTPVEELLSRVPQVLVHLKRKSDYLQTPMPRDGIEFIFKKMVELQTPMLTFNPYGGRMAEIPSTAKPFPHRAGNIAKIQYATNWNEDGYEAAQHYLEITRQLYEYMTPFVSKNPREAFLNYRDLDLGINHNKGGRRSYLEGKTYGLKYFKENYNRLVKIKTKVDPDNFFRNEQSIPTFPTWRK >itb01g09590.t1 pep chromosome:ASM357664v1:1:7842192:7844189:-1 gene:itb01g09590 transcript:itb01g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTILSHFPRTATIFSPHFKLLPRPLSSSPLGYLQNGHATIYSYNRAIDNLIKCGSLDSALQLFGEMPECDVISWNTVISGLNRSGFPRKSLYFYKSMVSQGITENSSTFSSVLSICNNAGFYRKGFEIQCRVIVFGLDMNIYIVSALVDLYMKVGLIEFALKLFYGLPERNLTLWNIVLRGICDLGRSKELLRLYTDMKLAGVEPNGLSFCYLLSGCGSGRLLLEGRQIHCFVLKNGWLVTNLFLANGLVDFYSACGVLSDARKSFEGIPPEDVISWSSMVSVYAANGLLQDALKVFEKMQSWGKRPSAQSFLGLLSLSSARKELLLGEQIHCFVLKLGFDYGNVVIQSALINMYGKCGHVESLVSLFENAPEASLESCNSLMTSLLHCNVIEDVLELFGFMVDESIGFDEVSLSSTLKALSLSASVSLNSCALLHCCAIKVGFEYNIVVSCSLIDAYSKSGHIEHSDQIFIELPSPNAICFTAMINAYARLGKGTEALEMFGAMIQQGLKPDEVTFLCVLMGCSHSGMVEEARTVFDSMRTHHGINPDRRHYSCMVDLLGRRGLVSEAEELMNCAATVEGDAVMWSSLLRSCRTHKNEQVGRRVAEKLMKLEAEEAAVWLQVSNFYCEIGDFDTSVQIREVAMARKMSREIGYSLVHNKVV >itb05g26970.t1 pep chromosome:ASM357664v1:5:30596292:30596942:1 gene:itb05g26970 transcript:itb05g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLCGCGHKSPKRILPSTDHPQELKSDEQEDVHRRPDTLTESIIKYMKPRCSWSIAKKMKIQPNLANHHQRDTKKQQQQQTLKNDSNNNNGSFIKTRNHHQKKKVATLEEWILASPGPNDLKDCRQSLSSSSSHKKVYPSSSSSLSRGRLTVEGVVINGADRVEEAEDYFHSATTSFTSGLQSGKMKKKVSFRLPEVADIFILDDPRPTTSAHS >itb02g02340.t1 pep chromosome:ASM357664v1:2:1330120:1330769:-1 gene:itb02g02340 transcript:itb02g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLDSLAFNYLRFDKLWTWWLSVLAAAGFWRIKVPSLSPPAKPSQAAAVSSEPPSTAPRTTVTPFSASEGVEGSTKGKFAAYFDCDDELLAGGNGVESNGNGNGNGGGGGEGVVRALGEGNGNENGRNGVVPLPGYNGD >itb13g20570.t1 pep chromosome:ASM357664v1:13:27395519:27398065:1 gene:itb13g20570 transcript:itb13g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRQQDQHSRVLYDLSAMVLNILRNPPTPIEFNDDDSPAADETVVPGTSAAVQARIQPILQQITPAGFASFLLGISLALMLCGSVTFFIGFMMMPWVLGLAMVLYFVGVVASLSMLGRTILCHISSSSRKDAHSWKELM >itb06g24180.t6 pep chromosome:ASM357664v1:6:25855122:25859073:1 gene:itb06g24180 transcript:itb06g24180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRSLRSQSKPGEEEESSDDKSVNLMNPEKKNVSLEKELSMAEAQQLFLMIFGNEVTKGVLSQWSNQGIRFSSDPETSMGLVQHEGGPCGVLATIQAFVLKYHLFSSDEFHEVTPSMDANIGLERVSIHESVGRDIFGSLSEVEKSRVLARSMAEILFLCGSNRRAVIASLRILDGNVEESLDGSNDEIIAKALDGLSIETGLDLQKVLCVTECSLLETALPRLEAMLPLYRSRLGAMLFLVSALLSRGMDSVQADRDDPTQPLVTAPFGHASQEIVNLLLSGKAVANVFDGRMDLGGGMFVNGISTPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFARDTKAQGENELDGREAQIQRAFDARDQSGGGGFISVDALHQVLTDTKITLPDQKLEQLCSAGYIVWSEFREALLALDENHGGLKGSSRLVTGKQHFDLYHFNGIAKSVPSGSPVSSGTNVPVQRPRLTKVAQLALIPGQRTLSTKCPNTNLLGTLHWSTAFGRAGLVLRVVGKVTAPA >itb06g24180.t3 pep chromosome:ASM357664v1:6:25855122:25859073:1 gene:itb06g24180 transcript:itb06g24180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGVIFLVLLVKSKSQVFLQFNRVLARSMAEILFLCGSNRRAVIASLRILDGNVEESLDGSNDEIIAKALDGLSIETGLDLQKVLCVTECSLLETALPRLEAMLPLYRSRLGAMLFLVSALLSRGMDSVQADRDDPTQPLVTAPFGHASQEIVNLLLSGKAVANVFDGRMDLGGGMFVNGISTPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFARDTKAQGENELDGREAQIQRAFDARDQSGGGGFISVDALHQVLTDTKITLPDQKLEQLCSAGYIVWSEFREALLALDENHGGLKGSSRLVTGKQHFDLYHFNGIAKSVPSGSPVSSGTNVPVQRPRLTKVRVSVPPKWTPEEYKSDIAIIGSSVGIDSRAKDTVHEVPEHKSSRHAPLVDCIRTRWPRATCSWEGDSPSIV >itb06g24180.t4 pep chromosome:ASM357664v1:6:25855141:25859073:1 gene:itb06g24180 transcript:itb06g24180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQHEGGPCGVLATIQAFVLKYHLFSSDEFHEVTPSMDANIGLERVSIHESVGRDIFGSLSEVEKSRVLARSMAEILFLCGSNRRAVIASLRILDGNVEESLDGSNDEIIAKALDGLSIETGLDLQKVLCVTECSLLETALPRLEAMLPLYRSRLGAMLFLVSALLSRGMDSVQADRDDPTQPLVTAPFGHASQEIVNLLLSGKAVANVFDGRMDLGGGMFVNGISTPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFARDTKAQGENELDGREAQIQRAFDARDQSGGGGFISVDALHQVLTDTKITLPDQKLEQLCSAGYIVWSEFREALLALDENHGGLKGSSRLVTGKQHFDLYHFNGIAKSVPSGSPVSSGTNVPVQRPRLTKVRVSVPPKWTPEEYKSDIAIIGSSVGIDSRAKDTVHEVPEHKSSRHAPLVDCIRTRWPRATCSWEGDSPSIV >itb06g24180.t1 pep chromosome:ASM357664v1:6:25855122:25859073:1 gene:itb06g24180 transcript:itb06g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRSLRSQSKPGEEEESSDDKSVNLMNPEKKNVSLEKELSMAEAQQLFLMIFGNEVTKGVLSQWSNQGIRFSSDPETSMGLVQHEGGPCGVLATIQAFVLKYHLFSSDEFHEVTPSMDANIGLERVSIHESVGRDIFGSLSEVEKSRVLARSMAEILFLCGSNRRAVIASLRILDGNVEESLDGSNDEIIAKALDGLSIETGLDLQKVLCVTECSLLETALPRLEAMLPLYRSRLGAMLFLVSALLSRGMDSVQADRDDPTQPLVTAPFGHASQEIVNLLLSGKAVANVFDGRMDLGGGMFVNGISTPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFARDTKAQGENELDGREAQIQRAFDARDQSGGGGFISVDALHQVLTDTKITLPDQKLEQLCSAGYIVWSEFREALLALDENHGGLKGSSRLVTGKQHFDLYHFNGIAKSVPSGSPVSSGTNVPVQRPRLTKVRVSVPPKWTPEEYKSDIAIIGSSVGIDSRAKDTVHEVPEHKSSRHAPLVDCIRTRWPRATCSWEGDSPSIV >itb06g24180.t5 pep chromosome:ASM357664v1:6:25855141:25859073:1 gene:itb06g24180 transcript:itb06g24180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDANIGLERVSIHESVGRDIFGSLSEVEKSRVLARSMAEILFLCGSNRRAVIASLRILDGNVEESLDGSNDEIIAKALDGLSIETGLDLQKVLCVTECSLLETALPRLEAMLPLYRSRLGAMLFLVSALLSRGMDSVQADRDDPTQPLVTAPFGHASQEIVNLLLSGKAVANVFDGRMDLGGGMFVNGISTPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFARDTKAQGENELDGREAQIQRAFDARDQSGGGGFISVDALHQVLTDTKITLPDQKLEQLCSAGYIVWSEFREALLALDENHGGLKGSSRLVTGKQHFDLYHFNGIAKSVPSGSPVSSGTNVPVQRPRLTKVRVSVPPKWTPEEYKSDIAIIGSSVGIDSRAKDTVHEVPEHKSSRHAPLVDCIRTRWPRATCSWEGDSPSIV >itb06g24180.t2 pep chromosome:ASM357664v1:6:25855122:25858913:1 gene:itb06g24180 transcript:itb06g24180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQHEGGPCGVLATIQAFVLKYHLFSSDEFHEVTPSMDANIGLERVSIHESVGRDIFGSLSEVEKSRVLARSMAEILFLCGSNRRAVIASLRILDGNVEESLDGSNDEIIAKALDGLSIETGLDLQKVLCVTECSLLETALPRLEAMLPLYRSRLGAMLFLVSALLSRGMDSVQADRDDPTQPLVTAPFGHASQEIVNLLLSGKAVANVFDGRMDLGGGMFVNGISTPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFARDTKAQGENELDGREAQIQRAFDARDQSGGGGFISVDALHQVLTDTKITLPDQKLEQLCSAGYIVWSEFREALLALDENHGGLKGSSRLVTGKQHFDLYHFNGIAKSVPSGSPVSSGTNVPVQRPRLTKVRVSVPPKWTPEEYKSDIAIIGSSVGIDSRAKDTVHEVPEHKSSRHAPLVDCIRTRWPRATCSWEGDSPSIV >itb04g31370.t1 pep chromosome:ASM357664v1:4:34277143:34278853:-1 gene:itb04g31370 transcript:itb04g31370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIYSPNKHVYNGHEFFPSSVTTRPRVEVHGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDSSFGREIVSYEMPRPNIGIHRFVFVLYKQKRRLGMGVNAPLCRDRFNTRKFSDDNDLASPVAALFFNCQRETAARRR >itb10g08810.t1 pep chromosome:ASM357664v1:10:11282911:11284081:-1 gene:itb10g08810 transcript:itb10g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLKFLRHSKCEKLKDIHPSFGNLTELVSFDFHGCSNLEKLPSFNQEMKSITDLDLTYCSSLLETPNFAMMPNLKFLRHSKCEKLKDIHPSFGNLTELVPFDFHGCSNLEKLPSFNQEMKSITDLDLTDCSSLLETPNFAMMPNLKCLRLSKCDKLKDIHPSFGNLTELVSFDFHECNNIEKFPSFNQNFPHQRNNVAVEMDNNML >itb14g19530.t1 pep chromosome:ASM357664v1:14:22139070:22142821:-1 gene:itb14g19530 transcript:itb14g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPALEAMKHVKSEEGEMLTKPFLDACKLILPVIEKFGAAMALVKSDIGGNITRLENKYSTDPTKYKCLYSMVQEEVEAKTAKGSSSCTNGLLWLTRAMDFLTELFRNLLEHADWAMSQACSDSYSKTLKKFHGWLASSSFTVAMKLAPDRKKFMDVIGGTGDINTDIEKFCTNFSPFLEENHKFLASVGMDDLKAS >itb10g04200.t3 pep chromosome:ASM357664v1:10:3987455:3994303:1 gene:itb10g04200 transcript:itb10g04200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGPVTPGQVSFLFGIIPVIIAWLYAEWLEYKKPSSPSKVHSDNNLTEFGNETTIKEEDRIVLLEGGLPKSASLKLQSTSVRTNLIRFVTMEDSFLLENRATLRAMSEFGGILFYFFICDRTNVIGDSTKNYNRDLFIFLYLLLIIVSALTSLKKHHDKSAFSGKALLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYMLYYICPMHTLFTLMVYGTLGIGHNYNEIRSVMFVKLLMCVLVVILIWEIPGVFETLWSPFSFMLGYTDPAKPDLPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESEIKRRRTIKTSIVIIASTVGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNFTQELRNFSLTFLAWLGKITLETYISQFHIWLRSNMPNGQPKWLLCFIPNYPMLNFMLTTAIYVLVWQSSFLFFPKF >itb10g04200.t2 pep chromosome:ASM357664v1:10:3987443:3995431:1 gene:itb10g04200 transcript:itb10g04200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGPVTPGQVSFLFGIIPVIIAWLYAEWLEYKKPSSPSKVHSDNNLTEFGNETTIKEEDRIVLLEGGLPKSASLKLQSTSVRTNLIRFVTMEDSFLLENRATLRAMSEFGGILFYFFICDRTNVIGDSTKNYNRDLFIFLYLLLIIVSALTSLKKHHDKSAFSGKALLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYMLYYICPMHTLFTLMVYGTLGIGHNYNEIRSVMFVKLLMCVLVVILIWEIPGVFETLWSPFSFMLGYTDPAKPDLPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESEIKRRRTIKTSIVIIASTVGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNFTQELRNFSLTFLAWLGKITLETYISQFHIWLRSNMPNGQPKWLLCFIPNYPMLNFMLTTAIYVLISYRLFELTNNLKSVFVPTRDNKKLFYNFVAGVLISACLYCISFILLQIPH >itb10g04200.t1 pep chromosome:ASM357664v1:10:3987443:3995431:1 gene:itb10g04200 transcript:itb10g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGPVTPGQVSFLFGIIPVIIAWLYAEWLEYKKPSSPSKVHSDNNLTEFGNETTIKEEDRIVLLEGGLPKSASLKLQSTSVRTNLIRFVTMEDSFLLENRATLRAMSEFGGILFYFFICDRTNVIGDSTKNYNRDLFIFLYLLLIIVSALTSLKKHHDKSAFSGKALLYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYMLYYICPMHTLFTLMVYGTLGIGHNYNEIRSVMFVKLLMCVLVVILIWEIPGVFETLWSPFSFMLGYTDPAKPDLPRMHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESEIKRRRTIKTSIVIIASTVGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNFTQELRNFSLTFLAWLGKITLETYISQFHIWLRSNMPNGQPKWLLCFIPNYPMLNFMLTTAIYVLISYRLFELTNNLKSVFVPTRDNKKLFYNFVAGVLISACLYCISFILLQIPH >itb02g03650.t1 pep chromosome:ASM357664v1:2:2149947:2150257:-1 gene:itb02g03650 transcript:itb02g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPFLPSRISLFFRSIGGTLFFSFSLSLALSPRSYPFPRKRKKFMQGKMWCWIREDSVYVDIVYR >itb09g30630.t1 pep chromosome:ASM357664v1:9:31267945:31270555:1 gene:itb09g30630 transcript:itb09g30630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEGGKKKGEEGCGWEEEKMKYMKPLNLFQELVKSRGRLLGLDVGNKYVGLAVSDVQNKLASPLSVLIRKKSNIDLMTTDFQSLISELSLKGFIFGYPFDRQRNNPDAVQVKLLIDDLCETGKLVDLKYTFWDESFSSKSVEMLLKPLNLHPVQSKTIVDKFAAVEILQGYLDYVNRNEKMKLSARKRDAERGRRPEPAVCATAIVDAPASLSRRRHHSPHAVPSTVQRQTTKTRERDDDCHRRSADRDFKLRRRHLATTGPETAAVRPCSRHQPSSADRRIRHHRSPSVVVAAVFLFAAPTSAVDLAATPPDSSSRDATGFLSASSTGA >itb08g15230.t1 pep chromosome:ASM357664v1:8:17269860:17272704:-1 gene:itb08g15230 transcript:itb08g15230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQYCGHVLLLPYPAQGHINPLLQFAKRLASKGVKATIATTTYTVKSIHAAAVTVEPISDGFDDGGFTQTQKVDVYLNSFEQNGSRTLSKVIAKYEDSPHPVDCVVYDSFFPWALDVARRHGVRGAAFFTNSATVCAVFAHIHCGALELPVEIEENGAAVVLPGIPPLYGPDIPSFITAPDSYPAYLAMKMRQFSNVGNADWIFANTFQELEPEVVAGVSKVWPAKLIGPMVPSSYLDGRIEGDKGYGASLWKPLGEECLNWLKSRPNQSVVYVSFGSMVSLSTKQMDEMARALIASELNFLWVVRESERSKLPQGFVESARGKGLVVSWCNQLEMLAHPAIGCFVTHCGWNSTLELLSLGVPTVAMPQWSDQFTDAKFIEEIWKVGVRPKLDKYGIVNREELVFCLKEVMEGEMSEEIKRNARKWRELAKGAVSEGGSSDKAINEFVEHLKCSKIGKQLKQIINE >itb05g13050.t3 pep chromosome:ASM357664v1:5:19898526:19902990:-1 gene:itb05g13050 transcript:itb05g13050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARESEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEEAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >itb05g13050.t1 pep chromosome:ASM357664v1:5:19898526:19903196:-1 gene:itb05g13050 transcript:itb05g13050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARESEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEEAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >itb05g13050.t2 pep chromosome:ASM357664v1:5:19898526:19903196:-1 gene:itb05g13050 transcript:itb05g13050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARESEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKEMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEEAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >itb01g29490.t1 pep chromosome:ASM357664v1:1:33743170:33747831:1 gene:itb01g29490 transcript:itb01g29490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFQSILAAGEGGRTVVVGVKLDGQSRELLTWALVKVAQTGDRVVALHVLNNNEIVDREGKSSLLSLVKAFDSILAVYEGFCNLKQVDLKLKICRGSSIRKILVREANAYLANDLIVGTARNHHTIRSSASVAKYCAKKLPTDCSVLAVNNGKVVFHREASRPSLVTTKEIELQQRNGLLSAIQRTLSKNSKVLNGSNGTNDQSAHETLGEALLKSGNVCAENAALKQNCSICSPDYLHDSSCTQSSTDEPSRDSNDESSMALVPVEELEAASTSISQLIKELPEVRPGWPLLRGAVFSNRQVTDGSSVQQISVVQWALRLPSRHPLSVEDLDKKGVSSDDKNNAFKLDGESGAIVPFSNENISNPSSPEKSGSVPEELACLHEKYAATCRLFKYQELVSATLDFSSENVIGKGGSSKVYKGCLPDGKELAVKILKPSEDALREFVLEIEIITALHHKNIISLFGFCFEGNHLLLVYDFLSRGSLEENLHGTRKDPQEFGWSERYKVAVGVAEALDYLHCRDDQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTTSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPINSNYPKGQESVVMWAKPILNSGKCGQLLDPSLGSNYDREQVERMVLAASLCVRRAPRARPQMSVIVKLLQGDVETTKWARLQVNGSEVGSNAKLLANSMEGADTLDDDTFSQNSNIQSHLNLALLGVEEDSVSVSSIEQHISLEDYLRGRWSRSSSLD >itb01g29490.t2 pep chromosome:ASM357664v1:1:33743170:33746692:1 gene:itb01g29490 transcript:itb01g29490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFQSILAAGEGGRTVVVGVKLDGQSRELLTWALVKVAQTGDRVVALHVLNNNEIVDREGKSSLLSLVKAFDSILAVYEGFCNLKQVDLKLKICRGSSIRKILVREANAYLANDLIVGTARNHHTIRSSASVAKYCAKKLPTDCSVLAVNNGKVVFHREASRPSLVTTKEIELQQRNGLLSAIQRTLSKNSKVLNGSNGTNDQSAHETLGEALLKSGNVCAENAALKQNCSICSPDYLHDSSCTQSSTDEPSRDSNDESSMALVPVEELEAASTSISQLIKELPEVRPGWPLLRGAVFSNRQVTDGSSVQQISVVQWALRLPSRHPLSVEDLDKKGVSSDDKNNAFKLDGESGAIVPFSNENISNPSSPEKSGSVPEELACLHEKYAATCRLFKYQELVSATLDFSSENVIGKGGSSKVYKGCLPDGKELAVKILKPSEDALREFVLEIEIITALHHKNIISLFGFCFEGNHLLLVYDFLSRGSLEENLHGTRKDPQEFGWSERYKVAVGVAEALDYLHCRDDQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTTSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPINSNYPKGQESVVMWVCSLLSLAPFTLKFQLHSLVLLVKLEHSL >itb15g17170.t1 pep chromosome:ASM357664v1:15:17490663:17492619:-1 gene:itb15g17170 transcript:itb15g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAMSAGIGGLLFGYDIGKNCDCGICTGGWMSDKYGRKRWILIADVVSNTSPLYISEVWEEEADDHLHVRDHKLFDSLIWPPLSHLSTFPARACLSVMDEVKHLCQSEGRSWYTEGSPSKYGIFAVLLLGLFIISYAPGMGTLPWVVNSEIYPFDHLGRLTAVCPLVSSTRKKMATSPMSEQPANKRNVPEVPIASMRVKKVKIYPLRYRGVGGGITTVANWVSNLVVSLTFLILIEAIGTSGTFLLFAGCSLTGLVAIFFLVPETKGLQFEEVEKMLEKGYKPSFLFSYRNTKQQSAIQGG >itb15g17170.t2 pep chromosome:ASM357664v1:15:17490663:17495038:-1 gene:itb15g17170 transcript:itb15g17170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPEKAGADKTKFTECWETSRKTPYIMRLAMSAGIGGLLFGYDTGKNCDCGICTGGWMSDKYGRKRWILIADVVSNTSPLYISEVWEEEADDHLHVRDHKLFDSLIWPPLSHLSTFPARACLSVMDEVKHLCQSEGRSWYTEGSPSKYGIFAVLLLGLFIISYAPGMGTLPWVVNSEIYPFDHLGRLTAVCPLVSSTRKKMATSPMSEQPANKRNVPEVPIASMRVKKVKIYPLRYRGVGGGITTVANWVSNLVVSLTFLILIEAIGTSGTFLLFAGCSLTGLVAIFFLVPETKGLQFEEVEKMLEKGYKPSFLFSYRNTKQQSAIQGG >itb12g10640.t1 pep chromosome:ASM357664v1:12:8767300:8771843:1 gene:itb12g10640 transcript:itb12g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSFCWWHSHLKPSLLDSSDLENGEKNAWPSFTEFSFEELKAATNGFCLDNIVSEHGEKAPNVVFKGQLRNGRWIAVKRFNKYAWPDSRQFMDETKTVGSLRSERLANLIGCCCEGEERLLVAEFMTNETLAKHLFHWESQPMKWAMRLRVAFYLAQALEYCSSKGRAIYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRVTPESVVYSFGTMLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTQLVRLATRCLQYEARERPNAKSLVESLMPLQKETEVPSYVLLGIPHGTASPSQPLLLTPMGEACLRVDLTAFHEILDKTGYKDDEGIANELSFQVWTDQMQETLNSKKQGDSAFRAKDFATAIDCYSQFIEGGSMVSPTVYARRCLCYLMSEMAQEALGDALQAQVVSPEWPTAFYLQATALFSLGMENDAQEILKEATNLEAKRNKN >itb05g06510.t1 pep chromosome:ASM357664v1:5:6944813:6946610:-1 gene:itb05g06510 transcript:itb05g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPEKLHQQTHDHHLVLYLLGDLLGIQTTTVQPSFVRAACLSRSSLSISTTPPGHHRRRLPQVTTIRSPARSFSPCLSRSPARSSPPRLSAAHSLVSASPLLTFSASPVNRDVVTVKSKLLSEAGAYCCEDPDNDGESYYVYEDEDEGEEGEESCGDLEAVRDSSMLENSINGMSDSNSEEELVKGPYFWLIYSILLSCFLDCTCQIYIVPVVFELLSLVYLHENIEFPQDHM >itb12g24760.t1 pep chromosome:ASM357664v1:12:26189166:26196686:1 gene:itb12g24760 transcript:itb12g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSRLATSALQFRHRNRQIPQRSSFLAVGKPDFSVDHAAPAPAISSILRNAAKEKTKAPLILRAHSTSPETVATVAKMVKAIRVHELGGPEVLKWEDVEVGDPKEGEIKVKNQAVGLNFIDIYFRKGVYKAPNMPFTPGMEAVGVVIAVGPGLTGRKVGDVVAYAGRPMGSYAEEQILPADKVVPVPPSIDPITAASVLLKGMTTQVLLRRCFKVEPGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAQEDGCHHTILYKEEDFVSRVNEITSGKGVEVVYDSVGKDTFQGSLECLKTRGYMVSFGQSSGTPDPVPLSALAAKSLFLTRPAMMDYTSTRDELLECAGEVFANVASGVLKVRVNHTYPLSQAAQAHADLESRKTSGSIVLTLDN >itb12g24760.t2 pep chromosome:ASM357664v1:12:26192815:26196873:1 gene:itb12g24760 transcript:itb12g24760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIRVHELGGPEVLKWEDVEVGDPKEGEIKVKNQAVGLNFIDIYFRKGVYKAPNMPFTPGMEAVGVVIAVGPGLTGRKVGDVVAYAGRPMGSYAEEQILPADKVVPVPPSIDPITAASVLLKGMTTQVLLRRCFKVEPGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAQEDGCHHTILYKEEDFVSRVNEITSGKGVEVVYDSVGKDTFQGSLECLKTRGYMVSFGQSSGTPDPVPLSALAAKSLFLTRPAMMDYTSTRDELLECAGEVFANVASGVLKVRVNHTYPLSQAAQAHADLESRKTSGSIVLTLDN >itb10g12470.t1 pep chromosome:ASM357664v1:10:18559832:18560422:-1 gene:itb10g12470 transcript:itb10g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCEEHMELKGSSSDYSAKVTSETKIPRKNNVLVSAEVVRELSERLRILEEDGQVLKEVVEERRKLITAICRRFQAVHNSLHLKFQEREGQHPMVERADLSQILSQLSSPSIVTRGLRIESCIPSLLND >itb04g32890.t1 pep chromosome:ASM357664v1:4:35293577:35294704:1 gene:itb04g32890 transcript:itb04g32890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKKSKKVNVTPRKTICINEGMNAQAKNPFFSTFPPTHLTLPPPPPPPPGIACQNKRGVVVSQFQFDYLLAWFLSTVHLPEIRLHRLQQCQAWRNLLVLTGCQG >itb04g17490.t1 pep chromosome:ASM357664v1:4:20405648:20413833:1 gene:itb04g17490 transcript:itb04g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRAEVRTSLRITGDVQVGSVNAKTILLRFSSEEDCKRVLMRNWATVSGARAWLSRWSPEWRPWCDSPFVPVWIEFPNLPFHLFDFSMLERICEPLGKIIDVDRATEMRSRPSVAKVRIEIDMRRPVLHWMWIGAGVKGFWQRICYDFIPKYCTECCCFGHLVNNCRRKKKIGEEGEDITGGGNGEGEQAPAENENRCMPHVCENVSMIDEVQAAARLEAEAVQVEQSLEELARKGISVDQFIGEAVLEAAVHIIEKAADDVMEEKGVEEVEKEGGGNLENKQKNREDGVAKQCYTPSATIEGVKEKGSGSMSQAERMASELRAMGIMAYVSHESVKRPVGSTIDLVNKEMEKEVIVNVKAAQVNQGQVIDPKSKPKGVIQEKQENVKGTEPTSLLEWIKELKEQMKRDEGLAQLVDEALQETYVYLCTVYNKEKEYYVVENDVMVVEASKILMEKAKTNLAGKKLSLNSGLGRLLKANQSKIGDELRKWAE >itb13g15540.t1 pep chromosome:ASM357664v1:13:22402920:22405602:-1 gene:itb13g15540 transcript:itb13g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLADMPSTTSVLSAYTAFTAFAVLMRTVVTELQAIANQLVPEGIRDKVLSKLGSLFCTVTSRASLVIDEYNGLTSNEIFEASEVYLGTKLSPSADRLKISKAAEEKALSFIITKGEKITDTFEGIEFIWELIVSESKKPGGYNYDQGSETTEHRSFELSFNKKFREMVFTSYIPFVLERSRAIKEEKKTIKLHSLGNWHASVNLEHPSTFETLAIDRELKNELIEDLDRFVRRKDYYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLDLASLQSNLELQSLLATTKNRSILVIEDIDCSIELQNRNAEQWPHTHNQGDQSQLTLSGLLNFIDGLWSCCGDERIIVFTTNYKDKLEPALLRPGRMDMHIHMSYCTPSGFRVLASNYHGLKDDHCNFTEIDKLLGEVEVTPAEIAEELMKSEEADVALQGLINFMQQKKKTGQGDEGKVGRDIGICSEEIKPMVEKRVVKNKNKKRRKVKKQVVRGWVS >itb03g21780.t1 pep chromosome:ASM357664v1:3:19728375:19731523:-1 gene:itb03g21780 transcript:itb03g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPCEMHLPLLLCLLLIGLQAYGSFSCLDEERIALLKIKDSFNSPDGSAISLWGDEEVDCCKWERVVCNNLTERVTELYLQGTREQKPGEIDASLFLPFQELQTLTLENNTIQGLKGELRLKKLQQLNLAHNRLTEIPSLGTLLNLKYLNLAFNYLENLSGFRKLTTLHGLQTLTFMNNQIRGNLPPSIGDFNSLKSLSFSNNKLNGSLPEDGFCSLRNIEELDLSSNGFEGSIPLCFSNLTFLKFLDLSSNALSGTIPSTLFSSLTSLELVSLSYNRFEGLFSFSSFANNSKLEYFELDCRNSSLKIDTENPPWKPLFQLKLLRISDCVLNEPSWVMPSFILIQQDLRVVDLSYNRIMGSIPTWLLKNNSGLQFLSLRGNFFTGSLVLHSGHWFGNLSWLDVSKNIIYGEVPPLIGSILSNLLYLDLSNNAFKGTIPPSMGDMRNLYYMNLFNNKFSGELPVHLITGCMSLTFMDVSSNALEGNLFRSEWNLTNLQYLIMENNLFRGELSDGILNSSHLELLFLSNNLISGNIPEWMGNFSSLGYLRLADNSLEGSIPLSFSRLQQLKLLYLSGNNLRQIPPGVYLPSLTYLHLADNELDGPLPSFISRLSSLVSLDVRHNKLSGRIPSWISSVTDLRTLLLKGNSLESPIPAELCQLKNITILDLSFNNLSEKIPLCLCSMPFGKKRPLPIDGAFSSYVFRWSMPSIVAYEYVGLFGLFEFATAPIVRSSYSETVPFLTKTRYEIFSKSILYFISGIDLSHNKFIGPIPSEIGYLGDIHTLNLSHNYFNGSIPTTLSRLKEIQSMDLSYNRLTGEIPSELIALNFLSVFSVAHNNLSGRTPARVAQFGTFEKQSYEGNNFLCGTPLPDCGTNNTKLPKLNDEGTNDSFIVAFRWSFLGSYIVAFLGVVAYLYCSPYHSMLLYEFIAARFRTCVSPFRRR >itb11g16660.t1 pep chromosome:ASM357664v1:11:15098766:15107206:-1 gene:itb11g16660 transcript:itb11g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRNVENQDVPENDVPTSAELARAVTQLAQLTQALGDGMLQDPPVNGNRNGGDMAKGGGSNQQGLEEDFLGCTYSFQEEAAASDPDAVPTGEVSNDAVNRYPARHRYLPSSRLAAIRHVSNILDRSAGNKTATAIGTRSSSNQMSPASPEGKDRAATSPPQRLRQPRSSPVEATTLVAVSLCAPLELHC >itb01g04260.t1 pep chromosome:ASM357664v1:1:2896301:2896863:-1 gene:itb01g04260 transcript:itb01g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYVMHGSFSVKSDVFSFGVLPLEIITEKRNNSLSMRSTGAKDLLSYAWKHWREDRALDMVDQSLGGLYSRNEVIQCINVGLLCVQEEVDERPTMANVVLMLNSFPATRTLNPPAFFSGGIDEKRDENISARQETDQSESKSLPLSVNEVSTSDLYPR >itb05g26950.t2 pep chromosome:ASM357664v1:5:30588942:30591506:-1 gene:itb05g26950 transcript:itb05g26950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASSPVSLHRLRPLHFHLRPSLHVQKPSFQHLRSGRFPTIFCQTNPNPTECCQTKPNPNPAESAEKEALSVEPGSSNGVADSEVLVSSNDSGFLEYPDKSFNRRIALFSIVGAVGLFLSHRLEFGVSLKDLSAAAMPYEKALSNGKPTVVEFYADWCEVCKELAPDVYKVEQQYKDKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPQRYLLENVDALARGETSVPHARVVGQYSSTEERKVHRVVDPRSHG >itb05g26950.t1 pep chromosome:ASM357664v1:5:30588942:30591767:-1 gene:itb05g26950 transcript:itb05g26950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASSPVSLHRLRPLHFHLRPSLHVQKPSFQHLRSGRFPTIFCQTNPNPTECCQTKPNPNPAESAEKEQEALSVEPGSSNGVADSEVLVSSNDSGFLEYPDKSFNRRIALFSIVGAVGLFLSHRLEFGVSLKDLSAAAMPYEKALSNGKPTVVEFYADWCEVCKELAPDVYKVEQQYKDKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPQRYLLENVDALARGETSVPHARVVGQYSSTEERKVHRVVDPRSHG >itb12g02530.t1 pep chromosome:ASM357664v1:12:1651130:1654203:-1 gene:itb12g02530 transcript:itb12g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCGGGGFSDRCFRLFPCLSDPTRRATLGLKVALVMLHVVYGGVLFIINKELVEKTRQEPWYTAMYLLLFIVTLAQYFITSGSSPGYVLDAMRAVNEAGALSNRMSVASKQPASSKNGSVVITIDQSKVGRDLLDSNATSWTKLVMDMYPHGTSVRTVTCTHCNALQPPRTKHCQDCNKCVLQFDHHCVWLGTCIGQGNHCKFWWYISEETALCIWTGILYIQYLKSNISKTWWISAFVILLLAILFVCLVFLLLLLLFHSYLVLTNQTTYELVRRRRIQYLRGIPERVFPFSKGACRNLYDFCCAPSNIYRMEPLPTAREIEEKSRPCTCFDILSCRCCC >itb01g22240.t1 pep chromosome:ASM357664v1:1:28175093:28179174:1 gene:itb01g22240 transcript:itb01g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVCTRKTRIQVSAYNVMAGVGVAPTVAGESVSMIEQNSIEPLIKEVKLKPEKNLEEEEGEEEGIDQLTLSQCFMKKASSSVSPSMGTPLIGEASATNRKRARVMIKERYKKSAAYSYPTMQKAMALQEGLDPTQPSFIKFMSRSHVTHGFWLGLPADFCRMYLPPHDHTIPLEGDNGKQYETKFIAERQGLSGGWRGFSLAHNLQEGDAVVFHLVQEYKFKVYTVRANDSDHMHEGQLETAAKDVNGCQNMKTLVEQTPYLEENCQNDGQPESDYEVGDGGFIFENDKEDSPAEVKDVSSTKSFVDLTNFSIVVNGCPMDAKFSISARLKYYELCCAQKSYLHDGFPENINYTLAVGIILETVSIADNIKACTLSTPLTDFKSWESTLSGFIHLGMNVDFLQDRLKKLSSLAIEFQGCHEAIRHSEIITEESELNKEIESAEKKLMELKEAMVDVQVEKEALETQFLVCNLGFRKMAKRPW >itb11g22320.t1 pep chromosome:ASM357664v1:11:24210337:24211014:1 gene:itb11g22320 transcript:itb11g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLLGCVTTASRDELQEQGTDQYQERLYKCLKGQRYLIVIDDIWNTTAWDNVQRCFPDDNNGSCVLLTSRLKEVAKYTSSGNSIINMPFLDADESWSLYCKVFGKIEFPWEFEQIGRDIVKKCKGLPLAITVVASLLSKTEEKEKKWKNVAKSVMGDSKILYLSYNQLPHHLKACFLYFGIFEEDYEIPVKKLVRLWVARGIFEHCEACEYGESGLEMLARSC >itb02g06560.t1 pep chromosome:ASM357664v1:2:4106752:4107999:1 gene:itb02g06560 transcript:itb02g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIRGKTIGCGSSATVSIATSWNSGETFAVKSAELSKSEFLQREQEILSSLSCPQIVEYKGCDITMEDNKLMFNLLLEHMPAGTLAEHGGRIGESRMGEYAGQIAQGLDYLHSVGVVHCDIKGRNILVGNSGVKIADFGCSKRLRGASAASIGGGTPMFMAPEVAQGKEQGFAADIWALGCTVIEMATGGSSPWPNITGGPAALLYHIAFSGESPPVPDFLSDKAKDFLEKCLRRDPRERWTAKQLLKHPFLREINSFALPKQESIIISPTSVLDQGIWNSSMEESQSTCEHAQTFTTSSSDSPKQRVRQLCWNSSRPNWEHDEASWISVRSRGDDDVAGLHCREENGVFCAHTNSTVNFLDYCKSSSSSYVPLASSVVHQNIVTSGGNSTLKKRVNQLHLFYILLSLIFSLIL >itb10g01480.t1 pep chromosome:ASM357664v1:10:1114412:1118000:1 gene:itb10g01480 transcript:itb10g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVGSSHLRLRRRLLLIFSCIILTIGQCGGPLLTRLYFLHGGKRVWFSSWLQTVSFPVTFIPLAAAYFNRRKTQGPAAKLTFLTPKIFLASAFIGLLLGIDGYMNAFGVSKLPVSTSSLLLATQLAFTAGFAFLLVRQRFTPFSVNAIVLLTTAAAVLAIGADGDKPAGESTKDYILGFVLTLLAAALYGFFLPLIEYTYKMGKEGMTYAFVLELQCVMSFFATAFCTVGMIVNKDFQAISSEARGFDFKEGTYYVVVICSAIVWQLLLLGMVGVSCYGSSLLSGILLATLLSVTEVLAVVFFKEKFGAEKGVSLALSLWGFTSYFYGEVKNNKTSTEDSQTKDDDLDNNNNNNDDVLLDA >itb04g05830.t1 pep chromosome:ASM357664v1:4:3775319:3777319:1 gene:itb04g05830 transcript:itb04g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVWPIHTIVVNHICPPVFKQIRSDVRFSSESWLNCLGSILGPARCSIKFPTHFRRRNLPLPRISAAGVKFSSVQRFPMSNKHGFSDKSNDPANRRRGIGGKRGLSFAAVQEMRFEAVNVGLANRFKEELFCAFRQTPGLPALNKGKGWPLRLSAALFIVQSSRSRASGF >itb12g23040.t4 pep chromosome:ASM357664v1:12:24906483:24909483:-1 gene:itb12g23040 transcript:itb12g23040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRGDIETGFPGLIPEWRTVRVHAARPVNSNSLAFLVTVLLLFMILNSHQLSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAVAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTTPSMTEEEINALPIHKYKVSGPQSSSAQQASSSSAEKKQEPPVNSAAVAKPTDDELTCSVCLEQVNEGELIRSLPCLHQVCLQF >itb12g23040.t2 pep chromosome:ASM357664v1:12:24906483:24909731:-1 gene:itb12g23040 transcript:itb12g23040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRGDIETGFPGLIPEWRTVRVHAARPVNSNSLAFLVTVLLLFMILNSHQLSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAVAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTTPSMTEEEINALPIHKYKVSGPQSSSAQQASSSSAEKKQEPPVNSAAVAKPTDDELTCSVCLEQVNEGELIRSLPCLHQVCLQF >itb12g23040.t1 pep chromosome:ASM357664v1:12:24906483:24909731:-1 gene:itb12g23040 transcript:itb12g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRGDIETGFPGLIPEWRTVRVHAARPVNSNSLAFLVTVLLLFMILNSHQLSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAVAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTTPSMTEEEINALPIHKYKVSGPQSSSAQQASSSSAEKKQEPPVNSAAVAKPTDDELTCSVCLEQVNEGELIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGIGSREMEDASYLV >itb12g23040.t3 pep chromosome:ASM357664v1:12:24906483:24909631:-1 gene:itb12g23040 transcript:itb12g23040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRGDIETGFPGLIPEWRTVRVHAARPVNSNSLAFLVTVLLLFMILNSHQLSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAVAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTTPSMTEEEINALPIHKYKVSGPQSSSAQQASSSSAEKKQEPPVNSAAVAKPTDDELTCSVCLEQVNEGELIRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGIGSREMEDASYLV >itb03g09460.t4 pep chromosome:ASM357664v1:3:7290935:7291368:1 gene:itb03g09460 transcript:itb03g09460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVNNNVNSAGLCLSFRRDQGTIQVIAPHIQISGIYTLAPCFIAVYTEKFKNGAGLLTFICFTSTVCFS >itb03g09460.t3 pep chromosome:ASM357664v1:3:7290687:7291843:1 gene:itb03g09460 transcript:itb03g09460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQVYVYPFAEIKEQSRWYDLIAPHIQISGIYTLAPCFIAVYTEKFKNGAGLLTFICFTSTVCFS >itb03g09460.t1 pep chromosome:ASM357664v1:3:7289865:7291843:1 gene:itb03g09460 transcript:itb03g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRLESVLLLKSQQVYVYPFAEIKEQSRWYDLIAPHIQISGIYTLAPCFIAVYTEKFKNGAGLLTFICFTSTVCFS >itb03g09460.t2 pep chromosome:ASM357664v1:3:7289865:7291843:1 gene:itb03g09460 transcript:itb03g09460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQVYVYPFAEIKEQSRWYDLIAPHIQISGIYTLAPCFIAVYTEKFKNGAGLLTFICFTSTVCFS >itb12g21970.t1 pep chromosome:ASM357664v1:12:24174801:24177984:1 gene:itb12g21970 transcript:itb12g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPSMHSQYGSGGMVQAGANFLQPQQAQQMMTQSLMAARSSVLYGQQTLQQQQQAALYSQLGMGSGGVGTSAGLHILQSEAAHHHGAGSFGEFDRAAAGLGAGLKPDEARGGGGSAVDGGESLYLKAGDGEH >itb14g19160.t1 pep chromosome:ASM357664v1:14:21908955:21910323:-1 gene:itb14g19160 transcript:itb14g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVWDYLSSDLTESILSHLPILSIVRAAAVCKIWRSIVASSAFAARVSAAKQPWFFLCGHHNVFLKNNRAFAFDPEANRWIVLSDSSAALFSEDLFAGSNGFLFAASASAFSFRPILRGAWRHARGLRFSRCSPLVGAFNDDGGTSGIPRFIVVGGVKFVGSLVDIEDRLAVEIYNPCLDSWELCPPLPPEFNTRNSSQSLCSALFNRKFFVLGINSSFISSFNLKTRQWSGVQTLRPPGAQSGFLISSNDRLILAGLCNSGAALSFNLWKIDEETMEFSEMAIMPPELLRLLIHGDEDDSRAAAGFSLKCVGLGSLIYVFNEEHHRNYPACVCEIKKLESGECYGDWRRLPSLPVRDGNKFHRVISFCSSVSLHNVLD >itb04g32340.t1 pep chromosome:ASM357664v1:4:34941653:34943011:-1 gene:itb04g32340 transcript:itb04g32340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAANKKVIKRRSPTPKKVQAKGEGSNSRRECDSVDENSLPMRRARELQANLSPQFPSLVKFMLHSHTASGFWLGLPKDFCASHLPNHNAAIILVDENGKEMETRYLVERHGLSGGWRGFSVEHNLIAGDVLVFQLIEPFKLKVYIVRANDSTNIECAISLLNLSHSAQPIASEGLLQEGDDN >itb09g01980.t2 pep chromosome:ASM357664v1:9:1201367:1206356:1 gene:itb09g01980 transcript:itb09g01980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVDIVRVNGRDVVDAALCLLKFDASENTRDPDLSRKDTRKRKSLVKFIEPYIHDVCKPEANVQDEGQSALDCCSPHIVDQAEHNSKDSGSEDFEGMTLQDFVFVYAQKLQNLLLSCLCDPILGSDIANHLQSNEFCSSKTSFLCVDPRDGVACR >itb09g01980.t4 pep chromosome:ASM357664v1:9:1201367:1206356:1 gene:itb09g01980 transcript:itb09g01980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVDIVRVNGRDVVDAALCLLKFDASENTRDPDLSRKDTRKRKSLVKFIEPYIHDVCKPEANVQDEGQSALDCCSPHIVDQAEHNSKDSGSEDFEGMTLQDFVFVYAQKLQNLLLSCLCDPILGSDIANHLQSNEFCSSKTSFLCVDPRDGVACR >itb09g01980.t7 pep chromosome:ASM357664v1:9:1201367:1204003:1 gene:itb09g01980 transcript:itb09g01980.t7 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVTFHSFSIPHLPTSNNMPQLK >itb09g01980.t6 pep chromosome:ASM357664v1:9:1201367:1206356:1 gene:itb09g01980 transcript:itb09g01980.t6 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVDIVRVNGRDVVDAALCLLKFDASENTRDPDLSRKDTRKRKSLVKFIEPYIHDVCKPEANVQDEGQSALDCCSPHIVDQAEHNSKDSGSEDFEASEGCVTVGLSFGGHSA >itb09g01980.t1 pep chromosome:ASM357664v1:9:1201367:1206356:1 gene:itb09g01980 transcript:itb09g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVDIVRVNGRDVVDAALCLLKFDASENTRDPDLSRKDTRKRKSLVKFIEPYIHDVCKPEANVQDEGQSALDCCSPHIVDQAEHNSKDSGSEDFEGSDIANHLQSNEFCSSKTSFLCVDPRDGVACR >itb09g01980.t3 pep chromosome:ASM357664v1:9:1201367:1206356:1 gene:itb09g01980 transcript:itb09g01980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVDIVRVNGRDVVDAALCLLKFDASENTRDPDLSRKDTRKRKSLVKFIEPYIHDVCKPEANVQDEGQSALDCCSPHIVDQAEHNSKDSGSEDFEASEGCVTVGLSFGGHSA >itb09g01980.t5 pep chromosome:ASM357664v1:9:1201375:1206356:1 gene:itb09g01980 transcript:itb09g01980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding METKHSNVKQRTDSEICSHKVRISHSLSTSTPAASSSKTKRKMPLESKEKLASKKPKILKSKAKQEKSNNRKKSESIKRKRATVSDMYDDVEAKFSVLERAERVLSSLSDEFPSFIKCMLPSNVAHGFWLHLPKAFCDIYLPTCDTNIILVDEWGNEYKTSYLLERHGLSAGWRAFSISHRLLKGDILIFRLIEPCKLKVDIVRVNGRDVVDAALCLLKFDASENTRDPDLSRKDTRKRKSLVKFIEPYIHDVCKPEANVQDEGQSALDCCSPHIVDQAEHNSKDSGSEDFEGKLLRGA >itb12g14870.t1 pep chromosome:ASM357664v1:12:15239170:15242417:1 gene:itb12g14870 transcript:itb12g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVTNINISAGDLQKKNRIQVSSTKKPLFFYVNLAKRYMQQYSEVELSALGMAIATVVSVAEILKNNGLAVEKKIMTSTVDVKDDSRGRPISKAKIEIVLGKSEKFDEIMAAAASEKDRGDGEVQS >itb05g11210.t1 pep chromosome:ASM357664v1:5:17191961:17192260:1 gene:itb05g11210 transcript:itb05g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKNSGETAATWPSSRSMVCPLGAVVREPAESMVHPPFTSSRSGAASRSGDTVHSSSTGSQRPLFSIVVTIATAPVTITMYGSPLSLSLCHRQISFY >itb03g10100.t1 pep chromosome:ASM357664v1:3:7867816:7870700:1 gene:itb03g10100 transcript:itb03g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGFAAERILGMKNRRRINGGGGGGAQGPSSKGYLLLLSFILSDVFFIAPSAACFNGNCQLLDSCASATDCGAGLYCGNCPVMGKNQPFCIRGQATVPTSIISGLPFNKYSWLVTHNAFSIVDAPLLTGSQRITFYNQEDTVTNQLRNGVRGFMLDMYDFENDIWLCHSLRGQCYNFTAFEPAVNTLKEIEAFLSANPSEIVTIIIEDYVHSPKGLTRVFSDAGLDKYWFPVSKMPKKGEDWLTVNDMVEKNYRLLVFTSDSSKEAAEGIAYQWRYMVENDPGDPGVVPGSCSNRKESKPLNSRSASLFLMNYFPTIPAQNEVCKEHSTPLADMVGTCYKAAGNLMPNFVAVNFYMRSDGGGVFDDLDRMNGQSLCGCTTITACQAGEHFGVCKNVAASNRTPGTTDPAGSFSGSVQLTGSASAIKVGSIFYAFFLFWPVLLFLV >itb03g10100.t2 pep chromosome:ASM357664v1:3:7867816:7869735:1 gene:itb03g10100 transcript:itb03g10100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGFAAERILGMKNRRRINGGGGGGAQGPSSKGYLLLLSFILSDVFFIAPSAACFNGNCQLLDSCASATDCGAGLYCGNCPVMGKNQPFCIRGQATVPTSIISGLPFNKYSWLVTHNAFSIVDAPLLTGSQRITFYNQEDTVTNQLRNGVRGFMLDMYDFENDIWLCHSLRGQCYNFTAFEPAVNTLKEIEAFLSANPSEIVTIIIEDYVHSPKGLTRVFSDAGLDKYWFPVSKMPKKGEDWLTVNDMVEKNYRLLVFTSDSSKEAAEGIAYQWRYMVENDPGDPGVVPGSCSNRKESKPLNSRSASLFLMNYFPTIPAQNEVCKEHSTPLADMVGTCYKAAGNLMPNFVAVNFYMVKKFTPFCLMAKSI >itb05g08950.t1 pep chromosome:ASM357664v1:5:12779978:12781858:1 gene:itb05g08950 transcript:itb05g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAFPFPVSDDFSPINNSLPFFNDFDFLDNNNTNNTLPLIVSQPTPKKQKINDPIQEILNRLLFSDHEMPKTEQNPAYPGDFALLDDDTFFPYHNSSSSFTMEMVNDNGKRSRGGSAEVISASEEASAAGSSGGAAPQGQGQSQRRLWVKDRSNAWWEQCNSPDFPEGEFKKAFRMSRATFDLICEELESVVTKKDTTLRLAIPVRQRVAVCVWRLATGEPLREVSKRFGLGISTCHKLVLEVSAAIRTVLMPKFLQWPEPQHMTNTKREFEIFSGIPNVAGAMYTTHVPIVAPKVSVAEYFNKRHTERNQKTSYSVTVQGVVDSNGVFTDVCIGWPGSMTDDKILEKSALYERANRGLLKDLWVVGNSGYPLTDWVLVPYTHQNRTWAQHGFNEKLSGVQAVAKEAFMRLKARWSCLQKRTEVKLQDLPVVLGACCVLHNICEIRGEELPPELRFDLYDDEVVPEITVRSANAMQARDQLAHKLLHQLV >itb15g09240.t1 pep chromosome:ASM357664v1:15:6574068:6574667:1 gene:itb15g09240 transcript:itb15g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFVAIRRKRISFPGNNNDAESCSASSAISKGHFAIYTADQKRFVVPLVFLDNEIIRQLLLISEEEFGLPSDGPITLPCDAVFMEYIISVLSQGIGVELQNALLTSVTSNRCSSTSMHQGWRDQQFLVC >itb13g10660.t1 pep chromosome:ASM357664v1:13:15559331:15562164:1 gene:itb13g10660 transcript:itb13g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPNNLTLHIFSAALTVSLSLSLLSSPLYLAALGLRFSSLGGSDSLPRRSHLIVSLYLAASPVPVLLHRRLRLFTLSAFEFEAQISAYLEDIVTFYDGDNGCLVEDFTGDWIEAIRAVHKSGPLDLRLKLDSHREKATDVKAVQHQNLSYKFAYRYPPLLLRMASVRTFILNGAHRHFSPLLSQKCPIRLLTSHCHRNSLFCYSSPPSCSPAQFTPQLRNESEIESDPWQRRRNVVEILEERGLVESITSENLRSICSNPNLPPLKVYCGFDPTAESLHLGNLLGLIVLSWFLRCGHKVVALLGGATGRIGDPSGKSVERPELDYVTLNHNIAGISANIRQVLLSPDSSYLTSNIQILDNYDWWKDVKIQILDNYDWWKDVKFLDFLRDVGRFARVGTMMSKERGRFARVGTMMSKESVKKRLENVEQGMSYAEFTLENVEQGMSYAEFT >itb14g12450.t1 pep chromosome:ASM357664v1:14:14088292:14090228:1 gene:itb14g12450 transcript:itb14g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHYHLTPTDDSAWSNLLPRKMLKEEDAFDWMMMYKKIKKSGGFLNEVSLNDVRLDPNSIHGRAQQTNLEYLLMLDVDRLVWSFRKTAGLDTPGEPYGGWEGETIELRGHFVGHYLSASAQMWASTHNDTLKVKMSAVVSSLSACQKKMGSGYLSAFPSEFFDRFEAIKPVWAPYYTIHKVLAGLLDQYTVAGNSQALKMTTWMVDYFYNRVQNVISKYTIERHWLSLNEETGGMNDVLYRLYSITADPKHLLLAHLFDQPCFLGLLAVKADDLSGFHANTHIPIVIGSQMRYEITGDPIYKEIGTYFMDIVNTLHAYATGGTAVNEFWSDPKRLATTLQSENEESCSTYNMLKMDQGNGLR >itb14g14810.t1 pep chromosome:ASM357664v1:14:17790245:17793142:1 gene:itb14g14810 transcript:itb14g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGAKKSDNTRYYDILGVSKNAGVDEIKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDEYGEDALKEGMGGGAGTHDPFDIFQSFFGGGNPFGGGSGGRGRRQRRGEDVIHPLKVSLEEMYSGTTKKLSLSRNVICSKCNGKGSKSGSSMKCGGCQGSGMKVTIRQLGPSMIQQMQQPCNECKGTGETISDKDRCPQCKGDKVVPEKKVLEVHVEKGMQNGQKITFPGEADEAPDMITGDIVFVLQQKEHPKFKRKGDDLFVDHTLTLTEALCGFQFILTHLDGRQLLIKSNPGEVVKPDQFKAINDEGMPAYQRPFMKGKLYIHFIVDFPDSLSPEQVKALEAILPPRQKSQYSDMEIDECEETTLHDVNIEEEMRRKRAHQQEAYEEDGDDMHGGAQRVQCAQQ >itb09g17600.t1 pep chromosome:ASM357664v1:9:12923187:12924113:-1 gene:itb09g17600 transcript:itb09g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKDVLKTDSDCCSTATSLPYRTDHHDRSSCDILVTIDKSERDFQVTKDELILSLGVLEKHTKLKSVYRKSYTTSEDREKLQNFFMYKYGLKIKRGGNPNENFAWYGAPKEQIFNILRHGFVNPVMNETHGRGIHLTPSKLLLNCLKSTIPDAEGMQHLLFCRVLLGNAEVVQPGSTQCYPSSEEFDSGVDSLVSPTKYIIWNTHMNAQILPEFMISFNVLSTTKKIEIPAHISALLFELSLMLPHDKQSIAKYQKDTEEGKITVKELRRHLRNLAGDTLLVQAIKSYQNKQKKRLTFSPHKSSSSY >itb09g31090.t2 pep chromosome:ASM357664v1:9:31582429:31587285:-1 gene:itb09g31090 transcript:itb09g31090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLKRNRGQHDSLSDKIVRYRGVLLVIALPLLLITFLLFLSRSPSDSVGSLNRKFSPNFGSNKYAVIFDAGSSGSRVHVFCFDQNLDLVPIGNNLELFHQLKPGLSAYPTDPEAAANSIKPLLEKAEAVVPQPLHPNTPVRVGATAGLRQLEGDAPDRILQAVRDFLKSKSSLKSQPDWVTVLDGSQEGAYEWVTINYLLGNLGKEYSETVGVVDLGGGSVQMAYAISESDAQKAPKLSDPEDKYVQEMYLKGRKYYLYVHSYLHYGLLAARAEILKVTENSGNPCILAGYSGSYKYGGAIYSASPLPYGSNMKSCRDVSIMALKVNESICTSMKCTFGGVWNGGGGDGQRNLFVASFFFDRAAEVGFINATAAPVAKVRPADFESAARDACETGLEDAKSKYTSVSADNLPYLCMDLVYQFTLLVDGFGIDPQQEITLVKQVEYQNSFVEAAWPLGSALEVVS >itb09g31090.t1 pep chromosome:ASM357664v1:9:31582429:31587285:-1 gene:itb09g31090 transcript:itb09g31090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLKRNRGQHDSLSDKIVRYRGVLLVIALPLLLITFLLFLSRSPSDSVGSLNRKFSPNFGSNKYAVIFDAGSSGSRVHVFCFDQNLDLVPIGNNLELFHQLKPGLSAYPTDPEAAANSIKPLLEKAEAVVPQPLHPNTPVRVGATAGLRQLEGDAPDRILQAVRDFLKSKSSLKSQPDWVTVLDGSQEGAYEWVTINYLLGNLGKEYSETVGVVDLGGGSVQMAYAISESDAQKAPKLSDPEDKYVQEMYLKGRKYYLYVHSYLHYGLLAARAEILKVTENSGNPCILAGYSGSYKYGGAIYSASPLPYGSNMKSCRDVSIMALKVNESICTSMKCTFGGVWNGGGGDGQRNLFVASFFFDRAAEVGFINATAAPVAKVRPADFESAARDACETGLEDAKSKYTSVSADNLPYLCMDLVYQFTLLVDGFGIDPQQEITLVKQVEYQNSFVEAAWPLGSALEVVS >itb02g24310.t1 pep chromosome:ASM357664v1:2:24701701:24702723:1 gene:itb02g24310 transcript:itb02g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSKKLRCRELGISEWPKKKFLRLEDNLEISKKKFLRLEDNLEISTPPPYRLEDNLEISTPPPYQLEDNLEISTPPPYQYNHYLEISTPPPYQYNHSLEISTPPPYQYNHSITENSLWDYQYEECTLAPAGEASRSSSDEHIRSFGQEFDSIWNFKDEAPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNKLGLETQTLGESSSXEFQG >itb13g26900.t1 pep chromosome:ASM357664v1:13:31988773:31992883:1 gene:itb13g26900 transcript:itb13g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGLQASKCKTCLTLAVSRIKLLQNKRDVQLKLMRKEIAQFLQTGQEAIAQIRVEHVIREQNIWAAYEILEMFCEFVLARVPILESQRECPSELREAVASIIFAAPRCSDLPDLLHVRNLFAAKYGKEFVAAASELRPDTSVNRTIVEKLSVSTPSPQVKLKLLKEIAREYNVEWDSSKTESELSRKPEDLLNGPKQIATVSQVSVKQNSRPSCENSLLSTNGKQRREHDPQSPGCVAKSKTRPLLSADTNKASYYQENSGEAVTDIRDKGSASSNVMERAENAIMAAERASAAARAAAKLVNVKFEFVKVEEQNS >itb06g04340.t1 pep chromosome:ASM357664v1:6:6917139:6919729:-1 gene:itb06g04340 transcript:itb06g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGESDGCEGRKKRQESCRRRRSFAEKCSHVAKKQRAKFYILRRCIAMLKCEGRGGEATARILSLEERAACVRYDMIVLCCPLWNAQNEAIATRACFDD >itb07g16270.t1 pep chromosome:ASM357664v1:7:19848056:19851258:-1 gene:itb07g16270 transcript:itb07g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGTSLMERYELGRLLGQGTFAKVYYARSIVTGQSVAIKVVDKDKIMKVGLMDQIKREISIMRLVRHPNVILLHEVMATKTKIYFVMELAKGGELFNKVAKGKLKEDVARKYFQQLVNAVDFCHSRGVYHRDLKPENLLLDEDENLKISDFGLSALAESKRGDGLLHTTCGTPAYVAPEVINMKGYDGAKADIWSCGVILYVMLAGYLPFHESNLMEMYRKIAKAEFRCPNWFQPEIRRLLLRILDPCPDTRITIARIRDHPWFKRGMTSKYIDANAKSKNVGSQNTSIVAEDKQEVPRLLNLNAFDLISHYTGFDLSRLFEESCLKKQHRFTSRKPPYVIISKLEDIAKHLKLKLTKRDAGLLRFEGTKEGRKGILSIDAEIFELTPALHLLEVKKSNGDTLEYDKIMNDCIRPGLQDIVWAEQNDITTAKNRTRN >itb07g16270.t2 pep chromosome:ASM357664v1:7:19848056:19850460:-1 gene:itb07g16270 transcript:itb07g16270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGTSLMERYELGRLLGQGTFAKVYYARSIVTGQSVAIKVVDKDKIMKVGLMDQIKREISIMRLVRHPNVILLHEVMATKTKIYFVMELAKGGELFNKVAKGKLKEDVARKYFQQLVNAVDFCHSRGVYHRDLKPENLLLDEDENLKISDFGLSALAESKRGDGLLHTTCGTPAYVAPEVINMKGYDGAKADIWSCGVILYVMLAGYLPFHESNLMEMYRKIAKAEFRCPNWFQPEIRRLLLRILDPCPDTRITIARIRDHPWFKRGMTSKYIDANAKSKNVGSQNTSIVAEDKQEVPRLLNLNAFDLISHYTGFDLSRLFEESCLKKQHRFTSRKPPYVIISKLEDIAKHLKLKLTKRDAGLLRFEGTKEGRKGILSIDAEIFELTPALHLLEVKKSNGDTLEYDKIMNDCIRPGLQDIVWAEQNDITTAKNRTRN >itb12g27560.t1 pep chromosome:ASM357664v1:12:27889671:27894020:-1 gene:itb12g27560 transcript:itb12g27560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNQALEKMKMLVGMDVEDDEGAAVQQQDSSFAFVDDFNRNCTLTTKQRLYGFAICLASGVACTLLSMLVFLNPVKFGITFSFGNLLALGSTAFLIGPKRQVTMMLDPVRIYATAIYIASIIIALFCALYVRNKLLTLLAILLEFGALIWYSLSYIPFARSMVSKVMVACFDTEF >itb12g27560.t2 pep chromosome:ASM357664v1:12:27890462:27894020:-1 gene:itb12g27560 transcript:itb12g27560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNQALEKMKMLVGMDVEDDEGAAVQQQDSSFAFVDDFNRNCTLTTKQRLYGFAICLASGVACTLLSMLVFLNPVKFGITFSFGNLLALGSTAFLIGPKRQVTMMLDPVRIYATAIYIASIIIALFCALYVRNKLLTLLAILLEFGALIWYSLSYIPFARSMVSKVMVACFDTEF >itb07g23550.t1 pep chromosome:ASM357664v1:7:27929342:27930834:1 gene:itb07g23550 transcript:itb07g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGFHSIRAVFLILIVASLVPLDCIGIRSFPDKTGFGFSEAPEYRNGADCPAKKQEEERDSETASSCDPFLVHIAMTLDSEYLRGSMAAVHSVLRHASCPEQVFLHFIAAEFDAVTPRALTRHVRSVFPSLNFKVYIFREDRVLNLISSSIRRALENPLNYARNYLGDMLDACVTRVVYLDSDVILVDDILKLWNVPLSDSKVIGAPEYCHANFTKYFTDSFWSDPIFPRVFDSRSPCYFNTGVMVMDLRRWRAGNFRRKLEKWMELQRKRRIYELGSLPPFLLVFGGLIEPINHRWNQHGLGGDNELGSCRALHPGPVSLLHWSGKGKPWVRLDEKKPCPLDHLWEPYDLYNQRSAKSQHALSDSTSKLELSNYFI >itb11g08260.t1 pep chromosome:ASM357664v1:11:5403125:5404619:-1 gene:itb11g08260 transcript:itb11g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLASDWKQLADMGGGRFCVMYCALDEDILIYGFNIDFELEHTIQRNETENSSSYIIFKMKFNHHDPISLKHLLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGE >itb01g27480.t3 pep chromosome:ASM357664v1:1:32276622:32279036:-1 gene:itb01g27480 transcript:itb01g27480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSETSPALEILIRGPEGYAIWDGPPFPNDQPSIRLATVPCTSAKFSEDGSKLMVMKSDSVISVHDCKTLKEIRSFEIPNVLAAVISPCGTYLQTFQKCTSPQDKNVVLWKVESGESVYQLYQKNMTKVTWPSVRFSSDEMAACRLATNEIQLFDGRDFSKGIVQRVRVPGIAAMELSKTPGSYVAAFVPESKGMPASVQIYTSGKDSQTPVARRSFFRCSTVQLSWNHGSTGLLVLVQSDVDKTNQSYYGETKLNYLTTDGSHDGLVPLRKEGPVHDVQWSHTGKEFAVVYGCILASPPIPTLTLSAPMKRNEKKITWNSNVLLHST >itb01g27480.t2 pep chromosome:ASM357664v1:1:32273602:32279036:-1 gene:itb01g27480 transcript:itb01g27480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSETSPALEILIRGPEGYAIWDGPPFPNDQPSIRLATVPCTSAKFSEDGSKLMVMKSDSVISVHDCKTLKEIRSFEIPNVLAAVISPCGTYLQTFQKCTSPQDKNVVLWKVESGESVYQLYQKNMTKVTWPSVRFSSDEMAACRLATNEIQLFDGRDFSKGIVQRVRVPGIAAMELSKTPGSYVAAFVPESKGMPASVQIYTSGKDSQTPVARRSFFRCSTVQLSWNHGSTGLLVLVQSDVDKTNQSYYGETKLNYLTTDGSHDGLVPLRKEGPVHDVQWSHTGKEFAVVYGFMPAMATIFDKKCNPLLELGTGPYNTIRWNPRGKFICLAGFGNLPGDMAFWDYVEKKKLGTTKAELSVTSEWSPDGRYFLTATTAPRLQVDNGIKVFHHNGSLYFKKMFEKLYQADWKPESPDKFGEISEFLKAVDSLKIDETKVQGCTVKS >itb01g27480.t1 pep chromosome:ASM357664v1:1:32273602:32279036:-1 gene:itb01g27480 transcript:itb01g27480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSETSPALEILIRGPEGYAIWDGPPFPNDQPSIRLATVPCTSAKFSEDGSKLMVMKSDSVISVHDCKTLKEIRSFEIPNVLAAVISPCGTYLQTFQKCTSPQDKNVVLWKVESGESVYQLYQKNMTKVTWPSVRFSSDEMAACRLATNEIQLFDGRDFSKGIVQRVRVPGIAAMELSKTPGSYVAAFVPESKGMPASVQIYTSGKDSQTPVARRSFFRCSTVQLSWNHGSTGLLVLVQSDVDKTNQSYYGETKLNYLTTDGSHDGLVPLRKEGPVHDVQWSHTGKEFAVVYGFMPAMATIFDKKCNPLLELGTGPYNTIRWNPRGKFICLAGFGNLPGDMAFWDYVEKKKLGTTKAELSVTSEWSPDGRYFLTATTAPRLQVDNGIKVFHHNGSLYFKKMFEKLYQADWKPESPDKFGEISEFLKAVDSLKIDETKVQGQGSKASKPATKTTPANPPAQKPAAYRPPHAKAAAAVQAELFGGNPSGEMSKNALKNKKKREKQREKKAADGAM >itb14g14450.t1 pep chromosome:ASM357664v1:14:17071742:17077290:1 gene:itb14g14450 transcript:itb14g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPTITTHGTAERSSNGAPAPQTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASDNFTVGGHQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRVMLENSDYLKDDCLKINCTVGVVRSTIDCSGMHSIHVPDSDIGAHFGMLLENMEGSDIIFNVAGEKFHAHKLVLAARSPIFRSEFFDGLDSDEQEIVITNMESKVFKAMLHFVYTDSLLEDDLEASSSSSIPSISDTLPAKLLEAADRYGLGRLKRMCEAYLCKDISVNSVAKTLALADCYHAMELKTVCLRFAAENLAAVMQSDGFEYLKENCPSLQSELLKTVAGCEDECSSGGGKSRSVWAQLSDSGDTDGRRVRQRT >itb15g10870.t1 pep chromosome:ASM357664v1:15:8633166:8636651:-1 gene:itb15g10870 transcript:itb15g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVGGSSSEVEVTWEDQQKINKFSRLNTRFHELEDEIKVAKETCENMEDASNELILTDEEVVRFQIGEVFAHVAKEEVENRIEEMKEVTSKKLEKLEEEKESVVAQMAELKKVLYAKFKDSINLEED >itb13g03220.t1 pep chromosome:ASM357664v1:13:3164353:3165258:-1 gene:itb13g03220 transcript:itb13g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLKSYIVALPVVVFYLIFASHVDASYDFCVTAMDKELCKKMVEGATYWDEAATKAITAATQELAKAIESGNPACKKSYKETEENLMESLETVGTIREGDKVGSLDLKLAAALTSLDDCTKALKGEPDASAAKILNHSVEEAIRVCLAVSSSKVAASSSKSANSNASPSFTLIS >itb15g10570.t1 pep chromosome:ASM357664v1:15:8313058:8319813:-1 gene:itb15g10570 transcript:itb15g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGMEQFEVYFQRADLDRDGRISGAEAVSFLQGSNLPKQVLAQVWMHADQSHSGFLSRGEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQINLAAIPAPQSNSVGAAPAPVPAPQMRTVGPQVGAVTPTVSQHPGFRGQAPQSQQYLPSQGSHLMRPPLAAPSTGVVRPQHGVAGLDFPRGGGAVTSGPPALHGVAGGTTSGPTRPTPNRGITPNTLVASRSLDSLSAIVSPQNENTSDSMFGGEAFSVSQTLPRQGSAPPFSASNAPASTALAPVTSGSQSSAKPDPFEALQSTFTRPSSGSQQQLTQPTPKTSQQHSAQTTTPLPSSGIPAGAANSTAEQPQPSWPKMTRAGVQKYAKVFMEVDTDRDGRITGEQARNLFLSWRLPREVLKQVWDLADQDNDSMLSLREFCIALYLMERYREGRPIPSTLPNSVLLDETLISLAGPPTVSYGTAGWGQTPGLPHQGLPGAQQSSHAGLRPQMQPMAPQPDGSMQFNKQNTGSLTMENSNPNQLSNGEQNTLESKGEEEGEKKVEDKDKLILDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERALADKREAELLQKKYEEKYKQVAEIASKLTIEEAAFRDVQERKLELQQAIVRMEQGGSADGILQVRADRIQSDLEELLKALTERCKKHSVTIKSTALIELPHGWQPGIPEISAVWDEDWDKFEDEGFSFDVAVSATAKSTSHQRENSPTRSNSPNSMSNAEAKSEQLFSHGVSTLETESTYAHSEDESKSPQGSPAGQRAFESPSQEFSDDHFGKSFETDAETNRSFDGSAWGTFDNNDDVDSVWGFSAKDSNHDKHGENYFFGSNDFGGSPVRTGSPAAESRFQKNSPFTFEDSVPGSPLSRAGNSPRRYSVGSGDPFESFSRYDSFSTHDRTSSPQRETLTRFDSMSSTSGFDHSRGFSFDDSDPFGSSGPFKVSSESQTPKKSTDSWNAF >itb13g06260.t2 pep chromosome:ASM357664v1:13:7480792:7482756:-1 gene:itb13g06260 transcript:itb13g06260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFPAKHRMPAAMHGGVRLPPRLADARTNSELVSEFVNIPTRKAVTTASGGGESEQKLTKLLLNVNIQNSLGPVHVVTSPENSAADLIRAAVEIYAKEKRRPLLASTDPARYELHYSQFSLESLKPEEFLKNLKSRNFFLCLNSNYTMP >itb13g06260.t1 pep chromosome:ASM357664v1:13:7481027:7483458:-1 gene:itb13g06260 transcript:itb13g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFPAKHRMPAAMHGGVRLPPRLADARTNSELVSEFVNIPTRKAVTTASGGGESEQKLTKLLLNVNIQNSLGPVHVVTSPENSAADLIRAAVEIYAKEKRRPLLASTDPARYELHYSQFSLESLKPEEFLKNLKSRNFFLCLNSNYTMP >itb01g10880.t1 pep chromosome:ASM357664v1:1:9488886:9498322:1 gene:itb01g10880 transcript:itb01g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFKAHDGGVTAVELSRVIGRTPWLITIGADKTLAIWDTISLKELRRIKPVSKLACNSVQSWCHPRAPNLDILICVKDSHIWAIEHPTHSALTRPLCELSSLVALQLLPSQKKLKVYCMVTHPLQPHLVATGTNVGIILCEFDALALPSVAPLPTPIGGHEHTAIYVVQRELKLLRFQLSNTANPAIGNNGISSNTAPEQLLVKQTKKHITTVPHDSYSVLSVSSSGKYLAIVWPDIPYFTIYKVSGWSIVDSGSARLLVWDTCRDRFALLESAVFPRMPIIPKGGSSRKAKEAAAAAAQAAAEAASAASSAAVQVRILLDDGTSNVLMRSVGSRSEPVIGLHGGALLGVAYRTSWRVRSISTMPLSGYGASSVSSFSAFESSIASQKASAQNFQLYSWETFQPVGAALPQPEWTAWDQTVEYCAFAYPEYIVIASLRPQFRYLGDVAIPHATGAVWQRRQLFVATPTTIECIFVDAGVASVDIETKRRKEEMRLKEAQARAVAEHGELALITIDSQQNAPQERIKLRPPMLQVVRLASFQHEHYMPPFLTLPKLSKAETDETWMPKEMEERRVSEVAIGGGGIAVAVTRFPAEQRRPVGPLLIVGVRDGVLWLVDRYMCAHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYAMEALHLPGISKRLEFDLAMQGNDLRRALQCLLTMSNSRDIGHEALSLDLNDIMNLAEKKENVVEAVQGVVKFAKEFMNLIDAADATGQVDVAREALKRLAAAGSVKGALQGQELNGVALRLANHGELARLSNMVNNLIPVGSGREAAFAAALLGDNLLMEKAWQETGMLAEAVLHAHAHGRPSLRTLVQAWNKTLQKEMEHTASTKTDATSAFLASLEEPKLTSLIDAAKKHPIEILPPGMASLYGPNPGQTKAPPGKQGMLQQLGKQIIEGSKTSQPNATSSAENGTATVSESGIPSKSEVAPSVTPEPDTPAVSASTAPPAEPDAAQAQPSAPQPSDLGAEVSPEPSTPDLAQPKNKTSDNQVTVSSLVGASDPTLTGEGIPSTSKDISSAAENEHQLPDNMGNAVPNELPMIEFS >itb04g26220.t1 pep chromosome:ASM357664v1:4:30584677:30588186:-1 gene:itb04g26220 transcript:itb04g26220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLILCPVFDRYVYKIPVFRRQNIVTFSQTRAYQNHPFLRNPRQKTSVVRSEHHTKQGFSTMASTAGLVIPCKAAVAWEAGKPLVIQQVEVAPPQAMEVRVKIKYTSLCHTDIYFWEAKGQKPLFPRIFGHEAAAIVESVGEGVEDLQVGDHVLPVFTGECGECAHCKSEESNMCDLLRINTERGVMLNDGKSRFSINGNPINHFLGTSTFSEYTVLHSGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPKQGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLNPKRFEEAKKFGVTEFVNPKDYDIPVQQVITEMTNGGVDRSVECTGNINAMMSAFECVHDGWGVAVLVGVPNKEAVFMTKPINLLNERTLKGTFFGNYKPRTDLPSVVDMYMNKKLEVEKFITHRIPFSEINKAFEYMLRGEGLRCIISMED >itb05g25260.t1 pep chromosome:ASM357664v1:5:29751905:29753793:1 gene:itb05g25260 transcript:itb05g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDHKNIGLYFQLRVDKEKRIDGRGAIFCPGYSSGVYIIRLLKEQKTIAVESSLGKDAKIANFLFLLLLLLDPTRSSEEVPVAQSSSISSVSLKLLPHLLLVAD >itb11g18840.t2 pep chromosome:ASM357664v1:11:19303293:19310075:-1 gene:itb11g18840 transcript:itb11g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 15 [Source:Projected from Arabidopsis thaliana (AT3G17660) UniProtKB/TrEMBL;Acc:A0A1I9LR18] MNEKATVSMELNVKHTKILEGLLRLPENMECADCRNRAPSWASVNLGIFICMQCSGIHRNLGVHISEVRSTTLDTWLPDQIAFMQSMGNEKANSYWEALLPPDSDRSDIEEFIQAKYQEKKWVSEDAELINMISERHSNCDKATEGGARIPRRARKYSLEEEILDANMSQIASTTRLRWASVDMSHMTDKLIIESPPNDNSLEKQPSITSDTATDLFSLLYAPEVKQDRVVVPASRWATFECTKCSTIVST >itb11g18840.t1 pep chromosome:ASM357664v1:11:19303218:19310075:-1 gene:itb11g18840 transcript:itb11g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 15 [Source:Projected from Arabidopsis thaliana (AT3G17660) UniProtKB/TrEMBL;Acc:A0A1I9LR18] MNEKATVSMELNVKHTKILEGLLRLPENMECADCRNRAPSWASVNLGIFICMQCSGIHRNLGVHISEVRSTTLDTWLPDQIAFMQSMGNEKANSYWEALLPPDSDRSDIEEFIQAKYQEKKWVSEDAELINMISERHSNCDKATEGGARIPRRARKYSLEEEILDANMSQIASTTRLRWASVDMSHMTDKLIIESPPNDNSLEKQPSITSDTATDLFSLLYAPEVKQDRVVVPASRWATFE >itb04g31760.t1 pep chromosome:ASM357664v1:4:34490828:34491530:1 gene:itb04g31760 transcript:itb04g31760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMKEGPKLDLKLNLSPPRATSPHSPLRSMEMSPPSSCVSREESPAEDGGAGEATSMMMLVGCPRCLMYVMLAQVDPKCPKCKSTVLLDFFHEERAKNSKKSP >itb11g07090.t3 pep chromosome:ASM357664v1:11:4294271:4297127:-1 gene:itb11g07090 transcript:itb11g07090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNCSKFLFTNGVISTPAETPSAATLLEAHPGAYTTTRTHNNGSQILFWERHLRRLSNSVRILLHSNPNLLFEVPKSAAPYSSMLTSSPLWDSLIQSLVNDSMRKVMPAVLKERKNEEELAITSLVSGNLDSFREAEGLNEENIFRSFNVYVHIGGYVPKEFGISENGAHLAVVGCGRELANAKYSDWVRQRKLLEKLRPPSVNELLLSNDGDKILEGCLTNFFVVCCKEEKGNTNCFEIQTAPLSDGVLPGIIRQVIFDVCSRNGILVREVAPSWSKHEMWTEAFISSMLSELF >itb11g07090.t1 pep chromosome:ASM357664v1:11:4293310:4297127:-1 gene:itb11g07090 transcript:itb11g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNCSKFLFTNGVISTPAETPSAATLLEAHPGAYTTTRTHNNGSQILFWERHLRRLSNSVRILLHSNPNLLFEVPKSAAPYSSMLTSSPLWDSLIQSLVNDSMRKVMPAVLKERKNEEELAITSLVSGNLDSFREAEGLNEENIFRSFNVYVHIGGYVPKEFGISENGAHLAVVGCGRELANAKYSDWVRQRKLLEKLRPPSVNELLLSNDGDKILEGCLTNFFVVCCKEEKGNTNCFEIQTAPLSDGVLPGIIRQVIFDVCSRNGILVREVAPSWSKHEMWTEAFISNSLRIMQHVEIIQFPKSMDSLEEKTWNEVTWEEKKFEGTPGKITAFIQEEVMKLAGLEGYPVTLFND >itb11g07090.t4 pep chromosome:ASM357664v1:11:4293310:4297127:-1 gene:itb11g07090 transcript:itb11g07090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNCSKFLFTNGVISTPAETPSAATLLEAHPGAYTTTRTHNNGSQILFWERHLRRLSNSVRILLHSNPNLLFEVPKSAAPYSSMLTSSPLWDSLIQSLVNDSMRKVMPAVLKERKNEEELAITSLVSGNLDSFREAEGLNEENIFRSFNVYVHIGGYVPKEFGISENGAHLAVVGCGRELANAKYSDWVRQRKLLEKLRPPSVNELLLSNDGDKILEGCLTNFFVVCCKEEKGNTNCFEIQTAPLSDGVLPGIIRQVIFDVCSRNGILVREVAPSWSKHEMWTEAFISNSLRIMQHVEIIQFPKSMDSLEEKTWNEVTWEEKKFEVPESKQFLTHIHTPKRK >itb11g07090.t2 pep chromosome:ASM357664v1:11:4293310:4297127:-1 gene:itb11g07090 transcript:itb11g07090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNCSKFLFTNGVISTPAETPSAATLLEAHPGAYTTTRTHNNGSQILFWERHLRRLSNSVRILLHSNPNLLFEVPKSAAPYSSMLTSSPLWDSLIQSLVNDSMRKVMPAVLKERKNEEELAITSLVSGNLDSFREAEGLNEENIFRSFNVYVHIGGYVPKEFGISENGAHLAVVGCGRELANAKYSDWVRQRKLLEKLRPPSVNELLLSNDGDKILEGCLTNFFVVCCKEEKGNTNCFEIQTAPLSDGVLPGIIRQVIFDVCSRNGILVREVAPSWSKHEMWTEAFISNSLRIMQHVEIIQFPKSMDSLEEKTWNEVTWEEKKFEGTPGKITAFIQEEVMKLAGLEGYPVTLFND >itb07g11430.t2 pep chromosome:ASM357664v1:7:12876529:12878247:-1 gene:itb07g11430 transcript:itb07g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCKCGSDCKCGSDCGCEEVTTTFTIIEGVAPVKLTLEGSSEKATEGGHACKCGSNCTCDPCNC >itb07g11430.t1 pep chromosome:ASM357664v1:7:12876552:12878209:-1 gene:itb07g11430 transcript:itb07g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCKCGSDCKCGSDCGCEEVTTTFTIIEGVAPVKLTLEGSSEKATEGGHACKCGSNCTCDPCNC >itb13g17330.t1 pep chromosome:ASM357664v1:13:24333097:24336595:1 gene:itb13g17330 transcript:itb13g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKILLKVVILMCLFALSPGRELNVRAKLKSDLAVYNHTLATILVEYAAAVYMTDTFELFTWTCSICDDLTKGFEMIELIVDVQYCLQAFVGVDPNINAIVVAFRGSTTSIQNWVEDLYWKQLDLDYPGMDGAMVHHGFYNCYNDSTIRSSALNAVKEAKMFYEDYQIMVTGHSMGGALAAFFALDLTVNFGAEDIQVMTFGQPRMGNAAFATGYNERVPNTIRVTHGHDIVPHLPPYFSLFPSKTYHHFPREVWLYNIGLGSLVYTVEKVCDGSGEDPNCSRSVAGNSISDHLTYYGIRLGNEESVSCRIVLDPRVSSYATQDLNGNVILSRDLYASVLRINSDHTDQIKPL >itb13g17330.t2 pep chromosome:ASM357664v1:13:24333094:24335765:1 gene:itb13g17330 transcript:itb13g17330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKILLKVVILMCLFALSPGRELNVRAKLKSDLAVYNHTLATILVEYAAAVYMTDTFELFTWTCSICDDLTKGFEMIELIVDVQYCLQAFVGVDPNINAIVVAFRGSTTSIQNWVEDLYWKQLDLDYPGMDGAMVHHGFYNCYNDSTIRSSALNAVKEAKMFYEDYQIMVTGHSMGGALAAFFALDLTIFRL >itb13g17330.t3 pep chromosome:ASM357664v1:13:24333094:24335765:1 gene:itb13g17330 transcript:itb13g17330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKILLKVVILMCLFALSPGRELNVRAKLKSDLAVYNHTLATILVEYAAAVYMTDTFELFTWTCSICDDLTKGFEMIELIVDVQYCLQAFVGVDPNINAIVVAFRGSTTSIQNWVEDLYWKQLDLDYPGMDGAMVHHGFYNCYNDSTIRSSALNAVKEAKMFYEDYQIMVTGHSMGGALAAFFALDLTVNFGAEDIQVMTFGQPRMGNAAFATGYNERVPNTIRVTHGHDIVPHLPPYFSLFPSKTYHHFPREVWLYNIGLGSLVYTVEKVCDGSGEDPNCSR >itb13g17330.t4 pep chromosome:ASM357664v1:13:24333094:24335765:1 gene:itb13g17330 transcript:itb13g17330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTFELFTWTCSICDDLTKGFEMIELIVDVQYCLQAFVGVDPNINAIVVAFRGSTTSIQNWVEDLYWKQLDLDYPGMDGAMVHHGFYNCYNDSTIRSSALNAVKEAKMFYEDYQIMVTGHSMGGALAAFFALDLTVNFGAEDIQVMTFGQPRMGNAAFATGYNERVPNTIRVTHGHDIVPHLPPYFSLFPSKTYHHFPREVWLYNIGLGSLVYTVEKVCDGSGEDPNCSR >itb02g16060.t1 pep chromosome:ASM357664v1:2:11809615:11813912:1 gene:itb02g16060 transcript:itb02g16060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPSYLVSRDLTRACEQESTWIYTASLVTENSKEKRRLEEEEDIALRKSLKLADCLEKEETVQGFHDLSLSQRELTSNGQEDANHSDRNDLIHQIGRDLSVNCLLHCPRSDYGSIASLNQSFRSLVRSGELYKLRRMLGIVEHWVYLSCNLLEWDAFDPNTGRWMHLPTMTSNECFMCSDKESLAVGTELLVFGKEIESHAIYKYSLLTNSWSPAMRTHTPRCLFSTASLGEIAIVAGGCDSTGNVLSSVELYNSETGTWEILPSMNKARKLCSGVFMDGKLYVLGGVGAGSSNILTSGEVYDLKTRSWVEIPEMFPARGETNDAPATAKAPPLLAVVKNQLYAAFYAEKEVLKYNKERNSWSVIGRLPEQATSVNGWGLAFKACGDKLITIGGPRAMNGGFIEINSWEPDQGEGQSQWNLLGRKHLGSFVYNCAVMGC >itb02g16060.t2 pep chromosome:ASM357664v1:2:11809615:11813912:1 gene:itb02g16060 transcript:itb02g16060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPSYLVSRDLTRACEQESTWIYTASLVTENSKEKRRLEEEEDIALRKSLKLADCLEKEETVQGFHDLSLSQRELTSNGQEDANHSDRNDLIHQIGRDLSVNCLLHCPRSDYGSIASLNQSFRSLVRSGELYKLRRMLGIVEHWVYLSCNLLEWDAFDPNTGRWMHLPTMTSNECFMCSDKESLAVGTELLVFGKEIESHAIYKYSLLTNSWSPAMRTHTPRCLFSTASLGEIAIVAGGCDSTGNVLSSVELYNSETGTWEILPSMNKARKLCSGVFMDGKLYVLGGVGAGSSNILTSGEVYDLKTRSWVEIPEMFPARGETNDAPATAKAPPLLAVVKNQLYAAFYAEKEVLKYNKERNSWSVIGRLPEQATSVNGWGLAFKACGDKLITIGGPRAMNGGFIEINSWEPDQGEGQSQWNLLGRKHLGSFVYNCAVMGC >itb02g16060.t3 pep chromosome:ASM357664v1:2:11809995:11813912:1 gene:itb02g16060 transcript:itb02g16060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPSYLVSRDLTRACEQESTWIYTASLVTENSKEKRRLEEEEDIALRKSLKLADCLEKEETVQGFHDLSLSQRELTSNGQEDANHSDRNDLIHQIGRDLSVNCLLHCPRSDYGSIASLNQSFRSLVRSGELYKLRRMLGIVEHWVYLSCNLLEWDAFDPNTGRWMHLPTMTSNECFMCSDKESLAVGTELLVFGKEIESHAIYKYSLLTNSWSPAMRTHTPRCLFSTASLGEIAIVAGGCDSTGNVLSSVELYNSETGTWEILPSMNKARKLCSGVFMDGKLYVLGGVGAGSSNILTSGEVYDLKTRSWVEIPEMFPARGETNDAPATAKAPPLLAVVKNQLYAAFYAEKEVLKYNKERNSWSVIGRLPEQATSVNGWGLAFKACGDKLITIGGPRAMNGGFIEINSWEPDQGEGQSQWNLLGRKHLGSFVYNCAVMGC >itb05g01360.t3 pep chromosome:ASM357664v1:5:1162935:1167875:1 gene:itb05g01360 transcript:itb05g01360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGYSNGGGGGLARNGVASNHVSIAVRSSAHKQQQQQQSRLLHGHHRRLKSNKISVGGIIVILSLCFVVSVIAFLYFTSQNKEFDNSHAQDADIENDTDFLTNVTRIRRQKVHFGHGSVQHGRDSRGWDRDDRTRDDSYSEEELERSLDGSLDKLHSPPKGKNTDKKSSSTESHRGLDYRGKGLYGESGRDELKAYEAEYQASLNNIGQSQQGYSAKNNHPSDSDKGKKTETVDIDDAYDDGIDLDDTNAEGYDEVGHEDEDHPGAVDRDEIHPFDIHDDGAKNKNSAVEVGKGELISSTQHSKGNLNARNDRHSGRRSTSEKRLASRKKSKRRPCEMKLLNSTELLVEPLESRKFARFSLQYTEREHKPVEVENWEPRFAGHQSLEEREESFLAQDQKINCGFVKGPEGAPSTGFDLADDDAKYISSCHIAVVSCIFGNSDRLRMPVGKLVSRFSRKNVCFVMFVDEVTIQTLSSEGQMLDSMGFIGLWKIVVVKNLPYDDMRRVGKIPKFLSHRLFTSARYSIWLDSKLRLQLDPVLILEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYRADGLKRFDALDPEKLLPSNVPEGSFILRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYFKLRRMNPEKPFYLNMFKDCERRKIAKLFHHRSEERRKIISQLETE >itb05g01360.t2 pep chromosome:ASM357664v1:5:1162935:1167757:1 gene:itb05g01360 transcript:itb05g01360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGYSNGGGGGLARNGVASNHVSIAVRSSAHKQQQQQQSRLLHGHHRRLKSNKISVGGIIVILSLCFVVSVIAFLYFTSQNKEFDNSHAQDADIENDTDFLTNVTRIRRQKVHFGHGSVQHGRDSRGWDRDDRTRDDSYSEEELERSLDGSLDKLHSPPKGKNTDKKSSSTESHRGLDYRGKGLYGESGRDELKAYEAEYQASLNNIGQSQQGYSAKNNHPSDSDKGKKTETVDIDDAYDDGIDLDDTNAEGYDEVGHEDEDHPGAVDRDEIHPFDIHDDGAKNKNSAVEVGKGELISSTQHSKGNLNARNDRHSGRRSTSEKRLASRKKSKRRPCEMKLLNSTELLVEPLESRKFARFSLQYTEREHKPVEVENWEPRFAGHQSLEEREESFLAQDQKINCGFVKGPEGAPSTGFDLADDDAKYISSCHIAVVSCIFGNSDRLRMPVSRFSRKNVCFVMFVDEVTIQTLSSEGQMLDSMGFIGLWKIVVVKNLPYDDMRRVGKIPKFLSHRLFTSARYSIWLDSKLRLQLDPVLILEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYRADGLKRFDALDPEKLLPSNVPEGSFILRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYFKLRRMNPEKPFYLNMFKDCERRKIAKLFHHRSEERRKIISQLETE >itb05g01360.t1 pep chromosome:ASM357664v1:5:1162935:1168035:1 gene:itb05g01360 transcript:itb05g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGYSNGGGGGLARNGVASNHVSIAVRSSAHKQQQQQQSRLLHGHHRRLKSNKISVGGIIVILSLCFVVSVIAFLYFTSQNKEFDNSHAQDADIENDTDFLTNVTRIRRQKVHFGHGSVQHGRDSRGWDRDDRTRDDSYSEEELERSLDGSLDKLHSPPKGKNTDKKSSSTESHRGLDYRGKGLYGESGRDELKAYEAEYQASLNNIGQSQQGYSAKNNHPSDSDKGKKTETVDIDDAYDDGIDLDDTNAEGYDEVGHEDEDHPGAVDRDEIHPFDIHDDGAKNKNSAVEVGKGELISSTQHSKGNLNARNDRHSGRRSTSEKRLASRKKSKRRPCEMKLLNSTELLVEPLESRKFARFSLQYTEREHKPVEVENWEPRFAGHQSLEEREESFLAQDQKINCGFVKGPEGAPSTGFDLADDDAKYISSCHIAVVSCIFGNSDRLRMPVGKLVSRFSRKNVCFVMFVDEVTIQTLSSEGQMLDSMGFIGLWKIVVVKNLPYDDMRRVGKIPKFLSHRLFTSARYSIWLDSKLRLQLDPVLILEYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYRADGLKRFDALDPEKLLPSNVPEGSFILRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYFKLRRMNPEKPFYLNMFKDCERRKIAKLFHHRSEERRKIISQLETE >itb03g07850.t2 pep chromosome:ASM357664v1:3:5865428:5868739:1 gene:itb03g07850 transcript:itb03g07850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDNVLPVSSPAMHLTSSAIVHSKKESPGSVVFGRSRYKFWALAAMLMLSFWSILTGTVTLRWSAGNLNTVSHDIDIAIHEDLDVIEMEDRENMVKHIWYVYTNSRRITLPKFWQEAFEAAYEDLISDVSDVREAAISEIAKMSIRYIHAEPPPLRSSVFSNSGMAND >itb03g07850.t1 pep chromosome:ASM357664v1:3:5865428:5868739:1 gene:itb03g07850 transcript:itb03g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDNVLPVSSPAMHLTSSAIVHSKKESPGSVVFGRSRYKFWALAAMLMLSFWSILTGTVTLRWSAGNLNTVSHDIDIAIHEDLDVIEMEDRENMVKHIWYVYTNSRRITLPKFWQEAFEAAYEDLISDVSDVREAAISEIAKMSIRYIHAEPPPLRSSALHKSSLKHVERREFEAEWRI >itb01g35410.t1 pep chromosome:ASM357664v1:1:37634940:37638606:1 gene:itb01g35410 transcript:itb01g35410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVFLALFLPCAGMSVVLVVYFCLLWYAATYNAAAAAAGNGGQYAAKSTQQTGLSATQLEKLPKVTGKDLVLGNDCAVCLDNIGSDEPARLVPGCNHGFHLECADTWLAKHPVCPICRTKLGPELFDPPEANPC >itb01g04120.t3 pep chromosome:ASM357664v1:1:2719625:2723867:-1 gene:itb01g04120 transcript:itb01g04120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLLTSKENQRPQEQEEEEKGRFCLEWRSVMEAENSRSKGLGKEFEGNGGQKLSSSSEHHINNNGLQFTSTKPDSFVVDMERFEKDINSNSRITRNLSRKGSQMRSVEKKIPNPNVAVDNLIATSPRAVLHGAGTPEKPMVVVVGAGADHSAPVTIIAAGANPLESKTMAAAGGKRFGFRRPSLASWILDPRRILFFFATLSSMGTLLLIYLSLSVGKYSGDE >itb01g04120.t2 pep chromosome:ASM357664v1:1:2719625:2723867:-1 gene:itb01g04120 transcript:itb01g04120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLLTSKENQRPQEQEEEEKGRFCLEWRSVMEAENSRSKGLGKEFEGNGGQKLSSSSEHHINNNGLQFTSTKPDSFVVDMERFEKDINSNSRITLQRNLSRKGSQMRSVEKKIPNPNVAVDNLIATSPRAVLHGAGTPEKPMVVVVGAGADHSAPVTIIAAGANPLESKTMAAAGGKRFGFRRPSLASWILDPRRILFFFATLSSMGTLLLIYLSLSVGKYSGDE >itb01g04120.t1 pep chromosome:ASM357664v1:1:2719625:2723867:-1 gene:itb01g04120 transcript:itb01g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLLTSKENQRPQEQEEEEKGRFCLEWRSVMEAENSRSKGLGKEFEGNGGQKLSSSSEHHINNNGLQFTSTKPDSFVVDMERFEKDINSNSRITLQRNLSRKGSQMRSVEKKIPNPNVAVDNLIATSPRVAAVLHGAGTPEKPMVVVVGAGADHSAPVTIIAAGANPLESKTMAAAGGKRFGFRRPSLASWILDPRRILFFFATLSSMGTLLLIYLSLSVGKYSGDE >itb03g22570.t1 pep chromosome:ASM357664v1:3:20628241:20631462:1 gene:itb03g22570 transcript:itb03g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQKLTDYERRKLENIKRNEEMLAALKIHSRLSDLSAATKRPRVQNRSYKLSPEKKQKSETPIVLRRSLRKQGKPPDDSVADGLKVDYDESIKKNKLRLQSSLKKLPTKPVPINMKDAFSGENNGSNQQLIETIKGLSRKSQLDENVNQEPIFCDLKKKRRPSGSVDIESLRLEPENIARVVRGRILNAKCFPTNGMRMVAVGNMFGDIGFWNADAKEEDGDGIYLYQPHSAPVSGIVIESFSMPKMYTCCHDGFIRLMDIEKELFDSLYFSDYPICALSQRPDDMNSLYYAEGNGKLGIWDLRAGKSSSSWSLHEDRINSIDFNLADNYMLATSSTDGTACIWDMRNAGAMKPRSLRTVHHKRAVCSAYFSRSGRFLATTSYDDKIGFSCGANYEAVCMLRHQNNHSFRAIWGWDDSYVFIGNLQRGVDVISTYKMRTVSVSAIQWRLDAHQYEVGMLAGATGGGQVCLWTPS >itb15g17420.t1 pep chromosome:ASM357664v1:15:18058456:18060483:1 gene:itb15g17420 transcript:itb15g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNPANTNTNPLGYDPESFLASLQNLSLNQNNSLGSNAAAAAAAWLRSRTRPSSSSSSSSFSNNLIGNNLSYPGSVYGGLVSSSAWNPSFRIRSQDGSSNMVVDDYHNSLFYMGGNQIMENYPPSLRSPRAGGMMSLNSSLQFDCPWDIYATQPVHCSSFFTTTRTMPGNNCNNFNVETATTRDGSLSWQKILKEGDPVERQGILDAIIGNGIVFEVMGNEYGHHLFQRLLDFCDSTQLQSIVYTLASREDLFIDVALHRHGSTAVQALIKKLKNSDFGFTITSILSRRFLELMMDERGRYVVQQCFNTFKPRENEVLYDAAVRYFRELATSQHGCASLNACLSCIGGAQRAKLLTQISEHSDFLSNHPWGHYVVQHVLMLKDENFTRIICIRLEGLYIHLAHRKGGSHVVEKCIISSEFGMRSVVGAFLKSEKPFLQLASDQFGNYVVQTALEVTKQHDVELYRSLVMVLNKRNVLLSRNVIRRHIVDKIKELESQQC >itb02g04160.t1 pep chromosome:ASM357664v1:2:2487951:2488784:-1 gene:itb02g04160 transcript:itb02g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGLGLYPDDNGEDNNNATVVIEGDENMQPSCQLDGDNRSEKPNQSDNAFSSLRRFQQVLSDFEDMILASLEKKCLRIEELKQQLHELVHPVSSLRKKKQLYKKAFITRCQSLHLAETEVDLLGDQVDALVQILEKIYLVLSKNSSALSCHFEVSDIVRLIKKELADAVACTPKN >itb01g31310.t1 pep chromosome:ASM357664v1:1:35100505:35102009:1 gene:itb01g31310 transcript:itb01g31310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLLSSISIPHSSSINTSQTLGFVNPSCHAKIISQHHQSSFPGNLRSSAKKRSRALIIHATPEVAGDFLSGFLPFLPSSEDNSWLAWATGLCVAIPLITARILTLSKQVEAAAETVEKVADVVGNVAHEVDKAAEDFKENLPAGKLKDIVEAIEHVAEETAKDAQIVEDLMDKVEELDDKLEDFLHHGSNQPAKTSPVEEKKP >itb04g15890.t1 pep chromosome:ASM357664v1:4:17119251:17120709:1 gene:itb04g15890 transcript:itb04g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVSFALLLSFAALSFRLSTADDSQVVASVPPLIWVYKNGTISRPLDQRKVPPSPHDPATGVSSRDITISGNIPARIYLPKLTSTSQKLPVLIWYHGGGFCMGSAIDTGDHQFLNILSSEAKLVAISVDYRLAPEHLLPAGYEDSWAALNWVASHSPGSTRPGSPDPWLIQYGDFSRIFLGGDSAGANIVHNMIVRTAGEPLPGNLKIFGGILTHPYFWASKDGDKESFGYKLWTFVYPSAPGGIDNPMVNPFAKNAAPLSGLQASRIFVSVAEHDGLNVKGIEYVKALNGSAWKGEVTQVFMYGVAHSFYLNHIHSKKALYLIEHIAKFIQH >itb10g20130.t1 pep chromosome:ASM357664v1:10:25793365:25796486:1 gene:itb10g20130 transcript:itb10g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSTEISKFPKSPVRLLLLLLLLVLTFAVTGDAKPESYQILYSQHCDGVVPEAHVSPDAAPVADPSHWLTLNNAHLFDGKADGKSGRIVRPKVLHFVARNVYRTQTHGIYKLEGFVEISLSYRAGFPAGSTRRGLRLVYFRPPRFPKRVYPQSFPVTGFWNSVSGELCMVSSGLKPFGSAQVGLKLNYLNSSSIFDSLVNGSFLRFDAKNGSAYSKPRKILGFSLRNYRYTLIDEEVEKNGFNAYDRFVNSSLGFSSSQDVCLIVSNAVFDLEYSTDCNGVNCDFLGGISSNSTPRVMSFKEIECSKDGRVRYMLLFSDQRYNYVDFPFLQNATLVAEGKWEVRKKRIDMVVCRILNGSHVSSEGSVGDCTIRVSLRLPSRWTLRERSVVVGNMWSTKSSNELGYFKNVVFRSMRNRYNRPDNVVYEYTEIEKARRSCANKMISKGKEAKYPEAHSSDMRFNTILRNKKGVEVLAYSSPMAVGETFFKFAQVRSGTDEPTALGKDSQSRVFNISYVLSFKTPDDFVLGGKHRPIKSLEISAEGLYDSKTGHLCMIGCMHSAPNNGSLEERSLDCEVRVDIQYPPLNAKAGTRVRGTIESLRVKSDSLYFEPLEIFSNSLYAGQARESIWRMDLEMTLVLISNTLACVFVGLQLFYVKKYPNVLPSISVIMLFLLTLAHMVPLLLNFEALFFANRNKQNVYLGGGGWLEVNEVLVRVITMVAFLLEFRLLQLTWSARAGDENQKSFWTADKKVLFLSLPLYVLGGLIAWLIHLSRKPYQRRFALLHRFHRQQQQNLWVDLKSYAGLILDGFLLPQILFNLFCDTSEKALAPSFYLGSTLVRLLPHVYDLYRAHSSTWTFDFIYANPKLDFYSTSWDIIICCGGLLFVSLIFLQQRFGGGCFLPRRFRERTMYEKVPMAISLSDKSVRS >itb09g17940.t3 pep chromosome:ASM357664v1:9:13329859:13332267:-1 gene:itb09g17940 transcript:itb09g17940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLSSYLEFSNPLGSCPEAQLDPQLMTLCFEVSRTLADTGALLVKDPRCSAEDNDRFIDMMEKYFEQPEEFKRLQERPHLHYQVGVTPEGVEVPRSLVDEEIQEKLKALPKECQPSPLSGPDPKWRYMWRVGPRPAVTRFKELNSEPVIPEGFPEWKDTMDSWGHKMISAIETVAEMAGIGFGLPKDAFTSLMKQVIDFLLYHHLLWICCLLQWRKFI >itb09g17940.t2 pep chromosome:ASM357664v1:9:13327912:13332267:-1 gene:itb09g17940 transcript:itb09g17940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLSSYLEFSNPLGSCPEAQLDPQLMTLCFEVSRTLADTGALLVKDPRCSAEDNDRFIDMMEKYFEQPEEFKRLQERPHLHYQVGVTPEGVEVPRSLVDEEIQEKLKALPKECQPSPLSGPDPKWRYMWRVGPRPAVTRFKELNSEPVIPEGFPEWKDTMDSWGHKMISAIETVAEMAGIGFGLPKDAFTSLMKQGPHLLAPTGSDLKRYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGRKMEVKVPVGCLLIQAGKQIEWLTAGECMAGMHEVVVTNRTTAAIKQALEQKHSLWRVSSTMLC >itb09g17940.t1 pep chromosome:ASM357664v1:9:13327147:13332267:-1 gene:itb09g17940 transcript:itb09g17940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLSSYLEFSNPLGSCPEAQLDPQLMTLCFEVSRTLADTGALLVKDPRCSAEDNDRFIDMMEKYFEQPEEFKRLQERPHLHYQVGVTPEGVEVPRSLVDEEIQEKLKALPKECQPSPLSGPDPKWRYMWRVGPRPAVTRFKELNSEPVIPEGFPEWKDTMDSWGHKMISAIETVAEMAGIGFGLPKDAFTSLMKQGPHLLAPTGSDLKRYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGRKMEVKVPVGCLLIQAGKQIEWLTAGECMAGMHEVVVTNRTTAAIKQALEQKHSLWRVSSTLFSHIASDAVLKPLGNFADSPLAGKYPPMCAGEFVEQELSVINLKGKKEPL >itb07g14610.t1 pep chromosome:ASM357664v1:7:17303459:17304884:-1 gene:itb07g14610 transcript:itb07g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFKHLVLVKFKDGVVVEDILKGMEKLVSEMDIVKSFVWGEDKESHEMLRQGFTHAFLMTFNSKEDHTAFVSHPNHVEFSASFSNAIEKAVLLDFPAVAVKPPAA >itb12g26400.t1 pep chromosome:ASM357664v1:12:27330994:27336070:-1 gene:itb12g26400 transcript:itb12g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLNFISFYLYGKCSDNPHNVHFKIPRTKMKMVAFKITMAGFLKPPNFSISNQDEAENWYSRQYTVDPVMTGPEDSASSCDSENSPLKPENRMKGDDPIDKLKALHLLLVAMEQKNASRLLGTVPGKRATHVMVIVGQEGTESETLVADLIEAGASVIRINCAHGSSSVCGEIVERVRRNSKVVEKPCRVLMDLAGPKIRTDRLKGSSDVVKISPKRNGFGNVMCPAQVWLIAPGTAPPSPSQASPDEVLYVDGQEFLSKLKVNDEVNFCDARGKKRTLRITRRFDGFDGVGFMAECHKTAYVESGAKLCIKRKKQTQQLSVGNVVDVPQRDHFVRLRIGDWLLISKGSPKSQNEEKSINIPESNIEHEGLTPKDLNDLDCVSNRADMVGVSFVSSVADIAVLRRELETRELRNLGVVLKIETKGVMIARGDLAVECGWEKLADIQDEIIRVSRAAHIPVIWATQVLESVVKSGIPTRAELTEGKLHHVEQGKHVVEAVQLLCNILSSPRREIANSQYLPKSILNSSQSKAAVETHTVVPPSHESPRIPKSFFFSQFLNSLGFDVIRCNNPSVVRLSGNILIQILTLGFRCKISQVDFEKLDRYSLLQHGAWCRSIGSLIKGMISALRRLARFLS >itb13g19690.t1 pep chromosome:ASM357664v1:13:26642477:26646008:-1 gene:itb13g19690 transcript:itb13g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNFEGNPFTKGKGPKDGGVIHAKGDCNNNPRPHSFVNLSSGNCAGKNSSSASTISNENVSSGAIFNGNSKNTENSMQSSDGVDVSAKSEVDTWRAPGKLTYTGEDEIHDASQRTESSCSNSYFIHPVCSSNDNFQETSMETKSSVPNGCSSAIPAGAECITEAGTVVSVTTAQFTRHTRDKEEYCDKLDHSLLPPKKGTKEIEEMLDDYRGLTGRKCSCSMNRDMICGGTDCSASQNKTKASIETKGDNQACNKRDSSRSLCSKEDLHPESASEDRNVLAAEKQSRMMKHEYVAVEEENELVNRFDLNEDIPRSALNNHKQSVSEAVSSSNVVRPMAKLGVPLCLPMSTQKFGGELGWRGTAATSAFCLVSSPKDDNAFSAVKTDHSSRDSRGGSTVIDLNLTAEEDCPAIGFPWANEKKPKHSSYSDSKPEEKFSFDLNAPGDNADDCPQSSFPVNFTKNASIMDLNLNDDPSTREACGDHLRSQRNQPFGIKTPEQAAVPSLPTKRHPREHLNILGPVYRGDLSHMQVFNHTRGQPVLVAAPNLLQPVERLHRFVPLQPQLSPYTMQAVPSYCYPSNGSFFIAQADSLPAHNLCYGALPPTRNPHGNAVFPQVVNAGGVPNFPGAPYLLGPVPRGQTPSGGAELQPRYEVNSGDFSSMNGSWKENTTRQLLIPSQNTELKFSQQAITPTKRREPEGGWEAYQLGLKHTPSSH >itb13g19690.t2 pep chromosome:ASM357664v1:13:26642477:26645249:-1 gene:itb13g19690 transcript:itb13g19690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNFEGNPFTKGKGPKDGGVIHAKGDCNNNPRPHSFVNLSSGNCAGKNSSSASTISNENVSSGAIFNGNSKNTENSMQSSDGVDVSAKSEVDTWRAPGKLTYTGEDEIHDASQRTESSCSNSYFIHPVCSSNDNFQETSMETKSSVPNGCSSAIPAGAECITEAGTVVSVTTAQFTRHTRDKEEYCDKLDHSLLPPKKGTKEIEEMLDDYRGLTGRKCSCSMNRDMICGGTDCSASQNKTKASIETKGDNQACNKRDSSRSLCSKEDLHPESASEDRNVLAAEKQSRMMKHEYVAVEEENELVNRFDLNEDIPRSALNNHKQSVSEAVSSSNVVRPMAKLGVPLCLPMSTQKFGGELGWRGTAATSAFCLVSSPKDDNAFSAVKTDHSSRDSRGGSTVIDLNLTAEEDCPAIGFPWANEKKPKHSSYSDSKPEEKFSFDLNAPGDNADDCPQSSFPVNFTKNASIMDLNLNDDPSTREACGDHLRSQRNQPFGIKTPEQAAVPSLPTKRHPREHLNILGPVYRGDLSHMQVFNHTRGQPVLVAAPNLLQPVERLHRFVPLQPQLSPYTMQAVPSYCYPSNGSFFIAQADSLPAHNLCYGALPPTRNPHGNAVFPQVVNAGGVPNFPGAPYLLGPVPRGQTPSGGAELQPRYEVNSGDFSSMNGSWKENTTRQLLIPSQNTELKFSQQAITPTKRREPEGGWEAYQLGLKHTPSSH >itb04g20570.t1 pep chromosome:ASM357664v1:4:25405125:25406078:1 gene:itb04g20570 transcript:itb04g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPPPRQPRHSGGDQHFIHGDKTASRRRSQSFSSSSHSLSSNSSSFASSLSFHGDHHTPRSPLQFMGVPFSWEKIPGIPKQLYASNKISDERSLLPLPPPAGKQSFRDEFSPRKSAGAGGGSFREDPFFAALVECSKEERHKSSSKIVTKSLSDRFGFMGLYAAASCKTTCGVSESIVHLPRSRDYDLLSRRSRR >itb03g15930.t1 pep chromosome:ASM357664v1:3:15107867:15111879:1 gene:itb03g15930 transcript:itb03g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPFQGPNPWISRAEFPLDSFSEAGDRKEEAMSYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKNQTFENVHRWLRELRDHADSNIVIMLAGNKSDLNHLRAVAEQDARVMAEKEGLSFLETSALEALNVEKAFQTILLDIYQIISRKALAAQEAGAVPGQGTAINVADNNANANKGACCSG >itb11g00620.t1 pep chromosome:ASM357664v1:11:270042:274281:1 gene:itb11g00620 transcript:itb11g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MSSSIIRGGGSDASPQQPPPAAVNGGEFLLQLLQNPPNHPPPAARPAPPPQALQHDPAVARVGPSVPFPPFYQPPSTAHDLAFAPPPWQQSPPPPAGTPLFAPHNFFLQGFAQSPNPNFSLSAPGFHQPQQHYNPQLGHGSPIEHAHKVGLLESNSKPPHSAPVQEQNLVFGSLNMGMLNSEGLLTEKSNEPVTRDPEVLSLIRKEREPNIRNAGRLNGLETQFNGMSLLNRYQQGNSGVLRGHEQGRRGAGLWGENDGGCEPPPGVLRGHEQGRRGAGAVQWGESDGGRREPPPGFANKSRIGGNKEPMVGRRGFEHGMDMGKGNRSEVNHRGFGLANRNERDRRYMPNNGKGYSDVSDDQRLISRIEHPAPPSGSKLPAVSAFDFEDSLLEIQGGNGGSRGESRNQAKDKMRTAQDEIQSVEDLEEQPPSSLGIEDELDERSKKKSFGSREKDNRSDNRGKWLLPQKKRILKSLVECRSDINWYSAPFLDIYQSLIPTEEEKEKQKQLMMLLKKIVTKEWPNAELHPYGSCTNSFGFSKSDIDVCLAIDDKDINKSDIILRLAELLEAENLQNVQALTRARVPIVKLMDPGTDISCDICVNNLLAVENTKLLRDYSQIDVRLRQLAFIVKHWAKSRGVNETYHGTLSSYAYVLMCIHLLQRRRPAILPCLQGMEATYSVTVDNVECTYFDKMEELRGFGSKNRESVAHLVWAFFNYWAYCHDYANDVVSVRTGSIVSKRAKEWTRRIGNDRHLICIEDPFEVSHDLGRVVDKFSIRVLREEFERAAEIMQHDANPCVTLFEPYVAS >itb15g21320.t1 pep chromosome:ASM357664v1:15:23997301:24001915:-1 gene:itb15g21320 transcript:itb15g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRIFGKPKQETNALATLDKLNETLEMLEKKEKVLQKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEEAELEEQLLQPATTAPAAPIHVPVGRQPERHAPRKNTAEEDELAALQAEMAL >itb07g22360.t1 pep chromosome:ASM357664v1:7:26832289:26838548:1 gene:itb07g22360 transcript:itb07g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLQSNIKAIEEEPEDCEATSSSKNALACMINSEVSAVLAVMRRNMRWGGRFVSGDDQLEHSSIQSLKTLQKQIFSWQHQWQTINPALYLQPFLEVIRSDETGAPITGVALSSVYNILTLDVIDLNTTNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMRSKVSVVLSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHTMHELVRCIFSHLPEVDKTQCSLVKRGSSTKHEVSGLDGDYGFSSKSENGTGSSEYDSQPPSGGFASSGSTGLHSAAMDDSMVMSDYGKDTVPYDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHKGMGPRANTIAFDEDVPLFALGLINSAIELGGTAIRNHPRLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLRTELKLQLEAFFSCVVLRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCGNVFEELANLLSKSAFPVNSPLSAMHILALDGLIAVIQGMAERISNGSYNSEPHLINLDEYTPFCMVKCENYSDPDHWVPFVRRGKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPETLDPQSVACFFRFTAGLDKNLVGDFLGNHDEFCVQVLQEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICSNEIRTTPEQGAGFAEMTPSRWIDLMSKSKKTSPYIVCDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSAVEEPVLAFGDDAKARMATVTVFTIVNKYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEISSEPGHGKPLTNSLSSAHIQSIGTPKRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLLADSLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIGLLWQGVYDHIANIVHSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQTGWRTIASLLSITARHLEASEVGFNALLFIMSDGAHLSPANYVLCIDAAKQFAESRVGQADRSVSAVDLMASSVSCLTSWSKDTREAMAETEALKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDDMYLPHGLWLQCFDMVVFTVLDDLIEISQGHSQKDYRNMEGTLVLALKLLNKVFLQLLPKLSQLTTFCKLWWGVLNRMDKYMKVKVRNKKSDKLQELVPELLKNTLLVMKTSGVLVQRSALGGDSLWDLTWLHVNNILPSLQSEVFPDQDPEQAQRIQGEPARSPVSTETDSTVNE >itb07g22360.t2 pep chromosome:ASM357664v1:7:26832469:26838548:1 gene:itb07g22360 transcript:itb07g22360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLQSNIKAIEEEPEDCEATSSSKNALACMINSEVSAVLAVMRRNMRWGGRFVSGDDQLEHSSIQSLKTLQKQIFSWQHQWQTINPALYLQPFLEVIRSDETGAPITGVALSSVYNILTLDVIDLNTTNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMRSKVSVVLSNQHVCTIVNTCFRVVHQAGTKGELLQRIARHTMHELVRCIFSHLPEVDKTQCSLVKRGSSTKHEVSGLDGDYGFSSKSENGTGSSEYDSQPPSGGFASSGSTGLHSAAMDDSMVMSDYGKDTVPYDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHKGMGPRANTIAFDEDVPLFALGLINSAIELGGTAIRNHPRLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLRTELKLQLEAFFSCVVLRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCGNVFEELANLLSKSAFPVNSPLSAMHILALDGLIAVIQGMAERISNGSYNSEPHLINLDEYTPFCMVKCENYSDPDHWVPFVRRGKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPETLDPQSVACFFRFTAGLDKNLVGDFLGNHDEFCVQVLQEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICSNEIRTTPEQGAGFAEMTPSRWIDLMSKSKKTSPYIVCDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSAVEEPVLAFGDDAKARMATVTVFTIVNKYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEISSEPGHGKPLTNSLSSAHIQSIGTPKRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLLADSLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIGLLWQGVYDHIANIVHSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQTGWRTIASLLSITARHLEASEVGFNALLFIMSDGAHLSPANYVLCIDAAKQFAESRVGQADRSVSAVDLMASSVSCLTSWSKDTREAMAETEALKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDDMYLPHGLWLQCFDMVVFTVLDDLIEISQGHSQKDYRNMEGTLVLALKLLNKVFLQLLPKLSQLTTFCKLWWGVLNRMDKYMKVKVRNKKSDKLQELVPELLKNTLLVMKTSGVLVQRSALGGDSLWDLTWLHVNNILPSLQSEVFPDQDPEQAQRIQGEPARSPVSTETDSTVNE >itb14g20740.t1 pep chromosome:ASM357664v1:14:23007965:23010719:1 gene:itb14g20740 transcript:itb14g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGGEIIKKLEDITRDAARHQSETLRYILEHNGGVSYLRRYLGSYNEPVDADTFRTAVPLSRYEDYADYINKMADGVLTDENDGGRPLLSVDPLICFFYSSGTSSMKPKLIPYFDSKPAKEVSAMAHQGSFANVGRLFPPRPSVNKALWFLYAGNVAETKGGYKAMAATSSLLHNDPKGSNSSRLLAACISPREVILGTDVEQQMYCHLLCGLRNSDLIDSIRAPYASGLARAFRLLEAKWEKMCEDLENGFPAAEITDAAMRESVAEILSGPRPDLSNKFRVILREKSWEGIVRKLWPNVGYVRCITTGTMLQYYEKLGYYAGDVPLLGGDYFSSECCIGLNFDVMKPPELTRYTVIPSAAYFEFLPFDMDTCCCSGSGTLELSSVRVGEFYELIVTTYRGFYRYRLGDIVKVVGHYNSSPQLEFVMRAPKTSGDIVTERDLMSAMGLFRVAVRDVLSAEVTDFTSSFDLEAEPNRLKIFLELKDGCALLQKEKSGEVEMIRMKLRKCCSRLEDDLGGIYKVMKARGEVSSLLLSVVQPGSFDSLLEIAVEKGVPATQYKAPKILRDCKLVEFLEMSAIMTLTADS >itb15g06500.t1 pep chromosome:ASM357664v1:15:4323881:4325087:-1 gene:itb15g06500 transcript:itb15g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLLSGMSSAQLSATFYSKSCPNALSIIKLAVKSTVQSEARMGASLLRLHFHDCFVNGCDASILLDDTTNFTGEQTARPNNKSIRGLNVIDNIKAQLEKSCPGIVSCADVVAVAARDSVVALGGPSWNVLLGRRDSTTASLSAANNNIPGPNFTLSQLKSSFSNQGFSEREMVALSGGHTIGKARCTTFRSRIYNDNNINAAFAKSLQANCPQSGGDNNLASLDTSPTSFDNAYFKDLQNQKGLLHSDQELFNGGSTDSVVNSYSSNPSTFSADFANAMLKMSNLNPLTGTSGQIRKNCGKTN >itb14g01610.t1 pep chromosome:ASM357664v1:14:1223452:1230940:1 gene:itb14g01610 transcript:itb14g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGSSDGGENPLDLFLKIGLDERTAKNTVANNKVTANLTAVIYEAAVTDGCDRTVGNLLYTVATKFPANALVHRPTLLKYIVSSKIKTPAQLEAAFGFLSANASETLKVNEFEEACGVGVEVSIEDIELLVNGIFEENKPKILEQRYRTNVGELFAAVRKRQPWADPKIVKQLVDEKLVALLGARTAEDDAKPVKKKKEKPAKVESKASKEETPAPNPSEEELNPYSIFPSPEENYKVHTEVYFSDRPVLRICNTKELLEKHLKATGGKVYTRFPPEPNGYLHIGHAKAMFIDFGLAKERDGCCYLRYDDTNPEAEKKEYIDHIEEIVRWMGWEPFKITYTSDYFQELYELAVALIRNGHAYVDHQTPEEIKEYREKKINSPWRDRPIEESLRLFDEMKRGMIEEGKATLRMKQDMQSDNPNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENVTHSLCTLEFEIRRASYYWLLDALGIYKPFVWEYSRLNITNNVMSKRKLNRLVTEKWVDGWDDPRLMTLAGLRRRGVTPTAINDFVRGIGITRSDHSVIRLDRLEYHIREELNKTAPRTMVVLNPLKVVITNLEAGLVMELDAKKWPDAQSDDTSSFYKVPFSKVVYIEHTDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVILGDDKETVVELRAEYDPSKTVKPKGVLHWVAEPSPGVDPLKVEVRLFEKLFLSENPAELDDWLGDLNPNSKVVMPNAYAVPLLRNATLGDKFQFERLGYFAVDKDSTAEKLVFNRTVTLRDSFGKAGK >itb02g13700.t1 pep chromosome:ASM357664v1:2:9923787:9924630:-1 gene:itb02g13700 transcript:itb02g13700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKVNETSNSCMKRFQGKVVIVTGGASGIGEATARLFADHGTRAVVIADIQVEKGVAVAESIGLDKCSFVNNAALPPGLSEQKVLDLDFSLFDRLISVNARGPAVCVKHAARAMVEGSVRGSIICTASASASRGGMRVTDYVMSKHAVLGLVRSASQQLGAHGIRVNSVSPGATPTPMISPNREEALRFVDKIYGPLICLKGIAPDAKHVANAVLFLASDESAFITGVDLAVDGGLIRLSNPGSNSGST >itb14g01570.t1 pep chromosome:ASM357664v1:14:1187065:1187930:1 gene:itb14g01570 transcript:itb14g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKRPSQYSPIMQKVAGLLNMSIFLAKMRTPIIARLKLSLKNSRKIKRIKLHRHYNYGYIQEYEYSPSNTPLIQLNYYHRMSLKKQDPMFFISRCLGGLMRGEKEDENRPVFDSGLEALPAPSGDEDNNSIDERAEEFIERFYEEMRLQRQESLMKKQFDAMVDA >itb12g19180.t1 pep chromosome:ASM357664v1:12:21546499:21546867:1 gene:itb12g19180 transcript:itb12g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCHGRPVYTYFTSDLIPIHILYYVEIKAVHVYHLPYLLNAVQTTHHKLVNEMKLNVSPGCSFHCQEAGWFRVCFANMDDETMRVALMRIRTSVLQWKGMEAAAAKKQKEFEDQLILSAIG >itb13g00340.t1 pep chromosome:ASM357664v1:13:282490:284157:1 gene:itb13g00340 transcript:itb13g00340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLISIAAAAFLLLPLLYKLFCNKDVSRKPPEAGGAWPIIGHLHLLAAPEATFKILRDMAKKYGPIFQIRLGPNPVLVVSDSRVAKECFTTNDKALASRPKSLSIEIMGYNYANFGFAPYGPYWRHVRKVVMLELLSKRRLEMLGRVRESGVKAFMGNIYGSWKRDKIGSSEDDVVMLDMKEWFPKLVMDIMIRMLFGLGYHDGGSQASETISRFFELMDVTPVGEFVPWLRWLDIGGHEKAIRETAKDMDGVMEAWLQEHKRKRSSKPKEEEDFMDALLSHFDADNDIPKDFDPDTIVKATCMAVLGAATDTTALTLTWALSLILNNPSTLENIRGEIDIHVGKERQVEESDINNLTYLQALVKETLRLYPPGPLLLPHESIEDCTIDGYHVTKGTRLLVNVSMIHRDPEFWSDPDTFRPERFLAEHKEVDVRGNHFNLIPFGGGRRICPGISLGLQSVQLALASLVHGFDVKKISEKPIDMTEAPGLSNVKATPLNVFLSPRLPSHLYG >itb04g23720.t1 pep chromosome:ASM357664v1:4:28663836:28667010:-1 gene:itb04g23720 transcript:itb04g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) UniProtKB/TrEMBL;Acc:F4K3M1] MARFRNKKRKLVVKPIGKKQPNVDQITGDKIPQSMVFSRGKLPGSLRTLRTDLRELMRPYTALNLRETKRNKLKEFLNFAGPMGVTHFLILSKTNASPYLRVARAPQGPTLTFKILEYALAADIAKSQLHPRRPPNLFKNSPLIVLSGFGTGEQHLKLTTIMFQNIFPAIDINTVKLSSCQRIVLLNYDKEKKVIDFRHYSIRLQPVGVSRRIRKFVQSHQVPNLSSLQDMSDFITKAGYGSESEADDEAATVNLATDLGRLNKASTKSAVKLQEIGPRMTLQLVRIEEGLCSGGVIFSEFGNSGAEKQQGSETENQQESDEDLEEEDEEEEEEEEEEEIEED >itb13g23890.t1 pep chromosome:ASM357664v1:13:29683649:29685635:1 gene:itb13g23890 transcript:itb13g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFNKAVAKSPDALNIPNNESAVCALKDGFLAKKFASTHSGAVTINLGDSGFLNYTSVRQNPLLPRLFSVVDDIFCLFQGHIENVAHLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPADQVVRDLHGKFSFIVYDNTSKATFIAADADGSVPFFWGTDSEGHLVLSDEADIVKQGCGKSFAPFPKGCFFTTSGGLRSYEHPLNELKPVPRVDSSGDMCGATFKVDAESKKEGTGMPRVGSAANWSDNY >itb02g23350.t1 pep chromosome:ASM357664v1:2:23302839:23306811:1 gene:itb02g23350 transcript:itb02g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMESGEMAPEVDWQRGKAAPVKLEVEADDPLEEEHGPLNKRSKLSSPLQHQVGIGVSDFREPQVVQYNPLEEPSPLGLSLRKSPSLLDLIQMRLSQGKMSKDGGNPGKKEQKPNSSATEKLKASNFPATILKIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMGIKATYPDDGPGTLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASMNRRHYLQCPQGLLGKHFEKLVQCDPRLNFLSQQAEIKLDSPYFESKISIFEDPDESDTGTDLNGGENSSFLDLCDANSPSGAHCSSSRSEQDHIIQPLESIRRETSSPSSVMDTRVIEDIKSIGVEEWKGLSNWDQIRVPGLHPSMSMGDLVSHLEQRMSEQKTSKDFNLSSQERQSLEMLEEINRCLFSDTQYMPAASDEKSLMSRVNSLCCLLQKDPPPPTAQRRENYHETAMGDKGVGEFNFTSASIVSERNVEENRSPTPDDDELPDDLTCSKQKPSMSRKDSVGDLLLNLPRITSLPQFLFNIAEDSDYHQAR >itb04g10120.t1 pep chromosome:ASM357664v1:4:9365670:9382409:1 gene:itb04g10120 transcript:itb04g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMDIPDPSELEWLESNSYHLHGGLEFDDDFQQPPSPPSEPEEETPNPEPVKAVDSPVIRNPSLQLPPKPALSIPPSSSLPNQTKKRFRPDPVPAGVGDAASVEEKRSRVESEEDEDWLLYSPPPAPAVEVVEREEEKEKILSRFAMEIDGDCVPVTGLDGERVYAKICRAHLRGRITKLNTKGESNGLILEPVRVLMERMEQDEFTKALQASSEDQIETSPPKATVSTEQLWVEKYAPSSFTELLSNEHTNREVLMWLKQWDSSVYGSEIKSTEDHVLSALRRHSLVKRPKYSASKTFGTNRESVFSKDGSHNYPFEENGKSKDTKDLGEKKGRQSGPPEQKILLLCGPPGLGKTTLAHVAARHCGYRVVEINASDDRSSSTIESKILDGVQMNSVLADSKPKCLVIDEIDGALNDGKGAVEVIMKLVSAERKSDAGKENDPQGAQPVRKSSKKKEKTASLLRPVICICNDLYAPALRPLRQVAKVHVFVQPTVVRVVNRLKYICNKEGVKTNSTALTALVEYTECDIRSCLNTLQFLNKKKETLNVLELKSQVVGKKDASKSAFDIWKEIFQKRKAKREKKYNNSFQCMSNDFESLHSLISNRGDFDLILDGIHENILQLRYNDPVMQKSAKSLDILGDSDIIHQYVMRTQHMSLQVYQPSLAISIHRLVAQVERPNIEWPKSFHRYRNISIEKMDTFRSWHYKMAPCISRHLSTRSFVEDLISPLLHILSPPTLKPVALHLLSEKEKRYLAQLVDTMVSYVITYKNVKSDPSSGSMKLEDALDSSMLSFEPPIGDFIKFKGYISCHFVLASAMKAVLVHEVENQRILKTSRNELRQPTVNQPSVGNKNNSTLYSRSCSTTTSADKDPSIRNPIQKLSELPTSSSPSATESIGGAAVKVSSPEKKKPARGSFNFFDRFKKLSKGSQHKDTAKQGPTERDSHPLLFKFNEGYTNAVKRPVRIHEFL >itb05g19710.t5 pep chromosome:ASM357664v1:5:26079510:26082041:-1 gene:itb05g19710 transcript:itb05g19710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPTPPTASGNNAHMLYVFNRNGVCLLYREWNRPLKTLNAQQDHKLMFGLLFSLKSLTAKMDPTSIEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRDSLKYIYNLYVEYVVKNPLYSPGTPIKSELFNSTLDQYVRGLG >itb05g19710.t4 pep chromosome:ASM357664v1:5:26079372:26082145:-1 gene:itb05g19710 transcript:itb05g19710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPTPPTASGNNAHMLYVFNRNGVCLLYREWNRPLKTLNAQQDHKLMFGLLFSLKSLTAKMDPTSIEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRDSLKYIYNLYVEYVVKNPLYSPGTPIKSELFNSTLDQYVRGLG >itb05g19710.t1 pep chromosome:ASM357664v1:5:26079372:26082240:-1 gene:itb05g19710 transcript:itb05g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPTPPTASGNNAHMLYVFNRNGVCLLYREWNRPLKTLNAQQDHKLMFGLLFSLKSLTAKMDPTSIEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRDSLKYIYNLYVEYVVKNPLYSPGTPIKSELFNSTLDQYVRGLG >itb05g19710.t3 pep chromosome:ASM357664v1:5:26079510:26082135:-1 gene:itb05g19710 transcript:itb05g19710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPTPPTASGNNAHMLYVFNRNGVCLLYREWNRPLKTLNAQQDHKLMFGLLFSLKSLTAKMDPTSIEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRDSLKYIYNLYVEYVVKNPLYSPGTPIKSELFNSTLDQYVRGLG >itb05g19710.t2 pep chromosome:ASM357664v1:5:26079372:26082240:-1 gene:itb05g19710 transcript:itb05g19710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPTPPTASGNNAHMLYVFNRNGVCLLYREWNRPLKTLNAQQDHKLMFGLLFSLKSLTAKMDPTSIEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRDSLKYIYNLYVEYVVKNPLYSPGTPIKSELFNSTLDQYVRGLG >itb02g01220.t1 pep chromosome:ASM357664v1:2:669027:672239:-1 gene:itb02g01220 transcript:itb02g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSELKLTSQEIPEIFLKLAKKAEVFDWMVGIRRKIHENPELGYEEFETSKIIREKLGELGIPYKHPVAGTGVVGYIGSGKPPFVALRADMDALALQELVEWAHKSKIPGKMHACGHDAHVAMLLGAAKILQEHKQMLEGTVVLVFQPAEEVGAGAKEMRDAGVLENVEAIFALHVKPELRLGEVASRPGPFLAGSGFFEAVISGKGGHGAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVGHFRGGGAFNVIPDSVTIGGTFRAFSREGMVQLRKRIEEVIVGQAAVQRCNATVNFLESEKPFIPPTINDRDLHQHFQKVAGDLLGSSHVKDHKPMMAGEDFAFYQEAIPGCIYGLGLKDEAAADEKAASEHSPYFKVNEDAFPYGAALQASLAATYILERQPGVPSRVTNKHDEL >itb15g23650.t1 pep chromosome:ASM357664v1:15:26455786:26459161:1 gene:itb15g23650 transcript:itb15g23650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRTPHFKPKHESPFSDSLLPFSLFKSQMKMQSSSSKAQAVVLLAVLLSAACQISTALIDGLLVNGNFEAPVKKTDLNGTIVTRHDAVPGWTVTGFVEYIQAGQKQGDMQLVVPEGFAAVRLGNEASIKQTLNVSKGMYYSITFCAARTCAQEERLNITVAPDSGVLPIQTLYSINGWDCYAWAFQAENAQAEIIIHNPGVEEDPACGPLIDSVAIRTLYPPKPTNVNLLKNWDFEEGPYVFPKVDTGVLCPPFTEDDHSPLPAWTVESLKAVKYIDAEHFSVPHGRRAVELVAGKESAVTQIARTVAGKFYDLTFLVGDASNKCEGSMVVEAFAGPSTLKVPYESKGQGGFKPAKLRFRATANRTRVMFFSTYYHTRSDDFVSLCGPVVDFVKLVSVRNPHRRLA >itb15g23650.t2 pep chromosome:ASM357664v1:15:26455786:26459161:1 gene:itb15g23650 transcript:itb15g23650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVPEGFAAVRLGNEASIKQTLNVSKGMYYSITFCAARTCAQEERLNITVAPDSGVLPIQTLYSINGWDCYAWAFQAENAQAEIIIHNPGVEEDPACGPLIDSVAIRTLYPPKPTNVNLLKNWDFEEGPYVFPKVDTGVLCPPFTEDDHSPLPAWTVESLKAVKYIDAEHFSVPHGRRAVELVAGKESAVTQIARTVAGKFYDLTFLVGDASNKCEGSMVVEAFAGPSTLKVPYESKGQGGFKPAKLRFRATANRTRVMFFSTYYHTRSDDFVSLCGPVVDFVKLVSVRNPHRRLA >itb09g26130.t1 pep chromosome:ASM357664v1:9:26478616:26478984:-1 gene:itb09g26130 transcript:itb09g26130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVYSGDRDMEMIMLKECLSWCKKRGLGRVQIEGEQVRVDGDEQGLRVEWLKCDRRVNCIAQWLLDKCEGQNVVYRRVSALPKGFLYILSLEGFPHFSSLPGRDFIPVDNNQNRRWDPGGR >itb02g06150.t1 pep chromosome:ASM357664v1:2:3821691:3826654:1 gene:itb02g06150 transcript:itb02g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYEGVLVSDPWLQSQFTQVELRGLKATYASAKSQAGKLTVGYLPPVMAKLKGLTGVFSEEQIKNMLSESIPNANEEIDFESFLRAYHGLQSRVTKKPTSSSKFKTSTSFLKSTTTTLRHSISESEKASYVAHINKFLQDDKFLSNYLPMDPATNALFDLAKDGVLLCKLINVAVPNTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTVDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLMELVEDSKDVEELMSLPPEKVLLKWMNFHLQKTGYQKQVTNFSSDLKDGEAYARLLNALAPEYGSTATLETNDPTERANLIIEQAEKLDCIKYVTPTDITEGSTNLNLAFVAQIFQHRNGLSTNTTKTTTFAEMMTDDTETSREERCFRLWINSLGIETYVNNLFEDVRTGCVLLEVLDKVVPGSVNWKLATKPPIKLPFPKVENCNQVIRIGKELNLSLVNVAGNDIVQGNKKLIVAYLWQLMRFNMLQLLKNLRSRSQGKEITDNDILTWANSKVKSAGRTSQMESFKDKSLSTGVFFLELLSAVEPRVVNWSLVTKGETEEDQKANANYIISVARKLGCSIFLLPEDIMEVRQKMILTLTASIMHWSLQRKVVVEVVAEEGEGEGEAEPENE >itb09g08970.t1 pep chromosome:ASM357664v1:9:5376237:5380152:1 gene:itb09g08970 transcript:itb09g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MTSSMATSSAAAALSFLVPYNPIKTCNVYSPKSFLAFSNPKFSTPIPPTALIIRRLASCNSRIPTRPLCSSLPTVFTNTTDYEFNDSGSSEVELRLDLGNEDISSKDISVDANESSLSIRMQQYGSLQTLMETSALYGKIKPTETIWYIDDDQLVINLKKQDPELKWPDIVESWESLTTGIKQLLKGTSIYLVGESTEINQTIARELAVGLGYTPLCTMELLETYVKESIDSWASREGSDNVAESESAIFESLSSHARAVIATLGEKHGAARRANKWRHLFSGFTVWLSQSEATDEDTAKEEARMQMQDDLQGYSNAEVVVKLAGWDPTYSKKVAQAALSALKQLILSDKNLTGKKSLYVRLGCRGDWPDIKPPGWDPSSPGVSSAL >itb05g16340.t1 pep chromosome:ASM357664v1:5:23557153:23561224:1 gene:itb05g16340 transcript:itb05g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKRNFQIEAFKHRVVVDPKYAEKTWNIIEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVFTMTEHLKEISKSIEVAQGGLFLEELNRKWADHNKALQMIRDILMYMDRTFIPSTHKTPVYELGMNLWRDNVIHSSKIQTRLQDTLLELVENERTGEVINRSLVRNITKMLMDLGCSVYEQNFEKPFLDVSADFYRVESQQFIESCDCGDYLKKAEKRLNEEIERVSHYLDARSEAKITAVVEKEMIESHMYRLVHMENSGLVNLILDDKYDDLGRMYNLFRRVPNGLSLLRDVMTSHIREVGKQLVTDPEKLKDPVDFVQRLLDEKDKHDRIINKAFNNDKMFQNALNSSFEYFINLNPRSPEFISLFVDDNLRKGLKGVSEEDVEILLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFNATMGGEIADGPTLAVQVLTTGSWPTQSVTPCNLPTEILGVCEKFRTYYLGTHTGRRLTWQTNMGTADLKATFGKGQKHELNVSTYQMCILMLFNNADRLSYKDIEQATEIPVSDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDSFFFNEKFTSKFFKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYHYLA >itb05g16340.t3 pep chromosome:ASM357664v1:5:23557268:23561224:1 gene:itb05g16340 transcript:itb05g16340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKRNFQIEAFKHRVVVDPKYAEKTWNIIEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVFTMTEHLKEISKSIEVAQGGLFLEELNRKWADHNKALQMIRDILMYMDRTFIPSTHKTPVYELGMNLWRDNVIHSSKIQTRLQDTLLELVENERTGEVINRSLVRNITKMLMDLGCSVYEQNFEKPFLDVSADFYRVESQQFIESCDCGDYLKKAEKRLNEEIERVSHYLDARSEAKITAVVEKEMIESHMYRLVHMENSGLVNLILDDKYDDLGRMYNLFRRVPNGLSLLRDVMTSHIREVGKQLVTDPEKLKDPVDFVQRLLDEKDKHDRIINKAFNNDKMFQNALNSSFEYFINLNPRSPEFISLFVDDNLRKGLKGVSEEDVEILLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFNATMGGEIADGPTLAVQVLTTGSWPTQSVTPCNLPTEILGVCEKFRTYYLGTHTGRRLTWQTNMGTADLKATFGKGQKHELNVSTYQMCILMLFNNADRLSYKDIEQATEIPVSDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDSFFFNEKFTSKFFKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYHYLA >itb05g16340.t2 pep chromosome:ASM357664v1:5:23557153:23561224:1 gene:itb05g16340 transcript:itb05g16340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKRNFQIEAFKHRVVVDPKYAEKTWNIIEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVFTMTEHLKEISKSIEVAQGGLFLEELNRKWADHNKALQMIRDILMYMDRTFIPSTHKTPVYELGMNLWRDNVIHSSKIQTRLQDTLLELVENERTGEVINRSLVRNITKMLMDLGCSVYEQNFEKPFLDVSADFYRVESQQFIESCDCGDYLKKAEKRLNEEIERVSHYLDARSEAKITAVVEKEMIESHMYRLVHMENSGLVNLILDDKYDDLGRMYNLFRRVPNGLSLLRDVMTSHIREVGKQLVTDPEKLKDPVDFVQRLLDEKDKHDRIINKAFNNDKMFQNALNSSFEYFINLNPRSPEFISLFVDDNLRKGLKGVSEEDVEILLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFNATMGGEIADGPTLAVQVLTTGSWPTQSVTPCNLPTEILGVCEKFRTYYLGTHTGRRLTWQTNMGTADLKATFGKGQKHELNVSTYQMCILMLFNNADRLSYKDIEQATEIPVSDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDSFFFNEKFTSKFFKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYHYLA >itb05g00150.t1 pep chromosome:ASM357664v1:5:129113:134165:-1 gene:itb05g00150 transcript:itb05g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARAKLLSSRPQWLKRDMPWLAMTSFTILAVDVRISKHRFLFKRVLLPPMTTNSFGQNSSLEMGNSCNRRNNVVVVDIKDQRQLWLLLGVCSDSGSGKNTKIRTGMMMIMSAGMGMGATPRKAMNEPFAKNYVPTWALDHIKDFNAGSEIHLYLDNRTGTGFESKGSYLFGHFAMQIKMVPGDSAGTVTAFYGNKEQRIYLWFDPTQDFHTYSVLWSMHQIVFYVDNIPIRVFKNNKRLGVKFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFVAAYKGFNIDGCLITVDHKFCATHTQQHWWNQKDFQDLTRFEWRRVRWVRNRYTVYNYCTDRLRYPTVPPECRRNRDI >itb14g09220.t1 pep chromosome:ASM357664v1:14:9514779:9515817:-1 gene:itb14g09220 transcript:itb14g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQVVIDSPGATRRQPLLAERMRSAGEEEKRGSAVMIGDFAGGTAACAMVCCCCPCAALHFLVTAVYKVPAGLLRKAWRKKKKKRLMRKKTKLMMVENGSQTAMVAAAADDSNKGRSSGTACYDEFDDGSSAATEDGFETEMWDRFHEGGFWRSSLDREG >itb09g28100.t1 pep chromosome:ASM357664v1:9:28702143:28705022:1 gene:itb09g28100 transcript:itb09g28100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSCLANVSPFTISLNSPKLFSSTFSKRREPLVSISATTSPSASSVSLLKSKHLPPDFTQKQLQHSLRQGKDETSASNLFRWALAHPESEPSRQICEEILRKLGKAGSFDAMRRVLDGMKESKVEIGEGTLIILIESYAKFDLYDEAIGVLDVMEREFDVKPGIFSYNNLLNVLVDGRKFNKLEIVEDVVSRMLSEGLKPDVPTFNILIKALCKAHQIRAAILMMEDMPRHGLSPNEKTFTTVMRGYIEEGDLENALRIRDQMFAANCPPTNITVNVLIHGFCKERRIEEALNFAQAMSSQGFCPDRITFNTLINGLCKAGHVCHALDVMHLMLEEGFDPNLITYSTVITGLCEAGRVKEAIEILSQMILRNCLPDRVTYNTIISTLCKENQVQEAIELSCDLTSMGVLPDVCTFTCLIKGLCLSSNLDCAMELFQEMKTLGYQPDEFTYNILINYLCANRKLSEALTLLKEMESSGCAKSVITYNILIGGFCKNNRIEEAGEIFCKMVLQGISRDLVTYNTLIDGLCKARRVEKAAQLMDQMIMEGLKPDKFTYNSMLSHYCKAGDVKKATDIIQTMTSNGCKPDVVNYRTLIQGFCNSGKVEVATRLLRSIQMKGMVQTPQSYTPIIQALFRQKRTKEAMRLFREMEAKAGPPDYISYKIAFRGLCSGGGPIDEAVNFAVEMMKKGHVPEFSSFSILAERLFTLTREEALVKFIDMIMKKEEFSDDEEGRIKGLLKIRKFNDALATLGRVLNRRRYC >itb12g08990.t1 pep chromosome:ASM357664v1:12:7021297:7021809:-1 gene:itb12g08990 transcript:itb12g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEALQYDYEPGVYFRPSKTELIDGFLEKKLQRRPLPSEQIKEIPFLYDYPPELLPLSELVLSPSENLVSTLAVYFFFVWFTML >itb13g03480.t1 pep chromosome:ASM357664v1:13:3373463:3374332:-1 gene:itb13g03480 transcript:itb13g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSPVIKVVALCGSLRKASYNRGLIRAAMEICKESINGMEIEYVDISSLPILNTDLEVDGKFPPEVEEFRQKILGADSALFASPENNFSVAAPLKNAIDWASRPPNVWADKTAAVVSAGGFLGGGLGQFHLRQIGVFLDLHFINKPEFFVQAFQPPPKFDADGNLIHEATKEKLEKVLLSLHKFTLRHLTKIE >itb08g03090.t1 pep chromosome:ASM357664v1:8:2583734:2586681:1 gene:itb08g03090 transcript:itb08g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNAVDANSIENPDQESVNQTLRFCSSSALDTAGISRAENNEEEEELRRLLVPRIEDLPSVPPSAVESNFVTYYAPDFMKPGNDQYVRRHANGLCVIGIASSHVAFKDEGGITAVDFNVGKSDRSGIKVTGKRKKNAQHFESNTALCKVCTKDNSYIVRCCVKGSLLEVNERLIERPELLISSAEREGYIAIIMPKPADWLKIKASLLGKEDYCKLRTACIADPLQ >itb15g10480.t1 pep chromosome:ASM357664v1:15:8255816:8260908:1 gene:itb15g10480 transcript:itb15g10480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHSFQVVAITVFFLLSVAFYAFFAPFLGKDLYEYIATGVYSFLALSVFILYARCTAIDPADPGIFVVADKTSPCRSHNETELPGDSSVREGSNKGGPRSGGKYDRQASGCCGRFCGFFCGCLVIGDCRKDEQQQQNGEEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFVCLMGASLIWLAFECAVGIAVIVRCFAYKNATEFQIRDRLGDGFSRPPFATVVALCTAVSFLATVPLGELFFFHIILIRKGITTYDYVVAMRAQSEAPGPSVDAGDLQSLPPSSPTSSAVTAISGKSSLGIGLQYKGSWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDTVEADKERQLPLRQQVRISAWKLAKLDSKEAIKAGVKARASSSVLRPVSSRNHPYDADTLSSVVSGKSSPASSNHGYFERNAKAETSRLSPSRSSYPPSQASREDTETCGHSISNMSSPLPTNLPSSRDHFNPMYQSSADQSPLSTKVSDTKVSDTNAAASSGNALLPNLPARKSGLGVPENPRTTVVWDQDAGRFVSTATKSVASSSQHSAGTELTYTGQSIFFGGPLSSNEPLSRGMRGGSGTAASSAGSHRNAVPNYFQQGRSQRGGQLPVFVPSDSRQDPFSSRLQ >itb15g10480.t2 pep chromosome:ASM357664v1:15:8255816:8260891:1 gene:itb15g10480 transcript:itb15g10480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYPLNCFYLGDSSVREGSNKGGPRSGGKYDRQASGCCGRFCGFFCGCLVIGDCRKDEQQQQNGEEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFVCLMGASLIWLAFECAVGIAVIVRCFAYKNATEFQIRDRLGDGFSRPPFATVVALCTAVSFLATVPLGELFFFHIILIRKGITTYDYVVAMRAQSEAPGPSVDAGDLQSLPPSSPTSSAVTAISGKSSLGIGLQYKGSWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDTVEADKERQLPLRQQVRISAWKLAKLDSKEAIKAGVKARASSSVLRPVSSRNHPYDADTLSSVVSGKSSPASSNHGYFERNAKAETSRLSPSRSSYPPSQASREDTETCGHSISNMSSPLPTNLPSSRDHFNPMYQSSADQSPLSTKVSDTKVSDTNAAASSGNALLPNLPARKSGLGVPENPRTTVVWDQDAGRFVSTATKSVASSSQHSAGTELTYTGQSIFFGGPLSSNEPLSRGMRGGSGTAASSAGSHRNAVPNYFQQGRSQRGGQLPVFVPSDSRQDPFSSRLQ >itb05g24260.t1 pep chromosome:ASM357664v1:5:29102420:29103289:1 gene:itb05g24260 transcript:itb05g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSSAKLGFQIHARSISLPSRPNPNSFNIPNNLIKTLKFPFSDSFNSQTIQSNVLQLAEHYNTVQCLLESENARKPFVRHENRAIVDEALEVSVGLLDSCGAIRELYSAMKENLQNLQSGLRRKGDDDAIISSYLRFRKKVKKEVIKGIKALKQMENKEQLFLHRNNNIKDHTMMLKRVSVFSISVLGSFLSFLSSSKGNSRRWTPLIISKLTKSTAARVDTTEMCMNEVECVDFGLKSHTKSRKFKVDRQMLEAVHGRIEEIESEIHSLQRLLIQHRVVLLNILTP >itb15g11900.t2 pep chromosome:ASM357664v1:15:9775703:9781448:1 gene:itb15g11900 transcript:itb15g11900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHSWCCSDSLSSLHSTATSLPSPTALYSFKSHSNVFPLHSFPRILQTHIPKSAHIAMSQSPSPTPLPLNCSRAAETHGEEGSIDAILDPHKIDPNLLQTLSYDALVWSSLRGLLVGDRNSQRSGSVPGVGLVHAPFALLPTSFPEGHWKQACEVAPIFNELVDRVSQDGEFLQETLSRTKKVDDFTSNLLDIHSKMLEINKKEEIRLGLHRSDYMLDEQTKLLLQIELNTISSSFPGLSCLVSELHRSLLQQHKKHLALDPDKIPKNNAVVRFVEALAKAWNEYNNPRAVVMFVVQTEERNMYDQHWLSSVLQERHHITTIRKTLAEIDALGELLPDGTLVVDGQVIAVVYFRAGYAPSDYHSESEWRARLLMEQSCAVKCPSISYHLAGTKKVQQELAKPNVLERFLENKDDISKLRKCFAGLWSLDESDVIKDAIQRPELYVMKPQREGGGCSIDNCS >itb15g11900.t1 pep chromosome:ASM357664v1:15:9775684:9781528:1 gene:itb15g11900 transcript:itb15g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHSWCCSDSLSSLHSTATSLPSPTALYSFKSHSNVFPLHSFPRILQTHIPKSAHIAMSQSPSPTPLPLNCSRAAETHGEEGSIDAILDPHKIDPNLLQTLSYDALVWSSLRGLLVGDRNSQRSGSVPGVGLVHAPFALLPTSFPEGHWKQACEVAPIFNELVDRVSQDGEFLQETLSRTKKVDDFTSNLLDIHSKMLEINKKEEIRLGLHRSDYMLDEQTKLLLQIELNTISSSFPGLSCLVSELHRSLLQQHKKHLALDPDKIPKNNAVVRFVEALAKAWNEYNNPRAVVMFVVQTEERNMYDQHWLSSVLQERHHITTIRKTLAEIDALGELLPDGTLVVDGQVIAVVYFRAGYAPSDYHSESEWRARLLMEQSCAVKCPSISYHLAGTKKVQQELAKPNVLERFLENKDDISKLRKCFAGLWSLDESDVIKDAIQRPELYVMKPQREGGGNNIYGDDVREILEKLQKEGTGSDAAYILMQRIFPALSPAILMREGIPHKEQAISELGIYGAYLRNKTEVVINENCGYLMRTKVSSSNEGGVAAGFAVLDSIYLV >itb06g15370.t1 pep chromosome:ASM357664v1:6:19746701:19750078:-1 gene:itb06g15370 transcript:itb06g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYIVYYSMYGHVEKLAEEIKKGASSVEGVEAKLWQVPETLSDEVLAKMSAPPKSDVPIITPNDLAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMGSVKGGSPYGAGTYAGDGSRQPSELELQQALHQGKYIAAIAKKLKSAA >itb01g35990.t1 pep chromosome:ASM357664v1:1:37894157:37898159:-1 gene:itb01g35990 transcript:itb01g35990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MEFGEPANQHSIDLGVGAGNPAVPRVIPGLQPIGLATINLAPVLPQLVAPLGFGFSPDMFIRMEMERSLSLYQMMINEGLVPSPEEEIRRRNAIHKLKKIVMEWIKRVAYRHQLPKRYLRSASATILTYGSYGLGVHNSESDIDVLCVVPCFANMVEDFFVVLYNILASRPEISEIQCIKDAKVPLMRFKFDGIPIDLPYAQLKVVSIPENVDVFNPFFMRNIDESSWRSLSGIRANRSIMYLLPNIELFQSLLRCIKLWAKRRGLYGNLVGFFGGIHLAVLSAFICQKHPTASLSTLVSIFFKTFAFWPCPTPVVLHDGLTRPFIPSEKLGFLPIQLPGSECDFCHSNITRSTFQKIRTEFLRGHVLTKDMLSPAFDWTILFEPFPYSKKYGRLLKIYLSASDKDGLGNWVGCVKSRFRWLLVKLEELLQGFCDPNPTEYIDIDESEVNVVFYWGLQPGRNNFIDIESVEDEFMKNISNIYQGSTGRMKLSIVRSSQLLKKAEYSNSSSCCSGYRSWKEISAKAVKHKGQQIDGGRYLGANNGNGAEGA >itb13g14130.t1 pep chromosome:ASM357664v1:13:20711952:20713243:-1 gene:itb13g14130 transcript:itb13g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYLLSTSSLIYLSLFFLRPISAIIFVLSLLLFGWFLAWKLVLVHVPLVQEIFGLRKKPVKPKPENRRRFTQFYNDMDAQSSASIWKAERITTAVSSLVNLGMMPKGNMPCSLQILPCEVWLEMQDQST >itb13g23760.t1 pep chromosome:ASM357664v1:13:29645471:29649026:1 gene:itb13g23760 transcript:itb13g23760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSDIFPSKIGMGNFNGNMWYIFFMMILLVDCSPPENPINCTNIAKNCTITNKYSAFPDSTICRAASVEFPKTEEELVAIVARATKEKRKMKATTRFSQSIPKLACPGDGDDNSVLISTKYLNQIVKVDKENMTMTVDSGMTLQQLINEAAKVGLALPYTPYWWGLTIGGLISTGAHGSTLRGLGSAVHDYVVCIRIVTPATPEEGYATVRQLEEGDPEINAARVSLGVLGVISQVTLKLEPMFKRSITYIGKDDANLGDEAVVFGSQHEFGDITWFPSEHRVLYRVDDRVPTNTPGDGFFDFLALQTADSVSLAENRNAEEIQESRNDADGKCANAITSRVTTEAVGNGLKNNGYKFTGYPVIGYQNRMQSSGSCLDSKEDELRTVCPWDPRVKGSFFHQTTLSISLSKVKGFIEDVQKLANLEPKAMCVLGLYGGVIMRYMTASSAYLGKQDNSMEFDFTYYRSKDPLAPRLYQDVLEEIEQLGFFKYGALPHWGKNRNVGFLGAINKYAKYREFLKVKEKYDPTGLFSSDWSDHILGLKDGLTITKEGCASEGLCICSEDSHCAPSKGYFCQPGKVYKKARVCTKINTN >itb13g14240.t1 pep chromosome:ASM357664v1:13:20800451:20806048:1 gene:itb13g14240 transcript:itb13g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFLRKSSSSPAPKRFALAALSHLFHSSSSVTEILISGNIPQFHELTPIPKPSTIGKSSSGALSLRQIVRGFHVSRPLAAGYAVADLPDDDEGLEIAKLGISQEIVSALARKGITKLFPIQRAVLEPAMKGSDMIGRARTGTGKTLAFGIPIMDKIIRYNEKHGRGRNPLALILAPTRELAKQVDKEFYESAPNLDTLCVYGGVPIGRQMGQLERGVDVVVGTPGRIIDLIRRGALNLAEIQFVVLDEADQMLNVGFAEDVETILENVPKKRQTMMFSATMPNWIMKLTQKFLRTPVHIDLVGDSDQKLADGISLYSIACDMRSKPAILGPLITEHAKGGKCIVFTQTKRDADRLAYAMQRSHRCEALHGDISQNQRERTLSGFRQGHFNILVATDVAARGLDVPNVDLVVHYELPNSSEIFVHRSGRTGRAGKKGSAILIYSAQQLRDVRGIEREVGCRFVELPRIEVDAGTTDMIGDMGVDGSHFGSNRGMGGGRFGGGYGGSGSGRSSGGFGGGRSGGGFGGGRSSGGFGGGRSSGGFDGGRSGRFDDFGSSLSGGFGGQSSSRRSGGFGNYDGSDRGGSFGRTQSSNRSGGFGEFGSGRPSGFGFFGDDNKSRNS >itb04g22560.t1 pep chromosome:ASM357664v1:4:27822817:27828085:-1 gene:itb04g22560 transcript:itb04g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGYAVSVLLLLVVLTLVAAKKSGDVTELQIGVKYKPKTCELQAHKGDRVKVHYRGKLTDGTEFDASYERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGDSGSPPKIPGGATLIFDTELVAVNGKTSTGDKADKSEL >itb04g21720.t1 pep chromosome:ASM357664v1:4:26812427:26814482:-1 gene:itb04g21720 transcript:itb04g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRFLKFQNANASHGPYSLPHNLRWLDWHGYPSKSLPVSFKGERLVCLKMQYSHVIQLWKGLQYWFFHHLLLPGSSQDTKASSLPSLSGLSSLVELDLSDCSMLNGGIPCDLGTLSSLVLLNLSNNKFGSIPAEAISRLPKLVELFLVGCEELEILPQLPSSLTTVCLDECTALEGSIDSLAKYKNLNKISITKCDQLLEDEDNSQIMIDSMWQHLLKGVSVEDDNFYICFPGTNIPEWFTYKNWGPSILVNLPQNWYNNKFLGFELCVVSEMIDTTKPLHHFNIGVRYGINAKCSLITPDGEKAFVGGGIGFMGIKQYMDSNITCLGYYSFEKWMLYLRVNWVGSPNEWCQFEVSSEEGYSKNIVHKGFGVRLIYEEDDVKQSDEAVMTQSSSSQSRGISRFLI >itb12g22330.t1 pep chromosome:ASM357664v1:12:24429583:24431281:1 gene:itb12g22330 transcript:itb12g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLHIAMFPWLAFGHFIPFLQLANDLAKRGHRISLLLPTNALLKLKHMNLYPQLITFCALTIPQVEGLPLGAETTADTKNLDDSLTLAFDRLQDEVKAILVQMEKPNLVFYDFAYWIPELVSGMGCKTVKFTVYSPTISALALIMSKCKGKGRAVTAADFMESPLGFPSDSKVVLREHEAYQVSRFVNLPSNRITTFDRIVEGLKRCDAIAMRACNEMEGQYCNYIASEFGKRVYCTGPLLPEPPREEEPFDDEIARWLKKFEPNSVIFCAFGSEMVLEKEQFQELVLGLELTGLPFLVAVRPPEGSSSIEEALPEGFQERVKGKAIVHGGWVPQSLILRHKSVGYFVNHGGSASMWESLASHCRIIVAPNRPDFALNARLMAEELEAAIEVERDENGRFSKENLCNAIKSVMGEDIQEENHKKWRDLLLNPNFADNYIHNFIHNLYELLAK >itb03g06190.t1 pep chromosome:ASM357664v1:3:4543103:4545144:1 gene:itb03g06190 transcript:itb03g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVSIIDEKAFLKSLYKVLVSISETKCIILYIRPPEDETHLISWKAQLEKDMKMIQFLDNKNHIAENLFLYGYGAIFNFLGILISALFKGEILLSGCSQERVLYRSQVESVKKRLAESVKKTPASDKNNNAKMETPQKNWAELMKKENGQYFLKEVCCRWTMFPFTW >itb09g17100.t1 pep chromosome:ASM357664v1:9:12340436:12342775:1 gene:itb09g17100 transcript:itb09g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKLLLRRGYTVNATVRSLSQSPLSYFLPYIITLSYMCMALLFPRSFLTKHVGVESPNKVAHLLALEGAKERLHLFEADLLEENSFDPAINGCDGVFHTASPVSLSPTKAEIVDPAVKGTLNVLGSCVRTPSVKRVVVTSSAASIMIRSNPINPTDVIDETWFADKEFAEETKQWYSLSKILAEEAAWKYAGENGIDMVSLHPCLVIGPILQPTLNFSTNVILRLIKEEKGSFSVAGSSYVDVRDVANAHIQAFEVPSASGRYCLVGETIHSSKVLKIAGQLYPSLPIPNKYKGDLPVVPTFKVSQEKAKSLGINFTSFAVTLKDTIESLKEKNFLSF >itb09g17100.t2 pep chromosome:ASM357664v1:9:12340436:12342775:1 gene:itb09g17100 transcript:itb09g17100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKLLLRRGYTVNATVRSLKSPNKVAHLLALEGAKERLHLFEADLLEENSFDPAINGCDGVFHTASPVSLSPTKAEIVDPAVKGTLNVLGSCVRTPSVKRVVVTSSAASIMIRSNPINPTDVIDETWFADKEFAEETKQWYSLSKILAEEAAWKYAGENGIDMVSLHPCLVIGPILQPTLNFSTNVILRLIKEEKGSFSVAGSSYVDVRDVANAHIQAFEVPSASGRYCLVGETIHSSKVLKIAGQLYPSLPIPNKYKGDLPVVPTFKVSQEKAKSLGINFTSFAVTLKDTIESLKEKNFLSF >itb09g17100.t3 pep chromosome:ASM357664v1:9:12340436:12344052:1 gene:itb09g17100 transcript:itb09g17100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKLLLRRGYTVNATVRSLKSPNKVAHLLALEGAKERLHLFEADLLEENSFDPAINGCDGVFHTASPVSLSPTKAEIVDPAVKGTLNVLGSCVRTPSVKRVVVTSSAASIMIRSNPINPTDVIDETWFADKEFAEETKQWYSLSKILAEEAAWKYAGENGIDMVSLHPCLVIGPILQPTLNFSTNVILRLIKEEKGSFSVAGSSYVDVRDVANAHIQAFEVPSASGRYCLVGETIHSSKVLKIAGQLYPSLPIPNNGRGYTPVEVLFQKTTTSKDESELVNHKA >itb04g13380.t2 pep chromosome:ASM357664v1:4:13454882:13459441:1 gene:itb04g13380 transcript:itb04g13380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFNRSRNGDDRFYCAAKARRSQSQSLSSRQNHQNQDHTRGAKSDFAVSRSAKSDVRASADEPLKENSVIVAEPVREVSSVSNIQRFLHSITPSVTAQHHPKTAIRSWKIDGESQPFFVLGDLWEAFKEWSAYGAGVPLILNDTDCVIQYYVPYLSGIQIYADPSKSSEKSRRPGEDSDGDSFRDSSSDGSSDSEQDRGCLNYSREQQNHYCPTRENSFRISRLTLRDENTALQEGFSSDEGESACSQRYLRFEYFERSPPHGREPLADKISNLAVRFPELKTLRSCDLLASSWISVAWYC >itb04g13380.t1 pep chromosome:ASM357664v1:4:13454865:13460798:1 gene:itb04g13380 transcript:itb04g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFNRSRNGDDRFYCAAKARRSQSQSLSSRQNHQNQDHTRGAKSDFAVSRSAKSDVRASADEPLKENSVIVAEPVREVSSVSNIQRFLHSITPSVTAQHHPKTAIRSWKIDGESQPFFVLGDLWEAFKEWSAYGAGVPLILNDTDCVIQYYVPYLSGIQIYADPSKSSEKSRRPGEDSDGDSFRDSSSDGSSDSEQDRGCLNYSREQQNHYCPTRENSFRISRLTLRDENTALQEGFSSDEGESACSQRYLRFEYFERSPPHGREPLADKISNLAVRFPELKTLRSCDLLASSWISVAWYPIYRIPMGPTLKPLDACFLSYHRLHTPLTGGQGAHATAVTCPSEMDGVPNILLPVFGLASYKFKASQWAPDSGLVKSLSQAAGDWLTDLQVNHPDFSFFCRNWN >itb04g13380.t3 pep chromosome:ASM357664v1:4:13454867:13459286:1 gene:itb04g13380 transcript:itb04g13380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFNRSRNGDDRFYCAAKARRSQSQSLSSRQNHQNQDHTRGAKSDFAVSRSAKSDVRASADEPLKENSVIVAEPVREVSSVSNIQRFLHSITPSVTAQHHPKTAIRSWKIDGESQPFFVLGDLWEAFKEWSAYGAGVPLILNDTDCVIQYYVPYLSGIQIYADPSKSSEKSRRPGEDSDGDSFRDSSSDGSSDSEQDRGCLNYSREQQNHYCPTRENSFRISRLTLRDENTALQEGFSSDEGESACSQRYLRFEYFERSPPHGREPLADKVGILVLNKIFSFN >itb07g06830.t1 pep chromosome:ASM357664v1:7:4984609:4988488:-1 gene:itb07g06830 transcript:itb07g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYVVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISANNPYAMNFRFIAADSLQKVIMLAVLAVWANFTKNGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGGDSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVEFKVESDVVSLDGQDFLETDAEIGNDGKLHVTVRKSNASRRSFAMTPRPSNLTGAEIYSLSTSRNPTPRGSNFNHNDFYSMMGFPGRLSNFGPADMYSVQSSRGPTPRPSNFEENSAPAGAIVNSSTKFGFIPNAAAASYPAPNPEIASMAPKGSKKDAPAPASLQSKSSGHDAKELHMFVWSSSASPVSEAAGGLHVFGGGGADFGANEHSGRSDGAKEIRMLVPDHPQNGETKPITQSGDFGMEDYAFGEEKGERDVAAAALSKLGSTSPGNPPENQDSGARKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVEYRWDVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPRIIACGNTVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILMGL >itb07g06830.t2 pep chromosome:ASM357664v1:7:4985967:4988484:-1 gene:itb07g06830 transcript:itb07g06830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYVVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISANNPYAMNFRFIAADSLQKVIMLAVLAVWANFTKNGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGGDSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVEFKVESDVVSLDGQDFLETDAEIGNDGKLHVTVRKSNASRRSFAMTPRPSNLTGAEIYSLSTSRNPTPRGSNFNHNDFYSMMGFPGRLSNFGPADMYSVQSSRGPTPRPSNFEENSAPAGAIVNSSTKFGFIPNAAAASYPAPNPEIASMAPKGSKKDAPAPASLQSKSSGHDAKELHMFVWSSSASPVSEAAGGLHVFGGGGADFGANEHSGRSDGAKEIRMLVPDHPQNGETKPITQSGDFGMEDYAFGEEKGERDVAAAALSKLGSTSPGNPPENQDSGARKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVEYRWDVHMPKIIEKSISILSDAGLGMAMFSLGMFPCLA >itb01g05020.t1 pep chromosome:ASM357664v1:1:3421780:3422253:-1 gene:itb01g05020 transcript:itb01g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGINDGEKMVRLRANDGEEFEVAESVVMLSQTVKFVVEDAGLGEDSVIPLPKVDGKTLAKILEYCKVHAADGKSNAEKKEFDKKFVEVDQAEVYDLLTAANYLEIRELLDIMIQRVVDMIKGKTAEEIRKIFKIKNDFTPEEEEEMKRDNAWAF >itb04g24410.t1 pep chromosome:ASM357664v1:4:29103015:29104826:-1 gene:itb04g24410 transcript:itb04g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRNDRKVVFPSEESAKDIPASSSAELNELRSLNQRSEECNVEQRGGESSQQLAEWDDPMAVEMDRLLIPLIKEASRTAIKKITECGCSEEEAEWAVLTSGVYQGSMDLTNNIVNGAFALLEYTNGFDTSIRPLFDDLESLASYILLEMVSVMKETKPFLSVGEAMWLLLLFELNILHAVVAATPNPNPNPTGADPQPSQPSHVSGSGKMVPRAENGKNSSSGQGGLKREWECVMCLTEPNSVVFLPCAHQVLCTACNVLHQSQGMKDCPLCRMPIKKRIQARFVPPN >itb03g06510.t1 pep chromosome:ASM357664v1:3:4746152:4746734:1 gene:itb03g06510 transcript:itb03g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPLSGAWSGFRHTSQAKQRRSVSAAKSMFGNFRASMMCSCKSPCKYTDSSTEFSPIFDDFFRSGYHSNLRKSQQRFYRKLRRDILSAGRLSGNHVAEGLKTRRSWVFGGPMSGKETDRRHVAGGSSFRGGFNGYITPTAKRLLNQHSHMKLPFCPIGKE >itb05g20040.t1 pep chromosome:ASM357664v1:5:26273628:26274619:-1 gene:itb05g20040 transcript:itb05g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGETPATEVKMKEDGYSGSEREYIRKHHRHQPAENQCTSFLIKHIRAPLHLVWSLVRRFDEPQTYKPFVRRCIVHGNVEIGSVREVDVRSGLPATTSTERLELLDDDQHILRFRIVGGDHRLTNYSSILSLHPEVVDGRPATLVIESFVVDVPPGNTKDETCYFVQAFINCNLRSLAHASEQLSETTHSSCVMVQADSVEPHLSCC >itb13g13370.t2 pep chromosome:ASM357664v1:13:19873703:19875002:-1 gene:itb13g13370 transcript:itb13g13370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLLRTGSGSVLARSSFIPDSPRISASPRESLTGVKKSASSPRLSVHFEPNRRGIRRTSSESDVIRSKIKAVAGAEKSLSFPERIPEEECASYDNDETFVLTRIGSFSFSDDLNNCFGDWQENEISVEDVGFPGGGIGNNRKFTGGGGGRDGSNADPSKIGAYYQEVIKSNPTNSVLLRNYAKYLHEVEGDTVKAEEYYGRAILASPGDGEVLSLYGKLIWETERDENRAKSYFDQAVHASPDDW >itb13g13370.t1 pep chromosome:ASM357664v1:13:19873703:19875002:-1 gene:itb13g13370 transcript:itb13g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLLRTGSGSVLARSSFIPDSPRISASPRESLTGVKKSASSPRLSVHFEPNRRGIRRTSSESDVIRSKIKAVAGAEKSLSFPERIPEEECASYDNDETFVLTRIGSFSFSDDLNNCFGDWQENEISVEDVGFPGGGIGNNRKFTGGGGGRDGSNADPSKIGAYYQEVIKSNPTNSVLLRNYAKYLHEVEGDTVKAEEYYGRAILASPGDGEVLSLYGKLIWETERDENRAKSYFDQAVHASPDDCTVLGSYAHFLWQAEEDDTADDDCRVEMVGAL >itb03g27070.t1 pep chromosome:ASM357664v1:3:26706140:26710093:-1 gene:itb03g27070 transcript:itb03g27070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATFAAGSAVVAFRDTGISDKSLISGHRKFTQLRQSSAPLKLQFESGFSLKCGSKSSFSRYGVKAQVAAVEQASTEVTPKVEAPVVVITGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVCKEIEASGGQALTFGGDVSKEADVESMMKTAVDAWGTVDILINNAGITKDGLLMRMSKSQWQDVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNFGQANYSAAKAGVIGLTKTVAKEYASRKITVNAIAPGFIASDMTSKLGGDIEKKILEQIPLARYGQPEEVAGLVEFLALSPAASYMTGQVLTIDGGMVM >itb08g01150.t1 pep chromosome:ASM357664v1:8:865812:869132:-1 gene:itb08g01150 transcript:itb08g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQSDDLDQLLDSALDDFQSLNLTSSAQRSKDGEGKKEDTCMPGEVQGLGMGLPDLRAKKKGKQKHSKELKDSHVNKALDKLREQTREAVKGLESSTGLKAGGENFGTDAMVEDWVKQFEELAGSQDMESIVETMMQQLLSKEVLHEPMKEIEERYPKWLEENKAKLSNEEYDRYRHQYELIKELIGVYDTEPDNFTKVVELMQKMQECGQPPNDIVHELAPDFDLSSIAQLSPENLQSQQNCCIM >itb01g35590.t1 pep chromosome:ASM357664v1:1:37718490:37719835:-1 gene:itb01g35590 transcript:itb01g35590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLAPSPPKTDLSSKTGLVIMVCSIILGLNCFILSLISEATRSEVSWMAKNGGGDGKYECTYSGSGKTPLMSAAGAFLSLALAMVLEHSYLLIAVSKSPTASLAYWDPDSDFVKSLTWQAGFFFVATWISFAVGEILLLIGLSVESGHLTKWKTPKQSCLVIGQGVFSAAGVFGLLTVFMAAGLYISALRGQRFLQDQENIHHNAIEASVLYASPPRSPRRIIRPVADENPVARQDRNEHISLGQYTSELEKYLYLV >itb13g23300.t1 pep chromosome:ASM357664v1:13:29341869:29343513:-1 gene:itb13g23300 transcript:itb13g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWTKQRFLGSGSYGQVYLAVQPENMEIAAVKSGTIVKCINSLEREGRFLYSLRASPYIVRCYGEDRSVENGFEVYNLLLEYATGGTLGDLLRSREGGLPESDVAWYAYQLLKGIHHVHSLGFVHCDIKPDNVLVFLRRHARNWIKLCDFGLAKTAGGSNFFGDNHRGALRYAPPESLRWRHYDAPKDIWALGCIVVQMITGKPAWEFSYNCNLLSTEDSVSPSALAFLRNCFQWDPRRRWTAEMLLSHPFIIRNNHWFEIEEREEDEGPLKNPFGSEDWNSSENLFTVGPPSTSCFDTMESYSIWDNHDPLSFFWETQKKNSHEV >itb11g04390.t1 pep chromosome:ASM357664v1:11:2300848:2301324:-1 gene:itb11g04390 transcript:itb11g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDYSQYNIPWDSITNQPEPELGDGGDNYDYPQPPAPESRDGGDDYEYEIYHPLPLPPASEPEYCQIVVERVSTCLEYLNGHEDSPSSACCDNLYDLGINALNSEGRSGYKSTCDCIKDLQASFDPSRTEDGIFSGCIRHGGGYAYLAVTRQECSLY >itb06g06280.t1 pep chromosome:ASM357664v1:6:8938544:8940226:-1 gene:itb06g06280 transcript:itb06g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHRSPETPPSRLLPTARRSPTTAHRLPAACTPHAQLAACTASRLMPSRYSRESQQSELLQSLLD >itb14g05520.t2 pep chromosome:ASM357664v1:14:4813820:4821477:1 gene:itb14g05520 transcript:itb14g05520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYLQRSWIILNATAIPLTTIYIFSTPILKLLHQNPQISKAAGTFALWMIPQLFAYVFTFPIQRFLQSQSKIYVMASVSGVALVGHVLFSWLFMLKLRWGLPGGAVVLNTTWWFMAVAQFVYVLSGACGDAWKGFSRRAFGNLTGFVRLSLASGVMFCLEMWYFVALILIAGYTKNAEVSVDAISICMNISGWTLMFGVGFSAAISVRVSNELGAGHPRTAKFSVVVATATSLFTGIILGLIPILLRSRYPPLFSKSSSVQKLVYDLTPLLGFTITLNSLQPTLSGVAIGAGWQSYVAYVNIVCYYLVGIPIGLILGFVFNKGVKGIWYGMITGTIVQTIVLIVMVLRTNWNKEVQYNYFHNSTATRIQFLTTNLLCQKL >itb14g05520.t1 pep chromosome:ASM357664v1:14:4810989:4821477:1 gene:itb14g05520 transcript:itb14g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYLQRSWIILNATAIPLTTIYIFSTPILKLLHQNPQISKAAGTFALWMIPQLFAYVFTFPIQRFLQSQSKIYVMASVSGVALVGHVLFSWLFMLKLRWGLPGGAVVLNTTWWFMAVAQFVYVLSGACGDAWKGFSRRAFGNLTGFVRLSLASGVMFCLEMWYFVALILIAGYTKNAEVSVDAISICMNISGWTLMFGVGFSAAISVRVSNELGAGHPRTAKFSVVVATATSLFTGIILGLIPILLRSRYPPLFSKSSSVQKLVYDLTPLLGFTITLNSLQPTLSGVAIGAGWQSYVAYVNIVCYYLVGIPIGLILGFVFNKGVKGIWYGMITGTIVQTIVLIVMVLRTNWNKEVQYNYFHNSTATRIQFLTTNLLCQKL >itb14g05520.t5 pep chromosome:ASM357664v1:14:4813820:4820862:1 gene:itb14g05520 transcript:itb14g05520.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYLQRSWIILNATAIPLTTIYIFSTPILKLLHQNPQISKAAGTFALWMIPQLFAYVFTFPIQRFLQSQSKIYVMASVSGVALVGHVLFSWLFMLKLRWGLPGGAVVLNTTWWFMAVAQFVYVLSGACGDAWKGFSRRAFGNLTGFVRLSLASGVMFCLEMWYFVALILIAGYTKNAEVSVDAISICMNISGWTLMFGVGFSAAISVRVSNELGAGHPRTAKFSVVVATATSLFTGIILGLIPILLRSRYPPLFSKSSSVQKLVYDLTPLLGFTITLNSLQPTLSGLHAYNSSLISSSSLSQNLRKMVIYVLP >itb14g05520.t4 pep chromosome:ASM357664v1:14:4810989:4820862:1 gene:itb14g05520 transcript:itb14g05520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYSFHADAGDIQQIKKVTEFIREFHSESKKLWYLAAPAIFTIVSQYSIGAITQVFAGHVGNIQLAAVAVENNLIAGFAFGIMNPQISKAAGTFALWMIPQLFAYVFTFPIQRFLQSQSKIYVMASVSGVALVGHVLFSWLFMLKLRWGLPGGAVVLNTTWWFMAVAQFVYVLSGACGDAWKGFSRRAFGNLTGFVRLSLASGVMFCLEMWYFVALILIAGYTKNAEVSVDAISICMNISGWTLMFGVGFSAAISVRVSNELGAGHPRTAKFSVVVATATSLFTGIILGLIPILLRSRYPPLFSKSSSVQKLVYDLTPLLGFTITLNSLQPTLSGLHAYNSSLISSSSLSQNLRKMVIYVLP >itb14g05520.t3 pep chromosome:ASM357664v1:14:4810989:4820862:1 gene:itb14g05520 transcript:itb14g05520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYLQRSWIILNATAIPLTTIYIFSTPILKLLHQNPQISKAAGTFALWMIPQLFAYVFTFPIQRFLQSQSKIYVMASVSGVALVGHVLFSWLFMLKLRWGLPGGAVVLNTTWWFMAVAQFVYVLSGACGDAWKGFSRRAFGNLTGFVRLSLASGVMFCLEMWYFVALILIAGYTKNAEVSVDAISICMNISGWTLMFGVGFSAAISVRVSNELGAGHPRTAKFSVVVATATSLFTGIILGLIPILLRSRYPPLFSKSSSVQKLVYDLTPLLGFTITLNSLQPTLSGLHAYNSSLISSSSLSQNLRKMVIYVLP >itb01g26890.t1 pep chromosome:ASM357664v1:1:31923213:31926960:-1 gene:itb01g26890 transcript:itb01g26890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDILRCQAQLKGFVCLKKNQGFKPRFECLPKTILFVVFLDLLWGRVSGLGSMSSIAISYGEYGPVFCGLKSDGSHTVSCYGSNSAVIYSTPDHSPLIGITAGNDFVCGLLMESNQPYCWGNSNFVQMGVPQPMVQGCEYLEISAGDHHLCGLRKPLTGKNRNTSLVDCWGYNMTRRFEFEGQIQTISAGSEFSCGLFSQNRSVFCWGDETSSRVISLIPRDMKFQKIAAGGYHVCGILEGTDSRVFCWGRSLDLQEAAISVSQSARLNIALAPRDPMLSVVGGRFHACGIRSLDRGIVCWGYRVEKSTPPPSGVKLYEIAAGDYFTCGILVTTSLQPVCWGAGFPTSLPVAVSPGICRPRPCAPGFYGFSNASSPCKSTDSAICLSCSTGCPAEMYQSAVCSPMFDRQCAYNCSNCISPECFSNCSIAANSGNKNSRFWSLQLPVILAEVAFAVFLVSVVSLTSVIYVRYRLRNCTCSAKRLMSSRKTGRNRNGSFQKENGKILPDLDDLKIRRAQMFTYEELDRATEGFKEESQVGKGSFSCVFKGVLKDGTEVAVKRAVMSPDMKKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMANGSLHQHLHGNNRGLKEQLDWVKRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIEAILDPVLKLPSDIEALRRIANVACKCVRMRGKERPSMDKVTTSLERALAQLMGSPSNEQPILPTEVVLGSSRLHKKVSQRSSNRSTSETDVAETEDPRSEFRAPSWITFPSVASSQRMKSSISDGDVEGKNFEAKNPGNAAPPGDGLRSLEEEIGPASPQEHLFLQHNF >itb09g00930.t1 pep chromosome:ASM357664v1:9:575200:578528:1 gene:itb09g00930 transcript:itb09g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKQIVQLGMRVLNANRNRFSGSVSLFGSPWNSPQNRFEYRQISQLANGKRACLVDTLALVKSLEAQGVPSKQAEALTSAIIVVLNDSLENVSHSYVTKGDMQKSEMIQESNISKFKSEVQSSQEHHFSLLQRETEKLQNDIEKMRSELRYEIDKLTAGQRLDLNLERGRTRDELANQNAETTNLNNKLDREIHSLRAQLEAAKYEVIKYCIGTLVSISAVGLAIVRLYL >itb04g03400.t1 pep chromosome:ASM357664v1:4:2097167:2097469:-1 gene:itb04g03400 transcript:itb04g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQKTLGFTVQMLYYLFDAWCCLLCRPGCFRTPNSCRYQKLSPTGNNLEWNGENRRLRKKSRNYKLSNVFVVRFPDPVKRLSRENTEARREGSCNENNV >itb10g14590.t1 pep chromosome:ASM357664v1:10:20937836:20940623:-1 gene:itb10g14590 transcript:itb10g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHQHSRINLVELKSQIVRKLGPERSKQYFYYLDRLLGLKISKAEFDKLCVRTLGRENVSLHNQFIRSILKNASSAKVPPSAHENEILVHATGVANKEPVDAAIEQNGAFLDRKAGDHHGSLGLNGKIISTSQQSLITGSRDFKVNEENGDYRAPDVQKAGQHHQGLMQQTGNNAEESGHDPMKFSLIKRPPFAPVSVHSKDQGRDGGKESHAKVQPQAPLGVPFCQVSVGGARRALPLGTGSKCVSTSTSGTLLDSLTLRERMEQIAVAHGLEGVSMDCANLLNNGLDSYLKSIIRSCVQLVGARSGHEPTKPNKKHPTYGKLVNGVGPGHNLQLSNCRPLEVIQEHTPRNLISLQDFKVAMELNPQQLGEDWPLLLEKICTQAFDE >itb08g14170.t1 pep chromosome:ASM357664v1:8:15894672:15899464:-1 gene:itb08g14170 transcript:itb08g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEGTLRVKLLAEETNQRQEEEEKEKPEEAKSEEVVEEDEEDGEKEKEENLEEESDGADKIQSSPGASLRPTRERKTVDRFYATPTSRPSATKLLSIQKGRGVQLRDIPNVAYKLSKRKPDENLQILHSILYGKKTKAYNLKKNIGQFSGYLWDENEHEKQRGRIQDKFDKCVKEKLLDFCDVLNLPVKSVTKKEELCLRLLEFLESPYATTDLLLADKKKKSKKQKSKATKPKSSVDRTAPSKRKVSSEWNEEADNTGDESQEDDQNDEDAEEESVPEGTDSEEEQNEKISSKKDYGTKIGDKVAGKDTPVKSSKSSKVVSAKRQKVGEDKSISVKEKASSRKLSKKDGKPRNVKADLEPSKEEIYTAAVNILKNVDFDTATLSDIIRQLGSHFGVDLMHKKAEVKAIVTDAMNNMSDDEGEAGDNESED >itb08g14170.t2 pep chromosome:ASM357664v1:8:15894672:15899466:-1 gene:itb08g14170 transcript:itb08g14170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEGTLRVKLLAEETNQRQEEEEKEKPEEAKSEEVVEEDEEDGEKEKEENLEEESDGADKIQSSPGASLRPTRERKTVDRFYATPTSRPSATKLLSIQKGRGVQLRDIPNVAYKLSKRKPDENLQILHSILYGKKTKAYNLKKNIGQFSGYLWDENEHEKQRGRIQDKFDKCVKEKLLDFCDVLNLPVKSVTKKEELCLRLLEFLESPYATTDLLLADKKKKSKKQKSKATKPKSSVDRTAPSKKRKVSSEWNEEADNTGDESQEDDQNDEDAEEESVPEGTDSEEEQNEKISSKKDYGTKIGDKVAGKDTPVKSSKSSKVVSAKRQKVGEDKSISVKEKASSRKLSKKDGKPRNVKADLEPSKEEIYTAAVNILKNVDFDTATLSDIIRQLGSHFGVDLMHKKAEVKAIVTDAMNNMSDDEGEAGDNESED >itb07g23160.t1 pep chromosome:ASM357664v1:7:27634584:27635295:-1 gene:itb07g23160 transcript:itb07g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCVMTKQNLKKSTKSWYQINEEFFFAYDYGGTGKTLVWRTLSASLRSKGEIILNVASSGIVSLLLPGGRIAHSRFAIPLNLNEDSTCNIKQGSHLAELIIKCKLIIWMKHQ >itb12g07100.t1 pep chromosome:ASM357664v1:12:5300068:5319271:-1 gene:itb12g07100 transcript:itb12g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGNVGKKIKIGVCVMEKKVFSAPMGQILDRLQAFGEFEVLHFGDNLILGDPIESWPICDCLIAFYSTGYPLEKAEAYAALRKPFLVNELELQHLLHDRRKVYERLERCGIPVPKYACVNRQVPYQELDYFAEEEDFVEVHGKRFWKPFVEKPVDADDHSIMIYYPSSAGGGMKKLFRKFTGSFMLQAGKSGIASQGDVQDLDGELGVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEIRYPVLLTPTEKLMAREVCVAFRQAVCGFDLLRSNGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSTVPPTLPCTSTSISTCTANEPVQSSEGLTRQGSGLIGTFGGCEELRCVTAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRSETKLKTAVQLQDLLDATRALVPQARPDPESDSEAEDLVHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKSNSEGEEEKPIEALMILKYGGVLTHAGRKQAEELGRYFRNYVYPGEGMGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDASMLDGLETASIEMENAKAKLNEIITSGATSVHSTGSSEKPWMVDGAGVSSNASQLLPRLVKLTKKVAQQVWLLAKDEDEELAETSSCDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERELYNERKERFDITQIPDVYDSSKYDLLHNSHLKLEGLHELFKVAKLLADGVVPNEYGINPQQKLKIGSKIARRLLGKLLIDLRNAREEAINVAELKRNQDHNSLVGKTGKEFAEYLLKPHAKTEDLRRTTSLASDMSSADQDDDDDKETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEGSLVCNSALEKLFTTKELDYMSYIVLRMFENTELALENPKRYRIEMAFSRGADISALEENELELEATSWYQEHKLAIMGPERLQEMGSYLTLEKLEKMMRPFAMPAEDFPPPSTPQGFSGYFLKSAAVLERLVNLWPFHKHGNTNEKRRGGGSGSQVTNNWT >itb12g19490.t1 pep chromosome:ASM357664v1:12:21887009:21891159:1 gene:itb12g19490 transcript:itb12g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGANGEMRSASDALETINAAATAIASAENRASQASVQVQKRRWASCFSIYWCFGSQKQQNKRIVHAVFDPETAAPREDRLHSVDNPTRTSTSIMLPFVAPPSSPASFLQSEPPSATQSPAGVISFSSMSANIYSPGGPNSIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAKLLDPNLQNVGARNMFPLSPHEFQSYQLQPGSPISRLLSPGSAISGSGTSSPFPDREFGVGDPYFLEFRTGDPPKLLNLEKIAPHEWGSRQRSGTLTPDRMGTRSHDNFNINHQSSDVTPLSSSYNRWKNDDTVLNHRVSFEVTAEDFLRCMEKKPGLLSKAGFAPLEKGKSTAKGEGCTPETKIGHDCFAVESSGESFERASANGEDRKQHHPNQSITLGSSEEFNFDNINDELSDKPSVAGSDWWANEKDLGQGSSPCQKWSFFPMIQPGIS >itb13g06890.t2 pep chromosome:ASM357664v1:13:8313701:8316778:-1 gene:itb13g06890 transcript:itb13g06890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDQWYDINGVWTGSATFLSDGQLIMLYTGSTNESVQVQNLAYPADPSDPLLVEWVKYEGNPVLVPPPGIDDKDFRDPTTAWSTSEGKWRITIGSKVNKTGISLVYDTLDFKSYELLDGALHGVPGTGMWECVDFYPVSRSGENGLDTSDHGPGVKHVLKSSLDDDRNDYYALGTYDDGAGKWTPDNPAIDVGIGLRYDYGTFYASKTFYDQEKKRRILWGWITETDSESSDIQKGWASLQAVPRTVVYDDKTGSNLKLWPVDEVEKLRTKKDEFEKVVVKPGSILPLNVSSSNQVDIVAEFEVDEDVLERMVGSNETYSCSGHGGAAERGALGPFGLLVLTDDEFSEQTPIYFYLVKDVNGNLTTFFCADHLKSSRATDVRKLVHGSNVPFLSGEKLTMRILVDHSIVESFGQGGRACITSRVYPTKAIYENAKLFLFNNATESSVTASLKVWQMNSAEIN >itb13g06890.t1 pep chromosome:ASM357664v1:13:8313701:8317531:-1 gene:itb13g06890 transcript:itb13g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDQWYDINGVWTGSATFLSDGQLIMLYTGSTNESVQVQNLAYPADPSDPLLVEWVKYEGNPVLVPPPGIDDKDFRDPTTAWSTSEGKWRITIGSKVNKTGISLVYDTLDFKSYELLDGALHGVPGTGMWECVDFYPVSRSGENGLDTSDHGPGVKHVLKSSLDDDRNDYYALGTYDDGAGKWTPDNPAIDVGIGLRYDYGTFYASKTFYDQEKKRRILWGWITETDSESSDIQKGWASLQAVPRTVVYDDKTGSNLKLWPVDEVEKLRTKKDEFEKVVVKPGSILPLNVSSSNQVDIVAEFEVDEDVLERMVGSNETYSCSGHGGAAERGALGPFGLLVLTDDEFSEQTPIYFYLVKDVNGNLTTFFCADHLKSSRATDVRKLVHGSNVPFLSGEKLTMRILVDHSIVESFGQGGRACITSRVYPTKAIYENAKLFLFNNATESSVTASLKVWQMNSAEIN >itb14g07960.t1 pep chromosome:ASM357664v1:14:7338797:7346868:-1 gene:itb14g07960 transcript:itb14g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRVGETGVTGTSSQHHHNMPYELLRGLNNPTTTPSFMYHSTPNSKEGPAAFDFGELEEAIVLQGVKINNNDEAKASLYAAATLEMFPSWPTRSSLHTPIPRGSSRSGEEESGDSGSGVNTFSSRAEGPDSPISTRPSSHLSQPSTQNHQLEIIMASDDSPRTTTGVSQTDHLEAAASKQPTHDKRRGAAGSTSDRVLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLSQLEQELHSARSQGLFLGGGAAVGAGTSSGGAIFDMEYARWLDDDHRHISELRTALQAHLSDGELRVVVDGYIGHYDEIFRLKGEAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTGKLDPLTEQQVVGIYGLQHSSQQAEEALTQGLDQLQQSLVETIAVGSAAGDGGVHHMAMAIGKLANLEGFVRQADNLRQQTLHQLHRILTVRQAARCFLMIGEYYNRLRALSSLWASRPRETMIGGDDSSCQTTTTHLQMVQFSHQNHFSNF >itb04g01490.t1 pep chromosome:ASM357664v1:4:835886:837037:-1 gene:itb04g01490 transcript:itb04g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFIFVHYVIVSGRGPTHPKRKQIFWAYFHSPKSSKNSSVPLDFHLRSVLRKFGRQIPPNSLRLKLPIQY >itb03g09830.t1 pep chromosome:ASM357664v1:3:7632104:7634988:1 gene:itb03g09830 transcript:itb03g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFHFLRMILSLFPLLPFLSIFFSFPLHLHSHAYVLPDHYFINCGSDSNVPEFKRTFSGDDHFTGGTTVVEDPQDIYKSARIFNRKSGYELKTDQDGPYTVRLHFYPFSSSSHNLSDARFTVTALGDSLLSNFGVSNSSNLPVIKEFLVSVKTGWKLTIEFIPSQQSSFAFVNAIEAFPAPDSSIPPGAPAVDNKMNKFTLSSNVLHVIYRINVGGRLITSGNDTWWRNWEPDDLYLISSESKSIPSTGRPNYQDGWATSIDAPDFVYDTARVLNLNDNPQTKASNITWRFSVNNNTTHFLRLHFSDIVAGRNESQFNVYVYDEFITMVSPYDIVPDLNTPFYLDYVVNSDASGFMKVSVSPLLGTSKYSFLNGLEIKQISKDQVRVPDGSGQKGKSLVIVIGSVVGGLAFIFVSGVAVWFYLKSKKREEKPVETSEFPFDQIFGVSTQSRSTEKSGISLSPDMNLGLKVPLAEILYATKNFDPKRMIGEGGFGKVYKGTMRNGVKVAVKRSEPGHGQGLLEFQTEIMILSKIRHRHLVSLIGYCVERYEMILVYEFMEKGTLRDHLYNLNEQSAERSYTSRSELSWVQRLEACIGAAKGLHYLHSGLDMPIIHRDIKSTNILLDEQYVAKVADFGLSRSGPLDQSHVSTVVKGSFGYLDPEYYRCMQLTQKSDVYSFGVVLLEVLCARPAINNLLPREQVNLADWGMSWQKKGELAKIIDPFLVDKINPSSLRKFGETTDKCLQESGVDRPSMADVLWDLEYALRLQQSGMPLNPREDSMTDVSLQLPPPIVRRLPSNIMPMSQEEGDDSQTLSGGLEASAVFSQLNIEDAR >itb09g29000.t1 pep chromosome:ASM357664v1:9:29613305:29613660:1 gene:itb09g29000 transcript:itb09g29000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDGEKLGESILHMCVKNGQLEALKFVVEMIGDDADFVNSKDAFGNTVLHLAVENKQFEAVKFLVKCNRICRKWEWANSYGHSLSN >itb02g04740.t1 pep chromosome:ASM357664v1:2:2801580:2802444:-1 gene:itb02g04740 transcript:itb02g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTASLSHCCLHTIASSRSPVTLIFHDGKSRVLAGKRRVAGEVMFEFPDCMVCHAHSFFIGQPLPILAIDDELVAGQTYLVLPLDCFATTVLSASSLAALGRSPRRAATAPPANFRSAAFEYVKGSNGRVSIKVVPEFIVNLLSGNNGENLQAAGGDDGDDCKILCSTPELKKHYEQLVGSRDQVWSPKLETITEYKIRYSPCKFIGLEWKQKEGEGNH >itb02g05060.t2 pep chromosome:ASM357664v1:2:3022672:3028689:-1 gene:itb02g05060 transcript:itb02g05060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHGGGGRGGVVECSVCHSKLGLSPHSRAVSKAYDRHRTDVSLKTRAFNVFLVVGDCILVGLQPILVYMSKVDGNFKFSPISVNFLTEIAKVIFACVMLCLQSRNQKAGEKSLLSLSTFVQAAKNNCLLAVPALFYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKIIMRRRFSILQWEALALLLIGISVNQLRSLPEGSTAMGLPVATAAYLYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILISALFKGPDSLDILRGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFILGISIVFISMHQFFSNASKVKDETQNGSLEIIETENNFRSKDTSFLDMAAGAHEDATHRVKHDEREPLLPR >itb02g05060.t1 pep chromosome:ASM357664v1:2:3021894:3028774:-1 gene:itb02g05060 transcript:itb02g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHGGGGRGGVVECSVCHSKLGLSPHSRAVSKAYDRHRTDVSLKTRAFNVFLVVGDCILVGLQPILVYMSKVDGNFKFSPISVNFLTEIAKVIFACVMLCLQSRNQKAGEKSLLSLSTFVQAAKNNCLLAVPALFYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKIIMRRRFSILQWEALALLLIGISVNQLRSLPEGSTAMGLPVATAAYLYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILISALFKGPDSLDILRGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFILGISIVFISMHQFFSNASKVKDETQNGSLEIIETENNFRSKDTSFLDMAAGAHEDATHRVKHDEREPLLPR >itb15g14350.t1 pep chromosome:ASM357664v1:15:12588538:12589748:1 gene:itb15g14350 transcript:itb15g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFINYKNQQHIHPSPTSITLFRQRIKMSKGSSGVVKGGKKKGATFFIDCSKPVDDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSNFSKRVKSCMAVSNDV >itb06g10590.t1 pep chromosome:ASM357664v1:6:15039163:15040453:1 gene:itb06g10590 transcript:itb06g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGGKPETQTSAAAVNTVTASCRKKKSESATFLEDVRDHIDEFINASMDEHKTCFKKTIQKMFGMSKVVAERNAEVKEVESKLPLRTVISE >itb06g10590.t2 pep chromosome:ASM357664v1:6:15039163:15040453:1 gene:itb06g10590 transcript:itb06g10590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGGKPETQTSAAAVNTVTASCRKKKSESATFLEDVRDHIDEFINASMDEHKTCFKKTIQKMFGMSKVVAERNAEVKEVESKLPLRTVISE >itb02g02810.t1 pep chromosome:ASM357664v1:2:1638605:1640471:-1 gene:itb02g02810 transcript:itb02g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQVGMGGGGGYCCELCKGEAAVCCPSDSAFLCWSCDAKVHQANFLVARHLRFIVCSVCKSVTGNRVSGVREGPFSDLCRSCSPVDEEEEDLDALSSSSTCVSSAHSSCSRKSGRLSECSDSDRDFEKKSQKRPPRGARSEAVDPVAEGIFVNWCARLGIGGGEDKHVTRKARGAFRLCLAHMTALPYRVSLAASLWFGLRRCPGKPGHTWRALRRLEQISGVPAKLIASAESKLQLAEKAGKQRRQWLTEGWDECSV >itb15g11070.t1 pep chromosome:ASM357664v1:15:8880517:8887022:-1 gene:itb15g11070 transcript:itb15g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVDKVLEDQVAQGVNALVQTVAYNVKLVRGIDSEIKDLTSDIQTFSARLIEASKNSWANDHQVLRVVVKKFGTVVNEAQDTIADYLALKGKHVDNVFSKSLDKIPFCGKIKDFASEIQSIRAKLAKIRQDHGKELLHLMTYKINEQNKGLLTLQVRPTVEKDKVFGFENDMNTIKGFIEAPDNFIVIPIVGITGSGKTILASMIFEEQKCIPENFNKCIWVNVSQEKLSNEEGWLLLKNNVFGKEGCNDEVLENVGKEIANKCNGLPLALVAVGGMLRQRRNIVDWQRVAENPFLEINREGQIYRDRVKMTYNDLSDEKLKNCFLYFACFPIGHEIVVWKLIRLWIAEEFIPTIDEQGYALEAEVEAQKYLNDLVDRNLVMVEKRRRNGQIKTCCIHNTFHEFCKNEAARINLFHVVDEGQRLDDDENTSSSSTPHENISSTRRLCFHSFNDNKFDVLIKSYNQKGSLFPFGKHIHSLLLFSSQKGETSFTKDQLATIPNTFPLLRVLNIEFSIEFGDKFQPDELYNLHLLRYLAIKSNLNSLPKSFKNLRGLETLVIETTSRSLKIEEGIWNMEKLRHVRTNTSLQLPFPPKRSTTKAGRKDIRTLSTISPASCTLLIFWNTPNLQKLGVRGDLSKFQKSGEISFPFEMLKCLENLKLYGQCDKVLTLPESIVHAPRLKKLSFSGTLFEWKDIRVLGLLEELEVLKLDDYAFKGEDCDLTKKKIVFKRLQYLRIGRTNLVTWKATENSFPSLRSLVLRNCSSLQEIPEAFANVHTLEVMELFHTSESTVQSAKEVKEKLKNCGFQLQLLITSKMGKGPITAGTDTMTEEALKDLVDFVQFSSEWNGAPRGLYSELKDVSFDIQMFNVRLQEAYKNPIASVDVLMLKNFQTIVNEALDAARIYCVLKMVSENKILTWFLLPQQYRRNNVKYCASKIQSVRSKVNIFRQQYQNDLYSLTISHNNVLLTLQVPQKLLLNYHISNLLVSAIPGQNIIIFG >itb04g15300.t4 pep chromosome:ASM357664v1:4:16546019:16549918:1 gene:itb04g15300 transcript:itb04g15300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVDDKSDDEYSVIGDKGDLGFIDFEKHKSSGSYEPNRENEKFVISVPFPLVNGRPISGSVGETIVNEITVTNTTKDPLDLWSIKIYDSKPEDSFTLSLMKPPSENSDAEYIEEFMESFSLEDKTLRPEQTLTVWLSCKPKEIGLHTSAVHFNVGEETIERLVFILAEDKVCQSLVSNRPYHRARKKKVEVFTCDAFIVGSRPSRASNRGFKNRLPEYPIPTEIIELVEKNQMPDAIIEGLRKENYFNYFRTLLAMEEIKIKQDMREYDMECVTMKHRGMQFLSLEVPGLAERRPSLVYGDYIFARPAYQDASGMKPYQGYIHRVQADEVFLKFDQDFHARHRVGNVYNVQFTYNRIGVRRMIQAIEAANSLTKEVLFPSEVYGRRNIPTTPLKPLSCMLNEEQMRAVEKILGCRGGAAYIIHGPPGTGKTKTLIEAILQLYTGRNNARILVCAPSNSAADDILEKLLNEQAVELKHDEICRLNAITRSIDDVCPDHLEFCFVEDETFKCPLMRDLIRYRIIISTYASAYLLYAEGIKRGQFSHVFLDEAGQASEPETMIPLSHLLRKETVFVLAGDPLQLGPVVFSKEAESCGLGKSFMERIYESPVYKGENYITKLVRNYRCHPAILKLPSEMFYGGELIPCKKDDGRPSRAGVDLLPNKEFPLLFIGVQGFDEREGSNPSWFNRIEASKVVEIVRNLVENNGLREEDIGVITPYRQQVLKIRNVLESFDWNGIKVGTVEQFQGQEREVIIISTVRSTVKHHEFDKIHYLGFLSNPRRFNVAITRAKSLLIVIGNPHIICQDPHWNKLLWYCVENGSYTGCFLPVREESEDDESVGGRNIETNDQFFYGRGDTELIKHEANVWEDLPPPVTDENEWSDGWK >itb04g15300.t2 pep chromosome:ASM357664v1:4:16545417:16549969:1 gene:itb04g15300 transcript:itb04g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVDDKSDDEYSVIGDKGDLGFIDFEKHKSSGSYEPNRENEKFVISVPFPLVNGRPISGSVGETIVNEITVTNTTKDPLDLWSIKIYDSKPEDSFTLSLMKPPSENSDAEYIEEFMESFSLEDKTLRPEQTLTVWLSCKPKEIGLHTSAVHFNVGEETIERLVFILAEDKVCQSLVSNRPYHRARKKKVEVFTCDAFIVGSRPSRASNRGFKNRLPEYPIPTEIIELVEKNQMPDAIIEGLRKENYFNYFRTLLAMEEIKIKQDMREYDMECVTMKHRGMQFLSLEVPGLAERRPSLVYGDYIFARPAYQDASGMKPYQGYIHRVQADEVFLKFDQDFHARHRVGNVYNVQFTYNRIGVRRMIQAIEAANSLTKEVLFPSEVYGRRNIPTTPLKPLSCMLNEEQMRAVEKILGCRGGAAYIIHGPPGTGKTKTLIEAILQLYTGRNNARILVCAPSNSAADDILEKLLNEQAVELKHDEICRLNAITRSIDDVCPDHLEFCFVEDETFKCPLMRDLIRYRIIISTYASAYLLYAEGIKRGQFSHVFLDEAGQASEPETMIPLSHLLRKETVFVLAGDPLQLGPVVFSKEAESCGLGKSFMERIYESPVYKGENYITKLVRNYRCHPAILKLPSEMFYGGELIPCKKDDGRPSRAGVDLLPNKEFPLLFIGVQGFDEREGSNPSWFNRIEASKVVEIVRNLVENNGLREEDIGVITPYRQQVLKIRNVLESFDWNGIKVGTVEQFQGQEREVIIISTVRSTVKHHEFDKIHYLGFLSNPRRFNVAITRAKSLLIVIGNPHIICQDPHWNKLLWYCVENGSYTGCFLPVREESEDDESVGGRNIETNDQFFYGRGDTELIKHEANVWEDLPPPVTDENEWSDGWK >itb04g15300.t3 pep chromosome:ASM357664v1:4:16545262:16549918:1 gene:itb04g15300 transcript:itb04g15300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVDDKSDDEYSVIGDKGDLGFIDFEKHKSSGSYEPNRENEKFVISVPFPLVNGRPISGSVGETIVNEITVTNTTKDPLDLWSIKIYDSKPEDSFTLSLMKPPSENSDAEYIEEFMESFSLEDKTLRPEQTLTVWLSCKPKEIGLHTSAVHFNVGEETIERLVFILAEDKVCQSLVSNRPYHRARKKKVEVFTCDAFIVGSRPSRASNRGFKNRLPEYPIPTEIIELVEKNQMPDAIIEGLRKENYFNYFRTLLAMEEIKIKQDMREYDMECVTMKHRGMQFLSLEVPGLAERRPSLVYGDYIFARPAYQDASGMKPYQGYIHRVQADEVFLKFDQDFHARHRVGNVYNVQFTYNRIGVRRMIQAIEAANSLTKEVLFPSEVYGRRNIPTTPLKPLSCMLNEEQMRAVEKILGCRGGAAYIIHGPPGTGKTKTLIEAILQLYTGRNNARILVCAPSNSAADDILEKLLNEQAVELKHDEICRLNAITRSIDDVCPDHLEFCFVEDETFKCPLMRDLIRYRIIISTYASAYLLYAEGIKRGQFSHVFLDEAGQASEPETMIPLSHLLRKETVFVLAGDPLQLGPVVFSKEAESCGLGKSFMERIYESPVYKGENYITKLVRNYRCHPAILKLPSEMFYGGELIPCKKDDGRPSRAGVDLLPNKEFPLLFIGVQGFDEREGSNPSWFNRIEASKVVEIVRNLVENNGLREEDIGVITPYRQQVLKIRNVLESFDWNGIKVGTVEQFQGQEREVIIISTVRSTVKHHEFDKIHYLGFLSNPRRFNVAITRAKSLLIVIGNPHIICQDPHWNKLLWYCVENGSYTGCFLPVREESEDDESVGGRNIETNDQFFYGRGDTELIKHEANVWEDLPPPVTDENEWSDGWK >itb04g15300.t1 pep chromosome:ASM357664v1:4:16545262:16549969:1 gene:itb04g15300 transcript:itb04g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTVDDKSDDEYSVIGDKGDLGFIDFEKHKSSGSYEPNRENEKFVISVPFPLVNGRPISGSVGETIVNEITVTNTTKDPLDLWSIKIYDSKPEDSFTLSLMKPPSENSDAEYIEEFMESFSLEDKTLRPEQTLTVWLSCKPKEIGLHTSAVHFNVGEETIERLVFILAEDKVCQSLVSNRPYHRARKKKVEVFTCDAFIVGSRPSRASNRGFKNRLPEYPIPTEIIELVEKNQMPDAIIEGLRKENYFNYFRTLLAMEEIKIKQDMREYDMECVTMKHRGMQFLSLEVPGLAERRPSLVYGDYIFARPAYQDASGMKPYQGYIHRVQADEVFLKFDQDFHARHRVGNVYNVQFTYNRIGVRRMIQAIEAANSLTKEVLFPSEVYGRRNIPTTPLKPLSCMLNEEQMRAVEKILGCRGGAAYIIHGPPGTGKTKTLIEAILQLYTGRNNARILVCAPSNSAADDILEKLLNEQAVELKHDEICRLNAITRSIDDVCPDHLEFCFVEDETFKCPLMRDLIRYRIIISTYASAYLLYAEGIKRGQFSHVFLDEAGQASEPETMIPLSHLLRKETVFVLAGDPLQLGPVVFSKEAESCGLGKSFMERIYESPVYKGENYITKLVRNYRCHPAILKLPSEMFYGGELIPCKKDDGRPSRAGVDLLPNKEFPLLFIGVQGFDEREGSNPSWFNRIEASKVVEIVRNLVENNGLREEDIGVITPYRQQVLKIRNVLESFDWNGIKVGTVEQFQGQEREVIIISTVRSTVKHHEFDKIHYLGFLSNPRRFNVAITRAKSLLIVIGNPHIICQDPHWNKLLWYCVENGSYTGCFLPVREESEDDESVGGRNIETNDQFFYGRGDTELIKHEANVWEDLPPPVTDENEWSDGWK >itb07g02680.t1 pep chromosome:ASM357664v1:7:1725101:1731953:1 gene:itb07g02680 transcript:itb07g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPSVSTSPSTQGWTYDVFLSFRGPDTRKTFIDFLYESLERRGIRTFKDDDRLEKGDCISTSLIKAIQESQFLIAVFSEGYASSKWCLEELATMMECHETFKKQIVVPIFHNVEPSDVRKQEGSFGDSFKELVERFVKDEEEEKVRRWKEALKNAGYLKGHHLQNDYKGYEATCAEKVAADIDARLNRASLAFEENLVGLESRVNNIGNWLRLATDDNDDFRFIGICGMGGIGKTTIATCVFNKFSYQFDGACFLVDIRQHNVIELQKTLLTKILKERSEVIEINNAQDGIGKIKRRLKEKKVLIVLDDVDNKLEQLDKLAGGGDWFGRGSRVIITTRDAGVLRSHGVDEKYIYPVHTLRVEEGIQLFISYAFKKKPKIGVEQLCGLVVRYCKGLPLALKILGSSLCGLEAADWESTLEELKDTPNDDIDVKLKISFDRLDSKNKKIFLHIACYFRHQKEEHVKEALKSCNLLPAMGLRVLVEKSLISVEEGNIEMHDLIQEMGWRIARDEKHSSRVWEFKEVDDVLCGKMDPKHIEFMLFPYTCGFRWQNISNTFEALKILIGKRSRSYDEEGDNNYQLPSSLRWLHFPDYPFSSLPTSFHPSSMDMNPSELVGLCLHRSSLENCWITKELNKLTYLDLSSSGSLLKTPKFDMMPNLRSLDLSHCSKLKEIHPSVGRLEKLVLLDLSLCWQLQTLPCFVQVSSLRILKLESCSELENFPEIQANMPLVLELNLDRIGIRELPSSIGRLRGLTELGLCGCNDLVSLSDDLCELENLKILELRDCPELESLPEKLGNLSKLEELHIIETAIFQLPSSIAQLSSLECLCWGSNEEFDDERGLKFLPSVSGLRSLKKLQLSHFRITDEGLPSDLGQCLISLEYLNLEGSKFDYLPESFGQLPHLQYLDLRDCEELEMLPELPKTIRELYMHSHFVSGNKSINIAMFAIKYPKLYSISFSSCGDAYDRFRGETFLVKETIQFPFHRKTPFSVSYSSKEFEEPMNDRYPFLRSFQYQRFKSNRICFDLNPYWYSTQFGGFAVYFVSYHDDMWEPHSYEGFDRHTRHCVFKAKLSSHNDIHEDLKIKCVIAGSTCYNDRAICFVYVPFSSLWPESKPITEASDYSRFEVELVNLKASADWGINLLYKSRYTFPSLEGAREHMGNYHRSDSEQSNEEAPLFEETPVLSTQPEPVVRNQTKSLAKLFKRHEEENTKANVEMWKEELKKDGEISGYHLRNDFNGYICFRSTSSSSVPSFLYMSFALVF >itb07g02680.t2 pep chromosome:ASM357664v1:7:1725101:1731793:1 gene:itb07g02680 transcript:itb07g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPSVSTSPSTQGWTYDVFLSFRGPDTRKTFIDFLYESLERRGIRTFKDDDRLEKGDCISTSLIKAIQESQFLIAVFSEGYASSKWCLEELATMMECHETFKKQIVVPIFHNVEPSDVRKQEGSFGDSFKELVERFVKDEEEEKVRRWKEALKNAGYLKGHHLQNDYKGYEATCAEKVAADIDARLNRASLAFEENLVGLESRVNNIGNWLRLATDDNDDFRFIGICGMGGIGKTTIATCVFNKFSYQFDGACFLVDIRQHNVIELQKTLLTKILKERSEVIEINNAQDGIGKIKRRLKEKKVLIVLDDVDNKLEQLDKLAGGGDWFGRGSRVIITTRDAGVLRSHGVDEKYIYPVHTLRVEEGIQLFISYAFKKKPKIGVEQLCGLVVRYCKGLPLALKILGSSLCGLEAADWESTLEELKDTPNDDIDVKLKISFDRLDSKNKKIFLHIACYFRHQKEEHVKEALKSCNLLPAMGLRVLVEKSLISVEEGNIEMHDLIQEMGWRIARDEKHSSRVWEFKEVDDVLCGKMDPKHIEFMLFPYTCGFRWQNISNTFEALKILIGKRSRSYDEEGDNNYQLPSSLRWLHFPDYPFSSLPTSFHPSSMDMNPSELVGLCLHRSSLENCWITKELNKLTYLDLSSSGSLLKTPKFDMMPNLRSLDLSHCSKLKEIHPSVGRLEKLVLLDLSLCWQLQTLPCFVQVSSLRILKLESCSELENFPEIQANMPLVLELNLDRIGIRELPSSIGRLRGLTELGLCGCNDLVSLSDDLCELENLKILELRDCPELESLPEKLGNLSKLEELHIIETAIFQLPSSIAQLSSLECLCWGSNEEFDDERGLKFLPSVSGLRSLKKLQLSHFRITDEGLPSDLGQCLISLEYLNLEGSKFDYLPESFGQLPHLQYLDLRDCEELEMLPELPKTIRELYMHSHFVSGNKSINIAMFAIKYPKLYSISFSSCGDAYDRFRGETFLVKETIQFPFHRKTPFSVSYSSKEFEEPMNDRYPFLRSFQYQRFKSNRICFDLNPYWYSTQFGGFAVYFVSYHDDMWEPHSYEGFDRHTRHCVFKAKLSSHNDIHEDLKIKCVIAGSTCYNDRAICFVYVPFSSLWPESKPITEASDYSRFEVELVNLKASADWGINLLYKRYTFPSLEGAREHMGNYHRSDSEQSNEEAPLFEETPVLSTQPEPVVRNQTKSLAKLFKRHEEENTKANVEMWKEELKKDGEISGYHLRNDFNGYICFRSTSSSSVPSFLYMSFALVF >itb03g28900.t1 pep chromosome:ASM357664v1:3:29611356:29614972:1 gene:itb03g28900 transcript:itb03g28900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVIAIREKLRGKIGQTKVKRYWPGKAPEWADEAEEDGDIRTTRAAALETAFPSQDGSDVGKRDDPRLRRLAESKIDNREEVRADHRRIRQAEIVSTIEEENRRLERVDEEDEDEDALDEKRRRIREKLLQREQEEALPAEEEEEAEEEEEEESEYETESEEETTGIAMVKPVFVPKSERDTIAERERLEAEEQALEDLMKKRLEERKVETKQLIVEKIREEEEIQKNLDTEANIADVDTDDEVNEAEEYEAWKAREIARIKREREDRDAILKEKEEIEKLRNMTEEERKEWERRNPKPGSASNKQKWKFMQKYYHKGAFFQSNPDDRAGTAGADNIFSRDFSAPTGEDKLDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDSLRAKYNAKMAAVNAPIAKPKGKKLKDWETR >itb14g01110.t2 pep chromosome:ASM357664v1:14:825669:833544:1 gene:itb14g01110 transcript:itb14g01110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIGGGVGKLVTAVAAALLLRLFSGPGPVVLPENEEDDYERNGDENGEAPPDGKVSPVTIRWTDITCSLSDNSSKSVRFLLQSVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQIKASTKLHLSGLLEINGQPFSNKPFKFAYIRQEDLFFSQLTVKETLSLAAELQLQDISSVEEREEYVNNLLFKLGLAGCADTRIGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYGKFDDILLLAEGSLVYAGPAGEEPLTYFAKFGYICPDHVNPAEFLADLISIDYGSSETVYASRKRIDGLVESFSQNIATTMYASPIFSNDLKNHVNSKKKIVIKRKGGWWRQFFLLLKRAWMQASRDGPTNKVRARMSIASALIFGSVFWRMGRSQTSIQDRLGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEVPIGAAFPLLFGSIMYPMTRLHPTISRFGKFCGIVTMESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNSDNTPVIFRWIPRVSLIRWAFQGLCINEFSGLQFEHQNSFDIQYGEQQLERLSFGGSRIRDTISAQSRIILFWYCTTFLLLEKNKPKYQQLEPPPLDQIQTQPKLTLLESDPVEQEQLESPPLDEGKPNQQRESPPADPLDLFTLAGL >itb14g01110.t1 pep chromosome:ASM357664v1:14:825669:833544:1 gene:itb14g01110 transcript:itb14g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIGGGVGKLVTAVAAALLLRLFSGPGPVVLPENEEDDYERNGDENGEAPPDGKVSPVTIRWTDITCSLSDNSSKSVRFLLQSVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQIKASTKLHLSGLLEINGQPFSNKPFKFAYIRQEDLFFSQLTVKETLSLAAELQLQDISSVEEREEYVNNLLFKLGLAGCADTRIGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYGKFDDILLLAEGSLVYAGPAGEEPLTYFAKFGYICPDHVNPAEFLADLISIDYGSSETVYASRKRIDGLVESFSQNIATTMYASPIFSNDLKNHVNSKKKIVIKRKGGWWRQFFLLLKRAWMQASRDGPTNKVRARMSIASALIFGSVFWRMGRSQTSIQDRLGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEVPIGAAFPLLFGSIMYPMTRLHPTISRFGKFCGIVTMESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNSDNTPVIFRWIPRVSLIRWAFQGLCINEFSGLQFEHQNSFDIQYGEQQLERLSFGGSRIRDTISAQSRIILFWYCTTFLLLEKNKPKYQQLEPPPLDQIQTQPKLTLLESDPVEQEQLESPPLDEGANQKEKIVQ >itb05g16970.t1 pep chromosome:ASM357664v1:5:24071509:24074352:1 gene:itb05g16970 transcript:itb05g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFSRAEAAKRRPPKRTASRPPPAGGGRNPSYRNRSSSRKRDVVFQEHELAAAILFHQKLQNGDGSGYDAGSDRAALALSHRLSKSKRSHQTFPRSSSSTARSLDPLLPPHQLSNEQDVNIDDLETNHFVLVHGGGFGAWCWYKTISLLQEAGFRATALDLTGSGIHSFDTNSVTSMSQYVKPLTDFLEQLAVGEKVILVGHDFGGACISYAMELFSSKVSRAVFIAAAMLSSGQSTLDMFSQNTNSNDLMRQAQIFIYANCNNNSPTAINLDKSLLKDLLFNQSPAKDIALASVSMRPIPFSPILEKLTLSDEKYGSIKRFYVETPEDNAIPIALQQSMIDQNPPQKVYHLKGADHSPFFSKPQSLHKILLEISRIPSKTS >itb05g16970.t2 pep chromosome:ASM357664v1:5:24071504:24074417:1 gene:itb05g16970 transcript:itb05g16970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFSRAEAAKRRPPKRTASRPPPAGGGRNPSYRNRSSSRKRDVVFQEHELAAAILFHQKLQNGDGSGYDAGSDRAALALSHRLSKSKRSHQTFPRSSSSTARSLDPLLPPHQLSNEQDVNIDDLETNHFVLVHGGGFGAWCWYKTISLLQEAGFRATALDLTGSGIHSFDTNSVTSMSQYVKPLTDFLEQLAVGEKVILVGHDFGGACISYAMELFSSKVSRAVFIAAAMLSSGQSTLDMFSQNTNSNDLMRQAQIFIYANCNNNSPTAINLDKSLLKDLLFNQSPAKDIALASVSMRPIPFSPILEKLTLSDEKYGSIKRFYVETPEDNAIPIALQQSMIDQNPPQKVYHLKGADHSPFFSKPQSLHKILLEISRIPSKTS >itb05g13870.t3 pep chromosome:ASM357664v1:5:20959897:20965251:-1 gene:itb05g13870 transcript:itb05g13870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPVLQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPEMYKSRVLELNASDDRGINVVRTKIKNFAAVAVGSGHQSGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLTEEIMSSRILHICNEEGLNLDSEALSTLSTISQGDLRRGITYLQSAARLFGSSISSRDLITVSGVIPEEVVHAIFSACKSGNFDLADKEVNNVIAEGYPASQMLSQLYDLVVEADDISDEQKARICKKLGETDKFLVDGADEYLQLLDVASITMQTLCNMPQEMTY >itb05g13870.t1 pep chromosome:ASM357664v1:5:20959897:20966058:-1 gene:itb05g13870 transcript:itb05g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPVLQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPEMYKSRVLELNASDDRGINVVRTKIKNFAAVAVGSGHQSGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLTEEIMSSRILHICNEEGLNLDSEALSTLSTISQGDLRRGITYLQSAARLFGSSISSRDLITVSGVIPEEVVHAIFSACKSGNFDLADKEVNNVIAEGYPASQMLSQLYDLVVEADDISDEQKARICKKLGETDKFLVDGADEYLQLLDVASITMQTLCNMPQEMTY >itb05g13870.t2 pep chromosome:ASM357664v1:5:20959957:20966053:-1 gene:itb05g13870 transcript:itb05g13870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPVLQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPEMYKSRVLELNASDDRGINVVRTKIKNFAAVAVGSGHQSGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLTEEIMSSRILHICNEEGLNLDSEALSTLSTISQECCSLVWIIYFIQGFDNCFWGNPRGSCSCNIFGL >itb12g17830.t1 pep chromosome:ASM357664v1:12:20073959:20078465:-1 gene:itb12g17830 transcript:itb12g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGYWRRGGSLVVLAIVFIGCLSAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAAVNPERTVFDVKRLIGRKFEDKEVQRDMKLVPYKIINKDGKPYIQVKIRDGEVKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIISGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKYGKDISKDNRALGKLRRESERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKNQIDEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVYEGERSLTKDNRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLESEEKEKIETAVKEALEWLDDNQNAEKEDFDEKLKEVEAVCNPIISAVYQRSGGAPGGGSSSEDDDAHDEL >itb05g23090.t2 pep chromosome:ASM357664v1:5:28291946:28294242:-1 gene:itb05g23090 transcript:itb05g23090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAKVAQMDWLRGEIPVGDDDEGPLLLSDDGKTGLVLVDIVNGFCTVGAGNLAPQKQDKQIDRMVGEAVKLATMFCCKKKWPVFAFLDTHHPDIPEHPYPPHCIAGTPEAELVPDLVWLEDQPNVTLRRKGCIDGFLGSLERDGTNVFVNWVKSNGIKSWDALPAGRCDRIFPWLCYL >itb05g23090.t1 pep chromosome:ASM357664v1:5:28291946:28294242:-1 gene:itb05g23090 transcript:itb05g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAKVAQMDWLRGEIPVGDDDEGPLLLSDDGKTGLVLVDIVNGFCTVGAGNLAPQKQDKQIDRMVGEAVKLATMFCCKKKWPVFAFLDTHHPDIPEHPYPPHCIAGTPEAELVPDLVWLEDQPNVTLRRKGCIDGFLGSLERDGTNVFVNWVKSNGIKSILVLGICTDICVLDFVCSTLSARNSGMLSPLEDVIVYSPGCATYDLPVDVAKNIKGALPHPQEMMHHIGLYMAKGRGAKIVSEVLFETPEET >itb14g12950.t2 pep chromosome:ASM357664v1:14:14785212:14787283:-1 gene:itb14g12950 transcript:itb14g12950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGVVVSSMVMDSHSSQCLCLNALPSCNLSGGDLALHRNSSSTSLCRRQIKTPCSLELSTSFLDRRGLGKGQRGNFSSLKKQKQPKKRGFTVVNELGGQYEETFEDVKSQILNYFTYKAVMTVLDQLQEMNPPQYQWLNGKNKTLQKE >itb14g12950.t3 pep chromosome:ASM357664v1:14:14785212:14787283:-1 gene:itb14g12950 transcript:itb14g12950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGVVVSSMVMDSHSSQCLCLNALPSCNLSGGDLALHRNSSSTSLCRRQIKTPCSLELSTSFLDRRGLGKGQRGNFSSLKKQKQPKKRGFTVVNELGGQYEETFEDVKSQILNYFTYKAVMTVLDQLQEMNPPQYQWLNGFIETNKPRDGKHFIRNLVKVIIYKPLNAIYECPMLTSTVS >itb14g12950.t1 pep chromosome:ASM357664v1:14:14785212:14787283:-1 gene:itb14g12950 transcript:itb14g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGVVVSSMVMDSHSSQCLCLNALPSCNLSGGDLALHRNSSSTSLCRRQIKTPCSLELSTSFLDRRGLGKGQRGNFSSLKKQKQPKKRGFTVVNELGGQYEETFEDVKSQILNYFTYKAVMTVLDQLQEMNPPQYQWLNGFIETNKPRDGKHFIRNLVKEKQDLAERVMITRLHLYGKWIKKCDHDELYNQISDQNLEVMRERLVEIVIWPSDDTNTEVVG >itb05g27750.t1 pep chromosome:ASM357664v1:5:30971328:30972650:-1 gene:itb05g27750 transcript:itb05g27750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSFGSSSSSRSHDEQDTTNNHNNNDPPSSVVAPLPPFQFHQIQRRRTVFASSSSPPAIQMRQFLISCAELISRSDFSAAHRLISFLASNTSPFGDSSERLAHQFTRALSLRLHQSISASASAATPLPFPEAQIASVEQPGLVQSSYLSLNQITPFIRFTHLTANQAILEAIDDGQRNAVHILDFDVMHGVQWPPLMQALADRYPPPTLRITGTGNDLDILRRTGDRLAKFAHSLGLRFQFHPLLLSNNEDDEDVDPPLSSVVLLPDETLAVNCVLYLHRLLKNREKLNRFLHRIKSMRPRVVTVAEREASHNSSCFLQRFVEALDHYAVVFDSLEATLPPSSRERVAVEQVWFGREIVDIVAAEGEKRKERHEKFRTWEMLLRSTGFSNIGLSPFALSQAKLLLRLHYPSEGYQLRVIADSFFLGWQNHPLFSVSSWH >itb02g10970.t1 pep chromosome:ASM357664v1:2:7175584:7177623:-1 gene:itb02g10970 transcript:itb02g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGRDWNQIYGIYGVDDWQTPLFLLIHAVFFSALSVFFLIYFEPICCFFQHFVPGPGLAGFAAGFTGSVTALSAVCLFVAAGNIFYSSVPLHWEMAQRMVNAVGDWSTVKHALDLGCDRGILLNAVALQLKKSGSSGRVVGLHPAQSRSLSVLRTAGMEGVQEYVTCRAGDPRTLPFADNCFDVVASAAFVHTVGKELGPRTAAAEAERMRVLEEAVRVLKPGGVGVVWDLVHVPEYVKRLQVLKMEDIRVSERVTAYMASSHIVSFRKPSQHYVGPFEVRLDWRFNNIC >itb04g21310.t1 pep chromosome:ASM357664v1:4:26440022:26443059:1 gene:itb04g21310 transcript:itb04g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHSSNTNKNAFKALIAAEYTGVKVELVKDFQMGVSNKTPEFLKMNPIGKVPVLETPEGPVFESNAIARYVAKLKPDNPLFGSSLIEYAHIEQWSDFSATEIDGSIGRWLYPRLGYSVHLPLAEEAAVAALKRALEALNTHLASNTYLVGHSVTLADIVMTCNLSIGFKMIMTKAFTKEFPHVERYFWTMVKQPNFCKILGEVKQAESVPPPPSKKPAQPKESAKPKKEEPKKEAKKEEAKPKEEVEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSQPPFKVKGLWLFRGKEIPKFVMDEVYDMELYDWKEADISDEAQKERVNQMIEDHEPFEGEELLDAKCFK >itb12g13490.t1 pep chromosome:ASM357664v1:12:12464928:12480832:-1 gene:itb12g13490 transcript:itb12g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLNHQPLDQQEEEMLVPHSDVVEGPQPLVEGPQPMEVTPTENANAVENQAVDEPQASRFTWTIENFSRLNVKKLYSEVFTVGGYKWRVLIFPKGNNVECLSMYLDVADSATLPYGWNRYAQFSLAVVNQINPKYTVKKETQHQFNQRESDWGFTSFMLLSELYDPGKGYLVSDTVVIEADVAVRKVIDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQRLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICDVDENDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLREQIGKEIYFDLVDHDKVHSFRIQKQMPFNLFKEEVAKELGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGQLREVSNKTNNAELKLFLEVEFGLDLHPIPPPDKSKEEILLFFKLYDPEKEQLRYVGRLFVKSTGKPIEILTKLNELAGFAPDQEIELFEEIKFEPSVMCERLDKTVSFRISQIEDGDIICFQKRPSPEIEEQLRYPDVPSFLEYVKNRQLVHFRSLERPKEDDFCLELAKNHTYDDVVDRVAQRLGLNDPSKIRLTPHNCYSQQPKPNPIKYRSVDHLVDMLVHYNQISDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVITNVRLPKQSTVGDVLNEIKGKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNIGPHDRLVHVYHFTKETSQNQLQVQNFGEPFFLVIHEGETLAEIKVRIQKKLQVPEEEFSKWKFAFLSLGRPEYLQDADIVSSRFQRRDVYGAWEQYLGLEHSDNTPKRAYAASQNRHTFEKPVKIYN >itb12g13490.t2 pep chromosome:ASM357664v1:12:12464946:12480771:-1 gene:itb12g13490 transcript:itb12g13490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLNHQPLDQEEEMLVPHSDVVEGPQPLVEGPQPMEVTPTENANAVENQAVDEPQASRFTWTIENFSRLNVKKLYSEVFTVGGYKWRVLIFPKGNNVECLSMYLDVADSATLPYGWNRYAQFSLAVVNQINPKYTVKKETQHQFNQRESDWGFTSFMLLSELYDPGKGYLVSDTVVIEADVAVRKVIDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQRLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICDVDENDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLREQIGKEIYFDLVDHDKVHSFRIQKQMPFNLFKEEVAKELGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGQLREVSNKTNNAELKLFLEVEFGLDLHPIPPPDKSKEEILLFFKLYDPEKEQLRYVGRLFVKSTGKPIEILTKLNELAGFAPDQEIELFEEIKFEPSVMCERLDKTVSFRISQIEDGDIICFQKRPSPEIEEQLRYPDVPSFLEYVKNRQLVHFRSLERPKEDDFCLELAKNHTYDDVVDRVAQRLGLNDPSKIRLTPHNCYSQQPKPNPIKYRSVDHLVDMLVHYNQISDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVITNVRLPKQSTVGDVLNEIKGKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNIGPHDRLVHVYHFTKETSQNQLQVQNFGEPFFLVIHEGETLAEIKVRIQKKLQVPEEEFSKWKFAFLSLGRPEYLQDADIVSSRFQRRDVYGAWEQYLGLEHSDNTPKRAYAASQNRHTFEKPVKIYN >itb04g22010.t1 pep chromosome:ASM357664v1:4:27156554:27159413:1 gene:itb04g22010 transcript:itb04g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQGNREGDTILVKSYKFKENLKLLFEEERKEKRKMMLLELVAADRPSISDGLKRTDRWKKQTVRILVREESKKKSRRPNVVGGGRCRGLEATGEGRGGQAEAMRWEAVDRQRRSAVVDWRVARQWDCQR >itb09g28410.t2 pep chromosome:ASM357664v1:9:29016115:29017654:-1 gene:itb09g28410 transcript:itb09g28410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWILQLHKDVPKAAKLYSEGLGLTVNVCTLRWAELESGPLKLALMQSPSNHVEQKGYSSLLSFTVSDINNTVTKLLALGAELDGPIRYEIHGKVAAVKCVDGHMVGLYEPV >itb09g28410.t1 pep chromosome:ASM357664v1:9:29016115:29017663:-1 gene:itb09g28410 transcript:itb09g28410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWILQLHKDVPKAAKLYSEGLGLTVNVCTLRWAELESGPLKLALMQSPSNHVEQKGYSSLLSFTVSDINNTVTKLLALGAELDGPIRYEIHGKVAAVKCVDGHMVGLYEPV >itb05g11220.t1 pep chromosome:ASM357664v1:5:17212227:17213490:1 gene:itb05g11220 transcript:itb05g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLTDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSVETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSIEGLQLHCMNCGQTDGITVRRYKVDIEIFDNVGSVRAAMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCNNVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLLGDSVDDLQASSPPNDKGKKPKIG >itb01g30570.t1 pep chromosome:ASM357664v1:1:34544196:34547683:1 gene:itb01g30570 transcript:itb01g30570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVKITSNSSSSASLSDQRFPPGFRFHPTDEELVLYYLKRKICHKKLLLDAICETDVYKWDPEDLPELSKLKTGDRQWFFFSPRDRKYPNGARSNRATKHGYWKATGKDRSITCNSRAVGVKKTLVFYKGRAPTGVRTDWVMHEYTLDEEEFRRCDCARDYYALYKVFKKSGAGPKNGEQYGAPFKEEEWDDDEYLDPNCSVDQKKSTNPVNDIQPTENPKPNVPFQYPKDDLEGFLNHIGNEPPPLMQPLSIDYSYDMEHLIGEEDSESTLLDLSSRELNVTEQTALQQYYMQASFEVTESGTSQPLLHEASEVTSSAVCNEQTQAVEEDFLEDFLEMDDLLSTEPDIHKFNMPVEKSDTQLLNDFDGLSEFDLYQDAAMFFHDVGTSEGGQAAESYVNNVLNGTVNQASTYFSDYPEIVNGQQLYFNESNEVSDQLWMHDQSSDIFIPDDINQGGIPSGVGYDNNFMNHPAGANPIQTARQDDATNSSLSSSLWALVESIPTTPASAAESALVNKAFERMSSFRRLRLKAINMNVAAGATSRSASKSRRGILCFSLLGVLCAILWVFIGTSFCVMGRCVSS >itb13g16660.t1 pep chromosome:ASM357664v1:13:23635478:23640443:-1 gene:itb13g16660 transcript:itb13g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MPRSCLIHQLQQCARRQAPLQGKKLHALILKTGLDQCAAPFLSNGLIDMYGKCRLPGDALQVFDEMTHRDLASWASIFTAHNIASLPRCTLSIFPNMSRLDGFDPDHFVFASLVKACANLSDLRIGKQVHAQFILSHFSGDDVVKSSLVDMYAKCGVLDLARAVFDSSLFRNSISSAAMISGYARHGRQCEAMKLFRESPARTLFMWTALISGMVQSGHLFDAFNLFIEMRKEGVEIEDPYIFSSMIGASASLVALDLGKQVHGLVIGYGYECSLFVSNALVDMYSKCTDILAAKTIFDGMVKRDVVSWTSIIVGMAQHGKAYDALSLYDEMILAGMKPNEVTFMGLIYACSHVGLVERGRSLFNSMTGDYGLNPSLQHYTCLLDLLSRSGLLDEAENLLNTMPFEPDEAAWAALLSACKRHGNTEMGVRVANRILNLGPTDPSTCILLSNIYAGAGMWENVSKLRKLMATMEVKKEPAYSCIDLGKESPVFYAGESSFPLKDEIFSLLRELDSEMRRRGYTPDTTWVLHDMDRNEKERQLFWHSERLAVAYGLLKSVPGAVIRVVKNLRVCGDCHTVLKLISGITNRRIVVRDATRFHHFKDGRCSCNDFWGE >itb03g28590.t1 pep chromosome:ASM357664v1:3:29297363:29300894:1 gene:itb03g28590 transcript:itb03g28590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTNMKMMGPSTPTCSPRFWAGRCSFWCMQWRPNEKCLEVNPKAVRPKSIPTPTQFSPIGPHRFTHAAGSSPSLRPHARSRQLSVSPTSRTAHADLRDRDSDLTQFLSSTPTGWSRF >itb03g18500.t1 pep chromosome:ASM357664v1:3:16768598:16770177:1 gene:itb03g18500 transcript:itb03g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKSEPSSISVSEKGVKAPNVFVRVKEEFEAVLHSHGESHGKRTDLDEKPPMSEVKAPNVFGRAKEEIEALVQTIHTKKESGGSDSCEELRDSSSNSEKDAKGPSWIEKSKKEMEDLVNKMKSPRSHHHHRHHTETHGMSDDIDENTPMSQVKGPNVFARAKEEIEAIVEAIHPKKEGR >itb14g18940.t1 pep chromosome:ASM357664v1:14:21769955:21772884:1 gene:itb14g18940 transcript:itb14g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIHIASWLLLCALLYTLWKNSQPNSNRNRVLPPEIPGAWPIIGHLHLLRAQIPLARILAAIADKHGRIFSLWLGMHRAVVISDREFLKQCFTTHDKALAGRPESSAGEYLGYNYAGFGFLSYGPYWRKIRKLVLSEVLSARRLEKFSHSRVSEVEISIRELHAAVIAARSPATGEAMINISHWLETLTLNLIVKIIAGKRYKTHGIEDDEANRSRKAVTEFLSLSGQSVLSDMIPITLLRWVDIKGVIKSMKRIKAEMDVIIGSWIDEHCERASENERDFIDVLLSLVSDDLLEYGHTKCTIIKATIVTLLVGGSDTTAVTLTWALSLLLNNRDALQRAQKEIDTVVGVERWVEESDIKNLLYLQAIIKETLRLYPPVPLSVPHVATEDCDIAGYNIPRGTYLLVNLWKLHRDPGVWADAEEFCPERFLPGGDAYEIDFLGQHFEFIPFGSGRRSCPGITFAMQVNHLVLARLIQGFEFSMPSHSVVDMTEGLSISLPKAIPLEILVAPRLPSLLY >itb12g03220.t1 pep chromosome:ASM357664v1:12:2124163:2126274:-1 gene:itb12g03220 transcript:itb12g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARRWGCIRQWHATSSIAYAESRDILATWNSKDQLTKESNGFDVLEINSQLKELINKGRLRDARQVFDKMPHRDEVSWTNMIAGYVNASNSFEALSLFYNMWVCPSLQLDPFILSLAVKACGISSNAKYGELIHGYSVRTGFVNSVFVGSSLLDMYMKLGKALEGCRLFDEMPTRNIVSWTALVTGLVHMGYNDDALQYFSEMWEDGIEYDSYAYAIALKACADMEHLNYGREIHAQVIKKGFGAGSYVANSLSTMYNKCGKLNYGLCLFERMNLRDVVSWTTIITTYVQMGQDQRAIQAFLQMRDSSTSPNEYTYAAIIAACANLARLDWGEQLHSNVLRAGFMAYPSVANSVMTMYSRCGLLDATSIVFSAMNKRDIVSWSTIIAGHAQAGRGEDAFRLLSRMRRDGTKPTEFALASVLSVCGTMAILDQGKQLHAHVLIIGLDRTALVQSALVNMYSKCGSITEASNIFHMAQSNDIVSWTAMINGFAEHGKSREAISLFEKIPEAGLKPDSIAFIGVLNACSHVGLVDLGFHYFNAMIRDYKLSPSKEHYGCMIDLLCRAGRLSDAEDMIKSMPFEKDDVVWSTLLRACRVHGDVECGRRAAEQILKLEPDCAGAHITLANLYSSKGKWREAAELRKLMRSKGVIKEPGWSWIKLKDEMYAFVAGDKTHPKSEDIYSILELLTSKTDLTSQEIASL >itb03g16300.t1 pep chromosome:ASM357664v1:3:15318294:15320017:1 gene:itb03g16300 transcript:itb03g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGLLSFSLLAIVFAVSEARIPGVYQGSNWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNSGLSCGACFEIKCDQDRSCYAGRPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVTYRRVPCRKPGGIRFTINGHRFFNLVLVTNVAGAGDIVKVWIKGSKTNWMPLSRNWGQNWESSAVLVGQALSLRVKASDHRTSTSWNIAPSNWQFGQTFVGKNFRV >itb04g10170.t1 pep chromosome:ASM357664v1:4:9436371:9438090:1 gene:itb04g10170 transcript:itb04g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFATLPEGCIAEILSCTSAEDAARLSATTKAFRAAAESETVWYRLLPPDLADIISRSVSPVVYSNAKELYFSLCNSPILLDGGKLSFSLDKRSGKKCFMIAARELNISWGNNRSYWVLKSHPDSRFSSVASLRSICRLDIRGKIAAQTLSKTTNYAAYLVFRLPDNPYGSEPANAVVRFASRESVEEAEMRANRVILCGAQFHRRGFTREFGGQLCRERGDGWMEVEMGSFFVDGGDDDGDVEARLIETLDLNWKSGLIVEGIEFRPNLN >itb05g17970.t1 pep chromosome:ASM357664v1:5:24804774:24810628:1 gene:itb05g17970 transcript:itb05g17970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLLCKTSLLCTAGRAVSSHSSRSLLTLRTPELPFRAQGKLKKGSIGIYLTTRCSSMSSSASLPEKQAEQVDRILAGRNDDHGGVIVEMTNEPLDSSLFVTLLRASISQWRQQGKRGVWIKLPIELVNLVEPAVKEGFYYHHAEPNYLMLVHWLPTTASTIPANATHRVGVGAFVMNEKNEVLVVQERSGQFRGSGVWKFPTGVVDEGEDICNAAVREVKEETGIDAKFVEILAFRQSHKSFFEKSDLFFVCMLQPLSFNIRIQEKEIEAAQWIPYEKYASQPFIQKHELFRYIANICSAKIHGKYSGFSAVSTVTSFSQKESYLYLNKKHFP >itb12g08630.t1 pep chromosome:ASM357664v1:12:6789106:6790523:1 gene:itb12g08630 transcript:itb12g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQMASIVLLALLASFARAIDNNPLQDFCVAVPDLQAAVFAVNGKACKNPNLVNADDFYKAAGFNTPVGGVNLTSVGLVTKLLDVNQFPGLNTMGLSIGRIDFEPNGLIPLHTHPRGSEVVYVLEGTVYVGFVSSNPLNGQKNKLFSKILNPGDAFVFPVGLVHFLYNVGRTNALVFAAFSSQNPGFVSLANSAFGSDPPISQDVLTKAFRLDKQVIDYLQSQIWPFI >itb03g00390.t4 pep chromosome:ASM357664v1:3:186165:190236:-1 gene:itb03g00390 transcript:itb03g00390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLRHQGPVTGKYYEIRLLYLPGMLIAGLLGIMVDMPVITVIAACKTPYMLFKGWHRLFHDCIGREGPFLETICVPFAGLAILLWPLAVAGALLGSMVSSIFLGAYAGVVVYQECSFWLGLCYIVASLSIYDEYSNDVLDLPEGSCFPRPQYRKKTVSRTNSRAGSFSRGTSFKNPLSRANSMNAPLIELKALELAKGFFDECQHYGEILVSEGVVTRKDIEDAKSNRESGQFIIIGLPAYGFLQVLLRSAKADSTGLLLKNTTEITSTNRPKDAFYDWFLNPLLIMKDQIKADNLSDLEEEYLCKLVLLYGDPERLKKSNIGLPPDSELRRAELDALARRLHGITKSVSRYPTFRRRFDSSMKIILEELGKKNEDSRRCAEPLTIPRSRSMFARIFSQKSLRGKTSYHGYDQEAQPVGAEREVEIQ >itb03g00390.t3 pep chromosome:ASM357664v1:3:186265:190207:-1 gene:itb03g00390 transcript:itb03g00390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGFLASLWNFICFLPYFIGLLILGTIKGVILCPVVLVIITVGNSAVILGLWPVHLFYTYYSTLSTKQLGPVLKIVICICLPVVLLVWPLIAIVSSILGGAAYGFLSPILATSQAVEHGKTDKLFHCIYDGTWDTVKRSFTIVRDVGDVCYHSYFSIMYDLRHQGPVTGKYYEIRLLYLPGMLIAGLLGIMVDMPVITVIAACKTPYMLFKGWHRLFHDCIGREGPFLETICVPFAGLAILLWPLAVAGALLGSMVSSIFLGAYAGVVVYQECSFWLGLCYIVASLSIYDEYSNDVLDLPEGSCFPRPQYRKKTVSRTNSRAGSFSRGTSFKNPLSRANSMNAPLIELKALELAKGFFDECQHYGEILVSEGVVTRKDIEDAKSNRESGQFIIIGLPAYGFLQVLLRSAKADSTGLLLSK >itb03g00390.t2 pep chromosome:ASM357664v1:3:186265:190207:-1 gene:itb03g00390 transcript:itb03g00390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGFLASLWNFICFLPYFIGLLILGTIKGVILCPVVLVIITVGNSAVILGLWPVHLFYTYYSTLSTKQLGPVLKIVICICLPVVLLVWPLIAIVSSILGGAAYGFLSPILATSQAVEHGKTDKLFHCIYDGTWDTVKRSFTIVRDVGDVCYHSYFSIMYDLRHQGPVTGKYYEIRLLYLPGMLIAGLLGIMVDMPVITVIAACKTPYMLFKGWHRLFHDCIGREGPFLETICVPFAGLAILLWPLAVAGALLGSMVSSIFLGAYAGVVVYQECSFWLGLCYIVASLSIYDEYSNDVLDLPEGSCFPRPQYRKKTVSRTNSRAGSFSRGTSFKNPLSRANSMNAPLIELKALELAKGFFDECQHYGEILVSEGVVTRKDIEDAKSNRESGQFIIIGLPAYGFLQKILLK >itb03g00390.t1 pep chromosome:ASM357664v1:3:186138:190309:-1 gene:itb03g00390 transcript:itb03g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGFLASLWNFICFLPYFIGLLILGTIKGVILCPVVLVIITVGNSAVILGLWPVHLFYTYYSTLSTKQLGPVLKIVICICLPVVLLVWPLIAIVSSILGGAAYGFLSPILATSQAVEHGKTDKLFHCIYDGTWDTVKRSFTIVRDVGDVCYHSYFSIMYDLRHQGPVTGKYYEIRLLYLPGMLIAGLLGIMVDMPVITVIAACKTPYMLFKGWHRLFHDCIGREGPFLETICVPFAGLAILLWPLAVAGALLGSMVSSIFLGAYAGVVVYQECSFWLGLCYIVASLSIYDEYSNDVLDLPEGSCFPRPQYRKKTVSRTNSRAGSFSRGTSFKNPLSRANSMNAPLIELKALELAKGFFDECQHYGEILVSEGVVTRKDIEDAKSNRESGQFIIIGLPAYGFLQVLLRSAKADSTGLLLKNTTEITSTNRPKDAFYDWFLNPLLIMKDQIKADNLSDLEEEYLCKLVLLYGDPERLKKSNIGLPPDSELRRAELDALARRLHGITKSVSRYPTFRRRFDSSMKIILEELGKKNEDSRRCAEPLTIPRSRSMFARIFSQKSLRGKTSYHGYDQEAQPVGAEREVEIQ >itb08g09630.t3 pep chromosome:ASM357664v1:8:8866618:8869131:-1 gene:itb08g09630 transcript:itb08g09630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLQEYISAVLLVVGLILFTLSDANTSPNFSIIGVMMISGALVMDSFLGNLQEAIFTLNPETTQMEMLFCSTIVGAPFLLLPMILTGELFTAWNSCYQHPYVYGVLVFEAMATFIGQISVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEEHCSGLLLITMGIILKLLPETKPSGRPMSSGAINKQGKHHLKELKRASSETGEGEIEEEKRPLV >itb08g09630.t1 pep chromosome:ASM357664v1:8:8866573:8870144:-1 gene:itb08g09630 transcript:itb08g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEDQARSLFGISLSDRPIWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFIQGFVYLVLIRLQGFTMKQMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLQEYISAVLLVVGLILFTLSDANTSPNFSIIGVMMISGALVMDSFLGNLQEAIFTLNPETTQMEMLFCSTIVGAPFLLLPMILTGELFTAWNSCYQHPYVYGVLVFEAMATFIGQISVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEEHCSGLLLITMGIILKLLPETKPSGRPMSSGAINKQGKHHLKELKRASSETGEGEIEEEKRPLV >itb08g09630.t2 pep chromosome:ASM357664v1:8:8866573:8870144:-1 gene:itb08g09630 transcript:itb08g09630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEDQARSLFGISLSDRPIWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFIQGFVYLVLIRLQGFTMKQMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPLQEYISAVLLVVGLILFTLSDANTSPNFSIIGVMMISGALVMDSFLGNLQEAIFTLNPETTQMEMLFCSTIVGAPFLLLPMILTGELFTAWNSCYQHPYVYGVLVFEAMATFIGQISVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEEHCSGLLLITMGIILKLLPETKPSGRPMSSGAINKQGKHHLKELKRASSETGEGEIEEEKRPLV >itb02g03740.t1 pep chromosome:ASM357664v1:2:2208842:2209696:-1 gene:itb02g03740 transcript:itb02g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASRSLEVPAGNNGGGGGAVHRDFSGYIDEYMTGAGTQINVVVATLITTIAFAAAFAVPGSYETDAGQAQPKGAPILLKHAAFQAFRVTNTIAFVCSLAAIFTYIVMSEEASLYKRPKTISGLYDYAGYLILVALVAVALAFVTGSYSILAHAHSTAIAVTVTVLGLTFFLLVSNVFRVFMRGGAIQNI >itb06g19030.t1 pep chromosome:ASM357664v1:6:22506056:22509448:-1 gene:itb06g19030 transcript:itb06g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKIIKEVGNGTFGSVWRALNNQTGEVVAIKRMKKKYSSWEECMNLREVKSLRRMSHSNIVKLREVIRENDILFFVFEYMECNLYQLMKDRAKLFSESEVKNWCFQVFQGLAYMHQRGYFHRDLKPENLLVSKDVIKIADFGLAREINSEPPYTEYVSTRWYRAPEVLLQSPSYGCAVDMWAMGAIMAELFTLRPLFPGSNEADEIYKICCVLGTPTETEWPNGLELANVIGYQFPKVVGVHLSALIPSASEDAINLITSLCSWDPSKRPTAVEVLQHPFFQSCFYVPPSLRPKAYLPRAHSSGCYTTGFTTAGTKPLLEQKSKKWSSGTLSNSRSYGDFGSAKSHVPLNAGVERKLETNYQDPKKPVKPLEDFVKQQPKYLPPAKKVQMASSTVKPHGVSNANEKLANITVPSGRPTFKQPLPQPMKAGGWHGPPAMLLNSQDMLPGRTYSRKVAG >itb12g24600.t1 pep chromosome:ASM357664v1:12:26067814:26068919:1 gene:itb12g24600 transcript:itb12g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKKGAWSPQEDRRLISYITKYGIWNWSQMPKFADVKRGPFSVEEVEVIVRMYLSLGNRWSAMAAQLPGRTDNDIKNFYHTHLKKNLEAVSVPVSRRAAENGRKRTNKSKNNNNAPPPVMVQKHPQVVAEKPRHDDDQVLLMNSSPGGFGNPNVAHRQQEVHRRFSSLSSEDYDEDRSFWYNVLKEADDLKF >itb02g03230.t1 pep chromosome:ASM357664v1:2:1852825:1855371:-1 gene:itb02g03230 transcript:itb02g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAFSSQMETHGNPFLDSFPDPICKINLKETSEFVKSLPSAAAINGGPEIRRVLQRKKGGGESSVTKRGVVEAPSTPGRPIFSFSVGSFSRKSFPSKWDDAEKWVVNGSNSCHESPAHIKLSSKHCNGVTPKEEAAEFCRVNDEKSSSFLQGSSNGVPATSDVLLKASEVVPEVKHRDIGTEMTPIGSSTTSRCHTPFKSSSPARHNTPASRSGPLAVSNESSSSSTIDIVQLQECHLAKLHVGTGQYDSVTTNWSSREEEEEDISKSLRHFEMSNECRRSVSESRACPWEEEEKTKHCLRYQRELAKIQAWVNLQNAKSEAQSKKLEVKIQKMRSNLEEKLMKRMSTVHRKAEEWRAVAQLQHSEQIQKVTEQTQKMMNRKNINVSRANSCGCFPCNNHHM >itb02g03230.t2 pep chromosome:ASM357664v1:2:1852825:1855371:-1 gene:itb02g03230 transcript:itb02g03230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAFSSQMETHGNPFLDSFPDPICKINLKETSEFVKSLPSAAAINGGPEIRRVLQRKKGGGESSVTKRGVVEAPSTPGRPIFSFSVGSFSRKSFPSKWDDAEKWVVNGSNSCHESPAHIKLSSKHCNGVTPKEEAAEFCRVNDEKSSSFLQGSSNGVPATSDVLLKDKFTSEVETITCPNFRHSESMKEGFLFGKCMNNAASEVVPEVKHRDIGTEMTPIGSSTTSRCHTPFKSSSPARHNTPASRSGPLAVSNESSSSSTIDIVQLQECHLAKLHVGTGQYDSVTTNWSSREEEEEDISKSLRHFEMSNECRRSVSESRACPWEEEEKTKHCLRYQRELAKIQAWVNLQNAKSEAQSKKLEVKIQKMRSNLEEKLMKRMSTVHRKAEEWRAVAQLQHSEQIQKVTEQTQKMMNRKNINVSRANSCGCFPCNNHHM >itb15g24060.t1 pep chromosome:ASM357664v1:15:26933563:26935292:-1 gene:itb15g24060 transcript:itb15g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQQPRPLFRFASMFRPTAPAPAPAPAPQPTRPPAPATATAPQQPVRPPLAAASFRPPAPTTTVRPTQPQESTPSPPSPPLPPPQPVVATSSPVKPSPPSPPPPITRSPLQRFSAPASPTRSPVPAPSPPLRALSPPSPPPPQPKPAVTLSPPPPSPTPTRSPIMGFGQRSPASVTPPPAMSPPPPPAASPRIRFPAYSPAVKQSSPPPPAAFPTRITSSVPTSPVQKPITEFTSYSPKPKVQSPPKPQPFQDYYNPPPKPASPVRAPPPSPKTFEKTPQMSPLKLPKPAQTMSDSDSEPKIPIDQKKMVVQETTQRSRGYIPPIRHHPHENKQNEADEYGMSVLTLAGENKGAIMDLSPARKKYNGFNGTPQKLQSDAEKSGSGSDSESRAKYPNGTGRGRRSSLPMTAFMNSNVQSINNSILHNTTCNHHDPGIHLIFSGKEGFKPHYPKGTPSTR >itb13g11610.t1 pep chromosome:ASM357664v1:13:16263221:16264804:1 gene:itb13g11610 transcript:itb13g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMQRQAVPLSRSEKCIVGTGVERQAALDSGALVIAEREGRVIYTDTDKILFSGDGETLSIPLVMYKRSNKNTCMHQKPQVQRGKCIKKGQILADGAATVEGELALGKNVLVAYMPWEGYNSEDAVLISERLVYEDIYTSFHIKKYEIQTHVTSQGPEKVTNEIPHLEAHFIRNLDKNGIVKQGSWVETGDVLVGKLTPQVVKESSYAPEDRLLRAILGIQVSTSKETCLKVPIGGRGRVIDVRWIQKKGGSSYNPEMIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRSVDLVFNPLGVPSRMNLGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKVVFSELYEASKQTANPWAFEPEYPGKSRIFDGRTGNPFEQPVLIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIVGGTIPNPKDAPESFRLLVRELRSLALELNHFLVSEKNFQIHRKEA >itb15g08810.t2 pep chromosome:ASM357664v1:15:6222224:6224657:1 gene:itb15g08810 transcript:itb15g08810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIMQEDGWPLGLQPLSLRVGLVRNNGSVSFNTLLTASPSSSTDSSSDLDTQSTGSFFHDKSIALGSLLGISSILEFSRRSTRGRAAEPLSLGNKKSNKCKIWLFSLCSKLSTDAVTASMNAATTSMAGSSLGHFLEAERKASAIICREAGDLTPNQQFIAGNGEGSGHGTLLVSCLCGHLTHY >itb15g08810.t1 pep chromosome:ASM357664v1:15:6221864:6224657:1 gene:itb15g08810 transcript:itb15g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEDGWPLGLQPLSLRVGLVRNNGSVSFNTLLTASPSSSTDSSSDLDTQSTGSFFHDKSIALGSLLGISSILEFSRRSTRGRAAEPLSLGNKKSNKCKIWLFSLCSKLSTDAVTASMNAATTSMAGSSLGHFLEAERKASAIICREAGDLTPNQQFIAGNGEGSGHGTLLVSCLCGHLTHY >itb01g24620.t1 pep chromosome:ASM357664v1:1:30234918:30239785:-1 gene:itb01g24620 transcript:itb01g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDDEQSLPTAAVRQQGSGSNAANNRCCCSSRFRLWVSFRCVFALLLSLAVFISAVFLLPFFRSSNPGPPDPDSRFGGYDIVARFMLDKPDWFLEDYILQLEDDIFDEINVAQTKVDIISFETSAGSNTTTVIFAVDSDVKTLRVSPTAQSLVRANFESILVHQSNLRLTTASLFGDPISFDVLKFKGGITVSPTQSAFLMQNQQFHFNFTLNFSIKEIQDNFDELRGQLTSGLHLTAYENLYISLTNLRGSTIDPPTIVRSQVLLAVGINPSRSRMKQLAQTITGPHAKNLGLNNTVFGRVKQVSLSSNWTRLLGGPDAGSPSPSPAPLPHHSHHRDTNLAPAFSPSSKTGKSGHVSGEKSPSPTPTPAPVPSPHLHKIHQVKPPACPFGPKGTPPSKTHKQNHMAPPAYAPNAAPASSLKPHREAPTPSPHEVPTPSPSPSPLPNVVNARVHPPSGSNSDAEPPDKLRLVSHIPSSASTVLYSNLWALPPFLLALHL >itb07g14620.t1 pep chromosome:ASM357664v1:7:17324583:17325784:1 gene:itb07g14620 transcript:itb07g14620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPATNENPNFLLHQNPNSSTADEDPPFLYFPSPFLDDPPLSQIFSHHHHHHPQQQLKPKLLQPDNGEEPKKRSVGLKPRKRTGKKDRHSKIKTAQGVRDRRMRLSVQIARKFFDLQDMLGFDKASKTIEWLFSNSNNAIKELSTKHNNNGGASSSSTEGEIIKSAKEDSSKTAECNNLQARESREKARARARERTKEKTKQFSSNPNNNNGLEMMEELGFPPSNPSPFLEEEPNSSSYVTNNLDLVQEKRSPHEATDSIASIFECYHNLYAGISDSPNSFMGNFLGNWDLDTDPRILISPFSGNPDSNII >itb09g14800.t1 pep chromosome:ASM357664v1:9:10093867:10096731:-1 gene:itb09g14800 transcript:itb09g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRGKYADELIANAAYIGTPGKGILAADESTGTIGKRFASINVENVETNRRALRELLFTTPGALKYLSGVILFEETLYQKTASGKPFVEVMKEGGVLPGIKVDKGVVELPGTNGETTTQGLDGLAERCKKYYEAGARFAKWRAVLKIGATEPSQLAINENANGLARYAIICQQNGLVPIVEPEILVDGPHDINKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSECAKVSAEVIAEYTVRALQRTMPAAVPAVVFLSGGQSEEEATVNLNAMNKLKTKKPWNLSFSFGRALQASTLKIWGGKEENVKAAQANFLERCKANSEATLGTYAGSANISAAASESLHVKDYKY >itb02g21810.t1 pep chromosome:ASM357664v1:2:20785406:20786169:1 gene:itb02g21810 transcript:itb02g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKNSNVENGKQCTGDHQHLFVHSQVKKIRQQESERNIVESWLPGDTRTEVMTRQHSRSRLGLMTAQAVSVAVSVMEEGW >itb14g20980.t1 pep chromosome:ASM357664v1:14:23201768:23202442:-1 gene:itb14g20980 transcript:itb14g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDLASKKAAVIFTKSSCCMCHSIKALFYELGASPAVHELDHDGRGREMEWALRSMGCNPAVPAVFIGGQFVGSARDVLSLQINGSLKQMLINAKAIWF >itb09g29810.t1 pep chromosome:ASM357664v1:9:30544782:30551173:1 gene:itb09g29810 transcript:itb09g29810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATIQEAEAALGRPLTGAETIWFNYSATKSDYFLYCHNILFLFLIFSFVPLYYYFLEFFLKNYIRSYKLQPKVNLTLAESFRCYKSVMRMFILVVGPLQLVSYPSIKMIGVRTSLPLPSIWEIVAQLMVYFLVEDYTNYWIHRFMHCKWGYEKIHKVHHEYAAPIGFAAPYAHWAEILILGIPSFLGPAMVPGHIITFWLWIGLRQIEAIETHSGYDLPWTPTKYIPFYGGPDHHDYHHYVGGLSHSNFASVFTYCDYIYGTDKGYRYQKKVLQQLREASKINSEQNEFSHKAGEDIKVD >itb05g04950.t1 pep chromosome:ASM357664v1:5:4621822:4622515:1 gene:itb05g04950 transcript:itb05g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKKLLMESILKVVEQDNERFLRRLRDRTDRVGIDIPKIEVRYEDLSIEGDAYVGSRALPTLFNATINMIEVEGKMSYCGHEMSEFIPQRTCAYISQHDLHHGELTVRETLDFAGRVLGVGTRYELQFSQNCQGVKGMQELNLTPRSMHL >itb01g08340.t1 pep chromosome:ASM357664v1:1:6726575:6728614:1 gene:itb01g08340 transcript:itb01g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSEEKLKEPVDLVVSSTEVLLSLLDSITETQSFKGKWSLMRTKLSGLQTHLSELSSAAANDNPLSADLLRSLSAALSDGISLSARCHNPNPPGGKLKTQNDIDSLSAKIDSLSRDLEVLIKSGVLCENGAVSGSSYSKRETIRAETRNLITRLQIGTTESKNSVLDSLLRLLQEDDKNVLIAVAQGVVPVLVTLLDSTSSPEIKEKTVTALAKISTVDSSKHVLVAEGLSLLHNLLRVLESCSVTAKENSCIALQVLCHSKENARAIGCRGGISSLLQICQTGTPNCQAVAAAVLKSLAVFGEIKDDFIEENAIMILLHLSNSGTTSAQENAIGCLCNLVNGDDNLKIIVAREGGIESLKNFWDSSPSIQSLEAPVEMVRALASCPLIADFLVEHEFLTRIASVLNCGVLGVRIAAARAVSDLGSTARTRKELGEIGSIPALVAMLDGKAVEEKDAAAKALSNLMAYAGNHRIFKNEERGIPSVVQLLDPILHNLDKKAPISILNAVVESKTCRKTMVAAGAVAHLQKLVEMEVDGAKKLLHRLCHGKLWGIFSRP >itb05g13340.t1 pep chromosome:ASM357664v1:5:20269808:20270209:-1 gene:itb05g13340 transcript:itb05g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEDNVSQWLSGGGGWKRRKVTAKRYPPPIPSKFPWEMKRYYTEDGRLIINVEKATCRLEYFESRRTEGRLRLDLVQYDCEEEEAVNGGEKIDGGEAALADCHGGDGGGNRCFSSANVGVAVSEMRHVLRT >itb09g10890.t1 pep chromosome:ASM357664v1:9:6800529:6804360:1 gene:itb09g10890 transcript:itb09g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSFLVVLSFVLLALVRVSLAADYVPTDKILLNCGGPDGVTDQDNRKWTTDVGSKFLLGTGKSSTSSADSQKPSVPQVPFMTARTFQSEFTYSFPVAPGRKFLRLYFYPASYNGLNATNSLFSVRVGEFTLLKNFSAAQTTEALNFDFVVKEFSINVGETLNVTFTPAPNSPNSFAFVNGIEVVSHPDIYNTADGTTMIVGQNSPLNIDNSTALESVYRVNVGGNVISPSSDTGMFRSWDEDSKYIFSAGSGVTETADDYNMTIRYPPGMPTYVAPVEVYKTLRSMGPNGSVNANSNLTWYFSVDSGFFYLVRLHFCEFTTIITKVNQRVFIIYLNNQTAETEADAIAWAGNKNGVPTYQDYGVFVPKGDPQVDLWLALHPKPGSNYLDAILNGLEIFKVSDTLGNLAGLNPVPSVQSEIDPFIPSSVSGKSKNHKAAIGGGIGGGIAAALVLIGLVICVVTRRRSHGKDTSTSDAQSGWLPLSLYGNSHSAGSAKTNTTGSYTSSLPSNLCRHFSFAEIKAGTKNFDEALLLGVGGFGKVYRGEIDGGTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLAKEQVSLAEWALHCHNKGILDQITDPYLKGKIAPECFKKFAETAVKCVADVGTDRPSMGDVLWNLEFALQLQESAEESGKGLGGIDIEEGFDVTCKGKKDPDASPGFDASMTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >itb09g16280.t1 pep chromosome:ASM357664v1:9:11486511:11490973:1 gene:itb09g16280 transcript:itb09g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTAGSNSLMASSSTSGNNAQSPGLKTYFKTPEGRYKLQYEKTYPTGLLHYSHGKTVTQVTLAHLKGKPMQAQPQSSSGFGVSSGVRSAAARLLGGGNGSKSLNLVGGNGGSKSVSSATSRIGSLGVSNSNNLVGNSNFDGKGTYLVFNVGDAIFISDLNSRDKDPVKSIHFGNYYPVCHAFDPDAKDGHDLLIGLNTGDVYSVNLRQQLQDVGKKLVGALHYNKDGSVNNTRCTSIAWVPNSDGAFVVAHVDGNFYVYDKNKDGSGDPSFPIIKDQAQFSVAHARYSKNPVARWHICQGSINCIAFSTDGAFIATVGRDGYLRIFDYKNEHLICGGKSYYGALLCCAWSMDGKYVLTGGEDDLVQVWSMDERKVVAWGEGHNSWVSGVAFDSYWSAPNSDGSGENVVYRFGSVGQDTQLLLWDLEMDELVVPVRRPSGGSPTFSTGSQSAHWDSACPVGTLQPAPSMRDVPKLSPLVTHRVHTEPLSGLIFTHESVLTVCREGHIKIWMRPGFGESQTSNSDSLLGSSLKEKQSISGKVVGSSYK >itb13g21470.t1 pep chromosome:ASM357664v1:13:28056574:28060556:-1 gene:itb13g21470 transcript:itb13g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] MAAEVATSSSTSGLTERRGIPAAVFVDDVQAYLTDSGLEVNSALAFFQERLQQYRVVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKAASEALIADFEVSEGIYSRARIEDTDSVCLWLGANVMLEYSFDEATSLLQKNLENAKASLEVLVADLQFLRDQVTITQVMTARIYNWDVHQRRLRQVSTPKES >itb03g10690.t1 pep chromosome:ASM357664v1:3:8523386:8526112:-1 gene:itb03g10690 transcript:itb03g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATVTSDPDQAPINTAPTATADADSGAATATATAIDDPLNNPYGSLNDHCHELGALQDLACRGAWRSILDKIGRARSLSLLTKPHEHLIYLTYNVLALTKLRRFSDAGNELNTVLEGDDFETSQYLYETYPNHYPNSRGSMVPFALRWLHAHLPSTLGQRQQALDRLYTLLDFIRSKKLNDLQSRSKVSEDLWRKREIFVINTIISYHLSQKEFKVCSDLLNEVIGKRECGNDPFLVSKLGYVQMQYGDLEGAKTTFKVVEDIIENQDDVRLKNLVSRNKALIYILGKDYVSAVREYEECIERDGMDIVAFNNKALCLMYLRDLSDAIKVLENALERVPTVALNETVVVNLCSMYELAYVNHVDIKKTLNNWIARVAPDDFDSTCTRI >itb03g10690.t3 pep chromosome:ASM357664v1:3:8524485:8526112:-1 gene:itb03g10690 transcript:itb03g10690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATVTSDPDQAPINTAPTATADADSGAATATATAIDDPLNNPYGSLNDHCHELGALQDLACRGAWRSILDKIGRARSLSLLTKPHEHLIYLTYNVLALTKLRRFSDAGNELNTVLEGDDFETSQYLYETYPNHYPNSRGSMVPFALRWLHAHLPSTLGQRQQALDRLYTLLDFIRSKKLNDLQSRSKVSEDLWRKREIFVINTIISYHLSQKEFKVCSDLLNEVIGKRECGNDPFLVSKLGYVQMQYGDLEGAKTTFKVVEDIIENQDDVRLKNLVSRNKALIYILGKDYVSAVREYEECIERDGMDIVAFNNKALCLMYLRDLSDAIKVLENALERVPTVALNETVVVNLCSMYELAYVNHVDIKKTLNNWIARVAPDDFDSTCTRI >itb03g10690.t2 pep chromosome:ASM357664v1:3:8523386:8526070:-1 gene:itb03g10690 transcript:itb03g10690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATVTSDPDQAPINTAPTATADADSGAATATATAIDDPLNNPYGSLNDHCHELGALQDLACRGAWRSILDKIGRARSLSLLTKPHEHLIYLTYNVLALTKLRRFSDAGNELNTVLEGDDFETSQYLYETYPNHYPNSRGSMVPFALRWLHAHLPSTLGQRQQALDRLYTLLDFIRSKKLNDLQSRSKVSEDLWRKREIFVINTIISYHLSQKEFKVCSDLLNEVIGKRECGNDPFLVSKLGYVQMQYGDLEGAKTTFKVVEDIIENQDDVRLKNLVSRNKALIYILGKDYVSAVREYEECIERDGMDIVAFNNKALCLMYLRDLSDAIKVLENALERVPTVALNETVVVNLCSMYELAYVNHVDIKKTLNNWIARVAPDDFDSTCTRI >itb15g18910.t1 pep chromosome:ASM357664v1:15:20804998:20807046:-1 gene:itb15g18910 transcript:itb15g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYWKSIGLGFKTPREAIEGAYIDKKCPFTGTVSIRGRILAGTCHSAKMTRTIIVRRNYLHFVKKYQRYEKRHSNIAAHASPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSGGGKKAFTGM >itb05g00910.t1 pep chromosome:ASM357664v1:5:761502:764931:1 gene:itb05g00910 transcript:itb05g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLVLLLLVLWAILGCFAPTATSKTNSSSIAKPNCDDHCGNISIPFPFGLTDECALNSNFSIICNTSYNPPKPFLSSTVEIRDISVEGQLRVMKLVAQICYKKGEELSPSRFWFNSIFYVSRTANKFVAVGCDTFGNVYAYDDDQSYKTGSNCMATCNSTQDVTNGTCSGFGCCETGIPNVAKNVYHSVDSLDYYNYTADDVNKCSYAFVVQKEEFTFSSTMLTRSWDVETVPMVLDWTISNQTCLTACQGNTTCVAVNGEGYRCACKEGYQGNPYLSGCQDIDECEDGKNNCSKNSICSNTEGGYKCPCRKGYHGNGKDDLGCISSNHPPVMLVLGTTRIFTVQELRRATNNYDQTRVIGQGGFGVVYKGHLLDGRIVAVKKPKMMDPTQIELFINEVIVLSHINHKNIVKFFGCCLETEIPLLVYEFINNGTLFEHLHSKNKASKMSWPVRLRIATETAEVLSYLHTAASPPIIHRDVKPSNILLDNDYTTRVSDFGASRLVLQDQTQLVTMVQGTLGYLDPEYMQTHQLTEKSDVYSFGVVLVELLTGRRAVFFNGPEEERNLSLHFLSSLKENRLLRIFDDNIVCEGNTEELIEVSLLAERCLNVKGEDRPTMKEVAIELSGLLRTSKHPWVNNSEITMESEALLIEPSIPLR >itb09g08770.t1 pep chromosome:ASM357664v1:9:5231084:5233570:1 gene:itb09g08770 transcript:itb09g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSSRKALQWRPPYFTWVSVLLLFIIPFAVILSGTASSSFSLFWGYRTWRTRGILSPTSLTNAALNAKQDDERFNIVKEIPHQPPSNRSSSSVHQNGSFNHQNLLQPSPAEGEEEGKNGKEELESKGGHGRNSTSEVAVKRYSKRERVEAVLAKARSAIREAARNGSMISTHHDPDYVPQGPIYHNANAFHRSYLEMEKEFKIYVYSEGEPPIFHNGPCRSIYSTEGRFIHQMEKGNLFRTKDPDEALVYFLPFSVVVMVRYLYVPGAHDMHPIGRTIADYINVISSAHPFWNRSLGLDHFMLSCHDWGPYSTTYVPNLFNNSIRVLCNANTSEGFNPRKDVSLPEINLKTGEIFGLLGGPSPSRRSILAFFAGGLHGHIRHLLLDRWKGKDEDILVYEKLPGGGPSYESMLKNSRYCLCPSGYEVASPRIVEAIYAECVPVLISDGYVPPFSDVLNWKAFSVTVEVKDIENLKTILMGISQRQYLRMHRRVKQVQRHFVINGPPKRFDLFHMIVHSIWLRRLNVRVHDR >itb15g16020.t1 pep chromosome:ASM357664v1:15:15101504:15102052:1 gene:itb15g16020 transcript:itb15g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKHCSTNPSAAHTSTSTAPLPNADDFFLAPTAYRCSPRRTPNDVAFSAVLIAFATTEVVTETGGGDREDRHKRRSRSRSKDRSKHKRRSRSRSKDRSKHRLNSRSPSRSKR >itb15g16020.t2 pep chromosome:ASM357664v1:15:15101504:15102836:1 gene:itb15g16020 transcript:itb15g16020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKHCSTNPSAAHTSTSTAPLPNADDFFLAPTAYRCSPRRTPNDVAFSAVLIAFATTEVVTETGGGDREDRHKRRSRSRSKDRSKHKRRSRSRSKDRSKHRLNSRSPSRSKSKRVIGFDMAPPSAMLLGPSAAPVWGSSYDTCSSDDSTLSVNQTCSESLCWRTSSKCK >itb01g26210.t1 pep chromosome:ASM357664v1:1:31418868:31433739:-1 gene:itb01g26210 transcript:itb01g26210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPDQEEKILQWWDEVKAFKTQLERTKDMPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMAGHHVTRRFGWDCHGLPVEHEIDKKLGIKSREDVIKMGIDKYNEECRGIVTRYVAEWEKTVVRMGRWIDFRDDYKTMDLKFMESVWWVFAQLFDKGLVYRGFKVMPYSTGCKTPLSNFEANSNYKEVPDPEIMVSFPIVDDHDGASFVAWTTTPWTLPSNLALCVNANFVYLKVRNKFDGKIYVVAESRLSELPLEKAKKGTTNGAVNDTQNSNPKSKPSGGKSKNLDTYEILDKISGSSLVGKKYVPLFDYFKDFSDTAFRVVADDYVTSDSGSGIVHCAPAFGEDDYRVCIENQIINKGENLIVAVDDDGCFTERVSDFARRYVKDADKDIIQAVKEKGRLVKSGNFTHSYPFCWRSDTPLIYRAVPSWFVAVEKIKDQLLMNNKETYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPVWRSEDGEEIVVMDSIDKLEKLSGEKVTDLHRHKIDHITIPSSRGSEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVKLFENNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPQPTEVINDYGADALRLYLINSPVVRAEPLRFRKEGVYGVVKDVFLPWYNAYRFLVQNAKRFEVDGLGAFTPFDKKTLQSSSNVLDQWINSATESLVHFVRLEMAAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCKTALSTLYHVLLTSCKAMAPFTPFFTEVLYQNLRKVLNGSEGSIHYCSFPEVEGKRWERIEQSVSRMTTVIDLARNIRERRNKPLKTPLRNMVVVHPDEDFLQDIKEKLKEFVLEELNVLSLETCSNTLEYASLRAEPDFSVLGKRLGKSMGEVAKAVKAMSTEDILAFEKAGELTFAKHTLKLSDIKIIRGFKRPDNRTEDEIDAAGDGDVLVVLDLVIDESQLKTGAAREIVNRVQRLKKESALEPTDVVQVYFRAIDDDKSVSQQVLETQKQYITDAIASPLLPADSIPPSAVILGEKSFDGVSDLKLSFTITLARA >itb03g09070.t1 pep chromosome:ASM357664v1:3:6939418:6943664:-1 gene:itb03g09070 transcript:itb03g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAISQIRSYPLSLRTLASLSSLQPSFDFSINTSFRSLSSSPQLQRRQDEQGHDDYSSLPSRIFVVQPRWRPDFVLKPKLDEALNLANSLEERRDGFYETEFSEKEVPPHIVVQNPAARWPRADTFFGPGTVSTIKCHINALESEGGVDAVFVNAMLTGIQQRNLGREWGRPVIDRVGLIIEIFNAHAQTKEAKLQAELAALMYKKSRLVRLRGPGGRCTFGVMGEAEVVSARGRGSGGRGFISGAGESELQLQRRRILERRLQLLSEIKEVRRTRALQRASRKRHAGSNGQDIATVAVVGYTNAGKSTLVSALTDSYLYSDDRMFATVDPKLSSVILPSGRKVLLSDTVGFISDLPVQLVEAFHATLEEVVEADILVHVLDSSAPNLNEQRETVLQVLEQIGVSKEKLKNMIEVWNKIDLEEEEVGSDEYGNEEEVPEEEYDSVPSDQLSGADCHHDNEIDKDMNPEWEMDEQQGGNCESWLGLEGEQDLWVNYDDSSVGFGDSEDSWKVVPKGWRTGRGRRDSQVESEALPHVKTSALTGVGLQELLELIDERATQTPQDTPDATIFNRKWRPPRTEDADIAIGQ >itb08g02130.t1 pep chromosome:ASM357664v1:8:1710087:1716346:-1 gene:itb08g02130 transcript:itb08g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKFGRVLDNSRQIVVNLKRKLETQTETYNNGTIHSLLQTSCPDNSTTENFSKRRKLDGVKSKSSCGGFHLRKSPVQHYLNFRRSGPPIRLMYYKKGKWTDFPQNILAVVKQNLQMEKSLIEVCFNGNICVLDFVSMVLVDLKMGFQQSIAWIDEAGNCFFPEIFSDCDEVDECYDTDYVNDCVGVDLNTQGSNHINLQIDIEINGSNIPETEESSGESNAIVELVKVDLKPEAGGSDMENGDYYNGFSTAKGNESSAENVHGEGRTLMPYSALGNLDSDSVRKIFLKCIKPSVHANIIDVYRESSTFMEARLELFQKQAEIIKKLRGFANVQFAWLPSTKSALSSIMNYGLFSCDPTKMNFQYGFGVHLFPINHTEISANFCDVDENGVRHMILCRVIMGNMEPVYLGSKQFHPSNEAFDNGVDDPQNPKHYIIWSMNMNTHVYPEYVVSFKLSSEVEGAVVQSESLNDVSGVSTVQGSSYQAYNNHQVLLQKPQGNVPRIPKSPWMSFPVLFAAISEKVAPEKMKIVNSSYELFKSKKISRDELVKRLRLSVGDTLLRSTIMSLQSKITPKPVELVTPKLEP >itb10g05770.t1 pep chromosome:ASM357664v1:10:6066477:6090504:1 gene:itb10g05770 transcript:itb10g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLDWYCQPVKNGVWSKAVENAFGAYTPCATDSLVISVSQLVVLGLCLYRIWKIMKDFSVQRFRLRSNYYNYMLGLLAAYCAGQPLYRLVMGISVLNVDGQTGIAPYETVSLIIESLTWCFMLVMIGLETNVYIREFRWFVRFGVIYALVGDAVMCNLILSVREFYNGSVLYLYISEVAVQGLFGVLLLFYVPELGPFPGYSPVQTESVDNTAYEKLPEAEEICPERHVNILSRVIFSWMNPLMQQGYKRPLTEKDVWKLDVWDRTETLNNAFQKCWAEESQRLQPWLLRALNRSLGGRFWWGGFWKIGNDVSQFIGPLILNQLLQSMQEGDPAWIGYIYAFAIFLGVVFGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHASRKRFATGKITNLMTTDAESLQQICQSLHTLWSAPFRIVIAMVLLYEQLGVASLLGALMLVLMFPIQTLVISRMQKLTKEGLQRTDKRIGLMNEILAAMDTVKCYAWEDSFQSKVQGVRNEELGWFRKAQMLGALNSFILNSIPVLVIVVSFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERVLLPNPPLEPRLPAISIRNGIFSWESKAERPTLSNINLDIPIGSLVAIVGGTGEGKTSLISAMLGEIPAVTDSSVVIRGTVAYVPQISWIFNATVRENILFGSRFSPARYDKAIDVTALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDADVGRQVFEKCIRGELRGKTRVLVTNQLHFLSQVDKIILVHDGMVKEEGTFEYLSNNGNLFQKLMENAGKMEAYADEREIVENIDNTLNPVTNGVVDSTDPEKTNKPKGGKSVLIKQEERETGVVSWKVLTRYKDALGGAWVVMILLMCYVSTESLRIGSSTWLSFWTDGSSSTRYSSTFYNLIYAVLSFGQVLVTLTNSFWLITSSLFAAKRLHNGMLNSILRAPMVFFHTNPLGRIINRFAKDQGDIDRNVAPFVNMFMNQVSQLISTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLPTIRAYKAYDRMANINGNSVDNNIRFTLVNMSGNRWLAIRLETLGGLMIWLTATFAVMQNGNAENQEAFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIELPSEAPPIIEDNRPPPAWPSAGSIRFENVVLRYRPELPPVLHGISFTIPSSDKVGVVGRTGAGKSSMFNALFRLVELESGRIIIDECDISKLGLLDLRKVLGIIPQSPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKEVIRRSSLGLDTEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDCRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGKVLEYDTPEVLLQNEESAFSRMVQSTGAANAQYLRSLVLHGRGDTKTDMENQADGQKRLLASSRWVAATQFALAVSLTSSHNDLLQLEIEDDGDNILKKTKDAVITLQGVLEGKHDTVIEETLDHYHVSREGWWSSLYRMIEGLAMMSRLARNRLHHHGEYGFEEKTINWDNTEM >itb07g20920.t1 pep chromosome:ASM357664v1:7:25357794:25359593:-1 gene:itb07g20920 transcript:itb07g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMMRFSWFVSAVVVTIVLSPSLQSFPPAEAIRSSNFDSYLRFHNSSGVLDQFSFRKAPLFRSGGECGSALGETGVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSRCPDSVFFHFLVSHANLETLVRSTFPQLKLKVYYFDPERVRSRISTSVRQALEQPLNYARNYLADILEPCVGRVIYLDSDLVVVDDISKLWRTSLGTKTIGAPEYCEANFTNYFTRNFWSDRRFSGTFKGRNPCYFNTGVMVIDLGKWRRFGYTKRIERWMEIQKTNRIYELGSLPPFLLVFAGDLAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSNKPCPLDSLWSPYDLYGHST >itb02g08890.t1 pep chromosome:ASM357664v1:2:5624152:5626677:-1 gene:itb02g08890 transcript:itb02g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNHPQPSLLSSCTTVFLAALLVIHCSQSIVILAQPLAEYKIADNNSSIISWTDSNNQSYPVYSSDGAQVAAVILYRQATATIFACGLFCNPSGTSCLFGILIIPALVPGFPTSELHLDYRRLVWSANRNHPVTVNASVELRREGGLLLTDSNGSVVWSTHSNGSGVSGLNLTAIGNLVIYGQKNETIWQSFDHPTDTLLPGQEIRSGQTLKASISTSNFGEGLYSLYFDNESARAYVQSSNLYWYRYADVEVDYVKGIIASTRSPILDHQITKFEADGHLNVYGWSPPFPWGVISDVFVRLIGFCGYPLVCGSYSVCKDEKFCTCPPVFFTQRNRSQEITECSLITPISCAHSQLHTLLEMKDTSYIGRDMRNESGEYTDLESCKKACLRNCSCKAAHFNGYSNGYCLLLNEVLSLVTATSNNTVYLKVQNSSTPQINPRIEQSPPPVQQTPPWIRQKHAKILLGTIGASMGVVLSISIYFFLVRKKAVQLEDEEEFLDGVPGLPTRFSYEDLSAMTENFSKKLGEGGFGSVFEGELQDGTKIAVKSLKGVDHIKKSFLAEVATIGSIEHANLVKLLGFCAAKSQRLLVYEHMTNGSLDRWIFNGEQEHGLTWHTKKKIMIEIAKGLAYLHEDCNRKIIHLDIKPQNILLDKNFNAKVADFGLSKMVAKDQSKVVTKMKGTPGYIAPEWMSLVITEKVDVYSFGIVMLEIVCGRKNVDWNQAEEEVDLLSVFKRKIEEDKVGEMFDMYNKDLEVEKEEAIEMMRVAAWCLQSDYTKRPSMSVVVKALEGSAAIETNLNYNFSYPPIPRNTMEVSNQKGQARDAISSATLIPSVLSGPR >itb11g12480.t1 pep chromosome:ASM357664v1:11:9343116:9344770:1 gene:itb11g12480 transcript:itb11g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQERKATLRDFYAVIYPSLKQLEGNLAGDRSRCSGNLSRVVDEGEDDECGICMESGANMVLPNCAHSMCINCFHHWYIRSQSCPFCRGSLKRVESGDLWVLTANSDVVDTITLAHHNLKHFYLYIDKLPGVVSETNASFYDYLIRLVRNAAERVCNAEVGGRRRVVASALHERRRQWRSWAFPATLLSSPPSGDVVIHALLSCCSSVHLLR >itb08g02030.t1 pep chromosome:ASM357664v1:8:1624081:1625956:-1 gene:itb08g02030 transcript:itb08g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERMGRYGVGYALAPKKQASFIQTSLVNLAREKGIDLVKIDTEKALVDQGPFDCVLHKLYGEDWKRQLQEFAARNPSALIIDFPEAIERLHNRISMLQFVAQVEVKDCQVASLGIPKQIVIYDAEMVSALDMDSEGLKFPVIAKPLVADGSAKSHKMLLVFNKDGLCKLKPPIVLQEFVNHGAVIFKVYVVGDYVKCVKRKSLPDVTEDNLGCLEGYFSFSQVSNCRTNEKNVDKYCKMMNLEDAELPPLSFLTEIARGLRRATRLHLFNFDVIRDNKVGNRYLVIDINYFPGYAKMPNYESVMTEFFWDVLSNKKDKTSESFRKLGCEKEVRMLVGNTGYDEDEVALPVSPLKREENESAIQV >itb03g09080.t1 pep chromosome:ASM357664v1:3:6939553:6939816:1 gene:itb03g09080 transcript:itb03g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHCPSKMYSWVTSDSLPVMDEDGWMSTPLGSGSIGRAKRYLMEMVFRSCKKRIFLTSTMLKSCLLRQLMVEERSAIGYLAGWLAT >itb06g16430.t1 pep chromosome:ASM357664v1:6:20595648:20596963:-1 gene:itb06g16430 transcript:itb06g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIINNNNNNNNIIISRTNGSQACAACKYQRRKCASDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIRHLTPPEKDEAMRTIIYESDMRAIDPVGGCYRIIRQLQRQIEQSQAELDVVLHHLAYCRAQAAGDSVSLPPNNVNTHRDVIDQTAADVVVVNNNFGALREEWYDYEQQPSNVNVNVNVNNGYPHPHHYPHYNQPQFLVVQNNGGDHRDDYHHHPVVDQTNVAAAWEMHARDSKPPPPPSSVVVPASQLSQDTDNACDSIKPILDLSGYVEDLNFGPEETLEESEEVLVKEQDKTAALNEEEESLSNMLKIMI >itb01g00410.t1 pep chromosome:ASM357664v1:1:196332:197038:-1 gene:itb01g00410 transcript:itb01g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGSAHGIAGIMHVLMHFELKQDEAELVKGTLKYMIENKFPSGNYPASEEDKRDVLVHWCHGAPGISLTLVKAAEVFGENEFLKAAVDAAEVVWNRGLLKRVGICHGISGNAYTFLSLYRLTGNAEYLYRAKAFASFLLDRAHKLISTGEMHRGDRPYSLFEGVGGMSYLFLDLVHPNNARFPAYEL >itb12g05530.t1 pep chromosome:ASM357664v1:12:4068011:4071834:1 gene:itb12g05530 transcript:itb12g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQICFLSKGTIIIKAPKKSSPLLRMGLVVLAMVCGVFIFCVCVNQSNTDKQHKFLNLEVIGRGCHDLGIDRSQIPYLHYPKPRTFSRAECACNPVRLFAILTMQRSGSGWFETLLNSHVNVSSNGEIFSVKDRRENFSSIVETLDRVYNLDWFTSASKNQCSASVGFKWMLNQGVIEHQREIVDYFNEKGVSVIFLFRRNLLRRMVSLLANSYDRHAKLLNGTHKSHVHSTEEAETLAKYKPVINTASLMMELKQMDVMAREALQYFSSTRHIVLYYEDLIRNQSKMMDALKFLRLPHMHLTSRQVKIHSGPLWKHIKNWDDVNMALKGTVYEGFLYTDY >itb09g24120.t2 pep chromosome:ASM357664v1:9:23734655:23741242:-1 gene:itb09g24120 transcript:itb09g24120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQEVKLERFLQWLQLNKVELRGSSIKYSGSNKGFGIFSSNDVCDVVLKISGILLVVPLDLIITPMRVLQDPLLGPHCRAMFEEEEVDDRFLIILFLMVERLRKNSSWKPYFDILPTEFGNTLWFSDDELSELKGTTLYQATDLQRQKLQYLFHEKVKKLAEKLLTLDGCPESEVSFEDFLWANSIFWSRAQNIPLPHSYVFPKSSEGQEPVSDESAKKDTTVASKSGEDTVWVEGIVPGIDFCNHGVRATATWEVDATGLTTGTPFSMYLLSVGEVPIHSGEEILISYGNKGNEELLYLYGFVMEDNPDDYLMVHYPVGAIQGIAFAESKSQLLEAQKAELRCLLPRTLLKDGFFPPCMPRIENNDKCPSNSISAYSWSGQRKMPSYLNRLVFPEDFLTALRTIAMKDDELYKVTSLLTELVGPGGQRQPSDAEVRAAIWEACGDSGALQVLVDLLNMKMTELEEGSGREENDAELLRSARSIDNSVDYQSKCDNNGTKENKLLSRNRWCSIVYRHGQKQLTSLFLKEAEHALQLALTEGN >itb09g24120.t3 pep chromosome:ASM357664v1:9:23734655:23741271:-1 gene:itb09g24120 transcript:itb09g24120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQEVKLERFLQWLQLNKVELRGSSIKYSGSNKGFGIFSSNDVCDGILLVVPLDLIITPMRVLQDPLLGPHCRAMFEEEEVDDRFLIILFLMVERLRKNSSWKPYFDILPTEFGNTLWFSDDELSELKGTTLYQATDLQRQKLQYLFHEKVKKLAEKLLTLDGCPESEVSFEDFLWANSIFWSRAQNIPLPHSYVFPKSSEGQEPVSDESAKKDTTVASKSGEDTVWVEGIVPGIDFCNHGVRATATWEVDATGLTTGTPFSMYLLSVGEVPIHSGEEILISYGNKGNEELLYLYGFVMEDNPDDYLMVHYPVGAIQGIAFAESKSQLLEAQKAELRCLLPRTLLKDGFFPPCMPRIENNDKCPSNSISAYSWSGQRKMPSYLNRLVFPEDFLTALRTIAMKDDELYKVTSLLTELVGPGGQRQPSDAEVRAAIWEACGDSGALQVLVDLLNMKYINENARLLTW >itb09g24120.t1 pep chromosome:ASM357664v1:9:23734655:23741271:-1 gene:itb09g24120 transcript:itb09g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQEVKLERFLQWLQLNKVELRGSSIKYSGSNKGFGIFSSNDVCDGILLVVPLDLIITPMRVLQDPLLGPHCRAMFEEEEVDDRFLIILFLMVERLRKNSSWKPYFDILPTEFGNTLWFSDDELSELKGTTLYQATDLQRQKLQYLFHEKVKKLAEKLLTLDGCPESEVSFEDFLWANSIFWSRAQNIPLPHSYVFPKSSEGQEPVSDESAKKDTTVASKSGEDTVWVEGIVPGIDFCNHGVRATATWEVDATGLTTGTPFSMYLLSVGEVPIHSGEEILISYGNKGNEELLYLYGFVMEDNPDDYLMVHYPVGAIQGIAFAESKSQLLEAQKAELRCLLPRTLLKDGFFPPCMPRIENNDKCPSNSISAYSWSGQRKMPSYLNRLVFPEDFLTALRTIAMKDDELYKVTSLLTELVGPGGQRQPSDAEVRAAIWEACGDSGALQVLVDLLNMKMTELEEGSGREENDAELLRSARSIDNSVDYQSKCDNNGTKENKLLSRNRWCSIVYRHGQKQLTSLFLKEAEHALQLALTEGN >itb01g22730.t1 pep chromosome:ASM357664v1:1:28773015:28775502:1 gene:itb01g22730 transcript:itb01g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATFSTSSFHGTVFGSRGRSCHNEVMFNCLNLCFNQTCNMKSISASADTQNSLLSSIRKWDKKQLVARNLRKNSSILCRAVGIERKPWFIEGNKFLLDDVIEAQQFDRDTLNAIFEVAREMENVEKGSPESQTLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAAATANIPIINAGDGPGQHPTQALLDVYTIQREIGKLDGIKVALVGDLAYGRTVRSLAYLLAKYQDVKIYFVSPDVVKMKDDIKDYLTSQGVDWEESADLLEVASKCDVLYQTRIQRERFGERIDLYEEARGKYIVDLEVTRAMQKHAVVMHPLPRLDEITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLVGW >itb06g06490.t1 pep chromosome:ASM357664v1:6:9110709:9111683:-1 gene:itb06g06490 transcript:itb06g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHTRLSTIIICIGLSSFSPSLPQHRRPLPPLYPPPAPYFSGTTTTNSSLSPFPSPFDDLTPTLTAADIRETAYEIFVAACRTSTDKALTYIPSTGKAFTEMKKAMGLRSSSSFKMKRLRFKDGKDS >itb03g09410.t1 pep chromosome:ASM357664v1:3:7245186:7246915:1 gene:itb03g09410 transcript:itb03g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFQLSASSPHCALPSHFPQKMFNLSCFFLLFLLHFAVNVGGDEAVGVISVEDSSPVALQAFSGSGVPVAVSVPVESVGLVSRSVVEAERWVRSHVLAHYPGTNITTIAVGESFLCGEDREERVGLVLPSMKNIHYSLTRWGLGGEIKVSTSFSQRCVKYQRFAERYIKPVLEFLREIDAPYLVKPSSELSISEFLKNLGGFSLKKIHLIQERAKPRRLSFFESLFADSVPGRPWLIAPAQPPTGSSSPAFAAKSPLPPLIGSFPPPPLSLPAPMFNPATPPYGPHLPPCKPSGGEVSVPAPAAAAHGGLWCVAKPNVPPETLKEALDYACGEGGADCEAIRPHGSCYFPNTIVAHASYAFNSYWQRSKKVGGTCGFEGTAMLINSDPSYRHCRFILA >itb03g09410.t2 pep chromosome:ASM357664v1:3:7245186:7246915:1 gene:itb03g09410 transcript:itb03g09410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFQLSASSPHCALPSHFPQKMFNLSCFFLLFLLHFAVNVGGDEAVGVISVEDSSPVALQAFSGSGVPVAVSVPVESVGLVSRSVVEAERWVRSHVLAHYPGTNITTIAVGESFLCGEDREERVGLVLPSMKNIHYSLTRWGLGGEIKVSTSFSQRCVKYQRFAERYIKPVLEFLREIDAPYLVKPSSELSISEFLKNLGGFSLKKIHLIQERAKPRRLSFFESLFADSVPGRPWLIAPAQPPTGSSSPAFAAKSPLPPLIGSFPPPPLSLPAPMFNPATPPYGPHLPPCKPSGGEVSVPAPAAAAHGGLWCVAKPNVPPETLKEALDYACGEGGADCEAIRPHGSCYFPNTIVAHASYAFNSYWQRSKKVGGTCGFEGTAMLINSDPSKLIFGSKFESF >itb06g14110.t3 pep chromosome:ASM357664v1:6:18709608:18715722:-1 gene:itb06g14110 transcript:itb06g14110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKRRTVKTANPFHIIMKLNFSEGCKCVSAEIKIPVDFMVSVNNFKEDNNVIFDKLREEAIADPSCGQTALIERETIMVPKTEVLVHAIVTNIVKFETTAQGSSNGLKRKHGCSSINGTIPSRKRSNGRKPRIMLLRK >itb06g14110.t8 pep chromosome:ASM357664v1:6:18710429:18715652:-1 gene:itb06g14110 transcript:itb06g14110.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKR >itb06g14110.t7 pep chromosome:ASM357664v1:6:18709608:18716807:-1 gene:itb06g14110 transcript:itb06g14110.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYIEDVGSVGMTEYYDPTHVLEEKVERLALMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFLLYGFYFPCASLSHLLGISFISTR >itb06g14110.t6 pep chromosome:ASM357664v1:6:18709608:18715722:-1 gene:itb06g14110 transcript:itb06g14110.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKRRTVKTANPFHIIMKLNFSEGCKCVSAEIKIPVDFMVGGYYL >itb06g14110.t9 pep chromosome:ASM357664v1:6:18711148:18715652:-1 gene:itb06g14110 transcript:itb06g14110.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFLLYGFYFPCASLSHLLGISFISTR >itb06g14110.t10 pep chromosome:ASM357664v1:6:18709608:18714493:-1 gene:itb06g14110 transcript:itb06g14110.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKRRTVKTANPFHIIMKLNFSEGCKCVSAEIKIPVDFMVSVNNFKEDNNVIFDKLREEAIADPSCGQTALIERETIMVPKTEVLVHAIVTNIVKFETTAQGSSNGLKRKHGCSSINGTIPSRKRSNGRKPRIMLLRK >itb06g14110.t5 pep chromosome:ASM357664v1:6:18709608:18715652:-1 gene:itb06g14110 transcript:itb06g14110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKRRTVKTANPFHIIMKLNFSEGCKCVSAEIKIPVDFMVSVNNFKEDNNVIFDKLREEAIADPSCGQTALIERETIMVPKTEVLVHAIVTNIVKFETTAQGSSNGLKRKHGCSSINGTIPSRKRSNGRKPRIMLLRK >itb06g14110.t1 pep chromosome:ASM357664v1:6:18709608:18716807:-1 gene:itb06g14110 transcript:itb06g14110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYIEDVGSVGMTEYYDPTHVLEEKVERLALMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKRRTVKTANPFHIIMKLNFSEGCKCVSAEIKIPVDFMVSVNNFKEDNNVIFDKLREEAIADPSCGQTALIERETIMVPKTEVLVHAIVTNIVKFETTAQGSSNGLKRKHGCSSINGTIPSRKRSNGRKPRIMLLRK >itb06g14110.t2 pep chromosome:ASM357664v1:6:18709608:18716807:-1 gene:itb06g14110 transcript:itb06g14110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYIEDVGSVGMTEYYDPTHVLEEKVERLALMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKRRTVKTANPFHIIMKLNFSEGCKCVSAEIKIPVDFMVSVNNFKEDNNVIFDKLREEAIADPSCGQTALIERETIMVPKTEVLVHAIVTNIVKFETTAQGSSNGLKRKHGCSSINGTIPSRKRSNGRKPRIMLLRK >itb06g14110.t4 pep chromosome:ASM357664v1:6:18709608:18716807:-1 gene:itb06g14110 transcript:itb06g14110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSYIEDVGSVGMTEYYDPTHVLEEKVERLALMIKKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQKEGKALPEASLPFHRAMPSSTHMALVELEKAGILKFLISQNIDGLHLRSGIPREKLSELHGDSFMEICPSCGVEYMRDFEVETIGLKETARNCTNTDCGARLRDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKCLPNGGKIVIVNLQKTPKDKKASLVIHGFVDKVIAGVMDFLNLRIPPFIRIDLFQTIFTQALSLDKKYVNWTLKLASIHGKKAPLPFIKSVEVSFSESQNMKTAILHKEPLHLKR >itb09g00950.t1 pep chromosome:ASM357664v1:9:588027:592946:1 gene:itb09g00950 transcript:itb09g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQATRLREQVARQQQAVFKQFGGGFGGSEYAGTDESELHQHQKLEKLYISTRAAKHFQRDIVRGVENYIVTGSKQVEIGSKLSEESRKYGSENTCTSGATLSKAALCFSRALVQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQETEAQAVEVSKRQAKLREGNGNPDIAMKLEAAEAKLHDLKSNTAILGKEASSAMAAVEAQQQRLTLQRLIAMVESERAYHKKVLQILDQLEAEMTSERQRIEAPAPSVESMPPPPSYEEVNGVSTSPMQNGSTDSMGYFLAEAMFSYHAESDVELNLSAGDYIVVRKVSNNGWAEGECKGKAGWFPYGYIERRERVLASKVVGEVF >itb09g04580.t2 pep chromosome:ASM357664v1:9:2567538:2569989:1 gene:itb09g04580 transcript:itb09g04580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIESFVESSSLSSSSASASSSWSFVSDDEEKRMPLLALNHVSFVCKSVRKSVEFYEQVLGFVLIKRPSSFDFEGAWLFNHGIGIHLLGAEKVPTKKGKINPKDNHISFQCSDMDLIIKNLDDMKIEYVTAKVKEDGVIVDQLFFHDPDGYMIEICNCQNLPVLPLSACPLRSKNHTSSFNGTFISNLIYL >itb09g04580.t1 pep chromosome:ASM357664v1:9:2567538:2569989:1 gene:itb09g04580 transcript:itb09g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIESFVESSSLSSSSASASSSWSFVSDDEEKRMPLLALNHVSFVCKSVRKSVEFYEQVLGFVLIKRPSSFDFEGAWLFNHGIGIHLLGAEKVPTKKGKINPKDNHISFQCSDMDLIIKNLDDMKIEYVTAKVKEDGVIVDQLFFHDPDGYMIEICNCQNLPVLPLSACPLRSKNHTSSFNGGVVKKTPCAGEVERLMMENLALNMLDISL >itb09g15880.t1 pep chromosome:ASM357664v1:9:11186213:11186667:1 gene:itb09g15880 transcript:itb09g15880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPFEFDESDDCWVRSGGDGVAVKSLPMKIPDWRRILGMVYQQVERAPQDSDYNAAWSVEEDEDEYFGLPPHVYLARTRGESRWGRSVKGRDLCRLRNAIWKRIGFED >itb05g10830.t1 pep chromosome:ASM357664v1:5:16715393:16718221:1 gene:itb05g10830 transcript:itb05g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRLDLLSTLLASAIAIASLHHHWVSDIHLICASHASTLSLLTSNSGFRFATLPFSWTVTPTRALHLLSSHGLTPLSLLKKLRQVCMSQL >itb06g08770.t2 pep chromosome:ASM357664v1:6:12897133:12901506:1 gene:itb06g08770 transcript:itb06g08770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSGAREICISVPFLLYNCTGLSLVVSNAVNGTKGHCCIVPSCYDLDKQDLVPSRKDGLSLLSPIIDSDIAPYDNSFPISSTNSYPILNSNDNRLSDNHSKSLHSSTVVHRYSHNHGLYTQKSSSSTFKNQSGSSSQSSLRSSDFLENESDVINCCMYSPDSSFSSDKIVVKVSRFLSACVTHNTPESWSNAFSLVPPTGSTSVVVPQPSKSSGYVMSVSAVAAPFSGRTKIITFQPRYVISNACNKDLCYRQKGTDVVFLLEAGQHSHIRWTDTTRLVLSQLNVLR >itb06g08770.t1 pep chromosome:ASM357664v1:6:12896964:12901506:1 gene:itb06g08770 transcript:itb06g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPRAETFCETAKFSGTRFSLWETIVFDSKSSSGPLCITFEKVMDAFSGAREICISVPFLLYNCTGLSLVVSNAVNGTKGHCCIVPSCYDLDKQDLVPSRKDGLSLLSPIIDSDIAPYDNSFPISSTNSYPILNSNDNRLSDNHSKSLHSSTVVHRYSHNHGLYTQKSSSSTFKNQSGSSSQSSLRSSDFLENESDVINCCMYSPDSSFSSDKIVVKVSRFLSACVTHNTPESWSNAFSLVPPTGSTSVVVPQPSKSSGYVMSVSAVAAPFSGRTKIITFQPRYVISNACNKDLCYRQKGTDVVFLLEAGQHSHIRWTDTTRLVLSQLNVLR >itb06g04310.t1 pep chromosome:ASM357664v1:6:6861438:6865747:1 gene:itb06g04310 transcript:itb06g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQKPHAIMIPYPYQGHVTPFVYLAIKLASRGFTITFVNTHYVHSQISKSQANNHKDDIVAIAKKSGLDIRYATLTDGFPLGFDRSLNHDQFKEGILHVFPAHVDELVGNLVASDEDPPVTCMIADTFFTWTSVIADKYNLVNVSFWTEPALVLSLYYHIDLLKKNGHFANSNDNREDTIDYIPGVKAIEQRDLTSYLQSTDIWTVMHRIIYIAFEDVKNADIIICNTVQELEPETLAVLNMKQPVYAIGPIFPSGFSKNPVTTSLLSEADCSHWLNSKPDGSVLYVSFGSYAHTNKADIVEIAHGLMLSGVSFVWVVRPDIVSSEETNFLPPEFEQNVGNRGLVVPWCRQTEVISHPSIRGFLTHCGWNSILESIWCGIPLICYPLVTDQFSNRKLVVNDWKIGINLCDKTSITRQEVVEKINVFMSGKSVELKNAVLEVKSTLKNALAMEGTSQKNMNRFVEDVKAHAWKRFGLGLSNGSSNGHVIAPLKQAQP >itb11g21700.t5 pep chromosome:ASM357664v1:11:23393139:23400811:1 gene:itb11g21700 transcript:itb11g21700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQLYCLSLHVAHHARLHLILYINLPQLIAICREHRHALKNIERETSSGVVSFLRRGRELGRSAAIGCNNFNSLRRPCLHFQISFTYQKTQIMLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKVLYYNIIFFCYIRRLSLCQKL >itb11g21700.t2 pep chromosome:ASM357664v1:11:23393139:23400811:1 gene:itb11g21700 transcript:itb11g21700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQLYCLSLHVAHHARLHLILYINLPQLIAICREHRHALKNIERETSSGVVSFLRRGRELGRSAAIGCNNFNSLRRPCLHFQIRVKFKLCSFTYQKTQIMLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKWSFRIGFTPREGLVIHCVAYLDGSRGRRPIAHRLSFVEIVVPYGDPNDPHYRKNAFDAGEDGLGRNANSLKRACDCLGFVKYLDAHLTNFVGGVETIENCICLHEEDEGILWKHQDWRSGLAEVRRSRRLTTSFICTVANYDYAFYWHFYQESKVVHFS >itb11g21700.t6 pep chromosome:ASM357664v1:11:23393139:23400811:1 gene:itb11g21700 transcript:itb11g21700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQLYCLSLHVAHHARLHLILYINLPQLIAICREHRHALKNIERETSSGVVSFLRRGRELGRSAAIGCNNFNSLRRPCLHFQIRVKFKLCSFTYQKTQIMLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKVLYYNIIFFCYIRRLSLCQKL >itb11g21700.t4 pep chromosome:ASM357664v1:11:23393139:23400811:1 gene:itb11g21700 transcript:itb11g21700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQLYCLSLHVAHHARLHLILYINLPQLIAICREHRHALKNIERETSSGVVSFLRRGRELGRSAAIGCNNFNSLRRPCLHFQIRVKFKLCSFTYQKTQIMLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKWSFRIGFTPREGLVIHCVAYLDGSRGRRPIAHRLSFVEIVVPYGDPNDPHYRKNAFDAGEDGLGRNANSLKRACDCLGFVKYLDAHLTNFVGGVETIENCICLHEEDEGILWKHQDWRSGLAEVRRSRRLTTSFICTVANYDYAFYWHFYQESKVVHFS >itb11g21700.t7 pep chromosome:ASM357664v1:11:23393139:23400811:1 gene:itb11g21700 transcript:itb11g21700.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQLYCLSLHVAHHARLHLILYINLPQLIAICREHRHALKNIERETSSGVVSFLRRGRELGRSAAIGCNNFNSLRRPCLHFQIRVKFKLCSFTYQKTQIMLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKWSFRIGFTPREGLVIHCVAYLDGSRGRRPIAHRLSFVEIVVPYGDPNDPHYRKNAFDAGEDGLGRNANSLKRVSTVYPQYHGTGPPCKVDPPQFTY >itb11g21700.t1 pep chromosome:ASM357664v1:11:23393247:23400811:1 gene:itb11g21700 transcript:itb11g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKWSFRIGFTPREGLVIHCVAYLDGSRGRRPIAHRLSFVEIVVPYGDPNDPHYRKNAFDAGEDGLGRNANSLKRACDCLGFVKYLDAHLTNFVGGVETIENCICLHEEDEGILWKHQDWRSGLAEVRRSRRLTTSFICTVANYDYAFYWHFYQESKVVHFS >itb11g21700.t3 pep chromosome:ASM357664v1:11:23393139:23400811:1 gene:itb11g21700 transcript:itb11g21700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQLYCLSLHVAHHARLHLILYINLPQLIAICREHRHALKNIERETSSGVVSFLRRGRELGRSAAIGCNNFNSLRRPCLHFQISFTYQKTQIMLRLHSRHPLDPLSANEIALAISTVKAAVNSTPEVKDGIRFIEVVLLEPDKSNVALGSPISTKLPPRRARLIVYNKRTNETSIWIVELHAMAYSGNVISCEVVPNVQPPMDVQEDVECEVVVKRYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADSPRRRLAKPIVFCKTKSNCPMENGYARPVEGIFILVDVQRMEIIEFEDCKLVPLPPPDPFRNYTSGETWGGVDRNDVKPLHIIQPEGPSFHVNGNYVEWQKWSFRIGFTPREGLVIHCVAYLDGSRGRRPIAHRLSFVEIVVPYGDPNDPHYRKNAFDAGEDGLGRNANSLKRACDCLGFVKYLDAHLTNFVGGVETIENCICLHEEDEGILWKHQDWRSGLAEVRRSRRLTTSFICTVANYDYAFYWHFYQESKVVHFS >itb14g19970.t2 pep chromosome:ASM357664v1:14:22466523:22468933:-1 gene:itb14g19970 transcript:itb14g19970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRFCLNEEDRAVMGGVLGSEAVEFFTWLALNNMNSEFSVSGGNLGVQVGLQKIVEGCDWTYAIYWQVAKSKSGKSALIWGDGYCPEAMRRKNKDENGGIDGDKRKQALQMVRACFGGSEEDRFEGKLDSASDVEMFYLTSMHFVFPFDKPSSPSQSFNSGRTIWVSDAKNCLEHYQSRSHLAKLARFETLVFIPLKAGVVELGSLKSIPEQQNVVQMAQKMAVVSNASQAKAIPKIFGRELSLECPNLEAINTSYPPKAEEEPQALGNNQVFGNSLNGYRSDDGDGKLPPQANQVIGGDLSSQAIMSGFALAKENASLEPNERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPTISKMDKASLLGDAIAYIIDLQAKIRAMEAGEELKDGPQRQNAVPDIDFCQRRDDAVIRIGCSLDAHPVSRVVKAFRQHQVMAHESSVSVTENDEVVHTFSIRTQGAAVEQLKEKLTASLSL >itb14g19970.t1 pep chromosome:ASM357664v1:14:22466519:22468935:-1 gene:itb14g19970 transcript:itb14g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRFCLNEEDRAVMGGVLGSEAVEFFTWLALNNMNSEFSVSGGNLGVQVGLQKIVEGCDWTYAIYWQVAKSKSGKSALIWGDGYCPEAMRRKNKDENGGIDGDKRKQALQMVRACFGGSEEDRFEGKLDSASDVEMFYLTSMHFVFPFDKPSSPSQSFNSGRTIWVSDAKNCLEHYQSRSHLAKLARFETLVFIPLKAGVVELGSLKSIPEQQNVVQMAQKMAVVSNASQAKAIPKIFGRELSLECPNLEAINTSYPPKAEEEPQALGNNQVFGNSLNGYRSDDGDGKLPPQANQVIGGDLSSQAIMSGFALAKENASLEPNERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPTISKMDKASLLGDAIAYIIDLQAKIRAMEAGEELKDGPQRQNAVPDIDFCQRRDDAVIRIGCSLDAHPVSRVVKAFRQHQVMAHESSVSVTENDEVVHTFSIRTQGAAVEQLKEKLTASLSL >itb04g20090.t1 pep chromosome:ASM357664v1:4:24532718:24535470:1 gene:itb04g20090 transcript:itb04g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPWIRFPTSSRRHQSRSDIYNIGDEYEGDEETRPEFMCPFCAEDYDVVGLCCHIDEEHTIEAKNGVCPVCGTRVGMDLVGHITMQHGSLLKVQRKRRYRRGSNSTLALLRRELREGNLQSFIGGSSYLGSLTTEADPLLSSFMYHQPLVDEPPAVLQSPAEDCSMKESCVDDFSERNTRPSPISEKDQEEKARKCDFVQSLVLSTFLDDNF >itb04g32180.t1 pep chromosome:ASM357664v1:4:34842861:34843331:-1 gene:itb04g32180 transcript:itb04g32180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVQIALRPPLLGLLLLLLCSCATAARWPAGYVKYKDPTKPISARIRDLIGRMSLEEKIGQMAQIDRKGLTPEIMRDYSIGSVLSGGGSVPREKATAAEWVEMVNRFQNGSLSSRLGIPMIYGIDAVHGNNNVYKATIFPHNIGLGATRQALFHL >itb03g01110.t1 pep chromosome:ASM357664v1:3:583208:585465:-1 gene:itb03g01110 transcript:itb03g01110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRLIVLFSLCLLSVFPDLSLQSSRWVGAEKTREPVLKMVTGASSAFIDPTRVTQISWHPRAFLYKGFLSYEECDHLTNLARDKLEKSMVADNDSGKSIESEVRTSSGTFLRKAQDEVIANIEARIAAWTFLPPENGESIQILRYENGQKYEPHFDYFHDKVNQELGGHRVATVLMYLSSVEKGGETIFPNSENKDIQLKGEDWSECAKNGYAVKPYKGDALLFFSLHLNATTDPLSLHGSCPVLEGEKWSATKWIHVRSFDIPTSGCADKNSNCARWAAAGECENNPSYMVGTDESPGRCRKSCQVCSS >itb05g10870.t3 pep chromosome:ASM357664v1:5:16767259:16771085:-1 gene:itb05g10870 transcript:itb05g10870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEGEEEGKLTGGSQQLLVEDNLWEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHMVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSAELVKPTGWVYISLSGNDPR >itb05g10870.t1 pep chromosome:ASM357664v1:5:16765632:16771085:-1 gene:itb05g10870 transcript:itb05g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEGEEEGKLTGGSQQLLVEDNLWEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHMVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSAELVKPTGWVYISLSGNDPRDTFVNTFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFTTYSIVR >itb05g10870.t2 pep chromosome:ASM357664v1:5:16765643:16770893:-1 gene:itb05g10870 transcript:itb05g10870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEGEEEGKLTGGSQQLLVEDNLWEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHMVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSAELVKPTGWVYISLSGNDPRDTFVNTFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFTTYSIVR >itb05g10870.t6 pep chromosome:ASM357664v1:5:16767267:16770893:-1 gene:itb05g10870 transcript:itb05g10870.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEGEEEGKLTGGSQQLLVEDNLWEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHMVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSAELVKPTGWVYISLSGNDPR >itb05g10870.t5 pep chromosome:ASM357664v1:5:16767259:16770937:-1 gene:itb05g10870 transcript:itb05g10870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEGEEEGKLTGGSQQLLVEDNLWEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHMVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSAELVKPTGWVYISLSGNDPR >itb05g10870.t4 pep chromosome:ASM357664v1:5:16765632:16768704:-1 gene:itb05g10870 transcript:itb05g10870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSEGEEEGKLTGGSQQLLVEDNLWEMAKKAAWSVSSCKAGNGITSLRDDNLDTYWQSDGAQPHMVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKSAELVKPTGWVYISLSGNDPRDTFVNTFMLQVAILSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFTTYSIVR >itb01g11350.t1 pep chromosome:ASM357664v1:1:10182113:10183078:-1 gene:itb01g11350 transcript:itb01g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLETEETVLPPRNSLRVSSSRLSAACYSCLSELSRPGKHQVAEEEQNLPWTLSELFSPPLRAGTLGVSGNTSGFSSYHPYSTASYQAIVKFSSRSHP >itb11g16470.t3 pep chromosome:ASM357664v1:11:14691038:14695832:-1 gene:itb11g16470 transcript:itb11g16470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSEGFGSIYPTPIAARFPAVDSFPNRRKRLKTNEAPINQIPSLCWREELEERLLKRSSGILDYSDPYSMSNLWGSLECGKYGSVTKEIEELMAQSRRCIDSCYARDPTLPYKFLELEKNHTTEYKGDQSATAVIDLEDEHVARNVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTLQGVLSINTVGGSYLKDHLLQDSPGTKTPKGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTVDASSNKDKAEDEDEECEHSFILKEDIGYVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKPSHEFELAEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCIMAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSVLFLGYKQFSVIVCDNEASRAAVACQEILLKVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAEISSKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLEELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYVHPQLKSLSKTSVKERIDEEKIDMIVDNLELREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCLGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLVASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVGLYKR >itb11g16470.t2 pep chromosome:ASM357664v1:11:14691038:14695792:-1 gene:itb11g16470 transcript:itb11g16470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSEGFGSIYPTPIAARFPAVDSFPNRRKRLKTNEAPINQIPSLCWREELEERLLKRSSGILDYSDPYSMSNLWGSLECGKYGSVTKEIEELMAQSRRCIDSCYARDPTLPYKFLELEKNHTTEYKGDQSATAVIDLEDEHVARNVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTLQGVLSINTVGGSYLKDHLLQDSPGTKTPKGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTVDASSNKDKAEDEDEECEHSFILKEDIGYVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKPSHEFELAEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCIMAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSVLFLGYKQFSVIVCDNEASRAAVACQEILLKVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAEISSKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLEELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYVHPQLKSLSKTSVKERIDEEKIDMIVDNLELREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCLGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLVASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVGLYKR >itb11g16470.t4 pep chromosome:ASM357664v1:11:14691038:14695792:-1 gene:itb11g16470 transcript:itb11g16470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSEGFGSIYPTPIAARFPAVDSFPNRRKRLKTNEAPINQIPSLCWREELEERLLKRSSGILDYSDPYSMSNLWGSLECGKYGSVTKEIEELMAQSRRCIDSCYARDPTLPYKFLELEKNHTTEYKGDQSATAVIDLEDEHVARNVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTLQGVLSINTVGGSYLKDHLLQDSPGTKTPKGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTVDASSNKDKAEDEDEECEHSFILKEDIGYVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKPSHEFELAEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCIMAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSVLFLGYKQFSVIVCDNEASRAAVACQEILLKVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAEISSKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLEELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYVHPQLKSLSKTSVKERIDEEKIDMIVDNLELREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCLGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLVASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVGLYKR >itb11g16470.t1 pep chromosome:ASM357664v1:11:14691038:14695788:-1 gene:itb11g16470 transcript:itb11g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSEGFGSIYPTPIAARFPAVDSFPNRRKRLKTNEAPINQIPSLCWREELEERLLKRSSGILDYSDPYSMSNLWGSLECGKYGSVTKEIEELMAQSRRCIDSCYARDPTLPYKFLELEKNHTTEYKGDQSATAVIDLEDEHVARNVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTLQGVLSINTVGGSYLKDHLLQDSPGTKTPKGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTVDASSNKDKAEDEDEECEHSFILKEDIGYVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKPSHEFELAEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCIMAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSVLFLGYKQFSVIVCDNEASRAAVACQEILLKVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAEISSKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLEELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYVHPQLKSLSKTSVKERIDEEKIDMIVDNLELREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCLGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLVASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVGLYKR >itb15g15040.t1 pep chromosome:ASM357664v1:15:13550012:13552369:1 gene:itb15g15040 transcript:itb15g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLSASNLSKKIPVWVYQSLCLSSLSCANSVQIPREIDRNLPNVSEFVTKIQVLRNKLLPDTLINVIDSTADLESSLKLFKWASLQKGFRHTADTYYRIILKLGVAGKIEEVEGFCNELMREKCPGFEEALVALIDAFVRNHRLSEALCIVSCLHFCGSKPSISVYNQLLDALVKAKKDFKDVVYVYKEMVKAGIVPNTDTLNYLLDALLEADRVDLMLDQYRRMDSKGCKPNSRTFEIILSGLVARDRVGESLVVLDQMFKSGCEPDLSFYTSILPVFCEMNELEVARRLFAMMRSSKISPNSSIYESMIRCLCENFLMDDAVQLVEEMICSHLVPNECVLASIIDGLCEMNKLGEAKKFLEDFNVVSASPYNVLLEAYVDGGDFLVAKDLFGEMFEKDATDVWSWNILIRYLCENERMNDALKYLGKMIVSSATPDAATYSALIIGKCLSDAYEDALTLFRKVWAESWVLDHESYSQLVESLCRWKRGQEAVEVFSLMSSKRRALKSISFDMLMKGTCDCGNTARAIKLLSLAYYSGTPPSTATYNSIIRGLSKQSKVDHLLVMLARMTVEGCTLDKETYCTLIESMGSLGRSEDCLRLLNSMFNEGLSPNSGTLANLLSYLTKNSQLHMILPVVDKLVSKFGMCDSSAYNVLIRSLWRGGYRSKAGQLLDLMLEKGWVPDAGTHAVLMGSVDKDEKDTEICVCEDKVISILAEGLAEF >itb07g09950.t1 pep chromosome:ASM357664v1:7:10057541:10059449:1 gene:itb07g09950 transcript:itb07g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYRFHQYQVVGRALPSESDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKANGQVLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRHHCIQIIKTATVPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKASKPNLFV >itb07g07100.t1 pep chromosome:ASM357664v1:7:5383240:5385728:-1 gene:itb07g07100 transcript:itb07g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKASCDHSHHHHHHEINKGAWSKQEDQKLLDYIRKHGEGGWRDLPKAAGLLRCSKSCRLRWMNHLKQTAKRGNFGDDEEDLIIKLHALLGDRWSLIAGRLPGRTEEEVKNYWNSHIKKKLLDMGIDPNNHRLSCTYSRPHNIAAQTSAGKSRVTSPEKQRVESDGEVSDAGSSNVR >itb02g02880.t2 pep chromosome:ASM357664v1:2:1673047:1676102:-1 gene:itb02g02880 transcript:itb02g02880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATPSSTCSCSAGKGLVGFPAHRLFTYFPLRSRQINFPNQQPIPNLYRLGLAHYRGSSSIYCAARKGANATSSSSYSGSPFSSGWDDKPYEVLANGKISYLDEQDVVTFLDPPKELVPLDPSSYNPASYLWKKIGDIPEQRRHRLLSLLNPRLISRAWQIAGMRYDDPKLAKKSASSLLSDGNDATSLELWKCRTSGGLLTFFYMFPRASTHCLDKLLQQGCLPLWGWEDIWTIHWWINSGWNLKILSTIFYGKGIK >itb02g02880.t3 pep chromosome:ASM357664v1:2:1673047:1676102:-1 gene:itb02g02880 transcript:itb02g02880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATPSSTCSCSAGKGLVGFPAHRLFTYFPLRSRQINFPNQQPIPNLYRLGLAHYRGSSSIYCAARKGANATSSSSYSGSPFSSGWDDKPYEVLANGKISYLDEQDVVTFLDPPKELVPLDPSSYNPASYLWKKIGDIPEQRRHRLLSLLNPRLISRAWQIAGMRYDDPKLAKKSASSLLSDGNDATSLELWKCRTSGGLLTFFYMFPRFCYFI >itb02g02880.t1 pep chromosome:ASM357664v1:2:1673047:1676102:-1 gene:itb02g02880 transcript:itb02g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATPSSTCSCSAGKGLVGFPAHRLFTYFPLRSRQINFPNQQPIPNLYRLGLAHYRGSSSIYCAARKGANATSSSSYSGSPFSSGWDDKPYEVLANGKISYLDEQDVVTFLDPPKELVPLDPSSYNPASYLWKKIGDIPEQRRHRLLSLLNPRLISRAWQIAGMRYDDPKLAKKSASSLLSDGNDATSLELWKCRTSGGPLLIAWINYFNKAVFRCGDGKTYGRFIGGSILAGISKSYPLYFTVKESNEVMSTEHPCDLAYEFGNGLFDLPDLPEGFPKPAKHPWPFNDQVVIYVRHVGPGVIVGQAWQEGEALEQVPKKLCGEILMVKDYA >itb07g04860.t1 pep chromosome:ASM357664v1:7:3288506:3295735:1 gene:itb07g04860 transcript:itb07g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MKTMSGNEFRFFLSCDINLPVTFRIERLEGKLPAPKLPGSDGVDTVMNAIDDRKPELYVESTLYIDGAPFGLPMRTRLESRGPSYCWNELITLSTKYRDLTANSQLALTVWDVSCGKSEGVIGGATIHLFNMKKQLKTGKHKLRLWSGKEADGSIHTTTPGKVPKEERGELERLEKLVNKYERGQIQRVDWLDRLAFKAMEKIKERENNKNGSSHIYLVIDFCSFEHRVVFQESGANFLLPSPIASTNELVTVYDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSSTERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMHKWETIDMCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYCTYEILEESMLKLGGSVNGDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFDEPIRSPLTPGVLISGIIPSESSIFKSALHPLRLAFRTANGGCCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMMEFIPSKSLAQILSEHRSITSYLQKFHPDESGPFGITSTCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >itb07g04860.t2 pep chromosome:ASM357664v1:7:3288506:3295676:1 gene:itb07g04860 transcript:itb07g04860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MKTMSGNEFRFFLSCDINLPVTFRIERLEGKLPAPKLPGSDGVDTVMNAIDDRKPELYVESTLYIDGAPFGLPMRTRLESRGPSYCWNELITLSTKYRDLTANSQLALTVWDVSCGKSEGVIGGATIHLFNMKKQLKTGKHKLRLWSGKEADGSIHTTTPGKVPKEERGELERLEKLVNKYERGQIQRVDWLDRLAFKAMEKIKERENNKNGSSHIYLVIDFCSFEHRVVFQESGANFLLPSPIASTNELVTVYDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSSTERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMHKWETIDMCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYCTYEILEESMLKLGGSVNGDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFDEPIRSPLTPGVLISGIIPSESSIFKSALHPLRLAFRTANGGCCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMMEFIPSKSLAQILSEHRSITSYLQKFHPDESGPFGITSTCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >itb07g04860.t3 pep chromosome:ASM357664v1:7:3288576:3295735:1 gene:itb07g04860 transcript:itb07g04860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MKTMSGNEFRFFLSCDINLPVTFRIERLEGKLPAPKLPGSDGVDTVMNAIDDRKPELYVESTLYIDGAPFGLPMRTRLESRGPSYCWNELITLSTKYRDLTANSQLALTVWDVSCGKSEGVIGGATIHLFNMKKQLKTGKHKLRLWSGKEADGSIHTTTPGKVPKEERGELERLEKLVNKYERGQIQRVDWLDRLAFKAMEKIKERENNKNGSSHIYLVIDFCSFEHRVVFQESGANFLLPSPIASTNELVTVYDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSSTERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMHKWETIDMCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYCTYEILEESMLKLGGSVNGDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRHLLSGLLSELTYFDEPIRSPLTPGVLISGIIPSESSIFKSALHPLRLAFRTANGGCCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMMEFIPSKSLAQILSEHRSITSYLQKFHPDESGPFGITSTCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >itb11g09880.t1 pep chromosome:ASM357664v1:11:6733056:6734287:-1 gene:itb11g09880 transcript:itb11g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNLPVIAKRFWNVVRAALFMLRQGISKKKLMLDLNLRLKRGKIAAGKAAVHNLIFHPHHHASSSSSPAAAAADATKNDNCGGDLPGAAAFADPSEAYEFSCENSPARERRGGGGFHLPNLNYLSILKKLNKHLRISASSAHAPPPTEEEIMIAAEEIVLQSAMASPALPGFGRTPSVRQLRVTDSPFLFSDSGSSHVDEAAENFISKFYDDLRRQNAEQ >itb11g22270.t1 pep chromosome:ASM357664v1:11:24148955:24153088:-1 gene:itb11g22270 transcript:itb11g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDHDIDCCPIYISTMEDQHQRELHFLPTTTQPPSAAAAASTSNTQSWPSDSAVQKSRPSTDAFEGPSLDLQLSISVRPLKPPPDRAYKDAAKFDAGYVEALRWQAAEQIRLAAMEKAYAERVRELTRREIEMAQSEFARARSMWERAREEVKKAEQLKERATRRIDSTCMEITCHSCCQKFRPTS >itb11g22270.t2 pep chromosome:ASM357664v1:11:24148955:24150401:-1 gene:itb11g22270 transcript:itb11g22270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDHDIDCCPIYISTMEDQHQRELHFLPTTTQPPSAAAAASTSNTQSWPSDSAVQKSRPSTDAFEGPSLDLQLSISVRPLKPPPDRAYKDAAKFDAGYVEALRWQAAEQIRLAAMEKAYAERVRELTRREIEMAQSEFARARSMWERAREEVKKAEQLKERATRRIDSTCMEITCHSCCQKFRPTS >itb11g22270.t3 pep chromosome:ASM357664v1:11:24148955:24153122:-1 gene:itb11g22270 transcript:itb11g22270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQHQRELHFLPTTTQPPSAAAAASTSNTQSWPSDSAVQKSRPSTDAFEGPSLDLQLSISVRPLKPPPDRAYKDAAKFDAGYVEALRWQAAEQIRLAAMEKAYAERVRELTRREIEMAQSEFARARSMWERAREEVKKAEQLKERATRRIDSTCMEITCHSCCQKFRPTS >itb12g24230.t5 pep chromosome:ASM357664v1:12:25831254:25833384:1 gene:itb12g24230 transcript:itb12g24230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCCSESCGLSVAIALADMHECGARRAVKKAKIQCEGKTRKEPTKNGEEMRFQGQPRSAFRLFMEEFVKKCKDGNEIDVDRGGFETWRCMSKKERQPYELQARKICSAYWECLIMEENNMPLVDDEADSAEVGKYDKSYKDYMCSDDYDDSGEFLNFYSDESEGLNSHLKWYIEFLLQLSFYTNLQIYLHFCYQQTQLNLAF >itb12g24230.t1 pep chromosome:ASM357664v1:12:25831122:25833418:1 gene:itb12g24230 transcript:itb12g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRKRIHAIPIRRGPDGSAFQKCESCGLSVAIALADMHECGARRAVKKAKIQCEGKTRKEPTKNGEEMRFQGQPRSAFRLFMEEFVKKCKDGNEIDVDRGGFETWRCMSKKERQPYELQARKICSAYWECLIMEENNMPLVDDEADSAEVGKYDKSYKDYMCSDDYDDSGEFLNFYSDESEGLNSHLKWRLKNAWLFR >itb12g24230.t2 pep chromosome:ASM357664v1:12:25831122:25833384:1 gene:itb12g24230 transcript:itb12g24230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRKRIHAIPIRRGPDGSAFQKCESCGLSVAIALADMHECGARRAVKKAKIQCEGKTRKEPTKNGEEMRFQGQPRSAFRLFMEEFVKKCKDGNEIDVDRGGFETWRCMSKKERQPYELQARKICSAYWECLIMEENNMPLVDDEADSAEVGKYDKSYKDYMCSDDYDDSGEFLNFYSDESEGLNSHLKWYIEFLLQLSFYTNLQIYLHFCYQQTQLNLAF >itb12g24230.t3 pep chromosome:ASM357664v1:12:25831130:25833418:1 gene:itb12g24230 transcript:itb12g24230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRKRIHAIPIRRGPDGSAFQKCESCGLSVAIALADMHECGARRAVKKAKIQCEGKTRKEPTKNGEEMRFQGQPRSAFRLFMEEFVKKCKDGNEIDVDRGGFETWRCMSKKERQPYELQARKICSAYWECLIMEENNMPLVDDEADSAEVGKYDKMKVKASTPI >itb12g24230.t4 pep chromosome:ASM357664v1:12:25831130:25833418:1 gene:itb12g24230 transcript:itb12g24230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCCSESCGLSVAIALADMHECGARRAVKKAKIQCEGKTRKEPTKNGEEMRFQGQPRSAFRLFMEEFVKKCKDGNEIDVDRGGFETWRCMSKKERQPYELQARKICSAYWECLIMEENNMPLVDDEADSAEVGKYDKSYKDYMCSDDYDDSGEFLNFYSDESEGLNSHLKWRLKNAWLFR >itb08g14400.t1 pep chromosome:ASM357664v1:8:16200884:16201519:1 gene:itb08g14400 transcript:itb08g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDAYGEGLRLVLRRWATKKTVGSTKNGRDSKPKNLGVKKFGGEMVIPGNIIVRQRGTRFHPGDYVGIGKDLTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADPELKTAT >itb14g03870.t1 pep chromosome:ASM357664v1:14:3488353:3492162:-1 gene:itb14g03870 transcript:itb14g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKQISAWEGYVDWRRKPALIGKHGGMLAASFVLVVEVLENLAFLANASNLVLYLSQHMHFSPSQSANSVTNFMGTAFMLALLGGFLADAFFTTYHIYVLSAFLELLGLVVLTIQAWSASLKPARCDVTSKTCEAVHGGKAAVLFTGLYLVALGVGGIKGSLPTHGAEQLDQETSQGRKQRSTFFNYFVFCLASGALVAVTLVVWVEDNKGWQWGFGISTFAIFLSIPVFLSGSKFYRNKVPSGSPLTTISKVLVAAAFFNSNNGSRNSRNAAMAITHSQPIHRSREGDIEEPQSLKFPSESLGVLNRAVLKGCSVDEVEEVKVVIKILPIFICTIMLSCCLAQLSTFSVHQASTMDTSLGSLKVPPASLPVFPVLFIMLLAPVYDHVVIPFARKVTKTEMGVSHLQRIGVGLFLSVIAMAVAALVEVKRKRVAATSGLFDSKKPLPVTFFWIAFQYLFLGSADLFTLAGLLEFFFAEAPGSMRSLATALSWASLAMGYYLSSAMVSIVNSVTGALNHNPWLSGQNLNHYRLERFYWLMCILSLFNFIHYLFWASRYKYRSTK >itb08g15670.t1 pep chromosome:ASM357664v1:8:17841980:17845438:1 gene:itb08g15670 transcript:itb08g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKVIIFILFFLKKKKQILYTQIQPNPTHLLCRSHPSRLSASPIPLLGVSAFPLLLPHHLRHSASPPSPSPLIHPRRLRLSTLSVSASPPSAFPILLLGDSASPLFLPAISAPLLLHQRLRFSSPGVFDSLPRRTHSLIYQFRFSAPGTFGSLPSALSISSSSLITPAKQSIEQVVCLNCFIVNRLLLLPGAPLKPPFVAVDFRPSATLLVYCTGLSFYTTNEELRRLFLPFGDIKEARLVIDHRTQRPKGFGFVTFESETDAQKSRML >itb12g25070.t1 pep chromosome:ASM357664v1:12:26372960:26375264:1 gene:itb12g25070 transcript:itb12g25070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISSGDVEDNICKFAKRGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >itb10g00670.t1 pep chromosome:ASM357664v1:10:448052:450625:1 gene:itb10g00670 transcript:itb10g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MTQSLFPLLFFPSSSLIYTLFIFLPFSYSQFWTIFIFMEPSSDDQPRTSDLASSSGEGSRSSAAGPPAQSPVGFMGKHRMAAAVASLDHQIQLIQEELQRLETLGESSIVCKELVSSVESAPDALLPVTKGPKEVGWDRWFQGANGSRRQKRWI >itb10g00670.t3 pep chromosome:ASM357664v1:10:448052:449104:1 gene:itb10g00670 transcript:itb10g00670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MTQSLFPLLFFPSSSLIYTLFIFLPFSYSQFWTIFIFMEPSSDDQPRTSDLASSSGEGSRSSAAGPPAQSPVGFMGKHRMAAAVASLDHQIQLIQEELQRLETLGESSIVCKE >itb10g00670.t2 pep chromosome:ASM357664v1:10:448052:449701:1 gene:itb10g00670 transcript:itb10g00670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MTQSLFPLLFFPSSSLIYTLFIFLPFSYSQFWTIFIFMEPSSDDQPRTSDLASSSGEGSRSSAAGPPAQSPVGFMGKHRMAAAVASLDHQIQLIQEELQRLETLGESSIVCKELVSSVESAPDALLPV >itb03g16730.t1 pep chromosome:ASM357664v1:3:15582503:15583018:1 gene:itb03g16730 transcript:itb03g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCGEFLNAGTDSTATALQWIMANLVKHPSIQAKLYEQILGVIGAAEEEGVKEEELQRMPYLKAVVLEGLRRHPPAHFLPPHSVTQEVELEGYVIPKNTLINVAVADLGWDPAVWEDPMEFKPERFLSRGGEAFDLSGSREIKMMPFRCREENLPRLGFVSAAFGVLCG >itb10g01130.t1 pep chromosome:ASM357664v1:10:843579:847460:1 gene:itb10g01130 transcript:itb10g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNNNGGSRLRRRLILIFSCVILSIGQCGGPLLTRLYFLHGGKRVWFSTFLQTASFPATFIPLAAAYFQRRKKHGPSAAKITFLTPKIFLASAFMGLVIGIDGYMNAYGVSKLPVSTSSLLLATQLAFTAGFAFVLVRQRFTPFSVNAVVLLTAAAGILAMGASGDRPAGESTKQYVLGFVLTLLAAALYGFFLPLVEYTYKKAKNGMTYTFVLEMQSVMCLVATAFCTVGMIVNKDFQAIPREAKAFDLGEGMYYVVVIWSAIVWQLLIIGTVGVICYGSSLLSGILLATLLSVTEVLAVIFYREKFGAEKGISLALSLWGFVSYFYGEIKSNKEADTQIVQESEMSQRETLPM >itb10g23550.t1 pep chromosome:ASM357664v1:10:27846110:27849215:-1 gene:itb10g23550 transcript:itb10g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAMVSASNTVTASMLFRGPLRRPNAFNLCFKNVPSQKRRLYTCRAIYNPQVQIKEEGQPETLDYRVFFVDNSGKRVSPWHDVPLHLGDGVFNFIVEIPKESSAKMEVATDEQHTPIKQDTKKGKLRYYPYNIHWNYGLLPQTWEDPSFANSEVEGAFGDNDPVDVVEIGEKRGKIGEILKVKPVAALAMIDEGELDWKIVAISLDDPRASLVNDVEDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKPANKDYALKVITETNESWAKLVQRSIPSGELSLV >itb13g06730.t1 pep chromosome:ASM357664v1:13:8170196:8175176:-1 gene:itb13g06730 transcript:itb13g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSLSMLPLLLCISLLLGFCSAEDPFVFYDFEVSYITASPLGVEQQVIAINGKFPGPTMNVTTNNNVVVNVHNKLDEDLLMHWSGIQMRKSSWQDGLLGTNCPIPSKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGITVNNREIIPIPFDTPDGDITIMIGDWYTRNHTALRKTLDDGKSLGMPDGVLINGKGPYRYNNSLVPDGIDYETITVHPGKTYRIRVSNVGISTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQTYSFLLTTDQNASSDYYIVASARFVNQTTWQRVTGVAILKYTNSKGKAHGPLPDPPQDQYDKTYSMNQARSIRWNVTASGARPNPQGSFRYGSINVTELYVIQNKPPIKIDGKQRTTINGISFANPKTPIRLADWFKVKGVYKLDFPTKPLTGPPKIETSVINGSFRGFMEIILQNNDTKVHTYHLSGYAFFVVGMDYGEWTENSRGTYNKWDGIARTTAQVYPGAWTAILISLDNVGIWNLRTENLDAWYLGQETYLRVVNPEVTNKTELPIPDNALYCGALQKMQKPQEISSGTLGNAPKLIVAVVMVAISALISLF >itb06g25380.t1 pep chromosome:ASM357664v1:6:26471423:26478918:1 gene:itb06g25380 transcript:itb06g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQQPSASSPIHSQNPTGLSSDNKVESRSSANSNQTNSAKNNGNNDSNSNHFVVRRERPARECTKRAAARLQAAAAAEADAEAAAKERRKKAAARKERLAARLLREEEEEEEEKSNGSEEDEEGVEGEDGSPSSSRQQCGKVVTPLVGEPEPSQLPRWNLRSMWQLASILNFLNVFRPLLNIKAEFSVEEFETALITPNNTLADIHIPLMKAIPPVTRMALGRHTWVTVLCRKLRDWWHWVAEGEVPIVASHGAEVDAYNTLDPAVRVVILKALCDIRVEQEDIRNYIDESLKQGFQLSLFRKQRIGGDSHGISYWYEDDPVIGHRLYREIRKVEVKKGKGKNVPPIPNSCYQWETVATSLDEFQDVSEKLFSSSNRTEISVGKKLKNDMLPEVEKVHKKKEKLLKKQHRQALMLDNMINMDGLLAGRSLRDRKPVTYTFDDYDRSINEAIKVTKKKQPSPDPNLRRDSSLKHEDTANGRWAGPSEFTHVSFKLHSPKSPENDGIDNYHEAEPLDRGNRQRHKPQRYSTQEFVEAISDNDADFDSDDDIVGEVVYDEEYLRRRKKRRKMSSSSEGDEEYHWDEENIEEEEEEDEDEDSLSASEDSDEPQKFKKLPGRTRRESKLRSVGSLQSGLRRSNRATRNRINYKQYELSDSENESMKPETSNASDEHYNATDNENENDNADSSSESAESKEDDNNEEMKIDPHVAMPSEEAITKEEAEAEADADLPKKPPSPDQDEVEGGQKRHFLDLNELAPGSGFDDAPPNPEVKDNGAADDF >itb08g02340.t1 pep chromosome:ASM357664v1:8:1863293:1866559:1 gene:itb08g02340 transcript:itb08g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMNDNVAMERVFFLLILCSLSSIASSQSDTLKQGDVLKASDESNLLVSASNTYSLGFFMPERTKRTYLAIRLAYSDWDKPVWIGNRDAPLPTISSASLHIDAYGRLILAHNEEQDNSYLLSSKQTSLNVTATLLNSGKLVLREVNTDGSFGEELWSSFDNPTDTLLPGMKLGVDHRTGRNWALRSWQDDDIPWTGAYSLEWEPSKRRLVIKYGGVVRWTSGELMTASNFQHIRASQNYKFVNISTKEEEHFSYLFTFNPYLPQPDFLLQGWRLDALGILSITSDGGSIMDVGECYGYENETQQSKGCELWEQPKCRGDGQTFEERYGRFVFHTENEALQIQATPISNCSDSPSDCREYCWNDCHCVGYRSNNEGVCECWMGTSSLQFEEDTTGNAVESVYVLNRPAEGKSRIKKWICRILIPTAISLLLLGFLLLWWRRRKQDQNPIGYLSWKRRINIIEGIAQGLLYLHMYSRVRIIHRDMKVSNILLDENMNPKISDFGIAKILKQNATEANTMRLVGTFGYMAPEYVLHGVFSMKSDVYSFGVLVLEIVSGKKNNGFHCEDGPLNLVEHAWELWNKDAVLQLVDPSLISNLCGNEEQLRRCINVGLLCVEDSAVDRPSMADVVSMFTNENLALPKPKKPAFVSRFGVSDTFQDGQSRKFTVNELSISTMEAR >itb01g16120.t1 pep chromosome:ASM357664v1:1:19984945:19986313:-1 gene:itb01g16120 transcript:itb01g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEAEKPSSGNELTRKIIDEFTGAGLIGKVCQVIGVVIDVRFDEGLPSILTALEVLDNKIRLVLEVAHHLRENMVMDNCLFAWLCFDRFGRLGFVLLDQGNLV >itb02g17050.t1 pep chromosome:ASM357664v1:2:13047331:13051907:-1 gene:itb02g17050 transcript:itb02g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGNDPRLPSAAKPYKPQPVASQDLPMDYSGFIAVVFGVFGAMFRYKICSWLAIIFSAQSLANMKNIETDLRQISMAMMFGIMGLVTNYLGVGPRSSKK >itb04g33810.t1 pep chromosome:ASM357664v1:4:35940895:35941385:-1 gene:itb04g33810 transcript:itb04g33810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRTIGTRSSSNSLISPASPEGFNGAVMSPGTSAASPLLNASDSHRPLFATPPPSAVRDTKSRRRRLKTSNRSNDQSQSALPPPPSSDATPNAAAVHPPNHAAKQSSRSRSSASPSVLMHHRSSIVEGN >itb13g21630.t1 pep chromosome:ASM357664v1:13:28198894:28201440:1 gene:itb13g21630 transcript:itb13g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGTKGTEGRIVGVAVDFSACSRKALKWTIDNLIRNGDNLVLVTVQPEGHYEEGEMQLWEATGSPMIPLAEVADPHTMNKYGIKPDAETLDIVSTASRQKGIIVVMKIFWGDPREKLCEAVDRTPLSCLVIGNRGLGTLKRAIMGSVSNYVVNNADCPVTVVKVSDN >itb03g08480.t1 pep chromosome:ASM357664v1:3:6370211:6374339:-1 gene:itb03g08480 transcript:itb03g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESPEREERKWRITGKDFSQEMKKVGCIAAPMVAVAVFQYLLQVASVMMVGHLGQLSLSSVAIATSLTNVTGFSLLSGLVGGLETLCGQAYGAQQYHKLSVYTYSAIISLFLVTMPISVLWIFMDKLLVLVGQEPSIAIEAKKYSLWLIPALLGGAIQKPLVRYLQTQSLTRPLLLSSLAALCFHVPVCWAMIFKLGLGNKGAAIAFSLANWLYIVILVVYVRYSSSCEKTRAVPSMDSFRVIGQFFRLAVPSAVMVCLKWWSLELLILLSGLLPNPKLETSVLSICLTISTLHFTVSYGFGAAASTRVSNELGAGNPHKARLAACTVTFVAAVETFTVSAALFLCRHFVGRAYSSEKQVVDYIAAMGLLLCISIVTDTFQAVISGIARGSGWQHIGAYVNLGAFYLIGIPVSLVLGFILHQRAKGFWIGIVIGSAVQAAVLSTITAFTDWQEQATTAEERISEGRESQDKFSH >itb04g25360.t1 pep chromosome:ASM357664v1:4:29934219:29936763:1 gene:itb04g25360 transcript:itb04g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKFCVDDSDRALVEGVLGRHAVDFFTWSASNNVLSDFVASSGCLGVQRELCKIVEESDWAYAIYWQVAKSKSGKSALIWGDGHCSELNRRLSEERNVNQTLVDGDKKKQVLRKICGCFGGSEEDNVGNKLDFVSDMEMFYLTSMYYVFPFDVPSSPSQSFNSSRTIWAADTRSCSEHYQSRSHLAKLAKFETLVFIPLKSGVVELGSTKAIPEDQNVIKMTQKIVVVSAHAPAKAIPKIFGQELSLGGAKSGPISISFAPKLEEELGGHPSDSYDINALGSSQVYGNLSNGYRSDDGDGKLLPQVNQVMVGGLSSQALVSGFEQASEDALLQPDVQKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITDLQARVRIMEAEREVPTNQPKPLSVSDIEFHQRADDAVIRVGCPLDTHPISRVIKAFQEHQLTAQESSMSISENGEVIHTFSIQTQGAPAEEMKEKLAAALAK >itb01g20350.t1 pep chromosome:ASM357664v1:1:26604765:26606627:1 gene:itb01g20350 transcript:itb01g20350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKFSVVKPCKPPALFPADAVPLIDLSKPDSKHLLVKACEEFGFFKVVNHGVPSDFIATLESEALRFFSAPLSEKLKAGPPDPFGYGNKNVGACGDIGWVEYILLSARHPQLNFQKFSSVMGLSTENFRAAVDEYVRAVKRMGCEILELLADGLMIHPRNVFSKLLMDEQSDSVFRLNHYPPCPELGKNPIGFGEHTDPQIISVLRSNNTSGLQIALNDGSWMSVPPDQHSFFINVGDSLQVMTNGRFKSVRHRVLSNSAKSRLSMIYFGGPPLNEKIAPLPSLMEADQDSLYREFTWFEFKKSAFSSRLAVNRLVPFEKIAAS >itb01g20350.t2 pep chromosome:ASM357664v1:1:26604765:26606627:1 gene:itb01g20350 transcript:itb01g20350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKFSVVKPCKPPALFPADAVPLIDLSKPDSKHLLVKACEEFGFFKVVNHGVPSDFIATLESEALRFFSAPLSEKLKAGPPDPFGYGNKNVGACGDIGWVEYILLSARHPQLNFQKFSSVMGLSTENFRAAVDEYVRAVKRMGCEILELLADGLMIHPRNVFSKLLMDEQSDSVFRLNHYPPCPELGKNPIGFGEHTDPQIISVLRSNNTSGLQIALNDGSWMSVPPDQHSFFINVGDSLQVHRHHDVNVVVFFKS >itb10g15420.t1 pep chromosome:ASM357664v1:10:21648813:21651079:-1 gene:itb10g15420 transcript:itb10g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEEKMAQNLTEGTDGLLSVELPAPPSWKKLLMHSGGKVVFIAPTGEEITSKRQLSQYLKSHPGNPAPSTFDWGTGDTPRRSARISGKVKANPSTPETEMPKKRRRMTSGRKDSQQETGETESVEKKSLAASNEEGEKEVKETPNVESAEHEDVEKGNSEDNKLQDGAEKENASEVEVEVEIGGNANGNDRTSWEANNTEQASSAEGKGEGKPGSGEAPEKIDATEKESSAAVEDETKEIQENDSDLKLQDEKAGNSRNGLVQGFANTPHHPSPAPISC >itb06g12220.t1 pep chromosome:ASM357664v1:6:16780437:16784867:-1 gene:itb06g12220 transcript:itb06g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPGFRFHPSDEELITYYLSNKVSDFTFTAKAIADVDLNKCEPWDLPGKASMGEKQWYFFSLKDRKYPTGLRTNRATEAGYWKTTGKDKEIFCGGVLEEWIVCRVFEKSSTAKKPQATSSSPQSPESPCDTTTFASELEDISDPSNLSWQNNNYFNTPNPITTLPLLPWPPALLTPTTNLSSVNSMLFRALQLRANQAPIATTFGYSHTPQGSDNHHHHLLTQFENDFNNFAVTTASSSMVLDSVNQQEPPMEQPYRLDSNIW >itb09g30010.t1 pep chromosome:ASM357664v1:9:30704061:30706434:-1 gene:itb09g30010 transcript:itb09g30010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFKLRPHLTEFIDPHEWLRRHESSYDSKLGLMQKDKIEVSKWLSGIAKRMEKIRMLVSQFTPQPPEYPSINYESKIWDEKRVSNTSACNDMCIEESLLISPMIASLKKSYDHLPTNLKLRSLCLAAFPETFLINKMPLIYWWMAEGFITETEEEEEEGGEENFMELIRLGFIQPFYEDEAKRLSSLRVVDACTVHPWIRRMLVSIAMDTQFFEFYGLGGQGQEKNKISTNPAASDFVGRPAHACLFWDEGAEDENYTFMWIIKILRWIKPVLVVCEKEIINKEIKQVRILDDDDDDDDGLGVKGYRHMQEVHVLEMQVYHRKRNCHELQRTREQLFARYDLSGSSSSSSGPLHRQCGGKAPLLDIINVNQGYLNLEELLLFCGKLNKLRTLHLGTWNHRQYTTKIENSLVLNALFQVGSCKHLKYLSLRGVEGITSLPPSISNCCNLQLKHSSLWVVVAKLMLLRTLKGVALTQQDDADLISQITPHNLMKLSIIVNFNFNKVCNLQRFSNLHALKITLPSLGGDFLVYRYGFELPPQLKKLQLISYPGYQNWPAWLKRHPTLETLRFSYSWRITAFPDDLMLPKLQVLWLTNVSEFEKSIMELGMPRWFPSLRALFEGPDGDRIW >itb09g26200.t2 pep chromosome:ASM357664v1:9:26541079:26542364:1 gene:itb09g26200 transcript:itb09g26200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATYARSQGKTPISFGPTDLVCCRNLQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNPPPDSDGNSTVSRTLSGHKGYVSSCQYVPNEDAHLITSSGDKTCG >itb09g26200.t1 pep chromosome:ASM357664v1:9:26540898:26542364:1 gene:itb09g26200 transcript:itb09g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHMAATQTVNSLRERLKEKRLLLLDTDVATYARSQGKTPISFGPTDLVCCRNLQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNPPPDSDGNSTVSRTLSGHKGYVSSCQYVPNEDAHLITSSGDKTCG >itb11g07590.t2 pep chromosome:ASM357664v1:11:4669748:4676381:1 gene:itb11g07590 transcript:itb11g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/TrEMBL;Acc:A0A178UAR7] MAQWLKGLPLAPEFRPTDTEFSDPIAYISKIEREASAFGICKVIPPFPKPSKKYVLHNLNKSLSKSPELGSDVKVSTSAKMSDGDKGNGDRGEVRAFFTTRRQELGRSEKKKMKGMAGSQPFGAQKQVWQSGEVYTLDQFEAKSKAFARNQLGTAKDVSPLAVEAMFWKAVSQEPVCVEYANDVPGSGFGEPQGVSNIYRKKRRRRKRAVFDRNNTRTCDSKNEVDILDSDNIDKDSCCASPNLCTESPSACSTSSQQSQISSVPGPKGLSDSNDVEGTAGWKLANSAWNLQVIARSPGSITRYMPDDIPGVTSPMVYVGMLFSWFAWHVEDHELHSLNFLHMGSPKTWYAVPGDYAFKFEEVIRVHAYGDSTDRLAALTLLGEKTTLLSPEVIVSSGIPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFGTPEWLTVAKDAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPKSLLPGVRSSRLRDRQKEERETLVKKAFVQDVIKENELVTILLQKNSSYQAVLWDVNMLPSSTKEFELQKRVGVDVKTTKGSEQTENYDSQDLLSQMNSYMESLSDFCVDDDDLSNDFHIDSGALPCIACGILGFPFMAVVEPSEKAAKNFFLEDCHTMQNIGDLKDVESHSHSLQDDIVEGNGADRSMPHKRFALHSQEMCSRADSRPSSVSHMEDQPPLDDHSISPRNVAVNLEGKQDVYNKFLRPHVFCLEHAIQTEELLRSRGGAKVLIICHSDFQKIRAYAACIAEEMDAASVYNEIPLDNASDEHLRFIDLAIEDGNSECVEDWTSKLCINLLHSVKLCRNYPAKKLQYALILSRLFPETTLSTKCLSFKWESRKVRSKRKLNCQAESKPSLSLKIEEEKGLGAKIDVQTVRERNIIIQYTRKRYKLKPCASTDVSKAFVESNTLIPHEISNADEKARCESESTPIRNGCTGAGSLDTRVCTIASKEMPELQLECQTLTMEDQNETSHSKHSPVVTTVVVENPLAHPKDSKSEKPDMDLPDPLIESNKLPLLHEVNDVESSVHIERVNFEASACSVVTAVCSAEVSESLKQPDCTEISITEKAIDLPRMHGSEKGRDCNILTDGFVTGVYAPTNSSGSCSDCPSEQRSDELAEQIAEGQVGSGVEASDFTKFHKKIGHEIQSVQDLDDANHLVVRPSSTANGGKRRRELDMLIGNRGRNAGVFVKSPCEGLRPRAKKDDTSGCTGDSKIIVDEKPSARKLRNCSEKSSTCKDKKEQTKGSHRCDFEGCRMSFQTKTELSLHKRNRCPVDGCGKKFNSHKYALLHQRVHEDDRPLKCPWKGCTMSFKWAWARTEHLRVHTGERPYKCKVEGCGLTFRFVSDFSRHRRKTGHHSR >itb11g07590.t1 pep chromosome:ASM357664v1:11:4669454:4676969:1 gene:itb11g07590 transcript:itb11g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/TrEMBL;Acc:A0A178UAR7] MKNVEMAQWLKGLPLAPEFRPTDTEFSDPIAYISKIEREASAFGICKVIPPFPKPSKKYVLHNLNKSLSKSPELGSDVKVSTSAKMSDGDKGNGDRGEVRAFFTTRRQELGRSEKKKMKGMAGSQPFGAQKQVWQSGEVYTLDQFEAKSKAFARNQLGTAKDVSPLAVEAMFWKAVSQEPVCVEYANDVPGSGFGEPQGVSNIYRKKRRRRKRAVFDRNNTRTCDSKNEVDILDSDNIDKDSCCASPNLCTESPSACSTSSQQSQISSVPGPKGLSDSNDVEGTAGWKLANSAWNLQVIARSPGSITRYMPDDIPGVTSPMVYVGMLFSWFAWHVEDHELHSLNFLHMGSPKTWYAVPGDYAFKFEEVIRVHAYGDSTDRLAALTLLGEKTTLLSPEVIVSSGIPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFGTPEWLTVAKDAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPKSLLPGVRSSRLRDRQKEERETLVKKAFVQDVIKENELVTILLQKNSSYQAVLWDVNMLPSSTKEFELQKRVGVDVKTTKGSEQTENYDSQDLLSQMNSYMESLSDFCVDDDDLSNDFHIDSGALPCIACGILGFPFMAVVEPSEKAAKNFFLEDCHTMQNIGDLKDVESHSHSLQDDIVEGNGAVDRSMPHKRFALHSQEMCSRADSRPSSVSHMEDQPPLDDHSISPRNVAVNLEGKQDVYNKFLRPHVFCLEHAIQTEELLRSRGGAKVLIICHSDFQKIRAYAACIAEEMDAASVYNEIPLDNASDEHLRFIDLAIEDGNSECVEDWTSKLCINLLHSVKLCRNYPAKKLQYALILSRLFPETTLSTKCLSFKWESRKVRSKRKLNCQAESKPSLSLKIEEEKGLGAKIDVQTVRERNIIIQYTRKRYKLKPCASTDVSKAFVESNTLIPHEISNADEKARCESESTPIRNGCTGAGSLDTRVCTIASKEMPELQLECQTLTMEDQNETSHSKHSPVVTTVVVENPLAHPKDSKSEKPDMDLPDPLIESNKLPLLHEVNDVESSVHIERVNFEASACSVVTAVCSAEVSESLKQPDCTEISITEKAIDLPRMHGSEKGRDCNILTDGFVTGVYAPTNSSGSCSDCPSEQRSDELAEQIAEGQVGSGVEASDFTKFHKKIGHEIQSVQDLDDANHLVVRPSSTANGGKRRRELDMLIGNRGRNAGVFVKSPCEGLRPRAKKDDTSGCTGDSKIIVDEKPSARKLRNCSEKSSTCKDKKEQTKGSHRCDFEGCRMSFQTKTELSLHKRNRCPVDGCGKKFNSHKYALLHQRVHEDDRPLKCPWKGCTMSFKWAWARTEHLRVHTGERPYKCKVEGCGLTFRFVSDFSRHRRKTGHHSR >itb01g14410.t1 pep chromosome:ASM357664v1:1:16229256:16229753:-1 gene:itb01g14410 transcript:itb01g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLEEEGQAQVQEGQVNGSPLHSTGEALPRIRTNQHQKQTVERQFSFANLQYADAQPNKQQRYIPPHRGGFTSRGGTSRQAAAETEHTVVRGFNKGKKVVSSVVGNEAVQPEGSHFIPDSSLMGDPPDNPMSFARRDDPPDDVMEGVENDPGGDPEAEGPLRH >itb07g00700.t3 pep chromosome:ASM357664v1:7:431899:438668:-1 gene:itb07g00700 transcript:itb07g00700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVNKYPKIVENAVEDDESSVDFDNFYVDMNGIIHACFHPEDDLFPPTTYEEVFQKIYDYIDRLFNIVRPRKLLYLAIDGVAPRAKMNQQRARRFRTAKDKEIIEEEEMKLREKYKREGKIVLEKEESEVEDSNVITPGTLFMYILSEKLQIYIQERIRENPGWKNIKVILSDSNVPGEGEHKINSFIHAQRMFPGYNPNTRHCLYGLDADLIMLALASHELHFSVLRENVLTVNDQSNHLSSLELSMRKAEDPMTNSRGWFKQCDSLANKSDKGKQIHATKKDFQFLKVWVLREYLDLDIRAKLPEDCKADLERVVDDFVFICFFAGNDFLPHMPTLEIHEGALDLLLYVYKKEFKNPGDYLVDMERVGNVRGYIKLSRVERFILSVGQFEEKIFKKRMEIREKRVRRVLLESRDDQDAEEVNMDNVVNQFDVAVSLGSDNQETSDISLALSNTKDLKQELKDIIRSNADTFKYGGAVDKVKFGEAGWRERYYTEKFKVESGGDIESTRKAVVAKYTEGLHWVLLYYYKGVPSWNWFYPYHYGPSASDLKGMSQTKVKFQNGQPFKPFDQLMSVLPPRSAHALPEAYGFLMQDENSNIVEFYPTVFDTDLDGKRFAWQGISKLPFIDEERLLVETRKLDKDLTDLDRKRNMEAAELLYMEGSCKLALHIMSSFKNCKGLQENDAIQIGLALSDGVSGLVWSKDYCTNDLPMDTLCVFYRTLPCSSSLPRVLEGTDFPEQTVFEADIGETVLWHELNGRPGCSSSHRYHNHGSSVGRASNSPRNHRNSVPDEFVKGSGCGWAGRGGRHFSEPELQNPNNFPRYSPSRPVVNSRIHPSSSPRERPSSMTAYSRQPIGTPSNQVYRPRSPVVVPLQSFCAPSVSPWGRGNAGPRNSGLESQWRVPAPVAAVAPADYPWRNAGPRNSGLENQWRMAAPPAAPTDSPWIRVNAGSRNSGVENQWRATAPAAVSRGGQPVWNNQNNRR >itb07g00700.t4 pep chromosome:ASM357664v1:7:431899:438668:-1 gene:itb07g00700 transcript:itb07g00700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVNKYPKIVENAVEDDESSVDFDNFYVDMNGIIHACFHPEDDLFPPTTYEEVFQKIYDYIDRLFNIVRPRKLLYLAIDGVAPRAKMNQQRARRFRTAKDKEIIEEEEMKLREKYKREGKIVLEKEESEVEDSNVITPGTLFMYILSEKLQIYIQERIRENPGWKNIKVILSDSNVPGEGEHKINSFIHAQRMFPGYNPNTRHCLYGLDADLIMLALASHELHFSVLRENVLTVNDQSNHLSSLELSMRKAEDPMTNSRGWFKQCDSLANKSDKGKQIHATKKDFQFLKVWVLREYLDLDIRAKLPEDCKADLERVVDDFVFICFFAGNDFLPHMPTLEIHEGALDLLLYVYKKEFKNPGDYLVDMERVGNVRGYIKLSRVERFILSVGQFEEKIFKKRMEIREKRVRRVLLESRDDQDAEEVNMDNVVNQFDVAVSLGSDNQETSDISLALSNTKDLKQELKDIIRSNADTFKYGGAVDKVKFGEAGWRERYYTEKFKVESGGDIESTRKAVVAKYTEGLHWVLLYYYKGVPSWNWFYPYHYGPSASDLKGMSQTKVKFQNGQPFKPFDQLMSVLPPRSAHALPEAYGFLMQDENSNIVEFYPTVFDTDLDGKRFAWQGISKLPFIDEERLLVETRKLDKDLTDLDRKRNMEAAELLYMEGSCKLALHIMSSFKNCKGLQENDAIQIGLALSDGVSGLVWSKDYCTNDLPMDTLCVFYRTLPCSSSLPRVLEGTDFPEQTVFEADIGETVLWHELNGRPGCSSSHRYHNHGSSVGRASNSPRNHRNSVPDEFVKGSGCGWAGRGGRHFSEPELQNPNNFPRYSPSRPVVNSRIHPSSSPRERPSSMTAYSRQPIGTPSNQVYRPRSPVVVPLQSFCAPSVSPWGRGNAGPRNSGLESQWRVPAPVAAVAPADYPWRNAGPRNSGLENQWRMAAPPAAPTDSPWIRVNAGSRNSGVENQWRATAPAAVSRGGQPVWNNQNNRR >itb07g00700.t1 pep chromosome:ASM357664v1:7:431899:438668:-1 gene:itb07g00700 transcript:itb07g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVNKYPKIVENAVEDDESSVDFDNFYVDMNGIIHACFHPEDDLFPPTTYEEVFQKIYDYIDRLFNIVRPRKLLYLAIDGVAPRAKMNQQRARRFRTAKDKEIIEEEEMKLREKYKREGKIVLEKEESEVEDSNVITPGTLFMYILSEKLQIYIQERIRENPGWKNIKVILSDSNVPGEGEHKINSFIHAQRMFPGYNPNTRHCLYGLDADLIMLALASHELHFSVLRENVLTVNDQSNHLSSLELSMRKAEDPMTNSRGWFKQCDSLANKSDKGKQIHATKKDFQFLKVWVLREYLDLDIRAKLPEDCKADLERVVDDFVFICFFAGNDFLPHMPTLEIHEGALDLLLYVYKKEFKNPGDYLVDMERVGNVRGYIKLSRVERFILSVGQFEEKIFKKRMEIREKRVRRVLLESRDDDAEEVNMDNVVNQFDVAVSLGSDNQETSDISLALSNTKDLKQELKDIIRSNADTFKYGGAVDKVKFGEAGWRERYYTEKFKVESGGDIESTRKAVVAKYTEGLHWVLLYYYKGVPSWNWFYPYHYGPSASDLKGMSQTKVKFQNGQPFKPFDQLMSVLPPRSAHALPEAYGFLMQDENSNIVEFYPTVFDTDLDGKRFAWQGISKLPFIDEERLLVETRKLDKDLTDLDRKRNMEAAELLYMEGSCKLALHIMSSFKNCKGLQENDAIQIGLALSDGVSGLVWSKDYCTNDLPMDTLCVFYRTLPCSSSLPRVLEGTDFPEQTVFEADIGETVLWHELNGRPGCSSSHRYHNHGSSVGRASNSPRNHRNSVPDEFVKGSGCGWAGRGGRHFSEPELQNPNNFPRYSPSRPVVNSRIHPSSSPRERPSSMTAYSRQPIGTPSNQVYRPRSPVVVPLQSFCAPSVSPWGRGNAGPRNSGLESQWRVPAPVAAVAPADYPWRNAGPRNSGLENQWRMAAPPAAPTDSPWIRVNAGSRNSGVENQWRATAPAAVSRGGQPVWNNQNNRR >itb07g00700.t2 pep chromosome:ASM357664v1:7:431899:438668:-1 gene:itb07g00700 transcript:itb07g00700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVNKYPKIVENAVEDDESSVDFDNFYVDMNGIIHACFHPEDDLFPPTTYEEVFQKIYDYIDRLFNIVRPRKLLYLAIDGVAPRAKMNQQRARRFRTAKDKEIIEEEEMKLREKYKREGKIVLEKEESEVEDSNVITPGTLFMYILSEKLQIYIQERIRENPGWKNIKVILSDSNVPGEGEHKINSFIHAQRMFPGYNPNTRHCLYGLDADLIMLALASHELHFSVLRENVLTVNDQSNHLSSLELSMRKAEDPMTNSRGWFKQCDSLANKSDKGKQIHATKKDFQFLKVWVLREYLDLDIRAKLPEDCKADLERVVDDFVFICFFAGNDFLPHMPTLEIHEGALDLLLYVYKKEFKNPGDYLVDMERVGNVRGYIKLSRVERFILSVGQFEEKIFKKRMEIREKRVRRVLLESRDDDAEEVNMDNVVNQFDVAVSLGSDNQETSDISLALSNTKDLKQELKDIIRSNADTFKYGGAVDKVKFGEAGWRERYYTEKFKVESGGDIESTRKAVVAKYTEGLHWVLLYYYKGVPSWNWFYPYHYGPSASDLKGMSQTKVKFQNGQPFKPFDQLMSVLPPRSAHALPEAYGFLMQDENSNIVEFYPTVFDTDLDGKRFAWQGISKLPFIDEERLLVETRKLDKDLTDLDRKRNMEAAELLYMEGSCKLALHIMSSFKNCKGLQENDAIQIGLALSDGVSGLVWSKDYCTNDLPMDTLCVFYRTLPCSSSLPRVLEGTDFPEQTVFEADIGETVLWHELNGRPGCSSSHRYHNHGSSVGRASNSPRNHRNSVPDEFVKGSGCGWAGRGGRHFSEPELQNPNNFPRYSPSRPVVNSRIHPSSSPRERPSSMTAYSRQPIGTPSNQVYRPRSPVVVPLQSFCAPSVSPWGRGNAGPRNSGLESQWRVPAPVAAVAPADYPWRNAGPRNSGLENQWRMAAPPAAPTDSPWIRVNAGSRNSGVENQWRATAPAAVSRGGQPVWNNQNNRR >itb04g03040.t1 pep chromosome:ASM357664v1:4:1884582:1892226:1 gene:itb04g03040 transcript:itb04g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VASCULAR ASSOCIATED DEATH 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02120) UniProtKB/Swiss-Prot;Acc:F4HVW5] MAAVLSDKAAEAASPSPPPAQFMDHHSPSLSTVNSRRSDDASDAADASDLAFLDRSSSLSSSARLSDSQSLLALRSEEYRQLFRLPVDEVLIQDFNCALQESFLLQGHMYLFAHNICFYSNLFGFETKKIIPIHEITSVRRAKAAAIFPTAIEIIAGGKKFFFTSFLSRDEAFKLINDGWLQHNNGPKATGDQQEQYSEPISQENGSPLADKTESPDQPVDEAASVERDKEISLSDDTKPLDNGDYEIVPNPSVPPDSLEEAAEIVQSTDCSSSGKSLVLEEVNYDAPQVPEDYTLVAESKFPVKVEEFFDLFFSDEGADFQESFHKKCGDKDFKCTPWRPHEKFGHTRNVSFQHPIKIYFGAKFGSCQELMKYRVYRNCHLVVETSQEISDVPYGDYFQVEGLWDVKRDGSEGCILKVYTNVAFSKKTMWKGKIVQSTIDECRDAYAIWIELAHEFLKQKKLEMEQAGVHAANLIPNGQVEMEKPVSAVECKEKSDSGNGAVISQTLPDSNNMNRHPVSFPQESCTNNAPVPFLFRDFNSRFSSLLKSQNHFYVLLIVAIAVVLLLMQMSIIVLLSRPQQIHVVPQGDCMGGMHGMGERGVETLAYIDKQINHLKEEMLMVETTLHKMQNEYDLLKVKLNDFERIKKYQK >itb06g18940.t1 pep chromosome:ASM357664v1:6:22450690:22452798:1 gene:itb06g18940 transcript:itb06g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL11 [Source:Projected from Arabidopsis thaliana (AT5G45580) UniProtKB/Swiss-Prot;Acc:C0SVS4] MVMDGMYAGGGGGAGGSCYSYGDGGGGGGGVMMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQGKKQNAGDQNKENSGDSCRQFSIHSSATSTPSSSMNCMQGEIPFGDAVRCQIEVQNRLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKSLSIDMNSPSSVEATRAQLNDFNLALSSFMENMNGESIGKAMNANLPSSDFLGEEEEDDKRDINIKLHGSSMNFDLNCGSSHDFVAANGR >itb07g22870.t1 pep chromosome:ASM357664v1:7:27378531:27383036:-1 gene:itb07g22870 transcript:itb07g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MLIRLQILPKTLIPRSHFAPKASSSKPLKTFTPAGAGAASSSDSGLKFRQKILYLQELRVNPAKALHKNPHIRSAPLAALKSVENCLHSMGIERSALGRILDMFPQLLTADPYADLYPVLDFLLNDVSIPFPDVRLSVIRCPRLLISGVETQLKPTLRFLEKFGFVGPYKITAQTTVLLVSSVELTLNPKIEYLMGLGFGYDEVVNMVIRSPGLLTFSIENNYRPKVDYFLKEMKGDLEELKSFPQFFSFSLEGKIKPRHRLLVEHGFRLKLPEMLKISDGEFSARLVEMQLQLLENRHL >itb10g18040.t1 pep chromosome:ASM357664v1:10:24079295:24086108:-1 gene:itb10g18040 transcript:itb10g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGDSSNHGVHEAGAYMISQEMPEESGGRWYFSRKEIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHLKNDRRTIATVCMFLAGKVEETPRPLKDVIMVSYEIIHKKDPDAKEKIRQKELYEQQKELTLIGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPPSQASEAEGSVAGSQRPVKSSATNEDLVTNNSNSQGGGPIKPGPSKPASHRPTPDLSHADNNGGPPRGPQDRSHDFESAENNSDHFADGETSGKHSHERELLPHQGSGGEIQNRSKTNPGSHGEEERERNAGRTETRDKVELKDKYHGRALERKDGAVGQSPQEVIKKIDKDKVKAALERNRKSRGDSNRKVDYMDEDDLIERELEDGIELPGESEKNKRERKQSWSKSSIKSEHESSHQDGGGDGQYQVKKEQPSRNADYDNNVEEGELDPYDDIDRGYHSPRLSNRKRKATSPPTEGKQRYEYGSGTHNHTYHDFPEDRNTGKIAYSERDHKRHVQENHV >itb10g18040.t4 pep chromosome:ASM357664v1:10:24079295:24085211:-1 gene:itb10g18040 transcript:itb10g18040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGDSSNHGVHEAGAYMISQEMPEESGGRWYFSRKEIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHLKNDRRTIATVCMFLAGKVEETPRPLKDVIMVSYEIIHKKDPDAKEKIRQKELYEQQKELTLIGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPPSQASEAEGSVAGSQRPVKSSATNEDLVTNNSNSQGGGPIKPGPSKPASHRPTPDLSHADNNGGPPRGPQDRSHDFESAENNSDHFADGETSGKHSHERELLPHQGSGGEIQNRSKTNPGSHGEEERERNAGRTETRDKVELKDKYHGRALERKDGAVGQSPQEVIKKIDKDKVKAALERNRKSRGDSNRKVDYMDEDDLIERELEDGIELPGESEKNKRERKQSWSKSSIKSEHESSHQDGGGDGQYQVKKEQPSRNADYDNNVEEGELDPYDDIDRGYHSPRLSNRKRKATSPPTEGKQRYEYGSGTHNHTYHDFPEDRNTGKIAYSERDHKRHVQENHV >itb10g18040.t3 pep chromosome:ASM357664v1:10:24079368:24086062:-1 gene:itb10g18040 transcript:itb10g18040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGDSSNHGVHEAGAYMISQEMPEESGGRWYFSRKEIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHLKNDRRTIATVCMFLAGKVEETPRPLKDVIMVSYEIIHKKDPDAKEKIRQKELYEQQKELTLIGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPPSQASEAEGSVAGSQRPVKSSATNEDLVTNNSNSQGGGPIKPGPSKPASHRPTPDLSHADNNGGPPRGPQDRSHDFESAENNSDHFADGETSGKHSHERELLPHQGSGGEIQNRSKTNPGSHGEEERERNAGRTETRDKVELKDKYHGRALERKDGAVGQSPQEVIKKIDKDKVKAALERNRKSRGDSNRKVDYMDEDDLIERELEDGIELPGESEKNKRERKQSWSKSSIKSEHESSHQDGGGDGQYQVKKEQPSRNADYDNNVEEGELDPYDDIDRGYHSPRLSNRKRKATSPPTEGKQRYEYGSGTHNHTYHDFPEDRNTGKIAYSERDHKRHVQENHV >itb10g18040.t2 pep chromosome:ASM357664v1:10:24079295:24086108:-1 gene:itb10g18040 transcript:itb10g18040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGDSSNHGVHEAGAYMISQEMPEESGGRWYFSRKEIEENSPSRQDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHLKNDRRTIATVCMFLAGKVEETPRPLKDVIMVSYEIIHKKDPDAKEKIRQKELYEQQKELTLIGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNKAPPSQASEAEGSVAGSQRPVKSSATNEDLVTNNSNSQGGGPIKPGPSKPASHRPTPDLSHADNNGGPPRGPQDRSHDFESAENNSDHFADGETSGKHSHERELLPHQGSGGEIQNRSKTNPGSHGEEERERNAGRTETRDKVELKDKYHGRALERKDGAVGQSPQEVIKKIDKDKVKAALERNRKSRGDSNRKVDYMDEDDLIERELEDGIELPGESEKNKRERKQSWSKSSIKSEHESSHQDGGGDGQYQVKKEQPSRNADYDNNVEEGELDPYDDIDRGYHSPRLSNRKRKATSPPTEGKQRYEYGSGTHNHTYHDFPEDRNTGKIAYSERDHKRHVQENHV >itb05g01420.t1 pep chromosome:ASM357664v1:5:1210950:1215284:-1 gene:itb05g01420 transcript:itb05g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLQTTFIPTTPASLRRHSTGAPPLTRIRTRTRVVESKIREIFMPALSSTMTEGKIVSWVKSEGDKLAKGESVVVVESDKADMDVESFYDGFLATIIVPEGSSAPVGSPIALLAESEDEISIAQSQVPSPAPAAAGESKPASSPAVEDVSPVNISSSAAVIEAVAKLGSAAHPASEGGKRVVASPYAKKLAKDLGVDLRGLSGSGPSGRIVAKDVEAAAAAAVSAAVSGGAAAGKPSAPGVELGKTVAFTTMQNAVSRNMVESLAVPTFRVGYSITTDALDALYKKIKSKGVTMTALLAKATALALVQHPVVNASCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKIDIYSLSRKWKELVDKARAKQLQPQEYNTGTFTLSNLGMFGTDRFDAILPPGTGAIMGVGASIPTVVATEDGRIGKKNQMQVNVTADHRIIYGADLASFLQTLAKIIEDPKDLTF >itb11g13230.t1 pep chromosome:ASM357664v1:11:10233596:10239051:1 gene:itb11g13230 transcript:itb11g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKYKGLDKITVADIEALGVSSELSCQLHEKLAEIVSVYGAATPQTWLRISSDLLTPEQPFSLHQMMYYGCYKDFGPDPPVWMPDPESVKLTNIGKLLERRGKEFLGSKYKDPILSFSDFQKFSVSNLEAFWKTALEEMNVSFSVPPQCILRETPSQPGGQWLPGAYLNPAKNCLTLNGKRSLNDTVILYRDEGDDETPVKRLTLKELQSAVWTVAHALDTLGLEKGSAIAIDMPMDSTSVVIYLAIILADYVVVSIADSFAPKEISTRLKISKAKAIFTQDSFFRGGKRLPLYSKIIDAGSPIAVVIPSRSSRSTVKLRDGDISWHEFLERVEKSKEFEYVGMEQPVEAFTNILFSSGTTGEPKAIPWTVATPFKAAADGWSSLDIRKGDVVAWPTNLGWMMGPWLIYASLLNGASMALYNGSPLGSGFAKFIQDAKVTMLGVIPSIVRTWKTTNCTASYDWSAIRCFATTGEASNMDECLWLMGRAKYKPVIEICGGTEIGGGFISGSLLQPQSLSAFSTASLGCRLFILGEDGRPLPSNVPGIGELALGPFMFGASSSLLNADHYDVYFKGMPAWNGQVLRRHGDVFERTSKGYYHAHGRADDTMNLGGVKVSSIEIERVCNSIDDVNILETAAIGVPPRGGGPDMLVIAVVFKDSDGGSSYDLNSLRISFNLALQKKLNPLFKVSKVVAVPTLPRTATNKVMRRLLRQQFSQISSNL >itb11g13230.t2 pep chromosome:ASM357664v1:11:10233596:10239051:1 gene:itb11g13230 transcript:itb11g13230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLQRPAYPRATFLAPSDDVLWLLQGLRARPACLDARPAFWKTALEEMNVSFSVPPQCILRETPSQPGGQWLPGAYLNPAKNCLTLNGKRSLNDTVILYRDEGDDETPVKRLTLKELQSAVWTVAHALDTLGLEKGSAIAIDMPMDSTSVVIYLAIILADYVVVSIADSFAPKEISTRLKISKAKAIFTQDSFFRGGKRLPLYSKIIDAGSPIAVVIPSRSSRSTVKLRDGDISWHEFLERVEKSKEFEYVGMEQPVEAFTNILFSSGTTGEPKAIPWTVATPFKAAADGWSSLDIRKGDVVAWPTNLGWMMGPWLIYASLLNGASMALYNGSPLGSGFAKFIQDAKVTMLGVIPSIVRTWKTTNCTASYDWSAIRCFATTGEASNMDECLWLMGRAKYKPVIEICGGTEIGGGFISGSLLQPQSLSAFSTASLGCRLFILGEDGRPLPSNVPGIGELALGPFMFGASSSLLNADHYDVYFKGMPAWNGQVLRRHGDVFERTSKGYYHAHGRADDTMNLGGVKVSSIEIERVCNSIDDVNILETAAIGVPPRGGGPDMLVIAVVFKDSDGGSSYDLNSLRISFNLALQKKLNPLFKVSKVVAVPTLPRTATNKVMRRLLRQQFSQISSNL >itb11g13230.t3 pep chromosome:ASM357664v1:11:10233596:10237850:1 gene:itb11g13230 transcript:itb11g13230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKYKGLDKITVADIEALGVSSELSCQLHEKLAEIVSVYGAATPQTWLRISSDLLTPEQPFSLHQMMYYGCYKDFGPDPPVWMPDPESVKLTNIGKLLERRGKEFLGSKYKDPILSFSDFQKFSVSNLEAFWKTALEEMNVSFSVPPQCILRETPSQPGGQWLPGAYLNPAKNCLTLNGKRSLNDTVILYRDEGDDETPVKRLTLKELQSAVWTVAHALDTLGLEKGSAIAIDMPMDSTSVVIYLAIILADYVVVSIADSFAPKEISTRLKISKAKAIFTQDSFFRGGKRLPLYSKIIDAGSPIAVVIPSRSSRSTVKLRDGDISWHEFLERVEKSKEFEYVGMEQPVEAFTNILFSSGTTGEPKAIPWTVATPFKAAADGWSSLDIRKGDVVAWPTNLGWMMGPWLIYASLLNGASMALYNGSPLGSGFAKFIQDAKVTMLGVIPSIVRTWKTTNCTASYDWSAIRCFATTGEASNMDECLWLMGRAKYKPVIEICGGTEIGGGFISGSLLQPQSLSAFSTASLGCRLFILGEDGRPLPSNVPGIGELALGPFMFGASSSLLNADHYDVYFKGMPAWNGQV >itb13g15340.t1 pep chromosome:ASM357664v1:13:22162013:22164780:1 gene:itb13g15340 transcript:itb13g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRLRATLNSLNIAKFIQIQKNLSKPTPKLWSHGDDPNDEGVHTLRRSHPILLTLDKINPTLQQVDQVHAQLVVSGNFQHPMASGRLLKKLCSSKLTLPHAVKLFSYLEEPDAFLCNTIMRGYVNFDEPEKALAFYYHQMVEKGIVQNNYTFPTLVKACADMGLLSEGEKVHANVIKCGFELDLYVRNTMIHMYAVCGRIGDARKVFDMSPESDLVTWNTMIDGYVKNGEVQFACRVFDSMPVRDVFSWNTMISAYAGIGDMETAKQLFEEMPSRDIVSWNCLIDGYSQSGDVIAARALFDQMDYRNVVSWNSMMALYVRTKDCNECLRLFDRMMQEGDVKPNEATLMSVLTACAHLGRLDRGEWVHSYIKYTERIKPDVLLSTAVLTMYAKCGAVDLAKRVFDEMPEKSVVSWNSMIMGYGVHGKGERAVEIFLEMERHGVMPNDATFVCVLSACTHSGMILEGWWFFWLMQRVYKIEPKIEHYGCMVDLLGRAGLMNDSEELIGKMPMESGPALWGALLSACRTHSNTELGEIIARQMIKLKPDDVGPYVLLSNIYATEGRWDDVENVRKMMAEKGVQKAAGSSLLQKILQSFPSESE >itb01g20610.t1 pep chromosome:ASM357664v1:1:26869739:26870993:-1 gene:itb01g20610 transcript:itb01g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDDEVVLLSSYVNVFTTRARMALALKGVHYESKEDMENQSPSSLLQEMNPVHKKIPVLIHNGKPICESLIILQYIDEVWNHNSPLLPSDPYNRAQARFWADFIDKKIYECLKAWVFKTRDEKAIKEEQIDNLKVLERELGEKLYFGGENIGFLDLVLVTYYTWFVAFEKEVKLSIEAECPKLIEWVKRCLQNESISNSLVDPLKLYDFVLHKRKIAGVH >itb09g28870.t1 pep chromosome:ASM357664v1:9:29490623:29493367:-1 gene:itb09g28870 transcript:itb09g28870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASLPPGFRFHPTDDELIGYYLKRKTEGLEIELEVIPVVDLYKFDPWELPEKSFLPNRDMEWFFFCPRDKKYPNGSRTNRATKSGYWKATGKDRKVVCKPSTVGYRKTLVFYRGRAPLGDRSDWVMHEYRISDYDSQGNPIFQGQFVLCRVIKRNDVSFKTINARGETSEKVRCNSSNGDISSVPDEPIVISGNVSTQTTCKTTESNYSIPVPSSPYKTSQLGDYEFHVHPSSSSLLISPDMILNSSKDYRHQGQHTFGYYPQYGFSNSTLWQPYENPELSSSSSYSSIRPEVELSDDLTRYGCTSAYSAQGSYIGFYGSEGASDQGYDQSILLTNPNLF >itb02g16020.t1 pep chromosome:ASM357664v1:2:11742284:11751204:1 gene:itb02g16020 transcript:itb02g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPASFWTQANALLRKNLTFQKRNVRTNLWLISFPILICVLLVLLQTVVNNELDKPSRRCGCICIDTNGDGKCEKKCGIEYSTLTQAASCPLPSPPEWPPLLQIPRPEYRAVQNDFITYKDLPEESCKTTGSCPAAILLTGANQTFAERIGGNFFNGTILNSSDILYSVADDVLGSGSYTEQINFLDPAFSSNLPVYIVRPQCAPNSTFSIPLQIGSRLLQQEISCVQGLHLWRNSSSQINDELYKGYRKGNSEKKINEITAAYDFLNSNGNHFNVSIWYNSTYKNDTGNSPIGLTRVPRSVNMVSNAYLQFLLGPSTKMLFEFVKEMPKPETRIRLDFASLLGPLFFTWVVIQLFPVVLTSLVYEKQQRLRIIMKMHGLGDGPYWLISYAYFLAISSMYMLCFVIFGSLIGLKFFTLNDYSIQLVFYLIYINLQISLAFLVAALFNNVKTAAVVGYVMVFGSGLLGAYLFQFFVQDPSFSRGWIIVMELYPGFSLYRGLYEFSQYSFTGNYIGSDGMLWKNLKDGNNGMTEILIIMFVEWLLVLFFAYYTDQIMSSGKSPLFFLRNFQNRHSSSFRRPSLRRQGSKVYIEMEKPDVVHERDRVETLLLESRTSQAIICDNIKKVYPGRDGNPEKLAVRGLSLALPQGECFGMLGPNGAGKTSFINMMIGLIKPSSGTAYVQGMDIRTDMDKIYTSMGVCPQHDLLWETLSGREHLLFYGRLKNLKGEDLTRAVEESLKSVNLYNGGVADKQAGHYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQDRAIILTTHSMEEAEHLCDRLGIFVDGSLQCIGNPKELKGRYGGSYVFTMTTSSDHDAEVESMVQNLSPNSHRIYQISGTQKFELPKHEVNIADVFRAVEIAKKRFTVHAWGLADTTLEDVFIKVATAAQPSINLS >itb02g16020.t3 pep chromosome:ASM357664v1:2:11742284:11751204:1 gene:itb02g16020 transcript:itb02g16020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPASFWTQANALLRKNLTFQKRNVRTNLWLISFPILICVLLVLLQTVVNNELDKPSRRCGCICIDTNGDGKCEKKCGIEYSTLTQAASCPLPSPPEWPPLLQIPRPEYRAVQNDFITYKDLPEESCKTTGSCPAAILLTGANQTFAERIGGNFFNGTILNSSDILYSVADDVLGSGSYTEQINFLDPAFSSNLPVYIVRPQCAPNSTFSIPLQIGSRLLQQEISCVQGLHLWRNSSSQINDELYKGYRKGNSEKKINEITAAYDFLNSNGNHFNVSIWYNSTYKNDTGNSPIGLTRVPRSVNMVSNAYLQFLLGPSTKMLFEFVKEMPKPETRIRLDFASLLGPLFFTWVVIQLFPVVLTSLVYEKQQRLRIIMKMHGLGDGPYWLISYAYFLAISSMYMLCFVIFGSLIGLKFFTLNDYSIQLVFYLIYINLQISLAFLVAALFNNVKTAAVVGYVMVFGSGLLGAYLFQFFVQDPSFSRGWIIVMELYPGFSLYRGLYEFSQYSFTGNYIGSDGMLWKNLKDGNNGMTEILIIMFVEWLLVLFFAYYTDQIMSSGKSPLFFLRNFQNRHSSSFRRPSLRRQGSKVYIEMEKPDVVHERDRVETLLLESRTSQAIICDNIKKVYPGRDGNPEKLAVRGLSLALPQGECFGMLGPNGAGKTSFINMMIGLIKPSSGTAYVQGMDIRTDMDKIYTSMGVCPQHDLLWETLSGREHLLFYGRLKNLKGEDLTRAVEESLKSVNLYNGGVADKQAGHYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQDRAIILTSNMHDPPYIQIPNLCKMFLNPMQILNVFDYFSALNGRGRAFMRSVRYLCGWKPAMYRKS >itb02g16020.t2 pep chromosome:ASM357664v1:2:11742284:11749793:1 gene:itb02g16020 transcript:itb02g16020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGPASFWTQANALLRKNLTFQKRNVRTNLWLISFPILICVLLVLLQTVVNNELDKPSRRCGCICIDTNGDGKCEKKCGIEYSTLTQAASCPLPSPPEWPPLLQIPRPEYRAVQNDFITYKDLPEESCKTTGSCPAAILLTGANQTFAERIGGNFFNGTILNSSDILYSVADDVLGSGSYTEQINFLDPAFSSNLPVYIVRPQCAPNSTFSIPLQIGSRLLQQEISCVQGLHLWRNSSSQINDELYKGYRKGNSEKKINEITAAYDFLNSNGNHFNVSIWYNSTYKNDTGNSPIGLTRVPRSVNMVSNAYLQFLLGPSTKMLFEFVKEMPKPETRIRLDFASLLGPLFFTWVVIQLFPVVLTSLVYEKQQRLRIIMKMHGLGDGPYWLISYAYFLAISSMYMLCFVIFGSLIGLKFFTLNDYSIQLVFYLIYINLQISLAFLVAALFNNVKTAAVVGYVMVFGSGLLGAYLFQFFVQDPSFSRGWIIVMELYPGFSLYRGLYEFSQYSFTGNYIGSDGMLWKNLKDGNNGMTEILIIMFVEWLLVLFFAYYTDQIMSSGKSPLFFLRNFQNRHSSSFRRPSLRRQGSKVYIEMEKPDVVHERDRVETLLLESRTSQAIICDNIKKVYPGRDGNPEKLAVRGLSLALPQGECFGMLGPNGAGKTSFINMMIGLIKPSSGTAYVQGMDIRTDMDKIYTSMGVCPQHDLLWETLSGREHLLFYGRLKNLKGEDLTRAVEESLKSVNLYNGGVADKQAGHYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQDRAIILTTHSMEEAEHLCDRLGIFVDGSLQCIGNPKEVTRSIYSIFKVLGSKC >itb10g07290.t1 pep chromosome:ASM357664v1:10:8598292:8602730:1 gene:itb10g07290 transcript:itb10g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHTMTVSGWAAHDSSGKITPFTFNRRQNGPTDVTIKVLFCGICHTDIHHVKNDWGITMYPVVPGHEITGIITKVGSEVSNFKIGDKVGVGCLAATCLKCEFCKDSQENYCDQVQFTYNGIFWDGSITYGGYSNILVADHRYVVRIPENLAMDKAAPLLCAGITVYCPMKDNKLFESKGKRVGIIGLGGLGHVAVKFAKALGHHVTVISTSPSKEKEAKDHLGADDFIISTNPKHMQSSKRTLDFILDTVAANHSLGSYLELLKVNGTLVVVGAPEKPMELPSFPLIFGKRSVKGSMTGSMKETQEMIDFCGKHNILCDVEMVKTNEINEALDRLAKNDVKYRFVIDIAAESPKI >itb03g09050.t1 pep chromosome:ASM357664v1:3:6930728:6934302:1 gene:itb03g09050 transcript:itb03g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVWWSLQQFRHILKTCIAERDLLTGKSLHAIYIKSLLIPPSTYISNHFILLYSKCRRLSNARTAFDSTPLPNVFSYNAIVAAYAKESQPHVAHQLFDQIPQPDLVSYNTLISAYADRGDTVPALELFLGMRRMGLDIDAFTLSGAITASCDDKNLIVQLHSLAVSGGFDAYVSVNNTLVTCYSKTGHLAEAKKVFEAMGEIKDEVSWNSMIVAYGQHREGSKALALYQAMVRMEFKEDMFTLASVLTAFTSMEDFHGGLQFHARLIKMGFHQNPHVGSGLIDLYSKCSGGMLHCTKVFQEIPDPDLVLWNTMISGYSLFEEFSEEAVAYFRRLQRAGHRPDDCSFVCVISACSNLSSPLQGKQIHSLAIKSYIPTNRIAVNNALIAMYSKCGSLQDAMRIFDRMPEHNSVSLNSMIAGYAQHGRGMESLVLFEQMLAGNMGPTSITFISVLSACAHTGKVEEGKKYFSMMTERLGIKPEAGHYSCMIDLLGRTGKLEEAESLIETMPYSPGTIGWGSLLRACRTHGNIELAVKAANHCLQLDPSNAAPYVMLANMHASSGRWEEVAAIRKQMRDEGVKKKPGCSWIEVDKRIHVFVAEDHSHPMIKEIYVFWEEMLEKMKRAGYVPDVRWALIRDDGVGVREEEKERCLRHHSEKLAVAFGLLSTKDGMPILVIKNLRICGDCHNAIKLISAITRREITVRDCHRFHCFKDGQCSCGDFW >itb08g02570.t1 pep chromosome:ASM357664v1:8:2019463:2022446:-1 gene:itb08g02570 transcript:itb08g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRFEEERPPMVPKDCRDKCGTVSIYYPFGIGNGNGGSKSCYLNKWFLINCTQSWDGSEKPYLSSIFGGVEILGMFYESQTITIKESISPSCQPAKGSNNFSIIQNSKLSETPFYYSNGNDLMFFGCGNAFITMPGEELDPAGYKLNCSSKNTAAPKFAEDCNNGINCNRLSLDYDVKTYKVNFTHSSFNACNYAFFLSALSSLPHSLQSFPIASRQQEVVVVPVELRWTITQQDVTPSYSSYCSPSTYINPQLEQHNYLECVCEDSDGGNAYLSNGCEWIYIGDKNHKIGVQKLPMIICVSATFGFVLLLWACVILYKNIVNREMKKLRENFFKRNGGLLLQQQLLAQEGTVEKTKIFTASELDNATDHFNADRIVGRGGQGTVYKGMLINGQIIAVKKSQAVDENQVEPFINEVVILSQINHRNVVKLMGCCLETEVPILVYEFIPNGTLFSLIHNNFEDELIPLSWDIRLRIASEVACALAYLHSATSVPIYHRDIKSGNILLDEKFRAKVSDFGTSRSISIDKTHFTTLVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLAELLTGQKPISFELDDDDDRSLVSRFLSSMEENRLMEILDVEVIEQGKKEDVVAMAWLAQRCLNFNGKKRPTMKEVAAELDTIRASHSHLPSAMETLEASHSHLPSADMETLEIESDYFIA >itb15g06960.t1 pep chromosome:ASM357664v1:15:4705646:4707108:1 gene:itb15g06960 transcript:itb15g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKGFSGVSLYKPSGLVSCFFRQGWVWVVPYPRILFFRRGGISGHSLALDESKVAAGPSLSRRPRLPPSSLAGVHRTVEVAVGSSPSHPAGLKGRRSFFLMSLVRDVMHVLSIDLLLSILMSLQLIRSEQDRVLEQDAVPETAGSGPSEELAADIPQEIDEYELVDPVDILTPLEKSGFWEGVVGSLLLSIVLFSNF >itb10g06000.t1 pep chromosome:ASM357664v1:10:6551963:6554806:1 gene:itb10g06000 transcript:itb10g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENGESGVRRRSRFCSCTKDDFLPEESFRSWGNYVNALKQTPSRLADRVFTRSDDKAELAAKARSNHDMKKTLSWWDLIWFGMGAVIGAGIFVLTGLEARDDAGPAVVLSYVVSGISALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVISGAAVSRSWTSYLATLCNQDPDSFLIKAHSLAEGYNKLDPIAVGVCIIICFIAIFSTKGSSRLNYVASIIHTIVILFIIVCGLIKSDASNYTPFAPFGPRGIFKAAAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTTIYCLMAITLCLMQKYDSVDENAPFSIAFKRVGWSWAQYLVAFGALKGMTSVLLVSAVGQARYLTHIARTHMMPPWFSHVNAKTGTPVNATATMMAATAVIALFTKLDILANLLSISTLFIFMLVAVALLVRRYYVAGVTTPCNRLKLILFILLILASSIATAAYWGLSDDGWIAYCVTVPVWFLATAGIYFFVPHARDPKMWGVPLVPWLPSASIAINIFLLGSIDKESFERFGIWTACLLIYYLFFGLHASYDTAKEVEKNQEVGKIEEGDVAPSAPNSPQN >itb04g33270.t1 pep chromosome:ASM357664v1:4:35571198:35572673:-1 gene:itb04g33270 transcript:itb04g33270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLVCLGRTFLNYVQMPHLHNLQRLFLCHLERCAVEGVARLLSRKEIKVRYLEFGSAPAPSRLNHTTTVAMLPGLESECRGMVMQHTPSTSYHCPIPAMNDAIAISKRSKEKGTTSSRQQKDSGIILEAIAKVLDKTLKVAAETLDATLQGNSAMDVKLTYKNNTVRFPLPSSSTMKYLVEQLETRFQITLDNFFIKYQDEVDEWITLMCDSDLRYCMKVLSSSGKPGIRMMVTPKSD >itb09g14070.t1 pep chromosome:ASM357664v1:9:9287417:9291905:1 gene:itb09g14070 transcript:itb09g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKRSSSRFMRICVFCGSSSGKKTTYQEAAIELGKELVEKRIDLVYGGGNVGLMGLVSQAVHDGGRHVIGVIPRCLISREITGETIGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHQKPVGLLNVDGYYNSLLSFIDKAVDEGFISPTARGIIVSAPTAKQLVTQLEEYVPQYDEIVSKLIWEEVGIED >itb10g24670.t1 pep chromosome:ASM357664v1:10:28358142:28358828:1 gene:itb10g24670 transcript:itb10g24670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVDACMVQLAKLTERVQPRKLILLRARKTDSVEAVEESKAAIKESSSSSSSEAALQSAEGVNDNTMSEATVFWLMDRFAPS >itb11g19040.t1 pep chromosome:ASM357664v1:11:19570709:19580284:-1 gene:itb11g19040 transcript:itb11g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLRALSASSPSLSSPFLNVLIVSSVLATRRPPSTVQQESTSQQASTVHQSSNHYSTSQLAADGSSAVAPSPEVAEIKQNKEGETVIPNLGADYDKNEASEASKQIEGNVDQTRDCDREGQRKMRSEDIIMERPDGATLETLMEKNFEKSINDIRLQHAKAKEAMAGILKENRAVEVEMEPVTAKEVTFAATMSVIGKGDPSSSKEKEAMEDRSRSPNCPCLRRNEEVTILEKLFRKQSLQNIVCGN >itb11g19040.t2 pep chromosome:ASM357664v1:11:19575040:19580284:-1 gene:itb11g19040 transcript:itb11g19040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLRALSASSPSLSSPFLNVLIVSSVLATRRPPSTVQQESTSQQASTVHQSSNHYSTSQLAADGSSAVAPSPEVAEIKQNKEGETVIPNLGADYDKNEASEASKQIEGNVDQTRDCDREGQRKMRSEDIIMERPDGATLETLMEKNFEKSINDIRLQHAKAKEAMAGILKENRAVEVEMEPVTAKEVTFAATMSVIGKGDPSSSKEKEAMEDRSRSPNCPCLRRNEEVTILEKLFRKQSLQNIVCGN >itb11g19040.t4 pep chromosome:ASM357664v1:11:19575314:19580284:-1 gene:itb11g19040 transcript:itb11g19040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLRALSASSPSLSSPFLNVLIVSSVLATRRPPSTVQQESTSQQASTVHQSSNHYSTSQLAADGSSAVAPSPEVAEIKQNKEGETVIPNLGADYDKNEASEASKQIEGNVDQTRDCDREGQRKMRSEDIIMERPDGATLETLMEKNFEKSINDIRLQHAKAKEAMAGILKENRAVEVEMEPVTAKEVTFAATMSVIGKGDPSSSKEKEAMEDRSRSPNCPCLRRNEEVTILEKLFR >itb11g19040.t3 pep chromosome:ASM357664v1:11:19575061:19580284:-1 gene:itb11g19040 transcript:itb11g19040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLRALSASSPSLSSPFLNVLIVSSVLATRRPPSTVQQESTSQQASTVHQSSNHYSTSQLAADGSSAVAPSPEVAEIKQNKEGETVIPNLGADYDKNEASEASKQIEGNVDQTRDCDREGQRKMRSEDIIMERPDGATLETLMEKNFEKSINDIRLQHAKAKEAMAGILKENRAVEVEMEPVTAKEVTFAATMSVIGKGDPSSSKEKEAMEDRSRSPNCPCLRRNEEVTILEKLFR >itb02g00330.t1 pep chromosome:ASM357664v1:2:258654:259223:-1 gene:itb02g00330 transcript:itb02g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDGVRGGECDGFSALCPICGGSARLWRRVAVVDAPRRRWISSEWRWSTLWGGADIGEVGQFPSGLFYRFFLDCVFPLSDFSIFPIVSLTFSPLILNRTASRQPTLRQRAARRVSGGSLRRLATHVQSDRRWVWWCWLRLICFASLPLPRVFFLLATRFLLQTFAYMFICFLGPGLAHFLAKTWSIL >itb14g06420.t3 pep chromosome:ASM357664v1:14:5663678:5665820:-1 gene:itb14g06420 transcript:itb14g06420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFLIEARSLEEKLGEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDAKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEGDRYILLS >itb14g06420.t1 pep chromosome:ASM357664v1:14:5662374:5665820:-1 gene:itb14g06420 transcript:itb14g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFLIEARSLEEKLGEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDAKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEGDRNMDEKPRKKIITQSNKEN >itb14g06420.t2 pep chromosome:ASM357664v1:14:5662391:5664791:-1 gene:itb14g06420 transcript:itb14g06420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGFLIEARSLEEKLGEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDAKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEQSEGDRNMDEKPRKKIITQSNKEN >itb01g33440.t1 pep chromosome:ASM357664v1:1:36577756:36581447:-1 gene:itb01g33440 transcript:itb01g33440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGFQDHRSGFRPRDLSPDSVIFTADSSFSLFSSASGSAERCSFASDDSCFHNPPQSHLTAHEIREASCDPVPDPNKPVVSKNHHFGKKGKVKVQKGDSKEADTEDEYLAFGSSRSSFSQALKECQESRYRSEAVLNKSDRQSLASLDLKNSVINATISSSPRFGVMKKESITGHSGAFPSPGTPNYRHSSAGIQKGWTSERVSSHTSGNRSKCNTLLLPFNNGRNLPSKWEDAERWIFSPVSGDGATRTSLQQPQKQRKSKSGPLGPPGAAYYSLYSPAVPVSRGKSIANSPFSTRVMKTDGLSIHDGGHDANGNYVACSEPCMARSISIHGCSELLSLSTMTAPQDDESRSDKDSAANVSRVVSRRDMATQMSPEASPHSSPRRRSSFSSSTPSIVPFVEVQSFHPSRAEVKDVPVDERVTMTRWSKKHRARIPGRSLENNDNWKTKATEMHSAGREISETKSVSKIKREEARISAWENLQKAKAEAAIRKLEMKLEKKRSSSMAKIMNKLRTSQKKAEEMRSSMVTTQGHEVTRASRKALSFRPTRRMGSLSGCFTCPAF >itb13g03160.t1 pep chromosome:ASM357664v1:13:3113571:3113852:1 gene:itb13g03160 transcript:itb13g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVKQVYREDSIVLQGWRQIFEILKLPDAIPGFNGSISPEECKRLCLKSCNCSAYSNLDVRDGGSRCWLWFEDLKDIREFNEIDQDMYDYG >itb03g29680.t1 pep chromosome:ASM357664v1:3:30667096:30668488:-1 gene:itb03g29680 transcript:itb03g29680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSRMRKLFAMNATGINNTNHVALDLREEYANAFRTESYIEFWTNVLAFSQGHSSPCNIMGSTSSTRLLSYRLFVEHLLDPDQVTITRILESTHIRTEYRSLLINYFEVTAEASLLCSLLLKDVDGTRRKYKSFKASLNSLHNAHFSPKTHSRLTEFSNSPNPFAPSASSPSRFRAMQADCAELLKKLELSRDRTHSKLRLVRKIKLGSAVFLGALTVSLTVILAFHAIAILVAAPSLMAPFIERLSTKKLAKWSRQLDVAAKGAYILIKDLDTISRLVARLNDELEDMHATARFWLEKSKDQLQAGGEVAQQLKKNDISFIEQLDELEEHLYLCFMTINRARSLVIKEISETGRPNLPPNLLPKE >itb12g05480.t1 pep chromosome:ASM357664v1:12:4043886:4044503:1 gene:itb12g05480 transcript:itb12g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNHNSSDHKAAPPIMSPRISFSNDFVESSSGGAAAHHHYHQQLIKNYRDAPVSSDFEFCVSNYSAMTTMTADELFSKGRLLPYKETAAPSHHKTTTLKDELLQVEDDDFALKPPKSPTRWKGLLGLRKSHIGSKKVDKNSEDKRDEVLATKNSRDTLHGGGSSSCRDMEFRFN >itb01g11890.t1 pep chromosome:ASM357664v1:1:11266896:11269086:1 gene:itb01g11890 transcript:itb01g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVLVLLLGLLRFTLQCCLVDARAQQMLKMDSAIPVTTLSPPEGNTTFLGGTGGTTWCVARGGASQFDLQSALDWACGLGMTDCNAIQAGGPCFEPNTLTSHASYAFNSYYQQNGNNDIACNFGGTAVLTGNNPSYGKCTYATSGRPGDLKASAAAVSRHKGSRFWWEISILLLLLYLRRS >itb10g24930.t1 pep chromosome:ASM357664v1:10:28475936:28476969:-1 gene:itb10g24930 transcript:itb10g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCSVSALCSVSQSLAKSSSSLSSPSQSSLLKFHTSHRENLRYLTSLGIVKPEVKSHRNPSPESLQQVLSTVNSLKSRGFSEPDIARIALVCTRIFSLEFSPPDIQPVFEFLNDDLAASGEESRDLVMRCPYLLESNVEFCLKPTLAYLRELGLDKLNLPTTLNAHLLNTRLKKLQEILWFLQDAGLSRHESAKICCRFPAIFGYGIESNLKPKFSYLVRDMKRDVRELLEFPQYFAFSLRKRIIPRHLYLKQKNVKISLKRMLLWSDERFYTKWMKVQ >itb09g30180.t1 pep chromosome:ASM357664v1:9:30823511:30824927:1 gene:itb09g30180 transcript:itb09g30180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDFSALLRETRLHPFLDFSCNRFALSTTWVMVLGVATKLTGDLTSLMWSPNDNLVKITTTAILTMAMGHFFSQLGSMTDKDILINVTSLGILVITVIVDLCIQLGTGVLDYSLFPGIIFSIVLLFCMFMIIVSTALAVPAIRKCADLKYQKLASQIEVEGEQQHSVEELRLRVSNEPPNCWTLPVVTLTSIAIVLPNIASQHVHLLVKCVGEGLRYASLIDVLDEKCGLKSIKNAADVVWDGVVLNNKWLDMDLEGKTGNSVKEIIQVLADVAERIVTKFESTENIIIVENPLHWPANVLAANSMYRISRTILLWYENAEYQSEEIFQKLTCMIADILAACLINLPYMIATKCRCNAIEEREKSVRDAAIILEETEDILKHFEKQKLSSLAPNKPLYIDEWRRWMERPDPIVSTSTTINETPSTVGVVVQIQS >itb11g09350.t1 pep chromosome:ASM357664v1:11:6217983:6218294:1 gene:itb11g09350 transcript:itb11g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGAIMLNNANKYVVACAGAGTCSMDPFLAELWAIKEALSWIRGHDWGPITILSDCMNECNSLNCQMDDRSYAGIFAKECKSIMASLNHVSIRYGLEHSCP >itb07g19770.t3 pep chromosome:ASM357664v1:7:24222395:24228520:-1 gene:itb07g19770 transcript:itb07g19770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSSHLTAPVRSRSSQSPSPSHSASASATSSIHKRKLASDDHAPPFPSSFSDTRDGALTSNDDLESISARGADSDSEDESEEVADDDEEYDDSSMRTFTASRLENSVAPLARNTKLKTENSVKVEPVQVAKDAGSGGSGNSGAPPPAASVSGAVVKDETMKNIFTENLQTSGAYIAREESLKREEEAGRLKFVCVSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRNHKSVMVIRRNLVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQFARDDDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKERWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYQGIDFHKKEAGIPKKAFKVEDIAGLREAGWTIDQYGYSRFKTMNSLSDQKSLTAFMRSLVKAMHDHPDAWPFKEPVDARDVPDYYEIIKDPMGIFSFLGF >itb07g19770.t2 pep chromosome:ASM357664v1:7:24220468:24228520:-1 gene:itb07g19770 transcript:itb07g19770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSSHLTAPVRSRSSQSPSPSHSASASATSSIHKRKLASDDHAPPFPSSFSDTRDGALTSNDDLESISARGADSDSEDESEEVADDDEEYDDSSMRTFTASRLENSVAPLARNTKLKTENSVKVEPVQVAKDAGSGGSGNSGAPPPAASVSGAVVKDETMKNIFTENLQTSGAYIAREESLKREEEAGRLKFVCVSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRNHKSVMVIRRNLVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQFARDDDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKERWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYQGIDFHKKEAGIPKKAFKVEDIAGLREAGWTIDQYGYSRFKTMNSLSDQKSLTAFMRSLVKAMHDHPDAWPFKEPVDARDVPDYYEIIKDPMDLKTMSKRVDSELYYVTFDMFVADVRRMFNNARTYNSPETIYYKCATRYYTRTLLSFHSSLFLIIIIFHPIFANIQKLMNHL >itb07g19770.t1 pep chromosome:ASM357664v1:7:24220468:24228520:-1 gene:itb07g19770 transcript:itb07g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSSHLTAPVRSRSSQSPSPSHSASASATSSIHKRKLASDDHAPPFPSSFSDTRDGALTSNDDLESISARGADSDSEDESEEVADDDEEYDDSSMRTFTASRLENSVAPLARNTKLKTENSVKVEPVQVAKDAGSGGSGNSGAPPPAASVSGAVVKDETMKNIFTENLQTSGAYIAREESLKREEEAGRLKFVCVSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRNHKSVMVIRRNLVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQFARDDDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKERWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYQGIDFHKKEAGIPKKAFKVEDIAGLREAGWTIDQYGYSRFKTMNSLSDQKSLTAFMRSLVKAMHDHPDAWPFKEPVDARDVPDYYEIIKDPMDLKTMSKRVDSELYYVTFDMFVADVRRMFNNARTYNSPETIYYKCATRLEAHFSNKVQAGLQSSVNKIQ >itb09g02330.t1 pep chromosome:ASM357664v1:9:1356108:1357139:-1 gene:itb09g02330 transcript:itb09g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVCQDPRFIGADGLTFYFHGKKDRDFCLVTDPNLHINAHFIGRRNPKMNRDFTWVQSIAVLYNNHTVFIGAQKTATWNDAVDRLSLSFDGQPILLPDTEGATWLSKSLPAAKITRAGDTNDVTLEIENTAMITARVVPITQHESRVHNYGITAENCYAHLELGFKFLSLSGDEVDGVLGQTYRRDYVSRVKMGVVMPVIGGEKEFASSGLFADDCAVAKYNGGRKGPSSSVLELPSMKCKSGIYGHGVVCKR >itb04g04150.t1 pep chromosome:ASM357664v1:4:2559470:2561617:1 gene:itb04g04150 transcript:itb04g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLARFSVLSFESLIQTVFLGWIVLLSAFAGNVNCLNVSSLAGNFSGVSAMAVETVFQFPSALPKWPPGKGFGAGEIDLGGLIVAEVSNFTKIWAAQEGGPDGSGAAIFEPTAVPDGFHVLGYYGQPNNVPLFGWVLVGKDVTNDSAAAAAYGGGGGGALASPVDYTLVWSSENTGIEQDSAAYIWLPVPADGYNAVGHVVTTSPEKPPLEKIRCVRVDFTDVSENDDWIWGSNGLNIYSSRPKDRGIKFSGVNAGTFIAQVSDNGTSSSVACLKNLNPKNTSAMPNLSQIDALIQTYSPWIYFHPDDEFLPSSVDWFFENGALLYTKGQESSPVAVQPGGENLPQGGDNDGAYWLDLPLSDPDRVKQGNLQNSTFYIHVKPMFGATFTDLAVWVFYPFNGPARAKVSFLTLQLGKIGEHVGDWEHVTLRISNFNGELKRVYFSEHSKGTWLSAAAVELQDGNKPVVYSSLHSHASYPKPGLVLLGGEDIGIRDDTERGDVYIDTAASFSVVSAEYLGPAIVEPPWLNFAREWGPKIEYDFEKELEKVERFLPGKLKEDLENIIKNIPSEVLGEEGPTGPKGKDNWSGDEDY >itb15g21870.t1 pep chromosome:ASM357664v1:15:24534300:24535826:-1 gene:itb15g21870 transcript:itb15g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSIPRSLCNLGSLELMDLSNNRLEGFIPRCFGNIISLRSLDLNSNMLKGSIPRSLCNLGSLENMDLSNNRLDGFIPWCFENRTSLRSLDLNSNMLKGSIPRSLCNLGSLENMDLSNNRLEGFIPWCFENITSLRSLDLTSNILKGSIPRSLCNLGSLENMDLSNNRLEGFIPWCYLSNNRLEGFIPWCFGNINRLEGFIPWCFGNITSLRSLDLNSNMLKGSIPRSLCNLGSLENMDLSNNRLEGFIPWCFGNITSLRSLDLNSNMLKGSIPRSLCNLGSLENMDLSNNRLEGFIPWCFGNITSLRSLDLNSNMLKGSIPRSLCNLCSLENMDLSNNRLEGFIPWCFGNITSLRSLDLNSNMLKGSIPRSLCNLGSLENMDLSNNSNLGSLENMDLSNNRLEGSLENMDLSNNRLEGVIPWCFRNITSLRSLDLNSNMLKGSIPRLCNLGSLENMDLSNNRLEGFIPWCFGNITSLRYLDLNSNMLKESIPRSLCNLGSLENMDL >itb06g17790.t1 pep chromosome:ASM357664v1:6:21534092:21536850:1 gene:itb06g17790 transcript:itb06g17790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRKRNYSDEDEDDTFYYRYASAPLPPSSSKTLTTATNTSKGGGARLAPSKSTVYVSNLDFSLTNSDVHTIFSTFGKVAKVTVLKDRVTRKSRGVAFVLFVSREDAIKAAKGIDKKVLNGRTLSASIAADNGRAAEFIRRKVYADKSRCYECGVEGHLSYECPKNQLGPRERPEPSKKGRRRGGGDGRVKGGREEEEEEEEEDEGEAFVDDNWASAVDGGAEDRLLSAGDTEETKNVKREKRKGYFSDESEEDD >itb06g17790.t4 pep chromosome:ASM357664v1:6:21534092:21536937:1 gene:itb06g17790 transcript:itb06g17790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRKRNYSDEDEDDTFYYRYASAPLPPSSSKTLTTATNTSKGGGARLAPSKSTVYVSNLDFSLTNSDVHTIFSTFGKVAKVTVLKDRVTRKSRGVAFVLFVSREDAIKAAKGIDKKVLNGRTLSASIAADNGRAAEFIRRKVYADKSRCYECGVEGHLSYECPKNQLGPRERPEPSKKGRRRGGGDGRVKGGREEEEEEEEEDEGEAFVDDNWASAVDGGAEDRLLSAGDTEETKNVKREKRKGYFSDESEEDD >itb06g17790.t2 pep chromosome:ASM357664v1:6:21534092:21536937:1 gene:itb06g17790 transcript:itb06g17790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRKRNYSDEDEDDTFYYRYASAPLPPSSSKTLTTATNTSKGGGARLAPSKSTVYVSNLDFSLTNSDVHTIFSTFGKVAKVTVLKDRVTRKSRGVAFVLFVSREDAIKAAKGIDKKVLNGRTLSASIAADNGRAAEFIRRKVYADKSRCYECGVEGHLSYECPKNQLGPRERPEPSKKGRRRGGGDGRVKGGREEEEEEEEEDEGEAFVDDNWASAVDGGAEDRLLSAGDTEETKNVKREKRKGYFSDESEEDD >itb06g17790.t3 pep chromosome:ASM357664v1:6:21534092:21536333:1 gene:itb06g17790 transcript:itb06g17790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRKRNYSDEDEDDTFYYRYASAPLPPSSSKTLTTATNTSKGGGARLAPSKSTVYVSNLDFSLTNSDVHTIFSTFGKVAKVTVLKDRVTRKSRGVAFVLFVSREDAIKAAKGIDKKVLNGRTLSASIAADNGRAAEFIRRKVYADKSRCYECGVEGHLSYECPKNQLGPRERPEPSKKGRRRGGGDGRVKGGREEEEEEEEEDEGEAFVDDNWASAVDGGAEDRLLSAGDTEETKNVKREKRKGYFSDESEEDD >itb06g17790.t5 pep chromosome:ASM357664v1:6:21534092:21535474:1 gene:itb06g17790 transcript:itb06g17790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRKRNYSDEDEDDTFYYRYASAPLPPSSSKTLTTATNTSKGGGARLAPSKSTVYVSNLDFSLTNSDVHTIFSTFGKVAKVTVLKDRVTRKSRGVAFVLFVSREDAIKAAKGIDKKVLNGRTLSASIAADNGRAAEFIRRKVYADKSRCYECGVEGHLSYECPKNQLGPRERPEPSKKGRRRGGGDGRVKGGREEEEEEEEEDEGEAFVDDNWASAVDGGAEDRLLSAGDTEETKNVKREKRKGYFSDESEEDD >itb13g24620.t1 pep chromosome:ASM357664v1:13:30253326:30255531:1 gene:itb13g24620 transcript:itb13g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLDSSFLKWNICGRVMINTPPSHCSFPSSISLSPQDKFSQRENIAITASNSADQRVKMVRAPCCEKMGLKKGPWTPEEDQILISYIQKNGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTKEEEDTIIQLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKKLKGYQSPQNAKRQFSGKVAGAVAGDGDVAGDSSTTTSEDDGSTGMNVLVSSPERSSSASSEMSSVTEGVAVDAPAVGVKQEDVNSSPEYLPEIDESFWTEEAAAGLPWVQVDEFPVVGASLANSDDVDRMMWHSTTLDDDMDFWYNVFVRSAGELPELPEF >itb03g13940.t1 pep chromosome:ASM357664v1:3:13869959:13873505:-1 gene:itb03g13940 transcript:itb03g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDQWYDVNGVWTGSATLLPDGRIVMLYTGDTDNYVQVQNLAFPANLSDPLLVDWVKYPNNPVIYPPPGIGVKDFRDPTTAWTAGSQNGQWLVTIGSKVGKTGISLVYETTNFTTFKLLDGVLHAVPGTGMWECVDLYPVSTTGENGLDTSVNGLGVKHVLKASLDDDKHDYYALGTYDPTKNKWTPDNPDLDVGIGLRLDYGKYYASKTFYDQNKQRRILWGWIGETDLEAVDLMKGWASLQAIPRTIVFDKKTGTNVLQWPVEEVESLRSGDPITAEANLEPGSVVPIHVSEGTQLDITASFEVDETLLETTMESHDADYDCSNSGGAVTRGSLGPFGLLVVADEKLSELTPVYFYIAKGADGKAKTHFCADQTRSSMASGVEKEVYGSAVPVLDGENYSARILIDHSIVESFAQGGRTVITSRIYPTKAIFGAAKVFLFNNATKATVTASVKMWQMNSADIKRFPMDEL >itb02g25120.t1 pep chromosome:ASM357664v1:2:25840772:25843430:1 gene:itb02g25120 transcript:itb02g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATRESHSQSHEAQPKRLAEKKVMDLMHDKRRLVEVPYTATLADAMNTLLTNRVAAVPVAAPPGQWIGAGGSMILEYDKRSGAARKHYIGMVTMLDILAHIAGEGDDDVDHLDQKLATPVSSIIGHCLESLSLWTLNPSMSLVDCMEVFSKGIHRAMVPIEGQAETIAGVELVESASSYRMLTQMDVLKFVMREHDNQNEEVKGIMSHPIKDSKQFQAVTDTVFGVTDQATVIDAIKCMRAASLNAVPIVQSSNTIQEDHRQLVNGKKRKVIATFSATDLRGCPISLLETCLNWKVIDLLLKLSESPSPETAGVRSSLKEIVACNTEFTVGEAVEKAVRNGVHRVWVVDGGGLLQGVFSLTDMIRVIRLWMLSQPLRS >itb07g05700.t1 pep chromosome:ASM357664v1:7:3906357:3907143:1 gene:itb07g05700 transcript:itb07g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAIDTGATTEKLNISDKIYARLRLAKESDVSHIYKLFYQIHEYHSLTHLYKVSEDSLCNMLFKSNPNPPFYSPTILLVEVSATEFPAPTPDESGFEPIVKAFDLKAPVADDECAAFRSNVADHEAYIAGYAFFFPNYSCFYDKPGIFFESLYFRASYRKLGMGRLMFSTVAANAADKGFSSVEGIVAVWNKKSYDFYVDMGVEIMDEFRYGKLTGDALQAYAKNKSS >itb06g06510.t1 pep chromosome:ASM357664v1:6:9121242:9129911:-1 gene:itb06g06510 transcript:itb06g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTETKNGKEVIRLERESVIPILKPKLIMTLADLIEHSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVYGAQKLEQQNLNSDEIDILEQNFLTYLFQIMDKSNFKIATDEEIEVAQSGQYLLNLPITVDESKLDKKILKKYFAEHPAENLPEFADKYVIFRRGIGIDRTTDYFFMEKVDMLIARLWGWLLKKTRLDALFRRSKRSRRRQREDPKKDDEIIDDTVDEDLYVERIRIENLEISFRKLLSKITIQEPTFDRIIVVYRLASPKTKSERGIYVKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLASAVVGLVAVVGSVELPKADLWVMFAILSTVIGYIAKTYFTFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLQDLDLRCEELIKEEFGVSCNFDVDDAVQKLEKLGIITRDTIGRYFCVGLKRANEIIGTTTEELVLKAKQGTIASS >itb06g06510.t2 pep chromosome:ASM357664v1:6:9121242:9129911:-1 gene:itb06g06510 transcript:itb06g06510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTETKNGKEVIRLERESVIPILKPKLIMTLADLIEHSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVYGAQKLEQQNLNSDEIDILEQNFLTYLFQIMDKSNFKIATDEEIEVAQSGQYLLNLPITVDESKLDKKILKKYFAEHPAENLPEFADKYVIFRRGIGIDRTTDYFFMEKVDMLIARLWGWLLKKTRLDALFRRSKRSRRRQREDPKKDDEIIDDTVDEDLYVERIRIENLEISFRKLLSKITIQEPTFDRIIVVYRLASPKTKSERGIYVKHFKNIPMADMEIVLPEKKNPSLTPMDWVKFLASAVVGLVAVVGSVELPKADLWVMFAILSTVIGYIAKTYFTFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLQDLDLRCEELIKEEFGVSCNFDVDDAVQKLEKLGIITRDTIGRYFCVGLKRANEIIGTTTEELVLKAKQGTIASS >itb05g17400.t1 pep chromosome:ASM357664v1:5:24361833:24362384:1 gene:itb05g17400 transcript:itb05g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSDENTQFFTHHHLLPSNPNHQYYYYPPAHHFTSSSTNNPTTSAFPLHHHLHQMAHQLQDFNNPPAACFISSSGASTSDEAEEQQLMNERRQRRMISNRESARRSRMRKQKHLDELWSQVNWLRNENQQLLGKLNHVAESHEQVVQENVHLKEEASELRQILTTGIPHIININDPTYPAAL >itb01g08930.t1 pep chromosome:ASM357664v1:1:7172010:7174187:-1 gene:itb01g08930 transcript:itb01g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVAKKGELDGSSHGPPYVHGFPFISVVEPPPEILQGDRRFHLLGDQKKAVFGDEEKREKMNGVDDSCSSSTSSIGKNSDLSEGSLEEKSDDTEEVQSPLKSPFHSIHPLEEALPIRQGISRFYNGRSKSFTTLREASSSSSIKELAKPENAYIRKRRNLLACALYLDNNGKAKSSPFRYHGGGGISKKGANYSKTSLALATAMTCSSASSNDETILRSSPAISRLNPLFKGCQGNALPSSPHSLQQSLAWRSFSLADLQQCQHVSVSTGSKGAVNQLQMNQSS >itb05g22050.t1 pep chromosome:ASM357664v1:5:27589260:27595231:-1 gene:itb05g22050 transcript:itb05g22050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDLKKMSGIVKILGVCLCAGGAATIGLYTGPTFKLHHHPFNLHGHDASHRAASSSGKKWIMGVMFTFLSTFCGSFWIVLQNGVLERYPSKLLSTTIQIVISTVQTFVVAVIFERDFDQWKLGWNFQLLAVAYCGIVVTGLGIYLQTWVVQKKGPLFMSIFTPLALIFTMAFSAFLFGAITSLGRMEKVDLKKMSGIVKILGVCLCAGGAATIGLYTGPTFKLHHHPFNLHGHDASHRAASSSGKKWIMGVMFTFLSTFCGSFWIVLQNGVLERYPSKLLSTTIQIVISTVQTFVVAVIFERDFDQWKLGWNFQLLAVAYCGIVVTGLGIYLQTWVVQKKGPLFMSIFTPLALIFTMAFSAFLFGAITSLGSILGAVFLIGGLYCVLWGKNKEQKMVANNTSNPTVESEKGSIVGSTEETETLAPRPHHQRSTSLV >itb03g15480.t1 pep chromosome:ASM357664v1:3:14819085:14822742:-1 gene:itb03g15480 transcript:itb03g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKEMTSVASSSNSGVAAGAGNNRGESVVMDCGRRRSSCGYCKSGSRSSISHGLWANSLTVDDYQALLDRGWRRSGCFLYKPEMESTCCPSYTIRLKAGAFVPTKEQRRVLKRMERFLEGLSNKQPEELTDAQTTSKTLGSSSCKESSIAGSKEYLPIYHEENNHSEQFIRYFSEQIDNAVQTCAERGEISSDVQLPKASIKEVAPAKRKLLVEGAEDLLFTSNISFQIVAVLRRSKKDHRDSSKDGVPANLQSAQLTPNDVAQKLANCLNQVAVSSGFAIKACNGHINFYSSGKQLNPGNVPGRDKALVQSCTKKRGSTMSSGNIEVKRRKLEIRLKRSSFDPEEYSLYRRYQIRVHNDEPDDVSESSYNRFLVDTPLAYVPPTAGDGVPPCGFGSFHQQYLIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYSALQEIRWVRENELHCPSLQYYYMGYYIHSCNKMRYKAAYSPSELLCPLRYTWVPFEIAKPLLDRKPYVVLSDFTTQNGGSLPSSVPDSYVEEQPDDHAPGGSNDIFVHADEDMTEYDSEDCDGEEDTVPSGNMSDDLEDGDVGNVLIGLTRVRLRFKDVQHAFDPRDRQHVTTQLQRYMRAVGRELSGQMVYSLS >itb03g15480.t2 pep chromosome:ASM357664v1:3:14819085:14822742:-1 gene:itb03g15480 transcript:itb03g15480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKEMTSVASSSNSGVAAGAGNNRGESVVMDCGRRRSSCGYCKSGSRSSISHGLWANSLTVDDYQALLDRGWRRSGCFLYKPEMESTCCPSYTIRLKAGAFVPTKEQRRVLKRMERFLEGLSNKQPEELTDAQTTSKTLGSSSCKESSIAGSKEYLPIYHEENNHSEQFIRYFSEQIDNAVQTCAERGEISSDVQLPKASIKEVAPAKRKLLVEGAEDLLFTSNISFQIVAVLRRSKKDHRDSSKDGVPANLQSAQLTPNDVAQKLANCLNQVAVSSGFAIKACNGHINFYSSGKQLNPGNVPGRDKALVQSCTKKRGSTMSSGNIEVKRRKLEIRLKRSSFDPEEYSLYRRYQIRVHNDEPDDVSESSYNRFLVDTPLAYVPPTAGDGVPPCGFGSFHQQYLIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYSALQEIRWVRENELHCPSLQYYYMGYYIHSCNKMRYKAAYSPSELLCPLRYTWVPFEIAKPLLDRKPYVVLSDFTTQNGGSLPSSVPDSYVEEQPDDHAPGGSNDIFVHADEDMTEYDSEDCDGEEDTVPSGNMSDDLEDGDVGNVLIGLTRVRLRFKVNSH >itb03g15480.t4 pep chromosome:ASM357664v1:3:14819094:14822719:-1 gene:itb03g15480 transcript:itb03g15480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGFSTSFYTALLDRGWRRSGCFLYKPEMESTCCPSYTIRLKAGAFVPTKEQRRVLKRMERFLEGLSNKQPEELTDAQTTSKTLGSSSCKESSIAGSKEYLPIYHEENNHSEQFIRYFSEQIDNAVQTCAERGEISSDVQLPKASIKEVAPAKRKLLVEGAEDLLFTSNISFQIVAVLRRSKKDHRDSSKDGVPANLQSAQLTPNDVAQKLANCLNQVAVSSGFAIKACNGHINFYSSGKQLNPGNVPGRDKALVQSCTKKRGSTMSSGNIEVKRRKLEIRLKRSSFDPEEYSLYRRYQIRVHNDEPDDVSESSYNRFLVDTPLAYVPPTAGDGVPPCGFGSFHQQYLIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYSALQEIRWVRENELHCPSLQYYYMGYYIHSCNKMRYKAAYSPSELLCPLRYTWVPFEIAKPLLDRKPYVVLSDFTTQNGGSLPSSVPDSYVEEQPDDHAPGGSNDIFVHADEDMTEYDSEDCDGEEDTVPSGNMSDDLEDGDVGNVLIGLTRVRLRFKVNSH >itb03g15480.t3 pep chromosome:ASM357664v1:3:14819085:14822719:-1 gene:itb03g15480 transcript:itb03g15480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGFSTSFYTALLDRGWRRSGCFLYKPEMESTCCPSYTIRLKAGAFVPTKEQRRVLKRMERFLEGLSNKQPEELTDAQTTSKTLGSSSCKESSIAGSKEYLPIYHEENNHSEQFIRYFSEQIDNAVQTCAERGEISSDVQLPKASIKEVAPAKRKLLVEGAEDLLFTSNISFQIVAVLRRSKKDHRDSSKDGVPANLQSAQLTPNDVAQKLANCLNQVAVSSGFAIKACNGHINFYSSGKQLNPGNVPGRDKALVQSCTKKRGSTMSSGNIEVKRRKLEIRLKRSSFDPEEYSLYRRYQIRVHNDEPDDVSESSYNRFLVDTPLAYVPPTAGDGVPPCGFGSFHQQYLIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYSALQEIRWVRENELHCPSLQYYYMGYYIHSCNKMRYKAAYSPSELLCPLRYTWVPFEIAKPLLDRKPYVVLSDFTTQNGGSLPSSVPDSYVEEQPDDHAPGGSNDIFVHADEDMTEYDSEDCDGEEDTVPSGNMSDDLEDGDVGNVLIGLTRVRLRFKDVQHAFDPRDRQHVTTQLQRYMRAVGRELSGQMVYSLS >itb05g23580.t1 pep chromosome:ASM357664v1:5:28655020:28656635:1 gene:itb05g23580 transcript:itb05g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNHSIYLSSSSSSSSPRLNFFSQEISHGCTTTKRKRKPAGTPDPNAQVIYLSPEKLLESDRYVCETCNMSFHREQNLQMHRRRHKVPWQPQKAAARSTGEGCSPPQEAARKRVYVCPEPSCVHHDPSHALGDLVGIKKHFRRKHCDHKEWVCDKCGKAYAVLSDYKAHLKTCGTKGHSCDCGRVFSRVETFIEHQDSCKPKSFRRERETPSKSAINLNLMSLEPRIHRTTADFHQYGNNNNNMMIINTFSHHQKLEELELFPPSNSSKSAMIEDEEDASLEALRLKFEAEEMMKVARENKAMAEERRKEAKYLIELANQEMAKARRIREQVWLADLTTSHLLTDHCSTSSSSSAQIRCNSCAKQLQ >itb13g06000.t1 pep chromosome:ASM357664v1:13:7072037:7073745:-1 gene:itb13g06000 transcript:itb13g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIFFIFLTVAILAVYATATDYIVGDEYGWTTNVDYQAWAKGKQFVVGDKLIFKYPAGTNSVYKVGGNGFKQCIPPAQSTPLTTGNDVIELGTPGRKWYISGSGQYCKLGQKLVITVSPKPQAPSPATWPRKLLFPAPISWPPIPAQVPAWPIHVLPPSPFWPSPIVIPPSPLQLPIPHFPFPPFGGVPVPAPDQGGY >itb01g09330.t1 pep chromosome:ASM357664v1:1:7556401:7558542:1 gene:itb01g09330 transcript:itb01g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILYALVARGSVVLAEHSATTTNASTIARQVLEKIPGSNDTNVSYSQDRYIFHVKRTDGLTALCMADDVAGRRLPFDFLEDIHQRFVRTYGRAVLSAQAYAMNDEFSRVLAQQMEYFANDPNADRINRLKGEMSQVRNVMVQNIDKVLERGDRLELLVDKTANMHGNTLRFRRQARRFRSTVWWRNVRLIVALILLLVLVIYVILAFTCHGIALPSCLN >itb04g11160.t1 pep chromosome:ASM357664v1:4:10729291:10730382:1 gene:itb04g11160 transcript:itb04g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGPYHHGQQSLQWIEPHKLRSMNSLLQWKRVSLEKLVNAVMEHESHLREYYAETINLDQVKFTEMVVLDGCFVIELVRKFNMAELRDKDDPIFKMDWVLSSLQRDLILFENQLPFSILCKLFDLIEAPNQHDRLIYLLCGFVSDLFPGFGYGHGQKTNQIISGDENVKHLLELIHKWWMPIMPPRPEPGREQRQRQRSFCSASQLTENGVKLRRSEMPKQISQFNIEFKRGVLWIPTLTIEDRTECVLRNLMAYEQYSKDLPQYFVTNYVKFFSGLIDSPRDVEILRRYGVIDNWLGGSEEVYNMFRMINKSTTYPKTWSCYDVLCERVNAHCNTSLKGRIARLRRNCVFTTLVTESVISI >itb11g11160.t1 pep chromosome:ASM357664v1:11:8114856:8122198:-1 gene:itb11g11160 transcript:itb11g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGATGWLRGRVKAVPSGDSLVIMGSTKAEIPPEKTITLSSLIAPRLARRGGTDEPFAWESREFLRKLCIGKEVTFKVEYTVPSIGREFGSVFLGDKNVAVLVVAEGWARVREQGQQKGEASPFLQELLRLEENAKQQGLGRWNREPGAQDASVRKLPPSAIGDSSNFDAMGLLDANKGKPMQAIVEQIRDGSTLRVYLLPDFQFVQVFVAGIQSPSMGRRATVETPVETEITPSEANGDSSADPRAPLTSAQRLAASTASITEVAPDPYGREAKHFTEIRVLNRDVRIVLEGVDKFSNLIGSVYYSDGGESPKDLGLELVEIGFAKYVEWSASMLEEDVKRRLKNAELEAKKNRLKIWTNYVPPATNSKAIHDQNFTGKVVEVVSGDCVIVADDSLPFGDPSAERRVNLSSIRAPKIGNPRRDEKPAPYAREAKEFLRTRLIGKQVHVSMEYSRKVSLADGTVPAASGADRVMDFGSVFVVSKDGDNASLAPSAASNQQNGLNVAELLVARGLATVIRHRDFEERSNCYDSLLSAESRAIAGKKGMHSPKDSPAVHVTDLTTASVKKARDFLPFLQRNRRMSAVVEYVLSGHRFKLFIPKETCSIAFSFSGVRCPGRGEPYSEEAIALMRRKIMQRDVEIEIENVDRTGTFLGTLWESRTNAAVALLEAGLARLSSFGSIPDAHLLAQAEQSAKKQKLKIWANYVEGEEVPTGPVSERRQKEELKVVVTEVLGGGKFYVQSVADQKVASIQKQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNGPRGAVQSPTDEFEVFYIDYGNQEAVPYSKLRPIDGSVSSAPGVAQLCSLAFVKVPGLEDDYGQEAAFHLSELLLNSTKEFRAVVEEKDTSGGKVKGQGTGTVFMVILVDPETNVSINSIMLKEGLARQEKRWIPKQVLEELEKSQTEAREKRRGMWEYGDIESDDEESAPPLRKAAAGKR >itb12g17490.t1 pep chromosome:ASM357664v1:12:19547016:19548807:-1 gene:itb12g17490 transcript:itb12g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYSASFLGDIYEVVAHVGSRYPKANLYAVGWSLGANILVRYLGQESHSCPLSGAVSLCNPFNLPIADEDFRKGFNIVYDKALANALCKIFKKHALLFEDIGGEYNIPLAANAKTVKEFDEGLTRVSFGFKSADDYYSNSSSSDSIKSVCTPLLCIQAANDPIAPSRGIPRKDIEENPNCLLIVTPQGGHLGWVAGSDAPTGAPWTDPIVMDFLDNLEQAKSALDLEVGNGSVTDDTNILTGTLIDNQKQNSILT >itb12g02960.t1 pep chromosome:ASM357664v1:12:1937732:1938738:1 gene:itb12g02960 transcript:itb12g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKTAAQTTAIKQIIKRCSSFGKKQHGYDDGTGLPHDVPKGHFVVYVGENRSRYVIPISWLSHPEFQSLLHRAAEEFGFSHDMGLTIPCDEHDFCSVISIIRS >itb13g25260.t1 pep chromosome:ASM357664v1:13:30672874:30675007:1 gene:itb13g25260 transcript:itb13g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MPLPSIISPSSSIPLDLHPHSPILDLQTKELKTSMDLSQPSSEHLCYVRCSFCNTVLAVGIPCKRVLETVTVKCGHCSNLSFLSTRPPLQPQCHDHHANNFPVSCNGFKRGQSSSSSPTSSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPNTPTRSTSESNSNA >itb04g11450.t1 pep chromosome:ASM357664v1:4:11074236:11074841:1 gene:itb04g11450 transcript:itb04g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVKPCSPTPQTLRNYKLSLLDILVSVCYSPMVFFYDSRAGGHDYDELKDSLMKTLSVLYPLAGRIKDGSTIECNDEGADFVRANVTNCDLGEFLRHPKLEDMRRLLPLDLYPNAIDSSLPMLAVQLNRFRCGGTAVAFCIWHGLADAGAMIGLFNTLAAINRGEGPINPGGLIVDTPPQFSGRGTSSAPR >itb11g23520.t1 pep chromosome:ASM357664v1:11:25538476:25548849:1 gene:itb11g23520 transcript:itb11g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGRSLVSSLPAFSNDGKKLLVCTASTVSIFSTFTGLQIGELEGHTALVTSVIVVPATTPASKILCYCWTASLDGTIRYWDFSVAELMKTINIKTPIHSMVIPGLVSQPLESSEKSMDVFAYISSQDIKQKDGQTLSWQIQKCNLTKSRLAGGVILTKSKKPQLITLSPSGKYIGFCENHKIRIWEVQTKDSDHAIHRTIRLHHTKKLNILAFHPTERIVAAGDVTGRILIWRHFGERTFSVPDKLENREVIKDAEERPGVRGDDDADLCTTWHWHSAEVRVLFFSSDGAYLYSGGREGVLVRWQLDTGKRNTQSRIGSPLSYFTNSPDHSLSSVSCADNRLQLLEMPSMKMLRSISGIKPHNSVPERYNLPCSDAAFDSTAGLVAICTENYCVQLFSMLDDREISLVQVCERNHQPVDEVTVIVNMVALSPDGCTMSTVETRLPEEGIGGLVCLKFWTSGSQNKDFSLSTVIYEPHRDGGVSAITFHPTRNMAVSSSYGAEFKIWACNSDVQHGDQMNNAGWTCHAVGSYKKKPMTAAAFSADGSVLAVAAERVITLWDPDRNVLVATIGESFEPISCLSFIGKSEYLVSSSQGSNPQVSVWSMSKLSISWSCKIHAKAVSCAMDGSSFAILALLPDSARSKASNEASLNSVDGVILLYNVETPVPLATWLVKKAQGGGIGFILRSDKSEEDLTDGKRLQMLCYINSDHEYVLFDPYSQQLHDQTIIQRHKFLQSDEIGRSGYASMYGELPEFHQNPNQTSAATSVPSGRPWETLCSGPSYALPLSELCSKFLETFLEKRMTPVE >itb11g23520.t2 pep chromosome:ASM357664v1:11:25538476:25548759:1 gene:itb11g23520 transcript:itb11g23520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGRSLVSSLPAFSNDGKKLLVCTASTVSIFSTFTGLQIGELEGHTALVTSVIVVPATTPASKILCYCWTASLDGTIRYWDFSVAELMKTINIKTPIHSMVIPGLVSQPLESSEKSMDVFAYISSQDIKQKDGQTLSWQIQKCNLTKSRLAGGVILTKSKKPQLITLSPSGKYIGFCENHKIRIWEVQTKDSDHAIHRTIRLHHTKKLNILAFHPTERIVAAGDVTGRILIWRHFGERTFSVPDKLENREVIKDAEERPGVRGDDDADLCTTWHWHSAEVRVLFFSSDGAYLYSGGREGVLVRWQLDTGKRNTQSRIGSPLSYFTNSPDHSLSSVSCADNRLQLLEMPSMKMLRSISGIKPHNSVPERYNLPCSDAAFDSTAGLVAICTENYCVQLFSMLDDREISLVQVCERNHQPVDEVTVIVNMVALSPDGCTMSTVETRLPEEGIGGLVCLKFWTSGSQNKDFSLSTVIYEPHRDGGVSAITFHPTRNMAVSSSYGAEFKIWACNSDVQHGDQMNNAGWTCHAVGSYKKKPMTAAAFSADGSVLAVAAERVITLWDPDRNVLVATIGESFEPISCLSFIGKSEYLVSSSQGSNPQVSVWSMSKLSISWSCKIHAKAVSCAMDGSSFAILALLPDSARSKASNEASLNSVDGVILLYNVETPVPLATWLVKKAQGGGIGFILRSDKSEEDLTDGKRLQMLCYINSDHEYVLFDPYSQQLHDQTIIQRHKFLQSDEIGRSGYASMYGELPEFHQNPNQTSAATSVPSGRPWETLCSGPSYALPLSELCSKFLETFLEKRMTPVE >itb11g23520.t3 pep chromosome:ASM357664v1:11:25538476:25548759:1 gene:itb11g23520 transcript:itb11g23520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGRSLVSSLPAFSNDGKKLLVCTASTVSIFSTFTGLQIGELEGHTALVTSVIVVPATTPASKILCYCWTASLDGTIRYWDFSVAELMKTINIKTPIHSMVIPGLVSQPLESSEKSMDVFAYISSQDIKQKDGQTLSWQIQKCNLTKSRLAGGVILTKSKKPQLITLSPSGKYIGFCENHKIRIWEVQTKDSDHAIHRTIRLHHTKKLNILAFHPTERIVAAGDVTGRILIWRHFGERTFSVPDKLENREVIKDAEERPGVRGDDDADLCTTWHWHSAEVRVLFFSSDGAYLYSGGREGVLVRWQLDTGKRNTQSRIGSPLSYFTNSPDHSLSSVSCADNRLQLLEMPSMKMLRSISGIKPHNSVPERYNLPCSDAAFDSTAGLVAICTENYCVQLFSMLDDREISLVQVCERNHQPVDEVTVIVNMVALSPDGCTMSTVETRLPEEGIGGLVCLKFWTSGSQNKDFSLSTVIYEPHRDGGVSAITFHPTRNMAVSSSYGAEFKIWACNSDVQHGDQMNNAGWTCHAVGSYKKKPMTAAAFSADGSVLAVAAERVITLWDPDRNVLVATIGESFEPISCLSFIGKSEYLVSSSQGSNPQVSVWSMSKLSISWSCKIHAKAVSCAMDGSSFAILALLPDSARSKASNEASLNSVDGVILLYNVETPVPLATWLVKKAQGGGIGFILRSDKSEEDLTDGKRLQMLCYINSDHEYVLFDPYSQQLHDQTIIQRHKFLQSDEIGRSGYASMYGELPEFHQNPNQTSAATSVPSGRPWETLCSGPSYALPLSELCSKFLETFLEKRMTPVE >itb02g12650.t2 pep chromosome:ASM357664v1:2:8716195:8719135:1 gene:itb02g12650 transcript:itb02g12650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSASKDSGSVYCRKQKSLGLLCSNFLSLYNREGVETIGLDDAAKQLGVERRRIYDIVNVLESVGVLSRKAKNRYTWKGFEAIPRAFQELKEEGLKESFSAYSGDVSVQVSDDEEDDRHSNPNVSSQNEKSDSISAPKPDNRREKSLGLLTQNFIKLFLCTNVDMLCLDEAAKILLGDGRPPSINRTKVRRLYDIANVLSSMKFIEKTHHPETRKPAFRWLGLKGKSENQAANASEDNLGCNQSRKRVFGTELTNTTTKRCKLDKSKDAFGESMKSKLAAQVKCENLENEFDRPKQDLGSRTNRKSYQFGPFAPVTVPKFEASESGERTKVICELESLASTYHPQYHNQALKDLFSHYMEAWKSWYLEIAGKKPIQMLS >itb02g12650.t1 pep chromosome:ASM357664v1:2:8716066:8719396:1 gene:itb02g12650 transcript:itb02g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSASKDSGSVYCRKQKSLGLLCSNFLSLYNREGVETIGLDDAAKQLGVERRRIYDIVNVLESVGVLSRKAKNRYTWKGFEAIPRAFQELKEEGLKESFSAYSGDVSVQVSDDEEDDRHSNPNVSSQNEKSDSISAPKPADNRREKSLGLLTQNFIKLFLCTNVDMLCLDEAAKILLGDGRPPSINRTKVRRLYDIANVLSSMKFIEKTHHPETRKPAFRWLGLKGKSENQAANASEDNLGCNQSRKRVFGTELTNTTTKRCKLDKSKDAFGESMKSKLAAQVKCENLENEFDRPKQDLGSRTNRKSYQFGPFAPVTVPKFEASESGERTKVICELESLASTYHPQYHNQALKDLFSHYMEAWKSWYLEIAGKKPIQMLS >itb08g12030.t1 pep chromosome:ASM357664v1:8:12098798:12107540:-1 gene:itb08g12030 transcript:itb08g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVEDEVMVPNIIVVRVDGRDFGRFSEAHEFDKPYDAKALHLMNACSTTILEEFPDIAFAYGFSDEFSFVFKKETKFYQRRASKIYSLIVSFFTSVFVTKWKDFFPQLELRIPPSFKSCVIRCASMEVLQAYLTWRQNECHIKNLYATCFWELVKCGKPEIEAKLILKGTQKQEKNELLFQQFGINYKKDVQEIFRQGSCALRKEIEDIVKYQEDGTPVRRRRKKVIIVHSENITARSFWNDQQSLSKDVGSFGECIENIKPEYIKSFQFESRLMPSTWIVIRIDGCHFHRFCDAHGFDKPNDVQALNLMNSCAVSVVEEFKDIVFAYGVSDEYSFVLKKDSLLYERHASEIVSAIVSLFSCIYMMKWKEFFPQKDLKYPPYFDGRSVCYPSSKILRDYLTWRQVDCHINNQYNTCFWMLVKSGKSKTESQNCLKGTQTREKNEMLSQFGIDYHNLPAIFRQGSSVFWDKEETKSDYSTEGAIEKCRKKVVVEHCNIIDTSFWKAHPTILEEDTHCVQALRTSTCS >itb08g12030.t2 pep chromosome:ASM357664v1:8:12098798:12104610:-1 gene:itb08g12030 transcript:itb08g12030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVEDEVMVPNIIVVRVDGRDFGRFSEAHEFDKPYDAKALHLMNACSTTILEEFPDIAFAYGFSDEFSFVFKKETKFYQRRASKIYSLIVSFFTSVFVTKWKDFFPQLELRIPPSFKSCVIRCASMEVLQAYLTWRQNECHIKNLYATCFWELVKCGKPEIEAKLILKGTQKQEKNELLFQQFGINYKKDVQEIFRQGSCALRKEIEDIVKYQEDGTPVRRRRKKVIIVHSENITARSFWNDQQSLSKDVGSFGECIENIKPEYIKSFQFESRLMPSTWIVIRIDGCHFHRFCDAHGFDKPNDVQALNLMNSCAVSVVEEFKDIVFAYGVSDEYSFVLKKDSLLYERHASEIVSAIVSLFSCIYMMKWKEFFPQKDLKYPPYFDGRSVCYPSSKILRDYLTWRQVDCHINNQYNTCFWMLVKSGKSKTESQNCLKGTQTREKNEMLSQFGIDYHNLPAIFRQGSSVFWDKEETKSDYSTEGAIEKCRKKVVVEHCNIIDTSFWKAHPTILEEDTHCVQALRTSTCS >itb03g30290.t5 pep chromosome:ASM357664v1:3:32034393:32035667:-1 gene:itb03g30290 transcript:itb03g30290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASFSSFTSPFPRTIRKRCSFPLSSSSICFIVKAEESSQPPQTPKKVAASAAETKPEAAASSDAPPPPPPKPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLEYIYEDRGEVLDIRIFETGEYALVST >itb03g30290.t3 pep chromosome:ASM357664v1:3:32034393:32035667:-1 gene:itb03g30290 transcript:itb03g30290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASFSSFTSPFPRTIRKRCSFPLSSSSICFIVKAEESSQPPQTPKKVAASAAETKPEAAASSDAPPPPPPKPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLEYIYEDRGEVLDIRIFETGEYALIGWVGIPTAPAWLPTEILIKVSMIIRIHIKVPAKLLANTA >itb03g30290.t4 pep chromosome:ASM357664v1:3:32034393:32035667:-1 gene:itb03g30290 transcript:itb03g30290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASFSSFTSPFPRTIRKRCSFPLSSSSICFIVKAEESSQPPQTPKKVAASAAETKPEAAASSDAPPPPPPKPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLEYIYEDRGEVLDIRIFETGEYALVST >itb03g30290.t2 pep chromosome:ASM357664v1:3:32033936:32035667:-1 gene:itb03g30290 transcript:itb03g30290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASFSSFTSPFPRTIRKRCSFPLSSSSICFIVKAEESSQPPQTPKKVAASAAETKPEAAASSDAPPPPPPKPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLEYIYEDRGEVLDIRIFETGEYALIGWVGIPTAPAWLPTEILIKSDKLNYERI >itb03g30290.t1 pep chromosome:ASM357664v1:3:32033936:32035667:-1 gene:itb03g30290 transcript:itb03g30290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASFSSFTSPFPRTIRKRCSFPLSSSSICFIVKAEESSQPPQTPKKVAASAAETKPEAAASSDAPPPPPPKPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLEYIYEDRGEVLDIRIFETGEYALVST >itb08g03700.t1 pep chromosome:ASM357664v1:8:3014148:3016895:1 gene:itb08g03700 transcript:itb08g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMLGLLCLILGLILNTTQLCHGGVTSGYVRKSLSASPKPEDVDMPLDSDVFRVPLGSNAPQQVHITQGDYEGRGVIISWTTPQKPGSNAVLYWAENSNAKRIAVGAVVTYKYYNYTSGYIHHCTIKDLEHDTKYYYKLGSGNANRVFWFVTPPKPGPDVPYTFGLIGDIGQTYDSNTTLTHYEQNPAKGQTVLFVGDLSYADRYPNHDNNRWDTWGRFSERSVAYQPWIWTAGNHEIDYAPDIGEYQPFVPFTNRYPTPHEASESGDPLWYAIKRASAHIIVLSSYSGFAKYTPQYKWFTSELKKVNRSETPWLIVLVHSPLYNSYEAHYLEGEGMRVIFEPYFVQYKVDVVFSGHVHSYERSERISNVAYNIVNAQCTPVSDESAPVYITIGDGGNSEGLATEMTQPQPSYSAFREASFGHGIFDIKNRTHAYFAWHRNQDGVAVEADSLWLLNRHWASKNASSVSAVECEIIHELK >itb08g01190.t2 pep chromosome:ASM357664v1:8:889797:892212:-1 gene:itb08g01190 transcript:itb08g01190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETMKSRKSKILIFLFPRVTLFQSLLMKGIHLTSPAIATAMPNLAPGLIFFIAWAFRLEKVELSCKYSRAKIVGTMFCVIGAILMSILQDSSHAHSSKKQIHLPLQPLQAPPSYHHNGAFDLDKIMGSFYLVIAVFTLSSVIVLQAATLGDFPSPISISAITSLMGTVITIIVELIQHHRLEIIGFSKISVHALVAYSLLAGCISGASTSFNAWAMKKRGPVMVAVFGPVGTVISIMLSVIILGDSVTIGSLAGMFTMFTGLYFVLWAKGKEEFDYQSEYDLEKPLLS >itb08g01190.t1 pep chromosome:ASM357664v1:8:889731:892248:-1 gene:itb08g01190 transcript:itb08g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRREAVEEIALIGGLIGVQFLYAGNSVVLSYLMFLGFQPSSLIILSTFATFVVLAPLSFIIERHQWPKRLCLKLWIQLLLISFGGVTLFQSLLMKGIHLTSPAIATAMPNLAPGLIFFIAWAFRLEKVELSCKYSRAKIVGTMFCVIGAILMSILQDSSHAHSSKKQIHLPLQPLQAPPSYHHNGAFDLDKIMGSFYLVIAVFTLSSVIVLQAATLGDFPSPISISAITSLMGTVITIIVELIQHHRLEIIGFSKISVHALVAYSLLAGCISGASTSFNAWAMKKRGPVMVAVFGPVGTVISIMLSVIILGDSVTIGSLAGMFTMFTGLYFVLWAKGKEEFDYQSEYDLEKPLLS >itb01g11880.t1 pep chromosome:ASM357664v1:1:11245241:11247287:1 gene:itb01g11880 transcript:itb01g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPADRAVPWVDLPRELTVNILQRLSVEDIFQSAQVCTAWWRLWRDPSMWRCVDLMNVVDEPGKRRDWYKICREVLNRSEGQLISIKLGHFASNRLLFYIAQRAKQLKHLGIRSNHVYDRGFSEVVNEFPLLEELQLERCCISKKGIVAAGQSCPFLNSFSFIKLSFNGFLCKPSDEEAVAIAENMHGLKHLTLVGNEMTDKGVEAILDGCPRLQSLNLDHCNNVKLEGELGKRCSQQIKDLNHTQSHLGYFHDLFYDIYPS >itb01g00110.t1 pep chromosome:ASM357664v1:1:83339:84982:1 gene:itb01g00110 transcript:itb01g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASIAMKRFSSNKASSFREFSAAYAATSGLLPAISCGSNNNNNNNIIINGGGGGNGKQLHRSSSSAAASRRLLRKSVEFPTSPQPNNGGDNQEIVHSSHAKHPLVEMTLPELFTCSGCKEYGAGKRYACQQCNFQLHDFCALSPPSLKTHPLHGQHQLLFHDKPKQVKSGITRAKCDVCGKSTKGFTFRCRACSFQMHPCCAMLSTEIKYPPHAHRLKLLPPSTSLLSSGLGSPAAGGDQHGHGGSVAPAAVSCGKCGKKRAGRVYGCTACDYHLHAVCAKEMINGLQANGINPPEKPSVLGTAVKIAGQVVFEFIGGLIDGIGEGVGEAIVQNISGGRGSARIRATP >itb11g07780.t3 pep chromosome:ASM357664v1:11:4869391:4871687:1 gene:itb11g07780 transcript:itb11g07780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLLKFGCSYGWTGLLHDVAKALCQLELGIKLVKVYAAPDGMLMNLFYLYDTRKLLHTDMRQEEAINHLKSVVGDAMISSETELAPAEVTACLPTPLPPPLTESKFSFEMYDGQSSGVPSADAVSLLVDNKLSRSHTLLQISCRDQKGLIYDIMRALKGYNYQVRVLGVLSYNQWLILVIRIVYQIVFNQVSYGRFFTNGKGYCEVDLFIMQDRKKILDPEKQNTLCARLRSDLACPLRVDVFIRDNATEILVANPVELTRKGRPLLFYDITLVLKRLNIYISSVETGRHRVHDREWEVFRIVVDESDSSNLPRHKIKECVRNMLMGWDALEQP >itb11g07780.t2 pep chromosome:ASM357664v1:11:4869060:4871713:1 gene:itb11g07780 transcript:itb11g07780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLTVWIADIQTDVKWCFIVFWVTGKPIISLNLLRQRLLEVCPLCTPAASGIYYFRPEVQEPKPMEIFLLKFGCSYGWTGLLHDVAKALCQLELGIKLVKVYAAPDGMLMNLFYLYDTRKLLHTDMRQEEAINHLKSVVGDAMISSETELAPAEVTACLPTPLPPPLTESKFSFEMYDGQSSGVPSADAVSLLVDNKLSRSHTLLQISCRDQKGLIYDIMRALKGYNYQVSYGRFFTNGKGYCEVDLFIMQDRKKILDPEKQNTLCARLRSDLACPLRVDVFIRDNATEILVANPVELTRKGRPLLFYDITLVLKRLNIYISSVETGRHRVHDREWEVFRIVVDESDSSNLPRHKIKECVRNMLMGWDALEQP >itb11g07780.t1 pep chromosome:ASM357664v1:11:4868155:4871803:1 gene:itb11g07780 transcript:itb11g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISEDAVVIKEAEGLGGETIITISCPDKIGLGCDLSRVILLAGLNIVRGDIQTDVKWCFIVFWVTGKPIISLNLLRQRLLEVCPLCTPAASGIYYFRPEVQEPKPMEIFLLKFGCSYGWTGLLHDVAKALCQLELGIKLVKVYAAPDGMLMNLFYLYDTRKLLHTDMRQEEAINHLKSVVGDAMISSETELAPAEVTACLPTPLPPPLTESKFSFEMYDGQSSGVPSADAVSLLVDNKLSRSHTLLQISCRDQKGLIYDIMRALKGYNYQVSYGRFFTNGKGYCEVDLFIMQDRKKILDPEKQNTLCARLRSDLACPLRVDVFIRDNATEILVANPVELTRKGRPLLFYDITLVLKRLNIYISSVETGRHRVHDREWEVFRIVVDESDSSNLPRHKIKECVRNMLMGWDALEQP >itb10g15070.t1 pep chromosome:ASM357664v1:10:21366020:21367962:1 gene:itb10g15070 transcript:itb10g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPCNDLLDECWDQIFTKLDRDCEFDSLSLVCKRFYALTNSLRRRLSVTDPIITGTLPRLLHRFPNLVSVNLSNFRGDATTIFSEIRHRCLLNLQELDISNQTRIPFDESMDSGSVFEKLKVLKCKNLHVLGNSHLKRIASCFPCLEELDISFPRTDVHLRSKDLEGNESVVTDDGIEALSLSLKKLRKINLSGNYYITDRSIAALSNCLNLQSLEISSCCFITANGIHLLLQNSAKMNSVSVYGITCPNSNLIAQGFSTYGRALQAIDLHCAIISDECLSSLAKACLPLNRFSLVCCNGITSNGLLSLLSAYPSLQYLALEAFDFLTDEIMEALSVYLRSVVTIKLTECSRLTISTIFALARNCDVLEELDMENTGLGRKGGSPDWHISSKLKCLNMGKNIRVSDKCLAEIAFVCRMLEKLDVSFCSGISKEGIASVLQICPEIRSLKIDHCLGIESIGEGPELPKLEVLSADRSGLNGSGLAAIGIRCSGLLKLGLEGCNGVTTKGVEEVAKKCKRLRKIKLGMCAHVDASVVERIVFSCPSLRRVTFPNSKLTEDLKRLFLQHGCVVQESH >itb13g26560.t1 pep chromosome:ASM357664v1:13:31780712:31788080:1 gene:itb13g26560 transcript:itb13g26560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLILSLFSLFSFSAASLPYPFTYNLHIDCGGHTNSTDDFNTAWLADRYYTGGVTAIVSEPLQFKHQQEKTLRYFPVTSGKKNCYVIPDLPAGKYLLRTFTVYDNFDGKSHSPSFDVSVEGNLVFSWRSPWTESVSRSGAYSDLFFTVADSEADICFYSIATDSPVIASLELTQVDPESYLVNDSTIRSSLNTSILVNYGRFSSGSEQWGPGFSNDTDRFGRSWQSDAEFRFRNTEIENGGSVKAISAIQDIVNVDKPPNYFPLKLYQTAVTVVGEGHEFLEYILPVDAKLDYLLCFHFAEIDVSVNRKGKRVFDVVVNGENVTRVDIYEKVGGFAAYDWNYVVKNLNSTTLNVRLVPVVGAPVICGLENYAIVPADLRTAPDQAVAMKALKESLRVPDRMGWNGDPCAPTTWDAWEGVTCRQPKGESRLVISQIDLGSQGLKGYISDKISLLSNLVSLNLSSNSLGGTLPRGIGQKSLVKLDLSNNKFTGFIPDSLTSSSLQLMLLNGNSLEGRVPSELYSIGVHGGAIDLSDNKGLCGVPSLPDCSLFWDKHGLSTAGKVGIGIASLVIFLLLLCLGYWCYKRRQNDYDFGLPHELMSLSAKRNRYHRQKSLMALEMESQHAKGFIPTYNSN >itb04g33250.t1 pep chromosome:ASM357664v1:4:35560658:35563946:1 gene:itb04g33250 transcript:itb04g33250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKIASWYDKCLGAELFKAVLISGVVLYLASIFLFKNPICSLPEGALISPEAAAELSRKNESPTNISHLLFGLIGSEKAWHYRKAYTESWWRPGVTRGYLYLDVAPTGDLLPWSPSSPPYRVSDDINQMVQETKHAAPIMARMVHGIMEVFRERHAGVRWVVMGDDDSVFFVENMVDVLAQYDHTKYYYFGGQSEFVMSNYWFSFNQGFGGAGFILSYPLAEALSNDMDSCLRRYADVISADKITMLCIADLGVNFSPLKGIHQIDLQGDLSGFLSSHPKFPLLSLHHLDTVEPIFPAMDRFQSARHFVRSADHDQTRMLQQTICYHRQSNWSVSVSWGYSVHIYEKIHHRSYLQMPIETFKPWARDQHHRPLYMFNTRLPSKDPCEAPHVFFLKTVNRTEEDVVVMTYLRSSERGLPACSFSGNHSAAYVSRIEVYSPSTKRPEMDKCECCDVIHSDGEEGLKVKYRNCLLTEVIA >itb12g07510.t1 pep chromosome:ASM357664v1:12:5623767:5625328:-1 gene:itb12g07510 transcript:itb12g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKAKETVASNPVVVFSKSYCPFCVDVKKLLTQLGASFKAIELDIESDGSEIQSALAEWTGQRTVPNVFIGGKHIGGCDKTNAVHQEGKLVPLLTEAGALSKAS >itb10g02870.t1 pep chromosome:ASM357664v1:10:2497247:2499060:-1 gene:itb10g02870 transcript:itb10g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATSTAARSSSKKEYPGGLILDRYEVGKLLGHGTFAKVYHARNIKTNESVAIKVIDKEKILRVGLTAHVKREVSILRRVRHPNIVQLYEVMATKSKIFFVMEYVKGGELFNKVAKGRLKEDDARKYFQQLISAVAFCHARGVFHRDLKPENILLDEDGNVKVSDFGLSAISEQIKQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPELTRFLTRLLDTNPDTRITISEIMNNRWFKKGFKHVKFYIEDDKLCNVIDDGIDYSSDLSESESEIESRRRIGGLPRPASLNAFDIISFSRGFDLSGLFEEGGDGERFVSGAPVYRIINKLEEIAKVVSFTVRKKDCRVSLEGTKEGAKGPLTIAAEIFELTPSLRVVEVKKKAGDKMEFEEFCKRELKPGLQNLMLEDAAAADTSHLPSDIE >itb10g19890.t1 pep chromosome:ASM357664v1:10:25560831:25564373:-1 gene:itb10g19890 transcript:itb10g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVFFVLLFFPVFVFSVNQEVLYLHSVKVGLDDPDGVLSGWNDGDESPCKWFGVKCGGSGMVTGLDLSNANVGGSFPEVLCRLKDLSFVSFYNNSIGSTIPEGLSGCKAMEHLDLAENYLTGSLPDVFSELPNLKYLDLSGNNFSGDIPASFGRFQKLEVLGLVENLLEGTVPGFLGNVSSLKQLNLSYNPFSPGRIPPELGNLTNLEVLWLSYCNLIGEIPDSLSRLTKLTDLDLAWNELSGAVPSWITELTSAVQIELFNNSLTGELPAKGWSKMTALRLIDASMNQLTGAIPAELCELPLESLNLYENQLEGNLPESIANSPNLYELKLFRNKLNGSLPKNLGKISPLLWIDVSDNHFSGEIPATLCEMGVLEEVLMLDNSFSGEIPASLSQCRSLQRVRFAHNKFSGNVPAGFWGLPHISLLELADNSFSGEISKTIAGASNLSSLVLSKNKFSGTIPEEIGFLENLLDFLGNDNQFSGPLPASIVNLGQLGRFDLHNNELSGSLPNGIRYWKRLNELNLANNDLSGNIPLEIGSLSVLNYLDLSGNQFSGKVPMELQNLKLNQLNLSTNRLSGDIPPLYAKQMYKNSFLGNPGLCGDIEGLCDGRDEGKQSGYVWLLRLTFVFAGLVLIVGVAWFYWKYRSFKKAKRAIDRSKWSLMSFHKLGFDEYEILDALDEDNVIGSGLSGKVYKVVLSNGEAVAVKKIQTNTKVQDESSDLEKGKSSQGDGFEAEVETLGKIRHKNIVKLWCSCVTRDCKLLVYEYMPNGSLGDLLHSSKSGLLDWAMRYKIAMDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDGNEKGTKPMSGIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKRPVDPELGEKDLVKWVCTTLDQKGIDNVIDPKLDSCFNEEICKVLNIGLLCTSPLPINRPSMRRVVKMLQEVGESGKQQPKAGSKDGKLTPYYYEDASDHGSTA >itb01g10180.t1 pep chromosome:ASM357664v1:1:8458819:8461951:-1 gene:itb01g10180 transcript:itb01g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGYDDFSVVAAPNKGLLLPQFNLELSPASTESQALAIAITYVVLPRCYGYHRRLCLLRSPPGRTSVYKRESILELYNDANAFGLKSSNIRT >itb04g28190.t1 pep chromosome:ASM357664v1:4:32002341:32003994:1 gene:itb04g28190 transcript:itb04g28190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFRLCICLLFASAIISSSARNTISISGDEMLVVEMEGRSMEMRVDDYGEATANHGHDPRNRAGGSRSRSRDTP >itb06g08430.t1 pep chromosome:ASM357664v1:6:12395545:12397394:1 gene:itb06g08430 transcript:itb06g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDCILGIQSWNDSYCQRSRETWVQTSQRKETCEAVTIIETPTIVVVGVEAHGTKDIEAQLENMKKYACVIRVLVHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKINYAYGFFEKHVPVDDVFQKDEMIDIIGVTCLARKTHRGLRKVAWHPTRVSFTIARAGQNGYHHRTEMNKKIYKLGKAGQESHAAITEFDRCGEQKNNGRRWSRLIREFGCFSLFAGLAIEGSVAPTFSVYVGSEVVLFQWLETGTGVSSPFAEMK >itb15g00390.t1 pep chromosome:ASM357664v1:15:246515:250612:1 gene:itb15g00390 transcript:itb15g00390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MATLCRIPAFHKLTLSPLPSRHTAHSHYPNIPNSQTSIFASINKPRVRKPVKSNADLCNDIREFLSMAGLPQYHVPTTKELTQHGRQDLANIVRRRGYKFIRELLNSEEGLGQDEKMKNLVDDVSSFSKAFVMDGGLNSGKDDGEFNSHRQTYIAEESSIYPSLLEKASNFIQNGELDSIEDSGFEFLNQRNFKDVDGSDGPQNAEELRPSTHKEQKELVLNHFDGSQISNGKILSSTQQLDHAVREISLLKNDYAPAEEATSSEDKGLLVKTQKEENQADINHLKFMLHQKELELTRLKQQIEEEKRTLSALQAKAEAEIRKAQKLISEKDAELNAAEESLSGLKEVEIQYEVEGESVEVAGSFNGWHHKIKMDPQLQASSIIDPSGVRKCQHWRTVLWLYPGIYEIKFVVDGHWRTDPDRELVTRGAIENNVLRVER >itb04g13210.t1 pep chromosome:ASM357664v1:4:13275510:13279520:-1 gene:itb04g13210 transcript:itb04g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTTFFSLLLYTMMVFLLDQGAGKNRSTCPTEFSCGSLGTMKYPFSSNISGNECGLLKLECDAVPLPRIRIGRKYYYAYGKLGDYIRLYDPELEQIVLNRPRCNSFDTNVSFPNSPCASFVIPHNFTVFKCPNTPKLTQQMNHFGYSNHTKCGDFSVFYRPPGEGDPLPNLPNHHGFPAFPPKCSPILLPFEKEYSNIDLFDIISVTFVLEWLLSEDCSNCQKRDVIVSRRLVVTSLDLRATITAKLNFVVYYREDGNDTAPGGGNLPIECSVVQLPFRKNSRASDLFRKLNSLISVEWEVSETFALVVGVTMLMTMLLCLWRNFLYQIFTNFLKTEDERNIEVFLRNNGSFSPKRYTYLEVKRITNSFRNKLGQGGYGFVYKGKLANGTFVAVKLLKELNGSGEEFINEVASISRTSHVNIVTLLGFCVEGDKRALLYEFMPNGSLESFIYDGKLRSDRQLEWLTLYKITIGIARGLEYLHRGCRTKILHFDIKPHNILLDEDFCPKISDFGLAKLHTTKESFVSITGARGTIGYVAPEVACRNFGAVSHKADVYSYGMMVLEIVSGRKNVEHDVDRNSEIYFPHWIYRRIELDKELGLKGIMNELDKEYARKMIMVGLWCIHVDPSIRPSISMVLEMLESSADLLPFPPKPYLYSSTSTEANSSILH >itb05g27400.t1 pep chromosome:ASM357664v1:5:30786949:30789841:1 gene:itb05g27400 transcript:itb05g27400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAYSDKKEPNASSPAWQEMFLSASMRKPEEPSPQPPKPKPLSSLSSDDQNSSSWDPQVRLAIYIAMAHAGLALMIFLLYGICKLLEQYMRPLLWAVLCSIPMRVIEQTLVAFWSEPLKMGLTETFLAIPVALFRVVVGTIVDIKEKVFSIVLRRKKVYVFRGRRRSAFYRLLRLLVSFWVFVFAYERIGAVSSIALLALGFLFSASSVDSTMSAVSTLRSQSFRRLPISSFFTRGILKRLKTIVAIGLIVGLSVGSLTGAIFFSYKIGVEGRDAVIGLKSHVEENNYTERIGITKWMDDYDVAGMVDKYTTQLYETVSIQIDTYAMQYNMTEFVSGIKHFVITPSRNASGRSRAMAPPSPYAEKMLSLKKRIRDREWGRIYTEVEVIFRELLITREDLVEKAKGFALQGANVMQRVLVSSRSVLGGSVKIMFLIANSIVSGAAGVFNFMSQSMVFFWVLHYLITSESGGVTEQVIYMLPISSSARTRCVEVLDKAISGVLLATVEIAVFQGCLTWLLFRLFSVHFLYMSTLLAFISSLFPLLPYWLSTIPSALQLILEGRYILAISISVIHLVLMDYGASEIQEDIPGYSAYLTGLSIIGGMTLFPSAVEGAIMGPLITTVVIAIKDLYAEFVLEGQKKKDK >itb05g27400.t2 pep chromosome:ASM357664v1:5:30787173:30789274:1 gene:itb05g27400 transcript:itb05g27400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAYSDKKEPNASSPAWQEMFLSASMRKPEEPSPQPPKPKPLSSLSSDDQNSSSWDPQVRLAIYIAMAHAGLALMIFLLYGICKLLEQYMRPLLWAVLCSIPMRVIEQTLVAFWSEPLKMGLTETFLAIPVALFRVVVGTIVDIKEKVFSIVLRRKKVYVFRGRRRSAFYRLLRLLVSFWVFVFAYERIGAVSSIALLALGFLFSASSVDSTMSAVSTLRSQSFRRLPISSFFTRGILKRLKTIVAIGLIVGLSVGSLTGAIFFSYKIGVEGRDAVIGLKSHVEENNYTERIGITKWMDDYDVAGMVDKYTTQLYETVSIQIDTYAMQYNMTEFVSGIKHFVITPSRNASGRSRAMAPPSPYAEKMLSLKKRIRDREWGRIYTEVEVIFRELLITREDLVEKAKGFALQGANVMQRVLVSSRSVLGGSVKIMFLIANSIVSGAAGVFNFMSQSMVFFWVLHYLITSESGGVTEQVIYMLPISSSARTRCVEVLDKAISGVLLATVEIAVFQGCLTWLLFRLFSVHFLYMSTLLAFISSLFPLLPYWLSTIPSALQLILEGRYILAISISVIHLVLMDYGASEIQEDIPGYSAYLTGLSIIGGMTLFPSAVEVNLNHMLLGCLKAKTHYPSGNIIKP >itb07g08620.t1 pep chromosome:ASM357664v1:7:6860541:6862015:-1 gene:itb07g08620 transcript:itb07g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLEASSAPAPTSPAIRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGYLENRADSDGRDLEAGEGSGDGEKAAAPVLEEKFLVIMAGEEKPTFIATAISSRASSFGSKSSSSTLSSESSTLEKSEGENEEKKQEDGNVSQVQMGAVFPQGTDQLSPN >itb03g23920.t1 pep chromosome:ASM357664v1:3:22364287:22369391:1 gene:itb03g23920 transcript:itb03g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRLGSSVERGGSASRREMLPDGARCGKGKKVKALLMFAAVLIVASAASVAVLVGVRGKGEGDRITARPTQAIARTCSRTRYPSVCVNELRDFPGALAASDADLVHISVNVTLQRFGRALYMATDLSTLPMSALERSAYEDCLELLDDSVELLSRSLTSVSGGRAQDVHTWLSAALTNQDTCTEGLLETNGPVKSQMSARLKNLSELVSNCLAIFAAAHGDDDFAGVPIQNRRRRLLGHGDFPEWLSRKERRLLDLPAPAIQADIIVSQDGNGTVKTIAEAIKKVPEHSTRRTIIYVRAGKYVEQNLKIGRKKTNVMMIGDGKGKTVIAGGSSVADNMTTFHTAAFAATGAGFIAKDITFENWAGPAKHQAVALRIGADHAVIYRCQIIGYQDTLYVHSQRQFYRECEIYGTVDFIFGNAAVVLQNCTIYARKPMAGQKNTITAQNRKDPNQNTGISIHASRILATSDLDSSQANFSTYLGRPWKMYSRTVVMMSYIGDHVHPRGWLEWNATFALDTLYYGEYMNSGPGAALARRVSWPGYRVITLPVEASKFTVAQFIFGSSWLPSTGVAFLAGLST >itb03g13470.t1 pep chromosome:ASM357664v1:3:13601946:13603804:-1 gene:itb03g13470 transcript:itb03g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDDRDNLVGRGVDFGATLFISKPVSVNDVRRYLCQIKDDQNSNIYIIPPPHHGQATATAAAAPTRPSTDNNNNSTEITREGEVTFKTNKLTGKKKLVWTPTLHYKFLDAITIIGLNNAAPKKILDVMDVPGITRDHVASHLQKYRMLLRRVSELTMPAPRRYGVNNLQTSLGGGAGRWNNNITAPMPPAGTFSSSSSFLFSDPPPPPRLAVASPPCNQPSWRPGYGTGGRQSSLLLSPHHHHQLFNFGLQSSPLIPAASTNMVDPIYQRSFTSLPPLVIPGNNDDAIAAASPRVPTLQLHGGGGGSSMLQPPPQTYNINNATGGDETSSLKLPARLGLTAPLSTEFSMFDMMMSFFADDDDHQLDGGGLQATHEDLIIHNNDCENQNSNFSNSSSSNAVKNVTTTTTQYYDQDLPSLDQLMLLEPRNDEELFLQSVFASMPSVGAAQQHHTSNN >itb12g17690.t1 pep chromosome:ASM357664v1:12:19801266:19804988:-1 gene:itb12g17690 transcript:itb12g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHFKEMEQEAILSGTSSSFLNSEFCDPAWSFASQISNSSSFMSPDILPWLDVEDLINGNLLMDVVQSFPVANSSPVDDQITNVSMENQTGFDCYASDRLLQQSPLLGSDNYFNMSQPFSRWSSEASYATSSRENLASGMVAPHFEHFTNSTQNAAERLVMESSADELRKLVQKPTSVCTSDESSRVTDDFVESLMADSLGDDLSCAVGLIPSPSNMNKQFMFNCGGDPSVSTESSITNAFNSHQNEKCLKTPFINNLSNDFECKKPEKWDNNLRSATSSHNWDYGTSSSGCTSEHSVGSKRPSNTLFSNLGLDQLLYGTARSSCSFDRPGLNNQSLSTSKRRRFESFSPSSNMMKPSDLYSLDGFQTSIETVTKFNSGSWIGDSRSINVGNPDLQAKGHEKPSKAIKKKGKPGSRPIPKDRLQTYARLAELRELIPNGEKMSIDRLLHRTIKQLHFLQSVTKHAKRLAKTEALKDRKDQNHSNNVANGVTWACEIENETMICPLIVEDLSTPGQMLIEILCQEQGFFLEIVDIIRGFGLNILTGFMEVHEAKICAHYIVEAEANRFVSRHEIFSSLVQLLQLTGPNEFSPNDQHGNVSLLNNSPQPAASLPDRTPCMSSVPIA >itb12g17690.t2 pep chromosome:ASM357664v1:12:19801266:19804988:-1 gene:itb12g17690 transcript:itb12g17690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHFKEMEQEAILSGTSSSFLNSEFCDPAWSFASQISNSSSFMSPDILPWLDVEDLINGNLLMDVVQSFPVANSSPVDDQITNVSMENQTGFDCYASDRLLQQSPLLGSDNYFNMSQPFSRWSSEASYATSSRENLASGMVAPHFEHFTNSTQNAAERLVMESSADELRKLVQKPTSVCTSDESSRVTDDFVESLMADSLGDDLSCAVGLIPSPSNMNKQFMFNCGGDPSVSTESSITNAFNSHQNEKCLKTPFINNLSNDFECKKPEKWDNNLRSATSSHNWDYGTSSSGCTSEHSVGSKRPSNTLFSNLGLDQLLYGTARSSCSFDRPGLNNQSLSTSKRRRFESFSPSSNMMKPSDLYSLDGFQTSIETVTKFNSGSWIGDSRSINVGNPDLQAKGHEKPSKAIKKKGKPGSRPIPKDRLQTYARLAELRELIPNGEKMSIDRLLHRTIKQLHFLQSVTKHAKRLAKTEALKDRKDQNHSNNVANGVTWACEIENETMICPLIVEDLSTPGQMLIEILCQEQGFFLEIVDIIRGFGLNILTGFMEVHEAKICAHYIVEAEVNNTLKDW >itb15g23980.t1 pep chromosome:ASM357664v1:15:26873788:26882019:1 gene:itb15g23980 transcript:itb15g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTGQNLPPGPTKLPLIGNMHRLVGAVPYVTLRDLSKQYGKELMHLQLGEVSVVVVSSAEVAKLFLKTHDLDFASRPRILAGDEVFYERSDLVFSPYGEYWRQMRKVCMTELLSARTVRSFSYIRQDESHRLLDRVRSSSRAGRPINIVDEVTSFMSSVVCRAAFGKTLNRTVSVKYKRVRVDILIKFRPDETMDEAEKRPEVASRTDKAATYRKHAQFSRPNPTRCPFRDLSKQYGKDLMHLQLGEISVVVVSSAEVAKLFLKTHDLDFVSRPRILAGDEIFYDRSNLVFSPYNEYWRQMRKVCMTELLSARIVRSFSYIRQDESHRLLDRVRSLSGAGRPINIVDEITTFMTSVICRAAFGMTLNRIEELVKVLNEIMILASSFSVADTFPSRKILHFLTGEKRRMVKVGQKTDEIMGDIIKEHRNNLGSGKTGSGESGSEDIVDVLIKLKDSDSLPMSITDDNIKAVILDLFAGAVDTSKTTTVCAMVEMVKNPRVLAKAQAQVREVFKGKEKVEESDMEKLSYLNLVIKETLRLHPPGSVIYRENNKESVICGYTIPPRTRVLINAWAIGRDPQYWEDPESFKPERFENDPMDFTGNQFKYMPFGSGRRICPGISFGLANVCTPLAHLLYHFDWELPHGTTPHTLKLSELPGLAIGVKNDIFFIAAPPPAA >itb04g26560.t2 pep chromosome:ASM357664v1:4:30858145:30861169:1 gene:itb04g26560 transcript:itb04g26560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKLIFLLITLLLSSKSTIGKEEEFSEALLLRPLPDRKVLSHFHFESKVPPTHTHGRHHHLFPKSIYQLVHKFRIREMDLSFTQGRWNYEQWGGYDPIASNNAKPPGVELWAVFDVPQDQVDASWKNLTHALSGLFCASVNFLESSTAYAAPQWSFRSVVGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGIAALMDRPSIYKGFYHSQRLHLISDEFSLDASSSGVVLEQTLTTVLQPNTFGSSLNSFDGSIVQPSWSLSSLFGRKVSERCSLSKSSNVYVHLDKNLVSKLKTLWKKDGISDDVDVTSEGSWKNPSFEMSIPPARVIKEVYTSSKEEKSILCEFSIEHYSQSRPFDLGFRWKLPVLWSSHLAPLHASRFLMGSGNERGAIAISLKATGMSDNTQSATSSEGRCFLRVNIFQVVPWYVKVYYHTLKIFLDGHAKSTADIVEKMRVSPSEDKVSPGLMEITLRLACDVNSATLTLEFDKGFLHIDEYPPDANQGFDIPSAVISFPEFKTSLHLVKDSSANTLPILSKLQDESPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRAGEEERLLKSKGNTY >itb04g26560.t1 pep chromosome:ASM357664v1:4:30858145:30861748:1 gene:itb04g26560 transcript:itb04g26560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKLIFLLITLLLSSKSTIGKEEEFSEALLLRPLPDRKVLSHFHFESKVPPTHTHGRHHHLFPKSIYQLVHKFRIREMDLSFTQGRWNYEQWGGYDPIASNNAKPPGVELWAVFDVPQDQVDASWKNLTHALSGLFCASVNFLESSTAYAAPQWSFRSVVGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGIAALMDRPSIYKGFYHSQRLHLISDEFSLDASSSGVVLEQTLTTVLQPNTFGSSLNSFDGSIVQPSWSLSSLFGRKVSERCSLSKSSNVYVHLDKNLVSKLKTLWKKDGISDDVDVTSEGSWKNPSFEMSIPPARVIKEVYTSSKEEKSILCEFSIEHYSQSRPFDLGFRWKLPVLWSSHLAPLHASRFLMGSGNERGAIAISLKATGMSDNTQSATSSEGRCFLRVNIFQVVPWYVKVYYHTLKIFLDGHAKSTADIVEKMRVSPSEDKVSPGLMEITLRLACDVNSATLTLEFDKGFLHIDEYPPDANQGFDIPSAVISFPEFKTSLHLVKDSSANTLPILSKLQDESPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRAGEEERLLKSKASKGTGRLTLLVSKLSAKLRGKPWNPPNPASSSSSSSSSFLSSKLIIKVIIIACIAAGWQYFSE >itb05g22460.t1 pep chromosome:ASM357664v1:5:27889713:27892746:-1 gene:itb05g22460 transcript:itb05g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METELVENGKYEPLFESRIAKGRLVYRLFSASIFVGIVCVWIYRVIHIPEAGEYGRMGWIGVYGAELWFGIYWIFTQAQRWCPVFRQPFRQTLLKRYGKALPRVDVFICTADPATEPPIMVVNTVLSVMAYDYPAEKLSVYLSDDAGSELTFYALIEASNFSKHWIPYCKKFNIEPRSPSAYFCSDQYDKLYQEMEKRIQSACQLGRIPTDEYNKHTGFSKWDPSSSRKDHAAILQIVIDGREREAKDSEGHSLPTLVYMAREKRPHHFHNFKAGALNALIRVSSEISNAPIILTLDCDMYSNDSGSVQDALCFFMDEEKSHNIAYVQYPQAFQNITKNELYGGSFRIIYFVELHGMDGYGGPWYIGTGCFHRRDALFGMEFSKAARNELLKSEPPTRTHQNVEEFEESLQKLVSCTYEENTQWGHEIGMKYGCLVEDVLTGYAILCKGWKSVYFSPARKAFLGLTGTTLDQVLLQQKRWSEGGFQTLFTKYSPLGKFFNIGLTYSYLPFSLWAPDCLPVLCYSIIPSLYLLKGVPLFPQVSSVWFLPFAYLVVTTLAYSCAEFLYTDGTLLGWWNEQRMWLYKRLSAYLLAFLDIMLKLAGCSNSTFVISAKVSDEDVCVRYEQEMMEFGSDSPMFTILSSVAMLNVFCFVGAVKKMVTQRFVFENLGLQIVLCGVLVLINLPIYNGMLLRKDKGRMPSTVTYKAIVVALSACTLFTFL >itb07g02010.t1 pep chromosome:ASM357664v1:7:1203807:1207057:-1 gene:itb07g02010 transcript:itb07g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVTSAVNPRFRPLISPNHRLPFPRPLQFNLTKTDLLITKNHKRNNVKRLRHIVKASASGASAAPGAAPAQPWEGAAIKPLVASIATGVILWFVPQPGGVSRSAWQLLSIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCAACGSNAGDGTEHKLGAWLMLTCFQTSVISSSMFLTAMAANPLSANLTATTIGQTIGWMDWAKAAFVPGLVSLFVVPLLLYIVYPPTVKSSPDAPKLAREKLEKMGPMSLNEIIMAATLLLTVGLWVFGSVLNIDAVTAAILGLTILLVTGVVSWKECLSEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKVVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYLGALVLSFLSNIMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLVSVVNLIIWLGVGGIWWKAIGLW >itb14g00670.t3 pep chromosome:ASM357664v1:14:458696:462211:1 gene:itb14g00670 transcript:itb14g00670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKMTLMATSLTHLRPLSCAAVSAALYSSRLDPQPPDLIKWVKREGGFVHPSVKIAISEPYGLGVVASDDIPKGSDLIALPEHLPLQFGPVGADSPMAKLALQVPEELWAMKLGLKLLQERSRKGSFWWPYISNLPEKYSVPIFFPGEDIKNLQYAPLLYQVNKRCRFLLEFEKIVVHELSNVKRDNHPFEGQGVDSSALGWAMSAVSSRAFRLYGGKRLDGTHNDVPMMLPLIDMCNHSFHPNAEIVQEREAGDGKVLVKVIAAEHIKQSDALELNYGCLNNDLFLLDYGFVVPSNPYDCIELRYDAALLDAASMAAGVASPNFSSPAPWQREVLSQLNLDGENPDLKVTLGGPELVEGRLMAALRALLSNDMESVQNHSIDTLKSLSVEPPLGTANEVAALRTVTALCVIALGHFPTKIMEDEALLKQNVSATADLAIRFRIQKKSVIIDVMRDLTRRVKLLLSKESATAQS >itb14g00670.t2 pep chromosome:ASM357664v1:14:458696:462211:1 gene:itb14g00670 transcript:itb14g00670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSSRAFRLYGGKRLDGTHNDVPMMLPLIDMCNHSFHPNAEIVQEREAGDGKVLVKVIAAEHIKQSDALELNYGCLNNDLFLLDYGFVVPSNPYDCIELRYDAALLDAASMAAGVASPNFSSPAPWQREVLSQLNLDGENPDLKVTLGGPELVEGRLMAALRALLSNDMESVQNHSIDTLKSLSVEPPLGTANEVAALRTVTALCVIALGHFPTKIMEDEALLKQNVSATADLAIRFRIQKKSVIIDVMRDLTRRVKLLLSKESATAQS >itb14g00670.t1 pep chromosome:ASM357664v1:14:458696:462211:1 gene:itb14g00670 transcript:itb14g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLKLLQERSRKGSFWWPYISNLPEKYSVPIFFPGEDIKNLQYAPLLYQVNKRCRFLLEFEKIVVHELSNVKRDNHPFEGQGVDSSALGWAMSAVSSRAFRLYGGKRLDGTHNDVPMMLPLIDMCNHSFHPNAEIVQEREAGDGKVLVKVIAAEHIKQSDALELNYGCLNNDLFLLDYGFVVPSNPYDCIELRYDAALLDAASMAAGVASPNFSSPAPWQREVLSQLNLDGENPDLKVTLGGPELVEGRLMAALRALLSNDMESVQNHSIDTLKSLSVEPPLGTANEVAALRTVTALCVIALGHFPTKIMEDEALLKQNVSATADLAIRFRIQKKSVIIDVMRDLTRRVKLLLSKESATAQS >itb08g05850.t1 pep chromosome:ASM357664v1:8:4805295:4806575:-1 gene:itb08g05850 transcript:itb08g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLLLLALSFSVHKLSLARDTISSTQPLKYGDTIVSSGGIFEMGFFSPTNSSHNIYVGIWFKQISIRTVVWVANRDTPLTNTSSLALQIINPGRLALVDGNGSEIWSTNTSRSAQNPVAKLLDSGNLVVMDAYDDKAENLLWQSFYHPTDTLLAGTNLGKNLVTGVESSLSAWNTETDPSSGQYRMTLDPTGFPQVVFWKGRNKMYRSGPWNGLNWRDLEKWGLFVQVSVTINTTEVMSREFFNTSKLISFVLTPTGTLQLDIGENGTGKWSSFPLVPIDGCDKYGICGPYGNCDSNKNPVCGCLDKFVPRDPVVWGSSDFSGGCVRRTPLNNSSSDGFKRYSGLKLPDTNVSIFYKNMTLQECNHACFNNLSCMAYSTLIISNGENGCLLWFDDLIDVRVVVIPEDGQDLYIRMASPDSGNNL >itb06g19660.t1 pep chromosome:ASM357664v1:6:22888417:22892731:-1 gene:itb06g19660 transcript:itb06g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKVREIPILSSSSAYRPVPIVEQQTQPPPPAPQKPMASASEVGPILGKPYVDIRTVYDLDRELGRGQFGITYLCTEKATGFKYACKSISTAKLVSPKDIEDVRREVLMLQHLTGQPNIVEFKGAYEDGENLYVVMELCSGGELFDRITAKGNYSEKEAARIGRQIVNVVHVCHFMGVMHRDLKPENFLLVSKDDDSPLKATDFGLSVFIEEGQIYKDIVGSAYYVAPEVLRRKYGKEIDVWSAGVILYILLSGFPPFWAETEKAIFEEILKAQLDLESSPWPSISSSAKDLIRKMLTVDPQKRITAAEALEHPWLKEDGDASDKPIHSAVLIRMRQFRAMNKMKQLALKVIAETMPEEEIKGLKEMFNNIDTDRSGTITYEELKTGLAKLGSKLPESEIKQLMDAADVDKSGSIDYIEFITVTMHRHRLESEENLHRAFNYFDKDGSGYITRDELRHAMTGYGMGDEATIDEILNDVDTDFDGKINFEEFVQMMRRGTVDDGQHA >itb13g25620.t1 pep chromosome:ASM357664v1:13:30952283:30953706:1 gene:itb13g25620 transcript:itb13g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQITQLPWALPDQHPISYLHVTQACWAPDLDSTINLTATIAVSNVAPDRHRLTASLEREREREREGERLPAQAKQNYDDEGFRGFVSASVHSADRCVMPLSLLNRAVERIWCTASFVLISVQGRLLAVAISVSEI >itb06g25270.t1 pep chromosome:ASM357664v1:6:26406110:26412692:1 gene:itb06g25270 transcript:itb06g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSWRPQPPQQPPLQGDRCPVCSYSHFPFCPPPPLYPPNPRLQYQPHPAQFYHGHPPVPPQPAYDPFVDHHTGPHQVPPHRPYADGYQGLRSPWNQGANFNNDPYGNSNSTDNFSHGNGGAKRMRMDDPSSVVNESYEKSARFSFDDERRLQLIRDHGGPADQGNSEGYGNGANNFRDSGCGDLDRKQASSEQVDKNLVQSNGYGFSSFPANNYNNVDQGRNTIQHEQSGALGFGSENHNFDSSYPDFYPKQHSLELKQNQYGSAITRQGASNPRGMAIGLSQGSRAFPGQLPLPSSPPPPLPAGTPGRPFLEPVVSSSPSGTASSLFPIHTGTSASMPSYPPATEAAQAYYHANGNSKPPSGFAMEELRTFHYASSRTCSGESEQYPARHPSSEKPKIFDASHILKRPHRSARPDHIVVILRGLPGSGKSYLAKMLRDLEVENGGNAPRIHSIDDYFMTEVEKVDESEVSKSAGSTKGKKSVKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGAFSFVIVDDRNLRVADFAQFWATAKRSGYEVYLLEAPYKDPAGCAARNVHGFTLDEIRKLTCQWEEAPSLYLKLDVKSLIHGDDLEHGAIEEVDMDMEDGDSAAEPSTSEQGNTENAVLPASDITSDAKGDLSWDDEAEHHIDSVKDLGKSKWSTDLDEDDIQKDENTKRKPNALSGLIQSYRKQSKRVRWGDQAGKAGFSIGATKAANVSLIIGPGEGYNLKSNPLREEEKTTLINGRQTKRQGVFQEQLRAEHESFKAVFDKRRQRIGGLDAEEE >itb04g11790.t1 pep chromosome:ASM357664v1:4:11419309:11422717:1 gene:itb04g11790 transcript:itb04g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPPTIGTPRTKSIFFFCLLLLFSLVSLSFSGDATVMSKLFAAISPAPSGWSISKDHCTWTNVECAKSTGSVVSINLDSQSISGEIPSELKHLTSLRSLSVQNNFLSGRLPSFANMSNLEQLYLDNNKFSSIPSGFVLGVPNLKTFSISENGNLGPWQIPSYLIGSTNLNVFHASNASITGAIPDFFDSFPNLQNLRLSYNNLTGPLPWSIGRSKIQNLWLDNQKQGLSGTIDVISSMTQLSQLWLQGNAFTGPIPDLSKCLNLFDLQLGDNQLTGVVPVSLTGHPNLENITLQNNKLQGPMPLFRDKVKATLGDTNSFCIDTPGPCDPQVTALLAIAGGFGYPITLAQSWKGNDACQNWAFITCDSQGKNVTTVNLGNQRFSGTISPNFSKLTSLRNLYLNDNNLTGPIPENLTTLPNLQVLDVSNNNLSGPIPSFPPAVKISIHGNLFLGKNVSSSDPGNGGSPYTVLNSEAPGNSIEYALTVGLAAKTIILFFAFFVLKSLTVLVCYKCHAWRKGLFVKVARMSNNQTVSTNSEAKKIPAKKAGIVAIPIQVLQKATNFFSQENVLGSGGYGVVYMGELDDETKIAVKRMKDGGTLTKRMNEFQAEISFLNNVRHRNLVTLLGYCINENERLLVYEYMPQGTLGHHLFDCQKHGFGPLTWNQRVTIALDVARGIEYLHNLAPQSFIHRDIKSSNILLNNDMRAKVADFGLVKMTLDGKSSVDTRVAGTFGYLAPEYATTGRVTKKVDVYAFGVVLMELITGKKAVDKTLANEMCHLVTWFHKVIRKSHNLRNAIDPTLDHDEQIFESISKVAELAIHCTANKSFGRPDMGHVVNVLCPYVQQWRPLRLEEINEKCGGVDIHMSLPLVFDYSSTQNLSSIDTKLYKHRPRRSAQF >itb08g05460.t1 pep chromosome:ASM357664v1:8:4508586:4511751:1 gene:itb08g05460 transcript:itb08g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKNSNANNNNNENSWLGFSLSPHMKMEVSSPSSSTTAAMAAQSFHLSPSLCYGVGEASAFHFPLPVMPLKSDGSLCIMQPLSRSHPNGMVPNGSPKLEDFLGGATMGENHQFGSHEREAMALSLDSIYYHNPNDPQEAARHRGSLDFLQEPQTPHSYFSTLQCHDLYQEPLDGENELKNWVSVGPFSGGGGGGQQPFDHHPTTTTMVGEGGGGMGCNELQSLSLSMSPGSQSSCVTAARQISPTELECCVAMESKKRASMKVVPKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVDKIIASNTLPTGEVARRNKEIREPPVEAAAIEYNNIAPPEGGECVQDGRATANASDWNMMLYSSPQQQNSVSLEALQEKALSIGGGGFSVALHDVFGNETSQGVLQQQDESNKMDSHISNPSSLVTSLGSSREASPDKTGASMVFTAKTKFLIPAGNVAAASMPHLPVYAAWNDT >itb08g05460.t2 pep chromosome:ASM357664v1:8:4508586:4511751:1 gene:itb08g05460 transcript:itb08g05460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKNSNANNNNNENSWLGFSLSPHMKMEVSSPSSSTTAAMAAQSFHLSPSLCYGVGEASAFHFPLPVMPLKSDGSLCIMQPLSRSHPNGMVPNGSPKLEDFLGGATMGENHQFGSHEREAMALSLDSIYYHNPNDPQEAARHRGSLDFLQEPQTPHSYFSTLQCHDLYQEPLDGENELKNWVSVGPFSGGGGGGQQPFDHHPTTTTMVGEGGGGMGCNELQSLSLSMSPGSQSSCVTAARQISPTELECCVAMESKKRASMKVVPKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVDKIIASNTLPTGEVARRNKEIREPPVEAAAIEYNNIAPPEGGECVQDGRATANASDWNMMLYSSPQQQNSVSLEALQEKALSIGGGGFSVALHDVFGNETSQGVLQQQDESNKMDSHISNPSSLVTSLGSSREASPDKTGASMVFTAKTKFLIPAGNVAAASMPHLPVYAAWNDT >itb15g23140.t1 pep chromosome:ASM357664v1:15:25911837:25915267:-1 gene:itb15g23140 transcript:itb15g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLYRRRRSGEIRNLASVSSSLLPAFGTVMGEESAQLNTFVIAPYDRRYRVWQVFLVILVVYSAWSSPFELAFRKVAMGSFQPVDLVVDAFFGVDIIFTFFVAYLDKSTYLLVDDHKKIALRYVTHLGFSMDIASTIPFQTIYGLITGKVHRGQVFSFLNLLRLWRLHRVSAFFSRLEKDTRFSYFWTRTFKLICVTLFAVHSAGCFYYWLATHYHDSYNTWIGFKVQDFKDRSVWFGYTYSVYWSLVTLTTVGYGDLCARNNVEKVFTIFYMLFNIGLIAYLIGNMTNLIVHSAVRTFAMRDAINNILRYASKNRLPEGLKEQMLAHMTLKFKTAELQQEVLEDLPKAIRSAIAQHLFCSTLENTYLFKGVSKDFILQLVSELKAEYFPPKVDIIIQNEIPTDFYVIVSGAVDVLAYKNGTEQFLSKLGPPEMAGELGVIFNIPQPFTVRSKRLSQVIRISHHHFEQLLQPLSDDGKKILSNFRQHLRGLRKEEWEEIPMVTEFLGDTNNNEVNVRLHNNV >itb15g23140.t2 pep chromosome:ASM357664v1:15:25911105:25915267:-1 gene:itb15g23140 transcript:itb15g23140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLYRRRRSGEIRNLASVSSSLLPAFGTVMGEESAQLNTFVIAPYDRRYRVWQVFLVILVVYSAWSSPFELAFRKVAMGSFQPVDLVVDAFFGVDIIFTFFVAYLDKSTYLLVDDHKKIALRYVTHLGFSMDIASTIPFQTIYGLITGKVHRGQVFSFLNLLRLWRLHRVSAFFSRLEKDTRFSYFWTRTFKLICVTLFAVHSAGCFYYWLATHYHDSYNTWIGFKVQDFKDRSVWFGYTYSVYWSLVTLTTVGYGDLCARNNVEKVFTIFYMLFNIGLIAYLIGNMTNLIVHSAVRTFAMRDAINNILRYASKNRLPEGLKEQMLAHMTLKFKTAELQQEVLEDLPKAIRSAIAQHLFCSTLENTYLFKGVSKDFILQLVSELKAEYFPPKVDIIIQNEIPTDFYVIVSGAVDVLAYKNGTEQFLSKLGPPEMAGELGVIFNIPQPFTVRSKRLSQVIRISHHHFEQLLQPLSDDGKKILSNFRQHLRGLRKEEWEEIPMVTEFLGDTNNNEIIAEPEGPESKGQNQQENRTFPTRVIVHGHHPHDELKEEHRGKLVHLPDSIQELFSIAEKRFGKRGTKVLMANGSEVEDISALRENDHLYIC >itb09g14600.t1 pep chromosome:ASM357664v1:9:9828893:9835722:-1 gene:itb09g14600 transcript:itb09g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSRKISAASARSHTRKSKQKTSLPLPSGLFGKILLVSFIGFLAWAYQATRPPAPKLCGSPDGPPITAPRIKLSDGRYLAYKEHGVPKDKAAYKIVYVHGFDSCRHDAVIANNLSPDVIESLGVYIVSFDRPGYGESDPNPKRTEKGIALDIEELADALGLGSKFYVVGFSMGGQVVWTCLKYIPHRLAGASLIAPVINHWWPNIPANLSKQGFSKHLPQDQWALGVAHYAPWLVHWWETQKYFPALSVSAHSRDILSSHDLELLPKIHPRRVDYMAQVRQQGEFESLHRDLIVGFGTWEFGPTDLKNPFPNGEGSVHLWHGEEDRLVPVAVQRYITQQLPWIHYHELQGAGHMFPHADGMADRIQEHLGKFQQLQPGPTLENLCKKTSLPLPSGLFGKTLLVSFIGFLAWAYQATRPPAPKLCGSPDGPPITAPRIKLSDGRYLAYKEHGVPKDKATYKIVYVHGYDSCRHDPAIANNLSPDVIESLRVYVVSFDRPGYGESDPNPKRTEKGIALDIEELADALGLGSKFYVVGFSMGGQVVWTCLKYIPHRLAGASLIAPVINHWWPNIPANLSKHGFSKHIPKDQWALGVAHYAPWLIHWWETRKYFPALSVSAHSQDNLSSQDIELLPNIIPRVVDYMAQVRQQGEFESLHRDLIVGFGTWEFGPTDLKNPFPNGEGSVHLWHGEEDCLVPIAVQRYITQQLPWIHYHELQGAGHLFPHAYGMADRIVKSLLIGENSP >itb01g34840.t2 pep chromosome:ASM357664v1:1:37323157:37325140:-1 gene:itb01g34840 transcript:itb01g34840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQKKLNLEMFCHDLCHRITANQGFYLLGLDNTSPTFASAIQNSVPAITFLLAAILRIETVRLDRKDGISKVAGTLLCVCGATVITLYKGPTIYSPTGHPSLQKIPARLSLGDASGKNWTLGCVFLIGHCLSWASWLVLQAPVLKKYPARLSVTSYQCFFGVLQFLAIAAVFEREPEKWHINTGAELFSVFYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIVASVALGEEFYLGGIIGAVLIIIGLYFVLWGKNEEAKFAKAAVTGAQSPAADHGPSSHVIKSSLGQPLLQPTDNV >itb01g34840.t1 pep chromosome:ASM357664v1:1:37323157:37326012:-1 gene:itb01g34840 transcript:itb01g34840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGSGTARRMGFAIPEKVQLHVAMLALQFGYAGFHVVSRAALNMGMSKIVFAIYRNILAFLLLLPFAYFLEKKNRPRLTWNFAVQFFLLAIVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLLAAILRIETVRLDRKDGISKVAGTLLCVCGATVITLYKGPTIYSPTGHPSLQKIPARLSLGDASGKNWTLGCVFLIGHCLSWASWLVLQAPVLKKYPARLSVTSYQCFFGVLQFLAIAAVFEREPEKWHINTGAELFSVFYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIVASVALGEEFYLGGIIGAVLIIIGLYFVLWGKNEEAKFAKAAVTGAQSPAADHGPSSHVIKSSLGQPLLQPTDNV >itb06g16210.t1 pep chromosome:ASM357664v1:6:20401126:20406843:1 gene:itb06g16210 transcript:itb06g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSFLISLLLFLCFTSSIFVLSQDPQAGFISLNCGGEDYTDDKTGLRYISDSTFIGDNNNAVCNTVSQYYKDRGLGREWRNFTSFPKGIRNCYTLRPAQGKLNKYLIRASFFYGNYDGNSGKQLPQFDLHLGVEYWDTVEFDPKDDTKVVDKEIIHTPSSDLIHVCLVNTGLGTPFISVLELRPLDNFMYPPVSGGSSLMLNIRWDIGSTATQALRYEEDVFDRIWDPFTMDGTTPINTTSTVHLLEGNGNNLFNMPAGVMNTAVVPSDPTQPLTFSWSTKNSSDELYIYMSFSEIQQLPSSNQTREFNIYLNGDYYFGPGIPPYLKAATVNDKTPHTNRTRYQLSLEKTQNSTLPPMINALEVYRLKHFNEPQTYDTDVAAMISIKSVYGVRRNWEGDPCSPASYAWTGLQCNNQGFSNPRIISLDLSSSGLTGPISSYISNLTMIQVLIDSCQNGSCEKKKNVVVPVLASVASVLLLVLAIVGILLVIKRRKQREPKLGSVESKKNDDLHTKNQRYSFAEIREITNNFERVLGRGGFGTVYHGSIDGTQVAVKMLSSTSGQGFKEFQAEANVLMNVHHKNLTSLVGYCIESTHIGIIYEFMANGNLQQHLSARDHKVLSFRDRLQIAADSAQGLEYLHHGCKPPIVHRDVKCTNILLNEKFRAKIADFGLSRIFLDENGTHISTNTAGTPGYVDPECFISNKMTQKNDVFSFGVVLLEIITGQPAIIGKTLEHTHISNWVTSMLENGDIRDVVDPMLMGEFDVNAAWKTVEVAMACVSQSSDKRPNMNEVVSELKDCLAIEMSTQGTSSQSSINNFSVATFDFESGPIAR >itb09g04170.t1 pep chromosome:ASM357664v1:9:2322188:2323236:1 gene:itb09g04170 transcript:itb09g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRREGRGSAVMVCAAIVLCLLLLHSEVAQAAVYTVGGSGGWTFNVSGWPKGKRFRAGDVLGKYTNRERQDQAGEGAEFLHLQFPRPLRVRDEDRCLGCLTKSMIFGATYVWFTQSRG >itb03g13870.t1 pep chromosome:ASM357664v1:3:13828237:13828839:-1 gene:itb03g13870 transcript:itb03g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSITKIAAVLLVIVLLHLVSQNEAAVTSTSTRVPAKYTDFIKTKCNTTTYPYPCLKTLLPYAASVRGNATKMSVAALKVAVQGARNATLAVKDMKKKKGISTEEGAILRDCIDDLKDAVYELRDTLDAMEHLGDEDGQFEWDNAKTYASAVITDIDSCMDGFSGRKVDAARKRQIRNLIYYVTQLASNALSLVTHLY >itb09g08490.t1 pep chromosome:ASM357664v1:9:5064560:5068516:-1 gene:itb09g08490 transcript:itb09g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNVDEALRAKVNAEKRFAEKDFLGAKNYALKAQMLCPDLEGVAQMVVTFGVHSAAEMKINGEIDFYAILGLDPSADKSHVKKQYKKMAVLLHPDKNKSVGADGAFKLVSEAWTVLSDNGKRSSYDHRRNMYSMHTTTSGNFDNYKSSASQNRLDTFWTVCSTCHVQYEYLRKYVNKRLSCKNCRGVFIAVETGLAPVDGSYPYCSWSYRPENGHRSHGSGVTYVPGASVYCANNGVSGRHSGHGSDYASNLSFQWIPGNSSSVADPSGLSSVTVSQKEKVKGTRQRGHWKQHMKKVTADYVPLDGNAIHNPHQGAKRGRPAKKMKVEFEGANNSEVPSQTAVEVGVANVRNVNPKHNSKLCTASETCFKVPPAPALDTRQLLINKARTVICKKLEEIKLVEEKKKALAENGESSKRPKREGRASAGHQSELRKTGSMTITVPDSDFHDFDKDRAEECFKPKQIWALYDEEDGMPRLYCLIRQVISLKPFKIHISYLSSKSDTEFGSVNWLCSGFTKSCGHFRAFNSEIVEQVNIFSHLLSREKAGRGGCVRIFPKSGDIWAVYRNWSPDWNRSTPDEVRHQYEMVEVLDDYSEELGVCVAPLVKVEGYKTVYKRDSNKEAIRWIQRREMLRFSHMVPSCLLKGDGTNIPEGCWDLDPAATPDELLQGVDNMEHERSAQPENSPRNNAAEEPSENKMEQCAATDLGQQKNSAISDESYEVATIGLQGVQDISNQEENQLKPPNEVPRFATDVHNREKPCQTEHFSSTPGPGEHLHTVTKV >itb09g08490.t2 pep chromosome:ASM357664v1:9:5064560:5068516:-1 gene:itb09g08490 transcript:itb09g08490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNVDEALRAKVNAEKRFAEKDFLGAKNYALKAQMLCPDLEGVAQMVVTFGVHSAAEMKINGEIDFYAILGLDPSADKSHVKKQYKKMAVLLHPDKNKSVGADGAFKLVSEAWTVLSDNGKRSSYDHRRNMYSMHTTTSGNFDNYKSSASQNRLDTFWTVCSTCHVQYEYLRKYVNKRLSCKNCRGVFIAVETGLAPVDGSYPYCSWSYRPENGHRSHGSGVTYVPGASVYCANNGVSGRHSGHGSDYASNLSFQWIPGNSSSVADPSGLSSVTVSQKEKVKGTRQRGHWKQHMKKVTADYVPLDGNAIHNPHQGAKRGRPAKKMKVEFEGANNSEVPSQTAVEVGVANVRNVNPKHNSKLCTASETCFKVPPAPALDTRQLLINKARTVICKKLEEIKLVEEKKKALAENGESSKRPKREGRASAGHQSELRKTGSMTITVPDSDFHDFDKDRAEECFKPKQIWALYDEEDGMPRLYCLIRQVISLKPFKIHISYLSSKSDTEFGSVNWLCSGFTKSCGHFRAFNSEIVEQVNIFSHLLSREKAGRGGCVRIFPKSGDIWAVYRNWSPDWNRSTPDEVRHQYEMVEVLDDYSEELGVCVAPLVKVEGYKTVYKRDSNKEAIRWIQRREMLRFSHMVPSCLLKGDGTNIPEGCWDLDPAATPDELLQGVDNMEHERSAQPENSPRNNAAEEPSENKMEQCAATDLGQQKNSAISDESYEVATIGLQGVQDISNQEENQLKPPNEVPRFATDVHNREKPCQTEHFSSTPGPGEHLHTVTKV >itb09g08490.t3 pep chromosome:ASM357664v1:9:5064560:5068428:-1 gene:itb09g08490 transcript:itb09g08490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNVDEALRAKVNAEKRFAEKDFLGAKNYALKAQMLCPDLEGVAQMVVTFGVHSAAEMKINGEIDFYAILGLDPSADKSHVKKQYKKMAVLLHPDKNKSVGADGAFKLVSEAWTVLSDNGKRSSYDHRRNMYSMHTTTSGNFDNYKSSASQNRLDTFWTVCSTCHVQYEYLRKYVNKRLSCKNCRGVFIAVETGLAPVDGSYPYCSWSYRPENGHRSHGSGVTYVPGASVYCANNGVSGRHSGHGSDYASNLSFQWIPGNSSSVADPSGLSSVTVSQKEKVKGTRQRGHWKQHMKKVTADYVPLDGNAIHNPHQGAKRGRPAKKMKVEFEGANNSEVPSQTAVEVGVANVRNVNPKHNSKLCTASETCFKVPPAPALDTRQLLINKARTVICKKLEEIKLVEEKKKALAENGESSKRPKREGRASAGHQSELRKTGSMTITVPDSDFHDFDKDRAEECFKPKQIWALYDEEDGMPRLYCLIRQVISLKPFKIHISYLSSKSDTEFGSVNWLCSGFTKSCGHFRAFNSEIVEQVNIFSHLLSREKAGRGGCVRIFPKSGDIWAVYRNWSPDWNRSTPDEVRHQYEMVEVLDDYSEELGVCVAPLVKVEGYKTVYKRDSNKEAIRWIQRREMLRFSHMVPSCLLKGDGTNIPEGCWDLDPAATPDELLQGVDNMEHERSAQPENSPRNNAAEEPSENKMEQCAATDLGQQKNSAISDESYEVATIGLQGVQDISNQEENQLKPPNEVPRFATDVHNREKPCQTEHFSSTPGPGEHLHTVTKV >itb11g14350.t1 pep chromosome:ASM357664v1:11:11424229:11427636:-1 gene:itb11g14350 transcript:itb11g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSSHSTIWQSVRWSSSRLSWKILTVQRPGRRTRHPLPFPIFLVTGWAGASEAGIENDNGGNGHRYGGGLWPLGGVRSMDPFVNCSLFPTPFPCGLSIRLRVSRLTPCGLSIRLRVSRLTLVNAVPAIPCLGIPAYVQPVVGVANVEKCISLIGPISPSTSFPQVILITAASFDEDLLYHIFQSIYRSKSQLFVEGQAGATTQAGSNKN >itb06g10360.t1 pep chromosome:ASM357664v1:6:14737050:14743304:-1 gene:itb06g10360 transcript:itb06g10360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGELTPDVGNLSFLVSLDLSQNNFHNSFPRELSQLRRLKVLQCRFNNFSGNIPSWFGLLPNLRILYLGNNNITGFLPMSLFNLSKLEVLSLGVNSLEGSVPRELSNLSQLKWLGFSNNRLIGSFPLGILNLSKLEILALPYNSLFGELPLDLGNSLPKLQKFNIHYNKFSGQIPSSLSYCSSLQTISLPFNNFSGHVPKEFGNLTKLRGLYLGENPLTGPMPKELGNLTMLHFLYIGHCNFGGVIPKELGKLHMLEQITLEFANLSGSIPKEIFNISSLQIISFAANNLVGTLPSSMGHALLNLERLYLHGNRLFGVIPDSISNCSNLINLAFEDNHLSGTLPTSLGNLRLLEKLFIDGNMLTNGVESPELSIINSLVNCNYLEIVHLDDNPLDAILPSSIGNLSSSLELLSAWGCGLKGIIPNQLGNLSSLIALDLHSNNLVGFIPPMLGRASKLQVLDLSNNRLSGPIPDSLCDLHDLYALFLSNNQLFGSLLKCFGNSTSLRKIYLDSNRLNSRMPSSLCYLKDLLELDLSSNFLDGFIPNDVEGLKALSLLNMSHNQISGNIPVTIGQLQNLISLSLEQNKLEGSIPKQISQIVSLESLDLSLNKLSGLIPESLERLAYLKYFNVSFNELSGEIPSAGCFKNFSSASFMFNKELCGNPRFHVPPCHSTHHSRIKIRFLIAFAFLGASLIITFVIIAFVLAKRQKKLRFPRGEVQAYRNSSNTRLYGTRVWIHRNDINTL >itb01g05280.t2 pep chromosome:ASM357664v1:1:3626390:3629711:1 gene:itb01g05280 transcript:itb01g05280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAPQTPHSLAFRVMRLCRPSLHVETPLRFNACDLLFGEDLFDDSLAADHLPRLLSGDSVNAGANVVDPSDLSYRSRFLLEQSSESVGLPGLLVLPQSFGAIYLGETFCSYISINNSSSFEVRDIVIKAEIQTERQRILLLDTSKSPVESIRVGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFIVANPLSVRTKVRVVKETTFLEACIENNTKSNLYMDQVDFEPAQNWSATVLKPDDHHLKDSPLVRELFKPPVIIRSGGGVHNFLYQLSVSSDGSPLLKVEGSNVLGKLQITWRTNLGEPGRLQTQQILGSPIVHNDIELGAVEVPPIIIIEKPFLVHFKLTNQTDRILGPFEVWLSQSESLDKKYVMVNGPQTMVRV >itb01g05280.t1 pep chromosome:ASM357664v1:1:3626095:3631228:1 gene:itb01g05280 transcript:itb01g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAPQTPHSLAFRVMRLCRPSLHVETPLRFNACDLLFGEDLFDDSLAADHLPRLLSGDSVNAGANVVDPSDLSYRSRFLLEQSSESVGLPGLLVLPQSFGAIYLGETFCSYISINNSSSFEVRDIVIKAEIQTERQRILLLDTSKSPVESIRVGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQFFKFIVANPLSVRTKETTFLEACIENNTKSNLYMDQVDFEPAQNWSATVLKPDDHHLKDSPLVRELFKPPVIIRSGGGVHNFLYQLSVSSDGSPLLKVEGSNVLGKLQITWRTNLGEPGRLQTQQILGSPIVHNDIELGAVEVPPIIIIEKPFLVHFKLTNQTDRILGPFEVWLSQSESLDKKYVMVNGPQTMPLPQLEAFGSSEFRLNLVAVKQGIQKIAGITIFDTREKKTYDSLLELEIFVDPE >itb07g02410.t1 pep chromosome:ASM357664v1:7:1524545:1527095:-1 gene:itb07g02410 transcript:itb07g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEEIGVDSSDIEVDDIRCDNIAEKDVSDEEIEAEELERRMWKDRIKLKRIKERQKVAAQQASDKLKGKQPTDQATRKKMSRAQDGILKYMLKLMEVCKVRGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECRARVEGVEREEALIRQPSGDNGSSGISEAPTRGRSEKKRPSVSSDSDYDVDGIDDGPSSVSSRDESGNQVLDVHPSNIVPKSRQIERQGNKRSKRQKRVKPTPAVQETPPSLNLNDKEHGDEPGDPLPDINNSNTTLAVHLMNENQKDGSQPVTPLEKRAEGQSHLPSGDSDHSIIPSANEVSTQTAFAGKRSSVHPMPQNSEAVQYESRSQLGASDCAIPHQIQDSQIFNGQQFSGTDDRPGSSMFHYGPLIPEMHYEPHKSGSQNSALHPEPQSSGYHHGSQYPDLHQSSLYQYYNPSTDFGSSRDEDRSRLEFNDLQMRPDNFGVNSTVVQSTGNDVNDHHYGKDPFQNDHDRPVDVPPMMTITDNFEPPVTSVSPDYTRLNSPISFGIDVPNAFETDVDSFLDEDLMTIMTFFAS >itb12g18390.t1 pep chromosome:ASM357664v1:12:20640085:20642128:-1 gene:itb12g18390 transcript:itb12g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGGFHYLRTLNLSDCRKMSSSSLWPITGLTNLKELDLSRCSKLTDAGIRHLLSIPVLEKLWIAETGVTAEGVILLRSLTNLSLLDLGGLPVTDVAICSLQALTKLQYLDIWGSELSYKGASVLKMFGGLSFLNLAWTKVTSLPTLPSIAHLNMSNCIVNSVFEGEGGKVMLERLILSGATISDGSEAF >itb07g24160.t3 pep chromosome:ASM357664v1:7:28435461:28438568:1 gene:itb07g24160 transcript:itb07g24160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGTIRRQIEKERIREEILAQEIARRRILEAEVRMELMREREAAMLRGQLPNERSLEERIVRLIEERLGVGISSTGVAARGENGRSQVVPLREWSIEPWTSEVPFRQQSVEPRLFELKPHSEFQSLEPNISEVKPAPELGKEKERIVFKAKPDGVSGVKRKMETPPEVAISSEQPTGVPKKKVKEEWSCALCQVSATSKDGLNEHLRGKKHKSKEAGLKAQRSGKNFSIGLFPKKPKPAVLAEMADDVKSKESLSGVVAMKGCPNGNEAPSLQIDRAVDDSKEKNAAMVQNKRKTNAKKRKKYKFWCEHCKIGAFSKKVMEDHNIGKKHLARLQGMKGEGTAATPTQVEDTTTEKLNDDDAKGTKKNRHKIGTSSEDVMKGHSHMMSKEHSSPPQEMKCDSTAAAVTWLDIATEMAIITQRGPEARSGGSAIQAVDAVD >itb07g24160.t2 pep chromosome:ASM357664v1:7:28434259:28438575:1 gene:itb07g24160 transcript:itb07g24160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNYRAHDRPLSRHVPALSYCAEQPISDFGHSRRYFQNQMDLRGTIRRQIEKERIREEILAQEIARRRILEAEVRMELMREREAAMLRGQLPNERSLEERIVRLIEERLGVGISSTGVAARGENGRSQVVPLREWSIEPWTSEVPFRQQSVEPRLFELKPHSEFQSLEPNISEVKPAPELGKEKERIVFKAKPDGVSGVKRKMETPPEVAISSEQPTGVPKKKVKEEWSCALCQVSATSKDGLNEHLRGKKHKSKEAGLKAQRSGKNFSIGLFPKKPKPAVLAEMADDVKSKESLSGVVAMKGCPNGNEAPSLQIDRAVDDSKEKNAAMVQNKRKTNAKKRKKYKFWCEHCKIGAFSKKVMEDHNIGKKHLARLQGMKGEGTAATPTQVEDTTTEKLNDDDAKGTKKNRHKIGTSSEDVMKGHSHMMSKEHSSPPQEMKCDSTAAAVTWLDIATEMAIITQRGPEARSGGSAIQAVDAVD >itb07g24160.t1 pep chromosome:ASM357664v1:7:28434259:28438575:1 gene:itb07g24160 transcript:itb07g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNYRAHDRPLSRHVPALSYCAEQPISVSVGYLDPDFGHSRRYFQNQMDLRGTIRRQIEKERIREEILAQEIARRRILEAEVRMELMREREAAMLRGQLPNERSLEERIVRLIEERLGVGISSTGVAARGENGRSQVVPLREWSIEPWTSEVPFRQQSVEPRLFELKPHSEFQSLEPNISEVKPAPELGKEKERIVFKAKPDGVSGVKRKMETPPEVAISSEQPTGVPKKKVKEEWSCALCQVSATSKDGLNEHLRGKKHKSKEAGLKAQRSGKNFSIGLFPKKPKPAVLAEMADDVKSKESLSGVVAMKGCPNGNEAPSLQIDRAVDDSKEKNAAMVQNKRKTNAKKRKKYKFWCEHCKIGAFSKKVMEDHNIGKKHLARLQGMKGEGTAATPTQVEDTTTEKLNDDDAKGTKKNRHKIGTSSEDVMKGHSHMMSKEHSSPPQEMKCDSTAAAVTWLDIATEMAIITQRGPEARSGGSAIQAVDAVD >itb04g06970.t1 pep chromosome:ASM357664v1:4:4634010:4636058:-1 gene:itb04g06970 transcript:itb04g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKTDDDRAREIVLKYDPKCAQGDNYRLKMIFQRRRSEIDEYLWAVDYIIQQFMQSGSLEAGTVRRQLLDEFETMLNHVLSTKQTFSANPSTYSCTTRSYSLGFCFEIDPTSSVLDDLRSIARRLRSMGCLRDCQEIYSAIRETFFDATLTRFGIAKVSACDVQRMVWRELDMMIGLWVRAAPFHVHLISMEIHACQSIFKLENAPFLMQIVRAYVTPFLDFVSAVARGRRSSERLFGMLDLHGCLTLMLPPLELLKLPGADALVNQAKQILSALEEAAKETFLRFERAVVGDLSCVENVREGVHYLTTYVMDYLTRIVSGYGTCEWIVARKIDDVLWIGDTFSGKTPHIHHCGDQPPLASHIKLVVANLLCNLRGKTKQQQLDDGFACFFMMVNLNHVVQKFKESNVLLKVVGEDFESCLDNALQSTVCAHLSLTWDRVLKGLEQGLVPKKIKILGMSFSGPCRRKGILKDFNVAFERIWQTQSRWVVRDGELREGLRQCILNKLVPAYESFLHQLSQCRSSRKATKLIKYSVEDVENVVCSQLFEGRSPSSFRSK >itb12g22120.t1 pep chromosome:ASM357664v1:12:24305830:24306093:-1 gene:itb12g22120 transcript:itb12g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFQGLVSVMVLLLLIHEVSLKLHFAMAATELPSSVMAAVDDRRLVNIIQTDRTKPPAPPTPKSNVRRHVNPVRPSPPPLSPPPSS >itb07g07760.t1 pep chromosome:ASM357664v1:7:5987097:5992983:-1 gene:itb07g07760 transcript:itb07g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRLELFLYNSMTKLKEVFKPKEDGKVGMYICGVTSYDFSHIGHARAYVAFDILYRYLRYLGYEVVYVRNFTDVDDKIIKRANELGEDPVSLSGRFCQEFLSDMVDLQCLMPTHQPRVTDHMDQIKEMIAQIMSNGCAYTVEGDVYFSVDKFPEYGKLSGRKLEDNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGLGRPGWHIECSAMSAHYLTHSFDIHGGGMDLIFPHHENEIAQSCAACSESKVNYWVHNGFVTANDEKMSKSLGNFFTIREVTKLYHPLSLRYFLLGTHYRSPVNYSISQIEIASESLFYIYQTLQDCKEAVSKLQDGIEMKGGRVSAAAQECIKKLHTEFESKLSDDLHTPSILNGALQEALRFMNSSLNTLKKQKKQQLVSGIISITELEKEMKAVLDVLGLLPGLTYSEVLLQLKEKALIRAELTEEDILKSIAERAKAREEKEYAKSDQIRSGLAVKGIALMDVGNETIWRPCVPTQQESNEQAQQKLPAATPPQQEKPAVPHQQDQQTVTTSVPPQKD >itb07g07760.t4 pep chromosome:ASM357664v1:7:5987309:5992957:-1 gene:itb07g07760 transcript:itb07g07760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRLELFLYNSMTKLKEVFKPKEDGKVGMYICGVTSYDFSHIGHARAYVAFDILYRYLRYLGYEVVYVRNFTDVDDKIIKRANELGEDPVSLSGRFCQEFLSDMVDLQCLMPTHQPRVTDHMDQIKEMIAQIMSNGCAYTVEGDVYFSVDKFPEYGKLSGRKLEDNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGLGRPGWHIECSAMSAHYLTHSFDIHGGGMDLIFPHHENEIAQSCAACSESKVNYWVHNGFVTANDEKMSKSLGNFFTIREVTKLYHPLSLRYFLLGTHYRSPVNYSISQIEIASESLFYIYQTLQDCKEAVSKLQDGIEMKGGRVSAAAQECIKKLHTEFESKLSDDLHTPSILNGALQEALRFMNSSLNTLKKQKKQQLVSGIISITELEKEMKAVLDVLGLLPGLTYSEVQPELLY >itb07g07760.t3 pep chromosome:ASM357664v1:7:5987309:5992957:-1 gene:itb07g07760 transcript:itb07g07760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRLELFLYNSMTKLKEVFKPKEDGKVGMYICGVTSYDFSHIGHARAYVAFDILYRYLRYLGYEVVYVRNFTDVDDKIIKRANELGEDPVSLSGRFCQEFLSDMVDLQCLMPTHQPRVTDHMDQIKEMIAQLKFLALYLVFLLKIPLIQCSAHLQIMSNGCAYTVEGDVYFSVDKFPEYGKLSGRKLEDNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGLGRPGWHIECSAMSAHYLTHSFDIHGGGMDLIFPHHENEIAQSCAACSESKVNYWVHNGFVTANDEKMSKSLGNFFTIREVTKLYHPLSLRYFLLGTHYRSPVNYSISQIEIASESLFYIYQTLQDCKEAVSKLQDGIEMKGGRVSAAAQECIKKLHTEFESKLSDDLHTPSILNGALQEALRFMNSSLNTLKKQKKQQLVSGIISITELEKEMKAVLDVLGLLPGLTYSEVQPELLY >itb07g07760.t2 pep chromosome:ASM357664v1:7:5987309:5992957:-1 gene:itb07g07760 transcript:itb07g07760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRLELFLYNSMTKLKEVFKPKEDGKVGMYICGVTSYDFSHIGHARAYVAFDILYRYLRYLGYEVVYVRNFTDVDDKIIKRANELGEDPVSLSGRFCQEFLSDMVDLQCLMPTHQPRVTDHMDQIKEMIAQLKFLALYLVFLLKIPLIQCSAHLQIMSNGCAYTVEGDVYFSVDKFPEYGKLSGRKLEDNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGLGRPGWHIECSAMSAHYLTHSFDIHGGGMDLIFPHHENEIAQSCAACSESKVNYWVHNGFVTANDEKMSKSLGNFFTIREVTKLYHPLSLRYFLLGTHYRSPVNYSISQIEIASESLFYIYQTLQDCKEAVSKLQDGIEMKGGRVSAAAQECIKKLHTEFESKLSDDLHTPSILNGALQEALRFMNSSLNTLKKQKKQQLVSGIISITELEKEMKAVLDVLGLLPGLTYSEVLLQLKEKALIRAELTEEDILKSIAERAKAREEKEYAKSDQIRSGLAVKGIALMDVGNETIWRPCVPTQQESNEQAQQKLPAATPPQQEKPAVPHQQDQQTVTTSVPPQKD >itb07g10170.t2 pep chromosome:ASM357664v1:7:10889299:10894366:-1 gene:itb07g10170 transcript:itb07g10170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADYWMAAQAQRGGAVLAAPDANGAAETEDWRTQLQTDSRERIVNKIMETLKRHLPHSGEEGLQELRKIAMKFEEKIYIAATSPSDYLMKISLKMLSMERGDWRTQLQPESRQRIVNKIMETLKRHLPFSGPEGLQEIKKIAVRFEEKIYSAASSQSDYLRKISLKMLSMETKSQNPIANSLQPDNASSSQDPQG >itb07g10170.t1 pep chromosome:ASM357664v1:7:10889299:10894387:-1 gene:itb07g10170 transcript:itb07g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADYWMAAQAQRGGAVLAAPDANGAAETEDWRTQLQTDSRERIVNKIMETLKRHLPHSGEEGLQELRKIAMKFEEKIYIAATSPSDYLMKISLKMLSMERGDWRTQLQPESRQRIVNKIMETLKRHLPFSGPEGLQEIKKIAVRFEEKIYSAASSQSDYLRKISLKMLSMETKSQNPIANSLQPDNASSSQDPQG >itb03g29120.t2 pep chromosome:ASM357664v1:3:29890884:29914474:-1 gene:itb03g29120 transcript:itb03g29120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVDELKGSPYRRQRDEDVESGRRRGGYSDEDEDENGNPFDIFRTKSAPVDRLKRWRQAALVLNASRRFRYTLDLKKEEERKQLIAKIRTHAQVIRAAVLFQEAGRTVNGPGTPKKLPAAPSPNSEFDISMEELVSISREHDLSTLQQYGGVRGVAEKLKTNFEKGILGDEAEILKRRSEFGSNTYPRKKGRSFWRFLWEACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAVSDYKQSLQFQSLNDEKQNIQLEVVRGGRRIPISIFEIVVGDIVPLKIGDQVPADGLVISGHSLALDESSMTGESKIVHKDSKSPFLMSGCKVADGYGTMLVLSVGINTEWGLLMASIAEDNGEETPLQVRLNGVATFIGMVGLIVALVVLLVLMIRFFTGHTKDPHFKAGQTKVGDAIDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYIGGKRINPPDDKSQLPQKVIPLLLEGIAQNTTGSVFVSEGGVPEVSGSPTEKAILQFGVKLGMNFDAIRSESSIIHAFPFNSEKKRGGVAVKLQDSEVHIHWKGAAEIVLSCCTHYIDANDNVVPLDENEAISFKKAIEDMATESLRCVAVAYVSYDMDKIPKSEEELSRWELPEGDLILLAILGIKDPCRPGVRDAVQLCIKSGVKVRMVTGDSIQTAKAIALECGILQSDADATEPNLIEGKTFRALSESDRQEVAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSNGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLIIQALYQVTVLLVLNFRGTSILSLGNRDNGVEVKNTVIFNAFVLCQIFNEFNARKPDEINVFRGVHRNHLFVFIITLTLVLQVIIIMFLGKFTSTVRLSWKFWLVSIAIGFISWPLAVIGKLIPVPEMPFTESLKRKRRTRR >itb03g29120.t4 pep chromosome:ASM357664v1:3:29890884:29914461:-1 gene:itb03g29120 transcript:itb03g29120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVDELKGSPYRRQRDEDVESGRRRGGYSDEDEDENGNPFDIFRTKSAPVDRLKRWRQAALVLNASRRFRYTLDLKKEEERKQLIAKIRTHAQVIRAAVLFQEAGRTVNGPGTPKKLPAAPSPNSEFDISMEELVSISREHDLSTLQQYGGVRGVAEKLKTNFEKGILGDEAEILKRRSEFGSNTYPRKKGRSFWRFLWEACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAVSDYKQSLQFQSLNDEKQNIQLEVVRGGRRIPISIFEIVVGDIVPLKIGDQVPADGLVISGHSLALDESSMTGESKIVHKDSKSPFLMSGCKVADGYGTMLVLSVGINTEWGLLMASIAEDNGEETPLQVRLNGVATFIGMVGLIVALVVLLVLMIRFFTGHTKDPHFKAGQTKVGDAIDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYIGGKRINPPDDKSQLPQKVIPLLLEGIAQNTTGSVFVSEGGVPEVSGSPTEKAILQFGVKLGMNFDAIRSESSIIHAFPFNSEKKRGGVAVKLQDSEVHIHWKGAAEIVLSCCTHYIDANDNVVPLDENEAISFKKAIEDMATESLRCVAVAYVSYDMDKIPKSEEELSRWELPEGDLILLAILGIKDPCRPGVRDAVQLCIKSGVKVRMVTGDSIQTAKAIALECGILQSDADATEPNLIEGKTFRALSESDRQEVAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSNGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLIIQALYQVTVLLVLNFRGTSILSLGNRDNGVEVKNTVIFNAFVLCQIFNEFNARKPDEINVFRGVHRNHLFVFIITLTLVLQVIIIMFLGKFTSTVRLSWKFWLVSIAIGFISWPLAVIGKLIPVPEMPFTESLKRKRRTRR >itb03g29120.t3 pep chromosome:ASM357664v1:3:29890893:29914474:-1 gene:itb03g29120 transcript:itb03g29120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVDELKGSPYRRQRDEDVESGRRRGGYSDEDEDENGNPFDIFRTKSAPVDRLKRWRQAALVLNASRRFRYTLDLKKEEERKQLIAKIRTHAQVIRAAVLFQEAGRTVNGPGTPKKLPAAPSPNSEFDISMEELVSISREHDLSTLQQYGGVRGVAEKLKTNFEKGILGDEAEILKRRSEFGSNTYPRKKGRSFWRFLWEACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAVSDYKQSLQFQSLNDEKQNIQLEVVRGGRRIPISIFEIVVGDIVPLKIGDQVPADGLVISGHSLALDESSMTGESKIVHKDSKSPFLMSGCKVADGYGTMLVLSVGINTEWGLLMASIAEDNGEETPLQVRLNGVATFIGMVGLIVALVVLLVLMIRFFTGHTKDPHFKAGQTKVGDAIDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYIGGKRINPPDDKSQLPQKVIPLLLEGIAQNTTGSVFVSEGGVPEVSGSPTEKAILQFGVKLGMNFDAIRSESSIIHAFPFNSEKKRGGVAVKLDSEVHIHWKGAAEIVLSCCTHYIDANDNVVPLDENEAISFKKAIEDMATESLRCVAVAYVSYDMDKIPKSEEELSRWELPEGDLILLAILGIKDPCRPGVRDAVQLCIKSGVKVRMVTGDSIQTAKAIALECGILQSDADATEPNLIEGKTFRALSESDRQEVAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSNGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLIIQALYQVTVLLVLNFRGTSILSLGNRDNGVEVKNTVIFNAFVLCQIFNEFNARKPDEINVFRGVHRNHLFVFIITLTLVLQVIIIMFLGKFTSTVRLSWKFWLVSIAIGFISWPLAVIGKLIPVPEMPFTESLKRKRRTRR >itb03g29120.t1 pep chromosome:ASM357664v1:3:29890884:29914474:-1 gene:itb03g29120 transcript:itb03g29120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVDELKGSPYRRQRDEDVESGRRRGGYSDEDEDENGNPFDIFRTKSAPVDRLKRWRQAALVLNASRRFRYTLDLKKEEERKQLIAKIRTHAQVIRAAVLFQEAGRTVNGPGTPKKLPAAPSPNSEFDISMEELVSISREHDLSTLQQYGGVRGVAEKLKTNFEKGILGDEAEILKRRSEFGSNTYPRKKGRSFWRFLWEACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAVSDYKQSLQFQSLNDEKQNIQLEVVRGGRRIPISIFEIVVGDIVPLKIGDQVPADGLVISGHSLALDESSMTGESKIVHKDSKSPFLMSGCKVADGYGTMLVLSVGINTEWGLLMASIAEDNGEETPLQVRLNGVATFIGMVGLIVALVVLLVLMIRFFTGHTKDPHFKAGQTKVGDAIDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYIGGKRINPPDDKSQLPQKVIPLLLEGIAQNTTGSVFVSEGGVPEVSGSPTEKAILQFGVKLGMNFDAIRSESSIIHAFPFNSEKKRGGVAVKLQDSEVHIHWKGAAEIVLSCCTHYIDANDNVVPLDENEAISFKKAIEDMATESLRCVAVAYVSYDMDKIPKSEEELSRWELPEGDLILLAILGIKDPCRPGVRDAVQLCIKSGVKVRMVTGDSIQTAKAIALECGILQSDADATEPNLIEGKTFRALSESDRQEVAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSNGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLIIQALYQVTVLLVLNFRGTSILSLGNRDNGVEVKNTVIFNAFVLCQIFNEFNARKPDEINVFRGVHRNHLFVFIITLTLVLQVIIIMFLGKFTSTVRLSWKFWLVSIAIGFISWPLAVIGKLIPVPEMPFTESLKRKRRTRR >itb03g29120.t5 pep chromosome:ASM357664v1:3:29890893:29914474:-1 gene:itb03g29120 transcript:itb03g29120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVDELKGSPYRRQRDEDVESGRRRGGYSDEDEDENGNPFDIFRTKSAPVDRLKRWRQAALVLNASRRFRYTLDLKKEEERKQLIAKIRTHAQVIRAAVLFQEAGRTVNGPGTPKKLPAAPSPNSEFDISMEELVSISREHDLSTLQQYGGVRGVAEKLKTNFEKGILGDEAEILKRRSEFGSNTYPRKKGRSFWRFLWEACRDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAVSDYKQSLQFQSLNDEKQNIQLEVVRGGRRIPISIFEIVVGDIVPLKIGDQVPADGLVISGHSLALDESSMTGESKIVHKDSKSPFLMSGCKVADGYGTMLVLSVGINTEWGLLMASIAEDNGEETPLQVRLNGVATFIGMVGLIVALVVLLVLMIRFFTGHTKDPHFKAGQTKVGDAIDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYIGGKRINPPDDKSQLPQKVIPLLLEGIAQNTTGSVFVSEGGVPEVSGSPTEKAILQFGVKLGMNFDAIRSESSIIHAFPFNSEKKRGGVAVKLDSEVHIHWKGAAEIVLSCCTHYIDANDNVVPLDENEAISFKKAIEDMATESLRCVAVAYVSYDMDKIPKSEEELSRWELPEGDLILLAILGIKDPCRPGVRDAVQLCIKSGVKVRMVTGDSIQTAKAIALECGILQSDADATEPNLIEGKTFRALSESDRQEVAEKISVMGRSSPNDKLLLVQALRKNGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSNGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMERPPVGRREPLITNIMWRNLIIQALYQVTVLLVLNFRGTSILSLGNRDNGVEVKNTVIFNAFVLCQIFNEFNARKPDEINVFRGVHRNHLFVFIITLTLVLQVIIIMFLGKFTSTVRLSWKFWLVSIAIGFISWPLAVIGKLIPVPEMPFTESLKRKRRTRR >itb15g02590.t1 pep chromosome:ASM357664v1:15:1578864:1598528:-1 gene:itb15g02590 transcript:itb15g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAPPSKKPSKKFSKPLVKNPKQFKRKGKNNEAGNASFSGKSVPLQLEDEVPDFPRGGASLLSREELDEVRAEVDAEFEAEERFLKKKKQHKLYKKNQSVEDDLGSLFGHSISGKLPKSANKITLKNISPGMKLWGVIAEVNEKDIVVSLPGGLRGLVRASEAHDPLWDSETNEMEMESNYLSGLFHVGQLVSCIVLHLDDDKKEAGKRKIWLSLRLALLHKNLTLDAIQEGMILSAYIKSTEDHGYILHFGLPSFSGFLPIHSQSVDKMNTGQLVEGVVKSIDRTRKVVYLSSAPDAVAKYVTKDLKGISIDLLVPGMMVNASVLSVLENGVMLSFLTYFTGTVDIFNLQQVFPPPSWKDDYPQNKKVNARILFIDPATRAVGLSLNPHLVHKKAPPSLVKVGDIFEQAKVIRVDKGLGLLLEIPSSPVPTPAYVYVSDVDDKEVKKMEKTFKQGKVVRVRVLGFRNLEGLATGSLKTSAFEGSVFTHSDVKPGMVVKAKVITVDSFGAIVQFSSGVKALCPLRHMSEFEIVKPRKKFQVGSEIVFRVLGCKSKRITVTHKKTLVKSKLDILSSYTDATEGLMTHGWITKIEKHGCFVRFYNGVQGFAPRSELGLDPGSDISSMYHVEQVVKCRVVSSSPASRRIVLSFTTRPMRRSETEMVKPGTIVSGTVELVTPDAIVVNVNNGQSHLKGTVPTQHLSDHRGLADLMKSVLKPGYEFDQLLVLDIEGFNLVLSAKYSLISTAEQLPLDVNQISPHSVVHGYVCNVIGSGVFVRFLGRLTGFSPRNKATDDRRYDISEVFYIGQSVCTNILDANGETGRITVSLKQSLCSSTDATFIQEYFLLEHKIAKLQSLDSADSGLNWVDEFGLGSIVEGKVHEIKEFGVVVTFEKYDDVFGFISGCQLGGINVETGSTIQAAVIDVSKIEHLVDLSLKPEFVNGSKPQTANVKTQRKKRKREAQKDFEVNKSVNAVVEIVKENYLVLSIPACNYALGYASLNDFNTQNLPVKQFVNGQSVIATIMALPDSSTGGRLLLLLKAISEVAESSSSKRGKKNSSYDVGSLVQAEITDIKPLELRLKFGSGFPGRVHITEATDDNTTEGPLNNFRIGQTLTARIVSKDSRPENKRGYQWELSTKPSVLAGDMDGPHESFNYSTGQLISGYVFKVDCEWAWLTISRDVRAKLYILDSSAEPSELKEFQKRFYVGKSVSGYILSANKEKKLLRLVLLHTLLITPEDTVPSSESVRCHIREGSVLGGRISKILPGVGGLLIQVDNRLFGKVHFTELRDPWVSDPLSGYCEGQFVKCKVLEVGHSVKGTIHVDLSLRWTLDTMNNQNISEQDDVHSQNRRVLDIKDLHPDMTVQGYVKNVTPKGCFIMLSRKIDAKILMSNLADGFIESPEKEFPVGKLVTGKVVSVEALSKRVEVTLRTSSSATPRKSDIDALNNFSAGNIISGKIKRIEPYGLFISVDRTNLVGLCHVSELSDDHIDNVQSRYKAGDTVRAKVLKVDKDRHRISLGMKNSYFRDDDGEDIQTTSRQSINKTDKGNNVLIGTHSTVFPESSSADIDVSVVNTTDNILTEFESRASIPPLEVPLDDIENSDIDDAVNKNPDHTGGVDTTDEKDKKRAMKKAKKEREREIMAAEERLLEKDIPRNSDEFEKLVRSSPNSSFVWIKYMAFMLSLADVEKARSIAERALSTINIREESEKLNVWVAYFNLEIEYGNPPQEAVMKVFQRALQYCDPKKVHLALLGVYERTEQHKVGDELLEKMAKKFKHSCKVWLRRIQWALKQNHDNSQSIVNRALLCLPRHKHIKFITQTAILEFKCGVADRGRSMFERMLKEYPKRTDLWSVYLDQEIRVGDVDVIRALFERAISLSIPPKKMKFLFKKYLEYEKSVGDEERIESVKRKAMEYVESLA >itb15g02590.t2 pep chromosome:ASM357664v1:15:1578864:1598528:-1 gene:itb15g02590 transcript:itb15g02590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA biogenesis protein RRP5 [Source:Projected from Arabidopsis thaliana (AT3G11964) UniProtKB/Swiss-Prot;Acc:F4J8K6] MAPPSKKPSKKFSKPLVKNPKQFKRKGKNNEAGNASFSGKSVPLQLEDEVPDFPRGGASLLSREELDEVRAEVDAEFEAEERFLKKKKQHKLYKKNQSVEDDLGSLFGHSISGKLPKSANKITLKNISPGMKLWGVIAEVNEKDIVVSLPGGLRGLVRASEAHDPLWDSETNEMEMESNYLSGLFHVGQLVSCIVLHLDDDKKEAGKRKIWLSLRLALLHKNLTLDAIQEGMILSAYIKSTEDHGYILHFGLPSFSGFLPIHSQSVDKMNTGQLVEGVVKSIDRTRKVVYLSSAPDAVAKYVTKDLKGISIDLLVPGMMVNASVLSVLENGVMLSFLTYFTGTVDIFNLQQVFPPPSWKDDYPQNKKVNARILFIDPATRAVGLSLNPHLVHKKAPPSLVKVGDIFEQAKVIRVDKGLGLLLEIPSSPVPTPAYVYVSDVDDKEVKKMEKTFKQGKVVRVRVLGFRNLEGLATGSLKTSAFEGSVFTHSDVKPGMVVKAKVITVDSFGAIVQFSSGVKALCPLRHMSEFEIVKPRKKFQVGSEIVFRVLGCKSKRITVTHKKTLVKSKLDILSSYTDATEGLMTHGWITKIEKHGCFVRFYNGVQGFAPRSELGLDPGSDISSMYHVEQVVKCRVVSSSPASRRIVLSFTTRPMRRSETEMVKPGTIVSGTVELVTPDAIVVNVNNGQSHLKGTVPTQHLSDHRGLADLMKSVLKPGYEFDQLLVLDIEGFNLVLSAKYSLISTAEQLPLDVNQISPHSVVHGYVCNVIGSGVFVRFLGRLTGFSPRNKATDDRRYDISEVFYIGQSVCTNILDANGETGRITVSLKQSLCSSTDATFIQEYFLLEHKIAKLQSLDSADSGLNWVDEFGLGSIVEGKVHEIKEFGVVVTFEKYDDVFGFISGCQLGGINVETGSTIQAAVIDVSKIEHLVDLSLKPEFVNGSKPQTANVKTQRKKRKREAQKDFEVNKSVNAVVEIVKENYLVLSIPACNYALGYASLNDFNTQNLPVKQFVNGQSVIATIMALPDSSTGGRLLLLLKAISEVAESSSSKRGKKNSSYDVGSLVQAEITDIKPLELRLKFGSGFPGRVHITEATDDNTTEGPLNNFRIGQTLTARIVSKDSRPENKRGYQWELSTKPSVLAGDMDGPHESFNYSTGQLISGYVFKVDCEWAWLTISRDVRAKLYILDSSAEPSELKEFQKRFYVGKSVSGYILSANKEKKLLRLVLLHTLLITPEDTVPSSESVRCHIREGSVLGGRISKILPGVGGLLIQVDNRLFGKVHFTELRDPWVSDPLSGYCEGQFVKCKVLEVGHSVKGTIHVDLSLRWTLDTMNNQNISEQDDVHSQNRRVLDIKDLHPDMTVQVGLCHVSELSDDHIDNVQSRYKAGDTVRAKVLKVDKDRHRISLGMKNSYFRDDDGEDIQTTSRQSINKTDKGNNVLIGTHSTVFPESSSADIDVSVVNTTDNILTEFESRASIPPLEVPLDDIENSDIDDAVNKNPDHTGGVDTTDEKDKKRAMKKAKKEREREIMAAEERLLEKDIPRNSDEFEKLVRSSPNSSFVWIKYMAFMLSLADVEKARSIAERALSTINIREESEKLNVWVAYFNLEIEYGNPPQEAVMKVFQRALQYCDPKKVHLALLGVYERTEQHKVGDELLEKMAKKFKHSCKVWLRRIQWALKQNHDNSQSIVNRALLCLPRHKHIKFITQTAILEFKCGVADRGRSMFERMLKEYPKRTDLWSVYLDQEIRVGDVDVIRALFERAISLSIPPKKMKFLFKKYLEYEKSVGDEERIESVKRKAMEYVESLA >itb07g09560.t1 pep chromosome:ASM357664v1:7:9023859:9025581:1 gene:itb07g09560 transcript:itb07g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVAGPVAEDMFHWQATIMGPTDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHSNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWMQKYAMG >itb02g14710.t2 pep chromosome:ASM357664v1:2:10696937:10698786:-1 gene:itb02g14710 transcript:itb02g14710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDPYRVDTTSRFAQWRFENLPTCTYRRSQPFIMGKWNWILVVENNRSLSVKFHPETSNTTRDNPPIASFIIRLVSNNVGPDRKVLVHPEVIDKKFKYSETTFLWTIQAPISGKFILDIEFLDLKIESPNKGDELCSIWAETFKREESNAHSLSSLATMFSKTILTDIVINVSDGSIEAHRAVLAARSPVFHTMFSSDLKEKEVSTVDISDMSVESCRILLSYMYGSIKNDDFIAHRLELLRAADKYDVGDLKEACSQSLLEDIDCKNVLERLQAAVLYELPQLKLSCMQYLVKFGKVFEIRDEFAAFLQYTDRELICEMFSEILTVWKGL >itb02g14710.t1 pep chromosome:ASM357664v1:2:10696937:10698786:-1 gene:itb02g14710 transcript:itb02g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDPYRVDTTSRFAQWRFENLPTCTYRRSQPFIMGKWNWILVVENNRSLSVKFHPETSNTTRDNPPIASFIIRLVSNNVGPDRKVLVHPEVIDKKFKYSETTFLWTIQAPISGKFILDIEFLDLKIESPNKGDELCSIWAETFKREESNAHSLSSLATMFSKTILTDIVINVSDGSIEAHRAVLAARSPVFHTMFSSDLKEKEVSTVDISDMSVESCRILLSYMYGSIKNDDFIAHRLELLRAADKYDVGDLKEACSQSLLEDIDCKNVLERLQAAVLYELPQLKLSCMQYLVKFGKVFEIRDEFAAFLQYTDRELICEMFSEILTVWKGL >itb05g01830.t3 pep chromosome:ASM357664v1:5:1442340:1445713:-1 gene:itb05g01830 transcript:itb05g01830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLETPFSSPHSLFNSHSHSSHPQSHNPNSLQCFPVKPFHLPILRSSSTPTSGGAVDSSPPPPLSNQDEISLDGSSKDRRKVVKFAWEKLVRWSRSWRSKAKTDVLERTNKVVVLGGGSFGTAMAAHVANRKAELEVNMLVRDHRVCESINENHCNSKYFPEHKLPENVIATTDAKAALLGADFCFHAVPVQFSSVFLEDIATHVDPSLPFISLSKGLELNTLRTMSQIIPRALRSPRQPYVVLSGPSFALELMNTLPTAMVVASKDKKVANAVQQLLASRNLRINTSSDVTGIEIAGALKNVLAIAAGIVEGLNLGNNSMAALVAQGCSEIRWLATKMGAKSTTLTGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLEDILGSMNQVSENSLEVSCVKQSRGLFHPKCFVFFKVAEGITTAGAVIALAQKYKVKMPVLTAVARIIDNELTPTKAVFELMNLPQVEEV >itb05g01830.t2 pep chromosome:ASM357664v1:5:1442340:1445713:-1 gene:itb05g01830 transcript:itb05g01830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLETPFSSPHSLFNSHSHSSHPQSHNPNSLQCFPVKPFHLPILRSSSTPTSGGAVDSSPPPPLSNQDEISLDGSSKDRRKVVKFAWEKLVRWSRSWRSKAKTDVLERTNKVVVLGGGSFGTAMAAHVANRKAELEVNMLVRDHRVCESINENHCNSKYFPEHKLPENVIATTDAKAALLGADFCFHAVPVQFSSVFLEDIATHVDPSLPFISLSKGLELNTLRTMSQIIPRALRSPRQPYVVLSGPSFALELMNTLPTAMVVASKDKKVANAVQQLLASRNLRINTSSDVTGIEIAGALKNVLAIAAGIVEGLNLGNNSMAALVAQGCSEIRWLATKMGAKSTTLTGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLEDILGSMNQVAEGITTAGAVIALAQKYKVKMPVLTAVARIIDNELTPTKAVFELMNLPQVEEV >itb05g01830.t1 pep chromosome:ASM357664v1:5:1442340:1445713:-1 gene:itb05g01830 transcript:itb05g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVANRKAELEVNMLVRDHRVCESINENHCNSKYFPEHKLPENVIATTDAKAALLGADFCFHAVPVQFSSVFLEDIATHVDPSLPFISLSKGLELNTLRTMSQIIPRALRSPRQPYVVLSGPSFALELMNTLPTAMVVASKDKKVANAVQQLLASRNLRINTSSDVTGIEIAGALKNVLAIAAGIVEGLNLGNNSMAALVAQGCSEIRWLATKMGAKSTTLTGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLEDILGSMNQVAEGITTAGAVIALAQKYKVKMPVLTAVARIIDNELTPTKAVFELMNLPQVEEV >itb02g23230.t2 pep chromosome:ASM357664v1:2:23008468:23013169:-1 gene:itb02g23230 transcript:itb02g23230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANGAVQKEEERNTLMRKKDAPLFAWPWENLGNFKYLLCGPLIAKFIYSLVWKESWEDIWCLHILVLTGLRGLVHQLWSSYSNMLFLNRAKRVSQPGIDFEQIDKEWHWDNFLILQAILASFAYLSFPSLANLPTWEFKGVVYCLALHIGFSEPLYYLMHRLLHSSDLYPLYHWLHHDSKVPHPFTAGSAAFLEHLLLCVIVGIPTLGTAFLGHGSIIVIYTYILAFDFLRCLGYSNVEIMPNHLFKSIPVLKYLIYSPTYYGLHHMDKRTNFCLFMPLYDMLGKTINTGSWDLQAEISSRTSADEKAPDFVFLAHVVDVMSAMHSPFVFRSFSSVPFSTKLFLIPLWPYTFLVMLAMWLKSKTFLFSFYILRGRVHQTWVVPRFGFQYFLPFAAEGINKRIEEAILMADRLGVKVISLAALNKNEGLNGGGTLFVNKHPDLKVRVVHGNTLTAAVILNDIPRDVDEVFLTGATSKLGRAIALYLARRRVRVLMLTQSTERFIKIQSEAPVDCQKFLVQVTKYQAAKHCKTWIIGKWATPREQSWAPSGTHFHQFVVPPVIEFRRDCTYGKLAAMKLPKDVEGLGSCEYTMGRGVVHACHAGGVVHALEGWTHHEVGAINVDQIDIVWEAALKHGLKPL >itb02g23230.t3 pep chromosome:ASM357664v1:2:23008468:23013245:-1 gene:itb02g23230 transcript:itb02g23230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANGAVQKEEERNTLMRKKDAPLFAWPWENLGNFKYLLCGPLIAKFIYSLVWKESWEDIWCLHILVLTGLRGLVHQLWSSYSNMLFLNRAKRVSQPGIDFEQIDKEWHWDNFLILQAILASFAYLSFPSLANLPTWEFKGVVYCLALHIGFSEPLYYLMHRLLHSSDLYPLYHWLHHDSKVPHPFTAGSAAFLEHLLLCVIVGIPTLGTAFLGHGSIIVIYTYILAFDFLRCLGYSNVEIMPNHLFKSIPVLKYLIYSPTYYGLHHMDKRTNFCLFMPLYDMLGKTINTGSWDLQAEISSRTSADEKAPDFVFLAHVVDVMSAMHSPFVFRSFSSVPFSTKLFLIPLWPYTFLVMLAMWLKSKTFLFSFYILRGRVHQTWVVPRFGFQYFLPFAAEGINKRIEEAILMADRLGVKVISLAALNKNEGLNGGGTLFVNKHPDLKVRVVHGNTLTAAVILNDIPRDVDEVFLTGATSKLGRAIALYLARRRVRVLMLTQSTERFIKIQSEAPVDCQKFLVQVTKYQAAKHCKTWIIGKWATPREQSWAPSGTHFHQFVVPPVIEFRRDCTYGKLAAMKLPKDVEGLGSCEYTMGRGVVHACHAGGVVHALEGWTHHEVGAINVDQIDIVWEAALKHGLKPL >itb02g23230.t1 pep chromosome:ASM357664v1:2:23008478:23013245:-1 gene:itb02g23230 transcript:itb02g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNFNRTHRFSSEMGKANGAVQKEEERNTLMRKKDAPLFAWPWENLGNFKYLLCGPLIAKFIYSLVWKESWEDIWCLHILVLTGLRGLVHQLWSSYSNMLFLNRAKRVSQPGIDFEQIDKEWHWDNFLILQAILASFAYLSFPSLANLPTWEFKGVVYCLALHIGFSEPLYYLMHRLLHSSDLYPLYHWLHHDSKVPHPFTAGSAAFLEHLLLCVIVGIPTLGTAFLGHGSIIVIYTYILAFDFLRCLGYSNVEIMPNHLFKSIPVLKYLIYSPTYYGLHHMDKRTNFCLFMPLYDMLGKTINTGSWDLQAEISSRTSADEKAPDFVFLAHVVDVMSAMHSPFVFRSFSSVPFSTKLFLIPLWPYTFLVMLAMWLKSKTFLFSFYILRGRVHQTWVVPRFGFQYFLPFAAEGINKRIEEAILMADRLGVKVISLAALNKNEGLNGGGTLFVNKHPDLKVRVVHGNTLTAAVILNDIPRDVDEVFLTGATSKLGRAIALYLARRRVRVLMLTQSTERFIKIQSEAPVDCQKFLVQVTKYQAAKHCKTWIIGKWATPREQSWAPSGTHFHQFVVPPVIEFRRDCTYGKLAAMKLPKDVEGLGSCEYTMGRGVVHACHAGGVVHALEGWTHHEVGAINVDQIDIVWEAALKHGLKPL >itb04g06090.t2 pep chromosome:ASM357664v1:4:3958147:3961641:-1 gene:itb04g06090 transcript:itb04g06090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHHGRYSDHRHHHNPAAFPGPAPLPPHTYKYEPPNQSAPPIQHPHTSNMALLLPYAHVDSTLRALAGQAEGFGRFAVGGLHGPLYHVTTLADDGPGSLRDGCRRKEPLWIVFEVSGTIELRSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIVCNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLSDYDDGLIDITRESTDITISRLVGSTSINIVI >itb04g06090.t1 pep chromosome:ASM357664v1:4:3958147:3961641:-1 gene:itb04g06090 transcript:itb04g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHHGRYSDHRHHHNPAAFPGPAPLPPHTYKYEPPNQSAPPIQHPHTSNMALLLPYAHVDSTLRALAGQAEGFGRFAVGGLHGPLYHVTTLADDGPGSLRDGCRRKEPLWIVFEVSGTIELRSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIVCNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLSDYDDGLIDITRESTDITISRCHFSKHDKTILIGADPSNITDRCMRVTIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGQKKGAFRYLSEKATDKLEECIGSIKSEGDLFVSGTQSGLMPTPNEGAIFHPTEHYPTCTVEPPSDSLKHFLQHCTGWQCIPRPPDLPVVA >itb04g06090.t3 pep chromosome:ASM357664v1:4:3958147:3961641:-1 gene:itb04g06090 transcript:itb04g06090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHHGRYSDHRHHHNPAAFPGPAPLPPHTYKYEPPNQSAPPIQHPHTSNMALLLPYAHVDSTLRALAGQAEGFGRFAVGGLHGPLYHVTTLADDGPGSLRDGCRRKEPLWIVFEVSGTIELRSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIVCNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSLSDYDDGLIDITRESTDITISRCHFSKHDKTILIGADPSNITDRCMRVTIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVESQVFVLLAIIFFCFFLTQLVSWKICQVFEHLNR >itb01g28550.t1 pep chromosome:ASM357664v1:1:32959502:32970474:-1 gene:itb01g28550 transcript:itb01g28550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKYELEEGEAGYHGLDDADDTSIDPDIALSYLDEKVQSVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTHQRSPSVLSQPKSPPRFHSHNMPGSPKHLVTESAPQNSLGLPNAPLSQKNGVAVSGNSHSLLALRGAPGDGSVRKGPRLPSAQVAEKCLAKDEPYLNKSVTSTDQRTLKVRIKVHPHKTTQKNAAIYSGLGLSSPSSMESSPVESGEMSPDSQEACDESPGSILQVMTSFPVAGDLLLSPLHDHLLALSRNGSNLGCGKLVAARKNGHNNSSISTDASTSSLGNANELKGKKVNSISQSENFVEPKNRGKIVNGHDTVSCLKKNLGSETLENKQYLSTDLNAKDLSDLGCDTGGSLKGAGFASKAVRESDEAMPSKKRELISGKMKDISLNSDLINDESVALITGQGGSNFDNRDSKSNSMEKIGEHQVRGFHKDNKERGGSKEDRILTSITADSDISEGRKDSKGAAEKLKEVNVKATSHQQDKLKILDTKKKTCEGKKKSVGSQLSGKSASKLSVKSAGSSAVIKNKKNSGKDVLERTSGHKLKESKLDAHRELQASSDKSRLKSAHTKIDNQLISGKIMKETSMYGIPSTREPILHTEEAPPAPFLLIEEDWVLCDKCQKWRLLPQGMKPDHLPSTWVCSMLNWLPGMNSCDFSEDETTGALNALYVPLPENPNNLQTYAGKIEGGVNPASACDLSGNRQNASYTANLGRKKHKVKRSKNDELLAATNFKKSIQGEMVKGRTLVNVNQTPSGSIPMRKLNDKDFSEQGEHVVIGDEKSRKKIKREPNLSDYKDVKKIKADSELASGVDLGMLASTNGLPTKVAMKEKHKKNGYLKETKSTVGTGLRVSVKKQDHMHDSLDNGSLDIKACNEREISTKKRKFKDSDHLETLQSNVAPLGVSKVSIKDESSDGGFKKHKKCKISQTEANESSTSKSEQKPKARGAVTRIILPSSRNSQASSIVKDQQVKKYKVKMKPQLTLDDIDSLRKDLGCEELSTAATSSSSKVSDSRKRRANYQVKGSPVESVSSSPMRTYNLNSLSPTRKGGFEKDDAKCSDFGLAGSPRKSMNGNTNLLSNGSGQSRKGNSFFHAEAFDSSVIHPRDSDARDRFDTDAKLSSDVGNGHLGNSDAVMFGEHMNAASSIGRKDRLNKKLLMLHHQKTVKNSPLQSKEKDKDFGVGIKTDGEKVSDPPSDNIGLKPTKSLKEDMKVNDNHLESGTKFVRNSKNLGNLDAAKPGDGRRDNQLKCEDDWSNLKFGASCNMDGKASTEQRSILEFEAETAMRGRSTQVGSKEERSEVDLSRGDKQATLGGASRPPSLHKGASMDASVAGNSSKMSKAPGPVCKNGTNNSTGHPIPDRSMIKSLDAPNLSKRDASNQNASNVLREAEELRDYADRLKSTGFDFEYNEAYFQAALKFLHGASLLETCSGESGKYGEANQIQIYTNTAKLCETCANEYQKRQEMATAALAYKCMEVAYMRVVYCKNMSASRVWHDLQASLQMPIPLQGESPSSSASDVDNTNNQAMIDRTALSKVNGSQPGTHVIAPRNRPNFVKLLDFAKDVNAAMEASRRAQNAFGAANIILEEAQNLEAISSVKQVIDFSFQDVEELTRLVRLAIEAISRQSIGGNR >itb01g28550.t5 pep chromosome:ASM357664v1:1:32963155:32970474:-1 gene:itb01g28550 transcript:itb01g28550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKYELEEGEAGYHGLDDADDTSIDPDIALSYLDEKVQSVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTHQRSPSVLSQPKSPPRFHSHNMPGSPKHLVTESAPQNSLGLPNAPLSQKNGVAVSGNSHSLLALRGAPGDGSVRKGPRLPSAQVAEKCLAKDEPYLNKSVTSTDQRTLKVRIKVHPHKTTQKNAAIYSGLGLSSPSSMESSPVESGEMSPDSQEACDESPGSILQVMTSFPVAGDLLLSPLHDHLLALSRNGSNLGCGKLVAARKNGHNNSSISTDASTSSLGNANELKGKKVNSISQSENFVEPKNRGKIVNGHDTVSCLKKNLGSETLENKQYLSTDLNAKDLSDLGCDTGGSLKGAGFASKAVRESDEAMPSKKRELISGKMKDISLNSDLINDESVALITGQGGSNFDNRDSKSNSMEKIGEHQVRGFHKDNKERGGSKEDRILTSITADSDISEGRKDSKGAAEKLKEVNVKATSHQQDKLKILDTKKKTCEGKKKSVGSQLSGKSASKLSVKSAGSSAVIKNKKNSGKDVLERTSGHKLKESKLDAHRELQASSDKSRLKSAHTKIDNQLISGKIMKETSMYGIPSTREPILHTEEAPPAPFLLIEEDWVLCDKCQKWRLLPQGMKPDHLPSTWVCSMLNWLPGMNSCDFSEDETTGALNALYVPLPENPNNLQTYAGKIEGGVNPASACDLSGNRQNASYTANLGRKKHKVKRSKNDELLAATNFKKSIQGEMVKGRTLVNVNQTPSGSIPMRKLNDKDFSEQGEHVVIGDEKSRKKIKREPNLSDYKDVKKIKADSELASGVDLGMLASTNGLPTKVAMKEKHKKNGYLKETKSTVGTGLRVSVKKQDHMHDSLDNGSLDIKACNEREISTKKRKFKDSDHLETLQSNVAPLGVSKVSIKDESSDGGFKKHKKCKISQTEANESSTSKSEQKPKARGAVTRIILPSSRNSQASSIVKDQQVKKYKVKMKPQLTLDDIDSLRKDLGCEELSTAATSSSSKVSDSRKRRANYQVKGSPVESVSSSPMRTYNLNSLSPTRKGGFEKDDAKCSDFGLAGSPRKSMNGNTNLLSNGSGQSRKGNSFFHAEAFDSSVIHPRDSDARDRFDTDAKLSSDVGNGHLGNSDAVMFGEHMNAASSIGRKDRLNKKLLMLHHQKTVKNSPLQSKEKDKDFGVGIKTDGEKVSDPPSDNIGLKPTKSLKEDMKVNDNHLESGTKFVRNSKNLGNLDAAKPGDGRRDNQLKCEDDWSNLKFGASCNMDGKASTEQRSILEFEAETAMRGRSTQVGSKEERSEVDLSRGDKQATLGGASRPPSLHKGASMDASVAGNSSKMSKAPGPVCKNGTNNSTGHPIPDRSMIKSLDAPNLSKRDASNQNASNVLREAEELRDYADRLKSTGFDFEYNEAYFQAALKFLHGASLLETCSGESGKYGEANQIQIYTNTAKLCETCANEYQKRQEMATAALAYKCMEVAYMRVVYCKNMSASRVWHDLQASLQMPIPLQGNYHLPLPCDLWTS >itb01g28550.t2 pep chromosome:ASM357664v1:1:32959502:32970474:-1 gene:itb01g28550 transcript:itb01g28550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKYELEEGEAGYHGLDDADDTSIDPDIALSYLDEKVQSVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTHQRSPSVLSQPKSPPRFHSHNMPGSPKHLVTESAPQNSLGLPNAPLSQKNGVAVSGNSHSLLALRGAPGDGSVRKGPRLPSAQVAEKCLAKDEPYLNKSVTSTDQRTLKVRIKVHPHKTTQKNAAIYSGLGLSSPSSMESSPVESGEMSPDSQEACDESPGSILQVMTSFPVAGDLLLSPLHDHLLALSRNGSNLGCGKLVAARKNGHNNSSISTDASTSSLGNANELKGKKVNSISQSENFVEPKNRGKIVNGHDTVSCLKKNLGSETLENKQYLSTDLNAKDLSDLGCDTGGSLKGAGFASKAVRESDEAMPSKKRELISGKMKDISLNSDLINDESVALITGQGGSNFDNRDSKSNSMEKIGEHQVRGFHKDNKERGGSKEDRILTSITADSDISEGRKDSKGAAEKLKEVNVKATSHQQDKLKILDTKKKTCEGKKKSVGSQLSGKSASKLSVKSAGSSAVIKNKKNSGKDVLERTSGHKLKESKLDAHRELQASSDKSRLKSAHTKIDNQLISGKIMKETSMYGIPSTREPILHTEEAPPAPFLLIEEDWVLCDKCQKWRLLPQGMKPDHLPSTWVCSMLNWLPGMNSCDFSEDETTGALNALYVPLPENPNNLQTYAGKIEGGVNPASACDLSGNRQNASYTANLGRKKHKVKRSKNDELLAATNFKKSIQGEMVKGRTLVNVNQTPSGSIPMRKLNDKDFSEQGEHVVIGDEKSRKKIKREPNLSDYKDVKKIKADSELASGVDLGMLASTNGLPTKVAMKEKHKKNGYLKETKSTVGTGLRVSVKKQDHMHDSLDNGSLDIKACNEREISTKKRKFKDSDHLETLQSNVAPLGVSKVSIKDESSDGGFKKHKKCKISQTEANESSTSKSEQKPKARGAVTRIILPSSRNSQASSIVKDQQVKKYKVKMKPQLTLDDIDSLRKDLGCEELSTAATSSSSKVSDSRKRRANYQVKGSPVESVSSSPMRTYNLNSLSPTRKGGFEKDDAKCSDFGLAGSPRKSMNGNTNLLSNGSGQSRKGNSFFHAEAFDSSVIHPRDSDARDRFDTDAKLSSDVGNGHLGNSDAVMFGEHMNAASSIGRKDRLNKKLLMLHHQKTVKNSPLQSKEKDKDFGVGIKTDGEKVSDPPSDNIGLKPTKSLKEDMKVNDNHLESGTKFVRNSKNLGNLDAAKPGDGRRDNQLKCEDDWSNLKFGASCNMDGKASTEQRSILEFEAETAMRGRSTQVGSKEERSEVDLSRGDKQATLGGASRPPSLHKGASMDASVAGNSSKMSKAPGPVCKNGTNNSTGHPIPDRSMIKSLDAPNLSKRDASNQNASNVLREAEELRDYADRLKSTGFDFEYNEAYFQAALKFLHGASLLETCSGESGKYGEANQIQIYTNTAKLCETCANEYQKRQEMATAALAYKCMEVAYMRVVYCKNMSASRVWHDLQASLQMPIPLQGESPSSSASDVDNTNNQAMIDRTALSKVNGSQPGTHVIAPRNRPNFVKLLDFAKDVNAAMEASRRAQNAFGAANIILEEAQNLEAISSVKQVIDFSFQDVEELTRLVRLAIEAISRQSIGGNR >itb01g28550.t4 pep chromosome:ASM357664v1:1:32962080:32970474:-1 gene:itb01g28550 transcript:itb01g28550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKYELEEGEAGYHGLDDADDTSIDPDIALSYLDEKVQSVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTHQRSPSVLSQPKSPPRFHSHNMPGSPKHLVTESAPQNSLGLPNAPLSQKNGVAVSGNSHSLLALRGAPGDGSVRKGPRLPSAQVAEKCLAKDEPYLNKSVTSTDQRTLKVRIKVHPHKTTQKNAAIYSGLGLSSPSSMESSPVESGEMSPDSQEACDESPGSILQVMTSFPVAGDLLLSPLHDHLLALSRNGSNLGCGKLVAARKNGHNNSSISTDASTSSLGNANELKGKKVNSISQSENFVEPKNRGKIVNGHDTVSCLKKNLGSETLENKQYLSTDLNAKDLSDLGCDTGGSLKGAGFASKAVRESDEAMPSKKRELISGKMKDISLNSDLINDESVALITGQGGSNFDNRDSKSNSMEKIGEHQVRGFHKDNKERGGSKEDRILTSITADSDISEGRKDSKGAAEKLKEVNVKATSHQQDKLKILDTKKKTCEGKKKSVGSQLSGKSASKLSVKSAGSSAVIKNKKNSGKDVLERTSGHKLKESKLDAHRELQASSDKSRLKSAHTKIDNQLISGKIMKETSMYGIPSTREPILHTEEAPPAPFLLIEEDWVLCDKCQKWRLLPQGMKPDHLPSTWVCSMLNWLPGMNSCDFSEDETTGALNALYVPLPENPNNLQTYAGKIEGGVNPASACDLSGNRQNASYTANLGRKKHKVKRSKNDELLAATNFKKSIQGEMVKGRTLVNVNQTPSGSIPMRKLNDKDFSEQGEHVVIGDEKSRKKIKREPNLSDYKDVKKIKADSELASGVDLGMLASTNGLPTKVAMKEKHKKNGYLKETKSTVGTGLRVSVKKQDHMHDSLDNGSLDIKACNEREISTKKRKFKDSDHLETLQSNVAPLGVSKVSIKDESSDGGFKKHKKCKISQTEANESSTSKSEQKPKARGAVTRIILPSSRNSQASSIVKDQQVKKYKVKMKPQLTLDDIDSLRKDLGCEELSTAATSSSSKVSDSRKRRANYQVKGSPVESVSSSPMRTYNLNSLSPTRKGGFEKDDAKCSDFGLAGSPRKSMNGNTNLLSNGSGQSRKGNSFFHAEAFDSSVIHPRDSDARDRFDTDAKLSSDVGNGHLGNSDAVMFGEHMNAASSIGRKDRLNKKLLMLHHQKTVKNSPLQSKEKDKDFGVGIKTDGEKVSDPPSDNIGLKPTKSLKEDMKVNDNHLESGTKFVRNSKNLGNLDAAKPGDGRRDNQLKCEDDWSNLKFGASCNMDGKASTEQRSILEFEAETAMRGRSTQVGSKEERSEVDLSRGDKQATLGGASRPPSLHKGASMDASVAGNSSKMSKAPGPVCKNGTNNSTGHPIPDRSMIKSLDAPNLSKRDASNQNASNVLREAEELRDYADRLKSTGFDFEYNEAYFQAALKFLHGASLLETCSGESGKYGEANQIQIYTNTAKLCETCANEYQKRQEMATAALAYKCMEVAYMRVVYCKNMSASRVWHDLQASLQMPIPLQGESPSSSASDVDNTNNQAMIDRTALSKVNGSQPGTHVIAPRNRPNFVKLLDFVSFIIVKL >itb01g28550.t3 pep chromosome:ASM357664v1:1:32959502:32970474:-1 gene:itb01g28550 transcript:itb01g28550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKYELEEGEAGYHGLDDADDTSIDPDIALSYLDEKVQSVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTHQRSPSVLSQPKSPPRFHSHNMPGSPKHLVTESAPQNSLGLPNAPLSQKNGVAVSGNSHSLLALRGAPGDGSVRKGPRLPSAQVAEKCLAKDEPYLNKSVTSTDQRTLKVRIKVHPHKTTQKNAAIYSGLGLSSPSSMESSPVESGEMSPDSQEACDESPGSILQVMTSFPVAGDLLLSPLHDHLLALSRNGSNLGCGKLVAARKNGHNNSSISTDASTSSLGNANELKGKKVNSISQSENFVEPKNRGKIVNGHDTVSCLKKNLGSETLENKQYLSTDLNAKDLSDLGCDTGGSLKGAGFASKAVRESDEAMPSKKRELISGKMKDISLNSDLINDESVALITGQGGSNFDNRDSKSNSMEKIGEHQVRGFHKDNKERGGSKEDRILTSITADSDISEGRKDSKGAAEKLKEVNVKATSHQQDKLKILDTKKKTCEGKKKSVGSQLSGKSASKLSVKSAGSSAVIKNKKNSGKDVLERTSGHKLKESKLDAHRELQASSDKSRLKSAHTKIDNQLISGKIMKETSMYGIPSTREPILHTEEAPPAPFLLIEEDWVLCDKCQKWRLLPQGMKPDHLPSTWVCSMLNWLPGMNSCDFSEDETTGALNALYVPLPENPNNLQTYAGKIEGGVNPASACDLSGNRQNASYTANLGRKKHKVKRSKNDELLAATNFKKSIQGEMVKGRTLVNVNQTPSGSIPMRKLNDKDFSEQGEHVVIGDEKSRKKIKREPNLSDYKDVKKIKADSELASGVDLGMLASTNGLPTKVAMKEKHKKNGYLKETKSTVGTGLRVSVKKQDHMHDSLDNGSLDIKACNEREISTKKRKFKDSDHLETLQSNVAPLGVSKVSIKDESSDGGFKKHKKCKISQTEANESSTSKSEQKPKARGAVTRIILPSSRNSQASSIVKDQQVKKYKVKMKPQLTLDDIDSLRKDLGCEELSTAATSSSSKVSDSRKRRANYQVKGSPVESVSSSPMRTYNLNSLSPTRKGGFEKDDAKCSDFGLAGSPRKSMNGNTNLLSNGSGQSRKGNSFFHAEAFDSSVIHPRDSDARDRFDTDAKLSSDVGNGHLGNSDAVMFGEHMNAASSIGRKDRLNKKLLMLHHQKTVKNSPLQSKEKDKDFGVGIKTDGEKVSDPPSDNIGLKPTKSLKEDMKVNDNHLESGTKFVRNSKNLGNLDAAKPGDGRRDNQLKCEDDWSNLKFGASCNMDGKASTEQRSILEFEAETAMRGRSTQVGSKEERSEVDLSRGDKQATLGGASRPPSLHKGASMDASVAGNSSKMSKAPGPVCKNGTNNSTGHPIPDRSMIKSLDAPNLSKRDASNQNASNVLREAEELRDYADRLKSTGFDFEYNEAYFQAALKFLHGASLLETCSGESGKYGEANQIQIYTNTAKLCETCANEYQKRQEMATAALAYKCMEVAYMRVVYCKNMSASRVWHDLQASLQMPIPLQGESPSSSASDVDNTNNQAMIDRTALSKVNGSQPGTHVIAPRNRPNFVKLLDFAKDVNAAMEASRRAQNAFGAANIILEEAQNLEAISSVKQVIDFSFQDVEELTRLVRLAIEAISRQSIGGNR >itb10g22400.t5 pep chromosome:ASM357664v1:10:27254762:27258380:-1 gene:itb10g22400 transcript:itb10g22400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb10g22400.t3 pep chromosome:ASM357664v1:10:27254743:27258461:-1 gene:itb10g22400 transcript:itb10g22400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb10g22400.t4 pep chromosome:ASM357664v1:10:27254743:27258461:-1 gene:itb10g22400 transcript:itb10g22400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb10g22400.t7 pep chromosome:ASM357664v1:10:27254762:27258380:-1 gene:itb10g22400 transcript:itb10g22400.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb10g22400.t6 pep chromosome:ASM357664v1:10:27254762:27256591:-1 gene:itb10g22400 transcript:itb10g22400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb10g22400.t1 pep chromosome:ASM357664v1:10:27254743:27258461:-1 gene:itb10g22400 transcript:itb10g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb10g22400.t2 pep chromosome:ASM357664v1:10:27254743:27258461:-1 gene:itb10g22400 transcript:itb10g22400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTKGRHGITSQQCRSTPYPLPSQKKCSKHSQKKDWEDVTCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRYSNCLDQYRKAYTKDTPAHETQPLHGLSISAAIPPFSTQPTEKCEIAKLACPLCRGQVKGWTVVETAREFLNAKKRSCMQDGCSFVGNYRETRKHVRAVHPAARPREVDPLLEQKWRRLEGERERDDVISTITSSMPGAMVFGDYVIEGGHYGFYSEDEDGFEADVMEHHSSEGFRVGVDGNLMNVLLLLQAFGSAGNHGSDRDIRQQYNSDPNNVLDEGAVGIDHNLPVVSYDCSSEDSDHIADGHDNGTSLVGRARHQGLGDTGRRRRRRQANGGQR >itb06g03050.t1 pep chromosome:ASM357664v1:6:5186073:5187961:-1 gene:itb06g03050 transcript:itb06g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKGRETDIVEVNEKKMKKRRKNERFSVSRIAICDRRTNFPNLHRLVFAVQQLPPVAITPNAPQPPASPPASAVASPAAVVSPQLQPLQSVSILLSGLGPPHSAGKVQTSVINVNFVFAVIY >itb07g23510.t1 pep chromosome:ASM357664v1:7:27892814:27895075:-1 gene:itb07g23510 transcript:itb07g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDIMVATNCFDSGNVLLSTRTGISYMAMLLDGSALAVKRLSGCKMNEKQFRPEMNRLGQLRHPNLVPLLGYCLVENEKLLVYKHMPNGSLYSALHGSLCTGVRNNRYELGWQSRLRIAVGAARGLAWLHHGCQPPYLHQYISSNVILVDDDLDARITDFGLARLVKSADSNDGSFVNGGLGEFGYVAPEYSSTLLTSTKGDVYSFGVVLLELVSGQKPLGAGNAQEGFKGSLVDWVSHLLASGRSRDAIDKRLAGGGQDDEIMQVLRIACSCVVPRPKDRPSMYTVYQSLKTMADKHGLSENFDHEFPMNFGKQDDNPKD >itb05g23710.t1 pep chromosome:ASM357664v1:5:28784315:28786591:1 gene:itb05g23710 transcript:itb05g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDMVASQCALKLYHDGQVETINKSDSEQLKQYVVHFTGNTDDAQPCNVATFVPSGEGAPLQRAEWIKYVGVYSNQEYRANQVYNAIKSNYLCLSKAATGKSGSLKPTVAWMEYNNEGVWSFTKEDYKLKFVEDAGGVNVDDSINKITYNMSIPDDIEDFHAILCTVDVVIDETYTYDPMAYNVSTFLGNIDVEDQSCLAFISNQSLWRYDKRVQNYTVLDWYDGAVSQPQLALADMIEALFPSGNYQTTFLRNIAKGEGVISISPEMCDRDISTAMEPTIIACQ >itb10g00570.t1 pep chromosome:ASM357664v1:10:367104:371439:-1 gene:itb10g00570 transcript:itb10g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSQSLPKLVAVAIDKDRGSQVALRWAVDSLLTKGQSVILMHVKIRTSSNFSHSASLYFSTPRLSQPADDSGLMNGDESDPETREIFLPFRVFCMRKDVQCYEVSLEHSDPSKAIVEYVNRTAIEVVILGAATKGGLLRFKSRDIPGTVLKGAPDFCTVYIISKTGKISSTRSASRLPPFIHPLRHQMALQINSSKPSVAEVPPPRVHNPKSHSLGSSDASVNIVNNDIKSQIVHRRAASGRPYEISKHDNLDISFVSNGRSSVDSFLPYTDSLDDGPTPPRLSGFSEVEDNNLEGLNPTGRRSIEYLSLDSFVSERNHAFSQEDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKALELERWKMEEQKRLEEARLAEEAALALAEKEKARSKLAIEHAEAAQRIAELEAQKRISAEMKALKEAEEKNKVLSKLAATDYRYRRYTIEEIESATDYFSKSRKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAHGRQQFQQEIEVLSCIRHPNMVLLLGACPEYGCLVYEYMSNGSLEDRLLQRGNTQPLSWQQRFRIAAEIGTGLLFLHQNKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIIYLQVLTAKSPVGLTHHVEKSIEKGLFSQMLDPAVHDWPVEDAMKLAKLSLKCSELRRRDRPDLGNVVLPELNRLRALAEENSSPLQFYGSASSPNHSQVYLSHENLSYPQSFESSRSRSSTGS >itb12g27190.t1 pep chromosome:ASM357664v1:12:27717951:27720225:1 gene:itb12g27190 transcript:itb12g27190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKQQIMAVQMHAQNPIEQFQAKYKEIEDGFKNWLAKQSLPVEAAVVTATNFAQGAFLGALLGNFSPDLSSSMPSPPPGANFDPNVMNAFQQAQALSGGPWIQARNFGVMAGSNAGISCVMKRLRGKEDIQTSMTAAFGSGVLFSLVSGVSGGQNPVAGALQSGVFFALVQGGLFKLGQQFSQPPAEDIHYVKTRSMLTSLGLQRYEKNFKKGMLTDSTLPLLNDSALQDVKIPPGPRLLILDQIQRDPDLKAKQRFS >itb02g23610.t1 pep chromosome:ASM357664v1:2:23715982:23717760:1 gene:itb02g23610 transcript:itb02g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKANCGFNIDGMASAIPPTNPSSMSIKLGVIRGSEGLMTFGRSLKSGVTRVVFPEDLKISEKMIFDPQDKSLLFWNRLLVISCIFSVAVDPLFLYLPVFKSVEDHCLHIDSSLAYTTTTLRTIIDSFYLIRMILQFRTAYIAPSSQVFGRGELVIDPKEIASRYIHRYFIVDLLSILPLPQILVLRFLNGSRGSDVVGTKRTLVLLVILQSIPRFFRFLPLTSDLKKTSGVFAETAWLGAAYYMLWFMLASHIFGAYWYLLAVERKNFCWQEACLKSADCSPSYSLLFCSLDRHKTANLTDWRQITEDVLHKNCAADNDNQFNYGIYAQAVSSGILDSEEFIPKYCYCLWWGLQNLSTLGQGLETSTYPLEVLFSIALAIIGLLLLAILIGNMQTYLQSITVRLEEMRIKRRDSEQ >itb06g05870.t1 pep chromosome:ASM357664v1:6:8574040:8578652:1 gene:itb06g05870 transcript:itb06g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAKRVPELLLSSAAALLRSFRPTNQNLGLLPAGSLHPPSTKRSRLPPHARREDLLACERCPGHSLPRHSRIQLVFGVAARSRQSRKMYFVEWSDQRRHQLPSSHPHRRLFRRRPLVPH >itb10g05420.t2 pep chromosome:ASM357664v1:10:5585995:5592320:-1 gene:itb10g05420 transcript:itb10g05420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSPGFNQQSPPEGEKKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPSLPPQLICQLHNVTMHADLETDEVYAQMTLQPLSPQEQKEASFLPMDLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPQLDYSLQPPAQELIARDLHENEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNEKNQLLLGIRRANRPQTVMPSSVISSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVVPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLITFPMYPSPFLRLKRPWPPGLPSFNGMKDEDMMMNSPLLWLRDSGDRGIQSVNFQGIGGVTPWMQPSLPMLGMQNDVYHAMAAAALQEMRVVDPSKQNLSSFLQFQQANGVPHRSTTGLMQQPQMLQQSQPQQPTFLSNLHETQPLPPISQPLLQQQLQHQNSFNSIQQQQQRPQQASSQQQAQCIDQQVSSVGSVMSQLASSSQSQPPSLQTICSMQPQTFSDSTVNPVVNPLQCLLGSVPQYEASHILNASRSSNLMSPTGWPAKRIAVDPVLPSSVTSQCISSQVDQLGAPNMNISQNAVSLPPFPGSRVCSEDQEGSNDPQNHLLFGVNIDSSSLLMQNGMSTLKGVGSVCDSTTVPFVSSSYMSNSGNDFPLNPGMTPPVCVEESSVMQTSENLGPENPPNKTFVKVYKSGSLGRSLDITKFSSYHELRSELAQMFGLEGLLEDPLRSGWQLVFVDRENDVLLLGDDPWP >itb10g05420.t1 pep chromosome:ASM357664v1:10:5585419:5592320:-1 gene:itb10g05420 transcript:itb10g05420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSPGFNQQSPPEGEKKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNREVDAHIPNYPSLPPQLICQLHNVTMHADLETDEVYAQMTLQPLSPQEQKEASFLPMDLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPQLDYSLQPPAQELIARDLHENEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNEKNQLLLGIRRANRPQTVMPSSVISSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVVPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGDRQPRVSLWEIEPLITFPMYPSPFLRLKRPWPPGLPSFNGMKDEDMMMNSPLLWLRDSGDRGIQSVNFQGIGGVTPWMQPSLPMLGMQNDVYHAMAAAALQEMRVVDPSKQNLSSFLQFQQANGVPHRSTTGLMQQPQMLQQSQPQQPTFLSNLHETQPLPPISQPLLQQQLQHQNSFNSIQQQQQRPQQASSQQQAQCIDQQVSSVGSVMSQLASSSQSQPPSLQTICSMQPQTFSDSTVNPVVNPLQCLLGSVPQYEASHILNASRSSNLMSPTGWPAKRIAVDPVLPSSVTSQCISSQVDQLGAPNMNISQNAVSLPPFPGSRVCSEDQEGSNDPQNHLLFGVNIDSSSLLMQNGMSTLKGVGSVCDSTTVPFVSSSYMSNSGNDFPLNPGMTPPVCVEESSVMQTSENLGPENPPNKTFVKVYKSGSLGRSLDITKFSSYHELRSELAQMFGLEGLLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLSSIPMSQMSNGSCNDFGSPPESRKMISGMASVGSLDY >itb05g01680.t1 pep chromosome:ASM357664v1:5:1331622:1334434:-1 gene:itb05g01680 transcript:itb05g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGYGSSSVPDNAAVLPTPEDMMSGSTVFNIPTGLPPVDNIMPNLGWAAAAGNMDMLGRGGLPMNVSTYSGFSTAWPPAENMPNLGWTATGNMDDGLGLGLGGPPMTVSTYPSISTVWTSTGNMDILGSPITVSTVENMANLNMPMTVHTECPPVSVYKMSEPIPTLGAVTSEAGPSMITEIEKAVSRFPYKTSQEGIMSTPDLQDQQRSEDSTRLWVKVEWKKDLFKFPLDLPTMDGLKTQVLKRLSLLEADGLKFMYKDEDGEMITIACEEDLHFCFQYFKSSFHKTKPKCLKSKVAFVSNIAQPNHLNALLRALTTSATSNEERERGAGFLAATDQVSENSDSRQWRSSVQGLLRELVPQVRCDFSMKNRIAVGDENYKRGTNLITNHQFTPD >itb12g21450.t1 pep chromosome:ASM357664v1:12:23813605:23815794:-1 gene:itb12g21450 transcript:itb12g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKVCFTVFLCLSACLATLLSEANGSRRQPQDKFILNDPEKLFVFGDSYADTGNWPPTDASRPWREPYGMTFPGKPSGRWSDGRVLTDYIAAYLGIGSPQAYSLWKGDEEAKQYGLNFAYGGTGVFDTFEGGPNMTTQINYLQQLLQQNVYTKHDLTSSVALVTSVGNDYRSYHGDIHHIIEEFVESITKQLSKNLKRIHEMGVPKVAVTAMQPLGCLPMVAFATGKYPNCDENTNNITRFHNQMLKQRVDKLNNQTDGSPFVIVDLYAAFTTALNIKHNQHPGKSSFPHPLAPCCLGNCGEVDVSGKKEYGLCDDPKMAFFWDMTHPSQQGWFAVYSALKSSLPHLFHHPQQETPIV >itb13g15960.t1 pep chromosome:ASM357664v1:13:22860063:22866339:-1 gene:itb13g15960 transcript:itb13g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSFPPRFSIKLSQPELVPPKTPTPKEQKALSDLDDQASLRYQMPGLWFYENKESMVGRDPASVIKEGLGKALVFYYPLAGRLFEGPNKKLIVDCNGEGVLFLKAEANIALHKLGDFIHSPSPYLSKLQYNVPGSEGITGCPLLLIQVTRFTCGGIALGVRFNHTMVDGYGMVLFLKAVCELALGGSTPLVLPVWDRETLSATANPNPTCSHAVYEATCFRNNFKLFDFEWWASKIFNVEKLASQPLYFFFPKILKPIFVQSSFIVGPKEIQALRDQAMAQDYGRCTTFELITACLWKCRTIALQPNPNATVRLTFPTDMRQKSLAGLKLSLGYYGNAIVMQSATSTAKLLCDSPITYAIQLIREAKNKVNADYVKSVIDFMVTRGRPRMSVLRNMLVSDISRIGMEKIDFGWGDAIYAGASIAAYGVTFLERPKSSDNTTEKSILVPIALPHVYMQIFTHEFKKMTSSS >itb13g15960.t2 pep chromosome:ASM357664v1:13:22860185:22866290:-1 gene:itb13g15960 transcript:itb13g15960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSFPPRFSIKLSQPELVPPKTPTPKEQKALSDLDDQASLRYQMPGLWFYENKESMVGRDPASVIKEGLGKALVFYYPLAGRLFEGPNKKLIVDCNGEGVLFLKAEANIALHKLGDFIHSPSPYLSKLQYNVPGSEGITGCPLLLIQVTRFTCGGIALGVRFNHTMVDGYGMVLFLKAVCELALGGSTPLVLPVWDRETLSATANPNPTCSHAVYEATCFRNNFKLFDFEWWASKIFNVEKLASQPLYFFFPKILKPIFVQSSFIVGPKEIQALRDQAMAQDYGRCTTFELITACLWKCRTIALQPNPNATVRLTFPTDMRQKSLAGLKLSLGYYGNAIVMQSATSTAKLLCDSPITYAIQLIREAKNKVNADYVKSVIDFMVTRGRPRMSVLRNMLVSDISRIGMEKIDFGWGDAIYAGASIAAYGVTFLERPKSSDNTTEKSILVPIALPHVYMQIFTHEFKKMTSSS >itb05g23180.t3 pep chromosome:ASM357664v1:5:28366909:28370660:-1 gene:itb05g23180 transcript:itb05g23180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIQGDGGREEKQRREENPTRVSDILEEDEERNPRGDSSPRGVLEIPTSGSSDSDNNSSINGERSRSFGSPSPSLPDLKAAATEGEANDAEEEEELPGGGGGGFDPTAMQWKGIFYQIKRKSAKSFPIVPLFGYFGGGHDIIRRSRRMFFSRNRCSDDNIDCGDFSIAKPSWRSFTLQELTEATDNFSSENMIGKGGHAEVYKGRLGDGQVVAVKRITKEDKNDEDRIGDFLSELGIIAHINHPNAAKLIGFSADNGLHLVLQYLPHGSLALVLHSATETLEWKIRFKVAVGVAEGLHYLHCVCQRRIIHRDITASNILLTEDYGPQISDFGLAKWLPDKWGHHVVSPIEGTFGYMAPEYFMHGIVDEKTDVFAFGVLLLELITGRQAVDSSRQSLAMQNHCWSKTT >itb05g23180.t2 pep chromosome:ASM357664v1:5:28366947:28370660:-1 gene:itb05g23180 transcript:itb05g23180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIQGDGGREEKQRREENPTRVSDILEEDEERNPRGDSSPRGVLEIPTSGSSDSDNNSSINGERSRSFGSPSPSLPDLKAAATEGEANDAEEEEELPGGGGGGFDPTAMQWKGIFYQIKRKSAKSFPIVPLFGYFGGGHDIIRRSRRMFFSRNRCSDDNIDCGDFSIAKPSWRSFTLQELTEATDNFSSENMIGKGGHAEVYKGRLGDGQVVAVKRITKEDKNDEDRIGDFLSELGIIAHINHPNAAKLIGFSADNGATETLEWKIRFKVAVGVAEGLHYLHCVCQRRIIHRDITASNILLTEDYGPQISDFGLAKWLPDKWGHHVVSPIEGTFGYMAPEYFMHGIVDEKTDVFAFGVLLLELITGRQAVDSSRQSLAMWAKPLLEQNNIKEIADPRLGDAYDVVEMERSMSVALSCIQHLPNMRPNMKKVVEVLKGENELKVEMRQNKSVETLPIEDYTSTAYREHLDRHMQLIME >itb05g23180.t1 pep chromosome:ASM357664v1:5:28366909:28370660:-1 gene:itb05g23180 transcript:itb05g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGIQGDGGREEKQRREENPTRVSDILEEDEERNPRGDSSPRGVLEIPTSGSSDSDNNSSINGERSRSFGSPSPSLPDLKAAATEGEANDAEEEEELPGGGGGGFDPTAMQWKGIFYQIKRKSAKSFPIVPLFGYFGGGHDIIRRSRRMFFSRNRCSDDNIDCGDFSIAKPSWRSFTLQELTEATDNFSSENMIGKGGHAEVYKGRLGDGQVVAVKRITKEDKNDEDRIGDFLSELGIIAHINHPNAAKLIGFSADNGLHLVLQYLPHGSLALVLHSATETLEWKIRFKVAVGVAEGLHYLHCVCQRRIIHRDITASNILLTEDYGPQISDFGLAKWLPDKWGHHVVSPIEGTFGYMAPEYFMHGIVDEKTDVFAFGVLLLELITGRQAVDSSRQSLAMWAKPLLEQNNIKEIADPRLGDAYDVVEMERSMSVALSCIQHLPNMRPNMKKVVEVLKGENELKVEMRQNKSVETLPIEDYTSTAYREHLDRHMQLIME >itb15g06230.t2 pep chromosome:ASM357664v1:15:4093591:4097340:-1 gene:itb15g06230 transcript:itb15g06230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKSKKKKPEKTIHVKRVNPQDPSPTALPEPQIHTRALQSAPPSFRTRVKPVQSNSGVASSKIRVRSAPSSIDAAEQDTLVANECDEQEESRCRVGSMKEHPSSIAQPLPLPSPQSAASLKTMGSFKIGNASGPLNASGPLPLPPIIPPVLPTGTLRNFSYEEIAAACHNFSPERCMSERLSSIIYRASFGDDALGTKKLDAIVTCLHPSSQGLKEFVNEVNTLASLQHPSLCKLIGFHAREGSGQRMLVYERLYHGSLDRLLFCRSDGPPLDWNARMKIALCAAQGLTFLHEEGPFQAMFHEFSTANIQIDKDFSAKLSGYGCITHIQETNICSSSAVSASITIYLFSILLGAPNSLEDLYEPFFSQSSDFIYSGACKSICGDDGEGFSDS >itb15g06230.t1 pep chromosome:ASM357664v1:15:4093591:4097340:-1 gene:itb15g06230 transcript:itb15g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKSKKKKPEKTIHVKRVNPQDPSPTALPEPQIHTRALQSAPPSFRTRVKPVQSNSGVASSKIRVRSAPSSIDAAEQDTLVANECDEQEESRCRVGSMKEHPSSIAQPLPLPSPQSAASLKTMGSFKIGNASGPLNASGPLPLPPIIPPVLPTGTLRNFSYEEIAAACHNFSPERCMSERLSSIIYRASFGDDALGTKKLDAIVTCLHPSSQGLKEFVNEVNTLASLQHPSLCKLIGFHAREGSGQRMLVYERLYHGSLDRLLFCRSDGPPLDWNARMKIALCAAQGLTFLHEEGPFQAMFHEFSTANIQIDKDFSAKLSGYGCITHIQETNICSSSAALANLSAETMERGFLTPKSNVWSFGIVLLELLTGRKNLDSGHPKEERNLVKWSQPFLADDCRLSLIMDPQLKGRFPAKAARTIADIAQRCLQKDPSGRPTMRTIVEQLKTAQDMKCPSRFPLQEPGRVSMKHMSKSPSLNGIIVQAPKLGLSPSPPTSRLTRTGAPRLSLPSRTCSSISLEDLERLESRRSSSSSLRRTSVEGF >itb10g21740.t1 pep chromosome:ASM357664v1:10:26813179:26815583:-1 gene:itb10g21740 transcript:itb10g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLRLRPPTQTPANAHRRRNSSSARSEFTRYHLPTSTQSSEVNHSWTNLTHNLKCSGRFSCLFSDNRKEEQARKALENALGGKKTDFEKWDKEIKRREEAGGGGNSGGGGWFRWFGCSGDGDHFWQEAKQASLTILGIIAMYLIVAKGDVMLAVIFNPLLYTLRGARNGLRFVTAQVMNKVSPTPQANFDSTPKYEAPAHTSAKESALRKWGSD >itb06g04140.t3 pep chromosome:ASM357664v1:6:6708039:6709688:1 gene:itb06g04140 transcript:itb06g04140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATLSAASTTVTPSSIFLKSQILRNHSWHRCFTLAARNAIPISGRRHLPPFLLPPRFCLHLHTLPLRPRLHLPRPPLPLLHRFRAPPLSSPMSSLAHSSPSPNTKTVRAVIKGRVQGVFYRDWTVENAKELGLKGWVRNRRDGTVEALFSGSPEKVEEMERRCRRGPPAAMVTGFDVFPCNDDPGAGFERRSTA >itb06g04140.t2 pep chromosome:ASM357664v1:6:6708039:6710934:1 gene:itb06g04140 transcript:itb06g04140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATLSAASTTVTPSSIFLKSQILRNHSWHRCFTLAARNAIPISGRRHLPPFLLPPRFCLHLHTLPLRPRLHLPRPPLPLLHRFRAPPLSSPMSSLAHSSPSPNTKTVRAVIKGRVQGVFYRDWTVENAKELGLKGWVRNRRDGTVEALFSGSPEKVEEMERRCRRGPPAAMVTGFDVFPCNDDPGAGFERRSTA >itb06g04140.t1 pep chromosome:ASM357664v1:6:6708130:6711123:1 gene:itb06g04140 transcript:itb06g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATLSAASTTVTPSSIFLKSQILRNHSWHRCFTLAARNAIPISGRRHLPPFLLPPRFCLHLHTLPLRPRLHLPRPPLPLLHRFRAPPLSSPMSSLAHSSPSPNTKTVRAVIKGRVQGVFYRDWTVENAKELGLKGWVRNRRDGTVEALFSGSPEKVEEMERRCRRGPPAAMVTGFDVFPCNDDPGAGFERRSTA >itb15g02970.t1 pep chromosome:ASM357664v1:15:1888710:1889133:-1 gene:itb15g02970 transcript:itb15g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb06g17380.t1 pep chromosome:ASM357664v1:6:21233017:21235690:-1 gene:itb06g17380 transcript:itb06g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MGREVAGQNQPSSLSYTVEQLIVVNPYNPDILPDLENYVNEQVSSQTYNLDANLCLLRLYQFEPERISTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETARFRQFWDEAAKSRHIVEVVPGFEHAIQMYAIHVLSLTYQKVPRSVLAEAINIEGLSLDKFLEHQVSNYGWVIEKGHGRGQLITIPQNEFNHPALKKNTSDGVPLEHVTRIFPILG >itb10g19000.t3 pep chromosome:ASM357664v1:10:24814832:24818895:1 gene:itb10g19000 transcript:itb10g19000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLEEYRTQHRRLENPESESSVSQEIVKTEDFVSKISLQDTEECDKNYCLKSEKQESEESGVASSQHNFTEKYERKGDIGQGLKTEEYASEHLNQNETFLCHHLAQSTASRIELPPSSEKKSHENGEPSVAKTENGECEANLPQRTCKENCEDNFSVDQQIDRARDSYTESYQSTVEDNPNKSPQKQCKYFSYDAPLYGETGIWIPVSVPPLSESDHEEWTRGFFSKEEYFPEEDMGSIQCSGEDRELTMWDVVVEMLLVARGKVSTLTSGDICNISWISGHLVEQAWKEMAQTLTEANFGSTRELLEAEPPKWLPDSAASSCMLCSVRFHPIMCSRHHCRFCGGIFCGDCTRGRSLLPEKFRTEQPQRVCDVCSVRLQSVQAYLMDQVSRAAQLPTHDLTDLSTLRSWINFPWGQSMEYEIYKATNTIRSYNTVGSLGHEKRIPEAILRGAHGLAILTVVKVGVMVTYNIGTGLVVARREDGSWSPPSAISSFGVGWGAQAGGELTDFIIVLRTNDAVKTFSGEAHISIGAGVSAAAGVIGRTAEADVRAGTGGYSACYTYSCSKGAFVGVSLEGSVVTTRSRENSRFYGSQSVSAADILLGSWPRPPAAATLYRALAELYQKL >itb10g19000.t1 pep chromosome:ASM357664v1:10:24814832:24818908:1 gene:itb10g19000 transcript:itb10g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLEEYRTQHRRLENPESESSVSQEIVKTEDFVSKISLQDTEECDKNYCLKSEKQESEESGVASSQHNFTEKYERKGDIGQGLKTEEYASEHLNQNETFLCHHLAQSTASRIELPPSSEKKSHENGEPSVAKTENGECEANLPQRTCKENCEDNFSVDQQIDRARDSYTESYQSTVEDNPNKSPQKQCKYFSYDAPLYGETGIWIPVSVPPLSESDHEEWTRGFFSKEEYFPEEDMGSIQCSGEDRELTMWDVVVEMLLVARGKVSTLTSGDICNISWISGHLVEQAWKEMAQTLTEANFGSTRELLEAEPPKWLPDSAASSCMLCSVRFHPIMCSRHHCRFCGGIFCGDCTRGRSLLPEKFRTEQPQRVCDVCSVRLQSVQAYLMDQVSRAAQLPTHDLTDLSTLRSWINFPWGQSMEYEIYKATNTIRSYNTVGSLGHEKRIPEAILRGAHGLAILTVVKVGVMVTYNIGTGLVVARREDGSWSPPSAISSFGVGWGAQAGGELTDFIIVLRTNDAVKTFSGEAHISIGAGVSAAAGVIGRTAEADVRAGTGGYSACYTYSCSKGAFVGVSLEGSVVTTRSRENSRFYGSQSVSAADILLGSWPRPPAAATLYRALAELYQKL >itb10g19000.t2 pep chromosome:ASM357664v1:10:24814832:24818895:1 gene:itb10g19000 transcript:itb10g19000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLEEYRTQHRRLENPESESSVSQEIVKTEDFVSKISLQDTEECDKNYCLKSEKQESEESGVASSQHNFTEKYERKGDIGQGLKTEEYASEHLNQNETFLCHHLAQSTASRIELPPSSEKKSHENGEPSVAKTENGECEANLPQRTCKENCEDNFSVDQQIDRARDSYTESYQSTVEDNPNKSPQKQCKYFSYDAPLYGETGIWIPVSVPPLSESDHEEWTRGFFSKEEYFPEEDMGSIQCSGEDRELTMWDVVVEMLLVARGKVSTLTSGDICNISWISGHLVEQAWKEMAQTLTEANFGSTRELLEAEPPKWLPDSAASSCMLCSVRFHPIMCSRHHCRFCGGIFCGDCTRGRSLLPEKFRTEQPQRVCDVCSVRLQSVQAYLMDQVSRAAQLPTHDLTDLSTLRSWINFPWGQSMEYEIYKATNTIRSYNTVGSLGHEKRIPEAILRGAHGLAILTVVKVGVMVTYNIGTGLVVARREDGSWSPPSAISSFGVGWGAQAGGELTDFIIVLRTNDAVKTFSGEAHISIGAGVSAAAGVIGRTAEADVRAGTGGYSACYTYSCSKGAFVGVSLEGSVVTTRSRENSRFYGSQSVSAADILLGSWPRPPAAATLYRALAELYQKL >itb07g04060.t1 pep chromosome:ASM357664v1:7:2725782:2733250:-1 gene:itb07g04060 transcript:itb07g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSDSDGSHISATPPSSPQPKPRPAPSPKALLLSSTKFRTKLKPAGATVGKASRPIIRPKSCRKPKKPPPDSTPVELGPEKPPPPVQPPPKLPPPDTANLPFQIHRSTYSSQAFASTTNDSIGTFIPGDLRPSKFASFSKIQKKELNFESVEPDSFGTSSFSTSQSEAEACEANIAPQESETKVATSGNSAKILKRFPNLIGRDSCASLPVKKPKCVNEGNFVKLNINGSGRKYAFKGKRKKYGSYSTGRKFYRSKRKFKGKGQGEEGGVYDEEGLAMDFKQREENLNYDEVLIQEAVMSVRNEASDENLLRLLKVTYGYGSFRSGQLEAIKMLLSGKSTMLLLPTGAGKSLCYQLPSMVLEGITLVISPLVALMIDQLNQLPPALPGGLLCSSQTPEETSETLQSLQEGSIKVLFVSPERLLSSDFISIFSSSPLISLVVVDEAHCVSEWSHNFRPSYMRLRASLLRARLKAGCILAMTATATVKALHNVMQALDIPSTNLIQTTKLRNNLQMSISMSSNRLKDLMALIKSSPYSDVKSIIIYCKFQSETDIVCKYLRDNNISAKSYHSAIPAKDRRRTQELFCANKIRVVVATVAFGMGLDKQDIGAVIHYSLPESLEEYVQEIGRAGRDGRQSYCHLFFDDISYYKLRSLIHSDGVDEYAVNKFLCQVFSNGTGSFGKVYSIVKEAASRKFDMKEEVLLTILTHLELGEVKYLCLLPEMNVTCTLNFHQTSPALLAAKDIVIAAVMKKSEIKDGQYVFDIPTIANSIGLQPADLSNHLQSLKFKGEITYELKDQAYCFTVMDIPKDICSLAAQLTNWLSEVERCKVRKMDAMFNAAVAAVKGCDKVHGCNDYDHTPCLQRKILEYFESNDDIDVPNKMAQCSPFLRADIKVFLQSNSHAKFTPRAVARILHGLSSPAFTTAFWSKCHFWGRYMQTDFDVVMEAAKAELMGFVGKDSL >itb02g20980.t1 pep chromosome:ASM357664v1:2:19359198:19362017:-1 gene:itb02g20980 transcript:itb02g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSVFFLSFTFLLLGSIPVLIANPDLPDPEPISVQSQSQALSTPSTIPAFPEQSNIAACPLDLTEELFRGIKSACGSNPLHRTRCCPVLAAWLYSAYSTTALQRATSKIPQTTTFDMPVLPDDSETCVDSLEKALGNKGIELVKPNQTCDLVYCYCGIRLHPLSCPEAFSMNSHGQLVGHETVNRLETDCFSSSANGYSSLAGCSKCLNSLYLLSKSEASSRSKSDERGSKMRTRDCQLMGLTWLLNKNRAGYIHTVSAVLRALMMSTNGSDPLSCTLNSDGMPLAVDSSEFYDQSSSFTLQISHYTYIVPLLLLYCSVTIQFSIY >itb02g20980.t2 pep chromosome:ASM357664v1:2:19359198:19362017:-1 gene:itb02g20980 transcript:itb02g20980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSVFFLSFTFLLLGSIPVLIANPDLPDPEPISVQSQSQALSTPSTIPAFPEQSNIAACPLDLTEELFRGIKSACGSNPLHRTRCCPVLAAWLYSAYSTTALQRATSKIPQTTTFDMPVLPDDSETCVDSLEKALGNKGIELVKPNQTCDLVYCYCGIRLHPLSCPEAFSMNSHGQLVGHETVNRLETDCFSSSANGYSSLAGCSKCLNSLYLVRFLTPSEFCFISCHKC >itb15g16530.t1 pep chromosome:ASM357664v1:15:16169337:16175690:1 gene:itb15g16530 transcript:itb15g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMRRRSYGGGGGGNSFDPSNLHLKRELTQIKKAAKALRDPGTTSSWRSPLGSARSTLAGDMLNYNSKHHFFHHHNNVDDTLNVIGGQEVGAATETTLQPTFRIERNGNPDTHTNTNAKEKEKEKDKEKEKKVFLHNWRSQKSESERSRRRRGGKGEDCLGNGNGNGSSSTPEESIEDSLSDARNGGNDSKSDTYAGDRYASMILKCKDANFMPSIRRNIKKKSKKNNFSTAILRHNSDKLQQQMASSRIPRRVLEGLPGLGLGLGGDDSASLVDQSEDTEDYSNAEDFRRFSAASPLLARLRSKNWAYSSSKFRNSRRRREDSSYTYSTPALSTSSYNRYGVRNPSTVGSWDGTTQSFNDGDDDDEVEDQLDLPGRHGCGISCWSRSSRRSTPKYRAGYGSCYSPSLSDTLRRKGSSIFCGSQTVYQRRRRRSSLDYNKRRHGSRAVAQGLIPLLTNGDDGPGGSSMGTGISDDELSTNFGELDLEALSRLDGRRWSTSCKSQDGLELVAFNGEEEEEEGSPENIRSLCQKYRPTFFEELIGQTIVVQSLMNAISRGRIAPVYLLQGPRGTGKTSTARIFAAALNCLATEETKPCGVCRECADFISGKCKNVREVDGTNKKGIDKVKYLLKSLSVAHQSTSSGYKVFVIDECHLLPSKTWLAFLKFLEEPPPRVVFVFITTDLDNVPRAILSRCQKYLFNKIRDNDIVIRLKKIVDDENLDVEPEALELIALNADGSLRDAETMLDQLSLLGKRITTSLVNDLVGVVSDEKLLELLELAMSSDTAETVKRARELLDSGIDPIVLMSQLATLIMDIIAGTHPSIDAKPTDSSFVGKSLSELEVDRLKHALKLLSDAEKQLRVSSERSTWFTATLLQLGSVPSLDQTHSGSSRRQSSKATEEDPSTSTFREVVSRKQQKGDSLYAPCKLGSPSSFAKGSHRISSSKDLGYAKITQSKLISGESLASQDELKLGKTVPRSLNTNMLDDIWVRCIEKCHSNTLKQLLHTCGTLVSMSEIDSVFVAHIAFRDNEIKTRAERFLSSITNSFENVLRRNVDVRLVLLPEEENSASSTKLIALQDSSPKQMDTSSIINKETTICSNALGEYSGLDAHHEPLHASSGSFNDRDGKLVGGFESTSGNAKINSSKERISEIPVQRIESIIHEQRLETAWLQAMEKGTPGSLNRLKPERNQVLPQDGIYHDNQLESMASLDLSSQHWQDELKDELKNLKMSDEINPKDPNNKMADYPISPSLLHDTSYAVNIGKETMGYESGSGAYGCSGFLCWNHTKPQRRGKIKGTPVRPNRNGRISWFGECAKGRTENNRYRR >itb15g16530.t2 pep chromosome:ASM357664v1:15:16169349:16175669:1 gene:itb15g16530 transcript:itb15g16530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMRRRSYGGGGGGNSFDPSNLHLKRELTQIKKAAKALRDPGTTSSWRSPLGSARSTLAVGAATETTLQPTFRIERNGNPDTHTNTNAKEKEKEKDKEKEKKVFLHNWRSQKSESERSRRRRGGKGEDCLGNGNGNGSSSTPEESIEDSLSDARNGGNDSKSDTYAGDRYASMILKCKDANFMPSIRRNIKKKSKKNNFSTAILRHNSDKLQQQMASSRIPRRVLEGLPGLGLGLGGDDSASLVDQSEDTEDYSNAEDFRRFSAASPLLARLRSKNWAYSSSKFRNSRRRREDSSYTYSTPALSTSSYNRYGVRNPSTVGSWDGTTQSFNDGDDDDEVEDQLDLPGRHGCGISCWSRSSRRSTPKYRAGYGSCYSPSLSDTLRRKGSSIFCGSQTVYQRRRRRSSLDYNKRRHGSRAVAQGLIPLLTNGDDGPGGSSMGTGISDDELSTNFGELDLEALSRLDGRRWSTSCKSQDGLELVAFNGEEEEEEGSPENIRSLCQKYRPTFFEELIGQTIVVQSLMNAISRGRIAPVYLLQGPRGTGKTSTARIFAAALNCLATEETKPCGVCRECADFISGKCKNVREVDGTNKKGIDKVKYLLKSLSVAHQSTSSGYKVFVIDECHLLPSKTWLAFLKFLEEPPPRVVFVFITTDLDNVPRAILSRCQKYLFNKIRDNDIVIRLKKIVDDENLDVEPEALELIALNADGSLRDAETMLDQLSLLGKRITTSLVNDLVGVVSDEKLLELLELAMSSDTAETVKRARELLDSGIDPIVLMSQLATLIMDIIAGTHPSIDAKPTDSSFVGKSLSELEVDRLKHALKLLSDAEKQLRVSSERSTWFTATLLQLGSVPSLDQTHSGSSRRQSSKATEEDPSTSTFREVVSRKQQKGDSLYAPCKLGSPSSFAKGSHRISSSKDLGYAKITQSKLISGESLASQDELKLGKTVPRSLNTNMLDDIWVRCIEKCHSNTLKQLLHTCGTLVSMSEIDSVFVAHIAFRDNEIKTRAERFLSSITNSFENVLRRNVDVRLVLLPEEENSASSTKLIALQDSSPKQMDTSSIINKETTICSNALGEYSGLDAHHEPLHASSGSFNDRDGKLVGGFESTSGNAKINSSKERISEIPVQRIESIIHEQRLETAWLQAMEKGTPGSLNRLKPERNQVLPQDGIYHDNQLESMASLDLSSQHWQDELKDELKNLKMSDEINPKDPNNKMADYPISPSLLHDTSYAVNIGKETMGYESGSGAYGCSGFLCWNHTKPQRRGKIKGTPVRPNRNGRISWFGECAKGRTENNRYRR >itb11g15440.t1 pep chromosome:ASM357664v1:11:13057698:13063363:-1 gene:itb11g15440 transcript:itb11g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRNEEERLGGEEDDESKLESTEQVFEKVEIPSWKNQLTLRALFTSLVLSAVFNFIVCKLNLTTGVIPSLNVAAGLLGFAVIKSWTAVLHKAGLLKQPFTRQENTVIQTCVVASSGIAFSSGTASYMLGMSPTIAAKAEEGNTPNNVKTLSIGWMLAFLYVVSFVGLFSIVPLRKMMILKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVAALFKCFSFSFLFGGFQWFFAADDGCGFSSFPTFGLKAYAQRFYFDFSSTYVGVGMLCPIMVNVSLLIGAIISWGIMWPIIETKEGIWYPPNLSSSSLKGIQGYRVFLSIATMLGDGLFNFFYMILVTGLSFMKRRRSEKNDPASLLPVDDAINKDKGTQLQDLDDQRRTHHFMKDSIPNAVAVGGYIALAALAIIAVPFIFPPLKWYHILVAYAIAPVLAFCNAYGCGLTDWSLASNYGKIAILIFSSWVGQDNGGVLAGLAACGVMMSIVSTASDLMQDFKTGYMTLASPLAMFFSQVAGTFIGCTLSPLVFWIFYKAYPLGDTHSAYPAPYAALYRAISLLGVEGFGSLPTNCLSLAIGFFVGAVAIDVLKEVLKKYETKYRAYRFIPNPMCMAIPFYLGGYFAIDMCVGSLILFVWRWKNKQQAKDFGPAVASGLICGESLSGIPAAILALAGIKAPICMKFLSAAANAKLENS >itb12g03470.t1 pep chromosome:ASM357664v1:12:2264213:2268636:1 gene:itb12g03470 transcript:itb12g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDDAVEDGARKPLLHKGSWYRKGSRQGSMLGSTAQLVRDSISVYLCVLIAALGPIQFGFTCGYSNPTQDEIIHDLKLTISEFSMFGSLANVGAMVGAIASGQMSDYIGRKGVPVYIAEIAPQNIRGLLVAIAQLFLTVGIMLVYLLGLFVPWRVLAVIGMIPCTVLIPGLFFIPESPRWLAKMGKNTDFETSLQVLRGFDTDISSEVNDIKKSVASASKSSSTVRFSELKKRRYSLPLMIGIGLLTLQQLSGINGVLFYSSKIFASAGVSSSKAATFALGAIQISAPTMAAGSILVSAAFFLKVGPCSILSISSVFCRATEVVQILVIGFALGLGGVPWIIMSEILPVNVKSLGGSVATLSNWLAAWIVTMTANLLMDWSKGGTFALYALVSVFTVVFVKLWVPETKGKTLEEIQASFR >itb02g20760.t1 pep chromosome:ASM357664v1:2:18995351:18997696:-1 gene:itb02g20760 transcript:itb02g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDICKEISGPKYDFKTSLILYQFSRKFEISPPKFQFLDCLRLLSVLGFCTSASFSHYHSLSSLRSEVSRSTMASPAVVAAPVAAGSSSKTETFVDNKRKDDIRMANIAAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKFLVELSKSQDVVAGDGTTTVVVIAGALLKQCLSLLSAGIHPTIVSESLHKASIKAVEVLTAMAIPVELSDRDSLIKSASTALNSKVVSQYSTLLAPLAVDSVLSVVDPAKPDLVDLRDIKIVKKLGGTVDDTEMVKGLVFDKKVSHASGGLTRVEKAKIAVIQFQISPPKTDIEQSIVISDYTQMDRILKEERNYILGIIKKIKATGCNVLLIQKSILRDAVTDLSLHYLSKAKIMVIKDVERDEIEFITKTLNCLPIANVEHFRAEKLGHADLVEEVSLGDGGKIVKITGIQDMGRTASVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVKSFAEALEVIPYTLAENAGLNPITIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >itb03g17620.t1 pep chromosome:ASM357664v1:3:16164471:16167471:1 gene:itb03g17620 transcript:itb03g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQEHDKNIEIWKMKKLIKALESARGNGTSMISLIIPPGDQISRVTKMLAEEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTFDFAPFKPINASLYLCDNKFHTEPLGELLESDEKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKFFEEISQDTGKYVFGVDDTIKALEMGAVETLIVWENLDINRYMLKNSVTNEIIVKHFNKDQETNHSNFKDPATSAELEVQDKMPLLEWFANEYRNFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRDFDEPSDEGELYEDSD >itb04g00110.t1 pep chromosome:ASM357664v1:4:84451:86142:-1 gene:itb04g00110 transcript:itb04g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPYRSKPSRRGRTNLASCVVATVFLLFVAAIIVTVYFFVFKPKSPRIAVDAVRFPTFSISNGTVNFTFLQYVTVTNPNRDQFTHYDSSLQLSYSGQPVGVVLIPAGKIDAGRTQHMSAKFNVQSYPLPSALKAAGIVSSSQLAAAASGGGMGMGPTMVIETRMKLVGRVRVLKVLTHRVVSKVRCGVVIQVSSGSVLGIHC >itb15g08440.t1 pep chromosome:ASM357664v1:15:5909643:5910850:-1 gene:itb15g08440 transcript:itb15g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCPPLRSAIRSSHRLPHTPGGLRESNSVREELPASSVAPADGSSNVAGNHDGGCSSDLWLGDGRPIRGSIIFLLASKLFSLLRCWLPSCSPFCAVGFQAVGFQVVLTSNLLASKLFSLPSCWLSSCSPIQAVAYPRELRTAAKAG >itb13g14580.t1 pep chromosome:ASM357664v1:13:21198671:21206065:1 gene:itb13g14580 transcript:itb13g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNCDEQSEKNSDQQSERNSDEKSEKNCDKESEKDCEHREIRERERDMEFAAAATEKHEIVIIGGGICGLATALALHRKGLKSIVLERSETLRAVGGGIGLLPNAWRALDQLGVGSRLRSMAVLLQGGRDISLDENKERKIEHVIGESRCVKRSDLITVLADELPIGTIRLGSEVVSIDLDSVTEMSRLLLTSGSYIDAEIVIGCEGGRSKVAELLGLKAPRAFDIGVFRGLTTYPNAHSMPHEFRRTTKGKIGVGMLPITQHLINWFVALPTHLLSGDKFPHDPKHIKQMTLELIKDFPSSIQETIELSDLDSLSTAHLRYRAPWDLLLGTMRKGTVTVAGDAMHVMGPFLGQGGAAGLEDAVVLGRCLDMAMSGIEGNNKKEKIAKIEAGLDQYVKERRMRVLGLSTLTYLIGIIVGSTSPIVKMVVGLAIAILFRDRAFHVQYDCGKL >itb07g02380.t1 pep chromosome:ASM357664v1:7:1519420:1520182:1 gene:itb07g02380 transcript:itb07g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGYDISSKWFNYEKKNSNNISINLNPTWYTGNFLGFAIYCILPSKPHIWESNEGDLFEHCAIIAKLVHKEDEKQSLQTKCVIAKSVENVGARERARMCFTYIPFCGLWAESKTTKGFSPNDYSTFEASLDPEISSHWRFGLLYKDDGSDHDAIGEEIKNDDYEEESDA >itb05g27980.t1 pep chromosome:ASM357664v1:5:31156237:31168242:1 gene:itb05g27980 transcript:itb05g27980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGCHCISLSLPYNCPSLQNANQSAYNLTTGNHHNRFFLLPYPFLAESAYAILLKEPSTYHNQSPQFQPSRSFNLRRSSRGRSNTQLHSCGQCSFDAIAMESIEECNDNINFITGGKEEAAAGRSSAIFSELKLYCIQLLELHQNRVKSTSAVSQLLQLLRRSPHEALQPFFDYTLFPLLLLLDAAVDCRSKPNEDSRGRSIISNFPETPLKVGDILVEGVLNCLEELLKKCHLASVDQLVVLLKKLTRGALLSPLEASEEFREGVIRCFKALLLNLRPCSDDSCPCKKISSWPVLLEVESLQLPPFSKCDLKQEECLLAFLQSETASAAVGHWLSLLLKAADIEAGRELRGSATIRIECFTTLRMLIAKVGDGDALAFFLPGVVSQIGKVLHASKTMISGAAGSAKALEEAIRGLAEFLMIVLKDKSNLSSITVPFDDVPDFHSDSEKSPLLLLQKLRHLPNQMQDHGNVVIKDLTEADDGGIPVCDSSERGSINAGNMPHSLRVYRTKQWITDTSSHVDKLLSATFPHLCMHSSKRVRQGLLAAIQGLLTKCCYTLKSSRLMLLECLCILVCDDSEDVSLAAQAFFRYLHSSHGKHHIKHDFTQIFRRLIEKLPKVILENEESLAVSHARKLFVVIYFSGPQLVTDYLLQSPVTAAKFLDVFALCMSQNSVFAGSLEKRIITRNSSTGGYMRSIAEMKAIIDCNPEHFEFSESRKTKAQYSAESISDEYELPRMPPWFVHVGSQKLYHALAGILRLVGLSLFADSQSEGGLSIIMDVPLENLRKLISEVRMREYNNESWHSWYKRTASGLVIRRASTAACVLNEMLFGLSEQAIHNFSKMFSKSSVEWQELKHNEDGNQPGNVDDVVSIDLVWRICLDKGSRSQVVDYVGCVLHEYLSSEIWSLPIDGLFISSAFLLVDSVCSILILVLFFSNRSASDAVLHIIAAMHEYETVGHLVLENSDYIIDSVCRELRHLDLNPNVPNILAAMLSYVGVAHKILPLLEEPMRAVSTELEILQRHRHPDLTISFLKAVAEIVKASKHEASSLPDQSLSFCKNVKSKMFDLEKKTGRFSNETRPFSDDEVDMDSREPGIIIHSNDPDMQIEEWESMLFKLNDSRRYRRTVGSMAGSCLIAATPLLSSAEHIPCLLALDIVEDGIFVIAKVEEAYKHEKESKEAIEHAFSLCSFHNLGDTLDDDETVENRLLPAANKIWPFLVSCVRNKNPLGVRRCMRAISNIVQICGGDFFSRRFHTDGVHLWNLLSTSPFQRRPLSKEKMPLLQLPYRGCKSSNSEDSSVAEASDVKVQVAVLSMLAELSKNRRSASALEAVLKKVCGLVVGVACSGVKGVQEAAINALSGLARMDPDLVWLLVADVYYSKKKDVVSPPNMEFPAISQILPPPSSDKQYLYVLYGGQSYGFDIDVNSVETVFRTLNSQVFTAQAQMYS >itb12g25250.t1 pep chromosome:ASM357664v1:12:26525998:26527221:1 gene:itb12g25250 transcript:itb12g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMERKEIIRPVIDNPFLNIVELPGDTVKRDPEPLNAPNTDLHGSSPVLSKDVGLDPHKGTWQRLYIPKDVIINKKKLPLIVYYHGGGFVFNHANSSLYDVFCQGLVEKVGVMVISLEYRLAPENRLPAAYEDAVDGLRWVSSTHDEWVRNFADLDNCYLSGTSAGGNLAYFAGLRAAAADELKPLNIKGMILHHPYFSGNTRSESEERLKNDSILPLYAIDQMYGLCLPEGAGGDHEFRNPGVDGGSKHLEDIKRLGWRVLVTGCSDDPLVDAGKDCVKLLEGKGIPTTASFGEGYHAMEVFDHSMSGPLYHVIKNFIS >itb08g09270.t1 pep chromosome:ASM357664v1:8:8507756:8511627:1 gene:itb08g09270 transcript:itb08g09270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVVFISLLFLGFSCLRGVCGNAELIALLEIKAALDPENKHLSSWTSDGDPCGGSFEGVACNELNKVGNISLQSRGLAGKLPPAVAQLKCLSGLYLHYNELNGEIPREIANLTELTDLYLNVNNFSGNIPPEIGSMPSLKVLQLSCNQLTGSIPTEFGFLKDLGVLALEYNRLTGLIPASLGNLRMLKRVYLAFNHLSGPIPTRLATAPQLEFLDVQNNTLSGAAPPGLKRLNEGFHGGNNPGLCGVGFPLLRACTRWDDVNINEGEPFMPKSSNNTSPESIPESANFHLHCNESHCSSSSSSKFRELGIIVGAMSLVVTLLVIGAVIMFRSRRRKQKVGNTSEATDDRLSADPGKELCRRSPSTLMNVEYSDRWDPMSPDCCSLGNEFLLGFKYNLEEVESATQHFSEKNLLGKSKFSAVYRGILKDGSVVAIKSINVTACKSEESEFMKGLSLLTSLKHDNLVNLRGFCCSKGRGECFLIYNFASNGTLALYLDLEEGNKRILNWPTRVSIIKGIAKGIGYLHSSEANKPTIIHQNISVEKILLDGQFTPLILDCGLLKLFAEDVVYSALKVSAALGYMAPEYITTGRFTEKSDVYAFGVIILQVLSGKCILNSSMRQAAESCNFTDFIDLNLKGRFFETEAAKLTKIALDCTDELPENRPAMAEVIEELNKPSQSSD >itb14g07400.t1 pep chromosome:ASM357664v1:14:6787321:6806003:1 gene:itb14g07400 transcript:itb14g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGNEHELMLACVVPGTLFSVLGSASFAILWAVNWRPWRIYSWIFARKWPELLQGPQLGIICSFISLVAWLIVISPIVVLIMWGSWLIIILGRDIIGLAVIMAGIALLLAFYSIMLWWRTQWQSSRAVAVLLLLAVGLLCAYELCAVYVTAGVSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPIACLQEPPDPNELYPRQTRRALHLGLLYLGSLLVLLVYSILYGLTAKESHWLGAITSAAIIILDWNIGACLYGFQLLKNRVVALFVAGASRVFLICFGVHYWYLGHCTSYAVVATVLLGAAVSRHLSATDPSVARRDALQGTVIRLREGFRRKDQNSSASSSEGCGSSVKRSSSADAGHLGNTTVPCTGDVSSWNNIEGVNSDKSMDSGRPSLALRSSSCRSVVQEPEVGSSFVDKNFDHNSLLVCSSSGMESQGCESSASTSANQQILDLNLAFAFQEKLNDPRITSMLKRKARQGDLELTSLLQDKGLDPNFAVMLKENGLDPMILALLQRSSLDADRDHRDNTNVTVIDSNSVDHVLPNQISFSEELRLQGLGNWLQFCRIILHHIVGTPERAWLLFSLVFILETVIVGVFRPKTIKLINATHQQFEFGIVVLLLSPVICSILAFLRSLQAEELAMTSKSRKYGFIAWMLSTCVGLLLSFLSKSSVLLGLSLMVPLMVACLSFAIPIWIRNGYQFWVSRVENEGGAGNHQTLGVKEGILLFALMSFFAGSVVALGAIVSAKPLDDLGYRGLTGDQNGVTSPYASSVYIGWAMASAIALLVSGLLPIISWFATYRFSLSSGISIGIFAAVLVAFCGASYLKVVSSRIDQVPTQEDFLAALLPLICIPAVLSLSSGLFKWKDDNWKLSRGAYIFITIGLLLLLGAISAIIVTVHPWTIGAAFLLVLLLLVLAIGVIHYWASNNFYLTRVQMLVVCFLAFLLALAAFLVGRFQDKAFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMVEDAVHFLSKETVVQAIARSATKTRNALAGTYSAPQRSASSAALLVGDPTIIRDKGGNFVLPRADVMKLRDRLRNEELAAGSFFSRLRNRTLLHEATSDVGHRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSAKDIKKWVPEERRRFELVQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGNREAAALAAAVRAVGGDSVLDDSFARERVSSIARRIRAAQLSRRALQTGLSGAVCILDDEPTTSGRHCGPIDLSVCHSQKISISIAVMVQLESGPVCLLGSELQKKICWEFLVAGSEQGIEAGQVGLRLITKGDRQTTVSKEWSIGASNIADGRWHIVTMTVDADLGEVTCFLDGNYDGYQTGLPLHVGSCIWEQGTEVWVGIRPPIDVDAFGRSDSEAADSKMQIMDVFLWGRCLTEDEIATLPGAMGSAEYNMINLPDDNWQWAESPTRVDDWESDPADVDLYDRDDVDWDGQYSSGRRRRSERDGVVLDVDSFTRRLRKPSMETQEEMNQRMLSVEMAVKEALLARGESQFTDQEFPPSDRSLFVDPDNPPSKLQVVAAWMRPIEIVREKRLVSSPCMFSGAANSSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQAKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDTWHQNPQFRLRARGADASLPIHVFITLTQGVSFSRTTAGFRNFQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >itb14g07400.t2 pep chromosome:ASM357664v1:14:6787321:6805282:1 gene:itb14g07400 transcript:itb14g07400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGNEHELMLACVVPGTLFSVLGSASFAILWAVNWRPWRIYSWIFARKWPELLQGPQLGIICSFISLVAWLIVISPIVVLIMWGSWLIIILGRDIIGLAVIMAGIALLLAFYSIMLWWRTQWQSSRAVAVLLLLAVGLLCAYELCAVYVTAGVSASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPIACLQEPPDPNELYPRQTRRALHLGLLYLGSLLVLLVYSILYGLTAKESHWLGAITSAAIIILDWNIGACLYGFQLLKNRVVALFVAGASRVFLICFGVHYWYLGHCTSYAVVATVLLGAAVSRHLSATDPSVARRDALQGTVIRLREGFRRKDQNSSASSSEGCGSSVKRSSSADAGHLGNTTVPCTGDVSSWNNIEGVNSDKSMDSGRPSLALRSSSCRSVVQEPEVGSSFVDKNFDHNSLLVCSSSGMESQGCESSASTSANQQILDLNLAFAFQEKLNDPRITSMLKRKARQGDLELTSLLQDKGLDPNFAVMLKENGLDPMILALLQRSSLDADRDHRDNTNVTVIDSNSVDHVLPNQISFSEELRLQGLGNWLQFCRIILHHIVGTPERAWLLFSLVFILETVIVGVFRPKTIKLINATHQQFEFGIVVLLLSPVICSILAFLRSLQAEELAMTSKSRKYGFIAWMLSTCVGLLLSFLSKSSVLLGLSLMVPLMVACLSFAIPIWIRNGYQFWVSRVENEGGAGNHQTLGVKEGILLFALMSFFAGSVVALGAIVSAKPLDDLGYRGLTGDQNGVTSPYASSVYIGWAMASAIALLVSGLLPIISWFATYRFSLSSGISIGIFAAVLVAFCGASYLKVVSSRIDQVPTQEDFLAALLPLICIPAVLSLSSGLFKWKDDNWKLSRGAYIFITIGLLLLLGAISAIIVTVHPWTIGAAFLLVLLLLVLAIGVIHYWASNNFYLTRVQMLVVCFLAFLLALAAFLVGRFQDKAFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMVEDAVHFLSKETVVQAIARSATKTRNALAGTYSAPQRSASSAALLVGDPTIIRDKGGNFVLPRADVMKLRDRLRNEELAAGSFFSRLRNRTLLHEATSDVGHRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSAKDIKKWVPEERRRFELVQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGNREAAALAAAVRAVGGDSVLDDSFARERVSSIARRIRAAQLSRRALQTGLSGAVCILDDEPTTSGRHCGPIDLSVCHSQKISISIAVMVQLESGPVCLLGSELQKKICWEFLVAGSEQGIEAGQVGLRLITKGDRQTTVSKEWSIGASNIADGRWHIVTMTVDADLGEVTCFLDGNYDGYQTGLPLHVGSCIWEQGTEVWVGIRPPIDVDAFGRSDSEAADSKMQIMDVFLWGRCLTEDEIATLPGAMGSAEYNMINLPDDNWQWAESPTRVDDWESDPADVDLYDRDDVDWDGQYSSGRRRRSERDGVVLDVDSFTRRLRKPSMETQEEMNQRMLSVEMAVKEALLARGESQFTDQEFPPSDRSLFVDPDNPPSKLQVVAAWMRPIEIVREKRLVSSPCMFSGAANSSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQAKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDTWHQNPQFRLRARGADASLPIHVFITLTQGVSFSRTTAGFRNFQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >itb04g06360.t1 pep chromosome:ASM357664v1:4:4123016:4126103:-1 gene:itb04g06360 transcript:itb04g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKNNSISYNVSFLHFYLQWRTGFLLPFVLLIFFFPPPCSLASLNGLSRDSKQLLLFKDRIFNQKPFQNWVSSTSPCSFTGVTCKGSRVSSVDLTNTMLSVDFGLVSSYLLGLENLESLVLKNTSLSGNNIPELMSFKVLSYLDLSANNFSGNFPSFRDCSSLKHLDLSSNKFSGNIGASLSSCSNLGFLDLSNNKFSGELPVETLLNMTSLKTLVLSFNNFVGGLSQSFSSLVNLETLDLSSNNISGLIPSGMCKDPKNTLKVLYLQNNLFTGPIPERLSNCSQLESLDLSFNYLNGKIPSSLGSLLKLKDLMIWLNRLEGEIPGELMHLQYLETLILDFNDLSGSIPETLSNCTNLKWISLSNNFLSGAIPASFGRLSNLAILKLGNNSISGNIPAELGNCSSLLWLDLNTNFLNGPIPPYLFKQSGNIALPLLSVKHFLYIWNDTSEQCHTTGNLLEFGGITEDRLDRISARQPCRFTVYKDIISQPSFSHNGSMVFLDLSYNMLEGNIPKELGSLYYLLVLNLGHNHLSGLIPQELGGLKNARLLYLSHNRLNGTIPQTLTSLTLLGYIDLSNNLLVGTIPHSDLFDTYSREFFANNSGLFDYIHPEYGLESNSSSNSSYKPGGSEKNYYIIIPCAGIAVVIGLVILLLVLRCGGERRVESDGEEWSMISFQRLEFNKWDILGGLKDENLIGNGGSGKVYRVITRKDQRVAVKSIWHEQKQGQGLMEKQFLAEVKILGGIRHNNIVKLLCCVRGKTTKVLVYEYMEKQCVHKWLHGKKRRLTTQVLQWETRLKIAIGAAQGLCYMHHGCNPPIVHRDIKSSNILVDSDFNAKIADFGLAKMLASQGDPETVSAVVGTFGYIAPEYGSTRKVNVKSDVYSYGVVLLELTTGREAVTVNADMNLAQWAHKHQRKGNSAVDALDEEIKDPQHLKAATTVFKLGLACTLSSPLNRPSMKDILKILQRCHKKNHLSSKEAIDSCSLFNVSIVPMDQFGDKN >itb01g09110.t2 pep chromosome:ASM357664v1:1:7318628:7320176:1 gene:itb01g09110 transcript:itb01g09110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAAVSSVAAKFILSSSERSSSSALHLEVKEGMESDDEIRRVPEMGGEGGAAPAASSRDGVSAAGTAQPSGAAQKKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLTDLEAKVKELETKNAELEERLSTLQNENQMLRHVCNTMLMLACFLPVMS >itb01g09110.t1 pep chromosome:ASM357664v1:1:7318628:7321522:1 gene:itb01g09110 transcript:itb01g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQAAVSSVAAKFILSSSERSSSSALHLEVKEGMESDDEIRRVPEMGGEGGAAPAASSRDGVSAAGTAQPSGAAQKKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLTDLEAKVKELETKNAELEERLSTLQNENQMLRHILKNTTAGSQEARK >itb15g00180.t1 pep chromosome:ASM357664v1:15:121271:121969:1 gene:itb15g00180 transcript:itb15g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGAAFHFLKGIYNSPKGERLVGATQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYIRQKEDPWNSIIAGAATGGFLSMRQGLGPASRSALFGGVLLALIEGAGIMLNKMMSVPQNLPPMEEPLPNMAGAPGYPMGQLPGQQIGQLPGQPPVSMEGIGAESSASSSSWFGGFFGGGNKQETDSDSGSKTKVLESFDAPNAPTFEYK >itb04g22570.t1 pep chromosome:ASM357664v1:4:27831346:27835533:-1 gene:itb04g22570 transcript:itb04g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQVGLLGKLHSSLFRECRRSMTTSKRVQDRSKKKRVHDLEIVVEKHKILSKILFILETLKQESEQIIPVRSLDQYRRQINLPKPHKFSVFLRKCPKLFELYKDQRGILWCGMTKEAENLLQEEEELIEKNSDKAAEFVTRMLMMSIGKRISLDKIVHFRRDIGLPLDFRSHWVHKYPDYFRVVRPFVPYDEGEYLELVNWKSSWAITELEKKVMGVSKAPEDYVPGFLSLSFPMKFPPNYKKLSKYRGQIENYYKKLSKYRGQIENFQNREYLSPYADASGLKAGSQEFDKRAVAVIHELLSFDKRAVAVIHELLSLMIEKRLVTDHLTHFRREFVMPQKLMRLLLKHFGIFYVSERGRRFTVFLNEPYEGSELVEKHPLVVWKEKVLSLVGYRKKKDKEETLEDLQERDTDLFEIDSEDYSVQLAHENEEEEMSGLESDSIESDSEMEIEEIYNAYKVSE >itb04g22570.t2 pep chromosome:ASM357664v1:4:27831346:27835533:-1 gene:itb04g22570 transcript:itb04g22570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQVGLLGKLHSSLFRECRRSMTTSKRVQDRSKKKRVHDLEIVVEKHKILSKILFILETLKQESEQIIPVRSLDQYRRQINLPKPHKFSVFLRKCPKLFELYKDQRGILWCGMTKEAENLLQEEEELIEKNSDKAAEFVTRMLMMSIGKRISLDKIVHFRRDIGLPLDFRSHWVHKYPDYFRVVRPFVPYDEGEYLELVNWKSSWAITELEKKVMGVSKAPEDYVPGFLSLSFPMKFPPNYKKLSKYRGQIENYYKKLSKYRGQIENFQNREYLSPYADASGLKAGSQEFDKRAVAVIHELLSFDKRAVAVIHELLSLMIEKRLVTDHLTHFRREFVMPQKLMRLLLKHFGIFYVSERGRRFTVFLNEPYEGSELVEKHPLVVWKEKVLSLVGYRKKKDKEETLEDLQERDTDLFEIDSEDYSVQLAHENEEEEMSGLESDSIESDSEMEIEEIYNAYKVSE >itb13g01740.t1 pep chromosome:ASM357664v1:13:1574523:1578674:1 gene:itb13g01740 transcript:itb13g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVSVFPIKSLCFSRYELKSLTILPKLVLWDEGADCCRWPGLSCNAATGYITSLDLSYDYSIRGGFNVSLLHKLPSLSVIRLDGVNFSAPFPDLFTDFTNLTVLTLADCDFSGTVPQKVFQVPTLQTIDLSYNFMLGGSLPDFPENGSLKSLTLRETMFSGNLPESIGNLRLLSHIDLAYCDFSGSIPVSIIKLSKLVELSLSQNSFSGPIPASLFFLPSLQTLYLDGNKLSGHINELRNVTSPLEYLDLSRNNLEGTIPLFFFHLQNLTSLDLSSNKFNSIVHLTKFKSQYIETLDFSNNNLVIETTISTSKLPLLPQFGYLNLASCNLQKIPDILKSQSKLWWLDLSNNTISGEIPNWIWGICNGQFSRLNLSHNSLTHMKEPMEYGSLYSLDLNSNMLSGQIPRPPPWAMYLDFSNNNFSMIPLDFADQIPYFLQFFSIAKNRVSGKISTSWCRAAYLKVLDLSYNALHGTIPSCLVQNNSNLVVVNLRGNHLSGEISLKFQQSCSLDALDLSQNLLEGKVPPSLINCTELRILNLGNNKISAELRILNLGNNKISDTFPCWLNKLSNLQILVLHSNHFHGSVSCPMLGVNNSWPSLQVIDLSSNNFSGHLPTDLFLALKAILVERNELNSKADNLHFTSFPEVWIYYQDSVILSIKGQRYTIEKVLSTFTSIDYTIEKVLSTFTSIDFSTSPIPESEEKESTHHVDIYISVALGFVAAKRVREAPSIGCSSQLPNDDDDFVEDPAVGVQRMH >itb08g04340.t1 pep chromosome:ASM357664v1:8:3457688:3461714:-1 gene:itb08g04340 transcript:itb08g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAEWIGGGGGGGYIVRGKWCSYKRTTIILCSINILVALYVLHSLYTSLYMYPYNDSQTAFRYTPDQIRQMEESIRIRKESEPTELIKLVNQIKEEFLMEQKRVEVSEPMKLKIADEVIATLKGLDGSANATVKREAVENWRKETLKEAKKVMHGKTSNYSITPVEAGVLARALETDWFHLSDEIGLWIPVEVIHEEHDDKPEGAEFEIETVSGKPLPPECHAELHTDYDGAAVRWGLTHHKESAYDCCMACLDQAKQAKPGQKKCNVWVYCPSEAGCYSPDKYEHKNHECWLKYSEKPRLNFKDQYSESYRNAHPNAPLIVPWMSGVVTVS >itb13g06350.t1 pep chromosome:ASM357664v1:13:7645275:7645583:-1 gene:itb13g06350 transcript:itb13g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFIKTVGVCFKARAESEGCLLYLKLGYSHDVELTVPPAVCLFCFKPNVICCIGIDKQRTHQLVVAIRNCKPPKVYKGKGIIYINEVIKKKYGKKSK >itb07g17760.t1 pep chromosome:ASM357664v1:7:22052466:22056246:1 gene:itb07g17760 transcript:itb07g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDGDVGSEEVSTDKVNDIQSIRTASSDGDLQAASKNSPDSPSPRKSRLFGRQKPVHAALGGGKSADIMLWRNKQISAGALASATVIWLLFEWIGYHLLTFICHCLILLLAILFLWSNLSSFVNKTPLDFPEFVLPEDLCRRAALAVRDKCHWAIGIFREVASGKDLKKFLYAIMGLWILSAIGSWFDFLTLVYIIFIMLLTVPIFYEKHEDQVEAYAHKARKELKRQYSHLDEKLLQKLPKVNFEESTAATAVLTQGLDFQPRLLYFSVWRSRQWQGLEAVKWRRKPMRRKIVDGPGGERAEIQRR >itb12g02570.t1 pep chromosome:ASM357664v1:12:1678135:1684859:-1 gene:itb12g02570 transcript:itb12g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHQNNETGLILLVLHVFLIIVICWQVPAANAKKVETVYIFGDSTMDVGTNNHLKGSLATANNPYYGIDYPNSKSTGRFSNGYNTADYIVNMFGDYKESPPPFLSLIKDKCNFTQEIQRGVNFASGGSGILDDTGFKHFNVVIPLGKQIKQFATVCDDITKAIGQAKAQHLLQNAFYLLSVGSNDLFDYKKYGSNLTTPDFIDHLGGFLASHLENMSSSFKGLHYSLGNSYNMTMPVIDPKSKAVFIHSHHRIEPFLPAMVFLFWDRPSPEDQKKCIGKCGSFNYDSEYRGATAKTALSLQQDRELAKKGYFVNHARVPVGSGFKTFEKGKSALESWRHFSFDWTFVDPKTRIGVGEKFCVCVKEFFPWLVMPLQVVYVNETKKKGKGMASFGFGSGTLQGHLLAGEERFSIALDENDQVWYEILSISKPAHFLSLIGYPYVLLRQKYFAHQSSNAVRKYLAP >itb06g12750.t1 pep chromosome:ASM357664v1:6:17336833:17337594:-1 gene:itb06g12750 transcript:itb06g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRGRQRIEMVKIQKKSSLEVTFSKRRSGLFKKASVLSTLCGADVAIIVFSPAGNKVFSFGHPTVEAVLERFLGENNPSPVNETGGGALATEQIIEAHRNARVQELNMELTRLEAIFELEKKRGEAIDGFVEANREAHGWMRGSYDDLSFQQLLTLKSGMENLMKEIQQKAHHQLMAVHGNGTLFNTYASGNMVSGDPTSEFNFGTSGGAPRALPFTSGVPGAHPFTSGGAAATTSAFPWFYFHGGKWV >itb07g21800.t1 pep chromosome:ASM357664v1:7:26341687:26342103:1 gene:itb07g21800 transcript:itb07g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGVKWRMETKGRSRIEPKSRKSDLYEIDYANRIGLSVRSKSSGANWILKEHKYRMYDLLEVPTTMGEVLEVYVEVHPLQKIICVAEIHRNREGEVRFFPSTRGCIPSYNMEDGERKRKRKVQIGRGIFFQSQGD >itb10g10250.t3 pep chromosome:ASM357664v1:10:14483701:14490457:1 gene:itb10g10250 transcript:itb10g10250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTYSSSMATSNNREGFSSAAVANDEGALSVISGLAKDAALLFQSGKFLDCIRVLLQLQQKKAGDPKVLHNIAIAKSFQDEHSYPKSLIEELNEVKRLCNDHAGADGDHSESTGNVGRKSAAGIKGNNIMSNQYSTLHSSPVLIDEFDTSMTMYNLAVSWFHLHEYAKAFSTLDALFQNIEPIDEVIAKHICFLLLDVALLSQNARRYVSVARFVFEGKGLRWKIGLLEYCPSYPGKLFFRLEK >itb10g10250.t1 pep chromosome:ASM357664v1:10:14483701:14490457:1 gene:itb10g10250 transcript:itb10g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTYSSSMATSNNREGFSSAAVANDEGALSVISGLAKDAALLFQSGKFLDCIRVLLQLQQKKAGDPKVLHNIAIAKSFQDEHSYPKSLIEELNEVKRLCNDHAGADGDHSESTGNVGRKSAAGIKGNNIMSNQYSTLHSSPVLIDEFDTSMTMYNLAVSWFHLHEYAKAFSTLDALFQNIEPIDEVIAKHICFLLLDVALLSQNARRYVSVARFVFEGKGLRWKIGLLEYCPSYPGKLFFRLEK >itb10g10250.t2 pep chromosome:ASM357664v1:10:14483701:14490457:1 gene:itb10g10250 transcript:itb10g10250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTYSSSMATSNNREGFSSAAVANDEGALSVISGLAKDAALLFQSGKFLDCIRVLLQLQQKKAGDPKVSGFAFLHFRNLKLLAVRAGLLNVLHNIAIAKSFQDEHSYPKSLIEELNEVKRLCNDHAGADGDHSESTGNVGRKSAAGIKGNNIMSNQYSTLHSSPVLIDEFDTSMTMYNLAVSWFHLHEYAKAFSTLDALFQNIEPIDEVIAKHICFLLLDVALLSQNARRYVSVARFVFEGKGLRWKIGLLEYCPSYPGKLFFRLEK >itb10g20660.t1 pep chromosome:ASM357664v1:10:26137194:26139574:1 gene:itb10g20660 transcript:itb10g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKRPPAEDAERPPTVIMHQPASPRFPSGRPQRKVAIAVDLSDESAYPDEKDGGVGPTKSAEDRIPALHPVPEEEPIYHDASDKATDMENAS >itb02g10010.t1 pep chromosome:ASM357664v1:2:6447601:6449199:1 gene:itb02g10010 transcript:itb02g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAVDHRRLRCVFEEWIAQQQEDLEELSRAMESNLGSAELFGVVDKNVKHFEEYQEHRALLAEQNGGPPFLSPSWCTTFENAFMWIGGCRPSLSIRLVYSLCGSDLDSQLQGYLQGERTGSLADISAAQLNQINALQSKTVREEDKLSSRIATLQEDMADEPLAMIVNKSKKVGESSSDVERALDNHAVAMGRMVVEADKLRLRTLTELVKILTPVQAAHLLLVAKKLHLSIHEWSKKRDGGAAAADRQFNTTQDAAACSVSPG >itb11g18590.t1 pep chromosome:ASM357664v1:11:18958896:18961832:-1 gene:itb11g18590 transcript:itb11g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELPINMDMNLSMYYYLFPLFISILFLSRFLFSPRRDRKNSPPSPPKLPLLGNILQLGSLPHRSLQKLSTHYGPLMLLHFGSVPVLVASSPGAAREIMKDHDLAFSDRPANTMANRLLYGYKDVAFAPYGEYWRQVRSICVLHLLSNTRVQSFRNVREEETRLMVEKIRQLSSSGSLINLSDVFIELTNDIVCRVALGRKYSKEGGNGEKDFKMLLREFLELLGTFNVGDYIPWLAWVNRINGLNTRVEKAAKDLDEFIDGVVEEHIGLKKEEGDGLDFVNILLQIQREDKIGFPIHRDSIKALVLDMFSAGTHTVFTLLEWTMAELIKNPEVMKKLSSEVRRLKTSDDLETMQYLKAVIKETLRLHPPIPLLVPRKAIHDVKVMDFHVATGTQVIVNSWAIGRDPIVWENPEEFKPERFLNSNVNYKGMHFELIPFGAGRRSCPGVAFTANLVELALATLMHEFDFASTEGDLDMSEATGFTANKKIPLTVIATPRVD >itb03g23470.t1 pep chromosome:ASM357664v1:3:21644804:21649693:-1 gene:itb03g23470 transcript:itb03g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWSIFCGASGCTDQNGKPCVADLVFVTHPSSCIHNMLMICFDVILLLVLLFVVLFKSTLKPMSSRGIGISRLQLICAIFNGLVGVIYLSLGIWILEEEVRRTQSMLPMHWWLLMLFHGIAWLLLSLTVTLRGKYFSQTSLKLFTILALGFAGISCCFSLTIAIVHKEASVKIALDVLYVIGASLLLLCTYKGFRFSENELYAPLNGTTNGSNKIDHVGSVSKFAEAGTLSTMTFWWLNPLMRLGRAKTLEDNDIPKLREQDKAESCYLMFMELLNKQKQRDPLSQPSILRTLILCHQNELFVSGFFALLKIIMISAGPMILNAFIEVAEGNASFKNEGYILAVLFFIAKCLESLSQRQWYFRCRLIGLKVRSLLTAAIYKKQMRLSNAAKLTHSNGEIMNYVTVDAYRIGEFPFWLHQTWTTSLQLCFALAILFQAVGPATFASLVVIILTVLCNAPLAKLQHKFQSKLMDEQDERLKAMSEALVNMKVLKLYAWETHFKSVIENMRKVEEKWLSAVQMRKAYNSFLFWSSPVLVSTATFGACYFLGVPLRASNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVSFVRIAKFLGAPELDNANVRQKHIVKNVATFAICLRSANLSWEENPLKPTLQNINLEVRPGDKVAICGEVGSGKSTLLAAILGEVPSIQGIVEVYGKIAYVSQSAWIQTGTFRDNILFGSSMDSQRYQETLEKCSLIKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATNLFNIVLLVTHQVDFLPAVDIVLLMSDGEILQAASYQQLLASSKEFQELVAAHKETVGSKRLAEVTSLQRSKRSTREIGKTQLDKQTRDSIGDQLIKKEERELGDTGLKPYLQYLSQNKGYLFFSITVLSHIIFVIGQVLQNSWMAANVENSQVSTLKLIAVYLLIGVASVVFLLTRSLTTVTLGVQSSRSLFSQLLTSLFRAPMSFYDSIPLGRILSRVSIDLSIVDLDVPFNLIFAFGKAINFYSNLTVLAIVTWQVLFVSIPMVYLAIQLQRYYFSSAKELMRINGTTKSFVASHLAESIAGAMTIRAFKQEDRFFVKNLELVDVNASPFFYNFAANEWLIQRLETISATILASSALCMVLLPPGTFSSGFIGMALSYGLSLNTSLVNSIQNQCTIANYIISVERLKQYMHVPSEAPEILEKNRPPVNWPTRDSV >itb11g15110.t1 pep chromosome:ASM357664v1:11:12486959:12487441:-1 gene:itb11g15110 transcript:itb11g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSIFGARRSNIFDPFSLDLWDPFEGFPFSSAVANAPGGSEGETSAFANARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSREQEEKNDKWHRVERSSGKFLRRFRLPENVKMDEVKASMENGVLTVTVPKVEAKKPEIKAIDISA >itb06g14290.t1 pep chromosome:ASM357664v1:6:18868918:18873016:-1 gene:itb06g14290 transcript:itb06g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHSHSLYSNSHSQQQLAAPRADADAHAPDRVDDGSSDAAVFSRSAAGPNGGAKYKLMSPAKLPISRSACITIPPGLSPTSFLESPVLLSNVKAEPSPTTGSFSKLQTMQGFGSTGGFSFPRSNIYIESKSNNFEFEFPVGSYSTSESSSIRPKQNEPLKQAQDQCLPQSLAPSSLLESSIIPTSKELRISAPVAVHTSSVSTAPIESDELNQRGQSNPGNQTLNGDQPAAGAERSSEDGYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGQITEIVYKGSHDHPKPQPSRRFTPGSLTSVQEDKFEKESSFNVKEDKLNSNDFNGYPVLSPGRVDDDGHEGAASQLLVTNDDTDDDDPFSKRRKLDGCVDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCSVRKHVERASHDPKAVITTYEGKHNHDVPTARTSANHETGATPLGGASRVRPEENDAISLDLGVGIGYGTEHRPNGQLHSFAPETLQGQVHISNSGMMTVQPPPMVCYGTIHGGINRFGSTRQNMVQAPGFDTLPLQPANQCPQTLGRVLLGP >itb06g14290.t2 pep chromosome:ASM357664v1:6:18869059:18871890:-1 gene:itb06g14290 transcript:itb06g14290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFGSTGGFSFPRSNIYIESKSNNFEFEFPVGSYSTSESSSIRPKQNEPLKQAQDQCLPQSLAPSSLLESSIIPTSKELRISAPVAVHTSSVSTAPIESDELNQRGQSNPGNQTLNGDQPAAGAERSSEDGYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGQITEIVYKGSHDHPKPQPSRRFTPGSLTSVQEDKFEKESSFNVKEDKLNSNDFNGYPVLSPGRVDDDGHEGAASQLLVTNDDTDDDDPFSKRRKLDGCVDITPVVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCSVRKHVERASHDPKAVITTYEGKHNHDVPTARTSANHETGATPLGGASRVRPEENDAISLDLGVGIGYGTEHRPNGQLHSFAPETLQGQVHISNSGMMTVQPPPMVCYGTIHGGINRFGSTRQNMVQAPGFDTLPLQPANQCPQTLGRVLLGP >itb12g23870.t1 pep chromosome:ASM357664v1:12:25496077:25515081:-1 gene:itb12g23870 transcript:itb12g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVRELCVLGEFKPFGLVAEALDGKPSDNFSGDYSYSLFSPEVTKQREVADDFNAASPSSDRGDHELFVRGNRIIWSIGSRVYKRFTLPSPVIKACWCRMGDVSEAVLCVLQIDSLTIYNASGEVVSVPLPRSILSIWPLPCGLLIQQSAEGGSSSSPYLSPRDIVRSKRDVSPQHNTNTIHGIDFIVKGDGSSISSHLILKDPQEEPQSTYVEERGKLNVMREFDERTIWTGECVPLMASYNKAKMQHSLWVAEIGNSTVEVSNSKFSNVPSGVISKQFSFRKIWQGKVSQTAASKVFFASDDDASPIICFLLQDKKKLLSVWLQSEDINNEIVYDIKPDMSWSIPAIAAVPVSVTRPRVKVGALPFADIVALTPENILLLYSGKQCLCKYTLPPCWGKYHLPDKNIMEEKPVTHDLRVVGLADAVEECINVIMNNGQIYRCALRRFPSSSLTNDCITAMAEGLKSSFYHHFLLLLWNNGDSAYLSRKDATADSEWESFYNVIVQMCRGSTYASKVPSDLTSCSSWEFLINSRYHKQYCKNYLIAGVPQPASSNWRGFDASGLFEDSTQKSRKAFYTELLVETLDSLHAVYETLKLDNLRKRDLHLLVALLREVAAFLDEGCYLDHYIRDFPRLLKGFKMPPNTSSQKMPPNLFRWLETCLHHGCSSASISDLPPLIFKEGSSVVNWARKIVSFYSLLCGADQFGKRLSSGVCCNVASGSSHSREEITVLAMVGERFGLQQLDLLPAGVSLPLRHALDKCRECPPMNWPPAAYVLIGREDLAMPRLAYSSKSMDLEPYINANLISISTPYMLHLHPVTIPSSVSDSVESENNKLEDVDSLEGSIDDGMDHLFNSSTLLQYGRDLRLNEVRRLLCSARPVAVQTPVNPTASDQDLQQAQLWQLAQRTTALPFGRGAFTLSTTYTLLTEALGVPKLVLAGRLPAQQNAMVNLDPNVRNIQELKSWPEFHNAVAAGLRLAPIQGKLPRTWIIYNKPKEPNVVHAGLLLALGLHGHLCVLTISDIYKYCSEGNDITALGLMLGLAASYRGTMQPAISKSLYVHLPARHPPSYPEFELPTLLQSAALMSLGLLYEGSAHPQTMKFLLGEIGRRSGGDNVLEREGYALSAGLSLGLVALGCGEDALGFIDALVDRLFQYIGGSNHPNERYSLLTPSVDEHSRSAGQVMDGSLINIDVTAPGAIVALALIYLKTESEMIFSRLSIPQTRFDLQYVRPDFIMLRIIARNLIMWSRVHPSEEWIQSQIPQVVQHGISGLGDDMDNLDEVDAEAFVRAYINIVVGACISLGLRFAGTRDGNCQELLYKYAVYFINEIKPVSFTSAHTLPKGLCKYVDRGTLETCLHLVVLSLCVVMAGSGHLQTFRLLKFLRCRNSVDGHSSYGIQMCVSLAIGFLFLGGGKQTFSTSNSSIAALLITLYPRLPNGPNDNRCHLQAFRHLYVLATEARWVQTVDVDTGLPVYVPLEVTIKETENYAETSFCEITPCILPERSILKAVRICGPRYWPQVMELTPEEKPWWILGDKSDPFNSGVLYVKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTHLRASASSKSIAGITVDQLVSAFSSDPSLTAFAQLCCNPSWNTRYGIAFHEYCLQVLFECVSKDRPALLQVYLSLYTTIESMAEQVTAGASSLDDSIFLYSLKLALSYGESLMSKILTTSKGGILQTTFLGSVKKRVEEILGSSPELQRNLSDYMKSGRWPSDDSLGGQASTHLSWYLQWYGVPSPYELRRAKEKINALGMPSSIPLLHLLFPRTNVSALGEINSSMCFS >itb03g00060.t6 pep chromosome:ASM357664v1:3:38978:41994:-1 gene:itb03g00060 transcript:itb03g00060.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVGVRRRRRRLALRCFLLSSAFSTLVGLLLLSSSRSDDLPLKAAVVAKVADTTTRSDVGGNKTCATVEEMGEVFRGGSLKEALRLRRIIQNHFAINGAPRVRKLSPKEFCRHGFVLGKASEAGFGNEMYKILTGAALSVMLNRSLIIGQTRGRFPFGEFISYSDVGFTMNEIKHLWRQNGCLTKYGRHLVIRIDDFLKPIQTNVLCSNYRRWKQPIIWLQNTTDAMAAQFFLKNIHDDMRIAATDLFGRSEDLHNRPNVFGELMRVLISPSEVVQRAVQSVLKGDADPDIALHMRMLTNRYICMYKLVLYVLLTYY >itb03g00060.t2 pep chromosome:ASM357664v1:3:37242:41994:-1 gene:itb03g00060 transcript:itb03g00060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVGVRRRRRRLALRCFLLSSAFSTLVGLLLLSSSRSDDLPLKAAVVAKVADTTTRSDVGGNKTCATVEEMGEVFRGGSLKEALRLRRIIQNHFAINGAPRVRKLSPKEFCRHGFVLGKASEAGFGNEMYKILTGAALSVMLNRSLIIGQTRGRFPFGEFISYSDVGFTMNEIKHLWRQNGCLTKYGRHLVIRIDDFLKPIQTNVLCSNYRRWKQPIIWLQNTTDAMAAQFFLKNIHDDMRIAATDLFGRSEDLHNRPNVFGELMRVLISPSEVVQRAVQSVLKGDADPDIALHMRMLTNRYICMYKLVLYVLLTYY >itb03g00060.t3 pep chromosome:ASM357664v1:3:37242:41994:-1 gene:itb03g00060 transcript:itb03g00060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVGVRRRRRRLALRCFLLSSAFSTLVGLLLLSSSRSDDLPLKAAVVAKVADTTTRSDVGGNKTCATVEEMGEVFRGGSLKEALRLRRIIQNHFAINGAPRVRKLSPKEFCRHGFVLGKASEAGFGNEMYKILTGAALSVMLNRSLIIGQTRGRFPFGEFISYSDVGFTMNEIKHLWRQNGCLTKYGRHLVIRIDDFLKPIQTNVLCSNYRRWKQPIIWLQNTTDAMAAQFFLKNIHDDMRIAATDLFGRSEDLHNRPNVFGELMRVLISPSEVVQRAVQSVLKGDADPDIALHMRMLTNRSVRAVRAALGCIKKAAGNLKLSPKPRVVVVSDTPSLVKDIAPYLNEFAEVLHFDYKHFDGAQKARGKINNLNFRSKDWGPAPRWVAFVDFFLASRAKHAVISGAHRRVGTTYAQLIAALGAAYHLEDNSSSFSFLSSFQRNLVYDGLRRNQMGWGHVWNRFAGPLSCHSQSNQCAYTPLLPPAWWDGIWQSPISRDIRRMEAYGIRLSAFGTFDVNQLRTFCNSRKDTVVTKKLII >itb03g00060.t5 pep chromosome:ASM357664v1:3:38887:41978:-1 gene:itb03g00060 transcript:itb03g00060.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYKILTGAALSVMLNRSLIIGQTRGRFPFGEFISYSDVGFTMNEIKHLWRQNGCLTKYGRHLVIRIDDFLKPIQTNVLCSNYRRWKQPIIWLQNTTDAMAAQFFLKNIHDDMRIAATDLFGRSEDLHNRPNVFGELMRVLISPSEVVQRAVQSVLKGDADPDIALHMRMLTNRSVRAVRAALGCIKKAAGNLKLSPKPRVVVVSDTPSLVKDIAPYLNEFAEVLHFDYKHFDGAQKARGKINNLNFRSKDWGPAPRWVAFVDFFLASRAKHAVISGAHRRVGTTYAQLIAALGAAYHLEDNSSSFSFLSSFQRNLVYDGLRRNQMGWGHVWNRFAGPLSCHSQSNQCAYTPLLPPAWWDGIWQSPISRDIRRMEAYGIRLSAFGTFDVNQLRTFCNSRKDTVVTKKLII >itb03g00060.t4 pep chromosome:ASM357664v1:3:38655:41978:-1 gene:itb03g00060 transcript:itb03g00060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVGVRRRRRRLALRCFLLSSAFSTLVGLLLLSSSRSDDLPLKAAVVAKVADTTTRSDVGGNKTCATVEEMGEVFRGGSLKEALRLRRIIQNHFAINGAPRVRKLSPKEFCRHGFVLGKASEAGFGNEMYKILTGAALSVMLNRSLIIGQTRGRFPFGEFISYSDVGFTMNEIKHLWRQNGCLTKYGRHLVIRIDDFLKPIQTNVLCSNYRRWKQPIIWLQNTTDAMAAQFFLKNIHDDMRIAATDLFGRSEDLHNRPNVFGELMRVLISPSEVVQRAVQSVLKGDADPDIALHMRMLTNRSVRAVRAALGCIKKAAGNLKLSPKPRVVVVSDTPSLVKDIAPYLNEFAEVLHFDYKHFDGAQKARGKINNLNFRSKDWGPAPRWVAFVDFFLASRAKHAVISGAHRRVGTTYAQLIAALGAAYHLVS >itb03g00060.t1 pep chromosome:ASM357664v1:3:37242:41994:-1 gene:itb03g00060 transcript:itb03g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVGVRRRRRRLALRCFLLSSAFSTLVGLLLLSSSRSDDLPLKAAVVAKVADTTTRSDVGGNKTCATVEEMGEVFRGGSLKEALRLRRIIQNHFAINGAPRVRKLSPKEFCRHGFVLGKASEAGFGNEMYKILTGAALSVMLNRSLIIGQTRGRFPFGEFISYSDVGFTMNEIKHLWRQNGCLTKYGRHLVIRIDDFLKPIQTNVLCSNYRRWKQPIIWLQNTTDAMAAQFFLKNIHDDMRIAATDLFGRSEDLHNRPNVFGELMRVLISPSEVVQRAVQSVLKGDADPDIALHMRMLTNRSVRAVRAALGCIKKAAGNLKLSPKPRVVVVSDTPSLVKDIAPYLNEFAEVLHFDYKHFDGAQKARGKINNLNFRSKDWGPAPRWVAFVDFFLASRAKHAVISGAHRRVGTTYAQLIAALGAAYHLDNSSSFSFLSSFQRNLVYDGLRRNQMGWGHVWNRFAGPLSCHSQSNQCAYTPLLPPAWWDGIWQSPISRDIRRMEAYGIRLSAFGTFDVNQLRTFCNSRKDTVVTKKLII >itb04g10050.t3 pep chromosome:ASM357664v1:4:9296199:9302996:-1 gene:itb04g10050 transcript:itb04g10050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDIDNVLEVPDTPNRQSSQNKDSGVVKKERNLSVETHSQQGKFSNEQPKNQLNAHGIGTRRLLIRPPRRINGSGHSGELDCHAMSSAFSVHDSPSSRNDLLLGRKDTGPPNHKGKHSFSVQFRKRENQMLNPELSSCKGGLVVNSTEQSRVALGLPGSSRVNEFKKGALSSGEFSYLKNMDNPSVSTNKVDKEKQIDVRSKVGVHVNHGERKESAHDAHHSSMKDSYAPVITLPRVVGKKRLVRNGCISPNNIAKAKQPVVKDHNGPITVEQNYTCSPLASSGSSKNPINVKDLVSEDHTSCSTKGSRYAKGKGVMVQPSILSEPNAESANASHRNIIDIDDEVNETTGTSQDTLRSFEEPGGWRSTRNRSQNRLLRRGNKNNSQNLGHYPMSEDLSSRRHAFAQPSSHMAVPLGEQSGHVDVHHSVVNSLGKRKKHGSTSINHGECSTSAFNDSDIMFVGPSKDVRSYRSDVNQNHRRRDTLVPTIEIDGSSPQARNHGSQGLARQSDEDATAKQVEADEMLARELQEQLYNEMPAFGVSEIDENLARALQQHALSGETNTVVTRSRSVANSQRRSQLQSSTNVSRRASLARASNSNRLVRLRSRFPGRARTLSSPRLRNSIFPPNVNVETRIQVLEALEAFNNMGVVGNFLQTRQDFNNNDYEMLLALDENNHQHSGASVNLINGLPESVVQNENVDEPCAICLENPTIGDTIRHLPCLHKFHKDVIAFFSLE >itb04g10050.t2 pep chromosome:ASM357664v1:4:9295788:9302658:-1 gene:itb04g10050 transcript:itb04g10050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYWSLLMDQLDIDNVLEVPDTPNRQSSQNKDSGVVKKERNLSVETHSQQGKFSNEQPKNQLNAHGIGTRRLLIRPPRRINGSGHSGELDCHAMSSAFSVHDSPSSRNDLLLGRKDTGPPNHKGKHSFSVQFRKRENQMLNPELSSCKGGLVVNSTEQSRVALGLPGSSRVNEFKKGALSSGEFSYLKNMDNPSVSTNKVDKEKQIDVRSKVGVHVNHGERKESAHDAHHSSMKDSYAPVITLPRVVGKKRLVRNGCISPNNIAKAKQPVVKDHNGPITVEQNYTCSPLASSGSSKNPINVKDLVSEDHTSCSTKGSRYAKGKGVMVQPSILSEPNAESANASHRNIIDIDDEVNETTGTSQDTLRSFEEPGGWRSTRNRSQNRLLRRGNKNNSQNLGHYPMSEDLSSRRHAFAQPSSHMAVPLGEQSGHVDVHHSVVNSLGKRKKHGSTSINHGECSTSAFNDSDIMFVGPSKDVRSYRSDVNQNHRRRDTLVPTIEIDGSSPQARNHGSQGLARQSDEDATAKQVEADEMLARELQEQLYNEMPAFGVSEIDENLARALQQHALSGETNTVVTRSRSVANSQRRSQLQSSTNVSRRASLARASNSNRLVRLRSRFPGRARTLSSPRLRNSIFPPNVNVETRIQVLEALEAFNNMGVVGNFLQTRQDFNNNDYEMLLALDENNHQHSGASVNLINGLPESVVQNENVDEPCAICLENPTIGDTIRHLPCLHKFHKDCIDPWLQRRRLCPVCKSSIS >itb04g10050.t4 pep chromosome:ASM357664v1:4:9298594:9303010:-1 gene:itb04g10050 transcript:itb04g10050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDIDNVLEVPDTPNRQSSQNKDSGVVKKERNLSVETHSQQGKFSNEQPKNQLNAHGIGTRRLLIRPPRRINGSGHSGELDCHAMSSAFSVHDSPSSRNDLLLGRKDTGPPNHKGKHSFSVQFRKRENQMLNPELSSCKGGLVVNSTEQSRVALGLPGSSRVNEFKKGALSSGEFSYLKNMDNPSVSTNKVDKEKQIDVRSKVGVHVNHGERKESAHDAHHSSMKDSYAPVITLPRVVGKKRLVRNGCISPNNIAKAKQPVVKDHNGPITVEQNYTCSPLASSGSSKNPINVKDLVSEDHTSCSTKGSRYAKGKGVMVQPSILSEPNAESANASHRNIIDIDDEVNETTGTSQDTLRSFEEPGGWRSTRNRSQNRLLRRGNKNNSQNLGHYPMSEDLSSRRHAFAQPSSHMAVPLGEQSGHVDVHHSVVNSLGKRKKHGSTSINHGECSTSAFNDSDIMFVGPSKDVRSYRSDVNQNHRRRDTLVPTIEIDGSSPQARNHGSQGLARQSDEDATAKQVEADEMLARELQEQLYNEMPAFGVSEIDENLARALQQHALSGETNTVVTVSLLFLILQKVTLL >itb04g10050.t1 pep chromosome:ASM357664v1:4:9295788:9303010:-1 gene:itb04g10050 transcript:itb04g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDIDNVLEVPDTPNRQSSQNKDSGVVKKERNLSVETHSQQGKFSNEQPKNQLNAHGIGTRRLLIRPPRRINGSGHSGELDCHAMSSAFSVHDSPSSRNDLLLGRKDTGPPNHKGKHSFSVQFRKRENQMLNPELSSCKGGLVVNSTEQSRVALGLPGSSRVNEFKKGALSSGEFSYLKNMDNPSVSTNKVDKEKQIDVRSKVGVHVNHGERKESAHDAHHSSMKDSYAPVITLPRVVGKKRLVRNGCISPNNIAKAKQPVVKDHNGPITVEQNYTCSPLASSGSSKNPINVKDLVSEDHTSCSTKGSRYAKGKGVMVQPSILSEPNAESANASHRNIIDIDDEVNETTGTSQDTLRSFEEPGGWRSTRNRSQNRLLRRGNKNNSQNLGHYPMSEDLSSRRHAFAQPSSHMAVPLGEQSGHVDVHHSVVNSLGKRKKHGSTSINHGECSTSAFNDSDIMFVGPSKDVRSYRSDVNQNHRRRDTLVPTIEIDGSSPQARNHGSQGLARQSDEDATAKQVEADEMLARELQEQLYNEMPAFGVSEIDENLARALQQHALSGETNTVVTRSRSVANSQRRSQLQSSTNVSRRASLARASNSNRLVRLRSRFPGRARTLSSPRLRNSIFPPNVNVETRIQVLEALEAFNNMGVVGNFLQTRQDFNNNDYEMLLALDENNHQHSGASVNLINGLPESVVQNENVDEPCAICLENPTIGDTIRHLPCLHKFHKDCIDPWLQRRRLCPVCKSSIS >itb04g10050.t5 pep chromosome:ASM357664v1:4:9295788:9303010:-1 gene:itb04g10050 transcript:itb04g10050.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDIDNVLEVPDTPNRQSSQNKDSGVVKKERNLSVETHSQQGKFSNEQPKNQLNAHGIGTRRLLIRPPRRINGSGHSGELDCHAMSSAFSVHDSPSSRNDLLLGRKDTGPPNHKGKHSFSVQFRKRENQMLNPELSSCKGGLVVNSTEQSRVALGLPGSSRVNEFKKGALSSGEFSYLKNMDNPSVSTNKVDKEKQIDVRSKVGVHVNHGERKESAHDAHHSSMKDSYAPVITLPRVVGKKRLVRNGCISPNNIAKAKQPVVKDHNGPITVEQNYTCSPLASSGSSKNPINVKDLVSEDHTSCSTKGSRYAKGKGVMVQPSILSEPNAESANASHRNIIDIDDEVNETTGTSQDTLRSFEEPGGWRSTRNRSQNRLLRRGNKNNSQNLGHYPMSEDLSSRRHAFAQPSSHMAVPLGEQSGHVDVHHSVVNSLGKRKKHGSTSINHGECSTSAFNDSDIMFVGPSKDVRSYRSDVNQNHRRRDTLVPTIEIDGSSPQARNHGSQGLARQSDEDATAKQVEADEMLARELQEQLYNEMPAFGVSEIDENLARALQQHALSGETNTVVTRSRSVANSQRRSQLQSSTNVSRRASLARASNSNRLVRLRSRFPGRARTLSSPRLRNSIFPPNVNVETRIQVLEALEAFNNMGVVGNFLQTRQDFNNNDYEMLLALDENNHQHSGASVNLINGLPESVVQVILN >itb13g25700.t2 pep chromosome:ASM357664v1:13:31019135:31020017:1 gene:itb13g25700 transcript:itb13g25700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSGVSNPKLDVEYEDEGDDDWSSASEWDYVLREISSGSLKKDGPVIHHLCKLALIHYQNSYQPGETYEFVSLQKVLCGLVSGIEYSVTFSAKNLKSNVVETFEARGYDFIGEDELKIVSCALKE >itb13g25700.t1 pep chromosome:ASM357664v1:13:31019135:31020017:1 gene:itb13g25700 transcript:itb13g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSGVSNPKLDVEYEDEGDDDWSSASEWDYVLREISSGSLKKDGPVIHHLCKLALIHYQNSYPGETYEFVSLQKVLCGLVSGIEYSVTFSAKNLKSNVVETFEARGYDFIGEDELKIVSCALKE >itb11g09210.t2 pep chromosome:ASM357664v1:11:6127434:6133443:-1 gene:itb11g09210 transcript:itb11g09210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICASQVLRHSRKVKNTSNLVKHDYAELVRRFGSDTRVFASKGDDILRVRQPHIVSSDSQSVVKSSQWTKSSTLGFYNSYRAMSPTMVSLAGRPKEAVQMAGMLQSRVSSCLQMHLRRGFSANADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKISPGEVLCEVETDKATVEMECMEEGYLAKILRGDGASGIKVGEVICITVEEEEDVAKFKDYQHSASDAAPTPKGTPPPPPPPPKEVAKEPIPSEPKVSKQSSAPADRTFASPLARKLAEDHNVPLSNIKGTGPEGRIVKADIEDYLASRGKEPSAVPKAEAGLDYTDIPHSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMELRSKLNALQEASGGKKISVNDLIIKAAALALRKVPQCNSSWTNDYIRQYHNVNINVAVQTDNGLYVPVVRDADKKGLSTIAEEVKYLAQKAKENSLKPSDYEVELSLLY >itb11g09210.t1 pep chromosome:ASM357664v1:11:6127391:6133443:-1 gene:itb11g09210 transcript:itb11g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICASQVLRHSRKVKNTSNLVKHDYAELVRRFGSDTRVFASKGDDILRVRQPHIVSSDSQSVVKSSQWTKSSTLGFYNSYRAMSPTMVSLAGRPKEAVQMAGMLQSRVSSCLQMHLRRGFSANADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKISPGEVLCEVETDKATVEMECMEEGYLAKILRGDGASGIKVGEVICITVEEEEDVAKFKDYQHSASDAAPTPKGTPPPPPPPPKEVAKEPIPSEPKVSKQSSAPADRTFASPLARKLAEDHNVPLSNIKGTGPEGRIVKADIEDYLASRGKEPSAVPKAEAGLDYTDIPHSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMELRSKLNALQEASGGKKISVNDLIIKAAALALRKVPQCNSSWTNDYIRQYHNVNINVAVQTDNGLYVPVVRDADKKGLSTIAEEVKYLAQKAKENSLKPSDYEGGTFTVSNLGGPFGVKQFCAIVNPPQSAILAIGSAERRVVPGSGADQYKFASLMSATLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >itb15g06250.t1 pep chromosome:ASM357664v1:15:4103070:4104228:-1 gene:itb15g06250 transcript:itb15g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGMIKFTVVLTCLAVIASHAEAAVSCAGVLNSVAPCIVYLNGGGIGPIPQACCAGARSVELQTRTTPNRQAACRCLKPILNSFPNPSAVSTIAPKCGVNLSFKITPSMNCAAIH >itb01g18770.t1 pep chromosome:ASM357664v1:1:24716097:24718759:1 gene:itb01g18770 transcript:itb01g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGMSEKNGNFGWILRANPIVQWWFKVLVIGFFLGILIVWGIDGVNVNVGTLQKDFGVLRLNSSSAIFRPTFKDFTFTNVSLLPHSAKAPLLNLSQTFENLTQPQEELGPEIVPSEVNLTENGSVVVNSGAEAMVNLSVRDQELVGTSRLSWISAELEANYSSKLFDRWMAPGGEPCRESKTVGITIQGVDGSESSIELSTGDIHEFVFQALDDSGKPRCLGGDYFETDLSGEKWKSRPPIKDFGNGTYKFSLQVHPDFAGDYNLTIILLFRHYEGLKFSPQRFAVDQVLRVIPIKFNKSSAELPEISQCRKSDLGRDVWSGRWTRHAKNDSCPISNDGRYRCQEPNFPCQKPWCDGPLGSLESNGWVYSTHCSFKMYSSEEAWNCLSNRWIFWWGDSNHVDTIRNMLNFVLGLSEVKAVPRRYDMNITNPKNPSQMVRFTSIFNGHHNATANYLGLNSLSNAEYRELLKGYFSGNVVPDTIIMNSGLHDGVYWPNLRSFIQGADYAAAFWSKIVEAVKQRGLAPPEVIYRTTITTGGYARSLAFNPHKMEAFNGVVLDKLKAYGVLNRVIDDFDMTYPWHYDNRCNDGVHYGRAPLKYRWRDGQIGHQYFVDLMLCQVLLNALCTR >itb04g31350.t2 pep chromosome:ASM357664v1:4:34258476:34260643:1 gene:itb04g31350 transcript:itb04g31350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVRPLFSILLFIALGGTLFVRVVLRYCSVQSRIGNPFVKMPKAVVFNDTLLKYASLDVGEPQLRKEVDELLEGNFRTHGRHRSFLSSGRYHIDLRIRSAKGVPLQLRSPEFNLLWLSFRRYLRDWFRNRKLQTDSMIGLLHGVKVLIDKHNGKSEMERERYSSCAVVGNSGILLNSEYGKVIDGHEVVIRLNNARTGGFERNVGSKTSISFVNSNILHLCARREGCFCHPYGLNVAMIMYICQPVHFFDYLACNASFKSPLVVTDPRFDVMCARIVKYYSLKRFVEVSGKNVEEWGASHDGVNFHYSSGMQAVMLSLGLCEKVSIFGFGKSASAKHHYHTNQKAELKLHDYEAEYDFYRDLVERPQVILSSHIAARVLVAQVSMQNLIISHE >itb04g31350.t1 pep chromosome:ASM357664v1:4:34258443:34260643:1 gene:itb04g31350 transcript:itb04g31350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVRPLFSILLFIALGGTLFVRVVLRYCSVQSRIGNPFVKMPKAVVFNDTLLKYASLDVGEPQLRKEVDELLEGNFRTHGRHRSFLSSGRYHIDLRIRSAKGVPLQLRSPEFNLLWLSFRRYLRDWFRNRKLQTDSMIGLLHGVKVLIDKHNGKSEMERERYSSCAVVGNSGILLNSEYGKVIDGHEVVIRLNNARTGGFERNVGSKTSISFVNSNILHLCARREGCFCHPYGLNVAMIMYICQPVHFFDYLACNASFKSPLVVTDPRFDVMCARIVKYYSLKRFVEVSGKNVEEWGASHDGVNFHYSSGMQAVMLSLGLCEKVSIFGFGKSASAKHHYHTNQKAELKLHDYEAEYDFYRDLVERPQVIPFIPGNFKVPPVAIYQ >itb04g31350.t3 pep chromosome:ASM357664v1:4:34258476:34260643:1 gene:itb04g31350 transcript:itb04g31350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVRPLFSILLFIALGGTLFVRVVLRYCSVQSRIGNPFVKMPKAVVFNDTLLKYASLDVGEPQLRKEVDELLEGNFRTHGRHRSFLSSGRYHIDLRIRSAKGVPLQLRSPEFNLLWLSFRRYLRDWFRNRKLQTDSMIGLLHGVKVLIDKHNGKSEMERERYSSCAVVGNSGILLNSEYGKVIDGHEVVIRLNNARTGGFERNVGSKTSISFVNSNILHLCARREGCFCHPYGLNVAMIMYICQPVHFFDYLACNASFKSPLVVTDPRFDVMCARIVKYYSLKRFVEVSGKNVEEWGASHDGVNFHYSSGMQAVMLSLGLCEKVSIFGFGKSASAKHHYHTNQKAELKLHDYEAEYDFYRDLVERPQCR >itb06g10530.t1 pep chromosome:ASM357664v1:6:14986596:14988985:1 gene:itb06g10530 transcript:itb06g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIAAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSAGGGKKAFTGI >itb09g07630.t1 pep chromosome:ASM357664v1:9:4489201:4491618:-1 gene:itb09g07630 transcript:itb09g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKHWPSLFRSKPSGSNQRQRDSNSSLSGGAGAEERTPEPKPRWNPKPEQIRILESLFNSGVVNPSREEIRRIRLRLEEYGEVGDANVFYWFQNRKSRSKNKQRQLHIQNAMAAAAAAAVVEPQQNPSPPPPPPPAAVTFLGNNPIMIGTSSSSSSSDNSADHLGLSFFTHSPSSVNQQTLYQAPPPNDHLLPEPYYYPQPPNTSGGASFTQGFFLPDSPNVPLSSDLTIGNSSGILPTEFMGFNPPPPPSKGYDNEGINLDLGYGTVTSLPTTTVTAPNAPLTLLPPPSTTVPSTLYHFQGLGDLNVDAGAAVVEQQKATLLINGIPFDVAAAAPFDVRGTFGDESMLVNCYSGQALDTNEWGVTVNPLQPGAVYYLVRSSHVEE >itb09g07630.t2 pep chromosome:ASM357664v1:9:4489201:4491618:-1 gene:itb09g07630 transcript:itb09g07630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKHWPSLFRSKPSGSNQRQRDSNSSLSGGAGAEERTPEPKPRWNPKPEQIRILESLFNSGVVNPSREEIRRIRLRLEEYGEVGDANVFYWFQNRKSRSKNKQRQLHIQNAMAAAAAAAVVEPQQNPSPPPPPPPAAVTFLGNNPIMIGTSSSSSSSDNSADHLGLSFFTHSPSSVNQQTLYQAPPPNDHLLPEPYYYPQPPNTSGGASFTQGFFLPDSPNVPLSSDLTIGNSSGILPTEFMGFNPPPPPSKGYDNEGINLDLGYGTVTSLPTTTVTAPNAPLTLLPPPSTTVPSTLYHFQGLGDLNVDAGAAVVEQQKATLLINGIPFDVAAAAPFDVRGTFGDESMLVNCYSGQALDTNEWGVTVNPLQPGAVYYLM >itb09g07630.t4 pep chromosome:ASM357664v1:9:4489201:4491114:-1 gene:itb09g07630 transcript:itb09g07630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKHWPSLFRSKPSGSNQRQRDSNSSLSGGAGAEERTPEPKPRWNPKPEQIRILESLFNSGVVNPSREEIRRIRLRLEEYGEVGDANVFYWFQNRKSRSKNKQRQLHIQNAMAAAAAAAVVEPQQNPSPPPPPPPAAVTFLGNNPIMIGTSSSSSSSDNSADHLGLSFFTHSPSSVNQQTLYQAPPPNDHLLPEPYYYPQPPNTSGGASFTQGFFLPDSPNVPLSSDLTIGNSSGILPTEFMGFNPPPPPSKGYDNEGINLDLGYGTVTSLPTTTVTAPNAPLTLLPPPSTTVPSTLYHFQGLGDLNVDAGAAVVEQQKATLLINGIPFDVAAAAPFDVRGTFGDESMLVNCYSGQALDTNEWGVTVNPLQPGAVYYLM >itb09g07630.t3 pep chromosome:ASM357664v1:9:4489201:4491114:-1 gene:itb09g07630 transcript:itb09g07630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKHWPSLFRSKPSGSNQRQRDSNSSLSGGAGAEERTPEPKPRWNPKPEQIRILESLFNSGVVNPSREEIRRIRLRLEEYGEVGDANVFYWFQNRKSRSKNKQRQLHIQNAMAAAAAAAVVEPQQNPSPPPPPPPAAVTFLGNNPIMIGTSSSSSSSDNSADHLGLSFFTHSPSSVNQQTLYQAPPPNDHLLPEPYYYPQPPNTSGGASFTQGFFLPDSPNVPLSSDLTIGNSSGILPTEFMGFNPPPPPSKGYDNEGINLDLGYGTVTSLPTTTVTAPNAPLTLLPPPSTTVPSTLYHFQGLGDLNVDAGAAVVEQQKATLLINGIPFDVAAAAPFDVRGTFGDESMLVNCYSGQALDTNEWGVTVNPLQPGAVYYLVRSSHVEE >itb05g02230.t1 pep chromosome:ASM357664v1:5:1779807:1782696:1 gene:itb05g02230 transcript:itb05g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSHSIAKPLCPFLFISLLVLYANVFSLANAEVHKHQFVIQATPVKRLCNTHNTITVNGQFPGPTLEVNNGDTLEIKVINKAQYNVTIHWHGVRQIRTAWADGPEFITQCPIRPGGSYTYRFTINGQEGTLWWHAHSSWLRATVYGALIIRPREGESYPFPKPTRETPVVLGEWWNANPIDVIRQAQRTGAAPNVSDAFTINGQPGDLYKCSSKETVVVQVDSGETNLLRVINAALNQQLFFSVANHKLTVVGADASYVKPFTTSVIMLGPGQTTDVLITANQPPARYYMAARAYASAQGAPFDNTTTTAILQYKAASSSSKGGQTSPVLPSLPAYNDTATATAFTKSFRSLRNVEVPTEIDENLFITVGLGLNNCPKGASSQNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQGIPGVFTTDFPAAPPVKFDYTGNVSRSLWQPASGTKVYRLKYGARVQIVLQGTSIFTAENHPIHLHGYDFYILAEGFGNFNPQKDTAKFNLVNPPLRNTASVPVNGWTVIRFVADNPGVWIMHCHLDVHITWGLATAFIVENGVTELEALEAPPADYPIC >itb12g27220.t1 pep chromosome:ASM357664v1:12:27727085:27734160:-1 gene:itb12g27220 transcript:itb12g27220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVNEYRNVKEEEAAREKKCVAQTLPFHRLLSYADGVDWTLMALGTLGSIVHGLAQPVGYLLLGKALNAFGANIHDTDAMVHSLKKIVPYVWYMAFATFPAGVLEIGCWMYASQRQVSRLRLDFLTAVLRQDIGTFDTDLTSGKIILGISNHMSVIQDTIGEKLGHFLSCFATFFSGVFIAFISCWEVSLLALLVVPIILLTGATYTKKMNSISVIKTTYLSEATAMVEQTISQIKTVFAFVGEKLAVRSFTECIERQFKISKKEALIKGFGTGMFQAITFCSWALIVWVGAVVVTAKRASGGDVIAAVMSILFGAISLTYAAPDMQIFNQAKAAGKEVFDVIQRKPTISSETEGKTMEIIDGNIDICDVHFAYPSRPEKLVLQGFSLSIPAGKTISQIKTVFAFVGEKLAVRSFTECIERQFKISKKEALIKGFGTGMFQAITFCSWALIVWVGAVVVTAKRASGGDVIAAVMSILFGAISLTYAAPDMQIFNQAKAAGKEVFDVIQRKPTISSETEGKTMEIIDGNIDICDVHFAYPSRPEKLVLQGFSLSIPAGKVVALVGSSGCGKSTIISLAMRFYDPVKGEILFDNQSIKDLDLRFLRRNIGVVSQEPSLFSGNIMDNIRVGNMDADDQQIERAAIMANAHSFISQLPDQYLTEVGQRGFQLSGGQKQRIAIARAILKNPPILLLDEATSALDTESEKLVQDALEAAMEGRTVILIAHRVSTVVNADMIVVVEDGKVTETGKHHELIAKSTFYNSLFTMQDISQDTEKRAGHTAEENEAIEQKGSPQSTFLEDSRRNEEEEEERKDLDIFFRIWYGLKRKDVIRTAIGSLAAAFSGISKPVFGFFIITIGVAYYDSDAKQKVGWYSLVFASIGLLSWFTNTLQHYLYGVVGEKAMTNLRNSLYSATLENELAWFERPENNVGSLTSRIVNETSTVKIIISDRMSVIVQCISSIVIATTVSMRVDWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDSSAAHSEVVSLASESAANIKTVVSFCHEEHVVKKARVSLQPQLHRSRKESIKFGIIQGTSLCLWNIAHAVALWYTTLLVQRNQSSFLNAIRSYQIFSLTVPSITELWTLIPTVLSAIGILKPVFQTLDRRTQIEPDKPEDSSSESIKGEVEFKAIKFHYPSRPEVMVLNKFNLRIEAGTKVALVGPSGAGKSSVIALLLRFYDVDEGQVLIDGKDVRDYNLRKLRAQIGLVQQEPLLFCCSIRENICYGSERASETEIIEVSKAANIHTFISNLPEGYDTVVGEKGSQLSGGQKQRIAIARALLKRPAIMLLDEATSALDSGSERAVVSALESLQHHKFGRSSPSKMTQITVAHRLSTVVNSDIIVVMDKGCVVEMGSHSTLTSEPDGVYSRLVSLQSMKDH >itb12g27220.t2 pep chromosome:ASM357664v1:12:27727085:27728452:-1 gene:itb12g27220 transcript:itb12g27220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVQCISSIVIATTVSMRVDWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDSSAAHSEVVSLASESAANIKTVVSFCHEEHVVKKARVSLQPQLHRSRKESIKFGIIQGTSLCLWNIAHAVALWYTTLLVQRNQSSFLNAIRSYQIFSLTVPSITELWTLIPTVLSAIGILKPVFQTLDRRTQIEPDKPEDSSSESIKGEVEFKAIKFHYPSRPEVMVLNKFNLRIEAGTKVALVGPSGAGKSSVIALLLRFYDVDEGQVLIDGKDVRDYNLRKLRAQIGLVQQEPLLFCCSIRENICYGSERASETEIIEVSKAANIHTFISNLPEGYDTVVGEKGSQLSGGQKQRIAIARALLKRPAIMLLDEATSALDSGSERAVVSALESLQHHKFGRSSPSKMTQITVAHRLSTVVNSDIIVVMDKGCVVEMGSHSTLTSEPDGVYSRLVSLQSMKDH >itb01g06650.t1 pep chromosome:ASM357664v1:1:4875511:4877962:-1 gene:itb01g06650 transcript:itb01g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYKAEDEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVVKAQIWDTAGQERYRAITSAYYRGALGALLVYDATCHSTFESVERWLGELRDHTDPHIVVMLVGNKSDLRHLVAVSTEDAKSFAERESLYFMETSALESTNVDAAFGEVLTQIYDVVSKKSIHNGENGTQSSAVPSHGEKIEVASKDDSAVNKTGCCST >itb13g10960.t1 pep chromosome:ASM357664v1:13:15862607:15863194:-1 gene:itb13g10960 transcript:itb13g10960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRKLCIYQYGSELNPTHPTRFSPSDWLDISISPSSSASEASTGVRNELASSSPQELSDNFNKNRILRFFQEKIEEIGGGGLPSSWSPEEFTRLVIGEEETTLTEEQGDENGDDVYLEAPPVKGQPGHDYYQKVNTNMKIPHGGVCLSTSGVLLSCDPAAYVRPVAHASYLFRAGGVNSDFLRVFNPAAEMLS >itb07g05170.t5 pep chromosome:ASM357664v1:7:3499695:3507588:-1 gene:itb07g05170 transcript:itb07g05170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFYAGARSGGKIVNKRRRKITTPYDRPPPPPLPPPPPPETPNWLTGLVFPATRTIVSGAAKLLSSVFNSDSSSCSSSSSSSGPPDGDCIYEDDNDHDTHSESLKEVKYAGRNAEQDGGMSKSKHLIEQLIMRETFTRIECDRLINLINSRVVDPPTLDYGLGDAIAVGTTVTGTLDPCSRYVMEAREWLEDKKDDLRSIACFAEGNCGSSSFLTENVEKVDGSPIYLAKSYMKARPPWASPTEHLDIRTPSSKKSKLFEEGTPFHAGDEFVPSSRKRSSLSSGPWNISEEIRRVRSKATDDILRSLPSKKIDLPLLTERKMKQNAVIDSRDSGSLAHLSISSRPALGTGIGQSG >itb07g05170.t4 pep chromosome:ASM357664v1:7:3499631:3507545:-1 gene:itb07g05170 transcript:itb07g05170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFYAGARSGGKIVNKRRRKITTPYDRPPPPPLPPPPPPETPNWLTGLVFPATRTIVSGAAKLLSSVFNSDSSSCSSSSSSSGPPDGDCIYEDDNDHDTHSESLKEVKYAGRNAEQDGGMSKSKHLIEQLIMRETFTRIECDRLINLINSRVVDPPTLDYGLGDAIAVGTTVTGTLDPCSRYVMEAREWLEDKKDDLRSIACFAEGNCGSSSFLTENVEKVDGSPIYLAKSYMKARPPWASPTEHLDIRTPSSKKSKLFEEGTPFHAGDEFVPSSRKRSSLSSGPWNISEEIRRVRSKATDDILRSLPSKKIDLPLLTERKMKQNAVIDSRDSGSLAHLSISSRPALGTGIGQSETRQDAGKSVTVTSNSRALIPQDNDQIEVVGEWPATEPHQPNGPVLASENLDAL >itb07g05170.t2 pep chromosome:ASM357664v1:7:3499694:3507588:-1 gene:itb07g05170 transcript:itb07g05170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFYAGARSGGKIVNKRRRKITTPYDRPPPPPLPPPPPPETPNWLTGLVFPATRTIVSGAAKLLSSVFNSDSSSCSSSSSSSGPPDGDCIYEDDNDHDTHSESLKEVKYAGRNAEQDGGMSKSKHLIEQLIMRETFTRIECDRLINLINSRVVDPPTLDYGLGDAIAVGTTVTGTLDPCSRYVMEAREWLEDKKDDLRSIACFAEGNCGSSSFLTENVEKVDGSPIYLAKSYMKARPPWASPTEHLDIRTPSSKKSKLFEEGTPFHAGDEFVPSSRKRSSLSSGPWNISEEIRRVRSKATDDILRSLPSKKIDLPLLTERKMKQNAVIDSRDSGSLAHLSISSRPALGTGIGQSETRQDAGKSVTVTSNSRALIPQDNDQIEVVGEWPATEPHQPNGPVLASENLDAL >itb07g05170.t1 pep chromosome:ASM357664v1:7:3499631:3507629:-1 gene:itb07g05170 transcript:itb07g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFYAGARSGGKIVNKRRRKITTPYDRPPPPPLPPPPPPETPNWLTGLVFPATRTIVSGAAKLLSSVFNSDSSSCSSSSSSSGPPDGDCIYEDDNDHDTHSESLKEVKYAGRNAEQDGGMSKSKHLIEQLIMRETFTRIECDRLINLINSRVVDPPTLDYGLGDAIAVGTTVTGTLDPCSRYVMEAREWLEDKKDDLRSIACFAEGNCGSSSFLTENVEKVDGSPIYLAKSYMKARPPWASPTEHLDIRTPSSKKSKLFEEGTPFHAGDEFVPSSRKRSSLSSGPWNISEEIRRVRSKATDDILRSLPSKKIDLPLLTERKMKQNAVIDSRDSGSLAHLSISSRPALGTGIGQSETRQDAGKSVTVTSNSRALIPQDNDQIEVVGEWPATEPHQPNGPVLASENLDDPQSNNTTGSGFKDISGSEMAHMANGVPSSEARDGGGEPRPMEIDACSGHERSSDGVHMQVKCEFLTEASIELDESF >itb07g05170.t3 pep chromosome:ASM357664v1:7:3499694:3507588:-1 gene:itb07g05170 transcript:itb07g05170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFYAGARSGGKIVNKRRRKITTPYDRPPPPPLPPPPPPETPNWLTGLVFPATRTIVSGAAKLLSSVFNSDSSSCSSSSSSSGPPDGDCIYEDDNDHDTHSESLKEVKYAGRNAEQDGGMSKSKHLIEQLIMRETFTRIECDRLINLINSRVVDPPTLDYGLGDAIAVGTTVTGTLDPCSRYVMEAREWLEDKKDDLRSIACFAEGNCGSSSFLTENVEKVDGSPIYLAKSYMKARPPWASPTEHLDIRTPSSKKSKLFEEGTPFHAGDEFVPSSRKRSSLSSGPWNISEEIRRVRSKATDDILRSLPSKKIDLPLLTERKMKQNAVIDSRDSGSLAHLSISSRPALGTGIGQSETRQDAGKSVTVTSNSRALIPQDNDQIEVVGEWPATEPHQPNGPVLASENLDDPQSNNTTGSGFKDISGSEMAHMANGVPSSEAR >itb07g05170.t6 pep chromosome:ASM357664v1:7:3499631:3507588:-1 gene:itb07g05170 transcript:itb07g05170.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFYAGARSGGKIVNKRRRKITTPYDRPPPPPLPPPPPPETPNWLTGLVFPATRTIVSGAAKLLSSVFNSDSSSCSSSSSSSGPPDGDCIYEDDNDHDTHSESLKEVKYAGRNAEQDGGMSKSKHLIEQLIMRETFTRIECDRLINLINSRVVDPPTLDYGLGDAIAVGTTVTGTLDPCSRYVMEAREWLEDKKDDLRSIACFAEGNCGSSSFLTENVEKVDGSPIYLAKSYMKARPPWASPTEHLDIRTPSSKKSKLFEEGTPFHAGDEFVPSSRKRSSLSSGPWNISEEIRRVRSKATDDILRSLPSKKIDLPLLTERKMKQNAVIDSRDSGSLAHLSISSRPALGTGIGQSETRQDAGKSVTVTSNSRALIPQDNDQIEVVGEWPATEPHQPNGPVLASENLDGNFLI >itb10g10130.t1 pep chromosome:ASM357664v1:10:14013785:14023828:1 gene:itb10g10130 transcript:itb10g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSIFEDLQSSSSSELPASAPVSKRIRCSTFSPPRSAAAPSLFPVASVTSISSPLDYLIARYPGMDKQLLERALQECGDDLDSAIKSLNELHLGSGENLGLAAGKPDHTPVASTEILAQGTITNDGDATPPMMGPFAAKQPPMDSAEWVELFVREMMSASNIEDAKARASRALEVLEKSIFAFAREAASHSLQQENLVLKQRLEALLQENNILKRAVSIQHERQKEFEERGQELNHMKQLVAQYQEQLRTLEVNNYALSMHLKRAQESNSMAGHFHPDVF >itb14g14100.t1 pep chromosome:ASM357664v1:14:16485938:16487963:1 gene:itb14g14100 transcript:itb14g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVISMKEFVQSGSEISHAITPLSSTSSHRRSSSSPHRHRCSPPPHALASRPVSAAPRRQTQPPVAPLLAATARREGMTHHPGTLATFQSLPSPPRRCSPLVARILRSLQLTSYKLKCDKEPLNSRLGPPDYHPQTLNCPIETLNRDYVQSGYRETVDGLRKLEKFHYHRFRPFQSLS >itb11g22690.t1 pep chromosome:ASM357664v1:11:24599632:24604039:1 gene:itb11g22690 transcript:itb11g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSDGFVRADQIDLKSLDEQLERHLNRAWTMEKNKKKLTQDDSVLLSVNHHAPAAAAAAAAASPPAQAGPAAVIVPPPQPPPPSRQRFDWEIDPAKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRTDAEIASLRAAFTQEVSVWHKLDHPNVTKFIGATMGSSGLNLQTENGQIGMPSNICCVVVEYLPGGALKSYLIKNRRKKLAFKVVVQMALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMIEAIDTSKGGGMIPVDQQQGCLCFRKYRGP >itb05g24980.t3 pep chromosome:ASM357664v1:5:29611855:29619089:-1 gene:itb05g24980 transcript:itb05g24980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKYRELVKIIAGRKSIGEVQQIARMLERESGGILVAIERTSKGYAIIVYRGKNYTRPASLRPQTLLSKKQAMKRSIEAQRRQSLKLHVLKLNRNIEDLRLKLVKDREINDVQLRELKAGEACINKSKDTMHPAQQELQQDLSIQSENKAEVEFDTSEPENWDKSSTKEIKIVLNSEDGSYTKDQEINDVQPRESNVGEEELQRDFYVQSENKAEVEFDTSEPQHWDKLPGNEIENMPNLKADDGSSGTPSNPHCSREDQISPKILNGTRKRLDFDMKSMVPHVGSLKTEVEHYSTENRSNDAPSRTVQLSNRERLVLRKQALKTKKRPVLAVGRSNIVTGVAKVIKAHFQKYPLAIVNVKGRAKGTSAREVAFKLEQATGAILVSLEPNKVILYRGWGAEGEATRGSGGAPRDLKTGEQKVRPPISPELLSAIRLECGLQLNREEEAKLQ >itb05g24980.t4 pep chromosome:ASM357664v1:5:29611884:29619089:-1 gene:itb05g24980 transcript:itb05g24980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKYRELVKIIAGRKSIGEVQQIARMLERESGGILVAIERTSKGYAIIVYRGKNYTRPASLRPQTLLSKKQAMKRSIEAQRRQSLKLHVLKLNRNIEDLRLKLVKDREINDVQLRELKAGEACINKSKDTMHPAQQELQQDLSIQSENKAEVEFDTSEPENWDKSSTKEIKIVLNSEDGSYTKDQEINDVQPRESNVGEEELQRDFYVQSENKAEVEFDTSEPQHWDKLPGNEIENMPNLKADDGSSGTPSNPHCSRQEDQISPKILNGTRKRLDFDMKSMVPHVGSLKTEVEHYSTENRSNDAPSRTVQLSNRERLVLRKQALKTKKRPVLAVGKHQYPLPMSPNLLCPRH >itb05g24980.t6 pep chromosome:ASM357664v1:5:29612137:29614496:-1 gene:itb05g24980 transcript:itb05g24980.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSSLGRRGVFDGTVENMHLHWKYRELVKIIAGRKSIGEVQQIARMLERESGGILVAIERTSKGYAIIVYRGKNYTRPASLRPQTLLSKKQAMKRSIEAQRRQSLKLHVLKLNRNIEDLRLKLVKDREINDVQLRELKAGEACINKSKDTMHPAQQELQQDLSIQSENKAEVEFDTSEPENWDKSSTKEIKIVLNSEDGSYTKDQEINDVQPRESNVGEEELQRDFYVQSENKAEVEFDTSEPQHWDKLPGNEIENMPNLKADDGSSGTPSNPHCSRQEDQISPKILNGTRKRLDFDMKSMVPHVGSLKTEVEHYSTENRSNDAPSRTVQLSNRERLVLRKQALKTKKRPVLAVGRSNIVTGVAKVIKAHFQKYPLAIVNVKGRAKGTSAREVAFKLEQATGAILVSLEPNKVILYRGWGAEGEATRGSGGAPRDLKTGEQKVRPPISPELLSAIRLECGLQLNREEEAKLQ >itb05g24980.t5 pep chromosome:ASM357664v1:5:29611884:29618650:-1 gene:itb05g24980 transcript:itb05g24980.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKYRELVKIIAGRKSIGEVQQIARMLERESGGILVAIERTSKGYAIIVYRGKNYTRPASLRPQTLLSKKQAMKRSIEAQRRQSLKLHVLKLNRNIEDLRLKLVKDREINDVQLRELKAGEACINKSKDTMHPAQQELQQDLSIQSENKAEVEFDTSEPENWDKSSTKEIKIVLNSEDGSYTKDQEINDVQPRESNVGEEELQRDFYVQSENKAEVEFDTSEPQHWDKLPGNEIENMPNLKADDGSSGTPSNPHCSRQEDQISPKILNGTRKRLDFDMKSMVPHVGSLKTEVEHYSTENRSNDAPSRTVQLSNRERLVLRKQALKTKKRPVLAVGRSNIVTGVAKVIKAHFQKYPLAIVNVKGRAKGTSAREVAFKLEQATGAILVSLEPNKVILYRGWGAEGEATRGSGGAPRDLKTGEQKVRPPISPELLSAIRLECGLQLNREEEAKLQ >itb05g24980.t2 pep chromosome:ASM357664v1:5:29611855:29619089:-1 gene:itb05g24980 transcript:itb05g24980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKYRELVKIIAGRKSIGEVQQIARMLERESGGILVAIERTSKGYAIIVYRGKNYTRPASLRPQTLLSKKQAMKRSIEAQRRQSLKLHVLKLNRNIEDLRLKLVKDREINDVQLRELKAGEACINKSKDTMHPAQQELQQDLSIQSENKAEVEFDTSEPENWDKSSTKEIKIVLNSEDGSYTKDQEINDVQPRESNVGEEELQRDFYVQSENKAEVEFDTSEPQHWDKLPGNEIENMPNLKADDGSSGTPSNPHCSRQEDQISPKILNGTRKRLDFDMKSMVPHVGSLKTEVEHYSTENRSNDAPSRTVQLSNRERLVLRKQALKTKKRPVLAVAFLLCLLSLREKQHCYWCGQSDQGTLSEVSSCHSER >itb05g24980.t1 pep chromosome:ASM357664v1:5:29611884:29619089:-1 gene:itb05g24980 transcript:itb05g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKYRELVKIIAGRKSIGEVQQIARMLERESGGILVAIERTSKGYAIIVYRGKNYTRPASLRPQTLLSKKQAMKRSIEAQRRQSLKLHVLKLNRNIEDLRLKLVKDREINDVQLRELKAGEACINKSKDTMHPAQQELQQDLSIQSENKAEVEFDTSEPENWDKSSTKEIKIVLNSEDGSYTKDQEINDVQPRESNVGEEELQRDFYVQSENKAEVEFDTSEPQHWDKLPGNEIENMPNLKADDGSSGTPSNPHCSRQEDQISPKILNGTRKRLDFDMKSMVPHVGSLKTEVEHYSTENRSNDAPSRTVQLSNRERLVLRKQALKTKKRPVLAVGRSNIVTGVAKVIKAHFQKYPLAIVNVKGRAKGTSAREVAFKLEQATGAILVSLEPNKVILYRGWGAEGEATRGSGGAPRDLKTGEQKVRPPISPELLSAIRLECGLQLNREEEAKLQ >itb11g23300.t1 pep chromosome:ASM357664v1:11:25260886:25262975:1 gene:itb11g23300 transcript:itb11g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSQSHGPGYSQALLHMHVLTIMIFLKHFKIGMEDGWASKSSLGYNTGFFAPGRCSNCTEPYTVAHNLILDLPCMPQLLKDTILSIRQNRMEALAFSWILYGMDYDDKLTLAESFNDTKRISYYKSYLGEVKRAVDDRANLFGYFAWSLLDNFEWRLGYTSKFGIVYIDFNTPKITRYPKKSTYWFQHIFRRNKY >itb09g15870.t1 pep chromosome:ASM357664v1:9:11170656:11170982:1 gene:itb09g15870 transcript:itb09g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGESSVVKQVAATTVALKSLPMNIPDWRRILGVAYQQVERARQDSDCNAAWSVEEDEDEYFGLPPHVYLARTRGASLSGRSVKGRDLICGDSEMLFGNQLVFKINVS >itb10g02050.t1 pep chromosome:ASM357664v1:10:1672973:1673887:-1 gene:itb10g02050 transcript:itb10g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNLTVEEKWWGDQHLQQINGFWFMPMMTPAIQQAIAEFNPHPNDVILASFPKTGTTWLKSLLFSIINYSSRDSLVKNNPHALIPFLENDVYGESSKPISIMSNDTTRIFNTHIPYQLLGKNIESSGCRVVYITRNPKDTLNSLWHFVNKWEMTNVAPWGMEEAVEKFCHGIVPDGPYYEHVLGYRIASFENPDKVFFVTYEELRKDTVTHVKRLAEFLSCPFADDDKKVEEIVKSCSFEVLSSHEVNKCDDLQAWFPVSNKSFFRQGTMGDHKKYLSEEAIEKIDALTKEKFHKCGFIYGI >itb03g03040.t2 pep chromosome:ASM357664v1:3:1709309:1712258:1 gene:itb03g03040 transcript:itb03g03040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDADLVSRLRDFLSTSDLNTTTNATVRRQLEADFGIDLSDKKAFLREQINLYLEQAQAQNAVKEEEERPAEESVCGGEQESAAAAEEGEEESEETEEEEAEGDEELKKTSNGRKTAKKRSKKQNKEVSRRGGGFTKLCGLSSQLQKFTGVPQMVVKHMWNYIRENNLQDPNNKRNINCDDTLRELFEVDAIDMFQMNKALSKHIFQLDSDGASVSANSTESTPKEKKRKKESDEDSDEPKGGEKRQKGGILAPLRLSDALAEFLGTGESELPRSNVIKRIWDYIKQNNLQDPSDRRRIICDEKLKELFNVDTFNGFSVSKLLTSHFIKT >itb03g03040.t1 pep chromosome:ASM357664v1:3:1709248:1713400:1 gene:itb03g03040 transcript:itb03g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDADLVSRLRDFLSTSDLNTTTNATVRRQLEADFGIDLSDKKAFLREQINLYLEQAQAQNAVKEEEERPAEESVCGGEQESAAAAEEGEEESEETEEEEAEGDEELKKTSNGRKTAKKRSKKQNKEVSRRGGGFTKLCGLSSQLQKFTGVPQMARTQVVKHMWNYIRENNLQDPNNKRNINCDDTLRELFEVDAIDMFQMNKALSKHIFQLDSDGASVSANSTESTPKEKKRKKESDEDSDEPKGGEKRQKGGILAPLRLSDALAEFLGTGESELPRSNVIKRIWDYIKQNNLQDPSDRRRIICDEKLKELFNVDTFNGFSVSKLLTSHFIKT >itb03g03040.t3 pep chromosome:ASM357664v1:3:1709248:1713400:1 gene:itb03g03040 transcript:itb03g03040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDADLVSRLRDFLSTSDLNTTTNATVRRQLEADFGIDLSDKKAFLREQINLYLEQAQAQNAVKEEEERPAEESVCGGEQESAAAAEEGEEESEETEEEEAEGDEELKKTSNGRKTAKKRSKKQNKEVSRRGGGFTKLCGLSSQLQKFTGVPQMARTQVVKHMWNYIRENNLQDPNNKRNINCDDTLRELFEVDAIDMFQMNKALSKHIFQLDSDGASVSANSTESTPKEKKRKKESDEDSDEPKGGEKRQKGGILAPLRLSDALAEFLGTGESELPRSNVIKRIWDYIKQNNLQDPSDRRRIICDEKLKELFNVDTFNGFSVSKLLTSHFIKT >itb01g30150.t1 pep chromosome:ASM357664v1:1:34240777:34243670:-1 gene:itb01g30150 transcript:itb01g30150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNKNGLSGSIPATIGNLSNLRYLSLFSNNLTGQIPISLGGPLPENLCLGKSLTYFSVVYNNFSGNIPKGIKNCTTLHRVRLEYNKLSGDISEDFGIYPNLNYIDLSSNNFHGQLSSNWGLCSELVALKISRNRISGKIPPELANASHLEFLDLSSNRLVGNIPKSFSTLHSLGVLKLDGNNLSGNITLGIGELSLLTELNLAANRFIGFIPEGLRSCQKLTILNFSQNMFDGRIPYDIGSLQFLQTLDLSQNMLTGKLPQQFGGLISLQSLNVSHNKLSGSIPSSMAQCLGLVSIDVSYNELEGTLPDNKAFENATFESLRNNKGLCGNIAGLKPCSSGSPEKKTDQGHKTTTLVLIIVIPIGVVGVVGMVVVIWLIPLRRCIKEMPRASRENLFTILNFDGNIAYESIVEATDNFDSRYCIGVGGSGSVFRAELSNGEVFAVKKLNESIQGDESRDFKSFSNEIRTLSEVRHRNVVRLYGFCSHVRHSFLVYEYLKGGSLAQVLSHDEKSIELDWIKRVNVVKAVAKTLSYMHHGSFPTIVHRDISSKNILFDHEYEAHISDFGTARLLNSNSSNWTSFAGTFGYTAPEFAYTMEVNEKCDVFSFGVIALEVIMGRHPGDLVASISSSSLSETQNLVLKEVLDPRPSSPGKHEAEELVLIAKIAFSCLNFNPGSRPTMFQVSALLSKKMQPSDLFPYITLCQLFGLEFPTH >itb15g10400.t1 pep chromosome:ASM357664v1:15:8202384:8204413:-1 gene:itb15g10400 transcript:itb15g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALNLSSTSKPSVLPRPMHSYSPIDITIHNQILNTCGSGRYRAEISKMRGFLYRLFILILCLALTFGTSLDSEGSDSEAELRSISEAPLSLTDKHETAIVVAPDGMIHLVSSGKILWTFTSGPSIYSSFHDLPDNRAGDHNLSTKRQNFYIDFGEKDWKLYMYLHGDNLTKVELRQSVDVILRHTPHIFDSGVMVGSKKTTVFLVDSKTGRRIHTSKSDMFGDNEVDENPIMERTDLDLDLVDNLLYIKRIDYVLKYICTKTAKVLWDLQFAAFEASLKCESYDKFLGGFSDKVNYFGPRHEVCSTMVPVYRTRSPNASTLQQALLVDTSLSLPAADHNLVMPIEQLVKFHQNNKVASQ >itb15g06880.t2 pep chromosome:ASM357664v1:15:4649761:4652698:-1 gene:itb15g06880 transcript:itb15g06880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQLACQKHGRPEKGYQHWRWQPHGCDLKRWNATEMWEKLRGKRLMFVGDSLNRNQWMSMVCMLQSVIPSNKRYMSPQQHLSIFRTEEYNASVEFLWAPLLVESNSDHPVDHRLSERILRPDSLLRHLSHWRNADILVFNSYLWWRQGPVKLLWSNEQNGACEEIDGLRGMELAMRAWADWVASNVDPLKKQVFFVTMSPTHQSKEEWEPGSEGNCYDEKLPITNRDYWGNGSDAATMQMVGRVLGDLGTKVSVLNITKLSEYRKDGHPTIYRKFWEALSPEKLANPASYSDCIHWCLPGVPDVWNELLFQFL >itb15g06880.t3 pep chromosome:ASM357664v1:15:4649761:4652768:-1 gene:itb15g06880 transcript:itb15g06880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKLRGKRLMFVGDSLNRNQWMSMVCMLQSVIPSNKRYMSPQQHLSIFRTEEYNASVEFLWAPLLVESNSDHPVDHRLSERILRPDSLLRHLSHWRNADILVFNSYLWWRQGPVKLLWSNEQNGACEEIDGLRGMELAMRAWADWVASNVDPLKKQVFFVTMSPTHQSKEEWEPGSEGNCYDEKLPITNRDYWGNGSDAATMQMVGRVLGDLGTKVSVLNITKLSEYRKDGHPTIYRKFWEALSPEKLANPASYSDCIHWCLPGVPDVWNELLFQFL >itb15g06880.t1 pep chromosome:ASM357664v1:15:4649749:4652792:-1 gene:itb15g06880 transcript:itb15g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCQKKRGQYPLIALVLFAFIVFSVFFNGSNIEETSPSEEHPFLVSKNISAPEFNPARELDKFSKCHNTVEYSGRGANWDAPMPESGGRREMPESCDFFSGEWVFDNTSHPLYSEFDCPYMSDQLACQKHGRPEKGYQHWRWQPHGCDLKRWNATEMWEKLRGKRLMFVGDSLNRNQWMSMVCMLQSVIPSNKRYMSPQQHLSIFRTEEYNASVEFLWAPLLVESNSDHPVDHRLSERILRPDSLLRHLSHWRNADILVFNSYLWWRQGPVKLLWSNEQNGACEEIDGLRGMELAMRAWADWVASNVDPLKKQVFFVTMSPTHQSKEEWEPGSEGNCYDEKLPITNRDYWGNGSDAATMQMVGRVLGDLGTKVSVLNITKLSEYRKDGHPTIYRKFWEALSPEKLANPASYSDCIHWCLPGVPDVWNELLFQFL >itb02g01350.t1 pep chromosome:ASM357664v1:2:747978:751217:1 gene:itb02g01350 transcript:itb02g01350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCGKQKFLHGMLEVSIFRATMSKRSVPFKCISVSGKPAYVTIKLGNKKVAKTSSERDRIWNQTFQILCAHPADTTVTLELRTKCSRLGRFDFQGDRVLNGESLIEGFFPLKMESGKANKNLKLQFILWFKPAEYEASWGKVLENGGFMGLKNATFPQRSNCSVTLYQDAHHKHEFQPPFAKPKNLWEDIYKAIDGAKHLVYIAGWSFNPNIALVRDPHTEIQQARGVKLGELLKLKAEEGVAVRVMLWDDETSLPIIRNKGVMGTHDEDSLAYFKNTKVVCRLVPRSHHKLPSCFAHHQKTITVDARKPGSSGREIMSFLGGLDLFDGRYDTEQHSLFHTLNVESHCYDFYQTSLPGASIHKGGPREPWHDTHSCVTGQAALDVLSNFEHRWIKQCDPSLLVPISSIPELNNNQTGLDSSERDWKVQVLRSIDHVSTSPLPRNMTVESSIQEAYVEAIRRADRFLYIENQYFIGGCHLWEQDQNCGCRNLISVEIALKIGSKIRANERFAAYIVMPMWPEGAPESDSVQDILHWTRETMKMMYRIIGEAIAESGNSHFHPRDYLNFFCLANREEPIKGEFVPPYSPHPSSHYWKAQKHRRFMVYVHSKLMIVDDTYLLIGSANVNQRSMDGQRDTEIAIGCYQSRSEEAVIDNGDIHAYRMSLWYEHTGKADREFLEPQNLECVQKVCSIGEQMWKIYSSDDVTDMKGVHLVSYPVSITGEGHVEDLMEINGHFPDTETPVKGKRSKVLAPMITT >itb05g25970.t2 pep chromosome:ASM357664v1:5:30080792:30086734:-1 gene:itb05g25970 transcript:itb05g25970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARPDEEDEDECFHDSLDRLLSSANTSCSSSALPSDDEDDPNSRLGSPNYGPVIVPKFPAANNYDVWISQPSSIEERRLRLLRQMGLSCDASLLRHRPPPSAADDGTENFGRSASSDQLKCSSSSGIVRSKSDGDCNSSRYSTCSSSSVHRNSEFLSIDSAPLKSASAKEANVGGSIVVNNSEYRRRSASNVDQLRNCNGSLPTNPLSPKKPPTSKHKSNSSQNGSCNFGHGEGEEVLRVEEAVCTIKDLDNGKEFVVNEVREDGMWNKLKEVGTGRQLTMEEFEMCVGTSPIVQELMRRQSVEDGNKDGLDSNANDSSGSGSKLKKRGGWLKSIRNVANSMTGYKERRSSDERDTSSEKGGRRSSSATDDSQDASFHGPERVRVRQYGKSCKELSALYKTQEIQAHTGSIWTIKFSLDGKYLASAGEDCAIHVWQVTELERKGDMLLDKPDDGSLNVIVLTNGSSEPTSGSPSLDGHTEKKRSGKSSINRKSVSFDHVLVPETVFALSEKPICSLEGHEEDVLDLSWSKSQRLLSSSMDKTVRLWDLSSKSCLKVFSHSDFVTCIQFNPVDDRYFISGSLDSKVRIWSIPERQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCLLYNTSENKLQQKGQINLQNKKKRSHQKKITGFEFVPGSTSEVLVTSADSRIRVIEGVDLVHKFKGFRNSKSHSASLSVDGRYVVCASEDSYVYVWKHDGDSRPSRSKGVTVTQCYEQFHSQDVSVAIPWPGMCDTWRFQDNSSPEEHSGPFDQTDEVSTSNHPPSPAEEAYGNESSPLASGCSNSPLHGIICNATNSYFFDRISAAWPEEKLVSSTNKTQSPHASMDDFSSSGLYQTKSAAWGLVIVTADNRGEIRTFQNFGMPIRI >itb05g25970.t1 pep chromosome:ASM357664v1:5:30080792:30086734:-1 gene:itb05g25970 transcript:itb05g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKLKEVGTGRQLTMEEFEMCVGTSPIVQELMRRQSVEDGNKDGLDSNANDSSGSGSKLKKRGGWLKSIRNVANSMTGYKERRSSDERDTSSEKGGRRSSSATDDSQDASFHGPERVRVRQYGKSCKELSALYKTQEIQAHTGSIWTIKFSLDGKYLASAGEDCAIHVWQVTELERKGDMLLDKPDDGSLNVIVLTNGSSEPTSGSPSLDGHTEKKRSGKSSINRKSVSFDHVLVPETVFALSEKPICSLEGHEEDVLDLSWSKSQRLLSSSMDKTVRLWDLSSKSCLKVFSHSDFVTCIQFNPVDDRYFISGSLDSKVRIWSIPERQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCLLYNTSENKLQQKGQINLQNKKKRSHQKKITGFEFVPGSTSEVLVTSADSRIRVIEGVDLVHKFKGFRNSKSHSASLSVDGRYVVCASEDSYVYVWKHDGDSRPSRSKGVTVTQCYEQFHSQDVSVAIPWPGMCDTWRFQDNSSPEEHSGPFDQTDEVSTSNHPPSPAEEAYGNESSPLASGCSNSPLHGIICNATNSYFFDRISAAWPEEKLVSSTNKTQSPHASMDDFSSSGLYQTKSAAWGLVIVTADNRGEIRTFQNFGMPIRI >itb02g13730.t1 pep chromosome:ASM357664v1:2:9973237:9974538:-1 gene:itb02g13730 transcript:itb02g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHESKGNETMSTSNSSMKRLQDKVVIVTGGASGIGEATARLFADHGTRAVVIADIQDEKGVAVAESIGLDKCSFVKCDVSEEEQVKAMVDWTVQKYGRLDVMFSNAGTAAPSDQKILDLDFSDFDRVFRVNARGTAVCVKHAARAMVEGRVRGSIICTASMAATRGGVRRTDYIMSKHAVLGLVRSACLQLGAHGIRVNCVSPSATPTPLILANPEDASSYVENVYGPLTSLKGITSDVKHVANAVLFLASDESAFISGHDLVVDGGLICIAVPGPGSS >itb02g10980.t1 pep chromosome:ASM357664v1:2:7181341:7184399:-1 gene:itb02g10980 transcript:itb02g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MDKGKYSEELNVAVRVVHMACFLCQKVQKGLAFSISDDVVQSKDDDSPVTVADWSVQATVSWMLSENFGGENVSIVAEEDVQTLSKSESAGILDKVISTVNECLAEAPRYGLKGPSVALERSQVLEAISRCNSSGGPVGRHWVLDPVDGTLGFVRRDQYAVALALVDNGEVVVGVLGCPNYPMKEQFNNQNQQNHTMPDIYWTSTGKHEEGCVMYTSRGCGRAWMQPLVDTDKKFEWPNFAREIRVSSIDDPELATICEPVERANTDHSFTAGLASSVGLRKQPLRVYSMVKYAAIACGNAEIFMKFARAGYKEKIWDHAAGVLLVEEAGGVVTDAGGRPLDFSRGVYLEGLDRGIVACSGVKLHDAIIGAVYASWESSNL >itb02g10980.t2 pep chromosome:ASM357664v1:2:7181482:7184399:-1 gene:itb02g10980 transcript:itb02g10980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MDKGKYSEELNVAVRVVHMACFLCQKVQKGLAFSISDDVVQSKDDDSPVTVADWSVQATVSWMLSENFGGENVSIVAEEDVQTLSKSESAGILDKVISTVNECLAEAPRYGLKGPSVALERSQVLEAISRCNSSGGPVGRHWVLDPVDGTLGFVRRDQYAVALALVDNGEVVVGVLGCPNYPMKEQFNNQNQQNHTMPDIYWTSTGKHEEGCVMYTSRGCGRAWMQPLVDTDKKFEWPNFAREIRVSSIDDPELATICEPVERANTDHSFTAGLASSVGLRKQPLRVYSMVKYAAIACGNAEIFMKFARAGYKEKIWDHAAGVLLVEEAGGVVTDAGGRPLDFSRGVYLEGLDRGIVACSGVKLHDAIIGAVYASWESSNL >itb02g16630.t2 pep chromosome:ASM357664v1:2:12578147:12587120:-1 gene:itb02g16630 transcript:itb02g16630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQPDSRVPPAKPPATSSTVAALKAYWLPLALFVASLCFQLLVTPHFFPPSHYDVLGIKRYSSIEEVTKAYEKLSSKWNSDVEEASTENFIKVQYAFELLSNQIWKRDYDIFGIDEQFDMIEKVKLQHNETSISGIRLPLMAPISFDLADNDFGIINSEGIVSMLESDKAFLVQMFSLGSGQCHQFLHKWKRIVELLDGVANTGMVELSNAQLTTYLAEKKPSGQPFFRYGVPALVAFPPGCQSLKCLHRYEGELSVDAVTDWIATDILSLPRILYYWKESMAQKFLAKSKPHKEGDSSLWWNLFGVESAPALVFLKETGVQPIVYHGYINNSMFMDIMEKNKHQVLPQLRSVTSRELGCDARGFSRAGNDTKVWYCVVLVGRQSKELNEMRETMRRLQETLSNGELNKVDQDPLSTAAEVALKEKRLTFTWLDGEKQKTYCFFYVNSENSYDTCGPRYDITDAAKLFIVRYSRTAAEDEKTQKSENWRKNSYEALFAADSDPASQLVATYNGSHEIPEIVRWISVIIKDGDSNDLPSFKTKTPELVPEDADSIWSTGSERITAPTKGIKLKMKGFVNQIHDYLGDPRIGPFLLLGALISFGHIWVRKSQSTNVKQSNDSSQPPSETSDSCSKPKPKDKLRSKLRKGPRNQLIPPSITDMEPKDAEQIEFSDSDSE >itb02g16630.t1 pep chromosome:ASM357664v1:2:12577952:12587176:-1 gene:itb02g16630 transcript:itb02g16630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQPDSRVPPAKPPATSSTVAALKAYWLPLALFVASLCFQLLVTPHFFPPSHYDVLGIKRYSSIEEVTKAYEKLSSKWNSDVEEASTENFIKVQYAFELLSNQIWKRDYDIFGIDEQFDMIEKVKLQHNETSISGIRLPLMAPISFDLADNDFGIINSEGIVSMLESDKAFLVQMFSLGSGQCHQFLHKWKRIVELLDGVANTGMVELSNAQLTTYLAEKKPSGQPFFRYGVPALVAFPPGCQSLKCLHRYEGELSVDAVTDWIATDILSLPRILYYWKESMAQKFLAKSKPHKVKVIFFSKTGERASPFIRQAARSYSAFADFAFVLWQEGDSSLWWNLFGVESAPALVFLKETGVQPIVYHGYINNSMFMDIMEKNKHQVLPQLRSVTSRELGCDARGFSRAGNDTKVWYCVVLVGRQSKELNEMRETMRRLQETLSNGELNKVDQDPLSTAAEVALKEKRLTFTWLDGEKQKTYCFFYVNSENSYDTCGPRYDITDAAKLFIVRYSRTAAEDEKTQKSENWRKNSYEALFAADSDPASQLVATYNGSHEIPEIVRWISVIIKDGDSNDLPSFKTKTPELVPEDADSIWSTGSERITAPTKGIKLKMKGFVNQIHDYLGDPRIGPFLLLGALISFGHIWVRKSQSTNVKQSNDSSQPPSETSDSCSKPKPKDKLRSKLRKGPRNQLIPPSITDMEPKDAEQIEFSDSDSE >itb09g01940.t1 pep chromosome:ASM357664v1:9:1180184:1181448:1 gene:itb09g01940 transcript:itb09g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKANSADVLYDFSIMRVYTDGRVERLAGKDVFPAGLDAETGVQSKDVVISPELNISARLYLPKTAGTDGRKLPLLVYFHGGGFVIESAFSPTYQKHLNLVAAEANVVIVSVNYRLAPEHPLPAAYDDSWLALKWLASHSHAGGQDPWLNDYADFDRLFFGGDSAGGNIAHNMAMRVGLENPGAGGINLEGIYLNCPFFWGKDPIGNEGDNVYVSSLLENLWKFVNPGTTGLDDPLINPAADPDLARVGCKRVLVYVGEKDPLRNRGWHYKEALRKKGWDGYVEVVEAKGEDHVFNLINPTSDNAMAMVKKLASFINNV >itb01g02510.t1 pep chromosome:ASM357664v1:1:1639796:1643099:1 gene:itb01g02510 transcript:itb01g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMLKRYPKWKMSAPFQYDLVVTHALRWPSLTVEWLPDLEERQGGDYSVQKMIIGTKARMQLDNYLFLAQVQLPIGEGGGVDSKGDFSSSGGIIHDGCVEDVAWHMKHENLFGSVGEDRYLRLWDMRTPVIRPNQSVLAHSDEVKSLAFNPFNEWVVATGSADKKVKLFDLRKISSALHTLEWPAQEEVAHVRWNPKHETILASSCAGKRLVVWDLCRISREQTKQDAKVGPPELLFLHGGHTNRITDFSRNPCDEWVVASTAIDNLLHIWQMAEHIYDKDDDDDFPGFHASQASHSKSPKQMDP >itb15g09990.t1 pep chromosome:ASM357664v1:15:7234912:7240963:-1 gene:itb15g09990 transcript:itb15g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSGRVASPIMIHHQSLAGGLCDPRSVAKKRGLSFQPSTQKRDSWNPRAWEWDSARFVAKPVVESDARPELRRRSQEQLKEQNFNNNNKPICVVEDDETLTLNLGGCVLNSAEEALSRPSKRVRSGSPNTSNYPMCQVDNCKENLTNAKDYHRRHKVCEVHSKASKALVGKQMQRFCQQCSRFHSLSEFDEGKRSCRRRLAGHNKRRRKTQPEETTSRPMLPTSNDAPGNRDLDIVNLLAVIASAQGNNEKRSTNLSSLPDKDQLMQALSKINSLPLPANVVDKLPISGSLNGTNANQLPSSCKARENTASPSTRDLLTALSAASAGAASDAVDVQSQRSSQGSDSERSKSACVGHDTRINFQNRPPLELSSVGGEKSSTSYQSHEDLDSNIQETHHNLPLRLFTSPAEDVSTHTPPVGRKYFSSESSNPSAGRSPSSSPPVVQKLFPVQDSRGSTNPDRIFASREENRNVKETKTNGCITSFQLFGGLITGADSYSIQSSPYQTGYTSSSGSDHSPSSLNSDAQDRTGRIMFKLFDKDPSHLPGSLRTQIYHWLSNIPSEMESHIRPGCIVLSLYLSMPSPLWEQLEENLFQYVKALIKDVDPQFWASGRFIVRTDKRLASHNDGNIHVYKSRRAWRSPKLMCVSPLAVVGGQEISLTLRGTNLNFPGTKIYCTDAGGYRISWAKTSTWQDTACEEIILGNFMIHGMAPSVLGRFFIEVENGIRGTSFPIIVADNAVCEELRCLESDISEAENVRGAALGHGNPGFEMSRSKEVVHFLNELGWLFQRKCSSSFESRDFKIIRFKFLLIFSVEHDFCALVKTLLDILLEINLAMKGLENESLEMLLELQLLSRAVKRKCKDMVDLLINFSVSVPGHNLKYIFLPNLPGPGGITPLHLAACTANSDDVVDSLTSDPQEIGLRCWNSLLDENGLSPNAYALMRNNHSYNNLVSQKLANMEKGHVSVSIGGEIDQLEMGEDHNKQAFQVDQAPKSCSKCAAAAMRHSRRVPGSQGLLYRPYIHSMLAIAAVCACVCVFFRGAPDIGSVAPFKWENLDFGPL >itb15g08010.t1 pep chromosome:ASM357664v1:15:5624692:5625991:1 gene:itb15g08010 transcript:itb15g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKASLILFFACSLFVQATLGEIICEELPTNVCAFSIASSGKRCLLENSATNEGKVEYQCKTSDVMVAKMAEYIETDQCVGDCGVDRNSVGISSDSLLEPEFTAKLCSPACHQNCPNIIDLYFNLAAGEGVYLPDLCDKQRSNPRRAMAELSSSGAAAASPATSESLVADAPESSPAPAPASL >itb15g08010.t2 pep chromosome:ASM357664v1:15:5624692:5625499:1 gene:itb15g08010 transcript:itb15g08010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKASLILFFACSLFVQATLGEIICEELPTNVCAFSIASSGKRCLLENSATNEGKVEYQCKTSDVMVAKMAEYIETDQCVGDCGVDRNSVGISSDSLLEPEFTAKLCSPACHQNCPNIIDLYFNLAAGEGKNQLLI >itb15g07100.t1 pep chromosome:ASM357664v1:15:4778020:4778535:1 gene:itb15g07100 transcript:itb15g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSACSSSVVNNSNEENRNKKKKSGGKVVKLSTDPQSVAARERRHRISDRFKILQSLVPGGSKMDTVSMLDEAIHYVKFLKTQIWLHQTMINFAAADDPSYCYPAAAAACGGGGDDVLAGDYNVQSSQGNYGVGPGNYTIMAGQGGAAGGGDGGAGESMAAVDDAFSVYY >itb01g32490.t1 pep chromosome:ASM357664v1:1:35980481:35982389:1 gene:itb01g32490 transcript:itb01g32490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSKEVKVTAKAVVAGKEGTEEEEEIGVVSRVVDSGRIAVTIPGSVPAKHVSAGPSFPPARRLYDPVQLLVQNFSNLKRSGEPARFMRYVEESWVDVEAEVFEAVKAGFSKGVSSVETEIGGSKCVFDLHRMIELNLDTGAFRSVAWIDVKGMCFFPKSFVCSQDGENGLVNLGEEPKNEVVGNANEKRYLVQPELEIEIEFTDDSQNEANQAKLNKRKREIIEPEGNSSKEKGKNVQECELVSPRWANTRSVAKQELGYEVMRDLFVAAMAAVGPGAMITAIHQRVRKGGSVERAEYDAFQKQAGLVRQARGNTKVVFAWLGTTVQGVHSIMSHGFGATGMMSGSGPLGAGLYLSPVRSPRMSALTAQVDENGEKHLVFCRVILGKCGKIEVGSLQLSHSRMDFDTGVDDLNNPQWYGVLCANHVLPEGVLSYRPANVVPGRVTAAPSHMLAPNAASVFYRKLVSKLIISHPPPKVQALQALYSSFMSGKLGNDDFMRELGSVVGDEVLRSTIQQIRG >itb14g13250.t1 pep chromosome:ASM357664v1:14:15206982:15213114:-1 gene:itb14g13250 transcript:itb14g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQPFKVFSKENSKNTSGSTEKASIPVIFSQENGSIGGSDLGYHSTAQEVKPCNKAHQLLSSKGASVTPNESSIKQESTVNVTNLSTSLDGVSLDESKDFSVGNCRQNVVKASNGPVNTIRYLLPRGLVNLGNLCFLNATLQALLSCAPFVQLLQELRTREIPESGYSTLRAFVEFISDFDMPLKLNLKRKDPVFIETGKPFRPLMFESVLKSSTPDVPNSLTGRPRQEDAQEFLSFIMHQMHDELLKLEGQLPNGVGRNSSLVSSVHVDDDENWETVGPRNKTAITRTQSFVPSKLSQIFGGQLKSIVKARVGCKVPADMRMIEILSDQLRDDQAILTGK >itb14g13250.t2 pep chromosome:ASM357664v1:14:15206982:15213114:-1 gene:itb14g13250 transcript:itb14g13250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQPFKVFSKENSKNTSGSTEKASIPVIFSQENGSIGGSDLGYHSTAQEVKPCNKAHQLLSSKGASVTPNESSIKQESTVNVTNLSTSLDGVSLDESKDFSVGNCRQNVVKASNGPVNTIRYLLPRGLVNLGNLCFLNATLQALLSCAPFVQLLQELRTREIPESGYSTLRAFVEFISDFDMPLKLNLKRKDPVFIETGKPFRPLMFESVLKSSTPDVPNSLTGRPRQEDAQEFLSFIMHQMHDELLKLEGQLPNGVGRNSSLVSSVHVDDDENWETVGPRNKTAITRTQSFVPSKLSQIFGGQLKSIVKARVGCKVPADMRMIEILSDQLRDDQAILTGK >itb14g13250.t5 pep chromosome:ASM357664v1:14:15210337:15213114:-1 gene:itb14g13250 transcript:itb14g13250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQPFKVFSKENSKNTSGSTEKASIPVIFSQENGSIGGSDLGYHSTAQEVKPCNKAHQLLSSKGASVTPNESSIKQESTVNVTNLSTSLDGVSLDESKDFSVGNCRQNVVKASNGPVNTIRYLLPRGLVNLGNLCFLNATLQALLSCAPFVQLLQELRTREIPESGYSTLRAFVEFISDFDMPLKLNLKRKDPVFIETGKPFRPLMFESVLKSSTPDVPNSLTGRPRQEDAQEFLSFIMHQMHDELLKLEGQLPNGVGRNSSLVSSVHVDDDENWETVGPRNKTAITRTQSFVPSKLSQIFGGQLKSIVKARGMLHWYLILSIYVWIMDDPSLSLSFSFASICIFFFFERIRV >itb14g13250.t3 pep chromosome:ASM357664v1:14:15206982:15213092:-1 gene:itb14g13250 transcript:itb14g13250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQPFKVFSKENSKNTSGSTEKASIPVIFSQENGSIGGSDLGYHSTAQEVKPCNKAHQLLSSKGASVTPNESSIKQESTVNVTNLSTSLDGVSLDESKDFSVGNCRQNVVKASNGPVNTIRYLLPRGLVNLGNLCFLNATLQALLSCAPFVQLLQELRTREIPERKDPVFIETGKPFRPLMFESVLKSSTPDVPNSLTGRPRQEDAQEFLSFIMHQMHDELLKLEGQLPNGVGRNSSLVSSVHVDDDENWETVGPRNKTAITRTQSFVPSKLSQIFGGQLKSIVKARVGCKVPADMRMIEILSDQLRDDQAILTGK >itb14g13250.t4 pep chromosome:ASM357664v1:14:15206982:15213092:-1 gene:itb14g13250 transcript:itb14g13250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAQPFKVFSKENSKNTSGSTEKASIPVIFSQENGSIGGSDLGYHSTAQEVKPCNKAHQLLSSKGASVTPNESSIKQESTVNVTNLSTSLDGVSLDESKDFSVGNCRQNVVKASNGPVNTIRYLLPRGLVNLGNLCFLNATLQALLSCAPFVQLLQELRTREIPERKDPVFIETGKPFRPLMFESVLKSSTPDVPNSLTGRPRQEDAQEFLSFIMHQMHDELLKLEGQLPNGVGRNSSLVSSVHVDDDENWETVGPRNKTAITRTQSFVPSKLSQIFGGQLKSIVKARVGCKVPADMRMIEILSDQLRDDQAILTGK >itb10g20420.t3 pep chromosome:ASM357664v1:10:25983954:25991456:1 gene:itb10g20420 transcript:itb10g20420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKSTSGVGEDNIGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRASMKKAKRKPIGESEIYLESKSDDMDLPLNNQSMGDFSGSVSGKKKNKEKISKSPVGYFPETTSNRAYPVRGTLKSSDDLQRDASPYEESLRSYRTPPPSCLESSKNRSQKMFDSSPMTENSEGSSDSSDNTGGQPCHQCRQNDHRVIWCLKCDKRGYCERCISTWYSDVPMEDIQRMCPACRSSCTCKVCLRADILIKARIRDIPAQKKLQYLYSLLSAVLPVVKRIHHNQCSEVELERKLRGNGIDLARMKLNSDEQMCCNFCRIPIIDYHRHCSNCSYDLCLSCCKDIREAATKEDIVNQISDQTDGREMTLEPVKLSNVQLELFKRISDWKANSNGSIPCPPRKYGGCGSLTLVLKRIFKMNWVAKLVKNVEEMVGGCKLCDTGYVEEPDSELRLCRAAHRENGNDNFLYDPYSDDIKTEGIENFRKHWSIGKPVIVKEVLNISSTSVWDPMFIWRGVRETADEKTKDEDRTVKAIDCYNWTEIDIHLGEFIRGYSEGRICKNGLPQMLKLKDWPSPSASEEFLLYQRPELISKLPLLEFIHSKWGLLNVAAKLPHYSLQNDVGPKIFMSYGLYEELGKGDAVHNLHVNMRDMVFLLVHTNEVKLKGWQRTKSEKIPKASAEYNAKEASDDPKNNLNGELPALSPAEEDRLDENDANMDLDAPDILVDQGTRTSSSDTNDAIDEDLSDTDVKKFERAHSGALWDVFRRQDIPKLIEYLTCHRKEFGEPESVINDSVSSPLYDGKIYLNRHHKGKLKDKFGIEPWSFEQNLGEAIFIPAGCPFQVRNLQSTVQLGLDFLSPENLGEAARMAEEIRGLPNDHETKLQMLEQVEKISLYAASSAIKEVQKLVLDPKTGPELGFEDPNLTAFVSENLEGTIKRRQVACV >itb10g20420.t2 pep chromosome:ASM357664v1:10:25983954:25991606:1 gene:itb10g20420 transcript:itb10g20420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKSTSGVGEDNIGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRASMKKAKRKPIGESEIYLESKSDDMDLPLNNQSMGDFSGSVSGKKKNKEKISKSPVGYFPETTSNRAYPVRGTLKSSDDLQRDASPYEESLRSYRTPPPSCLESSKNRSQKMFDSSPMTENSEGSSDSSDNTGGQPCHQCRQNDHRVIWCLKCDKRGYCERCISTWYSDVPMEDIQRMCPACRSSCTCKVCLRADILIKARIRDIPAQKKLQYLYSLLSAVLPVVKRIHHNQCSEVELERKLRGNGIDLARMKLNSDEQMCCNFCRIPIIDYHRHCSNCSYDLCLSCCKDIREAATKEDIVNQISDQTDGREMTLEPVKLSNVQLELFKRISDWKANSNGSIPCPPRKYGGCGSLTLVLKRIFKMNWVAKLVKNVEEMVGGCKLCDTGYVEEPDSELRLCRAAHRENGNDNFLYDPYSDDIKTEGIENFRKHWSIGKPVIVKEVLNISSTSVWDPMFIWRGVRETADEKTKDEDRTVKAIDCYNWTEIDIHLGEFIRGYSEGRICKNGLPQMLKLKDWPSPSASEEFLLYQRPELISKLPLLEFIHSKWGLLNVAAKLPHYSLQNDVGPKIFMSYGLYEELGKGDAVHNLHVNMRDMVFLLVHTNEVKLKGWQRTKSEKIPKASAEYNAKEASDDPKNNLNGELPALSPAEEDRLDENDANMDLDAPDILVDQGTRTSSSDTNDAIDEDLSDTDVKKFERAHSGALWDVFRRQDIPKLIEYLTCHRKEFGEPESVINDSVSSPLYDGKIYLNRHHKGKLKDKFGIEPWSFEQNLGEAIFIPAGCPFQVRNLQSTVQLGLDFLSPENLGEAARMAEEIRGLPNDHETKLQMLEVEKISLYAASSAIKEVQKLVLDPKTGPELGFEDPNLTAFVSENLEGTIKRRQVACV >itb10g20420.t1 pep chromosome:ASM357664v1:10:25983954:25991606:1 gene:itb10g20420 transcript:itb10g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKSTSGVGEDNIGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRASMKKAKRKPIGESEIYLESKSDDMDLPLNNQSMGDFSGSVSGKKKNKEKISKSPVGYFPETTSNRAYPVRGTLKSSDDLQRDASPYEESLRSYRTPPPSCLESSKNRSQKMFDSSPMTENSEGSSDSSDNTGGQPCHQCRQNDHRVIWCLKCDKRGYCERCISTWYSDVPMEDIQRMCPACRSSCTCKVCLRADILIKARIRDIPAQKKLQYLYSLLSAVLPVVKRIHHNQCSEVELERKLRGNGIDLARMKLNSDEQMCCNFCRIPIIDYHRHCSNCSYDLCLSCCKDIREAATKEDIVNQISDQTDGREMTLEPVKLSNVQLELFKRISDWKANSNGSIPCPPRKYGGCGSLTLVLKRIFKMNWVAKLVKNVEEMVGGCKLCDTGYVEEPDSELRLCRAAHRENGNDNFLYDPYSDDIKTEGIENFRKHWSIGKPVIVKEVLNISSTSVWDPMFIWRGVRETADEKTKDEDRTVKAIDCYNWTEIDIHLGEFIRGYSEGRICKNGLPQMLKLKDWPSPSASEEFLLYQRPELISKLPLLEFIHSKWGLLNVAAKLPHYSLQNDVGPKIFMSYGLYEELGKGDAVHNLHVNMRDMVFLLVHTNEVKLKGWQRTKSEKIPKASAEYNAKEASDDPKNNLNGELPALSPAEEDRLDENDANMDLDAPDILVDQGTRTSSSDTNDAIDEDLSDTDVKKFERAHSGALWDVFRRQDIPKLIEYLTCHRKEFGEPESVINDSVSSPLYDGKIYLNRHHKGKLKDKFGIEPWSFEQNLGEAIFIPAGCPFQVRNLQSTVQLGLDFLSPENLGEAARMAEEIRGLPNDHETKLQMLEVEKISLYAASSAIKEVQKLVLDPKTGPELGFEDPNLTAFVSENLEGTIKRRQVACV >itb10g20420.t4 pep chromosome:ASM357664v1:10:25983954:25991456:1 gene:itb10g20420 transcript:itb10g20420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKSTSGVGEDNIGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRASMKKAKRKPIGESEIYLESKSDDMDLPLNNQSMGDFSGSVSGKKKNKEKISKSPVGYFPETTSNRAYPVRGTLKSSDDLQRDASPYEESLRSYRTPPPSCLESSKNRSQKMFDSSPMTENSEGSSDSSDNTGGQPCHQCRQNDHRVIWCLKCDKRGYCERCISTWYSDVPMEDIQRMCPACRSSCTCKVCLRADILIKARIRDIPAQKKLQYLYSLLSAVLPVVKRIHHNQCSEVELERKLRGNGIDLARMKLNSDEQMCCNFCRIPIIDYHRHCSNCSYDLCLSCCKDIREAATKEDIVNQISDQTDGREMTLEPVKLSNVQLELFKRISDWKANSNGSIPCPPRKYGGCGSLTLVLKRIFKMNWVAKLVKNVEEMVGGCKLCDTGYVEEPDSELRLCRAAHRENGNDNFLYDPYSDDIKTEGIENFRKHWSIGKPVIVKEVLNISSTSVWDPMFIWRGVRETADEKTKDEDRTVKAIDCYNWTEIDIHLGEFIRGYSEGRICKNGLPQMLKLKDWPSPSASEEFLLYQRPELISKLPLLEFIHSKWGLLNVAAKLPHYSLQNDVGPKIFMSYGLYEELGKGDAVHNLHVNMRDMVFLLVHTNEVKLKGWQRTKSEKIPKASAEYNAKEASDDPKNNLNGELPALSPAEEDRLDENDANMDLDAPDILVDQGTRTSSSDTNDAIDEDLSDTDVKKFERAHSGALWDVFRRQDIPKLIEYLTCHRKEFGEPESVINDSVSSPLYDGKIYLNRHHKGKLKDKFGIEPWSFEQNLGEAIFIPAGCPFQVRNLQSTVQLGLDFLSPENLGEAARMAEEIRGLPNDHETKLQMLEQVEKISLYAASSAIKEVQKLVLDPKTGPELGFEDPNLTAFVSENLEGTIKRRQVACV >itb07g01440.t4 pep chromosome:ASM357664v1:7:878655:882713:1 gene:itb07g01440 transcript:itb07g01440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVERTIGEGSFAKVKLARNSETGEHVALKILDKNKVLKDKMVEQIKREIATMKLIRHPNVVCLYEVMGSKTKIFLVLEFVTGGELLNKIINDGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSTYSKQIRDDGLLHTTCGTPNYVAPEVLNDRGYNGSTADLWSCGVILFVMLAGYFPFDDNNLANLFRKISSAEFTSPPWISFGAMKLITRILDPNPGTRITIPEILEDKWFKEGYKPPIFNEMEDANLDDVEAVFQHSEERRVTERRQEHPTPMNAFELISLAKGLDLGNLFDQQGFKRETRFASKCSANKIISKIEEAVKPLGFDVRKKNYKMRLENIKAGRKGNLNVATEVFQVAPCLHMVEVRKAKGDTLEFHKFYRSLSTCLEDVVWKTEDDGMHIKE >itb07g01440.t3 pep chromosome:ASM357664v1:7:878553:882713:1 gene:itb07g01440 transcript:itb07g01440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVERTIGEGSFAKVKLARNSETGEHVALKILDKNKVLKDKMVEQIKREIATMKLIRHPNVVCLYEVMGSKTKIFLVLEFVTGGELLNKIINDGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSTYSKQIRDDGLLHTTCGTPNYVAPEVLNDRGYNGSTADLWSCGVILFVMLAGYFPFDDNNLANLFRKISSAEFTSPPWISFGAMKLITRILDPNPGTRITIPEILEDKWFKEGYKPPIFNEMEDANLDDVEAVFQHSEERRVTERRQEHPTPMNAFELISLAKGLDLGNLFDQQGFKRETRFASKCSANKIISKIEEAVKPLGFDVRKKNYKMRLENIKAGRKGNLNVATEVFQVAPCLHMVEVRKAKGDTLEFHKFYRSLSTCLEDVVWKTEDDGMHIKE >itb07g01440.t1 pep chromosome:ASM357664v1:7:878516:882713:1 gene:itb07g01440 transcript:itb07g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVERTIGEGSFAKVKLARNSETGEHVALKILDKNKVLKDKMVEQIKREIATMKLIRHPNVVCLYEVMGSKTKIFLVLEFVTGGELLNKIINDGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSTYSKQIRDDGLLHTTCGTPNYVAPEVLNDRGYNGSTADLWSCGVILFVMLAGYFPFDDNNLANLFRKISSAEFTSPPWISFGAMKLITRILDPNPGTRITIPEILEDKWFKEGYKPPIFNEMEDANLDDVEAVFQHSEERRVTERRQEHPTPMNAFELISLAKGLDLGNLFDQQGFKRETRFASKCSANKIISKIEEAVKPLGFDVRKKNYKMRLENIKAGRKGNLNVATEVFQVAPCLHMVEVRKAKGDTLEFHKFYRSLSTCLEDVVWKTEDDGMHIKE >itb07g01440.t2 pep chromosome:ASM357664v1:7:878710:882713:1 gene:itb07g01440 transcript:itb07g01440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVERTIGEGSFAKVKLARNSETGEHVALKILDKNKVLKDKMVEQIKREIATMKLIRHPNVVCLYEVMGSKTKIFLVLEFVTGGELLNKIINDGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSTYSKQIRDDGLLHTTCGTPNYVAPEVLNDRGYNGSTADLWSCGVILFVMLAGYFPFDDNNLANLFRKISSAEFTSPPWISFGAMKLITRILDPNPGTRITIPEILEDKWFKEGYKPPIFNEMEDANLDDVEAVFQHSEERRVTERRQEHPTPMNAFELISLAKGLDLGNLFDQQGFKRETRFASKCSANKIISKIEEAVKPLGFDVRKKNYKMRLENIKAGRKGNLNVATEVFQVAPCLHMVEVRKAKGDTLEFHKFYRSLSTCLEDVVWKTEDDGMHIKE >itb07g01440.t5 pep chromosome:ASM357664v1:7:878516:881268:1 gene:itb07g01440 transcript:itb07g01440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKIKRRVGKYEVERTIGEGSFAKVKLARNSETGEHVALKILDKNKVLKDKMVEQIKREIATMKLIRHPNVVCLYEVMGSKTKIFLVLEFVTGGELLNKIINDGRMREDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSTYSKQIRDDGLLHTTCGTPNYVAPEVLNDRGYNGSTADLWSCGVILFVMLAGYFPFDDNNLANLFRKISSAEFTSPPWISFGAMKLITRILDPNPGTRITIPEILEDKWFKEGYKPPIFNEMEDANLDDVEAVFQHSEVSLPNICLDHFKVEFGEIRFSSLGAFCFILCRSVV >itb14g06290.t5 pep chromosome:ASM357664v1:14:5554486:5558998:1 gene:itb14g06290 transcript:itb14g06290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYFDPSTAVDLLGTFGQTEIGEEQEERFEVSLDIGDLLNDQTSNRGTDNGKEIEISSAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g06290.t2 pep chromosome:ASM357664v1:14:5552874:5558998:1 gene:itb14g06290 transcript:itb14g06290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYFDPSTAVDLLGTFGQTEIGEEQEERFEVSLDIGDLLNDQTSNRGTDNGKEIEISSAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g06290.t1 pep chromosome:ASM357664v1:14:5552874:5558998:1 gene:itb14g06290 transcript:itb14g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRATEELIMESVDRQEIEISSAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g06290.t4 pep chromosome:ASM357664v1:14:5554802:5558757:1 gene:itb14g06290 transcript:itb14g06290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRATEELIMESVDRQEIEISSAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g06290.t6 pep chromosome:ASM357664v1:14:5554486:5558998:1 gene:itb14g06290 transcript:itb14g06290.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYFDPSTAVDLLGTFGQTEIGEEERFEVSLDIGDLLNDQTSNRGTDNGKEIEISSAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g06290.t3 pep chromosome:ASM357664v1:14:5552874:5558998:1 gene:itb14g06290 transcript:itb14g06290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYFDPSTAVDLLGTFGQTEIGEEERFEVSLDIGDLLNDQTSNRGTDNGKEIEISSAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g06290.t7 pep chromosome:ASM357664v1:14:5556896:5558998:1 gene:itb14g06290 transcript:itb14g06290.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLERATKQPEEEEKYREYLRNPYMKLMDKARNYYKEIGVTYPHNDEELVEMLVLDGCFVVEFVMKCKEGGNGDPRNSVEGKKAREDMLLFENQLPFDVVSAIYRKMIGDTEEEVPSFISLVKFVFASLAPKFNIINFYDDNKPEQPMDLLHVVYSLCLPRNAQTLISQSNEAQGNANRWLRINHMNSTTELKQVGISFNKIGQVFNMPKKYENIPPQKYYYWVGISLFDITFSHGVMRIPCFKVDNYTKLFFRNMIAMEQRCNDTLNPKYFTDYARLMDHLVDTNRDVSLLRKNGIIQNLLGEDRKVASIFNNLSAEVDTSTNFYFASVYKDVDKHSHDDWCNCNWSKSQAYCLWKVISTFLIAVSLWILAISQRNNFRQQT >itb14g17860.t2 pep chromosome:ASM357664v1:14:21052090:21054681:-1 gene:itb14g17860 transcript:itb14g17860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYHEVISILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGKKGIPYINTYDGRTIRYPDPLIKANDTVKLDLESNKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETVHIQDALGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEAKKRLAAQSATTA >itb14g17860.t3 pep chromosome:ASM357664v1:14:21047058:21054681:-1 gene:itb14g17860 transcript:itb14g17860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYHEVISILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGKKGIPYINTYDGRTIRYPDPLIKANDTVKLDLESNKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETVHIQDALGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEAKKRLAAQSATTA >itb14g17860.t1 pep chromosome:ASM357664v1:14:21047023:21054697:-1 gene:itb14g17860 transcript:itb14g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHMKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGKKGIPYINTYDGRTIRYPDPLIKANDTVKLDLESNKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETVHIQDALGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEAKKRLAAQSATTA >itb09g11610.t1 pep chromosome:ASM357664v1:9:7239886:7242781:-1 gene:itb09g11610 transcript:itb09g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MASTVLRTASAWRAPPSASLTATANSSTSRHNFSCVVSFPRRRRASPRLPARCRVHHSCSGPAIRLPVTRSSEKFLLFASDGDVAETAQSEPQEQDQVQDSELEDNEDVAPGSDDISNEADTTTSEETASIVMMALKSYRDALDTNDESKIAELEAFLRSIEDEKVNLERKLLILTEELSYEKDRVLRISADFDNFRKRTDRERLSLVTNAQGEVVEKLLPVLDNFDRAKTQIKVETEGEEKINNSYQSISKQFVEILGSLGVTPVETIGKPFDPLLHEAIMREDSMEFDEGVIIQEFRKGFQLGDRLLRPSMVKVSAGPGPAKLETLDTAEEQGGVIETAEEGGSQPEDANETG >itb02g25700.t1 pep chromosome:ASM357664v1:2:26489484:26494298:1 gene:itb02g25700 transcript:itb02g25700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDSYRKSGSFRISNSSLWRSSGMDVFVKSSSSSRRVQEDEERALKWAALQRVPTYDRLKKALVTGLRGETSEIDINNIRYEDKKEILERLIKVPERDNQKFLLKLKDRIDRVALDIPTVEVRFEHLNVGVEAYVGKRALPSIKNLFLNILEGLLSYFHLPTNKKHISILHDVSGIIKPGRMTLLLGPPSSGKTTLLLALAGQLDPSLEFSGRVSYNGHEMHEFVPMRTAAYISQHDLHIPEMTARETLAFSARCQGVGSRYEMLAELSRREKAANIMPDPDLDIFMKAAATDGQQENVVVDYIIKILGLEGCEDTIVGNELLRGLSGGERKRLTIGEMLVGPANVFLMDEISTGLDSSTTFQILNSIRNCVHILHGTAAIALLQLSPECYDLFDDIILISEGQIVYQGPRDHVLEFFECMGFRCPERKGVAEFLQEVTSKKDQKQYWVHSDKPYRLVTVKEFCEAFQSFHVGRNLGDELGTPFQKATSHPAAITTKPYGVSKMELLKSCFLREVLLMKRNSALYLLGLASVILLAVVTMTLFPKTTNISKNSLMGAQIYMGSLFFSLSVFLFSAIQELAPTVMRLPVFYKQRDHSFYPASAYAIPIWILSIPISFIEVSIWVFSTYYAIGYDPNVERLFKQWVLLLIFKQTSSALFRCAAVVSRSMTVAFVACSFVILMMFSLGGFVLSRVDMKKWWLWGYWISPLMYAQNAIAVNEFLGTSWNTVLPFSSSEPLGVLVLKYRGLYPEAYWYWVGVGALVGFTIIANFLYTLALAKLRSLPQSQVVISAESDSSNAAGGIDNTNHRAMILPFEPHWIAFSDVKYSVDMPQEMKNVQGVVEDRLELLKGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIAGNITVSGYTKNQKTFARVSGYCEQNDIHSPHITVYESLLFSAKLRLPSQIDSETRRVFIKEVMELVELTSFGDVLVGLPGVNGLSTGQRKRLTIAVELVANPSIVFMDEPTTGLDARASAIIMTTIRNVVDTGRTIVCTIHQPSIHIFEAFDEV >itb01g07000.t1 pep chromosome:ASM357664v1:1:5222362:5224716:1 gene:itb01g07000 transcript:itb01g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVEAEIVLPTRMKFKKIQMADKYPKGQARGRHWKHLKQIIQAENYQNYDPNDPTYVSIESPPSMHPCKKICDITGFEAPYSDPRTNLRYANTEVFKMIRSLPNDYVQRYLALRNAAVVLK >itb12g24740.t4 pep chromosome:ASM357664v1:12:26175254:26181263:-1 gene:itb12g24740 transcript:itb12g24740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MERCDDSLPGGNENSRPIADIDSQSSILSSNLFLDGVGEVVVTLNSDGLSCRSAESISNEDDPSLCLGLKIFRKCEISVKFCDVYAIDSLGWGLVRESSLPNASGLFSGYSFEMYRFTVHYVQKSRNHPTVWIPSQYCFGHKDLRTCQMWVNQIRATLCLQGGRPKSLLVFVNPRSGKGNGCRTWEAVAPIFSQANVMTKVTVTERAGQAFDVMASISNRELHSYDGVVTVGGDGFFNEVLNGLLLSRHKASYPPRPTESIHPVENGSNGMAHDANGNTEEPSDNNEDFSPLLTHSELDGRQGETDDPCNTDRDSFLFPNERFRFGIIPSGSTDAIVICTTGARDPITSALQIVLGKSVCLDIAQVIRWKKTPTSKDEPCVRYAASFAGYGFYGDVITESEKYRWMGPVRYDFAGTKVFLRHSSYEAEVAYLEVDSKKANLGEQGGLQVSRKKPFCSLRKKPERIVCRVNCNVCNLKAGETSPRHEISETYSEEPNSEESRWLKSKGRFLSIGGAIISCRNERAPDGLVADAHLSDGFLHLILIKDCPHACYLWSLSLSPSLSLIHYQSFDFHSICVHYTRRMSCILQYSVPPGCTLTWEGWVRALVEAILALCAPFG >itb12g24740.t1 pep chromosome:ASM357664v1:12:26175165:26181279:-1 gene:itb12g24740 transcript:itb12g24740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLLVGAWFVNLRFQMLVDYSLATHLRCIGLLYTMFRSQETTLLFGSHRSIALAIRTCGRARCGLIRSELLYACREGDLKVFWSGKGNGCRTWEAVAPIFSQANVMTKVTVTERAGQAFDVMASISNRELHSYDGVVTVGGDGFFNEVLNGLLLSRHKASYPPRPTESIHPVENGSNGMAHDANGNTEEPSDNNEDFSPLLTHSELDGRQGETDDPCNTDRDSFLFPNERFRFGIIPSGSTDAIVICTTGARDPITSALQIVLGKSVCLDIAQVIRWKKTPTSKDEPCVRYAASFAGYGFYGDVITESEKYRWMGPVRYDFAGTKVFLRHSSYEAEVAYLEVDSKKANLGEQGGLQVSRKKPFCSLRKKPERIVCRVNCNVCNLKAGETSPRHEISETYSEEPNSEESRWLKSKGRFLSIGGAIISCRNERAPDGLVADAHLSDGFLHLILIKDCPHACYLWHLTQLAKKGGNPLDFHFVEHHKTTAFTFTSFGKESVWNIDGEPFQAHQLSAQVFRGLITMFATGPEV >itb12g24740.t3 pep chromosome:ASM357664v1:12:26175165:26181279:-1 gene:itb12g24740 transcript:itb12g24740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLLVGAWFVNLRFQMLVDYSLATHLRCIGLLYTMFRSQETTLLFGSHRSIALAIRTCGRARCGLIRSELLYACREGDLKVFWSGKGNGCRTWEAVAPIFSQANVMTKVTVTERAGQAFDVMASISNRELHSYDGVVTVGGDGFFNEVLNGLLLSRHKASYPPRPTESIHPVENGSNGMAHDANGNTEEPSDNNEDFSPLLTHSELDGRQGETDDPCNTDRDSFLFPNERFRFGIIPSGSTDAIVICTTGARDPITSALQIVLGKSVCLDIAQVIRWKKTPTSKDEPCVRYAASFAGYGFYGDVITESEKYRWMGPVRYDFAGTKVFLRHSSYEAEVAYLEVDSKKANLGEQGGLQVSRKKPFCSLRKKPERIVCRVNCNVCNLKAGETSPRHEISETYSEEPNSEESRWLKSKGRFLSIGGAIISCRNERAPDGLVADAHLSDGFLHLILIKDCPHACYLWSLSLSPSLSLIHYQSFDFHSICVHYTRRMSCILQYSVPPGCTLTWEGWVRALVEAILALCAPFG >itb12g24740.t2 pep chromosome:ASM357664v1:12:26175165:26181279:-1 gene:itb12g24740 transcript:itb12g24740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCDDSLPGGNENSRPIADIDSQSSILSSNLFLDGVGEVVVTLNSDGLSCRSAESISNEDDPSLCLGLKIFRKCEISVKFCDVYAIDSLGWGLVRESSLPNASGLFSGYSFEMYRFTVHYVQKSRNHPTVWIPSQYCFGHKDLRTCQMWVNQIRATLCLQGGRPKSLLVFVNPRSGKGNGCRTWEAVAPIFSQANVMTKVTVTERAGQAFDVMASISNRELHSYDGVVTVGGDGFFNEVLNGLLLSRHKASYPPRPTESIHPVENGSNGMAHDANGNTEEPSDNNEDFSPLLTHSELDGRQGETDDPCNTDRDSFLFPNERFRFGIIPSGSTDAIVICTTGARDPITSALQIVLGKSVCLDIAQVIRWKKTPTSKDEPCVRYAASFAGYGFYGDVITESEKYRWMGPVRYDFAGTKVFLRHSSYEAEVAYLEVDSKKANLGEQGGLQVSRKKPFCSLRKKPERIVCRVNCNVCNLKAGETSPRHEISETYSEEPNSEESRWLKSKGRFLSIGGAIISCRNERAPDGLVADAHLSDGFLHLILIKDCPHACYLWHLTQLAKKGGNPLDFHFVEHHKTTAFTFTSFGKESVWNIDGEPFQAHQLSAQVFRGLITMFATGPEV >itb08g05900.t1 pep chromosome:ASM357664v1:8:4873501:4875461:1 gene:itb08g05900 transcript:itb08g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEDTICNTALTLSLLGSHHDVVFAPRENKKSACLRRPSLTLGGPAAAAELKLEVAAEELEDGTTSMTSSFSNSSMKREREIGGGEEEEPKGSSGEDEEVVGTRKKLRLTKEQSLVLEDSFKDHSTLNSKQKEHLARRLNLRPRQVEVWFQNRRARNKLKQTEVDCELLRKCYDTLTDENRRLQRELQELKAKTPAAAAAQPFYMQISAATPLTMCPSCQRTYGGSGDNSAGEKSHYYGSKHNGTIAC >itb08g05900.t2 pep chromosome:ASM357664v1:8:4873501:4875083:1 gene:itb08g05900 transcript:itb08g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEDTICNTALTLSLLGSHHDVVFAPRENKKSACLRRPSLTLGGPAAAAELKLEVAAEELEDGTTSMTSSFSNSSMKREREIGGGEEEEPKGSSGEDEEVVGTRKKLRLTKEQSLVLEDSFKDHSTLNSKQKEHLARRLNLRPRQVEVWFQNRRARNKLKQTEVDCELLRKCYDTLTDENRRLQRELQELKAKTPAAAAAQPFYMQISAATPLTMCPSCQRTYGGSGDNSAGEKSHYYGSKHNGTIAC >itb04g06330.t1 pep chromosome:ASM357664v1:4:4096480:4102013:-1 gene:itb04g06330 transcript:itb04g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALVILPSSSVSLPPPNPAATPPPHRCRNHRPSTKSKPKLKPKKKSSPCNSASTFSASSPPPPLLTPTPKWDSTASYHRRSLKFYAEIASRHAEDGRFQDFMMIVESVVVSGTDAANFARLLNVNLISLGIVRMIEEGKLGSVVELLSSVQKLQIHPPLLLDGAAITALHRDCQRIVKCGKEEEIVTLMETLQGYGLPIKEFVEPLEIIRLCVRKLNPSAAIRYAHIFPHVQILLCTIIHEFGKKGDLDSALTVFEVSKQDLDSPNMFAYRIIIDVCGLCGEYLKSRPIYEELIAQGATPNAYVFNSLMNVNACDLSYTLYLYKDMKKLGVTADTTSYNILLKSCCLAGRVDLAKNIYKEVKCLESAGALKLDVFTYSTLIKVFAEAKMWQMALKVKQDMLSAGVAPNVVTWSSLISACANAGLVDQAIQLFKEMLQAGCEPTAQCCNIILHACVEARQYDRAFRLFRSWKENGLQKDDYGRNAENNMGVNLGLGNCAGVPNCTSSSSLEQFSIRVPFIPTTSTYNTLMKACGTDYYRAKALMDEMKTMGLSPNHISWSILIDICGGSGNVQGALQILRSMLDAGIQPDVVTYTTAIKICVEQKSLKTAFLLFAEMKRFQIKPNMVTYNTLLRAHGRFGSLEEVKQCLAVYQDMRKAGYRLNDHHLKQLIEEWCEGLIQNRHRKEGQLASQNRIDLDPKSLLLEKVAEHLQKSNAESLSIDLQKLSKVEARILVLAVLRMMKETSNPGDLTTDDVQIILGVDQVGTPAANHGSGVKETIIELLQHDLGLEVVSTGSKTETDRNYGEINSADESSDTEENRESGVLPSQSDFPTRRPAVLQKLKITKESLHSWLQRRMDDSERQSPTDM >itb08g14900.t1 pep chromosome:ASM357664v1:8:16793672:16797861:1 gene:itb08g14900 transcript:itb08g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARIGLTAPLFLTLLLLFGSLSSSSASPSPSKIVNGVLSNAVSKLVKWAWSLKATTKTVVSGRPMMKFESGYSVETVFDGSKLGIEPHTVEVLPSGELLILDSANSNLYKISSALSQYTRPRLVAGSADGYSGHVDGKTREARMNHPKGLTVDDKGNIYIADTDNMAIRKISETGVTTIAGGKWNRGGGHVDGPSEDAKFSADFDVVYIGSSCSLLVIDRGNKAIREIQLHFDDCAYQYGSGFPLGIAVLLAAGFFGYMLALLQRRVGTMVSSENDEKTMVSSNPYEKPIMSSVMPPLIPPDNAEEKQEESFFGSLGKLMVQAGESMATILGGVFPAFKRKQGNYKYQQQQMQQQQDYYQFQQQLKYSNTWPAQDSYVISEKDEPPSIDMRSPTPQKTYAFMSKDSEKMQKLRQSRAFYSEWGSDFQQQQQQQQTQKHLNHHRYHSAAPQTVYEQSPEKTNEVVFGAVQEQEGQNGTMVIKPLEHATQGYNHQNHIRARFNTIGYTYGP >itb09g07950.t1 pep chromosome:ASM357664v1:9:4761854:4762384:1 gene:itb09g07950 transcript:itb09g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSFKLTVIFILATVTCSSSAAKETTMSLYFQDYSGGPNATVMQVNSGGLSGDDGALKFFEFGSLFVTDDPITEEFDSGSAVVARGQGLYVTSALDGKIAHVVISVVFTGGEFSGSTLQIQGASPQLEKVREVAVVGGTGIFRFARGYATFETVHFNLENHHVVIQFNATVQHY >itb07g02550.t1 pep chromosome:ASM357664v1:7:1651313:1651899:1 gene:itb07g02550 transcript:itb07g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCSHGIQWDDINLGQVMGIMIYYDSSGIYSLTFIYVDDNVFRVSSHGNNGRENCQTIILDYPTEFLTGVNGYRTISYVVKCITFVTNKATYGPFGNQSLGQYDRTFGCNQGGKDNRWITGFYGTLYDSSIASLGVILQIPTVIQPESSRPDTLKLEGKQAEIVN >itb14g06060.t1 pep chromosome:ASM357664v1:14:5265945:5269172:1 gene:itb14g06060 transcript:itb14g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAASTGLGLSYSWPSKLTHNHVSPPSPRRWISSSSPSTTIRMAVSSVDEKQKTFTLQKSEEAFNKAKELMPGGVNSPVRAFKSVGGQPIVMDSVKGAYMWDIDGNKYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENALAEMVIEAVPCIEMVRFVNSGTEACMGVLRLARAFTGREKLIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPRAATYETLTAPYNDISAVESLFQANKGEIAAIILEPVVGNAGFIPPKPEFLNALRKITKENDTLLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKEPGSYEYLKNITGELIEGIVDAGKKAGHAISGGYISGMFGFFFMDGPVYNFADAKNSDTAKFGRFFRGMLEEGVYFAPSQFEAGFTSLAHTPEDIQNTIAAAERVFKKL >itb05g15690.t1 pep chromosome:ASM357664v1:5:23074290:23078128:-1 gene:itb05g15690 transcript:itb05g15690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGAVLGGRVQKQGCLEHLGILRRPPLSGLLRRRVCRVQNALNSSERQLRCLDAYFDKLHDDSKRFSILNNGRAKLQDKIKELAAESAVRSLDKIMAKAGDHMSSDSDGKTREVSPYTSAKIIYGGGNKAKKFHNYVEVKANDGETGLKNSDEDTSSLYLIGTLASINVGVFLFEIASPVRSAELELCSIPSLYGAKINDLILIGEWWRLVTPMFLHSGVLHMALGIWVLLSFGPPVCKAYGSFTFFLIYVLGGISGNLISFLHTPEPTIGGTGPVFALIGAWLVCKAQNRDMVEKEVHGSMFWKAMLATALSFVLSTFGPIDDWSHFGAAVVGVAYGYLACPTLEMDNASSDSSQKEGITLLKQSADPCKSLMYFSVFILLLCSLLLIMEPPLSSVSIDEF >itb10g26210.t1 pep chromosome:ASM357664v1:10:29502703:29507995:-1 gene:itb10g26210 transcript:itb10g26210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTFLFVPLILVTTLVLLDVSNGVTRPQTIRVLCGPHLDHNTTTFSLNFIALMENLMGQMRTQGWGFAKIGEGPDTNFGLAQCYGGYVSLLDRVLCYNEAHAVLPNCYPSYAGRIYLDSCFVRIDNYSFFGENLGPEDTHVCGNGTRKDVLFQAAAKRAMLQAVSNAPKNVNGFAGVELPISGRHNSEFAYVLANCWKTVNTSGCRRCLESASKSMLKCLPWSEGRALYTGCFMRYSGTNFLNPIPTIRGSSKGLNDAKNLVKTLHDSSLNFKYSTLEKATGSFDDAKKLGQGGYGIVYKGVMVDGREIAVKRLFFDYKFRAADFYNEVNIICQVQHKNLVKLLGCSCSGRESLLVYEFMPNQSLDRYIFDPNKGKCLHWKRRLNIIIGITEGLVYLNENSNARIIHRDIKASNILLDDRFRAKIADFGLARSFQEDKSHISTAIARTLGYMAPEYFVYGKLTEKVDVYSFGVLLLEIVMGVQNNKSTNTECWASVMSIAWRHFQEGTVEELFDLNLMLHNCHDENVKIEILRVVHIGLLCTQEVPFHRPSMSMVLQMLLKKEELPHPSSPPFDEKTLELNHLQEGNFASNATISHSSLHPR >itb11g08900.t1 pep chromosome:ASM357664v1:11:5834899:5838988:-1 gene:itb11g08900 transcript:itb11g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSTKPIRASSLLTLLCVFLLRYSEASVHDYTGEKFASKGNAFVVYGGSEGIYSSVPNRNESSPLSSNGDAFIRFEKIIFRRPREFSNFSSGSIYAIVFEVDEREMIGGSAYGGQRAVCCTADLAKLGVCNQGEIIHRPSTEHPGWPQVFGVSFDVDKDDTALEPREIQITKTGMYNLYFIHCDLNLKEVVVEGKTIWKNPTGYLPGRMAPLMNFYGLMSLAFVLLGVFWFSQYARFWREVLTLQNCITLVITLGMCEMALWYFDYLEFNETGVRPTGITVWAVTFGTVKRTISRLIILMVSMGYGVVRPTLGGITSKVLMLGGTFFIASEVLEIVENVGAISDLSGKARLFFVLPVAFLDAFFILWIFSALSSTLNKLQARRLTAKLDIYRKFTNALAVAVIVSIGWICYEIYFKSTDVYNERWQNAWIIPAFWQVLSFSLLCVICALWAPSQSSTRYAYSGDGSEDFDKDDTLTLIKPSPLPSKEVRTPSEVKPTLGGSGNGDVEEDKTE >itb15g23290.t1 pep chromosome:ASM357664v1:15:26022844:26024563:-1 gene:itb15g23290 transcript:itb15g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIESIDEERISNDLQIRRTGTASLDDDDDDVLPPLPPPPLPKLASAWDYFFPLENEMVGLKDGYEKIMGMLIGGGHKEREIISIVGKGGAGKTTLAEKAYEDPLVLSEFDIRAWIRVSEEFSRSEALLSLLRCISSWNTEIPQGDDTDDLLGLQIRKRLLGQRYLIVIDDLGSTQVWDDIHRYFPDNLNRSRILITTRIQQVAEYASSSEAFIYHIRFLDPVESWDLFVRKMFNRGVCPQELEPIGRKIVEICGGLPLAIVLIAGLLERHGMKPEQWKDFAQNINSTLMGMDSHSVSGVLALSYNNLPTYLQTCLLYLAIFPEDSDIDVKKLMKLWVAEGFVEPEMGKSLEEVAMDYLYDLVARNLVLVVKQSFDGKVKSCTLHDLLRSFCFRKAQEENMLVVSNEYRDDLVGTEEQSSKLGGCWMSCQSRRWPFTPSSLNYNWGMCTLTRDLHLISQAQFKKIYKLFSG >itb06g05010.t1 pep chromosome:ASM357664v1:6:7785447:7791099:1 gene:itb06g05010 transcript:itb06g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTREGWHCLAMRGEDMRHFVLFIGTVEGEGAGTFLSGCFLIISASLNRLDALDSRSYSSAAIIPLLLPIAPPSALRLLLSSVAAAVAQPRRKVSSRCSRRPPARLSPPCLRSPSHPALAGRRSHRLEELNREADKKLTAAIAINAKVFNSYNSSL >itb13g03440.t1 pep chromosome:ASM357664v1:13:3343143:3343844:-1 gene:itb13g03440 transcript:itb13g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPELQESIDSMVATLKSLNPNNSEGRFVAVDYKVETMPQSSTHVLLTTQLESNIALMQMNQTRRLLVLKMKQLFVYLTLNGWHTRIEHLRNIFPNTFTKDAIVPVDKKSKFLDPERPELKKIVDFYVSSAADFYVPTSSNLFSENVVARRIATGETQVLFLCGPTDLHFPQKTIFLLTHQEKKHWAYSCFC >itb14g20390.t1 pep chromosome:ASM357664v1:14:22765175:22768274:-1 gene:itb14g20390 transcript:itb14g20390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNSNQEEPPPYRPYRQKKVLKSHTRAVSCVKFSNNGDHFASASLDKTLIVWSTETLSKVSQLDGHSDGVSDLAWSSDSTYICSASDDRTLRIWVARNGDCVKTLRGHTNFVFCVNFNPQSNLIVSGSFDETVRVWDVQTGKSVHVIRAHSMPVTSVTFNRDGSLIVSTSHDGSCKIWDAASGACLKTLIDDKVPAVSFAKFSPNGKYVLVATLDNTLRLRNYIDDKILKVYAGHKNSVYCIAATFSVSNGKHIVCGSEDHCVWIWDLQGKNPLQQLEGHTDTVISVSCHHKQNMIVSAGLHNDRTVRVWVQD >itb03g02820.t1 pep chromosome:ASM357664v1:3:1616865:1618913:1 gene:itb03g02820 transcript:itb03g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLSTNLKFFNACINYGDLWRARQLLDNIPDPDLRSWTVLISAYTKWGRPREAIGVYDELRSRNVDPDQLALLSVAKACASARDLRKAKEIYEDVVSLGFQSELVVGNALIDMFGKCKYSEGARKVFDDLRVKDVISWTCMCSCYVKCRLPREAIQVFYGMVLGGVRPNSVTLSSVLPACSDLKYLRLGREIHGHVIRNGMEDNVFVSSALVDMYASCSSIKKAELVFLNMPSPRRDVVLWNVVLSAYFSHGECEKALSMFDHMRNELVKLNHDSWNAVIGGCAQSGRTEQALALLAEMQHSGTKPNLITINSILPACTHLESLRAGKEIHGFVFRHGFSMDITVATALLFLYAKCGVLELSSRVFNLIPRKDTIAWNTMIFANSMHGNGEETLFLFNEMLSSGLQPNAMTFTAVLSGCSHSQLVDEGLMIFHLMTKDHGVQPEAEHYSCVVDVLSRAGRLEEAYRFIQEMPIEPSASAWGALLAACKVYNNVDLGRIAANQLFDVEPQNPGNYVMLSNIFEASKLREEASKTRELMRARGITKLPGCSWLQVKNKTYSFVAGDKSNAQSDEIYNFLDEICEEMRVAGQLPNTDFVLQDLDTEEKEYSLCNHSEKLAVAFGILNLNGASTLTVFKNLRICGDCHNVIKFIAKTVGVRIIVRDSLRFHHFKDGLCSCKDFW >itb07g02390.t1 pep chromosome:ASM357664v1:7:1520141:1522576:-1 gene:itb07g02390 transcript:itb07g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKSPEEKKEEQCCRFHEKWAWRLLVLLITVLVIAASSFTLRRYFHRRNSKPSVAIVAEYASALGVAMQFFDVQKSGELVDNEIGWRGNSGLDDGREENLDLSKGMYDAGDSIKFGFPMAFTATILSWAVLEYREHMKMAKELNHAIDSLKWITDFLINAHPSPNVLFVQVGNAVMDHKCWERPEATPQNRPLTQINMSYPGTEVAAETAAAMAAASLVFRETYAEYSSLLLKHAKELFVFADTYRGSYSVSIPQVQEFYNSTGYGDELLWAAAWLYHATADQSFLTYLTIYGTDFANWGSPSWFSWDNKLPGTQVLLSRVNLLGSKPQDLPLDINLSLQKYRQTAEAVICNLLPESPTATPDRTDEGGLIWFIQWNPIQYAVASAFLAVVYSDYMVGSGTPEIYCSGELFQPMDIRNFAISQVDYVLGKNPMKMSYLVGYGDKYPRYVHHRGASIPANANPGCSDGFKWLNSTAPNPNVAVGALVGGPFRNDTFLDSRNDTMQSEPTTYNSALVVGLLSGLLGSSTVVESFR >itb10g16280.t1 pep chromosome:ASM357664v1:10:22529237:22530069:-1 gene:itb10g16280 transcript:itb10g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLGSFFKPHKSRKPKIVPHQISSCVFRDELDKKPPPPHCRKAKKQVKFDLKPSEQQSADREYGSGCRGGEREENDGGGGGGGVVRVKVLMRREEANRLLSRCGDGGALTFMDVADELKHIPLNHITVISSPSPPHFSVCDDKLESIREEN >itb02g24580.t3 pep chromosome:ASM357664v1:2:25198120:25205174:-1 gene:itb02g24580 transcript:itb02g24580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRDQLAEDDSIRRGVSGRYCKSGSSKWLGSSISRSSIDPRHGNGNCPSLMELCIYQICQDIDKYSTFSMLPRDISQQIFDELVCSQRLTKVILEAFRDCALQDLNLGEYPCLDDTWMDVISSQGSSLLSVDLSASDITDSGIVQLKDCENLQGLNCNYCDQITDHGLEHVSGFSNLTSLSFRRNTMISAQGMGALSGLINLVKLDLERCPKIHGGLIHLRGLTKLESLNVNCCNCITDSDMKPLTGLTNLKVLQISSSKVTDYGVTFLRALHKLALLNMEGCPITAACLESLSALGALLYLNLSRCRLTDDGCDKFSSLKSLKVLNLGFNAISDAIFVHLKGLTNLETLNLDSCAIGDEGLVHLAGLQRLKCLELSDTEVGSSGLQYLAGLSHLESLNLSFTVITDNGLRKLKGLSSLRSLNLDARQITDAGLAALTSLTGLMHLDLFGARITDSGTNYLKHFKNLRSLEICGGGLTDAGVKNIKDLTALSLLNLSQNSHLTDRSLETISGTLLSIAWHSCTKNRILSIYKGNHIIYSVQLFQLQVVFVLRLLWSILVQG >itb02g24580.t2 pep chromosome:ASM357664v1:2:25198120:25205174:-1 gene:itb02g24580 transcript:itb02g24580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRDQLAEDDSIRRGVSGRYCKSGSSKWLGSSISRSSIDPRHGNGNCPSLMELCIYQICQDIDKYSTFSMLPRDISQQIFDELVCSQRLTKVILEAFRDCALQDLNLGEYPCLDDTWMDVISSQGSSLLSVDLSASDITDSGIVQLKDCENLQGLNCNYCDQITDHGLEHVSGFSNLTSLSFRRNTMISAQGMGALSGLINLVKLDLERCPKIHGGLIHLRGLTKLESLNVNCCNCITDSDMKPLTGLTNLKVLQISSSKVTDYGVTFLRALHKLALLNMEGCPITAACLESLSALGALLYLNLSRCRLTDDGCDKFSSLKSLKVLNLGFNAISDAIFVHLKGLTNLETLNLDSCAIGDEGLVHLAGLQRLKCLELSDTEVGSSGLQYLAGLSHLESLNLSFTVITDNGLRKLKGLSSLRSLNLDARQITDAGLAALTSLTGLMHLDLFGARITDSGTNYLKHFKNLRSLEICGGGLTDAGVKNIKDLTALSLLNLSQNSHLTDRSLETISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCKVTANDIKKLQSTDLPNLVNYRPE >itb02g24580.t1 pep chromosome:ASM357664v1:2:25198036:25205350:-1 gene:itb02g24580 transcript:itb02g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRDQLAEDDSIRRGVSGRYCKSGSSKWLGSSISRSSIDPRHGNGNCPSLMELCIYQICQDIDKYSTFSMLPRDISQQIFDELVCSQRLTKVILEAFRDCALQDLNLGEYPCLDDTWMDVISSQGSSLLSVDLSASDITDSGIVQLKDCENLQGLNCNYCDQITDHGLEHVSGFSNLTSLSFRRNTMISAQGMGALSGLINLVKLDLERCPKIHGGLIHLRGLTKLESLNVNCCNCITDSDMKPLTGLTNLKVLQISSSKVTDYGVTFLRALHKLALLNMEGCPITAACLESLSALGALLYLNLSRCRLTDDGCDKFSSLKSLKVLNLGFNAISDAIFVHLKGLTNLETLNLDSCAIGDEGLVHLAGLQRLKCLELSDTEVGSSGLQYLAGLSHLESLNLSFTVITDNGLRKLKGLSSLRSLNLDARQITDAGLAALTSLTGLMHLDLFGARITDSGTNYLKHFKNLRSLEICGGGLTDAGVKNIKDLTALSLLNLSQNSHLTDRSLETISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCKVTANDIKKLQSTDLPNLVNYRPE >itb02g24580.t4 pep chromosome:ASM357664v1:2:25198120:25205350:-1 gene:itb02g24580 transcript:itb02g24580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRDQLAEDDSIRRGVSGRYCKSGSSKWLGSSISRSSIDPRHGNGNCPSLMELCIYQICQDIDKYSTFSMLPRDISQQIFDELVCSQRLTKVILEAFRDCALQDLNLGEYPCLDDTWMDVISSQGSSLLSVDLSASDITDSGIVQLKDCENLQGLNCNYCDQITDHGLEHVSGFSNLTSLSFRRNTMISAQGMGALSGLINLVKLDLERCPKIHGGLIHLRGLTKLESLNVNCCNCITDSDMKPLTGLTNLKVLQISSSKVTDYGVTFLRALHKLALLNMEGCPITAACLESLSALGALLYLNLSRCRLTDDGCDKFSSLKSLKVLNLGFNAISDAIFVHLKGLTNLETLNLDSCAIGDEGLVHLAGLQRLKCLELSDTEVGSSGLQYLAGLSHLESLNLSFTVITDNGLRKLKGLSSLRSLNLDARQITDAGLAALTSLTGLMHLDLFGARITDSGTNYLKHFKNLRSLEICGGGLTDAGVKNIKDLTALSLLNLSQNSHLTDRSLETISGTLLSIAWHSCTKNRILSIYKGNHIIYSVQLFQLQVVFVLRLLWSILVQG >itb02g24580.t5 pep chromosome:ASM357664v1:2:25198120:25204797:-1 gene:itb02g24580 transcript:itb02g24580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRDQLAEDDSIRRGVSGRYCKSGSSKWLGSSISRSSIDPRHGNGNCPSLMELCIYQICQDIDKYSTFSMLPRDISQQIFDELVCSQRLTKVILEAFRDCALQDLNLGEYPCLDDTWMDVISSQGSSLLSVDLSASDITDSGIVQLKDCENLQGLNCNYCDQITDHGLEHVSGFSNLTSLSFRRNTMISAQGMGALSGLINLVKLDLERCPKIHGGLIHLRGLTKLESLNVNCCNCITDSDMKPLTGLTNLKVLQISSSKVTDYGVTFLRALHKLALLNMEGCPITAACLESLSALGALLYLNLSRCRLTDDGCDKFSSLKSLKVLNLGFNAISDAIFVHLKGLTNLETLNLDSCAIGDEGLVHLAGLQRLKCLELSDTEVGSSGLQYLAGLSHLESLNLSFTVITDNGLRKLKGLSSLRSLNLDARQITDAGLAALTSLTGLMHLDLFGARITDSGTNYLKHFKNLRSLEICGGGLTDAGVKNIKDLTALSLLNLSQNSHLTDRSLETISGLTSLVSLNVSNSRITSAGLQHLKPLKKLKSLTLESCKVTANDIKKLQSTDLPNLVNYRPE >itb02g24580.t6 pep chromosome:ASM357664v1:2:25198120:25204797:-1 gene:itb02g24580 transcript:itb02g24580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRDQLAEDDSIRRGVSGRYCKSGSSKWLGSSISRSSIDPRHGNGNCPSLMELCIYQICQDIDKYSTFSMLPRDISQQIFDELVCSQRLTKVILEAFRDCALQDLNLGEYPCLDDTWMDVISSQGSSLLSVDLSASDITDSGIVQLKDCENLQGLNCNYCDQITDHGLEHVSGFSNLTSLSFRRNTMISAQGMGALSGLINLVKLDLERCPKIHGGLIHLRGLTKLESLNVNCCNCITDSDMKPLTGLTNLKVLQISSSKVTDYGVTFLRALHKLALLNMEGCPITAACLESLSALGALLYLNLSRCRLTDDGCDKFSSLKSLKVLNLGFNAISDAIFVHLKGLTNLETLNLDSCAIGDEGLVHLAGLQRLKCLELSDTEVGSSGLQYLAGLSHLESLNLSFTVITDNGLRKLKGLSSLRSLNLDARQITDAGLAALTSLTGLMHLDLFGARITDSGTNYLKHFKNLRSLEICGGGLTDAGVKNIKDLTALSLLNLSQNSHLTDRSLETISGTLLSIAWHSCTKNRILSIYKGNHIIYSVQLFQLQVVFVLRLLWSILVQG >itb05g03680.t1 pep chromosome:ASM357664v1:5:3143249:3145736:-1 gene:itb05g03680 transcript:itb05g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLGFFY >itb07g21470.t4 pep chromosome:ASM357664v1:7:25853439:25860695:1 gene:itb07g21470 transcript:itb07g21470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCKLWLPKKNRFCANVPLQNSPFCGNHTERSDGQWIPCPIDPSHSVLEENLESHLKRCPLLKQTQFLSLQPFYEKGINAGTDSSTIGVSNITSEMKRNAVYAMTVAEFSKLISKIKCIHASICTRIPDSFKNPQACGIWTNRQVDRKLPFEEKHVLQQASILGNLEEFGVLKDSSALPAVVEFGAGRGYLTQVLTDCYGFKKVLLVERKSYKLKADRSLRQKEGLTLERLRIDIEDLKLKAVESLRGAPYLAIGKHLCGPATDMTLRCCTKDQCEGQAILESPESCCYLTGLAIATCCHHLCQWRHYINHTSDLNGSDCTTDLQISERESCELDGDVDPKGVEGIIRNMKGVDRAALGFMCKDIIDAGRMAWLKEVGLECQLVKYVPSTISPENHLLVARQKC >itb07g21470.t2 pep chromosome:ASM357664v1:7:25853257:25860753:1 gene:itb07g21470 transcript:itb07g21470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCKLWLPKKNRFCANVPLQNSPFCGNHTERSDGQWIPCPIDPSHSVLEENLESHLKSKLPFEEKHVLQQASILGNLEEFGVLKDSSALPAVVEFGAGRGYLTQVLTDCYGFKKVLLVERKSYKLKADRSLRQKEGLTLERLRIDIEDLKLKAVESLRGAPYLAIGKHLCGPATDMTLRCCTKDQCEGQAILESPESCCYLTGLAIATCCHHLCQWRHYINTGYMLNLGISMEDFHAVTWFTSWAVDADHTSDLNGSDCTTDLQISERESCELDGDVDPKGVEGIIRNMKGVDRAALGFMCKDIIDAGRMAWLKEVGLECQLVKYVPSTISPENHLLVARQKC >itb07g21470.t3 pep chromosome:ASM357664v1:7:25853257:25855767:1 gene:itb07g21470 transcript:itb07g21470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCKLWLPKKNRFCANVPLQNSPFCGNHTERSDGQWIPCPIDPSHSVLEENLESHLKRCPLLKQTQFLSLQPFYEKGINAGTDSSTIGVSNITSEMKRNAVYAMTVAEFSKLISKIKCIHASICTRIPDSFKNPQACGIWTNRQVDRKLPFEEKHVLQQASILGNLEEFGVLKDSSALPAVVEFGAGRGYLTQVLTDCYGFKKVLLVERKSYKLKADRSLRQKEGLTLERLRIDIEDLKLKAVESLRGAPYLAIGKHLCGPATDMTLRCCTKDQCEGQAILESPESCCYLTGLAIATCCHHLCQWRHYISNLFIHPTTKLSAHDISISL >itb07g21470.t5 pep chromosome:ASM357664v1:7:25853257:25860753:1 gene:itb07g21470 transcript:itb07g21470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCKLWLPKKNRFCANVPLQNSPFCGNHTERSDGQWIPCPIDPSHSVLEENLESHLKSKLPFEEKHVLQQASILGNLEEFGVLKDSSALPAVVEFGAGRGYLTQVLTDCYGFKKVLLVERKSYKLKADRSLRQKEGLTLERLRIDIEDLKLKAVESLRGAPYLAIGKHLCGPATDMTLRCCTKDQYTGYMLNLGISMEDFHAVTWFTSWAVDADHTSDLNGSDCTTDLQISERESCELDGDVDPKGVEGIIRNMKGVDRAALGFMCKDIIDAGRMAWLKEVGLECQLVKYVPSTISPENHLLVARQKC >itb07g21470.t1 pep chromosome:ASM357664v1:7:25853257:25860793:1 gene:itb07g21470 transcript:itb07g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRCKLWLPKKNRFCANVPLQNSPFCGNHTERSDGQWIPCPIDPSHSVLEENLESHLKRCPLLKQTQFLSLQPFYEKGINAGTDSSTIGVSNITSEMKRNAVYAMTVAEFSKLISKIKCIHASICTRIPDSFKNPQACGIWTNRQVDRKLPFEEKHVLQQASILGNLEEFGVLKDSSALPAVVEFGAGRGYLTQVLTDCYGFKKVLLVERKSYKLKADRSLRQKEGLTLERLRIDIEDLKLKAVESLRGAPYLAIGKHLCGPATDMTLRCCTKDQCEGQAILESPESCCYLTGLAIATCCHHLCQWRHYINTGYMLNLGISMEDFHAVTWFTSWAVDADHTSDLNGSDCTTDLQISERESCELDGDVDPKGVEGIIRNMKGVDRAALGFMCKDIIDAGRMAWLKEVGLECQLVKYVPSTISPENHLLVARQKC >itb15g12540.t1 pep chromosome:ASM357664v1:15:10429871:10430305:1 gene:itb15g12540 transcript:itb15g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRETYQRWWRLAVAVVVCGRSRRNGGVGGGVYCRSRRFAASSAEEERTASAERWRRSTEEMVAREFGGVRVRRRLGNLGRRGEQRAAAMADDDRTSGRVGLKERNRAAIASSPPQI >itb12g03710.t1 pep chromosome:ASM357664v1:12:2425820:2428363:1 gene:itb12g03710 transcript:itb12g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYSGGCAAVVCLYKVFRSASEFCERIYGDEIIKLCFLKKDHVIMQRCDNAYIVKAHHEPQNRSSSLDCN >itb11g01550.t2 pep chromosome:ASM357664v1:11:759760:761894:-1 gene:itb11g01550 transcript:itb11g01550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTRSNQEQCTTPPEIPFSNVTVASHGAASSRRLPPPCWSHDETVALIDAYRDKWYSLRRGNLRANHWQEVAEDIAVRCPVDPPKTSMQCRHKMEKLRKRYRAEIQRAASYGGGGASRRYCSTWIHFKRMDTMERGPDAASPPQDEEEFEDEQEDFKQNSVKHIGDIYSQHNLNSNKRNSFQESMSNGGAGFRIRIPSARPIPTPPMAKPYSGKIDEMLIQFPNPNPNPNPNHGSSRSYRGVMKKEKASSLGKRIVEEVVEKRNGDPIAEAVAAIKMLGEGLVRIENMKMDVARELEQMKMEMEMKKTEMILESQQKIVEAFAMSFSEKNTKKARRMPPPEC >itb11g01550.t1 pep chromosome:ASM357664v1:11:759310:761894:-1 gene:itb11g01550 transcript:itb11g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTRSNQEQCTTPPEIPFSNVTVASHGAASSRRLPPPCWSHDETVALIDAYRDKWYSLRRGNLRANHWQEVAEDIAVRCPVDPPKTSMQCRHKMEKLRKRYRAEIQRAASYGGGGASRRYCSTWIHFKRMDTMERGPDAASPPQDEEEFEDEQEDFKQNSVKHIGDIYSQHNLNSNKRNSFQESMSNGGAGFRIRIPSARPIPTPPMAKPYSGKIDEMLIQFPNPNPNPNPNHGSSRSYRGVMKKEKASSLGKRIVEEVVEKRNGDPIAEAVAAIKMLGEGLVRIENMKMDVARELEQMKMEMEMKKTEMILESQQKIVEAFAMSFSEKNTKKARRMPPPEC >itb02g06860.t2 pep chromosome:ASM357664v1:2:4302635:4308163:1 gene:itb02g06860 transcript:itb02g06860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] METFCPISDQFSDQIASLLNPPPPLQVQVYFDELTATRQCDGLKVKPNGEYGKGVYAEKNFKEGDLILKDKMLVGAQHSSNKIDCLVCSYCFRFIGSIELQIGRKLYWRDLGVSTDECERKEFLHIERDCYNSDPSDEEDYSDAEDRKDIGGCSSSSSKSKISIPKDVIESLMNGDLRLPYSEEFPLPSTVSCPGGCKEAYYCSPSCAHADWEEFHSLLCTGKGSKALSTEALLKFIQHANETNDIFLPAAKVISFTILRYRKFKEDWLSGKGKYDTSGKCDFSFLLEAWKPVSMGYKKRWWDCIALPDDVHHSDEAAFRLQIKELANKSLQLLKAAIFDQECKPLFSLEIYGHIIGMFELNNLDLVVESPLENYFLHIDDLPSSEKREAEQITRPLLDALGDDYSICCQGTAFFPLQSCMNHSCEPNAKAFKREEDLDGQATIIALQPIQKGEEVIFDNLILFACFNADHKYFVSTFPDHYFIYRRGPSG >itb02g06860.t1 pep chromosome:ASM357664v1:2:4302635:4308223:1 gene:itb02g06860 transcript:itb02g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] METFCPISDQFSDQIASLLNPPPPLQVQVYFDELTATRQCDGLKVKPNGEYGKGVYAEKNFKEGDLILKDKMLVGAQHSSNKIDCLVCSYCFRFIGSIELQIGRKLYWRDLGVSTDECERKEFLHIERDCYNSDPSDEEDYSDAEDRKDIGGCSSSSSKSKISIPKDVIESLMNGDLRLPYSEEFPLPSTVSCPGGCKEAYYCSPSCAHADWEEFHSLLCTGKGSKALSTEALLKFIQHANETNDIFLPAAKVISFTILRYRKFKEDWLSGKGKYDTSGKCDFSFLLEAWKPVSMGYKKRWWDCIALPDDVHHSDEAAFRLQIKELANKSLQLLKAAIFDQECKPLFSLEIYGHIIGMFELNNLDLVVESPLENYFLHIDDLPSSEKREAEQITRPLLDALGDDYSICCQGTAFFPLQSCMNHSCEPNAKAFKREEDLDGQATIIALQPIQKGEEITISYIDEDLPVEERQASLADYGFRCRCRRCLEEEQSKA >itb04g14350.t1 pep chromosome:ASM357664v1:4:14822512:14831519:-1 gene:itb04g14350 transcript:itb04g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPDSSLLDLIQKVKSWILRATVNPTFSGGFVMDSNRTCCECKMNFTGSSLKYQCQSCNRVFCGDCVHGDLSFGVVASGVMGSTKEAEIHIKSCKFCTDFSTWRRSNRKYCDKIHPSELPRQCLEPPSPNSNDRIDIYSFSAATKSSFTSFSSHPSPSSFHRSPSRSDEDEGDDLASHFLSPLSDCCHDTSDIDSSSVSAVHEFYSSKSVGSSPSVSPSRIHFNSRIVGCSVQQKQLETFMSHNHGTLDQETLNILSRLEKGVGDLEIADDGHNLSGTQNQSEREHKLLDFENNSLIWFPPPPENENDETENNYFIYDDEDDEIGESGATFSMSGNLGSMFPLKEKQNLDHQVLSHFKALVSQLLQAEGIRSENEGSAEKWLDIVTSIAWQAANIVKPDTSRGGSMDPGDYVKVKCVASGRPCDSTLIKGIVCTKNIKHKRMTSQYKNARILLLGGALEYQRAPNQLASFNTLLQQERDHLGRIVSKIEGHHPNVLLVEKSVSSYAQELLLAKEISLVLNVKRPVLERIARCTGASVTPSVDNISTTRLGHCELFRLEKVAEEHEPTNKKPSKTLMFFDGCPRRLGCTVLLKGLPIEVLKKVKRVVQYAVFAAYHLSLETSFLADEGATLPKMTVARSISIPERKPVNNAIAMNPSSIAPTSYLKGTNCGSPNINMENGVLESLSQHQIGEYLSSPVEVHGSLETDCNEGGEPGEPSELSTVERFNIEGSDEYNSAADIHQSILVSFSSRCVLNDTVCERSRLLRFKFYSPFDKPLGKYLQDDVLSETSTCQSCKEPAEAHVICYTHQHGNLTINVRRIPSVKLPGERDRKIWMWHRCLECAQVDGVPPATRRVLLSDAAWGLSFGKFLELSFSDHKTANRIATCGHSLQRDCLRYYGCGSMIACFRYSPIDILSVRLPPSVLEFNSQSEQAWIMKEVAELLRKAKSLYAEISSAVQKFEEKSASVVCDWNNMYGLHNCILELKDMLMKEKNYWNDLHQPTHEETSEEGQTIIDVLELNRLRHSLLTDLHVWDHRLYYMESLFNNFFSSQHPEIAVSCINPGEWGGETIFKDGSLEHAYEENVHEFSNSEECPGKALHSEHDEPPVPQDALSYQQGSLLTSFNGDKTLETQLGLDNAVNKSSLERAPSAGSILSDKIDCAWTGIDPSSQDMQVHASLQEGERRVASFRQLSHIDSPTCKRLRSPARVYSFDSALRVEERISKRMPPSPSYLSTLKSFHAYGDYRNMIRDPVSNIQICSQALPREAQKLNLLPSSSTTFTSHASILPEGARLMVPENGQKDVVIAVYDNEPTSIISYALSSKEYKDWVTDKPYMSEGGWGVSDTNKESPIASKLSTWQRFGSLDLDYMHFGSYGSEDASGRTGFLFSDPKLSPHPRISFEDGSSNTGGKVKFSVTCYFAKQFDDLRKKCCPSELDFIRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQIQKTELDSFEEFAPKYFKYLTDSHSSRSPTCLAKVVGIYQVAVKHLKGGKETKMDLIVMENLFYGRSISRVYDLKGSVRSRYNSDTKANKVLLDLNFLESLQTKPIFLGSKAKRSLERAVWNDTSFLAGVYVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPIQYKKRFRKAMTSYFLTVPDQWSP >itb07g10420.t1 pep chromosome:ASM357664v1:7:11459051:11462296:-1 gene:itb07g10420 transcript:itb07g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESLWRKRYGKPTQEGSTTIRVSGGDDERLDHQRRRWSSGDDIKPRRPPSERAAAVKSKDNFEGRRGKRRRGEEAAFACDAAVLNSATVKDFKQAIRKKIEEVEDSKMRNRHICWKHV >itb05g12130.t1 pep chromosome:ASM357664v1:5:18612964:18615364:1 gene:itb05g12130 transcript:itb05g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVFGDGSVGDGDRWGEGSGVSQWNEVRLGHTYLSEILDDSPNLLHAFRSPPPQIWDCRSSAVAFFFTAETPLSPFSSKAVCSHAVTPPRRVATSLMVYRITSSDDISG >itb03g17290.t1 pep chromosome:ASM357664v1:3:15942635:15948092:-1 gene:itb03g17290 transcript:itb03g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MALTISPNSIHLPQSSRFSGRNSFLRGSVSPPILSPPSLRFPIKCLANRLVVCAASSAAGSSSSNSGLNPYEVLGVSPIEGFDMIKAAYTRKRKEAEKRGDEATAAELEMAYDKIMMSQLSKRKKGVTFGSFKVSKEIKYADKQPIVPWGPRFSKSEVKDIQINMAISAVFTAWAFIQRNGEWKPLQFLAFAFVYRIFEKLKTFESPVSPTFTEEGEDDGRMMRMGKRLLRSLALAFGCIAVASLGYTGLLNLIEFTGSSIPAIIYNNQELLVTTATSVLLFITASYYR >itb02g01020.t2 pep chromosome:ASM357664v1:2:585833:592502:1 gene:itb02g01020 transcript:itb02g01020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MDSYRPFQPPSQPAFAPPPPPPPNQNPLQPPPPASTAGQPRGNQPSQNWGGYGNYQHAPPHFSGPIPLPPPPQSHQYPPPPPPPDSSYPPPPPPPQAAPPAPPVYYPSSQYSQYNQHQHLQPPPPPPPPSSPPPNSAIPPPPPPSSPPPPPSSAPPAHPSNESRPAAEKAAPKDGRDSGWRESGHGNHGIPSKQKPPVPPMQVKKPNAPSGRVETEEERRLRKKREYEKQRHEEKHRHHLKESQNKVLQKTQMLTSGAKGHGSISASHMADRRTAPLLSGERTENRLKKPTTFLCKLKFRNELPDPTAQPKLMSLRRDKDRFTKYTITSLEKMHKPQLYVEPDLGIPLDLLDLSVYNPPKGERTPLDLEDEALLRDDDPVTPIKKDGIKRKDRPTDKGVSWLVKTQYISSLSMDSTKQSLTEKQAKELRENKGGQNILENLNNRDRQIKEIKASFEACKSRPVHATNPKLQPTKVLPLFPDFDRYKDQFVVATFDSAPTADAENYSKLDKAIRDEHESRAIMKSFVARNSDAAKPDKFLAYMVPSPNELEKDMFDENEDISYAWVREYHWDVCSAQSVNFKLISNFSEICFFDVSTGTW >itb02g01020.t1 pep chromosome:ASM357664v1:2:585814:592502:1 gene:itb02g01020 transcript:itb02g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MDSYRPFQPPSQPAFAPPPPPPPNQNPLQPPPPASTAGQPRGNQPSQNWGGYGNYQHAPPHFSGPIPLPPPPQSHQYPPPPPPPDSSYPPPPPPPQAAPPAPPVYYPSSQYSQYNQHQHLQPPPPPPPPSSPPPNSAIPPPPPPSSPPPPPSSAPPAHPSNESRPAAEKAAPKDGRDSGWRESGHGNHGIPSKQKPPVPPMQVKKPNAPSGRVETEEERRLRKKREYEKQRHEEKHRHHLKESQNKVLQKTQMLTSGAKGHGSISASHMADRRTAPLLSGERTENRLKKPTTFLCKLKFRNELPDPTAQPKLMSLRRDKDRFTKYTITSLEKMHKPQLYVEPDLGIPLDLLDLSVYNPPKGERTPLDLEDEALLRDDDPVTPIKKDGIKRKDRPTDKGVSWLVKTQYISSLSMDSTKQSLTEKQAKELRENKGGQNILENLNNRDRQIKEIKASFEACKSRPVHATNPKLQPTKVLPLFPDFDRYKDQFVVATFDSAPTADAENYSKLDKAIRDEHESRAIMKSFVARNSDAAKPDKFLAYMVPSPNELEKDMFDENEDISYAWVREYHWDVRGDDTDDLTTFLVATGKSEARYMPVPTKLSLRKKRAREGKSIEEVEQFPIPARVTVRNRQTVAAIELKDEGGYSSFKGSGSDSKRSRMSLEDGLHSEKGMHDSDEDQSSGGEYELSD >itb11g20840.t1 pep chromosome:ASM357664v1:11:22218719:22221328:1 gene:itb11g20840 transcript:itb11g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRSALLKHLRVEVIALRNPSPNPRGPIFRLIGLSRHFCEEARGSFLDKSEVTDRVITVVKNFQKVDPAKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEADKINSINLAVDFIASHPQAK >itb02g10570.t1 pep chromosome:ASM357664v1:2:6830832:6833679:1 gene:itb02g10570 transcript:itb02g10570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGASDRSKEAVGMMALHEALRSVCLNSADWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVGDCLEEMQDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPQEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSPSSSTLPLKQPSISIIRPPPFNWGPSPSPRPMPSPPAVLTSPNYHNSSVRLGIPASKDESHAFRMEEIMGDHHEGADIKWPNGLSFFNALTGRADDSRLLFNPDSMGNKMDQNHHTLNLEINTSNPNSDNASSLHTNNGEAANPNEFLSLDSHHHPDSFQKMESKFKRSFTLPARMVSSSSTTSLEQHQNNPGEFRNEAGMCSSDVMETFLE >itb02g10570.t2 pep chromosome:ASM357664v1:2:6831425:6833679:1 gene:itb02g10570 transcript:itb02g10570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPQEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSPSSSTLPLKQPSISIIRPPPFNWGPSPSPRPMPSPPAVLTSPNYHNSSVRLGIPASKDESHAFRMEEIMGDHHEGADIKWPNGLSFFNALTGRADDSRLLFNPDSMGNKMDQNHHTLNLEINTSNPNSDNASSLHTNNGEAANPNEFLSLDSHHHPDSFQKMESKFKRSFTLPARMVSSSSTTSLEQHQNNPGEFRNEAGMCSSDVMETFLE >itb02g10570.t3 pep chromosome:ASM357664v1:2:6831720:6833679:1 gene:itb02g10570 transcript:itb02g10570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPQEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRSTSPSSSTLPLKQPSISIIRPPPFNWGPSPSPRPMPSPPAVLTSPNYHNSSVRLGIPASKDESHAFRMEEIMGDHHEGADIKWPNGLSFFNALTGRADDSRLLFNPDSMGNKMDQNHHTLNLEINTSNPNSDNASSLHTNNGEAANPNEFLSLDSHHHPDSFQKMESKFKRSFTLPARMVSSSSTTSLEQHQNNPGEFRNEAGMCSSDVMETFLE >itb10g18680.t1 pep chromosome:ASM357664v1:10:24551767:24552951:-1 gene:itb10g18680 transcript:itb10g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSTSFAGKAVKLSPATGEVAGNAKFTMRKAVAKPVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb04g07730.t1 pep chromosome:ASM357664v1:4:5331704:5336076:-1 gene:itb04g07730 transcript:itb04g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIPIGTILALLTSQVIKTAQAANDVIFEKESFKSLAKHLLDIEPVLKELQLQKLNDSPAARQALESLENDVKKANNLVEKYKSRARFYLLIKCRHIVKEVQDVTRDIGNSLAALSLANIEVLSGISDEVNRLQKEMQRARFEASQSELQIVDRLNQWLTDQTHDQEFANNMLKEIARVVGVSVEPAEITKELDNFKKEKEEAASRKERAEVLFLEQVIELLSLADAARDYEEVRNKYFQRVKIIERYDPREEYIQPFKAFICCITKEIMVDPVSLCTGTACERAAIQAWFDFGENTDPETGEVLQDFSYRPNLQLRQSIQEWKELNYCIFIRSCKAKLLSDADSFIEESLVKIQRIMKENSINKEWISIGGLTYILVSKLGSLIDGNLKLKLITTLKDIIQGHTRNKGIFVENSGLENTVACLWLKTSLSNAAVELLYEVLQDRPGWNMSYCAKLSQQCNTIRSLVSLLKREVGVSVEKAEEILLNLCDEDEENIIKAAKEGWYKPLVDKIIQGSAPSRTSTVVALLGLELTEDNIKLLGEKGIIPSLLEMLSGSFESKEISLSALIKLSHCYDNKKLIAAAGGVPLIIKTMFSSHLYTAIIAKCSEILANLCKNGDAMKFLVDENGNQLKVEVIIADLLALQQNLDFSDIVRRPALQALLGICQSEAGLIKSAVLSASGVSVVLPLLDDSNQEIREAAISLLFLFSQHEPEGVVEYLLKPRRMEALVGLLENDVKGDVQMAAAGLLANLPKSEMSLTEKLIELGGLKAIINILKSGTMEAKENALSALFRFTDPTNLESQRTVVELGAFPLLIDFLKVDSITAKARAAALLGDLSMRSQELSFMSRKDGCWCIINRARVPVCPAHGGICSTSKTFCLLEANALPDLVRLLKGKVHATAYEAIQTFSTLVQEESPHRGSNVLHKNGAIAPIIDVLNWGSESLKGEALVLLEKVLMSREMVDIYGPTARIPLFRLTGRRINEDGHLQKKAAKVLLLIERYSRSSRSLVSGISG >itb07g06260.t1 pep chromosome:ASM357664v1:7:4406725:4408818:1 gene:itb07g06260 transcript:itb07g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFIFFTCLMVAMAVGGCAYTPAGFRGNYTTLLVDQSGWGGHQTIQSAIDSVPPFNQNWICIYVRAGTYMEKVTIPPEKSYIYLKGDGKRRTYIIWDGHDNIQTATFSTYADNIIVKSMTFVNSYNFPPEITTNPRRVAVAALVMGDNTAFYRCGFKSWQDTLWDVQGHHYFKRCTINGAVDFIFGNGQSIYEKCSIAVNAGSLDPGLVGFITAQGRDNPDDNSAFVFKNCRVFGTGKTFLGRPWRAYARVIFYNTFMDNVVVPEGWTNFFGDNGAGKLSFAEYGCRGPGSDKSARVGWLTKMGRGELERFTSLSFVDNQGWLSRQPLHRLLE >itb06g11890.t1 pep chromosome:ASM357664v1:6:16438928:16443633:1 gene:itb06g11890 transcript:itb06g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYQNQPFSITQNLFFTSQKYLKNTIFVYFLVLASCLVFGFSFSFYLKHKINIPTNFLPLNFYAAHLPPAPELTGTLPLPPSPSHAAAAPAAEPERAGLKEHIGPPTAAMHGLNDAELIRRASMVPTAGRKTPAKIAFMFLARESLPLAPFWELFFRGYEGLYSIYIHSQPSFNGVAPHEGPIFHGRRIPSKNVAWGKFSMIEAERRLLANALLDTSNQRFVLLSEACIPLFNFTTVYDYLINTNKTFVEAFDQPGAVGRGRYNRRMRPWITIQQWRKGSQWFAVDRELAAQIISDEKYCNLFKRFCRPSCYSDEHYIPTFVTMVSPDRNWNRTLTWVDWSKQGPHPSKFGRYEITVDFLRRLRNGSRCVYNGRESRVCHLFARKFTPLALDRLMRVVTPPPSQRIHGSTHYLERAGQKIATQTQPFRQVQLFHRLIAPTRVTGPSYQAQRAIF >itb05g05470.t1 pep chromosome:ASM357664v1:5:5199145:5203775:-1 gene:itb05g05470 transcript:itb05g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTKNPKQPLVCSFLCIFLVFIHNASNAQEQDQIGFGYEVISSAVDSSGRSLTARLQVIQNSSVFGPDIVNLSLVASFETAGRLRVKITDADNERWEIPEDIIPRTSTIANNNSSSPSPSPFPSPSPSPSPSPSPPQCPPCPCACGQVAQVAQAQVSANNSSNSSSSSSLFISDPNSDLVFTLYNTAPFGFSVQRKSSNDTLFDSSPVVGSNDTYLIFKDQYLQLSSSLPSNSSSLYGLGEHTKTTFKLQSPQTLTLWTADIASFNRDLNLYGSHPFYMDVRSLPQPGVTHGVLLLNSNGMDVVYNGDRITYKVIGGILDLYFFAGPTPELVMEQYTELIGRPAPMPYWSFGFHQCRYGYVNIDEVEGVVAGYANASIPLEVMWTDIDYMDAYKDFTFDPVNFPPERMKAFVDKLHQNAQKYVLILDPGISINDSYPTYKRGLEADIYIKRDGVPYQGQVWPGNVYFPDFINPAATAYWSNEIKMFWDVAGFDGLWIDMNELSNFITSPSNDSSALDNPPYKINNSGGQRPINDRTVPATALHFGNIPEYDVHNLYGFLEVKATNEALINVTGKRPFVVSRSTFVGAGKYTAHWTGDNAASWDDLGFSISGILNSGLFGIPMVGADICGFARATTEELCQRWIQLGAFYPFSRNHGEKSSNHHELYLWESVAASARKVLGLRYRLLPYFYTLMYEAHTRGVPIARPLFFSFPQDTNTYQVYSQFLLGQGVLISPVLTQSAVSVEAYFPSGTWYDLFNYSNSVAAESGKTVTLDAPLDHINVHLREGHILALQGEAMTTQAARNTSFELLVALSTTGNSSGEVFLDDGEEIEMGGAGGRWSLVHFQTSGVGANVTLTSEVTNPDFAANSSWIIQKVTVLGMKDSTNSSSSGVVEITGLNLPIGTSFTLELKPQ >itb10g21280.t1 pep chromosome:ASM357664v1:10:26576530:26577756:1 gene:itb10g21280 transcript:itb10g21280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASTLWTSSSATAAPAASTSRRMTINAALNFPKIHKTNLSLSLPILQTRRFIEEIQFKTSDPAEKNPEDPLVVAKLHALMEAVADRAEMHSNVCEQRNNWNSLLLTSVNGLILAAATMAGIAAVGGGASLHALKLSSTLLYVSATGILAVMNKIQPSQLAEEQRNAARLFRNLHSQIRTTLSTGNAQNDDVSEAMQRVLALDKAYPLPLLGAMLEKFPAAVEPAVWWPKQPRRQRTEIGGNNNDWNRELEEDMREVMKVMKKKDREDYLRLGNKALKLNKILAISGPVLTGLAAIGALLAGPSAAVVGVGAGALAAVVNTLQHGGQVGMVFEMYRSNAGFFKMVQDSIDSNLEEEDLQRRENGQLFEMKVALKLGRSLSELKDLAAKSRSLQNEEIDEFASKLF >itb15g07640.t1 pep chromosome:ASM357664v1:15:5227645:5228895:1 gene:itb15g07640 transcript:itb15g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPVLDNMAGNCGEDRPKCYGPAQKGTFYISLVLTGVGMAGHTVSLDPFLDEQVNEKKGNSSCFCSPRNLANYLMRAVINGVSSWLLPQRWGARFGIVAIYGFAATMALAIANRFFNDDEKPTTSEDNKIDFSKMFKETAMVWPSFIMCGVVSSFGNTFFVEQADRMKPTAGVFTVPLGVLLLLTKAAEFILKHCYGFSYTLMKIFFKLCGKLTKNCYGFDADKISALFGIGTAMYNAVLCCLVAAVVENARRKDTMKKYLHHDSKFWLVPQFVFLIGIDAFLEESIHDFFKKIAPLYKSSSEKKEDQSMQNYIIQFTNFVMGLGFIGSVVSVLAVARISKSVTGKSWIQKEVSDSRLENYYWVLTVLSSINIVYYVFAAIWYTVKLREATKISDAAAGDHPQQPRGETGCCSC >itb11g08620.t1 pep chromosome:ASM357664v1:11:5668926:5672266:-1 gene:itb11g08620 transcript:itb11g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASSSDDEEDRHNLIGDGARSPGRSSFQIDGNKNRFIDPARFNFICRKRYCLAIILPLFVIILYATTDIKNIFRTGVSKITFDSPVNRMRESELRALYLLRQQQLELFKQWNHTFVNKTSFAAVNNTGNSTSSNAVSGSSYSSLERAGSVFLEDLKADLLSQISLNKQIQQVLLSSHQLGNSLDLLDNNTDPSISGLGRCKKVDQGWSERKTIEWKPEANKYLFAICVSGQMSNHLICLEKHMFFAALLNRVLVIPSSKVDFEFQKVLDVDHINKCLGRKVVLTYEEFAESQKNHVHIDKVICYFSQPQPCFMDDDRIKKLKSSGVSMNKIETAWNEDVKKPKQRTVQDIVSKFSSNDDVIAIGDVFFADVERDWVAQPGGPIAHKCKTLIEPSRIIMLTAQRFVQTFLGEDFIALHFRRHGFLKFCNAKKPSCFYPVPQAADCINRVLERANSPVIYLSTDAAESETGLLQSLVVFNGKTVPLVKRPARNSAEKWDALLYRHGLEEDPQVEAMLDKTICALSSVFIGAPGSTFTEDILRLRRGWGSASMCDEYLCQGEVPNFIADDE >itb11g04660.t1 pep chromosome:ASM357664v1:11:2466445:2471778:-1 gene:itb11g04660 transcript:itb11g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 12 [Source:Projected from Arabidopsis thaliana (AT4G03550) UniProtKB/Swiss-Prot;Acc:Q9ZT82] MSNRQRPPPPAQQRQQRPHPLGEDEQPYNIIPIHNLLADHPSLRFPEVRAAAAALRSVGELRKPPFCPWRPEYDLLDWLALFFGFQASSVRNQREHLVLHLANAQMRLTPPPDNIDCLDPGVLRRFRRQLLRNYTSWCSFLGLKSNVWLSERHNSASDNRRELLYVSLYLLIWGESANIRFVPECISYIFHNMAMELNKILEDYIDENTGSPFLPSISGENAYLNRIVKPIYETIRAEVENSRNGTAPHSAWRNYDDINEYFWTKRCFEKLKWPIDIGSTFFVTTDKGKKVGKTGFVEQRSFWNLFRSFDKLWIMLALFLQAAIIVAWEGKEYPWQALKSREVQVKVLTVFFTWSGMRFLQSLLDMGMQYRLVSRETPWHGVRMVLKAIVSAGWIVVFGVFYGRIWTQRNNDRGWSGEANRRVVNFLEVSLVFLAPEILALAFFILPWVRNFLENTNWKIFHLLLWWFQSRTFVGRGLREGLVDNIKYSLFWVLVLVTKFTFSYFLQIKPMIAPTKTLLRLKIDTYEWHQFFGNSNRFAVGLLWLPVVLIYLMDIQIWYAIYSSFTGAAVGLFDHLGEIRNMQQLRLRFQFFASAIQFNLMPEEQLLNTHGTFKSRIKDAIHRLKLRYGFGRPFKKLESSQVEANKFALIWNEIILTFREEDIISDHEVELLELPQNTWDVRVIRWPCLLLCNELLLALSQAKELVDAPDHWLWSKISKSEYRRCAVIEAYECTRHLLLEIVTLNSEEHSILSTFFQQIDEWVKLEKFTKYYNLTALPKICDKLTILLNLSLNPKRDIDKVVNVLQALYEIATRDFLKEKMTADQLREDGLAPRTSGDKLLFQNAVVFPDPNNEIFYRQARRLHTILTSRDSMSNIPRNLEARRRLAFFSNSLFMNMPHAPHVEKMRAFSVLTPYYNEEVLYSKEQLRTENEDGISILYYLQTIYADEWENFLERMRREGMTNEIRELWTERLKDLRLWASYRGQTLARTVRGMMYYYRALNMLAFLDSAAEVDIKEGSRELASMRRSEGPDGLSSERAPSSRSLSRADSSVSLLFKGHEYGTSLMKFTYVVACQIYGTQKAKRDPHAEDILKLMENNEALRVAYVDEVVRGRDEKEYYSVLVKYDQKLKKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKHYYGIRKPKILGVREHIFTGSVSSLAWFMSAQEMSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQIAMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMIVLTVFAFLWGRLYLALSGLEDSIADSGTNDNKALGTILNQQFIIQLGLFTALPMIVENSLEHGFLTSIWEFITMQLQLSSVFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVQHKGFAENYRLYARSHFTKAIELGLILTIYASYSPVATRTFTYIALTISSWFLVVSWILAPFVFNPSGFDWLKTVYDFDEFMSWIWYRGGVFAKAEQSWEKWWDEEQDHLRTTGLWGKILEIILDLRFFFFQYGIVYQLGIAADSKSIAVYLLSWIYVVVALGIYTIIAYARDKYAAKEHIYYRLVQFLVIILFIILIIALLQFTDFKFIDLFTSLLAFVPTGWGLLSFAQVLRPLLQNTFIWGTVVAVARLYEIMIGVIVLIPVALLSWLPGFQPMQTRILFNDAFSRGLRIFQIVTGAKKTKRDV >itb03g13390.t2 pep chromosome:ASM357664v1:3:13550771:13553460:-1 gene:itb03g13390 transcript:itb03g13390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQFPAILARRALRALKGLVKLQALVRGRIVRKQSADMLRRMQALARIQARACANRSLVSECSHSGIKASKIHCQGISSSLNKYDSQLRYLSTNHGTNQKRFYSKSNKNENMSRGRMDRGSKWLDRWMEDYARNNYADSNLNMGGDDDEKTDKILEIDTWKPRVNPKGSERSSQSSHQISAWNDNGLGFRTSNSMSRHSSNMKKPNVSLSSEVSSSQSVKFPQEMDQEGKWIVDHSPGIRSSSSKPGSSSRSQRGPFTPNRSECTRSLFSDFPNYMANTESSLAKVRSHSAPRQRMQLKWMNGVYDTDTNSEQSWSLRGSFRGKAYSGSGRIDN >itb03g13390.t1 pep chromosome:ASM357664v1:3:13550771:13554485:-1 gene:itb03g13390 transcript:itb03g13390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFRSLLGSKKSSSGSSPSPSPSPKDKKNNANSAKSSNTVGKNIGGVSQGTHCYGTDGASPNPHPEATLDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSGNRPTAAYVSSSSDRRLEWAAVKIQSEFRAYLARRALRALKGLVKLQALVRGRIVRKQSADMLRRMQALARIQARACANRSLVSECSHSGIKASKIHCQGISSSLNKYDSQLRYLSTNHGTNQKRFYSKSNKNENMSRGRMDRGSKWLDRWMEDYARNNYADSNLNMGGDDDEKTDKILEIDTWKPRVNPKGSERSSQSSHQISAWNDNGLGFRTSNSMSRHSSNMKKPNVSLSSEVSSSQSVKFPQEMDQEGKWIVDHSPGIRSSSSKPGSSSRSQRGPFTPNRSECTRSLFSDFPNYMANTESSLAKVRSHSAPRQRMQLKWMNGVYDTDTNSEQSWSLRGSFRGKAYSGSGRIDN >itb03g09260.t2 pep chromosome:ASM357664v1:3:7110461:7112838:-1 gene:itb03g09260 transcript:itb03g09260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRRVATHSRQLYRVPLTPLSRSFSASSDSLVEIQRGEIGMVSGIPQEHLRRKVVIFSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDAYANVGDAALSFDSEDAAKAFAERHGWEYSVKKHQTPLLKV >itb03g09260.t1 pep chromosome:ASM357664v1:3:7110461:7112838:-1 gene:itb03g09260 transcript:itb03g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRRVATHSRQLYRVPLTPLSRSFSASSDSLVEIQRGEIGMVSGIPQEHLRRKVVIFSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDAYANVGDAALSFDSEDAAKAFAERHGWEYSVKKHQTPLLKIKAYADNFKWRGPPKMEG >itb06g10790.t2 pep chromosome:ASM357664v1:6:15281475:15284925:-1 gene:itb06g10790 transcript:itb06g10790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLVNSPMKQSSVRSECLSSHNVKELLDSVDAFLFDCDGVIWKGDKLIDGIPEALDMLRSRGKKLVFVTNNSTKSRKQYAKKFQSLGIPVSEDEIFSSSFAAAMYLKVRNFPTDKKVYVIGEEGILEELELAGFTGLGGPADGKKTLELKSGFIFEHDKSVGAVIVGLDQYINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDQQEWPGAGCMVAAMCGSTQKEPIVVGKPSTFMMDFLLQKFNIATSRMCMVGDRLDTDILFGKNSGCQTLLVLSGVTNLSTLIDSANDIKPDYYTGKLSDILKLLK >itb06g10790.t4 pep chromosome:ASM357664v1:6:15281475:15284891:-1 gene:itb06g10790 transcript:itb06g10790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKVRNFPTDKKVYVIGEEGILEELELAGFTGLGGPADGKKTLELKSGFIFEHDKSVGAVIVGLDQYINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDQQEWPGAGCMVAAMCGSTQKEPIVVGKPSTFMMDFLLQKFNIATSRMCMVGDRLDTDILFGKNSGCQTLLVLSGSTLLSLSIFETMPFIGIEHLARDDISIGDYCRCNKSVDTDRLCK >itb06g10790.t1 pep chromosome:ASM357664v1:6:15281475:15284891:-1 gene:itb06g10790 transcript:itb06g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLVNSPMKQSSVRSECLSSHNVKELLDSVDAFLFDCDGVIWKGDKLIDGIPEALDMLRSRVLQFLFVLIYWGKKLVFVTNNSTKSRKQYAKKFQSLGIPVSEDEIFSSSFAAAMYLKVRNFPTDKKVYVIGEEGILEELELAGFTGLGGPADGKKTLELKSGFIFEHDKSVGAVIVGLDQYINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDQQEWPGAGCMVAAMCGSTQKEPIVVGKPSTFMMDFLLQKFNIATSRMCMVGDRLDTDILFGKNSGCQTLLVLSGVTNLSTLIDSANDIKPDYYTGKLSDILKLLK >itb06g10790.t3 pep chromosome:ASM357664v1:6:15281475:15284925:-1 gene:itb06g10790 transcript:itb06g10790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQLVNSPMKQSSVRSECLSSHNVKELLDSVDAFLFDCDGVIWKGDKLIDGIPEALDMLRSRGKKLVFVTNNSTKSRKQYAKKFQSLGIPVSEDEIFSSSFAAAMYLKVRNFPTDKKVYVIGEEGILEELELAGFTGLGGPADGKKTLELKSGFIFEHDKSVGAVIVGLDQYINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDQQEWPGAGCMVAAMCGSTQKEPIVVGKPSTFMMDFLLQKFNIATSRMCMVGDRLDTDILFGKNSGCQTLLVLSGSTLLSLSIFETMPFIGIEHLARDDISIGDYCRCNKSVDTDRLCK >itb07g22530.t1 pep chromosome:ASM357664v1:7:27063320:27064763:1 gene:itb07g22530 transcript:itb07g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDKQLLAYIEQYGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGNFSLQEEQSIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKKLSKMGIDPMTHRPKINSSFGSAANLSHMAQWETARLEAEARLVRHSKFISSSLISPHHFRNNPPPPPPPKVPPSLDVLKAWQETWTKPPRTRVSSSVDGGAFVSNATPHHSPTTLNFSDQNLCNVETPYVHESTSNIGNPNNTTGDDIIPHVAMDPLSELPTFIHGFSELSPETLTGYLDDDDVVGNCGTADMEDNSRYWNSILNNLVASPVGSPVF >itb11g02620.t2 pep chromosome:ASM357664v1:11:1332918:1334270:1 gene:itb11g02620 transcript:itb11g02620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDALRTVDCLRGRLLAERVASKNAKEEAQLMGNKLIELENRLKEETKSRNRAEKRLKFLMKKLQSINVAFVSDESENSCLTEGSEVSSASYTACSTSKDLEDKMPRIETACSSEGDSPEPMENAKSDNNENTEADSKNNLETSAEEKEMNDLDREESVDNSLALVPVDDLPKPKQAIDPAVLDATVRDVLDSLRHAKEKLQNQMERRRMITVG >itb11g02620.t3 pep chromosome:ASM357664v1:11:1333142:1334270:1 gene:itb11g02620 transcript:itb11g02620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQSINVAFVSDESENSCLTEGSEVSSASYTACSTSKDLEDKMPRIETACSSEGDSPEPMENAKSDNNENTEADSKNNLETSAEEKEMNDLDREESVDNSLALVPVDDLPKPKQAIDPAVLDATVRDVLDSLRHAKEKLQNQMERRRMITVG >itb11g02620.t4 pep chromosome:ASM357664v1:11:1332918:1334270:1 gene:itb11g02620 transcript:itb11g02620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDALRTVDCLRGRLLAERVASKNAKEEAQLMGNKLIELENRLKEETKSRNRAEKRLKFLMKKLQSINVAFVSDESENSCLTEGSEVSSASYTACSTSKDLEDKMPRIETACSSEGDSPEPMENAKSDNNENTEADSKNKCVAILNNNVEKIGSGLNCETDIELLLRFSFVLAWRLQQKRKR >itb11g02620.t1 pep chromosome:ASM357664v1:11:1332051:1334270:1 gene:itb11g02620 transcript:itb11g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDALRTVDCLRGRLLAERVASKNAKEEAQLMGNKLIELENRLKEETKSRNRAEKRLKFLMKKLQSINVAFVSDESENSCLTEGSEVSSASYTACSTSKDLEDKMPRIETACSSEGDSPEPMENAKSDNNENTEADSKNNLETSAEEKEMNDLDREESVDNSLALVPVDDLPKPKQAIDPAVLDATVRDVLDSLRHAKEKLQNQMERRRMITVG >itb08g15900.t1 pep chromosome:ASM357664v1:8:18083899:18088268:-1 gene:itb08g15900 transcript:itb08g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGWLTQCRGASMSFPATIPAFCPTATANATDHRHRLLHILSQCSTMSQLKQLHAYALRTTPDPQHPDTVFLYTRLFNLASSIGDLNYTFRLFNQIPHPGSFIWNTLIHASARSHDRKHKAFLIFRQMLATANVPPDKHTFPFVLKACSYLFAFFEGQQAHAQALKHGLGSDVYVGNSLIHFYSSCGYFEDARRVFDKMSERSLVSWNVMIDALVEFGNFEEALGMFRKVQIEFEPDGFTMQSVLGACSGLGSLYLGMWAHGYIVRNYKGDVNTDVLLNNCLVNMYCKCGSLRLAVQVFDRMSKHDLHSWNSMILGFAMYGEVDEALECFDKMVSGGIMPNSITFVGVLSACNHRGLVSQGRKYFDRMVDEFKITPVLEHYGCLVDLLARKGCIDEALDVVSNMPMQPDAVIWRSLLDGCCKKNLDIRLSEEVAKKVMESNDSVSSGVYVLLSRVYAAAKKWNEVGLIRQLMTDKGVSKEPGCSQIEIDGVSHEFFAGDTSHPVRNEIYEFLDAIEEKLKSVGYAPDFSQAPLVDEFDGGNNNSLRLHSERLAVAYGLLNSKPGMPIRVFKNLRICNDCHNVIKLISRIFDVEIIIRDRIRFHHFRDGSCSCMDYW >itb05g27050.t1 pep chromosome:ASM357664v1:5:30628428:30629036:1 gene:itb05g27050 transcript:itb05g27050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLFMVLSVLVMIVVANAQTTSSVICKVKVTELAECLPAISGAAPKDPTKACCDVLCKADLKCMCKLKPQLAKFGLSPEKAMKLPGKCGLKVPRQC >itb15g08160.t1 pep chromosome:ASM357664v1:15:5716664:5717316:-1 gene:itb15g08160 transcript:itb15g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYNKSPLLLKSNPVHKQTPVLLHNGNPIAESLVIVEYIDDTFPGTPILPKDPYERAVARFWAKFIDEKFRPATMKVLYTKGEELEKVKEEVGELLKVLDNELKKKKFLGGETIGLADIAANFVAVWVGVLEEIMEVELGLTEEKFPHLCRWKQDFLNCHVIKETLPSKDKLVAYHFSKVKPAAATASQ >itb12g18500.t1 pep chromosome:ASM357664v1:12:20801344:20803668:1 gene:itb12g18500 transcript:itb12g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSMLGGDRRTGVEDEYIRRHHRHEVRDNQCSSSLVKHIRAPVHLVWSLVRRFDQPQRYKPFVSRCIVQGDLEIGSVREVNVRSGLPATTSKERLELLDDNEHIFSMRIVGGDHRLRNYSSIITVHPEVIDSRPGTLVIESFVVDVPDGNTQDETCYFVEALIKCNLKSLAEVSERLAVQGHTEPIDRI >itb01g01400.t2 pep chromosome:ASM357664v1:1:795143:798509:-1 gene:itb01g01400 transcript:itb01g01400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLNPKLFLILPAFMLLILYSTFLPLYTPSSPSSSHSHYSKPQLSISSSCNLFKGKWVFDPTRMPMYDDNCPFHRNSWNCLRNQRESMGRINSWRWVPEGCELGRVDPSGFLGLMRNRNIGFVGDSLNENFLVSFLCILRAADKGAKKWKRKGAWRGAFFPKFNVTVGYHRAVLLAEYVQTREPGSSTEDGLKGAYRVDVDVPADDWAHIAGFYDVLVFNTGHWWGYDKFPKETPLVFYKSGQPIQPPLEMLDGFKVVLDNMVAHIEKQFPKTLKFWRLQSPRHFHGGEWNQNGSCVFSEPLDESQLDLWFDPRNNGVNKEARKLNGVIKEAIEGSSIRILDLTHLSEFRADAHPAIWLGKKDAVAVWGRDCMHWCLPGVPDTWVDILAQQIRYSVETG >itb01g01400.t1 pep chromosome:ASM357664v1:1:795143:798509:-1 gene:itb01g01400 transcript:itb01g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLNPKLFLILPAFMLLILYSTFLPLYTPSSPSSSHSHYSKPQLSISSSCNLFKGKWVFDPTRMPMYDDNCPFHRNSWNCLRNQRESMGRINSWRWVPEGCELGRVDPSGFLGLMRNRNIGFVGDSLNENFLVSFLCILRAADKGAKKWKRKGAWRGAFFPKFNVTVGYHRAVLLAEYVQTREPGSSTEDGLKGAYRVDVDVPADDWAHIAGFYDVLVFNTGHWWGYDKFPKETPLVFYKSGQPIQPPLEMLDGFKVVLDNMVAHIEKQFPKTLKFWRLQSPRHFHGGEWNQNGSCVFSEPLDESQKQWSEQGSKKA >itb01g02320.t1 pep chromosome:ASM357664v1:1:1494083:1495169:1 gene:itb01g02320 transcript:itb01g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVQLIGGSGGGNGNSAAGREGKCVMAAKSSPATAVIIIGDNSGEGEADDEGDDSDYPPRKFKKYRSIADVYQSTKPLQIVKATPAKRHYRRKGKAARRTKKIEKKEGKEKRNIRLNKDNFNDNSNKNNKNIIIIDLEESSEEATTEALPRRNPKFRSLDEIYEAAQTVPVKSEMKSRLN >itb06g18180.t1 pep chromosome:ASM357664v1:6:21896920:21901382:1 gene:itb06g18180 transcript:itb06g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLTMRTAWGHGAHRALLVADAGVLRTLFTLSAKPHPLASHTLPLHHPISPPHSRPIALLFTPVLRRDSQFSTAAAAVYGVLRTGRSPNFGLAKCISSVSSSAHTVEWNDAISTSEAWDGGVSKAEELDLEKEDGDDAAVVSAALPSIPVRAFFFSTSVDLRSLVDQNKQNFIPPTSRMTNYVVLRFGYTKPDPNVLGSGLSGSDCCYMVVFQYGSIVLFNVRDHEVDGYLKIVEKHSSGLLPEMRKDEYEVREEPTLSTWMQGGLDYIMLQYLNNDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILIGAEILISVYDIAHKSL >itb13g20700.t1 pep chromosome:ASM357664v1:13:27481899:27483902:1 gene:itb13g20700 transcript:itb13g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKIEIIWVHNRFCMPHGNSETQSHIHLPIHQDKPLLFNIIFSVFKPFSFLSTHNTTIIPCNCIVQKSVDMASACAASSTIAAAAFSSPSSQTKASFLSGRKLRVSKYSAPATSRSFTVCATADPNRPLWFPGSTPPPWLDGSLPGDFGFDPLGLASDPESLKWNQQAEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDTTTLFVIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >itb09g04780.t1 pep chromosome:ASM357664v1:9:2666592:2669101:-1 gene:itb09g04780 transcript:itb09g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGWEEKEKKQRNLDMEKGGRIRSKGEKEESRDSFSILAVVSTFIVFVVGVVIGLASSTHINRYLSFQIQQSSNTILLGTSGMGCDCKDCLSLESFVRPSNLIHGMQDEELFWRASLVPEKKEFPFQRVPKVAFMFLTRGPLPLMPLWERFFEWQNKNKYSIYVHTPPGYELTAANTSVFYRRQIKSQKVEWGSVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYDFPTVYKYLTESDHSFVESYDDPSRYGRGRYSRRMYPDIRLPDWRKGSQWFEMDRALAIIVVSDTKYYDLFKKYCHPSCYPDEHYIPTYLHMFNGPMNANRSVTYVDWSLGGPHPATYTAVNITEGFLESIRNNGTSCSYNSEETETCFLFARKFAPSALEPLQNLSSKVMGF >itb12g22800.t1 pep chromosome:ASM357664v1:12:24748464:24751999:-1 gene:itb12g22800 transcript:itb12g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MAEDDDAYWDPTDPDPGPTSALDFFDRDRHLCYSQMMLELLPSAYQGQEINRLTLAYFAISGLDILGGLDRVDKAGVINWVLSLQAHPRNEAELENGQFYGFHGSRSSQFESSDNEGPIPNGSHLASTYCALAILKTVGYDLSFVDSASILKSMRSLQQPDGSFMPIHIGAETDLRFVYCAAAICSMLENWNGMDREKAKEYILNCQSYDGGFGLTPGSESHGGATYCAIASLRLMGLIEDDLFSKTGTSCCINVPLLLDWTLQRQGADGGFQGRLNKPSDTCYAFWVGGVLKILGANKLIDKKGLHDFLYTCQSQYGGFGKVPGALPDLYHSYYGFCAFSLLEEPGLASICIELGITDSAVCGF >itb02g25260.t1 pep chromosome:ASM357664v1:2:26023311:26024542:1 gene:itb02g25260 transcript:itb02g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMNGRNAKTNFPVAANKDGKEDKSSSSAASSSSSSSSSSLSAILSAKLRKCCKSLSPSLTCLRLDTENSNIGVWQKRAGASSDSNWVMTVELGNKQQPPPKQESLSSETSEDTTDVVADDGNQTVNEEEKIALQMIEELLNEN >itb03g18210.t1 pep chromosome:ASM357664v1:3:16551858:16552853:1 gene:itb03g18210 transcript:itb03g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAKAAAQYRSQLLSPRALSLSQATAAAFHRCYSTAPIGMSTSQIVEHVVLFKVKPDVEAAKVNDMIGKLNGLASLPQVAHIAAGALLRARSSSLSFTHMLHSRYRSKSDLADYSAHADHVAVVKGYVLPICEDIMAVDWIPDCFSGPIKVPAGSAIRATFLKLKESVGENEKNEILRVNKGIKEKFPSIEQLCVGENFSARAKGYSIASIAIFRGVSELEALNEAKDKVEEFLDDVLAVDFAVPGEQSANL >itb02g10250.t1 pep chromosome:ASM357664v1:2:6600551:6604914:1 gene:itb02g10250 transcript:itb02g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGVRMSCLRFRCTPETPLLRPYGLAVHRRRRRLFATSCSASTKPVAGNKEKVIVISGPTGAGKSKLALQLAKRLNGEIISADSVQVYRGLDIGSAKPSLSERKEVPHHLIDILHPSEDYSVGKFFEDARQVTRDVLDRGRVPIVAGGTGLYLRWFVYGKPDVPQASQEITSEVCTEIADLQKDENWEAAVELVVKAGDPGVKSLAANDWYRLRRRLEIIKSSGLSPSAFKVPYNSFKEQFDSGVTDTSDISSSTDGLQQSKEKDLDYDFLCVFLTTQRLDLYRSIDFRCEDMLLENDGLLSEARWLLDLGLLPNSNSATRAIGYRQAMEYLLYCREQGGSSSSRDFFAFLSEFQKASRNFAKRQLTWFRNEPLYHWIDASRPMGSVLDFIYDSYRSQSGHLEVPKSLSVKKEISSRREVAEMKAYRPRNQHFIGRDDCTPVLNWIQSIYGRETASIS >itb12g10800.t1 pep chromosome:ASM357664v1:12:8877984:8882636:-1 gene:itb12g10800 transcript:itb12g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLSNVEILEQLRQGVAEFELVSSPFSSTPTSNLRTLQHKALPFGFPTEARTSTHNFFARIGPSLGGGSQALKKVERYSIQRVTGDGRCLFRALVKGMAFNKGKTLSPREEREGADELRLAVKEVICDNNKEREKYEEALVAITVDESLKRYCQRIGRSDFWGGESELLVLSRLLCQPVVVYIPEHEHSGRGSAFIPIAEYGSEFCKGTRSSKPPQAVKLLYSGRNHYDLLV >itb11g20210.t1 pep chromosome:ASM357664v1:11:21177194:21179834:-1 gene:itb11g20210 transcript:itb11g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQSKKLSQLTADNAQWEDRQLLRSGAVKGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSVLVREIHEKQSMHKSRQRFWELAGSKLGDILGVEKTAEQVDADTAAVGEQGEVDFKEEARFSQHLKKGEAVSDFAKSKTISQQRQYLPIFSVREELLQVVHENQIVVVVGETGSGKTTQLTQYLHEDGFTTNGIVGCTQPRRVAAMSVAKRVSEEMETDLGEKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLEKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVQIMYSKTPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALQERMEQLVSSAKQAVTKLLILPIYSQLPADLQAKIFQKAEEGER >itb04g34130.t1 pep chromosome:ASM357664v1:4:36189587:36194047:-1 gene:itb04g34130 transcript:itb04g34130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGNNYQDIVDSRTAFADYGIAPESSEFKGSPFRKSVSVAVGGKHGAGSNTAVHELLECPVCLNMMYPPIHQCPNGHTLCQKCKSKVHLCPICRHDLGNIRCLALEKIAESLELPCKYQLFGCQDIFPYHSRLRHEQNCKFRPYSCPYAGSDCPVTGDIQFLVSHLKDDHRVDMHDGCTFNHRYVKNNPQDVENATWMLTVFNCFGYQFCLHFEAFSLGISPVYMAFLRFMGDEEDAKKFSYSLEVGGLGRKLTWQGVPRSIRDSHKTVRDSLDGLIIQRSMALFFSGGDRKELKLKVAGRISREQL >itb04g34130.t3 pep chromosome:ASM357664v1:4:36189587:36192845:-1 gene:itb04g34130 transcript:itb04g34130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGNNYQDIVDSRTAFADYGIAPESSEFKGSPFRKSVSVAVGGKHGAGSNTAVHELLECPVCLNMMYPPIHQCPNGHTLCQKCKSKVHLCPICRHDLGNIRCLALEKIAESLELPCKYQLFGCQDIFPYHSRLRHEQNCKFRPYSCPYAGSDCPVTGDIQFLVSHLKDDHRVDMHDGCTFNHRYVKNNPQDVENATWMLTVFNCFGYQFCLHFEAFSLGISPVYMAFLRFMGDEEDAKKFSYSLEVGGLGRKLTWQGVPRSIRDSHKTVRDSLDGLIIQRSMALFFSGGDRKELKLKVAGRISREQL >itb04g34130.t2 pep chromosome:ASM357664v1:4:36189587:36194047:-1 gene:itb04g34130 transcript:itb04g34130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGNNYQDIVDSRTAFADYGIAPESSEFKGSPFRKSVSVAVGGKHGAGSNTAVHELLECPVCLNMMYPPIHQCPNGHTLCQKCKSKVHLCPICRHDLGNIRCLALEKIAESLELPCKYQLFGCQDIFPYHSRLRHEQNCKFRPYSCPYAGSDCPVTGDIQFLVSHLKDDHRVDMHDGCTFNHRYVKNNPQDVENATWMLTVFNCFGYQFCLHFEAFSLGISPVYMAFLRFMGDEEDAKKFSYSLEVGGLGRKLTWQGVPRSIRDSHKTVRDSLDGLIIQRSMALFFSGGDRKELKLKVAGRISREQL >itb04g34130.t4 pep chromosome:ASM357664v1:4:36189587:36192701:-1 gene:itb04g34130 transcript:itb04g34130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGNNYQDIVDSRTAFADYGIAPESSEFKGSPFRKSVSVAVGGKHGAGSNTAVHELLECPVCLNMMYPPIHQCPNGHTLCQKCKSKVHLCPICRHDLGNIRCLALEKIAESLELPCKYQLFGCQDIFPYHSRLRHEQNCKFRPYSCPYAGSDCPVTGDIQFLVSHLKDDHRVDMHDGCTFNHRYVKNNPQDVENATWMLTVFNCFGYQFCLHFEAFSLGISPVYMAFLRFMGDEEDAKKFSYSLEVGGLGRKLTWQGVPRSIRDSHKTVRDSLDGLIIQRSMALFFSGGDRKELKLKVAGRISREQL >itb02g00550.t1 pep chromosome:ASM357664v1:2:353736:354618:-1 gene:itb02g00550 transcript:itb02g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLLLFVSLTFVPFAFPSRNLPSSSSSSSSSSASVVDIEGKEVQLGLPYYALSNVFIFQPGLCLIDIKNRTTGCPHDVVQCNIFSNSTALLGWPIIFNTPANVTAGNVITEGISYTVRFPVSAAGLLCIQEIFWGLADVNMFQKYITTDPNAGAAGVLFQVKKEWSGYKISYCVTIPVPRTPVCYPVGLIQKDGYNRLGIGFGVNSLHFSFSKYNATTLHAHATS >itb04g11870.t1 pep chromosome:ASM357664v1:4:11492844:11494980:-1 gene:itb04g11870 transcript:itb04g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPFHIIIVLTFLSVSGITFSDDAAVMSNLLAAISPAPNHWSNSNHYCSWTHVTCDDDNNVISIKIDSLSISGQLFSGITQLSSLINLSVSGNSLSGPLPSFANMPMLEDLRLDGNDFTSVPSDFLSDLPRLKSFSVSENRNLSSWEIPMHLNRSTDLVSFNASNANVFGIIPDFFYSFPNLENVILSSNNLTGSLPGSFSGSKIRILRLDNQQLGLSGNISVLSSMTQLLQVWLQGNAFTGQIPDLYGLQNLSDLRLGDNRLTGFVPDSLRNLMRIHELRTVFLQNNKLQGPIPQFRCQFQIQVNLRNNSFCKETPGDCDPQVTALLNMAADLGFPLTLAESWSGNDPCRKWRFISCDVQREVTTVDLEKQGFSGNISHILLASEKLTTLRKLYLNDNNLTGSIPDNLAILNLLLILDVSDNNLSGSVPDLPDSLRFHCSGNPLLRFNASNLAGKIAGIVLAVLVPVIVLVLFVSYRFYAKRLRNTSSRTAKNQTTAITGTGTDTGTIFPIQVLQKATNYFSEGNVVGSGGYGIVYKGELDDGTKIAVKKMKDGAVRGLNEFQAEISFLAKVRHRNLVSLLGYSINESERILVYEYMPQGTLSHHLFQWQNHGFHPLTWNQRVTIALDVGRGIEYLHSFAHQSFIHRDIKPSNILLSNDMRAKVADFGLVKMVPDDKNSVETRTVGTFGYLAPEYAGKFLSYSHA >itb02g26170.t2 pep chromosome:ASM357664v1:2:26948921:26955198:1 gene:itb02g26170 transcript:itb02g26170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIVPSCLGLRMSQQLVDSGCLQQEIRFWNCGRYMMKVAQVIRPRIIPCIKANANGLQVFVLSDLHTDYSENMTWVRGLSRTVGQNKDVLLVAGDVAETRNNFVLTMSLLKDRFAHVFFVPGNHDLWLRREKDNNVNSLEKLDELLDACQRIGVETNPTVVDGLGIIPLFSWYHESFDREMDITSIRIPSLELACKDYNACRWPAGLINGDTSLALYFDAMNDKNQEKVREMQGRCHQIISFSHFVPRQELCPEKRMLFYPNLPKIAGSDFLEARIRHIHGAEGSEFACHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGEEWLPYCIYSGGEFPEQVLPCYWSDYYAANPRTPEITELAPWVARFYRRSS >itb02g26170.t1 pep chromosome:ASM357664v1:2:26948921:26955198:1 gene:itb02g26170 transcript:itb02g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIVPSCLGLRMSQQLVDSGCLQQEIRFWNCGRYMMKVAQVIRPRIIPCIKANANGLQVFVLSDLHTDYSENMTWVRGLSRTVGQNKDVLLVAGDVAETRNNFVLTMSLLKDRFAHVFFVPGNHDLWLRREKDNNVNSLEKLDELLDACQRIGVETNPTVVDGLGIIPLFSWYHESFDREMDITSIRIPSLELACKDYNACRWPAGLINGDTSLALYFDAMNDKNQEKVREMQGRCHQIISFSHFVPRQELCPEKRMLFYPNLPKIAGSDFLEARIRHIHGAEGSEFACHVFGHTHFCWDAVLDGIRYVQAPLAYPRERKRRMNGGEEWLPYCIYSGGEFPEQVLPCYWSDYYAANPRTPEITELAPWVARFYRRSS >itb08g10370.t2 pep chromosome:ASM357664v1:8:9804013:9808737:1 gene:itb08g10370 transcript:itb08g10370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPHSRTSLVASLRRTRNTATPTVAGSPPYRSARKQEHRFSSQRLSSTLRSQGALELSVHKEEFVSKLLNRKWALRSPATQIHQIMVSNLYREQGDAFDNVSFVNIQQPSLGKSLVAENHCQPSFYVVRDDLLHPLVNGNKARKLDALLPLLEHNSVTDVVACGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPETLTGYNLISTMYGNVRYVPRSLYAKREEMLAMHANKVAGSDGSIVSLGELLEASFSNQGFGEQSVQVATRTYAESEKKVVIINEGAGDAVGLLGAIRLVEYLSQEHLFGRNQALKVIVDAGTGTTAIGLGLGAVCLGLPWKVTAVMLADTIEGYRQKEKSLISEFRVSFNLPDLDQLLSKGRGVVHWVERSLPRKQIWQSVER >itb08g10370.t1 pep chromosome:ASM357664v1:8:9804013:9808674:1 gene:itb08g10370 transcript:itb08g10370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPHSRTSLVASLRRTRNTATPTVAGSPPYRSARKQEHRFSSQRLSSTLRSQGALELSVHKEEFVSKLLNRKWALRSPATQIHQIMVSNLYREQGDAFDNVSFVNIQQPSLGKSLVAENHCQPSFYVVRDDLLHPLVNGNKARKLDALLPLLEHNSVTDVVACGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPETLTGYNLISTMYGNVRYVPRSLYAKREEMLAMHANKVAGSDGSIVSLGELLEASFSNQGFGEQSVQVATRTYAESEKKVVIINEGAGDAVGLLGAIRLVEYLSQEHLFGRNQALKVIVDAGTGTTAIGLGLGAVCLGLPWKVTAVMLADTIEGYRQKEKSLISEFRVSFNLPDLDQLLSKGRGVVHWVERSLPRKFGKALKGEVEKCQRIARDTGVLVDPVYTLAAWELGMQFSREEGAKAVMLHTGGTLGMFGLAQRYRSYFQMLNE >itb07g13910.t1 pep chromosome:ASM357664v1:7:16229680:16230826:-1 gene:itb07g13910 transcript:itb07g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENPSQQSVLAQ >itb09g04060.t1 pep chromosome:ASM357664v1:9:2262451:2266623:1 gene:itb09g04060 transcript:itb09g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSFSRRSFDVRLSSHHRGRSHGSFHDLSDHSPVIQNSCWSNLPPELLFDVIRRLEESESTWPSRKHVVACAAVCRSWRSMCKEIVRGPEFCGKLTFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYIISMNAENISQSSNTYIGKLRSNFLGTKFIIYDTQPPCSSAHIPAPGRTSRRFYSKKVSPKVPTGSYSISHITYELNVLGTRGPRRMHCIMHSIPASSLDAGGTVPGQPELLPGSLEDSFRSMSFSKSLDHSTEFSSARFSDIGISSSNEDNDGKARPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPATNAPTTSQPPSQPDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDAKLACE >itb04g03160.t1 pep chromosome:ASM357664v1:4:1961192:1964180:-1 gene:itb04g03160 transcript:itb04g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAPATATSKAPLFRHRQHGGLSSSFFHSLSFPSRFSSSILPKPLTTASVAITCALRSKPRGRGTVDYERRQRGRWLDIYRRFSSVPDPETGAASVLNQYENEGKKISKFGLSRVIKELRKFRSYKLALGVYEWMENRPERFWMTTSDIAIKLDLISKVHGISSAETYFQKLSDSLKDRRVYGSLLNAYVHGSMKEKAEALFGKMREQGFMTQALSWNVMMSLYMNFKDYGKVDAIVSEMMAKNVPLDMYSYNIWILSLGSQGALEKMEQAFEQMKLDTTITLGWTTFSTMATIYIKEGQLQKADECLKQIERRFQDQGRLPYHYIIGLYGNVGRKEEVHRIWDVYKSKFLNIPNVGYRGVISSLVRSGDIEGAESIFDEWLSKTSMYDPRIGNILLEWYVRSGLTEKAGAFFNKIVEAGVQPNSRTLEILAENHVRVRRISEALSSLKDAFSAAASKNWRPRPATISSILQHCEQANDGASKELLFAVLKQVGCLDDEKYMSMCNGKHTGNELETDDNTDEESERGAELIFSQVH >itb06g13120.t1 pep chromosome:ASM357664v1:6:17723236:17724774:-1 gene:itb06g13120 transcript:itb06g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHPEKNPLLVLPTTNDETKNRTNRSLDDTIEVWLGRFSWAQFLQATLANLAWVFDAHQTFITVFTDLVPTWHCNDTSSSLCNNVCELPKEAWAWDAPPQTSVVSEWGLQCAGAVITGLPATSYFLGCLVGGLALSTFADASIGRKKMLAFSCLVMNVAAVLTAAAPNVWVYSSLRFLTGFARATIGTCALVLSTEIVGRRWRGQVGIIGFVCFTFGFLSLPGMAYFNSGSSWRYLYLWTGIPGIIYSVIVYLVAPESPRWLYVRGKIQDFVETIKTLAPPETRNTIFLDNQDQVLELDEQMDLYSALKVLISKGWALRRLLTVTWVALGIGLVYYGIPLGVGEMPLNLYLSTTLNAVSELPASLVTLLLIDKLKRRGWVAGLGVVSGVCNLGCVVFVGEELKAVQIGLEIVAFFTACTAFNILLIYTLELFPTCVRNSAVAMARQAMVVGGAISPLLVALGRENRFYSYGVFGLASVLCCLFLVSLPETLGRDLCDTIDEEESKENGNRV >itb15g16940.t1 pep chromosome:ASM357664v1:15:17069438:17071842:-1 gene:itb15g16940 transcript:itb15g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHISKLPKKKSQNYKKLKSTHLLFHGRHASAEVRRAFRLGKFFCPPPLQAFLVVSSVLPRSMYPWLNELITWPAVAWRRRNHAAHRLVLHLGPCEQVDFLLAERKIYGVLSSLEEKVARLPPIEEVRTVLGYSLRGVLSTFSQKHEKYPSGSMVDFACDAYGSPILATNSLAVHSKDPLANPKCSLLVAKDPDDRTDLVIIVTGAADPREVLALRVKDTLNAVKELIVALIVGGGCSRSLRVWPQF >itb13g02730.t1 pep chromosome:ASM357664v1:13:2647064:2647744:-1 gene:itb13g02730 transcript:itb13g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFQKLSYMEGFVKKEYNKAVGGAPIRRHLHNKIREFALKAEDAIEIQLTSILQQKAHQGEFHLHRTLQQVEKEAEELLKLINNEGSLPLIGWSEAAASSHPHNIDEEEDIIMVGRDSDFKWTMDDLLDTFSLERNVFTIVGVPGIGKTAFCKKLYTDNAVVSHFDIQAWVTIGQRYNGNVQQLLCNLLQSMRPPRAPFNGMLQGSTVSQLKHQLHKHLKKCKT >itb02g23300.t1 pep chromosome:ASM357664v1:2:23154388:23156912:1 gene:itb02g23300 transcript:itb02g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSKISSPHRRSQIQTAFSVSSPMFKRQNSRSDDHELGGCSALLQRHRFLLTALALLTFLCTIYLYFAVTLGPSDTCSGFTGAEKAACHLEQGKAFAKGKLKFF >itb02g10700.t4 pep chromosome:ASM357664v1:2:6949311:6953183:1 gene:itb02g10700 transcript:itb02g10700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFYDESTLDQYTNLQVYLDRMDVPPGVEASIPWWPGPSGDKLSSICSSTPSYLSPGQMKAASISSGKMSTHPSESVNFHKLKEKVTSRSGSTFGDRSGRTRKLGLSVPFVEPALGVKRSAATGSSIDTDSTYKDSLEFSIQGQGQKLGLRGFSQSKKRSPRATTSFGSHNLSTPLEPYFPKVTAKSWMADWMNVLPNSTNHSTADSPSLFSHVLPDGLLPPVGFVSLYPDPVTSHKNTNATENAPAPIQSTNIDEIVERFHAFKKFDTVQDYAGHHYSRNASRKVNAASKAWVKRIQEEWKILEKDLPDSIFVRVYESRMDLLRAVIVGAAGTPYHDGLFFFDVYFPSSYPNVPPQVYYHSGGLRINPNLYNCGKVCLSLLNTWSGQGKEKWMPRESTMLQVLVSIQGLILNAKPYFNEPGFAGSEGTVPGEQSSLQYNENTYISNLRTMLYSIRKPPKHFEDFVAGHFVLHAQDILVACKTYIDGAQVGSLVSGGVQDVDEGDKSCSEVFKNSVVGLIKTLVESFTQVGAKDCDKFLYLAEKATVPVSASLTSYGYHYY >itb02g10700.t3 pep chromosome:ASM357664v1:2:6948341:6953194:1 gene:itb02g10700 transcript:itb02g10700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFYDESTLDQYTNLQVYLDRMDVPPGVEASIPWWPGPSGDKLSSICSSTPSYLSPGQMKAASISSGKMSTHPSESVNFHKLKEKVTSRSGSTFGDRSGRTRKLGLSVPFVEPALGVKRSAATGSSIDTDSTYKDSLEFSIQGQGQKLGLRGFSQSKKRSPRATTSFGSHNLSTPLEPYFPKVTAKSWMADWMNVLPNSTNHSTADSPSLFSHVLPDGLLPPVGFVSLYPDPVTSHKNTNATENAPAPIQSTNIDEIVERFHAFKKFDTVQDYAGHHYSRNASRKVNAASKAWVKRIQEEWKILEKDLPDSIFVRVYESRMDLLRAVIVGAAGTPYHDGLFFFDVYFPSSYPNVPPQVYYHSGGLRINPNLYNCGKVCLSLLNTWSGQGKEKWMPRESTMLQVLVSIQGLILNAKPYFNEPGFAGSEGTVPGEQSSLQYNENTYISNLRTMLYSIRKPPKHFEDFVAGHFVLHAQDILVACKTYIDGAQVGSLVSGGVQDVDEGDKSCSEVFKNSVVGLIKTLVESFTQVGAKDCDKFLYLAEKATVPVSASLTSYGYHYY >itb02g10700.t2 pep chromosome:ASM357664v1:2:6948091:6953190:1 gene:itb02g10700 transcript:itb02g10700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPLARYVPHTSKKRVFPGGSSMEEDVVEIPPPVNRTSNSLKRKEIYDIIDVDLEEDCNDARLGEGSFIPNGKGKEIFVDLSLGTGGGSENTATVKGFLTKNKNCTSEPQNVINLEDSDDLYGDNEHMDMFYDESTLDQYTNLQVYLDRMDVPPGVEASIPWWPGPSGDKLSSICSSTPSYLSPGQMKAASISSGKMSTHPSESVNFHKLKEKVTSRSGSTFGDRSGRTRKLGLSVPFVEPALGVKRSAATGSSIDTDSTYKDSLEFSIQGQGQKLGLRGFSQSKKRSPRATTSFGSHNLSTPLEPYFPKVTAKSWMADWMNVLPNSTNHSTADSPSLFSHVLPDGLLPPVGFVSLYPDPVTSHKNTNATENAPAPIQSTNIDEIVERFHAFKKFDTVQDYAGHHYSRNASRKVNAASKAWVKRIQEEWKILEKDLPDSIFVRVYESRMDLLRAVIVGAAGTPYHDGLFFFDVYFPSSYPNVPPVRHMVCCLFSYALSYD >itb02g10700.t1 pep chromosome:ASM357664v1:2:6948091:6953194:1 gene:itb02g10700 transcript:itb02g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPLARYVPHTSKKRVFPGGSSMEEDVVEIPPPVNRTSNSLKRKEIYDIIDVDLEEDCNDARLGEGSFIPNGKGKEIFVDLSLGTGGGSENTATVKGFLTKNKNCTSEPQNVINLEDSDDLYGDNEHMDMFYDESTLDQYTNLQVYLDRMDVPPGVEASIPWWPGPSGDKLSSICSSTPSYLSPGQMKAASISSGKMSTHPSESVNFHKLKEKVTSRSGSTFGDRSGRTRKLGLSVPFVEPALGVKRSAATGSSIDTDSTYKDSLEFSIQGQGQKLGLRGFSQSKKRSPRATTSFGSHNLSTPLEPYFPKVTAKSWMADWMNVLPNSTNHSTADSPSLFSHVLPDGLLPPVGFVSLYPDPVTSHKNTNATENAPAPIQSTNIDEIVERFHAFKKFDTVQDYAGHHYSRNASRKVNAASKAWVKRIQEEWKILEKDLPDSIFVRVYESRMDLLRAVIVGAAGTPYHDGLFFFDVYFPSSYPNVPPQVYYHSGGLRINPNLYNCGKVCLSLLNTWSGQGKEKWMPRESTMLQVLVSIQGLILNAKPYFNEPGFAGSEGTVPGEQSSLQYNENTYISNLRTMLYSIRKPPKHFEDFVAGHFVLHAQDILVACKTYIDGAQVGSLVSGGVQDVDEGDKSCSEVFKNSVVGLIKTLVESFTQVGAKDCDKFLYLAEKATVPVSASLTSYGYHYY >itb01g13470.t1 pep chromosome:ASM357664v1:1:13612643:13614947:1 gene:itb01g13470 transcript:itb01g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNQSSNASIMKRLEGKVALITGGASGIGECTARLFVKHGAKVIIADIQDELGQSICDEIRDANALCYVHCDVKLEADVENAVNFAVAKHGKLDIMFSNAGTGGRIDAAIQETDYDNFRHVFDVNVFGSLACAKHAARVMIPAKKGSIIFTASTAAVTNGDTPYSYLASKHAVVGLAKNLGVEMGKHGIRANCISPFGVATPMLMSGLGMKDKGKVEEFVCEIANLKGVVLEAEDVAEAAMFLGSDESKYISGINLVIDGGYSTTNVAPREALNKLFS >itb11g08360.t2 pep chromosome:ASM357664v1:11:5489980:5492289:1 gene:itb11g08360 transcript:itb11g08360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILYSAAPLTHYSNTRFFIAAAGGIKFTRDSQRKGSPACIAPNPPTNCLVRPITAALLAATACAVVLGGPAVAVELTGAQQQADSETLSNIPQTLSSECEGGDCAKKDRIQRPKSKQAETCTVKCVNTCIRGGYGSPGEGPLNVRRPLVVFKDGFRSRHYCLVECSDICNLIRDGEDGP >itb11g08360.t1 pep chromosome:ASM357664v1:11:5490002:5492292:1 gene:itb11g08360 transcript:itb11g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILYSAAPLTHYSNTRFFIAAAGGIKFTRDSQRKGSPACIAPNPPTNCLVRPITAALLAATACAVVLGGPAVAVELTGAQQQADSETLSNIPQTLSSECEGGDCAKKDRIQRPKSKQAETCTVKCVNTCIRGGYGSPGEGPLNVRSRPLVVFKDGFRSRHYCLVECSDICNLIRDGEDGP >itb15g22700.t1 pep chromosome:ASM357664v1:15:25482848:25486962:1 gene:itb15g22700 transcript:itb15g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRLDNEESVSRCKDRRNLMKQSVMVRNAFASAHSAYAMALKNTGAALSDYAQGEVPPPAQSPVVVSEPASEPPPPPPPHMMERTPLPPPPPPLPSFSPLPPLQRAMTMPEFSKSRGKMKSIAIDEEEEEDEEEESGLKLRNQRNGAEKSGPVDNETPIKTPEPPPPPPAGVAWDYFFTVDNMPGTTLGDVEEEDEEEDEYIEENDGVQDSPRTPKFENARGDEEFKTPEKGGIESEMEETPVTAKEKHFVHSNTAPPGIRGFMNGGKVNTANSADFYKVLGEIDDHFLKASQCAQEVSKMLEATRMHYHSNFADKENINHAERVMQIITWNKSLRGIPNGNGAKDNYDDDDESETHATVLDKLLAWEKKLYEELKAGEIIKHEYQRKIAILNKLKKRNASSESLEKVKAAVSHLHTRYIVEMQSLDSTVAEVNEIRDKQLYPKLAALVHGMAKMWESMCRHHEDQMRIVMELSSIDMSATPMETSKNHQSHTIQLADVIKEWHDQFESLVNNQKMYIQALNSWLKLNLIPVESSLREKPSSPLRVQNPPIQPLLHAWNELLEKLPDEHSRSAINSFEAVIRTIIIHQEEEVKLKEKCEETRKEYMRKRQAFEDWYHKYMQRRTPPDEIDPDRRTEANGKDPVSERQFVVDSLQKRLDEETEAYQKHCIQVREKSLGTLKIQLPELFRAMRDYSHMSFNAYERLRLLVASQNSNQAS >itb02g12410.t1 pep chromosome:ASM357664v1:2:8464483:8466775:1 gene:itb02g12410 transcript:itb02g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLDSHRRIAHHFHPTRRSLLRPSLPQHNNNNNRKLFHDYSEHNFCRGGPGRPARQNQLRLHLWCREAPSLHILSHRRLPACIHRRRRVRPGEATIHGERGGAVDHRSRAYYARRCRNTTTTTTASFFTITQNIIFAAAALGVLLGRINYVYTYGVVKLPVSTSSLIVASQLVFTADAAFVLVKQRFMVNAVVLLTIGAEVLVVGSSGDRPAGESKKEYVAEFLMALAAASNDLTL >itb01g21240.t2 pep chromosome:ASM357664v1:1:27406919:27411904:-1 gene:itb01g21240 transcript:itb01g21240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVRNSKAPSLVDLCVKVAIDHVRYIGDVGATDFHLLERFLPHCTEDQLALIEKSTQGRDLSPVTDKLWKKFYERQFGEKSASLVIERMKQKKVTFKWNQLYKAKLKEVEEAQQKSFERIRDLYKKQDAEKQSRQIKLCTKVPPSSNKRSFYGGNSSIYNTKSTVMKKAKMDFLRSPEVRNLAAMKNKAVQRTHSVSVSSTKKPGGPSAMAASSRYKPMNPTMKRF >itb01g21240.t1 pep chromosome:ASM357664v1:1:27406919:27411904:-1 gene:itb01g21240 transcript:itb01g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVRNSKAPSLVDLCVKVAIDHVRYIGDVGATDFHLLERFLPHCTEDQLALIEKSTQGRDLSPVTDKLWKKFYERQFGEKSASLVIERMKQKKVTFKWNQLYKAKLKEVEEAQQKSFERIRDLYKKQDAEKQSRQIKLCTKVPPSSNKRSFYGGNSSIYNTKSTVMKKAKMDFLRSPEVRNLAAMKNKAVQRTHSFSSSVSVSSTKKPGGPSAMAASSRYKPMNPTMKRF >itb01g21240.t3 pep chromosome:ASM357664v1:1:27406919:27411904:-1 gene:itb01g21240 transcript:itb01g21240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVRNSKAPSLVDLCVKVAIDHVRYIGDVGATDFHLLERFLPHCTEDQLALIEKSTQGRDLSPVTDKLWKKFYERQFGEKSASLVIERMKQKKVTFKWNQLYKAKLKEVEEAQQKSFERIRDLYKKQDAEKQSRQIKLCTKVPPSSNKRSFYGGNSSIYNTKSTVMKKAKMDFLRSPEVRNLAAMKNKAVQRTHSSVSVSSTKKPGGPSAMAASSRYKPMNPTMKRF >itb03g28660.t1 pep chromosome:ASM357664v1:3:29369435:29369866:-1 gene:itb03g28660 transcript:itb03g28660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSNGVSIITLAAAFVMTLMLLPGGTESRSQQQWYIDYLPKNVTEFLDNCTEHMALDCATEVITAMVTKGQPPSEICCGDLMLMGIECHTSLMKVYINSPEGKPNAAVITSNSRSVFDECVAVDKKRRYGNLINTTQLLT >itb09g05950.t2 pep chromosome:ASM357664v1:9:3391715:3398907:1 gene:itb09g05950 transcript:itb09g05950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGTYGYMAPEYAWHGQFSAKSDVYSFGVLVLEIISGQRRINFQNGESIKYLPSYAWTHWKNGSSSNVIDPMLRGISSPVPDIMKCIHIALLCVQEKVEDRPTMDRVLQMLSNLSLNCPVPSAPGFFNDSSLRSRFNIINEM >itb09g05950.t5 pep chromosome:ASM357664v1:9:3391715:3397629:1 gene:itb09g05950 transcript:itb09g05950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGT >itb09g05950.t8 pep chromosome:ASM357664v1:9:3391659:3398907:1 gene:itb09g05950 transcript:itb09g05950.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIAFHIFLSLQHLISITIADIDPNTELHECGARGRYTQSSTYSKNLNILLSSLSKNVNEYGFYTGSVGKDIERASAIVLCRGDAELNECRSCVSDNAEKILQSCPYQKEAFQWYNICSIYYSDKSIIGSLNSGPIIEQYSSSIILQQSDQFSQDLTTLVDSLTTQAADGGPFLKYAADNMSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGTYGYMAPEYAWHGQFSAKSDVYSFGVLVLEIISGQRRINFQNGESIKYLPSYAWTHWKNGSSSNVIDPMLRGISSPVPDIMKCIHIALLCVQEKVEDRPTMDRVLQMLSNLSLNCPVPSAPGFFNDSSLRSRFNIINEM >itb09g05950.t7 pep chromosome:ASM357664v1:9:3391715:3397629:1 gene:itb09g05950 transcript:itb09g05950.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGT >itb09g05950.t9 pep chromosome:ASM357664v1:9:3392201:3397629:1 gene:itb09g05950 transcript:itb09g05950.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIAFHIFLSLQHLISITIADIDPNTELHECGARGRYTQSSTYSKNLNILLSSLSKNVNEYGFYTGSVGKDIERASAIVLCRGDAELNECRSCVSDNAEKILQSCPYQKEAFQWYNICSIYYSDKSIIGSLNSGPIIEQYSSSIILQQSDQFSQDLTTLVDSLTTQAADGGPFLKYAADNMSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGT >itb09g05950.t6 pep chromosome:ASM357664v1:9:3391659:3397629:1 gene:itb09g05950 transcript:itb09g05950.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIAFHIFLSLQHLISITIADIDPNTELHECGARGRYTQSSTYSKNLNILLSSLSKNVNEYGFYTGSVGKDIERASAIVLCRGDAELNECRSCVSDNAEKILQSCPYQKEAFQWYNICSIYYSDKSIIGSLNSGPIIEQYSSSIILQQSDQFSQDLTTLVDSLTTQAADGGPFLKYAADNMSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGT >itb09g05950.t3 pep chromosome:ASM357664v1:9:3391715:3398907:1 gene:itb09g05950 transcript:itb09g05950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGTYGYMAPEYAWHGQFSAKSDVYSFGVLVLEIISGQRRINFQNGESIKYLPSYAWTHWKNGSSSNVIDPMLRGISSPVPDIMKCIHIALLCVQEKVEDRPTMDRVLQMLSNLSLNCPVPSAPGFFNDSSLRSRFNIINEM >itb09g05950.t1 pep chromosome:ASM357664v1:9:3391659:3398907:1 gene:itb09g05950 transcript:itb09g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIAFHIFLSLQHLISITIADIDPNTELHECGARGRYTQSSTYSKNLNILLSSLSKNVNEYGFYTGSVGKDIERASAIVLCRGDAELNECRSCVSDNAEKILQSCPYQKEAFQWYNICSIYYSDKSIIGSLNSGPIIEQYSSSIILQQSDQFSQDLTTLVDSLTTQAADGGPFLKYAADNMSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGTYGYMAPEYAWHGQFSAKSDVYSFGVLVLEIISGQRRINFQNGESIKYLPSYAWTHWKNGSSSNVIDPMLRGISSPVPDIMKCIHIALLCVQEKVEDRPTMDRVLQMLSNLSLNCPVPSAPGFFNDSSLRSRFNIINEM >itb09g05950.t4 pep chromosome:ASM357664v1:9:3392201:3398907:1 gene:itb09g05950 transcript:itb09g05950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIAFHIFLSLQHLISITIADIDPNTELHECGARGRYTQSSTYSKNLNILLSSLSKNVNEYGFYTGSVGKDIERASAIVLCRGDAELNECRSCVSDNAEKILQSCPYQKEAFQWYNICSIYYSDKSIIGSLNSGPIIEQYSSSIILQQSDQFSQDLTTLVDSLTTQAADGGPFLKYAADNMSGPDQQTIYAYVQCTPGLSVQDCTDCLNTAFTLWKMPEGINNRTGASILCPSCFFRYENTSFIGAMSVKEPPSPPISLRTVIIIIGVSTAAATGLIIITIFSNFHFLMQKRQAKAKSYAESEAVEESGPSVEMSPVESHLQYELIVIQNATNNFSKANKVGEGRFGPVYKGKLEDGQLVAVKRLSDNSRQGYLEFKNEVALMTRLQHRNLVRLLGYCHEETKIILVYEFVPNGNLDSILFGSNPQARLSLWFEHGPLNWEKRYKIILGIARGLVYLHEDSRLPIIHRNLHANNILLDAYLNPKIADFGIARLFALHKTHCSTTSIMGTNGYMAPEYALYGQLSVKSDVYSFGVLVLEIISRQKIASFQNGKSMKNLLSYAWTHWIGGSALNVIDPMLRGTSSPVHEITNCIHIALLCVQENDADRPKMVEALQMLSNLSMSLPVPQAPGIFFNGSISSQVSSQFTKYQMPISDQYYRKMHKRKTKSYVENSDVESLKYDFSTIQNATDNFSEANKVGIGILGPVYKGKFENGEEVTVKRFLDNSWQGMQEFKNEVALLAKLQHNNFVRLLGFCQEGKEMLLVYEFVSNGGLDQFLFDPIKCGYLNWGRRYKIITSIARGLVYLHEDSCLRIIHRDLKASNILLDVNLNPKISNFSMAWLFDLDETDGSTDRIAGTYGYMAPEYAWHGQFSAKSDVYSFGVLVLEIISGQRRINFQNGESIKYLPSYAWTHWKNGSSSNVIDPMLRGISSPVPDIMKCIHIALLCVQEKVEDRPTMDRVLQMLSNLSLNCPVPSAPGFFNDSSLRSRFNIINEM >itb01g12610.t1 pep chromosome:ASM357664v1:1:12231296:12235572:1 gene:itb01g12610 transcript:itb01g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKMMRWRPWPPLISKKFDVKLVVRRLENLGDGGDWVQEGAGACDGASVEIRWKGPPRIALSSFRKTMKRNCTREERFKIGPDGAVLVEWDEEFQSACNLSAHRDNVFHPWEISFTVLLNGFQGAKNKAPTFGIASVNLAEFAAKTEEKEFDINIPLAVLGCSSESHPSLYVSLSLLELRAAQDSVESLQRPVVSATSPPRLGESSSTEKNELSALKAGLRNVKIFTEYVSTRKAKKPCREDDGSDGRCMRSDEGEYAYAFDSESLDEFEEGEPEDGKEDCAIRKSFSYGTLAYANYAGVSFYSNRRISAGDEDFVYYSNRRSYVGSQMEDPIASVPVPPVVLQSKRSILPWRKRKLSFRSPISKGEPLLKAYGEEGGDDIDYDRRQLSSDECVPFGWHKAEEDSIANRSSVSEFGDDSFAVGCWEQNEITSRDGNMKLQARVFFASIDQRSESAAGESACTALVAVIAEWLQSNRDLMPIKSQFDTLIREGSLEWRNLCQDETYRERFPDKHFDLETILQAKIRSISVVPGKSFIGFFHPDGMEDGRFDFLQGAMSFDNIWDEISSAGSECANGEPQVYIVSWNDHFFVLKVEPEAYYIIDTLGERLYEGCNQAYILKFDKDTTIYQLPAPSPQENGISNPDEGSIDSKAVPGLEEPMKTDEKEEILCHGKESCKFYIKNFLAAIPIRELQADIKKALISSTPLHHRLQIEFHFTHLQQ >itb02g01620.t1 pep chromosome:ASM357664v1:2:907807:913800:1 gene:itb02g01620 transcript:itb02g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIRAKFRRSNLYTFACIRPRTKEDEGPHQLGPGFSRVVHCNEPQMHDVKPLKYCTNYITTTKYNFLSFLPKAIFEQFRRVANLYFLLAAILSLTPVSPFSAVSMIAPLVFVVGLSMAKEAMEDWNRFIQDMKVNLRKSSVHKKDGSFGHKPWMKLRVGDVIKVSKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLEDDESFKDFRATIKCEDPNPNLYNFVGNLDYERQIYPLDPGQILLRDSKLRNTAYVYGVVIFTGHDSKVMQNSTKSPSKRSMIERQMDKIIYILFTLLMLISLISSVGFAVKTKYQIPEWWYIEPYDPNNILYNPNKPELSGIFHLVTALLLYGYLIPISLYVSIEVVKVLQAVFINQDVHMYDEETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVAYGKRASDVELAAAKQMAMELDEEDADSTPDTTGCAASDIELETVVTCKDDDDKKPAIKGFSFEDNRVMNGNWVNEPNADVILLFFRILSVCHTAIPELNEETGIFTYEAESPDEGSFLVAAREFGFEFCKRTQSSIVVRERYPSFQEPNEREVKLLNLLDFTSKRKRMSVIVRDETGQIFLLCKGADSIIFDRLAKHGKMYLEATTKHLNDYGEAGLRTLALAYKKLEEAEYNAWNEEFTKARTSIGGDREAMLERVSDMMERDLILVGATAVEDKLQRGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICISANTDTAENESKEAIKESILLQMTNASQMVKLEKDPHAAFALIIDGKTLTYALEDDMKHHFLNLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMSSDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSIYDDWYMLLFNVVLTSLPVISLGVFEQDVSSQVCLQFPALYQQGPKNLFFDWNRIFGWMGNGVYTSLVVFFLNLIIFYDQAFRAGGQTADLTAVGTAMFTSVVWAVNSQIALTMSHFTWIQHVLIWGSIISWYILLLVYGEMSPRISKDAYKILVESLAPAPIYWVSTFLICLTCNLPYLVHIAYQRSFNPMDHHIIQEIKYFRKDIEDRNMWRRERSKAKSKTKIGFTARVDAKIRLLKGRLQKKYSTMNSHLDVPRT >itb01g35750.t1 pep chromosome:ASM357664v1:1:37785065:37787674:1 gene:itb01g35750 transcript:itb01g35750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINLHFFCLGLIIIITIVANNILAAAAPLRSSGPRNSYSYVLACGTKTNASDPDGRKWMPDAKFLTTSPAKTLTATADFQDPSLSSTVPYMTARFLMAESNYSFPISPRSRHWIRLHFYPSSYQNLFNCSKSFISVRVGAFTLLNNFSASITAQALTQAYIVREFSFAPIGSPTLTLTFKPSTHYNDSFVFINGIEVIPMPEIFKTAPMVGWTKDSIDTSSFHMQSMYRLNVGGQYISASNDSSLTRTWYDDWPYLFGAAFGTASTANKTQKIGYPPGTPHFIAPLDVYRSARSMGPTGDINIRYNLTWVFRVDANFSYLVRMHFCDYQLTKVNQRVFFIYINNQTAFPMADVIAWSGAQGVPTHKDFVVFVRNKGAGGSDNNELRVALHPNRETKPESYDAILNGLEIFKMNNSRATLAGPNPVPPPEVPDPKSTNNTSFSNPSTHRGGIIVGSVLGVATGFGAVLCLVAFHRRKMADRNGGKSSAKGWLPVYGSSRSSSTSYSGKSSGSSRISNLGGGLCRHFTLPEIKLATKNFSESLVIGVGGFGKVYKGEIDGGSTKVAIKRSNPSSEQGIHEFQNEIELLSKLRHRHLVSLIGACEEYDEMILVYDYMANGTLREHLYKHNKPPISWKQRLEICIGAARGLHYLHTGARYPIIHRDVKTTNILLDDKWVAKVSDFGLSKTGPTLSQTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARAALETSLPKEQVSLADWALQCHRKGKIDSLVDPFIKIEITPQCLKTYVETAVSCLSDHGINRPSMGSVLWNLELAVQLQSNPEGPTVVAEQKANDAYAMHAQLLSIDEGDEEEAKNQSDDAIFSQIVNPQGR >itb09g28940.t1 pep chromosome:ASM357664v1:9:29573312:29574265:1 gene:itb09g28940 transcript:itb09g28940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFILFTIAMVALASSFAHASDPSPLQDFCVAVNDSMAAVFVNGKICKNPMQVVANDFLFRGLNMPGNTSNPLGSKVTPVNVNNLPGLNTLGISLARIDFAPNGLNPPHTHPRATEVLFLLEGTLYVGFVLSNPPPGMKNPLFTKTLVAGDVFVFPEGLIHFQFNVGKSNAVAFAGLSSQNPGVITIANAVFGSNPPINPQVLTKAFQVGQNVINYLQAQFWYNNS >itb01g33590.t1 pep chromosome:ASM357664v1:1:36646898:36648119:1 gene:itb01g33590 transcript:itb01g33590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 2 [Source:Projected from Arabidopsis thaliana (AT1G47480) UniProtKB/Swiss-Prot;Acc:Q9SX78] MGTEGREISHDVPPYIRVYADGTIQRLAATEFAPAAFDPATGVTSKDVVIDPVTGVFARIYRPANAGNNLPLVVYFHGGAFFLSSVGDPNYHGFLNVLVAKVNAVLVSVNYRKAPEHPLPAAYDDSWAALQWIAGKGAEDSLIGSVDFSRVFLAGDSAGANISHHMAIKARKSDPILGLKFEGIIMIHPYFWGENPIGIEVEDEVRKAMVDKWWEFVCPSNQGGEDSLINPFVNGGDSALADLACERIIVCVAGKDILRDRGKLYYESLVKSTWGGKAEIIETEEEDHVFHIFDPYSDNAVQLVKRLNGFINQNILLV >itb01g19040.t1 pep chromosome:ASM357664v1:1:25029224:25030309:-1 gene:itb01g19040 transcript:itb01g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPADRAAPWVDLPRDLTANVLQRLSVEDIFQSEWDEICREVVNRSEGQLISIKLGYFATEDLLFFIAQRAKQLRHLVIRSSHVSDEAFSKAVNEFPLLEELQLEHCAISKQGIEAAGQSFPFLNSFSFFKLSEYNGASDEEAVAIAENMHGLKHLTLFGNDMSDEGVEAILDGCPSLQSLYIDDCISVRLVGELGKRCSQQIKDLNHIHSLDFVDEDDLLWSYMSDDFIERFREQQARLRL >itb05g23500.t1 pep chromosome:ASM357664v1:5:28597181:28601845:1 gene:itb05g23500 transcript:itb05g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTRNLFDEDDPTPCYDLINNLFSHDKAVVDPALSFFKDAVNQFPGSVCRMFAKILDMSPLPLTRIRCSNLLVRFLATSWPTIPPIPQAEIKAVFLKLLHNETNRPALEIHCACVSRIGAILLPKNEWPEFLSFLFESLSSSSAPRKLAAVILLRELIPKCPEIFAPSVNRFCVAFLQLMSQLSGYDERVRAEALGAAVKMILHLPTLSNYYDLLPEMKSVLMDTIYNGYFTSDILEEIIALATRKPGFFVGEIKSWVQSMLEIISKERLKPKTRQLAIQFLVVMAEDKKEGCGMIQNLPGDVIEKILTQFLILLVRVNDGESWEYTDDGDTEVGKVSLACYAEDAWRRLANALRGEIILRNPPDLLAEYIKDDDWRKRYAAVTAVGLITSGCSKMLIQLLDVSMENIMKLVTDLHHRVRWAAIHTIGEFSIYLYPHLQEGYHHQIIPALLQAIGDLNQPRLQTHGATALMLFSRNCRSDILKPYMKQIINKLLILLQQRETMLVDAALGALGSLAESTMDEFRPFYGIVMRYLKITVVSAKADSDYFLVANSLKCIAVIALAVGKSMSNDDVEEVVKDLILLQESNYSRKDGTVRSYLLQAWGGVCRCLRVDFLAYLSVSVPQLIQSAKRTDYLTDDVDSDDKRRSIIFKEKFLACNTIACFAANINEGLHMWIKEVVDAVLPLVNFKLDERVRIAAATAMPLLLQSVAVAVQHQLPIPDVSGSPIITISETIMSALIEALQEPSIKFRVIMLEALNQCIQIPHTCIHKDMATLFVKGISKLLFACINRKVVRELRLSSRQNLRTAELLDEEVQDEDNIYRQVHICLGTLTERLKPSFLPFLDGLLPFVDHLWKNDNKARKERRFGLSVFHDIAENCGEETFRHYDMCIPFLLNTCKNRKATNPAQEEIAACAIGICADFGGEVFKPHLQDAVISLLAIISQPGNLTLESLMAKEAAVSAYGKLCFLLTEDDSIYKHVGHWLMYLPLRFNLDEAKAAHGLLCSKIGEPETKVTGPNDAYIPRIIVVLTEVLRAGQQLATPDILDKMSLQLNMLRRKITETNAMDID >itb12g08910.t1 pep chromosome:ASM357664v1:12:6982187:6982657:-1 gene:itb12g08910 transcript:itb12g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTRVKKELRVVSLWAVVIMVCSLISSMTTQVEALGRRGVVGGRTEIEGVKSNQEVQDLGKYCVDQYNVNINNVNNGHGDLMLRFSEVLEAERQVVSGIKYYLKISAVAVSTGLPHTFDAQVVVKPWLHSKHLLSFAPSSSSSSSYLLPLRSAF >itb14g13610.t1 pep chromosome:ASM357664v1:14:15769617:15779061:-1 gene:itb14g13610 transcript:itb14g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGEMNSGDENTHSDKNKKRTIKTRAQVEALEKFYDEHKYPTELMKSQLAESLGLTEKQISGWFCHRRLKDKRLFNGEVYLNGKQDRSSGVIQDRGSGLRQDSCGSTKQGDDRTSDPREVESRRLTGVEFSTPDLTHEFGGHSTRNYNCMDDTSLGSTSSLRNTYFPHNADSFDEGTSRYLTNSCPTDLKTVKPRNGPSGYLKVKGHVENSAITAVKRQLGRQYREDGPPLGVEFEPLPPGAFESPMQNNTNNSYHAGERDVARSEDFPKVHKQSNSSTGYGYYSKISSRNLDMLSEKLKRPHGTDHSEKCFNQKLRQKSFLPVPEYSASVRNSPGDKDEVPAREMLFNSRDNHQLMAKHGGEMRIDSVANQCLLSPNSGRMTNEQAEPRLKRNYEASLKASQVEHFECKPSTVRNRVGIYHDVREKGISKSLKKDDLFCRDGAILDESCNQGQLKIPRKYETTDVKRTSNHMSNSREFARNASLAGMPLPTNHQGTRSAAEMPSSFSEDDVTTETSSSEE >itb12g19630.t1 pep chromosome:ASM357664v1:12:22044262:22045885:1 gene:itb12g19630 transcript:itb12g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIFGKVLDCFSFSSGPRSCFCINGFGAEDDDLEKRPLIESQSDVIAGPATLALHLKPKVVVLRVSMHCNGCARKVKKHISKMEGVTSYEVDLETKMVMVMGDIVPFQVLESVSRVKNAEIWTIKSPI >itb10g01940.t1 pep chromosome:ASM357664v1:10:1578264:1579877:-1 gene:itb10g01940 transcript:itb10g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDSLVEGKWWGSKQLRQINGFWFMPLVVPGVQRVLAEFNPRPNDVILASFPKTGTTWLKSLLYSIINPSSLDSLVKHNPHDLVPFLEMRVFVEGSQPYVMPPPDAKTKIFSTHIPYQLLGKTFESSGCRVVYVSRNPKDTLNSLWHFVNKWKVAEEEAWGLEEAVEKFCQGIIPFGSYYEHVLGYKMASLNNPNKVFFVTYEELKNDPKSHVKRLAEFLGCPFAEEDKKVEEIVKSCSFEVLSNHEVNKSEHSRTVGTMTIASNALFREATVGDHKKYLNDESIKRIDTLTKEKFHNSGIAYGV >itb09g21600.t1 pep chromosome:ASM357664v1:9:19674471:19676305:1 gene:itb09g21600 transcript:itb09g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSAANVYSDGSTSHYGFLKPEGRMVGRHRDCTVIKKQLFFDSYGPNVISIVGMVGIGKTTLARNVYEDPSVASYFDVRAWITMPPPQNYNKSRVLSQLLQSITPVEDPNVIEKGSTPHELEMQVRKCFGGKKYLIVLDNIMSKKIWNQAWIHIRRWVDNGLNGSYILLTTRHFNLNVHIHKMTLLDPKESWELFCNILSIDEEHLAPKFEKIRNHVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGLLDRNALSVSYNMLPHHLKVCFLYFGVFPKRKKILVKMLIGLWIAEGFVKPLKCKELEDQVYDYLQELTDRSLLLIEDRSCNGKIKTCRMHSALHSFCVVEAQKGGILCAVNTQQHSGLSLKAFTNSCRWLSSYSHSFDYYVLFGTNIPRSIFFFLVNPEMFVPPKLLRVLAFDTSISLQRVPVQLGGLVFLRYLSITKWFEDLDDVVSNNPNLETLVVSGNGAPIVHLPSSIWKPPNLKHLELGNSYMDGIEPSHIGGSCSNPIILDHFDYLEGLKKLSISDSIGLNAALPEECMYPSGLKNEVEVEWD >itb07g02730.t2 pep chromosome:ASM357664v1:7:1778208:1780439:1 gene:itb07g02730 transcript:itb07g02730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSVTAWRSTQGWTYDVFLNFRGPDIRKTFIDFLYESLVRKGIRTFQDDNRLEKGDCITPSLIKGIEESQFFITVFSKGYASSKWCLEELATIMELQEKFRRQTVVPVFYDVEPTDVRNQKGSFEDWFKTSFLKDEKEEMVRRWKEALKRAGSLKGFEAKCAENVAADIHARLNQATLAYEENLVGLESRVNNICKWLRWASDNDDDDVRFIGICGMEGIGKTTIATTVFNRFSINFDGACFLADVRQNNVTELQKTLITKILKEKSGVEISNVQDGMGKIRTRLQGKKVLIVLDDVDDQLEQLDKLARGDWFGRGSRVIITTRNAGVLRSHGVDKKYIYQVDTLEDQKAIQLFSFYAFKKKPKTGMEQLCGSAVRYCGGLPLALKVLGSSLCGLEAAEWERALKQLKDTSIDDIKKTLEISFDRLNSKNKKIFLNIAFFSAPRRKTCKRGV >itb07g02730.t3 pep chromosome:ASM357664v1:7:1778208:1779312:1 gene:itb07g02730 transcript:itb07g02730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSVTAWRSTQGWTYDVFLNFRGPDIRKTFIDFLYESLVRKGIRTFQDDNRLEKGDCITPSLIKGIEESQFFITVFSKGYASSKWCLEELATIMELQEKFRRQTVVPVFYDVEPTDVRNQKGSFEDWFKTSFLKDEKEEMVRRWKEALKRAGSLKGYHLQKDYNGFAFNFLFFFFWEIRTY >itb07g02730.t1 pep chromosome:ASM357664v1:7:1778208:1780439:1 gene:itb07g02730 transcript:itb07g02730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSVTAWRSTQGWTYDVFLNFRGPDIRKTFIDFLYESLVRKGIRTFQDDNRLEKGDCITPSLIKGIEESQFFITVFSKGYASSKWCLEELATIMELQEKFRRQTVVPVFYDVEPTDVRNQKGSFEDWFKTSFLKDEKEEMVRRWKEALKRAGSLKGYHLQKDYNGFEAKCAENVAADIHARLNQATLAYEENLVGLESRVNNICKWLRWASDNDDDDVRFIGICGMEGIGKTTIATTVFNRFSINFDGACFLADVRQNNVTELQKTLITKILKEKSGVEISNVQDGMGKIRTRLQGKKVLIVLDDVDDQLEQLDKLARGDWFGRGSRVIITTRNAGVLRSHGVDKKYIYQVDTLEDQKAIQLFSFYAFKKKPKTGMEQLCGSAVRYCGGLPLALKVLGSSLCGLEAAEWERALKQLKDTSIDDIKKTLEISFDRLNSKNKKIFLNIAFFSAPRRKTCKRGV >itb15g19920.t1 pep chromosome:ASM357664v1:15:22432536:22435745:1 gene:itb15g19920 transcript:itb15g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLIITLLFYQSSNFYSVESSYNFSFPSFDSGSCANGTGNLICWGSVTVDNGTLNITPDLPQNNSKKVGRVLYRQPECVWPASFSTAFTVRILANSSDSGDGIAFLIAQDDGASPPDSYGSFIGILDPSTEGGGVHQLAIELDTYKNEHEANGNHIGIVTTSVEEPVVSRSLSDIGIDLKSGKDITVKIDYDGWVKMLQISVAYSGEPLIQFLNEKIILQDTVPQNAYVGFSASTAFFSETHQVLNWNFTLLELPQDSLHSGPQKRKKVVFLSVFVPLTFVFVCLGLGFFLTAEMRRRKRKKRIGRQEDIEMLTRNAANVPKFFRFRQLAKATKNFSKENMVGSGGFGSVYKGVLSGDHPPTTVAVKRINATSHQGEREYLAEICTIGQLRHKNLVQLQGWCHDREQLLLVYEYMPNGSLDRYIGNNIFLNWETRFKILSGLASALLYLHEECGSPVVHRDVKPNNVMLDLDYTAHLGDFGLARLLHPGQGEGQDEASVTTMVAGTPGYLAPEVSYTGRATPESDVYSYGMVVLETVCGRRSKGIMEENSLVDMVWRSYEEGAVLSVVDSRLQDGKFEEEQARRCLIVGLACLHPDRFFRPKMRKVVQIFLNHEEPLMQIPECRPSAVCVSWCSSSSSSTTTGVGYANTPMSAAAAASNSLQGPTPDVVTISYHA >itb13g24630.t1 pep chromosome:ASM357664v1:13:30260255:30263011:-1 gene:itb13g24630 transcript:itb13g24630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPDKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSSQYPDVNHATVKTPAGEKPVRELVANDEWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCKNGEWCIVQGLPIDEFSRKKMDATAEELSEEKALAYSCLS >itb10g22860.t1 pep chromosome:ASM357664v1:10:27532269:27534862:-1 gene:itb10g22860 transcript:itb10g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLLAASTANSLLTLPKSIYSTSFISHFQCHSFAPPSTHIVLPCRLAGARLRSFRPREFGDLTRLGSVSRTGNSGALCWQPVRKEPQRIVSISATGGGVSDDVSALSSDVIVLHVDGMMCGGCTSSVKKILENQPQVSSATVDLTTATATILPVSEAKAVAGWQKQLGEELAKHLTSCGFKSDFQGLGAPTEG >itb06g20660.t1 pep chromosome:ASM357664v1:6:23554757:23555754:-1 gene:itb06g20660 transcript:itb06g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWGSPFSDPESVKLQRNRSLTKEEIDAYWRSKRQKEEEHLRDISGSLSPTKMASDEEKTFGRSRSGPLPSTKDRFLDGDDDSETNLEKLIQTHGWWMSSNWAHLNEPPVTAPERIYKYVSQFHVANMATSDANNNRTGISA >itb06g20660.t2 pep chromosome:ASM357664v1:6:23554803:23555753:-1 gene:itb06g20660 transcript:itb06g20660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWGSPFSDPESVKLQRNRSLTKEEIDAYWRSKRQKEEEHLRDISGSLSPTKMASDEEKTFGRSRSGPLPSTKDRFLDGDDDSETNLEKLIQTHGWYEESNSFHSNHTFYRPEIN >itb07g11200.t1 pep chromosome:ASM357664v1:7:12621514:12622674:1 gene:itb07g11200 transcript:itb07g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLKNEMAGVGRGPKQGIKEMNWAVRVSAPVRAPLMSEEEREGMSSVNENIGKDEEEVEGFDPGAPPPFKLSDIKATIYFV >itb14g14330.t1 pep chromosome:ASM357664v1:14:16868209:16869209:-1 gene:itb14g14330 transcript:itb14g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDWNVWWQNSEAAMRMNGGSLYLVIYDFTIIINVTLNTETSGVTFEFYIVAYIKDILVGSVCFKDILPASRIFWLHQGYSAGTPAFIAAQIECPSGRFHGVLNMSYQVRSCDDRSVRNFFPRLDVEERKPPLLSSTPERHQIEGNP >itb03g00650.t3 pep chromosome:ASM357664v1:3:332737:339820:-1 gene:itb03g00650 transcript:itb03g00650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t2 pep chromosome:ASM357664v1:3:332716:339846:-1 gene:itb03g00650 transcript:itb03g00650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t9 pep chromosome:ASM357664v1:3:332716:339821:-1 gene:itb03g00650 transcript:itb03g00650.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t8 pep chromosome:ASM357664v1:3:332716:339821:-1 gene:itb03g00650 transcript:itb03g00650.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t4 pep chromosome:ASM357664v1:3:332716:339821:-1 gene:itb03g00650 transcript:itb03g00650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t5 pep chromosome:ASM357664v1:3:332726:339827:-1 gene:itb03g00650 transcript:itb03g00650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t7 pep chromosome:ASM357664v1:3:332737:339820:-1 gene:itb03g00650 transcript:itb03g00650.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t1 pep chromosome:ASM357664v1:3:332716:339846:-1 gene:itb03g00650 transcript:itb03g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t10 pep chromosome:ASM357664v1:3:332726:339827:-1 gene:itb03g00650 transcript:itb03g00650.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb03g00650.t6 pep chromosome:ASM357664v1:3:332716:339821:-1 gene:itb03g00650 transcript:itb03g00650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSEKKFPVNAKDYKLYEEVGEGVSATVYRALCIPLNEIVAVKVLDLERCNNDLDGIRREVQTMTLINHPNVLRSYCSFTAGHSLWVVMPYMAGGSCLHIMKSSFPEGFEEPVIATLLREVLKALVYIHYHGHIHRDVKAGNILVDTNGAVKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHHFFKQGRSNDYLSRTILEGLPPLGDRYRMLKAKEADVLVQNKALYEDKEHLSQQEYIRGISAWNFNLEDLKNQASLIQDDEFLNAEGPSSSGRPRDSNDDASSSSDRPNHSLDAPAEVGLHEVHDLEDSLAGFPIKPLQALKGCFDVCEDDTSAGSPNWKYSIRSDSEQQNDIRSLNKGGGEEGGRDDENIGQSISLACSGIPGQKKLVSGSPQKDNILSLKSITTDGERDYPQTRYQPERSYSGPLQYRQKKDNDTSEGAVVQRKGRFKVTSADLSPKGPTNSFFNSASGGLTAATSGLTATSVLPSLQCIFQQNTLQREEIIKLIKYVEQTPVTPMELVESGTTDLSQIPSTSTREKELQSQVIQLQQSIGSLVEQLQRQKIKNVQLESKLNALLRK >itb09g03120.t2 pep chromosome:ASM357664v1:9:1758127:1765159:-1 gene:itb09g03120 transcript:itb09g03120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAKPVGESTSSKLSSDPAVEVSVPVESAKAATPPPPLAPQPSWFTPKRLLCVFCVINILNYLDRGAIASNGVNGKRRTCNKDGACTSGSGIQGDFNLNNFQDGVVSSAFMVGLLVASPIFASFAKRVNPFRLIGIGLSVWTIATAGCGFSINFWSITICRMFVGVGEASFISLAAPFIDDNAPAAQKTVWLGIFYMCIPSGVAFGYVYGGLVGSHLTWHWAFWIEAILMFPFAILGFVMKPLQLKGFSPAGSKKLTTSVAAGSRENVVSNGEGGSLPRSEFQETSKASGSSNQFVEFFKDLKALLVEKVFVVNVLGYIAYNFVIGAYSYWGPKAGYSIYHMGNADMVFGGITVVSGIVGTIVGGVVLDRMTSTLSNAFKLLSIATFFGAIFCFVAFCFKSLYVYIALFAIGELLVFAVQVIFIFIFGPKLLVLYYYYLLFCSCSF >itb09g03120.t1 pep chromosome:ASM357664v1:9:1758127:1765159:-1 gene:itb09g03120 transcript:itb09g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAKPVGESTSSKLSSDPAVEVSVPVESAKAATPPPPLAPQPSWFTPKRLLCVFCVINILNYLDRGAIASNGVNGKRRTCNKDGACTSGSGIQGDFNLNNFQDGVVSSAFMVGLLVASPIFASFAKRVNPFRLIGIGLSVWTIATAGCGFSINFWSITICRMFVGVGEASFISLAAPFIDDNAPAAQKTVWLGIFYMCIPSGVAFGYVYGGLVGSHLTWHWAFWIEAILMFPFAILGFVMKPLQLKGFSPAGSKKLTTSVAAGSRENVVSNGEGGSLPRSEFQETSKASGSSNQFVEFFKDLKALLVEKVFVVNVLGYIAYNFVIGAYSYWGPKAGYSIYHMGNADMVFGGITVVSGIVGTIVGGVVLDRMTSTLSNAFKLLSIATFFGAIFCFVAFCFKSLYVYIALFAIGELLVFAVQAPVNYVCLHCVKPSLRPLSMAMSTVSIHIFGDVPSAPLVGILQDHVNNWKFTALALTSILFLAAIIWFIGIFLHSVDRFDEDSEHPIPIVEKSNTTSLLEEITTMATKRTEASADP >itb12g11610.t1 pep chromosome:ASM357664v1:12:9993087:9996700:1 gene:itb12g11610 transcript:itb12g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDEKRAALNQQLRQLRSATNSTAVNKASIIMDASRYIEELKGRVDKLNEDVSSSQPSEDDTQTALPVVTVETLEKGFLISVFAEKNCPGLLVTILEAFEDLGMEVLDARVSCSDTFRLQAVSEKEGDTDNVDDQVVKQAVVQAVRNWSARSGQD >itb12g22250.t3 pep chromosome:ASM357664v1:12:24389637:24391237:1 gene:itb12g22250 transcript:itb12g22250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQSALQGNTEEYSEFEEPDGKDCNEQCEGVSFECHESNGMAKEKKRWFGRNKKNSKQTVNDPEGSKSVSKSSKLATEDGKKMSIHSHKSSLGLPKDDMGGDKKSKDKSSKKKKKGSSSESKNESEYKKGFRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRVRELLTTKLPPGTFPVKRNKLPTGIVVNAGFSPRTCHWRHQFPSPPMSAPGSAPEIGFPVDSAPTPGRLDRPSPMESDTRYKLYGFQTRENSSTETFCESRTTENSFNYNFNNNGGFSQRHFDKHNGYTVPERPRDRE >itb12g22250.t2 pep chromosome:ASM357664v1:12:24388593:24391237:1 gene:itb12g22250 transcript:itb12g22250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWYNYAHSPAHLAVARQDHPALREIVAALPRLAKAGEVNTEAESVAAENDADAVSAVIDRRDVPGRETPLHLAVKLNDAISAEILMVAGADWSLQNENGWSALQEAVCLREENIAKIIVRHYQPLAWAKWCRRLPRIVASASRLRDFYMEITFHFESSVIPFISKIAPSDTYRIWKRGSSLRTDMTLAGFDGFHIQRADQTFLFLGEGSYSDDGNTNLPPGSLIVLAHKEKEVTNALEGAGAQPTEAEIAREVALMSKTNMYRPGIDVTQAELVPHLNWRRHEKTEMVGPWKAKIYDMLRVMVSVKSRRVPGAMTDEELFTANDDDRIPNGGEDAEYVDVLTPEERMQLQSALQGNTEEYSEFEEPDGKDCNEQCEGVSFECHESNGMAKEKKRWFGRNKKNSKQTVNDPEGSKSVSKSSKLATEDGKKMSIHSHKSSLGLPKDDMGGDKKSKDKSSKKKKKGSSSESKNESEYKKGFRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRVRELLTTKLPPGTFPVKRNKLPTGIVVNAGFSPRTCHWRHQFPSPPMSAPGSAPEIGFPVDSAPTPGRLDRPSPMESDTRYKLYGFQTRENSSTETFCESRTTENSFNYNFNNNGGFSQRHFDKHNGYTVPERPRDRE >itb12g22250.t1 pep chromosome:ASM357664v1:12:24388593:24390284:1 gene:itb12g22250 transcript:itb12g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWYNYAHSPAHLAVARQDHPALREIVAALPRLAKAGEVNTEAESVAAENDADAVSAVIDRRDVPGRETPLHLAVKLNDAISAEILMVAGADWSLQNENGWSALQEAVCLREENIAKIIVRHYQPLAWAKWCRRLPRIVASASRLRDFYMEITFHFESSVIPFISKIAPSDTYRIWKRGSSLRTDMTLAGFDGFHIQRADQTFLFLGEGSYSDDGNTNLPPGSLIVLAHKEKEVTNALEGAGAQPTEAEIAREVALMSKTNMYRPGIDVTQAELVPHLNWRRHEKTEMVGPWKAKIYDMLRVMVSVKSRRVPGAMTDEELFTANDDDRIPNGGEDAEYVDVLTPEERMQLQSALQGNTEEYSEFEEPDGKDCNEQCEGVSFECHESNGMAKEKKRWFGRNKKNSKQTVNDPEGSKSVSKSSKLATEDGKKMSIHSHKSSLGLPKDDMGGDKKSKDKSSKKKKKGSSSESKNESEYKKGFRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRVRELLTTKLPPGTFPVKVIIDPHLLSCAFSSVFLSLLPFVFPVTKRGNYS >itb02g02330.t1 pep chromosome:ASM357664v1:2:1323803:1324972:-1 gene:itb02g02330 transcript:itb02g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLSLRFYLLLQTLIFSYTLVAGAKHPRHVSSSSSAGDLVRKSCVNASFPGICYRTLSEYAGAGAMRTPRDLAQAALGVSLHRAGKASLLLRRLKARSRREKAAVSDCLEQMGDTVDELSRSVAELKHLRRGIAFQWQMSNVETWVSAALTNEDTCLDGFKEVEVTVRSDVNRRIRNVARVTSNALYLINQLDTSRHK >itb11g13330.t1 pep chromosome:ASM357664v1:11:10315050:10316576:-1 gene:itb11g13330 transcript:itb11g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRISRARACGGCGCVEESAEQRGRGSHGEAEAGAVRRRRRRKVRRRPARAEAEAATGEGRGGGGEATGEGRGDHRLSFQFPFW >itb04g21540.t2 pep chromosome:ASM357664v1:4:26635691:26641975:-1 gene:itb04g21540 transcript:itb04g21540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSKELDLSDYGTDDEASKGKESSKSSVKTVAPSRGEAIKLYLTNGSSEHSNRKPELERNGSSVTASKVEDDGKTRIFERPKDGHRRGSTVDLGINGLSHSMSRVGSMPHSIRGEQSAAGWPTWLSSVAAEAIQGWLPRRADSFEKLNKIGQGTYSSVYKARDLTNNKIVAMKKVRFVNMDAESVRFMSREICILRRLDHPNVMKLEAVVTSRLSESLYLVFEYMEHDLSGLAIAHGSKFTESQIKCYMQQLLSGLDHCHSRGVLHRDIKGSNLLLNDNGILKIGDLGLATIFYPDQKQPLTSRVVTLWYRAPELLLGETNYGVAIDMWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTRLPLATSFKPQHPYTRCVTDTFKDFPPSALTLLDALLSIEPEERGTAASALSSRFFTMKPLPCDPSSLPKFPPSKELDARIRDEKERRKKAESVKGRRGETTKKNSRESNGPPAEFNAQRQNLQGQSNNGTSRAGYHIEPPPVNYKNGITHSNSVIHPNAWSHKVKDVGGQTVQRLTFGASHHGGETLSHGSQNTRHVKELSSTSRDQDTGVYVPKKNRIHCSGPLMPPGGNMEDMLREHERQILEAVRKAKTKNN >itb04g21540.t1 pep chromosome:ASM357664v1:4:26635691:26642730:-1 gene:itb04g21540 transcript:itb04g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRFVNMDAESVRFMSREICILRRLDHPNVMKLEAVVTSRLSESLYLVFEYMEHDLSGLAIAHGSKFTESQIKCYMQQLLSGLDHCHSRGVLHRDIKGSNLLLNDNGILKIGDLGLATIFYPDQKQPLTSRVVTLWYRAPELLLGETNYGVAIDMWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTRLPLATSFKPQHPYTRCVTDTFKDFPPSALTLLDALLSIEPEERGTAASALSSRFFTMKPLPCDPSSLPKFPPSKELDARIRDEKERRKKAESVKGRRGETTKKNSRESNGPPAEFNAQRQNLQGQSNNGTSRAGYHIEPPPVNYKNGITHSNSVIHPNAWSHKVKDVGGQTVQRLTFGASHHGGETLSHGSQNTRHVKELSSTSRDQDTGVYVPKKNRIHCSGPLMPPGGNMEDMLREHERQILEAVRKAKTKNN >itb04g21540.t4 pep chromosome:ASM357664v1:4:26636071:26641975:-1 gene:itb04g21540 transcript:itb04g21540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSKELDLSDYGTDDEASKGKESSKSSVKTVAPSRGEAIKLYLTNGSSEHSNRKPELERNGSSVTASKVEDDGKTRIFERPKDGHRRGSTVDLGINGLSHSMSRVGSMPHSIRGEQSAAGWPTWLSSVAAEAIQGWLPRRADSFEKLNKIGQGTYSSVYKARDLTNNKIVAMKKVRFVNMDAESVRFMSREICILRRLDHPNVMKLEAVVTSRLSESLYLVFEYMEHDLSGLAIAHGSKFTESQIKCYMQQLLSGLDHCHSRGVLHRDIKGSNLLLNDNGILKIGDLGLATIFYPDQKQPLTSRVVTLWYRAPELLLGETNYGVAIDMWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTRLPLATSFKPQHPYTRCVTDTFKDFPPSALTLLDALLSIEPEERGTAASALSSRFFTMKPLPCDPSSLPKFPPSKELDARIRDEKERRKKAESVKGRRGETTKKNSRESNGPPAEFNAQRQGQSNNGTSRAGYHIEPPPVNYKNGITHSNSVIHPNAWSHKVKDVGGQTVQRLTFGASHHGGETLSHGSQNTRHVKELSSTSRDQDTGVYVPKKNRIHCSGPLMPPGGNMEDMLREHERQILEAVRKAKTKNN >itb04g21540.t3 pep chromosome:ASM357664v1:4:26636071:26642730:-1 gene:itb04g21540 transcript:itb04g21540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRFVNMDAESVRFMSREICILRRLDHPNVMKLEAVVTSRLSESLYLVFEYMEHDLSGLAIAHGSKFTESQIKCYMQQLLSGLDHCHSRGVLHRDIKGSNLLLNDNGILKIGDLGLATIFYPDQKQPLTSRVVTLWYRAPELLLGETNYGVAIDMWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKTRLPLATSFKPQHPYTRCVTDTFKDFPPSALTLLDALLSIEPEERGTAASALSSRFFTMKPLPCDPSSLPKFPPSKELDARIRDEKERRKKAESVKGRRGETTKKNSRESNGPPAEFNAQRQGQSNNGTSRAGYHIEPPPVNYKNGITHSNSVIHPNAWSHKVKDVGGQTVQRLTFGASHHGGETLSHGSQNTRHVKELSSTSRDQDTGVYVPKKNRIHCSGPLMPPGGNMEDMLREHERQILEAVRKAKTKNN >itb05g27870.t2 pep chromosome:ASM357664v1:5:31043937:31050594:-1 gene:itb05g27870 transcript:itb05g27870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MAVCSEKEDFLEEFGQDYGYPNAPRNIDQIRATEFKRLDGVVHLDHAGATLYSESQMEAILKQLNSTVYGNPHSQSSCSLSSSDCVQKARQQVLDFFNASPSEYSCIFTSGATAALKLVGETFPWCNQSSFMYTMENHNSVLGIREYALRKGAAAFAIDVEDTDSNVNSQSHQSAFKISHNPVQRRSEAGMLKEGSAGNIYNLFAFPSECNFSGKKFNLDLVNIVKEDSESILDSSLSQRGHWLVLIDAAKGCATDPPDLTKYKADFLVISFYKMFGYPTGLGALIVKNEAAKLLRKAYFGGGTVAAAIADIDFFKRRAGVEEFFEDGTVSFLSIASIHHGFRVLNTLTMSSVSRHTASLATYVRKTLLALRHKNGEHVCTIYGVNTPEILPGKVGPIVSFNLKRPDGTWYGYREVENLASLAGIQLRTGCFCNPGACAKYLGLSHADLLSNIEAGHVCWDDQDILNGKPTGAVRISFGYMSTFEDARRFIDFIERSFISFPSNGCALRARSVPPLIKGTEKTTPLYFLKFITVYPIKSCTGFGADHWPLTSTGLLHDREWLLRSASGEILTQKKIPEMCNIKTLIDLKLGILFVESPRCKEKLQIKLKPNMSIGMRDEIDIHAQRHEVQSYGNEVNSWFSDAVGQSCTLLRNSCAVSYACSKGNSNTGICKDVDAKLNFVNEAQLLLVSEESVADLNNRLRSSTQKGSYGERTEVSTMRFRPNLVISGGEPYAEDGWKSLVIGGEYFTSFGGCNRCQLINIYLQGDKVQRSNEPLATLAAYRRVKVRLAITNLNCNNHYTFSGLILFLLRFTYEQGKILFGILLRYEKSVDKDSDAWVHVGEQIFPNVYSH >itb05g27870.t1 pep chromosome:ASM357664v1:5:31043937:31050594:-1 gene:itb05g27870 transcript:itb05g27870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MAVCSEKEDFLEEFGQDYGYPNAPRNIDQIRATEFKRLDGVVHLDHAGATLYSESQMEAILKQLNSTVYGNPHSQSSCSLSSSDCVQKARQQVLDFFNASPSEYSCIFTSGATAALKLVGETFPWCNQSSFMYTMENHNSVLGIREYALRKGAAAFAIDVEDTDSNVNSQSHQSAFKISHNPVQRRSEAGMLKEGSAGNIYNLFAFPSECNFSGKKFNLDLVNIVKEDSESILDSSLSQRGHWLVLIDAAKGCATDPPDLTKYKADFLVISFYKMFGYPTGLGALIVKNEAAKLLRKAYFGGGTVAAAIADIDFFKRRAGVEEFFEDGTVSFLSIASIHHGFRVLNTLTMSSVSRHTASLATYVRKTLLALRHKNGEHVCTIYGVNTPEILPGKVGPIVSFNLKRPDGTWYGYREVENLASLAGIQLRTGCFCNPGACAKYLGLSHADLLSNIEAGHVCWDDQDILNGKPTGAVRISFGYMSTFEDARRFIDFIERSFISFPSNGCALRARSVPPLIKGTEKTTPLYFLKFITVYPIKSCTGFGADHWPLTSTGLLHDREWLLRSASGEILTQKKIPEMCNIKTLIDLKLGILFVESPRCKEKLQIKLKPNMSIGMRDEIDIHAQRHEVQSYGNEVNSWFSDAVGQSCTLLRNSCAVSYACSKGNSNTGICKDVDAKLNFVNEAQLLLVSEESVADLNNRLRSSTQKGSYGERTEVSTMRFRPNLVISGGEPYAEDGWKSLVIGGEYFTSFGGCNRCQLINIYLQGDKVQRSNEPLATLAAYRRVKGKILFGILLRYEKSVDKDSDAWVHVGEQIFPNVYSH >itb01g27350.t1 pep chromosome:ASM357664v1:1:32185478:32190411:-1 gene:itb01g27350 transcript:itb01g27350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTMACTRRLAMSSFLLILYVHLITLVVPHLQAFKFGPDRQLLEVNNGIESDGTARIDPLDSFKKYRGGYDIRNKHYWSSTVFTGKYGYAIAVVWLLCGFFYGVFLLVTTFCCKRERNKLKKRVPCHKQCYLWPFLSAIFFTVLAVIASGLVLGGNARFHSRADTVVDIIIDTADGASNTIYNTTQAMKEMSADLGATDQGPDATRFLTSTSKSLDSRAADIERQARKNRRAIEKGLRIVYIISTAIISVNLVAAICLSVFGILKFRRTLRWLIVLCWILTVLCWLFFGIYFFIDKFASDTCTAFENFQEDPYNSSLGSILPCDELVSARSVLGDVSKGIHTLVDQVNENISRSYGNFAQICQPFSEPPEYLYQPDKCASNTIRIEDIPQLLKVVTCTDPNCNGGIMITPREFDTMQAYATSIQNILKVYPGMERLVECKTVNDAFADILENHCTPLKRNGHLVWKALVFLSVVMVALVLTWTVEAAHEQRHHGDLDGSVKPHRAEDEELGTEPVPDHTSHVNTK >itb10g24060.t2 pep chromosome:ASM357664v1:10:28076827:28081585:-1 gene:itb10g24060 transcript:itb10g24060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYSSSFSALFSRSYLSPPRPSLSHSSSLSAPFYSLRFTKPSHKPRFFKTTVAFAASASSSDDFTAGHQNSQSKKSGISNLIQDIEPLDVSIIQKDVPLTTIDAMKRTISGMLGLLPSDQFQVLIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLEIYDNKQKPEDFKSEGEDMQLIRNEFENIPRQQSLPMSEKKSDSKFDIPDFGKMSPEAQQYIWSLQTRLASIKKELREVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEKVSSVVIPYTVWQNHNSKV >itb10g24060.t1 pep chromosome:ASM357664v1:10:28076827:28081585:-1 gene:itb10g24060 transcript:itb10g24060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYSSSFSALFSRSYLSPPRPSLSHSSSLSAPFYSLRFTKPSHKPRFFKTTVAFAASASSSDDFTAGHQNSQSKKSGISNLIQDIEPLDVSIIQKDVPLTTIDAMKRTISGMLGLLPSDQFQVLIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLEIYDNKQKPEDFKSEGEDMQLIRNEFENIPRQQSLPMSEKKSDSKFDIPDFGKMSPEAQQYIWSLQTRLASIKKELREVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSLEVKEAIHSVVHGLLATLSPRMHSKAPDLADNTSAGTVNIGAEDCIDLIENTSLQFQPMISLTRDYLARLLFWCMLLGHYIRGLEYRLELMELLSLPNGIQKDGSSIDQVD >itb15g09010.t1 pep chromosome:ASM357664v1:15:6395035:6398395:-1 gene:itb15g09010 transcript:itb15g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFNTTPNFDNLLLQSLMGRLQIRPSPPPNPALLSAKSLEDLLLNNLLSDHKDDPDDDEDDDDNDGVEPSYKSQLAREESKLEKEIIRTIHSGNTDSLKPNSGQAVTIGEHHICVGFHEDEDSDYRVWEWHGHIILFDDENGYNPEYIYGNYFERLAAKTAMKKKQGEEKQAEKEVEKVGNMGLRELIESAESNSEARILRRNINAGSQNFIPGSS >itb02g07270.t1 pep chromosome:ASM357664v1:2:4499422:4501165:-1 gene:itb02g07270 transcript:itb02g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDEKNIPQSTSNIIGEFKPLDVEEFRRQAHRMVDFIADYYNNIESYPVLSQVEPGYLRNGQPPTAPNRPEEFETILSDVHKDILPGMTHWLSPNFFAFFPATVSSAAFVGEMLCTCFNSVGFNWLASPAATELEMVVMDWLGNMLKLPKSFMFGGSGGGVIQGTTSEAILCTLIAARDRALGIIGYDNVGKLVVYGSDQTHSTYEKACKLAGIFPCNIRIIPTSHDTNFSLSPVALRGVIESDVAAGLVPLFLCATVGTTSTNAVDPVSRLSDVAGEHNIWVHVDAAYGGSACICPEFRHHLDGIERVDSLSLSPHKWLLTYLDCCCLWVREPGSLVKALSTYPEYLRNKRSDHEAVVDYKDWQLGTSRRFRSLRLWLVLRTYGVANLQTHIRSDVRLAKMFEGLVGSDPRFEIVAPRVFSLVCFRLKGSEILNMKLLECVNSTGRAYMSHTIAGGVYMLRFAVGATLTEDHHVATAWKLXHQILQINGLDLSSRSHLGIVLI >itb10g25660.t1 pep chromosome:ASM357664v1:10:28881588:28883527:1 gene:itb10g25660 transcript:itb10g25660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKIIFFSLCTVLFIASSSRRIEGSLFLFPMKEASVCDLQAAFQHNRVNSRQLVEFYIANIQKLNPVLKAVIQVNPEALDLADKADQERKNKTSVTSLSLLHGIPVLVKDNISTKDKLNTTAGSCALLGSIVPQDAGVVKKLRKAGAIILGKASMTEWAAFRSDAMPSGWNCRLGQAVNPYVASADPSGSSTGSAISAAANLVTVTLGTETAGSILSPSNANSVVGIKPTVGLTSRAGVVPISHRQDTVGPICRTVSDAVHVLDVIVGYDPDDKQATHEASMYIPQGGYAQFLKADGLKGKRLGITRNNGLVGFNDDSETLKAYEQHFTTLRQRGAELVDNLEIPNFELLVHSVIAAQDIALKAEFKIDVNAYLGNLVESPVRSLEDVIAYNQKNADGEMIEKYGQNILVEAEETRGIGRLEREALANIKKACKDGFEKLMKDNNLDALMSPGSDVASILALGGYPGINVPAGYDKNGVPFGVSFGGLKGSEPKLIEIAYGFEQATMIRKAPPV >itb02g09080.t1 pep chromosome:ASM357664v1:2:5785404:5790930:-1 gene:itb02g09080 transcript:itb02g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKFYISATGIKKVTISNSGSVEGGEVFAGGMKAKGSPRIGRRISHRAVMFPAILTLLLFSFLFVRIAFLFLESAAICSTSLGCLGWRIFGGSDSALLREELTRALLEVTSIDGENGIGGMEGLTPVTASFNDLVKEMTSNRHDIKTFAFKAKATMLKMEQMVQSARKQESIYWHLASHGVPKWMHCLSLKLAEEYAVNAVARSRLPAPEYVSRLTDPSFQHVVLLTDNVLAASVVISSTIKSSMTPGRMVFHVVTDKKTYTAMHAWFAMNSINSAVVEVKGLHQYDWPHDVNIGIKQMLEIHHLIWGHKFDRIKDEVAAFDDKLDKDLDYQWPTSISLLNHLRIYIPELFPDLNKIVFLDDDTVVQHDLSSLWDLDLNGKVVGAVFDSSCGYGCCPGRKYMDYFNFTNPFISSKLNYDQCGWLYGMNLFDLQAWRKENITATYHQWLKYNLNSGFELWRPGALPPALIAFEGKVQPIDPSWHVAELGDRFPQVEESVLKAAAVIHFSGPAKPWLEIAAPEIQSLWSRNVNFSNEFVRDCGIVSTRAKRA >itb02g09080.t3 pep chromosome:ASM357664v1:2:5786647:5790917:-1 gene:itb02g09080 transcript:itb02g09080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKFYISATGIKKVTISNSGSVEGGEVFAGGMKAKGSPRIGRRISHRAVMFPAILTLLLFSFLFVRIAFLFLESAAICSTSLGCLGWRIFGGSDSALLREELTRALLEVTSIDGENGIGGMEGLTPVTASFNDLVKEMTSNRHDIKTFAFKAKATMLKMEQMVQSARKQESIYWHLASHGVPKWMHCLSLKLAEEYAVNAVARSRLPAPEYVSRLTDPSFQHVVLLTDNVLAASVVISSTIKSSMTPGRMVFHVVTDKKTYTAMHAWFAMNSINSAVVEVKGLHQYDWPHDVNIGIKQMLEIHHLIWGHKFDRIKDEVAAFDDKLDKDLDYQWPTSISLLNHLRIYIPEVIT >itb02g09080.t2 pep chromosome:ASM357664v1:2:5785404:5790930:-1 gene:itb02g09080 transcript:itb02g09080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MKFYISATGIKKVTISNSGSVEGGEVFAGGMKAKGSPRIGRRISHRAVMFPAILTLLLFSFLFVRIAFLFLESAAICSTSLGCLGWRIFGGSDSALLREELTRALLEVTSIDGENGIGGMEGLTPVTASFNDLVKEMTSNRHDIKTFAFKAKATMLKMEQMVQSARKQESIYWHLASHGVPKWMHCLSLKLAEEYAVNAVARSRLPAPEYVSRLTDPSFQHVVLLTDNVLAASVVISSTIKSSMTPGRMVFHVVTDKKTYTAMHAWFAMNSINSAVVEVKGLHQYDWPHDVNIGIKQMLEIHHLIWGHKFDRIKDEVAAFDDKLDKDLDYQWPTSISLLNHLRIYIPELFPDLNKIVFLDDDTVVQHDLSSLWDLDLNGKVVGAVFDSSCGYGCCPGRKYMDYFNFTNPFISSKLNYDQCGWLYGMNLFDLQAWRKENITATYHQWLKYVSAFSSANNQLLY >itb04g25180.t1 pep chromosome:ASM357664v1:4:29827177:29828463:-1 gene:itb04g25180 transcript:itb04g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIIVEECIVEELDKTQESEVVKIMSNSFSQLQTLQLLLSNKLAPLPEKENASSSSRPTPADVRQTFRHIYRSGEGDITLLYIQLQVICSDLFAGSCTSATMGMASSAMNAVCWWLRSLKLLLLVYIRLHPSIPFSTDQR >itb04g25180.t2 pep chromosome:ASM357664v1:4:29827771:29828463:-1 gene:itb04g25180 transcript:itb04g25180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIIVEECIVEELDKTQESEVVKIMSNSFSQLQTLQLLLSNKLAPLPEKENASSSSRPTPADVRQTFRHIYRHLDAPDNYLDASWESSGANTRMLTFLEPC >itb13g11710.t1 pep chromosome:ASM357664v1:13:16580871:16583461:1 gene:itb13g11710 transcript:itb13g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKFVFNPVSVIVFLSFVFCIYTLRRRSKAAIKQNLPPGPWKLPIIGSLHHLVGGSLTHRSLRNFSRKYGPIMHLKLGEISTIVISSPLLAKEITKTHDVVFANRPKMMSFDIVYYKCTDVAFSPYGDYWRQMRKICVMELLSTNMVKSFESFRQEELSEVISSIIKAGSRVPVNLTEKICWFTSSTIAKAAFGRVRREDQERFIVLVKEALSLAGGFGVADLFPSKKWIHYISGTKPRLLKVHREVDKIFEIIIQQHKHNLANKAKDEEDIVDVLLRVMEDGELQIPITLDNIKAVINDMFSAGVESSATTIIWAMSEMMKCPSVMSKAQAEVRQVLKGKKTFEDRDLENLTYLNFVIKETLRLHHPLPLLAPRESLQEAQIDKYIIPPKTRVIINAWAIATDPKYWKDPERFMPERFENNSVGFMGNHYEFIPFGAGRRMCPGISFSLASIAHSLAALLYHFDWEIPGGVSPNDLDMSETMGIAVARKEDLCLIAQPFVLDMEL >itb08g02910.t1 pep chromosome:ASM357664v1:8:2476017:2481260:-1 gene:itb08g02910 transcript:itb08g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVATANSSISISGIKPKPKPKPLANHSTHFFPKTPRIVLSNGFAGTGRTNYSSPSSIPSSNASTKLYRRLDSCLVVPPPRGRKPKAIIKFLGGAFIGAVPEVTYSYLLELLASEGYLIICVPYNVTFDHSQISRRVFERFHSCFASILESGLPDSGLSAAEIADLPLYSVGHSNGALLQALIGSYFSEKIPKANVIISYNNRPASEAVPYFELLGPVVGQLLPVVETSPMYSAVQTASGNAWKMLLDAAETVVPNYDPEAVVSLTKFADQLPSVFNELAQGIAEFKPTPSENLECFKESYSVPCTLLVKFDFDAIDETDRLEEILKPRVESYGGKLEKVVLSGNHITPCIQDPRWQVGNVYTPADAIAQGIKSVSLNDTRRLGRIIANWFDRLAQ >itb04g26620.t1 pep chromosome:ASM357664v1:4:30891046:30893318:-1 gene:itb04g26620 transcript:itb04g26620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQEFRVGFMEKKSVDSAMEVKDAANNGKFEECGAKADEFVGTRNGSKAAVGNHDNVGGGNGTSTNAESRRTLEYNLGSHGYMRKEREWKRTLACKLYEERNESGEGMDLLWETYEMETMNNEERQDDDSKEKYEKDSEEEEEEEEMDEKLCCLQALKLSAGKMNSGIGRPNLMKISKAIKSQRRDSRRNESRKWRIGSYDAAPDLPRANIPVELLRFLVVFLCFISPISKLLVLMINTRISLLISSGFCTSTQMKGSLLHQRLRIPIKFYHYAIFSDNMVAAPVVNSAVKNSKKPWKHVFHIVRDEMNLGAMQVMFKMKDYDGAHI >itb04g26620.t2 pep chromosome:ASM357664v1:4:30891046:30894915:-1 gene:itb04g26620 transcript:itb04g26620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLLSSIFSSFFILQLYSPQSQRGRDQRARRHSGLAVRRHHGLAARRRRGLSAAGDEASTARASDEDAVREIPVFNLALLRSFTRSHPLYFSFSIFFFPYLLSFLSPLSIAASLLLLALLALSPAVTIRDKFLAESDKVSARISVSFDGESEDELFDLGNFEENRILSEESLMRFQEFRVGFMEKKSVDSAMEVKDAANNGKFEECGAKADEFVGTRNGSKAAVGNHDNVGGGNGTSTNAESRRTLEYNLGSHGYMRKEREWKRTLACKLYEERNESGEGMDLLWETYEMETMNNEERQDDDSKEKYEKDSEEEEEEEEMDEKLCCLQALKLSAGKMNSGIGRPNLMKISKAIKSQRRDSRRNESRKWRIGSYDAAPDLPRANIPVELLRFLVVFLCFISPISKLLVLMINTRISLLISSGFCTSTQMKGSLLHQRLRIPIKFYHYAIFSDNMVAAPVVNSAVKNSKKPWKHVFHIVRDEMNLGAMQVMFKMKDYDGAHI >itb02g07390.t1 pep chromosome:ASM357664v1:2:4584305:4587420:-1 gene:itb02g07390 transcript:itb02g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRISDLPIPILHHILSFLPTRDVVRTCVLSKHWHSTSSSFPILEFDIYDFRSHGDSNNPIKNEAFLRWVDARVQRLYETTDTNILRLKVMVDLLDPRIFRAIERAMERNVEELDLAFHLLYGSFKHQNMLYYSARSVVVYKLGGIDLNLLDLLRGCPLVQELNLRSCLLQETTIVSDANNLKTLVFKNCHGMRDFVIRAPNLESFAYTGGSFCAIHLAQPSSLKNVRLGHFISDQWLENLIRGCPKLEFLKINCSGLERIAICHQRLKSLKLYDCTSNSGLIKIDTPELLHFAYNGTTMPFYMFNYSASLKATLKLFRYDEDDARWFANLRKMLQWFSECETLQLAPDFYPEDLIFGMDIRDNSIPFVYGLKNLEIQIPFCWPRSPVPFIELIDTYLWLCPHLETLKIASEDTEEEEAAFKFEREHRNMALCCAEHPGECWKFSMKKVTLVSYVSRKTDKKLLLSYFQQVLGRYGDRGRLVGPL >itb12g17860.t1 pep chromosome:ASM357664v1:12:20115108:20115939:1 gene:itb12g17860 transcript:itb12g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLNTNTTFLVSLLLVLIIVSSPGSSHAIRENVPVTSITQNKVLYVDAKDYNEGGPNQAHTPPGKGSKG >itb05g23950.t1 pep chromosome:ASM357664v1:5:28941418:28942728:-1 gene:itb05g23950 transcript:itb05g23950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEELFKKRLIAFYSSWRTHKQLWGHSDIIVVTTPAQASSSSPSRDFFLWLFEEDLAGSTAVFTPSSIFFLCPEKSFSGVRNLGDYAMGVMNIPVSVELLLNPDEGVSKLDSIIQCRRQRLFAAALLCTLTVGCIDDEIPRWKLLLSCLNGYFYFCHYMIAGVSRGVRKLIVQQHQMQCYNESEEEFKLQLQLQIQLETAKRGGIRERLLSVPRQRLTFLYSNWRKYRKELWGDSNVLVITSGSNRPVSCSFFLWLLGHEFPNTTVVFMEQAIYVYCPIESLPKLGALGSYLTTKEVPVSIKPNKVDEDESEQLLNSLYAAATNDNPSSSSCVIIGYIDGEVPNSSKLFENERRFQATNVISGFVKLLEEEGEGLLKSLQTHAQSYNKEDIAEEEQDGIQNSRSSDDIIEEEEQEEPNHILANNSSSSSWRRRYS >itb15g19800.t2 pep chromosome:ASM357664v1:15:22300545:22304213:-1 gene:itb15g19800 transcript:itb15g19800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRLCSGWRRFLFFLPLLFLLPHLFSELQQQGRKVPEDVQQRVSGQNELKKKKFDHLVLGPAAGQGLPDRLHCQGNKALNRTHFPASGNSGSADTVSFVTVFATYDAPVDTQRNERLVNLVTTVGNASYSKVERSLAILDVFISFIQVTMPQSSIIILTGPSSQLPVNRNGVFIHPIQGEYSRDKLMLQRIRSYITFLETRYEEQSRWQGKVNHYIFTDSDLVVVDNLGEIFDNYPDFDVALTFRNNKEQPLNSGFIAVRGTPKGILRAKLFLQRVLEVYSTKYMKASRMLGDQLALAWVVKSNPSFDAKKFTRRQPFLDKIDGASILFLPCALYNWTPPEGAGQFHGMPLDVKVIHFKGSRKRLMLESWNFFNSSSLALSEKISDMLCLIVRSGRTKYDF >itb15g19800.t1 pep chromosome:ASM357664v1:15:22299963:22304359:-1 gene:itb15g19800 transcript:itb15g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRLCSGWRRFLFFLPLLFLLPHLFSVLELQQQGRKVPEDVQQRVSGQNELKKKKFDHLVLGPAAGQGLPDRLHCQGNKALNRTHFPASGNSGSADTVSFVTVFATYDAPVDTQRNERLVNLVTTVGNASYSKVERSLAILDVFISFIQVTMPQSSIIILTGPSSQLPVNRNGVFIHPIQGEYSRDKLMLQRIRSYITFLETRYEEQSRWQGKVNHYIFTDSDLVVVDNLGEIFDNYPDFDVALTFRNNKEQPLNSGFIAVRGTPKGILRAKLFLQRVLEVYSTKYMKASRMLGDQLALAWVVKSNPSFDAKKFTRRQPFLDKIDGASILFLPCALYNWTPPEGAGQFHGMPLDVKVIHFKGSRKRLMLESWNFFNSSSLALSEKISDMLCLIVRSGRTKYDF >itb01g27050.t2 pep chromosome:ASM357664v1:1:32036780:32039795:1 gene:itb01g27050 transcript:itb01g27050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGGTSSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKENGVRADGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLTPDELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEAAKEAGALLSYDPNLRLPLWPSPEEAREQIMSIWDKADVIKVSDVELEFLTGCDKIDDESALSLWHPNLKLLLVTLGEKGCRYYTKV >itb01g27050.t1 pep chromosome:ASM357664v1:1:32036780:32039795:1 gene:itb01g27050 transcript:itb01g27050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGGTSSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKENGVRADGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLTPDELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEAAKEAGALLSYDPNLRLPLWPSPEEAREQIMSIWDKADVIKVSDVELEFLTGCDKIDDESALSLWHPNLKLLLVTLGEKGCRYYTKSFKGSVEAFHVKTVDTTGAGDSFVGALLCKIVDDCSIIEDEARLKQVLRFACACGAITTTKKGAIPALPTEADALALLN >itb12g09560.t3 pep chromosome:ASM357664v1:12:7475901:7481262:-1 gene:itb12g09560 transcript:itb12g09560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIELGESASKRVIGKPMKKLIAEEMLNEVESKRKSPSIIARLMGLDGMPSPQQLSRQQRRLSESSRQRKEKIDSHRTVHLFVDQARRNSMDYHEFNDMNEDLEASHIANRRFSSRWSTKSGPNMDMPEMAVLQHEYLEATHLSTDEKLQSSKGFDDTLEEQESNDELLLKCLPQRDSLFPKHLLDLQVDTSSSMCSHIAVLKPATSANSEVTAILRKPERGGSWNHDINLQRKREDGFLFHLPNPHGGHSSFKSPKTHVKGKNENIFPTRIVVLKPNYGKTHCDVTSVQYPSEEKKLARRLNFGTGKTGFAEMKNSSKNAGLLSSHSKEAREIAKEITTRMRNTHGPLNVRKDLSLIYSDIVGYATDESSFDVYDNDSASEPEMLKLSSGNLYSRNNRCKSSSSATFESSVSREAKKRLSDRWKSTQRCQDMEIVNKGNTLGEMLSLPDRESEVVHLDTMVTLDGASDKFGGRTDGVENPLGISSRDGWKDVYVSSRSRSLSPLGSQIQGLSTRHEIVANSRNLRPRQLVNGIRPVDRSRIKSWDGSQSHTEEILFNEIRSGRKKHRSSRRKTIGVNDTLQEAIFCKMMSTSVEHNMSEQLLLASEMPVSKNAISVIDSAKHTEHESIISESSIDLIHQTFTESNEILFPDQDASDLQETSVQVAVPLQCPLPESESSESSREGGHPSPVSVLEEVTFTEDSLSGSECLEFVSAKINDLRKKIEQLKMKPESFADLTEDNQDVWHPATMIEEKCILMSPSWESSYIDDVLTDSGFKESDLDAFTATCHSPYCPLSPSIFENLEKKYSDEVIGVPRYARRLLFDRVNLALVEILRHYVDPYPWLKHKRVANLVCQKQEVAGAFHRLLADHDSVANRDTSWRILEREMRWLDVSDDINVIGKDIEKLLVDDLITEIVM >itb12g09560.t1 pep chromosome:ASM357664v1:12:7475860:7482603:-1 gene:itb12g09560 transcript:itb12g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPRPRKSKRASGAFEGSKQVQKKIAAPRITYNSRCRYDSSANEDMFMIELGESASKRVIGKPMKKLIAEEMLNEVESKRKSPSIIARLMGLDGMPSPQQLSRQQRRLSESSRQRKEKIDSHRTVHLFVDQARRNSMDYHEFNDMNEDLEASHIANRRFSSRWSTKSGPNMDMPEMAVLQHEYLEATHLSTDEKLQSSKGFDDTLEEQESNDELLLKCLPQRDSLFPKHLLDLQVDTSSSMCSHIAVLKPATSANSEVTAILRKPERGGSWNHDINLQRKREDGFLFHLPNPHGGHSSFKSPKTHVKGKNENIFPTRIVVLKPNYGKTHCDVTSVQYPSEEKKLARRLNFGTGKTGFAEMKNSSKNAGLLSSHSKEAREIAKEITTRMRNTHGPLNVRKDLSLIYSDIVGYATDESSFDVYDNDSASEPEMLKLSSGNLYSRNNRCKSSSSATFESSVSREAKKRLSDRWKSTQRCQDMEIVNKGNTLGEMLSLPDRESEVVHLDTMVTLDGASDKFGGRTDGVENPLGISSRDGWKDVYVSSRSRSLSPLGSQIQGLSTRHEIVANSRNLRPRQLVNGIRPVDRSRIKSWDGSQSHTEEILFNEIRSGRKKHRSSRRKTIGVNDTLQEAIFCKMMSTSVEHNMSEQLLLASEMPVSKNAISVIDSAKHTEHESIISESSIDLIHQTFTESNEILFPDQDASDLQETSVQVAVPLQCPLPESESSESSREGGHPSPVSVLEEVTFTEDSLSGSECLEFVSAKINDLRKKIEQLKMKPESFADLTEDNQDVWHPATMIEEKCILMSPSWESSYIDDVLTDSGFKESDLDAFTATCHSPYCPLSPSIFENLEKKYSDEVIGVPRYARRLLFDRVNLALVEILRHYVDPYPWLKHKRVANLVCQKQEVAGAFHRLLADHDSVANRDTSWRILEREMRWLDVSDDINVIGKDIEKLLVDDLITEIVM >itb12g09560.t2 pep chromosome:ASM357664v1:12:7475860:7482603:-1 gene:itb12g09560 transcript:itb12g09560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPRPRKSKRASGAFEGSKQVQKKIAAPRITYNSRCRYDSSANEDMFMIELGESASKRVIGKPMKKLIAEEMLNEVESKRKSPSIIARLMGLDGMPSPQQLSRQQRRLSESSRQRKEKIDSHRTVHLFVDQARRNSMDYHEFNDMNEDLEASHIANRRFSSRWSTKSGPNMDMPEMAVLQHEYLEATHLSTDEKLQSSKGFDDTLEEQESNDELLLKCLPQRDSLFPKHLLDLQVDTSSSMCSHIAVLKPATSANSEVTAILRKPERGGSWNHDINLQRKREDGFLFHLPNPHGGHSSFKSPKTHVKGKNENIFPTRIVVLKPNYGKTHCDVTSVQYPSEEKKLARRLNFGTGKTGFAEMKNSSKNAGLLSSHSKEAREIAKEITTRMRNTHGPLNVRKDLSLIYSDIVGYATDESSFDVYDNDSASEPEMLKLSSGNLYSRNNRCKSSSSATFESSVSREAKKRLSDRWKSTQRCQDMEIVNKGNTLGEMLSLPDRESEVVHLDTMVTLDGASDKFGGRTDGVENPLGISSRDGWKDVYVSSRSRSLSPLGSQIQGLSTRHEIVANSRNLRPRQLVNGIRPVDRSRIKSWDGSQSHTEEILFNEIRSGRKKHRSSRRKTIGVNDTLQEAIFCKMMSTSVEHNMSEQLLLASEMPVSKNAISVIDSAKHTEHESIISESSIDLIHQTFTESNEILFPDQDASDLQETSVQVAVPLQCPLPESESSESSREGGHPSPVSVLEEVTFTEDSLSGSECLEFVSAKINDLRKKIEQLKMKPESFADLTEDNQDVWHPATMIEEKCILMSPSWESSYIDDVLTDSGFKESDLDAFTATCHSPYCPLSPSIFENLEKKYSDEVIGVPRYARRLLFDRVNLALVEILRHYVDPYPWLKHKRVANLVCQKQEVAGAFHRLLADHDSVANRDTSWRILEREMRWLDVSDDINVIGKDIEKLLVDDLITEIVM >itb06g14260.t1 pep chromosome:ASM357664v1:6:18854255:18856984:-1 gene:itb06g14260 transcript:itb06g14260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLDNDHLPPFELTLEQLEQILGAPNNGLLSFRELLDGLQTSIASGIAGDEVDIRRRRRAFGTNNVVVEHQPPSICTFHELVSESLRNSTFVLLLCCAALSVAIGVRRNGAKQGFLDGVVFFLAIFLSLHFGAVFKVVKAKCMIKKRRKMVLVKVIRHGQKLQIPPSEVVVGEVVFLEGGDEVPADGIFITRGGAVVNTAVFTGENPAEEGLIVVTAVGKNTEREKLLRQSRKHQNPQSKCSKFECEIENISVVLQRIWLCLSLLVLSVQAVRCFLKKSWCEENPSPGKGVKNTVEEMMNETTRILDKFGVGGSVNNSGRLVSKLCVLLFALGDGLPLGIFITLSYASKKMNKYYGATAGKLPACATIGSVTTICATKTGDLSLDPTSMADLWIGFKKIKNPTSGEVDNCVLDKLRQGIWSFCEDEDSLVLWAENVVGRPMNDLVEENWKPLTRNGENLRGMMVERKKEGVIIRIQHWKGDAKLILSMCSHYHGVDGAMQPLDDQQKTHFFNQIPKTSSSHTLRLVAFAYKQLKLQQTDQEDDGENNINDLMEEKNGLVLIGIVALRNPYGVELRNAIESCRKSGVKLKLVVEDNIKTSRIMAFHSGIKGAVVKASDFRTLQDNVIDESCVFADFSPADQLLLIQRLRQNGEVVLSTAISVRHLPSLQESDVGIFMGESLNLQDSADITIQGSLKFGTIFEILMFGRHICRNLQIFTQLQLTLNVTAFAVTFIAQISNPTAKEPLTAFQILWVSLVMDTLGAYFLAKSTLENPNYPRILQGTHLAAVVNTITKINVAIQSLFQVTVLLTLNCLNKGILIFHCYALLQVFVLIAKITAADTGKSRRRLMFLAFSVLLMFVSLQVAMSEFMAAIFHWQKLGFKQWLICVGISFLSVPIAYAANFISAMV >itb08g09120.t4 pep chromosome:ASM357664v1:8:8358607:8362207:-1 gene:itb08g09120 transcript:itb08g09120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGPKTIRISQWLPLFNPVLLPSPGVIGKFAFVRLGQRKRCFVGVSVKTKMELRVEIDRMGVVQPATEDYAEAAIEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLHHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARCSGSALALTSANLSGQPSSINIRDFENLWGHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVEDGSGVQ >itb08g09120.t2 pep chromosome:ASM357664v1:8:8358607:8363173:-1 gene:itb08g09120 transcript:itb08g09120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGPKTIRISQWLPLFNPVLLPSPGVIGKFAFVRLGQRKRCFVGVSVKTKMELRVEIDRMGVVQPATEDYAEAAIEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLHHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARCSGSALALTSANLSGQPSSINIRDFENLWGHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVEDGSGVQ >itb08g09120.t5 pep chromosome:ASM357664v1:8:8358531:8363173:-1 gene:itb08g09120 transcript:itb08g09120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVEIDRMGVVQPATEDYAEAAIEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLHHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARCSGSALALTSANLSGQPSSINIRDFENLWGHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVEDGSGVQ >itb08g09120.t3 pep chromosome:ASM357664v1:8:8358531:8363169:-1 gene:itb08g09120 transcript:itb08g09120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGPKTIRISQWLPLFNPVLLPSPGVIGKFAFVRLGQRKRCFVGVSVKTKMELRVEIDRMGVVQPATEDYAEAAIEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLHHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARCSGSALALTSANLSGQPSSINIRDFENLWGHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVEDGSGVQ >itb08g09120.t1 pep chromosome:ASM357664v1:8:8358508:8363182:-1 gene:itb08g09120 transcript:itb08g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGPKTIRISQWLPLFNPVLLPSPGVIGKFAFVRLGQRKRCFVGVSVKTKMELRVEIDRMGVVQPATEDYAEAAIEAIKSGNVIAVPTDTLYGFACDACSAEAVNRIYDIKGRKYTNPLAICVGDVQDIQKYAITDHLHHGLLDCLLPGPVTVVLRRGESSILEKSLNPGLESIGVRVPDNTFIRVIARCSGSALALTSANLSGQPSSINIRDFENLWGHCAYIYDGGVLPAGRAGSTVVDLTKQGKYKILRPGSAEEETVAILQKHSLVEDGSGVQ >itb13g01460.t1 pep chromosome:ASM357664v1:13:1420055:1421878:1 gene:itb13g01460 transcript:itb13g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGQCLPDQKSVLLQIRTEITYNSSESTKLVLWDEGADCCRWPGLSCNAAGYITTLDLSDDYSIRGGFNVSLLYKLPSLSVIMLDWVKFSAPFPDFFTDFTNLTVLSLSYCNFSGTVPHKLFQVPTLQTIDLSSNGMLGGSLPDFPENGSLKSLTLRGTMFSGNLPESIGNLRLLSHIDLTDCYFSGPIPASIIKLSKLVELSLSGNSFSGPIPASLFFLPSLQTLSLDENKFSGHMNELRNVTSPLESLDLTDNNLEGTIPSFFFRLQNLTSLYLSSHKFFGQMIDLQNVTSPLQYLDLSSNDFEGTIHPFLFQLQNLTMLDLSSNKFNGIIPPPPEAVYLDFSNNNFSMIPLDFADQISPLIVFFSIAKNRVSGKIAKKSKIL >itb14g18980.t1 pep chromosome:ASM357664v1:14:21795282:21799915:1 gene:itb14g18980 transcript:itb14g18980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAAQQPVSAQVVGNAFVQQYYHILHHSPELVYRFYQDISKLGRPEEDGSMSITTTMQAINDKIVSLKYSDFSAEIKSVDSQESFNGGVSVLVTGYLTGKDNMVRNFSQSFFLAPQDRGYFVLNDMLRYVEFANQDDKIHAPVADTVVPVTVEKDPPSVQENLILEESTPSVEELNGEEVINPPENGGVPIVEEEEPVAEVVNEPQEVSQMVVESNTKIEEVPKKSYAKIVSELKESAATFSPPAPAIRKPVVKNAEKVNQPSAVAADILDSSAPVDNVNNQEEEADGYSIYIKGLPLSATVSLLAEEFKKFGPIKDGGIQVRSNRQQGFCFGFVEFEEASAVQKAIEASPVAIGGRQSVVEEKRSTTNPRGNNRRFLSGRGSGFRSEGFRGRGNYGGGRGYNRGEFNSRNEFSNRVGNRGGSSSNRGGDGYQRADHAGNNGGRVNRVGEMPPYGTAKDMAPRVSATA >itb14g18980.t2 pep chromosome:ASM357664v1:14:21795357:21799915:1 gene:itb14g18980 transcript:itb14g18980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAAQQPVSAQVVGNAFVQQYYHILHHSPELVYRFYQDISKLGRPEEDGSMSITTTMQAINDKIVSLKYSDFSAEIKSVDSQESFNGGVSVLVTGYLTGKDNMVRNFSQSFFLAPQDRGYFVLNDMLRYVEFANQDDKIHAPVADTVVPVTVEKDPPSVQENLILEESTPSVEELNGEEVINPPENGGVPIVEEEEPVAEVVNEPQEVSQMVVESNTKIEEVPKKSYAKIVSELKESAATFSPPAPAIRKPVVKNAEKVNQPSAVAADILDSSAPVDNVNNQEEEADGYSIYIKGLPLSATVSLLAEEFKKFGPIKDGGIQVRSNRGFCFGFVEFEEASAVQKAIEASPVAIGGRQSVVEEKRSTTNPRGNNRRFLSGRGSGFRSEGFRGRGNYGGGRGYNRGEFNSRNEFSNRVGNRGGSSSNRGGDGYQRADHAGNNGGRVNRVGEMPPYGTAKDMAPRVSATA >itb10g24050.t1 pep chromosome:ASM357664v1:10:28073694:28076370:-1 gene:itb10g24050 transcript:itb10g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLSLPSLLPNPTPRKPFFQEPQLLSTASPPLSPPPPLAPLIQELLHRPNSIHPPPVPRTRRRIGKYPDFNKGKPWAHHRVSLQGQQALKALTDSAETIDEVMITLFNSHRQESSELCVESLSLDILGVIKGLRHYKKCDLALSVFEWVRNSPNSEALLNGSVIAVIVSILGKEGRVSAASSLLNDLQKSGFRVDVYAYTSLITALSGNRRYREAAMVFERMEEEGCKASLVTYNAILNVYGKMGMPWNKIMGVFRDLKNSGVSPDAYTYNTLIACCRRGCLHEEAEGVLEEMKVAGFVPDKVTYNALLDVYGKSGRPKEAMEVLREMEENGFSAGVVSYNSLISAYARGGLMEEAMELKGRMMGKGIRPDVFTFTTLLSGFEKAGKYESAVKIFEEMRNAGCKPNICTFNALIKVYGNQGKFVEMMKIFDDIKALGCSPDIVTWNSLLAVFGQNGMGSEVSGVFEEMKRAGFAAERDTFNTLISAYSRCGAFDQAMVVYNRMVEEGVVPDLSTYNTVLAALARGGLWEQSEKVIAEMKDARCKPNEVTYSSLLHAYANGKEVQKVHALAENIYSGIIEPCVVLLKTLVLVYSKNNLLVETERAFLELRRRGFSPDITTLNALLSIYGRKQMVAKANEILSFMNERGLAPSLATCNCLMYMYSQSDNYKQSEQILRDISRKGIKPDVISYNTVICAYCRNGQMGDALRVLTEMKEARIVPDVITYNTFVARYAADAMFVEAIDVVRYMIKNGCKPNENTYNPIVDSYCKLDRKDEAVMFIKSLCKLNPHSTKEEECRFLEQLTIK >itb04g33090.t1 pep chromosome:ASM357664v1:4:35437352:35438005:-1 gene:itb04g33090 transcript:itb04g33090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRNKASKGKRKIEIKRIEQKSSRLVTFSKRRAGIFKKASELSMLCGAEVAVLVRSPAGRVFGFGYPSIEAVIQRYENCGAAGDRREYCDERVGKVQRATEENRRQKVVREKIINSSGSQLNMVSQTGTEGTERGGMLWWAKDIDGMGLTELTEFRNALEGLRNETEKKAKEMAIKPGFSSSSSHEEQHENVVPLSVDESNLLFPRSSDFRLWSY >itb12g22840.t1 pep chromosome:ASM357664v1:12:24775928:24777446:1 gene:itb12g22840 transcript:itb12g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAMLNLVLRLLSLAGQVVSVILFLSATSDNDKNCDNNIAFDFDVKPQFTFNKFSAYRYTVAVNGIGILYSFVQIVSAVFHGKSGDHSYQGLVKFNLYGDKVVSILLGTGVAAGFGLTLDLKHLPCSSIITGRFLDKMAVACSYSLGGFVSTAVASFISVKIFETSLNDCSC >itb10g04320.t1 pep chromosome:ASM357664v1:10:4117295:4118148:1 gene:itb10g04320 transcript:itb10g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPSFAGKAVKLSPSTPEITGNAKFSMRKGAAKPVSSGSPWYGHHRPKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRHLEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRVSGGPLGEITDALYPGGYFDPLGLADDPDAFAELKVKEIKNGRLAMFSMLGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb06g18880.t1 pep chromosome:ASM357664v1:6:22411934:22414479:1 gene:itb06g18880 transcript:itb06g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQTSIMELQNFLISIIALILWFFIKPYLHRRSTKLPPGPTALPIIGSLHLLGSRPNQSLAKLAKLHGPLFTLSLGSVTTIVASSPETAKEILQKQEKIFSARTVPDVITAQPNPQATLAWVPGDHLWRNRRRICSTRMFTNQRLDSLQELRHRKVEQMVSHIKKHCSGAGAAVDIGRLAFGTTLNLMSNTIFSVDMVDPEFETAQEFKDLVWRIMEDAGKPNLSDFFPALRRLDLQGKKRHIRPAYERLHEIFEETIEKRVKERSFGGMERKGDFLDVLLDQCEEDGSGFDRQTIKPLILDLFIAGSDTSAITTEWGMAELLHKPEILRKVREEILEAIGKTMSVRESDFEKLPYLQAVVKETMRLHPAAPLLLPYRAENDTVMFGYTVPKNSQVLVNAWAIGRDPQYWDNPSEFRPERFLGSDLDYKGRDFEYIPFGAGRRICPGMPLAIRMVNLMLASIIQSFTWRLPEGTTPEKLDMEEQFGVTLRKAVPLLAIPSTE >itb06g18030.t1 pep chromosome:ASM357664v1:6:21746215:21749940:1 gene:itb06g18030 transcript:itb06g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVRERGSSHLPSKTTSSRNARFCSSPPLMAEKPTRTTATATNISLEASKADKHVWLMKCPPIVYRVLQHQHSAPLPPPISDSSALPSERPVAKVIVAVDAIDNFDSTEFTMELAGTEPGNIPKCYSMDMSTDFIPMSIFSESVQGRLSVEGKIYHKFDMKPHNENIENYGKLCRERTNKYMTKSRQIQVIDNDNGKHMRPMPGIFAAPKPSSGSAEKKKAPTKGSELKRTRKDRDEMEEIMFKLFERQPNWTLKQLIQETDQPEQFLKDMLKLLCVYNNKGTNQGTYELKPEYKRSEDS >itb05g13060.t1 pep chromosome:ASM357664v1:5:19929108:19929530:-1 gene:itb05g13060 transcript:itb05g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFSLFIVLLISLTLASTATATYRVELSNETPGVQFDLHCELGGMNVGPATLAPSATATWNVEIFGGSYQDSDCTIRTDNESYHGYFLIFNENTYGTQCRQNGNVCSWHIRPDGLYLLVQGKVFIIRPWTLHPPSQL >itb06g07100.t2 pep chromosome:ASM357664v1:6:10306990:10310277:1 gene:itb06g07100 transcript:itb06g07100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYRCCLVCLIVTVSLKLEGIREECEASWSPSTCPPPETPTESMEFLARAWSVSATELSKALSHTKVDSTHAHKPFGVRQPNFEKPEMTMVTKERKMQQQSNSDSPLISPRESDDSKEFLLLHQALNPEFLASQHLMKAGQLCKSVARSKTMGRWLKDQKQRKKQELRSHNAQVHAAVSVAGVAAAVAAIATSLVTSPERSGTQQKPSSNVSTAIASAAALVASHCIEIAEDMGADQEQILSVVNSAINARTNGDIMALTAGAATALRGAATLRTRLQKGSGAEAIALADEQNDISKESNVTATLNFVSRGGELLKCTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCVVSGVYSDIPAWPGREEEAHEHRAYFGIQTVDRIIEFECRNKGEKQMWVDGIQHMLSCQMKMT >itb06g07100.t1 pep chromosome:ASM357664v1:6:10306990:10310277:1 gene:itb06g07100 transcript:itb06g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYRCCLVCLIVTVSLKLEGIREECEASWSPSTCPPPETPTESMEFLARAWSVSATELSKALSHTKVDSTHAHKPFGVRQPNFEKPEMTMVTKERKMQQQSNSDSPLISPRESDDSKEFLLLHQALNPEFLASQHLMKAGVSCYPQLCKSVARSKTMGRWLKDQKQRKKQELRSHNAQVHAAVSVAGVAAAVAAIATSLVTSPERSGTQQKPSSNVSTAIASAAALVASHCIEIAEDMGADQEQILSVVNSAINARTNGDIMALTAGAATALRGAATLRTRLQKGSGAEAIALADEQNDISKESNVTATLNFVSRGGELLKCTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCVVSGVYSDIPAWPGREEEAHEHRAYFGIQTVDRIIEFECRNKGEKQMWVDGIQHMLSCQMKMT >itb02g08910.t1 pep chromosome:ASM357664v1:2:5631597:5635745:-1 gene:itb02g08910 transcript:itb02g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYYYTQTQSSLHILAAALLVILCSQSSLICGHPLAEYNIANSSSSIISWTNININYSYVPNYSDEVRLSTGVILFRQINSSTSFACGLICDDLGTTCLFGVLLYSQYVYYNSTYHYQYLMWSAIQKRTVTVNASLELRRDGGLFLMDSDGSLVWSTHTNTNGSRSVSGLNLTENGNLVIFGHKNKTIWQSFDYPVDVIPPRQGQVKRSFMELVGGSISTSNYGEDWVVPLSEVLLFRQSSIDNEAMFACGLICNDSGTTCLFGILIFNHGPMNMDMDISNELKLDYQTLVWSANRNHPVTVNASVELRRDGGLFLMDSNGTVVWSTHTNGNPAVGLNLTENGNLVIFGKSNETIWQSFDHPTDTILPAGKAMPGLRGQTLKASISRSNFGEGFYSLYIDSDYSAYAYVGGSSNAYWFRYANILDISKYFSNTTAFIKFETDGRLRTYGSSSSILESSDWVETADVFTPFTGFCGYPLACGRYGVCDGVNQYCSCPPELSFITQNNQSQANKGCSLIIPISCEHSQIHTLLEMKDTTYIGHNVRDEFGDYTDLKSCKKKCLRDCSCKALHFDGGYSKGYCLLLNEVLSLATMDVGSNKSIYLKVQNSSTLQTLPSILKISHPWVQQRHAKMILGTIGASIPVLLIITIYFVLVRKKKVQLKDEEEFLDGLPGLPTRFSYQDLSAMTQNFNRKLGEGGFGSVFEGALRQGTKIAVKCLKEVDQIKSSFLTEVASIGSMDHANLVKLIGFCAAKSQRLLVYEHMANGSLDKWIFNGKQQQQQEHGLTWQTKKKIMSDVAKGLAYLHEDCNHKIIHLDIKPQNILLDQNFNAKVADFGLSKLVAKDQSKVVTTPRGTPGYIAPECASLIITEKVDVYSFGIVMLEIVCGRKNVDWDQAEEEVHLLSVFKRKIEEDKVGEMFDMYNKDLEVQKEEGIEMMRIAGWCLQSDYTKRPSMLVVVKALQGLTTVDNNLNLDYNFSNQDREAAPDATSNTSLIPSILSGPR >itb02g05240.t1 pep chromosome:ASM357664v1:2:3135914:3137120:-1 gene:itb02g05240 transcript:itb02g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSETMPPGGPTDWAQFYQHNLAHAPPPQQQQQTDFFGGGRVSESTVVTTTVTSPTAPPSLGQLSPEGRVSKPARRRTRASRRTPTTVLNTDTSNFRAMVQQFTGGPALAQQAQYPIPSGSNLNFGFGLSSNLAHHQHLVNPTPARTTGGYQLQFQPPPGAGAGNYMLASSNQQRDGGGFLRRPTGAMGLGISTSDDHSFPLHTHRGSSSSSGNETNNYMF >itb06g17250.t1 pep chromosome:ASM357664v1:6:21153360:21155589:1 gene:itb06g17250 transcript:itb06g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGDGNSTGATAVTAGGNGRNGNSNSSAEAAEARKRVRLGCSGGGGIGKPVIVMICGSLVYYHCAYQKSSFVSLVSDVFIVLLCSLAILGLLFRQMNIPVPVDPLEWQICQDTANTAFACLANTIGAAESVLRVAATGHDKRLFFKVVAALYMLSVLGRAVPGITLAYAGLCLFCLYMLAENSQLISSCASRIYQTRDCSTVQDNS >itb14g18930.t1 pep chromosome:ASM357664v1:14:21765153:21768429:1 gene:itb14g18930 transcript:itb14g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDFPNFLAIFCFFFALLYISWRSKVFTKKKTLPPEVDGARPILGHLRLLGRGDIPLGRKLAAMADKYGAVFTLRLGMYRILVVSSWEAVKDCFTTNDKVMAARPDFAVGKYLGYNYAVFSLDTDSPYWRKMRKFAVTELLSSQKLEKLKHLRVSESAKTVDMNEWFRQLTLNLIVKIVAGKRFKFKVKEDDDCDEYKEAQHIIEVFKEFMYLNGQFVFGDAFPFWIVRWVEFQRRVVKDMGRIMKELDTILQRWVDEHVDARRKRSPAAGNDHQDFIDVMLSMIDDDFARGLAYPPEIIIKATGLSMIIDGSDTTAVHLTWVLSLIVNHPDAMKRIREDIDSKVGKQRWVEDGDIKDMEYLQAVVKETLRLYPPLPTYAPHAATEDCKVGGYDIPKGTHLYVNAWKVHRDPRIWSEPDRFVPERFLTAAPADAPWRNFEYIPFGGGRRSCIGNTYAMQVSHLTVARLIQGFDFETPARKALDMSEGLGITLPRATALDVVITPRLPPSFYGL >itb05g13470.t1 pep chromosome:ASM357664v1:5:20465118:20470833:1 gene:itb05g13470 transcript:itb05g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLSHDLEYMVDDYYGASDFDFEDSSDSPGSSSFDDSQDSDLDDDFEVSESKTDTSALEARNGKDIQGIPWERLNYTRDRYRETRLKRYKNYESLSRSRQDLEKEYKVVEKGNSFYDFQFNTRLVKSTIVHFQLRNLLWSTSKHDVYLMQNYSVMHWSSLLKRGKEILNVAKPLVPTQKSRGSLGQTLSRVQISTMAVKYNLMVAGGFQGELICKYLNQPEVAFSTKITAEESAITNAVDICNSPNGSIRVMAANNDAIVRVFDAQNFACLNHFTFPWSVNNTSVSPDGKMLAVLGDNPECLIADAQSGKVINNLKGHLDFSFASAWHPNGQILATGNQDTTCRLWDLRNMSESMAVVKGRMGAVRAINFTSDGRFMAMAEAADFVHILDTRSDYAAGQEIDLFGEIAGISFSPDTEALFIGVADRTYGSLLEFNRRRYSHYMDCF >itb05g13470.t3 pep chromosome:ASM357664v1:5:20467217:20470833:1 gene:itb05g13470 transcript:itb05g13470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWSTSKHDVYLMQNYSVMHWSSLLKRGKEILNVAKPLVPTQKSRGSLGQTLSRVQISTMAVKYNLMVAGGFQGELICKYLNQPEVAFSTKITAEESAITNAVDICNSPNGSIRVMAANNDAIVRVFDAQNFACLNHFTFPWSVNNTSVSPDGKMLAVLGDNPECLIADAQSGKVINNLKGHLDFSFASAWHPNGQILATGNQDTTCRLWDLRNMSESMAVVKGRMGAVRAINFTSDGRFMAMAEAADFVHILDTRSDYAAGQEIDLFGEIAGISFSPDTEALFIGVADRTYGSLLEFNRRRYSHYMDCF >itb05g13470.t4 pep chromosome:ASM357664v1:5:20468726:20470814:1 gene:itb05g13470 transcript:itb05g13470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFLCYQLRNLLWSTSKHDVYLMQNYSVMHWSSLLKRGKEILNVAKPLVPTQKSRGSLGQTLSRVQISTMAVKYNLMVAGGFQGELICKYLNQPEVAFSTKITAEESAITNAVDICNSPNGSIRVMAANNDAIVRVFDAQNFACLNHFTFPWSVNNTSVSPDGKMLAVLGDNPECLIADAQSGKVINNLKGHLDFSFASAWHPNGQILATGNQDTTCRLWDLRNMSESMAVVKGRMGAVRAINFTSDGRFMAMAEAADFVHILDTRSDYAAGQEIDLFGEIAGISFSPDTEALFIGVADRTYGSLLEFNRRRYSHYMDCF >itb05g13470.t2 pep chromosome:ASM357664v1:5:20465118:20470833:1 gene:itb05g13470 transcript:itb05g13470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLSHDLEYMVDDYYGASDFDFEDSSDSPGSSSFDDSQDSDLDDDFEVSESKTDTSALEARNGKDIQGIPWERLNYTRDRYRETRLKRYKNYESLSRSRQDLEKEYKVVEKGNSFYDFQFNTRLVKSTIVHFQLRNLLWSTSKHDVYLMQNYSVMHWSSLLKRGKEILNVAKPLVPTQKSRGSLGQTLSRVQISTMAVKYNLMVAGGFQGELICKYLNQPEVAFSTKITAEESAITNAVDICNSPNGSIRVMAANNDAIVRVFDAQNFACLNHFTFPWSVNNTSVSPDGKMLAVLGDNPECLIADAQSGKVINNLKGHLDFSFASAWHPNGQILATGNQDTTCRLWDLRNMSESMAVVKGRMGAVRAINFTSDGRFMAMAEAADFVHILDTRSDYAAGQEIDLFGEIAGISFSPDTEALFIGVADRTYGSLLEFNRRRYSHYMDCF >itb09g09120.t1 pep chromosome:ASM357664v1:9:5454538:5455274:1 gene:itb09g09120 transcript:itb09g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSLLRIFRLQKTILLPFSSENYQFVPFQYSLLVNLAGGAASFATLFHLLRLDSTRCGTQSRRHRPRRRPLRQESIAAPSLICCIE >itb04g24920.t1 pep chromosome:ASM357664v1:4:29628847:29629931:-1 gene:itb04g24920 transcript:itb04g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAEVGLVVAVVAMMMLWNGATAQSNSCMSSLVGLTPCLTYVTGNSSAPSSSCCAQLSGVVQSNPQCLCLLLNGGGSNLGVNINQTLALALPAACKVQTPPVSQCNAAVPASSPVGSLAPPPSEAKETPPQVPNTPAGSKTVPSTTAGGPTSAADGTAAKASFRFLGFLVFAATLFMAGFGI >itb15g02130.t1 pep chromosome:ASM357664v1:15:1304360:1306679:1 gene:itb15g02130 transcript:itb15g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDSSSILGKMKDKVVEIAKNVEKIGRDDPRKIVHSAKVGVALTLNSLFYYYDPLYEGFGQSGIWALLTVIFVFEFTVGATLSKGLNRASATLLAAAMGVGAKYLGDLCGDKGEPVVLGILVFILAAILTFIRFIPGIKTKYDYGVVIFILTFSMVTVSGYRTEQIIQFAHQRLSTVAIGGVTCILISVLVCPAWAGEDLQNLIAANIEKLGNSLEGFGSAYFRFPEAEGEESGRAKALFPQDYKSAFNSKASEDSLATCAGWEFGHGEFKFRHPWEQYLKVGGLARECASHLQALSSYFNTTDDDEATLLSFKSKIEEPCTRMCFQSTHALKAISLAIKTMSHPSPEIQDHLRNSRAAINDLEVVFRSSSLSTEMLFEIIPCAAVMSILIDIVNCVDKISKSVDELSEKADFKKSKAKSPEAQQQLLHRGIVTPVNEDSPEGDVVAVTIDETPQDSPEFDNLQGAIHEQKSQELITDVKIG >itb03g02860.t1 pep chromosome:ASM357664v1:3:1637795:1638303:-1 gene:itb03g02860 transcript:itb03g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAISKNQQPSSPSPLSDQENSGAETKASVTRHLYLKKSSSSPSSSAREALDRDVVLRRIRHHKRMEKARRNLRAVFGGPPPLLADTDDNMSVYEHKLLQMGDVFCSP >itb05g28470.t1 pep chromosome:ASM357664v1:5:32132882:32136110:-1 gene:itb05g28470 transcript:itb05g28470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAALIFTLIFLVLRVESSWCVARSDASDQALQAALDYACGFGADCAPILSDGLCYLPNTLLAHASYAYNSYYQRKGRAPGSCDFAGTANIARTDPSYGSCIYPSKPSAAGGTVSPGRPITPLATQPTLYRPPPGATHQRSGELSPSIGVRPVVPNKATNNSRPPPKFSTIVTTLILVSSVFLILHVFSRHL >itb06g11270.t1 pep chromosome:ASM357664v1:6:15863385:15864722:1 gene:itb06g11270 transcript:itb06g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGNEDYLPRKHPQNRNTQIKFNLKFLALIVLTNLLTIYIFTGPFNFTTTSNYAHIFGYNSLFHELNSTQRELKASRLYVTDLHEKLRSSDRLVQALLSQLNVLNRAINQTPDSNVLSYDDLLAGLSDEARLSMGSHKLPLGYAPRMGSDEVYPPVGGACLRYGEELARYMSYDVGEECPVDDGFAQKLMLRGCEPLPRRRCHPKSPVGYTEPTPLPDSLWSTPPDTSIIWDPYSCKSYKCLIERRLTPGFYDCKDCFHLQGREKNRWLFDNGGLDFGIDQVLATKPHGTIRIGLDIGGGSGTFAARMKERDITIITTSMNFDGPFNSFISSRGLLSMHLSVSQRLPFFENTLDIVHSMHVLSNWIPHTLLEFTLFDIYRVLRPGGLFWLDRFFCVASQLNATYAPMIDRVGFKKLRWHAGMKLDKGRDKNEWYFSALLEKPMT >itb04g23330.t1 pep chromosome:ASM357664v1:4:28447951:28450825:-1 gene:itb04g23330 transcript:itb04g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQPRNLLRFHGLRFVTSSLEKKEILGEGQMKKGNEVAEAKEGDVKEFEGDRTNGIGISASVAYHIAASAASYLLSHTKSILPFSSRFPLVGVKGGTPRRRIGRDDDVDLRNGEVASLMATTDSVTAVVAAKEEVKQAVADDLNSTRLSPCEWFVCDDDQSATRFFVIQGSESLASWQANLLFEPTEFEGMDVLVHRGIYEAAKGMYEQMLPEVLAHLKSYGDRALFRFTGHSLGGSLSLLVNLMLLTRGEAPPSSLLPVITFGSPTIMCGGDRLLQKLGLPRSHVKSITLHRDIVPRAFSCSYPNHVAEFLKAVNGSFRNHPCLNNQKLLYAHMGDLLILQPPNKFSPSHDLLPPGPGLYLLSPPVTDDDAEKQILAAKTAFLNSPHPLEILSDRSAYGSGGTIQRDHDMQSYLKSVRTIIYEEIRKSKREQPRRSWWPLIVIPGVNNGDIFVGRLVRPRPGQLTFAGMFRSGKESLKRFTVVAAQHMHLFLMFLLPAPLLILRAFNVIMFR >itb04g23330.t2 pep chromosome:ASM357664v1:4:28447951:28450999:-1 gene:itb04g23330 transcript:itb04g23330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCLKAAPPIAVAGGAALDVRASHVNASSVGRSCAGVSVENPSLSIISPRRLSFRKSRWSGGRRRRYGASAGDDVVLIDENEEEEKNAEKRAAEEQNDNWVMKILRVRSLRNGGHKSCGLRNSEAAKMSVPDDSGCSDADEESDVCAVDDDDDKIDFDRDSFSKLLCRVSLKEAKLYRQMSYLGNLAYSIPQIKPRNLLRFHGLRFVTSSLEKKEILGEGQMKKGNEVAEAKEGDVKEFEGDRTNGIGISASVAYHIAASAASYLLSHTKSILPFSSRFPLVGVKGGTPRRRIGRDDDVDLRNGEVASLMATTDSVTAVVAAKEEVKQAVADDLNSTRLSPCEWFVCDDDQSATRFFVIQGSESLASWQANLLFEPTEFEGMDVLVHRGIYEAAKGMYEQMLPEVLAHLKSYGDRALFRFTGHSLGGSLSLLVNLMLLTRGEAPPSSLLPVITFGSPTIMCGGDRLLQKLGLPRSHVKSITLHRDIVPRAFSCSYPNHVAEFLKAVNGSFRNHPCLNNQKLLYAHMGDLLILQPPNKFSPSHDLLPPGPGLYLLSPPVTDDDAEKQILAAKTAFLNSPHPLEILSDRSAYGSGGTIQRDHDMQSYLKSVRTIIYEEIRKSKREQPRRSWWPLIVIPGVNNGDIFVGRLVRPRPGQLTFAGMFRSGKESLKRFTVVAAQHMHLFLMFLLPAPLLILRAFNVIMFR >itb10g04840.t1 pep chromosome:ASM357664v1:10:4786359:4798340:1 gene:itb10g04840 transcript:itb10g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGGPYIYSTNNYVGRQMWEYDPNAGTPEEREAVEKARQDFRNNRQNGFHVCGDLLMRMQMIKESGIDVLSIPPIRLGEEEEVNYEAVTTAVRKAIRLNRALQARDGHWPAEIAGPMFFTPPLLIALYISGAIDTILTWEHKKELVRYIYNHQNEDGGWGLYVDGHSTMMGSALSYVALRLLGEEPNDGDGPIARGQKWILDHGEFWLFPSKFIFHPAKMWCYCRTTYMPMSYLYARRYHGPLTDLVLCIRSEIHVKSYDQIDWNSARMDCCKEDLYYPHSFIQDLLWNTLQYCTEPFMSLWPFKKIREMAMRKAIKYMRNEAEETRYITIGCIEKSLQMMCWWAEDPNCDEFKYHLARLPEYLWVAEDGMTMHSFGCQNWDATFATQAIIASGMVEEYGDCLKKANFYIKESQVKENPKGEFKSMYRRLAKGSWTFSDQDQSWGLSDGTAEALKCLLFLGQMPPEIVGEKVNAQRLYEAVDFLLYLQSPDSGGFSIWEPSVPQPYMQLLNPSEFFADIVVEKEHVEPTGTIITALAAFRSQYPNYRPKEIDISIAKGTEYLENEQQADGSWYGYWGICFLYGTWFAVLGLAAAGKNYENSEAIRKAVHFYLSKQNQEGNRTNLVQTSWAMLGLMYTGQVERDPTPLHKATKLLINAQMEDGDFPQQEISGVCMRNCMLHYTLYRSYFPLWALAEYRKHLWTSKSH >itb05g22990.t1 pep chromosome:ASM357664v1:5:28229690:28230046:-1 gene:itb05g22990 transcript:itb05g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKNSSLLSMMITLLCLAICINTTSATRRLLQIPGAPPLPTMPSLPQPSLPQMPSIPNIPTTTLPPLPAFNLPNMPLPTLPSAPKLTLPPMPANIPLPTSIPNFPAIPTLSPPPSN >itb09g11220.t3 pep chromosome:ASM357664v1:9:7012902:7015617:1 gene:itb09g11220 transcript:itb09g11220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPPEFHFGLLDWKPEGNNVWPDIRTKVPRYPGGLNVQHSIEYWLTLDLLYSEFVENTSGRTAVRVSNSSEADVVFVPFFSSVCFNRFSRLKPHQKASVNVLLQEKLVTFLTAQEEWKRSGGKDHIVLAHHPNSLMDARSKLWPAMFILSDFGRYPPSVANVEKDVIAPYKHVIGNYVNDSSDFDSRPTLLYFQGAIYRKDGGFVRQELFYKLKDEKDVHFEFGSIQKDGIRQATRGMQSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSEFCVFVRTSDALKESFLINFIRSIGKQEWTRMWAKLKEIEHMFEYRYPSKENDAVQMVWQAIARKVPSVKLKEHRNRRYSRTPEPNDRGLKSAPLPKNFG >itb09g11220.t1 pep chromosome:ASM357664v1:9:7012874:7015617:1 gene:itb09g11220 transcript:itb09g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNLHSMGNFGKKSMLALFSITTIMFMLSWFLVLRSTDRPLFVDLSILPNSRIFALADDQTGNQTPSIHTDLEVKPSKYTCDPVLKVYMYDLPPEFHFGLLDWKPEGNNVWPDIRTKVPRYPGGLNVQHSIEYWLTLDLLYSEFVENTSGRTAVRVSNSSEADVVFVPFFSSVCFNRFSRLKPHQKASVNVLLQEKLVTFLTAQEEWKRSGGKDHIVLAHHPNSLMDARSKLWPAMFILSDFGRYPPSVANVEKDVIAPYKHVIGNYVNDSSDFDSRPTLLYFQGAIYRKDGGFVRQELFYKLKDEKDVHFEFGSIQKDGIRQATRGMQSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSEFCVFVRTSDALKESFLINFIRSIGKQEWTRMWAKLKEIEHMFEYRYPSKENDAVQMVWQAIARKVPSVKLKEHRNRRYSRTPEPNDRGLKSAPLPKNFG >itb09g11220.t2 pep chromosome:ASM357664v1:9:7012913:7015617:1 gene:itb09g11220 transcript:itb09g11220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNLHSMGNFEFHFGLLDWKPEGNNVWPDIRTKVPRYPGGLNVQHSIEYWLTLDLLYSEFVENTSGRTAVRVSNSSEADVVFVPFFSSVCFNRFSRLKPHQKASVNVLLQEKLVTFLTAQEEWKRSGGKDHIVLAHHPNSLMDARSKLWPAMFILSDFGRYPPSVANVEKDVIAPYKHVIGNYVNDSSDFDSRPTLLYFQGAIYRKDGGFVRQELFYKLKDEKDVHFEFGSIQKDGIRQATRGMQSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSEFCVFVRTSDALKESFLINFIRSIGKQEWTRMWAKLKEIEHMFEYRYPSKENDAVQMVWQAIARKVPSVKLKEHRNRRYSRTPEPNDRGLKSAPLPKNFG >itb11g05920.t1 pep chromosome:ASM357664v1:11:3543745:3544380:-1 gene:itb11g05920 transcript:itb11g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISSKVSPTTAASPLTFPPLASCLLVESDEESSLTDKLRRVVATSDPTVTEHRHHILLGQACSSSKQAGDGDGAAQPPQPPPRRRQASQLLAIFTFSRATAAADGELLATSGSNSFGNIIPTRIFSPLGEGVLPPGGFSLSSSRRLRTVMVVVDDGDGQQHIVTSEISSFDG >itb02g15160.t1 pep chromosome:ASM357664v1:2:11003718:11006930:1 gene:itb02g15160 transcript:itb02g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDYCFVEWKEQFVSKERGNRVVHYFLKDSTGESVLAVVGTERSVRHMFYVVSEEFLKVHGAETSVHAGFRWRSRREVVNWLTSMLSKQNRQNDRSKSPKDDPTSRVNFEQSEMADDKGRLARNLKGLSSDIVWSGEPWTCGKQLKHYPGFGRNGIAIAIHSFVFVMAEKENRYLAYLDDMYEDRKGQKKVKIRWFHFNREVRGVVSLRNPNPREVFITPYAQVISAECVDGPAIVLTRAHYDKCAAVFPSDLLSRVHFCYRQFKNNRVKPFELGKLRGYFNQPIFSCFSPDFFEDEEFATRDEIKVGAKRPRNYEEDEMKTYEKSYQKLKSSFFDRRMSSHKQVEGPLWHMLNLKADEKIEFLCQDSGMRGCWFRCTVLEISRRQLKIRYDDIEDEDGCGYLEEWVPAFRQARPDKLGMRNPGRPTIRPARPCEDGDRTFEVGVSVDTWWSDGWWEGVITDTRNYGVEGYQVYIPSENLFLSVDRKNIRISKDWVGDQWVDIETHPDILSVITAIANQEIKISESSPTSKEANCPNSPTLDQKVPSSAGLSVDEKTPDSEEAKQTPIDGASKDAVSPNNGKPQDDLPETRPSDGISEDLGVVNGDKQETVEHEEEECKGNAISCSNEANTAEKPDSDDNVVDNNSTNNDTLDKNVDEDKTAEPDNAGQREDEVEPMDVTTS >itb07g00460.t1 pep chromosome:ASM357664v1:7:314985:319184:-1 gene:itb07g00460 transcript:itb07g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTMMIPLRHIQKFVQRIWGNWNIYAIVVASLGFQCVLEFLGPLRKRRKRGSTHIKIWCAYLLADYFATFGIALINSNSDDQSSLLEAFWAPFLLLHLGGPHAITSFDIEDNNLWHRQLLTLIVQSFSVLLVFYRYKIYNHRCLAISAWIVFSAGIVKYTERIHSLYLASVYHMRRSMLENNPSSSSLSKETEEALVANNPDKMEIIHSGYIYYKLFKGFILDHAFIHIEDKLVAVKEVFWKLDHKTAYAILEVELNFMYESMFTKMAAVQWINSIGYAYRFVVHVLLVAVIITFYFCDKSEVGATPLYLCITYLLLGGAVVLDFVANVKLVFSEWTVALMKEEKKKRYYCDQMRSWISGIIMKLIHKIHTWVWSKKRWSKRIRQYSLINHSSKQRWKPLDTIINRLSLIRDRLDAWQYTKIEKVDCHLLERLFRAIKNKAKLPEEEGQGGGEQQLMSCSAPQEDYHHYNNCVLIWHVATEICYFTTGKPAKEKKEPNYKEICRNISEYLVYFLAMEGKLTSTVPGNIGLRFKDICREEVDHTIKKIKDELPKTRCPGHHLLGHISAIISAKLSDFKDAAIITLANRNRNNNAAAADTCHTERTRSEKLRMKWEDKKRGEVCKQLLELKLKDDSENPSEDGTTAKTNDNKSILSEAIKLAKHLKSCCRPNPADNNNPHQNEQDVENGQGNDEDRCSEEELWEVVSHVWIGMLLYGASHCRDDVQYLNKGGELLTFVRLLMVHFGLRDAFKDEGATAGGFKLAAELHKEITDEKLKKIINPNFLP >itb07g04850.t1 pep chromosome:ASM357664v1:7:3283704:3287927:1 gene:itb07g04850 transcript:itb07g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASEKRLITTATRRVASVANHLLPPQPPPCSLPSIGSSTCSSSMNDSYHRVHGEVPAHVPAWRLVPSDDSGKDFTDIIYEKAAGEAIAKITINRPERRNAFRPHTIKELIRAFNDARDDGSVGVIILTGKGTKAFCSGGDQALRNKDGYVDYENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTASDAEKMGLVNTVVPLENLEQETIKWCREILRNSPTAIRVLKSALNAVDDGHAGLQGLGGDATLLFYGTDEGNEGKNAYVQRRPPDFSKFPRLP >itb14g02150.t1 pep chromosome:ASM357664v1:14:1745396:1750788:1 gene:itb14g02150 transcript:itb14g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVQFLVENLLQLLRDNADLIFGIKAQAESLLGDLGDFKAFLKEAARRRSENEVIKELVKKIRIAVNKAEDAIDKFVVEAKLHRAKGVMTRYVDKPAHLVKVNTAAREIESIRKEVKEIRENNAYGLQALQVDNASKDAAERKAPVVEEDDVVGFDEEAKTIIDRLVGGSEDLEVISIFGMPGLGKTTLARKVFKDSKIEYEFFTRHWVYVSRSYNRKDIFLNILSIFTQRTKDFHDMSEEHLAEKISQFLESGKYLIVLDDVWEERDWKHLKIAFPNNKKRSRVLLTTRQGNVASRATSNGLPHNLKFLSHDESFELLEKKVFRKQSCPPELKAIGLRIAIKCDGLPLAIVVIAGVLLDKGDRRGEWETVGECVSTYVNKDEENCKKLVEMSYDHLPYDLKSCFLYFGAFPGGYEIPAWKLIRLWIAEGFIQNQGQLTLEDIAEGYLNDLVNRNLVIVMKKRIDGRIKTCRMHDMLHEFCKNEAREDNLLREIRMGGNEPFPSPGELNTYRRLCVHSHVLDFIRSSRACGEHVRSFLCFSSKEIELPLEHIQAIPKAYKLLRVLEMKPILLTRFPREMTQLFHMRYIALSSDIKIIPPSIGNLWNMQTLIIETSQRTLEIKADIWSMQRFRHLHTNTCTTLPSPLASKSSKDRLITGSLNTLSTISPESCTEEVLTRTPNLTKLGIRGKLSSLLESKGPSMLFDNLGKLDHLENLKLLNDVFLGQTGKLRGLPQAYKFPSKLKKLTISNTSLEWRDMTILGTLENLEVLKLDDNAFKGEIWEPVNGGFRHLQVFCIGRTDLASWQASSHHFPRLKFLALRHCNKLEAVPFGLADIPSLQMMELYCTNKIAAESARKIQQHKQTKQEQHKQTKQEQEGTKSGGFKLSIYPPDH >itb14g02150.t2 pep chromosome:ASM357664v1:14:1745396:1750788:1 gene:itb14g02150 transcript:itb14g02150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVQFLVENLLQLLRDNADLIFGIKAQAESLLGDLGDFKAFLKEAARRRSENEVIKELVKKIRIAVNKAEDAIDKFVVEAKLHRAKGVMTRYVDKPAHLVKVNTAAREIESIRKEVKEIRENNAYGLQALQVDNASKDAAERKAPVVEEDDVVGFDEEAKTIIDRLVGGSEDLEVISIFGMPGLGKTTLARKVFKDSKIEYEFFTRHWVYVSRSYNRKDIFLNILSIFTQRTKDFHDMSEEHLAEKISQFLESGKYLIVLDDVWEERDWKHLKIAFPNNKKRSRVLLTTRQGNVASRATSNGLPHNLKFLSHDESFELLEKKVFRKQSCPPELKAIGLRIAIKCDGLPLAIVVIAGVLLDKGDRRGEWETVGECVSTYVNKDEENCKKLVEMSYDHLPYDLKSCFLYFGAFPGGYEIPAWKLIRLWIAEGFIQNQGQLTLEDIAEGYLNDLVNRNLVIVMKKRIDGRIKTCRMHDMLHEFCKNEAREDNLLREIRMGGNEPFPSPGELNTYRRLCVHSHVLDFIRSSRACGEHVRSFLCFSSKEIELPLEHIQAIPKAYKLLRVLEMKPILLTRFPREMTQLFHMRYIALSSDIKIIPPSIGNLWNMQTLIIETSQRTLEIKADIWSMQRFRHLHTNTCTTLPSPLASKSSKDRLITGSLNTLSTISPESCTEEVLTRTPNLTKLGIRGKLSSLLESKGPSMLFDNLGKLDHLENLKLLNDVFLGQTGKLRGLPQAYKFPSKLKKLTISNTSLEWRDMTILGTLENLEVLKLDDNAFKGEIWEPVNGGFRHLQVFCIGRTDLASWQASSHHFPRLKFLALRHCNKLEAVPFGLADIPSLQMMELYCTNKIAAESARKIQQHKQTKQEQHKQTKQEQEGTKSGGFKLSIYPPDH >itb05g04270.t3 pep chromosome:ASM357664v1:5:3833202:3836469:1 gene:itb05g04270 transcript:itb05g04270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRHSPSVIARLMGLDEPLPPQPVYKQRRILSETYLRKSASIVLREKSSFSVGHSSSMNTKKRKAIKDAFEDNLPRYVQEENKLLDVRNFPSEYCLGHSAVCKSSSASKYRNNEICKKSDIMSEGNILSSLQELETGSVVGSIGDFETGQLSKLKSHFELKDYTYHPSTRTTVLGPSSVHYPKSDMEPPKQSFRVPFGTPSRRLQYARHYHVGDPKTKPVQLGPVTQPAALSPSSSSSLNNNILDRSAYSFSNLLFFTKESKRQMLEQWNLTKDFQEVEVDKGCSNPREISSRDGWKSEHSRTLPRSNTSQICMNISGNANSRSRTEPTLYGSCLWQKDDVRNENKCMKQSQKHYVELGDRRVVIPEKKSASRFYKCNPGLSNSESKSLAFTRNAAGSMANANTKGNGISFMNFENLHSEPSPCSLSTGDDLSSNSWEASFKQESLNESPPNRLVSLKDTIIDPNSTMNLKEAKQSSPISVLEPHFKARKLRNSECYDGPVVDLHSVVKQLKLLETSSEETYSEGSEMAVSSVENNEKRSFYFSQELLGVFSSEESRDFSYLVDVLDEAHLNFEVDFETCNSLESPINPLLFEALEKKYGKQISWLKSERRLLFDRINSWFSEILNSFTDIHVRSKSLKMMFHPTLRRSEVEEELWMLLVNEEKEVGKDLSEKALGVETKWLKMESEISNICKEVENYLFDELVAELFCI >itb05g04270.t2 pep chromosome:ASM357664v1:5:3831771:3836469:1 gene:itb05g04270 transcript:itb05g04270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRHSPSVIARLMGLDEPLPPQPVYKQRRILSETYLRKSASIVLREKSSFSVGHSSSMNTKKRKAIKDAFEDNLPRYVQEENKLLDVRNFPSEYCLGHSAVCKSSSASKYRNNEICKKSDIMSEGNILSSLQELETGSVVGSIGDFETGQLSKLKSHFELKDYTYHPSTRTTVLGPSSVHYPKSDMEPPKQSFRVPFGTPSRRLQYARHYHVGDPKTKPVQLGPVTQPAALSPSSSSSLNNNILDRSAYSFSNLLFFTKESKRQMLEQWNLTKDFQEVEVDKGCSNPREISSRDGWKSEHSRTLPRSNTSQICMNISGNANSRSRTEPTLYGSCLWQKDDVRNENKCMKQSQKHYVELGDRRVVIPEKKSASRFYKCNPGLSNSESKSLAFTRNAAGSMANANTKGNGISFMNFENLHSEPSPCSLSTGDDLSSNSWEASFKQFITATMQESLNESPPNRLVSLKDTIIDPNSTMNLKEAKQSSPISVLEPHFKARKLRNSECYDGPVVDLHSVVKQLKLLETSSEETYSEGSEMAVSSVENNEKRSFYFSQELLGVFSSEESRDFSYLVDVLDEAHLNFEVDFETCNSLESPINPLLFEALEKKYGKQISWLKSERRLLFDRINSWFSEILNSFTDIHVRSKSLKMMFHPTLRRSEVEEELWMLLVNEEKEVGKDLSEKALGVETKWLKMESEISNICKEVENYLFDELVAELFCI >itb05g04270.t1 pep chromosome:ASM357664v1:5:3831771:3836469:1 gene:itb05g04270 transcript:itb05g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRHSPSVIARLMGLDEPLPPQPVYKQRRILSETYLRKSASIVLREKSSFSVGHSSSMNTKKRKAIKDAFEDNLPRYVQEENKLLDVRNFPSEYCLGHSAVCKSSSASKYRNNEICKKSDIMSEGNILSSLQELETGSVVGSIGDFETGQLSKLKSHFELKDYTYHPSTRTTVLGPSSVHYPKSDMEPPKQSFRVPFGTPSRRLQYARHYHVGDPKTKPVQLGPVTQPAALSPSSSSSLNNNILDRSAYSFSNLLFFTKESKRQMLEQWNLTKDFQEVEVDKGCSNPREISSRDGWKSEHSRTLPRSNTSQICMNISGNANSRSRTEPTLYGSCLWQKDDVRNENKCMKQSQKHYVELGDRRVVIPEKKSASRFYKCNPGLSNSESKSLAFTRNAAGSMANANTKGNGISFMNFENLHSEPSPCSLSTGDDLSSNSWEASFKQESLNESPPNRLVSLKDTIIDPNSTMNLKEAKQSSPISVLEPHFKARKLRNSECYDGPVVDLHSVVKQLKLLETSSEETYSEGSEMAVSSVENNEKRSFYFSQELLGVFSSEESRDFSYLVDVLDEAHLNFEVDFETCNSLESPINPLLFEALEKKYGKQISWLKSERRLLFDRINSWFSEILNSFTDIHVRSKSLKMMFHPTLRRSEVEEELWMLLVNEEKEVGKDLSEKALGVETKWLKMESEISNICKEVENYLFDELVAELFCI >itb05g00900.t1 pep chromosome:ASM357664v1:5:754343:757160:-1 gene:itb05g00900 transcript:itb05g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSCASKLITAADSFLPKTLNRGIHSTGVKRMGGHGHDEPFYIHAKHMYNLDRMKNQKLKMSLGVFTAFSIGVGVPIWAVIFQQKKTASA >itb10g19100.t2 pep chromosome:ASM357664v1:10:24862241:24865389:-1 gene:itb10g19100 transcript:itb10g19100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAESTRSKGDETVKKIRKPKPWKHSEPITRDQLVQMRNEFWDTAPHYGGRKEIWDALCAAAEADLALAQAIVDGAGIIVQAPDLTVCYDERGP >itb10g19100.t1 pep chromosome:ASM357664v1:10:24862241:24864935:-1 gene:itb10g19100 transcript:itb10g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEFWDTAPHYGGRKEIWDALCAAAEADLALAQAIVDGAGIIVQAPDLTVCYDERGAKYELPKYVLSEPTNLIRDG >itb10g19100.t3 pep chromosome:ASM357664v1:10:24863444:24865389:-1 gene:itb10g19100 transcript:itb10g19100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAESTRSKGDETVKKIRKPKPWKHSEPITRDQLVQMRNEFWDTAPHYGGRKGICFLIFWLVSRSSGAYWFT >itb06g05860.t1 pep chromosome:ASM357664v1:6:8571811:8573795:1 gene:itb06g05860 transcript:itb06g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYANEIDIFDNKLSLNATYNITGAIVSIPRGNLVLPDERYNCLWTLTRKCTVAVVPDEDKLEVMEDPEIDEISFSHFHKYINTMKKISVMAIVIHKLPRKHVDSKNGKIDAADFVLVDKQAKPVIFTLWGKYSKVEGVELEKQLTIGNFPVILAKNIEVTNYSGLSLSTRFGSNESIDMQNVKEKLDNKTFKVELLCKKQNFRGTEQVRYSIISLQEQKKIAHAKRVKRKLIYDDTDDNTSDEHSKAFEDFDNEVTDKKSKLVKLG >itb13g12610.t1 pep chromosome:ASM357664v1:13:18825771:18826163:1 gene:itb13g12610 transcript:itb13g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLDLDQQMVSVKARVPILGEINLSFVYASCNRRDKMSLWEQIEVKSESMRGDDRWAVMRDFNCILRGEEKRGGQPYNRSKSIDFQHCVDSAGLREISFYGNQYTWWNGRKGSQAVWKRLDRGFANEG >itb01g15040.t1 pep chromosome:ASM357664v1:1:17224139:17225236:1 gene:itb01g15040 transcript:itb01g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVLAGRHKRRGPPCAGVSRDFVFEKSSALGRSILDLNRFVKQGLDQMVILIERCVEAMKLLVGLKQRPSSSDPDGGVNLHKGNCLGFAT >itb14g21390.t1 pep chromosome:ASM357664v1:14:23417338:23417706:-1 gene:itb14g21390 transcript:itb14g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAKSCTFMMDYWRRRRGYDRINTSNTRRKNAAAAAAIDTAESSGGRKNQRRRFFKFARCKSKLRLISPNKLRDAYVNMMLRIANYSSVGDAAATAFGNRPLKEYDNRMLLHIYTSSVPSP >itb04g12460.t1 pep chromosome:ASM357664v1:4:12204163:12205653:1 gene:itb04g12460 transcript:itb04g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNVSTHPDVPGEATHTGTSLLETATAAIQGFGPVNKIHQHLCAFHFYGHDMTRQVEAHHFCGHQNEEFRQCLIYDSPGADARLIGLEYIITEALFLTLPDSEKTLWHSHEYEVKSGVLFMPGVPGPIQRKDMELVCKTYGKVIHFWQVDRGDNLPLGIPQIMMALTRDGQLYDTLAQDVEKRYKVSFAEEKEKRAYIKGLENGIHPLANAGGVGIQTVLREVDCSTKPVQSSTAPPRVFV >itb09g13240.t1 pep chromosome:ASM357664v1:9:8581828:8583610:-1 gene:itb09g13240 transcript:itb09g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQSVREDLGSGEEEKMPPVRRRGRPQKPLKDEIEEEEDEVEKVAGDEEDVENLKSTKSSKDISNQDVENGKKRKRPSQVKENGESVKEENGVGTKTNSKDLIKSVGFRQNGSRRKSKPRRAAEVGVECR >itb12g27870.t1 pep chromosome:ASM357664v1:12:28061089:28066288:-1 gene:itb12g27870 transcript:itb12g27870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQISNVHLTAPMLPESIPPMTDEESRRSDSLSYQSDDDSVATCRVCQCAESDKRGDTSLGFLGIIPPSGDAQVRNWEVRTNRIEEKDGDYCATTDLKGSKLVEFVSPRGEVFVCNADIEMGSDHNQDTLIELGCACKNDLALVHYACALKWFVNHGSTTCEICGCIAKHIRILDIKKVISSLKEHSLLRERTANGEHIPSQLPEYSSVDPDAVATIQRQRLSEISLWFNPHNNTTAPSQVVSEQPSIVAEEVFPAENTATNWALEGTGVLLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGVCALTVVVFFRFFVLTRIRYGPARYWAILFVFWFLVFGIWASRTHDAHSA >itb12g27870.t3 pep chromosome:ASM357664v1:12:28061086:28066283:-1 gene:itb12g27870 transcript:itb12g27870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQISNVHLTAPMLPESIPPMTDEESRRSDSLSYQSDDDSVATCRVCQCAESDKRGDTSLGFLGIIPPSGDAQVRNWEVRTNRIEEKDGDYCATTDLKGSKLVEFVSPRGEVFVCNADIEMGSDHNQDTLIELGCACKNDLALVHYACALKWFVNHGSTTCEICGCIAKHIRILDIKKVISSLKEHSLLRERTANGEHIPSQLPEYSSVDPDAVATIQRQRLSEISLWFNPHNNTTAPSQVVSEQPSIVAEEVFPAENTATNWALEGTGVLLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGVCALTVVVFFRFFVLTRIRYGPARYWAILFVFWFLVFGIWASRTHDAHSA >itb12g27870.t2 pep chromosome:ASM357664v1:12:28061084:28065258:-1 gene:itb12g27870 transcript:itb12g27870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQISNVHLTAPMLPESIPPMTDEESRRSDSLSYQSDDDSVATCRVCQCAESDKRGDTSLGFLGIIPPSGDAQVRNWEVRTNRIEEKDGDYCATTDLKGSKLVEFVSPRGEVFVCNADIEMGSDHNQDTLIELGCACKNDLALVHYACALKWFVNHGSTTCEICGCIAKHIRILDIKKVISSLKEHSLLRERTANGEHIPSQLPEYSSVDPDAVATIQRQRLSEISLWFNPHNNTTAPSQVVSEQPSIVAEEVFPAENTATNWALEGTGVLLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGVCALTVVVFFRFFVLTRIRYGPARYWAILFVFWFLVFGIWASRTHDAHSA >itb12g27870.t4 pep chromosome:ASM357664v1:12:28061089:28066297:-1 gene:itb12g27870 transcript:itb12g27870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQISNVHLTAPMLPESIPPMTDEESRRSDSLSYQSDDDSVATCRVCQCAESDKRGDTSLGFLGIIPPSGDAQVRNWEVRTNRIEEKDGDYCATTDLKGSKLVEFVSPRGEVFVCNADIEMGSDHNQDTLIELGCACKNDLALVHYACALKWFVNHGSTTCEICGCIAKHIRILDIKKVISSLKEHSLLRERTANGEHIPSQLPEYSSVDPDAVATIQRQRLSEISLWFNPHNNTTAPSQVVSEQPSIVAEEVFPAENTATNWALEGTGVLLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGVCALTVVVFFRFFVLTRIRYGPARYWAILFVFWFLVFGIWASRTHDAHSA >itb07g18660.t1 pep chromosome:ASM357664v1:7:23115935:23118622:-1 gene:itb07g18660 transcript:itb07g18660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAVTVVLSVAVAVAVAISAAAAADVSFDANYFVEWGNYHVISSNQGREVQLSMDKSSGSGFASKSSYGSGSFEIRMKIPGHDSAGVVTSFYLTSNTKYRHDELDFEFLGNRERKPITLQTNVFAEGVGNREQRIHLWFDPAADFHSYKILWNHHQIVFYVDNIPIRVFKNNKKIGVGYPLFHALQIKGSLWNAESWATDGGKAKINWSQAPFKAEFQGFNVDGCTVNPNSNNLHDCYSRAYWWNQPKFWSLNRREQMVYQKVKRSFMHYDYCADVPRFPTLPPECNYN >itb06g25260.t1 pep chromosome:ASM357664v1:6:26401632:26402402:-1 gene:itb06g25260 transcript:itb06g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAVLIRHECNVSVGHDIMGGFENTSERGFYFQFVVRERTIHYYFSGINNNVVNHYNEDSVQRGCWRFVVKVEEIMGCEDTAIHAITEILRTINICETVQPEMVRKIYSTVNSLAPQAEHVSEVRIPVMVDIERVFRQAVPNPHPNRLSQMILDEDEDEDEDRNEEDGDEDGDSDEDYVEDEEEGEPVPADVSAVAALAKVKLKPKGACTICLGGLIKATRMPCSHLFHERCIVRWLKRSNECPLCRFQLPAAN >itb03g21500.t1 pep chromosome:ASM357664v1:3:19368598:19372992:1 gene:itb03g21500 transcript:itb03g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVHSSEKLPPAVLPVVSRHVSDERKSSPPAAAMSKKGVFVAISYMACAVLLVMFNKAALSSYNFPCANVITLFQMLSSTALLYALKHWKIISFTVQESQTALTNTPLIVPFKTLVHTLPVAISYLVYMLVSMESIRGISIPMYTTLRRTTVAFTMVAEYIIVGRKHTSHIVACVGIIILGAFIAGSRDLSFDFYSYAIVFTSNITTAIYLACIARVGIICAPVLLIWTACNGDLGVTLEFPYFYTSGFQDFFTIGFGWLVFGGLPFDLLNVVGQCMGFLGSGLYAYCKLKGK >itb14g18100.t1 pep chromosome:ASM357664v1:14:21235936:21236751:-1 gene:itb14g18100 transcript:itb14g18100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRIILIFLSVTLAGFFAFRNLKTPSDDYQSHAADETPVKLHSASSLSSSSSLPICSKVCGVIGNGFWTFVDMASGRYVWRHLVSSSNLNLKRADDLKF >itb05g21660.t1 pep chromosome:ASM357664v1:5:27332099:27335474:-1 gene:itb05g21660 transcript:itb05g21660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVVPVQNSEVSSQESKTDLKTQVNESNESDTGSNENNNASDESNTSDGGKAKQFEDTPGDLPDDATKGDIATPEEKNKETGKTAEENKKEKSEEENKDEPKSEDESKGEKDNEEEKKENGDDKNSEEEEKTESKSGGEGENGEGSDENKSDTGDAETKEGDKEDSNIEEKEDKKDEKKESSNEVFPSGAQSELLNETTTENGAFPTQATESKNEKEAQKSSDSDTEKGYSWKLCNVTAGPDYIPCLDNLDAIRHLRSTKHYEHRERHCPDDPPTCLVPLPEGYQRSIEWPTSREKIWYHNVPHTKLAEVKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQSLPDIAWGKRTRVILDVGCGVASFGGYLFERNVLSMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGFFVWSATPVYQKLQEDVEIWEAMKKLTKSMCWELVQVNKDRVNGVGVAFFRKPTTNECYEQRSKDDPPLCQESDDPNAAWNVPLQACMHKVPTSESERGSQWPESWPARLEKSPYWLLSSETGVYGKPAPEDFAADYKHWTRVVTKSYLNGMGINWSTVRNVMDMNAVYGGFAAALKDLKVWVMNIVAIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKIKRKCNLMGLVVEVDRILRPEGKLIVRDHTETITELENILKSMHYDIRMTYSNGDEGLLCVQKTMWRPKELQTLSYAIA >itb03g13800.t1 pep chromosome:ASM357664v1:3:13782089:13784677:1 gene:itb03g13800 transcript:itb03g13800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSSDQISSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIISEEKLSSPFDFKRFLDLMSKHLKPEPFDRQLRDAFKVLDKDNTGFVVIADLKHILTSIGEKLEPAEFDEWIREVDAGSDGKIRYEDFIARMVAK >itb09g09830.t1 pep chromosome:ASM357664v1:9:6030896:6033204:1 gene:itb09g09830 transcript:itb09g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPVLNTYFSPSPPLSRLCPPHTTLPTFPHAKNKPPILNAGAASKLRCNAVGSEQASTGTKKQRSRYEMVNLTTWLLQQEQEGNVDAELAIVLSSISLACKQIASLLQRSNIINLTGAQGTVNVQGEDQKKLDVISNTLFCNCLRSSGRTGIIASEEEDVPVAVEETNSGNYIVVFDPIDGSANIDTSLTTGSIFGIYSPDEQCLFDIDDEDSMLDAEKQKCIVNVCQPGKNLVAAGYCLYSSAAVFTLSLGKGVYAFTLDPAYGEFVLTHENIKIPKTGKIYSFNEGNYELWDEKLKNYLGYLRTPGDNGKPYSGRYIGCLVGEIHRMLLYGGIYGNPKNINSKDGNLRLLYECAPMSYIVEQAGGKAIDGHQRILDIKPDKIHQRTPIFVGSPEEIERLEKYLA >itb08g02690.t1 pep chromosome:ASM357664v1:8:2286173:2289765:1 gene:itb08g02690 transcript:itb08g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MDAFSSSSFLSTLPISFPKNKSPSPPNLTILNVSSVRIEEKTVTTIRKPTPQPPEQTRKPPPPPPPRKAAAVSRRPAAEVSLPTLIFNGFDEFINTFIDPPVRQSVDPRHVLSANFAPVDELPPTECEVVEGALPSCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHAVRISQGRATLCSRYVKTYKYEVERSIGSPVIPNVFSGFSGLTASAARGALTAARALSGQFNPGNGIGLANTSLALFGGKLFALGESDLPYAVKITPDGDVITLGRHDFDGKLIMSMTAHPKIDPETREAFAFRYGPMPPFLTFFRVNSDGVKQPDVPIFSMPSPSFIHDFAITKNYAIFSDIQIGMNPLDLLNGGSPVGTSPGKVPRVGVIPRYAKDESEMRWFEVPGFNIVHAINAWEEDNGNTIVMVAPNIMSVEHTMERMDLVHAAVEKLTIDLKTGMVFRQPLSTRNLDFGVINPAYVAKKNKYVYAAVGDPMPKISGVVKLDVSVSEADRRDCIVGSRMYGPGCFGGEPFYVAREPDNPEAAEDDGYVVSYVHDEKSGESKFLVMDAQTPNLDIVAAVKLPARVPYGFHGLFVKESDLNNL >itb05g27610.t1 pep chromosome:ASM357664v1:5:30894264:30896401:1 gene:itb05g27610 transcript:itb05g27610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSCFDSFFISLALKTILGASLPVMATKRIQKELKELEKDPPASCSAGPVGSDMFHWQATIMGPADSPFAGGVFVVTIHFPPDYPFKPPKVSFKTKVYHPNINRNGSICLDILREQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHTYKNDKAKYEATARSWTQKYAMG >itb01g10590.t1 pep chromosome:ASM357664v1:1:9209816:9212954:1 gene:itb01g10590 transcript:itb01g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAIDPENKESLKQEVIVDLVEQCNTYKRRVVYLVNSTADESLLCQGLTLNDDLQRVLAKHEAISSRASSIQAQKPKSEAAQMITLFNKGDSKQTDKGSASGTNSGIQLPLPATLSAKNDQSTTTKTGPKIDLLSGDDLSSLPAENSLAIVPVGEPQPASPAFQQNDLALIDMSSPTSNPQSTYPGCQKYPLPPQFPQQQNLQSPESSLYTNRNASGTMSPQYEQSPYPQGSNALWNGHITQQEHPAFPAYGSQDNGAFPAPPWEAETTDSNSMCGSPCTYQNNQVLGGSPNSLSMQSNQFTGTPHALQMQNNQLGGSPHALQMQNNQLGVSPHALSVLTNSPRGGSPHTLPVQNNQLGGSPHALPVQNNQLGGSPHALPVQNNQLGGSPHALPVQNNQLGGNPHALTMQNNQLVAMNHPQFPGAVFANGSQLLGNEQVGGVYGPGGHFTVNQAIQSSQLVGLNPQHQQSMGLFSQQMPSAQMLYMYSQQTYTNQLSGYGCGFGQQQNSQLLEQRMSGLNIQDDDGILKGPPYLASTPSSYVPVTMGKSTNLEDKLFGDLVDVSKFKSSKPDPARAGSRCP >itb09g01820.t1 pep chromosome:ASM357664v1:9:1103206:1110722:-1 gene:itb09g01820 transcript:itb09g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKYNVEAAEIVANEAMRLPIAEAVPIYEQLLSTFPTAAKYWKQYVEAHMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKRGTDGQEETKKAYEFMLNYVGADIASGPVWMEYIAYLKSFPALTAQDESQRMTAVRKAYQRAIVTPTHHVEQLWRDYENFENSISRALAKGLISEYQPKYNSAKAVYREMKKYIDEIDWNMLAVPPSGSSKEEMQWTAWKRFLAFEKGNPQRIDTASANKRIVFAYEQCLMYLYHYPDIWYDYATWHAKCGSVDSAIKVYQRSLKALPDSEMLRYAYAELEESRGAIQAAKKVYESLMGDGTNATALSLIQFIRFLRRTEGVEAARKYFLDARKSPNCTYHVYVAQAMMEFCLNKDAKVARNVFEAGLKNFMHEPEYILEYADFLSRLNDDINIRALFERALSSLPPEESLEVWKRFSQFEQIYGDLASMLKVEQRRKEALRTGDDEASILESSLQDIVSRYSFKDLWPCSSTALDYLSRQEVLVRNMNKKIEKSTAGVETDGSMPGVSSNTNSAKFVRPDPSKMAIYNPKQTPGTLPYSGVQLNSNGQPPNVMGDVLKSLPPALAAFISNLPSVEGPSPDTDFVISVCLQSNIPSVTGKPGAVSHPAQSGSVPSTSDLSDSSKFKTRDRLPGKRKNMDRQDDDDTSTVQSQPLPRDLFKIRQLHKSRVSSSHTGSVSYGSAISGELSGSTS >itb09g01820.t2 pep chromosome:ASM357664v1:9:1103206:1110722:-1 gene:itb09g01820 transcript:itb09g01820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKYNVEAAEIVANEAMRLPIAEAVPIYEQLLSTFPTAAKYWKQYVEAHMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKRGTDGQEETKKAYEFMLNYVGADIASGPVWMEYIAYLKSFPALTAQDESQRMTAVRKAYQRAIVTPTHHVEQLWRDYENFENSISRALAKGLISEYQPKYNSAKAVYREMKKYIDEIDWNMLAVPPSGSSKEEMQWTAWKRFLAFEKGNPQRIDTASANKRIVFAYEQCLMYLYHYPDIWYDYATWHAKCGSVDSAIKVYQRSLKALPDSEMLRYAYAELEESRGAIQAAKKVYESLMGDGTNATALSLIQFIRFLRRTEGVEAARKYFLDARKSPNCTYHVYVAQAMMEFCLNKDAKVARNVFEAGLKNFMHEPEYILEYADFLSRLNDDINIRALFERALSSLPPEESLEVWKRFSQFEQIYGDLASMLKVEQRRKEALRTGDDEASILESSLQDIVSRYSFKDLWPCSSTALDYLSRQEVLVRNMNKKIEKSTAGVETGSIHLLLLINTSAVIPGFSFYVILLLYADGSMPGVSSNTNSAKFVRPDPSKMAIYNPKQTPGTLPYSGVQLNSNGQPPNVMGDVLKSLPPALAAFISNLPSVEGPSPDTDFVISVCLQSNIPSVTGKPGAVSHPAQSGSVPSTSDLSDSSKFKTRDRLPGKRKNMDRQDDDDTSTVQSQPLPRDLFKIRQLHKSRVSSSHTGSVSYGSAISGELSGSTS >itb13g09670.t1 pep chromosome:ASM357664v1:13:13892999:13895769:1 gene:itb13g09670 transcript:itb13g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSVASLESHPDVQIDDQGDDRRQNGDLLPDCLRFPEIEPQCVTHSRIVRLVGDCEDLEAEDGRRPVVRFSEAEWKAIADTEVRNTLVCRFVRERPSLDAIRAGIGSVLRLEGSLHVGSLNRPSVMLRFDLESDYIKAWQRGQIVLDGARAWMTKWTPDWSASRDSPNALVWIQLPNLPLHLFNFSLLSRICAPIGRVIDLDSTTDRKSRPSVAKLRLEIVVSKPKLNEVGIEIVNGEGVEVKDGKRGELEKPVAAPTVPTVVETEQLVESEKEAQSEPHINAQKVFDTMPGQVLVHENEQALTGNPSPEMEGNNGSKVNHSGSSKKRNSSLEVALAARGMKKKKVMDKLGDNDMRVQIIEGARREAVAHFDRMLEDLGWDEYGKRKEEVVDEAISVFWGRLENREEDEGVSSGEQGEILVGVIGEEECVENEREGCENDKMDVDNSEEEDVVRQEWFDAVVELMQKEGEVMEFMVEVMKVIVSYMCSVYDTRRRIHLEGESAMAKKAYIILVEMAKESVAGGFLDAGEVLGSRLRANSEVVGGELCWLSWSRFGLR >itb09g05240.t1 pep chromosome:ASM357664v1:9:2983474:2991023:1 gene:itb09g05240 transcript:itb09g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKVRPLSPAPNKVHKMQLSPQESSQNSAPIASFDSEREFARVRESMISAMLMSKTESLDAALDEFSEGYVCLSHVNRQKLLHTLARDYDLNRALVRELMKQYLDLQFPSDKAEDSGLEEEGSLSAFYRIERNLRYGLKPMYEMLFERLNTHPGGLKFLSDMRADILLILNNENVASLRALDSYLKEKLVTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALMKDVAQRIQEVLWDDPPILECDASCALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPNISTFATLSPIPGYMQWLLSKLASSERSGSAFRENLLEPDEETAILNASGESGTGRSGMEVMRNLLASKDHEWTNSEMLISVLRIPLMRLCARYLLNEKKRGKALDSVANFHLQNGAMVGRLNWMADRSVKGLNQSGGIMVNYIYRLENIEENAQSYMSGGHIQASSDVRNYVGVKCDHEGGMG >itb09g05240.t5 pep chromosome:ASM357664v1:9:2983474:2990950:1 gene:itb09g05240 transcript:itb09g05240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKVRPLSPAPNKVHKMQLSPQESSQNSAPIASFDSEREFARVRESMISAMLMSKTESLDAALDEFSEGYVCLSHVNRQKLLHTLARDYDLNRALVRELMKQYLDLQFPSDKAEDSGLEEEGSLSAFYRIERNLRYGLKPMYEMLFERLNTHPGGLKFLSDMRADILLILNNENVASLRALDSYLKEKLVTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALMKDVAQRIQEVLWDDPPILECDASCALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPNISTFATLSPIPGYMQWLLSKLASSERSGSAFRENLLEPDEETAILNASGESGTGRSGMEVMRNLLASKDHEWTNSEMLISVLRIPLMRLCARYLLNEKKRGKALDSVANFHLQNGAMVGRLNWMADRSVKGLNQSGGIMVNYIYRLENIEENAQSYMSGGHIQASSDVRNYVGVKCDHEGGMG >itb09g05240.t3 pep chromosome:ASM357664v1:9:2983474:2990950:1 gene:itb09g05240 transcript:itb09g05240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKVRPLSPAPNKVHKMQLSPQESSQNSAPIASFDSEREFARVRESMISAMLMSKTESLDAALDEFSEGYVCLSHVNRQKLLHTLARDYDLNRALVRELMKQYLDLQFPSDKAEDSGLEEEGSLSAFYRIERNLRYGLKPMYEMLFERLNTHPGGLKFLSDMRADILLILNNENVASLRALDSYLKEKLVTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALMKDVAQRIQEVLWDDPPILECDASCALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPNISTFATLSPIPGYMQWLLSKLASSERSGSAFRENLLEPDEETAILNASGESGTGRSGMEVMRNLLASKDHEWTNSEMLISVLRIPLMRLCARYLLNEKKRGKALDSVANFHLQNGAMVGRLNWMADRSVKGLNQSGGIMVNYIYRLENIEENAQSYMSGGHIQASSDVRNYVGVKCDHEGGMG >itb09g05240.t2 pep chromosome:ASM357664v1:9:2983474:2991004:1 gene:itb09g05240 transcript:itb09g05240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKVRPLSPAPNKVHKMQLSPQESSQNSAPIASFDSEREFARVRESMISAMLMSKTESLDAALDEFSEGYVCLSHVNRQKLLHTLARDYDLNRALVRELMKQYLDLQFPSDKAEDSGLEEEGSLSAFYRIERNLRYGLKPMYEMLFERLNTHPGGLKFLSDMRADILLILNNENVASLRALDSYLKEKLVTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALMKDVAQRIQEVLWDDPPILECDASCALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPNISTFATLSPIPGYMQWLLSKLASSERSGSAFRENLLEPDEETAILNASGESGTGRSGMEVMRNLLASKDHEWTNSEMLISVLRIPLMRLCARYLLNEKKRGKALDSVANFHLQNGAMVGRLNWMADRSVKGLNQSGGIMVNYIYRLENIEENAQSYMSGGHIQASSDVRNYVGVKCDHEGGMG >itb09g05240.t6 pep chromosome:ASM357664v1:9:2983474:2989633:1 gene:itb09g05240 transcript:itb09g05240.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKVRPLSPAPNKVHKMQLSPQESSQNSAPIASFDSEREFARVRESMISAMLMSKTESLDAALDEFSEGYVCLSHVNRQKLLHTLARDYDLNRALVRELMKQYLDLQFPSDKAEDSGLEEEGSLSAFYRIERNLRYGLKPMYEMLFERLNTHPGGLKFLSDMRADILLILNNENVASLRALDSYLKEKLVTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALMKDVAQRIQEVLWDDPPILECDASCALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPNISTFATLSPIPGYMQWLLSKLASSERSGSAFRENLLEPDEETAILNASGESGTGRSGMEVMRNLLASKDHEWTNSEMLISVLRIPLMRLCARYLLNEKKRGKALDSVANFHLQNGAMVGRLNWMADRSVKGLNQSGGIMVNYIYRLENIEENAQSYMSGGHIQASSDVRNYVGVKCDHEGGMG >itb09g05240.t4 pep chromosome:ASM357664v1:9:2983474:2987570:1 gene:itb09g05240 transcript:itb09g05240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKGLAILMRTKVRPLSPAPNKVHKMQLSPQESSQNSAPIASFDSEREFARVRESMISAMLMSKTESLDAALDEFSEGYVCLSHVNRQKLLHTLARDYDLNRALVRELMKQYLDLQFPSDKAEDSGLEEEGSLSAFYRIERNLRYGLKPMYEMLFERLNTHPGGLKFLSDMRADILLILNNENVASLRALDSYLKEKLVTWLSPANLELHNITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALMKDVAQRIQEVLWDDPPILECDASCALFYSISSTQPGLSGINLGKFLIKRVIDVVKKDMPNISTFATLSPIPGYMQWLLSKLASSERSGSAFRENLLEPDEETAILNASGESGTGRSGMEVMRNLLASKDHEWTNSEMLISVLRIPLMRLCARWWED >itb04g24640.t2 pep chromosome:ASM357664v1:4:29426821:29430351:-1 gene:itb04g24640 transcript:itb04g24640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGGVTCCLSAAALYLLGRSSGRDAEALKSVTRVNHLKDLAQLLDTASKVLPFVVTISGRVGSDTPISCQYSGLRGVILEETAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDGTGRAFVVGGRGATGLVLTVGSEVFEEAGRSIGRGMLDYLQGLKMLGVKRVERVLPVGTPLTVIGEAVKDDIGTVRIQRPHKGPFYVSRKTIDQLIMNLGKWARWYKYASMGFTVVGVYLLVKHAFQYIMERRRHWELRKRFEHKRGKRSR >itb04g24640.t1 pep chromosome:ASM357664v1:4:29426821:29430351:-1 gene:itb04g24640 transcript:itb04g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGGVTCCLSAAALYLLGRSSGRDAEALKSVTRVNHLKDLAQLLDTASKVLPFVVTISGRVGSDTPISCQYSGLRGVILEETAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDGTGRAFVVGGRGATGLVLTVGSEVFEEAGRSIGRGMLDYLQGLKMLGVKRVERVLPVGTPLTVIGEAVKDDIGTVRIQRPHKGPFYVSRKTIDQLIMNLGKWARWYKYASMGFTVVGVYLLVKHAFQYIMERRRHWELRKRVLAAAAKKSGQEDEGSSIKEENGADSKKDRLMPDLCVICLEQEYNTVFVQCGHMCCCMACSSHLTNCPLCRRRIEQVVRTFRH >itb03g04290.t1 pep chromosome:ASM357664v1:3:2683824:2685787:-1 gene:itb03g04290 transcript:itb03g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYENEHPVKAFGWAARDTSGVLSPFKFSRRETGEKDVQFKIMYCGVCHSDLHQLKNEWGNTTYPIVPGHEIVGVVTEVGTKVEKFKVGDKVGVGCLVGSCGKCENCASDLENYCPGCVTTYNAYGTVTFGGYSDIMVADEHFVVRWPENLPMEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASKKEEAIERLGADSFLISRDPEQMQAAMNTLDGIIDTVSAVHSVVPLLGLLKTNGKLVMVGVPEKPVDLPVFPLIMGRKLVAGSGIGGLKETQEMLDFSSKHNITPDVEIIPMDYVNTALERLVKADVKYRFVIDVAKTMKSE >itb01g09240.t1 pep chromosome:ASM357664v1:1:7443667:7446015:1 gene:itb01g09240 transcript:itb01g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASPNPSFAATISDHSSKSPKILQRFTFRLPHSFRKTAAPLFPTHSRRRHSQISNVLSSSSSSSSTAVPKAPPKAAEAFVSRFAPDEPRKGCDVLVEALEREGVTDVFAYPGGASMEIHQALTRSKVIRNVLPRHEQGGVFAAEGYARATGFPGVCIATSGPGATNLVSGLADALLDSCPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRIVREAFFLAKSGRPGPVLIDVPKDIQQQLVIPNWDQPMRLPGYLSRLPKPPSDMLLEQIVRLISESKKPVLYVGGGSLQSSEELRRFVELTGIPVASTLMGLGSYPSSDELSLQMLGMHGTVYANYSVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSICADLKLALQGLNSILEERIGKLKLDFSAWRQELNEQKEKFPLGYKTFEDAISPQYAIQVLDELTNGNAIISTGVGQHQMWAAQFYKYREPRQWLTSGGLGAMGFGLPAAIGAAVGRPDAVVVDIDGDGSFIMNVQELATIRVENLPVKILLLNNQHLGMVVQWEDRFYKANRAHTYLGNPANEHQIFPNMLKFAEACDIPAARVTKKDDLRAAIQTMLDTPGPYLLDVIVPHQEHVLPMIPSGGSFNDVITEGDGRTSY >itb03g17850.t1 pep chromosome:ASM357664v1:3:16276168:16279655:1 gene:itb03g17850 transcript:itb03g17850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKASFTLALFSCLLIVSPEVGYGADTKLFVFGDSYADTGNTPQNDGFECWEEPYGITFPGKPSGRFSDGRVLTDFVAEYLGIRSPVPYREWKLGLNLQKYGMNFAYGGTGVFNTINGGPNMTAQINDFQQLIQQRVFTKRDLTSSVAHVSPAGNDYALSVGGQKDIENVISQLVLNLKRIYSLGVPRISMVTVPPFGCFPENVPIQPSPNRVCNESDNSFSRLHNQLLKKAVEQLNNETGGSTFVILDIYSAFMYALNFTE >itb03g17850.t2 pep chromosome:ASM357664v1:3:16276168:16279038:1 gene:itb03g17850 transcript:itb03g17850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKASFTLALFSCLLIVSPEVGYGADTKLFVFGDSYADTGNTPQNDGFECWEEPYGITFPGKPSGRFSDGRVLTDFVAEYLGIRSPVPYREWKLGLNLQKYGMNFAYGGTGVFNTINGGPNMTAQINDFQQLIQQRVFTKRDLTSSVAHVSPAGNDYALSVGGQKDIENVISQLVLNLKRIYSLGVPRISMVTVPPFGCFPENVPIQPSPNRVCNESDNSFSRLHNQLLKKAVEQLNNETGGSTFVILDIYSAFMYALNFTE >itb04g13560.t1 pep chromosome:ASM357664v1:4:13650745:13655102:1 gene:itb04g13560 transcript:itb04g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVGDSIDSGHARLHELGYKQELKRDLSVLSNFAFSFCVVSVITGLNTLYGTGLSFGGPISFIYGWLIAGTFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGPSWAPFASWITGWFNVIGQWAVTTSVDFSLAQLIQVMILLGSGGKNGGGYEASKYVVMAMHGGILFSHAILNSLPISLLSFLGQFAAAWNVLGVFLLMILIPTVATERASAKFVFTNFNTDNGDGIHNKVYIFVLGLLMSQYTLTGYDASALMTEETKNADVNGPKGIISAIGISLLAGWCYLLGITFAVTDIPHLLDSDNDAGGYAIAQIFYDAFKSRYGSGTGAIVCLGIIAVAVYFCGMSSLTSNSRMAYAFSRDGAMPFSQFWHKVNRHEVPINAVWASSLIAFCMALTSLGSLVAFQAMTSIATIGLYIAYALPSLFRVTLARNSFVPGPFNLGRYGVIVGWIAVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLLILVVSSWIFSARHWFKGPITNIDSSSNEDVYHYVILDS >itb04g13560.t2 pep chromosome:ASM357664v1:4:13650905:13655102:1 gene:itb04g13560 transcript:itb04g13560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGLSMAEICSSYPTSGGLYYWSAKLAGPSWAPFASWITGWFNVIGQWAVTTSVDFSLAQLIQVMILLGSGGKNGGGYEASKYVVMAMHGGILFSHAILNSLPISLLSFLGQFAAAWNVLGVFLLMILIPTVATERASAKFVFTNFNTDNGDGIHNKVYIFVLGLLMSQYTLTGYDASALMTEETKNADVNGPKGIISAIGISLLAGWCYLLGITFAVTDIPHLLDSDNDAGGYAIAQIFYDAFKSRYGSGTGAIVCLGIIAVAVYFCGMSSLTSNSRMAYAFSRDGAMPFSQFWHKVNRHEVPINAVWASSLIAFCMALTSLGSLVAFQAMTSIATIGLYIAYALPSLFRVTLARNSFVPGPFNLGRYGVIVGWIAVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLLILVVSSWIFSARHWFKGPITNIDSSSNEDVYHYVILDS >itb04g13560.t3 pep chromosome:ASM357664v1:4:13652360:13655102:1 gene:itb04g13560 transcript:itb04g13560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGSGGKNGGGYEASKYVVMAMHGGILFSHAILNSLPISLLSFLGQFAAAWNVLGVFLLMILIPTVATERASAKFVFTNFNTDNGDGIHNKVYIFVLGLLMSQYTLTGYDASALMTEETKNADVNGPKGIISAIGISLLAGWCYLLGITFAVTDIPHLLDSDNDAGGYAIAQIFYDAFKSRYGSGTGAIVCLGIIAVAVYFCGMSSLTSNSRMAYAFSRDGAMPFSQFWHKVNRHEVPINAVWASSLIAFCMALTSLGSLVAFQAMTSIATIGLYIAYALPSLFRVTLARNSFVPGPFNLGRYGVIVGWIAVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLLILVVSSWIFSARHWFKGPITNIDSSSNEDVYHYVILDS >itb04g13560.t4 pep chromosome:ASM357664v1:4:13652339:13655102:1 gene:itb04g13560 transcript:itb04g13560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGSGGKNGGGYEASKYVVMAMHGGILFSHAILNSLPISLLSFLGQFAAAWNVLGVFLLMILIPTVATERASAKFVFTNFNTDNGDGIHNKVYIFVLGLLMSQYTLTGYDASALMTEETKNADVNGPKGIISAIGISLLAGWCYLLGITFAVTDIPHLLDSDNDAGGYAIAQIFYDAFKSRYGSGTGAIVCLGIIAVAVYFCGMSSLTSNSRMAYAFSRDGAMPFSQFWHKVNRHEVPINAVWASSLIAFCMALTSLGSLVAFQAMTSIATIGLYIAYALPSLFRVTLARNSFVPGPFNLGRYGVIVGWIAVLWVATISVLFSLPVAYPITDQTLNYTPVAVGGLLILVVSSWIFSARHWFKGPITNIDSSSNEDVYHYVILDS >itb11g20890.t2 pep chromosome:ASM357664v1:11:22267357:22278030:-1 gene:itb11g20890 transcript:itb11g20890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKGVGGVVECSVCHSKLGVSPNTRAVSKAYDRHRSVVSLKTRAFNILLVVGDCILVGLQPILVYMSKVDGKFMFSPVSVNFLTEITKVVFAVVMLCLQARQQKAGEKPLLSVSTFFQAARNNALLAVPALLYAINNYLKFIMQLYFDPATVKMLSNLKVLVIAVLLKIVMRRRFSIIQWEALALLLIGISVNQLRSLPAGTTALGLPVATGAYLYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAFFNFLGILGTALFKGPDSLDILQGHSRATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHTLTMNFILGISIVFISMHQFFSSLSKAKDENKNGDLQIIDAQKDFRSKDSSFLNMTAGAHEDTSHLVGPDERAPLLPR >itb11g20890.t1 pep chromosome:ASM357664v1:11:22267341:22278030:-1 gene:itb11g20890 transcript:itb11g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDFTNEARVEGVTPVISFSYAARNNALLAVPALLYAINNYLKFIMQLYFDPATVKMLSNLKVLVIAVLLKIVMRRRFSIIQWEALALLLIGISVNQLRSLPAGTTALGLPVATGAYLYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAFFNFLGILGTALFKGPDSLDILQGHSRATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHTLTMNFILGISIVFISMHQFFSSLSKAKDENKNGDLQIIDAQKDFRSKDSSFLNMTAGAHEDTSHLVGPDERAPLLPR >itb13g09280.t1 pep chromosome:ASM357664v1:13:12689148:12689792:1 gene:itb13g09280 transcript:itb13g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNAEVTSDFYLLETIRRYLLDDIDAPVLCRSGSSGRNPSPGLCESWGDSNFSGGSGWLSSSAVPEMGSHKFPVILDFTAVPPPKVVDAAPAQAFKKNYRGVRSRPWGKFAAEIRDPAKNGARMWLGTYKTPEDAALAYDRAAFRMRGARALLNFPHRINSGEPEPVRIKSKKRSASTRDSSSFALSKNMSCKRMKTGAQVVVQSSTSFHLQ >itb04g24550.t1 pep chromosome:ASM357664v1:4:29329740:29332212:-1 gene:itb04g24550 transcript:itb04g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRRTVTSAKSVDTQSILKRWEDGLADRVEYDSTGNIETEIINKSPFVQIPLGVTEDRLIGSVDVEDNLLNVLTEGVNIVEREGISFRHPCKPLLITTYNPEEGAVREHLLDRIAINLSEDLPMSFENRFAAVDIAAQSQECSDEVLKMVDEEIDFAKTQIILAREYPKDVLVEIS >itb09g11500.t1 pep chromosome:ASM357664v1:9:7160132:7162890:-1 gene:itb09g11500 transcript:itb09g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWHRTGRRHRRPHTKELSAFYINSDNEDEDEDEDHEEEAVHHMSNEKLLIGDKRINRDSGRLFKGTSCSSVSEPLLKRSKFDTFGARTPKTPIQAPGKKVKTGSNKKSRKEVMSAICKFFYHAGVPPHAANSPYFHKMLELVGQYGQDLVAGQELLKPSFTQFSSSFTTVQSLLDQRNCIKKMFQSNKWLSSRYSKSDEGKEVEKIVLNAAFWRKMQYVRKSVDPILEVLHNINSNDSHTIPFIYNDMYRAKLEIKANHNDDMRKYQLFWDVIDSHWNLLSHHPLYLGAYFLNPSYRYRPDFIPHPEVIRGLNACIVQLEPDNHRRISASMQISDFNSAKADFGTDLAISTRMELNPESEKQVLQEDEEVLYSEMDLGEYDNDFMEHEGGNGECSKGSMEMVTLAGIAGPLEVNPGRASDDDDDADLNFLDDDISE >itb03g13120.t2 pep chromosome:ASM357664v1:3:13124915:13125932:-1 gene:itb03g13120 transcript:itb03g13120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRPIDEYGALIYAGRWGIHGASLPGRVTFAPGNVGFSTFGASRPMETQIISDETWKLVDEVWDKRVEEIKAEASLEVEEENEEKPQVLLSSHFL >itb03g13120.t1 pep chromosome:ASM357664v1:3:13124022:13125932:-1 gene:itb03g13120 transcript:itb03g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRPIDEYGALIYAGRWGIHGASLPGRVTFAPGNVGFSTFGASRPMETQIISDETWKLVDEVWDKRVEEIKAEASLEVEEENEEKPQVLLSSHFL >itb14g18840.t1 pep chromosome:ASM357664v1:14:21720342:21721969:1 gene:itb14g18840 transcript:itb14g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSDNTQIVPLTLPAVEGLPPGAQSTADLPAAAAELLLVALDLMKPQIKALLVKLKPHFVIFDFAQDWLPPLAAELGIKTIFYSVFVALATAISTVPARLPGGEKSPTVEEMKKPPPGFPPTASFRTFEARDLLNIFKRPLNGASVYDRFLAGLKGCSAILAKTCYEMEAPYIDYMKSQFKKPFLLASPVVPEPVSGELDQKWADWLSQFEPSTVIYCSFGSETFLKDDEIKELALGLELTGLPFFLVLNFPANVDVASELNRALPAGFTERVKGRGIIHSGWVQQQQILAHSSVGCYFCHAGFSSVIEGIVNDCQLVMLPLKGDQFMNAKLLAGDMKIGIEVNRRDDDGYFWKGDIIFKKLCRQSWGKKEHQQG >itb04g00160.t2 pep chromosome:ASM357664v1:4:107106:118602:-1 gene:itb04g00160 transcript:itb04g00160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGRGKTKGDKKKKEEKVLPVVMDVTVNLPDETQVILKGISTDRIIDVRRLLSVNTRTCNFTKFSLSHEVRGLRLKDTVDVSALKPCVLTLVEEDYDEESATAHVRRLLDILACTTSFGPAAAVASSKGGDSNKNVRPTQDAKNPKKSSKSQPTNHKHSLPPTSPTSHSQPAAKDASPASVDADGEMNNSCPKLGSFYEFFSLSNVTPPLQFIRRATTQRNENDLREDHLFSIEVKLCNGKLVLVDACRKGFYSIGKLRILSHNLVDLLRHLSRAFDNAYEDLMKAFQERNKFGNLPYGFRANTWLIPPVAAQLSTNFPPLPVEDEKWGGDGGGLGRDGKSNLFPYANAFSFITSMPCATAEERQIRDRKAFLLHSLFVDVAIFQAISAVQHVMEEDKLSNCGIDGTIIYKESVGDLNISVMKDASNASCKVDTKIDGTQASGLDMKQLIERNLLKGITADENTAAHDVATLGVVNVRHCGYIATVKVHGEEIDNKSPKLQSLDLPDQPDGGANALNINSLRMLLHFKTASEYNKIALQSKSSGCEDTTSQAFVKRVLEESITKLQEEEIKADAFIRWELGACWIQHLQDQKKSEKEKKPPAEKIKNEMKVEGLGTPLKSLKNKKKNSDGNNMELQSDNPKSAAYGISEESEKTAMPSTNSHDENQIILQTLLSDAAFNRLKESETGLHLKSLQELIDLSQKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHSRGLRMRSLGKVVKLSEKLTHVQSLCMHEMIVRAFKHILQAVIASVVKTEDMAAVIAAALNMMLGVPESEQSNHFHDVDSLVWRWLELFLEKRYEWDICNLNFKDVRKFAILRGLCHKVGIEIVPRDYDMNSPNPFQKEDIVGLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRTKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSPDAKGRDANGVKRKGFIMKVKGKSDQNNINSTNSELSPKDSQREASDEEKQICKPDNENKENKESVILPVVSEHDADCVGAEEKLVQSRLAEPEEASIEKPIANDVLPETHVEGEDGWQPVQRPRSAGLYGRRVRQRWQTIGKVIGYQKKEVVSDVEQARVQNNYQGGKYYLLKKRTSSPRSYADYYITKTSSPSAKFGRRMVKAMTYRVKSVPSSVRETATETSRIAGDSLNPLSEEKQILTLKEVGQISKRSSIVSLGKSPSYKEVALAPPGTISMLQTRVSEDEIQYRKDTEEVGEENNQIEEISDTMQKDAENLECSIRHLDLLSEDQIKCEAEAVKKEEIHTSDVMIINDSDCITVSQMGQECVQTDNTLSSDDSPNGDLCEREEINTFEARSDSKSPLQEVECPRVKSSSYSNDSRELSNKKLSASAEPFSPSSAVARIAPLPMSINHPSGPGALPTVGPWPMNMTLHPGPGTVLPNPMCSSPHHPYPSPPATPNMMPPLPFMYPPYSQPQSLPRSTFPVNTSPFHQNHYPWQCNINAGPSDYSPSTVWPVGRPVEFSLSPGVVEPITDTNLSMKEEQYDNPESLNIAPNLPVDLNTLDDTKKEVHLLASEVVENLNDVTDVQSGDDGMKGKLNSNHATLPESLPNNSDDSKEDGGSCDRYVPRHPWKTDNEKTFNILIRGRRNRKQTLRMPISLLKRPYTSQSFKVVYSRVIRETEVPRSTGLPSNKDCASNCT >itb04g00160.t1 pep chromosome:ASM357664v1:4:107083:118602:-1 gene:itb04g00160 transcript:itb04g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGRGKTKGDKKKKEEKVLPVVMDVTVNLPDETQVILKGISTDRIIDVRRLLSVNTRTCNFTKFSLSHEVRGLRLKDTVDVSALKPCVLTLVEEDYDEESATAHVRRLLDILACTTSFGPAAAVASSKGGDSNKNVRPTQDAKNPKKSSKSQPTNHKHSLPPTSPTSHSQPAAKDASPASVDADGEMNNSCPKLGSFYEFFSLSNVTPPLQFIRRATTQRNENDLREDHLFSIEVKLCNGKLVLVDACRKGFYSIGKLRILSHNLVDLLRHLSRAFDNAYEDLMKAFQERNKFGNLPYGFRANTWLIPPVAAQLSTNFPPLPVEDEKWGGDGGGLGRDGKSNLFPYANAFSFITSMPCATAEERQIRDRKAFLLHSLFVDVAIFQAISAVQHVMEEDKLSNCGIDGTIIYKESVGDLNISVMKDASNASCKVDTKIDGTQASGLDMKQLIERNLLKGITADENTAAHDVATLGVVNVRHCGYIATVKVHGEEIDNKSPKLQSLDLPDQPDGGANALNINSLRMLLHFKTASEYNKIALQSKSSGCEDTTSQAFVKRVLEESITKLQEEEIKADAFIRWELGACWIQHLQDQKKSEKEKKPPAEKIKNEMKVEGLGTPLKSLKNKKKNSDGNNMELQSDNPKSAAYGISEESEKTAMPSTNSHDENQIILQTLLSDAAFNRLKESETGLHLKSLQELIDLSQKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHSRGLRMRSLGKVVKLSEKLTHVQSLCMHEMIVRAFKHILQAVIASVVKTEDMAAVIAAALNMMLGVPESEQSNHFHDVDSLVWRWLELFLEKRYEWDICNLNFKDVRKFAILRGLCHKVGIEIVPRDYDMNSPNPFQKEDIVGLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRTKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSPDAKGRDANGVKRKGFIMKVKGKSDQNNINSTNSELSPKDSQREASDEEKQICKPDNENKENKESVILPVVSEHDADCVGAEEKLVQSRLAEPEEASIEKPIANDVLPETHVEGEDGWQPVQRPRSAGLYGRRVRQRWQTIGKVIGYQKKEVVSDVEQARVQNNYQGGKYYLLKKRTSSPRSYADYYITKTSSPSAKFGRRMVKAMTYRVKSVPSSVRETATETSRIAGDSLNPLSEEKQILTLKEVGQISKRSSIVSLGKSPSYKEVALAPPGTISMLQTRVSEDEIQYRKDTEEVGEENNQIEEISDTMQKDAENLECSIRHLDLLSEDQIKCEAEAVKKEEIHTSDVMIINDSDCITVSQMGQECVQTDNTLSSDDSPNGDLCEREEINTFEARSDSKSPLQEVECPRVKSSSYSNDSRELSNKKLSASAEPFSPSSAVARIAPLPMSINHPSGPGALPTVGPWPMNMTLHPGPGTVLPNPMCSSPHHPYPSPPATPNMMPPLPFMYPPYSQPQSLPRSTFPVNTSPFHQNHYPWQCNINAGPSDYSPSTVWPVGRPVEFSLSPGVVEPITDTNLSMKEEQYDNPESLNIAPNLPVDLNTLDDTKKEVHLLASEVVENLNDVTDVQSGDDGMKGKLNSNHATLPESLPNNSDDSKEDGGSCDRYVPRHPWKTDNEKTFNILIRGRRNRKQTLRMPISLLKRPYTSQSFKVVYSRVIRETEVPRSTGLPSNKDCASNCT >itb07g08140.t1 pep chromosome:ASM357664v1:7:6348492:6354831:-1 gene:itb07g08140 transcript:itb07g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAISLLVNRLAQVVEENATLILGIRDHVEELVSDLNSFQAILKQASKHESSNDNDVLRDVVDKIRSVVNEAEDAIDKYLVDTRKHKSKGTVMRYLDKVAYYSKASDAAKQIDAIKGRVAKIRKDHDSGLRELQRDPNEAQLFLQRKAPVVEEDDVVGFDEEAKTIKDRLLDKTNEMMVISIVGMAGLGKTTLTKMVFNDTQLQYEFFTRIWVYVSKTFSRRQIFLDILSNFTKKTKDYHDLSDELLANKVKEFLEGGKYFIVVDDVWSQQDWDHLKSAFPRNMKGSRVLLTTRHENVASHADSSSNPHHLKFLTNDESWELLKTKVFRKETCPHEVNECGRLIAIKCKGLPLAVVVIAGVLNKNSTSVEWKQVADNPFAEINREKQSYHELVKWSYDHLPFYTKDCFLYLAAFPTGHNIDSWKLMRLWIAEGFIPPTEGGYTLDLERTAEKYLKDLIDRNLLMVLNRRADGQIKTCRIHDTLHEFCKFEAGKKNLFHSTDGNRLETNNSNYRRLCVHHSHIMKFLASDKKPSGENIRSFLSSSSKEVEVSNEFWTTIPKAFPTLRVLDIEYLKFQVLSKEFYHLYYLRYLAISTDLKILPKQFNNLWNMQTLVFKTSQNTIEVKADIWSLTKLRHVLINASAQLPPPSKSTKPSSLAMDLQTLSTISPSSCTEEIFARIPNLQKLGIRGNLSELLESRGGSCLFDNIRSLDHLENLKLLHDAAIGQASKLRSIPRAEKFPRKLRKLTLSSTSFEWKDMYILGSLEELEILKLEENAFRGEFLDLSNVSFKHLQLLRMGRTDLVSWTASKNSFPVLKYLHLRHCANLDAVPPAFAEIESLRVVELFCTNSRAAISARDIFKQKQEKENTDRSGTFVFSIYPPDQ >itb07g08140.t2 pep chromosome:ASM357664v1:7:6348492:6352100:-1 gene:itb07g08140 transcript:itb07g08140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVISIVGMAGLGKTTLTKMVFNDTQLQYEFFTRIWVYVSKTFSRRQIFLDILSNFTKKTKDYHDLSDELLANKVKEFLEGGKYFIVVDDVWSQQDWDHLKSAFPRNMKGSRVLLTTRHENVASHADSSSNPHHLKFLTNDESWELLKTKVFRKETCPHEVNECGRLIAIKCKGLPLAVVVIAGVLNKNSTSVEWKQVADNPFAEINREKQSYHELVKWSYDHLPFYTKDCFLYLAAFPTGHNIDSWKLMRLWIAEGFIPPTEGGYTLDLERTAEKYLKDLIDRNLLMVLNRRADGQIKTCRIHDTLHEFCKFEAGKKNLFHSTDGNRLETNNSNYRRLCVHHSHIMKFLASDKKPSGENIRSFLSSSSKEVEVSNEFWTTIPKAFPTLRVLDIEYLKFQVLSKEFYHLYYLRYLAISTDLKILPKQFNNLWNMQTLVFKTSQNTIEVKADIWSLTKLRHVLINASAQLPPPSKSTKPSSLAMDLQTLSTISPSSCTEEIFARIPNLQKLGIRGNLSELLESRGGSCLFDNIRSLDHLENLKLLHDAAIGQASKLRSIPRAEKFPRKLRKLTLSSTSFEWKDMYILGSLEELEILKLEENAFRGEFLDLSNVSFKHLQLLRMGRTDLVSWTASKNSFPVLKYLHLRHCANLDAVPPAFAEIESLRVVELFCTNSRAAISARDIFKQKQEKENTDRSGTFVFSIYPPDQ >itb03g05030.t1 pep chromosome:ASM357664v1:3:3340716:3341417:1 gene:itb03g05030 transcript:itb03g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASESDVSIHSTFASRYVRASLPRFKMPENSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQASHHIVLLFH >itb13g12330.t1 pep chromosome:ASM357664v1:13:18460753:18462913:-1 gene:itb13g12330 transcript:itb13g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRKLTPMVFIQMASMFVEQDDAMETKVANFKIPAASMSSFDIYSVAVCIFLYKILLDPLVGRIKRSSKGEPEPTTRRMAG >itb09g05900.t1 pep chromosome:ASM357664v1:9:3347322:3349058:1 gene:itb09g05900 transcript:itb09g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQEWIIFILLSLHLCSATIAADIDPNYEWHMCGTSGNYTQNSTYDNNLNTLLSSLSNNVDDYGFYNGSVGQGSDRASAIVLCRGDVELSVCRGCVSDNAVRIKQWCPNQNEAFRWYNICSIYYSDESIIGSLRTTPEIEQHSITPVKNPALFNQDLTSLVDRLRTQAVNGGPFLKYAANSTAGPESQTIYAYVQCTPDLSVGDCNDCLNTAFAKWKESKGNGMIGARVLRPSCFFRYEISSFFGASLINGSNSTPQPLPPPLASASPASSPPPPPQSGKDGNKAPTVTIAASIAAGLVLGVII >itb05g05490.t3 pep chromosome:ASM357664v1:5:5232594:5237392:1 gene:itb05g05490 transcript:itb05g05490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVQFRNDVAAPPQLRHRFPRPHLAAAATTGELQKMKNLSSDLVLQHYFSCLFWLIHLSNLKRDSMVRLATMEVILSSSVPSISSIPRFSAKSSRTHFHFPISHTCNPSNISLSFSSSPKLGLGCRAIPTAISTPLASATGHQHWMVLMESPPQGLTSKPQIIDYYVRTLERVLGSEKDAQMCIYDASCDANFGFCCDIYEEAAHELAGVPGVLSVQPDEKFGSDDKDYEVSKHANDSQDLLTPNIKTKKLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALNEMNGKIINGWMITVDVARKNPPKYNRGSSGSGR >itb05g05490.t2 pep chromosome:ASM357664v1:5:5232594:5237392:1 gene:itb05g05490 transcript:itb05g05490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVQFRNDVAAPPQLRHRFPRPHLAAAATTGELQKMKNLSSDLVLQHYFSCLFWLIHLSNLKRDSMVRLATMEVILSSSVPSISSIPRFSAKSSRTHFHFPISHTCNPSNISLSFSSSPKLGLGCRAIPTAISTPLASATGHQHWMVLMESPPQGLTSKPQIIDYYVRTLERVLGSEKDAQMCIYDASCDANFGFCCDIYEEAAHELAGLPGVLSVMPDRDYISKEKDYRLLSSLSSVYTGSSLLFPAGTSKHWLVRTSRPDVGFIRKAPVVDYYVQILAKVIGNEKDAQMCLYHVSWESNFGFCCELDNECAKELAGVPGVLSVQPDEKFGSDDKDYEGAVSKHANDSQDLLTPNIKTKKLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALNEMNGKIINGWMITVDVARKNPPKYNRGSSGSGR >itb05g05490.t5 pep chromosome:ASM357664v1:5:5232594:5237392:1 gene:itb05g05490 transcript:itb05g05490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVQFRNDVAAPPQLRHRFPRPHLAAAATTGELQKMKNLSSDLVLQHYFSCLFWLIHLSNLKRDSMVRLATMEVILSSSVPSISSIPRFSAKSSRTHFHFPISHTCNPSNISLSFSSSPKLGLGCRAIPTAISTPLASATGHQHWMVLMESPPQGLTSKPQIIDYYVRTLERVLGSEKDAQMCIYDASCDANFGFCCDIYEEAAHELAGLPGVLSVMPDRDYISKEKDYRLLSSLSSVYTGSSLLFPAGTSKHWLVRTSRPDVGFIRKAPVVDYYVQILAKVIGKRYNLIGSEKDAQMCLYHVSWESNFGFCCELDNECAKELAGVPGVLSVQPDEKFGSDDKDYEGAVSKHANDSQDLLTPNIKTKKLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALNEMNGKIINGWMITVDVARKNPPKYNRGSSGSGR >itb05g05490.t1 pep chromosome:ASM357664v1:5:5232594:5237392:1 gene:itb05g05490 transcript:itb05g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVQFRNDVAAPPQLRHRFPRPHLAAAATTGELQKMKNLSSDLVLQHYFSCLFWLIHLSNLKRDSMVRLATMEVILSSSVPSISSIPRFSAKSSRTHFHFPISHTCNPSNISLSFSSSPKLGLGCRAIPTAISTPLASATGHQHWMVLMESPPQGLTSKPQIIDYYVRTLERVLGSEKDAQMCIYDASCDANFGFCCDIYEEAAHELAGLPGVLSVMPDRDYISKEKDYRLLSSLSSVYTGSSLLFPAGTSKHWLVRTSRPDVGFIRKAPVVDYYVQILAKVIGNEKDAQMCLYHVSWESNFGFCCELDNECAKELAGVPGVLSVQPDEKFGSDDKDYEVSKHANDSQDLLTPNIKTKKLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALNEMNGKIINGWMITVDVARKNPPKYNRGSSGSGR >itb05g05490.t4 pep chromosome:ASM357664v1:5:5232594:5237392:1 gene:itb05g05490 transcript:itb05g05490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVQFRNDVAAPPQLRHRFPRPHLAAAATTGELQKMKNLSSDLVLQHYFSCLFWLIHLSNLKRDSMVRLATMEVILSSSVPSISSIPRFSAKSSRTHFHFPISHTCNPSNISLSFSSSPKLGLGCRAIPTAISTPLASATGHQHWMVLMESPPQGLTSKPQIIDYYVRTLERVLGSEKDAQMCIYDASCDANFGFCCDIYEEAAHELAGVPGVLSVQPDEKFGSDDKDYEGAVSKHANDSQDLLTPNIKTKKLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALNEMNGKIINGWMITVDVARKNPPKYNRGSSGSGR >itb04g02540.t1 pep chromosome:ASM357664v1:4:1515260:1519293:-1 gene:itb04g02540 transcript:itb04g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLNVAVIGAGVAGLSAARQLKKNGHRVTVYEKSDRLGGIWVYDPRVESDPLCRDPNREIIHGSLYKSLRTNLPRHLMGFSDYPFRVAKNGHVNNFPGHAEVLKFLDEFAAEFELAGLIRFNTEVVRVEQVEGCRNGEKWVVESRRTSQLSSEEEVFDAVVVCNGHYTQPRLAEFPGMEKWPGKQSHSHNYRDPEPYKNLVVVLIGHSASAHDISREIALVAKEVHLSTRSKDVTLSKFDDYQNIWQHSKIDHVDENGEVVFEDGESIHADAILHCTGFKYEFPFLNTNGVVNVDDNRVGPLYKHVFPPELAPRLSFIGIPYRVIVFQMLELQAKWIAQVLSGNALLPSREEMLADVEAHYRQLEENGIPKHHTHLLCNYDSSMEYLDFLAAQAGVPPVDPELKEMFNNLFKFAYKHGFNSASRDLWDENWRPEE >itb12g10190.t1 pep chromosome:ASM357664v1:12:8245058:8246852:-1 gene:itb12g10190 transcript:itb12g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLHTIMFTNSGKKPAASLPCSRHSLTQAKFLLGTPRNVVTPTPKIAKTPRSLTVVAAVGDVSDAGTTYLIAGAAAVALVGTAFPIFFSRKDTCPECDGAGFVRKAGATLRANAARKDQTQIVCARCNGLGKLNQIDK >itb01g35220.t1 pep chromosome:ASM357664v1:1:37529745:37533584:-1 gene:itb01g35220 transcript:itb01g35220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVICDEHGIDPTGRYKGDEGSGSADLHLERINVYFNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQIWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYNEGDEEQYED >itb07g06390.t1 pep chromosome:ASM357664v1:7:4546390:4550007:1 gene:itb07g06390 transcript:itb07g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLCFSGKACDQTSLIRTTARWRSPRAAVIPNFHLPMRSNEVKNRTYAEDIKALRLITAIKTPYLPDGRFDLEAYDAIVNMQIENGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGMVSHFNSVLPMGPTIIYNVPSRTGQDIPPAVIEAVATSPNLAGVKECVGNDRVEQYTSKGIVVWSGNDDQCHDSRWDHGATGVVSVTSNLVPGLMRELMFGGKNPTLNAKLVALIQWLFEEPNPIGLNTAMAQLGVARPVFRLPYVPLPKKKREEFVKIVNDIGREHFVGENDVEALDDDDFILVGRY >itb08g08480.t2 pep chromosome:ASM357664v1:8:7432551:7436939:-1 gene:itb08g08480 transcript:itb08g08480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSHTATQLTVSLSSCSRQETHKIRSSPKFSMRTAFSFLHTFSVSVLLNTTRSGRWNRRGLFCTASLLRNSSSTSSHHGFYPCRPVYCSTLRLSSPSASTFSEQLVYEPEESLKHEEGLSSSTEREIFNFDSSFESVELKRFDSPVVDVKELEELPEQWRRSRLAWLCKELPAHKHNTLIRILNAQRKWLRQEDATYIVCHCMRIRENEAAFRAYKWMMLQHWFQFDFALATRLADYLGKERKHLKCREVYDDILNQGRVPAESTFHILVVAYLSSYGQSVVEEAFGIYSRMIQLGGYRPRLSLHNSLFKALVGKQGGSFKETLKQADFIYHNLTTSGLQIHKDIYGGLIWLHSYQDLIDKDRIALLRTEMRLRGIEESTDVLVSVLRACSKNGDVEEAERTWSKLLSSNPSPPPQAFMFRMVTYAKIGEHMKSLEIFRRMQEELGSTAAIAYHKIIEVLSKAEKLELAESIMTEFIDSGLGPLRPSFIDMMEMYSTLGIHEKLESTFFQCLQKCCPNRKVFSIYLDSLVQIGSINKAGEVFNQMIENTSIGVNAHCCNSILRGYLSQGEHIKAEKVYRLMRLKKYDIDSSLIEKLSFVLRLRQKDVKEPIRQKLSIEQREVMVGLLLGGLQIKSDAERKKHLVHFEFSENLKHHSVLRRHIYDKYREWLACPDKLADDDDDDVPWVFTTIPHSYFGFYADQFWRKGQPTIPKLIHRWLSPRVLAYWYMYSGYRTSSGDILLRLKGSQEGIENIVKTLKAKSLDCRLKRKGSSFWIGFLGDKSTWFWKLVEPFILNDLKDCLRPGSNLSDDLEGIQTIDSGSESDEKHSECSDGEM >itb08g08480.t1 pep chromosome:ASM357664v1:8:7432551:7436939:-1 gene:itb08g08480 transcript:itb08g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSHTATQLTVSLSSCSRQETHKIRSSPKFSMRTAFSFLHTFSVSVLLNTTRSGRWNRRGLFCTASLLRNSSSTSSHHGFYPCRPVYCSTLRLSSPSASTFSEQLVYEPEESLKHEEGLSSSTEREIFNFDSSFESVELKRFDSPVVDVKELEELPEQWRRSRLAWLCKELPAHKHNTLIRILNAQRKWLRQEDATYIVCHCMRIRENEAAFRAYKWMMLQHWFQFDFALATRLADYLGKERKHLKCREVYDDILNQGRVPAESTFHILVVAYLSSYGQSVVEEAFGIYSRMIQLGGYRPRLSLHNSLFKALVGKQGGSFKETLKQADFIYHNLTTSGLQIHKDIYGGLIWLHSYQDLIDKDRIALLRTEMRLRGIEESTDVLVSVLRACSKNGDVEEAERTWSKLLSSNPSPPPQAFMFRMVTYAKIGEHMKSLEIFRRMQEELGSTAAIAYHKIIEVLSKAEKLELAESIMTEFIDSGLGPLRPSFIDMMEMYSTLGIHEKLESTFFQCLQKCCPNRKVFSIYLDSLVQIGSINKAGEVFNQMIENTSIGVNAHCCNSILRGYLSQGEHIKAEKVYRLMRLKKYDIDSSLIEKLSFVLRLRQKDVKEPIRQKLSIEQREVMVGLLLGGLQIKSDAERKKHLVHFEFSENLKHHSVLRRHIYDKYREWLACPDKLADDDDDDVPWVFTTIPHSYFGFYADQFWRKGQPTIPKLIHRWLSPRVLAYWYMYSGYRTSSGDILLRLKGSQEGIENIVKTLKAKSLDCRLKRKGSSFWIGFLGDKSTWFWKLVEPFILNDLKDCLRPGSNLSDDLEGIQTIDSGSESDEKHSECSDGEM >itb08g08480.t3 pep chromosome:ASM357664v1:8:7432551:7436939:-1 gene:itb08g08480 transcript:itb08g08480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSHTATQLTVSLSSCSRQETHKIRSSPKFSMRTAFSFLHTFSVSVLLNTTRSGRWNRRGLFCTASLLRNSSSTSSHHGFYPCRPVYCSTLRLSSPSASTFSEQLVYEPEESLKHEEGLSSSTEREIFNFDSSFESVELKRFDSPVVDVKELEELPEQWRRSRLAWLCKELPAHKHNTLIRILNAQRKWLRQEDATYIVCHCMRIRENEAAFRAYKWMMLQHWFQFDFALATRLADYLGKERKHLKCREVYDDILNQGRVPAESTFHILVVAYLSSYGQSVVEEAFGIYSRMIQLGGYRPRLSLHNSLFKALVGKQGGSFKETLKQADFIYHNLTTSGLQIHKDIYGGLIWLHSYQDLIDKDRIALLRTEMRLRGIEESTDVLVSVLRACSKNGDVEEAERTWSKLLSSNPSPPPQAFMFRMVTYAKIGEHMKSLEIFRRMQEELGSTAAIAYHKIIEVLSKAEKLELAESIMTEFIDSGLGPLRPSFIDMMEMYSTLGIHEKLESTFFQCLQKCCPNRKVFSIYLDSLVQIGSINKAGEVFNQMIENTSIGVNAHCCNSILRGYLSQGEHIKAEKVYRLMRLKKYDIDSSLIEKLSFVLRLRQKDVKEPIRQKLSIEQREVMVGLLLGGLQIKSDAERKKHLVHFEFSENLKHHSVLRRHIYDKYREWLACPDKLADDDDDDVPWVFTTIPHSYFGFYADQFWRKGQPTIPKLIHRWLSPRVLAYWYMYSGYRTSSGDILLRLKGSQEGIENIVKTLKAKSLDCRLKRKGSSFWIGFLGDKSTWFWKLVEPFILNDLKDCLRPGSNLSDDLEGIQTIDSGSESDEKHSECSDGEM >itb06g11730.t1 pep chromosome:ASM357664v1:6:16249446:16252175:-1 gene:itb06g11730 transcript:itb06g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGLKHMTKALALIQVSALASAVAHTIFNHIRRPPHRHTIATPHRPSPTPSPSSASRAVAQFGHRLHPPSPPSPSTSSPFHPPPSATVSSFDAVSPTAVAGVPTAVNQFATAGSQAQASSSYWPT >itb11g06270.t1 pep chromosome:ASM357664v1:11:3723602:3724108:-1 gene:itb11g06270 transcript:itb11g06270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANFRETSKKNLQHHAVKKTFPAAHAVNLRARMNPPLPYATFGNLWSLATTVTPSSSTAQDDLVFQLRTSIREINAEYVEALKNGKGHSERLRKWHKIIFCKGEAEFCKFSSWCRFPIYEVDFGWGKPVLACTATVPYKNVVRMMSTKCGDGIEAWINMGEDDIWEL >itb06g21070.t1 pep chromosome:ASM357664v1:6:23803911:23805638:1 gene:itb06g21070 transcript:itb06g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGEATSRGVPQSSSSSNGSDAGDFECNICFDLAQDPIVTLCGHLFCWPCLYRWLHIHSRSSECPVCKALVEEEKLVPLYGRGKSSTDPRSKSIPGVEIPHRPTGQRPETAPPPQANAFPQHDFGFGNGLGGFAPGGVARMGNFALSAAFGGLVPSLFGNFALSAAFGGLMPAFNIQVNGFPDATVYGAAAGHPYGYPNTFHGVHAHGVHSHRFSHRSIQQQQADTALKVLCLVIGFFVFLALIWN >itb06g21070.t2 pep chromosome:ASM357664v1:6:23804076:23805638:1 gene:itb06g21070 transcript:itb06g21070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGEATSRGVPQSSSSSNGSDAGDFECNICFDLAQDPIVTLCGHLFCWPCLYRWLHIHSRSSECPVCKALVEEEKLVPLYGRGKSSTDPRSKSIPGVEIPHRPTGQRPETAPPPQANAFPQHDFGFGNGLGGFAPGGVARMGNFALSAAFGGLVPSLFGNFALSAAFGGLMPAFNIQVNGFPDATVYGAAAGHPYGYPNTFHGVHAHGVHSHRFSHRSIQQQQADTALKVLCLVIGFFVFLALIWN >itb06g16850.t1 pep chromosome:ASM357664v1:6:20867730:20868530:-1 gene:itb06g16850 transcript:itb06g16850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRHPDPSGGAAVKHKRNNGCGCNNDNSFRNKKKTLRDSGEGFRHNAAVAVDSENLVRRYPKHSPEEKMKGIATSAGSLEYISPTEKPKIRLVRGEECGASYDVCAICGDAKPHGAMWRGGARCRHSYCEACIKGYVGGKVKENIHKIKCPESDCKRNLDLKFCRELLAEFKEVVETWVDAKREAKVLGNPRWIKCPFKECSKRFVDDGKGFLTTACPKWWRVFCMGCKVEWHMGMTCGQYNKMSREIIDLLEDDEIVSWISLL >itb10g10140.t1 pep chromosome:ASM357664v1:10:14024386:14025450:-1 gene:itb10g10140 transcript:itb10g10140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLSTVFLAILFTVGFIITTFFKIPTKKLFIMWSHPVKATKVGTSATVTDKEELKGVFATFDKNGDGFITKQELKDSLKNIGISMEDKDIAEMMEKVDENKDGLIDLHEFCELCNSLLGVESEREGDGDKNLREAFEVFDGDTDGLITAEELSKVLGSLGLKQGKTLEDFKEMIGRFDLDGDGMVNFDEFKRMMMTQSIIPIS >itb05g01530.t1 pep chromosome:ASM357664v1:5:1264707:1267361:-1 gene:itb05g01530 transcript:itb05g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSRLLVRATTMGWRRPFSTDLPAETAADSTFVEAWKKLIPNVDPPKTPSAYMAPRPATPSSIPSKLTVNFVLPYSSELAGKEVDMVIIPATTGQMGVLPGHVATIAELKPGVMSVHEGNDVSKYFVSGGFAFIHANSFADIIAVEAVPLDRVDANLVQKGLAEFTQKLNTASTDVEKAEAQIGVDVHSALNAALTG >itb10g03970.t1 pep chromosome:ASM357664v1:10:3744810:3747679:-1 gene:itb10g03970 transcript:itb10g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MLMKLLCSSRTKRHYCSFLSPASRESEIIQLCNSGHLLKALKLLNSLGSPEGITAKPILYATLVQGCTKANFFNLGLQFHAHVIKAGLDVDRFVGNSLLALYFKLGRNFFETRRLFDGLVYKDVVSWSSIISGYIRVGKPQLSLALYVEMLDFGVEPNAFTLSTLIKACSELRELRLGQCFHGAVITRGFDDSRVIVSGLIDMYGKNFEAGDARKLFYEMPLVDDFCWTSVISALTKNDLFGEALKIFHSGHRNHKLLPSAHTFGSVLTAVGNLGRLKQGKQLHAKVVALEICGDIFVDSSLVDMYAKCGLINESRRVFDGMAERNSVSWCALLTGYCHKGDFDTVIELFRMMEEVELYSFGTVIRACSGLAALKPGKEVHCQFLRRGGFRDIVVESALVDLYAKCGCFDAAYNVFVRMPARNVVSWNSMISGFAQNGRGEEAIKMFNKMISEGIKPDYITFVSVISACSHTGLVDTGRKYFGLMMDDYKIKPKLEHYGCMIDLLCRAGELEEAECLINSSEFKNDPSLWTCLLGACSNTTDPTVAQRIAKKMIELKPEYHLSYVYLSNVYKAIGRWDDALKVWWEMQHKRVKKIPGKSWVESNKNLGHLSTNPSEENNSESHLGLPLEFHDTICRRYLLYFKVVETGRGPPLELTHGDPELAVSACCRASEEENRQQELFSQQLRGMLIRHPDMFYVSLKGTRHSVFLPEAYHDSQLTEKGRLLLIKENMCSLVSVQRFAWRGIGKCDADKIDGTKSKDEGREEGQEGLDIDNLLSDGFDEDGEATMMTMVKILKMIGAMKMMIYPLTSLMMMKL >itb05g02820.t1 pep chromosome:ASM357664v1:5:2308520:2308963:1 gene:itb05g02820 transcript:itb05g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHNNALPPPEIAPTPPRVIRRQMNVSPAAYYPRPITILPASASAFSCSGAVIRPPTAYHSPASPISLPPTIPAATRAPMIPAATRAPMIPAATRAPMIPAVKVEEAAPSNMGPPMIPAVKVEEAVPWLYVEHMVALLDSIYPFHS >itb10g26110.t3 pep chromosome:ASM357664v1:10:29285854:29292261:-1 gene:itb10g26110 transcript:itb10g26110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFAGNVVVIVVTTVSSVVVLVLALVIGFYIWKRRRIAIKRRGSNDAENLVNTLHDISLSFKYSTLEKATESFNEANKLGQGGFGSVYKGVLADGREIAVKRLILNYKHRASDFYNEVNIISSVEHKNLIRLLGCSCSGPESLLVYEFLPNLSLDRFIFDENKAKELNWEKRLNIMLGTAEGLVHLHENSSTRIIHRDIKASNILLDSRFRPKIADFGLARSFEEDKSHISTAVAGTLGYLPPEYLVHGQLSEKVDVYSFGVLLLEIVTGKQNNMSRNTEYTDSLVNIVWKHFQEERVDELFDPNLILNNYRNIDVKNEALRAVHIGLLCTQEVASLRPPMSKALQMLLKKEEELPLPTNPPFINDKIMQLNSDWDSPTFPLREGDSASIASMFHSSFYPR >itb10g26110.t2 pep chromosome:ASM357664v1:10:29286737:29292261:-1 gene:itb10g26110 transcript:itb10g26110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVLSAPMSYVALKVLVMITLFIPVSNGASRSDTIKRICGNQLMNNTTAYIQTFVAATDNVNQQIGTQGWGISSAGTGPDSNYELAQCYGDLSSLDCVECFTEARTLIGQCFPYNGGRIYLDGCFMRGENYSFFQEVLGAEDMDVCGNDTRKDLLFQETAKRAVLQAVSNAPNSNGYARVEMPVSEAQNESSAYVLANCWKTLNASACKTCLENASNSMLKCLPWSEGRALYTGCFMRYSDHDFLNPIPTKEDSSGRGNVVVIVVTTVSSVVVLVLALVIGFYIWKRRRIAIKRRGSNDAENLVNTLHDISLSFKYSTLEKATESFNEANKLGQGGFGSVYKGVLADGREIAVKRLILNYKHRASDFYNEVNIISSVEHKNLIRLLGCSCSGPESLLVYEFLPNLSLDRFIFDENKAKELNWEKRLNIMLGTAEGLVHLHENSSTRIIHRDIKASNILLDSRFRPKIADFGLARSFEEDKSHISTAVAGTLGYLPPEYLVHGQLSEKVDVYSFGVLLLEIVTGKQNNMSRNTEYTDSLVNIVSFNTIHVARLIAFCLHELSLLVQ >itb10g26110.t4 pep chromosome:ASM357664v1:10:29286819:29288474:-1 gene:itb10g26110 transcript:itb10g26110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFAGNVVVIVVTTVSSVVVLVLALVIGFYIWKRRRIAIKRRGSNDAENLVNTLHDISLSFKYSTLEKATESFNEANKLGQGGFGSVYKGVLADGREIAVKRLILNYKHRASDFYNEVNIISSVEHKNLIRLLGCSCSGPESLLVYEFLPNLSLDRFIFDENKAKELNWEKRLNIMLGTAEGLVHLHENSSTRIIHRDIKASNILLDSRFRPKIADFGLARSFEEDKSHISTAVAGTLGYLPPEYLVHGQLSEKVDVYSFGVLLLEIVTGKQNNMSRNTEYTDSLVNIVSFNTIHVARLIAFCLHELSLLVQ >itb10g26110.t1 pep chromosome:ASM357664v1:10:29285854:29292261:-1 gene:itb10g26110 transcript:itb10g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVLSAPMSYVALKVLVMITLFIPVSNGASRSDTIKRICGNQLMNNTTAYIQTFVAATDNVNQQIGTQGWGISSAGTGPDSNYELAQCYGDLSSLDCVECFTEARTLIGQCFPYNGGRIYLDGCFMRGENYSFFQEVLGAEDMDVCGNDTRKDLLFQETAKRAVLQAVSNAPNSNGYARVEMPVSEAQNESSAYVLANCWKTLNASACKTCLENASNSMLKCLPWSEGRALYTGCFMRYSDHDFLNPIPTKEDSSGRGNVVVIVVTTVSSVVVLVLALVIGFYIWKRRRIAIKRRGSNDAENLVNTLHDISLSFKYSTLEKATESFNEANKLGQGGFGSVYKGVLADGREIAVKRLILNYKHRASDFYNEVNIISSVEHKNLIRLLGCSCSGPESLLVYEFLPNLSLDRFIFDENKAKELNWEKRLNIMLGTAEGLVHLHENSSTRIIHRDIKASNILLDSRFRPKIADFGLARSFEEDKSHISTAVAGTLGYLPPEYLVHGQLSEKVDVYSFGVLLLEIVTGKQNNMSRNTEYTDSLVNIVWKHFQEERVDELFDPNLILNNYRNIDVKNEALRAVHIGLLCTQEVASLRPPMSKALQMLLKKEEELPLPTNPPFINDKIMQLNSDWDSPTFPLREGDSASIASMFHSSFYPR >itb02g07190.t1 pep chromosome:ASM357664v1:2:4464320:4464721:1 gene:itb02g07190 transcript:itb02g07190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIDLRVWCPYGQWVWVKGVGGPHGKQRRGGPHRSPRRQIRIFYASPVSATSSGETRKYPTGGGARIRGNSPSLPSHKAKGFVLPQIPTPGTSSHHSTAPSLPHSSSCLLLPFYFRFYTDAPTSTVPNLIHT >itb11g10820.t1 pep chromosome:ASM357664v1:11:7728415:7731706:1 gene:itb11g10820 transcript:itb11g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTLTLGTRSTLRLRFCKLFSDCFHFQHPYPRIPQSFLSSPPPTTARRRGNHHCRPFTSTSHLFQLQIQSQLQSSDPSSPHLVNELSRVLSDFRNPHHDIEAALSPFRSQISINVVEQVLKRCRNLGFSAHRFFLWAQRLPGFRHSEESYRILVDILGGSKQFPLLWDFLLEMRDAKSCEITPHMFSIVFRAYCRANLPDDAIRAFSKMGDFGIEPNVDDLGHLLYALCKRKHTSHAQKFFDRVKESYPPCTKCYSILIRGWGELGKFSQAQKLFDEMLQRGCSVHLPAYNCLLESLCKGGKMDEAYKMFRKMWSMELKPDAFTYSIFIHSYCQANDIHSAFKVLDRMKIIAALLASTIMSTRTLTLGTRSTLRLRFCKLFSDCFHFQHPYPRIPQSFLSSPPPTTARRRGNHHCRPFTSTSHLFQLQIQSQLQSSDPSSPHLVNELSRVLSDFRNPHHDIEAALSPFRSQISINVVEQVLKRCRNLGFSAHRFFLWAQRLPGFRHSEESYRILVDILGGSKQFPLLWDFLLEMRDAKSCEITPHMFSIVFRAYCRANLPDDAIRAFSKMGDFGIEPNVDDLGHLLYALCKRKHTSHAQKFFDRVKESYPPCTKCYSILIRGWGELGKFSQAQKLFDEMLQRGCSVHLPAYNCLLESLCKGGKMDEAYKMFRKMWSMELKPDAFTYSIFIHSYCQANDIHSAFKVLDRMKMYDLVPNVFTYNCMIKKLCGINKVEDAYQLLDEMVDRGAVPDSWSYNTILAFHCDHNEVNQAFRLISRMDQCGCQPDRHTYNMVLKMLIRVGRFDRVEEVWDGMEERGYYPSVSTYAVMVHGLCQKRGKLEEACKYFEMMIDEGIPPYTSTCELLRNKLIGLGFADEIDILADKMERSTSCSIQELAGLMRGNRRCVKHRDEGEYTDDSDAQ >itb02g13240.t1 pep chromosome:ASM357664v1:2:9252267:9252533:-1 gene:itb02g13240 transcript:itb02g13240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKDSVKVIRDAFAEALVWYYPLAGRLIHHGPKDKFMVDCSAQGISFIEADCNFSMEDLGDAVNRHVFTPKSSCIKCLALMRCLVAH >itb12g19700.t1 pep chromosome:ASM357664v1:12:22106333:22106767:-1 gene:itb12g19700 transcript:itb12g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDEYEKRTEEVVDKAIAVFWDKIQLIKVPQGEEGEVGLGENGKGCEYEGVNCEGEEEECENEGYLESCNAWIDELEEWTGVKWLNGVLMEIKSYMDVVYDEVQKIHVEDEDAMALKACIVLMEMDEADPSGGCLGPDTRLGC >itb09g12890.t1 pep chromosome:ASM357664v1:9:8195414:8196785:-1 gene:itb09g12890 transcript:itb09g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSSQNGGIGAEVVVVMVPLPAQGHLNQLLHLSRLISSYNIPVYYTGAATHLRQAKLRLQGWDPLSLSNLHFHEFPTPSDPHTKIKFSSQLAPFFHAAMLLRDPLRDFLSNLSKNTRRVVVIYDYFMSWNVQDIPSIPNAESYVFHSVSALSIYSFIWEFVQQKGPLPPEAQVLKHLPPNLEHLHPDLDEYGKLQRETLKFNSGALFNSCRMIEGPFLDLLAKEPILRASQQWAIGPFNPVILPENKDSGMRHKSLAWLDKQEQNSVIFVSFGSSISLTEEQINEIAIGLAESEQKFIWVLREAEKGDVIVGEARRAELPQGYEEGMKGKATGNSGPPIHGWVSESLRMEFVHGKHFNGSAHSGVAYAVGPAQERHANHQDGVKRLMGSRDGDEMRRRAEELSRDLKLSVMDGGATRLEMDSFVSHLTREQ >itb01g01270.t1 pep chromosome:ASM357664v1:1:680297:688720:1 gene:itb01g01270 transcript:itb01g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQAAFRKKKEEGRRKKKQTRKKEGSSRQKERRKKKEYQACVVVGECSRREMVVVRQVDTEATLCSFLFLSGNEEKQNNMTIEAGKNWVPFYKLFSYADFTDKILMGIGTVGSIASGVCEIMVVVFFGELIDAFGHNKDRNNVVPAVSKVSLKFVYAAFAMGASSFFQVACWIVTGDRQAARIRNLYLTSILRQDIGFFDKEISTGETTANMSGDIVVLQNAMGEKVGKFIKLVAEFVAGFVVALVRGWHLALVMLSTLPPVGLSAAITLIFMAKTASRAQSAYTTAANVVEQTVSSIKMVASFTGENKAVASYKASLAKAYKSEVYQYLAQGLGIGTFTFILFSSFSLSFWYGGRLVLEKGYMGGEVINVTLAVLFGSMALGKASDCMEAFATGQAAMFKMFEIINRNPEVDANEIDGRVLDDIRGEIELRDVCFSYPTRPKDQILNGFSVLVPSGKTLALVGHSGSGKSTVISLIERFYDPQSGEILIDGVNIKMFKLKWLRQQIGLVSQEPVLFTTTIKENIAYGKDCATMEEIKVAAELANAYKFIKDLPQGLDTMVGERGTQLSGGQKQRIAIARAILKEPRILLLDEATSALDAESERAVQEALEKVMVNRTTVIVAHRLSTIRKADIIAVVHQGQIAEKGTHSQLLKNPEGAYSRLLHLQEANKAEELQGGEDMTAESNIVLEKWSSGSMSIEVAENYPSRHGISSNKTPEKAADVSLCRFASLSKPELPTLAAAATSALIYGAILPVFGLLFANMIQTYYLPPNKMKKDSAFWALMLVVLGAVSLLSILITSCLFGVARGKLINRIASMCFEKVVHTEIGWFDEPQNASGVLAAKLSSDAATIRTLISDALLQMIQNLVSCIIGFVIAFRASWQLSLFSFIMFPLIGANIYVEAKHTKGFSTDTKMLYEDATQVANDAVGNMRTVASFCAEEKVMELYNTKCEKPKRRGIKRGLITGMSFGLTCTLIFFVDASVPYFGAHLIADGKATFEDYFRVFYAMYFTTSVLSQSSSFTQDFRKAKAVAKSIFGLLDRQSKMDLDEKSGLELDSVQGEIEFQNVFYAYPTRPDVKVLYGFSFTVQNGKWLRKQMGLVSQEPVLLNDTIRANITYGKEEDVTEGEVIAAAELANAHKFISGLQQGYDTVVGERGVQLSGGQKQRVAIARAIMKSPRILLLDEATSALDAESERMVQDALDKIMVNRTTIIIAHRLSTVRGADVIAVVKDGAVVEKGKHDMLIAKRDGHYASLVALQTCPSSEPSAADVYSL >itb12g23260.t2 pep chromosome:ASM357664v1:12:25067912:25072107:-1 gene:itb12g23260 transcript:itb12g23260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARAGVAVEGGGGQVALNAGHGAVVVDGGAARKLIKQHQQQQQQQQQRRSQQSQIGTISQLLAGGIAGAVSKTCTAPLARLTILFQVQGMHTDASNLKKASIWREASRIVREEGFRAFWKGNLVTIAHRLPYSAISFYAFERYKNLLHLMLGVEGQGERFSADLCVRLVGGGLAGITAAAATYPLDLVRTRLAAQTSVIYYRGIWHALRTIRREEGARGLYKGLGATLLGVGPNLAISFAVYDTARTYWQDHRPDDSVVLVSLACGSLSGVASSTEKQVEVQACYLVSNP >itb12g23260.t4 pep chromosome:ASM357664v1:12:25067913:25072107:-1 gene:itb12g23260 transcript:itb12g23260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARAGVAVEGGGGQVALNAGHGAVVVDGGAARKLIKQHQQQQQQQQQRRSQQSQIGTISQLLAGGIAGAVSKTCTAPLARLTILFQVQGMHTDASNLKKASIWREASRIVREEGFRAFWKGNLVTIAHRLPYSAISFYAFERYKNLLHLMLGVEGQGERFSADLCVRLVGGGLAGITAAAATYPLDLVRTRLAAQTSVIYYRGIWHALRTIRREEGARGLYKGLGATLLGVGPNLAISFAVYDTARTYWQDHRPDDSVVLVSLACGSLSGVASSTEKQVEVQACYLVSNP >itb12g23260.t3 pep chromosome:ASM357664v1:12:25067912:25072107:-1 gene:itb12g23260 transcript:itb12g23260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARAGVAVEGGGGQVALNAGHGAVVVDGGAARKLIKQHQQQQQQQQQRRSQQSQIGTISQLLAGGIAGAVSKTCTAPLARLTILFQVQGMHTDASNLKKASIWREASRIVREEGFRAFWKGNLVTIAHRLPYSAISFYAFERYKNLLHLMLGVEGQGERFSADLCVRLVGGGLAGITAAAATYPLDLVRTRLAAQTSVIYYRGIWHALRTIRREEGARGLYKGLGATLLGVGPNLAISFAVYDTARTYWQDHRPDDSVVLVSLACGSLSGVASSTGTKSHYHQTKKNMLE >itb12g23260.t1 pep chromosome:ASM357664v1:12:25067912:25072107:-1 gene:itb12g23260 transcript:itb12g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARAGVAVEGGGGQVALNAGHGAVVVDGGAARKLIKQHQQQQQQQQQRRSQQSQIGTISQLLAGGIAGAVSKTCTAPLARLTILFQVQGMHTDASNLKKASIWREASRIVREEGFRAFWKGNLVTIAHRLPYSAISFYAFERYKNLLHLMLGVEGQGERFSADLCVRLVGGGLAGITAAAATYPLDLVRTRLAAQTSVIYYRGIWHALRTIRREEGARGLYKGLGATLLGVGPNLAISFAVYDTARTYWQDHRPDDSVVLVSLACGSLSGVASSTVTFPLDLVKRRMQLEGAGGRARVYKTGLFGTFRHIICSEGIRGIYRGILPEYYKVVPGIGIVFMTYEKLKQLLSDIPS >itb01g18180.t2 pep chromosome:ASM357664v1:1:23156278:23165755:-1 gene:itb01g18180 transcript:itb01g18180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKPLVFLDVCIDGYPQRRMIFELFTDVAPKTAENFRALCTGEKGISDRTGKPLHYKGTLFHRIVKGSMAQAGDFLRQDGNHGESIYGTKFPDEPPKLKHDAPGLLSMAIADRDTRGSLFTLTFSANHNLDRKYVVFGKLLKGHDVLKKIENVGNEEGKPDVMVKIIDSGEFPKDVRNLNKLKLGKVASTLNNHETYRKRKQKKSYQDRRKKKVRYYTSESDSSTDSETESSESDSSTDAGDLSYTSTSTSTETSSSGDDKRRKRKRSKRDKHKHGKRRDHRSEKSRRRRDKKLRRRSRRSSESLSDSDTENSSENDDGVKGLDGRNKIPGKKMDGNQSLVEDREAVSVHKKGDSNDIFEREEGDILKENGGHKNNDQSHQDRQPDVVDDHPGKSRSRSPSPRRSLSKSMSISPRSLNRDPSVGPKHSVRSTSAGPKRSPSRSASINESPHRVSERSTGRLRSGSSKSPARSLSQSPVRGRSVSGSPVRANSQRGRTRSPSASPPRSVRSPPRISSRKSWKSASRSPVRSSQRSVSRSPVRPSRRSASRSPVRPSRKSVSRSPVRSDRRSFSRSPVRSARRSISRSSGRAPSRSPNPSLGRGPSRNNRRSYSRSPSPVSVGRRGRSPISDHGRSSSRSPVGGSPKRIRRGRGFSERYSYARRYRSRSPDRSPVRSYRYQRSDRDRYSSYRRSPRRYRSPPRGRTPPRYRSRRSRSYSVSRSPIRYRSRRDSRNPIRSPSPVERYRASPRVERQRLPSQSRSRSRSESRSSNGSQSPRLRNKEKSISPSRSPPAKGGLVSYGDVSADSD >itb01g18180.t3 pep chromosome:ASM357664v1:1:23156278:23162678:-1 gene:itb01g18180 transcript:itb01g18180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADRDTRGSLFTLTFSANHNLDRKYVVFGKLLKGHDVLKKIENVGNEEGKPDVMVKIIDSGEFPKDVRNLNKLKLGKVASTLNNHETYRKRKQKKSYQDRRKKKVRYYTSESDSSTDSETESSESDSSTDAGDLSYTSTSTSTETSSSGDDKRRKRKRSKRDKHKHGKRRDHRSEKSRRRRDKKLRRRSRRSSESLSDSDTENSSENDDGVKGLDGRNKIPGKKMDGNQSLVEDREAVSVHKKGDSNDIFEREEGDILKENGGHKNNDQSHQDRQPDVVDDHPGKSRSRSPSPRRSLSKSMSISPRSLNRDPSVGPKHSVRSTSAGPKRSPSRSASINESPHRVSERSTGRLRSGSSKSPARSLSQSPVRGRSVSGSPVRANSQRGRTRSPSASPPRSVRSPPRISSRKSWKSASRSPVRSSQRSVSRSPVRPSRRSASRSPVRPSRKSVSRSPVRSDRRSFSRSPVRSARRSISRSSGRAPSRSPNPSLGRGPSRNNRRSYSRSPSPVSVGRRGRSPISDHGRSSSRSPVGGSPKRIRRGRGFSERYSYARRYRSRSPDRSPVRSYRYQRSDRDRYSSYRRSPRRYRSPPRGRTPPRYRSRRSRSYSVSRSPIRYRSRRDSRNPIRSPSPVERYRASPRVERQRLPSQSRSRSRSESRSSNGSQSPRLRNKEKSISPSRSPPAKGGLVSYGDVSADSD >itb01g18180.t1 pep chromosome:ASM357664v1:1:23156200:23165777:-1 gene:itb01g18180 transcript:itb01g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKPLVFLDVCIDGYPQRRMIFELFTDVAPKTAENFRALCTGEKGISDRTGKPLHYKGTLFHRIVKGSMAQAGDFLRQDGNHGESIYGTKFPDEPPKLKHDAPGLLSMAIADRDTRGSLFTLTFSANHNLDRKYVVFGKLLKGHDVLKKIENVGNEEGKPDVMVKIIDSGEFPKDVRNLNKLKLGKVASTLNNHETYRKRKQKKSYQDRRKKKVRYYTSESDSSTDSETESSESDSSTDAGDLSYTSTSTSTETSSSGDDKRRKRKRSKRDKHKHGKRRDHRSEKSRRRRDKKLRRRSRRSSESLSDSDTENSSENDDGVKGLDGRNKIPGKKMDGNQSLVEDREAVSVHKKGDSNDIFEREEGDILKENGGHKNNDQSHQDRQPDVVDDHPGKSRSRSPSPRRSLSKSMSISPRSLNRDPSVGPKHSVRSTSAGPKRSPSRSASINESPHRVSERSTGRLRSGSSKSPARSLSQSPVRGRSVSGSPVRANSQRGRTRSPSASPPRSVRSPPRISSRKSWKSASRSPVRSSQRSVSRSPVRPSRRSASRSPVRPSRKSVSRSPVRSDRRSFSRSPVRSARRSISRSSGRAPSRSPNPSLGRGPSRNNRRSYSRSPSPVSVGRRGRSPISDHGRSSSRSPVGGSPKRIRRGRGFSERYSYARRYRSRSPDRSPVRSYRYQRSDRDRYSSYRRSPRRYRSPPRGRTPPRYRSRRSRSYSVSRSPIRYRSRRDSRNPIRSPSPVERYRASPRVERQRLPSQSRSRSRSESRSSNGSQSPRLRNKEKSISPSRSPPAKGGLVSYGDVSADSD >itb03g03570.t1 pep chromosome:ASM357664v1:3:2093266:2096549:1 gene:itb03g03570 transcript:itb03g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEKQLPPPQPQQAEELGGGMFVKVMTDEQMEVLRKQIAVYATICEQLVDLHKSIASQHDLTGARLGNLYCDPLVTSAGHKITGRQRWTPTPVQLQILERIFDQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQVTATNNVESEVETEVESPNDKKTKPEDFQSSHIATSRAEDVCYQNPVVSSAMHSIDPRSSKAEPMFPSESTSKPAGNFGQMSFYGLSNPRMDHQMIGKVEVPGSYNPYLNAEDYNMTG >itb10g12090.t14 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t14 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t8 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t10 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t16 pep chromosome:ASM357664v1:10:18036071:18041559:1 gene:itb10g12090 transcript:itb10g12090.t16 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t11 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t4 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t7 pep chromosome:ASM357664v1:10:18036071:18041559:1 gene:itb10g12090 transcript:itb10g12090.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t13 pep chromosome:ASM357664v1:10:18036071:18041559:1 gene:itb10g12090 transcript:itb10g12090.t13 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t1 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t12 pep chromosome:ASM357664v1:10:18036157:18039572:1 gene:itb10g12090 transcript:itb10g12090.t12 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t15 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t15 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t6 pep chromosome:ASM357664v1:10:18036157:18040574:1 gene:itb10g12090 transcript:itb10g12090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t5 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t9 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t2 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g12090.t3 pep chromosome:ASM357664v1:10:18036071:18041562:1 gene:itb10g12090 transcript:itb10g12090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MVKYAQNLAFANSIISSTATIPTKIGALFTRFLITSLAETSSSAVYSSESEIRSGVQVDHKCFTSSLDVIDDKDLLRKAPNGELLVLELIDRGAMEPDATLYHKLIKKCAERKRLKEGRVVHKHFVRSRFNHYVVPYNTLINMYSKCDSMQEAQLVFNEMPERDMVSWATLITGYSQNERAVQALAMFHEMLRAGFMPNEFTFGSVLKSAGAAPTDSMIGRQIHGVCLKCGYEENVYAGSALVDMYARCGQMDEAMFVFQAMRSKNEVSWNALIAGHARKGEAENAVNLFSGMKRDAFYPTHYTFSSIFTACACTGALEQGKWVHGHMIKSGLELIAFVGNTLLNMYAKSGSINDAKKVFYRLVKKNIVSWNSMLTACAQHGLGMETVELFEEMLIEGLEPNEVTFLCVLTACSHSGLLDKGMHYFELMKKMKVECDISHYVTIVDLLGRAGQLDRAQSFIKDMPIEPTAAIWKALLGACRKHKNMELGIFAAERVFELDPYDSGPHILLSNIYATAGRLSDAAKVRKAMNDSGVKKEPACSWLELENAVHVFVANDESHPHREEIRKMWKKMTEKIKEIGYVPDTSHALWFMDQQEREERLQEHSEKLALAFGLLNTPAGSTIRIKKNIRVCSDCHTAFKFVSKVVDREIILRDTNRFHHFCNGSCSCGDYW >itb10g09750.t1 pep chromosome:ASM357664v1:10:13278069:13278977:1 gene:itb10g09750 transcript:itb10g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIVMGRIILVTIVCCLFIWHGLLLSGVSAKNTLAPGEYLLPFDDEYLESSNKLFELKFLKLPGSSSAFRCFLSIQWAGYLVTSTSERRTIWVAWLGESETYVVPELKMDREGRLLIYGEREFVINDDQQHPYVMNTTATLLDTGNLVLQGGGRTLWQSFDHPPGNIWIPGMKLGWFGLQKTPQLQQRCLTSWTSEENPSPGAFSLCVDPNNTKQLVAMRRGIVYWHSGVWNGYNFPFLQVNSHLRYFSNHNESYFAWDGNFDAGEAFIRIYATGLISALVEDHSNYTISRINCDRNDTYY >itb09g14750.t1 pep chromosome:ASM357664v1:9:10021225:10024616:-1 gene:itb09g14750 transcript:itb09g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKKQYLHELLREEQEPFQLNTYIADRRCENRRLPPPPKTSVQLKKCSATKRSLCKHACFFSFQDSSSPDVRKSPLAFPSPLSASRTPNGRVRLNVPARTAALLLDAALRIQKQQQQGEKKARPQIGLGLFGSILKRLKDRNRNKKREIKNATDQRKDDDNVVNEGVEVKKGNGGDESLGVSYSRLSSAGWSESNEEKSLDLETSSSCRSEEIDEERIFCSSPLSPFRFSLERCESSGRRTPEFSSPAASPNLCRRQDKENYENGEMENGEQEEEDEKEQCSPVSVLDPPFEDDGVEEEDDEGHDDSDLECSYAIVQRAQQQLLYKLRRFEKLAELDPIELEKIMLEEEEEDYEDNAAECDGVAECVDHESFSSSRGDDDEDIEGFASNGIIPLEIKRLVSDLISEEKTETNREVVWGRVCKRLDSWKEAKSETIDMMVELDLRTASCEWKSLREQVEETAMEIEVGIFGSLVDEFSGELMNKILT >itb05g05710.t1 pep chromosome:ASM357664v1:5:5533712:5536785:-1 gene:itb05g05710 transcript:itb05g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNRRRLRCWLVQSAQQLAVGCLAGVAPSPTDPSLRHDAKTMISTYSFRGSIELGAISSDDTGKRLRWRSSRIPGLRL >itb03g21650.t1 pep chromosome:ASM357664v1:3:19634312:19637596:-1 gene:itb03g21650 transcript:itb03g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQFRLALEAESDIEAELVEIRHQMLDVTLRRLLKDVERLILMIKARTAKGLPTQHPEESSSSSQHASKSEDVTMVGQTEEFKELKKKLVSSNKPLQVMSLVGIGKTTFARKLANDSAVKLRFKNRCGWATMSQEHNKRLVLLQLCRSVMPMRDDISTMNDGELADLLRKSLLGHRYLIIVDDIWTKGAWDDVKGCFPSEVENTGSQILLTTRLEEVSKYACSDNLHDMRFLNFVESWTLFCQKFLGRESLNKEFERIGRKIVENCRGLPLTVVVVAGHLSANRAVHEWESVESTLNSLVNKKQSEQISRILSLSYNNLPCHLKSCFLYLGAYPEDSEIGIKKLIRLWIAEGFIKEKNESEETLEESGEGYLKELMNRSLIMVSERSSNGKVETCKMHDLLHELCASKAKTEKLLCSSKYGYSIESDENRWLSLKIASQDSLHLPALKKSRSILCFDMRKWNDPQWDVSDWDLNSLTKIAQMTAYSFKMLRVLDLTLVDYNGSIPSDIIEVVLLRYLALASNRLLTSIPVSRNRNLQTLVIREDINGVCKLPCGIWELPQLRHLQLYHQLIPMYTPEVAQVNLQTMYWLQCVQCTKQVLSRIPNVKELGIIAQGCISHRCLDDLNCLKKLEKLKVQGTYRPIELQSSTFPQNLKEITFAKTLIPWEAMNVISMLPNLEVLKLKNHACVGQEWKLSVERGFPQLKVLLISVMELKQWELADDGEYTFQVLERLVLRNCFELEAMPSWIENLNNLKSVQLEHCHASLVKSARMIEKEQREWKGEFAFHTQADEDQKLCTLTQSVFLEARSLYIPHEDNPQYWSWTWDSGHEVVVLLAVSWLEIKGKLDTRRLHENTSYSAYLIFKLEWKPYHRQLDRAFTYVRYIKNKRSFSENQRCQVFLTKRRFSKGPGRFPNRRLDGWMEIKLGDFYISSTNEGEVEMRLWNTIATWKSGLIVKGIEVRPS >itb12g03340.t1 pep chromosome:ASM357664v1:12:2172037:2178999:-1 gene:itb12g03340 transcript:itb12g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSHSYSKRSYHNANGFTATASKSAYDDDVFGGRTKLNVPTRSPRLEDYTEVFGGFHSSRPYCIPILDLPVAGNGEVEFPFDLRPSRFDYSEIFGGFRAVDFAVSYEDLLRQSSDGYDSSDEAWSPSPSELLSDEFDPLACSEDNQGLFSSDKFFTLTDINLRTKPSQLPPSSRPLSAFGIKSDQSDRPNSSSKGSADYAFERISGETSPPSFGEEVDTSSPTAALKDAIARAQEKCQRAKESMEKKKQGLQSCKGHSDNISTEDVTNGMFDETPEGEEKEEERGALKSNMKENQLKESIWLDKIDKKSKQGNENNIDDIVMDLEHVQNKRDQDLACKREDEDKEMQDMFKWDQTYKHFTVKNEEPEEQNVNHEKEESKGGESEVRITKIPKQVQEEERFSLASESESENISEYSDELEEIEGIIVDGCKLEELDENASYNEQITIDCESGEALDRACVSNDETVHVPLMHEKVEKIFKNLETTQATFSCDKNERSYHNANGFTATASKSAYDDDVFGGRTKLNVPTRSPRLEDYTEVFGGFHSSRPYCIPILDLPVAGNGEVEFPFDLRPSRFDYSEIFGGFRAVDFAVSYEDLLRQSSDGYDSSDEAWSPSPSELLSDEFDPLACSEDNQGLFSSDKFFTLTDINLRTKPSQLPPSSRPLSAFGIKSDQSDRPNSSSKGSADYAFERISGETSPPSFGEEVDTSSPTAALKDAIARAQEKCQRAKESMEKKKQGLQSCKGHSDNISTEDVTNGMFDETPEGEEKEEERGALKSNMKENQLKESIWLDKIDKKSKQGNENNIDDIVMDLEHVQNKRDQDLACKREDEDKEMQDMFKWDQTYKHFTVKNEEPEEQNVNHEKEESKGGESEVRITKIPKQVQEEERFSLASESESENISEYSDELEEIEGIIVDGCKLEELDENASYNEQITIDCESGEALDRACVSNDETVHVPLMHEKVEKIFKNLETTQATFSCDKNEVKIIEGYNAELESEIGANNLLARERLNSFTDKEENMQYGKSKVVREVARKPPCLDAHFKNAKDVVNGMENSSFKREKIASEMACHSENLQTIIHEGQNRINVAALQFTIYKEVDNEKFARHQLVRDGAENQEKIRDALSVVQEDGEILSRIDKRSTNYCRGRKEMSSNECRNVVEQKFEILKKEKEPKNEYLRKIEAEREREREREKDLMAVSLNTLEKSYAEANGKIERAASEMWQRGTANVKKLVKASTEVGLRAEPAVVERATAEAQQRASEKATAEKTAHDTRERVDTLSSHRFHTSSDNTLMGQDSFSTDLLEHKNHGKSKLRYSYSSANAGIEGESPQRCKARLERYQRTAERAAKALAEKNMRDLLAQRERVERNRLAETLDAEVKRWSSGKEGNLRALLSTLQYILGPESSWHPIPLTEVITSAAVKKAFRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKFTSEER >itb11g09030.t1 pep chromosome:ASM357664v1:11:5960263:5960776:1 gene:itb11g09030 transcript:itb11g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFGSFPVVVGSSVEMAKIFLKTMDINFVDRPKTAAGKYTTYNYSDITWSPYGPYWRQARRICLMELFSAKRLDSYEYIRSQELKSILCELYTTSSTRHPILLKDYLSTLSLNIISQMVLGKNYLNES >itb06g04350.t1 pep chromosome:ASM357664v1:6:6944700:6952441:-1 gene:itb06g04350 transcript:itb06g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVARRKKNKKMVVARCRGSVVAATSKKKEKKERMAMPESSAVFPIIFLSKERDHPTYKSLALGRTHGVFGIGSAINFKGPSSATAGELSESGRYVSIDTKLIVAYEFFSIDSDKLLSFMKHEAGSDYFFVPQIRCRHGTPCKANQKQIANSSVRFPLYLYLDSEFFFFQDESNPTVVVEVVVLFFDDSEQLLNDLHIPVHCICSHQASSNCFRLRSMEEQIDQPF >itb07g00950.t2 pep chromosome:ASM357664v1:7:598100:602131:-1 gene:itb07g00950 transcript:itb07g00950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARNVVPLLGVLVLVIILCSCMAAIGAEQEEEEYKVYKDPNKKIGARIRDLLRRMTLEEKIGQMTQVDRENLTAAVVRDRWLGSVLSGAGSSPRRNATAEEWIEMINGFQKGAMSSRLGIPMIYGSDGVHGNNNVYKATIFPHNIGLGATRDAELVRRIGAATALEARATGIPYVFGPCVSVCRDPRWGRCYETFSEEVEIVREMTSEIVGGLQGHIPPSYRKGVPFVGGKTKVAACARNFVGDGGTTKGKDENDTVIGWHELLSIHMPGFYHSIINGISTIALSYNTFNGQKVHADRYLITDFLKGTLKFRGFVISNWLGIDKITDPEHANYTYSVLKGIQAGIDMVMVPYNYTEFMDTLGYLVKNKFIPMSRIDDAVKRILRVKFTMGLFENPLADHSLVHHVGSQPM >itb07g00950.t1 pep chromosome:ASM357664v1:7:598095:602131:-1 gene:itb07g00950 transcript:itb07g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARNVVPLLGVLVLVIILCSCMAAIGAEQEEEEYKVYKDPNKKIGARIRDLLRRMTLEEKIGQMTQVDRENLTAAVVRDRWLGSVLSGAGSSPRRNATAEEWIEMINGFQKGAMSSRLGIPMIYGSDGVHGNNNVYKATIFPHNIGLGATRDAELVRRIGAATALEARATGIPYVFGPCVSVCRDPRWGRCYETFSEEVEIVREMTSEIVGGLQGHIPPSYRKGVPFVGGKTKVAACARNFVGDGGTTKGKDENDTVIGWHELLSIHMPGFYHSIINGISTIALSYNTFNGQKVHADRYLITDFLKGTLKFRGFVISNWLGIDKITDPEHANYTYSVLKGIQAGIDMVMVPYNYTEFMDTLGYLVKNKFIPMSRIDDAVKRILRVKFTMGLFENPLADHSLVHHVGSQAHRELGREAVRKSLVLLKNGQNGDEPLLPLPKKAAKVLVAGTHAHNIGNQCGGWTITWEGYSGNNMTIGTTVLTGITRVVDPETKVVYNENPKIEFIKSNNFSYAIVVVGEFPYVEYYGDSLNLTIPEPGLTIVSNVCLIMKCVVVLVSGRPLVIEPYLPLIDALVAAWLPGTEGGGVADVLFGDYPFTGKLPRTWFKNVEQLPMNVGDPHYDPLFPFGFGLTTKV >itb07g00950.t3 pep chromosome:ASM357664v1:7:598095:602131:-1 gene:itb07g00950 transcript:itb07g00950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARNVVPLLGVLVLVIILCSCMAAIGAEQEEEEYKVYKDPNKKIGARIRDLLRRMTLEEKIGQMTQVDRENLTAAVVRDRWLGSVLSGAGSSPRRNATAEEWIEMINGFQKGAMSSRLGIPMIYGSDGVHGNNNVYKATIFPHNIGLGATRDAELVRRIGAATALEARATGIPYVFGPCVSVCRDPRWGRCYETFSEEVEIVREMTSEIVGGLQGHIPPSYRKGVPFVGGKTKVAACARNFVGDGGTTKGKDENDTVIGWHELLSIHMPGFYHSIINGISTIALSYNTFNGQKVHADRYLITDFLKGTLKFRGFVISNWLGIDKITDPEHANYTYSVLKGIQAGIDMVMVPYNYTEFMDTLGYLVKNKFIPMSRIDDAVKRILRVKFTMGLFENPLADHSLVHHVGSQAHRELGREAVRKSLVLLKNGQNGDEPLLPLPKKAAKVLVAGTHAHNIGNQCGGWTITWEGYSGNNMTIGMVNIANNIILILNNITYEKCCIIFYRNNGFNWHNAGG >itb01g17380.t1 pep chromosome:ASM357664v1:1:22110048:22112541:-1 gene:itb01g17380 transcript:itb01g17380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADNIDGSLLSPTGNNRKPTSFFSSSKLFTSFSGKNLGEAEVMMSPTSILDTKPFGSFINPFWNDTKSPKRESRVNLGSKGVGLGLVDALIDEKSESKQLNSTSRMVVFGSQLKIQIPSVVSAASSPSSPSDFGIKTRNSQWGSFSAKKSPFGSPESGLENPNSSGSVHSCLSASKMELSEDYTCVISYGPNPRTTHIFDDCIVESCFGVARYSEPKKGNRSMSYPSQNFLSFCHTCKNNLGLGKDIYMYRGEKAFCSSDCRDREMMLEEEGMQISEFEEEEGYDVFTS >itb01g17380.t2 pep chromosome:ASM357664v1:1:22110048:22112541:-1 gene:itb01g17380 transcript:itb01g17380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 8 [Source:Projected from Arabidopsis thaliana (AT3G22550) UniProtKB/Swiss-Prot;Acc:Q8L471] MADNIDGSLLSPTGNNRKPTSFFSSSKLFTSFSGKNLGEAEVMMSPTSILDTKPFGSFINPFWNDTKSPKRESRVNLGSKGVGLGLVDALIDEKSESKQLNSTSRMVVFGSQLKIQIPSVVSAASSPSSPSDFGIKTRNSQWGSFSAKKSPFGSPESGLENPNSSGSVHSCLSASKMELSEDYTCVISYGPNPRTTHIFDDCIVESCFGVARYSEPKKGNRSMSYPSQNFLSFCHTCKNNLGLGKDIYMYRGEKAFCSSDCRDREMMLEEEGMQISEFEEEEGYDVFTS >itb12g11730.t1 pep chromosome:ASM357664v1:12:10185015:10191180:-1 gene:itb12g11730 transcript:itb12g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLREPLDEPAVEGRAVTLVPSLTSTASPNPFCIGAERWATAEEVSQCILQKVQPTAVSEERRRAVIDYVQRLVRGSLGCEVFPYGSVPLKTYLPDGDIDLTIFGGPMMEDALANDLVSLLEEEEHNKGAEFIVTDVQLIRAEVKLVKCIVQNIIVDISFNQIGGLCTLCFLEQVDRLIGKDHLFKRSIILIKAWCYYESRILGAYHGLISTYALETLVLYIFHLFHSKLDGPLAVLYRFLEYFSKFDWEKYCISLSGPVRVSSLPNIVAEAPESDGGGLLLSNDFLSYCAVMFSVSRGMDLNSRTFSSKHLNIVDPLKENNNLGRSVSRGNFYRIRSAFAFGARKLGRILLQSEDKNAVDELRKFFSNTLVRHGSGQRPDVRDFNPLTGYNSFCSAYAVSEINLFQVEKLNSRLKSCDLTSTSGDCKVFPNGSCNIGDRDQESTMDAYQSKLPLETGWHGAGNALDYRLLGDAMELASSINQDLKFPSHLHSFAHLGNDRGAYVVDMPPHNHFTSPTCNGETKMGNSEQASCNRKHFSGLKQRANERKGPEKNRSIDKHQVSIPVVTKDVPLSPKTPASSNGSHHAKQDWPFATGGRSMQPLNSLLDLSGDFNYHFSCLQYGRWCHEYASHMLTFPIPASPASVYLTYSWDAVQQPLQVKRNGFLHGGANSIIPSQAFYAINPLSIPSMAFGLEDIPKPRGTGTYFPNMNQPPKGYRSSAWKGRSQAPMRSPRTNGRNASFTETNTLERSCHEPPESQSAVDQSVVNPSSSPCGRGHPKHHPNKTDLVSHPEGATEFGSGADVPARAPLLERTRQQKHALTPPPQHSSPASPTLGSQLSKPLFSRDHGSNV >itb04g12040.t1 pep chromosome:ASM357664v1:4:11713645:11714439:1 gene:itb04g12040 transcript:itb04g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACADVEFRCFVGGLAWATTERTLDETFGQHGEILESKIINDRETGRSRGFGFVTFKYEHSMRDAIEAMNGQSLDGRNITVNEAQSRGSGGGGGYFRGGRCEGGGGGGYGRREGGYGGGYGGGRARGYGCGDRSYGGGDRGYGGNDRDYGGGYSRGGGASDGSWRN >itb12g19260.t1 pep chromosome:ASM357664v1:12:21641838:21642948:-1 gene:itb12g19260 transcript:itb12g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGSYGGGQSSLGYLFGGAPPDNDKHNKRNETPPPSPICAPPYGIDSDVVNTTVSKPPPPPNSPGNTFERTLSQNSGNFAIANRPSTKVKSAPGGDSSLGYLFGDKI >itb05g01550.t1 pep chromosome:ASM357664v1:5:1270706:1272688:-1 gene:itb05g01550 transcript:itb05g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYRRRLEAALKLDEEGRPFRMLVFRGSPKGSRKSIREIDEMRRSDEESFSCTDVNKQFRAFPKNAGRILDAPNLRDDYYLNIIDWGRTNVLAVALGSVTYLWNATNHGIQQLEEDENNQDDYPSSIAWSTDAKTLGVGCASSKIQLWDAETSKIVRCLKGHEGRVGSIAWNGHVLTSGSFDRSIIHHDVRVSNSLISLLKAHTREVCGLKWSGTGNFLVSGGNDNLVYIWDTFKMSSRDYLHRFNDHSAAVKALAWCPYNYDVLASGGGTFDGCIKMWSIRQGACISSTETRAQASDQICGLQWNKHHKELLSGHGFGERADGTGKLCLWRYPSMSKINDSWNHASRALHLSQSPDGLTVVSAGADETLRFWEIFGPPQADKSRTSDLDNLLSLKTSPIR >itb03g18590.t1 pep chromosome:ASM357664v1:3:16833851:16838062:-1 gene:itb03g18590 transcript:itb03g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGEVKTWNPSLPYEILSLDFAARLSFSSDSVAAVSGDFGGMVTGDPAAVLYPASAGDVVELIEFSYNSSCPFAVAARGHGHSARGQATAMEGVVVDMRSLKRNGGGIRVSWSEALGFYADVGGYQLWVDVLRASLEYGLAPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQIANVLEMDVITGKGEFITCSKHINSELFFAVLGGLGQFGVITRARIVLQKAPTRVKWVRMLYDDFTKFTRDQEHLISIDDGLDYVEGSLVMNQSPANNWRSSFFSPSHQPQIASLISNHGIIYSLEVVKYYDDETATTIDEELQELFKGLSFIPGFVFEKDVSFFDFLNRVRSGEVKLRQKGMWDVPHPWLNLFVPKSRILDFNVGVFVDIILRQNKTSGPILVYPTNKSRWDDRMSAVIPEEETFYCVGLLHSSGVNEWGELDSQNEEILKYCDEAGIKIKQYLPHYKTEEDWEKHFGKKWKTFQQRKALFDPKLILSPGQRIFS >itb14g20400.t1 pep chromosome:ASM357664v1:14:22768991:22772577:-1 gene:itb14g20400 transcript:itb14g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSNQEKPPYRPYRQKKVLTAHTRAVSCVKFSNNGKLFASASLDKTLIVWSTETLSKVSQLDGHSEGVSDLAWSSDSTYVCSASDDRTLRIWVARDGECVKTLRGHTNFVFCVNFNSQSNLIVSGSFDETIRVWDVKTGKSMHVIRAHSMPVTSVQFNRDGSLIVSTSHDGSCKIWDAASGACLKTLIDDKVPAVSFAKFSPNGKYILVATLDNTLRLWNYIDGKILKVYAGHKNSVYCIAATFSVTNGKYIVCGSEDHCVWIWDLQGKNPLQQLEGHTDTVISVSCHPEENMIVSAGLHNDRAVRVWVQD >itb01g34250.t1 pep chromosome:ASM357664v1:1:37031503:37034162:-1 gene:itb01g34250 transcript:itb01g34250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVYGSSDEEYCDQVYNDDDSDYEGLYLDKDCDSGRAPSCKIITKDSLLAAQKEDLQRLMDLLSIKEYHARTLLIHYRWDVDKVFTVFVEKGKERLYADAGLTIELKDDCSLSESTADMTCEICFDDISSAKTTIMDCGHSFCNDCWTEHFIVQINEGRSKRIKCMADKCNAICDEGKIRDLVRARDPKLAEKFDHFLLESYIEDNKQVKWCPSTPHCGNAIRLDECDEYCEVECACGLQFCFGCSSEMHSPCSCLMWEMWMKKCGEESRSVDWITANTRYCPKCSKPVEKNGGCNLVRCICGQPFCWLCGGATGMNHTWDSIEGHTCGRFKEAENKKVIDSRKQIFRYSHYYSRYKAHTDSLKAEASMEQKLQEKVLNLELKGLASKDFSWVTNGFYRLSQSRQLLSYSYVFAYYIFGDELYENDMTQSEKDMKQDLFEDQQQQLETNIERLSMCLDESFDDFPEDKVVQMKMKIVTLSGVIDNFCKKLYDCIESDLLIHLQSNHNVAPYSSCGAVKASELEDTFSTSVTL >itb12g21560.t2 pep chromosome:ASM357664v1:12:23872538:23882795:1 gene:itb12g21560 transcript:itb12g21560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNARFELKSASPDSGFAGNYSNGQRVSYTGPTLDRSGSFNEGAGNRMFGYGKGTSRASGTLTGDIPPVLQCLMLEQIPIGEPKYNRSVELRRVLGLSVGSTSEDNSFGPAHLKPSPPVSMDEVKRFRANLIDTCSKASGRAKKFDELLHRLNKYNEVNRKQQRNELLINERASASNLKMGTQIHRGPSELVTQKSDDRPKNGTLNKRVRTSVAETRSEYRSNGLLRQPVLMTKERDMHKDNNADPDMAEEKVRRLPAGGEGWDKKMKRKRSVGAVISRPIDDDGELKRNVHHKLSSEAGLPSCDSHGFRSGMPGAAGANCKSEGTSSPASSTGRALQSEQEKSTLSRDLTVGLNKEKFLAKGNIKLNSCEESNACPSPITKGKASRASRSGSLVANNSASNASRVPGTLESWEQAPNSVKNLSVGGANNRKRPFPAGSSSPPITQWIGQRPQKISRTRRVNLVSPVSNNDEIQMPSADGCSPSDLGARLTTSTVNGPPLPKGSTSGAQNIKVKTESVLSPARLSESEESGAGENRLKEKGTGCSEGEEKAAHAIQNIGPSNSHMKKSKFLVKEEIGDGVRRQGRSGRVSSFTRGNISPTMEKLDNLSTSKPLRPTRPTSEKNGSKSGRPLKKQSERKGFSRLGHPTSSGSPDFTGDSDDGREELLSAAKSAYNSNVHACSSAFWKKVEAFFASISSEEKFYLSDQLKSAEELHAKLTQFSCPENGVLGDHVQDEISLSDTLSGDRDKCMRNQCGSKDSANADLVHKVQDSTSCAKPDSSKNFDKVTPLYQRVLSALIIEDDLEEFEENGYERSLSLQGPGDSPDEASPFIDCDSRNMDRTEFECESVVGVVQLEKNRTSKFASCNGYGTYSSNTGIRDSPYSNETRLRENGFMHSEIGLLVDLSRCNSDGPQNVLTSSFSVSSLDCQYAQMSVNDRLLLELQSIGLYLEAVPDLEDKEDEVIDQEIMQLEKGLYQQIGKKKTYLGKMSHAIQEGKDVDCWDPEQVAMNKLVEVAYKKLLATRKASKIGVPKVSKQVALAFARRTLARCHKFEESGTSCFNDPVYRDIIFATPPRFSEAELLAGSNLGRAGVLDPYDNHQSDQAFARNGPLSNRGKKKEVLLDDVGGAAFRATSTLGGALFGGAKGKRSERDRDRDTSVRNANAKAGRSSLGNSKGDRKTKTKPKQKTAQLSTSGNGSYNKFLETTNTMHTSVAGSGECVNTNGNMKKESNMPSNSREVKESGNAANLPLNDIDPIGELGVESDLGAPQDFNSWFNFDVDGLADHDSIGLEIPMDDLSELNMF >itb12g21560.t1 pep chromosome:ASM357664v1:12:23872538:23882795:1 gene:itb12g21560 transcript:itb12g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNARSGSFNEGAGNRMFGYGKGTSRASGTLTGDIPPVLQCLMLEQIPIGEPKYNRSVELRRVLGLSVGSTSEDNSFGPAHLKPSPPVSMDEVKRFRANLIDTCSKASGRAKKFDELLHRLNKYNEVNRKQQRNELLINERASASNLKMGTQIHRGPSELVTQKSDDRPKNGTLNKRVRTSVAETRSEYRSNGLLRQPVLMTKERDMHKDNNADPDMAEEKVRRLPAGGEGWDKKMKRKRSVGAVISRPIDDDGELKRNVHHKLSSEAGLPSCDSHGFRSGMPGAAGANCKSEGTSSPASSTGRALQSEQEKSTLSRDLTVGLNKEKFLAKGNIKLNSCEESNACPSPITKGKASRASRSGSLVANNSASNASRVPGTLESWEQAPNSVKNLSVGGANNRKRPFPAGSSSPPITQWIGQRPQKISRTRRVNLVSPVSNNDEIQMPSADGCSPSDLGARLTTSTVNGPPLPKGSTSGAQNIKVKTESVLSPARLSESEESGAGENRLKEKGTGCSEGEEKAAHAIQNIGPSNSHMKKSKFLVKEEIGDGVRRQGRSGRVSSFTRGNISPTMEKLDNLSTSKPLRPTRPTSEKNGSKSGRPLKKQSERKGFSRLGHPTSSGSPDFTGDSDDGREELLSAAKSAYNSNVHACSSAFWKKVEAFFASISSEEKFYLSDQLKSAEELHAKLTQFSCPENGVLGDHVQDEISLSDTLSGDRDKCMRNQCGSKDSANADLVHKVQDSTSCAKPDSSKNFDKVTPLYQRVLSALIIEDDLEEFEENGYERSLSLQGPGDSPDEASPFIDCDSRNMDRTEFECESVVGVVQLEKNRTSKFASCNGYGTYSSNTGIRDSPYSNETRLRENGFMHSEIGLLVDLSRCNSDGPQNVLTSSFSVSSLDCQYAQMSVNDRLLLELQSIGLYLEAVPDLEDKEDEVIDQEIMQLEKGLYQQIGKKKTYLGKMSHAIQEGKDVDCWDPEQVAMNKLVEVAYKKLLATRKASKIGVPKVSKQVALAFARRTLARCHKFEESGTSCFNDPVYRDIIFATPPRFSEAELLAGSNLGRAGVLDPYDNHQSDQAFARNGPLSNRGKKKEVLLDDVGGAAFRATSTLGGALFGGAKGKRSERDRDRDTSVRNANAKAGRSSLGNSKGDRKTKTKPKQKTAQLSTSGNGSYNKFLETTNTMHTSVAGSGECVNTNGNMKKESNMPSNSREVKESGNAANLPLNDIDPIGELGVESDLGAPQDFNSWFNFDVDGLADHDSIGLEIPMDDLSELNMF >itb01g31640.t1 pep chromosome:ASM357664v1:1:35291500:35293882:-1 gene:itb01g31640 transcript:itb01g31640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSFLCGLGRGKKDKGDKKSLKRDDVLEEGDVPGKLSKKDELKSTSVIVPVSFGISGSSESKVVSHESPVKGEAEEVAYEGEDEHDESLSMKRDNSDFDLQAHFDCRGTDKEVQFLKSFDSDMNEETKKMFEEDAAEGVQFMKSGNISDPGVGKIEDWASPKLMRSCSDLATKDSFKRIADQLLPSKSHQSFDEIRKLAGKLSEDVIPQSLGSPVSVMTHGSADKVLLKKHSSSQVLPSASRKLWWKLFLWSHRNLHVESVPKPQVVLTKPALNQQGGYSSDTLEQRNIELSKIGSPGSFTGESLNIGNSNTNNQSWNGFHGASGLWPQNQWVAFPEESSSFSRVDMWVKELPVQPPILIEKEDYIEEDVIFPASPERSSSPLSPLPNASVPEEVAHANSVIRSLNSSSTVALIAGTGLKVIPNMSGLSSLRSVNLSANFIVHITPGSLPKGLHVLNLSRNKIATVEGLRELTRLRVLDLSYNKISRIGQGLSNCTLIKELYLAGNKISDVEGLHRLLKLTVLDVSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKTVCSLLPKIAFLNKQPINPQKAREVGTDAVAKAALGSGSRSSYRKGAKRVSQGGVSLTANAHKSTASVHKNKHRSKTRTHHHSKVRASDVASSSR >itb12g22460.t1 pep chromosome:ASM357664v1:12:24557077:24559219:-1 gene:itb12g22460 transcript:itb12g22460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAVLREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKKEMYFPLRKYAIKV >itb10g07140.t1 pep chromosome:ASM357664v1:10:8423796:8425553:1 gene:itb10g07140 transcript:itb10g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLNHALFSSNISVCQSGGSSSCVSQSLQAFTLMRNRCFRSSGLSQYSKATATESTSQTPSSLNAIKISRVAKSDAKAALFEYLHYTRGFDFVDAEHISKSSPCFLQKLLLKVENEEDVSRALSRFLRYHPINEFEPFFESLGLSPDEIALLLPRNLMFLTDNHLLLDNYHVLSSYGIPRCNIGKIYKQAIEIFQYGDGVLNKQLMACEKLGLSRSTVIKLVSYSPTVLVGDVNNELFEVIEKFKKMGFGNDWIGSYLSSEHSCNWSRILSTTIFLSEVGYNEPQMGTLFKANPALLFEGSGKCAYVLVAQLVKLGLDMDEIHSLLLEYPEILSLKCTKRLWKAMNFLFEIGMETKEIAKIVSSHIELLGSNSLKRPKTVLKYFKGDRCHLRQTIVEDPLNLFRLASKSEIKSIEQTAFRNPATSSEKTTFLLKLGYVENSDEMTKALKMFRGRGDQLQERFDCLVEAGLDCNVVVSMVKKAPTLLNQTKDVLEKKLGSLEKHLGYPVESILSFPSYLCYDMDRINLRFSMYAWLKEKGVAKPMLSLSTLLACSDARFIKYFVYVHPEGPAMWETLKKVYHVS >itb11g04430.t4 pep chromosome:ASM357664v1:11:2315063:2317659:-1 gene:itb11g04430 transcript:itb11g04430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLNMGDCYLSLSSLTWVVAISFLLNILFRKPQLCNLVSKHWQHLHSWSGIIKRTRVVMGCQHRFCRECIDKSMRLGNNECPACRIHCASRRSLRDDPIFDAIIKAIYPDVEKYEEEELVFHEEERALNQQIQASIAQISQRQSEALNKRRKLNKELITTSTPRGSRNYQNSYSRRRRNSQTTEPHQSNHNESEDDPEHKSPTNEHGTQIKPRRCNRRTETPSNQAYASPSAINPEDGHRENSAERIRENPDDSSGHVTPVLKPEAFTWGRGGVRSHVRHGNAGSSRNGHANRLSKLTNYLKSGQRNECQQDAHLQLVSLNVEETPSLDKPYLCCDSNLSINDIREHIAHEVKSQTKDIEIVSMEEKDNENSPNQNSSNSSSELQILPGQETLAGIQSNYHSSKDLTLGYMQKKSNICILD >itb11g04430.t2 pep chromosome:ASM357664v1:11:2315063:2321625:-1 gene:itb11g04430 transcript:itb11g04430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRYSSNYQDEDDDESLYEPQQRRNQRRRGKQSRRRGGGGGGEQSRAPEEELQQQQQRIQLERGEQGRAELEELAPNHDQESIRESSEDDSDGSGTDPEDFDELISVSRSDIRGNVQCPICLGIIKRTRVVMGCQHRFCRECIDKSMRLGNNECPACRIHCASRRSLRDDPIFDAIIKAIYPDVEKYEEEELVFHEEERALNQQIQASIAQISQRQSEALNKRRKLNKELITTSTPRGSRNYQNSYSRRRRNSQTTEPHQSNHNESEDDPEHKSPTNEHGTQIKPRRCNRRTETPSNQAYASPSAINPEDGHRENSAERIRENPDDSSGHVTPVLKPEAFTWGRGGVRSHVRHGNAGSSRNGHANRLSKLTNYLKSGQRNECQQDAHLQLVSLNVEETPSLDKPYLCCDSNLSINDIREHIAHEVKSQTKDIEIVSMEEKDNENSPNQNSSNSSSELQILPGQETLAGIQSNYHSSKDLDTCKRSPTYASWIKISLPKAAKGSSLGKMGFSLLFIW >itb11g04430.t1 pep chromosome:ASM357664v1:11:2315063:2321625:-1 gene:itb11g04430 transcript:itb11g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRYSSNYQDEDDDESLYEPQQRRNQRRRGKQSRRRGGGGGGEQSRAPEEELQQQQQRIQLERGEQGRAELEELAPNHDQESIRESSEDDSDGSGTDPEDFDELISVSRSDIRGNVQCPICLGIIKRTRVVMGCQHRFCRECIDKSMRLGNNECPACRIHCASRRSLRDDPIFDAIIKAIYPDVEKYEEEELVFHEEERALNQQIQASIAQISQRQSEALNKRRKLNKELITTSTPRGSRNYQNSYSRRRRNSQTTEPHQSNHNESEDDPEHKSPTNEHGTQIKPRRCNRRTETPSNQAYASPSAINPEDGHRENSAERIRENPDDSSGHVTPVLKPEAFTWGRGGVRSHVRHGNAGSSRNGHANRLSKLTNYLKSGQRNECQQDAHLQLVSLNVEETPSLDKPYLCCDSNLSINDIREHIAHEVKSQTKDIEIVSMEEKDNENSPNQNSSNSSSELQILPGQETLAGIQSNYHSSKDLTLGYMQKKSNICILD >itb11g04430.t3 pep chromosome:ASM357664v1:11:2315063:2317659:-1 gene:itb11g04430 transcript:itb11g04430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQHRFCRECIDKSMRLGNNECPACRIHCASRRSLRDDPIFDAIIKAIYPDVEKYEEEELVFHEEERALNQQIQASIAQISQRQSEALNKRRKLNKELITTSTPRGSRNYQNSYSRRRRNSQTTEPHQSNHNESEDDPEHKSPTNEHGTQIKPRRCNRRTETPSNQAYASPSAINPEDGHRENSAERIRENPDDSSGHVTPVLKPEAFTWGRGGVRSHVRHGNAGSSRNGHANRLSKLTNYLKSGQRNECQQDAHLQLVSLNVEETPSLDKPYLCCDSNLSINDIREHIAHEVKSQTKDIEIVSMEEKDNENSPNQNSSNSSSELQILPGQETLAGIQSNYHSSKDLTLGYMQKKSNICILD >itb11g00760.t1 pep chromosome:ASM357664v1:11:341345:344754:-1 gene:itb11g00760 transcript:itb11g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKETQILEWQGYYINYKLMKKKVKQYVRQINESDENREYVLKDFSRILDKQIEKTVLFVLEQQGQLAHRLSNLGEQQDSLLQQAEGLNVSELQESYRDVGRDLLKLLFFVEMNAIGLRKILKKFDKRCGYKFTSYYVKTRANHPYSQLRQIFKHVGISAVVGTISHNLVDLQERRGSYVSIYDQPSVHLLDPTIDNIKAAVDRLTNSTNFLQYLGKHALILPEDLPNASEDHGISDRYHFMSLVLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGAVIGSMAIAQVFSSVYFSAWSNKSYLRPLIFSSTVLLVGNTLYALAYDFNSIYILLIGRVFCGLGSARAVNRRYISDCVPLHLRMKASAGFVSASALGMACGPALAGLLQANIKIFNVTFNQDTLPGWVMAAAWLFYLLWLWISFREPPKEEQENVVQLAANNGLIENGVVQNENVTQPLLLSAGEKKRGDDDDDDEEDEDDECDKSEESAEEIQKPVTSVMAAYKLLTPSVKVQLLIYFMLKYAMEILLAESSVVTTYYFIWSSSNVSIFLAFLGLTVLPVSIFVGSYLSNMFEERQVLLASEIMVCLGIILSFQVIIPYSVPQYVCSALITFVSAEVLEGI >itb06g20670.t1 pep chromosome:ASM357664v1:6:23557744:23558586:1 gene:itb06g20670 transcript:itb06g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGRGTRAPTGRLIVGDRKDAVLVVLGGRRRRWWQSSSCFRRLCLPHLRSICTVSHFLLEKRGVG >itb01g34560.t1 pep chromosome:ASM357664v1:1:37189931:37190598:1 gene:itb01g34560 transcript:itb01g34560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKAVRKIKELSVVGSVSGEPQQLTPRKRGRPRKIAVQVEEIEEEEEEEEEETDAEAQDVAESTEAKKLQGEEESENKGKVGEGFASSPSSMKEEKDLSQKQQPRTSRARRKNKPRKSS >itb13g00920.t1 pep chromosome:ASM357664v1:13:821591:824507:1 gene:itb13g00920 transcript:itb13g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGTCLRCCLVIFAVVSALCVSGPAFYWKFKKALKLKASCLPCKCDCSPTLTLFDVAPGLVNLSVTDCGKDDPALKEEMEKQFVDLLSEELKLQEAVDKEHVNRMNMTFTEAKRLASEYQKESEKCNAATDTCEVGRERAEVLLGKERKLTSMWEKRARQMGWSVE >itb04g07270.t1 pep chromosome:ASM357664v1:4:4878971:4890446:-1 gene:itb04g07270 transcript:itb04g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSRGTNAYGQQQQSYSSQSAYAQNLVSGHSGSSAAGPDGSSQLLIASRHSSMLGGSQEANTSAYRTHGHHPSSGPSYGGQYSAVYGSTAQQTASITGKASVPSTIEGRTGFSSSITDSAKFTLGDYVSSTSHIYGHKTELYSDRVPDYPTLERRQYGERHSGYVGGRDLPIEPATRYPDSISFNKHQAEIYDRMDQASIIRKEQLLKAQGLQSGSLEGGPRQSEYLAARSAAVRHAAQNRLSAQDLIAYSTRADPDPHALSTLGSSSFDAQHAPSILGAAPQRTLDDLIYTQNSSNPGYGVSLPPGRDYGVGKGGLHANSLDSDFPSNMMARHSRMDEYKDDRIPYAREFDRMEKERLYLREHEKDRDREKERERERERERERDRDRERERERERERERERERERERERERLRKRERERERERILERREKERDNERKRGAEARHERTPPRISREPRGTSVTKDSRPLRHDSPRHEVLHRRHSPVKEKRREYLCKVYSSSLLETERDFLSLDKIYPRLFISPECSKVVVNWPKGNLKLSLYTPVSFEHDFVEGETANEQKMLSPSKSASASQRLDHGVTVWNAKVILMSGLSKNALDELSSERSYDDRIPHFCNMLRFAVLKKDNSLMAIGGPWNSVDGGDPSVDDSALIQTVLRYAKEMTHLELKDCQHWNRFLEIHYDRFGKDGLFSHKEVTVYFVPDLSVCTPSLDLWREQWFTYKKAIAERERQDALRKEKYEEKKDSKDKDPEKVKDAKRDTKADKKNEISASKKVAEGIRKQNDGKLKQSLTDKGESDKKVAQNEQGVETRDEGTTVNKTGEGETGVQNDSGVKSGKKKIIRRIVKKTIGKKVVANTSKENEETQGKVEVKTASADGGGQQDGSSATPSTVKTFVRKKVIKRVPVLKTAPKVSESGPSEVNEPVPSEVNEPVPSEVNEPVPSEVNELVPSEVKEPLPSEVKTLKESEHSEDTAKTNCGSSTPVKRTVKKKIIKRVRKRKTPITDDSNGVTVAEGNKEGLEEQLAVQAENEVQIAANADEDMISQEVKVSPKVKTSPTATQETKAEEATTVAVSKMESVNSQNDDCSKLEIKEQPKEEKERTDKKDERDVPKSKSIKEVKEKKSEEPQSKSIKEVKEKKSEEPQSKSIKEVKEKKSVEPQSKSIKEVKEKKSEEPQSKSIKGVKEKKSEEPQGKSIKELKEKKSEEPPRHPGFILKTEGSKNHKLRSLSLSLDSLLDYTDKDVEDSRFELSLFAESIYEMLYYEMGLRLLSFLQDLRIKFVIKRNQRKREREEASKKESEEKPLTKRAKTEECVDDTELTGVEKQNKTNPDEKMATVKEEPSSPVKTQDTKMTQDEAVHNESDEDEDPEEDPEEENVEDVEMPDADPQNDADQENVEGRTNTDSKSENVAEDEKPAMGVEASKLNADLDIGSKDNKIIKVETEAKGAQGEVNKDLLQAFRFFDRNRVGHIRVADLRLIIHNLGRSLSNRDVKDLVMSALLESNTGRDDRILYGKLVKMSF >itb14g21670.t2 pep chromosome:ASM357664v1:14:23551838:23555707:1 gene:itb14g21670 transcript:itb14g21670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MASSTPPPHCALTTSKSYQNHNLHHIQNNLQPNRRHRGTSHKVSLSRPPNPRNASSGHTAAYPSFSSSSSFTPELGADFSGRRSTRFVSKMHFGRPKITGAASRHTPAADDALEEVLRFAGDDCALHTLFLSFDSKLCGTDDYIFLLRELGNRGQWSMGLRCFEFAIRRERRRNEQGKLASSMISILGRLGKVDLAEKVFENAVNEGYGNTVYAYSALISAYAKSGCCDEAIRVFGAMKDSGLRPNLVTYNALIDACCKGGADFKRALEIFDELLRNGVQPDRITYNSLLAVCSGAGLWETARRLFSEMIYRGIEQDIYTYNTLLDAACNVGQIDVALEIMSEMPAKNIFPNEVTYSTMIRGCAKVGKFDRALGLFKEMKSKGIKADRVSYNTLLAIYASLGRFEDALDVSKEMEGMGIKKDVVTYNALLDGFSKQGMYNKVKEFFAKMKQENLSPNLLTYSTLISVYFKGGLYQEAVEVYKEFKRQDLKADVVFYSKLIDSLCKKGHVESASLLIDEMMKEGILPNVVTYNSIINAFGLDPSIVCCSLDGITKVESSSTAVVSREVKTMRRGDDREESDDCIIKIFKQLATGKSVNLNNNKTYRQDLLCVLGIFHKMHELEIKPNVVTFSAILNACSRCSSFEEASLLLEELRIFDNHVYGVAHGLLMGHCEDVWVQALSLFDEVKQMDSSTASAFYNALTDMLWHFGQRRGAQLVVLEGKRRNVWENTWSNSCLDLHLMSSGAARAMVHAWLLSIRTIVFEGNELPKWLRMG >itb14g21670.t1 pep chromosome:ASM357664v1:14:23551832:23555707:1 gene:itb14g21670 transcript:itb14g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MASSTPPPHCALTTSKSYQNHNLHHIQNNLQPNRRHRGTSHKVSLSRPPNPRNASSGHTAAYPSFSSSSSFTPELGADFSGRRSTRFVSKMHFGRPKITGAASRHTPAADDALEEVLRFAGDDCALHTLFLSFDSKLCGTDDYIFLLRELGNRGQWSMGLRCFEFAIRRERRRNEQGKLASSMISILGRLGKVDLAEKVFENAVNEGYGNTVYAYSALISAYAKSGCCDEAIRVFGAMKDSGLRPNLVTYNALIDACCKGGADFKRALEIFDELLRNGVQPDRITYNSLLAVCSGAGLWETARRLFSEMIYRGIEQDIYTYNTLLDAACNVGQIDVALEIMSEMPAKNIFPNEVTYSTMIRGCAKVGKFDRALGLFKEMKSKGIKADRVSYNTLLAIYASLGRFEDALDVSKEMEGMGIKKDVVTYNALLDGFSKQGMYNKVKEFFAKMKQENLSPNLLTYSTLISVYFKGGLYQEAVEVYKEFKRQDLKADVVFYSKLIDSLCKKGHVESASLLIDEMMKEGILPNVVTYNSIINAFGLDPSIVCCSLDGITKVESSSTAVVSREVKTMRRGDDREESDDCIIKIFKQLATGKSVNLNNNKTYRQDLLCVLGIFHKMHELEIKPNVVTFSAILNACSRCSSFEEASLLLEELRIFDNHVYGVAHGLLMGHCEDVWVQALSLFDEVKQMDSSTASAFYNALTDMLWHFGQRRGAQLVVLEGKRRNVWENTWSNSCLDLHLMSSGAARAMVHAWLLSIRTIVFEGNELPKWLSILTGWGKHSKVMGDGALKRAIEALLNSIGAPFEIAKCNIGRFVSSGAVVAAWLKESGTLKVLLLQDDRTPPLETTTSTFNNCIPIS >itb14g21670.t3 pep chromosome:ASM357664v1:14:23551862:23555707:1 gene:itb14g21670 transcript:itb14g21670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MASSTPPPHCALTTSKSYQNHNLHHIQNNLQPNRRHRGTSHKVSLSRPPNPRNASSGHTAAYPSFSSSSSFTPELGADFSGRRSTRFVSKMHFGRPKITGAASRHTPAADDALEEVLRFAGDDCALHTLFLSFDSKLCGTDDYIFLLRELGNRGQWSMGLRCFEFAIRRERRRNEQGKLASSMISILGRLGKVDLAEKVFENAVNEGYGNTVYAYSALISAYAKSGCCDEAIRVFGAMKDSGLRPNLVTYNALIDACCKGGADFKRALEIFDELLRNGVQPDRITYNSLLAVCSGAGLWETARRLFSEMIYRGIEQDIYTYNTLLDAACNVGQIDVALEIMSEMPAKNIFPNEVTYSTMIRGCAKVGKFDRALGLFKEMKSKGIKADRVSYNTLLAIYASLGRFEDALDVSKEMEGMGIKKDVVTYNALLDGFSKQGMYNKVKEFFAKMKQENLSPNLLTYSTLISVYFKGGLYQEAVEVYKEFKRQDLKADVVFYSKLIDSLCKKGHVESASLLIDEMMKEGILPNVVTYNSIINAFGLDPSIVCCSLDGITKVESSSTAVVSREVKTMRRGDDREESDDCIIKIFKQLATGKSVNLNNNKTYRQDLLCVLGIFHKMHELEIKPNVVTFSAILNACSRCSSFEEASLLLEELRIFDNHVYGVAHGLLMGHCEDVWVQALSLFDEVKQMDSSTASAFYNALTDMLWHFGQRRGAQLVVLEGKRRNVWENTWSNSCLDLHLMSSGAARAMVHAWLLSIRTIVFEGNELPKWLRYVLFCLFKID >itb02g10590.t1 pep chromosome:ASM357664v1:2:6848007:6848981:-1 gene:itb02g10590 transcript:itb02g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDLAFPLQPTSLHTNKSNTAQISMETRRRNSNSGDKFSFPIIMPVHEQQDGEFEFGCVSTSPGSPNSPADRLFFNGKLLPHDFPCPPAASGFSYSRSTSRASSVSSKDSLMSSSRCNSSNSSRCSSARTSTSESSERKMAAKNIIRYHMMRNSHSGRPVPGSQRWQFMATPAALVDRRKNNRHGTGGASRNQEPKLRKQGDDGEKLINKTAGKSSFGLRILRSFVSTCKECHAIQPSNRETVIL >itb01g00380.t1 pep chromosome:ASM357664v1:1:189403:192600:1 gene:itb01g00380 transcript:itb01g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIDFGRKALFYVRVLSGYEERRIRSYRLQIQQRLQQAEERKAAIKKVPEQIILTEVRNMVEEMQALNKKLEETEGAINEYFKPIEKEAEVVMQMQLEREATTMTEMMKEMHRQALLEEVEAEKKIAMQNLEKNKQLQESAPGTTSQPER >itb02g08380.t1 pep chromosome:ASM357664v1:2:5281568:5283749:1 gene:itb02g08380 transcript:itb02g08380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLLLRSLLLHLYFFTISFHFCRSPAFALPIGIHPLDEKYYSSELIKCKDGSESFTRDRLNDDFCDCLDGTDEPGTAACPKGKFYCKNMGSTPKFLFSSRVNDHICDCCDGSDENDGTFSCPNTCVMGGDFSYQTRSYVSQDNLDSFGRKIVKDGVNREDPVQKVKGLKILVVLQVLLIVIVVGVPLFCRSSRSRRRLPR >itb02g08380.t2 pep chromosome:ASM357664v1:2:5281564:5283749:1 gene:itb02g08380 transcript:itb02g08380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLLLRSLLLHLYFFTISFHFCRSPAFALPIGIHPLDEKYYSSELIKCKDGSESFTRDRLNDDFCDCLDGTDEPGTAACPKGKFYCKNMGSTPKFLFSSRVNDHICDCCDGSDENDGTFSCPNTCVMGGDFSYQTRSYVSQDNLDSFGRKIVKDGVNREDPVQKVKGKLLLIVKLFAMPMPYPGGE >itb07g21210.t1 pep chromosome:ASM357664v1:7:25625591:25626302:-1 gene:itb07g21210 transcript:itb07g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKLAMSETYNDDEGGAHERHDDSDETRRAEYQLGKALAHRAIYGSRLGRGSVTAAGPLLLKLHRHCCLTAANRFLLCSMLQHKGDEVAGSNAMQVAHGGWL >itb04g29930.t4 pep chromosome:ASM357664v1:4:33175481:33189776:1 gene:itb04g29930 transcript:itb04g29930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSCKDKLTYFRLKELKDVLTQLGVSKQGKKQDLVDRILTILSDERVSGMWAKKNAVGKEQVAKIVDDIYRKMQVSGAPDLASKLQGFSDSSSAKIKDEIEDPYRMDKIQCPCGSTLQTDSMIKCEDPKCHVWQHISCVIIAGESGIPPIPPGTFYCELCRLRRADPFLVPVTNPLPSVKLTVTDVPPDGTNPVQSIEKTFQLTRADIDLLAKQEYDLQAWCMLLNDMVYFRMHWPLHTALQFNGVTLRAINRPDTQLLGANGRDDGPIITLCIRDGINKISLTGVDARVFCFGVRIVKWCTVQQILNCIPKESDGERFEDALARVCRVGGGNATENADSDSDIEVVADYIPVNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNRRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCGEDVTEIEVKPDGSWRANVEGDRNSLGDLGLWHLPNGNLYTSSDADSKPKPEILKQEGGSNGHGGLRVGLKKNPNGIWEISKPEDIPTLTNKMQDNFVSGQDVIRASSSATGSGKEGEDRSVNQDAIGILELPTNNGIDFESVSLDLDCAYGISDRNPSIPLGEAEVITLSDSDEENEPSMLHGNVQGNNRADSAIVPFPLQQHGIPDSYHEDPAFANEGNSCPGLFGTSNNDFEMHTWHLSHNTQGSPGFQLFGSDADVSGSFAVVQPQSIDFPSSIDCYGFLTDDNMGSVGVVPDSSVVCPNANINDGLIINSTSFAGADPHLQIFLPTRPSNAAIDTNTRDKPDVANGIQTEDWFSLTLGSGGIGATTDSAAAAANGLNSDQQLQSKDGGLYSLTGTASLLGMNDNKSNKMSRGRSDNPFSFPRKRRSARPRSYLSVNSDSEGQHNM >itb04g29930.t2 pep chromosome:ASM357664v1:4:33175481:33189776:1 gene:itb04g29930 transcript:itb04g29930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSCKDKLTYFRLKELKDVLTQLGVSKQGKKQDLVDRILTILSDERVSGMWAKKNAVGKEQVAKIVDDIYRKMQVSGAPDLASKLQGFSDSSSAKIKDEIEDPYRMDKIQCPCGSTLQTDSMIKCEDPKCHVWQHISCVIIAGESGIPPIPPGTFYCELCRLRRADPFLVPVTNPLPSVKLTVTDVPPDGTNPVQSIEKTFQLTRADIDLLAKQEYDLQAWCMLLNDMVYFRMHWPLHTALQFNGVTLRAINRPDTQLLGANGRDDGPIITLCIRDGINKISLTGVDARVFCFGVRIVKWCTVQQILNCIPKESDGERFEDALARVCRVGGGNATENADSDSDIEVVADYIPVNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNRRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCGEDVTEIEVKPDGSWRANVEGDRNSLGDLGLWHLPNGNLYTSSDADSKPKPEILKQEGGSNGHGGLRVGLKKNPNGIWEISKPEDIPTLTNKMQDNFVSGQDVIRASSSATGSGKEGEDRSVNQDAIGILELPTNNGIDFESVSLDLDCAYGISDRNPSIPLGEAEVITLSDSDEENEPSMLHGNVQGNNRADSAIVPFPLQQHGIPDSYHEDPAFANEGNSCPGLFGTSNNDFEMHTWHLSHNTQGSPGFQLFGSDADVSGSFAVVQPQSIDFPSSIDCYGFLTDDNMGSVGVVPDSSVVCPNANINDGLIINSTSFAGADPHLQIFLPTRPSNAAIDTNTRDKPDVANGIQTEDWFSLTLGSGGIGATTDSAAAAANGLNSDQQLQSKDGGLYSLTGTGKILWNGMEISDTEI >itb04g29930.t5 pep chromosome:ASM357664v1:4:33175342:33190207:1 gene:itb04g29930 transcript:itb04g29930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSCKDKLTYFRLKELKDVLTQLGVSKQGKKQDLVDRILTILSDERVSGMWAKKNAVGKEQVAKIVDDIYRKMQVSGAPDLASKLQGFSDSSSAKIKDEIEDPYRMDKIQCPCGSTLQTDSMIKCEDPKCHVWQHISCVIIAGESGIPPIPPGTFYCELCRLRRADPFLVPVTNPLPSVKLTVTDVPPDGTNPVQSIEKTFQLTRADIDLLAKQEYDLQAWCMLLNDMVYFRMHWPLHTALQFNGVTLRAINRPDTQLLGANGRDDGPIITLCIRDGINKISLTGVDARVFCFGVRIVKWCTVQQILNCIPKESDGERFEDALARVCRVGGGNATENADSDSDIEVVADYIPVNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNRRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCGEDVTEIEVKPDGSWRANVEGDRNSLGDLGLWHLPNGNLYTSSDADSKPKPEILKQEGGSNGHGGLRVGLKKNPNGIWEISKPEDIPTLTNKMQDNFVSGQDVIRASSSATGSGKEGEDRSVNQDAIGILELPTNNGIDFESVSLDLDCAYGISDRNPSIPLGEAEVITLSDSDEENEPSMLHGNVQGNNRADSAIVPFPLQQHGIPDSYHEDPAFANEGNSCPGLFGTSNNDFEMHTWHLSHNTQGSPGFQLFGSDADVSGSFAVVQPQSIDFPSSIDCYGFLTDDNMGSVGVVPDSSVVCPNANINDGLIINSTSFAGADPHLQIFLPTRPSNAAIDTNTRDKPDVANGIQTEDWFSLTLGSGGIGATTDSAAAAANGLNSDQQLQSKDGGLYSLTGTASLLGMNDNKSNKMSRGRSDNPFSFPRKRRSARPRSYLSVNSDSELPCAYDK >itb04g29930.t3 pep chromosome:ASM357664v1:4:33175390:33190207:1 gene:itb04g29930 transcript:itb04g29930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSCKDKLTYFRLKELKDVLTQLGVSKQGKKQDLVDRILTILSDERVSGMWAKKNAVGKEQVAKIVDDIYRKMQVSGAPDLASKLQGFSDSSSAKIKDEIEDPYRMDKIQCPCGSTLQTDSMIKCEDPKCHVWQHISCVIIAGESGIPPIPPGTFYCELCRLRRADPFLVPVTNPLPSVKLTVTDVPPDGTNPVQSIEKTFQLTRADIDLLAKQEYDLQAWCMLLNDMVYFRMHWPLHTALQFNGVTLRAINRPDTQLLGANGRDDGPIITLCIRDGINKISLTGVDARVFCFGVRIVKWCTVQQILNCIPKESDGERFEDALARVCRVGGGNATENADSDSDIEVVADYIPVNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNRRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCGEDVTEIEVKPDGSWRANVEGDRNSLGDLGLWHLPNGNLYTSSDADSKPKPEILKQEGGSNGHGGLRVGLKKNPNGIWEISKPEDIPTLTNKMQDNFVSGQDVIRASSSATGSGKEGEDRSVNQDAIGILELPTNNGIDFESVSLDLDCAYGISDRNPSIPLGEAEVITLSDSDEENEPSMLHGNVQGNNRADSAIVPFPLQQHGIPDSYHEDPAFANEGNSCPGLFGTSNNDFEMHTWHLSHNTQGSPGFQLFGSDADVSGSFAVVQPQSIDFPSSIDCYGFLTDDNMGSVGVVPDSSVVCPNANINDGLIINSTSFAGADPHLQIFLPTRPSNAAIDTNTRDKPDVANGIQTEDWFSLTLGSGGIGATTDSAAAAANGLNSDQQLQSKDGGLYSLTGTASLLGMNDNKSNKMSRGRSDNPFSFPRKRRSARPRSYLSVNSDSE >itb04g29930.t1 pep chromosome:ASM357664v1:4:33175390:33190207:1 gene:itb04g29930 transcript:itb04g29930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGSCKDKLTYFRLKELKDVLTQLGVSKQGKKQDLVDRILTILSDERVSGMWAKKNAVGKEQVAKIVDDIYRKMQVSGAPDLASKLQGFSDSSSAKIKDEIEDPYRMDKIQCPCGSTLQTDSMIKCEDPKCHVWQHISCVIIAGESGIPPIPPGTFYCELCRLRRADPFLVPVTNPLPSVKLTVTDVPPDGTNPVQSIEKTFQLTRADIDLLAKQEYDLQAWCMLLNDMVYFRMHWPLHTALQFNGVTLRAINRPDTQLLGANGRDDGPIITLCIRDGINKISLTGVDARVFCFGVRIVKWCTVQQILNCIPKESDGERFEDALARVCRVGGGNATENADSDSDIEVVADYIPVNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNRRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCGEDVTEIEVKPDGSWRANVEGDRNSLGDLGLWHLPNGNLYTSSDADSKPKPEILKQEGGSNGHGGLRVGLKKNPNGIWEISKPEDIPTLTNKMQDNFVSGQDVIRASSSATGSGKEGEDRSVNQDAIGILELPTNNGIDFESVSLDLDCAYGISDRNPSIPLGEAEVITLSDSDEENEPSMLHGNVQGNNRADSAIVPFPLQQHGIPDSYHEDPAFANEGNSCPGLFGTSNNDFEMHTWHLSHNTQGSPGFQLFGSDADVSGSFAVVQPQSIDFPSSIDCYGFLTDDNMGSVGVVPDSSVVCPNANINDGLIINSTSFAGADPHLQIFLPTRPSNAAIDTNTRDKPDVANGIQTEDWFSLTLGSGGIGATTDSAAAAANGLNSDQQLQSKDGGLYSLTGTASLLGMNDNKSNKMSRGRSDNPFSFPRKRRSARPRSYLSVNSDSELPCAYDK >itb06g05140.t1 pep chromosome:ASM357664v1:6:7901983:7903833:1 gene:itb06g05140 transcript:itb06g05140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENQNQNQRSWSRLGVVRNGEIVEVRGGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLMKKAKPAIDELAQLPAWKPIIHHGENSSVVVAGPSSSSCKTVGENENHGSSFLPPTTSMDTLIKSFFPIPNSNSSANAMHFQSQDLRLSLQSFQDPTILLHHAPPASHGESQHLFAATQLGFNASEHHQRLAVWNAGIDSAAGDGERVSSGTEGYLFNSPAPAAAALYEQVVGGESHPQFLCSQRGPLQSSNTPWIRGWTEPSAAIAITAAADPTQHQTLPFYPSPLSSGLDGFSGFRIPARIQGEEEEEHDGISDKLSSASSHSCH >itb05g27200.t1 pep chromosome:ASM357664v1:5:30697365:30698351:1 gene:itb05g27200 transcript:itb05g27200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKGGMGQQNKQSLSELMFSEPMMKRATKLLVSVSVFSVIVSYISWRSHPLLAFPIDKNYMFLVCNGILVLLAKTSGRLVLRSGPAVFDDHAPPPHAKLLDSLLHKEAAMESPLLLLLENADSDALEEEEEEEKHVIEEEREDDEDSRSLMIVEDKEEEESGGFLKESLMEEDCTSFIMQGDDHEEEEELDEELNNEIFIEAAEKEVAEERERLNKLSAEELNKKFEEFIRKMKEDIRIEAQQQHLVLVK >itb10g21250.t1 pep chromosome:ASM357664v1:10:26555238:26563214:1 gene:itb10g21250 transcript:itb10g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKKALFLSVFLVVVALAFSAAPSSHLYPEVKVQGQEEPYVGVNIGTDVSNFLSPADLVSFLQLQKITHIRLYDADPEMLKALAKTKIRVIVSVPNNQLLAIGSSNTTAAAWIGRNVAAFYPQTLITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVAANLHTLIKISTPNAASIVLDPFPPSQAFFNQSFSSVVSQLLQFLSRTQSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVFDAMIDSVYYSMKNLNVTDVVVLVTETGWPSKGDSKEPYATIDNADTFNSNLIKHIFDHAGTPLHPEITSNVYIYELFNEDLRSPPISEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGIDKKTLQAALDWACGPGMANCSEIQPGESCYQPNDVKNHASYAFNSYYQKEGKSIGSCDFKGAATITTTDPSHGSCVFPGSKTTSNNRTSQTGNSTVASNRCLFFEAHTMVDGGALCKNVVFSEILESAEDSNKAEESWKLGHRKVKSYKSKPLSQTVNKKHQNFLRYYANVNEVWGGRWYQKTSNLTLMAISKKALFLSVFLVVVALAFSAAPSSHLYPEVKVQGQEEPYVGVNIGTDVSNFLSPADLVSFLQLQKITHIRLYDADPEMLKALAKTKIRVIVSVPNNQLLAIGSSNTTAAAWIGRNVAAFYPQTLITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVAANLHTLIKISTPNAASIVLDPFPPSQAFFNQSFSSVVSQLLQFLSRTQSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVFDAMIDSVYYSMKNLNVTDVVVLVTETGWPSKGDSKEPYATIDNADTFNSNLIKHIFDHAGTPLHPEITSNVYIYELFNEDLRSPPISEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGIDKKTLQAALDWACGPGMANCSEIQPGESCYQPNDVKNHASYAFNSYYQKEGKSIGSCDFKGAATITTTDPSHGSCVFPGSKTTSNNRTSQTGNSTVASSACTIRLTQISAFSKVVRIFWGVVFCLICYSFHS >itb04g04550.t4 pep chromosome:ASM357664v1:4:2821065:2832067:-1 gene:itb04g04550 transcript:itb04g04550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLNTYGACFVQGLEMAGKSNKGKNRKGQNATHATEHKVPSDAPDCVSSEANGNAELSESADMKTEMKESSNGAPEDQPKQGGIHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMIPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHESGQPTAAKSGEPNQPIKADIPELDNMGFVDNISSSLSNLLSTISKELKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYANSSTANVLDPRPSKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKRKTSDSLSEVEITNPIQLSSEKIPNNLPHGASEISNGEKPTASSAEHVNGMLDSAVGGAAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGQGSRFCILRPELITAFCQVEATDRSKSKSKAEGEVQETSDSSDANNTDEIPRTEDNAPSQVDKEEKLSESNDAKECCSQSGSKDDIPEEILFNPNAFTDFKLAGNKEDIAADEELVKKVSLYLKDVVLPKFVHDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHFPHLWDLCSNEVVVRSAKHIFKDVMRDSEDHDLASAISHFFNCFFGNIQTTSNKVGTNSVHSRNQKKDHHSSGKFSKGQAKKKSAASAGKKQSSYMNVTSDSLWSDIKEFSKQKYQFELPDDARLRVKKIPVLRNLCLKVGVTVATRKYDLDAVTPFQATDILNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYLLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLSQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCISGYKVSRQHEQKTYDILVKQLGEDDPRTKDSLNWLNTFKMRELQLNAQKQRGQALNETSAHKAYDILKANPSILQAFQAATGGAGLAGVNQSLNAAMLGEALPRGRGVDERAARAAAEVRKKAAAKGLLLRPNAGAVQGLAPLSQILNVINPGITPDAVNRVETDGANKEANEHSTTTNTPADAQGDDNSKPEQPDEAPVGLGSGLASLNAKKQKSKPKVTS >itb04g04550.t3 pep chromosome:ASM357664v1:4:2821065:2832355:-1 gene:itb04g04550 transcript:itb04g04550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGKNRKGQNATHATEHKVPSDAPDCVSSEANGNAELSESADMKTEMKESSNGAPEDQPKQGGVIGGIHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMIPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHESGQPTAAKSGEPNQPIKADIPELDNMGFVDNISSSLSNLLSTISKELKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYANSSTANVLDPRPSKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKRKTSDSLSEVEITNPIQLSSEKIPNNLPHGASEISNGEKPTASSAEHVNGMLDSAVGGAAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGQGSRFCILRPELITAFCQVEATDRSKSKSKAEGEVQETSDSSDANNTDEIPRTEDNAPSQVDKEEKLSESNDAKECCSQSGSKDDIPEEILFNPNAFTDFKLAGNKEDIAADEELVKKVSLYLKDVVLPKFVHDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHFPHLWDLCSNEVVVRSAKHIFKDVMRDSEDHDLASAISHFFNCFFGNIQTTSNKVGTNSVHSRNQKKDHHSSGKFSKGQAKKKSAASAGKKQSSYMNVTSDSLWSDIKEFSKQKYQFELPDDARLRVKKIPVLRNLCLKVGVTVATRKYDLDAVTPFQATDILNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYLLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLSQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCISGYKVSRQHEQKTYDILVKQLGEDDPRTKDSLNWLNTFKMRELQLNAQKQRGQALNETSAHKAYDILKANPSILQAFQAATGGAGLAGVNQSLNAAMLGEALPRGRGVDERAARAAAEVRKKAAAKGLLLRPNAGAVQGLAPLSQILNVINPGITPDAVNRVETDGANKEANEHSTTTNTPADAQGDDNSKPEQPDEAPVGLGSGLASLNAKKQKSKPKVTS >itb04g04550.t5 pep chromosome:ASM357664v1:4:2821065:2832355:-1 gene:itb04g04550 transcript:itb04g04550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGKNRKGQNATHATEHKVPSDAPDCVSSEANGNAELSESADMKTEMKESSNGAPEDQPKQGGVIGGIHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMIPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHESGQPTAAKSGEPNQPIKADIPELDNMGFVDNISSSLSNLLSTISKELKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYANSSTANVLDPRPSKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKRKTSDSLSEVEITNPIQLSSEKIPNNLPHGASEISNGEKPTASSAEHVNGMLDSAVGGAAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGQGSRFCILRPELITAFCQVEATDRSKSKSKAEGEVQETSDSSDANNTDEIPRTEDNAPSQVDKEEKLSESNDAKECCSQSGSKDDIPEEILFNPNAFTDFKLAGNKEDIAADEELVKKVSLYLKDVVLPKFVHDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHFPHLWDLCSNEVVVRSAKHIFKDVMRDSEDHDLASAISHFFNCFFGNIQTTSNKVGTNSVHSRNQKKDHHSSGKFSKGQAKKKSAASAGKKQSSYMNVTSDSLWSDIKEFSKQKYQFELPDDARLRVKKIPVLRNLCLKVGVTVATRKYDLDAVTPFQATDILNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYLLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLSQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCISGYKVSRQHEQKTYDILVKQLGEDDPRTKDSLNWLNTFKMRELQRGQALNETSAHKAYDILKANPSILQAFQAATGGAGLAGVNQSLNAAMLGEALPRGRGVDERAARAAAEVRKKAAAKGLLLRPNAGAVQGLAPLSQILNVINPGITPDAVNRVETDGANKEANEHSTTTNTPADAQGDDNSKPEQPDEAPVGLGSGLASLNAKKQKSKPKVTS >itb04g04550.t2 pep chromosome:ASM357664v1:4:2820946:2832384:-1 gene:itb04g04550 transcript:itb04g04550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGKNRKGQNATHATEHKVPSDAPDCVSSEANGNAELSESADMKTEMKESSNGAPEDQPKQGGIHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMIPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHESGQPTAAKSGEPNQPIKADIPELDNMGFVDNISSSLSNLLSTISKELKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYANSSTANVLDPRPSKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKRKTSDSLSEVEITNPIQLSSEKIPNNLPHGASEISNGEKPTASSAEHVNGMLDSAVGGAAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGQGSRFCILRPELITAFCQVEATDRSKSKSKAEGEVQETSDSSDANNTDEIPRTEDNAPSQVDKEEKLSESNDAKECCSQSGSKDDIPEEILFNPNAFTDFKLAGNKEDIAADEELVKKVSLYLKDVVLPKFVHDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHFPHLWDLCSNEVVVRSAKHIFKDVMRDSEDHDLASAISHFFNCFFGNIQTTSNKVGTNSVHSRNQKKDHHSSGKFSKGQAKKKSAASAGKKQSSYMNVTSDSLWSDIKEFSKQKYQFELPDDARLRVKKIPVLRNLCLKVGVTVATRKYDLDAVTPFQATDILNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYLLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLSQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCISGYKVSRQHEQKTYDILVKQLGEDDPRTKDSLNWLNTFKMRELQLNAQKQRGQALNETSAHKAYDILKANPSILQAFQAATGGAGLAGVNQSLNAAMLGEALPRGRGVDERAARAAAEVRKKAAAKGLLLRPNAGAVQGLAPLSQILNVINPGITPDAVNRVETDGANKEANEHSTTTNTPADAQGDDNSKPEQPDEAPVGLGSGLASLNAKKQKSKPKVTS >itb04g04550.t1 pep chromosome:ASM357664v1:4:2820946:2832384:-1 gene:itb04g04550 transcript:itb04g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGKNRKGQNATHATEHKVPSDAPDCVSSEANGNAELSESADMKTEMKESSNGAPEDQPKQGGIHLYPVTVKTQGGEKLELQLSPGDSVMDVKQFLLDAPETCFFTCYDLLLHTKDGSNYHLEDYNEISEVADITSGNCSLEMIPALYDDRSIRAHVHRTRELLSLSSLHSSLSTSLALQHESGQPTAAKSGEPNQPIKADIPELDNMGFVDNISSSLSNLLSTISKELKCVESIVFSSFNPPPSYRRLAGDLIYLDVVTLEGNKYSITGTTKNFYANSSTANVLDPRPSKTGSEATTLIGLLQKISSKFKKAFREILEQKASAHPFENVQSLLSPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHGTPQERILRDRALYKVTSDFVDAATSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKRKTSDSLSEVEITNPIQLSSEKIPNNLPHGASEISNGEKPTASSAEHVNGMLDSAVGGAAENQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKLHAVYDGSGNIFELAAPVECKGIVGSDDRHYILDLMRVTPRDANYSGQGSRFCILRPELITAFCQVEATDRSKSKSKAEGEVQETSDSSDANNTDEIPRTEDNAPSQVDKEEKLSESNDAKECCSQSGSKDDIPEEILFNPNAFTDFKLAGNKEDIAADEELVKKVSLYLKDVVLPKFVHDLCTLEVSPMDGQTLTEALHAHGINLRYLGKVAEGTRHFPHLWDLCSNEVVVRSAKHIFKDVMRDSEDHDLASAISHFFNCFFGNIQTTSNKVGTNSVHSRNQKKDHHSSGKFSKGQAKKKSAASAGKKQSSYMNVTSDSLWSDIKEFSKQKYQFELPDDARLRVKKIPVLRNLCLKVGVTVATRKYDLDAVTPFQATDILNLQPVVKHSIPISSEAKDLVETGKAQLAEGLLNEAYLLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLSQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEDHIQTAVCYHALAIAFNCISGYKVSRQHEQKTYDILVKQLGEDDPRTKDSLNWLNTFKMRELQLNAQKQRGQALNETSAHKAYDILKANPSILQAFQAATGGAGLAGVNQSLNAAMLGEALPRGRGVDERAARAAAEVRKKAAAKGLLLRPNAGAVQGLAPLSQILNVINPGITPDAVNRVETDGANKEANEHSTTTNTPADAQGDDNSKPEQPDEAPVGLGSGLASLNAKKQKSKPKVTS >itb09g24680.t1 pep chromosome:ASM357664v1:9:24495490:24497579:-1 gene:itb09g24680 transcript:itb09g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSWCNGELGDIKKGPWKVEEDEVLLNHVNKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGVKFSAEEERIVIDLQAQFGNKWARIATYLPGRTDNDVKNFWSSRQKRLARILHNSTPQSSKSRGDSNSGSSVKEVPALLDVPSLEEPKFRSSANEESLSKPQSCSSSYIENFEAIPMLDLMNPTSFTFEPNLLQLEYAQCETKPFHGSQPQLPFPQVQTTDFSLPPLEGQDFASRLGDLNFFDAFGNTSGPEPETSCEGVVKQESDAVINPLSPDSFIDDFSIDMFDHIEPLPSPSDW >itb01g28470.t1 pep chromosome:ASM357664v1:1:32894289:32895465:1 gene:itb01g28470 transcript:itb01g28470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNGMPSGHSFRNLSSPIYPERLRFLSPVVISDMLRRNVRNRPEMKWRVMDITDMQFTSESFDVILDKGGLDALMEPKLGPKLGNQYLAEVFWTHT >itb04g03070.t1 pep chromosome:ASM357664v1:4:1898552:1900268:-1 gene:itb04g03070 transcript:itb04g03070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSALASASSFTSATTLTGRGLSHLPKSYILPPSERPNCTLSTNSSNLPIIDLSSLQHPLLRSRVVQEVRLACKELGFFQVINHEIPPSVVNGALDAANAFFNLPSEEKMQFMSDNVHKPVRYGTSLNHVKDKVHFWRDFLKHYCNPISAWIDLWPSNPPCYKEQIGNYAKAVHELQKKLMELIFESLGLHPTYLKEDIEEGSQVMAVNYYPACPEPELALGLPPHTDYSLLSILVQNQQGLQILDQDKNWHSVPVIEGGLIVQLGDQMEVLSNGQYKPVIHRATVNSETCRISIASLHSLALEKKVGPAPKLVDKQNPLSYKQGSFADFLNFISGNDITAASFIDTLKINK >itb06g18710.t1 pep chromosome:ASM357664v1:6:22308258:22310329:-1 gene:itb06g18710 transcript:itb06g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTIQKCWVVLVGVLLLLLVPSFVAAECEKDDDGAVHNKKLALKYKLGAIASILVASAIGVLLPVLGKKISALSPEGSFFFMIKAFAAGVILATGFIHVLPDAFESLTSEALACHPWGDFPFGGFVAMVAAIGTLMVDSFATSYFSGRAGEKVTAGHEGDAEVGPGVVPVHTHATHGHAHGHVNVTDMDSSSQLLRHRVISQVLELGIIVHSVIIGIALGASDTPKTVKPLVAALTFHQFFEGMGLGGCIAQAKFKSQAVAIMALFFSLTTPIGIVIGIGITNVYDEDSPKALIIQGIFNSASAGILIYMALVDLLAADFMSPKMHKNPKLQFGANASLLLGAGLMSMLAKWA >itb12g24540.t2 pep chromosome:ASM357664v1:12:26033291:26035783:1 gene:itb12g24540 transcript:itb12g24540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGKILRVTDYAHYLVCTLLFFGAFSFILIISTNPNHSMNPLSLFHGQNPPCLPSQPTTEKHRLKMYRDKLEEALAGASMENNKTVIIAVVNKAYVDGDKSMLDMFLDGFWVGEGTRELVKHLLIVAVDQTSYERCTFLGLHCYKLGTDDVDFAGEKVFMSPDFLDMMWRRTQFLGDVLKLGYSFIFTDTDVIWLRNPFPRLRDLNETLDLQISTDNFNGDDRSESNPINTGFYMVRSNNRTIALFNSWYGLKNSSNGKKEQDVLNELISQGVIAKLGLKVKFLNTKFFSGFCQDSGDVKSVVTVHANCCRTITAKLADLTAVIHDWQRFKSFSGDANQTAAFHWSPHDRCMDSWNH >itb12g24540.t1 pep chromosome:ASM357664v1:12:26033291:26035783:1 gene:itb12g24540 transcript:itb12g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGKILRVTDYAHYLEKHRLKMYRDKLEEALAGASMENNKTVIIAVVNKAYVDGDKSMLDMFLDGFWVGEGTRELVKHLLIVAVDQTSYERCTFLGLHCYKLGTDDVDFAGEKVFMSPDFLDMMWRRTQFLGDVLKLGYSFIFTDTDVIWLRNPFPRLRDLNETLDLQISTDNFNGDDRSESNPINTGFYMVRSNNRTIALFNSWYGLKNSSNGKKEQDVLNELISQGVIAKLGLKVKFLNTKFFSGFCQDSGDVKSVVTVHANCCRTITAKLADLTAVIHDWQRFKSFSGDANQTAAFHWSPHDRCMDSWNH >itb05g14250.t1 pep chromosome:ASM357664v1:5:21297132:21297715:-1 gene:itb05g14250 transcript:itb05g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSGSVEWIPEVRCWCGEIAPVRMSWSSANPGKRFRACSWSSANPGKRFRACPRYGVRGNGNCRYFQWLDSDVSDRVAKVIRGLLKRLDKQDSEMQRLQAVIDEKNVNMKKKHLDSKFNFLYGFGIGIVVGFLCFMHWQRTVKSSANVFQLN >itb10g09880.t1 pep chromosome:ASM357664v1:10:13396281:13404403:-1 gene:itb10g09880 transcript:itb10g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIAMENEAIIRGARGGGQEKITVKMKMSRFTGRPPPPLAVHSQPSTAVYSQPSPSLTVSTASLQPSTVSPSTAAPRHPQTVSTASPPPPSTASPRRRRQCPQPAIAVDSVTLLNLLRPYAWDTIFTPFNNENLNPSFSSLIISSQQQQKHRRMELPDDALLPSSPPSPSPTAGRRLLHRRIQLHHRRFPLRSVNVRRRSSRDATGSLSPSSTGA >itb02g25550.t1 pep chromosome:ASM357664v1:2:26290893:26295466:-1 gene:itb02g25550 transcript:itb02g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLLLLFGKSIKLIRELEFTRMASGGKGGLEKLRRCVRTLYFMVAMVASLLVLSAPVLVAIGDVMVQCVLISSFTCISCYSIQEHLHRYTFKSSLTEIPLVSIIRSLVITCVYSMCNSAALSHGPYLVTVTFCSVISVLLLSIKACVFSVNSYLEAEASTSISRQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPDAVLSRVVFSGYQKVPRSPTPSAGKSPRSDSEIRGKPAGLAHLDGQLPVRLLADSDSLFISCHGLTIHYKLSLPGSPPRSLSSITFLDRPAVSSLSRSEQKLRRSFSNQFHTSSLSTPLLDSSPKSPVLSEDIPILSLGETGGEDHINKLPYQLNIRDLEANCQFGIVLVHGFGGGAFSWRNVMGVLARQVGCAVAAFDRPGWGLTSRPRRRDWEENQLPNAYKLDTQVDLLLSFCLEMGFTSVILVGHDDGGLLALKAAQRVQSSMGSINVSNHLSCFNGILLQVHWLKLYFLQTLR >itb02g25550.t2 pep chromosome:ASM357664v1:2:26290893:26295466:-1 gene:itb02g25550 transcript:itb02g25550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLLLLFGKSIKLIRELEFTRMASGGKGGLEKLRRCVRTLYFMVAMVASLLVLSAPVLVAIGDVMVQCVLISSFTCISCYSIQEHLHRYTFKSSLTEIPLVSIIRSLVITCVYSMCNSAALSHGPYLVTVTFCSVISVLLLSIKACVFSVNSYLEAEASTSISRQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPDAVLSRVVFSGYQKVPRSPTPSAGKSPRSDSEIRGKPAGLAHLDGQLPVRLLADSDSLFISCHGLTIHYKLSLPGSPPRSLSSITFLDRPAVSSLSRSEQKLRRSFSNQFHTSSLSTPLLDSSPKSPVLSEDIPILSLGETGGEDHINKLPYQLNIRDLEANCQFGIVLVHGFGGGAFSWRNVMGVLARQVGCAVAAFDRPGWGLTSRPRRRDWEENQLPNAYKLDTQVDLLLSFCLEMGFTSVILVGHDDGGLLALKAAQRVQSSMGSINVEIKGVVLLSVSLTRELVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLSLYKAPLFVEGWDEALHEIGKLSFETVLTPDNAASVLKAVENLPVLVIAGAEDALVPLKSVQIMASKFVNSRLVAISGCGHLPHEECPKALLAAMSPFINRILGIPES >itb11g19560.t1 pep chromosome:ASM357664v1:11:20329635:20330153:-1 gene:itb11g19560 transcript:itb11g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKIVRVGVKYYVVPLLQDQGGGLDLASTGSQSCPASVVQDDAYWWGNTMQFYPVDPKKGVVREWSDLNIEFPDAYTGCPENNVWTIVGDLSVYDDSHYIIAGGEKGNPGSQTLNNWFKIVKTTNAYKLMSCPDVCYYCSYYCRDVGISVEAGQRRLVLSDTPLEINFRKA >itb02g03850.t2 pep chromosome:ASM357664v1:2:2278799:2283180:-1 gene:itb02g03850 transcript:itb02g03850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYTLLLRPNPPLLEAKLPFPQNNKRLIAFRLVQCRSNPKTHTFTPTSKSPVLKNTLSKDQDYKISWVEELKRAYPSHEWEEDDEKFQEMVDRRCVDNVRMLIVDSVQHAKAGHGGMALGLAEVGYFLYRHVMRFNPQSPKWFNRDRFVLSAGHGGLLQYVCLHLAGFQSVQIDDLKSLCKLGSRTPGHPENVITEGIEVTTGPLGQGVANAVGLALAEVHLASRFNKPDIPIVDHRTYCIMGDGCSMEGVTNEAASLAAHWKLNKLTVVYDDNHNTIDGSTDLAVSEDISARFEALGWNTIAVDNTQGDMEALENALNCAHSETQKPTFIRVKTRIGKLSKKEGTSKAHHGTFEEDDVKQMKQKLRWDDRGPFHVIPMVYREMKLQAEKGETLEQEWHSKLYSYQHKYPEEAKEFKALLNGGIVPGWESSLPRWLMSDPVEATRGYSGKCLNHLAKVLPGLIGGSADLASSNQAYLHGYGDFQQPDSPWGRNIRYGVREHAMAGISNGIALHGGGLIPFAATFLVFSDYMKNSIRLSALSHAGVIYIMTHDSIGLGEDGPTHQPVEHLAGLRAIPGLLVFRPADGNEAAGAYKPREYKEAVLPSTVRKRVSVEAGSPLGWREFVGDEGVVIGIDEFGASGPDTHVFNKYGFTEDNRSGGATAKLLFAVVVVVTLSSRSSHALSSCGEGCMPICMLVESATPESCEAACEAYCEQITGGYVPHAYKPAD >itb02g03850.t3 pep chromosome:ASM357664v1:2:2278799:2280786:-1 gene:itb02g03850 transcript:itb02g03850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVEATRGYSGKCLNHLAKVLPGLIGGSADLASSNQAYLHGYGDFQQPDSPWGRNIRYGVREHAMAGISNGIALHGGGLIPFAATFLVFSDYMKNSIRLSALSHAGVIYIMTHDSIGLGEDGPTHQPVEHLAGLRAIPGLLVFRPADGNEAAGAYKPREYKEAVLPSTVRKRVSVEAGSPLGWREFVGDEGVVIGIDEFGASGPDTHVFNKYGFTEDNRSGGATAKLLFAVVVVVTLSSRSSHALSSCGEGCMPICMLVESATPESCEAACEAYCEQITGGYVPHAYKPAD >itb02g03850.t1 pep chromosome:ASM357664v1:2:2278799:2282297:-1 gene:itb02g03850 transcript:itb02g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTENFKMLIRIKDVGPLGQGVANAVGLALAEVHLASRFNKPDIPIVDHRTYCIMGDGCSMEGVTNEAASLAAHWKLNKLTVVYDDNHNTIDGSTDLAVSEDISARFEALGWNTIAVDNTQGDMEALENALNCAHSETQKPTFIRVKTRIGKLSKKEGTSKAHHGTFEEDDVKQMKQKLRWDDRGPFHVIPMVYREMKLQAEKGETLEQEWHSKLYSYQHKYPEEAKEFKALLNGGIVPGWESSLPRWLMSDPVEATRGYSGKCLNHLAKVLPGLIGGSADLASSNQAYLHGYGDFQQPDSPWGRNIRYGVREHAMAGISNGIALHGGGLIPFAATFLVFSDYMKNSIRLSALSHAGVIYIMTHDSIGLGEDGPTHQPVEHLAGLRAIPGLLVFRPADGNEAAGAYKPREYKEAVLPSTVRKRVSVEAGSPLGWREFVGDEGVVIGIDEFGASGPDTHVFNKYGFTEDNRSGGATAKLLFAVVVVVTLSSRSSHALSSCGEGCMPICMLVESATPESCEAACEAYCEQITGGYVPHAYKPAD >itb05g27290.t1 pep chromosome:ASM357664v1:5:30734627:30737738:-1 gene:itb05g27290 transcript:itb05g27290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLSKTGAKRVQLLPAVNDDHGGVIVELQELMDSDVFEAALRDSLAEWKLQGKRGVWIKVPIGLAYLVEIAVKEGFWYHHAEPHYLMLVRWIPETANTIPANVTHRVGIGAIVMNDKRELLVVQENNGRLKGRGVWKIPTGVVEEGEDIFEGAVREVKEETGIDTEFVEVLAFRQIHKAFFGKSDMVFICMLRPLSFDIQKQDLEIEAAQWMPFEEYAAQPFVQKHGFFKSISDLCVAKTEGNYVGLTPVPIRSFFDSHFSYLYLNKEDLEQPDHKIHP >itb05g27290.t2 pep chromosome:ASM357664v1:5:30734627:30737738:-1 gene:itb05g27290 transcript:itb05g27290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLSKTGAKRVQLLPAVNDDHGGVIVELQELMDSDVFEAALRDSLAEWKLQGKRGVWIKVPIGLAYLVEIAVKEGFWYHHAEPHYLMLVRWIPETANTIPANVTHRVGIGAIVMNDKRELLVVQENNGRLKGRGVWKIPTGVVEEGEDIFEGAVREVKEETGIDTEFVEVLAFRQIHKAFFGKSDMVFICMLRPLSFDIQKQDLEIEAAQWMPFEEYAAQPFVQKHGFFKSISDLCVAKTEGNYVGLTPVPIRSFFDSHFSYLYLNKEDLEQPDHKIHP >itb05g27290.t3 pep chromosome:ASM357664v1:5:30734602:30736386:-1 gene:itb05g27290 transcript:itb05g27290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDSNGLTFVILLSKPVATIFQYLLLALYPYKCTLQGKRGVWIKVPIGLAYLVEIAVKEGFWYHHAEPHYLMLVRWIPETANTIPANVTHRVGIGAIVMNDKRELLVVQENNGRLKGRGVWKIPTGVVEEGEDIFEGAVREVKEETGIDTEFVEVLAFRQIHKAFFGKSDMVFICMLRPLSFDIQKQDLEIEAAQWMPFEEYAAQPFVQKHGFFKSISDLCVAKTEGNYVGLTPVPIRSFFDSHFSYLYLNKEDLEQPDHKIHP >itb12g01200.t1 pep chromosome:ASM357664v1:12:879869:883553:1 gene:itb12g01200 transcript:itb12g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANNWLSFSLSSMGMLSSSASPASSQLRHEPGAVKINAPFAASDSPHYYFADHIYGGNGWTNGKAQMMYGEDGNVEAQIHHLPPPPQPQQKQLEDFLGGDTVAAALARYSNSRTETQDSSLTHVSAAAATAAYFNNRENLQAIPGIQAFTTNSGGSDVDDSAAVPRTQPIAAAADFTGNSIASVTALPYSQCPTGALSLAVNPQTAEPAIVSGDSDTAKKTPDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWGATATTNFPISNYNNELEDMKNMTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAAIKFRGMSAVTNFEMSRYDVDTILRNALPIGGAAKRLKLSLEPEQTSPALSGSSSHPSQGSSNSNSSSINFGAIPPVSAIPCGVSFDSSASLYHHPTLFHHLHSGGNAGGSDLSGDISNIPFQTTPTEFFVWSHPSY >itb02g11080.t1 pep chromosome:ASM357664v1:2:7252823:7255296:-1 gene:itb02g11080 transcript:itb02g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITAERKLWKLCPFWQYGTASSTQNLHPSNQNGVIGSTASRSSSSVFSVVRPFLPARRRLRLDPAKNLYFPYEPGKQVQSAVRVKNTTKSYIAFKFQTNAPKSCYMRPPGGILTPGESIIATVFKFVENPENSEKPMNIKTKDKFKIMSLKVKEGTEYAPELFDEQKEQVTIEQILLVVFLDPERPSPALNKLKLQLAEAESAIDVRKKPPADTGPKVVGEGLVIDEWKERREKYLARQQVQAVD >itb01g35980.t1 pep chromosome:ASM357664v1:1:37891425:37892588:-1 gene:itb01g35980 transcript:itb01g35980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFTAMMLISSVLNGGKIGNNNYSSDDDDGSSNGGLINTACNNTPNYALCVSVLASDPRTSSKAVNVETLGLVMVDAVKAKAEEMIETIRELEKSKPVEWRLPLSQCYIYYYYAVVHADVPEAEAALKRGVPKFAEDGMADAAVEAESCEAAFKLQNGDIILEYSGSAIDEINKDVIQLSAVATSIIKMLL >itb01g04230.t1 pep chromosome:ASM357664v1:1:2862122:2865173:1 gene:itb01g04230 transcript:itb01g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIWSFVLMSFITITKGSSNLDLRHRCPDRAYYTPNSPYKANLDVLLSNLYSNATLHNGFYYTTVGSNDTVYGSFLCRGDVSPDVCRNCIGDARKEILEICPNGTTAMIWYDNCMLRYSETSMLGKFDQTTWFTMNNKDNDTQPNAYMRLVGKMLDQITARASTGFDKKFAVLEADFSVFERVYALGQCTPDLSSVDCQICFRSAIALLPRCCNGAVGARAVYPNCYVRYELYPFYNLSAVATPAPPPIHPPPPTMLPNSASSKGKLDLGRLPNGQDVAVKRLSRTSTQGVAEFKNEVALVAKLLHRNLVRVLGFCLHGEEKILIYEFVPNKSLDYFLFDPVKKQQLNWPTRYKIIEGIARGLLYLHEDSHLKIIHRDLKASNVLLDEDMNSKISDFGLAKIFMIDQTQENTSRVVGTYGYMAPEYVMRGLFSGKSDVFSFGVLLLEIITGMKNSSFPSIMESTGPQDLPSYAWKHWREGRPLDMVDQSLGGLYSRNEVIQCIHVGLLCVQEEVDDRPTMANVVLMLNSYSATRRTPNPPAFFTGLSQILAGQEADQSRNKPQPLSVNQVSTSELYPR >itb02g08980.t1 pep chromosome:ASM357664v1:2:5674528:5676623:1 gene:itb02g08980 transcript:itb02g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPAGKRVQEISAEAAEPPPRFFVKDNGFGGGVSSAQVADIPVIDLNLLLGTDPDAKEAEFTRFKSALNFWGCFQLIGHGMSNLFLEKVRGVGKEFFSLPMKEKEKYVRSTQSPEGYGNDSVLAENQILDWCDRLFLKIYPQNQTDLHFWPQTPPDFRKMKGLVDELLKMMAKSLDIEEDGLLNLIGDEPTLEARFNFYPKCPKADWILGVKAHADSSAFTILLQDKEVEGLQVLMDGKWYSVPVLPHALVVNLGDQMQLMSNGMLKSLVHRAAVSSTQERMSLAVFFTPDADKEIGPAEGLVNAERPRLYRSVKNYRVINMKCFQSGEIPIDTLKI >itb03g23270.t1 pep chromosome:ASM357664v1:3:21446695:21448223:-1 gene:itb03g23270 transcript:itb03g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTVMSNAKLQFNPTATSKTRSILFSSGDHLTHLNRFCTPLPKPVLRPRRFRIRATEASQPHDYKLRLNNVLHPSKLKIAVVGFGNFGQFLAKAFVRQGHTVLAHSRTDYSLEAHSMGASFFPDPHDLCEQHPDVILLCTSIISTEPVLRSLPIQRLKRNTLFVDVLSVKEFPKNIFLQLLPPHFDILCTHPMFGPESGKDTWKGLPFVFDKVRIGEDGSRIWRAEKFLDIFASEGCRMVEMKCSEHDRHAAGSQFITHTMGRVLEKLQLETTPINTKGYETLLNLVENTASDSFDLYYGLFMYNKNAMEQLERLDLAFEALKNELFGHLHEKLRKQLFLKRDREGSMERPKLSKLPPNKGKGYALPPPSSNSEILQPQNH >itb06g25140.t1 pep chromosome:ASM357664v1:6:26352134:26353600:1 gene:itb06g25140 transcript:itb06g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNMDSEGQATPVGEKGSTASETPQNGSNPTKKSQEKVPKRVHKAERERLKREHLNDLFLSLANALELSEQANGKATILNETVRSVKDLLGQIEHLKKENAALLSESEYMSVETKELEDENSVLEAEISELQSKLLSQINEIKERTTGSNLDLNLAPPECEEEALSSNVAPDYFSLPANEPASQTEQTMMNPVYIYPFRPDPRAYPAPGAPALPVSTVSKPLARYPTPTNTWSSQILGKRARLENETQGGGSN >itb06g19050.t1 pep chromosome:ASM357664v1:6:22513123:22518317:-1 gene:itb06g19050 transcript:itb06g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNDGKPTSQPEKGFSVVAMALLFVVLCSLSFYLGGIFCSQGWRYVNMDLAKALEYPKEAAVCPLQINATSFAECSPDLQDYTPCTDPKRWKRYRGHRLSFLERHCPPIFERKECLIPPPEGYKLSIRWPKSKNECWYRNVPFDWINKQKSNQHWLRKEGEKFFFPGGGTMFPNGVSHYVDLMQNLIPAMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHQAQVQFALERGIPAILGILSTHRLPFPSSSFDIAHCSRCLIPWTEFGGLYLFEIHRILRPGGFWILSGPPVNYEHRWRGWNTTIEEQKSDYEKLQALLTSICFKPYNKKGDIAVWQKSSDNSCYKKFDTPGTYPPKCDDGTEPDSAWYTPLRPCVVVPNQKANKAASLKSLPKWPQRLHTPPGRISNVYRGSGSSFKHDDSKWKTRVKHYKKLLPALGTDEIRNVMDMNTAYGGFAAALIDDPLWVMNVVSSYGANTLPVVYDRGLIGTHHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRLLRPSKYAIIQESSFYIDAIATIAKGMRWDCRKEDTENGGEKEKILICQKKLWYSSKKQS >itb06g19050.t2 pep chromosome:ASM357664v1:6:22513123:22517114:-1 gene:itb06g19050 transcript:itb06g19050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNDGKPTSQPEKGFSVVAMALLFVVLCSLSFYLGGIFCSQGWRYVNMDLAKALEYPKEAAVCPLQINATSFAECSPDLQDYTPCTDPKRWKRYRGHRLSFLERHCPPIFERKECLIPPPEGYKLSIRWPKSKNECWYRNVPFDWINKQKSNQHWLRKEGEKFFFPGGGTMFPNGVSHYVDLMQNLIPAMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHQAQVQFALERGIPAILGILSTHRLPFPSSSFDIAHCSRCLIPWTEFGGLYLFEIHRILRPGGFWILSGPPVNYEHRWRGWNTTIEEQKSDYEKLQALLTSICFKPYNKKGDIAVWQKSSDNSCYKKFDTPGTYPPKCDDGTEPDSAWYTPLRPCVVVPNQKANKAASLKSLPKWPQRLHTPPGRISNVYRGSGSSFKHDDSKWKTRVKHYKKLLPALGTDEIRNVMDMNTAYGGFAAALIDDPLWVMNVVSSYGANTLPVVYDRGLIGTHHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRLLRPSKYAIIQESSFYIDAIATIAKGMRWDCRKEDTENGGEKEKILICQKKLWYSSKKQS >itb05g18150.t1 pep chromosome:ASM357664v1:5:24972133:24975865:-1 gene:itb05g18150 transcript:itb05g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGMAAGPGLDMPIMHDSDRYDFVKDIGSGNFGIARLMRDKQTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFREVILTPTHLAIVMEYASGGELFERICNAGRFNEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSAAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEVLLRQEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKDFRRTINRILSVQYSIPENIQISEECRHLISRIFVGDPAQRITMPEIKNHAWFLKNLPADLMDDNMMSTQFEEPDQPMQSIDTIMQIVSEATIPPAGLYNLELMDDDMDDLDSDPDLDVDSSGEIVYAM >itb01g28530.t1 pep chromosome:ASM357664v1:1:32941402:32948984:1 gene:itb01g28530 transcript:itb01g28530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNQRSTIDLEQGWDFMQGGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITLTVLPSLREKHDEFMLRELVKRWLNHKIMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYLELNSKIRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDSAAYYSRKASSWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSETKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVLDLSRMYRLFSKIPRGLEPVANTFKQHVTAEGMTLVKQAEDAASHKKADKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSTNAELLATFCDNILKKGGCEKLSDEAIEETLEKVVKLLAYIGDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPLASPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNISGNFEQKTIEMIVTTYQASVLLLFNASDRLSYQEIMAQLNLSDDDVVRLLHSLSCAKYKILNKEPSTKTISSSDVFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNPNMFKYLA >itb01g28530.t2 pep chromosome:ASM357664v1:1:32941663:32948976:1 gene:itb01g28530 transcript:itb01g28530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNQRSTIDLEQGWDFMQGGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITLTVLPSLREKHDEFMLRELVKRWLNHKIMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYLELNSKIRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDSAAYYSRKASSWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSETKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVLDLSRMYRLFSKIPRGLEPVANTFKQHVTAEGMTLVKQAEDAASHKKADKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSTNAELLATFCDNILKKGGCEKLSDEAIEETLEKVVKLLAYIGDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPLASPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNISGNFEQKTIEMIVTTYQASVLLLFNASDRLSYQEIMAQLNLSDDDVVRLLHSLSCAKYKILNKEPSTKTISSSDVFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNPNMFKYLA >itb07g08090.t1 pep chromosome:ASM357664v1:7:6310151:6311818:-1 gene:itb07g08090 transcript:itb07g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCPGDLESIGRRIAMKCDGSRLVVVLTAGVLIDREGTAAEWEKVAKNMCLVMDEVYQKYNGVVKLSYYMLPFYAKDCFLYLAAFPMGCEISAWKLICLWIAEGFIPSSSPSDMEAVGKAYLNEFVNRNLLMVVKRRADGEIKTCRLHDPLHEFCKFEAFKKNLFHEIDRDGRIKGNNDENNYRRLCIHSSLIELIIGGSKDKLSGKHIRSLLTSTKHKIPKQHLAAIPKSYQFLKVFDAESLTFEILPKELYRLYILRYLAISTDNNIIPKLFTHLQNLQTLVFNTSQSTVDVKAEIWNMPKLRHILANASLQLPPAPSDNSSSLSCPELQTLSTISPKSCSEDIFDKTPNLQKLGVRGNVSELLESKESGGICLFDNIRKLEKLKNLKLMHEAAFNDEAAATTLRSIPQADKFPPNLRKLTLSKTSFDWKDICALGSLDKLEVLKLEEFSAKGESWELNVNVVFRSLQFLRIGRTDLVYWTCEHSSFPALKRLHILQCEQLKEVPLSFKDVKSLKIIDLFFTNKEAANSARNIRDQKPKLDNFVLSILPPHH >itb02g12960.t1 pep chromosome:ASM357664v1:2:8960323:8963969:1 gene:itb02g12960 transcript:itb02g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVGKSALSQLRLYSQKADDSSSVAPRRGLHIEPGAREKALLADDSSLRRFKSNTKSVRTLKRVGDVLTVVVVAGCCYEIYVKAVMREEARKKASGST >itb06g22320.t1 pep chromosome:ASM357664v1:6:24656925:24657674:-1 gene:itb06g22320 transcript:itb06g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTFAVRSSPLPVAFPTMMGGRPPDPIAADLQKIRRLLEELRCDGFKFSPEAISCLAADDPLPRPSLAFVQPLQPDRPQQTSDRLILENSHKRSQIRRRRV >itb04g23230.t3 pep chromosome:ASM357664v1:4:28383569:28387331:1 gene:itb04g23230 transcript:itb04g23230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFAELLLVFSTQNGVVRKVNCLVLKHLLVLFYVLSNTSLPGKLLEADALATVSTPLTASSIPDLPIRADLPIFHKPHWKHAPPYVAPGLELVPAQPPKYGPLVTYAHPPSSSHLSRPLMKKSGVVPPTAGLLPPKFDQIAPTQSSASSIPLAQPPLSPQTSDCCGPDMVLKRGSLPCNCVYPIKIDLLLLNVSSNPHWNNLFLNEFAKQLGLQISQIELINFYVIDLSKLNISMDITPYKGISFSAIEASNINSSLYMHKVHLNPALVGGYQLLNITWFKAPASSQAPLAAMSPMEAGPHLPSTHAPFTPADKGKHPNLILIVGIVAGILIFAVISMLIIYACASKRGKKKRSPNETVKPRSVDSIQTVGSFAHPTSTRFLAYEELKEATANFAPSSVLGEGGFGRVFKGVLSDGTAVAIKRLSSGGQQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSIDSSQNLLCYELVPNGSLESWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWVCCDFGTGCHLFLFIFCHTNCVYIFLVNGNHT >itb04g23230.t2 pep chromosome:ASM357664v1:4:28383732:28387996:1 gene:itb04g23230 transcript:itb04g23230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFAELLLVFSTQNGVVRKVNCLVLKHLLVLFYVLSNTSLPGLELVPAQPPKYGPLVTYAHPPSSSHLSRPLMKKSGVVPPTAGLLPPKFDQIAPTQSSASSIPLAQPPLSPQTSDCCGPDMVLKRGSLPCNCVYPIKIDLLLLNVSSNPHWNNLFLNEFAKQLGLQISQIELINFYVIDLSKLNISMDITPYKGISFSAIEASNINSSLYMHKVHLNPALVGGYQLLNITWFKAPASSQAPLAAMSPMEAGPHLPSTHAPFTPADKGKHPNLILIVGIVAGILIFAVISMLIIYACASKRGKKKRSPNETVKPRSVDSIQTVGSFAHPTSTRFLAYEELKEATANFAPSSVLGEGGFGRVFKGVLSDGTAVAIKRLSSGGQQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSIDSSQNLLCYELVPNGSLESWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELVDPRLDGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDSTANSNPRPNLRQSSTTFESDGTSSMFSSGPYSGLSAFEMDISQTAVFSEDLHEGR >itb04g23230.t1 pep chromosome:ASM357664v1:4:28383561:28387996:1 gene:itb04g23230 transcript:itb04g23230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFAELLLVFSTQNGVVRKVNCLVLKHLLVLFYVLSNTSLPGKLLEADALATVSTPLTASSIPDLPIRADLPIFHKPHWKHAPPYVAPGLELVPAQPPKYGPLVTYAHPPSSSHLSRPLMKKSGVVPPTAGLLPPKFDQIAPTQSSASSIPLAQPPLSPQTSDCCGPDMVLKRGSLPCNCVYPIKIDLLLLNVSSNPHWNNLFLNEFAKQLGLQISQIELINFYVIDLSKLNISMDITPYKGISFSAIEASNINSSLYMHKVHLNPALVGGYQLLNITWFKAPASSQAPLAAMSPMEAGPHLPSTHAPFTPADKGKHPNLILIVGIVAGILIFAVISMLIIYACASKRGKKKRSPNETVKPRSVDSIQTVGSFAHPTSTRFLAYEELKEATANFAPSSVLGEGGFGRVFKGVLSDGTAVAIKRLSSGGQQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSIDSSQNLLCYELVPNGSLESWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELVDPRLDGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDSTANSNPRPNLRQSSTTFESDGTSSMFSSGPYSGLSAFEMDISQTAVFSEDLHEGR >itb12g01820.t1 pep chromosome:ASM357664v1:12:1230475:1233913:-1 gene:itb12g01820 transcript:itb12g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVIWQSIKDKLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFDLKHMWKSPNGTIRNILNGTVFREPILCKNIPRLVPSWNKPICIGRHAFGDQYRATDTVIQGAGKLKLVFVPEGKDEKTELEVYNFTGAGGVALSMYNTDESIHAFADASMNMAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEEAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDNNDRLLDFTEKLEAACIGAVESGKMTKDLALIIHGSKLSRDTYLNTEEFIDAVADELKAKLLKAKA >itb01g10490.t1 pep chromosome:ASM357664v1:1:9093195:9094941:1 gene:itb01g10490 transcript:itb01g10490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYLLKLGVEVNALNRNGYTALDVVKADASNSGAKMVIGNGFEVMECGINFIYQELGEDIQNSYLSWKEVIGGDLRAYRLSTGEHLLCRATFTRNNEAEQISERANWSTTSWGKHLFEKDSVNFTEIDAMTWFVRYKGYKREKLF >itb11g02250.t2 pep chromosome:ASM357664v1:11:1123666:1134346:-1 gene:itb11g02250 transcript:itb11g02250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFQRIQSLDPENASKIMGLLLIQDHGEKEMIRLAFGPESLLQSVIVKTKIELGLSPNSPSTPSSPSPFGNSISVPFSRQNSSSSGGSGRILGGGGINLPSPLSINTQSNASNSWANSSFSEFQSPEGLVSPSPSANGVSSMNSAAPPFYGGAGVGEVDLIDEFQLQDQLSFLNDGSPTLGAKNPDIFYPHQDLASSPNGDSGLFSSYSAGWGGGGFPHRRSCSVSDICLGGSDDPNGGFGWKPCLYYARGYCKNGNSCRFLHGGSGDGGGGGDAGMVGSPSKFEMMEQCQEILRSKSSQQQRLAAASQLMAASSTFPYSPVSANKCMNFLLQQQHLQAESPRAAAALMLGDEVHKFSRTRFERGDFGMNLGANNSSSRQIYLTFPADSTFKEEDVSNYFSNYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPEKFRKQHQQQEMERGEFPGCGSPSGLESRDPYDLQLGARMLYNSQDMLWRRKLEEQVDLQQAIELQSRRLMNLQLLDVKRSNHHRAFSTGAVISSPVHSPGFVGHNVVFPTSQDSSKENGFAPKMVNQASVGTEKEVQQSGNVSEKEKEPSKAKDENGNDKENKGENELPESVEHNLPDSPFASPGDSMSAFLNDVGEAEKPSSGTTNNLIASPLFPASDMTPLKSCYLEVARFPSGSGAIGISEAHLTTQSSRMGVCKLTPALCPNFRREYLKSLCLPLQNLRCAANEILLSCLKGAYSWDGNSFVPFWTVLVGGTYNSYILDREEGARSITDTGKVPKILIPGLPDESKGEYAAPISSCFWEWKPKLNVHYEKSGSENVNSPPILFLPGFGVGSFHYEKQLKDLGREFRAWALDFLGQGMSMPSEDPTLQSGVIDKSKSAGEDLVWGFGDEAQPWAKELVYSMDLWRDQVHSFIEEVIKEPVYIVGNSLGGYVALYIAASNPHLVKGVTLLNATPFWGFLPNPIKSPRLSRMFPMPGTFPIPAPVRKMTELVWQKISDPDSITEVLKQVYADHSTNVDGVFFRILETTKHPAAAAVFTSIMFAPQSQLSFDEALSGCRANNIPICLVYGKDDPWVKPIWGAQVKRRLPEAPYYEISPAGHCPHDEVPEVVNFLLRGWITSLESKGSVALPLLDSPESAALDFFKELEFVREGLRKPVNVQFYGSNFSFWKRFGSYLNLPFQGNDRPSK >itb11g02250.t1 pep chromosome:ASM357664v1:11:1123666:1134346:-1 gene:itb11g02250 transcript:itb11g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFQRIQSLDPENASKIMGLLLIQDHGEKEMIRLAFGPESLLQSVIVKTKIELGLSPNSPSTPSSPSPFGNSISVPFSRQNSSSSGGSGRILGGGGINLPSPLSINTQSNASNSWANSSFSEFQSPEGLVSPSPSANGVSSMNSAAPPFYGGAGVGEVDLIDEFQLQDQLSFLNDGSPTLGAKNPDIFYPHQDLASSPNGDSGLFSSYSAGWGGGGFPHRRSCSVSDICLGGSDDPNGGFGWKPCLYYARGYCKNGNSCRFLHGGSGDGGGGGDAGMVGSPSKFEMMEQCQEILRSKSSQQQRLAAASQLMAASSTFPYSPVSANKCMNFLLQQQHLQAESPRAAAALMLGDEVHKFSRTRFERGDFGMNLGANNSSSRQIYLTFPADSTFKEEDVSNYFSNYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPEKFRKQHQQQEMERGEFPGCGSPSGLESRDPYDLQLGARMLYNSQDMLWRRKLEEQVDLQQAIELQSRRLMNLQLLDVKRSNHHRAFSTGAVISSPVHSPGFVGHNVVFPTSQDSSKVPENGFAPKMVNQASVGTEKEVQQSGNVSEKEKEPSKAKDENGNDKENKGENELPESVEHNLPDSPFASPGDSMSAFLNDVGEAEKPSSGTTNNLIASPLFPASDMTPLKSCYLEVARFPSGSGAIGISEAHLTTQSSRMGVCKLTPALCPNFRREYLKSLCLPLQNLRCAANEILLSCLKGAYSWDGNSFVPFWTVLVGGTYNSYILDREEGARSITDTGKVPKILIPGLPDESKGEYAAPISSCFWEWKPKLNVHYEKSGSENVNSPPILFLPGFGVGSFHYEKQLKDLGREFRAWALDFLGQGMSMPSEDPTLQSGVIDKSKSAGEDLVWGFGDEAQPWAKELVYSMDLWRDQVHSFIEEVIKEPVYIVGNSLGGYVALYIAASNPHLVKGVTLLNATPFWGFLPNPIKSPRLSRMFPMPGTFPIPAPVRKMTELVWQKISDPDSITEVLKQVYADHSTNVDGVFFRILETTKHPAAAAVFTSIMFAPQSQLSFDEALSGCRANNIPICLVYGKDDPWVKPIWGAQVKRRLPEAPYYEISPAGHCPHDEVPEVVNFLLRGWITSLESKGSVALPLLDSPESAALDFFKELEFVREGLRKPVNVQFYGSNFSFWKRFGSYLNLPFQGNDRPSK >itb13g19450.t1 pep chromosome:ASM357664v1:13:26463388:26464545:1 gene:itb13g19450 transcript:itb13g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTEHIKSSSHHSAQTMASTTLPLPYNSTPAHQILVPTDKTVGTAISPPPPPQSSLSSSPSHSSTSSFGSLSFQDCQSSPPATPLRSQGGGVPFSWEQIPGIPKESIAGDTSRHSSSLSLLPLPPCSADPVKNRPPSPKKLLSSSFRNDPFFAALVTCSKDDDRYAEKNGNIWKSGSKVTRSISDRFGFISFYASCKTTCAVSESIVYFPRSRNYDHQLLNRRERR >itb14g05220.t1 pep chromosome:ASM357664v1:14:4591887:4592246:-1 gene:itb14g05220 transcript:itb14g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNCWLSLLATLNSLFNKFQYQFKANKLLLDLKYCLDNFAKPLLEVFKRTANVIDQAVASTSATEATLKPYIESQKLCCGIFYSLNYFGPKGHGRSPLKLGGAINCIGSVEVGTRHKF >itb06g21850.t3 pep chromosome:ASM357664v1:6:24360157:24364346:-1 gene:itb06g21850 transcript:itb06g21850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQYYYYTAILLSFFLIILSKLFSHKKKNLPPSPLALPIVGHFHLFKNSINQTLTSLSAKYGPVLYLRFGCQSFLVISSPSGVEECLTKNDIVFASRPQIMAGDMFSFNYSSIVWAPYGQVWRLLRRFAAIELFSTINLQSSSIIREEEVRILICSLFRVSKSGSSVVDVKNWVSIFAYNTIMRLVSGERLVNEEDAGGTKGKEIIKELRKLFFPDIPFFNVCDFFPVLRWFDYKGIQKKMVLLQKERIKFSSGLLDEFQQKEIYSSEPSIQVKNRKKATPIIKTLLSLQKLEPEFYTDDIIKSFLLVMFIAGTETSFATIVCALTHLLAHPEVMHKLRSEIDSKVGHRRLVNESDLPTLPYLHCVVNETLRLNTPVPFLLPHWSSEDCVVGGYDIPKNTTLMINVSALHQDPKEWEEPEKFKPERFETMEGEKDGFGYKFVPFGLGRRACPGNNMGLRAISLALGAIIQVFDWENVGKDKMDAGSLEAVCSPRQDCIPLLSQL >itb06g21850.t1 pep chromosome:ASM357664v1:6:24360157:24364346:-1 gene:itb06g21850 transcript:itb06g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDWYLVVEKHFEGLFYTLKYRVFVEETVRFCTYCRLAYQADYRCAVYTQQHREYEFVGLMISISGIYSLSFILNQITFFFHFSLSLFLILHFTPLGRRVWERRIHQLRTTPVSATVINMEEQYYYYTAILLSFFLIILSKLFSHKKKNLPPSPLALPIVGHFHLFKNSINQTLTSLSAKYGPVLYLRFGCQSFLVISSPSGVEECLTKNDIVFASRPQIMAGDMFSFNYSSIVWAPYGQVWRLLRRFAAIELFSTINLQSSSIIREEEVRILICSLFRVSKSGSSVVDVKNWVSIFAYNTIMRLVSGERLVNEEDAGGTKGKEIIKELRKLFFPDIPFFNVCDFFPVLRWFDYKGIQKKMVLLQKERIKFSSGLLDEFQQKEIYSSEPSIQVKNRKKATPIIKTLLSLQKLEPEFYTDDIIKSFLLVMFIAGTETSFATIVCALTHLLAHPEVMHKLRSEIDSKVGHRRLVNESDLPTLPYLHCVVNETLRLNTPVPFLLPHWSSEDCVVGGYDIPKNTTLMINVSALHQDPKEWEEPEKFKPERFETMEGEKDGFGYKFVPFGLGRRACPGNNMGLRAISLALGAIIQVFDWENVGKDKMDAGSLEAVCSPRQDCIPLLSQL >itb06g21850.t2 pep chromosome:ASM357664v1:6:24360157:24364346:-1 gene:itb06g21850 transcript:itb06g21850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQYYYYTAILLSFFLIILSKLFSHKKKNLPPSPLALPIVGHFHLFKNSINQTLTSLSAKYGPVLYLRFGCQSFLVISSPSGVEECLTKNDIVFASRPQIMAGDMFSFNYSSIVWAPYGQVWRLLRRFAAIELFSTINLQSSSIIREEEVRILICSLFRVSKSGSSVVDVKNWVSIFAYNTIMRLVSGERLVNEEDAGGTKGKEIIKELRKLFFPDIPFFNVCDFFPVLRWFDYKGIQKKMVLLQKERIKFSSGLLDEFQQKEIYSSEPSIQVKNRKKATPIIKTLLSLQKLEPEFYTDDIIKSFLLVMFIAGTETSFATIVCALTHLLAHPEVMHKLRSEIDSKVGHRRLVNESDLPTLPYLHCVVNETLRLNTPVPFLLPHWSSEDCVVGGYDIPKNTTLMINVSALHQDPKEWEEPEKFKPERFETMEGEKDGFGYKFVPFGLGRRACPGNNMGLRAISLALGAIIQVFDWENVGKDKMDAGSLEAVCSPRQDCIPLLSQL >itb06g21850.t4 pep chromosome:ASM357664v1:6:24360157:24364316:-1 gene:itb06g21850 transcript:itb06g21850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQYYYYTAILLSFFLIILSKLFSHKKKNLPPSPLALPIVGHFHLFKNSINQTLTSLSAKYGPVLYLRFGCQSFLVISSPSGVEECLTKNDIVFASRPQIMAGDMFSFNYSSIVWAPYGQVWRLLRRFAAIELFSTINLQSSSIIREEEVRILICSLFRVSKSGSSVVDVKNWVSIFAYNTIMRLVSGERLVNEEDAGGTKGKEIIKELRKLFFPDIPFFNVCDFFPVLRWFDYKGIQKKMVLLQKERIKFSSGLLDEFQQKEIYSSEPSIQVKNRKKATPIIKTLLSLQKLEPEFYTDDIIKSFLLVMFIAGTETSFATIVCALTHLLAHPEVMHKLRSEIDSKVGHRRLVNESDLPTLPYLHCVVNETLRLNTPVPFLLPHWSSEDCVVGGYDIPKNTTLMINVSALHQDPKEWEEPEKFKPERFETMEGEKDGFGYKFVPFGLGRRACPGNNMGLRAISLALGAIIQVFDWENVGKDKMDAGSLEAVCSPRQDCIPLLSQL >itb09g16130.t1 pep chromosome:ASM357664v1:9:11375703:11377564:-1 gene:itb09g16130 transcript:itb09g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNSTATMTMDAGRKTVGAGMSAMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDEEGVPPTTLCEISLLRMLSRDPHIVSFRQTGESIPPKTIKSLLYQLCKGVAFYHGHGVLHRDLKPHNLLMDRKTSMLKIADLLMDRKTSMLKIADLGLARSFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFGKLHFWRF >itb10g09010.t1 pep chromosome:ASM357664v1:10:11721270:11733915:-1 gene:itb10g09010 transcript:itb10g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVVARRRRRKWNLQLYTATMQLNCYGRGYGDEGPLSTSLAYDILGVTPNCSPDQIKAAFRARVKKFHPDVRKDGENSDLMIRRVIEAYEMLSAYTMSEIIESECLDPFDEPECEAFDLFVNQTVCIGKGCYSSCVKKAPHTFSISSLTGLAQATAQGKGEDYEVQVAVGQCPKSCIHYVTPSQRVILEELLNSIINKPYDTSAEAELLYTLIVKAQFENNRYKKPKKEPKRRASLSPADDGGLGGSCSPLFLLLDQLLSSVPSLGSGVTVFLSAQVDLQRRASLSLADDGGAKSSAKRKSCVDEATTSKKPQRDKDDDGKDPDPVDVIKKTRSSFANFIGLRLPSSKPASILDLAAPYQLTVLVANNLG >itb02g11150.t1 pep chromosome:ASM357664v1:2:7280761:7282169:1 gene:itb02g11150 transcript:itb02g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASYCGAVMKTRGKGKIFKCLRPATADEGYFKHSRSCDSFADFPEYSSSGSDHGGGGGRGGDGSSTAVVAAAGDPKILRHLTMRKATHADRSSDVDAPDDPNARKGKFPGKFRRVLKAVFFEASLLRKLRKTDSSLPAPFRSRSSSSTNLSSSSSKSKSQRMSRSESFASMGQTESSEKFSRINSSDSSSSRLSSSSMMTARELSSSSSLSPCPSVPRCSLACCLDRNRSISSRTKQVLQQKLERGRYCWKVGCCCLILCLLALLFWGKALAIVFISAWLYFAPLYFKSAIDPPPLFEPSESCMITSTRNRPRHARRHSGF >itb02g00760.t1 pep chromosome:ASM357664v1:2:438502:438807:-1 gene:itb02g00760 transcript:itb02g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAKPTSRSVFFSLLLLLLLATSLSSEPTAPDEDSDDLGDLQELIALDEEADLSSEGGRDESKDKPSGAELLSRAQRIVLELNTDKTKSAIDGNQHVLDN >itb12g20530.t1 pep chromosome:ASM357664v1:12:23019238:23022460:1 gene:itb12g20530 transcript:itb12g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALPLCMCFLQISRDRKLMREDILFLWLLLCLSSFNMLISTDPLDTPDMSSSRKIPPCVTLPSFIGTDMSSANYSFDSYSTLPCPNYGSTDYNPSLAATLGPNLNLAAVGLAPGSSGGLEGPDCVFVGGLPYYFTENQIRELLESFGPLRGFNLVKDGETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRASQGALQQQIAFQEGMEACLGLELPSGQIVAVKKFHMLGLQDDESWHDLRSFSNEISTLTNLRHRNIVKLYGFCAHNRHSFLTYEYLQGGSLAQILSDDEKALQLGWLERINVVKALAKALSYMHHDCLPPVIHRDISSNNILFDSEHEVHVSNFGTCTANCKMGGARDASFTAPLKLVADTSMPACLPVTTLETNGSLLGRRSNHLPRGGHSRGHHCFT >itb04g10600.t1 pep chromosome:ASM357664v1:4:10162296:10163792:-1 gene:itb04g10600 transcript:itb04g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRSKSSSPEPLSDIPEDERVNDQVNDEVDEEEPEAVSRAGEDSETEDQGGEKDNDEEENELQEEDDDGANETAKPEKATLKEMQRLKKGKTQEVLEAQNAAMDADMVESIGSSSFSGDLNDKFESEDQSSGENNAGEHSIGRKKAKKLLNQEFNQFLISIKAQNEQIKKMLQQTHDLLQKNYEVQLLKVQAEAKKVKLEEHRLQHKVRAEAKKEKLNE >itb04g10600.t2 pep chromosome:ASM357664v1:4:10162629:10163792:-1 gene:itb04g10600 transcript:itb04g10600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRSKSSSPEPLSDIPEDERVNDQVNDEVDEEEPEAVSRAGEDSETEDQGGEKDNDEEENEEEDDDGANETAKPEKATLKEMQRLKKGKTQEVLEAQNAAMDADMVESIGSSSFSGDLNDKFESEDQSSGENNAGEHSIGRKKAKKLLNQEFNQFLISIKAQNEQIKKMLQQTHDLLQKNYEVQLLKVQAEAKKVKLEEHRLQHKVRAEAKKEKLNE >itb07g07490.t1 pep chromosome:ASM357664v1:7:5709020:5714926:1 gene:itb07g07490 transcript:itb07g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQYIVSLHLCIFSFVLLAGKSSAAATDDLQYQACEPKKCAHGPELKYPFYLQGQQESYCGFPEFNVSCDGQGYPILRIPENDYVVDNISYESNSFRVYNAAVSGPEAGCLPEIKNVTVTNGSIGLRLVTESRINILRNCSELLVEQLWRYRVGCNEGKGYDWSFVLFEDSGFLKSALQECKENVLAPVEIREDDRSDRSSVVKYDVLLKRGFELRWSVSSCKECAESGGRCGFNATNLHFICFCPGRPHAARCKPDPVADGTPKSKKKLILIAVFGAIILILASTLVVVFVLFRLKKGGWGSSQFFSRRTSSNLSLKRDLEQESNYLGVPIFSYSELEEATNNFDSSKELGDGGFGTVYYGKLRDGREVAVKRLYEHNNKRMEQFRNEIEILASLRHRSLVTLYGYTSRHSHKLLLVYEYIPNGTVADHLHGERAIDGSLTWPIRMNIAVEAASALAYLHASGIIHRDVKTTNILLDENFCVKVADFGLSRQCPSNATHVSTAPQGTPGYVDPEYHEFYQLTDKSDVYSFGVVLIELISSMPAVDITRHRHEINLSNLAMNRIVRRAFDELIDPCLGFETDAEIMRMTTCVAELAFRCLQHEKDMRPTMDEVLETLKEIQGSGVNSNVERETSKNSNNNNNNVSGSVQVPPSPETEDAILLKGIRLAVSPISVTDKWVSSSTSTSNSG >itb04g33260.t1 pep chromosome:ASM357664v1:4:35565016:35567576:-1 gene:itb04g33260 transcript:itb04g33260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAVNFLSPPRFLAAPPPIPIHIRTSISTIRPHCVVPFSNPSPASNRGAFLRLSVAGGDGGNWNGGHGGGGGNDDDDGENSNNSWENEKETLMVLGEAGRSLESLPKDLKAAIKERRIPGSIVQRYLELRKSKWMSWLLRHGGFKERLLADDLFLTKVFIECGVGLFTKTAAEFQRRGESFFNELDVVFADVVMAIVADFMLVYLPAPTVPLRPPIQLNAGRIAKFFHSCPDNAFQVALGGTSFSLLQRLGAIARNGSKLFVVGTASSLVGTVVTNAFINARRAVDKSAAEEVENNNNVPILSTAAAYGVYMSISSNLRYQVLAGVIEQRILEPLLHEHKSVLSAVCFAVRTGNTFMGSLLWVDYARWIGLQKSEEIQATT >itb03g18240.t3 pep chromosome:ASM357664v1:3:16564537:16566660:-1 gene:itb03g18240 transcript:itb03g18240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHENAIPMHICVSLSVCLWAFIHCVFFFAVEILVFADGWNYFQMGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSTAAVQATTPQKAIPFSPITTTTSGGCGGGGGLSPTTPTSLPFSYLTAAATQPSSESHHHPFLYPFPSSAARPEDHTANFLLDSGAYSRNGYGHGMKEEVDEHVFFSSETSRAMRSASGSLDDTSWQVAPLTMASPTLAQLKQHKTYVSATPQQFHEGLAAGSDGKNTDSSMHHMKLGSSEDHHQPKKVMHHFFDEWPQETKHSWLDDSGDKYSTHGPLFKTHLSISIPNSPHDFFMTHNGKSPLLTINHYTVDHGLPCKVD >itb03g18240.t4 pep chromosome:ASM357664v1:3:16564122:16566679:-1 gene:itb03g18240 transcript:itb03g18240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSTAAVQATTPQKAIPFSPITTTTSGGCGGGGGLSPTTPTSLPFSYLTAAATQPSSESHHHPFLYPFPSSAARPEDHTANFLLDSGAYSRNGYGHGMKEEVDEHVFFSSETSRAMRSASGSLDDTSWQVAPLTMASPTLAQLKQHKTYVSATPQQFHEGLAAGSDGKNTDSSMHHMKLGSSEDHHQPKKVMHHFFDEWPQETKHSWLDDSGDKYSTHGPLFKTHLSISIPNSPHDFFMTHNEK >itb03g18240.t2 pep chromosome:ASM357664v1:3:16564537:16567243:-1 gene:itb03g18240 transcript:itb03g18240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGRSSSRLPFTAIQWQELEHQALIYKYMVSGMPVPPDLLYSIRRSLDSSLSSKLLLHQPQHYGWNYFQMGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSTAAVQATTPQKAIPFSPITTTTSGGCGGGGGLSPTTPTSLPFSYLTAAATQPSSESHHHPFLYPFPSSAARPEDHTANFLLDSGAYSRNGYGHGMKEEVDEHVFFSSETSRAMRSASGSLDDTSWQVAPLTMASPTLAQLKQHKTYVSATPQQFHEGLAAGSDGKNTDSSMHHMKLGSSEDHHQPKKVMHHFFDEWPQETKHSWLDDSGDKYSTHGPLFKTHLSISIPNSPHDFFMTHNGKSPLLTINHYTVDHGLPCKVD >itb03g18240.t1 pep chromosome:ASM357664v1:3:16564122:16567243:-1 gene:itb03g18240 transcript:itb03g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGRSSSRLPFTAIQWQELEHQALIYKYMVSGMPVPPDLLYSIRRSLDSSLSSKLLLHQPQHYGWNYFQMGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSTAAVQATTPQKAIPFSPITTTTSGGCGGGGGLSPTTPTSLPFSYLTAAATQPSSESHHHPFLYPFPSSAARPEDHTANFLLDSGAYSRNGYGHGMKEEVDEHVFFSSETSRAMRSASGSLDDTSWQVAPLTMASPTLAQLKQHKTYVSATPQQFHEGLAAGSDGKNTDSSMHHMKLGSSEDHHQPKKVMHHFFDEWPQETKHSWLDDSGDKYSTHGPLFKTHLSISIPNSPHDFFMTHNEK >itb03g18240.t5 pep chromosome:ASM357664v1:3:16564122:16566660:-1 gene:itb03g18240 transcript:itb03g18240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHENAIPMHICVSLSVCLWAFIHCVFFFAVEILVFADGWNYFQMGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSTAAVQATTPQKAIPFSPITTTTSGGCGGGGGLSPTTPTSLPFSYLTAAATQPSSESHHHPFLYPFPSSAARPEDHTANFLLDSGAYSRNGYGHGMKEEVDEHVFFSSETSRAMRSASGSLDDTSWQVAPLTMASPTLAQLKQHKTYVSATPQQFHEGLAAGSDGKNTDSSMHHMKLGSSEDHHQPKKVMHHFFDEWPQETKHSWLDDSGDKYSTHGPLFKTHLSISIPNSPHDFFMTHNEK >itb09g05150.t1 pep chromosome:ASM357664v1:9:2927788:2929062:-1 gene:itb09g05150 transcript:itb09g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADRLVRRRKSLKERLSFKAINCCGASWGLVPTTISVRDNDDDDGEEEEVPPTETTEAGAESPPVCAAADTPTGSGMNLAAALAAERQYRAVQDSDGEAQSQIPAAAENSGAGTTPPLRVSLMRLLEENDGETTATATEKESRAAGSEALCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIY >itb12g24220.t1 pep chromosome:ASM357664v1:12:25821405:25822250:-1 gene:itb12g24220 transcript:itb12g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRSHGDQEFLIIKPDDKFFSRLLSKEKPKGGGGGGDSSLRFYYRAGCSGSIPFQWESQPGTPKHALADSCIFNPPLTPPPSLHSSAALKSGQKQPSKIKLFLSNFPGKLSRSFSSPAGDFSGRRHGARSDFLFQLEKLYGDDSHRETAAAAGDFPPSPLCFGGRSRRSKGGFGRFYYVKNMKRALLSVVARGGSST >itb01g35720.t1 pep chromosome:ASM357664v1:1:37771418:37772662:1 gene:itb01g35720 transcript:itb01g35720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCCECNNILYPKEDKDQKILLYACRNCDHQEVADDNCVYRNEIHHSVGEQTQVLQDVTADPTLPRTKAVRCASCGHGEAVFFQATARGEEGMTLFFVCCNPNCGNRWRD >itb12g21940.t1 pep chromosome:ASM357664v1:12:24142625:24145414:1 gene:itb12g21940 transcript:itb12g21940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHLFNAAMDGNVDILGRHRHLLPFKFTPNMNTVAHVAAQFGRSQCVEEILKMCSLLLWQVNAKGETCLHIASREGHASVVRVIIETARRFGKDLESSVGAAEGIIRRENCQGDTALHLAVRNRKTEVVEFLLKEDPGFPYYSNKAREAPLYLAAERGYDDLAVMILQACDSAAYGGPKNRTALHASVICHNQHLTAKILELKPHLTKLVDEDWWSPLHFAAHFDHAMIARMLLEHDSSIAYNRNKEGKTALHIAASHGHTNTMLELILQCPDCYEVVDYSGRNVLHIAVESNQASAVKVLLNNPLFNSLINEKDAEGNTPLHLLAMHGSHIQNLICNPRVDKSVYNAANLTPLDIASSSRHFTTLKSLIKRELKLFGATRGLRNVINKDDSDKEHMRKEHLKKLCETHLIVAALIATVTFTAGFTVPGGFNANSGPEEGLPVLMRKMAFKAFFISDTVSLVLSTSAVFMYFITALYADQTKLFNRLVWAFCFTIVAMGAMVIAFVTGTYAVLPSGSGLAISSCVVGCCFFLVYFHLLKKLHFDKIAKKTRLCGHAYGRTHNSVVV >itb10g07280.t1 pep chromosome:ASM357664v1:10:8563482:8568672:-1 gene:itb10g07280 transcript:itb10g07280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRHGNPSFAFDLHPLSPAGIMPNLTFSFILSLLLDGRDLKLRFLKQFPFLEFAISSFVFMPLHSPNSQHSNPSYTLILFLLSGSSELYRNNGNSMRRGGSGGSDQYRRGDDGGRGGGRNGPRGGGSVYNQPPVRQAWTGGSGGNDRNQQSHGGGRNAPGWGGSFNNSPAQPQSNRQTKARVEANMQSLRITEQQIRSSPSPSETTVKQLKPVNRPDRGTIAVKPIKLLANHFRVKYNPRTTIMHYDVDIKQLGPDGQPLKKLIPKPKLYLIRDQLFEDYPDMFPIDKIVYDGERNIFSAIQLPTGKFKVVLSDGENSKTRIYMFSIKLVRDCLMRSLLQAPRNMLQGLDLVMKDNPFRHRISIGRSFYSTEYRASDDLRCGAAAYRGFKQSLKLTSQGPALSVDYSVMAFHKPQPVLEFLNEHIPNFSIDRFDTFKQQVIDAIGGLKVNVTHRVTKQKFTISRLTDGKTRDLSFELRDPEGKNPPRTVSVVDYFRDRYGVEIKHKDISCLDLGKNNMSNFVPIEFCVLVEGQRFLKENLDFEAGRLLKRISQPPPEQRRDAIHEIMHAEGGPCGAVTQSFGIGIEKSMTHLMGRILPAPDLKLGASERVLVNDKGQWDLAENSLVEGRPVLRWALIDFTAFEYRHRLKERYFVSNLKQRCKELRIHLEDPLIHRRTNMQELSNAKKVEDLLKNVVEDANKKTPGKLQVIVCVMGLKHYGYKYLKWVSETKIGVVTQCCLSKNANEARHQYLGHLCMKLNAKLGGSNVELMERLPHFEGEDHVMFIGADVNHPSAHNSTGPSMAAVVATVNWPAANRYAARVFPQEHRKEKILSFGSMCAELVNTYARLNYVKPKKIVIFRDGVGESQLSMVLNEELDDFKKAICDAKYQPTVTLVVAQKRHPTRMFLQNRRDGGGSSNVPPGTVVDTVVVHPSEFDFYLYSHYGSIGTSKPTHYYVIHDENRFTSDDLQRLIYNLCFTSARCTKSVSLIPPVFYADLVAYRGRLFQEVVREMESPVSSSSSSSSSSSSSSSSSAASFQRMFYDVHPDLQNSMFFI >itb05g11150.t1 pep chromosome:ASM357664v1:5:17101775:17102432:1 gene:itb05g11150 transcript:itb05g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYFLPRDIEHVRVDLGRLPRDIEHVRVDLGRLESYFLPRDIKHVRVDLDFLPRDIKHVRVDLGELGVISSQPREITFSMPSPRDIEHVRVDLGRLPRDIEHVRVDLGRLESYFLPRDIKHVRVDLDFLPRDIKHVRVDLGELGVISSQPREITFSMPSPRDIEHVRVDLGRLPRDIEHTFFLETSNMFVSI >itb15g16430.t1 pep chromosome:ASM357664v1:15:16001626:16008613:1 gene:itb15g16430 transcript:itb15g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDEEFDSDDGFEADMEALMRACVLAGASPSHGDDDLSGRVVSNSVPSSPDTDDGVDDVELVRDIQKRFALSTDVQVPLDMRPICSIFPTEGGNESEDDIETLRAIERRFASYYDDTTKEGLDKELNNTEQVGVTNITSEEESCNNFFLERTNAGEGFPTCVDGNNSALQISEGAIPLGGGAENASSESSGFPKSAQAFVDAIKKNRALQKLIRSKMIHVEARIEELKKLKDRKKDARVQLVLPRERVNSKLNEKKSSALYYAPPENSLVAGFRDALEKFPVSVNRERWSKEERENILKGVKQQFQETMFQRAIDLSDMNGSFGDMTNIDSNILSIRDFDITPEMMRLFLPKVNWDCLASMYVPRHSGAECQTRWLNWEDPLINQEPWSVVEDKNLLHIVQQKGLSNWIDIALSLGTNRTPFQCLARYQRSLNASIIKREWTEEEDNKLRAAVEVFGESNWQVVAASLEGRTGTQCSNRWIKTLHPARQRVGKWTADEDKRLKVAVMLFGPKTWRKIAQYVPGRTHVQCRERWANSLDPSLNLNQWTEEEDVKLESAIQEHGYSWSKVAACVAPRTDSQCRRRWKALFPHEVPLLREARKILKLAIIANFVDRESERPSLKPDDFAAAPLLLQPSGSEPSRKRKIASSNMSSDDPTIAENCRYGITYQSRRSKRQPKRKICTNRRRRCPSFANPGMLSNGNELEGLEFSVAMNNRTSKLPPRKKRKREPYVEVPEISASDEIETTNGEGIFFKRSTRGTEFVSGSDNDAKLDSSSSFPNSFSDARTLGGKAIKSRKRKRSIRRPRKNCSDLYVSRGNHPFPTSRKREGPLREFPGSNLDADPSGELYDSSLSFEENSELESGAKQVEESHDRSSPLRCLVHNGLESNNFRTSSSCMQGKKKGLKCRNVDHSNKLAETEEDDSITLAAFIKKSLRLSSDHNSNENGAILERNIHTPTTSRGCEIQGHGNGLGLGPSPPTSEAGIMDDMPLAHFLNTLKRRIKPATSK >itb10g03240.t1 pep chromosome:ASM357664v1:10:2955766:2958713:1 gene:itb10g03240 transcript:itb10g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIFSSLRRRRSPSLEAFLAPLDLTDWALVETLAALATELISSFSGKEGPAYQRKNSKSLLRKIQIFSVAMECLRENRASSSSRFPSTAFLCFKELYLLLYRSKILLDYCVQSSKLWLLLQNHSISGHFHDLNQEISTLLDVLPINGLNLPDDVREQVVLLQKQSKKSKLFIDENDELLRLKLYEFLDEFENGKIPDSLELYSFFVEKLEIRDARSCRVEIEFLEEQIVNHEGDIDPTASVLNGFVAMARYSRFLLFGFDEDDVEFGVGRHSNKNPSKGLISQEIAETFVSVPKDFCCPISLDLMRDPVIVSTGQTYDRASILRWMEEGHCNCPKTGQMLVHTRLVPNRALKNLIMQWCAAHKIPYDLPEPGESCSEGFAAVSPGKATFEANKATAAHLIKQLEDGTQNAKTIAAREIRLLAKTGKENRAYIAEVGAIHHLKDLLSSPDAVAQENSVTAMLNLSIYEKNKSRIMDEIGCLELIVGVLRFGHTSEARENAAATLFSLSAVHDYKKRIAEQDGGVEALAGLLREGSLRGKKDAVTALFNLSTHTENCVRMIDSGAVNALVGALGCEGVAEEAAGALALIVRQPIGAAAVGKEETAVAGLIGMMRCGTPKGKENAVAALLELCRSGGEAATERVLKAPALAGLLQSLLFTGTKRARRKAASLARVFQRCEHASMHYGGLGVGYAFAGNSANTRDTTFASDVSVQMSISVPVL >itb01g01770.t1 pep chromosome:ASM357664v1:1:1057359:1057997:-1 gene:itb01g01770 transcript:itb01g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLFFTLSLFLLPNPTHSTRNPIRLPTADVAAASGAPVLDSDGDELRAGGTYYIVSVIRAFGGVKLVRLDSATGCASDVIIAHELDKGNPVMITPEDPNATVVLQSTHQSLRFSIPTFFLCVFNVSWEVQKDPISGEGFVKAGDVVSYKFMIEQDTSLSPTLNAYKITHCPFDICYNLGLYFDELVGARRLALSDYPHAFVFQKSGAV >itb12g06370.t1 pep chromosome:ASM357664v1:12:4753959:4760616:-1 gene:itb12g06370 transcript:itb12g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSFPRVSSSETASSTDPSTVKQRKKRKWDQPAEPLVSAGGCQPAVLPFSNIGSFVGIMPPGIVPVCGTLLAAPLTSNGVTSVQQHAVALAQKLNQPKIQDELIAREIVINDADSSVRYKLTKRQTQEEIQKSTGAVVITRGKYRLPNAPPDGEKPLYLHISAGTHLETTVERIKAVDHAAAIVEEMLKEGSVNNGLKVNPSMSTCVYVGFEAETSLNIAARIRGPNDQYVNHIMNETGATVVLRGDGSGDSEPVQGEDGHQPLHLFLSSNNPKSLERAKLLAENLLDTIAAEFGTSRVSSSKVYGAVPPPPQLLAGSKSLGNELEANNFQAANLNAASTGVSVPAVPTVTAPGMDSNVSQGIVSQSLGSLNALPSQPNMNYYPHMPISSGTSYMGYGGIYPQVTPLQQVALALRQSTSVTAAVSPETTAVNTKPPASTISSIQKEERPAHKRKFRELPATGKGTANPHQLQDLQPPKPRDLNTDAGARDNTVVPAKLCQPSSSVMPPPPPPPKVMPLAPPPPPKFNSPTPEVHGKNNSPPKPSSEIFPDTLVKLMEYGDDDDDVDDIEHAAGAEPLQLQSKSNVPAGPKPFWAV >itb12g06370.t2 pep chromosome:ASM357664v1:12:4755452:4760608:-1 gene:itb12g06370 transcript:itb12g06370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSFPRVSSSETASSTDPSTVKQRKKRKWDQPAEPLVSAGGCQPAVLPFSNIGSFVGIMPPGIVPVCGTLLAAPLTSNGVTSVQQHAVALAQKLNQPKIQDELIAREIVINDADSSVRYKLTKRQTQEEIQKSTGAVVITRGKYRLPNAPPDGEKPLYLHISAGTHLETTVERIKAVDHAAAIVEEMLKEGSVNNGLKVNPSMSTCVYVGFEAETSLNIAARIRGPNDQYVNHIMNETGATVVLRGDGSGDSEPVQGEDGHQPLHLFLSSNNPKSLERAKLLAENLLDTIAAEFGTSRVSSSKVYGAVPPPPQLLAGSKSLGNELEANNFQAANLNAASTGVSVPAVPTVTAPGMDSNVSQGIVSQSLGSLNALPSQPNMNYYPHMPISSGTSYMGYGGIYPQVTPLQQVALALRQSTSVTAAVSPETTAVNTKPPASTISSIQKEERPAHKRKFRELPATGKGTANPHQVFSLIF >itb01g04980.t1 pep chromosome:ASM357664v1:1:3388288:3391125:-1 gene:itb01g04980 transcript:itb01g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQMRNLSSLELLLVKLQQAPEEQQNGDAPPALPPRPVKNSRLPRARKNLPLNLRSGCCDQEMGKISDLSASRGMEKRDFLDSDNLKIQISIEEDEKQIAVLKGVEIIQRCYHGYHARHYYNELKRGAIVLQSFVRGENARKDYQCLVTRLRAIVIIQKHMKEQQSRRLEQLAAVICLQSGIRGWLTRIEFNKKITSQLNSRQMLNPELKDEDIKVLACANEAQRSVLLDLQRRVVVTEAALERTRDENTSLKEYILQFDKKSQEYEAKMQCLEKSWQDQLISIQTSLATAASRSEAVAENGLPRTEPPSERFIPFELSGVRSDAGLLVNNRLDFESPLSQENNGSENITWVKSSGHDEPLNLSPNTDLHKLKLRFKAWKKDYKTRLQVVKATLKQLRHCDRGMRTKIWCRR >itb02g15020.t1 pep chromosome:ASM357664v1:2:10903356:10904198:-1 gene:itb02g15020 transcript:itb02g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAFHFPLFSIYINSPHISHSFTTLHCSSHSKDLHNPEEKKMAFLASGFPTLIEKALFTTLLLTSMAAVPVSSFQFEVGGENGWAKPTGNESETYNEWAIQNRFRIGDTLYFKYQDDSVLEVSYADYTNCDVSNPVVKFDDGETVFEFRRSGLFFFISGRRGHCNAGQKLIVRVLHPSEAVSAAGPAPSPGPAAARGGDEWDPHNLGPPPGHNSTSKLSVASYFITALGGVLVFLYLLM >itb03g08630.t1 pep chromosome:ASM357664v1:3:6460722:6464245:-1 gene:itb03g08630 transcript:itb03g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGVRLTRLVTCSAVIAGGTSSDGDTPRTTTPSSSSSSSVVNQILQLICSDEAGDKIHAAMEIRRLTKTSQRYRRHFSGAVGPLVDMLRSGSVESNEAALLALLNLAVKDEANKVSIIEAGALEPIIGFLQSKNATLQGHATASLLTLSASPVTKPIISASGAIPLLVEVLRNGSPQSKADAVMALYNLSSYEGNISPILETEPIPGIVSLLKSCKRSSKTAEKCIALVESLVGYEEGRTALTSEEGGVLTVVEVLESGSLQSREHALGALLTMCESDRCKYREPILREGVIPGLLELTVQGTPKSQAKAQTLLRLLRDSPFPRSELQADTLENIVSNLISQIDGEEQPGKAKEMLAEMVQVSMEQSLRHLQQRALVCTPGDLSVTTCTSKIPSK >itb11g21970.t1 pep chromosome:ASM357664v1:11:23756921:23761508:1 gene:itb11g21970 transcript:itb11g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIGSSFSSVFTSLSSPFQSKKARMISSRLRLLDMQHFGADTASNDKFVRSYKLMHGKLRMHGSFSKRQFNFFAISSEDQLVDSELESDASEQGSPEPFIEDFSADASSVEAERLGGGRPGLISFYNHPYRREDELIVSNGGKNESTLLWVVGPAVLIASFIVPSVYLRRIISTIFEDSLLTDFLILFFTEALFYCGVAIFLLLIHHLRRPLELVSSSCNRNITPPPLGYRISSVAILGLSLTIPMVTMGFVWPWTGPAASATLAPYLVGIVVQFAFEQYARYVKSPSRPVIPVVFQVYRLHQLNRAAQLVTALSFTVRGAESTPHNLAINSSLGALLNVLQILGVICIWSLSSFVMRYFPSINMTEG >itb06g24450.t1 pep chromosome:ASM357664v1:6:26009257:26011005:-1 gene:itb06g24450 transcript:itb06g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETHSGSTQKPNVKTEEDDDETKAVQVSLATLFAIQNAENSSSSNPSSAAEETHLIIPSCDCISIRSSSTTSTQSFAFPILASEFHSSPAKMAPCDSRFLKKRRRWKNCLGFCKC >itb12g24250.t1 pep chromosome:ASM357664v1:12:25845057:25847404:1 gene:itb12g24250 transcript:itb12g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAGDRAPPAEAASAPAPGTENSPVKRLNAFVAKSRVGKRFKLNERNSTFTTELRAGTATFLTMAYILAVNASILSDSGGTCSVSDCVPLCSDPTISPSNCTGSPNFRLVPPDESCKFNPVNPGYAACLEKTRKDLIVATAASSLIGCLIMGVFANLPLALAPGMGTNAYFAYTVVGFHGSGNVSYQSALAAVFIEGLIFLAISAVGLRAKLAKLVPKPVRIASSAGIGLFLAFIGLQNNQGIGLVGFSSSTLVTLGACPRSARAALAQVVTSANGTVSLLPGGTVSGDILCLHGRMESPTFWLAIVGFVIIAYCLAKNIKGAMIYGIIFVTAVSWFRNTRVTAFPDTPAGDSAFKYFKKVVDVHKIESTAGALSFKDIGKGHFWEALVTFLYVDILDTTGTLYSMARFAGFTDGNGNFEGQYFAFMSDASAIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTAGCYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMKAVVEVDWDDMRQAIPAFVTLILMPLTYSIAYGLIGGIGTYIVLHLWDWSVGLLRNFGIVQGANSKQTNSS >itb04g23490.t2 pep chromosome:ASM357664v1:4:28519424:28522433:1 gene:itb04g23490 transcript:itb04g23490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MTADAHGPSPKGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLGNTNIRGSLIVGSLEQIFQREGLRGMYRGLSPTVLALLPNWAVYFTIYEQLKSFLCSDDESHKLSIGANMLAAAGAGGATTIATNPLWVVKTRLQTQGMRAGVVPYRGTLSALRRIAREEGIRGLYSGLVPALAGISHVAIQFPTYEKIKIYLADRGTWLFSFSLFICLELVTVAIFLNYSLGKDQY >itb04g23490.t1 pep chromosome:ASM357664v1:4:28519424:28523229:1 gene:itb04g23490 transcript:itb04g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MTADAHGPSPKGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLGNTNIRGSLIVGSLEQIFQREGLRGMYRGLSPTVLALLPNWAVYFTIYEQLKSFLCSDDESHKLSIGANMLAAAGAGGATTIATNPLWVVKTRLQTQGMRAGVVPYRGTLSALRRIAREEGIRGLYSGLVPALAGISHVAIQFPTYEKIKIYLADRDNTTMDKLSAGNVAVASSVSKIFASTMTYPHEVVRSRLQEQGLHSEKRYSGVIDCIRKVFQQEGIPGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVRVFPPDPQPQPL >itb08g00010.t1 pep chromosome:ASM357664v1:8:26:5655:-1 gene:itb08g00010 transcript:itb08g00010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDYLAGERRKAGFDVDEMKIVWAGSRHAFQLSDRISKLVASDPAFSKDGRTTMPRKELFKNTLRKATYAWKRIIELRLTEEEATKLRVYVDEPAFTDLHWAMFIPAIKGSGSEEQQQKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFIIHSPTLTSSKWWPGGLGKIATHAVVYARLITEGKDHGVHGFIVQLRSLEDHKPLPGITVGDVGMKFGNGAYNTMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYVQSNIPRQILYGTMVFVRQTIVSDASSALSRAVCIATRYSAVRRQFGSQDGGQETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQKLQAGDFSTLPEVHACTAGLKSLTTSATADGIEECRKLCGGHGYLCSSGLPELFAVYVPACTYEGDNVVLLLQVARFLVKTVSQLGSGKQPVGTIAYMGRIEHLMQCRSNVQQAEDWLKPSAVLEAFEARAARMSISCARNVSKFANPEDGFAELSVDLVEAAVAHCQLIVVSKFIEKLQQDIPGKGVRQQLEALCSVYALFLLHKHQGDFLATGYITPKQASLANDQLRALYTQVRPNAIALVDAFNYTDHFLGSILGRYDGNVYPKLYEEAWKDPLNATVVPDGYHEYIRPLLKQQLRSARL >itb01g05850.t1 pep chromosome:ASM357664v1:1:4064913:4065470:1 gene:itb01g05850 transcript:itb01g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVTRQLLPMAPNAPHHEALPLNENDSQEMVLYEVLNEARALPSSPAAAAATHSGRSRGGGGAVMLRPIEKKRYRGVRRRPWGKYAAEIRDSARQGARVWLGTFSTAEEAALAYDKAAFRMRGAKALLNFPPDVVVAAAAAASSPAMQGVRSYSCDDTGMASSASRSNE >itb12g10720.t1 pep chromosome:ASM357664v1:12:8838650:8845154:1 gene:itb12g10720 transcript:itb12g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRNPSGQAAINEQIKSVLGTTPEVITKRGYDGEKADIWSYGVVRGGRRTPIPIFEIVVADIVPLKIGDQVHADRLVISGHSLALDESSMTGESKIVGILLKGTVLAAPAVPLATANVEGGVVTVPGVKVDSGKTIVVTVEASTPRASSRVVDDRRPADLKVCIQKARRYVEKIRREGYQLSKEAICALLTGDPLPSASFVSPSANHSQVVELAPILPARDGGAPPLSPAMATVSMDRPPKAAALEARKGITEASETPVHGATTTRPFGLNIAASSGKISAPTLAFGSGSNAQVASIGVDQSVLNRPELHAKPSTVQRTKINGGKGSTVNLSVKGRPLHAPPAADLPSYSAPIFYKNGAAKVAKGGHKQTQNSPHNNNHVRALHNEQNRVHTANMNGGSASSNPTKVLLNTGSNLVMQNGHGFAHGKSAVSGSVAATRPNLSSFKGVGSAKVAQMNKIENNLLVGKFSHGRPLLSEIQSHFAKSYVLKGTVEVGLVDPRHVFLVFSSPEDCIDILIKGQILFNGRCPTRLFRWTSDFDTRFETSLALVWVLLPNLKANCFSIPCLKQLVKPIGHFLHVDVATAKFSRPNVAKVKIEIDLLKPLLHRIFVRLGSNCPGGGCWLLAAD >itb07g13060.t1 pep chromosome:ASM357664v1:7:15163170:15164071:1 gene:itb07g13060 transcript:itb07g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSYFARGNYRFLASDRDAPAAAATGDSVFELDESDVWSTERSASPECRKAVASGRVSRKQSGGGGGKSERVPASLPVNVPDWSKILKDEYRENRRRDGYDDDDFDEKYGDDRVPPHEFLARQLATARIASFSVHEGVGRTLKGRDLSKVRNAIWEKTGFQD >itb07g07340.t1 pep chromosome:ASM357664v1:7:5567897:5572954:-1 gene:itb07g07340 transcript:itb07g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGTIPEEIGGLSFLSTFNISANSFHGHIPESIGFLTKLQSLDLSSNNLTGKIPATMYNVSSLQIVDLRNNHLSGTLPEGICDNYFRQLQGLYLSANRLSGEIPSSLPKCIDLRFLNLGDNEFHGSIPPEIGNFSKLEWLMLYGNNLTGDLPWTIFNISSLVKLNIRTNEISGILPNDLCYQIPELEYLDISINQIHGEIPQVLSNCRRLQVLSMSNNQLSGRFPTQICNISSLQELYLTEMNLTGYLPKEIGKLSILRGFRVYENRLTGTIPPSIGNISTLETFFVSDNNLGGNLPPELGKLSSLKGLSVSSNNFSGEVPSSIFNISGLQVIELSLNELFGNLQPGLRHWISPSLEGLLLANNQFSGTIPSTISNASQLIMLDLGNNMFSGHVPLVVENLHQLQFFAIEHNHITNDPSANELSLLTSLSKCKNLKMVILEGNPFNTVLPDSLDVGNMSSSLKSLYAADCHFKGSIPSGISNFSNLISLDLAKNNLSGSLPRTLGHLLRLQGLRLHNNKIEGSIQNTLCYLKDLSYLYLSDNKLSGSIPSCFGNISSLRKIYLGSNLFTSTVPIGFWNNKDVLELDLSSNFLGDSLPLEIGSMQSMVKLYLSRNRFSGEIPNTIGQLQNLLILSLSSNKLHGPIPQSFGSLISLQGLDLSNNSLSGGIPKSMEKLKDLVYLNLSFNDLSGKIPNGGPFAKFSMESFMGNKKLCGASRFHVMECKDGKGKPRNIAMFLKYVLPSLVSVVVIAILLVWLLTFWKRNKQREPRAEDSLYVALKRISYYEILRATEDFDEMTREDGGQEIEQCFVIVMGLALECTTDFPEERITMKDVIVRLKHALQKFNQNVSVSLVIN >itb02g01040.t1 pep chromosome:ASM357664v1:2:596197:598139:1 gene:itb02g01040 transcript:itb02g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLGKGEECLQLLDLIPSQTDCVEMREGKGYGVSDEEEELELRLGPPGGDIVSVVPFGSSSVAERRAKEFSSFQSVQLGCIQGGERSQVSGNEAAPSAPNAFQKRSAPSSVVGWPPIRSLRKNRASSNFLKPNSESQNLVESSQKGLFVKINMDGVPIGRKVDLKACDSYKKLSSAVDELFTGLLAAQNGYKEGGKVFASLLDGRGEYALIYEDNEGDRKLVKDVQWHVFVSTVKRLRVSKTSERTIYSKPKP >itb01g01120.t3 pep chromosome:ASM357664v1:1:560088:563796:1 gene:itb01g01120 transcript:itb01g01120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSQEELHQQLPGGVGTSQEALPQQAPVAVDYSKYRPLYRAILAANWEDALIFFNQDPAAIQSPLNCGLGTALHVAAKVGNASFMEKLVALLLLGDINEEVVEALSPRNRIGNTPLHIAAWHGNIEVADILVRRNSNLLYLHNNYDWFPIHSAAMNSRKSKDAFLYFLSHTRDDEYGQPNPYAGPTGVSILVNLIHHKFYDLALLLARRYPDLGRHNRLGNDASALDVIVFNDCSIINKHSLNFLQSLIYYCVSKAESTTSAPIFHLITSLLQWLVGKSIVNKMVLHHQAVKLLKCLCDQLKTLNDTQVTSLTIRAVFEATSLDIWQVILNTADAYPDSVYFSNSMRQRILHVAVINRSENVFKLVCGTNVLGNILSSLMDVNSNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKVCHNLIYICFPPPLHFFFNDDETHNHVLHELKVFVYTV >itb01g01120.t4 pep chromosome:ASM357664v1:1:560753:563796:1 gene:itb01g01120 transcript:itb01g01120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVALLLLGDINEEVVEALSPRNRIGNTPLHIAAWHGNIEVADILVRRNSNLLYLHNNYDWFPIHSAAMNSRKSKDAFLYFLSHTRDDEYGQPNPYAGPTGVSILVNLIHHKFYDLALLLARRYPDLGRHNRLGNDASALDVIVFNDCSIINKHSLNFLQSLIYYCVSKAESTTSAPIFHLITSLLQWLVGKSIVNKMVLHHQAVKLLKCLCDQLKTLNDTQVTSLTIRAVFEATSLDIWQVILNTADAYPDSVYFSNSMRQRILHVAVINRSENVFKLVCGTNVLGNILSSLMDVNSNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVKKIVPPDYSSYLNKDGKTPSMVFTEEHKELKEAGEKWMKDTANSCTIAAALIVTVVFAAAITVPGGNSGENGLPIFSNHNAFTIFAFSNAASLFTSTTSLLVFLSILTSRFAEQDFLYALPKRLIIGLLTLFLSIIFMMIAFSSTVYLVFGNNRRGVLIMVAGFACLPVTSFVLLQFPLLVALVSSTYGRGIFNSRGFPQLPY >itb01g01120.t1 pep chromosome:ASM357664v1:1:560088:563796:1 gene:itb01g01120 transcript:itb01g01120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRKSKDAFLYFLSHTRDDEYGQPNPYAGPTGVSILVNLIHHKFYDLALLLARRYPDLGRHNRLGNDASALDVIVFNDCSIINKHSLNFLQSLIYYCVSKAESTTSAPIFHLITSLLQWLVGKSIVNKMVLHHQAVKLLKCLCDQLKTLNDTQVTSLTIRAVFEATSLDIWQVILNTADAYPDSVYFSNSMRQRILHVAVINRSENVFKLVCGTNVLGNILSSLMDVNSNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVKKIVPPDYSSYLNKDGKTPSMVFTEEHKELKEAGEKWMKDTANSCTIAAALIVTVVFAAAITVPGGNSGENGLPIFSNHNAFTIFAFSNAASLFTSTTSLLVFLSILTSRFAEQDFLYALPKRLIIGLLTLFLSIIFMMIAFSSTVYLVFGNNRRGVLIMVAGFACLPVTSFVLLQFPLLVALVSSTYGRGIFNSRGFPQLPY >itb01g01120.t2 pep chromosome:ASM357664v1:1:560088:563796:1 gene:itb01g01120 transcript:itb01g01120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSQEELHQQLPGGVGTSQEALPQQAPVAVDYSKYRPLYRAILAANWEDALIFFNQDPAAIQSPLNCGLGTALHVAAKVGNASFMEKLVALLLLGDINEEVVEALSPRNRIGNTPLHIAAWHGNIEVADILVRRNSNLLYLHNNYDWFPIHSAAMNSRKSKDAFLYFLSHTRDDEYGQPNPYAGPTGVSILVNLIHHKFYDLALLLARRYPDLGRHNRLGNDASALDVIVFNDCSIINKHSLNFLQSLIYYCVSKAESTTSAPIFHLITSLLQWLVGKSIVNKMVLHHQAVKLLKCLCDQLKTLNDTQVTSLTIRAVFEATSLDIWQVILNTADAYPDSVYFSNSMRQRILHVAVINRSENVFKLVCGTNVLGNILSSLMDVNSNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVKKIVPPDYSSYLNKDGKTPSMVFTEEHKELKEAGEKWMKDTANSCTIAAALIVTVVFAAAITVPGGNSGENGLPIFSNHNAFTIFAFSNAASLFTSTTSLLVFLSILTSRFAEQDFLYALPKRLIIGLLTLFLSIIFMMIAFSSTVYLVFGNNRRGVLIMVAGFACLPVTSFVLLQFPLLVALVSSTYGRGIFNSRGFPQLPY >itb07g05690.t2 pep chromosome:ASM357664v1:7:3893104:3902069:-1 gene:itb07g05690 transcript:itb07g05690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELREKIIYSIRASELRLLRCTLPSDHSPSSPEPPTGSPFAHLHRLLDQVIELIESGQYIQALSSPAVLSVFSTSQFHPQFVDSGESAHQFYSEFVPGCVASFFNDTNGDGNLEDLSLVNGFKALVVISIAVASFLAFVQCNTTGPIEKFPPMPLVALATCDGESSDSGRWLEWETWAQKELMSVGSDLRAKFSNVQYIILAKILLMSTKDLLLEGNVSSSMKSISWWLARLLLFQQKLLDDRSSTLFDLLQVYTIESLNQFGTSEKARDYWNSEISSEDALTIVSALHLEAGIMELTYGRTDSSKVHFESAAAASRLSFSLSGAMGFRTVHQVEPKAQLVLVGCTKDGEKVAQQGHELQSDASITGEDVQPPHLREMSEASDILLAPRFLEDAKTSEANCSIATTELKSVQQAVVLAQCHFIERSARSDELQKWEMAPYIEAIDSQHSSPFMIQYLCDILRIHWESTRSRTKQRSLLMMDKLVQSVCDPSPGVLQRMFFCFEVNIPTIPALRKEYGDLLVSCGLIGEAVKIYEDLELWDNLIHCYRLMEKKAAAVDLIKARLSERPSDPRLWCSLGDVTNSDSCYEKAQEVSGNKSARALRSLARSAYNRGDYEKSKALWESAMRMNSMYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLRDSWQMWENFSHVAADIGNANQALEAVQKVLDITKKKRIDNELLEKVMQDLEMRVLTTHSVSLASCNITNSADAISDVNHADKIVGSEANLACERETEHLIQLLGKILRQIVQSGGSAETWGLYARWHKLKGDLTMCSEALLKQVRSYQGSDLWKDKDRFVKFANASLELCRVYKELACRNASRRELFAAEMHLKNTIKQAEVFSDSKEYEDLQACLDEVQKALQT >itb07g05690.t1 pep chromosome:ASM357664v1:7:3893104:3902069:-1 gene:itb07g05690 transcript:itb07g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELREKIIYSIRASELRLLRCTLPSDHSPSSPEPPTGSPFAHLHRLLDQVIELIESGQYIQALSSPAVLSVFSTSQFHPQFVDSGESAHQFYSEFVPGCVASFFNDTNGDGNLEDLSLVNGFKALVVISIAVASFLAFVQCNTTGPIEKFPPMPLVALATCDGESSDSGRWLEWETWAQKELMSVGSDLRAKFSNVQYIILAKILLMSTKDLLLEGNVSSSMKSISWWLARLLLFQQKLLDDRSSTLFDLLQVYTIESLNQFGTSEKARDYWNSEISSEDALTIVSALHLEAGIMELTYGRTDSSKVHFESAAAASRLSFSLSGAMGFRTVHQVEPKAQLVLVGCTKDGEKVAQQGHELQSDASITGEDVQPPHLREMSEASDILLAPRFLEDAKTSEANCSIATTELKSVQQAVVLAQCHFIERSARSDELQKWEMAPYIEAIDSQHSSPFMIQYLCDILRIHWESTRSRTKQRSLLMMDKLVQSVCDPSPGVLQRMFFCFEVNIPTIPALRKEYGDLLVSCGLIGEAVKIYEDLELWDNLIHCYRLMEKKAAAVDLIKARLSERPSDPRLWCSLGDVTNSDSCYEKAQEVSGNKSARALRSLARSAYNRGDYEKSKALWESAMRMNSMYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLHMIKKRNKEAFIAFKEALKLKRDSWQMWENFSHVAADIGNANQALEAVQKVLDITKKKRIDNELLEKVMQDLEMRVLTTHSVSLASCNITNSADAISDVNHADKIVGSEANLACERETEHLIQLLGKILRQIVQSGGSAETWGLYARWHKLKGDLTMCSEALLKQVRSYQGSDLWKDKDRFVKFANASLELCRVYKELACRNASRRELFAAEMHLKNTIKQAEVFSDSKEYEDLQACLDEVQKALQT >itb02g05040.t1 pep chromosome:ASM357664v1:2:3012476:3015970:1 gene:itb02g05040 transcript:itb02g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNNWLGFSLSPHHDAQPQEISSISASDDVSAGGGGGGDCFDLSCRRMLPSASPFGILEAFSSRNSQSQEWSHMKDAETMMFSQHGGLESQQEVAPKLENFLGIGRDRQLQPCTTAAAAANANNNPTFGLSMIKNWLRTNKTASDNGGCVAAAGMMSSSQALSLSMGAASQPSSAAAALPLLNISGGENDHVHLQNNVIAASTNSAGCLSESENNSQQTENGTSQSPATAIAADAQTSTATANGLDATSRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIRFRGLNAVTNFEISRYDVKSIMDSATLPIGAAAKRLKDAAEQSEMSQRTEDTHNNIGLLSHFTDGITSYGAAAHHHGWPSIAFQQAQPLNMHYPYAAAAAHHQRLPLWCKQEQPHDNADVTHSGIQDIHQLQLGYSSAAAAAAAAHNNSLQPSVIHNLMGLDSSSMELSYGVAGEGGNNNGGFVMMPIGQDGTHGFGENEVKPLGYNDNMFGGSSSSPDPYNQERSFYLYNQQPQNPAATCNNWVPTAVPTISARAGNIAAVPATFPAWNDT >itb05g27020.t1 pep chromosome:ASM357664v1:5:30617192:30617945:-1 gene:itb05g27020 transcript:itb05g27020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLMFMKRSIVQDQLTIVVEQPPDNVFGIVKDDTEASAKVKPKDKKGLSTGFATVSARFSISPYS >itb07g00470.t1 pep chromosome:ASM357664v1:7:320062:327816:-1 gene:itb07g00470 transcript:itb07g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERERKTIVCKDQIRSENRRSERWWMKTPTTVFPLAAIQKLLKSIWGKWNIQAIVVASLAFQGILEFLAPVRKRSKKSSTHLIIWSVYLLADYFATFSIGLINSSAEDLGPKSSRIAAFWAPFLLLHLGGPHTITSFDLEDNNLWHRHLLTLLVQVLSVLLVFYRFQIYIYRELLIPTGIIFFAGIIKYAERTRSLYMASLSHMKKSMLESSSEDTLSYQLKPTFQGSEEAVDRELEIIQMGYTYFQFFKGFIMDHGFSHIEGKLEAVKDLFMTLDHKEAYKVLEIELNFMYDALFTKMAAVQWMSSFGYGYRFVVHALLLAVALIFVFFPKLQADDLDISITYLLLGGAIFLDFVALAKLVFSLWTVAIMLEDVEKCKASPGKKESWISGLKRNHKIIKTIKAITTWISSRRRWSNEIRQYSLINHSIKQGWPLIDPIAEKSGLTERLDAWRYTITESVGCGLLEQVFQYIKKRAETDEAKDGGGGGELPPTREVRYKDYDDCVLIWHVATEIFYFSIDSGKEDDPNRKICRNISEYLVYFLVMEGKLTSTVPGNIGMRFRDICWEEVKHTREELKARLDSAAVIGKNNYFLDYIKHMASAKMEDFKDFCTITNWKNNHADTDADTCDRKRSRLEQVSRKWEDKKRKDACKWLLDGFPEKENKSENDYYLKSILPEAVKLAKHLKSCCCSPGDVENPAEEQCSHHNNPNRPDDNSCSEKELWEILRHVWVGLLLYGASHCRDDVQYLNKGGELLTFVRLLMVHFGLTDAFKIEGGFKLEAELHQRIWGNWNIHAIVVASLGFQCVLEFVAPLRKRRKKVPTHLTIWCAYLLADYFATFGIALINSNSNEEDAPGDQSSLLEAFWAPFLLLHLGGPHAITSFNIEDNNLWHRPLLTLIVQSFSVLLVFYRYKIYRHRCLAISAGIVFFAGIVKYAERIHSLFLASVPHMRRSMLENNSSSSSSLSKETEEALVANNPDKMEIIHSGYIYYKLFKGFILDHAFIHIEDKLKAVKKVFLELKHPETAYKILEMELNFMYDSMFTKMAAVQWISSIEYAYRFVVHGLLVAVTITFYLCDKSRASSIHISITYILLGGAVVLDLVSIVKLVCSEWTVALMMEKPSEERKWIMKGINTINTWGSSTKRWSKEIRQYSLINHSFKQRWKPLDKIINRSGLIREKLDAWQYTTIQPVGNVLLKRLFHAINNKANQQQQEEGTQTKGQGGGDEQPPKGKLTSTVPGNIGLRFKDICWEEVQHTINEIVDGLSKTRFWEEDRCKRKRTRWEKLKMKWQDKKRGEVCEQLLRLKLRDRSKNGSDDDYAKSILSKAIELAHHLKSCCRQDPEGRPNPADNNNPQNEQDVEIPGYIKLAKHLKRCCGQDPEGPPNPAGNNNNNNDVENPGSAEELAHPNRQDNHEDHYCSEKELWEVVSHVWIGMLLYGASHCRDDVQYLNKGGELLTFVRLLMVHFGLREAFKDEGGAAAGFKLAEELHKVITDIKLRHFLPKIITSDFLP >itb09g11660.t1 pep chromosome:ASM357664v1:9:7276637:7279289:1 gene:itb09g11660 transcript:itb09g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MEGSSGWRMLASDFVMSLMWVWSSVLIKVFVYGVLGFAHHDLHAEILRHALAVAVMFFFAFLVDLTDGAAYNPLTVLASAISGDFRNFLFTVGARIPTQVLGSITGVRLILDTFPDIGRGPELNVDIHRGALTEGCLTFMIVIISLGLSRQIPGSSFMKTWISSLSKVTLHVLGSDLTGGCMNPASVMGWAYARGDHITKEHIQVYWLAPIQGTLLAVWTFRLMFPEQKDDKAKAKAKKSD >itb03g01460.t1 pep chromosome:ASM357664v1:3:828268:830987:1 gene:itb03g01460 transcript:itb03g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRSITVHLLNGHDLQKDGGDDCRSFGSASFLNTCFNGINALSGIGILSVPFAVAAGGWLSLIYLLIIAAATCYTALLIKRCMDMDAGVKSYPDIGGRAFGAVGRAVVSLSMNVELYMVAAGFLIMEGDNLNNLFPSFRAKVFGAVIGGKQCWVIVVSLLVLPTVWFNDLRILSYVSATGVLASFLLLAAVLWTAVSDGAGAGDSPPANRRVVNWGGTPTAVSLYAFCYCAHPVFPTLYTSMKNPTHFSKVLLVCFAVSTFTYASMAVLGYLMFGSDALSQITLNLPTDKISSQVAIYITLVNPIAKYALMVTPIVKAIENSFALSDYGKKGFICGLVIKTGLVISTVIVAITVPFFGYFMSLVGAFLSTTASVILPCLCFLKISGIHRTAWFEVVVICGIVVMGVGFMVVGTYTALMEIVCNL >itb03g01540.t1 pep chromosome:ASM357664v1:3:863547:865196:-1 gene:itb03g01540 transcript:itb03g01540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MTIKAITNGSSNYQQPSTPWRRIRSCKDFRTLKRIHARIIVNGFNSDRSALRELVYVSAVALSTAIHYAHKLFDQIPEPDIFMWNTMLRGSAQSPRPSLALSLFARMEKHYVCPDNYTFPFVLKACTRLSWVNTGLVTHGKIVKHGFESNKFARNSLISFHSNCGDIRIARWLFDGFAMRDVVAWSALTAGYARRGDLEVARRVFDEMPMKDLVSWNVMITGYVKQGKMESARELFDAVPRRDVVTWNTVISGYVHCGEHKQALEMYEEMRKAGEQADEATMLSLLSACTDSGSLDVGEKIHSSIMKIMGAGGLSVFLGNALIDMYSRCGSIKKAVEVFHSMSEKDVSTWNLIIRGQAMNGHSEESILLFEEMRRMKFIIPNEVTFIGVLVACSHSGKVDKGREYFNLMRVEYSIEPNIRHYGCMVDMLARAGLLNEAFEFIDAMEIEPNAIVWRTVLGACKIHCNVELGRIANAQLSNLGLGESGDYVLLSNIYASRGEWDGVERVRNKMDDCGVWKEPGCSLIEADEEAFLNFLFDSEPQTNPQEHG >itb04g25640.t1 pep chromosome:ASM357664v1:4:30190333:30190768:1 gene:itb04g25640 transcript:itb04g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEELILNVDGSFREENKKAGGGAVLRTRDGKWIAGWSQRVNANNSWEAELMELEMGLEWVRSRGINRLEIQCDNANVWQIGWHRGQLLVLRKGSFITSLL >itb05g07990.t1 pep chromosome:ASM357664v1:5:10666730:10669584:-1 gene:itb05g07990 transcript:itb05g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIFGKPKEETNALTSIEKLNETLEMLEKKEKVLQKKASAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKSMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEEAELEEQLLQPATSAPAAPVRVPTGKQPARPAPKQQTEEEDELAALRAEMALS >itb05g07990.t2 pep chromosome:ASM357664v1:5:10666730:10669584:-1 gene:itb05g07990 transcript:itb05g07990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIFGKPKEETNALTSIEKLNETLEMLEKKEKVLQKKASAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKSMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEEAELEEQLLQPATSAPAAPVRVPTGKQPARPAPKQQTEEEDELAALRAEMALS >itb12g13000.t1 pep chromosome:ASM357664v1:12:11699243:11699863:-1 gene:itb12g13000 transcript:itb12g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKWCSTAARRMMMATEQRLAFSTLSSSTSSPSAIVEAPVLCGRGDKKTKKGKRFKGSYGNSRPKKDKKIERIKDKVEVPRSTPWPLPFKLI >itb14g11130.t1 pep chromosome:ASM357664v1:14:12681086:12684925:1 gene:itb14g11130 transcript:itb14g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTRPQPVTGYAAGPANQNGYPPPSSGTAYPYAAPPPAAYNYNYYNNNNPYYQQPDAYAVQRATFLRRVLAIFIACFVIAGAVIFIVWLILRPRLPEVRADSLSLSSFNLSDNFLSGNWDFQFTARNPNKKMTIDYDDIQALIYYDSKQLAETSVAPFFQDKRNETASNATFAATRTFVDNRVVEGIKKETPNIEFDVLMLARVKFKAGSWRARSRFLRVYCGELKVGLVQNRSSGNLLGGPRQCVVRL >itb14g11130.t4 pep chromosome:ASM357664v1:14:12681034:12684930:1 gene:itb14g11130 transcript:itb14g11130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTRPQPVTGYAAGPANQNGYPPPSSGTAYPYAAPPPAAYNYNYYNNNNPYYQQPDAYAVQRATFLRRVLAIFIACFVIAGAVIFIVWLILRPRLPEVRADSLSLSSFNLSDNFLSGNWDFQFTARNPNKKMTIDYDDIQALIYYDSKQLAETSVAPFFQDKRNETASNATFAATRTFVDNRVVEGIKKETPNIEFDVLMLARVKFKAGSWRARSRFLRVYCGELKVGLVQNRSSGNLLGGPRQCVVRL >itb14g11130.t3 pep chromosome:ASM357664v1:14:12681034:12684930:1 gene:itb14g11130 transcript:itb14g11130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTRPQPVTGYAAGPANQNGYPPPSSGTAYPYAAPPPAAYNYNYYNNNNPYYQQPDAYAVQRATFLRRVLAIFIACFVIAGAVIFIVWLILRPRLPEVRADSLSLSSFNLSDNFLSGNWDFQFTARNPNKKMTIDYDDIQALIYYDSKQLAETSVAPFFQDKRNETASNATFAATRTFVDNRVVEGIKKETPNIEFDVLMLARVKFKAGSWRARSRFLRVYCGELKVGLVQNRSSGNLLGGPRQCVVRL >itb14g11130.t2 pep chromosome:ASM357664v1:14:12681034:12684930:1 gene:itb14g11130 transcript:itb14g11130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTRPQPVTGYAAGPANQNGYPPPSSGTAYPYAAPPPAAYNYNYYNNNNPYYQQPDAYAVQRATFLRRVLAIFIACFVIAGAVIFIVWLILRPRLPEVRADSLSLSSFNLSDNFLSGNWDFQFTARNPNKKMTIDYDDIQALIYYDSKQLAETSVAPFFQDKRNETASNATFAATRTFVDNRVVEGIKKETPNIEFDVLMLARVKFKAGSWRARSRFLRVYCGELKVGLVQNRSSGNLLGGPRQCVVRL >itb05g12020.t1 pep chromosome:ASM357664v1:5:18346752:18347540:-1 gene:itb05g12020 transcript:itb05g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPSSVPPTSHPPPPRPRPPLGHLTNIGPIPQIPEDHPLRPQSTSSLLENHTPGPLVGNINVGELLSALDGKWYYEETREMITAQKGMEVSFAFSDFPNNVLWGAIAALMKSNGYHKTDDQCRTEWNTLVTRYKGCKSDEDKQKFPYFNVLDAFLTERRELLEDETTVAESSSVVIGGKKRKRNIEPERLYTSLAEVVKVTKEHIQLDKQREEERKCREEKDTQWRETILSLKKEKMDFARDWKIRDELLLAAINKINL >itb03g01960.t2 pep chromosome:ASM357664v1:3:1109536:1115314:-1 gene:itb03g01960 transcript:itb03g01960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKNRRRARSEAEKKVEQQSVNEDNTILETYSKKQSPSDVNTTVDTLMQADSADLLPFPEHQSSFQNALPEVESAAKFPSTEPMDLDLNPIENHLENNVDGKKKRKKKKNKKNCTAAMTNLQVTFPNAEQTVQLPAQEPLQVTFPNAESAVQMPAQELQGLDMNLANILEVKSGNRKQKKRKEKNLNKPTSNVLDVDSTAKLLAPKNQDRDINLQVTLPNAESAVQLPAQELQGLDMNLAMPAQELQGLDMNLANILEVKSGNRKQKKRKEKNLNKPTSNVLDVDSTAKLLAPKNQDRDINLQVTLPNAESAVQLPAQELQGLDMNLANILEVKSGNRKQKKRKEKNLNKPTSNVLDVDSTAKLLAPKNQDRDINMTKIEEKSGSGEKPTSNVLVVDSTAKLLVPKNQDVDSTAKLLVPKNQESDINMTLLVPKNQESDINMTEIEKKSESGEKSASGKKKGKKKKLNKPASNVIDVESTAEVLVPEYHEIHDVLVKPTSNVLDVDSTAKLLVPKNQDVDSTAKLLVPKNQESDINMTLLVPKNQESDINMTEIEKKSESGEKSASGKKKKGKKKKLNKPASNVIDVESTAEVLVPEHHEIHDVLVKPTSNVLDVDSTAKLPVPKNQESDINMTEIEKSESGEKSASGKKKKWTTKKLNKLASNVIDVESTAEVLAPEHHERDISSHNNLEQKTANGRKRKRGENIEPTSDDQHVELTEQLLPPDNQEKKKKKKISKVKKAANNNIDNVKTATQDSLSFAREETEVLGAGSVKLCPEDVNVVSVQTEHTATVKATTTTCENYENGSCLAFPEKNSVESCSVGTHLPSSAEVTVHDVTPLKRKLLILDLNGLLADIVNPRPKDCKADASFLGRAIFKRPFCDDFLNFCFERFNVAIWSSRSKKIIVPVVKYLLGDLRHSLAFCWDMSHCTVTRFKTLENKHKPLVCKDLRKIWEKRYPGSPWDKGDFDESNTLLLDDSPYKALLNPVHTTIFPYSYNFGLKDDNSLGPGGDLRVYLENLADAEHVQKYVEEHPFGQPAINEKSANWGFYSRVIQSLHPQVKQL >itb03g01960.t1 pep chromosome:ASM357664v1:3:1109536:1115239:-1 gene:itb03g01960 transcript:itb03g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKNRRRARSEAEKKVEQQSVNEDNTILETYSKKQSPSDVNTTVDTLMQADSADLLPFPEHQSSFQNALPEVESAAKFPSTEPMDLDLNPIENHLENNVDGKKKRKKKKNKKNCTAAMTNLQVTFPNAEQTVQLPAQEPLQVTFPNAESAVQMPAQELQGLDMNLANILEVKSGNRKQKKRKEKNLNKPTSNVLDVDSTAKLLAPKNQDRDINLQVTLPNAESAVQLPAQELQGLDMNLAMPAQELQGLDMNLANILEVKSGNRKQKKRKEKNLNKPTSNVLDVDSTAKLLAPKNQDRDINLQVTLPNAESAVQLPAQELQGLDMNLANILEVKSGNRKQKKRKEKNLNKPTSNVLDVDSTAKLLAPKNQDRDINMTKIEEKSGSGEKPTSNVLVVDSTAKLLVPKNQDVDSTAKLLVPKNQESDINMTLLVPKNQESDINMTEIEKKSESGEKSASGKKKGKKKKLNKPASNVIDVESTAEVLVPEYHEIHDVLVKPTSNVLDVDSTAKLLVPKNQDVDSTAKLLVPKNQESDINMTLLVPKNQESDINMTEIEKKSESGEKSASGKKKKGKKKKLNKPASNVIDVESTAEVLVPEHHEIHDVLVKPTSNVLDVDSTAKLPVPKNQESDINMTEIEKSESGEKSASGKKKKWTTKKLNKLASNVIDVESTAEVLAPEHHERDISSHNNLEQKTANGRKRKRGENIEPTSDDQHVELTEQLLPPDNQEKKKKKKISKVKKAANNNIDNVKTATQDSLSFAREETEVLGAGSVKLCPEDVNVVSVQTEHTATVKATTTTCENYENGSCLAFPEKNSVESCSVGTHLPSSAEVTVHDVTPLKRKLLILDLNGLLADIVNPRPKDCKADASFLGRAIFKRPFCDDFLNFCFERFNVAIWSSRSKKIIVPVVKYLLGDLRHSLAFCWDMSHCTVTRFKTLENKHKPLVCKDLRKIWEKRYPGSPWDKGDFDESNTLLLDDSPYKALLNPVHTTIFPYSYNFGLKDDNSLGPGGDLRVYLENLADAEHVQKYVEEHPFGQPAINEKSANWGFYSRVIQSLHPQVKQL >itb01g02630.t3 pep chromosome:ASM357664v1:1:1714612:1716416:1 gene:itb01g02630 transcript:itb01g02630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAIVYYILSHISNAKDVAYCSCVSKRWKETMPTVRSLFFPRNLFDNFKDGNPDAVIGKMISSVERLEGLCIYCSFSGVSLASWLSLVGPSLKSLELRMDNLSELQSDTGSPSKLDSIRAACNLESLKLWGVLMKHSPKWDTFHRLKTLEIVGARVDDMALSATLKHCPNIRQLLLLGCEGLRSVIIELPQLVLCKLDFYGAGNCSLSVNCPKLENLEIQGCSWIKVRETQFLRNLSISNSSGTGRVYMLDFGKLVALETLAMRGIQWSWDAISKLLQMASEVKQLFMKVEFTGDFEALLPFPEVDFADFFNSHPKLQTFDIHGAMFAALCQKNSLKNVSSLIYSEAKVSLFSC >itb01g02630.t2 pep chromosome:ASM357664v1:1:1714715:1716805:1 gene:itb01g02630 transcript:itb01g02630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAIVYYILSHISNAKDVAYCSCVSKRWKETMPTVRSLFFPRNLFDNFKDGNPDAVIGKMISSVERLEGLCIYCSFSGVSLASWLSLVGPSLKSLELRMDNLSELQSDTGSPSKLDSIRAACNLESLKLWGVLMKHSPKWDTFHRLKTLEIVGARVDDMALSATLKHCPNIRQLLLLGCEGLRSVIIELPQLVLCKLDFYGAGNCSLSVNCPKLENLEIQGCSWIKVRETQFLRNLSISNSSGRVYMLDFGKLVALETLAMRGIQWSWDAISKLLQMASEVKQLFMKVEFTGDFEALLPFPEVDFADFFNSHPKLQTFDIHGAMFAALCQKNSLKNLDSSFAIPCLEKVVVTVRSPLNAEQKMSTLESLLRYAKNLRNMKIKILQMKSCHSSSDEFFEDICRFSRMNRKIVSIE >itb01g02630.t1 pep chromosome:ASM357664v1:1:1714612:1716797:1 gene:itb01g02630 transcript:itb01g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAIVYYILSHISNAKDVAYCSCVSKRWKETMPTVRSLFFPRNLFDNFKDGNPDAVIGKMISSVERLEGLCIYCSFSGVSLASWLSLVGPSLKSLELRMDNLSELQSDTGSPSKLDSIRAACNLESLKLWGVLMKHSPKWDTFHRLKTLEIVGARVDDMALSATLKHCPNIRQLLLLGCEGLRSVIIELPQLVLCKLDFYGAGNCSLSVNCPKLENLEIQGCSWIKVRETQFLRNLSISNSSGTGRVYMLDFGKLVALETLAMRGIQWSWDAISKLLQMASEVKQLFMKVEFTGDFEALLPFPEVDFADFFNSHPKLQTFDIHGAMFAALCQKNSLKNLDSSFAIPCLEKVVVTVRSPLNAEQKMSTLESLLRYAKNLRNMKIKILQMKSCHSSSDEFFEDICRFSRMNRKIVSIE >itb04g06450.t1 pep chromosome:ASM357664v1:4:4190687:4191887:1 gene:itb04g06450 transcript:itb04g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSSNEPASKSEKTQPSKPNHTMIVTCVVIAAAVILIIGIGILMRVFRPRVGRRKERDGLEWSMISFQRLKFNKWDILGGLIDENLIGNGGSGKVYRVITKKGQKVAVKSIRHEQKERQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGKTTKLIVYEYMDKQCLHKWLHGKKRGLITQVLQWERRLKIAIGAAQGLCYLHHSCSPPIVHRDIKSSNILVDSDFNARIVDFGLAKMMASEGDPETAYAIVGTFGYIAPEYGNTRKVDAKSDIYSFGVVLLELTTGREAVTRNEDMNLAQWAHKHLREGNSAADALDEEIKDPRYLEAMITVFKLGLACTLSSPSSRPSMKDISQILQRCSENSHMSTES >itb06g12310.t1 pep chromosome:ASM357664v1:6:16932545:16932850:1 gene:itb06g12310 transcript:itb06g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLTKNPISFIAIILCSLFFFFLLFHGFSDHHHHPRALRISSRRVIMGVGFDFQSFLQHRGHRKHLASAAPESDDDEIDPRYGVEKRLVPSGPNPLHH >itb10g21020.t1 pep chromosome:ASM357664v1:10:26365045:26365969:-1 gene:itb10g21020 transcript:itb10g21020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTHLPIYREDADADETDRHLKHRRSARHYARRVKDSLTTRVSKLICAVVLGLLAIIGLVTFILWLSLRPHRPRIRVEDFSLPALGQGSGIENAQVNFNVTVRNSNQAIGIYYDDAMQITLWYDDQSVGTVSLLNPFFQPPKNTTVVAGSLAGAALNVTNQHWEQFVNDVSRGLVLFRLELKTTIRFKVSSWNTKRHRMHSNCPVGIGQDGTIAANYRDKICSVYFS >itb09g09720.t1 pep chromosome:ASM357664v1:9:5972068:5974728:-1 gene:itb09g09720 transcript:itb09g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLLLDCIAVNSSASCASPCFLGEKMEILGEVFEVDWKLDEDEDKAGRFEYVNGEKSSSSSNGLESEDSLNEEHSSSEDSLNEEHSSSEDSSSPWSGNSVVSEATEKPYLDYRKWEKQGSSSPEIGMLKDTFSKLLLGEDMSGGGNGVSAALAVSNAITNLSAAIFGKICRLEPLPAKKKLTWKREMEWHLCVSDYIVELTPSWQTFPDGTEREVMVSRPRSDLYVNLPALRKLDNMILEIMDSFENTEFWYVDQGVLAPAESDGSKEKWWLPVPRVPTCGLTGNARKLLQQTRNCTNQILKAAMAINCSTLAEMEVPESYLEALAKNGYASLGELINGYNISDQCSPESLLDCLDLSSHNRALEIANQLEASMHVWRQKTHSKNSKLSWEGVKELVVDADKRELLAYRAESLLLCLKQRFPGLPQTTLNMSKIQHNKDLGKSILESYSRVLQSLAFNIAARINDLLYVDDLTKHSDPFMPVSRKSTGTPNSSPSQGFSAPKEQENSHIQDNSKLPPRDCGGRKALTDFLSIDLNGNRVSNDLRKPVSFSSTYQEISVTEDELNTLHSCI >itb12g10100.t1 pep chromosome:ASM357664v1:12:8088932:8091561:1 gene:itb12g10100 transcript:itb12g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDELVGVLKQSEKKSRKLKNIKEKNTNNGIHVECIAKNKKVEDHESFEGGNFTNRRVLTDGVERKKKKKLKNPVDNNGCGRMSLDCAGNCVSKVEMQKTKRDVNSSADESPARCEEIKDDAFVDSEDNAHPTITKMLENANEKEKMVAKLIDERNEDSIEMARMKNKKRKRKKEKKNNDVGAGSVDIETESLGGTEGVAYPSVKKTIDDGTGNENINSKMHSGDELGGFCVSNVLKKGNEEIKEAELIRGKWFSKEEDEIIKEVVHRYIETHDLGDEGLNMVLNSQSFPNVRGCWKEIAAALPERPYTSVYFRGQKLFRKSDIPWTKEDYEFIRKYQEKHGNRWSDMAVELGKFRVHILNAWLRIKLPNRKKGRWSQDEYQTLFDLVNTDMQLKIFEEKKSNCGMLRDNICWMAISEKLGTRNNTTCCMKWYNQLTSPMVVEGKWTDSDDYRLIGALYNLDVSSIENVDWDNLLDHRLGEVSLQRWKQMVYHIGNHENKSFAEQVEILAQRYCPYLLGAREAWDSKPFVL >itb15g23590.t1 pep chromosome:ASM357664v1:15:26425170:26425886:-1 gene:itb15g23590 transcript:itb15g23590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLHSLIIFPLFIILVLLSPPSCADIGTTSQYSPPYTPTACFGSGASQFPSSNYFAAAGEGIWDNGASCGRQYLVSCISSVLPKACKAGQTIQIRIVDRAQSSVSKSTRPGTTMVLSNAAFAAIADPSAPSLNIEFQQ >itb03g08940.t1 pep chromosome:ASM357664v1:3:6791306:6792301:1 gene:itb03g08940 transcript:itb03g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNPKPRRVCFSYAAYAKNLIHHLKSADIPVEQGLSDVEISALESDFRFTFPPDLRSILREGLPVGPGFPNWRSSSRQQLEIIINLPVLGLCKQVSRGKFWMDSWGEMPEDTDMAVSLANKVFTKTAPLLVPIYRHFYIPSAPCLAGNPVLYVHGGEVEIRSFDIAGFFQTVEFSRRDDAVFTRPSLPNLPNPPAWAATEARGIEFWTELVEARGNYGGGGRKHRRWWSEDLDGWVGEVVRRLRDGGWKEEDVREMMMMDGCDQFGERRNGGADIEGLKLLSKILLRAGWSTEDVADSLGSPDDYQFDLADSYFDFHQGLINGFDENTE >itb09g11750.t2 pep chromosome:ASM357664v1:9:7319727:7326296:-1 gene:itb09g11750 transcript:itb09g11750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPVGSVTICEINRDLITADEISEDRAREAYGKLLGVVFSPVPFQFENLSGGGVSTQLASEEQKSGFLWAALKAVSTRSINHIFHPIDVKLLPGVDICGLSWHQHKHMFAFISGPHQVTVCDYEDSDGKNPCILINESQKDVKTLEWRPNGGRTLSVACKGGICIWASSYPGNAASVRTGVTLGTLSRGSGTRWTLVDFLRSPEDEQVSALSWSPSGRYLASASFESSSFTVWDVAQGVGTPIRRGLGGISLLKWSPSGDYFFAAKFDGTFYLWETNTWTSEPWSSTNGFVTGAAWDPDGSKILISFSGSSTLGSIHFATKPPSLDAHLIPVELPEMQSLTGSEGVEKIAWDASGERLALSYKDGEEAYKGLIAIYDVRRNPLISASLIGFIRGPGDNPKPVTFSFHDKFKQGPLLSVCWSTGFCLTYPLIFRSHVLP >itb09g11750.t1 pep chromosome:ASM357664v1:9:7319712:7326296:-1 gene:itb09g11750 transcript:itb09g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPVGSVTICEINRDLITADEISEDRAREAYGKLLGVVFSPVPFQFENLSGGGVSTQLASEEQKSGFLWAALKAVSTRSINHIFHPIDVKLLPGVDICGLSWHQHKHMFAFISGPHQVTVCDYEDSDGKNPCILINESQKDVKTLEWRPNGGRTLSVACKGGICIWASSYPGNAASVRTGVTLGTLSRGSGTRWTLVDFLRSPEDEQVSALSWSPSGRYLASASFESSSFTVWDVAQGVGTPIRRGLGGISLLKWSPSGDYFFAAKFDGTFYLWETNTWTSEPWSSTNGFVTGAAWDPDGSKILISFSGSSTLGSIHFATKPPSLDAHLIPVELPEMQSLTGSEGVEKIAWDASGERLALSYKDGEEAYKGLIAIYDVRRNPLISASLIGFIRGPGDNPKPVTFSFHDKFKQGPLLSVCWSTGFCLTYPLIFRSHVLP >itb02g00880.t1 pep chromosome:ASM357664v1:2:491331:496951:1 gene:itb02g00880 transcript:itb02g00880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSLPTPYFCNMKNVHPGDKARSCSDKIFSSNVNIKEQNYGILPQRYDLYRPFSQKTRLKQIPLGTLSSMVSMADSDQIIPQEEPLLGKGRSREMEFNRVNCLVWVLHESARSFSAAAQTLKLGKSEAELAMAWNGVDVHAWYKNIAYQVAFYALLKAAIEVEVFLSHKRCNNPSVNESGIAGIIVAITCCAALQKLCSERISCPLFLLKIEDTVLELMNLSDSLVSIDKFHHLATEAGFEEEFLSHFGRKILPSTSIEDVEFWIGLVQKKLINAFHRENAIADKHAFRDKVQENSLATLGLFAYLGRETRLFLSEMAIKDLDEQTMDFLSYLECGSLQTYPEFSTLAQYQLFMEVITDEIGWLDFYAAYASKFCDKRRSKQHASQAETEIILYTVLTVCYDVICGFAHYNNSLQQALEPNLLDFLLHSQSLLSTCLEDFWAAYDRRGELQTIAEKGSSADLLKRSGISSPFSLEGKQASIDLVKREKYQFEPRLQQVSRAAVVDADMVAESSCSSSSSTSEPLHQKLIGKSMAKLITASQNVLMGTQLLFIDISDAFGLLVKQLKGHLLTKRERKKMKQTLIDIATLVPVTILMLIPISAVGHAAMFAAMKRYTPCLIPSPYSSKRIGVVKQLKRTKKMDIKLRNSIEESSSNAVN >itb10g00150.t1 pep chromosome:ASM357664v1:10:106616:110632:1 gene:itb10g00150 transcript:itb10g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEPSNPAPNELKEEGDGSVGKKMSKKEAAKLERQRRRQEAAAASAAVSAVSIDDAPDPLAANYGDIPLDDLQSKAVSGRKWTDIGALTAELKDKEVLIRGRAQTIRAVGKKIAFIVVRKRGFTVQCVLTVAPDLVSAQMVKYATSLSKESIVDVEGVVSVPNVQIKGATQQVEVQIRKLYCVSKAAPTLPITIEDASRSEAEIEKALQEGEQLVRVNQDTRLNNRVLDIRTAANQGIFRVQSQVANAFRQFLLSESFFEIHTPKLIAGSSEGGSAVFRLEYKGQPACLAQSPQLHKQMAICGDFNRVFEIGPVFRAEDSFTHRHLCEFTGLDVEMEIDEHYSEVMDVVDRLFVAMFDSLNENCQKELEAIGRQYPFEPLKYLRKTLRLTFEEGIQMLKEAGIDVDPLGDLNTESERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYNNSAYSNSFDVFIRGEEIISGAQRVHVPELLTKRAEECGIDVKTISTYIDSFRYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRIAP >itb12g18880.t1 pep chromosome:ASM357664v1:12:21154880:21158494:1 gene:itb12g18880 transcript:itb12g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNSSRIKVLQAQDDLVNSMKDAASKELLHVSHDHHNYKKLLHDLIVQSLLKLKEPSVLLRCRKDDVHLVEHVLHSAKEEYAAKESVHPPEIIIDHIHLPPAPSHHNAHGLFCSGGVVLASRDGKIVCENTLDARLEVLFRKKLPEIRKLLFGQVAA >itb03g07150.t1 pep chromosome:ASM357664v1:3:5232875:5237053:-1 gene:itb03g07150 transcript:itb03g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNCVAVSSSDREMEKLIMTAPTSFQRVENSETKNREREREKSGDTQIERDKQIKPSRTPARRKSSSICCVITARETSLVAAVVGEKIPARVGERGRWPSTVRRRPTHIARKYRRYVVACRCSLPSSPLLYQIQRSSLVHAGRKREKRDGEE >itb11g05580.t2 pep chromosome:ASM357664v1:11:3302268:3304394:-1 gene:itb11g05580 transcript:itb11g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQAPGKVKSSEKFKNMVLICSSLCVVYLVCAFVLVPSTKLLHVSVSLQGVSSPTSLEHIVFGIASSEKSWAKRKEYVKLWWRPSRMKGCVFLDSEVKQEDNNTDSLPPVCISGDTSSFRYTYRGGNPSAIRIARVVSEVVSLNHSDVRWFVFGDDDTVFFPDNLVKTLSKYDHGLWYYIGTNSEDLVQNNFFSFEMAFGGAGFAISYSLAKALAKVFDSCLHRYPHLYGSDGRVHACLSELGVSLTHEPGFHQMDIRGNVFGLLAAHPLRPLVSLHHMEVFDPIFPNMTTTKALEHLYKAVSIDPHRILQQTVCYDRWFSWTISVSWGYAVQIHGNHLLLPDALRAQESYSPWRKSKKDIPYGFDSRGYHPDPCRRQTVFYMDRVSSSHRDGITSIYRKLTTDNCTFDKASPRNLDEIRVFTQKLDLNSKELLAPRRHCCDVLPSSADKALEIGIRECKEEELVYMH >itb11g05580.t1 pep chromosome:ASM357664v1:11:3302268:3304394:-1 gene:itb11g05580 transcript:itb11g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFQAPGKVKSSEKFKNMGVSSPTSLEHIVFGIASSEKSWAKRKEYVKLWWRPSRMKGCVFLDSEVKQEDNNTDSLPPVCISGDTSSFRYTYRGGNPSAIRIARVVSEVVSLNHSDVRWFVFGDDDTVFFPDNLVKTLSKYDHGLWYYIGTNSEDLVQNNFFSFEMAFGGAGFAISYSLAKALAKVFDSCLHRYPHLYGSDGRVHACLSELGVSLTHEPGFHQMDIRGNVFGLLAAHPLRPLVSLHHMEVFDPIFPNMTTTKALEHLYKAVSIDPHRILQQTVCYDRWFSWTISVSWGYAVQIHGNHLLLPDALRAQESYSPWRKSKKDIPYGFDSRGYHPDPCRRQTVFYMDRVSSSHRDGITSIYRKLTTDNCTFDKASPRNLDEIRVFTQKLDLNSKELLAPRRHCCDVLPSSADKALEIGIRECKEEELVYMH >itb05g21500.t1 pep chromosome:ASM357664v1:5:27230367:27235326:-1 gene:itb05g21500 transcript:itb05g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSKDSEKSVNPYASRDSEEHSYSQAQKLHRNNESPARKSREAMAPPALPESMSKEAEEQQPKDQPGSGYSSSEDELYDGIPRYRRSRSQKSRSRRVAKEILESVGLDKAVEVFDTFGTGGFVSGGTNKGNELLIVSFEVANTIVKASNLMQSLSKRSICHLREVVLLSEGVQKLVSTDMDELLSIVAIDKREELKVFVGEVVRFGNRCKAPQWHNLDLFFEKCRRERTPKKQLREEAELVMQQLMVLVQCTAELYHELQTLDRLRQDYQLKQKDAKFSSSRKDYGLSILAAEFKSQKKEVRSLKKKSLWSRSLEEIMEKLVDLVLFLNQEINNAFGSSDDDRAKKAFERSQQRLGPAGLALHYANIILQIDSIVARSSSMPPNSRNMLYQSLPTNIKSSLRSKLQHFHVKEKLTVVEIKAEMEKTLHWLVPVATNTAKAHHGFGWVGEWVNSGADKNRRTAVPTEVMQIETLHYAEKQKTDAYLIDLLLWLNHLISQSKAAINAANVKPSIKPSIPSPFQEANQLPLQKDAKSEAPNLCVEDEDQETVEKVSNKELEQGMSMSQDMNSVDNSSEKLDQTHEISSYSGTVESNELSPANTDPSNFNITDFDHDTEKKLDATHRVDTIMVG >itb01g00820.t1 pep chromosome:ASM357664v1:1:396383:399949:-1 gene:itb01g00820 transcript:itb01g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFCATSKLNTLNPALLTSTKDVVIHDPLKRVTPARISGGGIRVAASGSGSGAPAAVEVYEEGQLERPRWTGETPLSRFVGALISFKPLYSLLKLGARQVFISTAEKTNIPWREMAKEILESDVYKEMESIQNQSLEYPDYYLSPFHAYDEGNLSWLAAAEVEPATMAMELRAIPDANSLDEASQVVRGNWLDAIEKHHKQYSGGFAIRDILDIGCSIGMSTRCLASRYPSANLTGLDLSPYFLAVAQFKEKNSDRKKNPTRWIHANGEDTGLPSKSFDLVSISYVFHECPERAIRNVVKESFRLLRPGGTFSVTDNSPKSKKLQELPPVLFTLMKSTEPFLDEYYLTDLEGAMREAGFVNVQSVLTDPRHRTVTATVPY >itb12g08140.t1 pep chromosome:ASM357664v1:12:6317778:6318440:1 gene:itb12g08140 transcript:itb12g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNWQQYQRVFDCFDENKDGKLSPAELQRCMASIGDDLSLEEAQEAILRMGSGPDGLLGFEEFVRLLEDGSDEDKARDLKEAFSMYEMDGCGCITPKSLKRMLSRLGESRTIDDCKNMIAHYDLNGDGLLNFDEFKVMMSC >itb09g12990.t1 pep chromosome:ASM357664v1:9:8289126:8292870:1 gene:itb09g12990 transcript:itb09g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALENNLKMGVLSLFGVVLVGLIVRSSAEGLSLYDQTTLIAIGEELGISGWNLNGSDFCSWHGIGCSLGESMVERLDLSGLRLQGNVTLISELKALRWLDLSANNFQGSIPPAFGELSELEFLDLSFNMFKDSIPKELGRLRNLKALNLSHNWLSGAMPDEFEGLEKLQDFQIFSNRLNGSMPMWLGNLTNLRVFAAYENQFSGAIPANLGLDSELKVLNLHSNQLEGIIPESIFAGKKLEVLVLTQNNLIGHIPKSIGNCKGISSIRIGNNRLTGTLPKTVGNIISLTYFEVDNNNLSGEIAPEFSLCSNLTLLNLASNGFTGRIPPEFGKLSNLQELIVSGNSLFGEIPSSLLEVKNLNKLDLSHNRFSGSIPQSICNASRLQYLLLGQNSIRGEIPREIGNCIKLLELQVGNNYLTGNIPPEIGHLRSLQIALNVSYNYLSGKLPQELGKLDKLVSLDVSNNQLSGSIPSTCIGMLSLIEVNFSNNQFTGRIPTFLPFQKSLNTSFVGNKGLCGSPLSNGCPNLDRYNHFYHHRASYKNALTVIGSGLAVFVCVSIIVFLFMMRENQEKAVKTEETVTDEVCSIPAIIAGNVFVENLNQAIDFDEAAKAATKDANMVSNGTFSTVYRAEMPSGMILSVRKLKSMDRTIVQHQSKIIRELEKLSKLCHDNLIRPVGFAIYEDTVLLFHQYFPNGTLAEFLHESTKKPEYNPDWPTRLSMAIGVAEGLAFLHSLAIIHLDISSSNVLLDSNFKPLISEVEISRLLDPSRGTASITAVAGSFGYIPPEYAYTMQVTPPGNVYSYGVVLLEILTTRLPVDEAFGEGIDLVKMVHGAPARGETPEQILDSRLSTVSFSWRKEMLMALKIALLCTDSTPAKRPKMKKVVEMLQEITEN >itb15g10080.t1 pep chromosome:ASM357664v1:15:7326144:7327045:1 gene:itb15g10080 transcript:itb15g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPGTGLAPFRGFLQERLALKEEGAELGPALLFFGCRNRKMAADIWNLISQGAYLYVCGDAKGMARDVHRTLHTIFQEQREEDMDQRRNPRIWNGDEYFSDGEEDEKPRLRRSSDANMTPKKNSNMRYGLRPRV >itb13g08600.t2 pep chromosome:ASM357664v1:13:10900569:10902340:-1 gene:itb13g08600 transcript:itb13g08600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPVKSQPLHNFSLAHLKWGHRSHQRFRRRDSPPSAAELNANTVQRRPSPPSGESGPKVPSPGSLPEFPFSLCSTQKRHGEEGETKTWKLRPRKARNGEMQETNCVVDKNSGSERLGGIAEGHRAMERKEKKKKKKRKFWISLSREEIEEDVYSLTGSRPARRPKKRPKAVQKLVDTVFPGLYLVGLTVDSYRVHESLK >itb13g08600.t1 pep chromosome:ASM357664v1:13:10900478:10902340:-1 gene:itb13g08600 transcript:itb13g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPVKSQPLHNFSLAHLKWGHRSHQRFRRRDSPPSAAELNANTVQRRPSPPSGESGPKVPSPGSLPEFPFSLCSTQKRHGEEGETKTWKLRPRKARNGEMQETNCVVDKNSGSERLGGIAEGHRAMERKEKKKKKKRKFWISLSREEIEEDVYSLTGSRPARRPKKRPKAVQKLFSLDCIWLDSLLTRIEFTSL >itb03g23200.t1 pep chromosome:ASM357664v1:3:21355638:21356831:1 gene:itb03g23200 transcript:itb03g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLYTQKTTLTREAAGTTMMEAISVEDYKSKGVIMVAESSGYGMNRVVIDLSQGQGTLGIPRVVIDLTQDNPDDEIQILEAMSAPARIKPECSNSKPVYIDVEDAMETSASASLFICEICCDAKQISDIFRIKACKHSYCSDCISKFVASKLQQNVPQINCPVSGCTGVVEPHNCRSILPPQVFDRWGDALCEALVLASEKFYCPFKDCSALLIDEKIEVVESECPECRRLFCAKCKVPWHAGIVCSEFQKLHENEREKEDILLLNIANQKQWMRCPNCRVYVERVSGCPFMMCRCKCCFCYKCGARAKDHHCLNCGT >itb01g15970.t11 pep chromosome:ASM357664v1:1:19457010:19464060:-1 gene:itb01g15970 transcript:itb01g15970.t11 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MALDADDEFVLLSCTESDQTIREGDGQEIIVSTDAIIDWDMAYILTHQIVKIKANRQRLIEQSSYFRSLLSGSFSESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYRLGGSFGEDGLKMLPTLCPWLETLILYFQVIYDCYQMLKGIG >itb01g15970.t2 pep chromosome:ASM357664v1:1:19444649:19464017:-1 gene:itb01g15970 transcript:itb01g15970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MALDADDEFVLLSCTESDQTIREGDGQEIIVSTDAIIDWDMAYILTHQIVKIKANRQRLIEQSSYFRSLLSGSFSESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPCESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSESQHIISLGWPGLISVHLEDCGEVTSCGVTSLLECHALEDIILRHNGTGMQRDIISHIASKMPMLRKISLDVCDAMDRDFDIPNFTNRYPLSIVKIARCKQKKCSLELMDTKYEGRTNPVHVETLVMVWNSKELTTAVVKERL >itb01g15970.t6 pep chromosome:ASM357664v1:1:19449404:19464017:-1 gene:itb01g15970 transcript:itb01g15970.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MALDADDEFVLLSCTESDQTIREGDGQEIIVSTDAIIDWDMAYILTHQIVKIKANRQRLIEQSSYFRSLLSGSFSESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPCESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYRLGGSFGEDGLKMLPTLCPWLETLILYFQVIYDCYQMLKGIG >itb01g15970.t12 pep chromosome:ASM357664v1:1:19457010:19464060:-1 gene:itb01g15970 transcript:itb01g15970.t12 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MALDADDEFVLLSCTESDQTIREGDGQEIIVSTDAIIDWDMAYILTHQIVKIKANRQRLIEQSSYFRSLLSGSFSESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVIYDCYQMLKGIG >itb01g15970.t7 pep chromosome:ASM357664v1:1:19447838:19464017:-1 gene:itb01g15970 transcript:itb01g15970.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MALDADDEFVLLSCTESDQTIREGDGQEIIVSTDAIIDWDMAYILTHQIVKIKANRQRLIEQSSYFRSLLSGSFSESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPCESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSGLLLLPNQKKFGFVTLPGSIL >itb01g15970.t10 pep chromosome:ASM357664v1:1:19445496:19452107:-1 gene:itb01g15970 transcript:itb01g15970.t10 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSESQHIISLGWPGLISVHLEDCGEVTSCGVTSLLECHALEDIILRHNGTGMQRDIISHIASKMPMLRKISLDVCDAMDRDFDIPNVRKCLCYCSCIIWII >itb01g15970.t8 pep chromosome:ASM357664v1:1:19447838:19455648:-1 gene:itb01g15970 transcript:itb01g15970.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MVWRMIKVRYDAAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSGLLLLPNQKKFGFVTLPGSIL >itb01g15970.t5 pep chromosome:ASM357664v1:1:19449404:19455638:-1 gene:itb01g15970 transcript:itb01g15970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MVWRMIKVRYDAAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYRLGGSFGEDGLKMLPTLCPWLETLILYFQVIYDCYQMLKGIG >itb01g15970.t1 pep chromosome:ASM357664v1:1:19444649:19455648:-1 gene:itb01g15970 transcript:itb01g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MVWRMIKVRYDAAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSESQHIISLGWPGLISVHLEDCGEVTSCGVTSLLECHALEDIILRHNGTGMQRDIISHIASKMPMLRKISLDVCDAMDRDFDIPNFTNRYPLSIVKIARCKQKKCSLELMDTKYEGRTNPVHVETLVMVWNSKELTTAVVKERL >itb01g15970.t9 pep chromosome:ASM357664v1:1:19444649:19452107:-1 gene:itb01g15970 transcript:itb01g15970.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSESQHIISLGWPGLISVHLEDCGEVTSCGVTSLLECHALEDIILRHNGTGMQRDIISHIASKMPMLRKISLDVCDAMDRDFDIPNFTNRYPLSIVKIARCKQKKCSLELMDTKYEGRTNPVHVETLVMVWNSKELTTAVVKERL >itb01g15970.t3 pep chromosome:ASM357664v1:1:19445496:19455648:-1 gene:itb01g15970 transcript:itb01g15970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MVWRMIKVRYDAAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSESQHIISLGWPGLISVHLEDCGEVTSCGVTSLLECHALEDIILRHNGTGMQRDIISHIASKMPMLRKISLDVCDAMDRDFDIPNVRKCLCYCSCIIWII >itb01g15970.t4 pep chromosome:ASM357664v1:1:19445496:19464017:-1 gene:itb01g15970 transcript:itb01g15970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MALDADDEFVLLSCTESDQTIREGDGQEIIVSTDAIIDWDMAYILTHQIVKIKANRQRLIEQSSYFRSLLSGSFSESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPCESCLDCISINWNMESFINALRSMFGCSLDITPESFISFCEAALFFGVDYLLSECQCWLKSVTSYKGILLPQIQLADLISIWKYGLENAIDFVPQLCIFYLACNFMWAISFDAFHDVPYEMLYSCIKNPNLTVDSEKHLCDAILAWLTSNTKEYGASSASINDYTDILAEIRFGLLPLSFAAVKRRCNFFSEFADRGISTILTLASYPSASSTNILDDEDFSHLRIRLTKYTKKVDLSGCPQFSPALLLLSVLHSSHSMDPILKNKIKQQLLTFEDPIGPNFEISWQIFPILTFEGVQEVDISNCQMPLLKPVVECFSRSFPSLKTLKAANYLKLPTAMLYQLVQRCRLLNDVDLSVDISPIIPAKVSIKFSHPDVAPQRSRRITPMDAVSFSYNLGLQLSNITNLTLEGRTDISDRHLFFFTEFCPSLCYVNLRGCISLTDDGISVVLLKCIKLHSILVCDTYFGRNSVLALCYGASKFENSAAPKFEDFSQSLASRLQVLHMGGCKSVTETFLSELISQTPMLKSLCLRETELVDHALDKFSGSCLEMLDVSNTKVSGSAVAQVIRRNLGLKCFIARDCRNFLQEESKSVTEKSNAFSYHYSELYYELGKSCQLEEIAVGWGFSFFSLEVLRPAIRMLRTIIIGLGGSFGEDGLKMLPTLCPWLETLILYFQVISDSIMLKILKALKNLQVLALCYCIGEISSSIFKFSMPNLRKLKLERVTAWMTNDDLLILTQNCVNLIELSLAGCSLLNSESQHIISLGWPGLISVHLEDCGEVTSCGVTSLLECHALEDIILRHNGTGMQRDIISHIASKMPMLRKISLDVCDAMDRDFDIPNVRKCLCYCSCIIWII >itb04g21980.t1 pep chromosome:ASM357664v1:4:27128149:27131302:1 gene:itb04g21980 transcript:itb04g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIFVQQFLNRFSIILSLVLYLLHRLRKAAAVHMSSAAAISSPSPSPMPPSSPSPSSSSSLRCKTTASKHGGGGGVREINNGGSFLASRQAAAISRKRPALLQVPVYSPESDFAVLARNRFGDNNNRSEMEVEGRDYFVASKKGRREVMEDGHSVILDILGDSKQAFFVVIDGHGGRNAVDYVAENLGKNIVNSLEDGNNKVSSSSSGNYIEDALRQGYSETDKQFLVQGKDGGACAASVLIKDGEMHVANVGDCGVVLSRKSVAVSLTSDHRPASREDERARIEKSGGMLYCQNGVLRVNGSLAVSRAFGDHHLKELIISEPDILKLPLTSDCQFLILASDGLWDKVSNQEAVDVVLSENNSMKSCKKLVDLSSKRGSLDDVTVMVINLQNFFISTST >itb01g13200.t1 pep chromosome:ASM357664v1:1:13180777:13184263:1 gene:itb01g13200 transcript:itb01g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPRKLGSIDNGDGGKQAAIAQPSFGQVACDSDCIKGFIAAHNAARETVGAPPVEWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb08g07220.t4 pep chromosome:ASM357664v1:8:6188804:6192741:1 gene:itb08g07220 transcript:itb08g07220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPLPPNLGPSVYLGAVERATSFITDDLWYGIFAGKNPETYVRADGAFIPFAEDFDMSNVTTSVRGVGEIGDVYKIDLQSPIASLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKEICFFTDFLVVGENQQTFDLEGDSGSLILLTRKNGEKPQPVGIIWGGTANRGRLKLKAGQPPENWTSGVDLGRLLDLLELDLITSDEGLQAALKDQTAASQGGIGSIVGNSSFTARFPSKEKAEVNFEPLNLNIQQVPIDGDLHLGRRKEFHIPRGESESASCVEHQHAPNGSATSLFCRTKQGESTERINLCVIRNAMGDDQISVSLQLGEPGPKRRKHNEPQA >itb08g07220.t3 pep chromosome:ASM357664v1:8:6188734:6192663:1 gene:itb08g07220 transcript:itb08g07220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHWFWVPETYGTLGAIVRSQTGSRQVGFLTNRHVAVNLDYPSQKMFHPLPPNLGPSVYLGAVERATSFITDDLWYGIFAGKNPETYVRADGAFIPFAEDFDMSNVTTSVRGVGEIGDVYKIDLQSPIASLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKEICFFTDFLVVGENQQTFDLEGDSGSLILLTRKNGEKPQPVGIIWGGTANRGRLKLKAGQPPENWTSGVDLGRLLDLLELDLITSDEGLQAALKDQTAASQGGIGSIVGNSSFTARFPSKEKAEVNFEPLNLNIQQVPIDGDLHLGRRKEFHIPRGESESASCVEHQHAPNGSATSLFCRTKQGESTERINLCVIRNAMGDDQISVSLQLGEPGPKRRKHNEPQA >itb08g07220.t2 pep chromosome:ASM357664v1:8:6187295:6192663:1 gene:itb08g07220 transcript:itb08g07220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDRAGIDLRFNSRSKQSEETLLDLERNCCNQLNMSSSSSPPLQIFASGGQLYESNAAYFSWPSRLDVAEDRENYFGNLQKGVLPETFGRLPSGQRASTLLELMTIRAFHSNMLRQFSLGTAVGFRIRKGVLTDIPAILVFVARKLHRQWLSRLQCLPAALQGPGGIWCDIDVVEFSYYGAPAATPKEQLYTELADCLRGSDSCIGSGSQVENQETYGTLGAIVRSQTGSRQVGFLTNRHVAVNLDYPSQKMFHPLPPNLGPSVYLGAVERATSFITDDLWYGIFAGKNPETYVRADGAFIPFAEDFDMSNVTTSVRGVGEIGDVYKIDLQSPIASLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKEICFFTDFLVVGENQQTFDLEGDSGSLILLTRKNGEKPQPVGIIWGGTANRGRLKLKAGQPPENWTSGVDLGRLLDLLELDLITSDEGLQAALKDQTAASQGGIGSIVGNSSFTARFPSKEKAEVNFEPLNLNIQQVPIDGDLHLGRRKEFHIPRGESESASCVEHQHAPNGSATSLFCRTKQGESTERINLCVIRNAMGDDQISVSLQLGEPGPKRRKHNEPQA >itb08g07220.t1 pep chromosome:ASM357664v1:8:6187295:6192663:1 gene:itb08g07220 transcript:itb08g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSMSTSCSSATPKEQLYTELADCLRGSDSCIGSGSQVENQETYGTLGAIVRSQTGSRQVGFLTNRHVAVNLDYPSQKMFHPLPPNLGPSVYLGAVERATSFITDDLWYGIFAGKNPETYVRADGAFIPFAEDFDMSNVTTSVRGVGEIGDVYKIDLQSPIASLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKEICFFTDFLVVGENQQTFDLEGDSGSLILLTRKNGEKPQPVGIIWGGTANRGRLKLKAGQPPENWTSGVDLGRLLDLLELDLITSDEGLQAALKDQTAASQGGIGSIVGNSSFTARFPSKEKAEVNFEPLNLNIQQVPIDGDLHLGRRKEFHIPRGESESASCVEHQHAPNGSATSLFCRTKQGESTERINLCVIRNAMGDDQISVSLQLGEPGPKRRKHNEPQA >itb04g27190.t1 pep chromosome:ASM357664v1:4:31250186:31253054:-1 gene:itb04g27190 transcript:itb04g27190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIKVQKTRAYFKRFQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDMILASAYANELPRYGLEVGLTNYAAAYCTGLLLARRLLQKLEMDQDYEGNVEATGEDYSVEPGESRMPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDSKNLDAEVHRKYIYGGHVAAYMNSLNEDEPEKYQTHFSEYIKKGIDADNIEALYKKVHAAIRADPSKKKSEKQPPKEHKRFNLKKLTYDERRARLIERLNALNAAAGNDDDDDEDDE >itb13g19730.t1 pep chromosome:ASM357664v1:13:26668816:26669775:1 gene:itb13g19730 transcript:itb13g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTVFPVFYDVRPTDVRHLKPPFSAAFAQLEEDCRKVKVWKRALSEVAEFSGFDLMDTYQSSDQLGLTFLYPTATWPPATCRVHIYFNSFLHHRPLRHRAAASSSTSRPAPPPSHNYISLKSLLSMDEAKCIEDIAQVVGKKVEALKRKKVEYHQAALSLLSTM >itb09g14860.t2 pep chromosome:ASM357664v1:9:10152453:10157954:-1 gene:itb09g14860 transcript:itb09g14860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MDLNHLLDALLPSWTSAAMLLAFFVYLAIVGSLLPGKLVPGVTLSDGTRLHYRCNGLLSLLLFVLLLGVGAWMGLVSPTAIADRGLELLSTTFIFSFLATLALYVVGCKSRDRSSSLKPRVSGNLIHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLLINLSVLAKCIQEGNLNRSMVLYQLFCALYIIDYFIYEEFMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNKVELTTAALIANCLSFVIGYRVFRGANKQKHVFKKNPKAPIWGMPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSLVPYFYPIYLLILLIWRERRDDARCAEKYKEVWEEYCKLVPWRILPYVY >itb09g14860.t1 pep chromosome:ASM357664v1:9:10152453:10157954:-1 gene:itb09g14860 transcript:itb09g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MDLNHLLDALLPSWTSAAMLLAFFVYLAIVGSLLPGKLVPGVTLSDGTRLHYRCNGLLSLLLFVLLLGVGAWMGLVSPTAIADRGLELLSTTFIFSFLATLALYVVGCKSRDRSSSLKPRVSGNLIHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLLINLSVLAKCIQEGNLNRSMVLYQLFCALYIIDYFIYEEFMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNKVELTTAALIANCLSFVIGYRVFRGANKQKHVFKKNPKAPIWGMPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSLVPYFYPIYLLILLIWRERRDDARCAEKYKEVWEEYCKLVPWRILPYVY >itb11g11110.t1 pep chromosome:ASM357664v1:11:8066967:8068024:-1 gene:itb11g11110 transcript:itb11g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLEQTGTSSCRFKSQRPEFHHHFRRSLSPSDRFCSSASSSTQQHATLLTGSVSPPVRLSAARNRSPRKSNQKRTCMCSPTIHPGSFRCSLHKHRGHGQTTPYLSKRRSGVKSSLVVRIGTMESDLLAKRALAIHIRPSSHSLRRRFDFQLRPSRLSQMSKAEDA >itb07g21130.t1 pep chromosome:ASM357664v1:7:25493946:25499773:1 gene:itb07g21130 transcript:itb07g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVYQEEYIRNSRGVRLFTCRWLPLSSPKAIVFLCHGYGMECSYFMKVVGTTLASYGYAVFGIDYEGHGWSMGGRCYIKRFENIVNDCTTFFKSISAQPEYKEKRRFLYGESMGGAVALLIHKKDPSFWHGGILVAPMCKISEKVKPHPLIISLLTKVEDVIPKWKIVPTKDVIDLAFKDPVKREEIRSNKLIYQHKPKLKTALEMLRTSMNLEESLHEITLPFFVLHGEADTVTDPEVSKALYEQASSKDKTIKLYPGMWHGLTSGEPDENIQIVFSDIISWLDKRCVVESGTSFQFQGNNLSVPEMMIAKMASPRTMKAQKRGNYLCGWKGRGFQHYDSAV >itb05g09950.t1 pep chromosome:ASM357664v1:5:14616393:14622177:1 gene:itb05g09950 transcript:itb05g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTRSRRTKSVAGEGGVPDDVTQLATNSATTRAPPAPATMTSPATTRLEGRLLLQIESTGSTTTTLSHHSYRRCIISEVFCKNKLLRAEIVRQLRQGDGRTGEKRRKNLRIAMSTFLSPNFPTLTPLNGRSQSLGFNPLFYATRFSTHNHDDYDHGFPFPTNLKISQRSTNKRVMVIQATPEAAAGDFLSGVLPFWPPSDNSWLGWAVGLGVSLPLVTAQLIALSKQVEAAAEAVEKVAEVVEHVAHDVDKAAEDFKEKLPEGSLKNIVESIEHLAEETAKDAHIVEDLMDKVEEVDEKLVEFLSQKSEQAKASPTTEEKA >itb01g13020.t1 pep chromosome:ASM357664v1:1:12800825:12801178:-1 gene:itb01g13020 transcript:itb01g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKFSPFTSNTTILAILLLISIAMLATQGVTSPPSPELEDCLKKASDGKCKQEFVTGALKKGSVVKRCCGVLKDVGKDCFIGILKDHQLSTHDKVNWDGFWNNSTKILQQCQLSS >itb15g08490.t1 pep chromosome:ASM357664v1:15:5951506:5953847:1 gene:itb15g08490 transcript:itb15g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQHYCAQDPMKLWKQTPLFTSLKATHLFTNVMKGFIAICLLASISLLSLAGFFKWFGCNECQQTIIRPIAGYASNLGLGYSDDERTNISHILFGISGSLKTWEDRRHYCEAWWKPEAMRGFIWLDEPPEGGRWPETSPPYRVSGDTSKFKYTCWYGSRAAVRIARIVKESFELGVENVRWFVMGDDDTVFFPENLVTVLSKYDHNELYYVGSVSESVEQDEVHSYTMAYGGAGFAISYALAAELVRILDGCIDRYASAYGSDQKIGGCMTEIGVQLTKEPGFHQLDIRGNAYGLLAAHPVAPLVSLHHLDYLHPLFPHRSREQSVKKLVQAYNFDPNRMLQHSFCYDVKRKWSVSISWGYTIQLYPLLVGAKELETPLQTFLTWKSWSEEPFTFNTRTLKMESCERPIIYYVDRVHHAGKGFTVSTYKKPIDYKKQCDKKEYVLASLVKSFNVSAQLLSSEIWKKAPRRQCCEVINGGDGEDGVVQLRIRGCNEGESVTPP >itb03g29000.t2 pep chromosome:ASM357664v1:3:29763740:29771832:1 gene:itb03g29000 transcript:itb03g29000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKSISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSSAEGANRLQIFGPNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLEGDALKVDQSALTGESLPVTRGPGEEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEQVVLFAARASRTENQDAIDAAMVGMLADPKEARAGIQEVHFLPFNPVDKRTALTYIDDRGNWHRVSKGAPEQILNLCNARDDLRKKVHSVIDKYAERGLRSLAVARQEVPEKSKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSASLLGQHKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSAFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLQEIFATGVVLGGYLALMTVVFFWVMHDTDFFSDKFGVRSIRHNDEQMMAALYLQVSTVSQALIFVTRSRSWSFVERPGLLLVTAFVIAQLVATLIAVYANWGFARIQGCGWGWAGVVWLYSIVFYVPLDLMKFAIRYILSGKAWVSMLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEASNVFNEKNSYRELSEIAEQAKRRAEMARYGIMLFYIVRIKHLPLCQKI >itb03g29000.t1 pep chromosome:ASM357664v1:3:29763740:29772380:1 gene:itb03g29000 transcript:itb03g29000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKSISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSSAEGANRLQIFGPNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLEGDALKVDQSALTGESLPVTRGPGEEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLAEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEQVVLFAARASRTENQDAIDAAMVGMLADPKEARAGIQEVHFLPFNPVDKRTALTYIDDRGNWHRVSKGAPEQILNLCNARDDLRKKVHSVIDKYAERGLRSLAVARQEVPEKSKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSASLLGQHKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSAFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLQEIFATGVVLGGYLALMTVVFFWVMHDTDFFSDKFGVRSIRHNDEQMMAALYLQVSTVSQALIFVTRSRSWSFVERPGLLLVTAFVIAQLVATLIAVYANWGFARIQGCGWGWAGVVWLYSIVFYVPLDLMKFAIRYILSGKAWVSMLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEASNVFNEKNSYRELSEIAEQAKRRAEMARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >itb02g16580.t1 pep chromosome:ASM357664v1:2:12509378:12510428:-1 gene:itb02g16580 transcript:itb02g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLPPPTPSTDDQNCSSPYNAASSKDLQPVYVGKSRYQYLVGFDIIDHPMFQELAKRSGSYSDESTAIECEAMLDPAKKRRDWTRQSSRRWVKQRHFPAKPSGTSSGSLFPASRRRGLQSSLAMALAG >itb09g04830.t1 pep chromosome:ASM357664v1:9:2728049:2735582:1 gene:itb09g04830 transcript:itb09g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKSIEDPSDTSRPEKQLREDSLLGLDEQVACVHDVSYPEGYVPHAPSSAKTEESLKPAKEFPFTLDPFQSEAIKCLNNGESVMVSAHTSAGKTVVALYAIAMSLKNNQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVTREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYMFPSGGDGLYLVVDEKGKFREESFQKALNALVPASEGGRKRENGKWQKGLVVGKAGEDSDIFKMVKMIIQRQYDPVICFSFSKRECEFLAMQMAKMDLNNDDEKVNIESIFWSAMDMLSDDDRKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGFIKCLFATETFSIGLNMPARTVVFSNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDDRGICILMVDEKLEPSTAKLMLKGSADPLNSAFHLSYNMLLNQIRCEDGDPENLLRNSFYQFQADQAIPDLQKQAKILAEERDSIVLEEEDSLEDYYSLLQQHKSLKNDVRDIVFSPKYCLPFLQPGRLAGVYCTNTNENVPSFSIKENVTWGVIINFEMVKGLSKDDEDKKPEDANYTVDILTRCMVHKDELGKKSTKIVPLKDPGEAAVVSVPLSKIDSLSSVRLKIPKDLIPLEARAHTLKKVSEVLSRYAKEGGMPLLHPEDDMKVKNSSYSKAARRIEALESQFEKHDISKSPIIEEKLKVLHKKKELTARIKSIKKSLRSSSVLAFKDELKARKRVLRRLGYISRDDVVELKGKVACEISSADELTLTELMFNGVFKEIKVEEMVSLLSCFVWQEKLQDAQKPRDELELLFTQLQDAAWKVAKVQLECKVQIDVENFVSSFRPDIMEAIYAWAKGSKFYEIMEFTQVFEGSLIRAIRRLEEVLQQLIQAAQSIGETELESKFEDAVSKIKRDIVFAASLYL >itb05g27520.t1 pep chromosome:ASM357664v1:5:30837164:30837919:-1 gene:itb05g27520 transcript:itb05g27520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLTFSPSNSFNFSPGKSSFVAGAVGSVGTGAKLRRLSVRCVTAEAAPAPAMEMSLYDVLRVNKDASSREIKTAYRTLAKIYHPDTVASAAARLQESASDGRLFVEIHHAYATLSDPTARSLYDLELSVGANINTGKNWNGIHACTTGTHPTRRWETDQCW >itb04g06130.t1 pep chromosome:ASM357664v1:4:3981290:3988468:-1 gene:itb04g06130 transcript:itb04g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVSKAVEVGGKRKITRNLRSVVQHAGYAVVDGAKVVHDRSVKFSLSPACFYGPGNFKSVKHALKRLEEQSVSCRGIERLQQLRRWLVALKEIERLQEARVEQEKNNDPEDISIAKKDLPREPTVVLYYDPDLGGEPMNFKDVFLRSQALEGIVLSVILEAPDEEEVSLLTEIFGLCLTGGKEVCDATIASIEALSKAFSSYNDELLAKKEDLLVFAQSAIAGLKVNADLARVQKEGAISFRVSKGNEFSQLEKELTVEIEELEMQKDHLEAELKRVKTALISAHAHLRNAKEERDQFNEASNEILQHFQLKEDELSRSVASYRAEADVCDAFIYFLEDTRGFESSYINQKQKHVNEELERCEGCFLDLVIRVLSAYKDELGPSFLNLKKLAENLRGSEISAAVPNGEKKSAVDARRRLEEEYLKAETKLITALSVVESIKKQFYAQVEGISRKDDENVRELFDNLSNIKEELESMQRPSLEVETSNRRADKSSKVAPETTTAAPQFLKLNSEAMSHGSAPKTETQKSVRANLRKSLSMAIRSANDSVSFTREDSFTSHKILRTKTGDPAAELSKLKIELELENNSRDHPFDEIDDWESDVIVKNREHGE >itb09g05980.t2 pep chromosome:ASM357664v1:9:3423985:3429553:1 gene:itb09g05980 transcript:itb09g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWIAFHIFLSLNLVSITIADIGPNHEYHECGTRGRYTQDSTYSKNLDTLLSSLSKNVNEYGFYKGSVGQDSERASTIVLCRGDVELDVRRSCISDNAQRIKQRCPNQKEAFQWYNICSIYYSDESITGSLRTTPKVVQYSNISYLYPAQFSEDLTNMVDRLRQQAADGGPFLKYAANSSAGPDLQTIYAYVQCTPDLSHQDCTDCLSTAFTLWYKSNGNGKIGARVLCPSCFFHYENSSFFGATLIKVPPSAPPPPSPPSHRIAIVIVVSTATGLIMITICIFLMQKRKAKSYAANVEESSSGDEISPVEYHLKYELITIQTATDNFSKANKVGEGRFGAVYKGKLENRQLVAVKRLSENSRQVNLELKNEMALMARLQHRNLVRLLGYCLDGRTEILVYDFVPNGGLDSILFDPVKRGCLDWGRRYKIIESIARGLAYLHEGSHHRIIHCNLKASNILLDVDLNPKIADFGMARLFALDKTHGSTSAIMGTYGYIAPEYALYSQFSVKSDVYSFGVLVLEIISGQKSSNFQNGESIEDLLSYAWTHFKSGAASNVIDPMLRAVSSPVHEITKCIHIALLCVQESVVDRPTMFEVLQMLSNLSMSLPVPLAPGFFIDGNVNTEASSQFTKSEMSNSSDQYPRKMQKSIANSYAKISALESLKYDFNTITDNFSEANLVGIGTLGPVYKCKLENGLEVVAKRCSENSWLGRQEFKNEVSLLAKLQHRNFVRLLGSCQEGKEMLLVYEFVPNGGLDQFLFDPIKRGYLDWGRRYKIIESIARGLVYLHEDSNLRIIHRNLTVSNVLLDADLNPKISNFSLAWLFTSDKTHGSTDQIAGTYEYMAPEYSSHGQFSVRSDVYSFGVLALEIISGQKHGYFQNGESIKSLPSYAWTHWKNGSSLNVIDPMLRGVSSPVPDIIKCIQVALLCVQENVEDRPTMGEVVQMLSNLSTSFPVPSAPPFVIPSRVNSDASINEMSISDDDEYAR >itb09g05980.t1 pep chromosome:ASM357664v1:9:3423985:3429553:1 gene:itb09g05980 transcript:itb09g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLRQQAADGGPFLKYAANSSAGPDLQTIYAYVQCTPDLSHQDCTDCLSTAFTLWYKSNGNGKIGARVLCPSCFFHYENSSFFGATLIKVPPSAPPPPSPPSHRIAIVIVVSTATGLIMITICIFLMQKRKAKSYAANVEESSSGDEISPVEYHLKYELITIQTATDNFSKANKVGEGRFGAVYKGKLENRQLVAVKRLSENSRQVNLELKNEMALMARLQHRNLVRLLGYCLDGRTEILVYDFVPNGGLDSILFDPVKRGCLDWGRRYKIIESIARGLAYLHEGSHHRIIHCNLKASNILLDVDLNPKIADFGMARLFALDKTHGSTSAIMGTYGYIAPEYALYSQFSVKSDVYSFGVLVLEIISGQKSSNFQNGESIEDLLSYAWTHFKSGAASNVIDPMLRAVSSPVHEITKCIHIALLCVQESVVDRPTMFEVLQMLSNLSMSLPVPLAPGFFIDGNVNTEASSQFTKSEMSNSSDQYPRKMQKSIANSYAKISALESLKYDFNTITDNFSEANLVGIGTLGPVYKCKLENGLEVVAKRCSENSWLGRQEFKNEVSLLAKLQHRNFVRLLGSCQEGKEMLLVYEFVPNGGLDQFLFDPIKRGYLDWGRRYKIIESIARGLVYLHEDSNLRIIHRNLTVSNVLLDADLNPKISNFSLAWLFTSDKTHGSTDQIAGTYEYMAPEYSSHGQFSVRSDVYSFGVLALEIISGQKHGYFQNGESIKSLPSYAWTHWKNGSSLNVIDPMLRGVSSPVPDIIKCIQVALLCVQENVEDRPTMGEVVQMLSNLSTSFPVPSAPPFVIPSRVNSDASINEMSISDDDEYAR >itb13g21530.t3 pep chromosome:ASM357664v1:13:28129112:28132967:1 gene:itb13g21530 transcript:itb13g21530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MNTLSPLQYLLIFVSLIITNPYFLHGFQAKSVIDSPLLTKKIGTNSILVVDPNGDGDFQSVQAAIDHVPGGNSNWMVIHVKKGVYREQVHIPPNKPYIFMRGSGRGKSVIAWSHSSGDANSSATFRAEAPHFIAYGISFKNGAPLGMAQTSQNQSSVAAVVAADKAAFYSCGFLSSHNTLFDYKGRHYYNHCYIQGSTDSIFGRGLSMFHVSF >itb13g21530.t1 pep chromosome:ASM357664v1:13:28129112:28132967:1 gene:itb13g21530 transcript:itb13g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MNTLSPLQYLLIFVSLIITNPYFLHGFQAKSVIDSPLLTKKIGTNSILVVDPNGDGDFQSVQAAIDHVPGGNSNWMVIHVKKGVYREQVHIPPNKPYIFMRGSGRGKSVIAWSHSSGDANSSATFRAEAPHFIAYGISFKNGAPLGMAQTSQNQSSVAAVVAADKAAFYSCGFLSSHNTLFDYKGRHYYNHCYIQGSTDSIFGRGLSMFHGCEIFVVADKRAEVHSSVTAQHRDSAKEKSGFVFLNGKVYGVGDVYLGRAKGAYSRVVFANTYLSRTVISKGWTNWSHTGHTKHLHHAEYKCHGPGSATKDRAHWSKQLSEEEAAAFLSIGFIQGSEWLPAWL >itb13g21530.t2 pep chromosome:ASM357664v1:13:28129112:28132967:1 gene:itb13g21530 transcript:itb13g21530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MNTLSPLQYLLIFVSLIITNPYFLHGFQAKSVIDSPLLTKKIGTNSILVVDPNGDGDFQSVQAAIDHVPGGNSNWMVIHVKKGVYREQVHIPPNKPYIFMRGSGRGKSVIAWSHSSGDANSSATFRAEAPHFIAYGISFKNGAPLGMAQTSQNQSSVAAVVAADKAAFYSCGFLSSHNTLFDYKGRHYYNHCYIQGSTDSIFGRGLSMFHGCEIFVVADKRAEVHSSVTAQHRDSAKEKSGFVFLNGKVYGVGDVYLGRAKGAYSRVVFANTYLSRTVISKGWTNWSHTGHTK >itb12g23660.t1 pep chromosome:ASM357664v1:12:25310776:25312940:1 gene:itb12g23660 transcript:itb12g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFNDAFYQLGGDSPNASSRPFDDGFLNPSADDGSSIFVSPPDQHTSFPSPIYASGGSDPEDEKTFADAFAASNSPVLPPPSEMQEEGFALREWRRQNALLLEEKEKREKEVLSQIIDEADGYKVDFYKKREAKMETNKVTCREKEKIFLASHEKFHAEADKHYWKAISELIPNEVPTIVKKGKKDQEKKPSIAVIQGPKPGKPTELSRMRQILLKLKHNTPPHLKPSPSPAPTATKDANVGTAAPAVAVTTKPVVVA >itb01g33190.t1 pep chromosome:ASM357664v1:1:36398976:36400960:-1 gene:itb01g33190 transcript:itb01g33190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNLSLCYIMGYPETEKVAFLMDALYCEEEQWGFLEEEEEEEEEEERFCRDEIDAVLGEQDLFWEDDELCSLFSKEQESLSELRGGFEGNLCVGKARKEGVEWVLKVVSYYCFSAQTALLAVNYLDGFLCSLEPQTQKPWTSQLAAVACLSLAAKVEEIHVPLLLDFQVEESKYMFEAKTIQRMELLILSNRQWKMNPVTPFSFLDYIARRLGLKNPICCDFLRRCERVLLSIIPDCRFKCYLPSETAAATMLHVIGKLQPSIGEESQEQLLSILGTNKEKLEECRRLIKEAETRMRSGWAYNKRKFGMGMPLPRSPKGVMDVSFSSEDWNDSSVSSSPEPLSKRTKNTTTSQD >itb01g33190.t2 pep chromosome:ASM357664v1:1:36399498:36400960:-1 gene:itb01g33190 transcript:itb01g33190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNLSLCYIMGYPETEKVAFLMDALYCEEEQWGFLEEEEEEEEEEERFCRDEIDAVLGEQDLFWEDDELCSLFSKEQESLSELRGGFEGNLCVGKARKEGVEWVLKVVSYYCFSAQTALLAVNYLDGFLCSLEPQTQKPWTSQLAAVACLSLAAKVEEIHVPLLLDFQVEESKYMFEAKTIQRMELLILSNRQWKMNPVTPFSFLDYIARRLGLKNPICCDFLRRCERVLLSIIPDCRFKCYLPSETAAATMLHVIGKLQPSIGEESQEQLLSILGTNKVAISMLLSLIHHMI >itb01g27310.t1 pep chromosome:ASM357664v1:1:32159485:32164069:-1 gene:itb01g27310 transcript:itb01g27310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLEAASPVPILRGVRPVPGRHPCVGTPEDIVATKSLGFLNGNANLSPGSKSKSKSQSMVSHNHVGGKDSKSGVLRLNGSAKEEKTEKRTPVLTKSKSQLSKLTLNLVDKKEMLVKSKSSSSKSIPSSPTSCYSLPNSFEKFANGVKQQAKIKGLERLEKATVKPGLGEKSSSIRGTSPTTKRAVSGNSAKNIVQVIELGPKALRKSWEGNMDVKGRESPRLKAIRRDLKPEARSTSTPRKSTSERMSSREESKEISVKSSKEESKGHTSTKRISTNGDPVDVEKSSKLKTSSGKKSSGEVNNGLPGNLVKVSINNRRLTDGSVSWSPLPSSLAKLGKEVLKHRDAAQMAAIEAIQEASVAESLLRCLSTYSELSSSASEDNPQPAVEQFLALHSSLSNARQVADAIAKTATAGSSSDQEEKPPSEEAEKVVSERCKQAASWVNAALVTNLSSFSVYSKQATSGQNATSAPAPSPNSVLGNQPVLVLGNTGKSSAAKPQAKSRQSVSSKNAPPGTQRRQADGLAVGQKPKALPPKEWARGDGLDEAVDLAEMLRVESQDWFLGFVEKFLDADVDASALSDNGQIAGMLTQLKSVNDWLDEIGASKDDEDNDETTTTTTHISSETIDRIRKKIYEYLLTHVESAAAALGAGSQPSPTPETKARR >itb11g12590.t1 pep chromosome:ASM357664v1:11:9528366:9531413:-1 gene:itb11g12590 transcript:itb11g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGNNPSSSTSQPFAPPENGVNNSKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSLGRLRSSDQPPQSLQPAACLSRTASSPSPSSDAAPPWPSSLMIVSKPAGGGGAKITDSNAAAAAINAANPHHHNLELQLLTTTSSSSRLDVSVSSKIDDADHSTQLQLSIGSSDFTERTHNEAAENEKQSFHGARAMREEAREHLRAAMEEKAYAKEARQQAKKQIELAEQEFANAKKIRQQAQADLNKAQALREHAVRQINSTLLQITCHACKHQFQGSAIVSRPDNALALSYISSALMETRDLDGRK >itb11g12590.t2 pep chromosome:ASM357664v1:11:9528366:9531322:-1 gene:itb11g12590 transcript:itb11g12590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSLGRLRSSDQPPQSLQPAACLSRTASSPSPSSDAAPPWPSSLMIVSKPAGGGGAKITDSNAAAAAINAANPHHHNLELQLLTTTSSSSRLDVSVSSKIDDADHSTQLQLSIGSSDFTERTHNEAAENEKQSFHGARAMREEAREHLRAAMEEKAYAKEARQQAKKQIELAEQEFANAKKIRQQAQADLNKAQALREHAVRQINSTLLQITCHACKHQFQGSAIVSRPDNALALSYISSALMETRDLDGRK >itb05g25530.t1 pep chromosome:ASM357664v1:5:29897756:29898531:1 gene:itb05g25530 transcript:itb05g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGEFGPSPITYPTVTISWIGSTAKKFTIRTKCQGFVASRFLSVIPCGSKASQAVECEQFLFAGLKKLMVCGL >itb15g09110.t1 pep chromosome:ASM357664v1:15:6479059:6480669:1 gene:itb15g09110 transcript:itb15g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTINNVSAKPNLKLFPSLSHHHPILSRPVSSLSFRTPPSSQPSITIRASSSSSPEAPKPNLFNSLNPSPAFPQSLTLPSFQLPLSLLKCTVVTTVTAAAIFFGRFCVTLKPAIASPVSAPAAVETAFSDEDKERVLEESLLSNPNDVGALRSLMEMKIQSRKIAEAIGIIDRLMELDPSDTEWPLLKSHLYIYSGEVETAKNGFNEIVAKDPLRVEAYHGLVMAASESKSTEDLKEIAKRIEEGMKLCKKQGKKADFRDFKLLLAQIRVIEGKHDEALKVYQELVKEEPRDFRPYLCQGIIYTLLRKNNEAEKNFDKYRRLVPKGHPYATYFDENMIATKVFAQKMENEIAMSKK >itb15g00900.t1 pep chromosome:ASM357664v1:15:512646:514808:-1 gene:itb15g00900 transcript:itb15g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGNFTIPFVPRQPPTSQDVSFNFLCGYNYDHYPGSIEMKNAMQSHNALFPPLEKHVGFGNPMDKKKRLTNDQLESLENNFQDEIKLDPDRKQRLAKELGLQPRQIAVWFQNRRARWKTKQLERLYDSLKHDFEAVTREKQKLQEEVLTLRSILKDQATKKQVSTGYTEMSGEETVESTSIPSSNCRALQPNTHQMADCNFAFNVEECNPLMQSYWETLPYQ >itb01g34490.t1 pep chromosome:ASM357664v1:1:37163871:37165459:1 gene:itb01g34490 transcript:itb01g34490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPMRKLRRNLDNEDGLETVLEVPVPEEMFDEIGRGSGSSGATTTHGWRNLRNCMRAAQPQPDNSSLPPLSCNEHVLFLLKIVGSALIPFNVQSDHNNLSMPVRDCSFEAATAKYIVQQYVAATGGNAALNSMNSMYAVGEVKMTTSSMNQSDVFNSTPNCEVGGFVLWQKNPNLWFLELVISGHKISAGSDGKVAWTQSPSNSNVSRGPPRPLRMFFQGLDPRSTANLFLNGTCIGEKSVWGEECFVLKLETGAEMLDAQTTPNIKVVHHTIWGSFSQRTGLLIQFRDTKLVKMKTGKGDNDFVFWETSMESTLEDYKYVEGVNIAHGGKTAATIYRYGKNRSSRLKIEEEWKLEEIDFNICGLCTESFLPPADIEMGNEHGEQ >itb01g34490.t2 pep chromosome:ASM357664v1:1:37164918:37166397:1 gene:itb01g34490 transcript:itb01g34490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAQTTPNIKVVHHTIWGSFSQRTGLLIQFRDTKLVKMKTGKGDNDFVFWETSMESTLEDYKYVEGVNIAHGGKTAATIYRYGKNRSSRLKIEEEWKLEEIDFNICGLCTESFLPPADIEMGNEHDSRWSPLPSPVASPPLPPPLPSPVTASASALASRRSPPHSAPPSPPRRGCLGLSALLILRRSLHLRE >itb09g06380.t1 pep chromosome:ASM357664v1:9:3669693:3671313:1 gene:itb09g06380 transcript:itb09g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNSNFNVSVTKKEVVAAALPLQEHYLPHSNLDLLLPPVDVGVFLCYQKPAAASDQATTDEHYATFGSMVNTLKAALSEALVSFYALAGEVEQNLAGEPELICNNRGVDFIQAFADVELRKLNLYNPDDSVEGKLVPKKKHGATELKCGGIVVACTFDHRVADAYSTNMFLVSWSEIAQSKIPSQQPCFRRSFLFPRRPGHYDLSVANMYVPISSLPLPEPAGVEEPLISRIYCVTAEQIRHLQSQANDYSKKDVTKVEAFCAFLWKTVASGKSRRNFKNFRLGIVVDGRTRLSSGDENKAKSFKGYFGNVLSIPFGEKTIEELSENPLTWVASAVHEFLEEAVTREHFLGLIDWVEAHRPEPAVAKVYACSGDGAALVVSSGQRFPVRKIDFGWGKPAFGSYHFPWGGQSGYVMPMPSPKGNGDWIVYMHLQKEEVELIETYASHVFKPFTSDHLNFD >itb08g16260.t2 pep chromosome:ASM357664v1:8:18445452:18446831:1 gene:itb08g16260 transcript:itb08g16260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGVVGVSSFLGGKRSSMNICEEALSHGDVQEEVSDEEEGSLQAGENSKKRRLNTEQVKALEKSFEVGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLERDYESLKRQFDAVKAENDALLAHNQKLHAQIVALKNSRCRESIQPTESINLNKETEGSCSNSRSENSSAEIMKLEISARSTAAIDSHPTTTTTATTSSLFPAGIPHLFQNNNNNSSSSSRQSDLIHHCLKMQDPSVKEESLSNMFCGGDDHSAGFWPWLDQHHFN >itb08g16260.t1 pep chromosome:ASM357664v1:8:18444700:18446847:1 gene:itb08g16260 transcript:itb08g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCIGMDFFPTNFMLQTPHHDPNDHHHHHPSTALSPLFPSCTTPPPQNFHGVVGVSSFLGGKRSSMNICEEALSHGDVQEEVSDEEEGSLQAGENSKKRRLNTEQVKALEKSFEVGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLERDYESLKRQFDAVKAENDALLAHNQKLHAQIVALKNSRCRESIQPTESINLNKETEGSCSNSRSENSSAEIMKLEISARSTAAIDSHPTTTTTATTSSLFPAGIPHLFQNNNNNSSSSSRQSDLIHHCLKMQDPSVKEESLSNMFCGGDDHSAGFWPWLDQHHFN >itb01g01000.t1 pep chromosome:ASM357664v1:1:478397:482335:1 gene:itb01g01000 transcript:itb01g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGNNVNDLEAGNYVAEGDYSSKYLTLHRATVHGKWEEAKKFLEDNNHAIQAPIGIHKNTALHDAAKAGNKDFMEKAVAMMGDNNEVMGVVKNRDGLTALHIAARFGNKEVGEILVGKNRNLLYERCNRGLLPIHYAACNTRRSLEVFNYFWGVTKRDEDPEVDPYAGPTGATILVNLIKSKFYVVAMDLADEYPDLARHRTLDNETSPLEAIVKYDYPIFKHEGAVMLVECLCDKLKTLNDTQIASLAKEAIIQAAYLDIEEVVKNIVEACPITAYYKDKSGRNILHIAIEKHSTNVFNYVRGNSMLMHDLVDERDNNGNNIVHLSGKLTPPHKLNVNAALQMQRDLRWVKEVQKIASPYFSSLRNKDEKTPKMVFTDEHKDLRKEGEKWMKETATACSVVAALIVTVVFAAAITVPGGNSEGELTQGFNATILNTMINGTIKGPIDETPPKEGLPIFSKRRAFRSFYFTNGVSLAFSVYSLMVFLSIITSHYREEDFLRILPTNLIIGLLALVFSVFFMIASFIATVYLVFGTVLSARIVFLVVLGLVYCLTVLLAYQFPLVLDFAWSTHVSCRLI >itb05g08210.t1 pep chromosome:ASM357664v1:5:11132080:11134959:-1 gene:itb05g08210 transcript:itb05g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNNITAFLNFVAFMCSIPITAAGIWLASKADNECIHWLRWPVVFIGIAIMLVSLAGFVGAYWKKEGLLGVYLVCMAILIILLLSLLILAFVVTRPSGAYSVPGRGYDEYRLGGYSSWLRNHITSSDSWGKIRACLADGDICPKLNNEFFTAEQFFAAHLSPLQSGCCKPPTMCGYQYSNPTVWINPTNAAADGDCSVWNNDPSQLCYSCDSCKAGLLGNLRREWRKANLIMIITVVVLIWVYLIACSAYKNAQTEQLFRRYKQGWV >itb01g35950.t1 pep chromosome:ASM357664v1:1:37872202:37872903:-1 gene:itb01g35950 transcript:itb01g35950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHVRTKRAYSPKCAMVSHHVRTKRAYSPKPHKYKVFEVDCYHRCFRTTVTAHPGVVRDWIFKMRRRRSYQLRRRELIVGLGVQWSAFSNNPAATLQLSVGRECLIFQLSRAHRAPRSLRRLLEDPEVTRVGVNNHRDVAMLESTKHGLCVGGVLDLARLARYEGICTSNHLSMEVLAEDILGMQGVKKAEDVGRSNWDAVTLSGDQVEYACLDASLSFLMAKALEAWQWTP >itb13g20740.t1 pep chromosome:ASM357664v1:13:27498234:27501369:1 gene:itb13g20740 transcript:itb13g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQCLCRLRWRVLLLPLSLFLVVGVFRVGIWPKTISVLEFSETGRKFQAPELGGSPERHRADNFSVSSPTKPVEIQSEDVLGPYHNWELFAADYEEMIKTLRIFVYPDVYLNKSSPLATVFLPHPDPSKQGNYFSEHMFKAALLRSSVQTRRPEDAHFFFMPFSINAMRNHRDLHSAAAISDFVAGYAARISSEFEFWNASGGADHFYAYCHSIGRTAASKHRVLHHNAIQVTCSSSYFQRMYISHKDVGLSQVWPRQQEQTLNPPNARYRLAFYAGRAQNSHTRQWLIDLWKNDSAFDIFPGSSSFPYAEGFRRSKYCLHVKGYEVNTARVSDAIHYGCIPVLISNHYDLPFANVLDWTKFSVILNEGDIPMLKKILLSIPEQTYLNLYKNVGIVRKHFAWHMTPKNYDSFYMTVYQLWLRRGLTRVAW >itb05g16780.t1 pep chromosome:ASM357664v1:5:23899290:23902732:1 gene:itb05g16780 transcript:itb05g16780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAVHSPKTALMHSPSSLKDLNSSFICGSPLKSLSLHMQPRRSSGRHGVSLVITSAVTGSSRSGPKTVKNSGGDNGGGGRFYLNFTGFPFPLGPFLNRRTIRTEVVKDCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWIHAPIAPTKECIQLVKELGYPVEYIVLPTFAYEHKIFVGPFSRKFPRAQVWVAPRQWSWPLNLPLEFFGIFRAKTLQDEDLSTPWADDIEQKVLSSPEVGIGPYVEVAFYHKRSQTLLVTDAVIFVPRSPPECISKESLLASAKNGLAVKLLSKGKEVPEEPVVDNQMNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDSIVRDWKFKRIIPAHFAAPINANRSDFLAAFAFLDDLLGERYVTRPSLSLVFTSLLGKAASYFPPDDMRTLSSLDDFLVSVGAVKKTVSGRKR >itb13g25940.t1 pep chromosome:ASM357664v1:13:31326342:31327084:-1 gene:itb13g25940 transcript:itb13g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVYALEHNNSEHIMLSAQELLDYLPKKFSEKHGREDVTAPFSAPTRFIREYLTKYGICTETDYPFIGRRSQEDEIKEVDLEIYEIGNGPNRHAVMITGYGVVNGIPCYEIKNTWGNAWANSGFGLVRRDAIHAFLSFEGVHIEAPL >itb03g25570.t1 pep chromosome:ASM357664v1:3:24713705:24713980:1 gene:itb03g25570 transcript:itb03g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQNTPPTKLLAQAVIELSLIMRPNWKLVIRWSNTTLNEYFLASPDVNHTPPFGKVFACKSSPEASTWSAMMSRSRSSSRKRKVIFFPSF >itb01g06200.t1 pep chromosome:ASM357664v1:1:4394165:4400107:1 gene:itb01g06200 transcript:itb01g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNIGDMEAGEMQEKEKTPLSSETCSSESKGMASIDLNSRMDGEDDDDDEVEVMSAADESEKTAGDGNSANNSSSFSGGEGNMNGDDQKKSSSVRPYVRSKIPRLRWTPDLHLSFVHAIERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDASGQVLGQAKRGFKGRSYGYGDMMNYRFIPHQHFKMQNGAIVLTRTFEDGRHQMGNNIARHSIFQSPTYDLKSIISRYQQRSSNQESVLVKLSGAAKMEDHREGNVLRMRMLQHQEKLPSSYAPKDQLQYSPSNGSHHHQIVPIRPSQFLEEKRWPPRGSMANHLKDKPAAFTCGLWSNAAATPQPLLHHTIPVGTNSRFSSLGPNFDPPFRRLEMMNKEKMSFKENGRLLDLQLRLSQSGDANNSNNGEKSYNKKEGDINTKLSLSL >itb06g22190.t1 pep chromosome:ASM357664v1:6:24580488:24581118:1 gene:itb06g22190 transcript:itb06g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCEEPVQFELPPVQEEPPFTDAEIHEFLSSIFQPELSQYSSSKTFATPRSIYSPEERKIRRMISNRESARRSRLRKKMHLEKLYEQVNRHRTVNRHLKNQLYSVTRHCQLAQRETNRLRSESFLLQQRLAALTQILHPMHQL >itb10g23330.t1 pep chromosome:ASM357664v1:10:27743968:27746710:-1 gene:itb10g23330 transcript:itb10g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCRFLDVLAFLFLLLPSLVCSLSFDLPSIGPKDKDVLIKVEGNATITNRGIKLTPDLNGKAGRAKYVELLHLWDKASGDLADFTTHFTFNIDSNGSSRYADGMAFFMANFSTPFDINRTCGGALGLMDAYKPSPDHFLAVVFDTYSNEKNMPMTNVSIIINSVLVPEENTVWWNNITQGKNNNASITYNATSRILQVVFTGFWDGQYLTGNLSHMVDLRYLPEFVNVGFSGATGMLSEENIVSSWRFNSTSLTLSSSVSSVSPSPSPSPAPPREEKNKKKGLVIGLSICVPVLVALLLALAIVTCLKKTGTEIGNNQEIILLGQDMDSEFQMVSSGPKKFSYTELETATYNFAEEQKLGEVGFGGVYRGFLSSLNLYVAVKRISSGSKQGVNEYASEVKIISRLRHRNLVPLHEGSLDSHLFKRNSPLNWEIRYRIAQGLASALCYLHEEWEKCVLHRDIKPSNVLLDSSFNAKLGDFGLAWLVDHENAPQKTIVGGTPGYVVPECNFTFKTSKESDVYSFGIVALGIATGQRAILANDPEGVKTLVEWVWDLYGMGKLLEAVDPKLCGNFDEQEMEQLMMIGLWCAHPDSTCRPKISQALHCLKFLVQLPILPPKMPKPFNVSSSHWQIFEGHTRSSNNTCPSRFTSSSIMDTGSSSSASHSHAH >itb03g18320.t1 pep chromosome:ASM357664v1:3:16628559:16632314:-1 gene:itb03g18320 transcript:itb03g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSESRRKIGENSGHGKLLNDIESLSKALHLDRTTPRSLMSTVSSRSKAVGKSNSAETKSKAVRKDLAEKENKKSGWGWKGLKALTHVPNRRFNCCFTLQVHCVEGLPPLFDDLSLIVHWRRRDAELSTKSVTVVEGVAEFEQELKHSCSVYGSGNGPYHSAKYEAKHFLLYVSIYGVPKLDLGKHRVDLTRLLPLALEELEGEKSSGKWTTTYRLSGRAKGASLNVSFGYAVLQDNPSKKNVIEGQNRGSAVRRLGQYEQAIVRHAGSLPSKSSVSKRSLAARFAAESSLSLKSIKDVHEASPVSRLEFSESVNVLYQKLEEEMLGVPTGPNMSDNVESSKPDSKLPSEVGMGNAADECEVVEFSVIEKGIELSSKEQEKTGVDSIGNSHDSVEGCIVPANAFGRPPDSELQLQSMTKEIGGNKEDDQVNDFDFKEKDDSTKESIMKELDSMLDVVSNLANEGLDYPDDEREVINEDSCFGTKGNYTKVRKGNSLGPDDAYGDGDFLDMLGIEHSPFGLSSESEPDSPRERLLRQFEKDALVNGHSLFNFDMDTDPCLSVCDALNDPDCSNSSKDFDYTSVTESSKMIPGIKIENTRNKTTASMLEDLETEVLMHELGLNERAFQYSPSSSTFGSPIDLPPEDLDHLPPPLGEGLGSFVQTKNGGFLRSMNPALFKNAKSGGKLILQVSSPVVVPAEMGSGVMDILQHLASIGIEKFSMQANKLMPLEDITGKTMQQIAWEAAPSLEGPERHGKLSREFEVVENMSGFPDMLQGKSRGLKPSKLESSSTGTRCDSEYVSLEDLAPLAMDKIESLSIEGLRIQAGLSDEDTPSNISPQSVGESSASEGKTVIFGGSKGLEGTGGLQLMNIKDNGDEVDGLMGLCLSLDEWMKLDSGEIDYEDGISERTCKLLSAHHAKRADLSKGRSRCGLLSNNFTVALMVQLHDPLRNFEPVGAPMLTLVQVERVFVPPKPKMYSSVCEVRCNSEEDEESEAAKKDEIMEEPKVERIPEEELISQYKITEVHVAGLKTEQGRKKLWGSKTQQQTGSRWLLANGMGKKNKHPLMKSKASNKSSLPASTTPDTTTVQPGDTLWSISSRIHGTGAKWKELAALNPHIRNPNVIFPNETVKLR >itb06g23860.t2 pep chromosome:ASM357664v1:6:25614788:25617021:-1 gene:itb06g23860 transcript:itb06g23860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCRDGLKQLRYPSALRMFDRIAEASKGKQIVMFLDYDGTLSPIVEDPDKAFMTKEMREAVRDVAKHFPTAIVTGRCRSKVYKFVKLSELYYAGSHGMDIKGPVKGHNYERGNTCILCQPATEFLPMIDEVYKALLGKTKSIPGAKVENNKFCLSVHYRCVEEKNWNELGEQVKSVLKGYPELRLCQGRKVLEVRPIIKWDKGKALEFLLESLGYANSDDVLPVYIGDDRTDEDAFKVLRQRRQGFGILVSRIPKETSASYSLQEPSEVMQFLRRLVEWTTKSDLS >itb06g23860.t1 pep chromosome:ASM357664v1:6:25614769:25617576:-1 gene:itb06g23860 transcript:itb06g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTHNNVAVVLSSDKKPDPRRRCLDLVAIAVPATAVLDPTLLDTMRDSSPTQTSSEDYYNNSWALRYPSALRMFDRIAEASKGKQIVMFLDYDGTLSPIVEDPDKAFMTKEMREAVRDVAKHFPTAIVTGRCRSKVYKFVKLSELYYAGSHGMDIKGPVKGHNYERGNTCILCQPATEFLPMIDEVYKALLGKTKSIPGAKVENNKFCLSVHYRCVEEKNWNELGEQVKSVLKGYPELRLCQGRKVLEVRPIIKWDKGKALEFLLESLGYANSDDVLPVYIGDDRTDEDAFKVLRQRRQGFGILVSRIPKETSASYSLQEPSEVMQFLRRLVEWTTKSDLS >itb10g24330.t1 pep chromosome:ASM357664v1:10:28214549:28216276:-1 gene:itb10g24330 transcript:itb10g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLDPLDVGVIQIPYHFRCPISLELMRDPVTVCTGQTYDRASIESWVATGNTTCPVTRATLTDFTLIPNHTLRRLIQEWCVANRAFGVERIPTPKQPADPGLVQSLLSQASSVSSNLNSRLSALGRLRGLARDSDKNRSVISANNARQVLLSIVFSNLDSNSSELTHEALSILSMFQLSEAECVFVASDLDRVGYLVSLLSHPSIDVRVNSAALIESVVAGTKSPELRSQISNADEIFQGIVGILNHPSTHPRALKMGVKALFALCLVKQHRQKAVIAGAVEALIDRLQYLEKCDAERALATVELLCRIPSGCAAFAAHALTVPLLVKIILKISDRATEYAAGALLSLCSSSEQAQRDAVAAGVLTQLLLLVQSGCTERAKRKAQMLLKLLRDCWPDDSIVNSDDFARSDVVQFLR >itb13g21910.t1 pep chromosome:ASM357664v1:13:28392208:28392468:-1 gene:itb13g21910 transcript:itb13g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPADKAELVKIGMEGFAIADKEYPRKRYNWWNFVVPAAKESPSPAAAKNVMDCNHAAKAYGGVVVKDYFFPRKMAVFRKKQAY >itb02g23890.t1 pep chromosome:ASM357664v1:2:24175991:24178436:-1 gene:itb02g23890 transcript:itb02g23890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTPRQFSLAFPMLLLMMSLSMCETTNITTDQIALLALKAHITSDPNKTIATNWSALTFPCRWVGISCDSLHRRVTKLHLSGMGLTGEVPPELGRLSFLVSLDLRQNNFHGSLPPNLANMRRLRFLDCSVNNFSGNLPRWLPLLPKLKYLNLQNNSFSGPLPSFLGNITTLEQISLSHNSLQGEIPQEIGHLPRLIELDIQYNHLTGSIPFSIFNISTIQHVALTKNELSGSLPLDMCDSIPALQGLYLSYNNLEGEIPASLSKCFALQILSLSYNRFRGQIPPQIGNLTDLEVLYLGGNLLTGEIPQEIGNLNSMLGLALESNQIMGSIPAGIFNNMTFLIVLYLYGNSLSGTLPANICHNFSGLELLDLDTNQLYGRIPGMLHMCTNLQELDLGSNSFTAIQQVSGSTLLGRISLTFYSTFSPHLVRLPIPSFQAQYLITPSF >itb11g02820.t2 pep chromosome:ASM357664v1:11:1440238:1443360:-1 gene:itb11g02820 transcript:itb11g02820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 17 [Source:Projected from Arabidopsis thaliana (AT3G17790) UniProtKB/Swiss-Prot;Acc:Q9SCX8] MAVYSGISMVLCLWVGVVFGLCFASAIAELPTFHHPTKGDGSLSFLVIGDWGRKGDYNQSQVAFQMGEIGEQLAIDFVVSTGDNFYDNGLTGEHDQAFTESFTDVYTSESLQKQWYSVLGNHDYRGDAEAQLSSHLRKLDSRWLCLRSFVVNTEIVDLFFVDTTPFVDEYFNSPEHVYDWRGVFPQQTYTKNVLNVSTMTMKIWFSVDFFLFAKNNVFSYWFFQGLEYALMKSTAKWRIVIGHHAIRSAGHHGDTKELVERLLPILRTYNVDLYMNGHDHSLEHISDDESPIQFMTSGAGSKAWRGDVTMDRKGVSFFYDGQGFMSVQLVENDIGIVFYDVDGQVLHRWTMSKQLLHTAI >itb11g02820.t1 pep chromosome:ASM357664v1:11:1440238:1443360:-1 gene:itb11g02820 transcript:itb11g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 17 [Source:Projected from Arabidopsis thaliana (AT3G17790) UniProtKB/Swiss-Prot;Acc:Q9SCX8] MAVYSGISMVLCLWVGVVFGLCFASAIAELPTFHHPTKGDGSLSFLVIGDWGRKGDYNQSQVAFQMGEIGEQLAIDFVVSTGDNFYDNGLTGEHDQAFTESFTDVYTSESLQKQWYSVLGNHDYRGDAEAQLSSHLRKLDSRWLCLRSFVVNTEIVDLFFVDTTPFVDEYFNSPEHVYDWRGVFPQQTYTKNVLNGLEYALMKSTAKWRIVIGHHAIRSAGHHGDTKELVERLLPILRTYNVDLYMNGHDHSLEHISDDESPIQFMTSGAGSKAWRGDVTMDRKGVSFFYDGQGFMSVQLVENDIGIVFYDVDGQVLHRWTMSKQLLHTAI >itb08g13890.t1 pep chromosome:ASM357664v1:8:15302111:15304099:1 gene:itb08g13890 transcript:itb08g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLNSKTFLLLFFLTFAQTCYGAILFSSLPQTLVVSASPKQGQVLKAGEDNITMTWGLNQSYERGIDESYKVTKVKLCYAPESQADRAWRKTVDDLKKDKTCQFSMVERPYTSHQNQSFEWMIETDIPTALYFVRAYAYDSAGNEVAYGHTIALFNIQAISGRHLSLDIAAACFSAFALVSVFCFFFAEKRSRRLMAAKN >itb14g05480.t1 pep chromosome:ASM357664v1:14:4774212:4775429:-1 gene:itb14g05480 transcript:itb14g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNTSGTEMVDYFSMLPHPLIHHILSFLPFDDVARTCTLSEDWHRIWLSYPNVEFHFDFCAYKGQDFFDHIENSLAECIVRKACIQNFSLSICFPEIEILTPNLDRWLNLAMKKNISELRLSIGRFYGYIYSSLVLYSIPGKVLVANTLEVLDLHQCNLKEDRFGYINLPRLGKLSLNKCQFVGESLLQKIVSACPIVEHISIVRCIGVGHFLFVSCKPELKYFKVDRCEPELEKIEIDAPNLHTLSYNSSYKVCAIEMSIWSSVKELELGHAMVMQLEYLLSKFLCIEVLKLSHGPSDKIKISSQSITVLVFGFFFQFPGATIEAPALHTLEFSSTMSLSSELKFSSWNVPKLDDIDMIFSVDNFSKACEAGLKEFLMKLHNYEDMKVVIVNNDVSNFHFLA >itb15g03990.t1 pep chromosome:ASM357664v1:15:2500591:2501838:1 gene:itb15g03990 transcript:itb15g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYVFSPALFSFVLLVLAINTEAGKIAIYWGQNGNEGTLEATCASGNYGFVNLAFLPTFGNGQTPVINLAGHCDPFSNGCRNLSADIRSCQARGIKVMLSIGGGAGSYFLKSAEDARQVATYLWNNFLGGQSSARPLGDAVLDGIDFDIEGGTTQHWDELARYLSAYSNGGKKVYLTAAPQCPFPDAWIGNALATGLFDYVWVQFYNNAPCQYTPGNINNLVKAWNQWNSIPAGKIFLGLPAAPAAAGSGFIPADDLKSIVLPAIKSSSKYGGVMLWSRYYDVQTGYSAAIKNSV >itb10g16540.t1 pep chromosome:ASM357664v1:10:22850181:22853003:1 gene:itb10g16540 transcript:itb10g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTIVTSGEMPSTSSQLNSSSRIICRVCQKQFSLYTCPRCNIRYCSLPCYKSHSLSCTESFMMENVMEELQQSQPDEQSKQKMLEILKRLHSEDEMESLDEDEPDFSWSESTIQKILSGSEISLDDLTLEEQKRYQKAIASGELSKLIKPWEPWWTKQSAKYISLGQDGTQLVQPISKEESTATSEDDIESEPLHDIPPGPESPLPSVSKLSAAAPSPLLAVHLVDIVYSYCFTLRIYNGDWQSDPTGSATVFLSVSSVMGQGAQPETVLEALSHCLEQTCSPALRHMGGLQLGFRLIEDVIELLYLGGAALVCLLSDLRRLIQSAEKELKSAKQPKSERSEMKKKLKSAERKVYFIMCWVHEQPGEAWSSLADIVKVERGQSMEYAGTETSLPKKEKGKPFIKEIQ >itb04g26920.t1 pep chromosome:ASM357664v1:4:31107323:31109057:1 gene:itb04g26920 transcript:itb04g26920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDVEQPALPSLHLRDLKVISALGRGAKGVVFLVQTEGAELLALKAISRASVEKKKAGNDGSEYKRIWFERDVLRSFQHPLLPKLRGVVSTEKIVGYVIDYCAGGDLNALRKKQTEKMFSDDIIRFYAAELVLALEYLHGLGIVYRDLKPENVMIQENGHLMLIDFDLSTKLSAKTPEIHQRPESRNHQSHPRKPKNTKKFPSFYMFCNSGISPEDLVHPPARPISRSDSVEKTNSFVGTEEYVAPEVIVGEGHDFSVDWWCLGVMLYEMLYGTTPFKGSNRKETFYRIISKPPDLVGEPTALRDLIGKLLEKDPRQRISAEEIKGHKFFRGVDWDQITQMPRPPYIPVAIADTEGNKEIEVESFVEGVFKVEETNPAGNDTVSKVKGIEEKNTKKGVWLEGLNHPTQHEHFLVF >itb11g06990.t2 pep chromosome:ASM357664v1:11:4231884:4235028:-1 gene:itb11g06990 transcript:itb11g06990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGCNGSGYVWLPNAPDGYKPVGFLVTLEPDEPDLEEIRCVRSDLTESTEACDMIFSTTKSILSKNQFQVWTTRPCKRGMLCRGVSVGTFFCATNFSSGDDLNIACLKNLDSSLHAMPNLNQVHALIKQYGPTVYFHPDEVYLPSSVPWFFENGSLLYKDGKDIGVAIESTGSNLPAGGKNDRKFWIDLPDGDKLKNYVKCGNIDSAELYVHVKPASGGTFTDIVMWVFCPFNGPATLKIGLMSYEMNRIGEHVSDWEHYTLRISNFSGELWSVYFSEHSGGEWLPACNLEFIDGNKPIVYSSRNGHASFPHPGCYLQGSSVLGIGLRNDCAESKYTVDSSTRYQIIAAEYLGEGVVAEPPWLQFMREWGPTIEYDSASEVDKIINHLPFFVRFSVESLFELFPTELYGEEGPTGPKEKDNWLGDER >itb11g06990.t1 pep chromosome:ASM357664v1:11:4231716:4235482:-1 gene:itb11g06990 transcript:itb11g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCWCWDKQSGYDLFPGEQPHPFSLPSPLPQWPQGNGFATGRICLGEIEVVQISQFEKIWSCKALFGKSNSVTFYQPVDVPQGFSVLGHYCQLDDKQLSGYVLAARDLGSLQASENCVQESGSSDLPALEKPLSYTLVWTMDAGCNGSGYVWLPNAPDGYKPVGFLVTLEPDEPDLEEIRCVRSDLTESTEACDMIFSTTKSILSKNQFQVWTTRPCKRGMLCRGVSVGTFFCATNFSSGDDLNIACLKNLDSSLHAMPNLNQVHALIKQYGPTVYFHPDEVYLPSSVPWFFENGSLLYKDGKDIGVAIESTGSNLPAGGKNDRKFWIDLPDGDKLKNYVKCGNIDSAELYVHVKPASGGTFTDIVMWVFCPFNGPATLKIGLMSYEMNRIGEHVSDWEHYTLRISNFSGELWSVYFSEHSGGEWLPACNLEFIDGNKPIVYSSRNGHASFPHPGCYLQGSSVLGIGLRNDCAESKYTVDSSTRYQIIAAEYLGEGVVAEPPWLQFMREWGPTIEYDSASEVDKIINHLPFFVRFSVESLFELFPTELYGEEGPTGPKEKDNWLGDER >itb05g08310.t1 pep chromosome:ASM357664v1:5:11634634:11640281:1 gene:itb05g08310 transcript:itb05g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKKIRSHFLVLFVLVLSSLAFCSVRGSVSYDGRSFIINGKRRILISGSIHYPRSTPQMWPGLIQKAKDGGLDVIQTYVFWNGHEPSPGKYNFEGRYDLVKFIKLAQKAGLYVHLRIGPYICGEWNFGGFPIWLKYVPGIEFRTNNQPFKVAMQGFVGKIVNMMKSEKLFESQGGPIIMSQIENEYGPVEWEIGAQGKAYTKWAGQMAVGLKTGVPWIMCKQEDAPDPIIDTCNGYYCENFHPNKPYKPKMFTELWTGWYTQFGGPVHRRPAEDIAFSVARFIQNNGSFVNYYMYHGGTNFGRTAAALFIATSYDYDAPLDEYGLPNEPKYGHLRDLHKVIKLAEPALVSSYPAVTSLGNNQEAHVFRSKSGACAAFLSNYNPQSSVKVTFWNKQHDLPPWSISILPDCKTVAYNTAKVSSQSSQIKMTPVMGGFSWQSYIEESPTSGDGDTLVANRLWEQINVTRDSSDYLWYMTDVNIASNEGFLKSGKYPVLTVMSAGHVLHVFVNGQSTGTVYGGLDNPKVTYTGNVKLRAGTNKISLLNVAVGLPNVGPHFERWNTGVLGPVLLSGLNEGTKDLTKQRWSYQVGLKGESLSLNTISGSSSVEWEASLSAKKQSLTWYKATFNAPKGNDPLALDMSSMGKGQIWINGEGIGRHWPAYTAHGSCGGCSYAGTYNEKKCQTSCGQPSQRWYHVPRSWLRPTGNLVVVFEECGGDPTGISLVRRTN >itb13g17320.t1 pep chromosome:ASM357664v1:13:24329051:24331117:1 gene:itb13g17320 transcript:itb13g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLRPYPDFLSPTRTKPILIPECLLGEGGFGRVYKGHIKTTDQVVAVKQLNQDGVQGQREFLVEVLMLCLCHHPNLVNLIGYCADGVQRLLVYEYMALGSLEDHLHDLPPDKAPLDWNTRMKIAAGTAKGLEYLHDKADPSVIYRDLKSANILLGEGFHPKLSDFGLAKLGPSDGRTHVSTRVMGTYGYCAPEYARTGKLTPKSDIYSFGVVLLEIITGRKAIDPTRTQSEQNLVAWAKSFLGDKKKAFKVADPRLGGRYPRRAFYQALTVACMCVHEEAASRPLISDVVTALTHLASQTDDTRKRAVLEAMVWGEKGHKENTRNC >itb01g15560.t1 pep chromosome:ASM357664v1:1:18515362:18525601:-1 gene:itb01g15560 transcript:itb01g15560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLNAGMSVARFDFSLGDADYHQETLENLRTAIKSSKKLCAVMLDTVGPELQVVNKSEKAISLKADDIVTLTSDQGQEASSEVLPINFAGLSKAVKKGDTIFIGQYLFTGSETTSSFFPAMTKIVGTLGPKSRSIEVISGCLNAGMSVARFDFSLGDADYHQETLENLRTAIKSSKKLCAFSSRMHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLNAGMSVARFDFSLGDADYHQETLENLRTAIKSSKKLCAVMLDTVGPELQVVNKSEKAISLKADDIVTLTSDQGQEASSEVLPINFAGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVNEVKDQDVVCVIKNSATLAGSLFTLHASQIHIDLPTLSDKDKEVISTWGVKNKIDFLSLSYTRHAEDVREAREFLSKLGDLSQTHIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVHKCNMAGKPAVITRVVDSMTDNLRPTRAEATDVANAVLDGTDAILLGAETLRGLYPVECISIVGKICAESEKVFNQDMYFKKTVKFVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >itb08g01360.t1 pep chromosome:ASM357664v1:8:1001917:1005291:-1 gene:itb08g01360 transcript:itb08g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEYDYLFKLLLIGDSGVGKSCLLLRFADNSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLTSQKVVPTETAKAFADEMGIPFMETSAKDSSNVEQAFMAMTATIKGRMASQPTSGNAKPPTVQIRGQPVKQKSAGCCSN >itb02g22820.t1 pep chromosome:ASM357664v1:2:22501890:22502916:1 gene:itb02g22820 transcript:itb02g22820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVLFGIVLILCACICSKLIWAKDADNLQDTCPADTARKNIFVNGFPCKNPAEVIASDFKSSLLSKKGDTDNFLGSSTNILTAAKFPGLNTLGLSVARTDFEVDGLVLPHSNPRASEMVFVSSGRVVAGFVDTKGRVFQKILNEGDVFVFPRGLLHYFYNIGFEFATVFSVLSSQNPGVVGISDAQFTPDNDSDSMKMLIKKLKSLSNLDVDRIDNATLLSYGDLPSNPWHLQI >itb15g12730.t1 pep chromosome:ASM357664v1:15:10601007:10612634:1 gene:itb15g12730 transcript:itb15g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGKIAGALAAKHGDEQKKIKGRVVLMKKNFLDMTDIGASILDRIHEFVGQKVSFQLISAQNADPGKRSKAAYLENWSKITSLVAGESAYDVTFEWDESFGVPGAVIVKNNHHSEFYLKTLTLEDLPNHGAVQFVCFSWVYPADKYNYDRIFFANQAYLPSQTPAPLRPFREAELKNLRGDGAGERKEWDRVYDYDYYNDLGDPDKGQNYVRPILGGNPEYPYPRRGRTGRKMTKTDPKYESRLPLLPAGADIYVPRDEKFGHIKQSDFLGYALKSIGQSVLPYIASRFDATRDEFDSFDDVNALYEGGLSRGLLLEHVQNNISLEMVKELLRSDGEKLFKFPTPQVIAASKTAWRTDEEFAREMLAGVNPVCISRLQVFPPRSTLDPKVYGDHTSTITREHIADKLDGLRVEQAIWSNRVFILDHHDGIMVYARRINDNTDRKIYATRTLLFLQKDGTLRPIAIELSLPHPNGDQFGCVSKVYTPAEEGVEASIWQLAKAYAAVNDSGVHQLISHWLNTHAVIEPIIIATNRQLSFLHPIYKLLHPHFRDTMHIKALGRQLLTNADGVIEKTCLPGKYAMEMSAVLYKNWVFPYHSLPNDLLNRGMAVEDSSSPHGVRLLIEDYPYAVDGLEIWSAIKSWVSDYCNFYYKNDSMVWEDTEVQAWWTEVVQKGHEDKKDEPWWPKINSRHLLIDTCATIIWISSALHAAVNFGQYAYAGYNPCRPTLSRRFMPEPGTPDYEELKTHPDKAFLKTITALPQTLLGISLIEVLSRHASDEIYLGQREHAEWTKDKEALEAFGRFGKKLVAIEERILTMNGDRKWKNRTGPVNVPYTLLFPTGEEGLAGKGIPNSISI >itb08g02090.t1 pep chromosome:ASM357664v1:8:1664575:1669601:1 gene:itb08g02090 transcript:itb08g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSSMAAGNGVPPPDSFFAPPQLGQNPSFGFMSNINMPFSIFPPIIPKEEAGLLMKGKEGVDSGSGSEHIEGLSGNEQETDQRRPAAEENQPANKKKRYHRHTAHQIQEMETLFKECPHPDDKQRLKLSQDLGLKPRQVKFWFQNRRTQMKAQQDRSDNVVLRAENDSLKTENYRLQAALRNIICTNCGGPGILADLSFDEQQLRMENARLKEEYERMCCIFSQYTGRAGQPIGPSPLLPAAPLELETAGGFPRKFEDNMGSCEDMVPGQFMAENPQFAGGPFIGEEEKPLAMDLAISSMDELVKMCRAGEPLWVRGNNGREMMNGEEYSRLFPWPLGINKPESSDIRAEATRATAVVIMNSITLVDAFLDAGKWMELFPSIVARAKTIQVVMPGVPGDANGSLHLMYAELQALTPLLPTRECYFLRYCQQNPEEGTWAIVDFPHDSLQINFPSCFPHFKRRPSGCIIQDMPNGYSRVTWIEHAEVEENPVNQIFSNLVASGEAFGARRWLAVLQRQCERVASLMARNLSDLGVIPSPEARKNMMNLAQRMIKTFCVNISTSLGQSWTSLSDSAEDTVRITTRKVTEPGQPAGLILSAVSTTWLPYHHFQVFDLLRDERHRAQLDALSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQSVELMLQESCTDDSGSLVVYATMDVDAIQLAMSGDDPSAVPLLPLGFVITPMAPPAAAAPSSEPECLPESGCLLTVALQVLASTIPTAKLNLSSVTAINHHLCNTVQQINAALSGLDDTAAAADQFPETDDEKP >itb01g06180.t1 pep chromosome:ASM357664v1:1:4365563:4366706:-1 gene:itb01g06180 transcript:itb01g06180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINNRATASAVALLFCFLAGILEPSFGELVKDQPLVLEYHKGTLLKGSININLIWYGNFTAIQRSIIIDFLQSFNAVDIPTPSVESWWMTTNKYEGGGSTTIVVGQQILDDNCSLGKTLKDDQIEKLASKVENSVGSITVVLTDKDVSVNGFCTSTCGTHKSTAGEDPVAYAWVGNSETQCPGKCAWPFHKPIMGPQTPPLVAPNDDIGMDGMIINLATILAGTVTNPFKNGYFQGPAEAPLEAVSACMGMFGTGSYPGYPGKVLVDNTTEASYNAHGANQRKFLLPAMWDPEKSACAPLL >itb04g09420.t1 pep chromosome:ASM357664v1:4:8721220:8722066:1 gene:itb04g09420 transcript:itb04g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFQSDLHLSFSHCAASRQLGFNIIMSFLIAAVVHLAMSYATLPGWIPSPFLPIYIQNIHKCMHGSDTETYDAEGRYLPAHFENIFTKYARTVPDKLTFVEVWKMTEGNRDAYDLFGWIAAKMEWGILYLLARDVDGMLSKEAIRRCFDGSLFDYCAKIQRSREGKME >itb13g26870.t4 pep chromosome:ASM357664v1:13:31970678:31973569:1 gene:itb13g26870 transcript:itb13g26870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKAKELLQDLIDKSGGCAVVDGGFATQLERHGASINDPLWSALCLIKDPHLIKRVHLEYLEAGADVLVTSSYQATIPGFLSRGFSLAEAESLLKNSVQLAIEARDKFWDSAKRNGKQRYNRALVAASIGSYGAYLADGSEYSGKYGPDVSLDKLKDFHRRRLQVLVGAGPDLLAFETIPNKLEAQACVELLEEENVEIPSWICFSCVDGENAPSGESFEDCLKVINKSDRVGAVGLNCAPPQFVLSLIQKFKQMTRKAIVVYPNSGEIWDGIAKQWLVGYVVI >itb13g26870.t3 pep chromosome:ASM357664v1:13:31970671:31973588:1 gene:itb13g26870 transcript:itb13g26870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKAKELLQDLIDKSGGCAVVDGGFATQLERHGASINDPLWSALCLIKDPHLIKRVHLEYLEAGADVLVTSSYQATIPGFLSRGFSLAEAESLLKNSVQLAIEARDKFWDSAKRNGKQRYNRALVAASIGSYGAYLADGSEYSGKYGPDVSLDKLKDFHRRRLQVLVGAGPDLLAFETIPNKLEAQACVELLEEENVEIPSWICFSCVDGENAPSGESFEDCLKVINKSDRVGAVGLNCAPPQFVLSLIQKFKQVCIYASSYHYFHNMKELVKNRNNRHFIFLALIAMIIIVSADD >itb13g26870.t2 pep chromosome:ASM357664v1:13:31970671:31973588:1 gene:itb13g26870 transcript:itb13g26870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKAKELLQDLIDKSGGCAVVDGGFATQLERHGASINDPLWSALCLIKDPHLIKRVHLEYLEAGADVLVTSSYQATIPGFLSRGFSLAEAESLLKNSVQLAIEARDKFWDSAKRNGKQRYNRALVAASIGSYGAYLADGSEYSGKYGPDVSLDKLKDFHRRRLQVLVGAGPDLLAFETIPNKLEAQACVELLEEENVEIPSWICFSCVDGENAPSGESFEDCLKVINKSDRVGAVGLNCAPPQFVLSLIQKFKQVCIYASSYHYFHNMKELVKNRNNRHFIFLALIAMIIIVSADD >itb13g26870.t1 pep chromosome:ASM357664v1:13:31970671:31973588:1 gene:itb13g26870 transcript:itb13g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKAKELLQDLIDKSGGCAVVDGGFATQLERHGASINDPLWSALCLIKDPHLIKRVHLEYLEAGADVLVTSSYQATIPGFLSRGFSLAEAESLLKNSVQLAIEARDKFWDSAKRNGKQRYNRALVAASIGSYGAYLADGSEYSGKYGPDVSLDKLKDFHRRRLQVLVGAGPDLLAFETIPNKLEAQACVELLEEENVEIPSWICFSCVDGENAPSGESFEDCLKVINKSDRVGAVGLNCAPPQFVLSLIQKFKQMTRKAIVVYPNSGEIWDGIAKQWLHSKCFDDDKFELFAPLWREAGANLIGGCCRTTPSTIEAISRVLKQRMIGS >itb04g01750.t1 pep chromosome:ASM357664v1:4:1023818:1025480:-1 gene:itb04g01750 transcript:itb04g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYLKNDEDEEKETNNNNNNNHPNATDAIFMSYSFRLPGFAGRVVRCEAIYDKTIVDDDEQDSMMFRNYVLISDMETDIDTYITLNPIGSGSSSSSSSSSHYRDSFRRDSITSASETFYVVKAVDENNGGSILKAREEVRRNMAAMEGERHHKNLLRMKAYFMDKPSGKLCIVMPFPGHSLRTVMKKAFPGGFPEDLMLSALRSVLDALGFLHTQSIFHTDINAGHVYLGQIFCDIYDVDLQFEYNYEINLGFAATVYDDDDVDEMGNTAPYLPVASMSNWAAAPEVYNGNGKQAYSDKADIWLFGITALELAFGGLKLPNRNALEAIIDDIHHNKKLPIPGKNSNNYKQEKHDDQGANNNMFKMAFKYLLQPFSSSNAAGDGDELLVPLCSLSPYDEESLTNCPSSSSNDNEDYCSFSETFTDMVMKCLAWDSEKRPSFNELMSHNFFTQDRDRLDGYYLYEEVMKQWPSSSCSS >itb11g14530.t1 pep chromosome:ASM357664v1:11:11727574:11728549:-1 gene:itb11g14530 transcript:itb11g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIIGYSYRKDKNSELIYKEIVDKDKNSELIYKEIVDKTYLDSLLTLADPIRQRKICLSSLETEFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSIEGLQLHYMNCGQTDGITVRRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQRTKYTVACLCNDVQLDLGESNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb04g17220.t1 pep chromosome:ASM357664v1:4:19978063:19983350:1 gene:itb04g17220 transcript:itb04g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSTVALILFTLMMSGADATWCVARSDASDQALQRALDYACGSGADCAPILSDGLCFLPNTILAHASYAFNSFYQRKAMGPGSCDFAGTATVAKTDPSYGSCVYPSSPSTAGGGTGTGTGTGTGGASTTPPALYPPQPPPPPATTTPFPDNGIGPQVPDQPNSGGSKEILVAVSFLFLLSCILQAL >itb05g22590.t1 pep chromosome:ASM357664v1:5:27957991:27960659:-1 gene:itb05g22590 transcript:itb05g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGNKSRDGRWSLKGMNALVTGGTQGIGSETQQLMEKVANYFNGKLHILVNNAATVITKQITDFTAEDYSVIMSTNFEAPYHLSQLSHPLLKATGQGSIVFVSSISGQYGVPYVSLYSGSKDGIRVNAVAPWIIDTPLKDSAEVHPAFKVVVERAIERTPISRLGKPNEVSSVVAFLCLPAASWLTGQIITLDGGKTAYGL >itb15g23960.t1 pep chromosome:ASM357664v1:15:26863749:26865882:1 gene:itb15g23960 transcript:itb15g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLPFNLFSFCLFLSFITLILRRQWKKRNTGKLPPGPWKLPLIGSMHHLVGAIPHVALRDMSKKYGKDLMHLQLGQVSAVVVSSAEVAKLFLKTHDLDFADRPRVVAGDEIFYNRSDLFFSPYGDYWKQMRKVCMTELLSARTVRSFSHIRQDEIHRLLDRVRSSSHTPINIFEEMSIFTTSIICRAAFGKTLNKAKELLKVIDEILILGSSFCMADMFPSWKILHLLAGEKSRMVKVRRKADEIMGNIINEHRNNLDCGKTGSGESGAEDIVDVLIKLKDSNTLPVSITDDNIKCVILDMFGGGVDTSTTTTACAMCAMVEMVKNPRVLAKAQAEVRQAFKGKQTLLLDENDVEKLQYLSSVIKETLRLHPTGSMILRECKKETLVRGYTIPPKTRLIINAWAMSRDPEYWEDPESFKPERFEDNPMDYNGSQLEYMPFGAGRRICPGISFGLANVQTPLAYLLYHFDWKFPHGITPDGLEIGEKPGIVVKPKNDLLLIAAPAPLAAV >itb13g10440.t1 pep chromosome:ASM357664v1:13:15184893:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIFCFH >itb13g10440.t2 pep chromosome:ASM357664v1:13:15184893:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIFCSLRN >itb13g10440.t8 pep chromosome:ASM357664v1:13:15186618:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIF >itb13g10440.t7 pep chromosome:ASM357664v1:13:15184893:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIF >itb13g10440.t4 pep chromosome:ASM357664v1:13:15186651:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIFCSLRN >itb13g10440.t6 pep chromosome:ASM357664v1:13:15186618:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIFCFH >itb13g10440.t3 pep chromosome:ASM357664v1:13:15186316:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIF >itb13g10440.t5 pep chromosome:ASM357664v1:13:15184893:15189349:-1 gene:itb13g10440 transcript:itb13g10440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMPKSGTLAIHLFRKVRAVQYGSPRLLHHGPDTVEELLDRHIVKEKKSLTHDDNEILTRQRLTSTRREVLSLYRDIIRATRFFMWPDSRGVLWRDILRENTRKEFEEARFEKDPEVITRLLIGGRDALQSALDKLVEKQKQEIEKQQGNLKSSPIF >itb09g09300.t1 pep chromosome:ASM357664v1:9:5593112:5594150:1 gene:itb09g09300 transcript:itb09g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSACKCGNGCGGCKMYPDLSYSEAAATTETLVLGVAPMKTKFEGSMIGEVAATEGGCPCGDNCKCDPCNCK >itb02g12530.t1 pep chromosome:ASM357664v1:2:8578389:8584001:1 gene:itb02g12530 transcript:itb02g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILEPHFLNGSINTLRSMEEILTIEIKPGWKKGTKITFLEKGNQEPGVIPADLVFVVDEKPHAVFVRDGNDLIVSKEISLLEALTGKTLEVTTLDRRNLMIPLTEIVKPGYEVVVPNEGMPISKEPRRKGNLRIKIDVRYPARLSEAQKSELRRVLART >itb10g05790.t1 pep chromosome:ASM357664v1:10:6144160:6152029:-1 gene:itb10g05790 transcript:itb10g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVVGGTGEGKTSLISAMLGELPPLGNANVSLRGTVAYVPQVSWIFNATVRENILFGSSFEPTRYWKAIDVTAMHHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFSNCIKEELQGKTRVLITNQLHFLPHVDRIILVCEGVVKEDGTFEELYRNGTLFQKLMENAGKMENCSDARDGKNNIESSQTSTIMVPEQLNDATSIAKRKEGKSILVKQEERETGVVNWNVLMRYTNALGGLWVVMVLFFFYTMTEVLRLLSSTWLSVWTEKSTSKDYVAAFYILIYALVSSTQVLVTLGNSFWLITSSLNAAKRLHDSMLHSILRAPMVFFHTNPIGRIINRFSKDLGDIDRNVASSVNTFLSQVWQLLSTFALIGAVSTISLWAIMPLMILFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRLASINGKSMDNNVRFTLVNISSNRWLGIRLETLGGIMVWFTATFAVMQNGRAENKVAFASTMGLLLSYSLNITTLLGNVFRQASRAENSLNAVERAGTYIDLTSEAPDIIESNRPPPGWPSSGLIKFEDVVLRYRSELPPVLHGLSFIISSSKKVGIVGRTGAGKSSMINALFRIVELEKGRILIDDYNIASLGLTDLRSALSLIPQTPVLFSGTLRFNLDPFNEHNDADLWEALERAHLKEVVRRNAFGLDAEVTEGGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVGTDSLIQKTIREEFKSCTMLIIAHRLNTIIDTNCVFVLDAGRVLEYDTPEKLLMNESSAFSKMVLSTGAANAQYLRSLVLEGNKSKEANPIYVNEMRRFFVSSHWTDAVRFALAKNLADSIKEFKVLEFEDENSILKKTEDAVVTLQGVLEGKHDEVIEEVLDHCEVPQQRWWSSLLRIIEGLAVMSRLGHGRYQLEENGLAEIWNHDDEM >itb05g08750.t1 pep chromosome:ASM357664v1:5:12327457:12331621:1 gene:itb05g08750 transcript:itb05g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MWPLYVLFNKFLGPQEENVHGPDVSNLSFNSSFPFIQLPNGNVYNSMVVSRSFSVEVPHINQLHTWDCGLACVAMVLRTLGFSDCNVIELEELCCTRSIWTVDLAYLLQKYSVDFYYFTVTLGANPKFSVETFYKEQLPSDLVRVNTLFQKAREAGIDIECRSISKEEMSILILSGNYIAIALVDQYKLNHSWLEDLCVSSFCSNRPGYTGHYVVICGYNADTDTFEIRDPASSRKHERVTSRCLEEARKSFGTDEDLLLVRCH >itb15g00330.t1 pep chromosome:ASM357664v1:15:198894:201177:-1 gene:itb15g00330 transcript:itb15g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGIVFTEPGNGMYTTPIESLKHAWDSIRVPILVPILKIAMFFCIMMSVMLFLERIYMAIVIVAVKCFGRKRYTRYKLDALKEDLEQNQNYPKVLVQVPMFNEKEVYKLSIGAVCGFTWPSDRLIVQVLDDSTNDNLRKLVEDECKMWLRRGVNVKYETRNNRNGYKAGALREGLKKQYVDDCEFVAIFDADFQPDHDFLWRTIPYLLENPELALVQARWKFGTAGVWRLQALHDAGGWKDRTTVEDMDLAVRASLRGWKFLYIGDLEVKNELPSTFKAYRFQQHRWSTGPANLFRKMFKEIIKSERVSLYKKFHLIYAFFFVRKIIAHWVTFFFYCVVIPFAIVIPELHLTKPIAIYLPATITILNAACTKRSFHLLVVWILFENVMSLHRTKAAIIGLLEANRANEWVVTEKLGNAAKQRQTVRAPRRRTCRLRDR >itb12g28400.t1 pep chromosome:ASM357664v1:12:28328363:28329550:-1 gene:itb12g28400 transcript:itb12g28400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVEKQDDDVCGTKAPSSDFVGTLGELCTLQVWRRKAINSKDNKAGEQDHKNKDQVNVQSQSLRKDVRTDGKSKEKDVTNNSQGIKHSPTGVCENDYKKNGTATAGNVNANKNNVQGHGTGGRKEIRGVKQGQTTVPRYASLFAKDTAKAGVSVLAGLAQPEIRDKVTEVHRGLPAIRFEEAEIKQLNIIEDHLLIGKFSWGRPNLDNIRRHFAEKFILKGSITIGWIDPRHITLAFSNEEDCLEILMKDQILFEGKYPMRIFRWTLGFSTEKESSLAAVWIQLPMLSANLFNLAALKQICRPIGKFLAADHATLNFSGKNEMNNRTGGQVPNSKGMGEKAQQLESKQAGTMPEKGKGVAKGNMPESSRQAEERGKQEGIKIREGGVQIGISPF >itb01g23000.t1 pep chromosome:ASM357664v1:1:29102220:29105301:1 gene:itb01g23000 transcript:itb01g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRERHSAAMQTVNSLQEQLKEKRLLLLDTDVASYAKSQGKTPVSFGATDLVCCRTLQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGLSVACGGLDSVCSIFNLNSPLDKDGNLPVSRMLSGHKGYVSSCQYIPDDDTHLITSSGDHTCVLWDITTGLRTSAFGGEFQSGHTADVLSVSINASNTRMFVSGSCDATARLWDTRVASRAVRSFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLYDIRTGHQLQVYSQPHSDNEASHVTSIAFSISGRLLFAGYSNGDCYVWDTLLAKVVVNLGSVQNSHDNRISCLGLSADGSALCTGSWDTNLKIWAFGGHRKVI >itb09g15760.t1 pep chromosome:ASM357664v1:9:11022846:11025902:-1 gene:itb09g15760 transcript:itb09g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTDNSHKNDFCMKVDPLNWEMATDSLKGSHLDEVKRMVAEFRNPAVKLGGQTLTVAQVAAIATRDNAVKVELSEAARAGVKASSDWVMNSMINGTDTYGITTGFGGTSHRRTKNGHALQQELIRFLNAGIFGIGTGKGSCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHSITPCLPLRGSITASGDIVHLSYIAALLTGRPNSKAVGPNGETLTAEEAFKLAGVQGGFFELQPKEGLALVNGTAVGSGMASMVLFEANVVALLSEVLSAIFAEVMHGRPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSYFMKAAQKLHEMDPLEKPKQDSYALRTSPQWLGPQIEVIRQATKMIEREINSVNDNPLIDVSRNRALHCGNFQGTPIGVSMDNARLALASMGKLIFAQFSELVNGYYNNGLPSNLSAGRNPSLDYGFKGAEVAMASYCSELQFLANPVTTHVQSAEQHNQGVNSLGLISAIKTEEAVDVLKLMSSTYLVALCQAIDLRHLEENLKDAVKNTVCQAAKRTLTMGINEKDLLRVVDREYVFAYADDPCSANYPLFQKLRQVLIDHALQNGQHDKNVSTSIFQKISAFEDELKAALPKEVEGARSALENGNPAIPNRISECRSYPLYKFVREELGTEMLTGEKVKSPGEVCDKVFTAVCEGGMIDPLLECLKSWDGAPLPLIC >itb02g05380.t3 pep chromosome:ASM357664v1:2:3230295:3233573:1 gene:itb02g05380 transcript:itb02g05380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCLPEWNIEAEVPLANQKKPVGLDHDLVELLWRNGQVVLHSQTHRKSGFEGNESRQLQKHDQSSLRDVSLFGNPSSFIQDDETASWLNCPVDDSFEKEFCAPFLSEIPPVHHPVGTDKGGIRQVEDSKIFKVGSSEVSHSHVHSGVNLNPMPPPGTQTLSSLHQHQRRDCNFPEGGGVSFGASLKANNLRSSNGQFGAKGHGDIRECSGMTVGSSHCGSNQVAIDAAFSRNSCSGNGGDRGLSAAVNKDRVEKVRVSPQTETAEEETAVTSSSGRSGSSFARTCNQSAGTISHGQKRKVRDGEESECQREEAAEFESGNGTKSTQKSGTPRRSRAAEVHNLSERRRRDRINEKMRALQELLPHSNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMASMMFPGMQHYMSRMGMGMVPPALPNMHSTMHLPRPPMVDHAAATMASTQNQAALCQNSMLNPINYQNQLQNPNFAEQFASYMGFHPMQNPSQAVNMFNLGSHASQPNSMFNPPTNGNGPSS >itb02g05380.t1 pep chromosome:ASM357664v1:2:3230198:3233573:1 gene:itb02g05380 transcript:itb02g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCLPEWNIEAEVPLANQKKPVGLDHDLVELLWRNGQVVLHSQTHRKSGFEGNESRQLQKHDQSSLRDVSLFGNPSSFIQDDETASWLNCPVDDSFEKEFCAPFLSEIPPVHHPVGTDKGGIRQVEDSKIFKVGSSEVSHSHVHSGVNLNPMPPPGTQTLSSLHQHQRRDCNFPEGGGVSFGASLKANNLRSSNGQFGAKGHGDIRECSGMTVGSSHCGSNQVAIDAAFSRNSCSGNGGDRGLSAAVNKDRVEKVRVSPQTETAEEETAVTSSSGRSGSSFARTCNQSAGTISHGQKRKVRDGEESECQREEAAEFESGNGTKSTQKSGTPRRSRAAEVHNLSERRRRDRINEKMRALQELLPHSNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMASMMFPGMQHYMSRMGMGMVPPALPNMHSTMHLPRPPMVDHAAATMASTQNQAALCQNSMLNPINYQNQLQNPNFAEQFASYMGFHPMQNPSQAVNMFNLGSHASQPNSMFNPPTNGNGPSS >itb02g05380.t2 pep chromosome:ASM357664v1:2:3230198:3233573:1 gene:itb02g05380 transcript:itb02g05380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCLPEWNIEAEVPLANQKKPVGLDHDLVELLWRNGQVVLHSQTHRKSGFEGNESRQLQKHDQSSLRDVSLFGNPSSFIQDDETASWLNCPVDDSFEKEFCAPFLSEIPPVHHPVGTDKGGIRQVEDSKIFKVGSSEVSHSHVHSGVNLNPMPPPGTQTLSSLHQHQRRDCNFPEGGGVSFGASLKANNLRSSNGQFGAKGHGDIRECSGMTVGSSHCGSNQVAIDAAFSRNSCSGNGGDRGLSAAVNKDRVEKVRVSPQTETAEEETAVTSSSGRSGSSFARTCNQSAGTISHGQKRKVRDGEESECQREEAAEFESGNGTKSTQKSGTPRRSRAAEVHNLSERRRRDRINEKMRALQELLPHSNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMASMMFPGMQHYMSRMGMGMVPPALPNMHSTMHLPRPPMVDHAAATMASTQNQAALCQNSMLNPINYQNQLQNPNFAEQFASYMGFHPMQNPSQAVNMFNLGSHASQPNSMFNPPTNGNGPSS >itb10g14770.t1 pep chromosome:ASM357664v1:10:21054668:21056650:-1 gene:itb10g14770 transcript:itb10g14770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRSKCLLVRLSYQSTKTQFLSSIHSLYPPIDYPVNIHQSSSSTEHFCKAPATYRIILTPQVVESTLLNCHSDLISLCFFLWCAKQPNYFHQKGAFAHMVNVVSRLTQRFRTLKGLLDELENVGSVIKAGDLLLFLRIYWFGGMHSMVFMTFEEMLRYGYTPNTFARNILMDVLFKIGRVGIALQVLKETQVPNFLTFSTAVYHLCKLKDLVNLQGVLRSMLRKGYYLKPETFSFVLSCYCKLGQLAEATQLLGLMIVLGIPTSVRVWSILIDGYSKSGRLDIADYLLAKMIAGGCTPNIVACTSLIKGYLESQMTSKAFEILAAMESKGCYPDLVMCNVLIDCLSKIGSYDDAIDVFCSLTERGLTPDSYTLSSIMSTICLSKEFILLPILISGLDITADLVVCNSFLSYFCKAGYPAGAVEFYNDIIDRGFQPDKYTFTGLLSGLCRLGKTSQAVNVYWGIVKSQHGLDAHIHTVIINELIKCGEFHQAIRLFRKAVAEKFQLDVVSYTVALDGLLKGGLVGDAYILFNEMKEIGLAPNIHTYNLMLSRACKDGDVRMIKKILLEINDRGLRFNHITFRLMKKLLHKSHHSSLVFNLFMELWDSGLLPRNIQRLVFDKANCYLNVKDAHIDNPLLVLDTDTDTSSSEDIPDVAVSVG >itb04g00270.t1 pep chromosome:ASM357664v1:4:182434:185769:1 gene:itb04g00270 transcript:itb04g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRDRRVGPSTSRRNDRPASSPNQNNDLVKSRTKTRDRKLISFYILFFIIFPAISVFVYRKLYSPATVADSRKPYLYQHDLVKPDLNYHEVLTENSKVSEDSTETPRHFQNPVLAYITPWNSKGYDLAKKFCNKFTHLSPVWYELKSKGTDLVLDGRHNADKGWISEIRMKGDALILPRVVLEAIPMDLLKRKGQREKAIRIIIAECKEMEYDGIVLESWSRWAAYGILHDPDARNKALQFIRQLGEAMHSVNLEMNNKKPLELVYVIGPPHSDKLQEHDFGPEDLRSLGDTVDGFSLMTYDFSGPQSPGPNAPLNWIHSTLQLLLGAQGVDQRLARKIFLGINFYGNDFVISGGLGGGPIIAHEYISLLEKHKPALQWEEKSGEHFFLYTDNQHARHVVFYPTLMSLAKRLEVAASWGAGISIWEIGQGLDSFLDIL >itb08g00300.t2 pep chromosome:ASM357664v1:8:274940:278087:-1 gene:itb08g00300 transcript:itb08g00300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGRYSPGTGNGRGGGGDGYGGGGFQSNHNSRGGYRQGRNPHSQPQQYDKRSLQNEPHQQQQQWLRRNASAAPPESSYNEVRKNIQSGGIDSSSNVWKARLNIPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTNLKDDIMRLYQPVHLLVGTPGRILDLAKRGVCILKDCAMIAMDEADKLLSPEFQPSVEQLIMFLPQHRQILMFSATFPVTIKDFKDRYLQKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITEIGYSCFYIHAKMLQDHRNKVFHDFRNGSCRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLQFLHLLKAMATYSLDQDILEAAA >itb08g00300.t1 pep chromosome:ASM357664v1:8:274732:278203:-1 gene:itb08g00300 transcript:itb08g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGRYSPGTGNGRGGGGDGYGGGGFQSNHNSRGGYRQGRNPHSQPQQYDKRSLQNEPHQQQQQWLRRNASAAPPESSYNEVRKNIQSGGIDSSSNVWKARLNIPPPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTNLKDDIMRLYQPVHLLVGTPGRILDLAKRGVCILKDCAMIAMDEADKLLSPEFQPSVEQLIMFLPQHRQILMFSATFPVTIKDFKDRYLQKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITEIGYSCFYIHAKMLQDHRNKVFHDFRNGSCRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYKIEQELGTEIKPIPPQIDQAIYCQ >itb09g20170.t1 pep chromosome:ASM357664v1:9:16859717:16862484:1 gene:itb09g20170 transcript:itb09g20170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECSQSEGQDSDDAVSGSEWKDEREESENVGYNVAELTEPCQRIVIPLGGNGGLGMPNAGKSTLLRALSTAKPTVGHYAFTTLRPNLGNLKDLVLELEHYREVLSDRPSLVVANKIDEDGAEEVCEELKQRVSGVPIFPVCAVLEEGTSELKDSLRLLVNDSHHHHHIGNQRFRCPEVLFQPSMIGMEASGIHETTYNSIMKCDVDIRKDLYLAVAPP >itb09g30390.t1 pep chromosome:ASM357664v1:9:31029484:31033922:-1 gene:itb09g30390 transcript:itb09g30390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRGDFDLNLVLRYDPLPKEVLKQAMLKQEHIFKKQVSTRLSVNSLQERRVFFEQRVLVPQFCSNQHFNHINRDNLVKENSSLCPQKPIKIKHSFHGQVTPSEEVDLSLSIGWNKQRKEACKKTLDDIIDLEDSDDETVLRGEVNNVSTFGYAAHVGYSGGNYDSGSTHSITHGPDKNQFEGTDRSHSTVDCSRGLLEQSSSSKGVTQRCNVVISEDTPSNIKHFSSDERALLDLNRHPNELSFHPTDLSSVSSSTGAFLRNSRGMVGESHDNDTSHNAPNRRDQADDDDCNNETPDLLKHSAANCVVGACSNDNNCITSGSTNLDSSVVAALDSSGSHDGHTSKVEPEGKNITLEFQNGSKHAEDTRFSDECKTRDTPLDMEVDRSPILCKSNYAADDMLSRTVTKESGIHLAKSNTPVDNAGLSQAAKSPRHEDAESFGNNDSNFQAGDDQKGKAAEVDNDVQKGAVSLLFFKLESTRDRSSKSSKTRQQENESNEQQPQYSCDTFESMVLKLQPECNVDDDSCVSSKPFEVKNTNNNKDCGIALRRGRRMKDFRRDILPNLASLSRHEISEDIKIMETVLRSREYKKIMSRMKGGEPKCFTPVRSRRSRLNYSVQKHHS >itb09g30240.t1 pep chromosome:ASM357664v1:9:30856660:30858198:1 gene:itb09g30240 transcript:itb09g30240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSVSNTVICFLNLLTLLASIPIIGAGLWMARSSTTCKKFLQTPLLVIGFVILIVSLAGFIGRVSVSRGRSGKLCNQGSINHSRPPIGGKLCNSFWSFSFYGGRRLEKGIMFRVLCITSHYVCCTRTIALSENDMVVTGVEVRGGSSKNWRVAMRERGQNAVSFNTMIAAYCRDGNVQEAWGLLSEMRRCGFKPTQFTFRGLLSSEFLDHYQVVQLHALIEKASLLHTDATVGTALLGEGIKPDKVAFMAVLSACRHGGLVEQGVALFGEMKGKYGFEPEMNHYLIVVDLLSRYGHLAEAEQFIGRMRFPPNASIWSTFLDGCKRKRTYVLSHQSSY >itb13g17820.t3 pep chromosome:ASM357664v1:13:24742988:24747900:-1 gene:itb13g17820 transcript:itb13g17820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDSNRPNARETASGMLQIHTVIMSLHYTGIEIKTVHSLESWSGGVLVMVSGSVHVKDFSGSRKFTQTFFLAPQEKGYFVLNDIFHLVDDEQLLQHPVTYITPSNLDSKLNTSASIQDQVSNYILGGEIQASNFVPPTKIKENGNANNYNFTEEHLQQVPESDRIHKDNFTEQSNGPLPSKMDTVQDQLHAPIEEPVSETQKHTYASIVAKRQPVQAVAPQSSFNKAADHSEWQHTPEPTGQLPSAPSNNVEKPGAEVEEEFSALEDELEVKSVYVRNVPTTMDPYEIEVEFTKFGTLKPDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKASTVLIGGHQLYIEGRRPNRNSFSRGRGRGRGRITYQMEGRGARFSGRAFGRSNGHDRGDREYRSRGNGFHRQGPRQERGFSSNPQGSRNGQSSE >itb13g17820.t4 pep chromosome:ASM357664v1:13:24742988:24747900:-1 gene:itb13g17820 transcript:itb13g17820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFPFSVTSAEVGSYFIGQYYQILQQQPEFVHQFYSDVSTMVRVDSNRPNARETASGMLQIHTVIMSLHYTGIEIKTVHSLESWSGGVLVMVSGSVHVKDFSGSRKFTQTFFLAPQEKGYFVLNDIFHLVDDEQLLQHPVTYITPSNLDSKLNTSASIQDQVSNYILGGEIQASNFVPPTKIKENGNANNYNFTEEHLQQVPESDRIHKDNFTEQSNGPLPSKMDTVQDQLHAPIEEPVSETQKHTYASIVAKRQPVQAVAPQSSFNKAADHSEWQHTPEPTGQLPSAPSNNVEKPGAEVEEEFSALEDELEVKSVYVRNVPTTMDPYEIEVEFTKFGTLKPDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKASTVLIGGHQLYIEGRRPNRNSFSRGRGRGRGRITYQMEGRGARFSGRAFGRSNGHDRGDREYRSRGNGFHRQGPRQERGFSSNPQGSRNGQSSE >itb13g17820.t1 pep chromosome:ASM357664v1:13:24742861:24747953:-1 gene:itb13g17820 transcript:itb13g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFPFSVTSAEVGSYFIGQYYQILQQQPEFVHQFYSDVSTMVRVDSNRPNARETASGMLQIHTVIMSLHYTGIEIKTVHSLESWSGGVLVMVSGSVHVKDFSGSRKFTQTFFLAPQEKGYFVLNDIFHLVDDEQLLQHPVTYITPSNLDSKLNTSASIQDQVSNYILGGEIQASNFVPPTKIKENGNANNYNFTEEHLQQVPESDRIHKDNFTEQSNGPLPSKMDTVQDQLHAPIEEPVSETQKHTYASILQVAKRQPVQAVAPQSSFNKAADHSEWQHTPEPTGQLPSAPSNNVEKPGAEVEEEFSALEDELEVKSVYVRNVPTTMDPYEIEVEFTKFGTLKPDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKASTVLIGGHQLYIEGRRPNRNSFSRGRGRGRGRITYQMEGRGARFSGRAFGRSNGHDRGDREYRSRGNGFHRQGPRQERGFSSNPQGSRNGQSSE >itb13g17820.t2 pep chromosome:ASM357664v1:13:24742861:24747953:-1 gene:itb13g17820 transcript:itb13g17820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDSNRPNARETASGMLQIHTVIMSLHYTGIEIKTVHSLESWSGGVLVMVSGSVHVKDFSGSRKFTQTFFLAPQEKGYFVLNDIFHLVDDEQLLQHPVTYITPSNLDSKLNTSASIQDQVSNYILGGEIQASNFVPPTKIKENGNANNYNFTEEHLQQVPESDRIHKDNFTEQSNGPLPSKMDTVQDQLHAPIEEPVSETQKHTYASILQVAKRQPVQAVAPQSSFNKAADHSEWQHTPEPTGQLPSAPSNNVEKPGAEVEEEFSALEDELEVKSVYVRNVPTTMDPYEIEVEFTKFGTLKPDGVAIRTRKDIDVCYAFVEFEDVTSVQNAIKASTVLIGGHQLYIEGRRPNRNSFSRGRGRGRGRITYQMEGRGARFSGRAFGRSNGHDRGDREYRSRGNGFHRQGPRQERGFSSNPQGSRNGQSSE >itb09g15410.t1 pep chromosome:ASM357664v1:9:10657118:10657411:1 gene:itb09g15410 transcript:itb09g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILAGGAFTGASMNPAVAFGPAVVSWSWDCHWIYWVGPLVGGGIAGLVYDLLFIPQHHEALP >itb04g25440.t1 pep chromosome:ASM357664v1:4:30051393:30057436:-1 gene:itb04g25440 transcript:itb04g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRQALRTLCCNTLWNYAVFWKLTHRARMMLIWEDAYYGNNEHQEKKLFSNSAGNLLDGHCSHDALGLAVAKMSYHVYSLGEGIVGQVAVTGKHLWISADKWVTNPSSSFEYCDGWQTQFSAGVKTIVVVAVAPLGVVQLGSLDDIPEDLKMVKHIRDVFSEVHDSVAGHLQGAMQNNAETSCVSDVSTRTSGSEVYLDCTNNLDRSIEDGTNFCSSKYVFLGQGKHGHHSPMVSHPSTYPNKIHEMPNKHEAPGPPIPSCGSFFNGHQKGVYAHEAIAPMSEVQTSNLRDSVDDKSKSYKNNSSDKIASCDAVQAFDYSRMDIPYPPSEFLVSAAQNDQNGAFSLPVLPNAGLHKDSENNSFQSSDMNIIQTPLSFYAGYELYEALGPAFQKGNTHNLWETEKTETGMAVEIPEVMGDSSLLMSDSRTEHLLEAVIANVNCHESDANSVKSLCKSVESFVTTEKTEPCTSDVGTISSAGYSFDRDTLNSFNSSGACGVRSSIGLSSTSSSRGSAHMERPQEPARMNKRRAKPGESCRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMLFMQSITKHAEMLNKCTSSKWLEKEPGTQGPSSNEQGSSWAVEVGSNMKVCPIIVENLNMNGQMLVEMLLKDCSHFLEIVEAIRSLGLTILKGVAEACGEKTRMCFVVEGQNNQPMHRMDILWSLMQLLPPEINA >itb13g20800.t1 pep chromosome:ASM357664v1:13:27547679:27553454:1 gene:itb13g20800 transcript:itb13g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAKGLERQPAANGGVSPKAAPSKGMRLGRYELGRTLGEGNFGKVKYARHVDSGRSFAVKILEKNRILDLRITDQIKREIGTLKLLKHPNVVRLHEVLASKTKICMVLEYVNGGELFDRIVSKGKLPEVEGRKLFQQLIDGVSYCHSKGVFHRDLKLENVLVDENGIIKVTDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDTWSIGVILYVILTGYLPFDDRNLAVLYQKIFKGDAHIPKSLSPGARDLIKRILDPNPSTRITISEIKEHQWFKPGYNPANPDEEEEDEDDDAYIDNEIPTVNEAPLDGERDPESPPTLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNLSPKELLVRIENTVINMGFQVQRKNGKLKVTQKQHKVQKCPGSLSVAAEVFEISPSLYVVELRKSYGDTAVYRQLCKKLSNDLGVSRSQELLTTEFSEFSTQSDDAETATDCSDN >itb13g20800.t2 pep chromosome:ASM357664v1:13:27547759:27552906:1 gene:itb13g20800 transcript:itb13g20800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAKGLERQPAANGGVSPKAAPSKGMRLGRYELGRTLGEGNFGKVKYARHVDSGRSFAVKILEKNRILDLRITDQIKREIGTLKLLKHPNVVRLHEVLASKTKICMVLEYVNGGELFDRIVSKGKLPEVEGRKLFQQLIDGVSYCHSKGVFHRDLKLENVLVDENGIIKVTDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDTWSIGVILYVILTGYLPFDDRNLAVLYQKIFKGDAHIPKSLSPGARDLIKRILDPNPSTRITISEIKEHQWFKPGYNPANPDEEEEDEDDDAYIDNEIPTVNEAPLDGERDPESPPTLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNLSPKELLVRIENTVINMGFQVQRKNGKLKVTQKQHKVQKCPGSLSVAAEVFEISPSLYVVELRKSYGDTAVYRQVLLNNLFFLHFPAEC >itb02g05740.t1 pep chromosome:ASM357664v1:2:3546309:3551158:1 gene:itb02g05740 transcript:itb02g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGSTPNTTILGTTDNNVKLFAVIAAISIPVLLSLALSGKKKSRKRGVPVEVGGEAGFAMRNAKYTGLVEVPWEGATTVAALFEQSCKKHSRERFLGTRKVVSRDFVTGSDGRKFEKLHLGEYHWESYGQIFDRASNFASGLVKLGHDVDTRAAIFSETRAEWFIAFQGCFRQSITVVTIYASLGDDALVHSLNETQVSTLICDSKQLKKLAAISSSLKSIRNVIYFEDDETANDSNLSKPTGSWTVSSFSEVEKLGKNAPINPTLPIKTDIAVIMYTSGSTGLPKGVMITHGNIVATSAAVMTVIPNLGTHDVYVAYLPLAHVFELAAETVMMAGGASIGYSSALTLTDTSNKIKKGTQGDASVLKPTLMTAVPAILDRVKDGVIKKVDEKGGSAKTLFNIAFKRRLKAIEGSWFGASGLEALLWDIIIFKKIRAVLGGKIRFMLCGGAPLSGETQRFINICVGAPIGQGYGLTETFAGAAFSEADDVSIGRVGPPLPCAYVKLISWEEGGYTINDKPMPRGEVAVGGCSVTSGYFNNEVKTNEVYKVDERGMRWFYTGDIGMFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALMSSNYVDNIMVYADPFHNYCVALVVPSHQILEKWAQENSIEYKNISELCDKAEAIKEVQQALSQVAKAARLDKFETPAKIKLIPEPWTPESGLVTAALKLKREPIKARFKEELDKLYK >itb10g24390.t1 pep chromosome:ASM357664v1:10:28237600:28242693:1 gene:itb10g24390 transcript:itb10g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRTAAAPPAQNPASSASFTTHSSYDASSLTVENSEQVLYPSDDCTRWTNEKHNLFLNLLEASFVKKMHKSMTLHSQYQEQNMVNRSYSHKLPAKMNRVSKQRPVVQDCHWHNNIERDLADLDTAAISHGSFKDQQICPFSHSDIQMLGFAEGSDQNFVDEGHKEKTSSKRTNASIREICNHDQIVPSGKFKNPAASSSTLESYDQASHEHLLLENYESFVGPCTNAKYFLNGS >itb10g24390.t2 pep chromosome:ASM357664v1:10:28237600:28242664:1 gene:itb10g24390 transcript:itb10g24390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRTAAAPPAQNPASSASFTTHSSYDASSLTVENSEQVLYPSDDCTRWTNEKHNLFLNLLEASFVKKMHKSMTLHSQYQEQNMVNRSYSHKLPAKMNRVSKQRPVVQDCHWHNNIERDLADLDTAAISHGSFKDQQICPFSHSEGSDQNFVDEGHKEKTSSKRTNASIREICNHDQIVPSGKFKNPAASSSTLESYDQASHEHLLLENYESFVGPCTNAKYFLNGS >itb10g24390.t3 pep chromosome:ASM357664v1:10:28237600:28242664:1 gene:itb10g24390 transcript:itb10g24390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRTAAAPPAQNPASSASFTTHSSYDASSLTVENSEQVLYPSDDCTRWTNEKHNLFLNLLEASFVKKMHKSMTLHSQYQEQNMVNRSYSHKLPAKMNRVSKQRPVVQDCHWHNNIERDLADLDTAAISHGSFKDQQICPFSHSDIQMLGFAEGSDQNFVDEGHKEKTSSKRTNASIREICNHDQVEMPIFP >itb01g33980.t1 pep chromosome:ASM357664v1:1:36859048:36860324:-1 gene:itb01g33980 transcript:itb01g33980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSGERRPGRMTTGTAQKSTEPENLPCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGTLRNVPVGGGTRKQPSNKRPRTTTLAAASSPPEMPRDPFHAAGSGCEVNLNEAVPDPATASFTSLLGSPVGGDGAGFMSLGGFGLGLGEAGMHGFGFGLGMVDWPAETVGAAPSGNVNGGGHTVGGPVGSSSCNTWQMGGGLVDGDGGDYFGWPDLAISAPGRRS >itb15g04330.t1 pep chromosome:ASM357664v1:15:2735943:2737197:1 gene:itb15g04330 transcript:itb15g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIAIPNPKKLFKSRKSRSVSRSDDPSSFSSGTTSSSASDSCDSVNGFKNKKKKNGLSTPTSVLPALSSEISAEEWSEISSEVYAELVQAFKLIDRDDDGKIKREELEALLSRVGAEPVSEEELRMMLSEVDRDGDGCISLEEFSALSSAFAPPACDSEMRAAFDFFDTDHDGKITAEELFSVFKTIGDSRCTLEDCRRMIRGVDRNGDGFVCFEDFSRMMEQQR >itb14g05240.t2 pep chromosome:ASM357664v1:14:4598801:4604301:-1 gene:itb14g05240 transcript:itb14g05240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRFDRIIEEIDSNAERLQRSDDDEISHLAIDIGGSLIKMVYVSSNNHCSTNDQQGQLSNETVSILNGNWNHSAFCGSLHFVKFETRMISECIKFLSSKKLQYPGGRCHELHTNEKIIIKATGGGAFKFADLFKEKLGITLDKVDEMDCLVAGANFLLESRHQEAFTYMDGKKEYQQIDQNDLYPYLLVNIGSGVSMIKVDGDNKFERVSGTSIGGGTFWGLGKLLTKCNSFDELLELSHQGNNRVIDMLVGDIYGTDYSKIGLASTAIASSFGKAISENKELEDWKPEDIARSLLRMISNNIGQIAYLNALRYGLKRIFFGGFFILGHAYTMDTISVAVDFWYLFCSS >itb14g05240.t1 pep chromosome:ASM357664v1:14:4597758:4604301:-1 gene:itb14g05240 transcript:itb14g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRFDRIIEEIDSNAERLQRSDDDEISHLAIDIGGSLIKMVYVSSNNHCSTNDQQGQLSNETVSILNGNWNHSAFCGSLHFVKFETRMISECIKFLSSKKLQYPGGRCHELHTNEKIIIKATGGGAFKFADLFKEKLGITLDKVDEMDCLVAGANFLLESRHQEAFTYMDGKKEYQQIDQNDLYPYLLVNIGSGVSMIKVDGDNKFERVSGTSIGGGTFWGLGKLLTKCNSFDELLELSHQGNNRVIDMLVGDIYGTDYSKIGLASTAIASSFGKAISENKELEDWKPEDIARSLLRMISNNIGQIAYLNALRYGLKRIFFGGFFILGHAYTMDTISVAVDFWSKSEMKAMFLLHEGFLGSVGALMNYKKDDLSELIADQLKTEKLPTTSCAEDRLQTSSSVDQKENRAIDHRLQTRK >itb15g20320.t2 pep chromosome:ASM357664v1:15:22966339:22971524:1 gene:itb15g20320 transcript:itb15g20320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVRKQGSDHFNPACVKVDDFDDFGTDMCPPLGKPFHESNPECDKKNCCGFEEADVPGSRFQCHKLESSDLSQAIHKGSNHSMDERNMVQEARAEDQKWEKVVNSNLFAREYTNLPLELKCPLCNTFLKEAVLIPCCQHSFCKKCISFDLLEKKRCPICSSNKFRVDDLLPNLSLRQAVEHFLGSQMIGTGSKNDLCKLVPDGESGIHAMDISCAVTVIQREPEMPHSPSATGHGSNQVLADSFFESFRRNTSRKMRRFGGRRAQFAHLNLHGEADNVPITDFQGENRPLNLRNIHIHDEVESTMKRKGGQLVNTEGEETNFWATGRPKKGGRNCYICGSLEHLMRDCPFASSPHPMVHTGNYMLMGGMPGYPPPYWNSTLYSPMRPFTNMYGNPGMMPFGACMVPTATFCASPYMPTMHSGMPLNGGVMRKDSMAPPMGIKAECIKNQNEFMEPQHHSGKRNVSDENLGRSRGKQCSDKYDGNVPYGKNEKKRLDYKHCKDREGSTSCSEDNMGPRPKRKYQHVVTRRERSPPSFREKHMERSNSGLEDLQRSREKHEHHHRDSRKHHEKKVQGGSDSSWDHHHVSREERRRLEHDVRGCQKRPNFSDSGSPRNHRVGGKERESGLEYRHPRNNSKHPVNELRDERRQMVDGSYEDCRADYHLRKRKRVH >itb15g20320.t1 pep chromosome:ASM357664v1:15:22966339:22971524:1 gene:itb15g20320 transcript:itb15g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVRKQGSDHFNPACVKVDDFDDFGTDMCPPLGKPFHESNPECDKKNCCGFEEADVPGSRFQCHKLESSDLSQAIHKGSNHSMDERNMVQEARAEDQKWEKEYTNLPLELKCPLCNTFLKEAVLIPCCQHSFCKKCISFDLLEKKRCPICSSNKFRVDDLLPNLSLRQAVEHFLGSQMIGTGSKNDLCKLVPDGESGIHAMDISCAVTVIQREPEMPHSPSATGHGSNQVLADSFFESFRRNTSRKMRRFGGRRAQFAHLNLHGEADNVPITDFQGENRPLNLRNIHIHDEVESTMKRKGGQLVNTEGEETNFWATGRPKKGGRNCYICGSLEHLMRDCPFASSPHPMVHTGNYMLMGGMPGYPPPYWNSTLYSPMRPFTNMYGNPGMMPFGACMVPTATFCASPYMPTMHSGMPLNGGVMRKDSMAPPMGIKAECIKNQNEFMEPQHHSGKRNVSDENLGRSRGKQCSDKYDGNVPYGKNEKKRLDYKHCKDREGSTSCSEDNMGPRPKRKYQHVVTRRERSPPSFREKHMERSNSGLEDLQRSREKHEHHHRDSRKHHEKKVQGGSDSSWDHHHVSREERRRLEHDVRGCQKRPNFSDSGSPRNHRVGGKERESGLEYRHPRNNSKHPVNELRDERRQMVDGSYEDCRADYHLRKRKRVH >itb15g20320.t3 pep chromosome:ASM357664v1:15:22966565:22971405:1 gene:itb15g20320 transcript:itb15g20320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTWYKKQELKIRSGKSINYFVLSELAFLLYLCIPDPCFLMEMIRVVNSNLFAREYTNLPLELKCPLCNTFLKEAVLIPCCQHSFCKKCISFDLLEKKRCPICSSNKFRVDDLLPNLSLRQAVEHFLGSQMIGTGSKNDLCKLVPDGESGIHAMDISCAVTVIQREPEMPHSPSATGHGSNQVLADSFFESFRRNTSRKMRRFGGRRAQFAHLNLHGEADNVPITDFQGENRPLNLRNIHIHDEVESTMKRKGGQLVNTEGEETNFWATGRPKKGGRNCYICGSLEHLMRDCPFASSPHPMVHTGNYMLMGGMPGYPPPYWNSTLYSPMRPFTNMYGNPGMMPFGACMVPTATFCASPYMPTMHSGMPLNGGVMRKDSMAPPMGIKAECIKNQNEFMEPQHHSGKRNVSDENLGRSRGKQCSDKYDGNVPYGKNEKKRLDYKHCKDREGSTSCSEDNMGPRPKRKYQHVVTRRERSPPSFREKHMERSNSGLEDLQRSREKHEHHHRDSRKHHEKKVQGGSDSSWDHHHVSREERRRLEHDVRGCQKRPNFSDSGSPRNHRVGGKERESGLEYRHPRNNSKHPVNELRDERRQMVDGSYEDCRADYHLRKRKRVH >itb08g10510.t1 pep chromosome:ASM357664v1:8:10115690:10117338:1 gene:itb08g10510 transcript:itb08g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVELFFFPALGMGHLLSAVEIAELLIHRDHHISITIFILTPPFDLKITSFIQSQTPERRLKFVTLPLDDSISIDPTNIPAPSMIPIDSFKPRVRECVKETIRSVRLGGFVIDMFSTAMVDVADEFGVPTYVFYTSGAAVLGFLLHMQRISLEGFRGCERDLNIPTYVNPYPAKLFPSSLLDKHGFTMFLSMSERISATKGVIVNTFLELESHAIKTLSHDPNSPPVYPVGPILNLSGPGEGSQRILEWLDEQPEGSVVFLCFGSEGYFPEEQVKEIAIALERSGQRFLWVLRRRPEKGSLIPGEYTNPKEVLPDGFLERMQGVGKVIGWAPQVAILSHPGVGGFVSHCGWNSTLESIWFGKPIAAWPIAAEQQANAFEIVKEIGIGVDLKMDYKRDFKDATKFSEMVRAEEIERGIRSVMDPLNPIRLKAKEMSDKSRSAIVEGGSSYTYVGRFIQDVFSNIN >itb03g19390.t1 pep chromosome:ASM357664v1:3:17479919:17481505:1 gene:itb03g19390 transcript:itb03g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMILVLVFQFMVFLTVKAQENPSAQDTVNNFEPSLAVVIGILSVIFSLTFSLLLYAKFCHRPASSSVHNSNLRIRDGLVRSRSRFSGIDKTVVESLPFFRFSSLKGSREGLECSVCLARFEDVEILRLLPKCKHAFHIGCIDQWLDNHSTCPLCRHKISPEDLSTLQYSNSLRFLGNLMNSQSELREESSNNLELYIHREENNSQAGGSSRFNILSSFRKPAAAENQNSLHSLNHRINIVSEVVLKNRWSNVSSSDLMFLSSEMIHDLSTTRFSSLDRNSNPHYTSMAARVIEEQNPNKSMNIKEEIERKRQFEIKIKKNHDSSSFLPSSSEPRIIINPNETSIASSQNQKRSMSEIITHPRFRDFNFKNHKNVKEERRRKAWLPIARRTVEWFANRETRSSAHQSPTTRQSLNV >itb03g28960.t1 pep chromosome:ASM357664v1:3:29660813:29666603:1 gene:itb03g28960 transcript:itb03g28960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPIEHTFLPTTSSSPSSSSPPSPQRTPCFRDLRGVRWRIDLGILPSSPSASIIDLRRVTADLRRSYATLRRQLLIDPHVPKDGSTSPDLVMDNPLSQNPDSMWGRFFRNAELERMVDQDLSRLYPEHGSYFQTPGCQAMLRRILLLWCLGHPGYGYRQGMHELLAPLLYVLHVDVEHLSEVRNLYEDHFTDNFDGFSFHENDLTYKFDFKKFSESLEEEHGTGKSPVKISSLSELDPKIQSIVLLNDAYGAEGELGVLLSVKFMEHDAYCMFDALMNGAGGAVAMAEFFSPSPYGTSHTGLPPVIEASAALYHLLSLVDSSLHSHLVELGVEPQYFALRWLRVLFGREFALEDLLIIWDEIFACENRKLEKSTENDTDFGCTVLNSSRGAFISAFAVSMILNLRSSLLATENATACLQRLLNFPEDTNLEKLIAKAKSLQSLAVVANNSTPLLSYDGFYKRSKSTAVRSHSNSFDLTLANPLNLLAESYWEEKWRVLQKEEENKKCIEENKVPGHRKSWSEKVKLRLSRTESAPAPSMVNGGRKAPNPAVRRNLLKDLARQLGSDDDTDDLVDDENVGQRDLVDTDGQDGENKNFSCTSEERCFSRKTCSEQNSYSFSDPPSPISGNIHQEIGSDRSSVASNLSVGDNDGDASNAVVSGTNLEGSLPLEGVSVKSEQNDDSGGKSTNSSKERKLLSVKFNWFWKFGRNAGEGASGKDSGPEVTKVPCGGNSQNNHIGSSTVEGFDSSGTSRGENVDQNLLVSLRNLGNSMLENIQVIESVFQQDQSQTVSKSVLVGKGQAMAALKELRKISNLLSEM >itb03g28960.t2 pep chromosome:ASM357664v1:3:29660840:29666547:1 gene:itb03g28960 transcript:itb03g28960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRFFRNAELERMVDQDLSRLYPEHGSYFQTPGCQAMLRRILLLWCLGHPGYGYRQGMHELLAPLLYVLHVDVEHLSEVRNLYEDHFTDNFDGFSFHENDLTYKFDFKKFSESLEEEHGTGKSPVKISSLSELDPKIQSIVLLNDAYGAEGELGVLLSVKFMEHDAYCMFDALMNGAGGAVAMAEFFSPSPYGTSHTGLPPVIEASAALYHLLSLVDSSLHSHLVELGVEPQYFALRWLRVLFGREFALEDLLIIWDEIFACENRKLEKSTENDTDFGCTVLNSSRGAFISAFAVSMILNLRSSLLATENATACLQRLLNFPEDTNLEKLIAKAKSLQSLAVVANNSTPLLSYDGFYKRSKSTAVRSHSNSFDLTLANPLNLLAESYWEEKWRVLQKEEENKKCIEENKVPGHRKSWSEKVKLRLSRTESAPAPSMVNGGRKAPNPAVRRNLLKDLARQLGSDDDTDDLVDDENVGQRDLVDTDGQDGENKNFSCTSEERCFSRKTCSEQNSYSFSDPPSPISGNIHQEIGSDRSSVASNLSVGDNDGDASNAVVSGTNLEGSLPLEGVSVKSEQNDDSGGKSTNSSKERKLLSVKFNWFWKFGRNAGEGASGKDSGPEVTKVPCGGNSQNNHIGSSTVEGFDSSGTSRGENVDQNLLVSLRNLGNSMLENIQVIESVFQQDQSQTVSKSVLVGKGQAMAALKELRKISNLLSEM >itb01g00910.t1 pep chromosome:ASM357664v1:1:429140:434337:-1 gene:itb01g00910 transcript:itb01g00910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNTCKAALSTNGPVSEEALEKVRALLDKIKPSDVGLEQEAQSVRSWTGTLRVRNGNLRSMPTIKYLHLHECDSFSIGIFCMPPSSVIPLHNHPEMTVLSKLLYGSLLVKAYDWIDVPGPSDPSQGARPARVVKDCEMSDPCGTTILYPSNGGNIHCFKAITPCAFLDILSPPYSSKDGRHCTYFRKSPKGDLPGELDVDGVAISEVTWLEEFQPPDDFVIRRAQYKGRAIKP >itb10g19760.t1 pep chromosome:ASM357664v1:10:25483567:25485596:-1 gene:itb10g19760 transcript:itb10g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIESQKPKPAHSFPPPPSTDAAQPRVPIESSNGGGFSGGHSFPNPPDRVNPDPATLREQWKFAIRQYSKWYSHAWGTAILAGASFFALGWIIKGGNPLPSLESGRKNAPSEDPNAAVEVKK >itb05g27700.t1 pep chromosome:ASM357664v1:5:30943518:30947992:-1 gene:itb05g27700 transcript:itb05g27700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHRSPVTAYNRSNSIGMGGVGRVAAASRISPEGSMRGHEMYNSEYKSYNRDGVGRGQSKHFPQRSDVFMEAGRMAAEYLVRKGLLPQSVLSGKLQNGNLRNPLGYNSGFRAQEGDDMQVSVGSRTSALSRLGNHAADVVPGRKRYSDEYGAIGPKSYIRGRRRNGSFKDSEWNRDLGRSGSWSDRSRASQDVDNHPDHFSGHQDEKKFTKDSYSELQKSPPTEIIPEIDSDGNLVRDSELTLGKYNSVSNTATKGSATSIGKDLLPEDEVGPIQMSAKMETSTGEAGEVMDDNNNDDMEQKSTEDDSRVQLRAEEDTQTSKKGTDLLSLCRFEKIPTRTRSSLTARSSRVVRSAMVEVKDTNESGHPTELGSHAEDMPSDPSLHQNHDIKPVGSDNSIVSAAEEGQIVAHAAEENMTRSLSFTDDTEMEGGVKNEELTIFGNCSTAEIGQKRALDDDNGHEQNKKPREFTSSDDTQSNFFMYHSGLKEKQQNLHEPETANGKHAVLSPEHKRLVDVSLFPNGDVMQSAEFEEKQLFPSSFKTCDLNLMEASDMNDNHDSDTDLIFPSITESGKPSASIDIDLSINSNCNLMDKYNKCGFGGKDIEVIDLENDSEQDSKTFNNQLGSSVTGFTGREGFPNNAHNVNEIADVQDGYGLMISELLGNDMPNCSSVPGDMNALHNDMGLHNGEGILGDDDSIYMSLGEIPISYLRPWEQPSQEFGKPF >itb05g13560.t1 pep chromosome:ASM357664v1:5:20557908:20560542:1 gene:itb05g13560 transcript:itb05g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPWKNFKPTRKRKTKLRGIASVWTSTSVLPATFDKITTFYVTRSSTSIPVGKEELLDLDLEYDDTQFIHFDVFVNEDNNVNTLELDRIEYAGSFSKSPHVHDASSTITPMAKTTTFSLAISELLQDLGLEGNDKILVTLVPKAGGSFVTVNKAYTHTSRFCILTKMGRSISRSPSYSRRRYSRSQSLVNHHHGSHRNRRDHNCSPYSSDKCVPGKHFPNLFSLRRPKTQFDELDDRDYQVDASFRVISYCIRHEQFRGDLPLYFPDLSSACMLKKLKEMYCNDEVAREVLFDELAAFANCVFGRRRHAGAGEKTDLGNAFLERICHLRILTKIPVCKSQLVGGATRDMQLPSEHYGKKEVRISHKTYLLWVVSLGIRNNIPVASLLTMSTTAFIVFLHYDLFVQNGLSHLLRLSFL >itb12g24670.t1 pep chromosome:ASM357664v1:12:26106833:26107730:1 gene:itb12g24670 transcript:itb12g24670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRITVFESTLIVKAIQAAVVVGSPLVIFIWRPEGLSVQSMLTDKLEHGQNLSTLLRLEAQTFSSYESTDQYFSAVVERVDLEAVFLTAQDAEHIEFSQSDEDNKLNDFGYLRAHFNRPVGAYDENYISLKIYGTFSESMLRSADVSADPSFLPLLPLAAISGLAFADALAVMESMSGTGIYL >itb02g06820.t1 pep chromosome:ASM357664v1:2:4271952:4274487:-1 gene:itb02g06820 transcript:itb02g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFTAPSMIMEEERRFEAEVGEVQAWWNSERFKLTRRPYTARDVVALRGHLRQGYGSNEMAKKLWRTLKNHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTTSNEPGPDLADYPYDTVPNKCQHLFFAQQYHDRKQREARMNMSREERARTPFIDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDIMGTETVLVARTDAVAATLIQTNVDTRDHQFILGVTNPNLKGKGLATILSEAMAAGKTGPELQAIEDNWLAMAELKTFSETVVDAINRLNIGEPEKQRRLKEWMNHSSYEKCLSNFQAREIAENLGLNNLFWDWDLPRTREGFYRFKGSVMAAIVRGWAFAPHSDLIWMETSSPDLVECTKFAQGVKSVHPEMMLAYNLSPSFNWVASGMNDNQMMDFIPRIAKLGYCWQFITLAGFHGNALIIDTFAKDFASRGMLAYVEKIQREERNNGVDTLAHQKWSGANYYDRVLRTVQGGITSTAAMGKGVTEEQFQESWTRPGTTNMGDGGVVIAKARM >itb05g20410.t1 pep chromosome:ASM357664v1:5:26505355:26507891:1 gene:itb05g20410 transcript:itb05g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEEGLQWVVLEWLEKWITSMHMTLAEMISSDMSNGSPQLARIFLVSAFWKNKFHTALVYELLRHKANEAVCWKFTWRPCIPRKFSFILWLALWNRLKTKDRLFLPDFESDCSLCIGQKESTNHLFFRCYFSQQVWSKIRESFGFPRNTIAIRSSIKWIRRLFKGSRRHSKAVYIALACTVYHLWRVRNLVIHDSVRPTLDGLVNCIATDVLRVASSVRL >itb10g05340.t2 pep chromosome:ASM357664v1:10:5441680:5444118:1 gene:itb10g05340 transcript:itb10g05340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGSYERFIWGFKLKSPKDIQSLDLIPLFSFPSHLSTIKCADVSGSAAVSGGSDDTIKIYDLSTCSEIGSLHESATINSLAFYTPASLSFPRNLISAADDGSVSIYDADPFVHLKTVKVHRKGINSLSIHHSGRLALSVGRDECLAMVNLVRGRRSFYCRLGKEASIVKFSETGETFYMVMDEKVSIHESEDARIVLEFNNAKRVLCVASGKGGILFTGGEDRNITAWDTKSGKVAYSIDDAHSARVKGIVVLSDNDEEYPYLVASASSDGNIRVWDLRMGGKEKSNPLAVANTKSRLTCLAGSSIKSIQRPQMGNSASNEQEDGAAE >itb10g05340.t1 pep chromosome:ASM357664v1:10:5441026:5444112:1 gene:itb10g05340 transcript:itb10g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGSYERFIWGFKLKSPKDIQSLDLIPLFSFPSHLSTIKCADVSGSAAVSGGSDDTIKIYDLSTCSEIGSLHESATINSLAFYTPASLSFPRNLISAADDGSVSIYDADPFVHLKTVKVHRKGINSLSIHHSGRLALSVGRDECLAMVNLVRGRRSFYCRLGKEASIVKFSETGETFYMVMDEKVSIHESEDARIVLEFNNAKRVLCVASGKGGILFTGGEDRNITAWDTKSGKVAYSIDDAHSARVKGIVVLSDNDEEYPYLVASASSDGNIRVWDLRMGGKEKSNPLAVANTKSRLTCLAGSSIKSIQRPQMGNSASNEQEDGAAE >itb02g08340.t1 pep chromosome:ASM357664v1:2:5248717:5253995:-1 gene:itb02g08340 transcript:itb02g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANFRTHVLTRHLNQENPCFDDDILLQSSPCIGYTPPELSEPAASFDVAEMRKLMDGHNLQERDWLYGLMIQSRLFNPRSRGGKVFVWPDFNQSMEQQREITMRRVAYLLDCGVFRGWLTEKGPEAELRKLALLDIVTVFDHSLSIKLGVHFFLWGGAIQFFGTKRHHDKWLRDSENYLVKGCFAMTELGHGSNVRGIETVTTYDSSTQEFVINTPCESAQKYWIGGAANHATHTVVFSQLNIDGKNQGVHAFIAQIRDGDGNICPNVRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLTAIKDPDQRFGAFMAPLTSGRVTISSAAIYSAKVALAIAIRYSLTRRAFSITPNGPEVLLLDYPSHQKRLLPLLAKTYAMSFAANSLKMMYVKRTPEANKTLHVVSSAFKATLTWHNMRTLQECREACGGQGLKTENRIGQLKGEYDVQGTFEGDNNVLMQQASKALLAEYMAVKRTKKPFKSLGLEHMNEPSPVIPSQLSSDALRSIRFQNDILCLRERDLLNRFAAEVSVRLSQGESKEYAFAMSYQLAEDLGRAFADRAIFRTFVEAEASVNSIPIKNALGLVRSMYALFTVDEDAAFLRYGYLSTDNAATIRKEVAKLCSELRPHALALVNSFGIPDAFLSPIAFNWIDANAWSSI >itb09g31240.t1 pep chromosome:ASM357664v1:9:31787828:31790521:1 gene:itb09g31240 transcript:itb09g31240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb05g09370.t1 pep chromosome:ASM357664v1:5:13667985:13672296:-1 gene:itb05g09370 transcript:itb05g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSIQIFTLFVFTFPLYGDSQPSSIISETSILVDLKKHFSNPPNISHWTSSSDHCNWPEITCRNGVVTGIQLGWLMINDTIPPFICDLKNLTLLDLNHNLIPGPFPKFLYNCSNLENLDLSFNSFSGIIPNDINKLSPRLEVLNLSANWFVGGIPAGIGGLKGLKELQLAGVVSNGSFPSEIGNLLNLEVLVLSQNSFSPQEIPPSFTQLKKLRHLWMKEANLIGKIPGNISSLEALEFLDLNTNDLSGNIPSDLFLLKNLTTIFLYKNRLSGPIPRPVMALNLEAIDFSNNSLTGSIPEDFGKMTKLEGLTLFMNQLSGQIPVGIGRLPALSIVSLFMNNLSGELPPDFGRFSKLQLFDVSTNHLTGSLPDGLCDNKVLYGIYAFNNNLTGELPKSFEDCNTLKGVRVERNNLSGTIPDGLWTARDLTRLVINNNQFTGQLPQKVASNLSLVDISNNRFSGEIPPAISSWSKLDTFRASNNLLTGKIPQELTALRSLSVLMLDGNMLSGNFPSNIISWKSLSTLICSRNQLSGTIPPSLGILPNLNQLDLSENQFSGEIPPEIGRLKPTSLNLSSNHLSGKIPDQFEVAAFQKSFLNNPGLCATTPSLGLRDCGAKTEKSNKISAKLIAILGSIAAFLFLVAVLYMVYVFRSYKKRKQALLVQDWKLTPFHTLSFTESNIIPNLAEKNVVGIGGSGKVYVVPLSIGEKVAVKRIWNNHKLDEMLEKEFQAEVGILGTIRHSNIVKLWCCISSEESNLLVYEYMENRSLDLWLHAKRRSPEQFLDWRTRLHIAIGAAQGLSYMHHNCSPPIVHRDVKSSNVLLDSMFNAKIADFGLARILKKHGDPNTVSTVAGSFGYIAPEYAHTSKLNEKIDVYSFGVILLELVTGREPNDGDMDWCLADWARYHVQEGRPIVDALDEEIKEVENIDEMCGVFKLGIFCTGANPAKRPTMREVLQILLHCSPLTGNGKEISVSERDVSPLLKRSSSEGALEDEDRLKPFVVVEK >itb11g08760.t1 pep chromosome:ASM357664v1:11:5739332:5740310:1 gene:itb11g08760 transcript:itb11g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPYVDDFYFSALYDEDEIFPISDEKYAEELQLQEALMSCAAKTQTPGRQSEAGESSRAFCEICMDTKAGNEMFRSDSCRHSYCSECIGRHVAAKIQENVSAVKCPDVSCKVIIEPHHCRSIIPAEVLERWESAVCESLILASQKFYCPYKDCSSMMVDDGSETVTVSECPSCRRLFCAQCKVTWHAGIDCREFQLLNVDERGREDVMLMELAMDKKWRRCPRCRFYVEKNEGCLHISCRCGQEFCYKCGAKYSANHGCPLA >itb13g18490.t1 pep chromosome:ASM357664v1:13:25438981:25445708:1 gene:itb13g18490 transcript:itb13g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEESNHPIGASFLGIISALALHFRHRQSKRSKDEHLHNFLAPLLERSDSGRAGRVERFSIYVVRQMGFKEGEECHQLRELAQEYLKRSKGCKERIFEYFGDDPNAIRLGEKLVEELDILIYSYFAFHWSKVPEMICQVLSVDVDQKKFKDAVMAATRKLRFEKITKDLKVTRMFSTLVEEMNIIGPVSNSDDSSKHTDVMVPVAHSERSPVLLLMGGGMGAGKSTVLKEILKDSFWSGAAKNAVVVEADAFKETDVIYRALSSRGHHQDMLQIAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWKPFVEQTIAMARDVHQHRYRMGEGYKIAEDGTVIERYWEQVEEEGESPKVKRPYRVELVGVVCDAYLAVVRGIRRAIATGRAVRVKSQLKSHKRFATAFKSYSELVDNAKLYSTNDVDGPAKLIAWKDGDNKLLVDQDEIRWLDLVKSLNDEAESIYEVYSNPDAISKPGSIWFDKIMSPTRSGVQDELRNIIRQIENPVQNVNPSI >itb13g11960.t3 pep chromosome:ASM357664v1:13:17712515:17718583:1 gene:itb13g11960 transcript:itb13g11960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPRTRKSSTPVTPVKPSKTRTSPFPPAYSPSTSTAPKSHSSSLEPSPGFFPTSRAEFLRLVAVISIAASIAFTCNYIVDVINQQPKPFCNSNSEFDDSLSDSCEPCPSNGVCSEGKLECALGYRKLGDLCIEDSKVNEAAKELYKYVEAHVCEEYAQHFCGGTGVIWVQEDGIWNKFDENKLMEYYGLSSITYGHAKHRAIEAIGKTLERRIGQHGIKELKCPELLAENHIPLSCRVQQWIVEHALVLLSASALVRRRHYLSVRAEELYNKVCNVLEEKALIARDSNSEGEAWVIASLLRDYLLSPKERKDLLLWKKVEELVREDSRLELYPKVVKGEPKVVWEWQVEDFLSSSGKKKARGENTPLKGEHISFQPKHWASKPAAEVHS >itb13g11960.t4 pep chromosome:ASM357664v1:13:17712515:17718583:1 gene:itb13g11960 transcript:itb13g11960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPRTRKSSTPVTPVKPSKTRTSPFPPAYSPSTSTAPKSHSSSLEPSPGFFPTSRAEFLRLVAVISIAASIAFTCNYIVDVINQQPKPFCNSNSEFDDSLSDSCEPCPSNGVCSEGKLECALGYRKLGDLCIEDSKVNEAAKELYKYVEAHVCEEYAQHFCGGTGVIWVQEDGIWNKFDENKLMEYYGLSSITYGHAKHRAIEAIGKTLERRIGQHGIKELKCPELLAENHIPLSCRVQQWIVEHALVLLSASALLVGCVIILLKVRRRHYLSVRAEELYNKVCNVLEEKALIARDSNSEGEAWVIASLLRDYLLSPKERKDLLLWKKVEELVREDSRLELYPKVVKGEPKVVWEWQVEDFLSSSGKKKARGENTPLKGEHISFQPKHWASKPAAEVHS >itb13g11960.t1 pep chromosome:ASM357664v1:13:17712517:17720578:1 gene:itb13g11960 transcript:itb13g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPRTRKSSTPVTPVKPSKTRTSPFPPAYSPSTSTAPKSHSSSLEPSPGFFPTSRAEFLRLVAVISIAASIAFTCNYIVDVINQQPKPFCNSNSEFDDSLSDSCEPCPSNGVCSEGKLECALGYRKLGDLCIEDSKVNEAAKELYKYVEAHVCEEYAQHFCGGTGVIWVQEDGIWNKFDENKLMEYYGLSSITYGHAKHRAIEAIGKTLERRIGQHGIKELKCPELLAENHIPLSCRVQQWIVEHALVLLSASALVRRRHYLSVRAEELYNKVCNVLEEKALIARDSNSEGEAWVIASLLRDYLLSPKERKDLLLWKKVEELVREDSRLELYPKVVKGEPKVVWEWQVEDFLSSSGKKKARGENTPLKGEHISFQPKHWASKPAAEVHS >itb13g11960.t2 pep chromosome:ASM357664v1:13:17712517:17720578:1 gene:itb13g11960 transcript:itb13g11960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPRTRKSSTPVTPVKPSKTRTSPFPPAYSPSTSTAPKSHSSSLEPSPGFFPTSRAEFLRLVAVISIAASIAFTCNYIVDVINQQPKPFCNSNSEFDDSLSDSCEPCPSNGVCSEGKLECALGYRKLGDLCIEDSKVNEAAKELYKYVEAHVCEEYAQHFCGGTGVIWVQEDGIWNKFDENKLMEYYGLSSITYGHAKHRAIEAIGKTLERRIGQHGIKELKCPELLAENHIPLSCRVQQWIVEHALVLLSASALLVGCVIILLKVRRRHYLSVRAEELYNKVCNVLEEKALIARDSNSEGEAWVIASLLRDYLLSPKERKDLLLWKKVEELVREDSRLELYPKVVKGEPKVVWEWQVEDFLSSSGKKKARGENTPLKGEHISFQPKHWASKPAAEVHS >itb01g35960.t1 pep chromosome:ASM357664v1:1:37874869:37875411:-1 gene:itb01g35960 transcript:itb01g35960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLYYKFIPSQAQQEACMARNLPWQVRRRVVEIRDVNDGTDAAAAAGARGIRRVLSREEVVMGGIVVPFAEAFKHVFQHWTLGMIKRVAVKGENVDVVIWDVTNRFRPKRYSNGVHIQVLANQDYGVWCMDLFSELGLGVYDLIWLAWDPVRSAFLLRLIHKALPPTSAAAYPTNQIN >itb08g07490.t1 pep chromosome:ASM357664v1:8:6416357:6421839:1 gene:itb08g07490 transcript:itb08g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MSPSMRDVQMTATNGDSSEDFGDCEEVRLLDSYDEENSGENLRRIQVRVTGMTCAACSTSVEGALMSLNGVVKASVALLQNKADVVFDPHVVKDKDIENAIEDAGFEAEVLAEPNASHANTNGTVSGQFMIGGMTCAACVNSVEGILRGLPGVKRAVVALATSVGEVEYDPTVISKNDIVNAIEDAGFEGSLVQSSAQDKIILGVVGISGEMDMKLLEGILSKLHGVRHFFYNPTSRELEVVFDPEVLGSRSVVDGINGGSGGKFTLHVKNPYTRMASRDIEESSSMLRLFTASLLLSVPVLLMRVVCPHIPLIYAILLRRCGPFKMDDWLKWALVTVVQFVIGKRFYVAAGRALRNGSTNMDVLVVLGTTASYVYSVCALLYGAIEGYWPPTYFETSAMLITFVLLGKYLETMAKGKTSDAIKKLVELTPATAILLAKDKGGKIVEEREIDALLIQPGDILKVLPGTKVPVDGAVVWGSSYVNESMVTGESVPVSKEISSSVIGGTINLHGVLHIQATKVGSSTVLSQIISLVETAQMSKAPIQKFADYIASIFVPAVVTLSLLTFLGWYLAGAVGAYPKEWLPEHGNYFVFALMFAISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKIQHVIFDKTGTLTQGKATITTAKVFTGMDKGEFLTLVASAEASSEHPLGQAILEYARHFHFFDEPSNTNDTQSHGAKSKFSGWLHDVSDFSALPGRGVQCFIGGKMVLVGNRKLLTENGISISKDVENFVVELEESAKTGILVAYDNVVIGVMGIADPLKREAAVVVEGLMKMGVNPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVVRSFQKGGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAAEYILMRSNLEDVITAIDLSRKTIGRIRLNYVFAMAYNVVAIPIAAGAFFPLVKVEMPPWVAGACMAMSSVSVVCSSLLLKRYKKPRLTTILEITVE >itb04g11250.t1 pep chromosome:ASM357664v1:4:10816982:10818013:-1 gene:itb04g11250 transcript:itb04g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGTTNVTTVEASDSGSSTEQHRRQKRQRPDPTTAVVIGSSRFKGVVGQQSGNWGAQIYANHQRIWLGTFKTEEDAAAAYDSAAIRLRCGDAHRNFPWTAITLQEPSFQTQFSTEQILKMIKDGSYVPKFSDHCKTLSLTGQPVRLSTRTGDEPGSVLKELFKKELTPSDVGKLNRLVIPKKHALKFFPRTLDTAAEDDGADDVELVFYDKAMRSWKFRYCYWKSSQSFVFTRGWNRFVKDKGIKARDVVIFSAYEYKDNNNGPGSETRSICVLDVEYTDRRDEDGNDNNNGNNGVEEKTEEDSVEKGDEGIEEGREGVEMEMGNKDVKPAGFMLFGVQII >itb11g20200.t1 pep chromosome:ASM357664v1:11:21175913:21177112:-1 gene:itb11g20200 transcript:itb11g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYQNEMLPSPVPEIQRTNLGNVVLLLKSLKISNLLDFDFMDPPPQENILNSMYQLWVLGALNNVGDLTDLGWKMVEFPLDPPLAKMLLMGEQLECLNEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGPDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPTSALYGLGYTPDHVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSIKESDTSMLEHKKKQKEEKTAMEEEMENLRKVQAEIDRRNKEKEREKRERQQQQVSMPGFKKPKPSTYLRPKKLGL >itb05g17110.t1 pep chromosome:ASM357664v1:5:24158682:24159959:-1 gene:itb05g17110 transcript:itb05g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLSLSKSNVSLSLSLSPTTNSNGAICDVLKSENNGIRLIKLLLTCASHVSSGDLHQADVCLRQISQFNSASNDSMHRLATWFASALAVRLVKRWPGVYKALNCSSLMKFDLDRARSIFTKALPYLGFAYAVINRTLVQAMLGERVVHVVDLGSSDQQLWVPFMRILATSPDGPPHLRVTCVSSNKTALDKLGACLKKEAEQLDMPFQFNPVTVHLRDLNFNSIVKVRSGEALAFISVLNLHVLLAEDDRIDAQFGLNKDSKNINHCKHADEFLAKLCSLSPKLVMLVEQESNHNLQKLVDRFVEGLRYYSAMFDSINVAFKGDLCEERLLVEEMIGKEIENIVACDGLEREERHEKFANWMVRLGRVKFRPVRLWGDTMDDAIRFVESYGEDGYKISSQRGSLMIGWHDRPIYSVSGWTCFN >itb04g05860.t1 pep chromosome:ASM357664v1:4:3782776:3783516:-1 gene:itb04g05860 transcript:itb04g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLASKPSSNLKKPSQTFAGNDPKASASAAKEYVTEFDASKAPAAADSVANYVIPPKANEWEPRKRMKNIDLPPIRSSDDQPLQFEVDTGSSVEPSSDSVSYRLNIRQSTGKTADDPYTYKSENPNPNPNPNPSPNVDPMLHRLKEDLMRLPDDNGMDEFTDMPVEGFGAALLKGYGWSEGRGIGRNTKEDVKVREYKRWSAKEGIGFTAELPKDTKVDGGEKRDKKMNANGKEERGEKEGK >itb10g01260.t1 pep chromosome:ASM357664v1:10:921308:923391:-1 gene:itb10g01260 transcript:itb10g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGTDCSHPFIRLEGSYNKSSVSHAKDEFEEESDEWKQPSVKPPRHFSVEQHSISSETLLVSADLDFGVDIKEIECASEGKPSFLPVFRSGSCAEMGPKQYMEDEHIRIDNLAEYLGESASFGSPGAFYGVFDGHGGTDAASFVRNNILKFIIEDSCFPICLEKAIKNAFLKADYAFVDDSSVDTSSGTTALTALLCERKLVIANAGDCRAVLGKRGRAIELSKDHKPDSKSERHRIEKLGGVIYNGYLNGQLSVARALGDWHMKAPKGSACPLSAEPELQEIRLY >itb08g04690.t1 pep chromosome:ASM357664v1:8:3837579:3838199:1 gene:itb08g04690 transcript:itb08g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVRSENGDLCVKVEKISSEHAVKVEKVRSDVVEPCDRFEKVSIEHAVQGEKVRSDVAELCDKVEKMSSEHSVKVEKVPWSEVVELWDRVEKMSSEHAVEVEKVWSAVAELRERVEKVSSEHAEIHVGVEKVISENAEMCAMEKNKSKDVKQSFTVAKFLEENKLMEEKDREMMKSLKDFYEKAMKGRTNRVSVQKSRKEDKNA >itb08g16200.t1 pep chromosome:ASM357664v1:8:18345371:18346096:-1 gene:itb08g16200 transcript:itb08g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFFFQCHKHMLQKSYRLGKPWRPLHNPPLSSSSQSDAHLSFEFCWQTTHKYFNVLDQIPTSPHPIKTSKGCEGDVSIPVDRLEFLNNDSYARKLVDSLVSNSNSKVRDFEADWIVSMARIFLQVNPHRGTMLIELQVVRNHFCFESRLVRNVMERSFEEMNVRPASKSSLELLKSVDFDEALIDEDHNCVVCQDGLFLCKEKEVVDMPCSHMFHGECILQWLQHSHYCPLCRFQMPTN >itb11g04120.t1 pep chromosome:ASM357664v1:11:2197173:2200211:1 gene:itb11g04120 transcript:itb11g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEGISSICSLAETPIPESNPPAKKKRNLPGNPDPEAEVIALSPKTLMATNRYLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTIFSRRDSFLTHRAFCDALAEETARVTAAAVASDMHHAAVLGNISYHFLGTPSLGPAGMPPPASHFSSIFKPPPSSDGGGNTPMRHGGLPPWMGQAQNSPPVTYTDWDNLIPNKVSENADEILNNTKEMSVPSLFSSQLQPHQPPSTAADMSATALLQKAAQIGATATDPSFLGILGSKPNNNINLAQDDRGDPPNGTLNHPDLPLQYLIESEYKGLENERILRFCGQDNSGNSNNGSKLFGLYGTTLTSVASRLGSEVDDIPGLCHFQMYPSKRRHIQNEEGGGGGQTRDFLGVGIQSICHPSSLNGWL >itb03g12460.t3 pep chromosome:ASM357664v1:3:11718987:11722082:1 gene:itb03g12460 transcript:itb03g12460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >itb03g12460.t1 pep chromosome:ASM357664v1:3:11718969:11722082:1 gene:itb03g12460 transcript:itb03g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >itb03g12460.t4 pep chromosome:ASM357664v1:3:11718969:11721884:1 gene:itb03g12460 transcript:itb03g12460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >itb03g12460.t2 pep chromosome:ASM357664v1:3:11719074:11721881:1 gene:itb03g12460 transcript:itb03g12460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >itb03g12460.t5 pep chromosome:ASM357664v1:3:11718987:11722082:1 gene:itb03g12460 transcript:itb03g12460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >itb04g09780.t1 pep chromosome:ASM357664v1:4:9032402:9032899:-1 gene:itb04g09780 transcript:itb04g09780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLPSPLDNLDRLISSFASKGLNTRDMVALSGAHTLGQAQCFLFRDRIYDNRTDIDASFANTRRRNCPKDARNGNLAPLDLVTPNCFDNNYYKNLLQKKGLLQSDQVLFSGGATNSIVLEYAKSPQAFQADFASAMIKMSEIQPLTGQSGIIRKVCGALN >itb13g15640.t1 pep chromosome:ASM357664v1:13:22524351:22526551:-1 gene:itb13g15640 transcript:itb13g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNGCLCCTVRGDLVKMLLELVKTKRDRFDHIVIETTGLAKPGPVIETFCSDELVSTHVKLDGVVTLVDSKHAMQHLLEIKPRFVVNEAVDQVAYADRIIMNKIDLVSEDELEELTKKIKHINGMAQIKRAKFGVVDMDFVLGVGGYDLDRIDSEVQSEGSHCDHHHEDGHGQHKHDHVHDSAVTSVSIVSDGTLDLDEVDDWLERLVEEKGDDLYRMKGVLSVSGSEERYVFQGVHSVLDGCPGKTWGPDEKRVNKLVFIGRNLDETALRKGFKGCLV >itb07g17650.t1 pep chromosome:ASM357664v1:7:21944032:21950725:1 gene:itb07g17650 transcript:itb07g17650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MIRSTMEAGVVLSSHPHLFTMAHLKAQGKQPLHNLDFCRSSSTKPRVSLKATSECSRWHFSTSKVPFLSYRKKGLTVLSCDQYVNDHVNVKAASAESLNFELSMPMLNEEKTADTSHDFNEYKSLHQQRPKAFRNLFLNFVRVGSVIDDAAESFFKSEIRRRLFVTAVLILISRVGYFIPLPGFDRRLIPHDYLGFASGSIDEFGDNSQELKLSLFSLGISPQIGASILMQILCHILPSLVKLRKEGIGAQEKIKSYIWWIALGFSIFEALILAFYSLPYSIYAASQRAKHVMVTTLLLVCGALTMTWISDKISEYGFGQGSTLIICVGILTGYTDTLYKMLNELSGSAASWLPFILAVIGVFTLVTIWAVVVTEGCRKVELHYYGSRLASAARDNSPDTEVEHYIPFNINPAGMQPILATSYLFAFPTIVARIFGSRFWEHVSDVLNPGTSRGAGPWVYYTLYAFFVFLFNIFDIANMPKEIADYLNKISARIPNIKPGRATIEYLKKIQASTRFWGGVLLSILATTSTILDHYLRQLNNGFSVGFTSVLIIVGSIIELRRSYQAYNVMPSLSKALKRYGV >itb01g05910.t2 pep chromosome:ASM357664v1:1:4111565:4122103:1 gene:itb01g05910 transcript:itb01g05910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWKPGTERPRFVDDEDGGVLLYSSSSSSSAFGYGNIEKQRQRLPVHKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRMIACTQPRRLAVQAVASRVAEEMGVKLGEEVGYTIRFEDITNMDLTRIKFLTDGVLLREMMDDPLLSKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIIASATIEAKSMADFFITRRRRLSEQEENGPSREPAILSVEGRGFNVEVFYADNPVSDYVQSAVSTVLSIHDHEPMGDILVFLTGQDDIDTAVQMLTDEAHSKQKKGLIFVPLYSGLPRADQDIVFTPTPRGKRKVIISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLIVAPISKASARQRAGRAGRVRPGKCFRLYTEEYFLNEMSPQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEILYSLGVLDDDAKLTSPAGFQVAEIPLDPMISKMILASSESGCSEEIITIAAVLSIQSIWISLRGVQKEMDEAKLRFAAAEGDHVTFLNVYKGFIQSNKSSKWCQKNFINYHAMKKVMEVREQLRRIVLRLGLSLKSCEGDMQVVRKAITMGFFANACRLEAFSHNGMYKTVRGSQEVYIHPSSVLFRVNPKWVIYQSLVSTDRQYMRNVISIEPSWLREAAPHFYQPQHPNSIPH >itb01g05910.t1 pep chromosome:ASM357664v1:1:4111565:4122103:1 gene:itb01g05910 transcript:itb01g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWKPGTERPRFVDDEDGGVLLYSSSSSSSAFGYGNIEKQRQRLPVHKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRMIACTQPRRLAVQAVASRVAEEMGVKLGEEVGYTIRFEDITNMDLTRIKFLTDGVLLREMMDDPLLSKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIIASATIEAKSMADFFITRRRRLSEQEENGPSREPAILSVEGRGFNVEVFYADNPVSDYVQSAVSTVLSIHDHEPMGDILVFLTGQDDIDTAVQMLTDEAHSKQKKGLIFVPLYSGLPRADQDIVFTPTPRGKRKVIISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLIVAPISKASARQRAGRAGRVRPGKCFRLYTEEYFLNEMSPQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPEAMIRALEILYSLGVLDDDAKLTSPAGFQVAEIPLDPMISKMILASSESGCSEEIITIAAVLSIQSIWISLRGVQKEMDEAKLRFAAAEGDHVTFLNVYKGFIQSNKSSKWCQKNFINYHAMKKVMEVREQLRRIVLRLGLSLKSCEGDMQVVRKAITMGFFANACRLEAFSHNGMYKTVRGSQEVYIHPSSVLFRVNPKWVIYQSLVSTDRQYMRNVISIEPSWLREAAPHFYQPQHPNSIPH >itb04g12610.t1 pep chromosome:ASM357664v1:4:12348907:12351017:1 gene:itb04g12610 transcript:itb04g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSPYSFNIIVWFFLYLFLAFLSLMALLHPLMSCKSSMAAILLLIGLLHITGVQSIGVCYGRLGNNLPSVAETINLYEQNGIEGLRIYDASTEVFDALKGTNIGVIVDVPNDKLQDLANPDTANDWVQRNIVPYPDVNFKYVAVGNEVYPGKTGSDYALAALKNVHAALSAANKDGIKASTATYSFVLDHTYPPENGVFKDEAKNLMEPIVQFLAQNNLPLLANIYPYFGRDSAPLSFSLFTDTQLNPVGYQNMFDSMLDSMYAAVEKAGGSNVPIVVSESGWPSDGGPDASPENAATYYRNLIQHVKGNPGTPKKPGIAIETYLFAMFDENEKNGDATEQHFGLFSPDQSPKYQLSFN >itb04g26910.t1 pep chromosome:ASM357664v1:4:31089277:31093341:-1 gene:itb04g26910 transcript:itb04g26910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVAAAAEQAEQLKENGNHCFQKNKFSAAIDFYTEAITLCPNVPVYWTNRALCHRRRNDWLRLEEDCRRAIQLDHNSVKAHYMLGLALLQKKDYSEGVRELEKALDLGRGADPKSYMVEEIWQELGNAKYLEWEHESTSRSWEQQNLKESCEAAFKKKYLLDSSEAEGSSDEQINHLAEQLEALNLVFKKAAEDDTPTEVPDYLCCNITLEIFRDPVITPSGFTYERAVILNHLQKVGNFDPITREPLNTCQLIPNLAIKEAVQSYLAKHGWAYRTD >itb04g26910.t2 pep chromosome:ASM357664v1:4:31089277:31093341:-1 gene:itb04g26910 transcript:itb04g26910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVAAAAEQAEQLKENGNHCFQKNKFSAAIDFYTEAITLCPNVPVYWTNRALCHRRRNDWLRLEEDCRRAIQLDHNSVKALDLGRGADPKSYMVEEIWQELGNAKYLEWEHESTSRSWEQQNLKESCEAAFKKKYLLDSSEAEGSSDEQINHLAEQLEALNLVFKKAAEDDTPTEVPDYLCCNITLEIFRDPVITPSGFTYERAVILNHLQKVGNFDPITREPLNTCQLIPNLAIKEAVQSYLAKHGWAYRTD >itb10g04730.t1 pep chromosome:ASM357664v1:10:4568317:4570148:1 gene:itb10g04730 transcript:itb10g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGGPYMYSTNNYVGRQTWEYDVNAGTPEEREAVENARREFRKIREKGSHACGDLIMRMQMIKESGIDVLSIPPIRVGDEEEVKYEAVTTAVRKAVRLNCALQARDGHWPAQNDGHSTMIGSALSYVALRLLGEEADDGDGAIARGRKWILDHGGATQIPSWGKVYLSVCNIYNM >itb07g22410.t1 pep chromosome:ASM357664v1:7:26855235:26855979:-1 gene:itb07g22410 transcript:itb07g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSSTANPNPSQPIAMSPSKSVQTEANPEPHAPFREFSDPPPEKSDQESQEEIPKEGEEEEEEEEEGECGFCLFMKGGGCRDPFTEWEKCVEEGEKNKEDIVEKCFEVTSALKKCMEAHSDYYAPILQAEKAAEAEALKELEMEKEKGDESNSESGSTVTAEKAAEAEAEAPEELEMEKEKVDESNSESGSTATVGDSESSEKKES >itb03g09300.t1 pep chromosome:ASM357664v1:3:7129172:7132083:-1 gene:itb03g09300 transcript:itb03g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADGDNFSISKSELHDLLSKPSLSGIPLLVLGNKIDKPGALSKQALTDQMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >itb14g20240.t1 pep chromosome:ASM357664v1:14:22693954:22694971:1 gene:itb14g20240 transcript:itb14g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMDGITTEKQSIYISAFIKSWHPSRKSGPQLRLTPNYSIVSQHCRQIEMSRSFCNAQKRFLALTRKSATMTDAREETSIAVPYSVIDLSFLPLNPRH >itb02g17550.t1 pep chromosome:ASM357664v1:2:13618242:13620721:1 gene:itb02g17550 transcript:itb02g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSSVPATPVLKDELDIVIPTIRNLDFLEQWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGFMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNSRYVDAIMTIPKGTLFPMCGMNLGFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVTLPKDCTTVQKCYLELAKQVKAKLAKVDDYFNKLADAMVTWIEAWDELNHTGAPAAAKVANGSSK >itb03g14960.t1 pep chromosome:ASM357664v1:3:14462603:14464898:1 gene:itb03g14960 transcript:itb03g14960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKDSKTHNALQVSTSQRGLISEEDPEKQVQGSVLALPKRLQFLKLGSLATLASPPAKFQQIAKENDGVSRIRGRLNRLFSRKFDWKSVGRICREWIRNPLNMALLIWIVCVAVSGAILFLVMTGMLNHALPKKSQRDVWFEVNNQIINALFTLMCLYQHPRRLYHFVLLFRWRPDDISWLRKVYCKNGTHKPNEWAHMMVVVLLLNLNCFAQYVLCGLNLGYPRSERPAIGVGICLSVSIGAPGIAGVYSMISPLGKDHEAPGLDEEARVEHSIGGAIEARGKWSGGVFDFWDDISSAYLSLCCTFCVFGWNMERLGLGNMYVHIATFLLFCMAPFWIFGLAAVNLDNESVRAALGVTGILLCVFGLLYGGFWRIQMRKRYNLPPYSSCCGKPSVADCALWLFCCWCSLAQEVRTGNSYEERVDQKQPNISPLPREDGLFRSNPMAPPAPVIVTREDV >itb03g14960.t3 pep chromosome:ASM357664v1:3:14462721:14464898:1 gene:itb03g14960 transcript:itb03g14960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKDSKTHNALQVSTSQRGLISEEDPEKQVQGSVLALPKRLQFLKLGSLATLASPPAKFQQIAKENDGVSRIRGRLNRLFSRKFDWKSVGRICREWIRNPLNMALLIWIVCVAVSGAILFLVMTGMLNHALPKKSQRDVWFEVNNQIINALFTLMCLYQHPRRLYHFVLLFRWRPDDISWLRKVYCKNGTHKPNEWAHMMVVVLLLNLNCFAQYVLCGLNLGYPRSERPAIGVGICLSVSIGAPGIAGVYSMISPLGKDHEAPGLDEEARVEHSIGGAIEARGKWSGGVFDFWDDISSAYLSLCCTFCVFGWNMERLGLGNMYVHIATFLLFCMAPFWIFGLAAVNLDNESVRAALGVTGILLCVFGLLYGGFWRIQMRKRYNLPPYSSCCGKPSVADCALWLFCCWCSLAQEVRTGNSYEERVDQKQPNISPLPREDGLFRSNPMAPPAPVIVTREDV >itb03g14960.t2 pep chromosome:ASM357664v1:3:14462615:14464883:1 gene:itb03g14960 transcript:itb03g14960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKDSKTHNALQVSTSQRGLISEEDPEKQVQGSVLALPKRLQFLKLGSLATLASPPAKFQQIAKENDGVSRIRGRLNRLFSRKFDWKSVGRICREWIRNPLNMALLIWIVCVAVSGAILFLVMTGMLNHALPKKSQRDVWFEVNNQIINALFTLMCLYQHPRRLYHFVLLFRWRPDDISWLRKVYCKNGTHKPNEWAHMMVVVLLLNLNCFAQYVLCGLNLGYPRSERPAIGVGICLSVSIGAPGIAGVYSMISPLGKDHEAPGLDEEARVEHSIGGAIEARGKWSGGVFDFWDDISSAYLSLCCTFCVFGWNMERLGLGNMYVHIATFLLFCMAPFWIFGLAAVNLDNESVRAALGVTGILLCVFGLLYGGFWRIQMRKRYNLPPYSSCCGKPSVADCALWLFCCWCSLAQEVRTGNSYEERVDQKQPNISPLPREDGLFRSNPMAPPAPVIVTREDV >itb11g09850.t1 pep chromosome:ASM357664v1:11:6694626:6696650:-1 gene:itb11g09850 transcript:itb11g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGGGGGRRDMGSLAVHILTGRWFIFFGCLLLMSAAGGTYIFGIFSEEIKTSLGYDQKTLNLVSFFKEIGANVGIIAGLINEVAPTWLVLLVGAAMNFSGYFFIWLAVTARIAHPKVRWICLSICAGANSQTFVHTGALVTLVNNFPESRGIVIGLLKGYVGLSGAIMTQLYHALYGNDYKSLILLIAWLPAAVSCVFMGTIRTTKVVRQSNEVKVFYNLLFVSLGLAVALMAVIILQNRIAFSRADYAAIVSVIVVLVFSPLVVVVKEEMKLWRSKNSHSLTARSNNVWYKNVFTPPERGEDYTIPQAVLSIDMLVLFVVTMTGAGGILTAMDNLGQIGKSLGYPDRYITTFVSLVSIWGYLGRVVSGFCSEIFLAKYKFPRPLMVTLVLLSCSGHLLIAFGVPNSIYAASILIGFCFGALWPLIFSIISELFGLKHYSTLVSFGGAASPVGSYIFNVRVAGVLYDNEGLKQMGRKAGEDLTCDGVECFKVGFIIIAAATFGGCLLSLILVARTRKFYRGDIYKKFREQARVEDNP >itb14g00150.t1 pep chromosome:ASM357664v1:14:110817:112207:-1 gene:itb14g00150 transcript:itb14g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKWASGVLKDQNSIFLTSLIRRTMFRNPTIEAIVIKATRHDEYSTNHRYVDRLYEWICLSPNHLTPIVCAITLRVEKTRSWEVALKGLMLMHRVMCFDIVAVNMIGRLPFNLSSFTDGHSNPEEAWCLNAFVRSYFAFLDHKSIILFENSREDDCYKTKSSGHQLSHFSVSHDLNMLRNLQGLLDILLQVKPHSEIAAVPLVVQTMDMIMVEIYNIYHQICITITRVLLRIYMVGKIDAKIALQIVQKATLQGEALALYYEFCIEHGLITNLDCPKVKQIPEEDIRELEDIIKGPSLSASSPTNDNLNKSIVAIKEQEKETTTKSKLKTVITNHWETFDDYQMVLTTTCNANNVHVNSHQDLPDLISFLNLL >itb12g12170.t1 pep chromosome:ASM357664v1:12:10679107:10682521:-1 gene:itb12g12170 transcript:itb12g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTLSLRHNQFLSGAAAAIHHPSATSRTPPSRVRMSLREDAPSVAIVGVTGAVGQEFLSVLSDRDFPYRSLKLLASKRSAGRSLTFEDKQYTVEELTDDSFEGVDIALFSAGGSISKKFGPVAVQKGTVVVDNSSAFRMDEGVPLVIPEVNPEAMAHIKLGSGKGALIANPNCSTIICLMAATPLHRRAKVKRMVVSTYQAASGAGAAAMEELVQQTREVLDGKEPTCNIFNQQYAFNLFSHNAPVQSNGYNEEEMKLVKETRKIWNDGEVKVTATCIRVPVMRAHAESVNLQFENPLDEETAREILSRAPGVVVVDDRAANRFPTPLEVSNKDDVAVGRIRRDVSQDGDYGLDIFVCGDQIRKGAALNAVQIAEKLL >itb01g26830.t1 pep chromosome:ASM357664v1:1:31890524:31901623:1 gene:itb01g26830 transcript:itb01g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRIMGKDASEPEAMASKEVLLKVLLDELVDPHLPVRIPSGTPPIDKQRSVAKQLHCIVLLYNYYHRKQYPQVEYLDFKSFCELVVTLKPVLAWHMNCKSQSDSVELNDSENQFSITEKAIQDACNLSSMLDASKANPIMEGWEISKVSVLLLDSKKENCFLRFGSVNDGVWSVLEKDLEDSTSDSKLEERKHMNKRRRIGMKSLQSEPKTNDSSLQRLAFLAVQDATGIKRSELVVLEKHVVYSLSKAKTTACFYIIQCSQSTSQDFQIPIKDVIKSLQGPLVENSSGCWFTTPVVEYFHLLPYATILSDWILRDTSPSSLHSSISRSPKDAETTSVFELSGNEIASSKMGDTSIKTLGCLENHCDNIVSEINENSDRSTNSAKSSGNEVGNEIASLRMGDTSMKTFFWVSEINENSDRSTNSAKSSGKEVGNEIASLDMGDTSIKMLDCLDNHCNNMVSETNENSDRSANAAKSCGKEVGASASSQRIGKEKTVDERESFNNNHCISNFISKDTSSLNQEVQEAFKYKSIDGDCESISECAEFRVEEAEAIKDIVKEVANFNNSNKSSDGTSFTGNELDNASMESQELEKIYHGQTKDTADFGANEAELACKVIFSASEGKSSTQTVPGRLYKNEEILCTKHRHIQDKKASCDKSIQGILDGRKDNEGLEGKVIKQLCDEICFQRTHTQEVQKLGSVALSLRPASQDLDVICRANNWMLPTYIISGTHGGFRIKVFMQDGSFKCSCEGDKHSDSSEAKESAAAKMVSRLRTMADQILRSLS >itb09g05490.t1 pep chromosome:ASM357664v1:9:3133048:3136929:-1 gene:itb09g05490 transcript:itb09g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLCVAVNYRNVFPTGRCFTVSDSRSPYVRRRHRLRSIVSSSYSRPKSSKRRNMYYLVSSGRRVISQIAVANYFKCFSTLYSLSSLDSSQFGGSFGEENVGLRENSDLAILLARISPGSSEDEVFQSLLSDPACDAMQLNHSLVGRLLHCFKDDWKLALGAFRWAESRPGYKPLPAFYDKLVDILGKTKKMDKMCALVEEMRENHIVTLSTIGKVMRRFAGAGDWKGAVRIFDELGDYGFEKNTESMNLLLDTLCKENRVQQAREIFLELKSHIPPNANTFNIFIHGWCKANSVDEAHWTIQEMRGHGFRPCVISYSTIIQSYCNQSDFNKVYELLDEMQAQGCQPNVVTFTTIMCSLAKFEKFEEALQISDRMKMVGCKPDTVFYNALIHTLGRAHQLKEAEYVFKVGMQINGVKPNTSSYNSMIAMFCHHRQEQTALEYLREMEISPYCKPDVQSYSPLLKLCFRDGKTDNLLPKLLDSMVNKHHLSLDLATYSLLIHGLCRSNKCEWAYKILEEMIAKEITPRYKTYSLLLEEIKQKNMFDAANRIEELMKKMKSS >itb09g05490.t3 pep chromosome:ASM357664v1:9:3134504:3136929:-1 gene:itb09g05490 transcript:itb09g05490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLCVAVNYRNVFPTGRCFTVSDSRSPYVRRRHRLRSIVSSSYSRPKSSKRRNMYYLVSSGRRVISQIAVANYFKCFSTLYSLSSLDSSQFGGSFGEENVGLRENSDLAILLARISPGSSEDEVFQSLLSDPACDAMQLNHSLVGRLLHCFKDDWKLALGAFRWAESRPGYKPLPAFYDKLVDILGKTKKMDKMCALVEEMRENHIVTLSTIGKVMRRFAGAGDWKGAVRIFDELGDYGFEKNTESMNLLLDTLCKENRVQQAREIFLELKSHIPPNANTFNIFIHGWCKANSVDEAHWTIQEMRGHGFRPCVISYSTIIQSYCNQSDFNKVYELLDEMQAQGCQPNVVTFTTIMCSLAKFEKFEEALQISDRMKMVGCKPDTVFYNALIHTLGRAHQLKEAEYVFKVGMQINGVKPNTSSYNSMIAMFCHHRQEQTALEYLREMEISPYCKPDVQSYSPLLKLCFRDGKTDNLLPKLLDSMVNKHHLSLDLATYSLLIHGLCRSNKCEWAYKILEEMIAKEITPRYKTYSLLLEEIKQKNMFDAANRIEELMKKMKSS >itb09g05490.t2 pep chromosome:ASM357664v1:9:3133038:3136929:-1 gene:itb09g05490 transcript:itb09g05490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLCVAVNYRNVFPTGRCFTVSDSRSPYVRRRHRLRSIVSSSYSRPKSSKRRNMYYLVSSGRRVISQIAVANYFKCFSTLYSLSSLDSSQFGGSFGEENVGLRENSDLAILLARISPGSSEDEVFQSLLSDPACDAMQLNHSLVGRLLHCFKDDWKLALGAFRWAESRPGYKPLPAFYDKLVDILGKTKKMDKMCALVEEMRENHIVTLSTIGKVMRRFAGAGDWKGAVRIFDELGDYGFEKNTESMNLLLDTLCKENRVQQAREIFLELKSHIPPNANTFNIFIHGWCKANSVDEAHWTIQEMRGHGFRPCVISYSTIIQSYCNQSDFNKVYELLDEMQAQGCQPNVVTFTTIMCSLAKFEKFEEALQISDRMKMVGCKPDTVFYNALIHTLGRAHQLKEAEYVFKVGMQINGVKPNTSSYNSMIAMFCHHRQEQTALEYLREMEISPYCKPDVQSYSPLLKLCFRDGKTDNLLPKLLDSMVNKHHLSLDLATYSLLIHGLCRSNKCEWAYKILEEMIAKEITPRYKTYSLLLEEIKQKNMFDAANRIEELMKKMKSS >itb14g05400.t1 pep chromosome:ASM357664v1:14:4719026:4724403:-1 gene:itb14g05400 transcript:itb14g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVSSTQAERFFTKLLEKEGDPQDPVAYDSGVTYMFIQHNNVYLMIASRQNCNAASLLLFLHRLVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQFTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRSTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLTTQVKPLIWVEAQVERHSRSRIEFMVKARSQFKERSTATNVEIELPVPVDATNPNVRTSMGSSTYAPEKDALVWKIKSFPGGKEYMLRAEFRLPSITSEETTPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >itb02g12150.t1 pep chromosome:ASM357664v1:2:8270338:8272789:1 gene:itb02g12150 transcript:itb02g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCRSQCVSNLRTCGESAANDSAIDGGAGGSGEIMLFGVRVKVDPMRKSVSLNNLSQYELPNDTNKNNESAKNSPPPPADEGYASADDAVLHQPSSGRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVAPMSTADVQAPQENSTLAAMSPPTPLPTSEPSRINPFSVSPIPVTVASVLQPVQVEEKKPIENLAIAQTDEVNNPTSCRFIRPVPIVSVTTNSNPSAPVELNLNQGMETEPSSLSLSLSLSVNQRPSSSSRHPMYPGMSSFNNGDTTITVSS >itb04g01720.t5 pep chromosome:ASM357664v1:4:988337:991733:-1 gene:itb04g01720 transcript:itb04g01720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSAAMEPRIDFLQLVERDVTVEIMLCLCDPADVVHAGAVSRIWRQCMIENGISKQLCLRKFPQLSSVARIVEQGCKMNESSVVGSSNSDFETLRRDHRVYASLLQALETLALSPSDCIDYAIGASSTDNYPLESIANTLYPRERYLNRASYWSSKGQRKPDVPETLIYRLKADFAVVTEIKIQPFEAYFQPGKPIYSAKSVRFRMGHPKSSKEIDCLDVPTDQPADEKFVWTYTSEEFPMSQVFSLHYLHFDCPVNFSLNCLVAYAEHKHYIVLIYLTANY >itb04g01720.t2 pep chromosome:ASM357664v1:4:988337:991736:-1 gene:itb04g01720 transcript:itb04g01720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSAAMEPRIDFLQLVERDVTVEIMLCLCDPADVVHAGAVSRIWRQCMIENGISKQLCLRKFPQLSSVARIVEQGCKMNESSVVGSSNSDFETLRRDHRVYASLLQALETLALSPSDCIDYAIGASSTDNYPLESIANTLYPRERYLNRASYWSSKGQRKPDVPETLIYRLKADFAVVTEIKIQPFEAYFQPGKPIYSAKSVRFRMGHPKSSKEIDCLDVPTDQPADEKFVWTYTSEEFPMSQENRMQTFKLPEPVLCIGGYLQIELLGRVQRQEMDDLFYICVCHVKVLGRPLSPAFEIEMLEQSGKFSMVYSPETLKPMLQSLGEGEDHEASSRLSEQEVRHIGFLGYLLGGYQPDGELLEWDDNEEIDAALAL >itb04g01720.t1 pep chromosome:ASM357664v1:4:988337:991736:-1 gene:itb04g01720 transcript:itb04g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIDFLQLVERDVTVEIMLCLCDPADVVHAGAVSRIWRQCMIENGISKQLCLRKFPQLSSVARIVEQGCKMNESSVVGSSNSDFETLRRDHRVYASLLQALETLALSPSDCIDYAIGASSTDNYPLESIANTLYPRERYLNRASYWSSKGQRKPDVPETLIYRLKADFAVVTEIKIQPFEAYFQPGKPIYSAKSVRFRMGHPKSSKEIDCLDVPTDQPADEKFVWTYTSEEFPMSQENRMQTFKLPEPVLCIGGYLQIELLGRVQRQEMDDLFYICVCHVKVLGRPLSPAFEIEMLEQSGKFSMVYSPETLKPMLQSLGEGEDHEASSRLSEQEVRHIGFLGYLLGGYQPDGELLEWDDNEEIDAALAL >itb04g01720.t3 pep chromosome:ASM357664v1:4:988337:991175:-1 gene:itb04g01720 transcript:itb04g01720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGCLSAAMEPRIDFLQLVERDVTVEIMLCLCDPADVVHAGAVSRIWRQCMIENGISKQLCLRKFPQLSSVARIVEQGCKMNESSVVGSSNSDFETLRRDHRVYASLLQALETLALSPSDCIDYAIGASSTDNYPLESIANTLYPRERYLNRASYWSSKGQRKPDVPETLIYRLKADFAVVTEIKIQPFEAYFQPGKPIYSAKSVRFRMGHPKSSKEIDCLDVPTDQPADEKFVWTYTSEEFPMSQENRMQTFKLPEPVLCIGGYLQIELLGRVQRQEMDDLFYICVCHVKVLGRPLSPAFEIEMLEQSGKFSMVYSPETLKPMLQSLGEGEDHEASSRLSEQEVRHIGFLGYLLGGYQPDGELLEWDDNEEIDAALAL >itb04g01720.t4 pep chromosome:ASM357664v1:4:988337:991725:-1 gene:itb04g01720 transcript:itb04g01720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSAAMEPRIDFLQLVERDVTVEIMLCLCDPADVVHAGAVSRIWRQCMIENGISKQLCLRKFPQLSSVARIVEQGCKMNESSVVGSSNSDFETLRRDHRVYASLLQALETLALSPSDCIDYAIGASSTDNYPLESIANTLYPRERYLNRASYWSSKGQRKPDVPETLIYRLKADFAVVTEIKIQPFEAYFQPGKPIYSAKSVRFRMGHPKSSKEIDCLDVPTDQPADEKFVWTYTSEEFPMSQENRMQTFKLPEPVLCIGGYLQIELLGRVQRQEMDDLFYIWLVFQLAFLRLDIGHVFLLGTAFQTIKVGSSLVLTL >itb01g02550.t1 pep chromosome:ASM357664v1:1:1668576:1671965:-1 gene:itb01g02550 transcript:itb01g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYM [Source:Projected from Arabidopsis thaliana (AT1G11400) UniProtKB/TrEMBL;Acc:A0A178W3L1] MASSTANGGGGEEEAKQLAAELTKTLKEGERLLAPTRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGALWKKEMAALQDVPPGYDPVMEAPKAKSKSAKRNERKKEKRLQAALEKGNDLEDNGVSSAEAQSHVSDQVESVASQINELAISSNPVNPPSNSTESSTTGDHLQEIDKKIRALKKKIRLTEAQQQKTDIKDMKPEQLEKVAKLEGWHNELKLLEDKKAELAALAT >itb04g03630.t1 pep chromosome:ASM357664v1:4:2225616:2230106:-1 gene:itb04g03630 transcript:itb04g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPGFLTDWPWKPLGSFKYVVLAPWVVHSVYSFVTSEESERDYTNFLIFPFILSRMVHNQLWISYSRHRTAKGKTRIVDKPIDFEQVDRESNWDDQILLSGLFFYVLNVTGLASRLPAWRADGVLMTVAIHAGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFGEILAYFALFMIPPLTTMATGTASNVGLFGYVTYIDVMDNLGHCNFEFFPNWLFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYVYGTVDKSSDTLYETSLKREEESPDVVHLTHLITPESVYYLRLGFSSWASVPQNQKWYVWFMWPMTTFINSISNQTFILERNILGKVKSQSWVIPKCKVQYLLKRHSRAINGLIEEAILDAEAKGVKVLSLGLLNQSEELNRNGEVYVQKYPKMKMRLVDGSSLAAAIVMNTIIPKGTTEVLLRANLNKVSISIASVLTQRGIKVVTSCEDEYEKLKLATNSKDNLVLSRSFTQKIWLVEEGLRKEEQKKATKGTMFIPMSQLPPNKLRRDCFYHPPPAMLAPPSLQNLHSCENWLPRKAMSAARVAGIVHALEGWNVHECGETMFDTDKVWEAAIRHGFRLMMLDIDWQRIKF >itb08g00420.t1 pep chromosome:ASM357664v1:8:345483:349424:1 gene:itb08g00420 transcript:itb08g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPMASAIGVSVPVLRFLLCFLATIPVSFLHRFVPGGSTGRHLYAALTGAVLSYLSFGFSSNLHFLVPMLLGYASMLLCRPFCGIITFFLAFGYLIGCHVYYMSGDAWKEGGIDSTGALMVITLKIISCVINYQDGLLKEDDLREAQKQNRLLKLPSLFEYIGYCLCCGSHFAGPVYEMKAYLEWTERKGIWKPAGKERPLPSPFVPTLRALLQAAFCMGLYLYLVPHFPLARFNDSLYQEWGFWKRLGYQYMSGFSARWKYYFIWSISEASIIISGLGFSGWTESSPPKPRWDRAKNVDILGVELAKSSVQLPLVWNIQVSTWLRHYVYERLIIKGKKPGFFQLLATQTVSAVWHGLYPGYMIFFVQSALMIAGSRAIYRWQQGTGNALLQKILALMNFAYTLLVLNYSCVGFLVLSLHETLAAYGSVYYVGTIVPVVLIMLGNIIKPAKPARSKARKEQ >itb08g00420.t2 pep chromosome:ASM357664v1:8:345483:349424:1 gene:itb08g00420 transcript:itb08g00420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAWKEGGIDSTGALMVITLKIISCVINYQDGLLKEDDLREAQKQNRLLKLPSLFEYIGYCLCCGSHFAGPVYEMKAYLEWTERKGIWKPAGKERPLPSPFVPTLRALLQAAFCMGLYLYLVPHFPLARFNDSLYQEWGFWKRLGYQYMSGFSARWKYYFIWSISEASIIISGLGFSGWTESSPPKPRWDRAKNVDILGVELAKSSVQLPLVWNIQVSTWLRHYVYERLIIKGKKPGFFQLLATQTVSAVWHGLYPGYMIFFVQSALMIAGSRAIYRWQQGTGNALLQKILALMNFAYTLLVLNYSCVGFLVLSLHETLAAYGSVYYVGTIVPVVLIMLGNIIKPAKPARSKARKEQ >itb02g25360.t1 pep chromosome:ASM357664v1:2:26136749:26137960:-1 gene:itb02g25360 transcript:itb02g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTLPSDPLSVIFSYLSPDCLARAKSVCKNWCACANSAAAAPPPEGRQTQPPWFIALPTRFQKLVCYAHNPLHNYWHTLPLDFISYPFRPVASPGGGLVLLRLASSTTLQLAICNPFTRQLRHLPMLNTARTNPAVGVIESPNSSYRIYVAGGMSEAASYVPTLEMYESGGDKWRVIGAMPVEYAVRLTVWTANESVHSNGVLYWITSARAYSIMGFEVETKKWRQLSVPMGDRLEFAALLVTRKGQLRVVGGGGGVWVWELGEDDKWSVIETVPLELGMRLLGGNGSWGNVKCVGGIDGGAVYLYRDVGEGMVVWRGCVENGGWQWCWVEGCSSVRENQLHNFPIKGLLLHPNLGLCA >itb10g16620.t1 pep chromosome:ASM357664v1:10:22900720:22901521:-1 gene:itb10g16620 transcript:itb10g16620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGFLENGPECLKLRAFYIHLSVSTARKALPQSLTLHFLPRIDGGALEINGSKIRPDAPGFVTLHRVVAADAAVTGAVYGSRERVKASEGVRFEIYAGDSRLVKGVFRRDWAAENWKLDCECVAAGEDDCLGIKAAEVCVAVEGYEAELITQKVEMRRRRCYQGLEEIPEGRETETESSEPCYCHCCDEGEKEMDGGDCEAAEEEAVAVGWAVDMGIWMVCLGVGYFVSRASYKSLRRRLIP >itb09g06520.t1 pep chromosome:ASM357664v1:9:3750982:3753147:1 gene:itb09g06520 transcript:itb09g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDDKDDKVWCLCKLMPFRRSSSSSSSVSAANWHNTCPRNQNSRSNSDGLSFPNVSAVARSFLPARRRLKLDPENTLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTSPKSCFMRPSGAILAPGKSIIATAMHEHPENSEKPTEQKRNLKYKILSLKVKGNVDYVPELFNEQKDQVAQEQILRVIFLDSERPCPALEKLKRQLDEADAALEACKKPAEAGHSLIGEGLVVDEWKERRGRYLALQQSEGVDSV >itb03g08790.t1 pep chromosome:ASM357664v1:3:6604236:6605529:-1 gene:itb03g08790 transcript:itb03g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKSINCSSGGGGDGDGEASKCKAKVKLMCSYGGKIQPRQRDNQLSYVGGDTKIVTVDRKIRFSEISSKLSSLCNCGEVCIKYQLPGEDLDALVTLIDDDDVEHMMVEYDRMHKGSAKPARLRLFLFNPNRPAKRDSEAPLNPDFLFGFDKDYQPSITPTSHDLLQLHIPGGMSVPENPGPETHKENGAVPGNSGSGNKLMLIQTHLPPHLHQGHVTSGVVYANGMKMVYGLPMMASGFHTAVGQFGVVAGGDKNQEQPVYNFFPAMPTVPVPEQKPALVSTGEIPNYSVNGDHPTA >itb06g03820.t1 pep chromosome:ASM357664v1:6:6297786:6301016:1 gene:itb06g03820 transcript:itb06g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRTPRTGGLSNSSYDLSFKILLIGDSGVGKSSLLVSFISNVVEELCPTIGIDFKIKTFTVGGKKLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTKRETFTNLYDVWAKEVELYSTNKNCVKILVGNKVDIESERAVSREEGLTLAKELGSLFVECSAITRENVEQCFEELALKIMEVPSLLQEGSGIGKRNVLKRKQLSHKRPFGCCSTA >itb07g18330.t1 pep chromosome:ASM357664v1:7:22765337:22769119:1 gene:itb07g18330 transcript:itb07g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCDVGFLTQVLERGGLGTGVLRPRFPNTGSGALRPRFPNTGAGALRSRFPNTGAGALRPRFPNTGAGALRPRFPNTGAGALRPSAGALQPRFPNTGAGALRPKLPNTGAEALRPRFPNTGAGALRPRFPNTGAGALRPSGPGFLVQVLERCGLGFFNSGPGFLIHVLERCSLGFLRQVLQRCGLGFFNSGQRPRFPNTGAGALRPRFPNTGAGALRPRFPNTGAGALRPWFLNTGTGVLRPRFPNTGSGALRPRFPNTGSGALRPRFPNTGAGALRSRFPNTGAGALRPRFPNTGAGVCWSVAARFPNTGAGALRPRFRNTGAGALRPRFPNTGAGALRPRFPNTGSPHQVQTPLNPVCHSACAIALLINLVHSVVMELQEMAVIALL >itb07g07380.t1 pep chromosome:ASM357664v1:7:5591305:5593842:-1 gene:itb07g07380 transcript:itb07g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLKTLYAADCHFKGSIPSGISNFSNMISLELAQNNLSGSLPGTLGHLLRLQGLFLHNNKIEGSIPNTLCYLKDLSEFYLRDNKLSGSIPSCFGNISSLRKIYLGSNLFTSTVPIGFWNNKDVLELDLSSNFLGDSLSPEIGSMHSMVKLYLSGNIFSGEIPNTIGQLQNLLILSLSSNRLHGPIPQSFDSLISLQELDLSNNSLSGVIPKSMEKLKDLVYLNLSFNDLSGKIPNGGGPFAKFSMESFMGNKELCGASRFHVMECKEGKGKPRNIAIFLKYVLPSLVSVVVVAILLVWLLTFWKRNKQREPRAEDSHDVALKRISYYEILRATEDFDESNLIGRGSFSSVFKGTFAAGLVVAIKRSKSERLRVFLILLLIVPV >itb07g12760.t1 pep chromosome:ASM357664v1:7:14769071:14772441:1 gene:itb07g12760 transcript:itb07g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTDSDDLQALATAQRRELMAAEAMESDFEFAFHLQLQEALNASLSLQPSTSTDLPAIPPDNKPSSSAAAAADGESLSYTAALSQELVKFQQELDDQKLSVTEFKKIRDDLHRRIHDQKFAEEIMRIPEDEWEDWGGDFERPFGEGSSKSVNSEVFRVYFKGLIEKCGPKHVLGGIGVAICDSSDQLLFELSKPLLGSELNRHCVEFKALIEGLNAALSLELKRVVFYCDYRPIHRFVTGHWSPKQRKVAALVNQVNALRGKFVVCNPSFVARNEIKFVFKSAREALDSQVKKQAESTASRAVRETCVICLEDVEAVEIFSVDGCMHRYCISCMKQHIEVKLLHGVLPVCPHDGCNSELRIDSCRKILTPKLINILNQRIKEASIPDGEKVYCPYPKCSELMSKSEAWEYSRGAVVRSILINGARTCTKCKSQFCINCKVPWHDKISCNEYKRMHPSPPEDVKLKSLAAKNLWRQCVKCNHMIELAAGCYHMTCRCGYEFCYTCGAEWKDKKQTCTCPLWDEDYIIDSDEDDDFEDDDYYDDMEDFGSESDYDEVDYW >itb07g12760.t2 pep chromosome:ASM357664v1:7:14769071:14772441:1 gene:itb07g12760 transcript:itb07g12760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTDSDDLQALATAQRRELMAAEAMESDFEFAFHLQLQEALNASLSLQPSTSTDLPAIPPDNKPSSSAAAAADGESLSYTAALSQELVKFQQELDDQKLSVTEFKKIRDDLHRRIHDQKFAEEIMRIPEDEWEDWGGDFERPFGEGSSKSVNSEVFRVYFKGLIEKCGPKHVLGGIGVAICDSSDQLLFELSKPLLGSELNRHCVEFKALIEGLNAALSLELKRVVFYCDYRPIHRFVTGHWSPKQRKVAALVNQVNALRGKFVVCNPSFVARNEIKFVFKSAREALDSQVKKQAESTASRAVRETCVICLEDVEAVEIFSVDGCMHRYCISCMKQHIEVKLLHGVLPVCPHDGCNSELRIDSCRKILTPKLINILNQRIKEASIPDGEKVYCPYPKCSELMSKSEAWEYSRGAVVRSILINGARTCTKCKSQFCINCKVPWHDKISCNEYKRMHPSPPEDVKLKSLAAKNLWRQCVKCNHMIELAAGCYHMTCR >itb01g24430.t6 pep chromosome:ASM357664v1:1:30073197:30076035:-1 gene:itb01g24430 transcript:itb01g24430.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRNRKKKRGQRASGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVADFADPNNTLASPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPQTSINDIEELKSECLRLRNRIERKATYLEELEEQYVGLQNLMKRNERLYGSGNSPSAGVAFPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDCYVLKAMKLCERPKRDDGGESSSTPIVLQPQIPHPPVPSISGIPPASPLLPGILKARIKHEHPSSST >itb01g24430.t3 pep chromosome:ASM357664v1:1:30073312:30077017:-1 gene:itb01g24430 transcript:itb01g24430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNQENGVKTPSKRGGGEATRSCGTTISGQSVSTSSSVGSPTSRSEAAVLTPASEITFAQLNNLDIHGDDARPQEAAGKKKRGQRASGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVADFADPNNTLASPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPQTSINDIEELKSECLRLRNRIERKATYLEELEEQYVGLQNLMKRNERLYGSGNSPSAGVAFPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDCYVLKAMKLCERPKRDDGGESSSTPIVLQPQIPHPPVPSISGIPPASPLLPGILKARIKHEHPSSST >itb01g24430.t2 pep chromosome:ASM357664v1:1:30073312:30077017:-1 gene:itb01g24430 transcript:itb01g24430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNQENGVKTPSKRGGGEATRSCGTTISGQSVSTSSSVGSPTSRSEAAVLTPASEITFAQLNNLDIHGDDARPQEAAGKKKRGQRASGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVADFADPNNTLASPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPQTSINDIEELKSECLRLRNRIERKATYLEELEEQYVGLQNLMKRNERLYGSGNSPSAGVAFPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDCYVLKAMKLCERPKRDDGGESSSTPIVLQPQIPHPPVPSISGIPPASPLLPGILKARIKHEHPSSST >itb01g24430.t1 pep chromosome:ASM357664v1:1:30073197:30077017:-1 gene:itb01g24430 transcript:itb01g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNQENGVKTPSKRGGGEATRSCGTTISGQSVSTSSSVGSPTSRSEAAVLTPASEITFAQLNNLDIHGDDARPQEAAGKKKRGQRASGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVADFADPNNTLASPDKQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPQTSINDIEELKSECLRLRNRIERKATYLEELEEQYVGLQNLMKRNERLYGSGNSPSAGVAFPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDCYVLKAMKLCERPKRDDGGESSSTPIVLQPQIPHPPVPSISGIPPASPLLPGILKARIKHEHPSSST >itb01g24430.t5 pep chromosome:ASM357664v1:1:30073197:30076035:-1 gene:itb01g24430 transcript:itb01g24430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGRNRKKKRGQRASGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVADFADPNNTLASPDKQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPQTSINDIEELKSECLRLRNRIERKATYLEELEEQYVGLQNLMKRNERLYGSGNSPSAGVAFPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDCYVLKAMKLCERPKRDDGGESSSTPIVLQPQIPHPPVPSISGIPPASPLLPGILKARIKHEHPSSST >itb01g24430.t4 pep chromosome:ASM357664v1:1:30073197:30076860:-1 gene:itb01g24430 transcript:itb01g24430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNQENGVKTPSKRGGGEATRSCGTTISGQSVSTSSSVGSPTSRSEAAVLTPASEITFAQLNNLDIHGDDARPQEAAGKKKRGQRASGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVADFADPNNTLASPDKQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPQTSINDIEELKSECLRLRNRIERKATYLEELEEQYVGLQNLMKRNERLYGSGNSPSAGVAFPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDCYVLKAMKLCERPKRDDGGESSSTPIVLQPQIPHPPVPSISGIPPASPLLPGILKARIKHEHPSSST >itb15g02810.t2 pep chromosome:ASM357664v1:15:1766040:1767583:-1 gene:itb15g02810 transcript:itb15g02810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSILAPNPHIPYRDFHYKDHPSWSSGKSLNLQRSNGHSFAHKRRGECKKVGKKEWRICASWPDLFRPSSVEMKPIEDCEQLDQILAEAKELSQPIIIDWMAAWCRKCIYLKPKLEKMAAEYDTKIKFYCVDVNKVPQTLVKRGNISKMPTIQVSPKSLFF >itb15g02810.t6 pep chromosome:ASM357664v1:15:1766040:1767583:-1 gene:itb15g02810 transcript:itb15g02810.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSILAPNPHIPYRDFHYKDHPSWSSGKSLNLQRSNGHSFAHKRRGECKKVGKKEWRICASWPDLFRPSSVEMKPIEDCEQLDQILAEAKELSQPIIIDWMAAWCRKCIYLKPKLEKMAAEYDTK >itb15g02810.t1 pep chromosome:ASM357664v1:15:1766040:1767583:-1 gene:itb15g02810 transcript:itb15g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSILAPNPHIPYRDFHYKDHPSWSSGKSLNLQRSNGHSFAHKRRGECKKVGKKEWRICASWPDLFRPSSVEMKPIEDCEQLDQILAEAKELSQPIIIDWMAAWCRKCIYLKPKLEKMAAEYDTKIKFYCVDVNKVPQTLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVIEEVREMIQKFI >itb15g02810.t4 pep chromosome:ASM357664v1:15:1766040:1767583:-1 gene:itb15g02810 transcript:itb15g02810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSILAPNPHIPYRDFHYKDHPSWSSGKSLNLQRSNGHSFAHKRRGECKKVGKKEWRICASWPDLFRPSSVEMKPIEDCEQLDQILAEAKELSQPIIIDWLVF >itb15g02810.t5 pep chromosome:ASM357664v1:15:1766040:1767583:-1 gene:itb15g02810 transcript:itb15g02810.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSILAPNPHIPYRDFHYKDHPSWSSGKSLNLQRSNGHSFAHKRRGECKKVGKKEWRICASWPDLFRPSSVEMKPIEDCEQLDQILAEAKELSQPIIIDWLVF >itb15g02810.t3 pep chromosome:ASM357664v1:15:1766040:1767583:-1 gene:itb15g02810 transcript:itb15g02810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSILAPNPHIPYRDFHYKDHPSWSSGKSLNLQRSNGHSFAHKRRGECKKVGKKEWRICASWPDLFRPSSVEMKPIEDCEQLDQILAEAKELSQPIIIDWMAAWCRKCIYLKPKLEKMAAEYDTKIKFYCVDVNKVPQTLVKRGNISVSYTFHWGKKCYDFS >itb02g11500.t1 pep chromosome:ASM357664v1:2:7681316:7683487:1 gene:itb02g11500 transcript:itb02g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNDSPMPIIGVYVAAASLACSFAMFRNSSIKLNLDLSGRFFALNATWLTLLAAATKLTGDLTSPMWSAKDNIVKITSTAFLTIAMGCFFTTLGSMSDTDVLATRTALTILVITVVADLCIQLGTGALDYSLFPEIIFAIVLLLCMFIIVFCSALAVPAIKKRAELNYQKIVSDDERMKGKQRTVEELRLSVTKFWVMAASGSPQFLMKRLVTYVSSTVVSLLSAIVIFVAIDRMRHAFEKWVSSHCKEESEYKWSVMLIILSQSAVTVPSMVSTTIFLISVMCDKYESNGIKISREEFTIESYWTERLVEWRQSSIALRFKKRTIRKLLHNIKWLILTLCILLQTLIVMWCKLCCALSFYSVLPLVFLVNHLRELFHKTEVSNHHQKSHEEVDLNCFVILLEGERQLPKRLLRTITNMMDMHIEMGKMQSPQNLFNLLNHSFSFSGVAEFDSNRVSTLLFSEPPNCWTLPVITLTTIAIALPNIASQHIDELVSSVDEGLRYTSLIDALDDKCGLKSIKNVANVVWVGVELHKEWLGVDLKGKFREVNSAKEIIQTLAHVAEQIVMEFSFIGDKTLVQNPLYWPANVLAANSMYRISRTILLFYENGECQVEELFKKLTCMIADILTACLINLPRVIITKCKCNAIEEREKSVRDAIILLEETEYILRHFEEHQLSSMGPRQPLCIDEWRQWWMERQVPTVLASAPSVESNEYVAVQMQA >itb11g09640.t1 pep chromosome:ASM357664v1:11:6539214:6541887:1 gene:itb11g09640 transcript:itb11g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEGLNARVQHLTVCCNGGEADADAEGRVWSEIRDEAKRDAESEPALASYLYSTIISHSSLSRSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRAATVADLCAARVRDPACISFAHCLLNYKGFLAIQAHRVAHKLWLQDRKPIALSLQSRIADVFSVDIHPAARIGKGILLDHATGVVIGETAIVGNNVSMLHHVTLGGTGKTSGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLGDVPPWTTAVGSPARLVGGKEQPKVHEDVPGESMDHTSFMSQWSDYII >itb07g17320.t1 pep chromosome:ASM357664v1:7:21483268:21488035:-1 gene:itb07g17320 transcript:itb07g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLALSRFPLTSAHSSGITSRHRTASKSTFSHFASSSAARFPIIKCAAISAPPSLVDHSEKFKEAAQHGNLIPLFRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGLDASAVGRYSVIGAQPTMEIVAKENMVTIMDHHQGKRTEEYAEDPMVIPRRIMENWNPQRLDELPEAFCGGWVGYFSYDTVRYVEKKKLPFSKAPLDDRNLPDLHIGLYDDIIVFDSVEKKAYVIHWVQLDRFSSVEAAYNDGMNRLEALMSRVHDIVPPRLAAGSINLHTGLFGSKLKNSTMSSKEYQKAVLKAKEHIIAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKITNRPLAGTVRRGKTPKEDHMQEKILLNDQKQCAEHIMLVDLGRNDVGKVSKFGSVNVEKLMNIERYSHVMHISSTVTGDILENLSSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTGMRHDTMYSYKDVDKRRDWVAHLQAGAGIVADSDPGDEQRECENKAAALARAIDLAESSFVEK >itb15g00540.t1 pep chromosome:ASM357664v1:15:308229:310127:1 gene:itb15g00540 transcript:itb15g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQSVSATLILASLLCFFLFLHAAKAQEVEDEREFEYDEDSDKGPSKWGDIKKEWAACKNGKLQSPIDLSHERVKIFQKVEKKSYTPANATVKNRGHDISIEWHGDAGSILINGTEYSLKAAHWHSPSEHTIHGRRYALELHMLHMNTDKQTGQNKTAVIAVLYKIGKPNAFLSKLMTNITSMIDQEDVERNLGFVDPNEIKFITKKYYRYVGSLTTPPCTEGVIWTVNKKIKTVSKRQVKLLREAVHDFAERNSRPLQQRNSRKIYLRLPAGSSK >itb06g09550.t1 pep chromosome:ASM357664v1:6:13827145:13829937:-1 gene:itb06g09550 transcript:itb06g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNPESLRMAVSTRSNGWICLLIFSFVISVQYVNSDPIPQPAVQSVDDIIPKTIIQNATEKGAVCLDGSPAAYHFAPGKGEDARNWMIYLQGGGWCMSNGTYSTHDLSVESCPNRATRDMGSSLHMKPLQLKGIFGDVQAATYFYTWTRVIVRYCDGGSFAGNVDKPDPVTKLYYRGARIFQVVVDELMTKGLKDAKNVIFAGGSAGGLGVLVHCDRFTSLFPKGVRVKCLSDSSLFLIVKDPQHAKFFKAIFSDVVALHQPTKALPAECISKMSPFECFQPKNLVQYVKSPLFIFQSEFDSFQVQNTFSMDLYKAIKENISYVSPSDMALLQDFKQQIVSALPQPSATKGYILTSMFGHSFASDSYKTKLTFGDGKSKSIESQFYAWYFDKDFVNFIDPSNVPYMK >itb10g06010.t1 pep chromosome:ASM357664v1:10:6554751:6581792:-1 gene:itb10g06010 transcript:itb10g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MDGSSPRYTEGAAEEPQSPELEKPTVGEDNVEKPQKQSSAEDVKTTKNVKQNSRMKNAGLGKKAESMNGAQSNSCGFKSSTLTKPTLSSASRSSTGVPVARRNSTGGLPDKQPTTVTKRSTTNVNSVVAKKPTSSAPDPVRRSLPEIRRSSLPSANGRSFTRSTISETRKSVPASPAATPKASSSSDTSKYDSAKKSSIKPSSPSTSMRSRESMSFESTGSSGSTRKVVPKLSSLAARSPSVNSGSRTGSLSTSLERSTAFSSHKKTGTTESWDSRLIMLPQVEIKAGDDVRLDLRGHRIRNLNSGGLNLSPTLEFVYLRDNLLSTLDGIEVLKRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLKSLPELPNLEFLSVAQNKLKSLSMACQPRLQVLAASKNKISTLKGFPHLPSLEHLRVEENPILKMSHLEAMSILLVGPTLKKFNDRDLSKEEIALAKRYPAHTALCIRGGWEFCRPDHAVDSTFQFLLEQWKEQLPPGYLLKEAFIDPPFEEDACYCHFNFSKDNTDDSDSEVVLKYQWYIGERTPSNFVAIPTATGEFYWPKHEDIGRILKVECTPILGETEYPTIFAISSPVSRGTGCPKVLRIEVRGDLVEGSIIKGYAEVAWCGGTPGRSVSNWLRKKWNSNPVVIVGAEGDEYQLNLDDIDSCLVFMYTPVTEEGAKGEPQYAITDYIKAAPPSVNDLQFIGDAIEGNIIKGVGKYFGGREGPSKFEWLREDKDTGEFVSVSTGTNEYTLMKEDVGRRLAFVYVPVNFEGQEGKSMSIVSQIIKKAPPKVTNLKIIGELKEGSKITVTGIVTGGTEGSSRVQWFKTCSSSFEGENDLESLSASKIAKAFRIPLGAVGYFLVAKFSPMTPDGDAGEPSYIISERKIETLPPSLNFLSLTGDCIEGGILTASYGYIGGHEGKSVYNWYLHEAENEPGAVISEASGLLQYCLTKDAIGKFVSFKCTPVRDDGTIGESKVCKGQEPVRPGTPRLLSLQIVGTAVEGVTLNVEKKYWGGEEGDSVYRWFRITSDSTRVEIYGATTSSYSLSIDDVGYFISVSCEPVRCDWARGPIVLSEQVGPIIPGPPTCHSLEFVGSLVEGERVGFIASYSGGEMGDCSCEWFRVKTGGVKDKISSSEFLQLTLDDVGECIELIYIPVRKDGLKGSPKSLLSGPVEPGDPMGVELTIPECCEGKEAIPEKRYFGGQEGIGEYIWFRANDKIHGSMLLDAVNSQVDAHICDRTLTYTPSLEDVGAYLALHWLPTRADGKSGKALVSICEFPVSAASPVVSNVHIKELSSSTYLGEGEYFGGYEGLSLYSWYRETNDGTITLIDGANSKTYEVTDEDYNSRLLFGYTPVRSDSVVGELKLSQPTDLILPEIPRIETLALSGKNIEGDVLTAVEVIPQSESQQHVWGKYKKDVRYKWFISSENGSENSFEPLPSQHSCSYKVRFEDIGHSIRCECIVTDVFGRSSEPAYAETASILPGIPRMDKLEIEGRGFHTNLFAFRGIYSGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVVIYTPVREDGVEGQPVSASTDPIAVEPDVLKEVKQKLEIGAVKFEVLCDKERSSKKLPGVGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGTYAPPFHVELFRSDQHRLRIVVDSENEVDLLVQTRHLRDIIALVIRGLAQRFNSTSLNSLLKIET >itb04g31440.t1 pep chromosome:ASM357664v1:4:34321463:34322929:1 gene:itb04g31440 transcript:itb04g31440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNSARKNDTKSEVIVVVVPFVAQGHLNLLVHLSRLIASYNLPVYFVGLSVDIAAVKRRIEGWSPSDYPTLRFHEFPAPPVYLSNTNPSTEFQSYLDVLASAMNAAAYLRRPIGDLLEELSAKCERLVVVNDALMINTVKDVAASVENIETYNFYVGSAFHDASLVWEVLRKALYFPSFLWKFVGKFVLPAGAVIPDRLPTPSSCFPAEFLKFIVDQRKNYHAFCKGSIYDSCRAIEGPHLDLLSMVYKLARKGPVWGIGPFNPVVTKQNTKRDDDSLSRNPCLEWLDKQPPKSVIFVSFGTQTILSDPQLYELAEGLEQSGQNFIWVVKDLTKAYKNTKIELPAGFEERVEGRGLILRDWVPQLEILDHVSTGGFLTHCGWNSCMESMCRGVPLATWPIQFDQPRNAILITEVLKTGIPIKDWERRDEIITSTTIRNAIRRLMASPEGEELRNKAAQVGKAVKESVMEGGISRLEMDSFIAQITR >itb02g01190.t1 pep chromosome:ASM357664v1:2:658373:661380:1 gene:itb02g01190 transcript:itb02g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGILEKSNSGNVVVELRKGKMRGGKEEEKKMDPIFPRLHINDAERGGPRAPPRNKMALSEQPAVSLPPQRLNPDLPSISMLPLPPANSPTSIPGPSSSHAGSTEKMFPPFCYPPGSSHWTGMSHPYSSGIHFAAGEPSFATPRNPPDVAPMASLNYQCFSVKGHLPSSECIPFQPCNLPYSSNSFSGVKLGNGGDFSVPAFHQIGKHLNCGNVQQNGEKEKDTFSSSNSYQKFRCSSEKETGGELKLGEREHPTDRVKENLDMNQTRKHHAAKEPSFVPSTREKSLINVDSSSVARTRSEFQDECRVLQENKANGDGEYEKSAKKRSASMMEDLSCSLRPPGDEKRRPNGIEFVNKCPDEQDHGSFQADRNEETSDASAVNSTSVVNLSPNDIVRMLGQKLFWKARRTILHQQRIFVLQIFELHRLIKVQRMVARSPEILFEDNVYTSKPAISKKNLLSNNAQEPRTLVVEPKADSERPKASMGGGADKTSEKTLPVCSDTHKRNSPQQANQSPYPGMPALTSPAPEAKSGPWCFQPPPGNQWLVPVRSPSEGLVYKPYSGPCPPPGGILAPLYGGCRPLNLSGVGGNYLNTTYGMVTSNQPGIGIFSTPPVGQAYFQPYPMPVMNPSGSTSAIDLLSPFARARSSPWEIVPSIRDNNNTPSARPYEKSCNISSQKSGIVSNGAQSLQTDRGSDLQGSTASSPSERDALSLFPTTPATDANQIPEQRIQVIKVVPHNPNTAPESAARIFKSIQEERKQH >itb09g30570.t2 pep chromosome:ASM357664v1:9:31194865:31198884:1 gene:itb09g30570 transcript:itb09g30570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGHQPPKGDKLSTKYRCNLLEGAFFELADSDRENYATISSENKKQNNKIAECKPPEIMTTRELISAVGNIWNSAAHPLSFILSSASSAHRNVDPQENNILYFPPMEDFCSAPPSADGKNTPVHLSRDKDSSLLVSKNPESLNANRNISFFESCNKRDYLWRLLRNGLDVQHKSSKGIGLSSRRISFNLEYVYGWMNEIAFSKSKSGSSCIQNHEFGDCSLGNISSSSTNGCAFRDATSCSGNLSTGNTDCHTDIKPTSSLSSHNLNLHKSSSASNSALCSKNGNELFHVLEPNAFQMSSSEVHGYSSEKHSTSEYCACDETKYENRSDSGLDDYGPHQQFGGIECNSESEIGVVAKEKPCHALAKQEHAFAGAMAGIFVSLCLHPMDTIKTVIQSCRADQKPLYYIGRSVISERGVLGLYRGISSNIASSAPISALYTFTYESVKGALLPFLHTEYQSVAHCVAGGCASVATSFIFTPSERVKQQMQVHSQYKNCWNALIGIIRRGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMSSSLQFDIQNKTLMTLVCGGLAGSTAALFTTPFDVVKTRLQTQVPGSNQYDGVLHTLKEIGKSEGLKGLYRGLTPRLVMYMTQGALFFASYESFKRLFSLDLHQSSAQRTHHEPCFGDDCTLSSVKE >itb09g30570.t1 pep chromosome:ASM357664v1:9:31193923:31198884:1 gene:itb09g30570 transcript:itb09g30570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGHQPPKGDKLSTKYRCNLLEGAFFELADSDRENYATISSENKKQNNKIAECKPPEIMTTRELISAVGNIWNSAAHPLSFILSSASSAHRNVDPQENNILYFPPMEDFCSAPPSADGKNTPVHLSRDKDSSLLVSKNPESLNANRNISFFESCNKRDYLWRLLRNGLDVQHKSSKGIGLSSRRISFNLEYVYGWMNEIAFSKSKSGSSCIQNHEFGDCSLGNISSSSTNGCAFRDATSCSGNLSTGNTDCHTDIKPTSSLSSHNLNLHKSSSASNSALCSKNGNELFHVLEPNAFQMSSSEVHGYSSEKHSTSEYCACDETKYENRSDSGLDDYGPHQQFGGIECNSESEIGVVAKEKPCHALAKQEHAFAGAMAGIFVSLCLHPMDTIKTVIQSCRADQKPLYYIGRSVISERGVLGLYRGISSNIASSAPISALYTFTYESVKGALLPFLHTEYQSVAHCVAGGCASVATSFIFTPSERVKQQMQVHSQYKNCWNALIGIIRRGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMSSSLQFDIQNKTLMTLVCGGLAGSTAALFTTPFDVVKTRLQTQVPGSNQYDGVLHTLKEIGKSEGLKGLYRGLTPRLVMYMTQGALFFASYESFKRLFSLDLHQSSAQRTHHEPCFGDDCTLSSVKE >itb09g25590.t2 pep chromosome:ASM357664v1:9:25687550:25690437:-1 gene:itb09g25590 transcript:itb09g25590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNINSNVVLSAIETILNSEKVVDKIATEEMLEEFDLKFRELSLKIQHLCFELTSKSSSIIDRHLTTICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSGQRYRKGLTKQLVIVTQRANPTASNDPNPIDNLIKCAMDLTKCIVEINQSSSYSLPQSIISVFLPFASYWVGRSIACAVAYCARLPMTNIKFESELNIITTKIKDILTTCSPALEAKRADESYQTLQDALFNNSSDKVTVLKLILNVIDDNEISLSTWTNGRLEKIGLNFFDADKKVELLLTSGVDISNERIQFLNEFYNDSNSAPYILWIPIVDDHAAWSIEQYKEFRDKIWFGIMDDPHKRIARSFTRFVKGNLLPHFQIGEEPILVSLDQQGRIIHTNAMHMIQTWNPGYIEDRELEVQARNNIIPFIEKVMKERSQGLDSLISDIDEQISHLALEVDQKIQAWENKINNKIYEMV >itb09g25590.t1 pep chromosome:ASM357664v1:9:25686314:25690437:-1 gene:itb09g25590 transcript:itb09g25590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNINSNVVLSAIETILNSEKVVDKIATEEMLEEFDLKFRELSLKIQHLCFELTSKSSSIIDRHLTTICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSGQRYRKGLTKQLVIVTQRANPTASNDPNPIDNLIKCAMDLTKCIVEINQSSSYSLPQSIISVFLPFASYWVGRSIACAVAYCARLPMTNIKFESELNIITTKIKDILTTCSPALEAKRADESYQTLQDALFNNSSDKVTVLKLILNVIDDNEISLSTWTNGRLEKIGLNFFDADKKVELLLTSGVDISNERIQFLNEFYNDSNSAPYILWIPIVDDHAAWSIEQYKEFRDKIWFGIMDDPHKRIARSFTRFVKGNLLPHFQIGEEPILVSLDQQGRIIHTNAMHMIQTWNPGYIEDRELEVQARNNIIPFIEKVMKERSQGLDSLISDIDEQISHLALEVDQKIQAWENKINNKIYEMREHSNMYSSERENALCKKEKDWSLGLVVGKIDYTVTYWIENESCIFLYGGNNIKWVREFTSKVHEVSLKTESDIELIYVGKNEKVRASVDEEQMSNLLESPYHAWRFWTRLQSALLLRINYLNAAN >itb14g19400.t1 pep chromosome:ASM357664v1:14:22074663:22075712:1 gene:itb14g19400 transcript:itb14g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLVSQTTKSPAVEEFSSSTVEKSKSKVIVVDKTRGGSKINSDAKDKSFVKASLFVKVNMDGVMIGRKVDLGAHTNYEDLVCTLDEMFFMPTTTATQDMPLLARDCWMDHLNSCLLMKTKTVIGCSLETFHGRYSFARQEVEDREKIRGQWAGNNSNYASRKERETED >itb15g01300.t2 pep chromosome:ASM357664v1:15:792410:794993:-1 gene:itb15g01300 transcript:itb15g01300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAQHRLQYGMNFAFGGTGVFDTFIPAGLNMTTQIGFLQKLIDDSVYTNSDLQSSMALLTVCGNDYLDYLLRGRGTIEDLVPYTKKVVNQVATNMKRLHSMGVKKVSVTSMEPIGCLPGETANSSFRQCNDNLNSVVMLHNSKLQKMVARLNSQTPDSPYFILDLFSPFITIFKQKKLPQEGSTRFETPLKPCCVGVSKGYDCANVDQKGVKMYKICSDPNVAFFWDRSHPTQAGWSAVYESLKATLHEHLF >itb15g01300.t1 pep chromosome:ASM357664v1:15:792592:797091:-1 gene:itb15g01300 transcript:itb15g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAQHRLQYGMNFAFGGTGVFDTFIPAGLNMTTQIGFLQKLIDDSVYTNSDLQSSMALLTVCGNDYLDYLLRGRGTIEDLVPYTKKVVNQVATNMKRLHSMGVKKVSVTSMEPIGCLPGETANSSFRQCNDNLNSVVMLHNSKLQKMVARLNSQTPDSPYFILDLFSPFITIFKQKKLPQEGSTRFETPLKPCCVGVSKGYDCANVDQKGVKMYKICSDPNVAFFWDRSHPTQAGWSAVYESLKATLHEHLF >itb02g24350.t1 pep chromosome:ASM357664v1:2:24905098:24908099:-1 gene:itb02g24350 transcript:itb02g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAIVLGSEEATMAEPWVGDRRGRVADPGEGSAVPGKLNTALLFKKKKIDRLKVARFWEALGADFALGPDRSWGDVHLLFFPILQLNHFYLLCVDFKTERLEIIDSSASTEATRVKYGDTPENVKLLLTEYFASVGEKFKSIICENLKCKRMPMKWRDTGNEVDCGVYLMWHMESYVGERVTKWDCGLTRGDRLQFQMLRLRYISLFRWKVDS >itb14g17250.t1 pep chromosome:ASM357664v1:14:20532284:20534952:1 gene:itb14g17250 transcript:itb14g17250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPAVLDDIINRLLDFRIARTARQVQLSEAEIRSLCSASREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLLEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTECFNCLPVAALIDDKILCMHGGLSPDLTDLDHIRNLSRPCDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPTDRRPRFL >itb14g17250.t2 pep chromosome:ASM357664v1:14:20532284:20534952:1 gene:itb14g17250 transcript:itb14g17250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGQGIEPAVLDDIINRLLDFRIARTARQVQLSEAEIRSLCSASREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLLEYGGFPPESNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKAFTECFNCLPVAALIDDKILCMHGGLSPDLTDLDHIRNLSRPCDVPDSGLLCDLLWSDPSREIKGWGMNDRGVSYTFGADKVAEFLMQHDMDLVCRAHQVRNKCINSSSFPSLYFHF >itb10g13270.t1 pep chromosome:ASM357664v1:10:19478440:19480617:1 gene:itb10g13270 transcript:itb10g13270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRQSWSSSGSENDQRYAGIDEKKRKRMISNRESARRSRMKKQKRVQELTEEVGRLQAANSGIAGRIEEMSKKYEVMATEKSVLRAQEAELSERLKYLNDVMKNSGVGDSDNAAADPLLNPWQILFPKLPIPASSGLFKF >itb09g29140.t1 pep chromosome:ASM357664v1:9:29874339:29875239:1 gene:itb09g29140 transcript:itb09g29140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKQESGSGEAKPRTSNCLSPPLPEGCDCNCDVCEAATHRRGGSDRRPLEAVAATAAVKSNSKALLDLEDKSTPSASSLESKLTFCNGDESFLSRSTKLNPPDKKPAISSIPQSQFIGKVKDFLGVILEANKNLELDAKTNPGKNHDIEALTGEESEYIEMDLMLGVAELQTEEAVAAAESALAGLSPPLGFYILDTLICSHRTSGLTRSSTTVARSSPSSSSINSSPSLNHP >itb07g20290.t2 pep chromosome:ASM357664v1:7:24675103:24677753:1 gene:itb07g20290 transcript:itb07g20290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIISLRNNDFLIQPFLQPPSQSMFRILRNKLRRLFHGLRRSFRRRSKNAAVIKTFRKSDSNPSLLTPPHNASAAIRPALRVATFNAALFSMAPAVPRSGKSASFDFGDEDCTHTHTHLRSNSMDSRPKGILKQSSLRLNSMSRRENDLFGAKSKLRVSINLPDNEISLKKSGQLRFVESERESWRGSNRSRGKVKNGSNGNGEIYGNRRTILEVLREVEADVVALQGVKAEEEKGMKPLSDLAAGLGMNYVFAESWAPEYGNAILSKWPIKSWKAQKIFDDSDFRNVLKAKIEVPEVGEFDLYCTHLDHLDENWRMKQMNAIIQSADTPHLLAGGLNSLDETDYSPERWAEIVKYYEEMGKPTPKVEVMKYLKTKQYADAKDFGGECESVVVIAKGQSVQGTCKYGTRVDYILASPRSSFKFVQGSYSVLSSKGTSDHHIVKVDVVKVDEEEESQQCVDSSNKLQAKKKVVKITDPNSSKGLWKIHT >itb07g20290.t1 pep chromosome:ASM357664v1:7:24675103:24677753:1 gene:itb07g20290 transcript:itb07g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIISLRNNDFLIQPFLQPPSQSMFRILRNKLRRLFHGLRRSFRRRSKNAAVIKTFRKSDSNPSLLTPPHNASAAIRPALRVATFNAALFSMAPAVPRSGKSASFDFGDEDCTHTHTHLRSNSMDSRPKGILKQSSLRLNSMSRRENDLFGAKSKLRVSINLPDNEISLKKSGQLRFVESERESWRGSNRSRGKVKNGSNGNGEIYGNRRTILEVLREVEADVVALQGVKAEEEKGMKPLSDLAAGLGMNYVFAESWAPEYGNAILSKWPIKSWKAQKIFDDSDFRNVLKAKIEVPEVGEFDLYCTHLDHLDENWRMKQMNAIIQSADTPHLLAGGLNSLDETDYSPERWAEIVKYYEEMGKPTPKVEVMKYLKTKQYADAKDFGGECVQGTCKYGTRVDYILASPRSSFKFVQGSYSVLSSKGTSDHHIVKVDVVKVDEEEESQQCVDSSNKLQAKKKVVKITDPNSSKGLWKIHT >itb08g05380.t1 pep chromosome:ASM357664v1:8:4429337:4429907:1 gene:itb08g05380 transcript:itb08g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICEELVQYELPVFTPCEMRQLFSPFKPNLHVHSTSTSETSPSVYSLEEKRQRRKISNRESARRSRWRKKMHSEKLQAEASHLKVENRKLKNRLYLVTHRYEALQRETNGLFTISSLLQQRLTDLSQIWTPMQLHNNSSNSTYYSSSFAA >itb13g15940.t1 pep chromosome:ASM357664v1:13:22848072:22848750:1 gene:itb13g15940 transcript:itb13g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGWAVGNEHERRTSQDRQRQWRGEEAENTVDTANMAEAAYRKLKVGYRSGRRWRQAVAAVGGGGKL >itb02g20340.t1 pep chromosome:ASM357664v1:2:18169989:18170528:-1 gene:itb02g20340 transcript:itb02g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNLMILAVMVLVLSTETQLSWAACDVQQLSPCLSAITSNTKPSQLCCHSLIQQKPCFCQYLKNPTLKNYVNSPAAKKAAKTCKVSIPKC >itb13g00670.t1 pep chromosome:ASM357664v1:13:619560:621390:-1 gene:itb13g00670 transcript:itb13g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDIKYVFRYTERIRPSSPTPQNLRSHKLSLLDQLINCLYAPLLTFYDNSSGDHDHNQLKKSFSRTLSYVYPLAGRLKDGKTIDCNDEGADFVVAQVENKMSEVIQYPRLDRLRMLFPCNPYPEVVDSTLPLLAVQVTRFSCGGSAVAICIWHGLADAAGAYGLLGTWAAINLGQDYNTIWDMHENTRNLVVDTSTTFVPTDLLSSLTALTDRNQVMVAKKYITKRFVFLGSTVNAIRDGYTQPEHRPSRVVALAAFLWAAVIRATREADQDFKTHTLTMSVDLRKRFNPPFPTYCLGSINQVVGARWERGGDGKESAVAVDGGVLIGKVREAISKIDDNYIQKMHIEGGYLKELMAISNSLSVDKKHNKGLNISSWCKVPFYEVDFGWGKPRWISTILVLKDLGIFMDMDDGGVEVWLGLPQGIMSSLERDEQFLAHLSYSQTVWDYNLHSVMKSKL >itb15g22430.t1 pep chromosome:ASM357664v1:15:25148153:25149232:1 gene:itb15g22430 transcript:itb15g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRCTCLWFLVGEAYLLTICSPVLNGSIWSSINGIKLHLTWPPKAKSIPLLSMYIPLAPKRDDRERRGTVWCETIIGSTTPSLTATTFSCGRTGRRYSEIGASRDETKALAICIAKYPHSSNAFTLGNIDPIV >itb05g16420.t1 pep chromosome:ASM357664v1:5:23640874:23642285:1 gene:itb05g16420 transcript:itb05g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLNRATIKKLSLTSLSTVPVTLPIHGLVMIILLYIVFEWARKAFTFLLAAMESNQETQNKIQDFEPSARRIIDDGSVSREEVEMVFGRLGIFCHSGAAKLQERFDSEDLSGLFGEDEELVAVDDEVKGAFDVFDENGDGFIDERELQRVLCLLGMKEGSEVQRCRDMIRVFDENGDGKIDFHEFSKLI >itb05g13070.t1 pep chromosome:ASM357664v1:5:19935441:19939755:1 gene:itb05g13070 transcript:itb05g13070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMVIDQMNPVLYKAVVKGNVEDYHKALGQMPEEEACRRQVTPKGNTVLHVAAIHGHKHLVEEILREVEDNDNDDAAIMSLLFAKNNRNESALHCAAEKGHDGIISVIFSAIKKREDVESAGGRVREMIEMTDDVKDTALHKAVRMGGHLEVVKLLIQEDPEFEYLANDDGETPIYIAAELQFHGCLEEMLNKCQKPTYGGPLGRNALHAAILSAYICAGGDKAEWTTTFHIAAKHGKVKMMKEISNRCPDCWEMVNSKGQNVLHEAILSKQVNVIRHIKQISDQFENLVTHKDEDGNTPLHLLAVIDCSIMHQFITKRPMLNYFAFNKKHQTLFDIAFLERNKLDIGYEKLIEGKRTLLNCRKFADRMIQNPEHRVNIPTEENRLEAIIGMGKSSIVVATLILTMTFATGITVPGGYHQEKGYPLLLRNAAFKAFITTNTLSFICSFCSIAVHIAMVSEASRHLRSFETVARLNFLQGALLLYSCYGVVIAFLCAMYATLAPLRPLAIADLILGFSIVIIAYCAYRLLWLCGSRPPY >itb12g14990.t3 pep chromosome:ASM357664v1:12:15368581:15378527:-1 gene:itb12g14990 transcript:itb12g14990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDREIMRVLLECCLQEKVFNKYYCALTSKLCSHDKNHKFTLQYCLWDHFKEVESMELMRSMHLSKFVAEMLASYTLSLSVLKVVDLGDVTQLTPKRIMHFRMLFEAIFEFPDKLVWNIFTRIALPEHESLCNGIVIFVREYVANGHKSLAGKFKIAKKAINNVEGVLM >itb12g14990.t6 pep chromosome:ASM357664v1:12:15368636:15378519:-1 gene:itb12g14990 transcript:itb12g14990.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNRSRRDRRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQVRIPPLDHLIPSHPIYSYLATNLI >itb12g14990.t7 pep chromosome:ASM357664v1:12:15368499:15378519:-1 gene:itb12g14990 transcript:itb12g14990.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNRSRRDRRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDREIMRVLLECCLQEKVFNKYYCALTSKLCSHDKNHKFTLQVWEEQELSMYLMWKLLYSSHPAYLSFCCYAVLPLGPFQGSGVDGTDEINAPLKIRCRDARILYSFPFGLKGG >itb12g14990.t4 pep chromosome:ASM357664v1:12:15368697:15378521:-1 gene:itb12g14990 transcript:itb12g14990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDNRSRRDRRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQVRIPPLDHLIPSHPIYSYLATNLI >itb12g14990.t1 pep chromosome:ASM357664v1:12:15368499:15378527:-1 gene:itb12g14990 transcript:itb12g14990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNRSRRDRRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDREIMRVLLECCLQEKVFNKYYCALTSKLCSHDKNHKFTLQYCLWDHFKEVESMELMRSMHLSKFVAEMLASYTLSLSVLKVVDLGDVTQLTPKRIMHFRMLFEAIFEFPDKLVWNIFTRIALPEHESLCNGIVIFVREYVANGHKSLAGKFKIAKKAINNVEGVLM >itb12g14990.t2 pep chromosome:ASM357664v1:12:15368697:15378521:-1 gene:itb12g14990 transcript:itb12g14990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDNRSRRDRRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDREIMRVLLECCLQEKVFNKYYCALTSKLCSHDKNHKFTLQYCLWDHFKEVESMELMRSMHLSKFVAEMLASYTLSLSVLKVVDLGDVTQLTPKRIMHFRMLFEAIFEFPDKLVWNIFTRIALPEHESLCNGIVIFVREYVANGHKSLAGKFKIAKKAINNVEGVLM >itb12g14990.t9 pep chromosome:ASM357664v1:12:15369506:15378519:-1 gene:itb12g14990 transcript:itb12g14990.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQVRIPPLDHLIPSHPIYSYLATNLI >itb12g14990.t5 pep chromosome:ASM357664v1:12:15368499:15378519:-1 gene:itb12g14990 transcript:itb12g14990.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQDREIMRVLLECCLQEKVFNKYYCALTSKLCSHDKNHKFTLQYCLWDHFKEVESMELMRSMHLSKFVAEMLASYTLSLSVLKVVDLGDVTQLTPKRIMHFRMLFEAIFEFPDKLVWNIFTRIALPEHESLCNGIVIFVREYVANGHKSLAGKFKIAKKAINNVEGVLM >itb12g14990.t8 pep chromosome:ASM357664v1:12:15368581:15376723:-1 gene:itb12g14990 transcript:itb12g14990.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDNRSRRDRRKEARLAKNKKKFDSWLQHHHKSLPVLKSKHAKIQQGIVGPKSSEAMCKDSDSEAEHNIELSPVVENTEAKTNNLKRKCDLSSNTTFNEYLEMEMTGRVNSAEDDMRLERKLAKKLRVKDGKLSGDDINMLLEGIPFVLNSVGEVQEFPKGKKSHTYTKKKLVDVDVNVVGEVVDKRVRKSDSISASCCDDYNHIEEFDGLKELDKPKKKKTKFEKYLELENDTISAEEDLALEKKLAKKLKIKGGKLNRDDDGINTLFDGIPSALELFEGEKLQGPRKVLDETLHRKSKSLKSVKQKQVIEGEQDQDQVSVKTTDKALRTSYPATSSGIEVGLGKLLSAQSAFGGNTKYIAPHLRSHMGNESQHHAQIRRRVRGLLNRLSESNVESITGDMSTIFHTVDCSLRSLIIIEEVLASCSGGPRGNEQYAAVFASFVAGMASLVGIDFSAKLLASLARNFEDEYLKEDTMSLRNLTLLFSYLYTFGVFSSDLMYDFLVILSKRLTEADVSTILAVLQSCGMKLRGDDPVGMKNFIISIQNRVNELKTLSRDAQSSLDSKRMEFMLETICDIKNNKKRPKEETMQLTRIKKWLQKLRVDDILLRGLRWSKLLDPDKRGQWWLCGDFNVNSNQNNIREVANTIDMEVVETQKMLQLAATQRMNTDVRRAIFCVIMSGEDYIDAFEKLLRLDLPGKQVRIPPLDHLIPSHPIYSYLATNLI >itb01g09120.t1 pep chromosome:ASM357664v1:1:7326416:7330387:1 gene:itb01g09120 transcript:itb01g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITEGVNDLKIASNNSEPQKKNRIQVSNTKKSLFFYVNLSKRYMQQYNEVELSALGMAISTVVTVAEILKNNGFAVEKKIRTLSVYMKDHPESRPVPKAKIEIVLGKTDNFEELMAAEAERLDDDGVEG >itb13g14220.t3 pep chromosome:ASM357664v1:13:20793397:20796285:1 gene:itb13g14220 transcript:itb13g14220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLKNVACMRNAQQILRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFAKPSAGEILWNGHDITNSGVFEQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGKSLPALELVGLGRLANEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLETIIAEHRKKGGIVIVATHLPITIEDAMHLRLPPRFPRRMTLVDMLDRGGLD >itb13g14220.t4 pep chromosome:ASM357664v1:13:20792946:20796285:1 gene:itb13g14220 transcript:itb13g14220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLKNVACMRNAQQILRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFAKPSAGEILWNGHDITNSGVFEQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGKSLPALELVGLGRLANEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLETIIAEHRKKGGIVIVATHLPITIEDAMHLRLPPRFPRRMTLVDMLDRGGLD >itb13g14220.t1 pep chromosome:ASM357664v1:13:20792935:20796285:1 gene:itb13g14220 transcript:itb13g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLKNVACMRNAQQILRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFAKPSAGEILWNGHDITNSGVFEQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGKSLPALELVGLGRLANEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLETIIAEHRKKGGIVIVATHLPITIEDAMHLRLPPRFPRRMTLVDMLDRGGLD >itb13g14220.t2 pep chromosome:ASM357664v1:13:20792914:20796024:1 gene:itb13g14220 transcript:itb13g14220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRPPLPRLLLKNVACMRNAQQILRHVNVSLHDGGALVLTGANGSGKTTFLRMLAGFAKPSAGEILWNGHDITNSGVFEQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGKSLPALELVGLGRLANEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVKLLETIIAEHRKKGGIVIVATHLPITIEDAMHLRLPPRFPRRMTLVDMLDRGGLD >itb14g17200.t1 pep chromosome:ASM357664v1:14:20510638:20512810:-1 gene:itb14g17200 transcript:itb14g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-7 chain [Source:Projected from Arabidopsis thaliana (AT2G29550) UniProtKB/Swiss-Prot;Acc:P29515] MREILHIQGGQCGNQIGSKFWEVVCAEHGIDATGHYEGDSDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAIFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNMKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEDCEYEGEEEEYED >itb05g16230.t1 pep chromosome:ASM357664v1:5:23475861:23479245:-1 gene:itb05g16230 transcript:itb05g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQAVSFLTSIARAAFGFGVGATVLNSAMYTVDGGQRAVLFDRFRGVIDETVGEGTHFLVPWLQKPFIFDIRTRPHTFSSISGTKDLQMVNLTLRVLSRPEVGHLANIFKTLGLEYDEKVLPSIGNEVLKSVVAQFNADQLLTERPHVSALVRESLIRRAEDFDIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESESAKLISDATSAAGMGLIELRRIEASKEIASTLAKTPNVQYLPKGNNLLLGLQNR >itb05g04560.t1 pep chromosome:ASM357664v1:5:4159351:4164061:-1 gene:itb05g04560 transcript:itb05g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLFLALFIASVFVSVSAIDCHCDEEGVWGIESILECQKVSDFLIAVAYFSIPLELLYFISCANIPFKWVLVQFIVFIVLCGLTHLLNGWTFSAQPSFQLIVSLTVVKILTALVSCATAITLLTLFPLILKIKVREIFLRQNVLELDQEVDMMKRQKEASLHVRMLTREIRKSIDKHTILYTTLVELSKTLNLQNCAVWMPNEKGAEINLTHELNPGAAARKKCSLSINDRDVLEIKKIKGVRILRQDSVLAAASSGGTGEPGAVAAIRMPLLQVSNFKGGTPEIFSPRYAILVLVLPSTSDHSVWGNNEMEIVEVVADQVAVALSHATVLEESQSMREKLKERNHVLQRAKEDAMKASHARDSFQKVMNNGMRRPMHSILGLLSILQDDNINPEQRIIVDTMVKASTVLSTLMSDAMEITAKHNGKFLVEIRLFHLHSLIMEASSIVKCMSVYKGFGFLADIPNSLPNQVMGDEKRTFQVLLHMVGHLLNVSDGKGSVIFRVVQESGTEEGNNKVWNTRKPSPADDWVTIKFEIEVSVEGSRPDSSVSTIHFGAGRHNCKDVKKGLSFNICKKLVQMMQGNIWMSSDSQGRAQSMTLILRFQKQSSYRRRVFEFKNPREKQLSSSTLEGIQVLLADDDDVNRMVTKKLLGKLGCEVFAVSTGFQCLSALAPSGASFQVIILDLHMPEMDGFEVATRVRNSFRGRGSRPLIIALTASSEEHMWEKCNQVGMNGLIQKPVLLQRLADELQRVLHSAREGP >itb14g03960.t1 pep chromosome:ASM357664v1:14:3550520:3555394:1 gene:itb14g03960 transcript:itb14g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIPVSKPDVNANGGCYAMIRGGMEIFYFGRNYDNGSIVHLSLKLYSKFVSSISRPSLDSIFVSVPVSSFAGCRPSSITPLLRFQ >itb10g08060.t1 pep chromosome:ASM357664v1:10:10200392:10203752:1 gene:itb10g08060 transcript:itb10g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQRGSFAIATPFNSLLSSLFSLTNMSFATLQFLPTTTATTNVTRAALVSSSLDYCQSRRNNLFISLPGNQLPFRRRLQIRAVDAAQPYDYEAQISNTFYSSMKLKIAVVGFGNFGQFLAKAFVRQGHIVMAYSRTNYINIAQSIGASFYTDPHDLCEQHPDVILLCTSIISTEAVLRSLPIQRLKRNTLFVDVLSVKEFPKNIFLQLLPPHFDILCTHPMFGPESGKDSWRGLPFVFDKVRIGDEDCRVSRVERFLDIFGKEGCRMVEMSCAEHDKHAAGTQFITHTMGRVLEKLQLETTPINTKGYETLLDLVENTASDSFDLYYGLFMYNKNAMEQLERLDLAFESLKKELFGHLHDKLRKQLFGKVEEEAGERPMLSKLPKNGYALPPPSSDPLETQNS >itb06g01450.t7 pep chromosome:ASM357664v1:6:2680346:2681484:1 gene:itb06g01450 transcript:itb06g01450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDLW >itb06g01450.t1 pep chromosome:ASM357664v1:6:2680346:2681484:1 gene:itb06g01450 transcript:itb06g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDLCVTVSKRGTD >itb06g01450.t6 pep chromosome:ASM357664v1:6:2680306:2681484:1 gene:itb06g01450 transcript:itb06g01450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDL >itb06g01450.t2 pep chromosome:ASM357664v1:6:2680306:2681484:1 gene:itb06g01450 transcript:itb06g01450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDL >itb06g01450.t5 pep chromosome:ASM357664v1:6:2680346:2681484:1 gene:itb06g01450 transcript:itb06g01450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDLCVTVSKRGTD >itb06g01450.t3 pep chromosome:ASM357664v1:6:2680346:2681484:1 gene:itb06g01450 transcript:itb06g01450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDL >itb06g01450.t4 pep chromosome:ASM357664v1:6:2680346:2681484:1 gene:itb06g01450 transcript:itb06g01450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGEVQLRPAARTTATSPQRPRPTLPSATATSDHPSPRLRDRDQPTVFSVLDLW >itb12g24870.t1 pep chromosome:ASM357664v1:12:26259791:26260216:-1 gene:itb12g24870 transcript:itb12g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQASATAIFLSFNIFFFAVTTATQYPAIPGFPATGTGGGNGGSSGGNGGGGAGRCPRDALKLGVCANLLGGLVGAVVGTPPTLPCCSLIAGLADLEAAVCLCTAIRANVLGINLNIPVALSLVLNDCGRQVPNGFTC >itb12g27640.t1 pep chromosome:ASM357664v1:12:27917080:27921089:-1 gene:itb12g27640 transcript:itb12g27640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSETVTRDPPKPKPTWVLPYRTPPLQQLYTIGKKLGQGQFGTTHLCTEKSTGTAYACKSIPKKKLFCSEDYEDVWREIQIMHHLSEHPNVVRIKGTFEDALYVHIVMELCAGGELFDRIVEKGHYSEREAAKLLKTIVGVVEACHSLGVMHRDLKPENFLCLSTDEDATLKAIDFGLSVFYKPGEIFSDVVGSPYYVAPEVLRKQYGPESDVWSAGIILYILLSGVPPFWAETEVGIFRQILKEKLDLESEPWPGISDSAKDLICKMLDRDPEKRLTAHEVLCHPWIVDDKMAPDKPLGSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFRMIDTDNSGTITFDELKEGLRRVGSELMESEIKDLMDAADVDNSGTIDYGEFLAATVHLNKLEREENLLSAFSFFDKDGSGYITIDELQHACKEFGLSELNLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGGAVGRKTMRNTLNLGEALGLVDNNDDH >itb02g15970.t1 pep chromosome:ASM357664v1:2:11664143:11670593:1 gene:itb02g15970 transcript:itb02g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKDVVPILSATDSQTDESDDNRYHRRFSSRARSASLPIPANSMESYYTENALLGFTGPLRNERRTPLVQMSGPLYVSHKPESNLRPSQVTLGRMSTGPTMEKYPSVAGRESNGWANDDYSGQNEHLLRSGQLGMCNDPYLAIDILNEQNKKSKSSEIYDHKFHNMIYGDAKGWTKKICSFLSSQIPGVMNPHTKVVQRWNKFFAISCLFAVFIDPLFLFLLTVNKDNKCIVLNWPLTTTVVILRSLTDFIYLIHMLLQFRLAYVNPESRVFGAGDLVDHPKSIVLHYLKGYFFIDIFIILPFPQIIILLILPRSMRSGANFAAAILVQYVPRLFRFLPLITGRSSSGFIFESAWANFVKNFLTFVLAGHVVGSCWYLFGLQRVNQCLRDACHDSQIEHCRDFIDCGHSYSRDFSWHNWTTNVNATACLNKDYKDGYYGIYGQAVNLTTKDSIVTRYVYSLFWGFQQISTLAGNQVPSYFEWEVLFTMGIIGLGILLFALLIGNMQNFLQSLDRSYTLLEMSLRRRDVEQWMSHQGLSNDLRRRVRQAERYTWAVTRGVNEERLLEDLPEDIQRDIRRHLFKYIKKVHIFAWLDDPILDAICERVRQKKYIRGSRVLYQGGLIDKMVFITRGKMESTGEDGNATILSEGDACGKELLTWCLEHSSVNKDGKKIRIPGSRLLSNRGVECLTNVEAFILRAADIEEVTSRFARFLRSPRVQGAIRYASPYWRGHAARCIQVAWRYRKKRQSRADGARPQHSVRNKNLLRSSTCRL >itb05g27120.t1 pep chromosome:ASM357664v1:5:30660928:30661636:-1 gene:itb05g27120 transcript:itb05g27120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKTCKTIYTHYVQLKNFISPPPPPKPNEANLAFMTCLLKVDVTSPGWQKAIIAVLRSNKGVRSFKMSQNGKIIVTGTADLNLLFKMLKKAESSTELIWVQSGLCSSNLFVNGLDLDGYIDDVGGPFFGANNVGPRHRQRMFFPIIRGRPYNGPPPPYYGPMSSAPVPPPLHYGY >itb02g08750.t1 pep chromosome:ASM357664v1:2:5540985:5541935:-1 gene:itb02g08750 transcript:itb02g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEEILKLFDSCWFQGEILTRKQCPSVQETKPVLDDDDEHKESKITGVLSLKIRSQSDNLLSFGTSFSPPSDSLKSGIIKPEFEDLQGALIMTKKVCEIRKRRVGRKKLGGHRSLSELELEELKGFMDLGFVFTEEDKRSSLASIIPGLQRWGSRDNEVSNNESCSVQRPYLSEAWSIMDQRKMIKKLLKWRFPPLSNETNMKDNLKFWAQTVASAVK >itb05g27990.t1 pep chromosome:ASM357664v1:5:31168935:31173418:1 gene:itb05g27990 transcript:itb05g27990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFARTLFATRCVFYPSSSSSAIFRKLQFKSSFLNRPLYSQASFSHLSYQVASSVAFRMASSLSGAQISFSPASLTTTEPVVSVDWLHTKLRDPDMKVLDASWYMPDEQRNPLQEYQVAHIPGALFFDLDGIADRTTNLPHMLPSEEAFAAAVSALGIENKDGVVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYKGHTVVPITFLTKFQPHLVWTLEQVLNNIEEKSHQHIDARSKARFDGVAPEPRKGIRSGHVPGSKCIPFTQMLNGSQTLLSAEELKKKFDQEGISLDSPIVTSCGTGVTACILALGLHRLGKTDVAVYDGSWTEWGANPETPVSTSEAQEP >itb04g03420.t1 pep chromosome:ASM357664v1:4:2109769:2110179:-1 gene:itb04g03420 transcript:itb04g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGELANTGPGAQTANRVRWPGYHGITRTEEAARFRVDNFIHETNGSPIECPFAPVLSAMREMPVLGGRECAVPVMRSFGGKPGRRKM >itb07g15430.t1 pep chromosome:ASM357664v1:7:18525999:18528681:-1 gene:itb07g15430 transcript:itb07g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILTDIPPPSRFFLEDLNNFAPRLPPLPSPFLLFSTPNPEKYSHPSLLIIAMSFPSVHFFHHLSSKILVGTLILPEIPLSGNSVVPSLKDKSCNIYALNHADKLILVVSVQYPITAERSHAVAKLLVGQQIIPDRVLILDTIQSSNFRGKLPPDETLAFKLETSLEREGKADSHDDSRLVKCLDYFPSGSVVDGLAAALLSRCEMNKIRGAVCVSWPELGSPVTSLVKSMLLKDVLPGLVHTSADNGKSDFAWTGRSKDHLLDSDLYT >itb11g03650.t1 pep chromosome:ASM357664v1:11:1991784:1992156:1 gene:itb11g03650 transcript:itb11g03650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVDVSFDHSSKNTLVLSPAGFAIDQRVHGGQSVGNVVFLCQPAPTADKNGKGSEGSQACLHTLCWNVCLKVQTTDSGLLQLPILTQSLIG >itb12g05040.t1 pep chromosome:ASM357664v1:12:3327855:3332708:1 gene:itb12g05040 transcript:itb12g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILRRCPKWQLSNFVPLSSFSSSKQQPSKVTYSEEIQKKLADFRSRVAPLRPTHIGGNRSPSPPSSSSKDISLQEIYKRNANAARPSTGKLFDTIRMSLLDQKNDSFSLSNFKDSLRLRQGDPSPKEVPIVIGSDKLHTSMFLKENKDLDNRAAMRQMYVREYNHRDLGEKLRSLRPEHKGKKDLFSLEELNDRLIKLREIEDKESNLGGIYDQLRESLVQIDASSHDNRNSTIRKLDVLSYLGENAEYLSHPPKEHLVEKYFDPGNMSSAEKMKLELKKVRDEFKMSESDCGSARVQVAQLTTKIKHLSGVLHKKDKHSRKGLQGMVQKRKKLLKYLRRTDWESYCLVLSKFGLRDNPDYKN >itb12g05040.t2 pep chromosome:ASM357664v1:12:3327864:3332602:1 gene:itb12g05040 transcript:itb12g05040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIRILRRCPKWQLSNFVPLSSFSSSKQQPSKVTYSEEIQKKLADFRSRVAPLRPTHIGGNRSPSPPSSSSKDISLQEIYKRNANAARPSTGKLFDTIRMSLLDQKNDSFSLSNFKDSLRLRQGDPSPKEVPIVIGSDKLHTSMFLKENKDLDNRAAMRQMYVREYNHRDLGEKLRSLRPEHKGKKDLFSLEELNDRLIKLREIEDKESNLGGIYDQLRESLVQIDASSHDNRNSTIRKLDVLSYLGENAEYLSHPPKEHLVEKYFDPGNMSSAEKMKLELKKWLNLQPRSNTYQVFSIKRINILERVFKEWSRSGRSC >itb07g22790.t1 pep chromosome:ASM357664v1:7:27312471:27314283:-1 gene:itb07g22790 transcript:itb07g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQSTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRCRRTVNRPYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKA >itb02g23140.t1 pep chromosome:ASM357664v1:2:22943639:22945976:1 gene:itb02g23140 transcript:itb02g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFQGSLTEHLVVVDGGGEIGYGCAPETKIRVSGLTKDSNNGVTVLNKVSVDIPRGVIVGIIGPSGSGKSTFLRALNRLWEPPSGTVFLDGKDICELDVLSLRRHVGMLFQLPALFEGTVADNIRYGPQLRGKKLGDNHVNKLLNLADLDSSFFNKCAGELSVGQAQRVALARTLANEPQVLLLDEPTSALDPISTQNIEDVLVKLKKDHNMTIAIVSHSIKQIQRIADMVCLLVGGEIVEILKPDQLSEAKHPMAQRFLELSS >itb11g13910.t1 pep chromosome:ASM357664v1:11:10999063:11004426:1 gene:itb11g13910 transcript:itb11g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQAKHFSSPSTLARLSFCAVFLFLIFPHAESFDPLDPFGNITIKWDVMSWTADGYVAAVTMNNFQMYRAIMSPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGNVPHCCKKTPTIVDLLPGVPYNQQFSNCCKGGVVASWGEDPSASVSAFQVSVGLAGTSNKTVKLPTNFTLMGPGPGYTCGPAKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQLLVSRYSTCCVSFSSFYNQTIVPCQSCACGCTHKNCVKSSDTKRLKSAGINTPRKDNAPLLQCTKHMCPIRVHWHVKINYKDYWRVKIAVTNFNYKKNYTEWTLVAQHPNLNNVTQVFSFNYKPLVPYQSINDTGMFYGMKFYNDLLMEAGPNGNVQSEVLLKKDKDTFTLNQGWAFPRRIYFNGDECKLPPPDAYPHLPNSAIRGYSSSSACSSVCLLFFIVVSIFYS >itb08g09940.t1 pep chromosome:ASM357664v1:8:9343568:9346787:-1 gene:itb08g09940 transcript:itb08g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKKLEMMNKLFIVFFCIVHHLANVSAQLQVGFYGSTCPRAESIVREVVRTGFAKDRSITPALLRMYFHDCFVRGCDASILIDPKSTKTKQSEKNAGPNQTVRGYELIDQIKTKLEAACPSTVSCADIIALATRDAVALAGGPTYSIPTGRRDGLLSDPAQVNLPGPDLSVSQALTFFTDNGFTLTDMVTLLGAHTVGITHCGFVQTRLSATDRTMDAGLKAALKRTCSALPRKDPAVFLDQNTSGIVDNEFYKQIRMRKGVLSIDQALALDRSSARIVARLASDQNVFKKSFADALIKLGNTQVLVGKVGEIRKNCRAFNPPRPPPPPPRAVTPPPPPPRAVTPPPPPPPRAVVTQPQQQKTPPPPKTTMI >itb04g10450.t1 pep chromosome:ASM357664v1:4:9817413:9818546:-1 gene:itb04g10450 transcript:itb04g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTPKRHMPQPNLNQDIITEILKMLPVKSLCKFRCVSKAWRDIISSPEFVKLHLHFQSKKDNKVMTYSSLNWIISYMSLFSITENKQSSFRKFITYDRSVSIPEGGFEFLGSCNGLFCFRGKPQQIIIWNPSSNGNLKTIPDVRQLGYLRIFGFGYDERHDDYKVVYAYNAHNGDEYVVLVYSFKHATWKRIEREFSSGFVNPIIAVFVSGCLNWCNNNLADNDWKWNLISFNLTTETAKAMALPSHQHGAAICISESRGFLFAGFHHRSQMEVWMMNEYGVEESWTKRVCISNLPVHHPLPRGLENTAYMAICNARIAIAHVSESGDILMMVGRQLKLHRPNAKRGKNFNITYVLGYMVTSYVETLVSPAMIGL >itb01g34270.t1 pep chromosome:ASM357664v1:1:37054539:37058258:1 gene:itb01g34270 transcript:itb01g34270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKFFKGSDHNVSEGQYDSNYGGHREDNLPSTSWESLSEIEDIDRAIALSMSEEDQKGKTVLDSELQLSEDEQLARALQESLNVESPRTPPAWHGNRNDTGRGSGYGYGNGNGNGNGHFYQPIPFPYATSFRICAGCNAEIGHGRFLNCMSAFWHPECFRCHACNQPISDYEFSMSGNHPYHKTCYKELYHPKCDVCRNFIPTNASGLIEYRAHPFWSQKYCPFHERDGTPRCCSCERMEPRETKYVALDDGRKLCLECLDSAIMDTNQCQPLYLDIQEFYEALNMKVNQKVPLLLVERQALNEAMDGERHGHHHMPETRGLCLSEEQTVSTILRRPRIGAGNRFIEMRTEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLGGYRTLSQDVEEGICQVLAHMWLESQIMSLSKGSSASTSYSASSSLKNGTRSPFERRLGEFFKHQIESDTSPVYGNGFRSGNQAVLKYGLERTLEHIRMTGTFPY >itb02g15330.t1 pep chromosome:ASM357664v1:2:11144121:11145828:1 gene:itb02g15330 transcript:itb02g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGERKLLTSDNASNSESKQFKFKPIWAVGIVAVLGVVFLLVFTVYLYKKSAKEKKILRALANSPEKSPLPVPQITEEKVEENGDREKQSELVFFVGEEEQFTMDELLEATADLRKQGVASSLYKVVMKGKYVFAVKRLKKIKASFHKFGQTMRKIGNLNHPNILPLVGYYSATEEKLLIYRYQNNGSLLTLLEGYVEGKRTFPGKQRLTIAMGIARGLDFIYRMSDEESDNIVPHGNIKLSNILVNENEEPLVSEFGYMKFLEPSTASLFDSNGYTAPEKKLTEQADVYSFGVVLLELLTGKIVEKSGLDLPKWVKSMVREEWTGEVFDKEVGRFEAFAFPMLNIALKCVERKADDRPSIAEVLDKIEEIVNVVEDISPLSATSYESTPP >itb03g16370.t2 pep chromosome:ASM357664v1:3:15353336:15356502:-1 gene:itb03g16370 transcript:itb03g16370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSVRIKAESPLHAGAGGLSNRLSSPSVPLTPRSESEILESSNLKGFTFNELRIATRNFRPDSVLGEGGFGCVFKGWVDENTFKAARPGTGLVIAAKRLNQEGFQGHKEWLAEITYLGQLSHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRSSYFQPLSWTLRMKVALGAAKGLAYLHSPEAKVIYRDFKSSNILLDSNYNAKLSDFGLAKDGPIDGKSHVSTRVMGTYGYAAPEYMATGNASSLAPRFSILGSVSFAMTSLTHCFAYCIL >itb03g16370.t1 pep chromosome:ASM357664v1:3:15353276:15356502:-1 gene:itb03g16370 transcript:itb03g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSVRIKAESPLHAGAGGLSNRLSSPSVPLTPRSESEILESSNLKGFTFNELRIATRNFRPDSVLGEGGFGCVFKGWVDENTFKAARPGTGLVIAAKRLNQEGFQGHKEWLAEITYLGQLSHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRSSYFQPLSWTLRMKVALGAAKGLAYLHSPEAKVIYRDFKSSNILLDSNYNAKLSDFGLAKDGPIDGKSHVSTRVMGTYGYAAPEYMATGHLTTRSDVYSFGVVLLEMLTGRRAVDKNRPNGEQNLIEWAKPYLASKRKILRVMDPRIEGQYSLSGALRAALVAVKCLSIEPKYRPNMIEVVKELEQLQDLKDTASQKNEASRRHNRSYNANEEATRRRVASYPRPSASPHLAA >itb11g14080.t2 pep chromosome:ASM357664v1:11:11133906:11139636:1 gene:itb11g14080 transcript:itb11g14080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAESPATTVPSAQIVGNAFVEQYYHILHHSPELVYKFYQDSSILSRQDPNGVISSVTTMQAINEKILSLDSKNSKAEIKTADAQDSHQAGVILLVTGCLTGRDNVRKKFTQTFFLAPQEKGYFVLNDIFRYIEENEAVENISEPVNAVNEASQAAVLPSDPEPVHAPDDSTYDPATTIASEELHNGAEVCDPSDNEEGSVIEEEVLNEPQVYSSQKETDIVESSDPSAAQEEKKSYASIVKVTKAATRTIAYIPTSSTQVATVKSDQQALGSEKTPTEPEPLAPSNDNAPESSNTHEEGYSIYVRNLPSTATAGQLQEVFKKFGAIKHNGIQVRSNKQGFCFGFVEFESLSAMQNAIEASPITIEGRQAVVEEKRTTTRVVGSSRGGGRYSSGRGGFRGESFRGRGNFGGGRGYGRSEFRNQADFGIRSKGRNVETYRRVDQNESGRQGGMNKNTTSA >itb11g14080.t1 pep chromosome:ASM357664v1:11:11133840:11139673:1 gene:itb11g14080 transcript:itb11g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAESPATTVPSAQIVGNAFVEQYYHILHHSPELVYKFYQDSSILSRQDPNGVISSVTTMQAINEKILSLDSKNSKAEIKTADAQDSHQAGVILLVTGCLTGRDNVRKKFTQTFFLAPQEKGYFVLNDIFRYIEENEAVENISEPVNAVNEASQAAVLPSDPEPVHAPDDSTYDPATTIASEELHNGAEVCDPSDNEEGSVIEEEVLNEPQVYSSQKETDIVESSDPSAAQEEKKSYASIVKVTKAATRTIAYIPTSSTQVATVKSDQQALGSEKTPTEPEPLAPSNDNAPESSNTHEEGYSIYVRNLPSTATAGQLQEVFKKFGAIKHNGIQVRSNKQQGFCFGFVEFESLSAMQNAIEASPITIEGRQAVVEEKRTTTRVVGSSRGGGRYSSGRGGFRGESFRGRGNFGGGRGYGRSEFRNQADFGIRSKGRNVETYRRVDQNESGRQGGMNKNTTSA >itb09g16670.t1 pep chromosome:ASM357664v1:9:11829648:11831132:-1 gene:itb09g16670 transcript:itb09g16670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQKSVAADATTAVAATDLKALIHESSVFFDNLVNLIPPRFYLPSDDSKPWFQGLSKAKKASFKRQSRENLKLARRNRLDPDKKIAQSSTLDLLKESIEKQKIAELSSGNTDDSDKEKPIDFGEEDDGNGNDRSVTYEELRQRLRRKIEALRGNRGAGERSERKGSGKDGNSVNSEGKKRKRNSENSEKTGNEANPGNVLSEIEFGKVKIGDDDKQGKKKKKKMSKAKELERLKRLEEVKKENPKVAEKQSWKAAADRAMGVKVHDNPRLLKESMKREKKRKEKSTEKWKERVESREKLKEERQQKRRDNISGRIQEKKMRKIAKREKKLMRPGFEGRKAEFITKD >itb09g26190.t1 pep chromosome:ASM357664v1:9:26535328:26538369:1 gene:itb09g26190 transcript:itb09g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQLIAKHRNKHSTIIYKKEEIVYGSEVFECREVCAVSVAMETKLVERLESVADRLEALCSGGFQASRSLNVDGYAATDPSIVVFDGLISQYIGAITSAAEKIGGQVLDASMILEEAFFVEKQLLIKVKETQKPDMKSMPEFLKPLNEVIMKASSMTEGSKSDFSNHLKATAESLTALAWIAYTGKECGMSMPTAHVEESWQTAEFYSNKILVDYKNNDPNHIEWAKALKELYVPGLRDYVKSHYPLGPVWNATGKHFVSAPPAPSSLFTSGSHQSSSTNSKGMSAVFAEINSGKPVTSGLRKVTDDMKSKNRADRSSIVGDTGKKGSAKSAFSSRAGNPKLELQMGRKWVVEYQIDEKNLVIDDCDPKQSVYAFGCKGSVLQIQGKVNNITLDKCSKMGVLFTDVVAAFELVNCKGIEVQCQGIAPTISVDNTSGVQLYLSKNSLEASITTAESTEINVSVPAKEGLDYDWEEHALPQQFAHVYKDGQFETVPVTHSSGG >itb04g28710.t1 pep chromosome:ASM357664v1:4:32334686:32340413:-1 gene:itb04g28710 transcript:itb04g28710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRHASLGRRTLEEIRQKRAAERLIKTSSGPDLAKAVASPNDAPEISKSESGNRLAENDITGLVAQLQHIQKKNIDLEEENKTLFSKLQAVEAENDTFQKRLNDMEQSTVPSLRKALRDVAMEKDAAVVAREDLSAQLRTLKKQLKEAEEDQYRAEEDAAALRAELNSLQRQAMSGPPSGTTLMGFSPDHMQAMEKELANLRSLLEQESLLRQQEQQRLVEELARTTTLSSEKQDLEEKLATLSKKVSEDVTQQASDNTFSLKDKEKLEKQLHDMAVAIERLENSRQKLLAEIDSQSSEIERLFDENSNLSSANEEAMGMVVHWENKVKDCLKQNEELRGLLDKLRTEQSGIGSANDKPSQRGFFGSNKEGGNGAQASEYTAEIVSLKGQLVKEQSRAEALSAEALQLSVKLQQAIQAYNGLARIYKPVLRNIENNLLKMKQDDSEVVLRA >itb09g11810.t1 pep chromosome:ASM357664v1:9:7365840:7370522:-1 gene:itb09g11810 transcript:itb09g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQCVNPLLQNRPFSSQLTPVKFSGASISPTSSSRMVSLRPAGARKLVFTASAADPSASRPTPLINADSSVGFKGCKLVGCGSALPSLSISNDDLAKLVDTNDEWISVRTGIRNRRVLSGKDNMTALAVEASKKALEMAEVDPGDVDLILLCTSTPEDLFGSAPQIQKALGCRSNPLSFDITAACSGFMLGLVSAACYIRGGGFKNVLVIGADALSRYVDWTDRGTCILFGDGAGAVLVQACDIAEDGLFAFDLHSDGEGQRHLNASLKENETDKKVGTNGSLIGFPPKSSNFSCIQMNGKEVFRFAVRVVPQSIEASLQKAGLTNSSIDWLLLHQANQRIIDAVATRLEIPSEHVISNLSNYGNTSAASIPLALDEAVRSGKVQAGHTIAAAGFGAGLTWGSAIMRWG >itb06g20860.t1 pep chromosome:ASM357664v1:6:23662639:23663263:-1 gene:itb06g20860 transcript:itb06g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLQTKMHLQPSDLFGYCSKSMAAPTSTSTRITPFTDHPAHPSSAAMIDLQIYFKYVILYKTFGLQGSREKELKICCWNEV >itb09g25740.t1 pep chromosome:ASM357664v1:9:25835161:25843955:-1 gene:itb09g25740 transcript:itb09g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKILFSSDSPPLAVFVAAKIAGVAFSADATLSTGSPPTVLLPNGSKLRGTFVLLRYIGRVESTPGLYQRDSFESSQIDEWLEYAPTFASGSEFQGACDYVDQFLMQHTFLVGNSLSIADIAVWSGLAGAGQRWESLRRSKKYQNLVRWFNSIFVAYEAVLGEVITTYIGKKGSTKAATKVNEQKVSNANPSASTFEVDLPDAEVGKVRLRFAPEPSGFLHIGHSKAALLNQYFAERYKGDVILRFDDTNPDKESNEFVDNLLKDVETLGIKYKAVTYTSDYFPQLMEMAEKLILEGKAYVDDTPREQMKEERMNGIESKCRNNTVEENLALWKEMIAGSERGKMCCLRGKLDMQDPNKSLRDPVYYRCNDTAHHRIGSKYKLYPTYDFACPFVDATEGITHALRSSEYHDRNDQYYRIQTDMGFQKVHIYEFSRLNLVYTLLSKRKLLWFVKNGLVEGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWAINKKIIDPVCPRHTAVIAERRVLLTLSNGPEDPFTRTIPKHKKYEGAGVKVTTYSKRVWIDYADAELISVDEEVTLMDWGNAIVKSIEKDEQGKVVNLTGVLHLEGSVKTTKLKLTWLPHTNELVKLSLVDFDYLITKKKLEENEDFVDVVNPCTKKETCAFGDANMRDLKRGDILQVERKGYFRCDVPFVRTTEPIVLFAIPDGKQQPVMRFAASDAKHQ >itb03g18430.t1 pep chromosome:ASM357664v1:3:16727819:16729728:-1 gene:itb03g18430 transcript:itb03g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLYRVKHSSLTHYLKTVQLTVQESSSHSPFDRVPITSPALSLSVSSYVRFSCLIYSQSLHFSELRVLLCSHAISFVSFHRFFEYSDSDLMEISSIPFPCSDDGSWPNLLSFFQDFDFAPQPVKKQRTDDNNSYYDHSDSSVEEILNKFLPADREPPMTATPPPQAAAAEEKGNGKRGRESVDVVSTSEDSYAAGGGGGGGGGGGQHQRRLWVKNRSKAWWEQCNGPDFPEEEFKKAFRMSKATFDMICEELESVVTKKDTMLRQAIPVRQRVAVCIWRLATGEPLREVSKRFGLGISTCHKLVLEVSTAIRGVLMPKFLQWPDDSKMNQTKRAFQLLSGIPNLGGAMYTTHIPIIAPKASVAAYFNKRHTERNQKTSYSVTLQGVVDSNGVFTDVCIGWPGSMSDDKVLEKSALFQRSNRGLLKDTCVAGNSGYPLTDWTLVPYTHQNLTWTQHAFNEKVGEVEKVAKEAFMRLKARWSCLQKRTEVKLQDLPVVLGACCVLHNICEMRGEELEPALRFDLFDDEMSPENPVRSATAVQARDQIAHKLLHQHHAGTKFL >itb02g23600.t1 pep chromosome:ASM357664v1:2:23712102:23712467:1 gene:itb02g23600 transcript:itb02g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGNYWWELFLLELKREGQKQKRERCAESGACLPPSIICWSSGLSIFFSLSGFSISLVSLSRPLSLSLRNPAKLHPLLLLPFSTHHQTTTVATNSGELLHLRLRRSRLIIRRPFPPPAKQ >itb06g23470.t1 pep chromosome:ASM357664v1:6:25428533:25429222:1 gene:itb06g23470 transcript:itb06g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVTRLCSYTGRFTATFFSAWLPQALRITILAMFVVMNRCGQAYLRYQYRAMVKKQGRKFVYRRKSFFSRPSAPAPAPECAICLSEFVEWEVGRELERCRHVFHAGCVEKWLLHGEGHGSCPLCRSPVVVPEANVGETWKYERGERLCFEEDLALLLLPGLPNICGAQC >itb13g22530.t1 pep chromosome:ASM357664v1:13:28829878:28832831:1 gene:itb13g22530 transcript:itb13g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDNYTASLAPSFPGLDYSSPHVGQTTTSCGNTNQVVDYMVNAHPTAPAPPQQPPLVPGFCGGSTSLDKLSFADVMQFADFGPKLGLNEANKVSEEETGLDPVYFLKFPVLNEKVQGDDGEFNEQEVGKEGGGSKEDGAGAGAVQLRFLDENVEKYAPAAAGAEGNKGKRKRPRVKTNEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGDAPPARPMAAGDSSTSPLPPLQQQPPFFPAPNEYEAAGICEETTAGSKSCLADVEVKLLGFDGMIKILSRRRPSQLIKVIAALEDLQLSILHTNITTIEQTVLYSFNVKISSETRYTAEDLANSVQQIFSFINSNSI >itb12g23110.t1 pep chromosome:ASM357664v1:12:24983473:24984411:-1 gene:itb12g23110 transcript:itb12g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNVAMNQMGSGGGGPELHLTRNSGEEEKGSGLNNHGLMRREQDFMADTTTNSSDGNEGHAEEVSRGDGQHGQEQNPDPGARVVGEPGSSGRRPRGRPPGSKNKPKPPVVITKESPNSLHSHVLEISSGSDIVDCIATFAQRCHRGVSVLSGSGTVTDVTLHQPTAPDGVVTLQGRFEILSLSGAFLPAPSPLRTTGLTIYLAGGQGQVLGGNVMGTLVASGPVIVIAATYMNAAYQRLPLEEEATDEGMQLQPAVDAGSSAAHSHGLADSSSSIPLYNLPPNLLPNAQHPHEALWPPAPRPPSSY >itb13g18520.t1 pep chromosome:ASM357664v1:13:25461736:25465100:-1 gene:itb13g18520 transcript:itb13g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMLGHGGLNLKETELCLGLPGGGGNENESMKTTGKRGFSETVDLKLNLQSADSNCLDLSETKMQAPPKEPNKDPIKPPAKAQVVGWPPVRSYRKNVMAHQKSNNGEEGEKISGNNFVKVSMDGAPYLRKVDLKVYNTYNQLSDALANMFSSFTTGYYGAQGMIDFMNERKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIIKGSEAIGLAPRAMEKCKSKNKI >itb13g26530.t1 pep chromosome:ASM357664v1:13:31762360:31767435:1 gene:itb13g26530 transcript:itb13g26530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIKMQLEWIKLISLERWLAATTYFEGFHLASIGRVMGIIGGWKLVVIALFYCSVAVAAEQRLRFDATDGEFRILQVADMHYATGKSTPCLNVLPEQVASCSDLNTTAFINRMILAEKPHLIVFTGDNIFGRDTRDPAASMNAAFAPAISSNIPWAAVLGNHDQQSTLSREGVMKHIVGMNNTLSQLNPTEDIDGFGNYNLEVLGGNGSELANKSVLNLFLLDSGDYSTVPSIPGYGWIKLSQQAWFKSTSRNLQRTYMSSPAPQRAPAPSLVYFHIPLPEYASFDSSNFTGVKMEKGISSASVNSGFFTTMLETGGVKGVFTGHDHLNDFCGELKGINLCYAGGFGYHAYGKAGWARRARMVVATLDKTEKGVWGDVKSIKTWKRLDDEHLTTIDTQVLWTKTSAPGFGSGQLEMGIGRWKLVVAAAVMIAFHCSGAVAEQRRLRFDAKNGQFRILQVADMHYADGKATHCLNVLPQQEASCSDLNTTAFIHRMILAENPHLIVFTGDNIFGFDATDAAASMNAAFAPAVSSSIPWAAVLGNHDQESTLSRKGVMEHIVGMKNTLSQLNPTEDIDGFGNYNLEVLGVNGSELASKSVLNLFFLDSGDYSTVPSIPGYGWIKPSQQVWFQHTSRKLKRTYMSSPAAQRAPAPSLVYFHIPLPEYASFDSSNFTGVRQEGIGSASINSGFFTTMVETGDVKGVFTGHDHINDFCGEMMGINLCYAGGFGYHAYGKAGWARRARMVVATLEKTEKGVWGDVKSIRTYKRLDDEHLTAIDREVLWSKRSAGGRRKKKIGHPRRTF >itb10g25730.t1 pep chromosome:ASM357664v1:10:28932483:28934941:1 gene:itb10g25730 transcript:itb10g25730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTHTTLLSFVYSDPMAHSFLLSLLFLLLTVPAALANGSPKTYIFRVDSRTKPAIFPTHYHWYTSEFTQPSNILHVYDTVFHGFSASLNPSQAASVLRHPSILAAFEDRRRQLHTTRSPQFLGLRNQRGLWSDSDYGSDVIIGVFDTGVWPERRSFSDLNLGPVPSRWKGVCETGAKFTAQNCNRKIVGARFFSKGHEAGGFGGIGGGINDTIEFRSPRDADGHGTHTASTAAGRYAFRASMSGYASGIAKGVAPKARLAIYKVCWKNAGCFDSDILAAFDAAVADGVDVISISIGGGDGVSSPYYLDPIAIGAYGAVSRGVFVSSSAGNDGPNGMSVTNLAPWLMTVGAGTIDRNFPAEVVLSDGRKFSGVSLYAGSPLKGKMYPLVYPGKSGVLSASLCMENSLDPNEVRGKIVICDRGSNPRVAKGMVVKKAGGIGMILANGVSNGEGLVGDAHLLPACSVGSYEGNAIKSFLSSNTTASATINFYGTVIGVKPAPVVASFSARGPNGLNPEILKPDLIAPGVNILAAWTDAVGPTGLDLDTRKTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTASRVNNKLQAMTDEATGKAATPYDFGAGHLNLDLAMDPGLVYDLTNEDYVTFLCAIEYGPKTIQVITRSPVNCPMKKPVPENLNYPSIAALFSSSATGTSSKSFFRTVTNVGQTNAVYRVKVEAPKGVSVSVKPTKLVFSETVRKLNYYVTISMDSKNVVLDDSGAVFGSLSWTDGKHIVRSTITVTQLEPL >itb13g10920.t1 pep chromosome:ASM357664v1:13:15854206:15855760:-1 gene:itb13g10920 transcript:itb13g10920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGDNSLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMPVNRVGDFGLAPGISGCFTLFQTVDFSTIFARASAPRNSWISCNMRFNAITLICILLFIGAVGKSAQIGSHTWSPDAMEGPTPVSALIHAATMVTAGVFMIARLGSFIRAFLKMGTLWANAYKLGLCSFDDSVHGCHESIGIEYGIFSTLSAIAAEMGQLQNEIQERRRVLNLFLRSVRTLDPARKEARIRAARERIEDLEGRQQALRAEQQALIVQAVTHGHRGY >itb10g24070.t1 pep chromosome:ASM357664v1:10:28084025:28090213:1 gene:itb10g24070 transcript:itb10g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVYSGTGESNVKCSDPESVERLSLSDNILKNSNCESLGENAIEFECQNRDSEPLGQTMAIRNGNAERKPAETRVAEFELVGELSPNYISIDSNQEQKRPEFKDCRENSKTEQIIFPSGNEATNSTVEYSAEPLQVMPAVSMDSNNEQKIPSLEDCGQNSKIEDNMGTSSTIESSIEPPKELPTENIFTDSNLEQKNLPLKNCGFHSNLVMALPSENKDTNFPVQYPAEPPNDVIKNHDCEQLKETQKDAVEIPSEPLGPKSENDENLGERTIVESVCNEVLLPSGDVAVNSSLEQKESAPEDLTVDSSFKNLELLHENEEAISIVDHLAELHGDASENPGQDLSKIRRDSNENSTQLECADERPTGCSRKRKATLGSPVISTIVLRSRTQEKPKPVEPIHASADDSATDEKKRKRRKRKHSKQIAVNEFSGIKSHLRYLLSRIKYEQNLIDAYSAEGWKGQSLEKLKPEKELQRAKSGIFHYKLKIRDLFQRIDTSLSQGKLPESLFDSEGQIDSEDIFCAKCGSKDLPADNDIILCDGACERGFHQLCLEPPLLKEDIPPGDEGWLCPGCDCKVDCTDLLNDLLGTDLSVTDSWEKVFPEEAAAAASGKQLDDISGLPSDDSDDDDYNPDNPEVEENVSQDESNSDENDSSDASFDLETTANDDILHGLPSEDSEDEDFDPDAPDHDEQVMQDSSSSDFSSDSEDFGVVFENNTSPGQDQREKIKVGGAKQHCLETTANDDILLGLPSEDSEDEDFDPDAPDHDEQVMQESSSSGFTSDSEDFGVVFENNTSPGQDQCEKIKVGGAKQQSLKDEVSYLLHSSTVLASGKRQVERLDYKKLHDETYGIASSDSSDEDYEDNSAPKRRKKGSDKAALKSSDQSQLDAMDKNFKQNEFEHTANRSASNTANEIEHTANTDNRSASNMVVSESGSSGKKNLRFGEDAIKRLNEAFKENHYPKRNVKESLARELGLTLRQVDKWFGNTRWSFYHPSASRKEPTASETPPKSGISLPQMEEATPDVQECLKEDVRLLQPETKETDVKNHTTPESKTTSNADDQASNQSSKTQRSKKRNTQVDIANSQRARRSNR >itb14g03580.t3 pep chromosome:ASM357664v1:14:3167728:3170426:1 gene:itb14g03580 transcript:itb14g03580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSITIAQLCDYGPCNNDCTFGHLVKNKGALTVEMKQWFGRLIMNLTISLIFGEQGDEEEESKVQESIRRMMELFAEPVVGDFFPWLRWLDIGGHEKAMKQTAMEMDSFAQRSIEEHRRKRNNPNFNGKDEDFMDIVLSLFDGASKPSLPNGYDIDVVIKSTCLSVLIGAAETSIVTLTWALCLILNNYSVLERIQDELNTHVGKQRCIEESDLNQLIYLQAVIKETLRLYPPAPLLVPHEAMEDCTVNGYNIQKGTRILVNIAKIHRDPMVWAEPDKFKPDRYLTSHKDIDVRGNNFELIPFSSGRRICPGISLGLQTMQLTLASLFHSFDTRRLSNEPIDMTESSGVTNVKATPLQALLIPRLASNLYG >itb14g03580.t1 pep chromosome:ASM357664v1:14:3166811:3170426:1 gene:itb14g03580 transcript:itb14g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEYQAMDFLSPFRNNITFSTLACTFVLLFLLYKLLLANHKPHGHNQNPAPELPGALPIIGHLHLLMGAKKPAHFIFGSMADKHGPIFRIRLGNQQTLVISSSEIAKECFTTNDKALATRPKSLASQILGFNYTILAVAPYGAFLREIRKILSQEMLSSSRIESLRPTRESHMRKSIKRTFASNYCVDQEYKNKGALTVEMKQWFGRLIMNLTISLIFGEQGDEEEESKVQESIRRMMELFAEPVVGDFFPWLRWLDIGGHEKAMKQTAMEMDSFAQRSIEEHRRKRNNPNFNGKDEDFMDIVLSLFDGASKPSLPNGYDIDVVIKSTCLSVLIGAAETSIVTLTWALCLILNNYSVLERIQDELNTHVGKQRCIEESDLNQLIYLQAVIKETLRLYPPAPLLVPHEAMEDCTVNGYNIQKGTRILVNIAKIHRDPMVWAEPDKFKPDRYLTSHKDIDVRGNNFELIPFSSGRRICPGISLGLQTMQLTLASLFHSFDTRRLSNEPIDMTESSGVTNVKATPLQALLIPRLASNLYG >itb14g03580.t2 pep chromosome:ASM357664v1:14:3166811:3170426:1 gene:itb14g03580 transcript:itb14g03580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEFNYNSLLIKTRACTFVLLFLLYKLLLANHKPHGHNQNPAPELPGALPIIGHLHLLMGAKKPAHFIFGSMADKHGPIFRIRLGNQQTLVISSSEIAKECFTTNDKALATRPKSLASQILGFNYTILAVAPYGAFLREIRKILSQEMLSSSRIESLRPTRESHMRKSIKRTFASNYCVDQEYKNKGALTVEMKQWFGRLIMNLTISLIFGEQGDEEEESKVQESIRRMMELFAEPVVGDFFPWLRWLDIGGHEKAMKQTAMEMDSFAQRSIEEHRRKRNNPNFNGKDEDFMDIVLSLFDGASKPSLPNGYDIDVVIKSTCLSVLIGAAETSIVTLTWALCLILNNYSVLERIQDELNTHVGKQRCIEESDLNQLIYLQAVIKETLRLYPPAPLLVPHEAMEDCTVNGYNIQKGTRILVNIAKIHRDPMVWAEPDKFKPDRYLTSHKDIDVRGNNFELIPFSSGRRICPGISLGLQTMQLTLASLFHSFDTRRLSNEPIDMTESSGVTNVKATPLQALLIPRLASNLYG >itb12g20190.t1 pep chromosome:ASM357664v1:12:22668947:22672487:-1 gene:itb12g20190 transcript:itb12g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRPFLYTSVFLLIILLLNATMAVSHTKAMRPKDNQWKQLSVNLTQVEYSEQQFMKWVRFVGSLKHSVFKAAKNKLFPSFTLTVDKNPAHGDFTSIQDAVDSLPLVNLVRVVIKVHAGVYTEKVNIPPMKSFITIQGAGADNTIVQWGDTAQTLGPNGRPLGTYGSATFAVNSPFFIAKNITFKNTTPVPPPGAIGKQAVAFRISADTAAFVGCKFLGAQDTLYDHIGRHYYKDCYIEGSVDFIFGNGLSFFENSHLHAIAQMTGAVTAQGRSSLLEDTGFAFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWHNWGDPNREMTVFYGQYKCSGPGANFAGRVSWCRELTEEEAKPFITLGFIDGFEWLKL >itb01g17130.t1 pep chromosome:ASM357664v1:1:21826660:21830546:1 gene:itb01g17130 transcript:itb01g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVTEIESDDSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLHDFMQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTENRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCKQILEGLLYLHSRSPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYAEEYNELVDIYSFGMCILEIVTFEYPYSECTHPAQIYKKVISGKKPDALYKVTDPEVRRFVEKCLATVSDRLSARELLDDPFLQDDDFAFDMKMRALDYEKDYNMGPMLIQPHLKFNHNNGSLVNGYSNYLGFERDDNLDYHRNEIDLFMSQDNDGNLENLDISIQGQMKEDNGIFLRLRIADKEGRVRNIYFPFNLESDTALSVATEMVAELDITDQDVTKIADMIDGEISSLVPEWKGGLAMDETTNLTEIDYGHSCASNGLYGSYRSSHGPGSRSVQVLQSSMHGCEAVHGRFEEITYQFDESEQCITEGAPEGSCESISIHYADIWAQHDRPKFSSTGSGECHSGAHEEQCDEPTFAHDDRTIAVDDESKFPVRDSPGADSLGARTVLKDYENEIRQELRWLKAKYEMQLRELRDHQLGVAPKYLTLNPDDSNKTDKSSAIPIPMNKARDIPPKSSSSRKQLTKYALQEEKTYETAYSSCSPVHMVTAKSYYTGALLPQPLNRATSLPVDAIDF >itb10g14040.t1 pep chromosome:ASM357664v1:10:20295374:20298172:-1 gene:itb10g14040 transcript:itb10g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITFLHLSASVSVLVLTLILLARFPQQRKYLSSSSTFHELKMTEPKIFELNNGTTQIKVSNFGCSILSLSVPDKDGKLDDVVLGFDTLEPYLKGMAPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNPPNSLHGGQKGYDKVVWEVVEQKQGEIPSITFKYQSHDGEEGFPGDLSVTATYTLTSKTTLKLDMEAVPGNKPTPVSLAQHTYWNLAGHSSGDILGHTAQLWANHVTPLDQNSIPTGEILPVKGTPFDFTTEKAIGRDIQQVGIGYDHNYVLDCGDEKEGLKHAAKIKDPKSSRVLNLWTNAPGMQVYTANYVNGVVGKNGAVYNKHAGVCLETQGFPNAINTPNFPSIVVQPGEKYQHTMLFEFSVE >itb10g14040.t2 pep chromosome:ASM357664v1:10:20295412:20297804:-1 gene:itb10g14040 transcript:itb10g14040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNPPNSLHGGQKGYDKVVWEVVEQKQGEIPSITFKYQSHDGEEGFPGDLSVTATYTLTSKTTLKLDMEAVPGNKPTPVSLAQHTYWNLAGHSSGDILGHTAQLWANHVTPLDQNSIPTGEILPVKGTPFDFTTEKAIGRDIQQVGIGYDHNYVLDCGDEKEGLKHAAKIKDPKSSRVLNLWTNAPGMQVYTANYVNGVVGKNGAVYNKHAGVCLETQGFPNAINTPNFPSIVVQPGEKYQHTMLFEFSVE >itb09g15890.t1 pep chromosome:ASM357664v1:9:11204679:11205716:-1 gene:itb09g15890 transcript:itb09g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPLLCAGLVSNKMPRNRKYHHHHHPLPYSINAADDEYIDIEVSNSFSPESRSFEFQMCSVFGDDEEDHTAIFPADDLFYKGKLLPLSLQTLPSVEEDDFIIMDFASSTNTPPFKPCRLSFELSNNGFTTLISNKHPPGKFWSEKLRLIKKCLITKKLKASRAYLRSLFRKSPCSIEATSSSADYKSPPGTEKQAPNGKKNPLFPSMGRWRHPIIAGVIKKINKGRIIEDNKVNHRRSFSFSFSSAAEFKRHRSPIKCLAPPSSSAISSSSFSSSSSLSFSSSDFYELNFHNRSFSFASCSDFEGSIEAAISHCKQSQELPNPRKSFIESGHYHCLLPRLQPAN >itb12g19620.t1 pep chromosome:ASM357664v1:12:22028459:22029963:-1 gene:itb12g19620 transcript:itb12g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIQKCSEGHFCQYTDRLLPLWSGEVQLQDRQNPTVDSLASLFASLSATSLFLSLSLFISFTRITHSELYGNRHLRNQRSRNLSRTAPSISELGGLKWRVDSKTTRGMSGRYEVCLSCLRTGGASTVIAWGLNMCALIFGHLFAQPAVGYIGSLHTE >itb05g28000.t1 pep chromosome:ASM357664v1:5:31179268:31179858:-1 gene:itb05g28000 transcript:itb05g28000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRGEGLIGVIGKTTTPTPKVNANSYNTRFAVLDTGEAEIEQAQEVMEEILIQSPKNKSPTTKSPLSSKGKRPSVQISEKQIINDKEPWAKRGSNTSAIREHPQRNGECSGRNMQAAAQAEHTVVRGNNTNGGNVSITVVTHESDEQFFGVPETMNEEHHNDPPDPLVDSMDEDPSSGDTRDPICTSKEDVMEV >itb03g16350.t3 pep chromosome:ASM357664v1:3:15344670:15347494:-1 gene:itb03g16350 transcript:itb03g16350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPAYYSPPRRGYGGRPRSPPRRGYGGGGRHREQNHGSLLVRNIPLDCRPEELRAPFERFGLVRDVYLPKDYYTGEPRGFAFVQFVDPYDAAEAQYHMDGKVFAGRQISVVVAAETRKRPEDMRRKTRVRGPSGNGGRSSYYGRSHSRSRSRCPPYASGSRARHRSRSYSPDQQRRDDYSISPDRRQSGHPVSPDRRQSGHPISPDRRHSDHPRSPRERGVHHRRRSYSPGYENIAGENGNSHGKEHLNEAKEAQTRWRSSPRQTSRSPPRSRSRSADILPRDS >itb03g16350.t1 pep chromosome:ASM357664v1:3:15344670:15348233:-1 gene:itb03g16350 transcript:itb03g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPAYYSPPRRGYGGRPRSPPRRGYGGGGRHREQNHGSLLVRNIPLDCRPEELRAPFERFGLVRDVYLPKDYYTGEPRGFAFVQFVDPYDAAEAQYHMDGKVFAGRQISVVVAAETRKRPEDMRRKTRVRGPSGNGGRSSYYGRSHSRSRSRCPPYASGSRARHRSRSYSPDQQRRDDYSISPDRRQSGHPVSPDRRQSGHPISPDRRHSDHPRSPRERGVHHRRRSYSPGYENIAGENGNSHGKEHLNEAKEAQTRWRSSPRQTSRSPPRSRSRSADILPRDS >itb03g16350.t4 pep chromosome:ASM357664v1:3:15344670:15348233:-1 gene:itb03g16350 transcript:itb03g16350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVFAGRQISVVVAAETRKRPEDMRRKTRVRGPSGNGGRSSYYGRSHSRSRSRCPPYASGSRARHRSRSYSPDQQRRDDYSISPDRRQSGHPVSPDRRQSGHPISPDRRHSDHPRSPRERGVHHRRRSYSPGYENIAGENGNSHGKEHLNEAKEAQTRWRSSPRQTSRSPPRSRSRSADILPRDS >itb03g16350.t2 pep chromosome:ASM357664v1:3:15344670:15347812:-1 gene:itb03g16350 transcript:itb03g16350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKVFAGRQISVVVAAETRKRPEDMRRKTRVRGPSGNGGRSSYYGRSHSRSRSRCPPYASGSRARHRSRSYSPDQQRRDDYSISPDRRQSGHPVSPDRRQSGHPISPDRRHSDHPRSPRERGVHHRRRSYSPGYENIAGENGNSHGKEHLNEAKEAQTRWRSSPRQTSRSPPRSRSRSADILPRDS >itb07g02880.t1 pep chromosome:ASM357664v1:7:1926330:1927910:1 gene:itb07g02880 transcript:itb07g02880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTALSDSESVVDFVVNEGRGVKGLSEMGIKALPRQYIQPPKERIAANAIVSDDSIPTIDVSNWDDPAVARAVCKAAEEWGFFQIINHGVPVELLESLKAATYRFFRLPVEEKRKYSKANSPSENVRYGTSFSPESEKTLEWKDYLSLFYVSDDEAAALWPPACRHEAIEYMKQCNAMIKNLLEMLMRGLNIHKLEESKEPLLMGSKRINFNYYPKCPNPELAVGVGRHSDISTITVLLQDQIGGLHVRKIHSQTWVHVPPVHGALVINIGDALQILSNGRYKSAEHRVSANGGSDRISVPIFVTPDPECRVGPLPEVLASGEKPLYKEVVYSDYLRHFFGKAHDGKETIEFAKI >itb06g05790.t1 pep chromosome:ASM357664v1:6:8498997:8501916:-1 gene:itb06g05790 transcript:itb06g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MISSMHHVRCPIPGLAFLERTSLPIKYPVTESSCTAMMSSIHVRCSPTLWLGLAFLGNTPSLIRNRVLFPRNVFSCPAKFAESELEGSFSFRTVPPNLVAAEKEEAKAVLTLFLKKQGLSNAGSARIIKKSEAFIDHLVSRLHSIHKSHYLVGRELTTLEIRDALIPYLETLHEEYGDILVDVVESYPNLPVKVEEKEEEDIHKPPLPTTPSSPPSAILDSKKLKALARVTDPGPKRKLPSYVPYLAELGMDIELIRKITRKFPAFAFYSLEGKIKPVVEFLLELGIPKSQVVTILTKRPQLCGISLSENLIPTMTFLENLGVDKTQWAKVIYRSPSLLTYSKPRLKVTVDFLYEMGLSAESVSKVLTRCPNIISYSVEDKLRPAAEYFRSLGVDVATLLYRCPQTFGLSINTHIKPTTEFFVGKGYSVAEVASMVSKYAALYTFSLATLVLKWDFFLTMGYPKSELIKFPQYFGYSLEERIKPRYAIMIDNGVRLLLNQLLSLSDDEFDKALKKKLKKLDEN >itb08g16000.t1 pep chromosome:ASM357664v1:8:18163320:18169551:1 gene:itb08g16000 transcript:itb08g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSEIEHTLRLPPHLLNLALNEAIKGELEGLFVDKVIAQLGLCISVYDIRSIDGGFIFPGDGASTYTVKFRLIIFRPFRGEVIAARLKESNAQGLRLSLGFFDDIYVPAVLMPNPSHSEPDPESRNQVRWIWEYEGEKYPIDGTDEVRFRVLEISYPSLPLNQDKDAKPFAPMLITGSLDADGLGPVSWWV >itb08g16000.t3 pep chromosome:ASM357664v1:8:18164738:18169279:1 gene:itb08g16000 transcript:itb08g16000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSEIEHTLRLPPHLLNLALNEAIKGELEGLFVDKVIAQLGLCISVYDIRSIDGGFIFPGDGASTYTVKFRLIIFRPFRGEVIAARLKESNAQGLRLSLGFFDDIYVPAVLMPNPSHSEPDPESRNQVRWIWEYEGEKYPIDGTDEVRFRVLEISYPSLPLNQDKDAKPFAPMLITVKFRLIIFRPFRGEVIAARLKESNAQGLRLSLGFFDDIYVPAVLMPNPSHSEPDPESRNQVRWIWEYEGEKYPIDGTDEVRFRVLEISYPSLPLNQDKDAKPFAPMLITGSLDADGLGPVSWWV >itb08g16000.t2 pep chromosome:ASM357664v1:8:18163321:18167264:1 gene:itb08g16000 transcript:itb08g16000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSEIEHTLRLPPHLLNLALNEAIKGELEGLFVDKVIAQLGLCISVYDIRSIDGGFIFPGDGASTYTVKFRLIIFRPFRGEVIAARLKESNAQGLRLSLGFFDDIYVPAVLMPNPSHSEPDPESRNQVRWIWEYEGEKYPIDGTDEVVIQSV >itb01g29500.t1 pep chromosome:ASM357664v1:1:33747647:33752065:-1 gene:itb01g29500 transcript:itb01g29500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSQTSHRPSRKRPPRRTLSAGTPTLQRWFVIYTALVYNRWKRPPETIGSDRRKLPCTLVCDLHCCPKPSLMAFFFNGGISLPFAGAEFRAWIPAILRWIAAASGGMMCGYSQQILGGLLSMPIFLNKLSRAWYLRQEMSYSTNFCDAQSVGMIYFSTLFTFGTCVGGFLGLVMHSRRWPRFTSFLGAVLVLGGAVTSAALVDPFPIISTLLFLLIGTGIGMIRVGIPVLLLRISPDHARDSLDRAFDLSFSLGGFVCYLTNYLVYKFSKLHWVSVFIVLGVIVLVFLLALILVGRINARDTTEPYRPIRVLMREHRPLVIFRVGFGILPQLLGLGSLVLVPFAFESINFGANDLPFTALVVWGALAFVITTISSFYVIPRFGRGTIFLSLLAFALSQVSLGPVLRRAGNARNGILRYPFNRIVFVLLAFGFSSQAIVVGPYGWTSSTYPLELARVGRAIEFIAILGFNSIMMTTELNVYFTIIIQHMKGKGREGGGHTTNK >itb07g04540.t1 pep chromosome:ASM357664v1:7:3022012:3025081:-1 gene:itb07g04540 transcript:itb07g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVAKFWEKAYNMAEGGSRYCSKKKDDVCYEDSNRALSMSRLKCILRGLDFKVYVFLFVLVPTCVFGIYVHGQKITYFLRPLWEKPPKPFHEIPHYYHENVSMENLCKLHGWKIREFPRRVYDAVLFSNEVEILTIRWKELHPYVTEFVLLESNSTFTGLPKPLHFAINRKGFDFVESRLTYGQAPGRFRRGENPFIEEAYQRLALDYLLKQAGIQDDDLLIMSDIDEIPSRHTINLLRWCEDIPPVLHLRLKNYLYSFEFLVDNNSWRASVHRYKSGKTRYAHYRQSDDILADAGWHCSFCFRHISEFIFKMKAYSHFDRVRFSHFLNPKRVQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLENADNYKFLLPGNCLRESG >itb02g22560.t1 pep chromosome:ASM357664v1:2:22036406:22039018:-1 gene:itb02g22560 transcript:itb02g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGKLCNEGVQAEAQTWNHILNYIDSATLKCAVEIGIPDIIHNHGKPMSLSHLISALPIHPSKAPYIHRLTHFLVHTGLLLVTKHGRDDDDEQEEYYSLTPAGRLVVGDDESVNLRAFVHLCIGYVYQKSCNSLGDWFKNDDPSPFCTAHGQKIWDFFSHDSGYSELFNEAMTKDSQLIVEALLSGEASKDVFDGVTSLVDVGGGKGGVASAIAKCFPAMKCTVLDLPHVIGCVNAGSSIENVEFVGGDMFESIPTANVVLLKWIMHNWNDEECVKILKKCKEAIPSRELGGKVIIIDMVMEDPKQDACGSKAQIYMDMLMMVLLGSKERNKKQWEKLFWEAGFSDYKISSTLGIRSLIQLFP >itb06g04670.t1 pep chromosome:ASM357664v1:6:7467778:7469118:1 gene:itb06g04670 transcript:itb06g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPGAQGFPFWAVISESRRIIRAHNRHFFALSLLFLFPLCLSSVIYLALYAALSHHDGFYSQPILLPLLFNLFSLFFTLCALGTITYSTFNAFNGRPLTLASSIKSLLHTFLPLLSTQFVYLTIVFCLAMVFGVLAALLLTGLQILGVVEVNFDRLSISFKVLAIMLVLLLVSIVLWLQVKWCLAYVVVVEESKWGFEALRRSGQLMKKGMRGVALCVSLYFVIPSLIMTYLMMMVVVGASSGHWSWTLVFQAVLYTVFETQLMLHAFAANVVLYIYCKALAEGDFGSNYVCLPFDDAHKVSEVV >itb11g13830.t1 pep chromosome:ASM357664v1:11:10867720:10868130:-1 gene:itb11g13830 transcript:itb11g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSKRNDGGALVAKPPAADNRKSASLPASSITVSKKIIIKSADMKDDMQKEAVDIAIAAFEKNSVEKDVAEHIKKEFDMKHGPIYPTAMAARLGSTTQT >itb07g23410.t1 pep chromosome:ASM357664v1:7:27814177:27815506:-1 gene:itb07g23410 transcript:itb07g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGEVKVLGTWWSPFALRTMIALNLKSVGYELIEETFVPKSELLLKLNPVFKKIPVLIHNDKPISESLITVQYINDVWTSGPSIVPTDPYDRAIASFWSCYIDDQLVSNLRAISAAAGDLEAKKAAIDKLVEGLVLLEEAFKSISNDKKFFGGDTIGNLDIALGSFIGWFKVVEAFNDGVKLFDETKVPGLVKWAEDFVDDSAVKDVFPVIDKLVEFARVFLSKTTQSS >itb06g20160.t1 pep chromosome:ASM357664v1:6:23251201:23252060:-1 gene:itb06g20160 transcript:itb06g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSKIRHIVRLRQMLRRWRKKAARGRVPADVPTGHVAVTVGSSCKRFVVRATYLNHPVFVKLLSQAEEEYGFTNAGPLTIPCDESLFEEALRYVARPQSNAGSARCVNLTELQRYCSVGLRNNLEIWTESRPLLHGVSDKSVC >itb06g14190.t2 pep chromosome:ASM357664v1:6:18789756:18792937:-1 gene:itb06g14190 transcript:itb06g14190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSLMMLLLRRRRALEANEIALITKSKADQNGSKGAKQINGHTISEEKQSSTFSLDCKGGFFADQFENLANFRAHYEGTGPEIWEQTGGELDAFVAAAGTGGTVAGVSCFLKEKNSNIKCFLVDPPGSGLFNKVIRGVMYTREEAEGRRLKNPFDTITEGIRINRLTENFKLAKLDGAFRGTDMEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRAARALGPGHTIVTILCDSGMRHLSKFFNYEYLSQHGLTPSATGLEFLGFS >itb06g14190.t1 pep chromosome:ASM357664v1:6:18789736:18793020:-1 gene:itb06g14190 transcript:itb06g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAGVIVTALSIAVVVSYFLSKHTEKKSSRRRQTASLKKSRNGLVAAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGALAEGGIVTEGSAGSTAISLATVAPAFGCTCHVVIPDDAAIEKGGFFADQFENLANFRAHYEGTGPEIWEQTGGELDAFVAAAGTGGTVAGVSCFLKEKNSNIKCFLVDPPGSGLFNKVIRGVMYTREEAEGRRLKNPFDTITEGIRINRLTENFKLAKLDGAFRGTDMEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRAARALGPGHTIVTILCDSGMRHLSKFFNYEYLSQHGLTPSATGLEFLGFS >itb06g14190.t3 pep chromosome:ASM357664v1:6:18789736:18793020:-1 gene:itb06g14190 transcript:itb06g14190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAGVIVTALSIAVVVSYFLSKHTEKKSSRRRQTASLKKSRNGLVAAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGALAEGGIVTEGSAGSTAISLATVAPAFGCTCHVVIPDDAAIEKSQILEALGATIERVRPVSITHKDHFVNIARRRALEANEIALITKSKADQNGSKGAKQINGHTISEEKQSSTFSLDCKGGFFADQFENLANFRAHYEGTGPEIWEQTGGELDAFVAAAGTGGTVAGVSCFLKEKNSNIKCFLVDPPGSGLFNKVIRGVMYTREEAEGRRLKNPFDTITEGIRINRLTENFKLAKLDGAFRGTDMEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRAARALGPGHTIVTILCDSGMRHLSKFFNYEYLSQHGLTPSATGLEFLGFS >itb06g21310.t2 pep chromosome:ASM357664v1:6:23932296:23934000:1 gene:itb06g21310 transcript:itb06g21310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLESPAGVGFSYSNTSSDYDITGDKSSAKDAYTFLINWLERFPHYKNRDFYITGESYGGHFVPQLAYTILAHNNKPNRPFINLKGIATGNPLLDIVITLKSIYEYLWTHALISDETYSVISKECDYIHMNISATCGLYQAKAVMEMGLVSLADIYTNYCFNDVPKQQCHGLGYNPCSAGYVISYLNQEEVQKALHAIKTFWIVCSPKVGSSHWKDSAITTLPIVKQLIAKKLKIWIYSGDTDALLSVASTRYAIKAMKLPVEVAWRAWHCDGCKDVGGYVEGYKGLTLVTIRGAGHSAPSYQPERAFTMISSFLQGKLPPPFRDLYIHKQGTIPLLMQQEGS >itb06g21310.t1 pep chromosome:ASM357664v1:6:23932296:23934059:1 gene:itb06g21310 transcript:itb06g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLESPAGVGFSYSNTSSDYDITGDKSSAKDAYTFLINWLERFPHYKNRDFYITGESYGGHFVPQLAYTILAHNNKPNRPFINLKGIATGNPLLDIVITLKSIYEYLWTHALISDETYSVISKECDYIHMNISATCGLYQAKAVMEMGLVSLADIYTNYCFNDVPKQQCHGLGYNPCSAGYVISYLNQEEVQKALHAIKTFWIVCSPKVGSSHWKDSAITTLPIVKQLIAKKLKIWIYSGDTDALLSVASTRYAIKAMKLPVEVAWRAWHCDGCKDVGGYVEGYKGLTLVTIRGAGHSAPSYQPERAFTMISSFLQGKLPPPFSSKV >itb12g03080.t1 pep chromosome:ASM357664v1:12:2047579:2051103:-1 gene:itb12g03080 transcript:itb12g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKVRGGVGRRSSTTPYSSTITPIAFVALCLFGVWMLSSSTSSSSDSSTAVIPPKTTTRATGGGGGGVRLTTTDPNKEAFEDHPGDLPDDAIKGTDRDNEEEKQVKDLETENDDRRNRGVAAEEQESGDLESSSIKKPEIDDVAVEERRGGGDGGIAEETEQEKQRKEVETQTAAAEAQNTESEQTTTLNDNQQPETEEIKAQDPQIDTLDATKNMISDEDQQKRLEQQQQQEDDQVQPKRHPEHESHGITSEDQIQHDEATISGDDDTLKTHSSFSSSESNQETEHEERVQTDSVQAGETSGPQIPEESKESKKAWSTQAFQSVTQKERTKTTEEQDNNIANLNWQLCNVTAGPDYIPCLDNEHALHKLRTRRHFEHRERHCPEEPPTCLVQLPKGYKRPIEWPKSREKIWYHNVPHKLLAEVKGHQNWVKVSGEFLVFPGGGTQFIHGALHYIDFLQQAVPDIAWGKRSRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQIQFALERGIPAISAVMGTQRLPFPSGVFDIVHCARCRVPWDNEGGKLLLELNRVLRPGGYFIWSATPVYQKLPEDVQIWKVSMCWELVAIKKDKLNSIGAAIYHKPDSNDCYNQRKSKKPPMCKSVDDPNAAWYVPLQSCMHRVPTDETERGSKWPKEWPERLQTPPYWLNRSQVGIYGKPAPDDFAADYEHWKHVVSKSYMSGLGINWSTVRNIMDMRAVYGGFAAALKDLKVWVMNVVNVDSPDTLPVIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSRLKTR >itb07g08870.t1 pep chromosome:ASM357664v1:7:7515783:7519656:-1 gene:itb07g08870 transcript:itb07g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPIPRATRSARRRSVIWRKADDNQMCAFELLASVAGKLLLEGESSPSSKDKSRANENTSPEKVNIKKEKQNEDRTMLETSCNRGSKERTFFISELVSQAPVLNNCLSELPHSQNGILSGPASVITTSDFSDTIGLVEQLGNDGSKLELGGLNRTVDLDESGSKVFSSSKSGAAVGKSGGNRLSDNVKVSTDSKDGICSSEFPVLGDRKPSTLVCSDNSVELTLSRNHIPCGSLPPANRDDVKLGSRDDDENPLGCTLRSTSNKAFRPSLRMRDQRVKKLLASKYWELNSKSSDKQHLDADVESSTKHQRSLRDFPYKKRKLYDCGLVGNSDGGKSSDGMCTSLTRGYNGVKSSNGLCTTPNEGYNGDASRYCLTLPTAHSTTGPSTFSVDEQASFRPGDPHVKLKIKSFRVPELFIEIPETATIGSLKRTVMEAVTRILGDGLCVGVVFQGKKVGDDKKTLLQTGISHNNKLDALGFTLEPNPSQDPPLLCSEGHTQPYCDTPKPLKRYPAAHSIAHAVVQAGPANILNDQAGTNLINFIGSSHDLAPSRADVSLEKHAADSRALVAVPAVNLETFAAVPVRKPKRSEAARRRIRRPFSVTEVEVLVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLTAHAYWSQQQEKQQMKHHPETCLLL >itb08g14270.t1 pep chromosome:ASM357664v1:8:16011751:16013462:1 gene:itb08g14270 transcript:itb08g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRNHIIILPLCLALIGVYASEAAAEKLSPFCEGLPADNVPFCSQVLKGESKWEEAVVKMILATMAKVKAQQSKAETIGEKLPPTIPKSDRADVAEKCKSGYNYALDNLKHSLKRIRRGVYVRTDSVVYTAWAGIYECSGALKHFNVDMNVEPFKAQDEAEKAVGSCHGAVSKALDSAGLTESPQDEAD >itb13g07250.t1 pep chromosome:ASM357664v1:13:9021137:9025708:1 gene:itb13g07250 transcript:itb13g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSTFNFLMGTAFGVYLAQNYNVPDIRKFYTTGIVVAKHIEENYRKPKNGEGAACLGPTAVIGLLSAHWRLRWVCCFAHRKLRWLCCSPEVAMSVINC >itb06g23020.t1 pep chromosome:ASM357664v1:6:25177439:25179292:1 gene:itb06g23020 transcript:itb06g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSCNAETAIATCDSYNWEHLKKKKKKKCELTRPFELREFSYADLHAATNGFSQENLLGKGSHGFVYRATLPQGRRSFVAAVKITKQSEAFASFSAGSPAENEIELLSRVYHPRLVNLLGYGFHLDQKKLLVVEFMPNGSLYELLHCPKRPPRIPGLYLRVRFALQVAKAVHFCHVSNPPVIHRDIKSSNVLIDAKFNARLGDFGLALMGHVEDVAAKCTPPAGTLGYLDPGYLAPGDLSTKSDVFSFGILLMEIISGRNAIDMNYSPPSVVDWVVPLVKSGNYAEILDPRIDTPEDDGILRHLAVLSAQCVRKTAAKRPTMAEVVDSLTAVYKRLNSPIWNTIRRRVDCVRDSTRVAARYEPLDDSMEAVKISRAGSRRTRKVSSITTVEAGSNLIGGKSIHHAVKAKSIGSFAEIGYEPLDPISNQVGPGMGPRSVGFGTKMPTVRLSKSRSMGMMNSTDTRVKNPNGNELEESKLLVDQVRGTLKENS >itb10g19710.t1 pep chromosome:ASM357664v1:10:25460733:25466536:-1 gene:itb10g19710 transcript:itb10g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFPCSCLFWLLALLLLENATALTHLYRPFNNTISAVLIFGDSTVDSGNNNYITSVTKCNFPPYGIDFDENHTPTGRFSDGRLVTDYMASYIGVKEYVPPYLDSTLTTDELMTGVTFASGGSGYDPLTHQISRSISLDTQLEYFREYKKKLESEIGEEKTKSIVSNAAFLISAGTNDMVINYYATPFRRRSYTVAEYHQYLLQLTQEFIQDLIKEGAKVIGVVGMPPIGCLPAVITLFSGTDLISSRQCLDSYSAAARDYNHMLQEKLKALETPSTKIIFADIYKPLEDMIQNPTKYAKYVGVKDAVPPYLDSSLSTEELKTGVSFASAGTGFDPLTPKISNVISLQNQLENFKEYKAKMEEKIGKGETEGIVKNALFLVSAGTNDFVVNYNTLPIRRRTYTLPEYIHFVQQHLQEFLQGLWDEGGRRIGVVGLPPMGCLPVVITLHSNNAFTERGCIDLFSATARDYNSQLQGLLGAMQLKLAAQGARIAYQDIYGPLMDMMTQGNKYGFEEFSSGCCGTGMLEASFMCNPKSYVCRDPSKYVFWDAIHPTEKTGYLLSQALRPTIDSIISN >itb05g24480.t1 pep chromosome:ASM357664v1:5:29261625:29266314:1 gene:itb05g24480 transcript:itb05g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIAWNLLNQLEYILESDPLIDEIGFIHPSQFDVLNEEVGCTPWTAESISQSADNANISGKVLWSRDHKLGISTTALLPLYKAAKHVFMDSLRQYKMHTKVKDECGEGNVPKCPSPSLTILEKEVMKHSKTILLLCCDFGTAWNFRKLLLSEQQEYSMFMEELVLSDLVLSYSPKSERAWSHRRWVIKMIAGKCSNLQEIVDRESEFVKKLAERSKMNYRAWNHRCWLVAYMPVGQMFHELNKSREWAVLNVADNSCFHYRTRLMLGILEAFLNKDQNGFSGEELDEMWKDELDWDEKLIKLYVGREALWLHRRFLASCWLKHFASSSAYEIDRFIDNELQLFNSCATIIDSHFDDYQAQATYAATYIMWLTKQIQVESLGIEFERKLQVSGLKTLLNNACPQKAFLWDSLLQLCGSE >itb11g07180.t1 pep chromosome:ASM357664v1:11:4369862:4376001:1 gene:itb11g07180 transcript:itb11g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRGAATRCRWRIVNSVRGFCLNPSSETDLKLSTVVRPEEPPKGRNVQWVFLGCPGVGKGTYAARLSSLLGVPHIATGDLVRHELSARGPLSSQLAEIVNQGRLISDEIIIDLLSKRLETGESKGESGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALIAKCLGRRMCSECGGNYNVACIDIKDQQGNPGMYMPPLLPPPQCESKLIIRSDDTEEVVKERLRIYNELSRPVEDFYRHRGKLLEFDLPGGIPESWPKLLRALNLDDHEDKESAAA >itb11g07180.t2 pep chromosome:ASM357664v1:11:4374181:4375656:1 gene:itb11g07180 transcript:itb11g07180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKLSTSAYEILEGVTDIDLVINLKLREEALIAKCLGRRMCSECGGNYNVACIDIKDQQGNPGMYMPPLLPPPQCESKLIIRSDDTEEVVKERLRIYNELSRPVEDFYRHRGKLLEFDLPGGIPESWPKLLRALNLDDHEDKESAAA >itb09g01510.t1 pep chromosome:ASM357664v1:9:928201:931212:1 gene:itb09g01510 transcript:itb09g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLVERLESVADRLEALCSGGFQASRSLNVDGYAATDPSIVVFDGLISQYIWAITSAAEKIGGQILVDYKNNDPNHIEWAKALKELYVPGLRDYVKSHYPLGPVWNATGKHFVSAPPAPSSLFTSGSHQSSSTNSKGMSAVFAEINSGKPVTSGLRKVTDDMKSKNRADRSSIVGDTRKKGSAKSAFSSKAGNPKLELQMGRKWVVEYQIDEKNLVIDDCDPKQSVYVFGCKGSVLQIQGKVNNITLDKCSKMGVLFTDVVAAFELVNCKGIEVQCQGIAPTISVDNTSGVQLYLSKNSLEASITTAESTEINVSVPAKEGLDYDWEEHALPQQFAHVYKDGQFETVPVTHSSGG >itb08g07050.t1 pep chromosome:ASM357664v1:8:6003856:6005256:-1 gene:itb08g07050 transcript:itb08g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLTRVTSDLSTPKSAAITRVKKSSESLDVMEPLREVRDGYLISLNLGTPPQIFQVYMDTGSDLTWVPCGNISFDCLDCDDYKNNKILGSFSPSRSSSSYRDSCASSFCVNVHSSDNGFDTCAAAGCPLGALLKGTCLRPCPSFAYTYGDGVVSGTLTRDFLRVHGSSPNSSRDVPKFCFGCVGATYREPIGIAGFGKGPLSIPSQLGFLQKGFSHCFLAFRFANNPNVSSPLVVGDVAISSKDDLQFTPMLNSPAYPNYYYIGLEAITIGGSGAGAGGPGDSTNAAVTVTQVPLSMRNFDSSGNGGMLIDSGTTYTHLPEPLYSQLLSSLQSTIHFPRAKEAEMRTGFDLCYHVPCRSNATAGPGGDDDVSLPSITFHFLNNATLVLPQENHFYAMSAPTNSTQVKCLLFQSMDGAGAGDQYGGPAGIFGSFQQQNVEVVYDLEKERIGFRTTDCALQGLHNN >itb01g12380.t1 pep chromosome:ASM357664v1:1:11907226:11909703:-1 gene:itb01g12380 transcript:itb01g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIAEKKETESRTVDNTQPPTSLTESEAEDRERIAQDLKAGLHPLKNKYVFWYTRRTPGVRTQTSYEDNIKKIMDFSTVEGFWVCYCHLARPSTLPCPTDLHLFKEGIRPLWEDAANCNGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPSGYVMEYKPHDASLRDNSSYRNTWLRG >itb03g14700.t4 pep chromosome:ASM357664v1:3:14318084:14321094:-1 gene:itb03g14700 transcript:itb03g14700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMYTNEETDALTFGFAQTERNGRDAIGNHGQKALDLNATLGSSCNGVSAADIAADVDRRDREASFKFRRFGLDLNKEVVSSSLNHDPFYPSKDGENAESRDEFECASSFAPLEGKDSSFRAWNEMKQNGFCSYSHSSIPVPKRKSRNEGMEKKIELAKKECMDQFAKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVRSEKHENQKKSAERKDLEITNRNDVFNEPALSNTLSACKQSSDYSASLDQSISLKPELTRGDGDACLFPTSVLDLTTYPSNDMLALKLSLASTIISDNTSSLSNDETANPTSVTSLSIKAANVASQWLELLNQDVKGRLAALRHSRKRVQAVIQTEFPCLISKDFASNQENEPCSINSSIVQCDKSTATAHHARWSALFDQMDKSLFEEESQLETWQKKLREMQLQCVHGLLKHDMPNGLYQVTAFPNDHK >itb03g14700.t1 pep chromosome:ASM357664v1:3:14317757:14321094:-1 gene:itb03g14700 transcript:itb03g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMYTNEETDALTFGFAQTERNGRDAIGNHGQKALDLNATLGSSCNGVSAADIAADVDRRDREASFKFRRFGLDLNKEVVSSSLNHDPFYPSKDGENAESRDEFECASSFAPLEGKDSSFRAWNEMKQNGFCSYSHSSIPVPKRKSRNEGMEKKIELAKKECMDQFAKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVRSEKHENQKKSAERKDLEITNRNDVFNEPALSNTLSACKQSSDYSASLDQSISLKPELTRGDGDACLFPTSVLDLTTYPSNDMLALKLSLASTIISDNTSSLSNDETANPTSVTSLSIKAANVASQWLELLNQDVKGRLAALRHSRKRVQAVIQTEFPCLISKDFASNQENEPCSINSSIVQCDKSTATAHHARWSALFDQMDKSLFEEESQLETWQKKLREMQLQCVHGLLKHDMPNGLYQVTAFPNDHKLDKDSDRDLAVRAAAASIYSTCNFLSSMENLPCF >itb03g14700.t3 pep chromosome:ASM357664v1:3:14318084:14321094:-1 gene:itb03g14700 transcript:itb03g14700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKFGVGDQSLRETRSGSKIMVGERRQSMEVEERSELRHKRVKMRDLESVFRSEERNGRDAIGNHGQKALDLNATLGSSCNGVSAADIAADVDRRDREASFKFRRFGLDLNKEVVSSSLNHDPFYPSKDGENAESRDEFECASSFAPLEGKDSSFRAWNEMKQNGFCSYSHSSIPVPKRKSRNEGMEKKIELAKKECMDQFAKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVRSEKHENQKKSAERKDLEITNRNDVFNEPALSNTLSACKQSSDYSASLDQSISLKPELTRGDGDACLFPTSVLDLTTYPSNDMLALKLSLASTIISDNTSSLSNDETANPTSVTSLSIKAANVASQWLELLNQDVKGRLAALRHSRKRVQAVIQTEFPCLISKDFASNQENEPCSINSSIVQCDKSTATAHHARWSALFDQMDKSLFEEESQLETWQKKLREMQLQCVHGLLKHDMPNGLYQVTAFPNDHK >itb03g14700.t2 pep chromosome:ASM357664v1:3:14317757:14321094:-1 gene:itb03g14700 transcript:itb03g14700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKFGVGDQSLRETRSGSKIMVGERRQSMEVEERSELRHKRVKMRDLESVFRSEERNGRDAIGNHGQKALDLNATLGSSCNGVSAADIAADVDRRDREASFKFRRFGLDLNKEVVSSSLNHDPFYPSKDGENAESRDEFECASSFAPLEGKDSSFRAWNEMKQNGFCSYSHSSIPVPKRKSRNEGMEKKIELAKKECMDQFAKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVRSEKHENQKKSAERKDLEITNRNDVFNEPALSNTLSACKQSSDYSASLDQSISLKPELTRGDGDACLFPTSVLDLTTYPSNDMLALKLSLASTIISDNTSSLSNDETANPTSVTSLSIKAANVASQWLELLNQDVKGRLAALRHSRKRVQAVIQTEFPCLISKDFASNQENEPCSINSSIVQCDKSTATAHHARWSALFDQMDKSLFEEESQLETWQKKLREMQLQCVHGLLKHDMPNGLYQVTAFPNDHKLDKDSDRDLAVRAAAASIYSTCNFLSSMENLPCF >itb10g11970.t1 pep chromosome:ASM357664v1:10:17662029:17662877:1 gene:itb10g11970 transcript:itb10g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSFSLFPLALLLYSVTVCSALNITADASSLLALKSSIDIHTRPIIMANWSNASSVCDWVGVTCGHRHRRVTALDISQMGLSGTIPSQFGNLSFLVSLNASGNNFVGVLPNELHHLRRLRVFDFSANYLSGEVPSWFGFLSNLQILNLGHNGYTGPIPLSFLNLSNLESLNFESNLIEGQIPKDIGKLHLLKKLVLDLNKLSGAIPSTISNISTLEYLSLPGNLHLSGEIVPKSLSRCSKLRYLDLKENNFEGTIPPEVGNITRLVILFIGSNNNLRGTK >itb07g13310.t1 pep chromosome:ASM357664v1:7:15476380:15480732:-1 gene:itb07g13310 transcript:itb07g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNKSKSVRFQGDLESSAKYANNSNGDNMFKVKYKIDGTQLPELSSRKGGKNGKSLRIKALSRVFSEDYERVKKKILDPRGPIIHRWNKIFLVACLVSLFVDPLFFYLPVVGDNSCIDIGNRHKLVLVIIRSIVDVLYTIHLLVRFRTAYIAPSSQVFGRGELVVDSSKIALRYLRKGFWIDLVAALPLPQVLLWAVIPNLSGSATTNTKNVLRFIIIFQYLPRLYLIVPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHILGACWYLLSIERQEACWRRACTLESPSCQYSYFDCQRAKDSQRNAWFRASNITSQCNPESSDYPFGMYGDAVTESVTSAKFLNKYFYCLWWGLRNLSSLGQNLSTSTYVGEISFAIVVAILGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPQELRQSVRKYDQYKWIATRGVHEESLLKGLPPDLRRDIKRHLCFDLVRGVPLFDQMDERMLDAICERLKPALFTQGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSINLPSSTRTVKAVSEVEAFALEADDLKFVAAQFRRLHSKQLRHKFRFHSHQWRTWAATFIQAAWRRFKKRKAARARAMESAGANGDSRAPSEKKEAEMNALPPGSGFAAYAARFAGSRGLHHQYHKRSDSDSSAVSSLQKPAEPDFSVDDE >itb02g22330.t1 pep chromosome:ASM357664v1:2:21736443:21737724:-1 gene:itb02g22330 transcript:itb02g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPDGVRHMLEALTLREDDGEDESYWRTEPSGKFSVNSAFLLLHDYPTHVQERTWEKLWKLKVPNKFKTFIWTAMHDRVMSNAERKRRGFTTEDSCATCTGTTETTEHILRSCAKAKEIWRAFASAGRQRRWRQLDFKDWIADNINTDKGEVEDADWPNHFTIITWWLWRWRCERVFNDKEMMIQQKISWIKGVDDEIERAFARLNPTKPVTGRNRPIMIRWKATADHRFTLNVDGSVKHGIQKAGIGGVIRNNKGEWLGGLVPASQGSPLLSDLKKNVKIGLSPRIAYSLTK >itb13g12450.t1 pep chromosome:ASM357664v1:13:18633923:18635133:1 gene:itb13g12450 transcript:itb13g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNDEFVVGFDDSDGACGYKDYGRTVNDGAVCTVSNKLFNNGAGCGSCYNVICTNKALCSSAGTKVVATDNGGGPAGSDFICSYLAFTKLAKPGKESELVKKGVIDVVYEKVACNYPKNLIIKITDQSSNPGYLSFAVLNQGDVLSAEVYDMGSQGWTSMRRVYGAVFDLANPPEGAMKVRFTVGKVYGGTTLVYSKKWIPENWRAGRTIDTGIHL >itb15g00620.t1 pep chromosome:ASM357664v1:15:361794:363698:1 gene:itb15g00620 transcript:itb15g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSEEISFVMIPLMAPGHTIPMVDMAKLLASRGVTVTILLTTLHASRFKAVIDRAVSSGLPIRLRRLHFPAEEAGLPAGCESFDTLPSYTLATNFFAAINKLRDQAEKILEEITPRPRCIICDRNIHWTAQTAEKFKIPRIVFDGMSCFSQMSIHNLYILQADNRIPETTPFLIPDIPDRIEVTRAQLPGAFNPGTLDMDDVREKIKASEGGAYGYIINSFEELEHKYVDEFRKVKGGINVWCVGPLSLCNEDSVDDKAQRGNSTASIDQENCLKWLDSWQPGSVVYACLGSLARTTLAQFVELALGLEASNRPFILVVKAAGEKQLQVERWISSNQFEERVQKRSFLIRGWAPQVLILSHPSIGGFLTHCGWNSTLEGITAGVPLITWPLFAEQFLNEKFVVNVVGTGVSVGSESVMHMGEEDRSERKVGRENVRSAIERVMEEGKEGNRREVARKVGEMAKKAVQAGGSSHLNITLLIQQIIKITKK >itb13g02520.t2 pep chromosome:ASM357664v1:13:2409904:2415789:-1 gene:itb13g02520 transcript:itb13g02520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFRAGSNVAKLALRRALSHGGSHVPGTRILPSQSRYFQTTVVRSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEQDPSSVDESWDNFFRNFVGQATTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLDLEEREIPEDLDPACYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGRIGFEFMHIPDREKCNWLRERLETPTPMEYNRQRREVILDRLMWSTQFENFLATKWVAAKRFGLEGCETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFNEFSGGTKPVNDAGYVGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYNNDVDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYSVGGTIHIVVNNQVAFTTDPSSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYQVIKNHPSSMEIYQKKLLESGHVTKEEIEKIQNKINTILNEEFVASKDYVPQKRDWLSAFWLGFKSPEQLSRIRNTGVKPEILTNVGKAITTLPESFKPHRAIKRIFDERRKMIETGEGIDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHAVLHDQETGEQYCPLDHIMKDQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLILWEAQFGDFANGAEVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSADNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMAPKSLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNYHSDREEGIRRLVLCSGKIYYELDEERRKVDGKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPMNMGAFHYVAPRLCTAMKAVGRGNMDDIKYVGRLSSASTATGFLQVHIKEQAGLVQKALQPNPIDFP >itb13g02520.t1 pep chromosome:ASM357664v1:13:2409904:2415806:-1 gene:itb13g02520 transcript:itb13g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFRAGSNVAKLALRRALSHGGSHVPGTRILPSQSRYFQTTVVRSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEQDPSSVDESWDNFFRNFVGQATTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLDLEEREIPEDLDPACYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGRIGFEFMHIPDREKCNWLRERLETPTPMEYNRQRREVILDRLMWSTQFENFLATKWVAAKRFGLEGCETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFNEFSGGTKPVNDAGYVGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYNNDVDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYSVGGTIHIVVNNQVAFTTDPSSGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYQVIKNHPSSMEIYQKKLLESGHVTKEEIEKIQNKINTILNEEFVASKDYVPQKRDWLSAFWLGFKSPEQLSRIRNTGVKPEILTNVGKAITTLPESFKPHRAIKRIFDERRKMIETGEGIDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHAVLHDQETGEQYCPLDHIMKDQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLILWEAQFGDFANGAEVIFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSADNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMAPKSLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNYHSDREEGIRRLVLCSGKIYYELDEERRKVDGKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPMNMGAFHYVAPRLCTAMKAVGRGNMDDIKYVGRLSSASTATGFLQVHIKEQAGLVQKALQPNPIDFP >itb06g05210.t2 pep chromosome:ASM357664v1:6:7980143:7984833:1 gene:itb06g05210 transcript:itb06g05210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANKSMDNCAFCNADGDFLIVPQKGRLWITTECGRLQVNPGEIVVIPQGFRFAVDLPDGESRGYVGEIFGTHFQLPDLGPIGANGLAAPRDFLVPVAWFDDSCHPGYTIVQKYGGELFTAKQEFSPFNVVAWHGNYAPYKYDLSKFCPYNTVLFDHSDPSINTVLTAPTDKPGVALMDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEKTIALGNEAGPHRITGTMAFMFESCLVPRVCPWALESPFMDHDYYQCWIGLKSHFTGGSTDEGNKDLENGHH >itb06g05210.t1 pep chromosome:ASM357664v1:6:7979085:7984850:1 gene:itb06g05210 transcript:itb06g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKDDNVTGSSKFPPDLEYQSGFGNHFSSEAIAGALPRGQNSPLVCPLGLYAEQISGTSFTSPRKLNQLSWLYRVKPSVTHEPFKPRVPTHERLVSEFNQSNSSATPTQLRWKPVDIPETPTDFIDGLYTVCGAGSSYLRHGFAIHMYTANKSMDNCAFCNADGDFLIVPQKGRLWITTECGRLQVNPGEIVVIPQGFRFAVDLPDGESRGYVGEIFGTHFQLPDLGPIGANGLAAPRDFLVPVAWFDDSCHPGYTIVQKYGGELFTAKQEFSPFNVVAWHGNYAPYKYDLSKFCPYNTVLFDHSDPSINTVLTAPTDKPGVALMDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEKTIALGNEAGPHRITGTMAFMFESCLVPRVCPWALESPFMDHDYYQCWIGLKSHFTGGSTDEGNKDLENGHH >itb13g14480.t1 pep chromosome:ASM357664v1:13:21052430:21056019:-1 gene:itb13g14480 transcript:itb13g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSGGGIAYKLELEIIRSPSTSWASQSSSPSSTLSENSNSPVAISTRKPRTPRKRPNQTYNEAAALLSTAYPKIFSATVRNPPGKFTRPNQSFSGSSSDLLLPFSALDGGCDGFLLQSPVERILNRHPAAPRKLLAGCGSPREIDSNSRLRFEDCDEFEEDFESESILDEEIEEGGIDSIMGKLNESNDSAREAEWMSACYGFPIGMGFKAIKQAENGDWWRFPVASINVADITPKTEKSPAPATEKKKKKKKVEKPAEFRVNDKECSSSSAQSASKEESSNPKAKSAGLLLKLNYDSVLSEWTDRPSPFSDESPCSDAAGTDVQARLAQIDLFLESGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVKSPNSPDDSEQG >itb13g14480.t2 pep chromosome:ASM357664v1:13:21054433:21056019:-1 gene:itb13g14480 transcript:itb13g14480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSGGGIAYKLELEIIRSPSTSWASQSSSPSSTLSENSNSPVAISTRKPRTPRKRPNQTYNEAAALLSTAYPKIFSATVRNPPGKFTRPNQSFSGSSSDLLLPFSALDGGCDGFLLQSPVERILNRHPAAPRKLLAGCGSPREIDSNSRLRFEDCDEFEEDFESESILDEEIEEGGIDSIMGKLNESNDSAREAEWMSACYGFPIGMGFKAIKQAENGDWWRFPVASINVADITPKTEKSPAPATEKKKKKKKVEKPAEFRVNDKECSSSSAQSASKEESSNPKAKSAGLLLKLNYDSVLSEWTDRPSPFSDESPCSDAAGTDVQVCFTIFSSRHHFL >itb15g23690.t1 pep chromosome:ASM357664v1:15:26468790:26470647:1 gene:itb15g23690 transcript:itb15g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGLLRCILPITSEISNKDNAQLVAHICGSLMGRRYFIVLDNIWSTAAWDAIQGCFPHNSNGSRILITTRLEKVAAYICPGVLPHFIKLQTTVCSWKLFRSKVLGERAFFSPEFEQLGLHIVQQCRGLPLSILVIAGLLTTAKRSLKIWRQVAKALDGIESDDNNRTSRILSLSYNYLPSHLKACFLYFGVLPEGRDIPIKKLINLWIVEGFLKPEKNKSLEGVAESYLQDLITRSLVQIEKRSIDGKSKSCMIHEQLHEICVREAKKENMLSVIDENHNLESSRWKAVILLLYVLHTTFDGG >itb03g19130.t1 pep chromosome:ASM357664v1:3:17281476:17283568:-1 gene:itb03g19130 transcript:itb03g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESMAVKLPSATKPAGPGVHPSTTPCYAKLKLKNFPSQIAILPLCPAAAKDSSPPDSCASAAAFHLDPPTLKRLSGKPVALKVSVFTGRMGRSCGVTCGKLLGEVHVSVDLTGAESRPVVFQNGWTKLGGEPVLHLVVRSEPDPRFVFQFEGEPECSPVVFQIQGNIRQPVFSCKFSAERNNRTRSLPSDFTLKNRGWMRTFSGETCREKPGRDRKGWMIIIYDLSGSSVAAASMITPFVPSPGSDRVSRSNPGAWLILRPNGSSVSSWKPWGRLEAWRERGPVDGLGYKFELVSDTGLNNGAPIAEGTMNVKKGGIFCIDNAQRKQSAIRGFVMSSSVEGEGKNGCPWVQVGVKHVTCMPDAALFVAVSAAIDLSMDACKLFSQKLRKELCHDYSFS >itb01g03470.t2 pep chromosome:ASM357664v1:1:2281088:2291125:-1 gene:itb01g03470 transcript:itb01g03470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARFGRTSSRYGPTTVFTGRVRKWRKKWIHVKPPSSGSSNLHHHHRAHANSELNDINGSRLLLLKWTPITPSQNNSNGAGDADKNGNSKSSAKDDVVADELPKRKFKYIPIFLLEEQNNESLEMAEDEAKPIETEMDAEKTTPTDGLDEKPDINDAPMEKNQAGTARHDLNESTLDLSLGLKAHDGEADPDSKTNQTKGGHSSTATGPPSEQPALLQGGGRGGVPDSGVRRSKRLLSCHDSDQLVGYSGGASMALRACTLSNRVASGRPTLEPDQPVGSTDSTSSDDDFQTAPRGFVEADRRGTRVDVGMAKDGRALVGVFKEFLTIKTRPKPLVCAIKDFNSRQCEAVREIGFGGVLELQVTNAPLRLGYWLVTNFHPEDMSVHLPNGMKLLLTKDDVAATLGLPCGPITITERDSQVVGPYLRQWRDKLCQPERDVTVKALCNAMLRCKDGDVWFKRHFSVVVVSTLVASEHNGYVNQKIVHMLHDVDRIADLDWCGFLLKKLVNCHEDWSQRKRLRFTGPIIFLTLLYVDRLVIGKRDVQRVVPSLKGWSTKMLKAMESLEITYGGFGLGRLDAPLCQENNPGVSIGQQLIVGNRSKSLVDRLEEKKTILHSAVQDIIELLHQSPKLAQDSELFHSVFENAQNLMALKPGVAHDPSLTSDQFDDDFWDNPDNILALEQAEQAAQRGKSLMDAPTFSLGLSQEQTNFNCADVSTIARGYVTPPPQATIRLGGSHSALSGELKLVPCDRKGKSPLNTSPQMLTGYLSSTLVEVCTVLWDWVFDNSQACTVLWDWVFDNSQADFGEVLFSYHGQNALWRDFRTLHHGQNALWRDFRTLQHGQNALWRDFRTLQQGTHVSAAVVDAWSSLLNAPVETRRWGMPSRLFASLSTTIDLLASFLELNGHPFRAVLSTMDSQIQNTSLQRLQNVEKRIITVLELTGGVMEELANPSGPRKDLINNHCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYVPRISNEICSQKLECVIAQLDDMKQTAEDYHGTA >itb01g03470.t1 pep chromosome:ASM357664v1:1:2281088:2291125:-1 gene:itb01g03470 transcript:itb01g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARFGRTSSRYGPTTVFTGRVRKWRKKWIHVKPPSSGSSNLHHHHRAHANSELNDINGSRLLLLKWTPITPSQNNSNGAGDADKNGNSKSSAKDDVVADELPKRKFKYIPIFLLEEQNNESLEMAEDEAKPIETEMDAEKTTPTDGLDEKPDINDAPMEKNQAGTARHDLNESTLDLSLGLKAHDGEADPDSKTNQTKGGHSSTATGPPSEQPALLQGGGRGGVPDSGVRRSKRLLSCHDSDQLVGYSGGASMALRACTLSNRVASGRPTLEPDQPVGSTDSTSSDDDFQTAPRGFVEADRRGTRVDVGMAKDGRALVGVFKEFLTIKTRPKPLVCAIKDFNSRQCEAVREIGFGGVLELQVTNAPLRLGYWLVTNFHPEDMSVHLPNGMKLLLTKDDVAATLGLPCGPITITERDSQVVGPYLRQWRDKLCQPERDVTVKALCNAMLRCKDGDVWFKRHFSVVVVSTLVASEHNGYVNQKIVHMLHDVDRIADLDWCGFLLKKLVNCHEDWSQRKRLRFTGPIIFLTLLYVDRLVIGKRDVQRVVPSLKGWSTKMLKAMESLEITYGGFGLGRLDAPLCQENNPGVSIGQQLIVGNRSKSLVDRLEEKKTILHSAVQDIIELLHQSPKLAQDSELFHSVFENAQNLMALKPGVAHDPSLTSDQFDDDFWDNPDNILALEQAEQAAQRGKSLMDAPTFSLGLSQEQTNFNCADVSTIARGYVTPPPQATIRLGGSHSALSGELKLVPCDRKGKSPLNTSPQMLTGYLSSTLVEVCTVLWDWVFDNSQACTVLWDWVFDNSQADFGEVLFSYHGQNALWRDFRTLHHGQNALWRDFRTLQHGQNALWRDFRTLQQGTHVSAAVVDAWSSLLNAPVETRRWGMPSRLFASLSTTIDLLASFLELNGHPFRAVLSTMDSQIQNTSLQRLQNVEKRIITVLELTGGVMEELANPSGPRKDLINNHCSEFMQLIKVTLREEIKSACEYRPFEKCDYVPRISNEICSQKLECVIAQLDDMKQTAEDYHGTA >itb01g35400.t1 pep chromosome:ASM357664v1:1:37632037:37633644:-1 gene:itb01g35400 transcript:itb01g35400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVLVFGLGFVMLIFVQASYGQAPIPTRQDGFWYEERVARVDSVMIEAFFDPVCPDSRDSWPPLKQALSHYGSRVSLVVHPFPLPYHDNAFVCSRALHVVNGLNSSATFQLLESFFHYQEGFYGQATFNLSRASVVDKVAKFAAKTVGGSSYTKLKSGLTDTKTDHATRISFKYGCVKGVYGTPFFFVNGFPLPDGGSALDYKKWKSIIDPLLFA >itb04g15410.t1 pep chromosome:ASM357664v1:4:16635430:16636067:1 gene:itb04g15410 transcript:itb04g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFLKNFYHCFKCLLRTTTLSIEDDDKGIVEPNKPLSYYSPTVSASTTSDDDLFSTVLASERFFFSSPGRSNSIVDSSEAPSPNPTTPLISGGVAVQTDSPDPLADFRRSMQEMVEAHESTDSDFLHSLLLCYLNLNPKHTHKYIVGAFSDLIISLVSSPSSIGL >itb01g15200.t2 pep chromosome:ASM357664v1:1:17559764:17560123:1 gene:itb01g15200 transcript:itb01g15200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNEGRLTRLLQAMNELKAEFVADGSSTRMVKGNTAAIQALCGVCPPQSISIKPPAQAKNKGSGKRIKSKRELAIEAREKGEHKCRACGLYGRHDRRNCPKFPRVGSGDKLNKKNSA >itb01g15200.t1 pep chromosome:ASM357664v1:1:17554282:17560123:1 gene:itb01g15200 transcript:itb01g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPRAGMVVCDEDCAVSPGGSVEVRTHSGAIEPDWALTDPSFWTPNVDAMIRPAIRLRFKTMNVGVEFYMSYGRAGGFDVRHSTMKRGRDGEVTMRYLVCSRQGTKGGGSSGPSAVNGEGGHVKQRRKRISNRVQCLAKICLRQDNTREFVCSTSSPPGMVMNLLWAEINTCVGMVGRNEGRLTRLLQAMNELKAEFVADGSSTRMVKGNTAAIQALCGVCPPQSISIKPPAQAKNKGSGKRIKSKRELAIEAREKGEHKCRACGLYGRHDRRNCPKFPRVGSGDKLNKKNSA >itb10g23970.t1 pep chromosome:ASM357664v1:10:28035775:28037715:-1 gene:itb10g23970 transcript:itb10g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAARKRFEEEGDVDAPLKPHSVALIVGVTGIVGNSLAEILPLADTPGGPWKVYGVARRPRPPWNADHPIEYIQCDISDEEDANSKLSMLSDVTHVFYVTWANRPTELENCEVNGKMLRNVLNAVIPNSPDLNHICLQTGKKHYYGAFEVFGKIAHETPHHEDLPRLEKSPNFYYTLEDILFEKVKNKEGLTWSVHRPGLIHGFSPYSLMNAVGTLCVYAAICNHEGTPLRFPGGLKAAWDGYSNCSDADLIAEQEIWAAVDPSAKNEAFNVSNGDVFKWKHFWEILAQKFEVEAAEFEEKAPSLEVMMKEKGPVWDEIVRENRLLPTKLEDVGQWWFMDVVLGAECPLDNMNKSKEHGFLGFRNSEKAFVSWIDKVKAHRIVP >itb04g24280.t2 pep chromosome:ASM357664v1:4:29007128:29008548:1 gene:itb04g24280 transcript:itb04g24280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITMASLLFSTPSVSLWEYKSFRVLTKNSASSTVRIGGLSFAPTLNSRIACARRNVRRWSSLSGAESSEEEDSDDSIEDLRVPIRWLEPSKALEESEWLRVALKKWLDDEYCPEDTNVEISKVASSSFYRSLMEKQLDIGEILLTMARDLESICYQESFHGAFSSANAAVNLILQRVEQE >itb04g24280.t3 pep chromosome:ASM357664v1:4:29007123:29010100:1 gene:itb04g24280 transcript:itb04g24280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MITMASLLFSTPSVSLWEYKSFRVLTKNSASSTVRIGGLSFAPTLNSRIACARRNVRRWSSLSGAESSEEEDSDDSIEDLRVPIRWLEPSKALEESEWLRVALKKWLDDEYCPEDTNVEISKVASSSFYRSLMEKQLDIGEILLTMARDLESICYQESFHGAFSSANAAVNLILQRVEQE >itb04g24280.t1 pep chromosome:ASM357664v1:4:29007068:29009272:1 gene:itb04g24280 transcript:itb04g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMASLLFSTPSVSLWEYKSFRVLTKNSASSTVRIGGLSFAPTLNSRIACARRNVRRWSSLSGAESSEEEDSDDSIEDLRVPIRWLEPSKALEESEWLRVALKKWLDDEYCPEDTNVEISKVASSSFYRSLMEKQLDIGEILLTMARDLESICYQESFHGAFSSANAAVNLILQRVEQE >itb15g14680.t1 pep chromosome:ASM357664v1:15:12967232:12977658:-1 gene:itb15g14680 transcript:itb15g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFLYPAAHEVRIVGSHICRRALLSSGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRREEQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLSQYILESEIESGRGAFCSIICTQPRRISAMAVGERVATERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEGNELTYLVYSFSSKRVFNLILLCGFFSFLIANFLLIVLKDLLPRRRDLRLILMSATLNADLFSGYFGGAPTIHIPGFTYPVRAHFLEDVLETTGYKAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLVLTCHGSMATSEQKLIFERPPQNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQSGECYNLYPQCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVSSYSSLCRVKCFKCY >itb15g14680.t2 pep chromosome:ASM357664v1:15:12964300:12977658:-1 gene:itb15g14680 transcript:itb15g14680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFLYPAAHEVRIVGSHICRRALLSSGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRREEQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLSQYILESEIESGRGAFCSIICTQPRRISAMAVGERVATERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEGNELTYLVYSFSSKRVFNLILLCGFFSFLIANFLLIVLKDLLPRRRDLRLILMSATLNADLFSGYFGGAPTIHIPSLRISASVCFFEGEARSCGRPEATGVFKGVVKVLKVERSRGLKVYYKGLSNMFSYTCVEAQDGEQKGFTYPVRAHFLEDVLETTGYKAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLVLTCHGSMATSEQKLIFERPPQNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQSGECYNLYPQCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAEKRFATVGEDDWRETKVAKTSTAAMLKSNAKPVSESDKQILSFSSFWKGNDSLAIRL >itb06g06600.t1 pep chromosome:ASM357664v1:6:9205716:9209380:1 gene:itb06g06600 transcript:itb06g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSVELASEDEEEEEDDDEEGERQGAEEVDGHNSEERRASVGDGSKKVLQQEPEMLPCHASASPLSPQPSALGTPRLGPSIKVWDPFNVLAPAPAPAPAPALSPPSYFPRSFSDEERLVTELILISNGECHMNLRPDLIAGRCPDAALTPNGKRQARALAIFLKSQGVRFAAVYTSPLDRARATALSVCQELNFSEEQIQSSDALVEMSHGHWEGCHRSEIFTSETISLMERFQPDFSAPSGESLRQVEFRMVQFLNGTVMTLPEKFRSDFSPPDRIDGQDFSNRGSHSLTNAVHDRYGPSFSSPQLDLVHRHRQGNTKKKSGKSRLQMVASTGDQADDEMSPRVQANPVAVRDINTKSTYSSVSSSSSSSSSSTCVGIFTHAIPIKCVVTGLLGCSAVMSSKICVDDSSVTVLQHSGKTGWQIKRMNDTSHLRLL >itb06g06600.t2 pep chromosome:ASM357664v1:6:9205944:9208938:1 gene:itb06g06600 transcript:itb06g06600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSVELASEDEEEEEDDDEEGERQGAEEVDGHNSEERRASVGDGSKKVLQQEPEMLPCHASASPLSPQPSALGTPRLGPSIKVWDPFNVLAPAPAPAPAPALSPPSYFPRSFSDEERLVTELILISNGECHMNLRPDLIAGRCPDAALTPNGKRQARALAIFLKSQGVRFAAVYTSPLDRARATALSELNFSEEQIQSSDALVEMSHGHWEGCHRSEIFTSETISLMERFQPDFSAPSGESLRQVEFRMVQFLNGTVMTLPEKFRSDFSPPDRIDGQDFSNRGSHSLTNAVHDRYGPSFSSPQLDLVHRHRQGNTKKKSGKSRLQMVASTGDQADDEMSPRVQANPVAVRDINTKSTYSSVSSSSSSSSSSTCVGIFTHAIPIKCVVTGLLGCSAVMSSKICVDDSSVTVLQHSGKTGWQIKRMNDTSHLRLL >itb09g05380.t1 pep chromosome:ASM357664v1:9:3073428:3077951:-1 gene:itb09g05380 transcript:itb09g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYSSVCSLILAVLLLLLPLNGVYGGNPYRYYTWKITYGDIYPLGVKQRAILINGQFPGPQIDCVTNDNLIINVYNYLNEPFLLSWNGLQQRRNSWQDGVYGTTCPIPPRKNFTYMLQAKDQIGSYFYFPSLRMHKAAGGYGAIRIYSRPLIPVPFAPPARDFTVLAGDWSKRSYRQLEYILDSGHNLPLPDGLLINGRGWNGYTFTVDPGRTYRFRISNVGIATSINFRIQGHKLKLVEVEGSHTVQSTFTNLDIHLGQSYSVLVTADQQPKDYYVVVSSRFTSRVLTTTAVLHYSNSFTRVSGPPPTGPTTQINWSLFQGRSLRRNLTASGPRPNPQGSYHYGMIKPARTIMLANSAPYINGKQRYAVNGISFVNPDTPLKLADYFKIGGVFNLGSIPDSPRSGSAYLASSVMDANYRSFVEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTEASRTRYNLRDTVARCTVQVYPRSWTAIYMALDNVGMWNIRSEDWARQYLGQQFYLRVYTSTNSWRDELPIPKNALLCGRAKGRHTRPL >itb10g05890.t1 pep chromosome:ASM357664v1:10:6428473:6435374:1 gene:itb10g05890 transcript:itb10g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t9 pep chromosome:ASM357664v1:10:6428473:6430282:1 gene:itb10g05890 transcript:itb10g05890.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t5 pep chromosome:ASM357664v1:10:6428473:6435374:1 gene:itb10g05890 transcript:itb10g05890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t6 pep chromosome:ASM357664v1:10:6428473:6435377:1 gene:itb10g05890 transcript:itb10g05890.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t8 pep chromosome:ASM357664v1:10:6428473:6433349:1 gene:itb10g05890 transcript:itb10g05890.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t4 pep chromosome:ASM357664v1:10:6428473:6434928:1 gene:itb10g05890 transcript:itb10g05890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t3 pep chromosome:ASM357664v1:10:6428473:6435374:1 gene:itb10g05890 transcript:itb10g05890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t2 pep chromosome:ASM357664v1:10:6428473:6435374:1 gene:itb10g05890 transcript:itb10g05890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g05890.t7 pep chromosome:ASM357664v1:10:6428473:6433320:1 gene:itb10g05890 transcript:itb10g05890.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPDFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb14g16540.t1 pep chromosome:ASM357664v1:14:19880936:19885080:-1 gene:itb14g16540 transcript:itb14g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFDLNIPYFESDRHVANKNTVKAMRLKLIIKAMELGYTGVAYNRTIKGVMSESDRCSIPLFPLSSILKLAPSISSAVKLHRDLLSVPVSAPFRQYTRLTVMVDSPAQASVLNVGNPVLKSYDIVAVRPLNQNAFDHACRTSEVDIITIDFSEKLLFRLKQPMVQAAIQRGVYFEITYSSLLIDAQARRQMISNAKLLVDWTRGKNIILTSDAPSVTEFRGPYDVVNLVSLLGLSLEHAKAALSKNCRTLIENALRKKFYYKETIKVEAISSGRQANSDKPAFDDWLKWDPISSGEGDLLLDDIEKFFSAPSNVSQNVKPIDFTSAMNGLPPHGLQIRDVISSRDLEPKLLDAAPDFAAVQPTEVDLATSAISEEGGHNHFHDDGQTTVSDAQNIYTNSEVEASMTLSLPTTDLLIDMDNAEKNEENTIFERISPDNGVLELQFESHLAKSEGQDALQGDVTIYTCREDGKSSCGNEMLEIESESQVANSEEQNTLPDSATIYTSIVDADVSLYHANLTSAEISAPSDNSTHIEDSMIPDRLDTGLHAQGVTIDKAFIDTKDLCEKEPCLVSYNVGSHNQLVERAETRDCSVSLADGLPVSNSSIPMQDKSECNPAEGELMEDNEQEPMETDSQFGLRYQIHGAPHSGRKGRKKLLRPLLFPFKGLLNHRHSKRKARRLDRVSKT >itb05g01090.t1 pep chromosome:ASM357664v1:5:931138:934106:1 gene:itb05g01090 transcript:itb05g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMRFLVLLVLWAILGCFAPTATSKTNSSSIAKPNCNDHCGNVSIPFPFGLTKKCALNSNFSITCNTSYNPPKPFLTNSTVEVRDISVEGQLRVMKRVSKYCYNKGKEVSPSRSWYTSKKFFINQTANKFVAVGCDTFANVYAYGDDQSYRTGSNCMATCNSTQDVTNGTCSGFGCCETEIPNVARNVYYSVDSLNYYNETTDDVNNCSYAFVVQKEEFRFSSTMLTRTWDVKKVPMVLDWTISNETCLTACQGNTTCVAVNGEGYRCGCKEGYEGNPYLSPGCQDIDECANGQHNCSQNAICSNTKGGYECSCKKGYHGDGKGALGCTSSNHRLIMLVLGIAVGTITLLISCFCLYLVYRRRKSIQMKEKFFRENGGLILQQKIAQGTASSGTTRIFTAEELRKATNNYDQTRIIGQGGFGIVYKGHLLDGQTIAVKKSKVMDQTQVEQFINELIVLSQINHRNIVKLFGCCLETETPLLVYEFISNGTLSEHLYSKDKASTIPWPIRLRIATETAEVLSYLHSAASPPIIHRDVKPANILLDNNYTTKVSDFGASRLVLQDETALMTMVQGTFGYLDPEYMQTHQLTEKSDIYSFGVVLLELLTGRRAVFYNGPVEERSLSEHFLSSLKTNQLFKILDVNIVCEGNTDELQEIALLAKRCLNVKGEDRPTMKEVAMELGGFRRATKHPWTNNSHTSMESQALLTDPPNAFGYDATFSITTTEYDSLKHHMELPVTAGR >itb14g19450.t1 pep chromosome:ASM357664v1:14:22090280:22094303:-1 gene:itb14g19450 transcript:itb14g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYAAQISATQVGSYFVQQYYQVLQQQPDYVHQFYTDSSSIVRVDGDSAESASSLLEIHSLLLSVGFSGIKVKTINSLESWNGGVLVVVSGSVKLKDVSGWRDFVQTFFLAPQEKGFFVLNDVFHFGDMEVKNQPPAPVVVSENNFGAQPTAPSPPHAEAPASDYALEEEAREYVNMVNLEGNNSVGEYSYSENQQDLQEYVPETETVHEEPPLEEEPYTLQNNVEALEVPEPSWEEPAGEQSKLSYASILRAPKGKSPPSVSVQQPFTKSTQPTSDWQPVVQQTDAASTVLPDNSHELADEGLYQEGESLSVYVRNLPSSVSTLDIVQEFKNFGTIKQDGVFLRNRKDVGVCYAFVEFEDVQGVQNALKASPILLAGRQVYIEERRPNNNSASRGGRGRGGRGRGGRSGGRTFGRGGYQDSVDYNRVKSNGFRAA >itb15g20890.t1 pep chromosome:ASM357664v1:15:23552396:23558172:1 gene:itb15g20890 transcript:itb15g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARKLASRAILRRLISEAKQPRGGAGTPTPALFTPARFASSITARSFQTKIRDPSTTGIGFRAHQARPISVEALKPSDTFPRRHNSATPEEQSKMSEFCGFANLDALIDATVPQSIRIEPMGFSKFDQGLTEAQMIEHMKGLASKNKVFKSYIGMGYYNTFVPPVILRNIMENPGWYTQYTPYQAEVSQGRLESLLNYQTMITDLTGLPMANASLLDEGTAAAEAMAMCNNIQKGKKKTFVIASNCHPQTIDVCKTRADGFELKVVTADLKDIDYQSGDVCGVLVQYPGTEGEVLDYGEFIKSAHACGVKVVMASDLLALTMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSLDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPGGLKTIAQRVHGLAGTFAAGLKKLGTVEVQGLPFFDTVKVKCADAKAIAEAAYKNEINLRIVDNNTITVAFDETTTLEDVDKLFEIFACGKPVPFSAQSIAPEVQNVIPSSLVRDSPYLTHQVFNTYHTEHELLRYLHRLQAKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFADIHPFAPTEQAAGFQEMFNDLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLSRGDSHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELKKAAEANKDNLAALMVTYPSTHGVYEEGVDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPSPDKSQPLGTISAAPWGSALILPISYSYIAMMGSKGLTEASKIAILNANYMAKRLESHYPVLFRGVNGTCAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIEKGKFDLNNNVLKNAPHPPSVLMADEWTKPYSREYAAFPAPWLRTAKFWPSTGRVDNVYGDRNLICTLLTPSQMVEEQAAATA >itb15g14660.t1 pep chromosome:ASM357664v1:15:12952392:12952820:-1 gene:itb15g14660 transcript:itb15g14660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNAWDFVAIGICLSGIIIAYYADTQLHNFVSKNKRLKELSQPMVPNLEIGLWRYSRHPNYFGEQLWWWGLAIFAWHLGHVWSFVGPLINSLCLAYVTVLVEKRMLKQAYRVEAYKLYQKTTSAWIPWFKVLCCAGKDKNT >itb04g09030.t1 pep chromosome:ASM357664v1:4:8346636:8351433:1 gene:itb04g09030 transcript:itb04g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLQVLNALDVAKTQLYHFTAIIIAGMGFFTDAYDLFSISLVTKLLGRIYYTNLNKEKPGTLPPGISAAVTGVALVGTLAGQLFFGWLGDKMGRKKVYGVTLILMIVCSLASGLSFGSHAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTSGIVALIVSSAFDHAYGAPPYNQSVAARAASTVPQADYIWRIILMFGAVPAALTYYWRMKMPETARYTALVAKNARQAAKDMAQVLNVELEAEEEKLEKMAEKPANSFGLFSREFAKRHGLHLLGTTSTWFLLDIAFYSNNLFQKDIFSAIGWIPPAKEMNAVHEVYRVARAQTLIALCSTVPGYWFTVAFIDVIGRFWIQLMGFFFMTVFMFALAIPYDHWREKPHRIGFVIMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYASQPTNKKDADPGYPAGIGIKKTLIVLGCVNALGMLFTFLVPEPKGKSLEELSGENDDDGTELQAGAPYRTAPEA >itb04g18470.t1 pep chromosome:ASM357664v1:4:21914351:21917423:-1 gene:itb04g18470 transcript:itb04g18470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPENSHATMSLIPGFLYSSPSSFSASSSLISLDQSRTSVPPPPVVEERKGFVIPAPKEPSKKIEMFSPAFYAACTFGGILSCGLTHTAVTPLDVVKCNMQIYPTKYKSVSSGFGVVLKEQGAKGLFKGWGPTLLGYSVQGACKYGFYEFFKKYYSDLAGAENAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRAEGVAGLYKGIVPLWGRQIPYTMMKFASFENIVELLYKHVVPTPKEQCSSSMQLGVSFAGGYLAGILCAIVSHPADNLVSFLNNAKGASVGDAVQKMGVLGLCTRGLPLRILMIGTLTGAQWGIYDSFKVYVGLPTTGGAAPAAK >itb04g18470.t2 pep chromosome:ASM357664v1:4:21915023:21917416:-1 gene:itb04g18470 transcript:itb04g18470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPENSHATMSLIPGFLYSSPSSFSASSSLISLDQSRTSVPPPPVVEERKGFVIPAPKEPSKKIEMFSPAFYAACTFGGILSCGLTHTAVTPLDVVKCNMQIYPTKYKSVSSGFGVVLKEQGAKGLFKGWGPTLLGYSVQGACKYGFYEFFKKYYSDLAGAENAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRAEGVAGLYKGIVPLWGRQIPYTMMKFASFENIVELLYKHVVPTPKEQCSSSMQLGVSFAGGYLAGILCAIVSHPADNLVSFLNNAKGASVGDVSIHSIS >itb05g21240.t1 pep chromosome:ASM357664v1:5:27104258:27106762:1 gene:itb05g21240 transcript:itb05g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPAFVDEDGSSGSADDMNMLDGHGKHRSGALNSGRRKRSRKATGDAIVDAMLEIAAASKMRAAATMRNEERFAISKCIKILDEIQGVDQNLYFYALDLFENPNARETFVSLKNERRLVWLRGKFTASSNSAS >itb11g04780.t1 pep chromosome:ASM357664v1:11:2549333:2551679:-1 gene:itb11g04780 transcript:itb11g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKLFGWRKASKCKKLIGRVQCRLKLLKNKRSCIARQLREDLGELLRDGHHQLVFDRVEQLFMDENLLAVYELLDNYCEFIVINLPYIRKNKDCPNDINEAVSTLIYSSARLGDLPELLLIRKLFAERYGQRFAAVALDLLPGNLVNRQIKENLAIRSVSDDVKYKLVDEITRSCFQQGPLLLGYTSEWQKQENEGIVDQVSRGDVQNSDSKDEQNVIQGSEAAADTEQNFVSIDGSSEAKKCLNPDREFAGLGVKKWGRAATSSSESFGKLQEEMVYLDDIAEFESSLSKDAYLQDQRLFMFNSSLGSKTENSNAETKNGLKMEKLESRVVKGEPRKLRNGSDKKPSRRSISREITSTTDIQTAIYYGKSLQNYSGKTRHHMKILVKDSHRSYSADHQSIYEPCLFTFIVYKRFDRETSNANESDGGVASGEDSSDSSSAENSDSWKRKHNYQPPYVCRAVTMPPERPKESVVLDSISRSNSFPVQQPDHVHPKLPDYDEIAAKFLALKREKIQNKCHLQSP >itb04g14920.t1 pep chromosome:ASM357664v1:4:15775937:15777067:-1 gene:itb04g14920 transcript:itb04g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQRPDLTDGVVIREGQADKMVWKPTKGEFTLKTAKARLGQQGSTHSVGLWCKKIWSKGVPWKMSFLAWKVFRKKLLMDDVLRKMGYSNVSKCPCCVMPGSETVQHVFGLGETARQVWTYFAKSMGFGIQVRSDRHVCYEWWGLKVKNRMIPVLILWELWVNFAHCKYGGGKSYAQRVIYKVIRDVSDYIQRKWPSWDPLPPNWNFIMKKAKGFGCGRIVQKSCWCRPLPGSVKINWTVSRDGESCGFFARNPKGMFCLAGVYAISDAISLRELIMMMLNDCLEWCRRKSFSSVFVESDDWRGLRDEINNQSPPLVHMIQCAERVNCVARCLVNSCTRVNVIYWKKEGLPKGLGRILALEGIPHFVFAPGVDSL >itb15g10900.t1 pep chromosome:ASM357664v1:15:8659021:8667881:-1 gene:itb15g10900 transcript:itb15g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMGSAILRKEVLPFAAMIIVVCMEMATTTIAKAALNSGLSSLIYVVYYHFLGVLFLLPGFIIQRHRRHPLPLTLCMLSRCLILGLLGTCVVVLGYQGLNYGSPTLSAGISNLMPGFTFVLAMVFRMEKFEVKRRTWQAKSVGTIVSIIGASVMTLYQGPTILGSSISPSDLLPQKSEYSSGWVVGGVMIVGAYLFASGWNILQTATLKDYPEQSTVVFFGTCFATIQCAIVSVLVEKKVDAWKLQPGIGMTAIVVSAVLEPLCSNNITAFCLGMKGPLYVAMFKPLGVVIAATLNLIFLADALHLGSIIGSIIIIVGFYMVMWGMSRESITILESLDILAMIVVVCMEMATSTIAKAALNSGISTFIFVLYYNFLGILLLLPGFLIQRHRRIPLPITFSILSRCFILGLLGTCLVLGLGFQGLSYSSPTLGASISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTALSIIGASIMTLYQGPTILGSSSSSSSTLSSDLPHQSLLSLYSSKWDYPEQMTVVFFTTCFGSIQWAIVSLLVERKVDAWKVQPGIGMAAIFVSAVLEPLCCKNITTFCLDTKGPLYVAMFKPLGIVIAATLNLVFLADALHLGSIIGSIAIIIGFYGVIWGMSKEATILEPTDILCESGAVNGTSPLLHK >itb09g01950.t1 pep chromosome:ASM357664v1:9:1182925:1191035:1 gene:itb09g01950 transcript:itb09g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVLLRSLSSTTPLACSIIFARPYNGLARFTSISAKRHRLLHSVQRCRRSTLFDNHLRLTSTAASPAFHLRRHFSSLSVRAVATSSPQLSPDGIGADDEVAEKLGFEKVSEQFIDECKSKAILYKHKKTGAEIMSVCNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNDPSENITYKGVVFNEMKGVYSQPDNIMGRTSQQALFPDNTYGVDSGGDPLVIPKLTFEEFKEFHRKYYHPSNARIWFYGDDDPTERLRILSEYLDTFDASSAPQESRIHPQKLFSEPLRIVEKYPAGEGDDLKKKHMVCINWLLSEKPLDLETELALGFLDHLLLGTPASPLRKILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEENIQKVEELVMSTLKNLAEEGFNSDAVEASMNTIEFSLRENNTGSFPRGLALMLRSIGKWVYDMDPFEPLKYQKPLEDLKSRIAKEGSKAVFAPLIEQFILTNPHRVTVEMQPDPEKASRDEATEKEILNKVKSSMTQEDLAELARATHELRLKQETPDPPEALKVVPSLSLQDIPKKPVHVPIEVGDINGTKVLRHDLFTNDILYAEIVFNMSLLKPELVPLVPLFCQSLLEMGTKDLDFVQLNQLIGRKTGGISVYPMTSSVRGKVDPCCHMIVRGKSMSGRIEDLFNLMNCVIQDVKLTDQKRFKQFVSQSKARMENRLRGSGHSIAAARMDAKLNVAGWLSEQMGGVSYLEFLRNLEVKVDNNWPEISSSLEEIRRSLFSKDGCLVNLTADEKNLMNAEKHVGSFLDLLPSKSLAEPTVWNARLSPTNEAIVVPTQVNYVGKAANLFDAGYKLKGSAYVISKYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLMKTLNVYDGTSDFLRELEMDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLLRYLLGVTEEERQLRREEILSTRLADFKEFADAIQAVKDQGVVVAVASPDDVDAANKEHPNFFEVNKAL >itb09g24640.t1 pep chromosome:ASM357664v1:9:24461762:24466484:1 gene:itb09g24640 transcript:itb09g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDTDDRESNQPLVQQGSYWDLSNFIGGNDASVTNDNLLPQLFTSVPRLNEAASFLAEKTSLFTSCLPDLSGPAFGHSGEQEMVTFESRDSGGSVSCSYTYSGATTFPSESSHEAADVPSVHEGIPRSSAESESGSLLNSSAIVTSTQSNRNGISIFQGLIERVQRTVRGSADDIGWMQRDPQMPPVEDGTDRFLEILDAIRHGVHRLPNSVVYLLVPGLFSNHGPLYFVNTKTSFSKMGLTCHIAKIHSEASVEKNASEIKDYIEEIFWGSGKRVLLLGHSKGGIDAAAALSIYWTDLKDKVSGLALTQSPYGGTPIASDILREGQLGDYVNIRKLMEIIICKVIKGDMQSLEDLTYEKRKAFLRKYQLPRELPIISFHTEASISPAVLASLSRVAHAELPTFSAGQSTTLPVVMPLGAAMAACAQLLQIRYGEKSDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSLNDDPSEADASQVCEALLTLLVEVGLRKRQELAKKDE >itb09g24640.t2 pep chromosome:ASM357664v1:9:24461762:24466484:1 gene:itb09g24640 transcript:itb09g24640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDTDDRESNQPLVGNDASVTNDNLLPQLFTSVPRLNEAASFLAEKTSLFTSCLPDLSGPAFGHSGEQEMVTFESRDSGGSVSCSYTYSGATTFPSESSHEAADVPSVHEGIPRSSAESESGSLLNSSAIVTSTQSNRNGISIFQGLIERVQRTVRGSADDIGWMQRDPQMPPVEDGTDRFLEILDAIRHGVHRLPNSVVYLLVPGLFSNHGPLYFVNTKTSFSKMGLTCHIAKIHSEASVEKNASEIKDYIEEIFWGSGKRVLLLGHSKGGIDAAAALSIYWTDLKDKVSGLALTQSPYGGTPIASDILREGQLGDYVNIRKLMEIIICKVIKGDMQSLEDLTYEKRKAFLRKYQLPRELPIISFHTEASISPAVLASLSRVAHAELPTFSAGQSTTLPVVMPLGAAMAACAQLLQIRYGEKSDGLVTCRDAEVPGSVVVRPKRKLDHAWMVYSSLNDDPSEADASQVCEALLTLLVEVGLRKRQELAKKDE >itb15g18430.t1 pep chromosome:ASM357664v1:15:20090654:20091603:1 gene:itb15g18430 transcript:itb15g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVSSFATRLSDAFLWYRRRYRRFATPAIKMHLVDAFLNQTLNGESGRFPSSVFLRRVYRVTSGKWLVWWKGFAFGRIEGLFTI >itb11g21380.t3 pep chromosome:ASM357664v1:11:23101190:23105109:1 gene:itb11g21380 transcript:itb11g21380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METERVTEFPLPQLDRRPRKRPRLGWDVLPEVPKAQLGLFCGQEVGSVTSYASSRQPSDLTSSLFVKGVAQNGSPPWREDDKDGHYMFELGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEVDVLQQLGKHDKGGNRCVQIRNWFDYRNHVCIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPDYVKVPDYKCSSRSLKDTYYKRVPKSSAIKVIDFGSTTYDRENQTYIVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPLHMLKRVDRHAEKYLRKGMLDWPEGAASRESIRTVLKLPRLQVTF >itb11g21380.t1 pep chromosome:ASM357664v1:11:23101089:23105109:1 gene:itb11g21380 transcript:itb11g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METERVTEFPLPQLDRRPRKRPRLGWDVLPEVPKAQLGLFCGQEVGSVTSYASSRQPSDLTSSLFVKGVAQNGSPPWREDDKDGHYMFELGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEVDVLQQLGKHDKGGNRCVQIRNWFDYRNHVCIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPDYVKVPDYKCSSRSLKDTYYKRVPKSSAIKVIDFGSTTYDRENQTYIVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPLHMLKRVDRHAEKYLRKGMLDWPEGAASRESIRTVLKLPRLQNLVMQHVDHSAGDLINLLQGLLRYDPSERLTAREALQHPFFSRDHLRRL >itb11g21380.t6 pep chromosome:ASM357664v1:11:23101174:23105019:1 gene:itb11g21380 transcript:itb11g21380.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNSLVNMIKEAIVMHDLHLIHTDLKPENILLVSPDYVKVPDYKCSSRSLKDTYYKRVPKSSAIKVIDFGSTTYDRENQTYIVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPLHMLKRVDRHAEKYLRKGMLDWPEGAASRESIRTVLKLPRLQNLVMQHVDHSAGDLINLLQGLLRYDPSERLTAREALQHPFFSRDHLRRL >itb11g21380.t5 pep chromosome:ASM357664v1:11:23102242:23104784:1 gene:itb11g21380 transcript:itb11g21380.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDVIFQCSDFLVAPITDKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEVDVLQQLGKHDKGGNRCVQIRNWFDYRNHVCIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPDYVKVPDYKCSSRSLKDTYYKRVPKSSAIKVIDFGSTTYDRENQTYIVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPLHMLKRVDRHAEKYLRKGMLDWPEGAASRESIRTVLKLPRLQNLVMQHVDHSAGDLINLLQGLLRYDPSERLTAREALQHPFFSRDHLRRL >itb11g21380.t4 pep chromosome:ASM357664v1:11:23101190:23105109:1 gene:itb11g21380 transcript:itb11g21380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEVDVLQQLGKHDKGGNRCVQIRNWFDYRNHVCIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPDYVKVPDYKCSSRSLKDTYYKRVPKSSAIKVIDFGSTTYDRENQTYIVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPLHMLKRVDRHAEKYLRKGMLDWPEGAASRESIRTVLKLPRLQVTF >itb11g21380.t2 pep chromosome:ASM357664v1:11:23101089:23105109:1 gene:itb11g21380 transcript:itb11g21380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYHEAAMIEVDVLQQLGKHDKGGNRCVQIRNWFDYRNHVCIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPDYVKVPDYKCSSRSLKDTYYKRVPKSSAIKVIDFGSTTYDRENQTYIVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPMPLHMLKRVDRHAEKYLRKGMLDWPEGAASRESIRTVLKLPRLQNLVMQHVDHSAGDLINLLQGLLRYDPSERLTAREALQHPFFSRDHLRRL >itb04g28270.t3 pep chromosome:ASM357664v1:4:32047313:32053970:-1 gene:itb04g28270 transcript:itb04g28270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKRKKRSKATKSGGAGKGADVSAEDGDKKDERKVLETLLEASISVQADSAYKEANVNKDAEIVGNLAESAEERSTTSSCWNVGSSSCTSTSEVSVEDQCFQNGVRQKSKMKRVVASAGTVSSLLGKDYMMSVPKKSSSRLKGCSNGEFFSKEDAEQFLCSMLGEECQLSLAVVRDVFCQCGYDIDKALNILLELSASSSEQPKDNRVDAPLHTELNDNFTDKAYDSSSLSSESDFQDSIWNTGNSYRNQLKFNAGIDEHSSPTPKISESQLTEDVLKSLFNMPTPKSAEHEPNTMNWRNVVTKIASFRPKPESSPGKSVAADLYDPAKGDDYEVFREASKRHWESMKFYYQKAASAFTNGERGYAAYLSEQGSSQNRKAQEADKKASLDIFDARWTIMQLK >itb04g28270.t1 pep chromosome:ASM357664v1:4:32047354:32053877:-1 gene:itb04g28270 transcript:itb04g28270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKRKKRSKATKSGGAGKGADVSAEDGDKKDERKVLETLLEASISVQADSAYKEANVNKDAEIVGNLAESAEERSTTSSCWNVGSSSCTSTSEVSVEDQCFQNGVRQKSKMKRVVASAGTVSSLLGKDYMMSVPKKSSSRLKGCSNGEFFSKEDAEQFLCSMLGEECQLSLAVVRDVFCQCGYDIDKALNILLELSASSSEQPKDNRVDAPLHTELNDNFTDKAYDSSSLSSESDFQDSIWNTGNSYRNQLKFNAGIDEHSSPTPKISESQLTEDVLKSLFNMPTPKSAEHEPNTMNWRNVVTKIASFRPKPESSPGKSVAADLYDPAKGDDYEVFREASKRHWESMKFYYQKAASAFTNGERGYAAYLSEQGSSQNRKAQEADKKASLDIFDARNKSIENVITIDLHGQHVKQAMKFLKLHLLFGAYVRSVRSFRVITGCGSHGVGKSKLKTSVINLLDKEGIEWREENRGALLIKLHGQTNFSFIDSDSDSETI >itb04g28270.t4 pep chromosome:ASM357664v1:4:32047313:32053939:-1 gene:itb04g28270 transcript:itb04g28270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKRKKRSKATKSGGAGKGADVSAEDGDKKDERKVLETLLEASISVQADSAYKEANVNKDAEIVGNLAESAEERSTTSSCWNVGSSSCTSTSEVSVEDQCFQNGVRQKSKMKRVVASAGTVSSLLGKDYMMSVPKKSSSRLKGCSNGEFFSKEDAEQFLCSMLGEECQLSLAVVRDVFCQCGYDIDKALNILLELSASSSEQPKDNRVDAPLHTELNDNFTDKAYDSSSLSSESDFQDSIWNTGNSYRNQLKFNAGIDEHSSPTPKISESQLTEDVLKSLFNMPTPKSAEHEPNTMNWRNVVTKIASFRPKPESSPGKSVAADLYDPAKGDDYEVFREASKRHWESMKFYYQKAASAFTNGERGYAAYLSEQVYPMILGV >itb04g28270.t2 pep chromosome:ASM357664v1:4:32047321:32053970:-1 gene:itb04g28270 transcript:itb04g28270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKRKKRSKATKSGGAGKGADVSAEDGDKKDERKVLETLLEASISVQADSAYKEANVNKDAEIVGNLAESAEERSTTSSCWNVGSSSCTSTSEVSVEDQCFQNGVRQKSKMKRVVASAGTVSSLLGKDYMMSVPKKSSSRLKGCSNGEFFSKEDAEQFLCSMLGEECQLSLAVVRDVFCQCGYDIDKALNILLELSASSSEQPKDNRVDAPLHTELNDNFTDKAYDSSSLSSESDFQDSIWNTGNSYRNQLKFNAGIDEHSSPTPKISESQLTEDVLKSLFNMPTPKSAEHEPNTMNWRNVVTKIASFRPKPESSPGKSVAADLYDPAKGDDYEVFREASKRHWESMKFYYQKAASAFTNGERGYAAYLSEQGSSQNRKAQEADKKASLDIFDARNKSIENVITIDLHGQHVKQAMKFLKLHLLFGAYVRSVRSFRVITGCGSHGVGKSKLKTSVINLLDKEGIEWREENRGALLIKLHGQTNFSFIDSDSDSETI >itb03g05850.t1 pep chromosome:ASM357664v1:3:4137432:4137881:1 gene:itb03g05850 transcript:itb03g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLDAELIGEELSLRVGDGRANSGKAVPREKLEIFYYENLKSPEKALRMANDAFEDAMAELDTLDTLGEESTHILVSLHDNMTYDPMECLGFVIL >itb08g10630.t1 pep chromosome:ASM357664v1:8:10256266:10259763:1 gene:itb08g10630 transcript:itb08g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNALSLRSHKYSSSAVQTMASSSFRSSKVVMASTLRSGANEVENLKKPFTPPREVHVQVTHSMPPQKIEIFRALEDWADQNILSLLKPVEKCWQPQDFLPDPASDGFHDQVQELRERAVEIPDDYFIVLVGDMVTEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRAIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMYDGRDDNLFDHFSAVAQRLGVYTAKDYADILEFLVGRWKVGDLTGLSSEGRKAQEYVCRLPPRIRRLEERAQGRAKQAPTIPFSWIYDRRVLL >itb05g26450.t1 pep chromosome:ASM357664v1:5:30328863:30333330:1 gene:itb05g26450 transcript:itb05g26450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLVAILSMLLVLALVPLYLWKRRQSSQSSEEHEEDTQVRQRDAVVRATGTRRMRRRPAASAASSSSAAAAIEESADESDDEASGDGYYAAKASKKKEKKRQEREAQRQADEAARESRQTKQSRYDDMRRRKEEEREAKEHALEEEAKARQAKEEEAAALEFEKWKDAFSVDAEGTTESDVQDESQGLLYDFVEYIKKHKCVPLEDLAAEFKLRTQECINRINSLEEMGRLSGVMDDRGKYIYISLEEMKAVADYIKREGRVSISHLASKSNQFIDLEPKAQFVEDIGSVEEIAVA >itb05g26450.t4 pep chromosome:ASM357664v1:5:30328863:30333249:1 gene:itb05g26450 transcript:itb05g26450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLVAILSMLLVLALVPLYLWKRRQSSQSSEEHEEDTQVRQRDAVVRATGTRRMRRRPAASAASSSSAAAAIEESADESDDEASGDGYYAAKASKKKEKKRQEREAQRQADEAARESRQTKQSRYDDMRRRKEEEREAKEHALEEEAKARQAKEEEAAALEFEKWKDAFSVDAEGTTESDVQDESQGLLYDFVEYIKKHKCVPLEDLAAEFKLRTQECINRINSLEEMGRLSGVMDDRGKYIYISLEEMKAVADYIKREGRVSISHLASKSNQFIDLEPKAQFVEDIGSVEEIAVA >itb05g26450.t2 pep chromosome:ASM357664v1:5:30328863:30333330:1 gene:itb05g26450 transcript:itb05g26450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLVAILSMLLVLALVPLYLWKRRQSSQSSEEHEEDTQVRQRDAVVRATGTRRMRRRPAASAASSSSAAAAIEESADESDDEASGDGYYAAKASKKKEKKRQEREAQRQADEAARESRQTKQSRYDDMRRRKEEEREAKEHALEEEAKARQAKEEEAAALEFEKWKDAFSVDAEGTTESDVQDESQGLLYDFVEYIKKHKCVPLEDLAAEFKLRTQECINRINSLEEMGRLSGVMDDRGKYIYISLEEMKAVADYIKREGRVSISHLASKSNQFIDLEPKAQFVEDIGSVEEIAVA >itb05g26450.t3 pep chromosome:ASM357664v1:5:30328863:30333164:1 gene:itb05g26450 transcript:itb05g26450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLVAILSMLLVLALVPLYLWKRRQSSQSSEEHEEDTQVRQRDAVVRATGTRRMRRRPAASAASSSSAAAAIEESADESDDEASGDGYYAAKASKKKEKKRQEREAQRQADEAARESRQTKQSRYDDMRRRKEEEREAKEHALEEEAKARQAKEEEAAALEFEKWKDAFSVDAEGTTESDVQDESQGLLYDFVEYIKKHKCVPLEDLAAEFKLRTQECINRINSLEEMGRLSGVMDDRGKYIYISLEEMKAVADYIKREGRVSISHLASKSNQFIDLEPKAQFVEDIGSVEEIAVA >itb04g32110.t1 pep chromosome:ASM357664v1:4:34809928:34814323:-1 gene:itb04g32110 transcript:itb04g32110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGHYGGAGDSTANNAYSQIQHHPQHGRSEEQQHQQQQQQWRWERESPKLPTNAMSPHMFSEGQGAEASRSYYQGQRTDPRMPLENQGGKDLRSQPVEEDMDIGYEDNPMPQSLEGLEQKFLDDIMKLSKEQNDAEDAENARHRERINAINAQYQEQLVALRARHASRREDVLRRESHARRQQYQQVALDNHPNTNAGGPIDPRGYAAPPPLPGERQRAYNANNYDSYRDRNRFVGSGRDQGYEPRAQYPGGRGYDPGSRYY >itb04g32110.t2 pep chromosome:ASM357664v1:4:34809940:34814043:-1 gene:itb04g32110 transcript:itb04g32110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLENQGGKDLRSQPVEEDMDIGYEDNPMPQSLEGLEQKFLDDIMKLSKEQNDAEDAENARHRERINAINAQYQEQLVALRARHASRREDVLRRESHARRQQYQQVALDNHPNTNAGGPIDPRGYAAPPPLPGERQRAYNANNYDSYRDRNRFVGSGRDQGYEPRAQYPGGRGYDPGSRYY >itb13g02820.t1 pep chromosome:ASM357664v1:13:2733286:2736485:-1 gene:itb13g02820 transcript:itb13g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVKKEYNNAVGGAPIRQHLLNKIRDFALKAEDDIEIQLTNILQLQHKDDEGELHLHRSLQEVAKEAEELLKVINNDSLPLIGCSEAAVSQPHNIAWSGGSLQCSPQCRDPEEDIIMLWDDYNPIKYYLLNDWLSAELGVLTIVGAPGIGKTTLCKKLCTDTEVVSHFEIQAWITIPPRYNGNVQQLQCHLLKPIMWPNPVIEEIDMLQGSTVSQLKHQLHKHLKCKTYLIVLDDVPNTLLWDDIHQCFPNDSIGSRILVTTLFTDVVEYICKDRGIIMRLPYLNYDESWVLFSHRFSLKQHMTPKFEEIAKHLVEECRGLPRSIVTVADRLSTCNCTLKEWKKIEKELLSLGTLHRDKRHLRKKKCIRKLEVVYKDVLVPGCSGGRCFKNPIIILENFEDLLRLKTLRIMISVGSITLLERVGFPAKLKKLMLSGTNFPVKVLMVIGQLPKLKVLNLENAFYGRVWKVVEGGFPELKKLEVEAPSLERWVADTSTHLPKLEYIFLKRCYSLKEIPSIIAKKPELRLIKLERCPPSVVTSAKRYSVDMWRDMKLSVFIIVDGEYLRYSDTLESEESEEDQEKEEEEQEKEEESEEEYDGWQYTDTEESEEEGHDV >itb12g16940.t1 pep chromosome:ASM357664v1:12:18619269:18625241:-1 gene:itb12g16940 transcript:itb12g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIAKFIIGTSNGWGSCSFLRGVVRNNSSVNILQAIDPSTKDIDDIDWNSEDDQDIQDTTGGRNATSNEEASSSSTPCSKLIQNFVGMGFPRELVAKAIEQNGEDSELILETLLTYSVLENSLSENPCAISPQHKPGFNSDESSSGYNENFLDDLSDTYSWSEDDEENADSLSDKERRLLDLTNMGYPMEEASLAMDRCGPEATVAELIDFISAAQVAKSESFYWQEDDIKPKLKNPSNANDRHKRRKLYNYELQRKKRQTGVFDEDNQPLRLPKPMVGFGVPTETCPTFQGRTLSSEATGPPYFYYENVALAPKGVWETMTRHLFDVEPEFVDSLYFSAAARKRGYIHNLPIENRFPLLPLSPRTIHEALPLTRRWWPAWDYRTKLNCIQSAVGSAKLTERIRNALEDTVGEPPLAVQNYVLEECRRWNLVWVGLNKVAPLEPDEVEMLLGFPKSHTRGISRTDRYKALGNSFQVDTVAYHLSVLKELYPKGINVLSLFSGIGGAEVALHRLGIHLKNVVSVEKSEVSRTVMRSWWEQSNQTGNLIEYGDIEGLERDEIKRVMASVGGFDLVIGGSPCNNLSGSNRVSRDGLEGKESSLFFEYVRVLNVVKDRL >itb12g26060.t2 pep chromosome:ASM357664v1:12:27105912:27106513:1 gene:itb12g26060 transcript:itb12g26060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAMKRMSSLAGVESISIGKDRKMTVIGDIDPVSAVEKLRKVCNPRIVSVGPKEGEKKKDEGGKKKEDEGKKKEDNNNVVVMKVPAAAPYYYAPPYDHQYYHYPPPSPYYHSVEEDPRSCVIC >itb12g26060.t1 pep chromosome:ASM357664v1:12:27105569:27106684:1 gene:itb12g26060 transcript:itb12g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLELDLVDEKMKSKAMKRMSSLAGVESISIGKDRKMTVIGDIDPVSAVEKLRKVCNPRIVSVGPKEGEKKKDEGGKKKEDEGKKKEDNNNVVVMKVPAAAPYYYAPPYDHQYYHYPPPSPYYHSVEEDPRSCVIC >itb12g07960.t1 pep chromosome:ASM357664v1:12:6091898:6095930:1 gene:itb12g07960 transcript:itb12g07960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEVIEHKAYARVGLLGNPSDVYYGNTISFSLGNFWASVRLEPSPDLLISPHPTHDLVQFNSLTNLVNRLQSEGYYGGVRLLMAICKVFHNYCKDNNISLHERNFKLSYDTNIPRQTGLSGSSAIVCAALSCLLDFYNVRHLIKVEVRPNLILNAEKELGIVAGLQDRVAQVYGGVVYMDFGKKHMDELGHGIYTPMDIDLLPPLHLIYAENPSDSGKVHSTVRQRWLDGDEFIISSLEEVAKLAVRGQKALLEKDYVTFAELMNRNFDLRRQMFGDECLGALNIEMVEVARRVGAASKFTGSGGAVVAFCPDGPSQVKQLEEDCQKAGFIIQPIKIMPSFLNDTDLQILQSK >itb03g18710.t1 pep chromosome:ASM357664v1:3:16959193:16959561:-1 gene:itb03g18710 transcript:itb03g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATITLILPQIYQRPASRTGKHRRRSQSCRRNPPLPICRVKNREGFHGSDSPARDDRSSCRRPTPEKQGKLETRGSSTIATALLAGTEGGVSLLLLHKERQRRKGGARPCRHCQLCSPEL >itb09g12800.t1 pep chromosome:ASM357664v1:9:8127312:8127641:1 gene:itb09g12800 transcript:itb09g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLFSRILWLLYIEYRYRYRYIDIDIDIEACIGSGHRLINKTFEPTRGLPFRANPDRCCSAAKLPKLQARRTSPPLRLLPDQLLAHRRISLTKGTLPGSSSDFLLDF >itb14g02280.t1 pep chromosome:ASM357664v1:14:1909186:1910639:1 gene:itb14g02280 transcript:itb14g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTNHENPFPYPYDHPQNPSSRHHDLDHQPPPFLLHDDSPLSQVFSQPPAPHAAGAGAGDHKAKKGGGGPSRKRNPGKKDRHSKICTARGVRDRRMRLSLQIARKFFDLQDTLGFDKASKTIEWLFSKSKNAIKDLMRTKNIKSAGFASSSDEYEVGSITPATKESRQEARARARERTMEKKKMMIRGLENSSPSSLDQLGSSSNSPFLEESCCQEIKSNNTCPDLAQSFTKQYLGDEEIRSNNACTDLAQWFSRQYLGEEEIMSNNAYTDLAQWSGKQYLEEAEIRSNNTCTDLAQSFSRQQYLRKEEIRSNNTCTDLAQWFSKQYSGEEEIRSNNAPLGFENQFASSVGIKENYHQDNGESFMGFLGNWDLAGAGAGPGPGAGISPYTHGLDPFPGINPSTTLYFGATSLPLDQERQFSCNHYSLG >itb07g21820.t2 pep chromosome:ASM357664v1:7:26352863:26354286:1 gene:itb07g21820 transcript:itb07g21820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSLVELDISNCISLVELPNMESLSSLEILGIRNCISLVELPNMESLSSLKCLNIRNCNAXVLNLGSCMKLKELPAKLGDLGKLRELNVRATAITNMPFSLGCLRNLKNLDLSSLRSTRTTRGSAGLLPPSVAELCSLERLSTHSNNLYERDLPIGLGSLTSLTNLHLSGCFYIQDLPFSLCDLSNLKNLNLDDWQNLRVLKDLPPTLESLSAGNCVSLEKIADISTLQRLQKLNIPNCKNLAPIPGLETLENLQLLEIRNCSRLTPPVENWFQARSEGGPEGDSVKFRLEGSGVGYLACRVPTLLGPKFIRTDNPAIIEGPLEGLRISVSSTTTVQSSFAVATGHR >itb07g21820.t1 pep chromosome:ASM357664v1:7:26352863:26356475:1 gene:itb07g21820 transcript:itb07g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSLVELDISNCISLVELPNMESLSSLEILGIRNCISLVELPNMESLSSLKCLNIRNCNAXVLNLGSCMKLKELPAKLGDLGKLRELNVRATAITNMPFSLGCLRNLKNLDLSSLRSTRTTRGSAGLLPPSVAELCSLERLSTHSNNLYERDLPIGLGSLTSLTNLHLSGCFYIQDLPFSLCDLSNLKNLNLDDWQNLRVLKDLPPTLESLSAGNCVSLEKIADISTLQRLQKLNIPNCKNLAPIPGLETLENLQLLEIRNCSRLTPPVENWFQARSEGGPEGDSVKFRLEGSGVGYLACRVPTLLGPKFIRTDNPAIIEGPLEGLRISVSSTTTVQSSFAVATGHR >itb08g16160.t1 pep chromosome:ASM357664v1:8:18314825:18319748:1 gene:itb08g16160 transcript:itb08g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLWLLVFLVSYYSFLCTNAKLISSLPGQPQNVTFKQYSDYIVTDDHHGRALLYYFVEAESKDALSLPLTLWFSGGPRCSSIGLGLFMENGPFRPGKDGNLIKNEFSWNLVSNMLYVDSPIGVGFSYSNTSSDYNNYWNDTMTALENAKFLLKWFEKFPKYRNLDLYLAGDIYAGHFVPQLAALVLEYSRNVKPIKLKGIALGNPLLDIVISVDSADYLWYHGAISAELRTMKKKLCNDTRFILEFAQNKTSEDCKKMMDKMDEELGIGFDTGDMLLPTCVSGQHDVGGWSEAFGILREGKNVTYLTFATVKGGAHMVPFTSPSQSLILFKSFINGSPPPTTSLN >itb15g11000.t2 pep chromosome:ASM357664v1:15:8757369:8764541:1 gene:itb15g11000 transcript:itb15g11000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAADKYQRRPIEVFEPALSREVGESGSSRNEGVEVRELKVQGEVSENSTSNKDPIGKWMAFEAETSKARSDAKIIESSIAQRTAEWGLTVRMDVGEGSFHAIPKISFGEGERNKNSLERFSAAESTRTSESSNYGTEMPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEVIGRNCRFLQGPDTDLDEVDKIRTAVKAGKSYCGRLLNYKKDGTPFWNMLTVTPIKDENGNTIKFIGMQVEVSKYTEGVVDKTLRPNGLPQSLIRYDARQKENALGSITEVVQTVKNPRSSAKSISQDAKQMDFMLPRLAETESIGTPSSQTPQWDPEGNLSRQDSDKKSRKSARVSLMGFKGRSSSSAGLTKSQQIPEPEILMTEEIADSWERAERERDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVQRIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEQTELQSAKLVKATAENVDEAVRELPDANLRPEDLWALHSQRVSPRPHRKNSTSWAAIQKITATGEKIGLNHFKPVRPLGCGDTGSVHLVELKGSGELYAMKAMDKSIMLNRNKVHRACIEREIIALLDHPFLPTLYCSFQTSTHVCLITDFCPGGELFALLDKQPMKMFKEDSARFYAAEVLIGLEYLHCLGIVYRDLKPENILLQADGHVVLTDFDLSFKTTCKPQVIKHAPPKGRRPSRSTPPPTFVAEPVSQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILNKDLTFPSSIQVSLAARQLIHALLNRDPACRLGSNSGANEIKQHPFFREINWPLIRCMSPPPLDAPLQLIGKETNTNDVDWNDEGVLVHPMDFF >itb15g11000.t1 pep chromosome:ASM357664v1:15:8756579:8764541:1 gene:itb15g11000 transcript:itb15g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAADKYQRRPIEVFEPALSREVGESGSSRNEGVEVRELKVQGEVSENSTSNKDPIGKWMAFEAETSKARSDAKIIESSIAQRTAEWGLTVRMDVGEGSFHAIPKISFGEGERNKNSLERFSAAESTRTSESSNYGTEMPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEVIGRNCRFLQGPDTDLDEVDKIRTAVKAGKSYCGRLLNYKKDGTPFWNMLTVTPIKDENGNTIKFIGMQVEVSKYTEGVVDKTLRPNGLPQSLIRYDARQKENALGSITEVVQTVKNPRSSAKSISQDAKQMDFMLPRLAETESIGTPSSQTPQWDPEGNLSRQDSDKKSRKSARVSLMGFKGRSSSSAGLTKSQQIPEPEILMTEEIADSWERAERERDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVQRIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEQTELQSAKLVKATAENVDEAVRELPDANLRPEDLWALHSQRVSPRPHRKNSTSWAAIQKITATGEKIGLNHFKPVRPLGCGDTGSVHLVELKGSGELYAMKAMDKSIMLNRNKVHRACIEREIIALLDHPFLPTLYCSFQTSTHVCLITDFCPGGELFALLDKQPMKMFKEDSARFYAAEVLIGLEYLHCLGIVYRDLKPENILLQADGHVVLTDFDLSFKTTCKPQVIKHAPPKGRRPSRSTPPPTFVAEPVSQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILNKDLTFPSSIQVSLAARQLIHALLNRDPACRLGSNSGANEIKQHPFFREINWPLIRCMSPPPLDAPLQLIGKETNTNDVDWNDEGVLVHPMDFF >itb08g13670.t1 pep chromosome:ASM357664v1:8:14858773:14861895:-1 gene:itb08g13670 transcript:itb08g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVRKSITFSGFTLAKFAISPSMELSSNLPCIKPVRDTREKQIQLWKELIIDYCKTQNVFVIGLEEDFPLFSNPAIERSLSHEAREAFLSALVSDGHAEWMDKGHRKCLILWHRIQDWADLILRFVRENGLEDSVMTVEEIRSGTESRGTELHGMDRTVLMRALKLLENKGKLALFKGTYADDEGVKFSL >itb07g14720.t1 pep chromosome:ASM357664v1:7:17384728:17385644:1 gene:itb07g14720 transcript:itb07g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKVPKVAVAVFILKGEKVLVGKRLAGAGTSCFSVPSGHLEFGEVFEECAAREVKEEAGLELKNIETLRVINHVFHNEAKPSHYVVLLIRAELSDPDQIPENVEPDRCEGWDWYEWNDMPKPLTPPLQLILNSGFNPFSAGVQN >itb05g10950.t1 pep chromosome:ASM357664v1:5:16886470:16886796:1 gene:itb05g10950 transcript:itb05g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEAAIFLEAMGYPANIKIYIVTGTNFGPNGLNALQDYPNVYSHSNLATAEELKPFINRHNQLAALDYIVAVESDVFAYTYDGNIAKAVRGHRMFESFRKTINPNK >itb02g12010.t1 pep chromosome:ASM357664v1:2:8057293:8058492:-1 gene:itb02g12010 transcript:itb02g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYPSSSLTELSSFYALLGLKPALLKGEDRDPTALLKGEDRDPTALLKGVESSIAPQSTPQKPKTNASPASCQLFNGILFSPPVRDQNLNAYSFSHPFSIFMIWIISLSCFCGSKPG >itb12g02820.t1 pep chromosome:ASM357664v1:12:1842137:1844920:-1 gene:itb12g02820 transcript:itb12g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVKQILAKPIQLADQVTKAADEASYSFKQECAELKTKTEKLAALLRQAARASNDLYERPTRRILEDTEQVLEKALALVVKCRTHGLVKRVFTIVPAAAFRKMQGQLDNSIGDVTWLLRVSAAADARDDEYLGLPPIAANEPILCLIWEQIAILYTGSLDDRSDAAASLVSLARDNDRYGKLIIEEGGVAPLLKLLKDGKPEGQENAATAIGLLGRDAETVELMLHAGVCQVFAKMLKEAPMKVQAVVAWATSELAKHFPKSQDPFAQNNIIRLLVKHLAFETVQEHSKYAIASKATSIHALVLASNNNVSQKNEDEEKRSIPHPMETKKATQMHNVVTNTMAMKNQNPMNHHNHNHGKEMEDPATKAYMKSMAARALCYLAKDNPGICRSITESSALLCFAVLLEKGSEDVQYNSAMAVMEITSVAEKDPELRRSAFKPNSPACKAVADQVLRIVESALPDLLIPCIRTIGNLARTFRATETRMICPLVALLDEHESDVTKEAAVALTKFACSDNYLHLDHCKAIISAGGAKHLVQLVYFGEQMVQSSALTLLCYIALHVPDSEELGRSEVLTVLEWASKQGFLIQDEKMVKLLIEAKRGLEVFQSRGHRRC >itb05g15600.t1 pep chromosome:ASM357664v1:5:22945538:22948406:1 gene:itb05g15600 transcript:itb05g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLIGGGVELHRLQTPILQKPFKSLKLERKTVGQCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGKIMVWDLASGRCITPLVGHTSCVWTLDFSCEGCLLASGSADCTVKLWDVTTSTKMPKSEEKLRSLKTLPTKSTPLYALRFSRRNLLFAAGAFSKSV >itb07g13250.t9 pep chromosome:ASM357664v1:7:15403531:15408036:-1 gene:itb07g13250 transcript:itb07g13250.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSG >itb07g13250.t3 pep chromosome:ASM357664v1:7:15399686:15407983:-1 gene:itb07g13250 transcript:itb07g13250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVVGDNGMLSSSDDASWRPLYFALPFMFLFLFIFIIVNAKRRTSKVKL >itb07g13250.t2 pep chromosome:ASM357664v1:7:15399658:15408152:-1 gene:itb07g13250 transcript:itb07g13250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVVGDNGMLSSSDDASWRPLYFALPFMFLFLFIFIIVNAKRRTSKVKL >itb07g13250.t7 pep chromosome:ASM357664v1:7:15400197:15408036:-1 gene:itb07g13250 transcript:itb07g13250.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVSSRPALWMKVLLCLWQFL >itb07g13250.t1 pep chromosome:ASM357664v1:7:15399592:15408192:-1 gene:itb07g13250 transcript:itb07g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVVGDNGMLSSSDDASWRPLYFALPFMFLFLFIFIIVNAKRRTSKVKL >itb07g13250.t6 pep chromosome:ASM357664v1:7:15399658:15408036:-1 gene:itb07g13250 transcript:itb07g13250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVVGDNGMLSSSDDASWRPLYFALPFMFLFLFIFIIVNAKRRTSKVKL >itb07g13250.t5 pep chromosome:ASM357664v1:7:15400197:15408152:-1 gene:itb07g13250 transcript:itb07g13250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVSSRPALWMKVLLCLWQFL >itb07g13250.t8 pep chromosome:ASM357664v1:7:15403531:15408152:-1 gene:itb07g13250 transcript:itb07g13250.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSG >itb07g13250.t4 pep chromosome:ASM357664v1:7:15400197:15408152:-1 gene:itb07g13250 transcript:itb07g13250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIHFWKVIFSLVLLLSVSTASEALVEDDFEQCEHTVKKWASSSLESEVSEDKHLLRDLLFFLHVPRTGGRTYFHCFLKKLYANSLECPRSYDKLRFDPRRPNCRLLATHDDYSLMSKLPNDRTSVVTIIRNPIDRVFSAYEFSIEVAARFLVHPNLTSATRMSGRIRSKSKGVSTLDIWPWKYLVPWMREDLFARREARTRRGETIINGNDPYNMQDIVIPLHKYINEPIAQDLIHNGATFQVAGLTNNSYMAESHHVRHCVLKYRTLGQYVLEVAKKRLDGMLYVGITENHKESAAMFANVVGTQVISQLTPSSFGKSTGAINNSEQSDPSGDSDSDTESKPRHPVLAENTEAINKNMTAGKLIEAYEICMKNLRSTQAQRRTNSLKRVSPANFTKEARRLIPGELIQEITQLNSLDMQLYEYAQHIFEKQKKLGTQRMVVSVSSRPALWMKVLLCLWQFL >itb12g02600.t1 pep chromosome:ASM357664v1:12:1696921:1705648:-1 gene:itb12g02600 transcript:itb12g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFPYSPAEVAKVRLVQFGILSADEIRQMSVVHIEHSETTERGKPKPGGLSDQRLGTIDRKLKCETCMANMAECPGHFGHLELAKPMFHIGFMKTVLSILRCVCFNCSKILADEEEPKFKQAMRIRNPKNRLKKILDACKNKTKCEGGDEINLQGQDSEEPVKKPKGGCGAQQPKISIDGMKMVAEYKLQKKKNDDPEQMPEPVERKQQLTAEKVLSILKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLKRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRYSAWHLDTERGYTTPGDTQVRIEKGELLSGTLCKKSLGTSSGGLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQHGFSIGIGDTIADAKTMENINVTISDAKNKVKELITAFRDKQLEAEPGRTMAESFENKVNQVLNKARDDAGTFAEKSLAESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIERTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLESLKVKKSTFEDMYKYEIDDPNWNPNYMIPDAIDDLRTIREIRSVFDAEVQKLESDRFQLGTEIAITGDNSWPLPVNIQRLVLNAQKTFRIDFRRPSDMHPMEIVEAVDKLQERLKVVHGDDYLSLEAQKNATLFFNILLRSALASKRVLKEYRLTREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVGKTKERAKTVQCALEYTTLRSVTQATEVWYDPDPMSTIIAEDVEFVKSYYEMPDEEIDPDKISPWLLRIELNREMMVDKKLSMADIAEKVNLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELTDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNTKVQKFDMNEGFTAETEWMLDTEGVNLLAVMCHEDVDAKRTTSNHLIEVIEILGIEAVRKALLDELRAVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNEEMLKQAIEIPLPSYMDSGLEFGMTPARSPISGTPYHHDGLMSPMLSPNLRMSPSTDAQFSPYVTGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSARYSPSIAYSPTSPKLSPSSPYSPSSPSYSPTSPSYSPTSPTYSPSSPTYSPSSPYNSGASPDYSPSSPPYSPSAGYSPSAPGYSPSSTSQYTPHMSDKDDKSVKDDKSNR >itb06g25720.t1 pep chromosome:ASM357664v1:6:26638384:26639322:-1 gene:itb06g25720 transcript:itb06g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAGSPVRSSYSNNSSLADIAARVVEEFRAENENDGEEFLYVGDLFCGLGGEASEEDLGKEAAKGLQDDDEEQNNDMDAGDDEQDEDEEFEFAFVPRDAELSLISTADQIFYNGQIRPVFPIFNPNYWESATGTSSMCNSSLSSSGDEQQQQKVSTPPQTMIRLPLRKLLLSEDQETPSSCSSSEADELEGIPEGTYCVWRPKEVKDEASASASPGKCKKSNSTGWRWRSKRWRLPDLVHRSNSHGKDTFVFLTKGEDKAGATKTANPNLTHYTKNRSGDKRRHSYLPYRQHLVGFFANVNGFSRNLQPF >itb12g06810.t1 pep chromosome:ASM357664v1:12:5036413:5037186:-1 gene:itb12g06810 transcript:itb12g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPETLEASEASNESPPKTDVNKGVSKLDFIMRIVAMFGTLGSVIAMSTINQTLPFSMQFFRFGIKHNGLPTFTFFVVANVIAICYLVLSLALSIFHIVKSGAGITRVILIFLDTVMLALLTSGASAAAGIVHLAHNGNVQATPVTICQQHDSFCERVSGSLVGSYIGCLVFLLLIVLSALALSRN >itb07g05080.t1 pep chromosome:ASM357664v1:7:3447325:3448794:1 gene:itb07g05080 transcript:itb07g05080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVLSGPSKAPLEIQLMGTLKAPPNPKDMDMKKEWLTIQYIDHLTITGGGTLDGQGNVVVYPAKGKSHKLPNNLSLNFLTNSVIRGITTLNSKQFHVNVLGGKNLTFDHFTVKAPGDSPNTDGIHIAKIVGAVIKDSVFATGDDCISIGDGLENLKITGVTCGPGHGISVGSLGRTPGETPVKGMFVSNTKFIGTDNGARIKTWPSSHPGVVTDIHFENIDMKNVKNPIVIDQEYCPNNECNKQKPSLVKISKVSYKNIKGTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGAAKSVXTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGAAKSVCKNVKPKLTGKQVPPLVCK >itb02g15700.t1 pep chromosome:ASM357664v1:2:11441139:11441888:-1 gene:itb02g15700 transcript:itb02g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRYAKKQTLEEGNGHRAGFSALMNMLKYCFELGVKYITIYAFSIDNFKRRPEEVGSLMHLMQEKIEEMIKEQSIVNRYGIRVYFQGNLKLLSDPVRSAAERAMAATASNSNATLSICVAYTSTDEIVHAVEQSCEEKWKEISKKNQNSAQNDITERLNGNDKIENLIGSTDIDRHMYMAVVPNPDIIIRTSGETRLSNFLLWQSAKCLLYSPTALWPEIGFRHLVWAILDFQRNYSYLEGKEKET >itb04g31200.t2 pep chromosome:ASM357664v1:4:34132490:34136300:-1 gene:itb04g31200 transcript:itb04g31200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGTMATLSGTSEITESIEDLSSASEIDENARRQHLMKIGQRYLIEEDINRLFEAIEIRNSHRGLSSPEQGAKSAMKRPMRTYSSQPSGIGISEAVSLKQAFRGLCISQASEMAAMKKRLSRPSGFSAVLEAGAIKRMNGGPTAVEAGDPGHPVDKNKGKLVQISLVPDGSTPNSSDKMPGFEHVPGAQHSARSPPLSRDAIAREAKLTKTPTEIGAVPLSPPGGCESSKITLEHSKRPKLPEPSVPSSANVMLPILDEIVPSTDATSSVVQSNREQEGSSNHKALSVLNAGSKVIGRACSSPRLIKPTFRSKTFAKKKTSSNSTSLSSSSSRFKPESASKNDHKNTSIKSTPSRTTANTAMESSSSSLESSITKPGLGSNGSSKTRNVITKPDERSSSREKWEISQSSKSSIGDYSSTTSLSDESYLSGSNRSGYRPHMSKDMRWEAIRCVERQHGNVGLKHFKLLRKLGGGDIGSVYLSELLDTSCLFALKIMDNDFLASKRKTARAQTEREILQMLDHPFLPTLYAHFTTDKFSCLVMEYCPGGDLHVLRQKQPSKNFSEHAVRFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPKLLKSSSPVGQPPKKMSSPCSDSSCIDPFCLHPSWQVSCFTPRFLSAAAKTRKLKADIAAQVTPLPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLYGRTPFKGTTNEDTLGNVVSQCLKFPETPMISSHARDLIRRLLQKEPENRLGSSKGATEIKNHSFFEGLNWALIRCATPPEMPRIFDLGNLISDTNSQNKQGGKCQKEGTSGEENIEFEMF >itb04g31200.t1 pep chromosome:ASM357664v1:4:34132480:34137309:-1 gene:itb04g31200 transcript:itb04g31200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGTMATLSGTSEITESIEDLSSASEIDENARRQHLMKIGQRYLIEEDINRLFEAIEIRNSHRGLSSPEQGAKSAMKRPMRTYSSQPSGIGISEAVSLKQAFRGLCISQASEMAAMKKRLSRPSGFSAVLEAGAIKRMNGGPTAVEAGDPGHPVDKNKGKLVQISLVPDGSTPNSSDKMPGFEHVPGAQHSARSPPLSRDAIAREAKLTKTPTEIGAVPLSPPGGCESSKITLEHSKRPKLPEPSVPSSANVMLPILDEIVPSTDATSSVVQSNREQEGSSNHKALSVLNAGSKVIGRACSSPRLIKPTFRSKTFAKKKTSSNSTSLSSSSSRFKPESASKNDHKNTSIKSTPSRTTANTAMESSSSSLESSITKPGLGSNGSSKTRNVITKPDERSSSREKWEISQSSKSSIGDYSSTTSLSDESYLSGSNRSGYRPHMSKDMRWEAIRCVERQHGNVGLKHFKLLRKLGGGDIGSVYLSELLDTSCLFALKIMDNDFLASKRKTARAQTEREILQMLDHPFLPTLYAHFTTDKFSCLVMEYCPGGDLHVLRQKQPSKNFSEHAVRFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPKLLKSSSPVGQPPKKMSSPCSDSSCIDPFCLHPSWQVSCFTPRFLSAAAKTRKLKADIAAQVTPLPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLYGRTPFKGTTNEDTLGNVVSQCLKFPETPMISSHARDLIRRLLQKEPENRLGSSKGATEIKNHSFFEGLNWALIRCATPPEMPRIFDLGNLISDTNSQNKQGGKCQKEGTSGEENIEFEMF >itb01g24990.t1 pep chromosome:ASM357664v1:1:30506221:30507565:-1 gene:itb01g24990 transcript:itb01g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHLSDMFDCSSGRHYRRRYKKRRQLQTVEMRVKMDCEGCERKVRRSVEGMKGVTSTQVEPKQHKLTVVGYVDPDRVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRRAEDPHVYQLARASSTEVRYTTAFSDDNPAACAVM >itb08g12810.t1 pep chromosome:ASM357664v1:8:13262979:13265362:-1 gene:itb08g12810 transcript:itb08g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYIHHLYSSSSHFLTSPVIYSNKFSRELKTQKSIQFYRVCAAKSILENASSLDLQKRSKKELSRILRTEAAIEAIERKANSSKYNNLWPKAVLEALDDAIKGNRWESALKIFRLLRKQHWYEPRSRTYARLLVMLGKYRQPNQASLLFDLMRSDGLQPTLDVYTALASAYGLSGLLDEAWHTIHDMKSISDCKPDVYTYSILIKCCMKFQRYDMIEHILAEMSYLGIECSNVTYNTIIDGYGKANLFEQMENSLLEMIESGMSLPDVFTLNSVIGAYGKCGNIEKMEKWFDEFQLMGIKPDVMTFNILIMGIKPDVMTFNILIKSYGKAKMYAKMGSVLDYMGKRFYSPTTVLDYMGKRFYSPTTVTYNTIIKTFGKAGNIDEMEEFFLKMKHQGMKPNSITYCSLISAYSRAEILEKVDSILRQVENSDVVLDTTFFNCAINAYGQAGDIERMVKLFLEMKDQQCRPDNITYATMIKAYSAQGMIEAAEDLQSRMISGNDFPGTHMNALQFADNYNCLCAFSPRIKYKMLYQLSNLDYSSI >itb08g01250.t1 pep chromosome:ASM357664v1:8:925381:929271:-1 gene:itb08g01250 transcript:itb08g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYATDTQTKSADLASVVAGAASPPQIATACAEVEAFLHKYTADQTRWFFSITFPTLICKIFGFDDAPQAAAAQKPVSPSGWIDIASLSNDSELAGRIFSLLSPNGVLLSSIADADALSLVKYVFPVERLPEWARYLLQSERDAGILADLCPLFKNRLKEDSVKGSSFQVQLNIFEYYMFWFAYYPVCRGNSEGPQTVGVRRSKRFRLENWAYSIPGLLSTKHTTEKKNEGNLYMRLLYAYLRVYVPMHDLNTHQPYRSSLLHYSSAYDVYTVERAEFLINTMIHFWLVDNDFSPLPVTMCKSFGVSLPFRSFLGETPPTSGLGEVVNVFVKYLNLNSLKLTDENGQVDYVESPRQRLSGSVGTAKSRDAAFRVQTVSSWDSWIQRPLYRFILRTFLYCPVECSVKNVSQVFTLWVNYLEPWTISLEQFAELDTSLGLPTKIMQKEDTQSKYSSAWRGFVLANYLFYSSLVMHFIGFAHKFLHTDPELTVQMVSKVINILTSSAELMDLIKNVDIVFHSKPYGSSKSLLNTLHRFVPAIREQLQDWEDGLCESDADGSFMHENWNKDLRLFSDNEDGGLELLQLFVLRAESELQAVGGDNLARNLECLNTLKSQLGVLCGCPILKSISRPETKMSEHSRDEIFKPRSFGNQTVPDIKYRGDWMKRPISSDEIAWLAKLLVKLSGWINESLGLNTVDSSHESPAYVELSSDPGNVYGLAETTKVVLCSLLSWLGTLCWAGVKFMQKHGLRVNLRMLASKKVVMMMLIVAGLCALKRAFAASTRVHIQA >itb05g14730.t1 pep chromosome:ASM357664v1:5:22015235:22019078:-1 gene:itb05g14730 transcript:itb05g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVWLLSCWAYTFHQGPLFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLTKPASAAPNRPVPAPTTTPSASSKENCARCRQGFFCSDHGSQPKEVNPKSSNTVASTSNESNQDLHESHPPPPKKVVDINQPQTCKNKGCGKTFTEKENHDTACSYHPGPAIFHDRMRGWKCCDIHVKEFDEFMAIPPCTKGWHNADPVS >itb03g02570.t1 pep chromosome:ASM357664v1:3:1461986:1465216:1 gene:itb03g02570 transcript:itb03g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSAKKPTIQKTPKIILPLALTIIFLTVFPLYYTFNRAPNVEVDLYPPNQRTTEEIGADLSGSPPKPEPRRIRTAESVSRCDIFTGEWVPNPEAPYYTNDTCWGIQEHQNCMKFGRPDNGYLKWRWKPDGCELPVFDPFDFLELVRGKALAFVGDSIARNHMQSLVCLLSRVTYPLDVSETKDDNRKSFVYRDYDFNITMFWSPYLVKTGVTFSEINTNPFNLYLDEFDESWTTKIKDFDYVIINAGHWFFRPTSFYLEGKLAGCLYCSDPNITQLTSAFSYQRALRTAFRAINSLENFKGVTFLRSFAPQHFEHGPWDQGGECVRTVPFRRNDSALSEFKFDSYDIQREEFRIAEEEARQKGTRLRLFDVTQAMLLRPDGHPNKYGHWQNPNVTYYNDCVHWCLPGPIDTWGDFFLELLKREVQDRSSR >itb10g22320.t1 pep chromosome:ASM357664v1:10:27210999:27211319:-1 gene:itb10g22320 transcript:itb10g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSYPLPKGALRTDIKADVKLLSLVENQETQKDQIAGEWWVQQPCRPIPSFPPQFPVDWTSPPPTSSPTPPRSGSATPSVAVFVSRACYAPPSRYKCILRCCLVT >itb06g10040.t1 pep chromosome:ASM357664v1:6:14278224:14278886:-1 gene:itb06g10040 transcript:itb06g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAVKVILVFCIVCLLVSGGWAKGGGFRGGGGGRGSKGGGSGGGASDKGTVGGGSGGDTASRGGGSGVRPVPVYPHGNHPSNNSPSTIVPWLCIAAYFFAHISLLVL >itb13g18340.t2 pep chromosome:ASM357664v1:13:25304853:25305227:1 gene:itb13g18340 transcript:itb13g18340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSIRKALKTNLKSYMNGLAIYDAPLAHGWKERLEETLKWLSPLAHNMIRWQSERNFEQQQQVVTRANVLLLQTLFFCDCKMTEAAICELLVGLNYICWYEHQQNALLDCASSFDLEDYMEW >itb13g18340.t1 pep chromosome:ASM357664v1:13:25303036:25305571:1 gene:itb13g18340 transcript:itb13g18340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWLLRMRNQVSNKKLSSNQQEKQVIGILSFEVANVMSKVVHLHKSLSDPEISKLNNEILKCVGIRALVSGDETRLLELAFVEKLDDLDRVASVVSRLGKKCTIPALQGFEHVYGDITSGLIDVREFGFLVKDMEGMVKKMERYVGSTASLYREMEVLNELELGLRKFQQNQHEETRKAYEHKLVWQKQDVRHLKDASLWNQSYDKVVEMLARTVCTVYARINTVFGVRIVRRDSLSVSNPGAQFQVKHDFEEKCSRIDSECTQADSKRLALSLSKKNPNNHSGVIALERRSTSYLARSEGGLFSAEDFNFACGMGPGRLFMECLSLSSATRRDDDDDDIGSDDRTSQISRCCSVTSGTRRQTANSSRFFSRSLSGVPFSGNPRQFRGSMSNNSARFGPKSRVLLYAPPSTVGGSALALHYANIIIVIEKLLCYPHLVGEEARNDLYLMLPTSIRKALKTNLKSYMNGLAIYDAPLAHGWKERLEETLKWLSPLAHNMIRWQSERNFEQQQQVVTRANVLLLQTLFFCDCKMTEAAICELLVGLNYICWYEHQQNALLDCASSFDLEDYMEW >itb04g24770.t2 pep chromosome:ASM357664v1:4:29504227:29515761:-1 gene:itb04g24770 transcript:itb04g24770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRKGASKAAAAAAARRQYKVGDLVLAKVKGFPAWPATVSEPEKWGYPPDWKKVLVFFFGTQQIAFCNPSDVEAFTEEKKESLLGKRHGKGADFVRAVREIIDCYEKLKNESTNNELNYEVPTATLDSCQKVKHAAADTSGRNYSTETGPAGVVEETSCDEQVPSKEPSGMVDVTPATKTYSSRRKLNSLRPRNCATQKRGPSTLKDGILRRSKRTRKLFDDSDKHEVGSPTSLSNGSIEENDSEIVTADSDTSSFNEGSSVESGCKLEPRSHEHCEGEVEFSQKLDFHGNAVILKKKRKPNRKRLPSDSTEDTAAPVKEIDSEMNVVISGQSLPNDVEKPTERVVKDMKEDGDEHLPLFKRARVRMGMPSPVLEELDTSIQEDKRTEVCNSTAEQGTGSLHGGDSSFAQSSSPLNKDPVKMEQLCNRKFGGSLTGESALPPSKRLHRALQAMSANTSEDDQKSLGGLSKINTSLAECSSVGYCYESSDSRKVENESGMEKAEKLLRNASIEDATQFSTATAGSMDNPEIKSPNTGEIQAKVESPNVGVEEQVLVNHSDNSSTPLAAFNDNDEVDAHSSKPSGEPACELHQMCSDFVGGDKISTNSPKIDGIVHVHTSEVKCDDTNNLCQHSLNESKQDNEISNAEEGFGLTLKDSCALSPPEKIMSSSQQELHQSCSSSVSDDHLGEKPVSITLSSSSLTDGLDSNARASPPNTSICNVSKSEDFVLDKPKFADKMSSKREASAALAYFESILGALTRTKESIGRATRVAIDCAKFGVATKVVEILSSNLECDIGAIYPLAIQTVLPRMLAAAAPPGSSSLENQRQCLKVLKVWQERRILPESVIRPRITELESFSSSGVYCRRSLRTERSFDDPIREMEGMMVDEYGSNSSIQLPGFCMPPMLKDEDAIDSDGEGFEAVTPEHTAAKSEEQGNVIPSTEKHRHILEDVDGELEMEDVAPVCEAEIPAVSNGPGMDTGLASDNPFVNPFGAHIHPPLPVDLPVTPPPLPASPPPPPPSMPPPPPPSVPPPPPPPPPSSLPAPVLSGSVSVDSKLSSSSEIMKDNVEESIVPQSINARAESRIPDGIQHHAPDRRGIQNHVPVQKPDSRNSLAFSSESARHPSVWASNDMPTPEDSFKKNFRLRPPHPAPSNQFSYVQSEVQATRNAPHPSYPNRFHMHNADNVDLYRDRNDFPHRDVGEYWRTSALPSGPCYQDSSRVPYEAGPYTCPRQRSYRDHRWAHPSRPMNYRDFLPHRAPPEGPLPVTSRGSKYWRPR >itb04g24770.t3 pep chromosome:ASM357664v1:4:29504190:29515761:-1 gene:itb04g24770 transcript:itb04g24770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRKGASKAAAAAAARRQYKVGDLVLAKVKGFPAWPATVSEPEKWGYPPDWKKVLVFFFGTQQIAFCNPSDVEAFTEEKKESLLGKRHGKGADFVRAVREIIDCYEKLKNESTNNELNYEVPTATLDSCQKVKHAAADTSGRNYSTETGPAGVVEETSCDEQVPSKEPSGMVDVTPATKTYSSRRKLNSLRPRNCATQKRGPSTLKDGILRRSKRTRKLFDDSDKHEVGSPTSLSNGSIEENDSEIVTADSDTSSFNEGSSVESGCKLEPRSHEHCEGEVEFSQKLDFHGNAVILKKKRKPNRKRLPSDSTEDTAAPVKEIDSEMNVVISGQSLPNDVEKPTERVVKDMKEDGDEHLPLFKRARVRMGMPSPVLEELDTSIQEDKRTEVCNSTAEQGTGSLHGGDSSFAQSSSPLNKDPVKMEQLCNRKFGGSLTGESALPPSKRLHRALQAMSANTSEDDQKSLGGLSKINTSLAECSSVGYCYESSDSRKVENESGMEKAEKLLRNASIEDATQFSTATAGSMDNPEIKSPNTGEIQAKVESPNVGVEEQVLVNHSDNSSTPLAAFNDNDEVDAHSSKPSGEPACELHQMCSDFVGGDKISTNSPKIDGIVHVHTSEVKCDDTNNLCQHSLNESKQDNEISNAEEGFGLTLKDSCALSPPEKIMSSSQQELHQSCSSSVSDDHLGEKPVSITLSSSSLTDGLDSNARASPPNTSICNVSKSEDFVLDKPKFADKMSSKREASAALAYFESILGALTRTKESIGRATRVAIDCAKFGVATKVVEILSSNLECESSLHRRVDLFFLVDSIVQCSRGLKGDIGAIYPLAIQTVLPRMLAAAAPPGSSSLENQRQCLKVLKVWQERRILPESVIRPRITELESFSSSGVYCRRSLRTERSFDDPIREMEGMMVDEYGSNSSIQLPGFCMPPMLKDEDAIDSDGEGFEAVTPEHTAAKSEEQGNVIPSTEKHRHILEDVDGELEMEDVAPVCEAEIPAVSNGPGMDTGLASDNPFVNPFGAHIHPPLPVDLPVTPPPLPASPPPPPPSMPPPPPPSVPPPPPPPPPSSLPAPVLSGSVSVDSKLSSSSECVGVQIMKDNVEESIVPQSINARAESRIPDGIQHHAPDRRGIQNHVPVQKPDSRNSLAFSSESARHPSVWASNDMPTPEDSFKKNFRLRPPHPAPSNQFSYVQSEVQATRNAPHPSYPNRFHMHNADNVDLYRDRNDFPHRDVGEYWRTSALPSGPCYQDSSRVPYEAGPYTCPRQRSYRDHRWAHPSRPMNYRDFLPHRAPPEGPLPVTSRGSKYWRPR >itb04g24770.t1 pep chromosome:ASM357664v1:4:29504190:29515761:-1 gene:itb04g24770 transcript:itb04g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRKGASKAAAAAAARRQYKVGDLVLAKVKGFPAWPATVSEPEKWGYPPDWKKVLVFFFGTQQIAFCNPSDVEAFTEEKKESLLGKRHGKGADFVRAVREIIDCYEKLKNESTNNELNYEVPTATLDSCQKVKHAAADTSGRNYSTETGPAGVVEETSCDEQVPSKEPSGMVDVTPATKTYSSRRKLNSLRPRNCATQKRGPSTLKDGILRRSKRTRKLFDDSDKHEVGSPTSLSNGSIEENDSEIVTADSDTSSFNEGSSVESGCKLEPRSHEHCEGEVEFSQKLDFHGNAVILKKKRKPNRKRLPSDSTEDTAAPVKEIDSEMNVVISGQSLPNDVEKPTERVVKDMKEDGDEHLPLFKRARVRMGMPSPVLEELDTSIQEDKRTEVCNSTAEQGTGSLHGGDSSFAQSSSPLNKDPVKMEQLCNRKFGGSLTGESALPPSKRLHRALQAMSANTSEDDQKSLGGLSKINTSLAECSSVGYCYESSDSRKVENESGMEKAEKLLRNASIEDATQFSTATAGSMDNPEIKSPNTGEIQAKVESPNVGVEEQVLVNHSDNSSTPLAAFNDNDEVDAHSSKPSGEPACELHQMCSDFVGGDKISTNSPKIDGIVHVHTSEVKCDDTNNLCQHSLNESKQDNEISNAEEGFGLTLKDSCALSPPEKIMSSSQQELHQSCSSSVSDDHLGEKPVSITLSSSSLTDGLDSNARASPPNTSICNVSKSEDFVLDKPKFADKMSSKREASAALAYFESILGALTRTKESIGRATRVAIDCAKFGVATKVVEILSSNLECESSLHRRVDLFFLVDSIVQCSRGLKGDIGAIYPLAIQTVLPRMLAAAAPPGSSSLENQRQCLKVLKVWQERRILPESVIRPRITELESFSSSGVYCRRSLRTERSFDDPIREMEGMMVDEYGSNSSIQLPGFCMPPMLKDEDAIDSDGEGFEAVTPEHTAAKSEEQGNVIPSTEKHRHILEDVDGELEMEDVAPVCEAEIPAVSNGPGMDTGLASDNPFVNPFGAHIHPPLPVDLPVTPPPLPASPPPPPPSMPPPPPPSVPPPPPPPPPSSLPAPVLSGSVSVDSKLSSSSEIMKDNVEESIVPQSINARAESRIPDGIQHHAPDRRGIQNHVPVQKPDSRNSLAFSSESARHPSVWASNDMPTPEDSFKKNFRLRPPHPAPSNQFSYVQSEVQATRNAPHPSYPNRFHMHNADNVDLYRDRNDFPHRDVGEYWRTSALPSGPCYQDSSRVPYEAGPYTCPRQRSYRDHRWAHPSRPMNYRDFLPHRAPPEGPLPVTSRGSKYWRPR >itb15g20550.t1 pep chromosome:ASM357664v1:15:23220925:23222801:1 gene:itb15g20550 transcript:itb15g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISRKVYDGGCHVLGVIPKALMPVEISGESVGDVKIVSDMHERKAEMAREADAFIALPGGYGTMEELLEMITWAQLGIHKKPVGLLNVDGYYNSLLALFDNGVEEGFIKPLARHIVLSAPNARELLNKMEQYTPCHDQVVPRESWQTEQLDGLPQE >itb06g14610.t1 pep chromosome:ASM357664v1:6:19139506:19144209:-1 gene:itb06g14610 transcript:itb06g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVENAAATALRAVLHRVRVAAERSGRRPDDVRVVAVGKTKPASLIRQVYDAGHTCFGENYVQEIIQKAPELPEDIEWHFIGHLQSNKVKSLLTAVPHLAMVEGVDNEKVANHLDRAISSIGRQPLKVLVQVNTSGEESKSGIDPSYSIELAKHVKLNCPNLEFSGLMTIGRPDYTSTPENFKMLLNCRTEICKVLGMTEAQCELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYPKRQ >itb08g11320.t1 pep chromosome:ASM357664v1:8:11259858:11263816:-1 gene:itb08g11320 transcript:itb08g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGEESEPHKPLPSSSESQAAEMDPQKWGTHVMGRPAVPTTHPDNQKAALWRSEDQHQDFHPQPYVVYSPVDRPPSNNPFESVCHMFNSWSHRAETVARNVWHNLKTAPSVSEAAWGKLNMTAKAITEGGFEPYYKQIFATDPYEKLKKTYACYLSTTTGPVAGTLYLSTTKVAFCSDRPLTFTAPSGQEAWSYYKIAVPLANIAAVNPVVMRENPQEKYIQIVTVDGHDFWFMGFVNFEKATHNLLDGLSNFRAYGNNNAAGQSVSGHANVSQPATAN >itb05g09070.t1 pep chromosome:ASM357664v1:5:12972441:12990367:1 gene:itb05g09070 transcript:itb05g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVNISVGSHVWVEDPKLAWIDGEVIKIDGQDAHVNTTNGKKVVANISKVFPKDDEAPPGGVDDMTKLSYLHEPGVLQNLSTRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVCQISTPERNYHCFYLLCAAPPEEREKYKLGNPKSFHYLNQSNCYELDGVNDGEEYLATRRAMDIVGISEEEQEGIFRVVAAILHLGNIEFAKGQEIDSSVIKDEKSRFHLNTTADLLKCDAKSLEDALIKRVMVTPEEVITRTLDPEAALGSRDALAKTIYSRLFDWIVQKINISIGQDPNSKSIIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYEKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFAKNKRFIKPKLSRTSFTISHYAGEVTYQADLFLDKNKDYVIAEHQDLLTAAKCTFVASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNSLKPSIFENLNVIHQLRCGGVLEAIRISCAGYPTRRTFYEFILRFGVLAPEVLAGNQDDKVASQMILDKMGLKGYQIGKNKVFLRAGQMAELDARRAEVLGNAARIIQRQIRTYITRKEFIALRKAAVQMQSCWRAMLACKLYEQLRREAAAIKIQKSFRCFVAWKSYSTLRHSAITLQTGMRAMVARDEFRFRKQTKASIKIQACFRCHRDYAYYKSLQRASLITQCGWRGRVARRELRKLKMASRETGALKEAKDKLEKKVEELTWRLQFEKRLRTELEETKAQETAKLQEALQSMQKQVEEANARVIQEQEAARKAIEEAPPVIKETPVIVQDTEKLNALIAEVENLKASLLSEKQAAEEARKACKDAEAKNVDLGSKIAEAERKVDQLQDSVQRLEEKLSNSESENQVLRQQALTMSPTGKTLSARPRTTIIPRTPDNGNIQNAEAKDMSVAVASPKEPESEEKPQKSLNEKQQENQDLLIKCISQDLGFSGGKPVAACVVYKCLLHWRSFEVERTSVFDRIIQTVASSIEVADNNDVLAYWLCNTATLLMLLQQTLKASGAASLTPQRRRSSSASLFGRMSQGLRASPQSAGLSFLNGRVLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGLIRDNLKKEISPLLGLCIQAPRTSRGSLVKGRSQANAAAQQALIAHWQSIVKRLDNYLKMMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLGELEQWCCYATEEYVGSAWDELKHIRQAVGFLVIHQKPKKTLHEITNELCPVLSMQQLYRISTMYWDDKYGTHSVSSEVISNMRVMMAEDSNNAVSSSFLLDDDSSIPFTVDDISKTMQQVDIADIDPPPLIRENSGFVFLHQRTG >itb05g09070.t2 pep chromosome:ASM357664v1:5:12972441:12990344:1 gene:itb05g09070 transcript:itb05g09070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVNISVGSHVWVEDPKLAWIDGEVIKIDGQDAHVNTTNGKKVVANISKVFPKDDEAPPGGVDDMTKLSYLHEPGVLQNLSTRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVCQISTPERNYHCFYLLCAAPPEEREKYKLGNPKSFHYLNQSNCYELDGVNDGEEYLATRRAMDIVGISEEEQEGIFRVVAAILHLGNIEFAKGQEIDSSVIKDEKSRFHLNTTADLLKCDAKSLEDALIKRVMVTPEEVITRTLDPEAALGSRDALAKTIYSRLFDWIVQKINISIGQDPNSKSIIGVLDIYGFESFKQNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYEKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFAKNKRFIKPKLSRTSFTISHYAGEVTYQADLFLDKNKDYVIAEHQDLLTAAKCTFVASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNSLKPSIFENLNVIHQLRCGGVLEAIRISCAGYPTRRTFYEFILRFGVLAPEVLAGNQDDKVASQMILDKMGLKGYQIGKNKVFLRAGQMAELDARRAEVLGNAARIIQRQIRTYITRKEFIALRKAAVQMQSCWRAMLACKLYEQLRREAAAIKIQKSFRCFVAWKSYSTLRHSAITLQTGMRAMVARDEFRFRKQTKASIKIQACFRCHRDYAYYKSLQRASLITQCGWRGRVARRELRKLKMASRETGALKEAKDKLEKKVEELTWRLQFEKRLRTELEETKAQETAKLQEALQSMQKQVEEANARVIQEQEAARKAIEEAPPVIKETPVIVQDTEKLNALIAEVENLKASLLSEKQAAEEARKACKDAEAKNVDLGSKIAEAERKVDQLQDSVQRLEEKLSNSESENQVLRQQALTMSPTGKTLSARPRTTIIPRTPDNGNIQNAEAKVSHDMSVAVASPKEPESEEKPQKSLNEKQQENQDLLIKCISQDLGFSGGKPVAACVVYKCLLHWRSFEVERTSVFDRIIQTVASSIEVADNNDVLAYWLCNTATLLMLLQQTLKASGAASLTPQRRRSSSASLFGRMSQGLRASPQSAGLSFLNGRVLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGLIRDNLKKEISPLLGLCIQAPRTSRGSLVKGRSQANAAAQQALIAHWQSIVKRLDNYLKMMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKSGLGELEQWCCYATEEYVGSAWDELKHIRQAVGFLVIHQKPKKTLHEITNELCPVLSMQQLYRISTMYWDDKYGTHSVSSEVISNMRVMMAEDSNNAVSSSFLLDDDSSIPFTVDDISKTMQQVDIADIDPPPLIRENSGFVFLHQRTG >itb01g27280.t1 pep chromosome:ASM357664v1:1:32141930:32148895:-1 gene:itb01g27280 transcript:itb01g27280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MATDQDGLKTCVVLGGRSFVGRSLVGRLLRLGNWIVRVADSAISPELDASDSESDSLLSQALSSGLASYHRVDVRDKFQITKAIEGVSVVFYMDTMDSHPPDLLFCYAIIVQGAKNVISVCQECKVKRLIYNSRADVVFEKGLDIENGDESLPFAGKFENMFIDLKAQAEALILVANNVDGLLTCSLRPSYVFGPGDKQLLPMVVKMAKSWWAKFIIGRGDNRLDFTYVENLAHAHICAEEALTSKTTLVSGKAFFINNLEPMTLSLFTSLVLEGLGYQRPMLRLPSSVMKCILSHVKSIHLKINSGKLDDYATVYNFFDLALCSRTFNCSASQKYLRYSRIVPMEEAITTTVRLSPHLAKDSFFSRYADYDEESKVHKRLGGGKVAEVLLWRDEKITFAHFLLWFLIYYWFFLSGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGTRLLAQGEDWCTFLKVAGLLYCLKLIVSLSLTAILGVALVLVFSVFFIYEQYEEEVDGVAKVLFSCGMSALSFLSRNSPMPLASILSNFEMSLKRKDLD >itb01g27280.t2 pep chromosome:ASM357664v1:1:32141930:32148895:-1 gene:itb01g27280 transcript:itb01g27280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MFAISFRSPKLLKVCQLYSIWILWIHTLPICCFAMQSLFKNRMVCPSGAKNVISVCQECKVKRLIYNSRADVVFEKGLDIENGDESLPFAGKFENMFIDLKAQAEALILVANNVDGLLTCSLRPSYVFGPGDKQLLPMVVKMAKSWWAKFIIGRGDNRLDFTYVENLAHAHICAEEALTSKTTLVSGKAFFINNLEPMTLSLFTSLVLEGLGYQRPMLRLPSSVMKCILSHVKSIHLKINSGKLDDYATVYNFFDLALCSRTFNCSASQKYLRYSRIVPMEEAITTTVRLSPHLAKDSFFSRYADYDEESKVHKRLGGGKVAEVLLWRDEKITFAHFLLWFLIYYWFFLSGRTFVSSLAILLLLIATMLCGYSVLPPVVYGITVPRISWSCFEISEVDMRICVTTIGNMWNRMNHGTRLLAQGEDWCTFLKVAGLLYCLKLIVSLSLTAILGVALVLVFSVFFIYEQYEEEVDGVAKVLFSCGMSALSFLSRNSPMPLASILSNFEMSLKRKDLD >itb08g00800.t2 pep chromosome:ASM357664v1:8:604095:606683:-1 gene:itb08g00800 transcript:itb08g00800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFTIQISSNLVKQLADDGEKLKKRSKKPKPKTPPKGTHASQANAQQKPFSEDPDMLKGRAPTGWPLQPALFAPVPPQQPAFAELDAIRSVLQDSEKVLVRLQKQEENMLQEVTQRAKDLHEKEFKLPEHKPIPCLEERDACAKCYKENEQNPLKCANAVQSFADCARRVKQLLSAADK >itb08g00800.t1 pep chromosome:ASM357664v1:8:604095:606683:-1 gene:itb08g00800 transcript:itb08g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFTIQISSNLVKQLADDGEKLKKRSKKPKPKTPPKGTHASQANAQQKPFSEDPDMLKGRAPTGWPLQPALFAPVPPQQPAFAELDAIRSVLQDSEKVLVRLQKQEENMLQEVTQRAKDLHEKEFKLPEHKPIPCLEERDACAKCYKENEQNPLKCANAVQSFADCARRVKQLLSAADK >itb08g00800.t4 pep chromosome:ASM357664v1:8:604107:606517:-1 gene:itb08g00800 transcript:itb08g00800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFTIQISSNLVKQLADDGEKLKKRSKKPKPKTPPKGTHASQANAQQKPFSEDPDMLKGRAPTGWPLQPALFAPVPPQQPAFAELDAIRSVLQDSEKVLVRLQKQEENMLQEVTQRAKDLHEKEFKLPEHKPIPCLEERDACAKCYKENEQNPLKCANAVQSFADCARRVKQLLSAADK >itb08g00800.t3 pep chromosome:ASM357664v1:8:604171:606517:-1 gene:itb08g00800 transcript:itb08g00800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFTIQISSNLVKQLADDGEKLKKRSKKPKPKTPPKGTHASQANAQQKPFSEDPDMLKGRAPTGWPLQPALFAPVPPQQPAFAELDAIRSVLQDSEKVLVRLQKQEENMLQEVTQRAKDLHEKEFKLPEHKPIPCLEERDACAKCYKENEQNPLKCANAVQSFADCARRVKQLLSAADK >itb13g15500.t2 pep chromosome:ASM357664v1:13:22362803:22364870:-1 gene:itb13g15500 transcript:itb13g15500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCIYDIFLIWLEILKCYSQIMGWKAAQKLIHHWKVLRGDNVMIIRGKDKGETGIVKRVVRTQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQATMQSWNQILGRWHQSKSFQRYWSIRLHYSSS >itb13g15500.t1 pep chromosome:ASM357664v1:13:22361984:22364916:-1 gene:itb13g15500 transcript:itb13g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCIYDIFLIWLEILKCYSQIMGWKAAQKLIHHWKVLRGDNVMIIRGKDKGETGIVKRVVRTQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVLDPVTGQPCKVGIRYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPSVAGPKDTPLEDVTERTYDPKTGKGMPDL >itb13g15500.t3 pep chromosome:ASM357664v1:13:22361997:22364870:-1 gene:itb13g15500 transcript:itb13g15500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCIYDIFLIWLEILKCYSQIMGWKAAQKLIHHWKVLRGDNVMIIRGKDKGETGIVKRVVRTQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVLDPVTGYGYIGCCLNTFYY >itb05g19620.t1 pep chromosome:ASM357664v1:5:26031669:26036833:-1 gene:itb05g19620 transcript:itb05g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPDLSRLKLNHASNPSRKVRIVGKIRGFTDQELGFLSNSKPWITVNKSSDEDASGKVTILFGDKGTSRKDTFELDNCYDQGEDETVFSREIKPAISDVFTGRDASIIAYGARGSGKTYTIQGLATSAIGDILSRVEDNGKRVSISFFEVFQERAYDLLDPDQREVQVLEDSQGKVKLKGLSQVSIKSLSEFHDIYFGRGTLCKQVQKTSVGVPRRSHKGLIVYVSSPDENQIDKPVGVINFVDLAGYEDSRSSIRDGATLAESTRINMSLHSIMNVVFALNTNNHVPYRENKLTRMLKESLGGNNYTLMLACMNPIFCQDTIYAVSLASRSCENIKMAVRNSTTKCRSSTKRDIRPPTTPSSVKKQTNSGVHDFSLKKSTSVWNGRKLFSGGKVTASKQTEVPSDDSLATKSSKLLQDTGSIVASSSLHEKTEVPPNDSVVTKFELQHDTISTITSSLHEEEAEVPFEPFQTDSISMIKTSVNEENFPQGMEITSGNHGEAIGFTNGEGGDIEKENKVYTTTKAGSPLLSERLRALTDNLKQLQASTPLAIKLQEEGSQAVLLHTVEPKTPVIENGFRVSDKLDITKYNSPWEAFSERNSRVKDSFVGEYLKFMNSASKEDLKRLKGIGEKRAQYILELRDNSPEHFKKLEDLQEIGLSAKQVKSMVKTMAGELFF >itb01g31030.t1 pep chromosome:ASM357664v1:1:34868892:34871573:1 gene:itb01g31030 transcript:itb01g31030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWLQLTSNIGSVVGGLLSLLVAPMTFKGIPGWRFSFHLVGVISVIVGILVRLFAKDPHYPDGNIKERCKIPGKSFWSEAEDLVQEAKSVIKIQSFQIIVAQGITGSFPWSALSFAPMWLELSGFSHGNTAVLIGMFVIASSAGGLFGGSMGDLLSQRLPNYGRIFLAQISTASAIPLAAILLLALPDDPSSILLHALLLFVTGFFISWNAPATNNPIFAEIVPEKSRTSIYALDRSFESIFSSFAPPAVGLLAQKVYGYKPVPQGADVISTDRENASSLAKALFTVIGTPMALCCFIYSFLYCTYPRDRNRALMEARVESEMQLIELENSALRRQYAQGQPSETEDIHPDDRTVIDVEYGEEELDFDESDEQMLIYRNPGFSNFAY >itb01g31030.t2 pep chromosome:ASM357664v1:1:34868892:34871573:1 gene:itb01g31030 transcript:itb01g31030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKSETFTLFLVNLAGIMERADESLLPGVYKEVGEALHTDPTGLGSLTLFRSIVQSLCYPLAAYLSLRHNRAHVIAYGAFLWAAATFLVAFSSTYFQLAASRALNGIGLAIVAPAIQSLVADSTDNSNRGMAFGWLQLTSNIGSVVGGLLSLLVAPMTFKGIPGWRFSFHLVGVISVIVGILVRLFAKDPHYPDGNIKERCKIPGKSFWSEAEDLVQEAKSVIKIQSFQIIVAQGITGSFPWSALSFAPMWLELSGFSHGNTAVLIGMFVIASSAGGLFGGSMGDLLSQRLPNYGRIFLAQISTASAIPLAAILLLALPDDPSSILLHALLLFVTGFFISWNAPATNNPIFAEIVPEKSRTSIYALDRSFESIFSSFAPPAVGLLAQKVYGYKPVPQGADVISTDRENASSLAKALFTVIGTPMALCCFIYSFLYCTYPRDRNRALMEARVESEMQLIELENSALRRQYAQGQPSETEDIHPDDRTVIDVEYGEEELDFDESDEQMLIYRNPGFSNFAY >itb06g15920.t1 pep chromosome:ASM357664v1:6:20159064:20164275:-1 gene:itb06g15920 transcript:itb06g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLQAYLTWRQNECHIKNLYATCFWELVKCGKHEIEVKLILKGTQKQDKNELLFQQFGINYKKDVQEIFRQGSCALRKQVEDIVKYQEDGTPVRRRRKKVIILHSENITARSFWNDQQSLSKDVGLFGECIENIKPEFCDAHGFDKPNDVQALNLMNSCAVSVVEEFKDIVFAYGVSDEYSFVLKKDSLLYERHASEIVSAIVSLFSSIYMMKWKEFFPKKDLKYPPYFDGRCICYPSSMILQDYLAWRQVDCHINNQYNTCFWMLVKSGKSKTESQNCLKGTQTQEKNEMLSKFGIDYHNLPAIFRHGSSVFWDKEETKSECSMEGAVEKYRKKVVVEHCNIIDTSFWKAHPTILEEEGNQCCQALGTSTCS >itb11g22770.t1 pep chromosome:ASM357664v1:11:24667486:24670795:1 gene:itb11g22770 transcript:itb11g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTKKIVDMVKGEKLFGSQGGNIILAQIENEYGNIIWHYGEEGKKYINWCADFALSMNIGVPWIMCQEDDAPKTMINTCNGFYCDQFWPKNKNNPKFWTENWSGWFKNWGDGNPHRPAEDLAFAVARFFQYGGSLQNYYMYHGGTNFGRTSGGPYIATTYDYNAPLDEYGNVNQPKWGHLKELHNLLYTLEDVLLYGNATNTDYGRMMSSTVYEYKEKRVCFLGNANDKDDISITFEGRNYTTPAWSVTILPDCKTEVYNTARVNVQTTLMVKKLSEKPLKWSYRPETVMHLKYGDNKQSSVLIDALDAKQLFDQKVVTNDTTDYLWYMTSFKVNKSSPIMGQETTLQINTKSHVLHAFLNNKHIGSEWAQGGKYQFSFERNVKLRDDINTISLLSETVGLPTFFKTPAGEDAVVLDLTGMGKGVAWVNGHNIGRYWPSFLAKPNCPKCDYRGTYGGSKCVTNCGQPSQRWYHVPRSFLRKGKNQLVLFEEMGGRPQEVSVQTVVPGTICAKVQEGKTLELSCQGKKMSKIKFASFGEPKGSCGSFERSDCDATNAMAVVQGACVGKEKCTINVSEGFFPTTTCSKDKLRSLAIEATC >itb08g12160.t1 pep chromosome:ASM357664v1:8:12243731:12246385:-1 gene:itb08g12160 transcript:itb08g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLREILENVCYPEIFLSFLSDKEKKKIGSKENAILEFYQQFACVDGDPIFSESLWKELQKKFFQQRCELGRIGRRNMNRRLNLDIPQNNTFLLPRDLLAATDHLIGLKFGMGTLDDMNHLQNKRIRSVADLLQDQFGLALVRLENAVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKFSSLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGHWGSLESPFYEISERSTGVRMLYLSPGRDEYYMVAAGNSLALNQDIQEDQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGVERQAALDSGALVIAEREGRVIYTDTDKILFSGDGETLSIPLVMYKRSNKNTCMHQKPQVQRGKCIKKGQILADGAATVEGELALGKNVLVAYMPWEGYNSEDAVLISERLVYEDIYTSFHIKKYEIQTHVTSQGPEKVTNEIPHLEAHFIRNLDKNGIVKQGSWVETGDVLVGKLTPQVVKESSYAPEDRLLRAILGIQVSTSKETCLKVPIGGRGRVIDVRWIQKKGGSSYNPEMIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRSVDLVFNPLGVPSRMNLGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKVVFSELYEASKQTANPWAFEPEYPGKSRIFDGRTGNPFEQPVLIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIVGGTIPNPKDAPESFRLLVRELRSLALELNHFLVSEKNFQIHRKEA >itb10g04450.t1 pep chromosome:ASM357664v1:10:4222051:4225908:-1 gene:itb10g04450 transcript:itb10g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLFGDLPPPSSSTDQDEKSGGGGGNVWSSSTAKMAPSALRKPFAPPQTVLRPQTLLKPKPPKPSPNPTNENANPNPTAHQAASFQPALVAITSTVVDEYDPARPNDYEEYRREKKRKQVEADLREREKREREKEKEKERERERERERELNLSGEEAWRRRAAMSGGGGGAAAPRSPSPPPGNGEFNIGGRSETAGLGMGMGAEGKMTMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDKRGGVIVAGDEVKQQPEKKVKSVNFNMAPTRVVLLRNMVGPGEVDDDLEGEVAEECSKFGTVTRVLIFEITEPNFPHDEAVRIFIQFERSEQATKALIELEGRFFGGRVVRAGFYDEERFSNNELAPLPGEIPGF >itb01g27080.t1 pep chromosome:ASM357664v1:1:32051760:32053439:-1 gene:itb01g27080 transcript:itb01g27080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFVPFPAVGHLVPAVETAMLLLQTNPHLSITFLLNKMPLAPQARVNSIIDSLIADADPADKRLKFKLLLQDLDLHTNGFPDPKTIQDQWTSRLLSEARDCVNDLIIQKSRPRLGGFVVDALFMNMMDVADEFGVPTYVFYALKDHHNGTLEEFVKEDYLNIPTYFNPFPVNLLPTFVLNTTYGILDFARQFHRAKGIIINTFFDLEPHALQSLSTDKTLPPIYPVGPVLNLSAPQESHKQIFEWLDDQPPSSVVFLCFGSGGAFPESQVKEIAYALERSGQRFLWALRKLPSPGSGPLALTEYTNLEEVLPEGFLEKTKNIGKIIGWAPQSAILAHPSVRGFVSHCGWNSILESSWFGVPMATWPMIAEQQSNAFQVVREIGMAVEIKMDYRTDLRDEKMNNIPIVPEIVSAKEIEIGITSLMDHSTSKSIRIKAKEVKEKSRNAIEEGGPCFNAIESFFENLMNNLN >itb08g14000.t1 pep chromosome:ASM357664v1:8:15573611:15574359:-1 gene:itb08g14000 transcript:itb08g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGKSYQPTAGDYGCWSALWSICMPTNIKNFIWRCTRGILLVCTVLLQHRVDTDGVCFVCGIELQTIPKCSCCVLRCPSKVSFKMVLFNGQGAFARACNGFLMCVQDPNLAKALAFKEALAWLKGNGLYNIVL >itb09g12050.t3 pep chromosome:ASM357664v1:9:7586410:7590786:1 gene:itb09g12050 transcript:itb09g12050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSETMDKWRDYFRSANSDIFGIIECAVMVAAMDCPKEFKLRRDRIAEMLFTCKATRCSGCNNVELALPNEDDEVKEKRKFGREFENGASKESKTSESLDDRAEMEMNVKQVSNYSYGDAEALTDEIEEESLTVREVLRIKGVLDNYEEESDAILLDSLRRLQLMDLSVETLKATEIGKTVNGLRKHGSKQIKHLVRTLIEDWKVMVDEWVQATAAITESTPESVKASVVDEEEEEEEGLPSPPLDEGAFFTTASMDFSQFFDGMDFDGNPQNSGEFNKNHENGRKPSVENHNIPVRKQQFNDRPNVTPRDFKNEPPKKQEVVMKKQATDSKLNKPSAGDFGPGRPTRPAVEQKFQQKPDKSKLQKRPVVSNQNKLKTSDEDSVQAKLEAAKRKLQERYQEAANAKKQRTIQVMELQDIPKQGQVHKNPYARPGNNRHWANGRR >itb09g12050.t2 pep chromosome:ASM357664v1:9:7586410:7590786:1 gene:itb09g12050 transcript:itb09g12050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSETMDKWRDYFRSANSDIFGIIECAVMVAAMDCPKEFKLRRDRIAEMLFTCKATRCSGCNNVELALPNEDDEVKEKRKFGREFENGASKESKTSESLDDRAEMEMNVKQVSNYSYGDAEALTDEIEEESLTVREVLRIKGVLDNYEEESDAILLDSLRRLQLMDLSVETLKATEIGKTVNGLRKHGSKQIKHLVRTLIEDWKVMVDEWVQATAAITESTPESVKASVVDEEEEEEEGLPSPPLDEGAFFTTASMDFSQFFDGMDFDGNPQNSGEFNKNHENGRKPSVENHNIPVRKQQFNDRPNVTPRDFKNEPPKKQEVVMKKQATDSKLNKPSAGDFGPGRPTRPAVEQKFQQKPDKSKLQKRPVVSNQNLKTSDEDSVQAKLEAAKRKLQERYQEAANAKKQRTIQVMELQDIPKQGQVHKNPYARPGNNRHWANGRR >itb09g12050.t4 pep chromosome:ASM357664v1:9:7586425:7590195:1 gene:itb09g12050 transcript:itb09g12050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSETMDKWRDYFRSANSDIFGIIECAVMVAAMDCPKEFKLRRDRIAEMLFTCKATRCSGCNNVELALPNEDDEVKEKRKFGREFENGASKESKTSESLDDRAEMEMNVKQVSNYSYGDAEALTDEIEEESLTVREVLRIKGVLDNYEEESDAILLDSLRRLQLMDLSVETLKATEIGKTVNGLRKHGSKQIKHLVRTLIEDWKVMVDEWVQATAAITESTPESVKASVVDEEEEEEEGLPSPPLDEGAFFTTASMDFSQFFDGMDFDGNPQNSGEFNKNHENGRKPSVENHNIPVRKQQFNDRPNVTPRDFKNEPPKKQEVVMKKQATDSKLNKPSAGDFGPGRPTRPAVEQKFQQKPDKSKLQKRPVVSNQNLKTSDEDSVQAKLEAAKRKLQERYQEAANAKKQRTIQVMELQDIPKQGQVHKNPYARPGNNRHWANGRR >itb09g12050.t1 pep chromosome:ASM357664v1:9:7586410:7590847:1 gene:itb09g12050 transcript:itb09g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSETMDKWRDYFRSANSDIFGIIECAVMVAAMDCPKEFKLRRDRIAEMLFTCKATRCSGCNNVELALPNEDDEVKEKRKFGREFENGASKESKTSESLDDRAEMEMNVKQVSNYSYGDAEALTDEIEEESLTVREVLRIKGVLDNYEEESDAILLDSLRRLQLMDLSVETLKATEIGKTVNGLRKHGSKQIKHLVRTLIEDWKVMVDEWVQATAAITESTPESVKASVVDEEEEEEEGLPSPPLDEGAFFTTASMDFSQFFDGMDFDGNPQNSGEFNKNHENGRKPSVENHNIPVRKQQFNDRPNVTPRDFKNEPPKKQEVVMKKQATDSKLNKPSAGDFGPGRPTRPAVEQKFQQKPDKSKLQKRPVVSNQNKLKTSDEDSVQAKLEAAKRKLQERYQEAANAKKQRTIQVMELQDIPKQGQVHKNPYARPGNNRHWANGRR >itb07g07090.t1 pep chromosome:ASM357664v1:7:5367777:5369231:-1 gene:itb07g07090 transcript:itb07g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVLSGPCKAPIRLQIHATLKAPLDPNTLDPKREWLTIQYVDNFTLSGGGILDGQGALAWLQNDCGRTIGSCNKLPNKPSLVKISNVSYDNIKGTSATENAVTFICSRKVPCEKVVVGHISLTFHGDSAKSKCSNVKPILIGTQNPPICNKTALPSPSPSPLLSPSPSPLSTPSLNPSPASSPSLSSSPSPDSSPSVSPNPSPDSSPSVSPDSSSSLSPDSFPYLWLA >itb01g27840.t1 pep chromosome:ASM357664v1:1:32463319:32471468:-1 gene:itb01g27840 transcript:itb01g27840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALLRRRKFISDYLEASAWSIQNFHKFSSNQSTRYSGGFTSVGNHISQCSDCVEDHGEVSSFSTSGLLWHRSGGITLHGHGNVGITMPARLGLISPMGHTLLPYTLRYSSTATAKQPDSGSDDENNDLVAKKKEASPEECDQAVEGLSSAKAKAKAIQFQESNKVAKSVLQRVWATLLGIGPALQAVASMSREDWAKKLTHWKKEFISTLQHYWLGSKLLWADVRISSRLLLKLANGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIGYAKFLQDTVREMAKEVQNSRSGEVKQTAEDLDEFLSRARRGAPISNEEILAFAKLFNDELTLDNISRPRLVSMCKYMGISPFGTDAYLRFMLRKRLQRIKNDDKLIQAEGVESLSEAELREECRERGMLGLRSVEDMRQQLRDWLDLSLNHSIPSSLLILSRAFIVSGRSKIEEAVGATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEYLAMQDELIREEEKKEKEKEKEQQAKKKEPLGNEDVALKEMIIPTAREAQEQARARALDKQEHLCEISQALAVLASASSVSREREEFLRLVNKEIELYNSMVDKEGTDREVDAMKAYKAAHGENDQMSEVTAHDVVSSALVDKVDAMLQNLEKEIDDVDAKIGDRWQILDRDYDGKVTPEEVAAAAKYLKKTLGKEGVQELITNLSKDKDGKILVEDIVKLGSRVEDMRSDGM >itb01g27840.t2 pep chromosome:ASM357664v1:1:32463304:32470609:-1 gene:itb01g27840 transcript:itb01g27840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIGYAKFLQDTVREMAKEVQNSRSGEVKQTAEDLDEFLSRARRGAPISNEEILAFAKLFNDELTLDNISRPRLVSMCKYMGISPFGTDAYLRFMLRKRLQRIKNDDKLIQAEGVESLSEAELREECRERGMLGLRSVEDMRQQLRDWLDLSLNHSIPSSLLILSRAFIVSGRSKIEEAVGATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEYLAMQDELIREEEKKEKEKEKEQQAKKKEPLGNEDVALKEMIIPTAREAQEQARARALDKQEHLCEISQALAVLASASSVSREREEFLRLVNKEIELYNSMVDKEGTDREVDAMKAYKAAHGENDQMSEVTAHDVVSSALVDKVDAMLQNLEKEIDDVDAKIGDRWQILDRDYDGKVTPEEVAAAAKYLKKTLGKEGVQELITNLSKDKDGKILVEDIVKLGSRVEDMRSDGM >itb01g27840.t4 pep chromosome:ASM357664v1:1:32463319:32470726:-1 gene:itb01g27840 transcript:itb01g27840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTFGYWSRFTSCSFHEQVRKFRNLVYLNGWLWFDLVSLFSLPREDWAKKLTHWKKEFISTLQHYWLGSKLLWADVRISSRLLLKLANGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIGYAKFLQDTVREMAKEVQNSRSGEVKQTAEDLDEFLSRARRGAPISNEEILAFAKLFNDELTLDNISRPRLVSMCKYMGISPFGTDAYLRFMLRKRLQRIKNDDKLIQAEGVESLSEAELREECRERGMLGLRSVEDMRQQLRDWLDLSLNHSIPSSLLILSRAFIVSGRSKIEEAVGATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEYLAMQDELIREEEKKEKEKEKEQQAKKKEPLGNEDVALKEMIIPTAREAQEQARARALDKQEHLCEISQALAVLASASSVSREREEFLRLVNKEIELYNSMVDKEGTDREVDAMKAYKAAHGENDQMSEVTAHDVVSSALVDKVDAMLQNLEKEIDDVDAKIGDRWQILDRDYDGKVTPEEVAAAAKYLKKTLGKEGVQELITNLSKDKDGKILVEDIVKLGSRVEDMRSDGM >itb01g27840.t3 pep chromosome:ASM357664v1:1:32463319:32470726:-1 gene:itb01g27840 transcript:itb01g27840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALLRRRKFISDYLEASAWSIQNFHKFSSNQSTRYSGGFTSVGNHISQCSDCVEDHGEVSSFSTSGLLWHRSGGITLHGHGNVGITMPARLGLISPMGHTLLPYTLRYSSTATAKQPDSGSDDENNDLVAKKKEASPEECDQAVEGLSSAKAKAKAIQFQESNKVAKSVLQRVWATLLGIGPALQAVASMSREDWAKKLTHWKKEFISTLQHYWLGSKLLWADVRISSRLLLKLANGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIGYAKFLQDTVREMAKEVQNSRSGEVKQTAEDLDEFLSRARRGAPISNEEILAFAKLFNDELTLDNISRPRLVSMCKYMGISPFGTDAYLRFMLRKRLQRIKNDDKLIQAEGVESLSEAELREECRERGMLGLRSVEDMRQQLRDWLDLSLNHSIPSSLLILSRAFIVSGRSKIEEAVGATLSSLPDEVVDTVGITSLPSEDSVSERRRKLEYLAMQDELIREEEKKEKEKEKEQQAKKKEPLGNEDVALKEMIIPTAREAQEQARARALDKQEHLCEISQALAVLASASSVSREREEFLRLVNKEIELYNSMVDKEGTDREVDAMKAYKAAHGENDQMSEVTAHDVVSSALVDKVDAMLQNLEKEIDDVDAKIGDRWQILDRDYDGKVTPEEVAAAAKYLKKTLGKEGVQELITNLSKDKDGKILVEDIVKLGSRVEDMRSDGM >itb14g20440.t1 pep chromosome:ASM357664v1:14:22796830:22798259:1 gene:itb14g20440 transcript:itb14g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSQRAAMVLLWLATMMFCERAMGEVYEVGDADGWTSVGHIDYKAWAAAKSFHSGDTIVFKYNNEFHNVMRVTHKNYNACNTTGAVATYTSGNDSFVIKRQRTHLYFICGFPGHCEAGMKIDIRVHNGSDAPSPLPDNPSPPPSPANVSPPPAPSSPPPEGPPAPAEHKESAAAACSGKVWMGIVAVAAYYLASPPVAL >itb08g12750.t1 pep chromosome:ASM357664v1:8:13140928:13150617:-1 gene:itb08g12750 transcript:itb08g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPGEGEVTGPIDLDKTTTTLEPDGASGGGLYIPGKDRVVFRPPERKSLLGLDVLASAKRGGSTVENSFKVPRERVASVVSAIDEETSTETGQLEDVPSRGSRSHTSRRYRDSVASEASISGITVTEEGRENETVLRPRSDEHYQVPTPSTGRSRTKSSSHDFDHGRERKSRDDYRSKSREVKRDRIDGEEHRHRESSRNHGKEYNDDSRRKRSRYESPRNTRGRSDWDDGRWEWEDTPRRDSSSYTSSRRHEPSPSPMFIGASPDVRLVSPWLGGHTPRSGAASPWDTVAPSPTPIRASGSSVRSSGSRYGGKSSRLSSSANTSRMSEDDGDDRIRGSEDDNQGMEITENMRLEMEYNSDRAWYDREEGSTVFDTDRSALFLGDEASFQKKEAELAKKLTRKDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVKGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSVLVREIHEKQSMHKSRQRFWELAGSKLGDILGVEKTAEQVDADTAAVGEQGEVDFKEEARFSQHLKKGEAVSDFAKSKTISQQRQYLPIFSVREELLQVVHENQIVVVVGETGSGKTTQLTQYLHEDGFTTNGIVGCTQPRRVAAMSVAKRVSEEMETDLGEKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLEKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVQIMYSKTPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALQERMEQLVSSAKQAVPKLLILPIYSQLPADLQAKIFQKAEEGERKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYQNEMLPSPVPEIQRTNLGNVVLLLKSLKISNLLDFDFMDPPPQENILNSMYQLWVLGALNNVGDLTDLGWKMVEFPLDPPLAKMLLMGEQLECLNEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGPDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPTSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSIKESDTSMLEHKKKQKEEKTAMEEEMENLRKVQAEIDRRNKEKEREKRERQQQQVSMPGLKKPKPSTYLRPKKLGL >itb08g12750.t2 pep chromosome:ASM357664v1:8:13140928:13150585:-1 gene:itb08g12750 transcript:itb08g12750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPGEGEVTGPIDLDKTTTTLEPDGASGGGLYIPGKDRVVFRPPERKSLLGLDVLASAKRGGSTVENSFKVPRERVASVVSAIDEETSTETGQLEDVPSRGSRSHTSRRYRDSVASEASISVTEEGRENETVLRPRSDEHYQVPTPSTGRSRTKSSSHDFDHGRERKSRDDYRSKSREVKRDRIDGEEHRHRESSRNHGKEYNDDSRRKRSRYESPRNTRGRSDWDDGRWEWEDTPRRDSSSYTSSRRHEPSPSPMFIGASPDVRLVSPWLGGHTPRSGAASPWDTVAPSPTPIRASGSSVRSSGSRYGGKSSRLSSSANTSRMSEDDGDDRIRGSEDDNQGMEITENMRLEMEYNSDRAWYDREEGSTVFDTDRSALFLGDEASFQKKEAELAKKLTRKDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVKGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSVLVREIHEKQSMHKSRQRFWELAGSKLGDILGVEKTAEQVDADTAAVGEQGEVDFKEEARFSQHLKKGEAVSDFAKSKTISQQRQYLPIFSVREELLQVVHENQIVVVVGETGSGKTTQLTQYLHEDGFTTNGIVGCTQPRRVAAMSVAKRVSEEMETDLGEKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLEKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVQIMYSKTPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALQERMEQLVSSAKQAVPKLLILPIYSQLPADLQAKIFQKAEEGERKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYQNEMLPSPVPEIQRTNLGNVVLLLKSLKISNLLDFDFMDPPPQENILNSMYQLWVLGALNNVGDLTDLGWKMVEFPLDPPLAKMLLMGEQLECLNEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGPDWDIVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPTSALYGLGYTPDYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSIKESDTSMLEHKKKQKEEKTAMEEEMENLRKVQAEIDRRNKEKEREKRERQQQQVSMPGLKKPKPSTYLRPKKLGL >itb08g08340.t1 pep chromosome:ASM357664v1:8:7292712:7299199:-1 gene:itb08g08340 transcript:itb08g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPTPLNALKLMPFRMIFNRIYALVYLFAIASLLYRHIHTLLNSTAFPSFLVHAAILFADLLFAFMWSTTQAYHMRQVTRTEFPENLEKAVREEDFPAMDVFICTADPTKEPPLDVVNTALSVMAYDYPAEKISVYVSDDGGSELTLFAFMEAAKFARHWLPFCRENKVVDRCPDVFFTSGYGTSLHTQKLKMMYESMKERVEKVCERGKMNDEYITNEQERLAFENYWTPGFSRQHHPTIIQVLLESKEDKDTSGYPMPRLVYISREKSKTSQHHYKGGALNTLIRVSGVMTNAPIILTLDCDMYSNDPSTPKRALCYFMDRSVRPNLGYIQFPQRFHGLNEADIYASEHKHIFQINTMGMDGFSGPNYYGTGCFFWRRTFFGGPLTFVRPEIEELGPDYVVTKPIMAPEMLELAHNVARCNYEDQSDSGWGYKMGFKYGTVVEDTYTGYRLTCEGWNSIYCNPERPAFLGDIPISLGDALNQNKRWGVGLLEVALNKYSPLTYGTRTAGFIMGYCYTHLAFWPFWLFPVTIYSYLPQLTLLNGLPIFPKTSDNVWILVYAFLFIGANAQDCYDFISTGGTCRRWWSDQRMWLMRALSSYLFAGVEFVSKQLGISNQGFTVTSKVVDDEQGKRYEQGVFEFGAPSPLFVPIAVAAIVNLAAFLKGIGMVLGGGRGRSLDLFGVQMFVAGYGVLNSLPLYHGMLLRSDKGRMPTKITVISSFIAWAIYISFSFFLGSL >itb06g17220.t1 pep chromosome:ASM357664v1:6:21124726:21128010:-1 gene:itb06g17220 transcript:itb06g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTLAAFISLLFFSAFSLTSSIEVNHNGRAITINGVPRILLSGAIHYPRSTAEMWPDLMKKAKAGGLDAIETYVFWNIHEPVRGQYDFSGNHDLIKFIKTVGDAGMHAVLRIGPYVCAEWNYGGFPVWLHNLPGVHELRTANEVYMHAMKNFTTLIVDMVKKEKLFASQGGPIILAQIENEYGNVYEPYGAAGKAYLDWCAKFADSLHAGVPWLMCQQKDAPEPMLETCNGWYCHQYKPRNPTTPKMWTENWTGWFKNWGGKDPLRTAEDVAFAVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYDAPLDEYGNVKQPKYGHLKQLHDVLHSIEKTLTTGNITTTELDNSLQVTVYALNGTSTCFFSNANETSDATINYKGVDYDVPAWSVSILPDCKKEAFNTAKVNTQTNVMVKDSNTAEKEPSSLKWSWRPEKIDDTVVLGKGDFSANKIFDQKITNDVSDYLWYMTSVNLDKDDPIWSNDMSIRINHTGHPLHLYVNGDFIGSNWTTYGVPKSVFETKVKIGLQNYGSFFDLAGTGLSGGPVEIVGRKGDETISKDISSHKWSYKVGLHGEANKLFSNQSRFASQWKSDKIPVNSSMTWYKTTFKAPGGKDGVVVDLLGLGKGFAWVNGNNLGRYWPSFLAEDGCSTDPCDYRGSYDNNKCVSNCGKPTQRWYHVPRSFLNDGNNNELILFEEIGGNPANVSFKTVRVGSVCANAYENKVIKISCHGRSISGIKYAHFGETQGLCGSFEKGSCGGAKDALTILKTACKGKKSCSVTATEDVFGKTNCDAKNNKLVVEAVCSKIV >itb04g29330.t1 pep chromosome:ASM357664v1:4:32748297:32748902:-1 gene:itb04g29330 transcript:itb04g29330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSI-1VOC [Source:Projected from Arabidopsis thaliana (AT1G07645) UniProtKB/TrEMBL;Acc:A0A178W443] MASSLGAPAFAYTVVYCNDVAKSADFYAKAFGYNVRRLEHNRKWAELESGSTTIAFTPIHQRETDGLTGQVETAQSRAERHPIELCFDYADVDAAFKRAVENGAVPVNPPEDKTWGQRVGYVRDIDGNVVRMGSHVNEPKR >itb05g05570.t1 pep chromosome:ASM357664v1:5:5329084:5330158:-1 gene:itb05g05570 transcript:itb05g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >itb11g13540.t1 pep chromosome:ASM357664v1:11:10518856:10524195:-1 gene:itb11g13540 transcript:itb11g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSAPEINRTRFDEDKPGSNPCSDNENDIQDPSGKPFMPLLTFCKSGNMKDDSVVKGEKNTGIEQRYSRIEEMSGEVAGDEKPKSLAALFSIQQMDHRDSISSSSSGHLIVPGGGSMTTSCYDTMSFRSNSTTSTRSFAFPILASDWNGSPMRMADGDRTRRFSRKRGQWRMCFGCYNV >itb11g13540.t2 pep chromosome:ASM357664v1:11:10518734:10521476:-1 gene:itb11g13540 transcript:itb11g13540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSAPEINRTRFDEDKPGSNPCSDNENDIQDPSGKPFMPLLTFCKSGNMKDDSVVKGEKNTGIEQRYSRIEEMSGEVAGDEKPKSLAALFSIQQMDHRDSISSSSSGHLIVPGGGSMTTSCYDTMSFRSNSTTSTRSFAFPILASDWNGSPMRMADGDRTRRFSRKRGQWRMCFGCYNV >itb06g08410.t1 pep chromosome:ASM357664v1:6:12388096:12390444:1 gene:itb06g08410 transcript:itb06g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTANNPTNTIPKASTPPSPPPPYSLSFKHTAETHTASSAATAAGGGGACAVCKHQRRKCPPDCPLAPHFPAYKQRDFLNVHKLFGVRNLTRALAAIDQRKTHDFMVSVIYEANARAADPVGGCLGLIQNLNHQLKYSTIELDMVNQQLAFYRRSRQMDPPIQLGSSSNTPDFIRNDHRFPYSSSEKQPLYDGEVVSFAGSVKLSDEIGLIDNSIKAKEEGEEDLKNAASKFSLRK >itb06g17450.t2 pep chromosome:ASM357664v1:6:21292071:21298749:-1 gene:itb06g17450 transcript:itb06g17450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEVQSIPRMRSTSEIGRKRRIVLDSEDDEPERNFVRFPQQPEASVKRGNEASPEPPKKCRTVSISERLVEDSFSFHELECTEVPVMKLDSGRRIKTPVNRSMVRNKCESSFGTSASNFSSINSDPSCCDEPQYGYEGGEEDAEEEGYSLESSLRNKRRLFTQDDEIHNKRNSYKITPSKEKSVKRKPIRKKISCEEQNELENDQKSTTKRYIQPTEVAAHLQQKKPKKNSDYCTRASKNNEISKDEIMMDKVDDGSEEEWGGCKDNSRSSLVRQSMLKTQDIESLSTNRMPTRRAAASYKKYNLDHDFYVGDWDDEDDDEDLVLTTKTMSLNNGSHIMITAESDDSNALRLSETLNGTRIKKDYSRKLSTSSSSPSSSLSDSTVSKSSKDSMDVSKTMKVNEVRAVQSAQLAMCHQCQRRDRRIVVPCKKCEKNFYCIRCIKQWYPQLLEEEVSEICPFCRGNCNCNKCLHLSGFIRTSRRDLTDGEKLQHLHYLVDKLLPFLEQIHVEQIQEIEAESVIQGVSSSSIEVKESICYNDERVYCNQCSTSIVDLHRSCPGCSYELCLRCCQEIREGKFPGCPVREIFKYKNKGYDYIHGGDPQPEFVDEEKSWDQNEPVNQWTANSDGSITCAPEEMGGCGSHVLELKCLLPEGWISTLEARAKNRLSQFDSVKTTCWPVDIKNDPEKLCRSASRIGSNDNCLYCPTARDALKEEELFHFRSHWARGEPVIVRDVLEQTSGLSWEPMVMWRALCENTNSNISSKMSEVKAIDCLAGCEVEISTRKFFKGYTDGRTYANFWPEMLKLKDWPPSDKFENLLPRHCDEFISALPFQEYTDLRDGILNLGVKLPAGVIKPDLGPKTYIAYGLKEELGRGDSVTKLHCDMSDAVNILTHTAEVALSGEQRSTIERLKEKHMLQDEKERLERGDCKVSDWDNEAHTRTGDVSCNMIPRSFPSEELTDGTGSALWDIFRREDVPKLNEYLIKHSKEFRHTYCCPVEKVFHPIHDQSFYLTLEHKRKLKEEFGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCTKVAADFISPENIKECIQLTEEFRTLPVNHKAREDKLEIKKMILHAINQAVEELETLTSSK >itb06g17450.t1 pep chromosome:ASM357664v1:6:21292071:21298911:-1 gene:itb06g17450 transcript:itb06g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEVQSIPRMRSTSEIGRKRRIVLDSEDDEPERNFVRFPQQPEASVKRGNEASPEPPKKCRTVSISERLVEDSFSFHELECTEVPVMKLDSGRRIKTPVNRSMVRNKCESSFGTSASNFSSINSDPSCCDEPQYGYEGGEEDAEEEGYSLESSLRNKRRLFTQDDEIHNKRNSYKITPSKEKSVKRKPIRKKISCEEQNELENDQKSTTKRYIQPTEVAAHLQQKKPKKNSDYCTRASKNNEISKDEIMMDKVDDGSEEEWGGCKDNSRSSLVRQSMLKTQDIESLSTNRMPTRRAAASYKKYNLDHDFYVGDWDDEDDDEDLVLTTKTMSLNNGSHIMITAESDDSNALRLSETLNGTRIKKDYSRKLSTSSSSPSSSLSDSTVSKSSKDSMDVSKTMKVNEVRAVQSAQLAMCHQCQRRDRRIVVPCKKCEKNFYCIRCIKQWYPQLLEEEVSEICPFCRGNCNCNKCLHLSGFIRTSRRDLTDGEKLQHLHYLVDKLLPFLEQIHVEQIQEIEAESVIQGVSSSSIEVKESICYNDERVYCNQCSTSIVDLHRSCPGCSYELCLRCCQEIREGKFPGCPVREIFKYKNKGYDYIHGGDPQPEFVDEEKSWDQNEPVNQWTANSDGSITCAPEEMGGCGSHVLELKCLLPEGWISTLEARAKNRLSQFDSVKTTCWPVDIKNDPEKLCRSASRIGSNDNCLYCPTARDALKEEELFHFRSHWARGEPVIVRDVLEQTSGLSWEPMVMWRALCENTNSNISSKMSEVKAIDCLAGCEVEISTRKFFKGYTDGRTYANFWPEMLKLKDWPPSDKFENLLPRHCDEFISALPFQEYTDLRDGILNLGVKLPAGVIKPDLGPKTYIAYGLKEELGRGDSVTKLHCDMSDAVNILTHTAEVALSGEQRSTIERLKEKHMLQDEKERLERGDCKVSDWDNEAHTRTGDVSCNMIPRSFPSEELTDGTGSALWDIFRREDVPKLNEYLIKHSKEFRHTYCCPVEKVFHPIHDQSFYLTLEHKRKLKEEFGIEPWTFEQRLGEAVFIPAGCPHQVRNLKSCTKVAADFISPENIKECIQLTEEFRTLPVNHKAREDKLEIKKMILHAINQAVEELETLTSSK >itb15g17570.t1 pep chromosome:ASM357664v1:15:18303553:18305122:1 gene:itb15g17570 transcript:itb15g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPMNKIIPDAALTGSREEAAAGRHLASLSSSSSVNTSATPTTIWRGGKRTSDGPRPPSLIATPPLPTLFTVVNRACRCVDVIKKNTSELNPVRCASIDRRYWPFVDTRWREKKKRHQSSPPSHLHSTWRLEGRQGKTTGKN >itb02g09980.t1 pep chromosome:ASM357664v1:2:6435589:6437565:-1 gene:itb02g09980 transcript:itb02g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVKRASDKYRSFLHEEGGNIQWRHGGAPTYDAVNELFEQGRTQVWPEGSLEETVQNAVKSWEMELSHKIRIQDFRTINPQKFKLFVNGREGLSAEETLELGSYNALLKTSLPEQLKVYKSEEESFESSHDVFRSAFPRGFAWEVMAVYSGPPVVTYKFRHWGYFEGPFKGHSPTGELVQFYGLGIMKVDESLSAEEVEIYYDPAELLGGLLKGTDSNIKQCPFHK >itb10g01490.t1 pep chromosome:ASM357664v1:10:1139062:1143092:1 gene:itb10g01490 transcript:itb10g01490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMGWVYCISFVLLAFLCIFCNLGASTDSLRRGESVTLNRTLVSTGGNFALGFFRPGNSSSSFLGIWYNTDNNTVIWVANRDSPLPQDSEAVLKLGDDGNLVLLGGRGNTNTIWSTNISGGGFAGNSSVALLLDSGDLIVKQGESVVWESFDGDSDALMPGMRLKVNKKTGKRNLIRSWIGRDDPRPGKFSWGMDPKGSPQFLIWKEDKPYYRSNLYQDGFTYSRYFPTLGYSAYYSFATENDDEYFSYGYADTSIQIRFILIPDGHIQAFLRQKKSDNWLIRWQVPATDCEFYARCGAFGTCEQNDSDSVCCCLTGFKPKSQKDWDKGDYGGGCVRRKDLQCDGNDRFMRLPRMKWPDHSTSLGNMTFEECEIACSRNCSCSAFAYANISTDSSVNCLNWFGDLVDLTHNYSAGLNGFGQDLYVRVHASELDGSGGNEHSARKNKGLVAIIVASVSAFFLVAVLAYILNRKYFRRKDWVSKKSTLVNSMSTTPLAGKDDIELLQFSLFRIIDATDNFDEANKLGEGGFGPVYKGFLSEFGMVAIKRLSKRSSQGLEEFMNELKLIAKLQHTNLVSLLGCCIDDEEKILIYEYLPKRSLDTFLFDEFKKDSLDWSTRFQIIEGVAQGILYLHKYSRLKVIHRDLKASNILLDEGMKPKISDFGMARIFGIDQTQAETNHVVGTYGYIPPEYVMQGQFSEKSDVFSFGVLLLEIVSGQKNSNFFQTKLSFSLLGWAWENWKEGRALEFIDPAISESCDSLKVVRCIEVGLLCVQAIPTDRPTMTEVVLMLSNDPAAPIPALKEPAFVSSNSNAIVSTSYRESSDSYSRNNVTISVLNPR >itb10g01490.t2 pep chromosome:ASM357664v1:10:1139062:1143092:1 gene:itb10g01490 transcript:itb10g01490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMGWVYCISFVLLAFLCIFCNLGASTDSLRRGESVTLNRTLVSTGGNFALGFFRPGNSSSSFLGIWYNTDNNTVIWVANRDSPLPQDSEAVLKLGDDGNLVLLGGRGNTNTIWSTNISGGGFAGNSSVALLLDSGDLIVKQGESVVWESFDGDSDALMPGMRLKVNKKTGKRNLIRSWIGRDDPRPGKFSWGMDPKGSPQFLIWKEDKPYYRSNLYQDGFTYSRYFPTLGYSAYYSFATENDDEYFSYGYADTSIQIRFILIPDGHIQAFLRQKKSDNWLIRWQVPATDCEFYARCGAFGTCEQNDSDSVCCCLTGFKPKSQKDWDKGDYGGGCVRRKDLQCDGNDRFMRLPRMKWPDHSTSLGNMTFEECEIACSRNCSCSAFAYANISTDSSVNCLNWFGDLVDLTHNYSAGLNGFGQDLYVRVHASELDGSGGNEHSARKNKGLVAIIVASVSAFFLVAVLAYILNRKYFRRKDWVSKKSTLVNSMSTTPLAGKDDIELLQFSLFRIIDATDNFDEANKLGEGGFGPVYKGFLSEFGMVAIKRLSKRSSQGLEEFMNELKLIAKLQHTNLVSLLGCCIDDEEKILIYEYLPKRSLDTFLFDEFKKDSLDWSTRFQIIEGVAQGILYLHKYSRLKVIHRDLKASNILLDEGMKPKISDFGMARIFGIDQTQAETNHVVGTYGYIPPEYVMQGQFSEKSDVFSFGVLLLEIVSGQKNSNFFQTKLSFSLLGWAWENWKEGRALEFIDPAISESCDSLKVVRCIEVGLLCVQAIPTDRPTMTEVVLMLSNDPAAPIPALKEPAFVSSNSNAIVSTSYRESSDSYSRNNVTISVLNPR >itb07g07740.t1 pep chromosome:ASM357664v1:7:5941072:5943072:1 gene:itb07g07740 transcript:itb07g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLHFQVYRMDSTVNALAMAKDPDAAFFKRLEGLQPCEVSDLKAGTHIFAVYGDNFFKPATYTIEALCAKTYEDSTSKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYTQEKQTVDELLKQRDTIHSAFTVARSVVVATSSGSGNFSNGSSSRILGDDFKAESPGEDGTPESKDKSSKKKWFNLNLKGSDKKT >itb01g25690.t2 pep chromosome:ASM357664v1:1:31047848:31049667:-1 gene:itb01g25690 transcript:itb01g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHSGKPLGEYRISPSSLSQEFDDFFLNAINLSFFERLNLAWKIMFPSSLSRRKSNANIAKQRLKMILFSDRCAVSDEAKQKIVSNVVSTLSDYVEIESQDKVQLNVSRDPELGTIYSVMVPVRRVRSEYQEDDPSGTITNTEYKDNGENSSSVDVRFDFYIPTDNSSHFST >itb01g25690.t1 pep chromosome:ASM357664v1:1:31047848:31051772:-1 gene:itb01g25690 transcript:itb01g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVGLRVSAAMTPFPNNSLRRSSQHFHLLPPSKVDYSASEVVPIRSRSVRDGHSMHCHSGKPLGEYRISPSSLSQEFDDFFLNAINLSFFERLNLAWKIMFPSSLSRRKSNANIAKQRLKMILFSDRCAVSDEAKQKIVSNVVSTLSDYVEIESQDKVQLNVSRDPELGTIYSVMVPVRRVRSEYQEDDPSGTITNTEYKDNGENSSSVDVRFDFYIPTDNSSHFST >itb13g25270.t1 pep chromosome:ASM357664v1:13:30676483:30679671:-1 gene:itb13g25270 transcript:itb13g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNYAFEGRGFLFSDSGIELPVDSFTRSRNLLKDWNLNPFSDVDRGMISTNHELNEGAEFMESGLAEMLQKSPVSSPGLRLSSTESDNDFGKMTLSSCVANLDSVPREMEVEARFGYNNEKPSQISSKSEEFMNHGNVKSDQSSKSSSVLSPMEPLLPAKRIRDTSLQSDIHAFQVHDINKAALNDSKEARSGQSSKKTSDSSSAEPKNSDLSSAEPSVTAKRARITSFSPQVLVCQVHGCNRDLSSSKDYHKRHKVCDEHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDDKRSCRKRLAGHNERRRKPQRDTHWDIPGSRFLDLASDRTISFLFPEILNGSFFCQESYEDEGKPICSLLQSRGLLNAMQKTEPPKGHLRETFPIQELPGGENSTRSHSLLSAHKEKILSNSTIHPTMITSSHPGYLEKNLAMPLGMNTSGNFTLGELDAFGVVDRDGVLEAHDGNLGARYETQMDGSLQTSDSMDLTCYISPESGPTIDLQQLSTHLLRVEQQRSYAQVNHDSDNFCFTTT >itb09g02540.t1 pep chromosome:ASM357664v1:9:1451441:1453339:1 gene:itb09g02540 transcript:itb09g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHIFQHSHFPSPRAHVSSLSPFLYHFMHSLSPNSDFAPLSQLLQGRIPSSHLVQIHARVFRLGAHQDNLVATRLIGHYPSKQALHVFHHLQKPNLFPFNAIIRVLSEEGLYFDAFLVYKNLKLKFLSPNDLTFSFLLKACTRASDSSYVQQVHAHVVKFGFLVNSFVCNGLLSVYAKTLKDFDSACKLFDEMPQKDAISCWTCLISGYAKSGQSEKALSIFLAMVKGNLRPEKDTMVSVLSACSNLGFVQVENWVKNLLDTVNSHYDLKDFSFDSVKTVLVYLYGKCGEVDKSGEMFDQISVEGKRSVLSWNTIIGAYVQNGCALEALSCFRLMTEQHKCSPNHVTMVSVLSACAQVGDLDLGVSVHEHIMKSSQREGVGVLSNKNLATALIDMYSKCGSLERAREVFDEMVAKDVVSFNAMIMGLAANGEGETAMRLFATMLELNLKPNSGTFLGILCACSHSGLLQKGREMFKEMSHRFSIAPKLEHYACYIDLLARMGCIEDALEVVSSMPFEPNNFVWGALLAACLLHNKLELANFISSLLVQVDPHSSAGYVMLSNAFAIDHHWNNVSGLRSSMKESGVTKQPGCSWISIEGNVHEFLAGSARNFQDGRIHDALEGLLKEMKLPSA >itb05g22180.t1 pep chromosome:ASM357664v1:5:27677091:27681933:-1 gene:itb05g22180 transcript:itb05g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQGKETPARRLQSLKQEYDYARPNRRDNVNRVSRQEGNGNGRERRIQDVDDQRGINNNNNNNNKSNLSNISSVLQRLPPRKIGGDELVDGWPKWLVDNIPKDVLDGLQPKSADSYDKLSKVGQGTYSNVYKARDRNSGKIVALKKVRFDTSEPESVKFMAREIIILQKLNHPNIIKLEGVATSRMQYSLYLVFDFMPSDLSTIITRPDAAPLTEPQVKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDKDGRLKIADFGLANFFQSKSKKPLTSRVVTLWYRAPELLSGATDYGVGVDLWSAGCLLAEMFHGRPIMPGRNEIEQLHKIFKLCGSPSEDYWKKAKLPASFRPAQPYKPSFHVALPDLPESSYGLLSKLLCIEPAYRGSAASALQNQFFRTSPLACDLSGLPAMKVDVDVINSNDRRRSKTSRTKQSRRSREKSERRRPSITDLVKEEIASSKEEKQGDSSMQSQELEHSASSSASSISVKRSGRKREYPPSPPGFKFRSYDESSRTEAHPNALKNIKNYPILLASITEAAKHYEDNRLGYRRSISTVDFRDNDLQNISKFFGMENHH >itb05g22180.t2 pep chromosome:ASM357664v1:5:27677116:27681933:-1 gene:itb05g22180 transcript:itb05g22180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQGKETPARRLQSLKQEYDYARPNRRDNVNRVSRQEGNGNGRERRIQDVDDQRGINNNNNNNNKSNLSNISSVLQRLPPRKIGGDELVDGWPKWLVDNIPKDVLDGLQPKSADSYDKLSKVGQGTYSNVYKARDRNSGKIVALKKVRFDTSEPESVKFMAREIIILQKLNHPNIIKLEGVATSRMQYSLYLVFDFMPSDLSTIITRPDAAPLTEPQVKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDKDGRLKIADFGLANFFQSKSKKPLTSRVVTLWYRAPELLSGATDYGVGVDLWSAGCLLAEMFHGRPIMPGRNEIEQLHKIFKLCGSPSEDYWKKAKLPASFRPAQPYKPSFHVALPDLPESSYGLLSKLLCIEPAYRGSAASALQNQFFRTSPLACDLSGLPAMKVDVDVINSNDRRRYLLHLISSFLEIIYYF >itb01g09080.t1 pep chromosome:ASM357664v1:1:7308976:7309999:1 gene:itb01g09080 transcript:itb01g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRGTADSHYPGVCQRLFSFFLNPLSPRGFKPENMVNPTLSCPSSSDIPIEGSSRNGLNPEVLVDYGHDVGWFNDRTRYNNIWGGGQRKTTTPSTVKVISDADEKNNKTTTLAADQAQNTDTTKPSPLPPPPRRPRLLSVTSNINEKAEAFIRRRREKMSRNYTLDLKKF >itb03g03950.t1 pep chromosome:ASM357664v1:3:2396628:2400261:1 gene:itb03g03950 transcript:itb03g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRGIAMSNLPNPTPFTAAPNPHTRLPWKTSLPLKKPSISGFIPVTGVPYYSNLTIRFASSGETSSFTQNNLYEPVQTETPKQEEKSYDIASYNEASSDDSSLDIQAQLSKIFAQVGIEIDPENSSSIYLYGGGAILAVWLTSALIGAIDSIPLLPKLMELVGLGYTVWFTIRYLLFKKTREEIAAKIKDIKQDVLG >itb03g03950.t2 pep chromosome:ASM357664v1:3:2396668:2400249:1 gene:itb03g03950 transcript:itb03g03950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRGIAMSNLPNPTPFTAAPNPHTRLPWKTSLPLKKPSISGFIPGVPYYSNLTIRFASSGETSSFTQNNLYEPVQTETPKQEEKSYDIASYNEASSDDSSLDIQAQLSKIFAQVGIEIDPENSSSIYLYGGGAILAVWLTSALIGAIDSIPLLPKLMELVGLGYTVWFTIRYLLFKKTREEIAAKIKDIKQDVLG >itb05g28480.t1 pep chromosome:ASM357664v1:5:32152118:32154857:-1 gene:itb05g28480 transcript:itb05g28480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTHNSPIPLREVHPNYYPYPPPLATYQDVVASPQLFMDTLQRLHASLGTKFMIPVIGGKYLDLHRLFVEVTSRGGLSKVLGEKRWKEVTTVFNFPSSATNASFILRKYYASLLHHYERIYYFNAKCWTPSSADALQNVAMITAPPCRLAGTPQQLPDIQADVPVSQTVKVAKETVRPPAGSEVYGVIDGKFESGYLVTVKIGSEDFKGVLYAPPNSANQVQQHQSVPQMQIVPVNSTDNNAASGVVRRKRRKKCEIKKRDPARPKPNRSGYNFFFQEQHARLKPLFPGKDREISRMIGEAWNKLNEPEKAIYQDKAIKDKERYRLELEDYQERLRTGRLQGHAAQMQQSSFKPAAADLMVPVQSKDGNTSPSEEDEASSNKSERSSLEDHKVEDKGVDLEAALPGVETEIESATLGKGVDTVVEYNKDNNAAMQKEEISLPIHPMGDNSSVPS >itb14g20310.t2 pep chromosome:ASM357664v1:14:22733137:22735160:1 gene:itb14g20310 transcript:itb14g20310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRSRKAVSRKKQGFMAAEQQICVPASSPTQSPKKPVSSFLGSPRIFNGLLGRTLSGSENGGGGMSISPTSILGHPLGYERSPSPTRNTSPLPESLGVGLAIIDSSPENRSVLFGSKLRVQIPSLISPVESPKSPADFGIKTPRNPPLLSFSAQPKESPPVENLSLSEMELSEDYTCVITHGPNPKTTHIFDNCVVESCCGVMKLSEVRRENSSSSSSSSPATSPSLSFLRFCHGCEVNLGGGKDIYMYRGERAFCSEECRSQEMGLEIEGKKNGL >itb14g20310.t1 pep chromosome:ASM357664v1:14:22733137:22735160:1 gene:itb14g20310 transcript:itb14g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRSRKAVSRKKQGFMAAEQQICVPASSPTQSPKKPVSSFLGSPRIFNGLLGRTLSGSENGGGGMSISPTSILGHPLGYERSPSPTRNTSPLPESLGVGLAIIDSSPENRSVLFGSKLRVQIPSLISPVESPKSPADFGIKTPRNPPLLSFSAQPKESPPVENLSLSEMELSEDYTCVITHGPNPKTTHIFDNCVVESCCGVMKLSEVRRENSSSSSSSSPATSPSLSFLRFCHGCEVNLGGGKDIYMYRGERAFCSEECRSQEMGLEIEGKKNGL >itb01g21330.t1 pep chromosome:ASM357664v1:1:27459315:27460653:-1 gene:itb01g21330 transcript:itb01g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAGAHIITGVIGSGVLSLAWAFAQLGWIAGAVSLLAFAIITCYTSILLADCYRSPDGTRNYTYMAAVTTYLGGLNVKVCGTAQYSNLVGAAISYTITTALSMSAISKSNCYHKYGHDADCRRTNNNYTILFGIAQIILSQIPNFHKLSFLSIVAAVMSFAYSSIGLGLSIDRIARGGHVKTSLIGQPIRADLSSADRMWKIFAALGDIAFAYTFSNILLSIQAHIIYIINS >itb09g05560.t1 pep chromosome:ASM357664v1:9:3164821:3167667:1 gene:itb09g05560 transcript:itb09g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAIVTTIGCSLEIISPYNLYLNPKLVVKHYQFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPGFIKSLFADEPVVMARPANVRFAPPPLEEDQQN >itb10g00720.t1 pep chromosome:ASM357664v1:10:486391:492671:-1 gene:itb10g00720 transcript:itb10g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDQLGLYKRSKRLHMTAGDRDDRGWTPLHIVARKGDLREVRRLLNEGIDANVAAWGPKAQGITPLHLAAKGGHLKIMDELLEHGADIDARTKGACGGWTPLHHAAKERKKKAIKYLIKNGAFLPDNINDTRFNPPVHYCPGLEWAYDEMKRLKGDSSSSGGRRHNAKSPNSLSDCCGLRSANGERRRLRPAARCADDGDKPLVAQRPRPATGDEPSAAQRPATGDRRPALPSATSDRRPALPSATATSDQPSTSLRLRDHDH >itb05g22940.t1 pep chromosome:ASM357664v1:5:28218129:28221827:1 gene:itb05g22940 transcript:itb05g22940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAAGKTTSIISIIPIPLPRLSHHRCYLPHRRPLILSSPIGFPTAKRPMVTASSSSSSIPNSQGAFTTIEGRFTCEKEIKKSKFIAIAGHISDQRQAESFLSEVGDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGTAAECLRNAPTQLVKSKVSMGVEVPFDLLGVLYHQLQTYKVEDIKQDYDTGKGWGSIPSNDDGEPSGTAGKPIHSAIVSSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGTAAECLRNAPTQLVKSKVSMGVEVPFDLLGVLYHQLQTYKVEDIKQDYDTGKGGVTMVTFKVDFDRMQSLEEAIKTNCSRDIAIFKR >itb07g09260.t3 pep chromosome:ASM357664v1:7:8308954:8314534:-1 gene:itb07g09260 transcript:itb07g09260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTKGIEGSQDLASSIVNNHKCSGTSKLICLDSDNESEDGSEFFAPKKNDNIGFAVEMERGSKLSTGFIQSTCFLGTLC >itb07g09260.t2 pep chromosome:ASM357664v1:7:8310296:8314534:-1 gene:itb07g09260 transcript:itb07g09260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTKGIEGSQDLASSIVNNHKCSGTSKLICLDSDNESEDGSEFFAPKKNDNIGFAVEMERGSKLSTGFIQSTCFLGTLC >itb07g09260.t4 pep chromosome:ASM357664v1:7:8310326:8314534:-1 gene:itb07g09260 transcript:itb07g09260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTKGIEGSQDLASSIVNNHKCSGTSKLICLDSDNESEDGSEFFAPKKNDNIGFAVEMERGSKLSTGFIQSTCFLGTLC >itb07g09260.t5 pep chromosome:ASM357664v1:7:8308954:8314534:-1 gene:itb07g09260 transcript:itb07g09260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTKGIEGSQDLASSIVNNHKCSGECGTSKLICLDSDNESEDGSEFFAPKKNDNIGFAVEMERGSKLSTGFIQSTCFLGTLC >itb07g09260.t1 pep chromosome:ASM357664v1:7:8308954:8314534:-1 gene:itb07g09260 transcript:itb07g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTKGIEGSQDLASSIVNNHKCSGTSKLICLDSDNESEDGSEFFAPKKNDNIGFAVEMERGSKLSTGFIQSTCFLGTLC >itb07g05980.t1 pep chromosome:ASM357664v1:7:4154632:4158155:1 gene:itb07g05980 transcript:itb07g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MATFSAHPVLTSPRDDAMHLYKAFKGLGCDTAAVINILAHRDATQRALIQQEYRTMYSEELTKRLASELSGKVEKAVLLWMHDPAGRDATIIRQSLRETSDYKAVTEVICSRTPAQLQYLKQIYHSKFGVYLEHDLQVLSGDHQKLLLAYVSTPRHEGPEVDAALVQHDAKTLYKAGEKKLGTDEKKFIQIFSERSRTHLAAVNSAYENTYNSLKKAIKSETSGLFEFGLLTILQCAQNPAKYFAKALHKAMKGMGTDDATLIRIVVTRAEIDMQYIKGEYHKKFKKSLNDVVHSETSGHYRAFLLLLLGPNH >itb07g05980.t3 pep chromosome:ASM357664v1:7:4154701:4158155:1 gene:itb07g05980 transcript:itb07g05980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MATFSAHPVLTSPRDDAMHLYKAFKGLGCDTAAVINILAHRDATQRALIQQEYRTMYSEELTKRLASELSGKVEKAVLLWMHDPAGRDATIIRQSLRETSDYKAVTEVICSRTPAQLQYLKQIYHSKFGVYLEHDLQVLSGDHQKLLLAYVSTPRHEGPEVDAALVQHDAKTLYKAGEKKLGTDEKKFIQIFSERSRTHLAAVNSAYENTYNSLKKVRNRDY >itb07g05980.t2 pep chromosome:ASM357664v1:7:4154701:4157603:1 gene:itb07g05980 transcript:itb07g05980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MATFSAHPVLTSPRDDAMHLYKAFKGLGCDTAAVINILAHRDATQRALIQQEYRTMYSEELTKRLASELSGKVEKAVLLWMHDPAGRDATIIRQSLRETSDYKAVTEVICSRTPAQLQYLKQIYHSKFGVYLEHDLQVLSGDHQKLLLAYVSTPRHEGPEVDAALVQHDAKTLYKAGEKKLGTDEKKFIQIFSERSRTHLAAVNSAYENTYNSLKKAIKSETSGLFEFGLLTILQCAQNPAKYFAKVHIYILCTIFFARPYNSRLAMNVRLPCSVMFT >itb03g15700.t1 pep chromosome:ASM357664v1:3:14968243:14970314:-1 gene:itb03g15700 transcript:itb03g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLISSPSWLIHQSKSTRWTKEENKLFESALAMIDERIPDRWFKVADMIPGKSVYDVLNQYKELVADVSNIEAGLVPIPGYLASPFALQLVDDRGFEVHRKRGRSCDHERKKGVPWTEEEHRRFLMGLQKYGKGDWRNISRNFVISKTPTQVASHAQKYFLRQHSGGKDKRRPSIHDITTVNVSDANLVASETKRSLLDEKSQDVPVLISRSASVPEMLGWNFPDDGALMASVFSSPQGSQFVSYPSEIAYAKLGFQIPPTRYQIRG >itb02g08450.t1 pep chromosome:ASM357664v1:2:5323766:5324816:1 gene:itb02g08450 transcript:itb02g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPGARLRLSSPPFPERILHLSKQNIAKLKQKLNSQMGTTAISSLQSYMGHLWRSITRARNLDGNEDVHLFLGIGTRPRVHLPEGYWGNGLYFKKVTLKAGEVVGKGVGWVACQIKETVEKQSEEEVMKQYSNWLKSPALVGGELFSVNTVTISSSPWYNVYGTDFGWGKPVRVRSGGANKLDGKVTLFAGCEEGSVDIELSINPHTLHQLQNDSEFLEYVTIS >itb04g32370.t2 pep chromosome:ASM357664v1:4:34962148:34964399:1 gene:itb04g32370 transcript:itb04g32370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPKPAPEDVKMDLFEDDDEFEEFEIDQEWEDKEEGKEVTQQWEDDWDDDDVNDDFSLQLRKELECNTEKKD >itb04g32370.t1 pep chromosome:ASM357664v1:4:34962189:34964399:1 gene:itb04g32370 transcript:itb04g32370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPKPAPEDVKMDLFEDDDEFEEFEIDQEWEDKEEGKEVTQQWEDDWDDDDVNDDFSLQLRKELECNTEKKD >itb13g01160.t1 pep chromosome:ASM357664v1:13:1087821:1093989:1 gene:itb13g01160 transcript:itb13g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIFKKVGNDSGSSESGADFRGGGPGSAGAGNAPVEYSGWVYHLGVNSIGHEYCRQRFLVIRGMFVEMYKRDPHESPGAKPVRRGIIGNSFVVEGLGQRRVNHGDVYVVRFYNQLDETKKGEIACATAAEAKKWMEAFDNARQQVEAELSSGDAAMHKLNAESEISLEGHRPRVRRYANGLKELIKRGQGPEKLLRLSSTSSIDSRSELYYDAVGGDPADAREWKCVRTINGVRIFEDVAEAKNGKIVLVKAVGVVEASAETVLDIVLNLDRHQRYEWDTLTGDLELVASLNGHYDVVYGTFEPRHLTWWQSKRDFVFSRQWFHGQDGTHTILQFPAVHKNRAPKSGYRRTKINSSTWEIRDLSTSSNSAKCLVTQMVEIRSKNWFKWKKNDFSEFEKTIPFGLLSQVAGLKEYIGANPGRIRASSGVIFRSKKSDDFVQNSALKGADGVDLFYDAIASDSSSSDEDSDDEVEPTNKEKVVKPKKASWAVARLALSRTSAGTSTSKESNPNSPTTPVIVSNIVGNMQQGKDDNDINCWTAPGGSGFVIRGKTYLKDHTKVKGGDPKFKLIAVDWYKIENCLTKVALHPKCLVQSEAAKKIPFIFIINLMVPAKPNYSMVLYFAADKPVTKDTLLDKFMEGTDTFRDSRFKLIPSIIEGHWMVKRAVGTKACLLGKAVKCYYVREDNFLEIDVDIGSSSVARSVIGLVLGYAASLVVDLAILLEGKEESELPEEILGTVRLNRVTVDAAVQLDNV >itb02g01870.t1 pep chromosome:ASM357664v1:2:1039683:1042326:1 gene:itb02g01870 transcript:itb02g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASSSLSLLCHLHCSMYRNCNKNPSFLLSGGEARKLSSLTCFASKKKLGFMDQILDYIEGGPKLRKWYGAPDPFTKDESLRVEDEASDEDQVRDAVLVTDGDNEIGQMIILSLIIKRTSVKVLVKDKKAAMEAFGTYVEPIAGDVKNKSFLKKALKGVRAVICPNEGFVSNIDSWKGLQHVILLSQLSVYRGSSGIQAMLSGNARKLAEQDETVVLASGIPYTIIRTGSLTNTPGGQQGFSFEEGSAGRGSLSKEDAAFICVEALNTVPQTGLIFEVVNDKEKVLDWKKCFADLMEKSGNQPV >itb04g34240.t1 pep chromosome:ASM357664v1:4:36257210:36261009:1 gene:itb04g34240 transcript:itb04g34240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQSATQTLPSSCFKHHTAFLLPKSLFPSLDLRFNLGSSSRKASIFTAKTTTRATLFETPVLWAGRVAIFYALLKAGLVGSPSNPILSDFENAEADDLGLANWFDQFKRNPDREAADRRKLVSKWHPTTKGTLRRNYRVPSKSEGRRLLRAVASLLSDDDHFRDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLIVEITPFPSGPLTENDYTKAEKLERVLRSGPSV >itb05g16430.t1 pep chromosome:ASM357664v1:5:23644886:23647253:-1 gene:itb05g16430 transcript:itb05g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT3G29030) UniProtKB/TrEMBL;Acc:Q1ECM3] MHNFSLHISPVFIPLPIQLKAITMAVLELLLVGVLATLSPVHGYWGWSSARATFYGGGDASGTMGGACGYGNLYSSGYGTNTAALSTALFNNGLSCGSCFQIRCVNDRSCLRGVITVTATNFCPPGGWCEPPNPHFDLSQPVFLRIAQYRAGVVPVAYRRVPCRRSGGIRFTINGHAFFNLVLVTNVGGSGDVHAVYIKGSRTGWQMMSRNWGQNWQSNANLNGQSLSFRVVTGDGRSVVSYNAAPPGWSFGQTYSGAQFR >itb06g20980.t1 pep chromosome:ASM357664v1:6:23743700:23746466:1 gene:itb06g20980 transcript:itb06g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKTKGWKSVIPLRLKEKSAARFCLFPKSRSDGYGPGDTPVYLNVYDLTHVNGYVYWAGFGVFHSGVEVHGVEYAFGAHDYPTSGVFEVEPGHCPGFKFRKSIFIGTTRLNPNQVREFIERQAAIYNGDTYHLIMKNCNHFCEDICYKLTGKKIPKWVNRLAKLGSMFNFVLPEGLKIAAVQHDPNGKEYESEKRKLKSDYCRIPSTSSTRQKRFSTSSTSSLFLQSPLRGCLTSWKLSRSNNHSLKGR >itb13g17300.t1 pep chromosome:ASM357664v1:13:24314631:24315137:1 gene:itb13g17300 transcript:itb13g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVAKAAAQKAVVIFSKSSCCMCHAIKRLFYEQGVSPMIYELDELDSYNSREMERALLRLGCNPAVPAVFIGGRFVGSANTVMTLHINGSLKKMLKDAGALWL >itb15g04170.t1 pep chromosome:ASM357664v1:15:2632633:2641172:1 gene:itb15g04170 transcript:itb15g04170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRHSRVSLRKRKRKRSRSPPIVFPSTCVICGHRTAHGSPPPPATENLGPAMAREAMMYMPVPKPQTVDPPSSRTFQAPSTAIQDQMHVNRFHSSATCKNFIGFVVALSESVRSLKVSDPCRVSPAISTVVSTLQTLISFVDEIPPAPAPQSSRCGNVAYRTWHERMRSNAESYMIQFLPPNLHPYTVELVPYFTDSFGNADRIDYGTGHETHFAAWLYCLARLGVVKEVDYQALVTKVFVKYLELMRKLQLTYSLEPAASHGVWGLDDYHILPFIFGSSQLIGHKYIKPKSIRNEDIVQNFSNEYLYFSCVAFTKEVKKGLLAKHSPILYDTSQVPNWDTVNSGLLKMYKVEVLQQVPIMQHFLFGSLIRCRRPHACDVRIRLSHLLGSSPAFNTPSSPRLLTSEVRILRTAQAMDVDEENSVEPTETSSVITDNQNVASALGAISLQPVQPLAPPVIIPPIAPAIAPRPVVAPPLAPLPVRPSVLKPPSVTQNGDMRASDSDSDHDESGSGAMAGSTQEYEISEESKLVRERQEKAMQELLLKRRAAALAVPTNDMAVRARLRRLGEPITFFGEREMERRDRLRALMARLDAEGQLERLMKAHEDEEASASAAPTEEEEIIYPFYTEGSKSLLQARIEIAKYSILKSALRLDRARRKRDDPDEDLDAEIDLALNQAGSLVLDCSEIGDDRPLSGCSLSHDGEMLATCALNGVAKLWSMPQVQKVSTLKGHTERATDVVFSPTSNHLATASADRTARLWNAEGSLLRTFEGHLDRLARIAFHPCGKYLGTTSFDKTWRLWDVETGEELLLQEGHSRSVYGLSFHHDGSLAASCGLDALARVWDLRTGRSILAFEGHVKPVLGISFSPNGYHLATGGEDNTCRIWDLRKRKSLYTIPAHSNLISQVKFEPQEGYFLVTASYDMTAKVWSSRDFKPVRTLSGHEAKVTSLDVGADGQLVATVSHDRTIKLWSSKSSEKEKAMDID >itb04g10290.t1 pep chromosome:ASM357664v1:4:9615591:9616812:-1 gene:itb04g10290 transcript:itb04g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPSFRTPKAGRHLAEKQRRQKQKGLYAQLASLVEKKKSSVLDLLDQATNYIKQLEKHINELKARMDSLQVPVEIAVKESETLLEINIVCGSENKKLKMHKVIQILEEEGAEVVSASFSTVDLKIYHTILCKAFLRRLGMDTIMVQQRLKNFISGTG >itb09g17450.t1 pep chromosome:ASM357664v1:9:12716727:12721720:1 gene:itb09g17450 transcript:itb09g17450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLRIKDGKATYVSRFVKTSRLKQEEFFGRAMFMKIGDLKGMFGLLTVYLEMLRIKLKVLDNSYGDGTANTALIYHGGRLLALHEADKPYAIKVLEDGDLQTLGMLDYDKRLEHAFTAHPKVDPVTGEMFTFGYALKAPFVTYRVISKDGLMHDPVPITIPASIMMHDFAITENYAIFMDLPLYFQPKEMVTKKQFAYKFDATKKARFGVLPHYAKNELLIKWFELPNCFIFHNANAWEEGDEVVMISCRIQNPDLDMASGTVKDSLDFVNELYEMRFNMKTGLASQKKLSESAVDFPRVNENYIGRKQRYVYATMLSDLAKVKGIVKFDLHAEPETGKTKLEVGGNIKGIFDLGPGRFGSEAIFVPREASSTCDEDDGYLILFVHDENTGKSAVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVNEEQLQRQAQT >itb10g11220.t2 pep chromosome:ASM357664v1:10:16670924:16687476:-1 gene:itb10g11220 transcript:itb10g11220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSKKKKRGSGGAGRRSKGKALSKDQNSLAEDNAELIAELTALSAIFQEDFKVVSESPPQINIKLRPYSKDAGNEDTDVSALLSVRFGSGYPYKCPKLQIIPEKGLSKVDADNLLSLLHDQANSYAREGRVMIYNLVEAAQEFLSELLPQEQLHESATDERGQLFQKGAAVSTSKLYSSRGPFVFGFIDLFSGFGESWHWSLIMEGNNEPDSLVHSNPLDSLKNRLDSPSSKVDQIVGPIMDPDIRRDSLHKSARNLGTLQEESDGVSRSSGDLSRTSLSDESMGNESNDAIVKNLFVEGNLSESDDGSDYCDLESEPSECGFSESIVPEQSTGVLEKDLILAHLLRLACAPKGALSDAMPGIISELSNLGFVSEQVRDLANKPSSVFNKTFSRAFQQNIVSSKIPQFWKASSDLDVQNPLSSPSSRYLNDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKNPPLNDHILREVATLSRLQHQHVVRYYQAWFETGISGSSDAMWGSRTFLSSSFSCKDASSSDAFGNENKLESTYLYIQMEYCPRTLRQKFESYSHFDKDNAWHLFRQIVEGLAHIHAQGIIHRDLTPNNIFFGARNDIKIGDFGLAKFLKLEQLDQDLDAAETIGISVDGTGQVGTFFYTAPEIEQGWPKINEKADMYSLGVVFFELWHPFSTAMERHVILSDLIQKGELPPTWVAEFPEQESLLRRMMSSSPSDRPSATELLQHAFPPRMEYDLLDDMLRTIHTSDDTVIYDKI >itb10g11220.t1 pep chromosome:ASM357664v1:10:16670924:16687476:-1 gene:itb10g11220 transcript:itb10g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSKKKKRGSGGAGRRSKGKALSKDQNSLAEDNAELIAELTALSAIFQEDFKVVSESPPQINIKLRPYSKDAGNEDTDVSALLSVRFGSGYPYKCPKLQIIPEKGLSKVDADNLLSLLHDQANSYAREGRVMIYNLVEAAQEFLSELLPQEQLHESATDERGQLFQKGAAVSTSKLYSSRGPFVFGFIDLFSGFGESWHWSLIMEGNNEPDSLVHSNPLDSLKNRLDSPSSKVDQIVGPIMDPDIRRDSLHKSARNLGTLQEESDGVSRSSGDLSRTSLSDESMGNESNDAIVKNLFVEGNLSESDDGSDYCDLESEPSECGFSESIVPEQSTGVLEKDLILAHLLRLACAPKGALSDAMPGIISELSNLGFVSEQVRDLANKPSSVFNKTFSRAFQQNIVSSKIPQFWKASSDLDVQNPLSSPSSRYLNDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKNPPLNDHILREVATLSRLQHQHVVRYYQAWFETGISGSSDAMWGSRTFLSSSFSCKDASSSDAFGNENKLESTYLYIQMEYCPRTLRQKFESYSHFDKDNAWHLFRQIVEGLAHIHAQGIIHRDLTPNNIFFGARNDIKIGDFGLAKFLKLEQLDQDLDAAETIGISVDGTGQVGTFFYTAPEIEQGWPKINEKLRSCFL >itb06g09990.t1 pep chromosome:ASM357664v1:6:14246910:14248620:1 gene:itb06g09990 transcript:itb06g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLITSGHDISEERIWVLNSFYSAASLEMLWIPIVDDHVAWTNEQFEKVVLKMRFLSMDDPRKQIAQRFIRFVNENLSSTFHIGKEPVIISLNKQGKIIHSNAMHMMLIWDPRNIEGQSMRIQERDNIIPFIEKEMKERTQGIDDSLMTNIDELISHLACEVNDKINAWSRDILNKIQKLKSTSSMYTSEREITLWQKEKAWSLGLLVGNIDDIIKSWLAYVGKNEVIRASIIKENTGRILTSPYHVWWFWTRLQSSFLSRINYLNATSPGGDECNDEIARGFKNLLAYESESATIKGWALLSKGQKVIVSGYGAKMLRVVNEYQNWTKNMAPESFGQEFKDYYQMLSSSSFNSHPYCALEYPNTLEETIENEKCPECSYHMQKFITFTCNHGDI >itb08g01550.t1 pep chromosome:ASM357664v1:8:1140078:1145411:-1 gene:itb08g01550 transcript:itb08g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVNAHERPRRVPRTRNQWDDFYVKFNEEKKRKRRKLSESGPSTDRVGGDGAERGKAEKNGVGDDQVEVVVGEERKGSRRSGEAQKASSLGRNKEMGMADIEFVDDFVDKEKEMMDVEFVEDLVKGEGEKEMEMMDDEFGEDSGKGEKGKDKGKGKGKQKEKEKGKGKGKEKENGKGKGKEKEKDKGKKKDKGKEEEKEEEDSSKWLALVGVWSESRDNDTKDLAVVETENDTKDLAVVESENDTKDLAVVESENDTKDLPVVESASSDDDVIFIGETYPLYKNRTNRVKPISVADDKDDERKGNSQLVLVGEPNPTPNVVLLKPGSASGSKVGSVDGRGPEPITYDDVASSTSKRRVDSSVSLSSTDSSDFDDFESSSSSSSSEDDDSDDGDFSFTVPSSVLKRADGKGKAAEAGEKRKGIVSRLRSSSTPKPLNKDERKKDEDEDVGGGEDGEQIQKTIVKKRKQRARGLNVKDILLNTVLEKESNLNERLQRPELNAPPLPLKFRFEDEDEEPPVKEEWEVEVDNLFADLDMGRLQTENGSSAPQTVEKENAKADEANDCCHPEGHHCILDEQIGILCKHCLVVFLEMKHLYPDFAMKSSQRYERRFVDRSEHSEDAEFELGNIPVNNCARGVSGETVWDLVPAHIKERMYQHQIDGYEFMWKNIAGDLEIENLKVLPSDKGKGCIISHAPGTGKTGLSVVFLQAFMKLFPMCRPVVIAPRSMLLTWENEFGKWDADIPFHNLNNPKLSGKENVTDDVQGSNWKIFKRKSKGRELNRTLKLYSWANGSGILGITYRLFEKLAGESAEDEKVRRILLKYPGILVLDEGHTPRNDESLMWRALSKVETPLRIILSGTPFQNNFQELYNTLCLVSPEFSVPTPSSTRNFLQKGKAVRNKWTSITSSIVNDENGRRIEELKAMISPFVHVHKGHILLEKLPGLRDALVHLKLTEMQQRLLDLVSKKNFIEQDNLMTLISVHPSLAPENICKNGEKELELDPSAGVKTNFVFELVKLCSAHGERVIVFSRLIEPLSLIKQQLLHHIKWSENEEILYMDGKIDAKYRQDRISAFNNPASVAKVLLASTASCCEGINLIGASRIVLLDVVWNPSITRQAISRAYRLGQTKVVYVYNLISSTFEARKYECQARKDRMSELVFSARENHSRAENPSAADVILEAMAENERLRGMFELIVHQPKTQQMLLADPTEGPKLADQP >itb10g06750.t1 pep chromosome:ASM357664v1:10:7604983:7611558:-1 gene:itb10g06750 transcript:itb10g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MVSAILTTQALNSPSSSIEFHCILGSNFIRCPCFSITGKPIYAIPIERSRLKNQRTSIGPVRIACALGNAIIDKKELDIKPSFNEYLKIMESVKENKKTVGDRSERVGQKRDSGEPGRQLRPVEKKKALSDSMPPNQVSGGEKIQIQSRTAKKPLLKPNNDNPRGEERNRVELMEMDRAAFKSMEEYGEDDAYDKLRVSKAEMEERIQMLARNLNGADIDIPEWKFSEMMRSAKIRFSDHSMSRIIQILGKLGNWRRVLQVIEWIQSRERFISYRIRYVYNAALDALGKAKRPVEALNLFREMQEDMSSYPDLVSYHSIAVTLGQAGHMEELFYVIESMRSPPKKKLKTGILEKWDPRLEPDNIIYNAVLNACVSCKSWEGALWVLQQLKQQGQQPSSVTYGLVMEVMLACGKYNLVHDYFIKLQKSCIPSALTYKVLINTLWKEGKTDEAILAVEDMERRGIVGTASLYYDLARCLCSAGRCQEALIQVDKICKVATKPLVVTYTGLIQACMDSGDVQSGVYVFNHMHKFCSPNLITYNILLKAYLDHELFEEAKQLFLGLLENGNRIRNKSDCKDMVLPDIHSFNLMLDGFSAQLKWDEVEFIYLQMLKHGYHFNSKRHLRIVLHSCNAGKVGILEATWKHLDQADKKPPPPLVKEMFLVLLGRWDFASALACVVARAPTESQVFSAKCWLQFLNGNRHRIETDKIVALLHEHELSMCSGRSENILLENLMASCKEFLRTHSE >itb15g22920.t1 pep chromosome:ASM357664v1:15:25689935:25692762:1 gene:itb15g22920 transcript:itb15g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWCPSSWALRRILEIMVRKIEEDEEWMLMVKKGTAYEGKNIKIWDTYRSALDPEDELIVGIHSDIETIVNRLCYSHFMRSVFTILRNSNIHKFRKYVEKPVLKLQVIPLLGEGGIGKTTLAKRVYGHPITIASFDIRAWIVLSQVPNLKEILIGLLRCISPITSEIYTLDNAQIAEQLRTRLMGKKYLIFLDDIWATAAWDAIQGYFPENFNGSRILVTTRFTKVAEYVSADPYRVKYQTFSDCWELFSRKVFGQSQRAPHEFVSIGKHIVFCCGRLPLAVILVSGLLATAKWSLEIWRDVARSLDGVGRYGDNNKRISEIVSLSYKYLPSHLKACFHYFGVFPEDCDILVKKLINLWVAEGFIKPHNNMSLEEVGESYLDDLINTSLVQIKELSIDGKANSCNIHDRVHEVCVREAIDGNLLCIINDNHAPKASHTISCQTSHWPITRASYGNCNPDEIYSVLWFGKDVYHSKCRLVYPCFKLLKVLDLSLVKWSRGMPREITDLVHLRYLALNTIGTLYEFQFFKLKNLLTLTVTSWMEKCPLQLPCDILDLPQLRYFHVDKKCSQYLPCLVKKDLQTLYWLKVASSDQKPNFGMVPNLKELGIFIEGQLEPSYLGGLVYLHLLEKLKFEVGRVERFYLPTGFPPNLKKLTLRYTYLPWKEMDTIGELPHLEVLKLKDFAFCGSEWKSSTLCFWKLKALLISRSNLKYWNANSNHFPVLERLVLRYCWELEQVPINFANIGTLKLIVLECCYSSLVTSAMQISSAKELLSRGATDCQLLHVQSVDRSEEESVKSSKESVESSEEERVERSEKESVESFDEESVGSSKELSFGSSKELSVGSSDQVRLKARKSVRKYLKKKKVAKSLNAFKELNRKVL >itb13g24700.t1 pep chromosome:ASM357664v1:13:30301225:30303502:-1 gene:itb13g24700 transcript:itb13g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVKAGLGKYLLQLYLHPLRTKAITAGVLVGCSDVVAQKISGVKRLQLKRLLLLALYGFVYSGPFGHFLHKLMDSLFQGKQGSKTVAKKVLLEQLTASPWNNMLFMVYYGLVVEGRPWSLVKSKVLKDYPSVQLTAWKFWPIVGWINYQYLPLQLRVLFHNIVASCWAIFLNLKARSVAIKKE >itb05g24700.t1 pep chromosome:ASM357664v1:5:29365252:29372449:-1 gene:itb05g24700 transcript:itb05g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEMMRGERILVSVRLRPLNEKEILRNDVSDWGCVNETTIVYRNVDLSASERSMFPSAYAFDRVFNSDCTTRKVYEEAAKEVALSVVNGFNSSVFAYGQTSSGKTYTMTGITEYAIADIYQHIQKHSERDYVLKFSAMEIYNESVRDLLSSDVTPLRLLDDPERGTVVEKLTEETVKDWNHVVDLLSICEAQRQIGETNLNETSSRSHQIIRLTVESSVREFLGRDSSNSLIATVNFVDLAGSERASQSLSAGARLKEGCHINRSLLTLGTVIRKLSKGGNGHIPFRDSKLTRILQASLGGNAKTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKVLVKHLQRELARLESEMRSPTSDFETSDNAALLQEKDLQIEKLEKEVKELRMERDIAQAQVRDLMQMIGEERKSVIRVRDDESSVIRVGLGNYPNLRVLRSADDQCPAPEMSIMTVPLSMDADVRTCSDGHSRSSSEDQFICVSSFEEDFLHNNNSSQRVVVSSSTFSETDSCQGWDDLEKQSDRISEDLCKEVRCIDIEDSEVNEPVRARYPFLEENSGFSARIAIGNIGKTDRENLSPYSIPASPRIEEDSRSSFVPLKLDHKLVFASSFDEDGKSNRELVLPVEDEQELASPSSEGCRKSAQDESKVDEELPCNQLSPNAMASDSSDLRSPRITISRSCEADLVIDPLSPWNEEVECPENTGSEKELNNEPQGFEKEISSYIKASMEEHFTVSADSGVGIELEEPPKEKTSDDDNAITDYTCDEGTKEMTAFQREEDVADFAVAAKETERGADKPIKTVKDVGLDPIEVELELKSFTSWPSEFRRLQKEIIELWHACNVSLVHRTYFLLLFQGDSSDAIYMEVELRRLSFLKDAYVRGQKTVLNGRVLSIEQSIKDLQAEKRMLTKQMMKKLTEQERVSLYLKWGIGLTTKTRRAQLVNRLWTRTDDMNHISDSAYLVAKLVRFMEVGKAPKEMFGLDFGTRTSPSYSFKRSLISLL >itb05g24700.t2 pep chromosome:ASM357664v1:5:29365288:29372449:-1 gene:itb05g24700 transcript:itb05g24700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEMMRGERILVSVRLRPLNEKEILRNDVSDWGCVNETTIVYRNVDLSASERSMFPSAYAFDRVFNSDCTTRKVYEEAAKEVALSVVNGFNSSVFAYGQTSSGKTYTMTGITEYAIADIYQHIQKHSERDYVLKFSAMEIYNESVRDLLSSDVTPLRLLDDPERGTVVEKLTEETVKDWNHVVDLLSICEAQRQIGETNLNETSSRSHQIIRLTVESSVREFLGRDSSNSLIATVNFVDLAGSERASQSLSAGARLKEGCHINRSLLTLGTVIRKLSKGGNGHIPFRDSKLTRILQASLGGNAKTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKVLVKHLQRELARLESEMRSPTSDFETSDNAALLQEKDLQIEKLEKEVKELRMERDIAQAQVRDLMQMIGEERKSVIRVRDDESSVIRVGLGNYPNLRVLRSADDQCPAPEMSIMTVPLSMDADVRTCSDGHSRSSSEDQFICVSSFEEDFLHNNNSSQRVVVSSSTFSETDSCQGWDDLEKQSDRISEDLCKEVRCIDIEDSEVNEPVRARYPFLEENSGFSARIAIGNIGKTDRENLSPYSIPASPRIEEDSRSSFVPLKLDHKLVFASSFDEDGKSNRELVLPVEDEQELASPSSEGCRKSAQDESKVDEELPCNQLSPNAMASDSSDLRSPRITISRSCEADLVIDPLSPWNEEVECPENTGSEKELNNEPQGFEKEISSYIKASMEEHFTVSADSGVGIELEEPPKEKTSDDDNAITDYTCDEGTKEMTAFQREEDVADFAVAAKETERGADKPIKTVKDVGLDPIEVELELKSFTSWPSEFRRLQKEIIELWHACNVSLVHRTYFLLLFQGDSSDAIYMEVELRRLSFLKDAYVRGQKTVLNGRVLSIEQSIKDLQAEKRMLTKQMMKKLTEQERVSLYLKWGIGLTTKTRRAQLVNRLWTRTDDMNHISDSAYLVAKLVRFMEVGKAPKEMFGLDFGTRTSPSYSFKRSLISLL >itb09g11790.t1 pep chromosome:ASM357664v1:9:7347307:7348634:-1 gene:itb09g11790 transcript:itb09g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDNLMTMEPWAFRSAFGDSWYSDVFARETDALTKVLQKSLSSPVAPPPQAAAEGFAAEMVFSVAVPETTPVQTPSASGPTGSGGSENETAGSKRRNVGPSGKITKRKSRAAKRATTTYITADAANFRQMVQQVTGVRFGGAGKLPVPPILKPEPHRAVNQLPPHTAGCLPTLDTSAFLLDQKQHLGSSPASLIRPTTLTSPPPPTVADGGVTGFDFDAFSSFPTLESWN >itb04g26530.t1 pep chromosome:ASM357664v1:4:30845992:30847684:-1 gene:itb04g26530 transcript:itb04g26530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAFDLKLDREVDRREEIQVHAERRCKADEEARCITKVFKEIMCLIGEFAPGDAFFPTRLVRWLDFGGQIASMKQVSKAMDDIFQNWIKDHVKRREMKGDGGSKDDDRDFIDVMLSVIDDKFQSVDHSYTCETIIKAASQSMMEDGADTLSLNLEWVLSLLLNNPHVMKQVQEEIDTVVDKERWVED >itb13g21650.t1 pep chromosome:ASM357664v1:13:28206822:28208041:1 gene:itb13g21650 transcript:itb13g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTPSLLPIFTAKIDFIFLSFTKRSEDFNMESLIFGRLIFFFIVTFFSIVCNPAPVHGFNIYNLSPYSSATSQSSGSSPDNPNPSGSAIYFSYDSKSDFSYALKSDFIYSYGGTDNQRSLQSISATTENSDGISEPQISESVIHSVLKANKDGGKTAKRPGQKAKPGGGGGNANRELRKICSKTENPSLCVSTIAPRLRGGGANARAVLDICIKASYDLAKTGAAKVKKLGVKECKGKFKDALSNFDLATKAFQKKDVGTMNSMLSAVVTDMSDCQDKLSGSGSPLIALGDKLATMTSNCLLIIPQMH >itb01g27710.t1 pep chromosome:ASM357664v1:1:32381413:32383335:-1 gene:itb01g27710 transcript:itb01g27710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSRSGVAILTFSKPFIAGAIVYIRCNYSHYKFRGDGGGLLRPLATAPAVAEICGLLLLLAGSQKHNSDSCKMVVSTAFNRLAPKTKNLVVAGGLSGFVFGVYFYTMRAVGGTDELQIAIDKFEQEKQNKDTKASLASNT >itb14g14290.t1 pep chromosome:ASM357664v1:14:16728168:16730944:1 gene:itb14g14290 transcript:itb14g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLRFHHCSLNKTLSASPISDSKLPPAMPAKLQRKSFKFKYSLENSDTIGNLGTKKQQAMSRIAISVRQLCSRSKYP >itb14g14290.t2 pep chromosome:ASM357664v1:14:16728168:16729921:1 gene:itb14g14290 transcript:itb14g14290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLRFHHCSLNKTLSASPISDSKLPPAMPAKLQRKSFKFKYSLENSDTIGNLGTKKQQAMSRIAISVRQLCSRSKYP >itb05g02410.t1 pep chromosome:ASM357664v1:5:1934815:1938689:-1 gene:itb05g02410 transcript:itb05g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSMWILGANPAPLFQLKNSSNASGVDTPLNLSDDLEVVFEKKEDRLPFAVGRSAKGCDIFSGQWVRDQESRPLYKESECPYILPQQTCLAHGRPDMDYLYWKWQPNGCSLPSFNASLMLEALRGKRMMFAGDSHSRSHYYSIVCLLQKFIPENAKSIETAGQTIIFTAKEYNAKIEFYYAPLLLESNADNPEKHTVHGRIVRKGSINVNGQHWRGVDILVLQSYIWWIVDEYFKILEGSFEDKVKNIIDVPSDDAYHMALESMLEWVEENMDPSKTRVFFTGISPNHRRNDLWGGDQKGNCYNETTLIEDSNYDVPSSLKTKLQIIDEVLSKSNVQITLLNITRLSNYRKDGHTSIYKKQLGAVLTAEQLANPVSYADCIQWCLPGVQDTWSELLFTKLFYP >itb15g03760.t1 pep chromosome:ASM357664v1:15:2374195:2375675:-1 gene:itb15g03760 transcript:itb15g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTMEEEKEFPSSDELVVAETLLLLGVMPPSPCLSSKSKRESSKSLALSSLNCNSVSNSKSNSKSCASISSAVTWDDDLASAETQSHSCRMTLQVVRKKRSLTFCISDGEKSRSAQPGKETSVTIASASSCLSNDSTSSTISSAGSQGIARMGKRERMKAVAEVLKPKKKPQGSTHIRRRAEAIINILSYGTASEVRIRQLLGDSPDTSKALRL >itb06g01910.t1 pep chromosome:ASM357664v1:6:3291644:3292087:1 gene:itb06g01910 transcript:itb06g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVLVHPSFIAVPGADPMEWKQFRGAVAEIDPLPPPPQPHFSHILRWLFIAEVSSMPFNLLCIVVLVNTTASGYGEGRFAKVFDREMLNRVLEEEFSENDQHQGSKNSSFNSSVAYHEAVLENGGEELKGSKFPESSSLASCLSI >itb05g20470.t1 pep chromosome:ASM357664v1:5:26545807:26547801:1 gene:itb05g20470 transcript:itb05g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFFFFSFRLFIITLLLPLLVVGFDDCEESRCRSGGPGIHFPFKLKHEQAQHCGYPGFELSCDNNGNTVMELPHDVQLQVDQIDYASQQIFLSDPDACLSGKMLLHLNLSLSPFQYSGSVSWYDFSLFNCSASNDFGGTIYDGLISCLAVPGYQIYAISSSHSIDEFPSSSCFYPVYYLKDTLQLKWISPSCLNCEAQGKDCRLKNHNNITLGVQCLSRPKKTGAILGFFLLAFGAYKFYTTTKTQKENQKRVENFLEDYRAMRPTRYSFADIKKITNQFSERLGEGVYGIVYKGKLSSEIHVAVKVLNDSNANGEEFINEVGIIGKIHHVNVVRLVGFCADEFRRALVYEYLSNESLEKSIFSTGSKNVAPLGWKKIQEIALGIAKGIEYLHQGCDQQILHFDIKPHNILLDHNMNPKIGDFGLAKLCSKEKSAVTMTAARGTMGYIAPEVVSSNFGKVSHKSDVYSFGMLLLEMVGGRKNFDANKATNASQDSFPEWVYNHLNRKGELRIRIEEEEDEVIVKKLAIIALWCIQWQPVDRPPMKVVVQMLEREGHDLVLPSSPFMTTNVNDIPPVLA >itb13g12980.t3 pep chromosome:ASM357664v1:13:19453820:19455582:1 gene:itb13g12980 transcript:itb13g12980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDEDDNRWPPWLKPMLKERFFVQCNLHADSHKSECNMFCLDCINGPLCSLCLPNHSHHRAIQIRRSSYHDVIRVNEIQKFLDISAVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCHRSLLDSFRFCSLGCKVNSDFAT >itb13g12980.t2 pep chromosome:ASM357664v1:13:19453820:19455582:1 gene:itb13g12980 transcript:itb13g12980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKERFFVQCNLHADSHKSECNMFCLDCINGPLCSLCLPNHSHHRAIQIRRSSYHDVIRVNEIQKFLDISAVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCHRSLLDSFRFCSLGCKIVGTSKNFVRKPKRLPEKKRTAAMAAAASDSEDSYCSRHSHGRVSRKVQSFTPSTPPPTCVNYRTAKRRKGIPHRAPMGGLIIEY >itb13g12980.t4 pep chromosome:ASM357664v1:13:19453820:19455582:1 gene:itb13g12980 transcript:itb13g12980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKERFFVQCNLHADSHKSECNMFCLDCINGPLCSLCLPNHSHHRAIQIRRSSYHDVIRVNEIQKFLDISAVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCHRSLLDSFRFCSLGCKVNSDFAT >itb13g12980.t1 pep chromosome:ASM357664v1:13:19453820:19455582:1 gene:itb13g12980 transcript:itb13g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDEDDNRWPPWLKPMLKERFFVQCNLHADSHKSECNMFCLDCINGPLCSLCLPNHSHHRAIQIRRSSYHDVIRVNEIQKFLDISAVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCHRSLLDSFRFCSLGCKIVGTSKNFVRKPKRLPEKKRTAAMAAAASDSEDSYCSRHSHGRVSRKVQSFTPSTPPPTCVNYRTAKRRKGIPHRAPMGGLIIEY >itb13g10800.t1 pep chromosome:ASM357664v1:13:15785880:15786870:-1 gene:itb13g10800 transcript:itb13g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQLNSRASSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDSSFIAELDLLLTSAYLSVASGLPLS >itb02g16610.t1 pep chromosome:ASM357664v1:2:12564202:12568015:1 gene:itb02g16610 transcript:itb02g16610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVSESCVESLLTEIVSSYCNGFYADKPELAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNEFRWLSRMPMDPSLETSGSIQDPSAMAENKAAQATGMHLYFPCGIIRGALSNLGISCAVSADISNLPACSFVVRIKV >itb06g07400.t4 pep chromosome:ASM357664v1:6:10913639:10914279:1 gene:itb06g07400 transcript:itb06g07400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRTLSRPAFNLIRSTVSKPTLKPNFSLQSTSSLPTLPRPLPQMGALQSLLPLYSAVSSARLTSCLGLDSKCSRSLSQGMLCSANPGV >itb06g07400.t3 pep chromosome:ASM357664v1:6:10913639:10918238:1 gene:itb06g07400 transcript:itb06g07400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRTLSRPAFNLIRSTVSKPTLKPNFSLQSTSSLPTLPRPLPQMGALQSLLPLYSAVSSARLTSCLGLDSKCSRSLSQELGLSVPR >itb06g07400.t1 pep chromosome:ASM357664v1:6:10913639:10918199:1 gene:itb06g07400 transcript:itb06g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRTLSRPAFNLIRSTVSKPTLKPNFSLQSTSSLPTLPRPLPQMGALQSLLPLYSAVSSARLTSCLGLDSKCSRSLSQGMLCSANPGV >itb06g07400.t2 pep chromosome:ASM357664v1:6:10913639:10918238:1 gene:itb06g07400 transcript:itb06g07400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRTLSRPAFNLIRSTVSKPTLKPNFSLQSTSSLPTLPRPLPQMGALQSLLPLYSAVSSARLTSCLGLDSKCSRSLSQGMLCSANPGV >itb09g04000.t1 pep chromosome:ASM357664v1:9:2218583:2220525:1 gene:itb09g04000 transcript:itb09g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRGNYNNPKTPTIKFFEGNAVPIHNRFHTPVPLSPVFDPYELSENFNTPNPLSPLVQRLRSGSSVPFDGSGGGSRSSGTRGGFGSPLSSIENLLTQPLGSPITVFKTPVKVEEDVIVMDGFPVNPKGGSSRPRGTVPSDPGLGQRREPCRVWQQYRSCRFGHSCQYAHGVQELHPPAPKNYKSEMCRSIINSGTCPYGPRCRYFHPQPQNQVNPAVQPPAPRAEVAIPPQDQVNPAVQSPAPRAEAAIPPQDQVNPAVQSPASRAEVAIPVSTPTVVKDPASGGNSSTSATASTATASGNNDWSPADDGIETSLPGKAPVVDVNAHIQTALYGPSGRKRLPIFGEICPEPEPEIDPKPE >itb09g04000.t2 pep chromosome:ASM357664v1:9:2218583:2220525:1 gene:itb09g04000 transcript:itb09g04000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRGNYNNPKTPTIKFFEGNAVPIHNRFHTPVPLSPVFDPYELSENFNTPNPLSPLVQRLRSGSSVPFDGSGGGSRSSGTRGGFGSPLSSIENLLTQPLGSPITVFKTPVKVEEDVIVMDGFPVNPKGGSSRPRGTVPSDPGLGQRREPCRVWQQYRSCRFGHSCQYAHGVQELHPPAPKNYKSEMCRSIINSGTCPYGPRCRYFHPQPQNQVNPAVQPPAPRAEVAIPPQDQVNPAVQSPAPRAEAAIPPQDQVNPVVQSPASRAEVAIPPQDQVNPAVQSPASRAEVAIPVSTPTVVKDPASGGNSSTSATASTATASGNNDWSPADDGIETSLPGKAPVVDVNAHIQTALYGPSGRKRLPIFGEICPEPEPEIDPKPE >itb01g15710.t1 pep chromosome:ASM357664v1:1:18720758:18721231:-1 gene:itb01g15710 transcript:itb01g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRSGETEDTFIVDLAVGLAMGQIKTGTPYKSKRLAKYNQLLRIEEELGSAAVYAGASPTRVNAAFCC >itb12g28020.t1 pep chromosome:ASM357664v1:12:28128655:28130026:1 gene:itb12g28020 transcript:itb12g28020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKKEAALSVLSTTSIEEALRIFTEGLEPVVSCVHDNNEDDKDEMMMMMEYEEDEEEEEGSYNLLRIPSALRDIASAPF >itb01g24080.t1 pep chromosome:ASM357664v1:1:29833716:29836025:1 gene:itb01g24080 transcript:itb01g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSEEGFLLEETKPNVGGGKATTADTVEQMQYLHVYVVKATDLPAKDITGAIDPYVEIRLASCNAITQHFEKNSNPVWNQVFLFSKDHIQSCSVLDVTVKDKDFFEDDFVGRVSFDLSEIPFSIPRDVSLAPRWYRLKGRMGDRVEGELMLAVWMGDQANDTFPEASNSHPRAVNVADDFVNTRSKVYFSPRLWYLRVKVIEALDLLPNDTSRSLEVSVKAIVGYQVLRTRVSANKSMNPMWNEDLMFVVAEPFEEALILSVEDKDEVLGRCAIPLQYVDKRLDYRPAVKPRWYNLENHVPDEREMKEIQISGRILVGICLEGGYHVLDEPTDYSSDLRPSAKQLWKSNIGVLELGILSARNLLPMKKRRTSATTDAYCVAKYGEKWVRTRTVTDSFAPEWNEQYTWEVFDPNTVITIGVFDNCNLQTPQVGYGYRSALAKDSKIGKIRIRLSTLETDRVYSHSYPLLVLLASGVKKMGDIHLTVRFTCSSLLNMMRMYSQPLLPKMHHLQPITVNQIDSLRHQATQVVSMSLSRAEPPVRKEVVEYMLDVGSSMWSIRKSKANFFRIMSVLGELISILRWFDGICHWKNPITTVLIHILFLILVVYPRSILAVIFLFIPSRIAYLYRWRLRYPPFVDIRLSCADDVHPDELDEEFDTFPTSRPADIVRMRYDRLRSIAGRLLMVAGDLATQGERVVALMSWRDPRATALFLILYSVAGIVLYFTPFQVVAILTGLFVLRHPIFRPKLPSAPVNFFRRLPSKTDMLL >itb12g12770.t1 pep chromosome:ASM357664v1:12:11449073:11449731:1 gene:itb12g12770 transcript:itb12g12770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLKVEMEMEEIYNKRRGGELWKQSSGAPPLALLAIFSIVIFLLSLSHYSSYKDGVEQTMIGFRVFAYLLPLALLFLISSTYFRFSTFNFWNHAQPRPAQKLKL >itb13g09030.t1 pep chromosome:ASM357664v1:13:12027513:12028710:-1 gene:itb13g09030 transcript:itb13g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSNSIYTPFHSLHFHQPKPIHNHLHPQHSQIQPIHPSIFLASLICSNSQPSMASFDEVSTLEHIRNYLLGEYSPREFNFDSRLSHSCSSSSGSSCSAVEVSSSQSEFLMDSLSFQENFFDFKAVNHTQNQSNIVVRESQSSFSSSCSSSINDNKFSNSESHPQIIDLTPPTTQAPSCKERKPSLKIDLSPAVNKIEWIEFSSSMTVQPPVHAEEEEEKRRYRGVRQRPWGKYAAEIRDPKRRGCRVWLGTFDTAIEAAKAYDRAAFRMRGSKSILNFPLLVSKYKLEQAQAHAAEDGGRKRRREETTSTAVMKKEKSEERPLTPSSWNTISDWDQSVNGMFNLPPLSPLSPHPALGYPQLAVI >itb09g17430.t2 pep chromosome:ASM357664v1:9:12690615:12697209:1 gene:itb09g17430 transcript:itb09g17430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRKICYCPLGFARGLQGEKGKNEFLEEVGKLEEFLKDPWLLRARENATIQVKVPKVVVAPPPQSLAVGDAGTDAEEAAAMLSAQTKRAVLQKKAAAASLVAEDYARRFESGDLVEPVKDTAGEELTQSNAKIMCRLCFRGENDGSEKAKKMLSCKTCGKKYHRSCLKTWAQNRDLFHWSSWTCPSCRICEACRRSGDPNKFMFCKRCDAAYHCYCMHPPHKNVSSGPYLCPKHTRCHSCNSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYLQFQVDGNLQYACPTCRGDCYQVRNLEEAVQELWRRKDEADKGLIASLRAAAGLPTQEEIFSISPFSDDEDSPVVSKNEYGRSLKFSLKGLAEKSPKKSKEYGKKSSSKKYGKNKGHQISSTGKAESHLGFEGHTDAPSGDIITNEEIRACKSGERDCFSPAIAGSLTEGICSVNEAGVVKHKFIDEVTANNGNRASRMVQIKGNKHHSTSDDDVGTHTTSKTTKGTKLVIHLGTWNKNLTGSPKSEASSCPREQNLTTSNGSEDLGQQKLNEYTERKEIVATGGKGHRADQMQGQKVRGKEGHVIKIKKPSPETADGTVKPGNGSSASPLNAPIVAGKRSNEGSVAAPRTVIQIPGSRGNKVSSARHAGGEPGVTDDSRADDRNSTPPTHPVQKDPKPLLKLKFKNPYPDGHNAWASTEDEKGVIKGQRSKRKRPLPSGEKSSATADPRWYEDNSMDEMMDANWILQKLGKDAIGKRVEVHQQSDNTWHRGKVTEFFEGPSVVAVTLDDGKTENIELGKQGIRFVPQKQMR >itb09g17430.t4 pep chromosome:ASM357664v1:9:12690752:12697209:1 gene:itb09g17430 transcript:itb09g17430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAQTKRAVLQKKAAAASLVAEDYARRFESGDLVEPVKDTAGEELTQSNAKIMCRLCFRGENDGSEKAKKMLSCKTCGKKYHRSCLKTWAQNRDLFHWSSWTCPSCRICEACRRSGDPNKFMFCKRCDAAYHCYCMHPPHKNVSSGPYLCPKHTRCHSCNSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYLQFQVDGNLQYACPTCRGDCYQVRNLEEAVQELWRRKDEADKGLIASLRAAAGLPTQEEIFSISPFSDDEDSPVVSKNEYGRSLKFSLKGLAEKSPKKSKEYGKKSSSKKYGKNKGHQISSTGKAESHLGFEGHTDAPSGDIITNEEIRACKSGERDCFSPAIAGSLTEGICSVNEAGVVKHKFIDEVTANNGNRASRMVQIKGNKHHSTSDDDVGTHTTSKTTKGTKLVIHLGTWNKNLTGSPKSEASSCPREQNLTTSNGSEDLGQQKLNEYTERKEIVATGGKGHRADQMQGQKVRGKEGHVIKIKKPSPETADGTVKPGNGSSASPLNAPIVAGKRSNEGSVAAPRTVIQIPGSRGNKVSSARHAGGEPGVTDDSRADDRNSTPPTHPVQKDPKPLLKLKFKNPYPDGHNAWASTEDEKGVIKGQRSKRKRPLPSGEKSSATADPRWYEDNSMDEMMDANWILQKLGKDAIGKRVEVHQQSDNTWHRGKVTEFFEGPSVVAVTLDDGKTENIELGKQGIRFVPQKQMR >itb09g17430.t3 pep chromosome:ASM357664v1:9:12690791:12697209:1 gene:itb09g17430 transcript:itb09g17430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAQTKRAVLQKKAAAASLVAEDYARRFESGDLVEPVKDTAGEELTQSNAKIMCRLCFRGENDGSEKAKKMLSCKTCGKKYHRSCLKTWAQNRDLFHWSSWTCPSCRICEACRRSGDPNKFMFCKRCDAAYHCYCMHPPHKNVSSGPYLCPKHTRCHSCNSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYLQFQVDGNLQYACPTCRGDCYQVRNLEEAVQELWRRKDEADKGLIASLRAAAGLPTQEEIFSISPFSDDEDSPVVSKNEYGRSLKFSLKGLAEKSPKKSKEYGKKSSSKKYGKNKGHQISSTGKAESHLGFEGHTDAPSGDIITNEEIRACKSGERDCFSPAIAGSLTEGICSVNEAGVVKHKFIDEVTANNGNRASRMVQIKGNKHHSTSDDDVGTHTTSKTTKGTKLVIHLGTWNKNLTGSPKSEASSCPREQNLTTSNGSEDLGQQKLNEYTERKEIVATGGKATGHRADQMQGQKVRGKEGHVIKIKKPSPETADGTVKPGNGSSASPLNAPIVAGKRSNEGSVAAPRTVIQIPGSRGNKVSSARHAGGEPGVTDDSRADDRNSTPPTHPVQKDPKPLLKLKFKNPYPDGHNAWASTEDEKGVIKGQRSKRKRPLPSGEKSSATADPRWYEDNSMDEMMDANWILQKLGKDAIGKRVEVHQQSDNTWHRGKVTEFFEGPSVVAVTLDDGKTENIELGKQGIRFVPQKQMR >itb09g17430.t1 pep chromosome:ASM357664v1:9:12690596:12697209:1 gene:itb09g17430 transcript:itb09g17430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRKICYCPLGFARGLQGEKGKNEFLEEVGKLEEFLKDPWLLRARENATIQVKVPKVVVAPPPQSLAVGDAGTDAEEAAAMLSAQTKRAVLQKKAAAASLVAEDYARRFESGDLVEPVKDTAGEELTQSNAKIMCRLCFRGENDGSEKAKKMLSCKTCGKKYHRSCLKTWAQNRDLFHWSSWTCPSCRICEACRRSGDPNKFMFCKRCDAAYHCYCMHPPHKNVSSGPYLCPKHTRCHSCNSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYLQFQVDGNLQYACPTCRGDCYQVRNLEEAVQELWRRKDEADKGLIASLRAAAGLPTQEEIFSISPFSDDEDSPVVSKNEYGRSLKFSLKGLAEKSPKKSKEYGKKSSSKKYGKNKGHQISSTGKAESHLGFEGHTDAPSGDIITNEEIRACKSGERDCFSPAIAGSLTEGICSVNEAGVVKHKFIDEVTANNGNRASRMVQIKGNKHHSTSDDDVGTHTTSKTTKGTKLVIHLGTWNKNLTGSPKSEASSCPREQNLTTSNGSEDLGQQKLNEYTERKEIVATGGKATGHRADQMQGQKVRGKEGHVIKIKKPSPETADGTVKPGNGSSASPLNAPIVAGKRSNEGSVAAPRTVIQIPGSRGNKVSSARHAGGEPGVTDDSRADDRNSTPPTHPVQKDPKPLLKLKFKNPYPDGHNAWASTEDEKGVIKGQRSKRKRPLPSGEKSSATADPRWYEDNSMDEMMDANWILQKLGKDAIGKRVEVHQQSDNTWHRGKVTEFFEGPSVVAVTLDDGKTENIELGKQGIRFVPQKQMR >itb12g12190.t2 pep chromosome:ASM357664v1:12:10707825:10711834:1 gene:itb12g12190 transcript:itb12g12190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSEDSAGPLSSCVLCLRILTSDNDVSDVDHINLCSDCKFLLLEDLDSPIRDVYRWRTSGLRRTRQNQDNNLEHDDHSFDGDASARSWRLTSSRSTPSGPRRWRLRRTRYNSSSESIENLFSQQFSHLINLARQNQDNNLEHDDHSVNENASTRSWQQTNSRTTPNGSRRWRVPSDTESDVIDSVFSESESNLSFSGYRVMHSENETVSYSAYGGSSTASVDGSSFLGIGNSADEGSDLDTDTDIDPMRAGMYPWDSDQEEDDNGWQEADSEENTVGVLGDRSLQRSVTLHESTWGRLGILSAEFEGTIDLRIQERIRAQIAGISNDLEELELHANFVDADYLDAQGFDELVEHLAETDGLRRGAPPAAVSVVNSLPRIVIKDHKQLDDLACAVCKDSLFVGTVVNQLPCSHVYHPSCILPWLSSRNTCPLCRYELPTDDQDYEDRKLRNGNEFAIRETRQHDMNEGSSLDAIDDTEAMEPREFGHGRTEQGEVTSMSRSSTRTARGRWFFLAAAAPVISVVGIAIALWLGNPLTEQSRSSILRAFCPHRQLSNHPPHSPRVNNRERRWWSPF >itb12g12190.t1 pep chromosome:ASM357664v1:12:10707756:10711834:1 gene:itb12g12190 transcript:itb12g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSEDSAGPLSSCVLCLRILTSDNDVSDVDHINLCSDCKFLLLEDLDSPIRDVYRWRTSGLRRTRQNQDNNLEHDDHSFDGDASARSWRLTSSRSTPSGPRRWRLRRTRQNQDNNLEHDDHSVNENASTRSWQQTNSRTTPNGSRRWRVPSDTESDVIDSVFSESESNLSFSGYRVMHSENETVSYSAYGGSSTASVDGSSFLGIGNSADEGSDLDTDTDIDPMRAGMYPWDSDQEEDDNGWQEADSEENTVGVLGDRSLQRSVTLHESTWGRLGILSAEFEGTIDLRIQERIRAQIAGISNDLEELELHANFVDADYLDAQGFDELVEHLAETDGLRRGAPPAAVSVVNSLPRIVIKDHKQLDDLACAVCKDSLFVGTVVNQLPCSHVYHPSCILPWLSSRNTCPLCRYELPTDDQDYEDRKLRNGNEFAIRETRQHDMNEGSSLDAIDDTEAMEPREFGHGRTEQGEVTSMSRSSTRTARGRWFFLAAAAPVISVVGIAIALWLGNPLTEQSRSSILRAFCPHRQLSNHPPHSPRVNNRERRWWSPF >itb06g10050.t1 pep chromosome:ASM357664v1:6:14283722:14286744:-1 gene:itb06g10050 transcript:itb06g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHRAKTYKESPRVSLSSCGVPFSLRDQKSRKSVSYNKLPQEPMRINVLKLDGTCFEICVARNGTVVDLKRGVEMAFRHLPKNGPGTVSWSHVWGNFCLSYDGQKLLTDSDSIGDYEIKDGGQIEFVRHVSITYPVKTRSEREDTYMDEPRESKGNGDRQQECKEENNHQGKLEYHTIDIDIDEEDCVTVASNYECRSAPSLKKWFSNSRFSRSETRKG >itb06g15230.t1 pep chromosome:ASM357664v1:6:19583441:19586488:1 gene:itb06g15230 transcript:itb06g15230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGVRLIDEFLAKSNVSRCVDFKETAEVIAKVGFKMFLGVTATVSNWDAEGTTCSLILEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEVTWIRDMLRGDDVFELQLKLLKQVPEEYPYKDDE >itb13g19290.t1 pep chromosome:ASM357664v1:13:26283924:26287235:-1 gene:itb13g19290 transcript:itb13g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSNAFYTLPFSSSSSTSGASKAPQTLIHNHYCHFFLDKPIHTTSLPLSRDYKHSPIIIKNPRRSLPRPALTPEDSAPQTNGDEASPSELENEADEKYSTSLKSLMQFYKVAILNGDVKAVSEIEVMMCRVEKEKAKLAERVSALSAEINSGKERYIRLQADFDNFRKRSDKEKSTIRGDAQAQVVENLLPIVDNFERAKQLIKVETEREKKIDTSYQGIYKQFVEVMKSLQVTVVPTVGKPFDPLLHEAIAREESHEFQEGIITQEFRRGFKLGSRLLRPATVKVSSGPGKRKQSPVMQKSAGQPAASHGVDE >itb05g20750.t1 pep chromosome:ASM357664v1:5:26772717:26773442:1 gene:itb05g20750 transcript:itb05g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPKEFGKKRIPSVAPTTPRKPDPETLPQEDEKASETAPAVEPSDSAPKADEVTAADVVPEKEKPAEAINEKPVAEGKTISQVVINNTTTTEEEIKNEIPVVEPR >itb08g01730.t1 pep chromosome:ASM357664v1:8:1387831:1392659:1 gene:itb08g01730 transcript:itb08g01730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFSHLFPCVNPAANRDEPAEVVFTAGEPLDETLGHSFCYVRSSARFVSPPHSDRFVSPSQSLRFDEPGQQKSRPVGAGPMETGFRAISGASVSANTSTPRTVLQVDEFYDDAPGTDGAITGVRGSVVNVNGFESTSSFCALPLQPVPRGGGERSGPMERAFFMSGPIERGALSGPLDGSTGSDPAGNNVPFSAPLGGVYVKKKRRRGISGIRNALYRNFPEKKRPWVLPVRNFVTHKDAPPASNCGRDSEMSCDSNIQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMSNLYKAMYKKLEGLFWDSEETSRQEEVGESVENDVIAENSGTQNTNSSLEATDGEVREVEGVNGGNFQQLDRGSTKKVTFRSGEIEVRRRRRLWEYLAEDDPEDGLDLSGSDRFAFSVEDALSVNNAGPAVRRSLLLSKLKQGLLSKHRESRRLFPWRFGLKGKEKVEVEENRVEEERTIGNGSRRKVGPVDHELVLRAMSGALEITELAYLDMTDKLLDRCPELALMGSCLLVALMRDEDVYVMNVGDSRAIVAKYEPEEVTSSSNATVLGNDGLTVDGIAEEFNGSIQVEDKVSNVVPVQDMRLTALQLSTDHSTSIEEEVIRIKNEHPDDSNCIVNDRVKGRLKVTRAFGAGFLKQRKLNDALLEMFRNEYIGNTPYISCTPSLRHHRLCPGDQFLVLSSDGLYQYFSNEEVVSHVENFMEKFPDGDPAQHLIEELLFRAAKKAGMDLHELLDIPTGDRRKYHDDVTVMVISLEGRIWKSSGKYL >itb04g05150.t1 pep chromosome:ASM357664v1:4:3172526:3173106:-1 gene:itb04g05150 transcript:itb04g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCILDLGARIASRFNSHCPQTSRMYYHPPSNQCDDGSRRINNQSNDVSGGGVEAPPPHREGSWTVPKDDNVEIDTKDFILFYGWCV >itb14g15270.t1 pep chromosome:ASM357664v1:14:18577542:18580202:-1 gene:itb14g15270 transcript:itb14g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNILNPKPNPQQLLREWQRRLRQECRNIERQIRDIQREEKNVQKAIKEAAKRNDMGSAKALAKELVRSRKTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEMAITMQEFSKEMTKAGVIEEMVNDAVDNALDSEDIEEETEEEIDKVLTAIAGETAAELPEAVRKEKSKQPAQSVDDAEGADDEEELEELRARLDKVRS >itb15g19730.t2 pep chromosome:ASM357664v1:15:22239794:22246762:1 gene:itb15g19730 transcript:itb15g19730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTDTNIPLFENVVGCENGVQQELPTAGEEVIVDKSDWIPNGSTAIEEVQGNFESALILKDDEAAVYSNGSAGSKESKVKNSAEHKSEKPQKAPGKLKNGKPSSTGHTVVSGLKKGNNGKHGLSSSVISNGTITSESLSKQPTALSAKSKSFNERKATERNPKQESSIVKANHSKTDKTSSPSDAQPEGLEEKPKVKPLKKDPPNKAEVPDESSVSPTAADAKSHRVGALPRYSFSFKCDERAEKRKEFYSKLEEKIHAKEMEQNNLQAKTKETQEAEIKMFRKTLAFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRKKGSPSREENNDNNVRPSRLSLDEKGPRDSPAKGLSLVNAKRTQRKSLPKLPSQNTNLTGETKKSSNSKTSLSKEAIDQNSTFKEINETGTDVQKQEATIVEPSETEPKAKR >itb15g19730.t1 pep chromosome:ASM357664v1:15:22239794:22246762:1 gene:itb15g19730 transcript:itb15g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTDTNIPLFENVVGCENGVQQELPTAGEEVIVDKSDWIPNGSTAIEEVQGNFESALILKDDEAAVYSNGSAGSKESKVKNSAEHKSEKPQKAPGKLKNGKPSSTGHTVVSGLKKGNNGKHGLSSSVISNGTITSESLSKQPTALSAKSKSFNERKATERNPKQESSIVKANHSKQTDKTSSPSDAQPEGLEEKPKVKPLKKDPPNKAEVPDESSVSPTAADAKSHRVGALPRYSFSFKCDERAEKRKEFYSKLEEKIHAKEMEQNNLQAKTKETQEAEIKMFRKTLAFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRKKGSPSREENNDNNVRPSRLSLDEKGPRDSPAKGLSLVNAKRTQRKSLPKLPSQNTNLTGETKKSSNSKTSLSKEAIDQNSTFKEINETGTDVQKQEATIVEPSETEPKAKR >itb10g21060.t2 pep chromosome:ASM357664v1:10:26409700:26414278:1 gene:itb10g21060 transcript:itb10g21060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MAHSSQVAFLTPLKDNLEEEEEGGSIPKIPINEGSNCVGRSCVPVNDKRLSRKHLTVNATSGGSAEVLVESTNPVVIRSKGERKKLLSGERWKIENGDVVELIPGHYYFRYNALRNKNSPNSRNKRPLVEESNTSKGQAHGWKKTRQVLGEASLVSSKNDGQETESSEEAIRHFVVPKDKLPQTFRLLRVRELPAWANTNAVSIHDVIQGDVLVAILSNYMVDIDWLLSACPALKKIPQVLVVHGEGDGTMEYMKRNNLVNWILHKPALPISYGTHHSKAMFLVYPKGVRIVVHTANLIHVDWNNKSQGLWMQDFPWKDQKCPSMECGFERDLIDYLSILKWPEFTANIPALGNCNINSSFFKKFNYSSAGVRLIASVPGYHSGPSLKKWGHMKLRTVLQECTFSKEFQKSPLVYQFSSLGSLDEKWMTEFAFSLSAGKSDDNKPLGIGEQMIVWPTVEDVRCSLEGYAAGSCIPSPSKNVEKEFLKKYWAKWKASQTGRGYIVLFVMNFKLIIYNSKYQMV >itb10g21060.t1 pep chromosome:ASM357664v1:10:26409700:26415731:1 gene:itb10g21060 transcript:itb10g21060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MAHSSQVAFLTPLKDNLEEEEEGGSIPKIPINEGSNCVGRSCVPVNDKRLSRKHLTVNATSGGSAEVLVESTNPVVIRSKGERKKLLSGERWKIENGDVVELIPGHYYFRYNALRNKNSPNSRNKRPLVEESNTSKGQAHGWKKTRQVLGEASLVSSKNDGQETESSEEAIRHFVVPKDKLPQTFRLLRVRELPAWANTNAVSIHDVIQGDVLVAILSNYMVDIDWLLSACPALKKIPQVLVVHGEGDGTMEYMKRNNLVNWILHKPALPISYGTHHSKAMFLVYPKGVRIVVHTANLIHVDWNNKSQGLWMQDFPWKDQKCPSMECGFERDLIDYLSILKWPEFTANIPALGNCNINSSFFKKFNYSSAGVRLIASVPGYHSGPSLKKWGHMKLRTVLQECTFSKEFQKSPLVYQFSSLGSLDEKWMTEFAFSLSAGKSDDNKPLGIGEQMIVWPTVEDVRCSLEGYAAGSCIPSPSKNVEKEFLKKYWAKWKASQTGRGRAMPHIKTFVRYSGQNLAWMLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSVKCGSGFSCTDNSNYSEVKSAPHEEKAAKLVTLAWRGKSDTESAEVVIKLPLPYELPPRPYSPEDVPWSWDRRYTKKDVYGKVWPR >itb10g16210.t1 pep chromosome:ASM357664v1:10:22439634:22440769:1 gene:itb10g16210 transcript:itb10g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSVAILFLLFTISVARSPLSKPENDVTVNQLSDSVTKSDAADAIRLPSESNREETDAIEAETKAIVADVMPLNLVRFRPVNRRFRLRSTLPFRRCHHRFGNGHKQRLVVRSGGQIPYGDDMILSSPKTKNLGDVTIHSDVRQVPPELIPFLHRHGHYRHRGDGEEKLAKYASKRYHRSDREKNYSFGKRIRKFLKQYFD >itb03g08000.t1 pep chromosome:ASM357664v1:3:5994538:5996295:1 gene:itb03g08000 transcript:itb03g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANPSTTWAKTHSFCGRFRSGSLIKSNEFRINLSFPSSIRKKPVFHSCSAILTKEQTDVPQGESENKLDFKAYVLGKAESVNKALEAAVLLKEPLRVHESMRYSLLAGGKRIRPMLCIAACEMVGGDEATAMPAACAVEMIHTMSLMHDDLPCMDNDDLRRGKPTNHKVFGENVAVLAGDALLSFAFEHIATATKGVSSEKIVRVIGELAKCIGAEGLVAGQVVDICSEGISDVGLEHLEFIHLHKTAALLEASVVLGAVLGGGTEEEVAKLRKFARNIGLLFQVVDDILDVTKSSHELGKTAGKDLVADKVTYPKLLGLQKSREFAEQLNKEAQAQLSGFDQGKAAPLIALANYIAYREN >itb04g08020.t1 pep chromosome:ASM357664v1:4:5597892:5598233:-1 gene:itb04g08020 transcript:itb04g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTSEAKEIPATFDRRSELEAFDDAKVGVKGLVDSGITKIPQIFIHDHHHLQTDEKSNRDRTQPMVPSIISLEGMDEVLVETKSSTKSEKPVKPGDFSRQSIMEFPQDLLMK >itb06g13150.t1 pep chromosome:ASM357664v1:6:17784069:17796806:-1 gene:itb06g13150 transcript:itb06g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAIARMATLYGSSRNIFLCRSASSHMPLALARAVSTESSSVATRLNSSGLLKSQALIGGKWVDAHDGSTIEVYNPATGEVITDVPCMGKKETNDAIASASNAFHSWSKVTAAERSKCLRKWFDLLMIHKEELGQLMTLEQGKPLKEAIGEVSYGAGFIEFFAEEAKRVYGDIIPATLPDRRLFVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSLQAGIPPGVVNVVMGSAPDIGEALLASPQVRKITFTGSTAVGKKLMAGSAATVKKVSLELGGNAPCIIFDDADLDVAVRGTLGTKFRNSGQTCVCANRVLVQEGIYDKFAEVFSNAVQNMKVGDGFGEGVVQGPLINEAAVQKVESLMQDAISKGAKILVGGKRHSLGMTFYQPTVLADVKSEMLLSREEIFGPVAPLLKFKTEEEAIQLANDTNAGLAAYIFTSNIQRSWRVTEALEYGIVGINEGVVSTEVAPFGGMKQSGLGREGSKYGMDEYLEMKYVCLGNMS >itb01g22370.t1 pep chromosome:ASM357664v1:1:28279556:28280550:1 gene:itb01g22370 transcript:itb01g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASATFSPASAVTGTAIRSSQRRATKVNYISGLNSFGGLKAHNNVSTLGVPVCTDHSFAKIVSSLRDPSRGRGRGGGALSSTCSAVAEIFRIAAIIPGLVLVGVAVGFVLLRLEATLEEAE >itb04g16510.t1 pep chromosome:ASM357664v1:4:18202027:18204027:1 gene:itb04g16510 transcript:itb04g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPSKRTVLLYKKGYPLLFNSKAPTTPFYKLTKTQLFSTYLSKLNRPLQTHKPNFCNESPSMDNELRKGPGLIRLKTEPEELANDQSNDEFITDVEKVYRILKKFHSRVPKLELALQESGVVVRSGLTERVLNRCGDAGNLGYRFFVWASKQPGYRYSYDVYKAMIKILGKMRQFGAVWALIEEMRKESPQLLSPEVFVILMRRFASARMVKKAIEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEASTLFEEMKFRFNPTIKHFTSLLYGWCKEGKLMEAKVVLVRMREAGFEPDIVVYNNLLNGYAVAGKMAHAFDLLQEMRRKACYPNATSFTIVIQALCSQAKMEEAMRVFMDMERSGSEADVVTYTTLISGFCKLGKTDQGYELLDRMIQKGYMPNQTTYLHIMLAHEKKEELEECMELIKEMQKIAIFPDLSIYNTVIRLACKLGEIDEGMRVWNEIEANGLSPGVDSFVIMINGFIEQRRLVEACDFFKEMIGRGLLSVPQYGTLKDLLNSLLREEKLEMSKGVWSCIVTKGCELNVYAWTIWIHALFSKGHVKEACSYCLDMMDAGVMPQPDTFAKLMRGLRKLYNRQIAAEITEKVRKMAEERQITFKMYKRRGERDLKEKVKGKTDGRKRRARRRRWGSNRRGNHRLEANML >itb05g05550.t1 pep chromosome:ASM357664v1:5:5289308:5297105:-1 gene:itb05g05550 transcript:itb05g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKLDDQETTRSDSSATYAASSTKPHATSRDPYWTAMCGVLSETAALSLDDFRFIRKLGGGDIGTVYLAELKGSNGCLFAAKVMDKEELVSRNKESRARTEMEILRRLDHPFLPTLYTTLDCDQWLCLVMEFCPGRDLHVLRQLQPEKRFDDAAVRFYASEVVVALEYLHMMGIIYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSKSIPQLVHDQTSPAIDRRSGDRRAKSSAFFKSTCILPYCAAPPHAPCFRLRQKRRRRRAGQRKAPVVVAEPIDMRSMSFVGTHEYLAPEVVTGEGHGNAVDWWTLGIFIYELLYGTTPFRGLEDDFTLSNIVARCLDFPKDPVVPGAAKDLITKLLIKDPTQRMGSTMGATSIKQHPFFDGVNWALLRCASPPYIPDPQPFNHKESLFTQLPMNKSPKTFGKIKEVAVAEHLVLILAVAALFAAVAMETPVLAAVALATPFLAAATP >itb11g03570.t1 pep chromosome:ASM357664v1:11:1908517:1908981:1 gene:itb11g03570 transcript:itb11g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQQMLFRRGDVVEVASQKQGFRGSYFVATVVMALTPVREYVVEYETLVTNDLSGPLREVVPAAEVRPVPPGFRMRDSGEFRKGDKVDAYDNEAWWAGEIIGKVGDKYKVYFDDSKEEIAYTVDLLRVHLDWIHLRAKWVDSFGRALAFQFV >itb06g13880.t1 pep chromosome:ASM357664v1:6:18525844:18526894:-1 gene:itb06g13880 transcript:itb06g13880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKITISLPVNEDKCRAKAMKIAVSTAGVISADMDPVKKQLVVTGEGVDFFGLMKGLKRKFRCATIIAIEEVKPPVKNPPPAKTPPKTPPKKCCKPCPCPPPSYSNSCVIPVYDSYPQNCTIL >itb05g15440.t1 pep chromosome:ASM357664v1:5:22804957:22809615:1 gene:itb05g15440 transcript:itb05g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLICPAILFLFIILLIQAPLALCQFNDSFSVSETCAESFSCGNIDAIGYPFWGGNQPAYCGHPSFMLDCNIDSPPEITILSVKYKVLGISSQAATIVSYDLSTNICPSNPQNTSLDFNLFSYAPSVNNITLFYGCTTTNPVSVPIPNLFNCSDSNKNVLWSPNTGLPNISRNNISCGSEIFVTVTQEAFEALLNASVVTEELLRTSVGAGFSVDWEAYNSLCDNCTGSGGRCGSNGDSISTQFVCYTDNANSNNNSNKTPLMAIGLCITGVVLLTGVGIGLQIFRQKRKWVAAKELEHERVLPVQNIEAFIRTKGFHATKLYTYSDIKKMTNSFNDKIGQGGFGSVYRGKLPDGCLVAVKLLTDTKGNGEDFINEVASISQTSHVNIVTLVGFCYQQKRALVYEFMPNGSLDKYIGNKGLPNMSCLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDNDFTPKISNFGLAKLCKKKESIVSLSMYGARGTIGYIAPEVVFRSIGNVSHKSDVYSYGMTVIDMVGVRENVGADQTSDSYFPNWIYEHLEQGLDFSLEGITNEEDKEMAMKMILVSLWCIQTNPADRPSIRKVVEMLEGSTATLQIPPKPYFSPQIHDSPQQSPTSSVTTEI >itb08g05320.t2 pep chromosome:ASM357664v1:8:4377744:4382695:-1 gene:itb08g05320 transcript:itb08g05320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISSLCSSQKAAAFPRFTDVENQPPNSKDMALQILEKDETLATSKLPCYNSFMSVLVRKPPPIIDEHHGGTWGNVLRAAVPAMEEKYLQRKKVGEVFEKICNLWLSLAEEELRGLIMKTEILHYAAMEGNAEFIAMVLRRKPVLSLVLNKKGQTMFHVAVSHRQERVFNLIYEMGPFKELIHQIVDEDGNNILHLTAMLGQTVGDNKNVKAKSELLEETQKILPRQLINVSGPALQLQREILWFREVKRVVPPTYCKMLNASGRTPEELFLKEHKSLIKEGEQWMRDTANSCMIVATLIATMVFAAAFTVPGGYDQVTGIPILIKPTTFTLFAISDALAMFSSIMAIIMFLAILTSRYRKHDFRVALPAKLLIGLTALFVSIVGMLVAFAAAFFLVYKREWEPKLVAGLAVVPFGSFLYLNWQLWFDSISSIFGSKSLFRPNKLMVYGGMDFQNH >itb08g05320.t1 pep chromosome:ASM357664v1:8:4377692:4382857:-1 gene:itb08g05320 transcript:itb08g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISSLCSSQKAAAFPRFTDVENQPPNSKVTVESFMADLRMLHGAAKEGDWETAELILKRNLDYARQKITKAGETLLHVAVAAKRIEFVQKLVEMLDPIDLELRNDSKRTAFVYAIELGEKKMAEVMVEKNKKLLTINAYEDMTPLEVAALTKQNEMFSYLFKVTPDNKLSELGSPLMEATIQNDMYDMALQILEKDETLATSKLPCYNSFMSVLVRKPPPIIDEHHGGTWGNVLRAAVPAMEEKYLQRKKVGEVFEKICNLWLSLAEEELRGLIMKTEILHYAAMEGNAEFIAMVLRRKPVLSLVLNKKGQTMFHVAVSHRQERVFNLIYEMGPFKELIHQIVDEDGNNILHLTAMLGQTVGDNKNVKAKSELLEETQKILPRQLINVSGPALQLQREILWFREVKRVVPPTYCKMLNASGRTPEELFLKEHKSLIKEGEQWMRDTANSCMIVATLIATMVFAAAFTVPGGYDQVTGIPILIKPTTFTLFAISDALAMFSSIMAIIMFLAILTSRYRKHDFRVALPAKLLIGLTALFVSIVGMLVAFAAAFFLVYKREWEPKLVAGLAVVPFGSFLYLNWQLWFDSISSIFGSKSLFRPNKLMVYGGMDFQNH >itb05g06230.t1 pep chromosome:ASM357664v1:5:6476439:6478239:1 gene:itb05g06230 transcript:itb05g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIALGANSSEDRRAVLPEFVAGMTRIPMHKSPEFSVGGQRGEAIVENDDGGSSLSSSSSIGRNSDESAAGGDADGDGAEVQSSAKDGALGNLEDLEEALPLKRGLSKFYDGKSKSFTRLSDISSCSSLKDIVKPENAYSRKRKNLLAFNNRFGINSSYQHSCGIYKKPTNSTSSLAATINSNPSLPGFSLPPLPSRIQRSRNECSSSTPEQKITTWQSLSSSDLQGDAAPIPSITNIKE >itb06g23880.t2 pep chromosome:ASM357664v1:6:25627253:25632952:-1 gene:itb06g23880 transcript:itb06g23880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHYHNVSGFDDSPFQDRTVESRRPVDEDFGDSDFEDDFETSNPVTDTCALEARHGKDIQGIPWERFNFTRENYRETRLKQYKNYESLSRSREELKKDYKEVVKGHSFFDFQFNTRLVKPTIVHFQLRNLLSSTSKHDVYLVQDYSFMHWSSLLRRGKEVVNMARSLEPTMKYPGSVGQTPSRVQLCSMTIKDNLMVAGGFQGELICKHLNKPGVSFCIKLTAGENAITNTVDICHAPNGSVQVMAANNDAQVRVFDANNFACLNCFTFPWSVNNISASPDGKMLTVVGDDPDCLLADAQSGKVIANLKGHLDYSCASAWHPNGRIFATGNQDTTCRLWDVRNLSRSLAILNGRMGAIRAIQFSPDGQFLAMAEPADFVHIFDTQSDYFTCQEIDLFGEIAGISFTPDTEALFIGISDRTYGSLLEFKPRNYNTYLDLL >itb06g23880.t1 pep chromosome:ASM357664v1:6:25627153:25632965:-1 gene:itb06g23880 transcript:itb06g23880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHRSREHESMVDHYHNVSGFDDSPFQDRTVESRRPVDEDFGDSDFEDDFETSNPVTDTCALEARHGKDIQGIPWERFNFTRENYRETRLKQYKNYESLSRSREELKKDYKEVVKGHSFFDFQFNTRLVKPTIVHFQLRNLLSSTSKHDVYLVQDYSFMHWSSLLRRGKEVVNMARSLEPTMKYPGSVGQTPSRVQLCSMTIKDNLMVAGGFQGELICKHLNKPGVSFCIKLTAGENAITNTVDICHAPNGSVQVMAANNDAQVRVFDANNFACLNCFTFPWSVNNISASPDGKMLTVVGDDPDCLLADAQSGKVIANLKGHLDYSCASAWHPNGRIFATGNQDTTCRLWDVRNLSRSLAILNGRMGAIRAIQFSPDGQFLAMAEPADFVHIFDTQSDYFTCQEIDLFGEIAGISFTPDTEALFIGISDRTYGSLLEFKPRNYNTYLDLL >itb04g21220.t1 pep chromosome:ASM357664v1:4:26312832:26317075:-1 gene:itb04g21220 transcript:itb04g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPESGGGGGGGSGGSEPSRGAGLTRFRSAPATWLEALLDSDTENDVVLDPPPILPSSSKPPPHPHSQPLPTPSAQLKQPSVGGSGSRYAADLGLFESGGGGGEAASGLSNFVRQNSSPAEFLSQISSDIFFPSFGAPPSYDYLSSPIDVAQSAKRPREADSQSPSAKLSSPLKGEQSGRLRSAGGSLDAEMEKMMEDLVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKFLQKQIQELTEHQKKCTCSTNEQ >itb11g21910.t1 pep chromosome:ASM357664v1:11:23687977:23692265:-1 gene:itb11g21910 transcript:itb11g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTEFMDKQVMELSESQFEDHSFRFLEADTDDEEEAVDQFRLHRNRHYGAPLTSSSSLDQIDSTKTTNKSNNMSMVAEVDRIMKELSNKLLRAIEDLSGRLSQLESKMHYLENSMNDLKVSIEYDHGKTDGKLRQLENILREVQNGVQLLKDTQQIAEIQSQQAKVQAPSIPQTEGQNVQVPEPPSLQTTPIAPKQSQPTPLSTDTPLLHGMPNVSYQNQCPVAAPPTPSQGSPDWLSYNPQYPPSYNPPLSQTLGAYHQQIKPPVPPPTLPHPNYQTAPELPQPLHSTHPSLLNYCMPDTRNAQTHFPPKNHPEERTYTPHSYNPTINESPFKYPGAQFAQHPYSDFTNQVKPTHHPSGSQYSEFSDRTNKPYGFGNYNDTSPFNGSSSPYSPTTMKTSQFSSAWALDRENENTQLPKAKILLPHALPTASFVASTEPSTGGTGNTAPNDEDVVEKVTSMGFRRDLVKATVKKLADNGQSVDLNEVLDKLMNN >itb05g19360.t1 pep chromosome:ASM357664v1:5:25907573:25909018:-1 gene:itb05g19360 transcript:itb05g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPCGPSKWIKLSANRQKPCFKLRLWTVDLIKNPVKRIRSDQTTMKLQKTCLVSFIVTIIIVSSLVRESSCLETAEKEEEEARIRSKFFSTFFRYFHTIPRVPERKEIRRVSRRLVPCGPNPLHN >itb09g04760.t7 pep chromosome:ASM357664v1:9:2658034:2662806:1 gene:itb09g04760 transcript:itb09g04760.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLEELIRCLSNLEGVTRCKVQNRVDVRYHLQWTLKQVLKKRIHGLDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKACIFCCFLSGFRLFPIQTNQNICLTWQNVGKKVGFEWPNILSLHS >itb09g04760.t5 pep chromosome:ASM357664v1:9:2657884:2662666:1 gene:itb09g04760 transcript:itb09g04760.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNTVTALSDPKTRSCLCILVVTAALICGVYFTGNVFFGRAYKMPIQFGRSYSLQSAKPCRCEVSSAMDIKASVEEKNPRTGKCVDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKACIFCCFLSGFRLFPIQTNQNICLTWQNVGKKVGFEWPNILSLHS >itb09g04760.t3 pep chromosome:ASM357664v1:9:2657884:2662808:1 gene:itb09g04760 transcript:itb09g04760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNTVTALSDPKTRSCLCILVVTAALICGVYFTGNVFFGRAYKMPIQFGRSYSLQSAKPCRCEVSSAMDIKASVEEKNPRTGKCVDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKACIFCCFLSGFRLFPIQTNQNICLTWQNVGKKVGFEWPNILSLHS >itb09g04760.t1 pep chromosome:ASM357664v1:9:2657884:2662808:1 gene:itb09g04760 transcript:itb09g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNTVTALSDPKTRSCLCILVVTAALICGVYFTGNVFFGRAYKMPIQFGRSYSLQSAKPCRCEVSSAMDIKASVEEKNPRTGKCVDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKLSSSDHSPPKNNLADSETLGTPPSVHKLDNRPEVRKRSYDEMRAFENRWQRAAEQDKCWVDPFQ >itb09g04760.t2 pep chromosome:ASM357664v1:9:2657884:2662808:1 gene:itb09g04760 transcript:itb09g04760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNTVTALSDPKTRSCLCILVVTAALICGVYFTGNVFFGRAYKMPIQFGRSYSLQSAKPCRCEVSSAMDIKASVEEKNPRTGKCVDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKLSSSDHSPPKNNLADSETLVYL >itb09g04760.t6 pep chromosome:ASM357664v1:9:2657899:2662793:1 gene:itb09g04760 transcript:itb09g04760.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNTVTALSDPKTRSCLCILVVTAALICGVYFTGNVFFGRAYKMPIQFGRSYSLQSAKPCRCEVSSAMDIKASVEEKNPRTGKCVDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKGTPPSVHKLDNRPEVRKRSYDEMRAFENRWQRAAEQDKCWVDPFQ >itb09g04760.t8 pep chromosome:ASM357664v1:9:2657884:2662808:1 gene:itb09g04760 transcript:itb09g04760.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNTVTALSDPKTRSCLCILVVTAALICGVYFTGNVFFGRAYKMPIQFGRSYSLQSAKPCRCEVSSAMDIKASVEEKNPRTGKCVDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQVNSLATLMHFSCLIISFVCC >itb09g04760.t4 pep chromosome:ASM357664v1:9:2658034:2662793:1 gene:itb09g04760 transcript:itb09g04760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLEELIRCLSNLEGVTRCKVQNRVDVRYHLQWTLKQVLKKRIHGLDKCRPVGSEALPKGIVSKTSNLEMHPLWGPVRENEKPKNPVNLLAIPVGIKQKEVVNQIVKTFLENDFVVMLFHYDGVVDEWNHLEWNRRVIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGIENFHPKRYISIVKEEGLEISQPGLDPRKSEIHHRITMRRRNSKVHRRFYTANVKGCNNNSTAPPCVGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDKKLGYCAQGDRTKKVGIVDAEYVFHLGLPTLGGDPKRQKLSSSDHSPPKNNLADSETLGTPPSVHKLDNRPEVRKRSYDEMRAFENRWQRAAEQDKCWVDPFQ >itb12g24000.t1 pep chromosome:ASM357664v1:12:25685412:25688044:-1 gene:itb12g24000 transcript:itb12g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMLLKAATAFPLLNSHGDNLSPLFSSASSLKGLPAKAGNGNALVVCASKGSNNKPLTGVIFEPFEEVKKELSMVPSGPQTSLCRQKFVDDCEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSEEERDHAEKLMEYQNKRGGKVKLQCIVMPLSEFDDAEKGDALYAMELALSLEKLTNEKLLNLHAVADRNNDVHLADFVESEFLQEQVESIRKISEYVAQLRRVGKGHGVWHFDQMLLHGEAVAA >itb02g01200.t1 pep chromosome:ASM357664v1:2:662898:664621:1 gene:itb02g01200 transcript:itb02g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQSRVGSQGALEEGGKDLSVRVTNQYKPLPLRLLQFLLLFLGVCITFSIVSMYTLRYLGVQSVVPMIQSGVQNVVPRIQSCSQEVSSLERWIRPPSDLLHTMNDTELFWRASFVPQVKDYPFKRKPKIAFMFLTRGPLPLAPLWERFFKGNEGLYSIYIHSLPAYKPNFNASSVFYRRQIPSQVAEWGRMSMCDAERRLLANALLDVSNEWFILLSEACIPLQKFSIIYHYISRSRYSFMAAVDEPGPYGRGRYNENMSPVVNLTDWRKGSQWFEVNRKLAVDIIKDEKYYPKFEQFCRPACYVDEHYFPTMLTIESPRLMANRTLTWVDWSRGGAHPATFGKADITEQFFKKMFEKTCIYNNQPTNFCYLFARKFSPSALDPLLEHSSKLLGF >itb04g28810.t1 pep chromosome:ASM357664v1:4:32402876:32403859:-1 gene:itb04g28810 transcript:itb04g28810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYMDDQKWRLSKNDTYTHSSCSSSKPPPLMRSFSQKNPSSSSKHSLPRSYSQKSSASKSSSNKSNFTRKCSNLAKEQKAKFYIVKRCIGMLVHWNKHGDS >itb01g20930.t2 pep chromosome:ASM357664v1:1:27109343:27114021:1 gene:itb01g20930 transcript:itb01g20930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVGTAVLELENENTVAVNQKMLSVDDSPRISSFYTRLDDLSYKYVLNGVVSSIKLVFLSKKLNLLVPCGPLAVIVDLFTNHHGWIFFLSLLGIIPLAERLGWVTEQLAFYTGPTVGGLLNATFGNATELIISMYALNRGMIRVVQQSLLGSILSNMLLVLGSAFFAGGIAHSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTEMHFGTSELALSRFSSCVMLVAYGAYIFFQLTSERSLYVPIAEEDSQNDGDSDDEEGPEISKWESVTWLFVLTVLITVLSEYLVNAIEGASVAMSIPVAFISVIMLPIVGNAAEHAGAVMFAVKDKLDISLGVAIGSSTQIAMFGIPFSVVVGWITGQPMNLDFQLFETATLFMSVLVVAFMLQEGTSNYFKGLMLLLCYLIVAASFFVHIDPETIRDEAEKP >itb01g20930.t1 pep chromosome:ASM357664v1:1:27109278:27114026:1 gene:itb01g20930 transcript:itb01g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVGTAVLELENENTVAVNQKMLSVDDSPRISSFYTRLDDLSYKYVLNGVVSSIKLVFLSKKLNLLVPCGPLAVIVDLFTNHHGWIFFLSLLGIIPLAERLGWVTEQLAFYTGPTVGGLLNATFGNATELIISMYALNRGMIRVVQQSLLGSILSNMLLVLGSAFFAGGIAHSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTEMHFGTSELALSRFSSCVMLVAYGAYIFFQLTSERSLYVPIAEEDSQNDGDSDDEEGPEISKWESVTWLFVLTVLITVLSEYLVNAIEGASVAMSIPVAFISVIMLPIVGNAAEHAGAVMFAVKDKLDISLGVAIGSSTQIAMFGIPFSVVVGWITGQPMNLDFQLFETATLFMSVLVVAFMLQEGTSNYFKGLMLLLCYLIVAASFFVHIDPETIRDEAEKP >itb01g20930.t4 pep chromosome:ASM357664v1:1:27109343:27113371:1 gene:itb01g20930 transcript:itb01g20930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVGTAVLELENENTVAVNQKMLSVDDSPRISSFYTRLDDLSYKYVLNGVVSSIKLVFLSKKLNLLVPCGPLAVIVDLFTNHHGWIFFLSLLGIIPLAERLGWVTEQLAFYTGPTVGGLLNATFGNATELIISMYALNRGMIRVVQQSLLGSILSNMLLVLGSAFFAGGIAHSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTEMHFGTSELALSRFSSCVMLVAYGAYIFFQLTSERSLYVPIAEEDSQNDGDSDDEEGPEISKWESVTWLFVLTVLITVLSEYLVNAIEGASVAMSIPVAFISVIMLPIVGNAAEHAGAVMFAVKDKLDISLGVAIGSSTQIAMFGIPFSVVVGWITGQPMNLDFQLFETATLFMSVLVVAFMLQVRNSYKCYDF >itb01g20930.t3 pep chromosome:ASM357664v1:1:27109343:27114021:1 gene:itb01g20930 transcript:itb01g20930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSKLIRHHTSGRAFRQLAFYTGPTVGGLLNATFGNATELIISMYALNRGMIRVVQQSLLGSILSNMLLVLGSAFFAGGIAHSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTEMHFGTSELALSRFSSCVMLVAYGAYIFFQLTSERSLYVPIAEEDSQNDGDSDDEEGPEISKWESVTWLFVLTVLITVLSEYLVNAIEGASVAMSIPVAFISVIMLPIVGNAAEHAGAVMFAVKDKLDISLGVAIGSSTQIAMFGIPFSVVVGWITGQPMNLDFQLFETATLFMSVLVVAFMLQEGTSNYFKGLMLLLCYLIVAASFFVHIDPETIRDEAEKP >itb01g05240.t1 pep chromosome:ASM357664v1:1:3595734:3598488:1 gene:itb01g05240 transcript:itb01g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDTFSMDGEHATATSPPPFEDGSYGGYSAFSSETPPYQPAAELSEDYVEVTAEQASETVDSPDPYRFGSDPFQGQATPFGSSAVPVSNGNGKPYDLGEDTDGIFSSDGPVLPPPNEMREEGSALREWRRLNAIRLEEKEKQEKEIRNQIIEEGEEYKRAFYEKRKLNIESNMTNNREKEKLSLASQEKFHKEADKQYWKAIAELIPNEVPNIEKKGRKKDQEKKPGITVIQGPKPGKPTELSRMRQILVKLKHKPPPHMLPPPPAPKDVKDGKKETKDAKDGKNVKDAKEAEPSAAAAPPASDSKTPAPASEEPATVTEEQPST >itb11g10930.t1 pep chromosome:ASM357664v1:11:7791284:7794263:-1 gene:itb11g10930 transcript:itb11g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVSLKKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQKIQPFDKRYQYLLFAAEPYEIISFKVPSTEIDKATPKFFSHWDPDTKMFTLQLHFKSKPPEANKPQAAPTANGTATPAPPAQAPPPPPPPPQGLPPGAPPRGPPSAPGSVPPPPPPMANGPPRPMPPGGGLPAPPPPPVGSGPMPNFIPGTMMGRPPMMPPQGFPGQGMHQPPPPPPPNMG >itb03g13670.t1 pep chromosome:ASM357664v1:3:13724911:13726551:-1 gene:itb03g13670 transcript:itb03g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKRLYIRNTLARLLDNCLKMNELKRIHTHIITSPFLLTTDRYFLISRLIFFCCVSESGSLSYATNAFRLVSRPSLFMYNAMIRAHASKNTDPTSFQALVLYKRLLFDEFTPDFITLPFVLKECVNRVDAFAGQSVHGGRGKEALGLFHEMQISGGEDVISPDKITIANVISACASLGAIDHGRWVHSFLKRSKIECDTVIATALVDMYGKCGCVDKALEVFTAMPKKDVLAWTSMISVFALHGNANQAFELFLEMEAATVKPNSVTFTALLSVCAHSGLVEKGRWFFNAMRSVYSLEPQLQHYACMVDLLGRAGLFDEAEMLIRGMPMEPDVFVWGALLGGCQMHRNFQLGEKVAQNLIALEPQNHAFYVNLCDIYAKAGKFDHVKKLRAFMNSKGIAKTAPGCSMIEIDGVVHEFSVRGSPQDLLQEIKPLLDALSCEMKRESHISCIQHFTLSPP >itb11g02600.t1 pep chromosome:ASM357664v1:11:1325645:1326413:1 gene:itb11g02600 transcript:itb11g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVCVPNYDHRNGRWQTAAAAGMGGVRGGGRWQPPMVVDSVSCRQLYLRSAYTFSKKESVPEKTKKCFGMVRKTVAAAAAAGKKSSKSAVMGSRRKRRFPVVSTLFQRVLTCSAGVEVVDHMH >itb12g11790.t1 pep chromosome:ASM357664v1:12:10266388:10266732:-1 gene:itb12g11790 transcript:itb12g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRLSSTCMFRSAPLTQPKSAFVKSSSSITSVKRVSKSFGLKADRYRVTASAENAGVELPYSCRAGACSTCAGKIKMGAVDQSDGSFLDDNQMVEGYVLTCVSYPTSDCCFS >itb01g00180.t1 pep chromosome:ASM357664v1:1:108544:110969:1 gene:itb01g00180 transcript:itb01g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MTQSPPLRKCVRFAKHGPEGSRKESASPSPRRKAVFPISINCSPKNEFSRNPFRYLSILSVLALQSFVSCRCAMVGNGGIPGEQNIDFDWNRLDDDNFLFAAVDEGFSNLSPDSLPLSIGDIEEILMKDDDAAPDDFISEILLDSPAEASAPSGEVAGIEESDHSGEVVGTPDTKGSSGSEVEDDGKGKERDTVEGVEQFQQKADNAVEVLADDNDDPIAKKRKRQLRNRDAAVRSRERKKTYVRDLELKSKYYESECRRLGIMLQYCLAENQTLRLSLQNSKAVGASMPMQESAVLLLESLLLGSLHWFLGITCLLILLPLLQSTPLRVVPQEGQENKNQASLAPGKVGSRTNRIWVIQSMMGKRYKASRSRMKSTSVLAQVPTVWVCGMLAW >itb10g15300.t1 pep chromosome:ASM357664v1:10:21550570:21553117:-1 gene:itb10g15300 transcript:itb10g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVEYQNRGGFRVWAPFSLNNAFIIRSARYQKLPEQPLQLSVLKLDGSSFCVNVARNATVAELKLAIQRVFDVSCEGEGKVLWSLVWSHFCLCYESQKLTNDKACIRNFGIRDGDQVQFVRQMMIETAEYETAKQSQSESNHPDKYSVINICEADENDSACPSNQQTTYFEIEEEKREEEAISNSEFNLAQFVKGWLAHPKFWYSKGKGLRESNRPSMFPFHCVRS >itb04g26020.t1 pep chromosome:ASM357664v1:4:30404171:30407230:-1 gene:itb04g26020 transcript:itb04g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGKERLVVEVVAAHNLMPKDGEGSSSAFVEVEFENQRQRTQVKHRDLNPVWNEKLVFHVNDVADLPYRSVEVNVFNERRSTNGRNFLGRVLVSGSSVPREGEEATQLYTLEKRSLFSHVRGEISLKLYLSTTEEAKQVLNGGGDGLVSFNVTPNGKKSKKMQQAAAGTNMVVQLGQDNNNNKLMNPQNQSHSKPVDPTPGDIKPVVITTVQGPTIPAAGGAVGAAGGGGMAGMGVYSAAAQNEYSLKETRPHLGGLNKDKTSSTYDLVEQMQYLYIRVVKAHNFHHLFGGGDLVGEVKLGNYRGITKRVPTANPEWDQVFAFSKDSIQSSFVEIFVRETNKDDFLGRVWFDLNEVPKRVPPDSQLAPQWYRMEDKKGDKSKGGEIMVSTWFGTQADEAFAEAWHSKAANVALDGLSSIKSKVYLSPKLWYLRMGVIEAQDIVLGEKGSSIMRYPELFVKVQVGNQVLRTRVSVPTANRTLSNPFWNEDLMFVVAEPFEDLILISVEDHVAPNRDEVVGRLILPVSFVERRLNEKPLAPKWFNLDLHFNNPNDQSKAMAAAVRFASRIHLRASLDGGYHVLDEATMYSSDVRPTAKQLWKPHIGVLEVGFLGAANLVPMKMKQGKGGSTDAYCVAKYGQKWVRTRTVVDSMAPKWNEQYTWEVFDPCTVITIGVFDNSRVEKNMAGAPGNTRDPRIGKVRIRLSTLETDRVYTNAYPLLMLHPSGVKKMGELHLAVRFSCSNMVNMLHMYTIPLLPKMHYVQPLSVNQLDSLRYQAMNVVAGRMSRAEPPLGREVVEYMLDHDSHMWSMRKSKANFFRLRGILTWAFNLSRLLESLRNWNKPVNSTLFLITFFVLVMIPELIIPFVFLTMAVTGLWRYRSRPRLPPHMDTRLSYAENVHPDELDEEFDSFPSSRNAEVVRLRYDRLRSVAGRIQTVVGDMATQGERFQSLLSWRDPRATFLFVMFCFLAAFGFYMVPIRLVVALWGIYLLRPPKFRNRLPSPPVSFFKRLPTRADSLL >itb03g07690.t1 pep chromosome:ASM357664v1:3:5713320:5715583:-1 gene:itb03g07690 transcript:itb03g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDSGNLMAIAQQVIKQKQQQEQQQQQQQQQQQILGVNSFCLNPWQTPHPGLSGGPSLGYGLGGAAFADPFQVGGGGGDGAEAGFQFPSLEQHGGGVFPFADFGGGAGGEFDSDEWMESLIGGGDSTGSSNLHSGCDAWQTSSEFGLYGSDPFAGPSRLSIASSAPSNLIFSEAQKNNNINTCPLQPQTSEWAPTSTSPPAQQTSPTHRNDVVAAAAAGTSFSSPDNLSSKPLLKALVDCARLAESEPDNATKSLVRLRDSVSQDGDPTERVAYYFSEALYSRLSRQPATIPFPMGVEASLEEFALSYKAFYDACPYSKFAHLTANQAILEATEKASRIHIVDFGIVQGIQWAALLQALATRPAGKPKYIRISGIPAPVLGKSPTASLLATGNRLRDFAKVLDLNFEFDPVLTPIPELNESSLRVDPDDALAVNFMLQLYNLLDETTATVEAALKLAKSLNPSVVTLGEYELSLNRVGFLERFTNALNYYSLVFESLDPNMPRDSPERLQVERLLLGRRIAVMVGPAEQETKRECTEDKEQWKILMESAGFEPLPLSHYAMSQAKILLWNYSYSASYGLIESPPGFLSLAWNDEPLLTVSSWH >itb04g04040.t1 pep chromosome:ASM357664v1:4:2516891:2520215:-1 gene:itb04g04040 transcript:itb04g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRSIARGVRSKNSLFSSMYTPVSTLHSHATSFGFKEVPEEEKSKLVGNVFSSVASNYDLMNDLMSGGLHRLWKDQLISKLNPFPGMKHLDVAGGTGDVAFRILETIKSVKRRALQDILDDNVIEETQIYVCDINPNMLNVGKKRAQERGFGEDKSLIWVEGDAEALKFENSSMDGYTIAFGIRNVTHIEKVLAEAYRVLKPGGRFLCLELSHVDAPIFKDLYDLYSFSVIPAVGEMVTGDRDSYQYLVESIRRFPPQEKFAAMIAEAGFQNVGYENLVGGVVAIHSGFKF >itb12g03230.t1 pep chromosome:ASM357664v1:12:2127133:2129808:-1 gene:itb12g03230 transcript:itb12g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLTKIRKDKEAEPLEFDELVTQALFDLEYTNQELKSDLMDLYINVVVQIDVSGNKKAVKSELLHRQAPSRIQHHRRPPLLVSLPTLCSHFLRSSNTCRLLRFKVLNTAIRPPPLLRTLLTCQQIVEIGVRRLVKSWKVENKIVFKQNGMASDLDFTKSGLGLNLTEENHVYHSSFVDEEGVSKACGCPLLPLKSHIKGPAPVSEQDSTDIIDEAITFFRANVFFKNFDIQCSADKLLIYLTLYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGEAGFPFPGLFSAPQSQKEAKLFREYLKQIREETSGRLLSVAYRANGTPNKWWLAFAKRKFMNIIAT >itb15g19970.t1 pep chromosome:ASM357664v1:15:22473195:22475106:1 gene:itb15g19970 transcript:itb15g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRKRRIGRQEDIEMLTRNTANVPKFFRFRQLPKATKNFNKENLVGSGGFGSVYRGVLFGDHPPTTVAVKRINATSHQGEREYLAEICTIGRLRHKNLVQLQGWCHDREQLLLVYDEYMPSTENNIFLNWETRFKILSGLASALFYLHEECGSPVVHRDVKPNNVMLDSDYTAHLGDFSLARLLHPGQGQDQDEASVTTMVVGTPRYLAPEVSYTGRATPELDVYSYGMVVLETAVDSRLQDGKFEEEQARRCLIVGLACLHPDRFFRPKMRKVVQIFLNPNEPLMKIPECRPSAVCVSWCSSSSSSMTTGVGSANTPINTAATASNSFQGPTPDVVTISYHA >itb10g11510.t1 pep chromosome:ASM357664v1:10:17120682:17122622:1 gene:itb10g11510 transcript:itb10g11510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MLTLPVRLLPFPSNSHLTPLHSSFLPGRSKEWCLVDAMALRPKTTVSVRSSTVRASSGPFKLVRDRSLDRHVVKSNKIRFIQKLKTLLLSKPKHFLPLKVIYKCRAYLALSKTHSILSMIHRYPTIFEFFTIPTPPTPLNATKPLSQLCVRLTPAAAALAVREYELKSKMSVLLAAKLQKLLMLSSHRRILLSKLVHIGPDLGLPVNFRSRLCNEFPERFKVVDTSYGRALELVSWDSSLARPLPSREVDSASLGLIVDRPLRFKHLRLRKGINLKRRHQDYLMKFNELPDVCPYRSRVKDFPKESIEEEKRACAVVREVLGMMVEKRTLVDHLTHFRKDFGLPNKLRAMLVRHPELFYVSLKGLRHSVFLVEGYDDGRLKEKDELLVIKDKFMQLVREGKQLRREKRKAYAKGKAIELSTSADSNEEEVDDDFDVSYDGLDDLFELDDLGSEDDTDKEEFGSEDDSGMEEESQFWTTEGVVFPVSEDAGGTASMPW >itb04g27220.t1 pep chromosome:ASM357664v1:4:31271877:31274987:-1 gene:itb04g27220 transcript:itb04g27220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MSCAIYSINSYFQTHCLAGKRKPSLLTIRAHRHRSPPKITSMDGGGARRRHAVASKPNKRPRRSSEARLKKEVPACGGDIEDTLAFTGEETIKIRASLLHWYDRNRRDLPWRRTSDTKVNGDEGERERRAYEVWVSEVMLQQTRVQTVIDYFNRWMQKWPTVQHLARASLEEVNEMWAGLGYYRRARFLLEGAKMIVEDGCGFPNTVSSLRKVKGIGEYTAGAIASIAFNEAVPIVDGNVARVIARLKAISANPKDTVTIKNFWKLAGQLVDPCRPGDLNQALMELGATTCSPVNPSCDTCPISTQCRALSLSAQDESVVVTDFPTKVVKAKQRHDYSAVTVVEILESQDMEERECNSKFLIVKRPNEGLLAGLWEFPSVLLDGEADLASRRKATDNLLRSSFNLDTKRSYSVHLREHVGEYVHIFTHIRLKMYIELLVLCPKGTRNVPGRKEGKETAKWKYVDKKELSTMGLTSGVRKVYDMIQKYKESKLDSISVKQRLRK >itb04g22110.t1 pep chromosome:ASM357664v1:4:27291541:27294897:1 gene:itb04g22110 transcript:itb04g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWYADNGERLGTYRGHNGAVWCCDISRDSRRLITGSADQTAKLWDVQTGNQLFTFEFKSPARSVDLAIGDKLVVITTDPFMGQPSAIQVKRIATDISDQTDETVLIIKGIQGRINRAVWGPLNKTIISGGEDSVVRIWDAETGKLLKESDGESGHKKGITSLAKSVDGSHFITGSLDKSAKLWNIRDLTLLKTYVTERPVNAVTMSPLLDHIVLGGGQDASAVTTTDHRAGKFEAKFFDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDADYFNIKI >itb04g14080.t1 pep chromosome:ASM357664v1:4:14315389:14326676:-1 gene:itb04g14080 transcript:itb04g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVIRENGEKDLFAEVALAADELYSIRETFFPVNPDEKTSLLQSKSDLALQLLDAIPPERRKLSMQRATYEYLRGKILDVFPDYKKEAEDHLSKAVKLNPSLAGAWLCLGNCIWKKGDLASAKNCFMFGLSKGPNKEILCQLSMLERKMAQGAEDQAEIVEESIKHAKEAITVDVKDGYSWYNLGNAYHTSFFVTGAWEHGKLLQSLKAYQNAERDERMKCSPDLYFNCATVNKYLENYERALTGFEAAALKDPGLNATEEVQKVVYLLEKLDSLLRGQNKSKRLSSLTSSLNSINVNPSYRRATIDFLSEGLNKGVVLIGKVMFFVKHGTPTPLYYVLCDSAQACYVLSVYGVQNEAIKEGDVVTLLQPYYHHVDFSWKGKHYQFKSVRADFSEQVLVNGKALSHQHAVRSSFYLQYKPY >itb14g19820.t1 pep chromosome:ASM357664v1:14:22364086:22364985:1 gene:itb14g19820 transcript:itb14g19820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNLKGLFPTTKVLKLLSTKLIPFKLHRLNRSKVIKKQRKTPFGDKAIIKKGGSGRWPKLTGRAKRKKFMFKTTKRIGFYKKPAPVYIDQLFVEPVAAVKEHPEIPGAKKDDCDHRVDDQEAACSSRAGNDGGSGEADDMWEAIVLGSPEMHGINERAEEFISNFRAEMKRQETLDKRCL >itb01g22090.t1 pep chromosome:ASM357664v1:1:28031907:28037048:1 gene:itb01g22090 transcript:itb01g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGAFSSSCLDRGYPLKFQDELKSSKPRKLCVRASSVASPPPQSLQFSAAKAQQSEKFRIGVLGASGYTGSEIIRLLANHPQFKITLMTADRKAGQSIESVFPHLVSQDLPNLVAVKDADFSSVDAVFCCLPHGTTQEIIKGLPTSLKVVDLSADFRLRDVAEYDEWYGQPHSATELQKEVVYGLTEIYRTEIQDARLVANPGCYPTSIQLPLIPLIKANLIGLKNIIIDSKSGVSGAGRGAKEANLYTEIAEGIHSYGITRHRHVPEIEQGLSEAANSKVTVSFTPHLMPMSRGMQSTIYVEMAPGVTTHELYQHLRRCYENEEFVVMLKDKEVPHTRHVRGSNYCLMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMMGIPENTGLLCMPLFP >itb04g07860.t1 pep chromosome:ASM357664v1:4:5431988:5434585:-1 gene:itb04g07860 transcript:itb04g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPTAERCRRRRLWSCGFGGNGSAELVATATMEEDGGNGAAIGSLLKKHNLRRRSIRVKLEPPGGEGCRKFEHPRHGSFGFLPRKCADTSWPSLPQHSLGSASEEVRRRFYKNWCKSKKKAFVKYSKKYESEDGKRDIEAQLEKMKKYACVIRVLVHTQIRKMKELKQKKAHLMKIQVNGGTIAQKVDYAYGFFEKHVPVMLFSRRMR >itb05g16700.t1 pep chromosome:ASM357664v1:5:23849725:23854279:-1 gene:itb05g16700 transcript:itb05g16700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQARRDAKSSPVSSASVHARKGGQRRSLVGPTHSNVGFGGLEKIREESEEGEDEHSSPSRKISENNSKAAVKKGQPVKKAAFSIKFGRLTEAEHLAAGWPGWLSAVAGEAVEGWLPLRSDQFQRLEKIGQGTYSSVYKARDLDNGKMVALKKVRFDNFQPESVRFMAREITVLRRLDHPNIMKLEGIITSRSSCSIYLVFEYMEHDLSGLLSCPDVKFSDSQIKCFMKQLLSGLEHCHSRGVMHRDIKVSNILVNNEGILKIGDFGLANFVSARSKQPMTSRVVTLWYRPPELLLGSTRYGETVDLWSAGCVFAELFFGRPVLKGRTEVEQLHKIFKLCGSPSDDYWEQSKLPLAAIFKPQFAYESTLRERCKELPKSAVNLIETLLSIEPYKRGTASSSLNSEYFHTKPYACDPSSMPKFPPNREIDAKFRDEARRRKAGSGVRGSGSSRNMRRLRKGLAPTEEVEANIHASRRGNGSGSRGATVARMSTKSSYDTVSEAASQTATEVSQGDSICSVPAQMISSSSSYGWSKIKQDRAASRFHAYANSRSLTLNGTEPTALQSKDPLGSDEQDAGGFSIRASNLQVEQPLSFHTPDIYHSKELSVEEQPPPFLSPDIYQSRELSVDSDGRAFSGPLTYRVHKRQDDQAAQSVRRSRFFRDV >itb08g12610.t1 pep chromosome:ASM357664v1:8:12823966:12826574:-1 gene:itb08g12610 transcript:itb08g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIFYPFLYLALFLPLYLISKHFHRKFKNHPPAPFLTLPLLGHLYLFKKPLHQALTNISNRYGPVLLLEFGSRKVLLVSSPSAAEECLSKHDVVFANRPRLMVGKYLGCNYTTVAWTSYNDHWRNLRRIAAIEILSTHRLQMLHDIRADEVKYMIRKLDSSSKAGAPVEMKSVFFELMLNLMMRMIAGKRYFGENVEDLKEANRFREILTEIVLISGATNMGDFVPWLKKVFWSMEKKRFVKVQRNRDAFMQDLIEDCRKQMAENISTEDSTEPAGKKKSFVQVLLTLQENEPEYYQDEIIRGLMSVMLGAGTETSSGTMEWGLSLLLNHPQILKAAQKEIDDLTGQKRLIQESDLGNLPYLHCVLNEIMRMCPGAPLLIPHESSEECTVGGYRIPAGTMLMINLYSIQRDPKYWDEPEKFKPERFEGFAGVRDGFKMMPFGSGRRSCPGEGLALRMVGLSLGSLIQCFDWERIGSEMVDMTQGIGITMPKASPLTANCKTRPFVAHLLSQTEV >itb12g07520.t1 pep chromosome:ASM357664v1:12:5628460:5635839:1 gene:itb12g07520 transcript:itb12g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSKANPLISTLPHVLTWQRFGFRTICSGRLGFATSTLSSPSSDSETHMAGTKILESFTEEFEIGSRKITLETGKIARFANGSVVLAMDETKVLSTVASAKGDSVRDFLPLTVDYQEKQFAQGLIPSSFMRREGAPKERELLCGRIIDRPIRPLFPAGFYHEVQVMASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGVIRIGRICGQVVVNPSMDELSLSDLNLVYACTKDKTLMIDVQAREISEKDLEAALRRAHLEAIKYLEPQVRLAGRIGKQKKEYKLSMVSEKTLEKIRNLAKEPIEAVFTDPSYGKFERGEALDKITQDVKRTLEEECDEEGLKVLSKTVDTVRKEVVRRRIIAEGLRVDGRRLDEVRPLYCESGILPVLHGSSLFSRGDTQVLCTVTLGAPGDAQQLDSLVGPSSKRFMLHYSFPPFCINEVGKRGGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRVNSEVMASDGSTSMATVCGGSMALMDAGIPVRQHVAGLSVGLVSDSDPSTGEIKDYRILTDILGLEDHLGDMDFKIAGTRNGITAIQLDIKPAGIPLDIICESLEHALKGRQQILEHMEREINAPRIQDDQYSPRLATLKYSNDALRRLIGPLGALKRKIEEETGARMSVSDGTLTIVAKNQSVMEKVQEKVDFIIGREIEVGGVYKGVVTSVKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSVGQQLSLMCIGRDVRGNIKLSLKSTLTGARSKKDDSIGNSTPTSQSSNIWVPIGEVCKDQEKQDAGARAELLESDETAEQSSAPETPAFLIRSAAECDEEERTTGVDLSSKTSNTSRTPKPDEKSKALLEHTDIDSSPKLDLSSRNVNKLKKGKESIADLVSDYEGEDKNTSKAPRQSKNDLNKDSTVETAIKANKLKLGMKLVAKVHQIRALGLVLDLGGGIRGMYRFEAGAKRDFEVGDHVLVKCTSFSSKGVPVMSLAEDK >itb12g09350.t1 pep chromosome:ASM357664v1:12:7355339:7359369:-1 gene:itb12g09350 transcript:itb12g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPHNSQSRPSSQLHSNGLPASAPPPPPAQVMSNPGMQIQPPPFNPQGLITPNTHPRFPFQGPQNPNNQINNVFPQMPGQFFPQNAVNPAQFFNPNGNFGLPNGQCNLPNNNLMQTVNQLLQLQMQLQMQMQMPNFAQGIGMPGIPGVGVQNPAFTGNSQFTQQPMNGNFLNQSQQGNAPPMNAFGMVPQPHLNQSSLNHPDAAKSQGDLGQAARGDTKGNWKSSPNNNFKRNQRHDAPHTGFRKAVPQSVQNAKRNFNNHNDSLRKAHSKGGPENSPKKQNQNEKKR >itb08g03830.t1 pep chromosome:ASM357664v1:8:3111348:3114113:1 gene:itb08g03830 transcript:itb08g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVQGSVVCPVVHAKRTGEYSVPVNSPSVKTTKVLRSGFRGSAVICSRRVQVARLSRSEISTVIKCSFSSSSNGNGNRAENFSENDADYVNSIVLEAVQVQSIRNGFLIKMRDGRHMRCVHNNPQGDHLPEYEPHPAIVLRMEDGTGLLLPIIVLEMPIVTLMAAVRNVQIARPTMYQVFKDMIEKMGYEVKLVRITKRVHEAYFAKLFLSKLGNEGESFTVDLRPSDAINIAVICNVTILISPSFVFVPIQVNKYVAYSDGMRIIESANSLVQGSTSHGSLPTELDRPTGRPCFETEEFTLLRNMLIAAAEGRYIDAAMWRDKLAQHRAKRNWT >itb13g14610.t1 pep chromosome:ASM357664v1:13:21229175:21233253:1 gene:itb13g14610 transcript:itb13g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAPATEKHEIVIIGGGICGLATALALHRKGLKSIVLERSETLRAQGGGIGLLPNAWRALDQLGVGSRLRSMAVLLQGGRDILIEENKERKIEHVIGESRCVQRSDLITALADELPIGTIRLSSEVVSIDIGSVTETPRLLLTCGSYIDAEIVIGCEGGRSKVAELLGLKASRAFDVGAIRGLTTYPNAHSIPHEFRRIRKGEIGVGMLPITQHLIHWFVALPTHILSGDKFPHDPKHIKQMTLELIKDFPSSIQETIELSDLDSLSAAHLRYRAPWDLLLGTMHKGTVTVAGDAMHVMGPFIGQGGASGLEDAVVLGRCLAKAMSGIEGNNKKEKIAKVEAGLDQYVKERRMRVLGLSTLTYFIGIIVGSTSPIVKMVAGLAMTFLFRDRGSHVQFDCGEL >itb01g08000.t1 pep chromosome:ASM357664v1:1:6344260:6348095:1 gene:itb01g08000 transcript:itb01g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRNKTPDYEDGTPSKRDLKSSKSRTDDKSDSETSSDSKSDNENGLKRSEGRRHSKKGKRKRSRSRSRSRSSRKRSRDDHDEDSYSSSSTESEDSYSDKDSYASESTEGEEERRRRRKERKRKDGRDKEKERKRRREKEKERRRRKEKEREERKKKEKRKKKKKDKKKEKDRGKTGAVTDSWGKYGIIRETDMWTKRPEFTAWLAEVKQVNLESLPNWEEKQLFKEFMEDHNTATFPSKKYYNLDAYYQRQMEKEMKKGPDKTLDTERTVFNDEEQRRQELLQERERQKEEQLQSMQHSMRTGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDVAM >itb10g05970.t1 pep chromosome:ASM357664v1:10:6502820:6516679:1 gene:itb10g05970 transcript:itb10g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGGGGREIGRSEESVGRSKYRQMVAQDNDRAVLEMSSIDLGSSSASHPPQDLNKIKVGEQAKVSSYGSAGSLPNHGSFNVNGAQTESKLELFGFDSLVNILGLKSMVGDQMPSPSRLHDGDDATIERQRPVAVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGQSLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAISGAMYVLGAVETFLNAVPRAGIFRETVTEVNGATVAPIMKPSLYDLQIYGVVVTIILCFIVFGGVKMINRVAPAFLIPVLFSLCCIFLGLFLARKDTPSVGFTGLSLESFKDNWSYDYQMTNDAGIPDPKGDIYWSFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAANVATTSLYFITVFFFGSVATRQRLLTDRLLTASVAWPFPAVVYTGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVADGCEPNTATLFTACICIGCVIIGNLDIISPTTTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCIVTMFLISWTFTVVALGLAALIYYYVCIKGKAGNWGDGFKSAYFQLALRSLRSLGATQVHPKNWYPIPLIFCRPWGTLPENVPCHPKLADFANCMKKKGRGMSIFVSIMDGDYHECAEDAKAACKQLSTYIDYKSCEGVAEIVVAPNMSEGFRGIVQLMGLGNLKPNIIVIRYPEIWRSENLTEIPATFAEIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFEGCKIQVFCIAEKDSDAEELKADVSKFLYDLRMQAEVIVISMKSWEEVSGEQRESQEAFTAAQQRIASYLAEMKDKAGEQGSPLMADGKAVVVNDQQVAKFLYTALKLNSTILNYSRMAAVVLLSLPPPPLNHPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFS >itb07g13520.t1 pep chromosome:ASM357664v1:7:15796317:15797095:1 gene:itb07g13520 transcript:itb07g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSRSNGKKKNGMNLKTMVQMFQKSFLADHQRSSPNHFDEFENSKDNVANDVKEGHFAVMAVDNDEKLKRFIVPLSCLTHPSFLRLLEKAAEEYGFEHEGALMLPCRPIELERILAKQYIGNADWSSCTTQELLC >itb11g21670.t1 pep chromosome:ASM357664v1:11:23350666:23356083:1 gene:itb11g21670 transcript:itb11g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKANASYIADLLQTCIDNKAHFAGKLLHGHIVQLGLSSDTFLGNRLTEFYSKCGLTRTARHVFDQMPHPNIYSWHAMLTAHCKAGQLEHATELFVKMPERNSVSWNTMISALARNGYESKALEVYRMMTSGGFEPTHITLASVLSACGGLRGKEFGRVSHGLAVKCGLDRNVYVGNALLSMYVKCGCGGDAIKVFGDLPERNEVSFTAMMSGLVEIDQVEEAFNMFRLMLRNGFRIDSVSLSSVLRVCAKGDGGDFVIDDINAWYTCNVPGKQVHSLVFKLGFESDLRLCNSLLDMYVKNKDMDSAEVLFGDLPEVSNVSWNIMIGGFGQNYEKKRAMEYMEKMQSCGYEPDEVTYINMLAACVKAGDVEAGCWLFERMACPSLSSWNAMLSGYSQNGDHCEAINLFRKMQFQNERPDRTTLAIILTSCAEIALLEYGKQLHAASFKNDVSGDIYVASSLIGMYSKCGWVKVAKCIFKRLPQLDIVCWNSMISGLNLSSLDKEAFSFFKEMLQIGMPPSEFSYATVLSSCARLSSLALGTQVHGMIARGGYANDVVVGSALINMYSKCGDIDGARLCFDMMPCKNSITWNEMIHGYAQNGCGDKAIFLYEQMIETGNKPDGVTFIAVLTACTHSGLVDRGIRIFHSMQLEYGVEPLVDHYTCIIDCLGRAGRFDEVEELLNKMPYKDDPIVWEVLLSTCRVHMNVSLARRAAVELFRLDPENSVPYVLLANMYSSLGRWDESKGIREMMVEGKVVKDFGFSWVENQNGIEENGGTYTVASL >itb15g02200.t1 pep chromosome:ASM357664v1:15:1346894:1348003:1 gene:itb15g02200 transcript:itb15g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLRGRFLSKYKSLPTMASLKQTFLPPLNLPTLHESFDNNNNIVLSEVTKDVFQEEFQELGSRKTKPLCEETQETQKEDEESPMFPEFEEKCPPGGKESVVLYTTSLRGINKTFEDCKRIRFLLDSFKVVYQERDVSMHLEYREELWNILGGGRVIPPRLFIKGRLIGGADEVVGLHERGALKTLLKGIPLVPSVSPCKGCLGMRFVLCLKCHGSCKISVEDKMDDVPVRCPDCNENGLIKCPLCN >itb01g00130.t1 pep chromosome:ASM357664v1:1:92561:94008:1 gene:itb01g00130 transcript:itb01g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSALSQRKNRTPRTEGEGIEPTTTENAVFFNYTSHSGSMIWYGWIIKMVASAAANGGEAFDDKVGADFEFENLPFEESPERIRLYHIGAGIKWTSQPLSWPMLPELDIQGSKLMLAGCMP >itb08g08840.t2 pep chromosome:ASM357664v1:8:7790529:7793194:-1 gene:itb08g08840 transcript:itb08g08840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHRPVERNNHAIQPVSPASYGSSMEITPYNPAMTPSSSIDYKGKITETGYSLKTSTELLKVLNRIWILEEQHASNMSLVKALKKELDRAHTRIKELVRNQQTERHKMDELMKQITEDKLVRKSKEQDWINAALQSVRDELEDERKLRKRSESLHRKLARELYEVKTSFSGVSKELEKERNSRERLEEFCDEFAWGIRDYEQEFHSLRQKSDRNWTGRTDKDRLILHISESWLDERMQMKLIPNNNGAERSSAVEKLSSEIETFLQAKRTGKPAYRRSSLESIPLNMATSAPRDEGDEDDSSDSDSHCFELQKGSTIDLKSHVIEAEEHDVEETLNPNYSTAKLASRNKSRSPSNLQVKFQEHMTDLKPGKETEENLAETSPSKKPGTHEITEEENSSDRKNKTNGLNPNHVIGDMIRSHYLSSGNVNMPPENENSVASFNNPVWRASPVRQWTEKLPPSHENEISESSSKLPLECKESTLKAKLLEARARGQRSRSRLKGSKVSL >itb08g08840.t1 pep chromosome:ASM357664v1:8:7790529:7794222:-1 gene:itb08g08840 transcript:itb08g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRWEQSGEAGPAEKQENLGEKLKKVVKTRGGHSTPVIPFPILHLQQQENLPDSAFAHAPFDLPSLSSRKLAATLWELHHYNLPVSTMPQGLNNAPPPRLRRLQPPRHDHRPHRHLYEDTRMVEPSDPSPSSPDLSGSPGSLRRRVAASLMQHHRPVERNNHAIQPVSPASYGSSMEITPYNPAMTPSSSIDYKGKITETGYSLKTSTELLKVLNRIWILEEQHASNMSLVKALKKELDRAHTRIKELVRNQQTERHKMDELMKQITEDKLVRKSKEQDWINAALQSVRDELEDERKLRKRSESLHRKLARELYEVKTSFSGVSKELEKERNSRERLEEFCDEFAWGIRDYEQEFHSLRQKSDRNWTGRTDKDRLILHISESWLDERMQMKLIPNNNGAERSSAVEKLSSEIETFLQAKRTGKPAYRRSSLESIPLNMATSAPRDEGDEDDSSDSDSHCFELQKGSTIDLKSHVIEAEEHDVEETLNPNYSTAKLASRNKSRSPSNLQVKFQEHMTDLKPGKETEENLAETSPSKKPGTHEITEEENSSDRKNKTNGLNPNHVIGDMIRSHYLSSGNVNMPPENENSVASFNNPVWRASPVRQWTEKLPPSHENEISESSSKLPLECKESTLKAKLLEARARGQRSRSRLKGSKVSL >itb15g18200.t1 pep chromosome:ASM357664v1:15:19653496:19656451:-1 gene:itb15g18200 transcript:itb15g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQKKLEELRKQIQEERERAEFRELQEQAGLVPRQERLEFLYDSGLAVGKSSGFKALESLPTKTDPPDSTAASSSASKQPQSSAPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDALARVKNNPVQMAMIKKSVEAMKQKDKTHEKDGEKHRKKHHHKKSKHHKKSKRRSSSSDEDSSDGEERGKRDRHRDQKHKDMQTRESDEDSSEGDYRGKRDQHRDRKHKDMQTRVSDLKSDRERGDYRRKSNHDSSDYGQRESGDARERREHRDFTRRDAVHSSDTAPKREANKRRNPVRLSEEERAARLREMQLDAELHEEQRWKRLKKADENDAKEAVGAHSTGGRNFLDAAHRSIYGAEKGGSTTIEESVRRRTHYLQGRSEATERNAFRR >itb15g18200.t2 pep chromosome:ASM357664v1:15:19653496:19656451:-1 gene:itb15g18200 transcript:itb15g18200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQKKLEELRKQIQEERERAEFRELQEQAGLVPRQERLEFLYDSGLAVGKSSGFKALESLPTKTDPPDSTAASSSASKPQSSAPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDALARVKNNPVQMAMIKKSVEAMKQKDKTHEKDGEKHRKKHHHKKSKHHKKSKRRSSSSDEDSSDGEERGKRDRHRDQKHKDMQTRESDEDSSEGDYRGKRDQHRDRKHKDMQTRVSDLKSDRERGDYRRKSNHDSSDYGQRESGDARERREHRDFTRRDAVHSSDTAPKREANKRRNPVRLSEEERAARLREMQLDAELHEEQRWKRLKKADENDAKEAVGAHSTGGRNFLDAAHRSIYGAEKGGSTTIEESVRRRTHYLQGRSEATERNAFRR >itb04g32220.t2 pep chromosome:ASM357664v1:4:34874962:34879195:-1 gene:itb04g32220 transcript:itb04g32220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGRESRMGMGRFAEAKMGFVVLLLLCFMSGVAGEEYMAYKDPKQPVEARVRDLMDRMTLEEKIGQMTQIENTVATSDVMKQYFIGSVLSGGGDAPCPKASAEDWVNMVNEIQKAALSTRLGIPMIYGIDAIHGHNNAYNATIFPHNIGLGVTRDAELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHTIVQMMTEIIPGLQGDPPENSVGVPFVAGKTKVAGCAKHFVGDGGTVKGIDENNTVIGFDELLSIHMPAYYDSIRKGVATVMVSYSSWNGHKMHANHDLVTGFLKDKLKFEGFVISDWQGIDKITDPPHSNYSYSVQAAILAGIDMQVIVPVNFTEFINALSSLVKNEVISMSRIDDAVERILKVKFMMGLFENPMADFSLANQLGSQEHRELARKAVRKSAVLLKNGKSENEALVPLPKKAAKILVAGSHAHNLGYQCGGWTMQWQGVPGNDLTTGTTILTAVKNTVDPSTQVIYESDPDAIFVKSNNFSYAIVDELPYAELLGDSSNLTITEPGGRTISTVCGAVRCAVVVVSGRPVVLEPYVAEIDALIAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDAHYDPLFAFGFGLTTQARDLS >itb04g32220.t3 pep chromosome:ASM357664v1:4:34874894:34879265:-1 gene:itb04g32220 transcript:itb04g32220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGRESRMGMGRFAEAKMGFVVLLLLCFMSGVAGEEYMAYKDPKQPVEARVRDLMDRMTLEEKIGQMTQIENTVATSDVMKQYFIGSVLSGGGDAPCPKASAEDWVNMVNEIQKAALSTRLGIPMIYGIDAIHGHNNAYNATIFPHNIGLGVTRDAELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHTIVQMMTEIIPGLQGDPPENSVGVPFVAGKTKVAGCAKHFVGDGGTVKGIDENNTVIGFDELLSIHMPAYYDSIRKGVATVMVSYSSWNGHKMHANHDLVTGFLKDKLKFEGFVISDWQGIDKITDPPHSNYSYSVQAAILAGIDMVIVPVNFTEFINALSSLVKNEVISMSRIDDAVERILKVKFMMGLFENPMADFSLANQLGSQEHRELARKAVRKSAVLLKNGKSENEALVPLPKKAAKILVAGSHAHNLGYQCGGWTMQWQGVPGNDLTTGTTILTAVKNTVDPSTQVIYESDPDAIFVKSNNFSYAIVDELPYAELLGDSSNLTITEPGGRTISTVCGAVRCAVVVVSGRPVVLEPYVAEIDALIAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDAHYDPLFAFGFGLTTQARDLS >itb04g32220.t1 pep chromosome:ASM357664v1:4:34874962:34879385:-1 gene:itb04g32220 transcript:itb04g32220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGRESRMGMGRFAEAKMGFVVLLLLCFMSGVAGEEYMAYKDPKQPVEARVRDLMDRMTLEEKIGQMTQIENTVATSDVMKQYFIGSVLSGGGDAPCPKASAEDWVNMVNEIQKAALSTRLGIPMIYGIDAIHGHNNAYNATIFPHNIGLGVTRDAELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHTIVQMMTEIIPGLQGDPPENSVGVPFVAGKTKVAGCAKHFVGDGGTVKGIDENNTVIGFDELLSIHMPAYYDSIRKGVATVMVSYSSWNGHKMHANHDLVTGFLKDKLKFEGFVISDWQGIDKITDPPHSNYSYSVQAAILAGIDMQVIVPVNFTEFINALSSLVKNEVISMSRIDDAVERILKVKFMMGLFENPMADFSLANQLGSQEHRELARKAVRKSAVLLKNGKSENEALVPLPKKAAKILVAGSHAHNLGYQCGGWTMQWQGVPGNDLTTGTTILTAVKNTVDPSTQVIYESDPDAIFVKSNNFSYAIVDELPYAELLGDSSNLTITEPGGRTISTVCGAVRCAVVVVSGRPVVLEPYVAEIDALIAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDAHYDPLFAFGFGLTTQARDLS >itb08g12950.t1 pep chromosome:ASM357664v1:8:13488951:13492325:1 gene:itb08g12950 transcript:itb08g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAQPRTTTFCSNIQHVLNLRRPDAISGTGRNDRARRRATPFPGLRRPIIQAVVRGGDSKATVEEAAGKVMEKGLEGLMQSGTSIDVRAVITIRKKMRERLVDKIEDQWISFINGIGRGILIQLISEEIDPVTQSGKSSEWSYVRGFPKTSENNPYIVEYAADLRVPRDFGCPGAALITNFLDREVYLVQIVVHGFSQGPLFFSANTWIHSSKDNPTESRIIFKNQAYLPSQTPLGIKGLRSADLMSIRGNGKGERKLYERIYDYEVYNDLGNPDKDEDLARPVLGGKEWPYPRRCRTGRLATKTEATPAKALGLEASVGQSSEPGLGASAVKSNLQSFLRVRGRLGVF >itb15g14880.t2 pep chromosome:ASM357664v1:15:13321742:13326504:1 gene:itb15g14880 transcript:itb15g14880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRNGNAKAANGKASGAPSTYSISVDTFSKRLKMLYSHWSDYNNELWGSSEVIAIGTPPPSEDLRYLKSSALNMWLVGYEFPDTIMVFMKKQIHFLCSQKKASLLEVVKQSAKDAVGVDVVMHIKAKNDDGTESMDAIFNAIHAQNGHDTPVVGHLAREAPEGNLLETWSEKLHNANFQLSDIASGFSDLFAVKDAAEIMNVKKAAYLTSSVMKHFVVPKLERVIDEEKKVTHSSLMGDTEKVILEPARIKVKLKAENVDICYPPIFQSGGEFDLKPSASSNDQNLYYDSTSVIICAVGSRYNSYCSNVARTFLIDANPMQSKAYEVLLKAHDAAISALRPGKKAGEAYQAAISIIETEVPELTASVTKSAGTGIGLEFRESGLILNGKNDRLLKAGMVFNVSIGFQNLQTETKNPKTGKFSMLLADTVIVGQTSPEVVTSMSSKAVKDVAYSFNEDGDEEEEQTKVKVKPDRAEGLPSKATLRSVNHEASKEELRRQHQAELARQKNEETLRRLTGGSAGVADNRGAVRTSGDLVAYRNINDLPSPKDLMIQVDQKNESVLLPIHGSMIPFHVATVKSVSSQQDTNRTCYIRIMFNVPGTPFNPHDSNTLKFQGSIYVKEVSFRSKDTRHISEIVQQIRTLRRQVVSRESEKAERATLVTQEKLQLAGAKFKPIKLTDLWIRPVFGGRGRKLPGTLEAHTNGFRYGTSRPDERVDIMYGNLKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTIGGGKRSAYDPDEIEEEQRERERKNKINMEFQNFINKVNDLWGQPQFKALDLEFDQPLRELGFHGVPHKSTAFIVPTSSCLVELVETPFVVITLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVMRIDSIPSTALDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPEDFIENGGWEFLNLEASDSESDNSQDSDGGYVPSDVEPESSDEEDADSESLVESEDDEGDDSEEGSEEDEGKTWEELEREASNADREKGDDSDSEEDRRKRKMKAFGKARAPERKNLGSSSSSLSKRPRFR >itb15g14880.t1 pep chromosome:ASM357664v1:15:13321742:13326504:1 gene:itb15g14880 transcript:itb15g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRNGNAKAANGKASGAPSTYSISVDTFSKRLKMLYSHWSDYNNELWGSSEVIAIGTPPPSEDLRYLKSSALNMWLVGYEFPDTIMVFMKKQIHFLCSQKKASLLEVVKQSAKDAVGVDVVMHIKAKNDDGTESMDAIFNAIHAQNGHDTPVVGHLAREAPEGNLLETWSEKLHNANFQLSDIASGFSDLFAVKDAAEIMNVKKAAYLTSSVMKHFVVPKLERVIDEEKKVTHSSLMGDTEKVILEPARIKVKLKAENVDICYPPIFQSGGEFDLKPSASSNDQNLYYDSTSVIICAVGSRYNSYCSNVARTFLIDANPMQSKAYEVLLKAHDAAISALRPGKKAGEAYQAAISIIETEVPELTASVTKSAGTGIGLEFRESGLILNGKNDRLLKAGMVFNVSIGFQNLQTETKNPKTGKFSMLLADTVIVGQTSPEVVTSMSSKAVKDVAYSFNEDGDEEEEQTKVKVKPDRAEGLPSKATLRSVNHEASKEELRRQHQAELARQKNEETLRRLTGGSAGVADNRGAVRTSGDLVAYRNINDLPSPKDLMIQVDQKNESVLLPIHGSMIPFHVATVKSVSSQQDTNRTCYIRIMFNVPGTPFNPHDSNTLKFQGSIYVKEVSFRSKDTRHISEIVQQIRTLRRQVVSRESEKAERATLVTQEKLQLAGAKFKPIKLTDLWIRPVFGGRGRKLPGTLEAHTNGFRYGTSRPDERVDIMYGNLKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTIGGGKRSAYDPDEIEEEQRERERKNKINMEFQNFINKVNDLWGQPQFKALDLEFDQPLRELGFHGVPHKSTAFIVPTSSCLVELVETPFVVITLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVMRIDSIPSTALDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPEDFIENGGWEFLNLEASDSESDNSQDSDGGYVPSDVEPESSDEEDADSESLVESEDDEGDDSEEGSEEDEGKTWEELEREASNADREKGDDSDSEEDRRKRKMKAFGKARAPERKNLGSSSSSLSKRPRFR >itb03g22370.t1 pep chromosome:ASM357664v1:3:20434351:20437512:-1 gene:itb03g22370 transcript:itb03g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNLQFNQPTANLNMHACKEAEAVEGLHRRLAQLLAFLDNDSENQLNDDDETTKEWKGRLKEVALRIEDDIESEIIYRYGTEQPRSPLIGRLRKYIQHKRFLKILRNAMECTGEFMEFVKNKQPMEDLQIKDDNDTASLFSENSELYHHSAGSKLRSTTLVGRHEEVNLIKELLLQESRRERRVVLIVGMGDGKIKSCKVHDIVHDFCRNEAIKEGLLHAASRYLDEPHSSVTTTERWLCFESMYPKLEVHTGFNRYGSLFCFHDDGHMTPQLYQLPATPHFKKLRVLDLGSLHFITGIPSYVADLILLRYLALRPSKSLNSLPLLKDWNLQTLVLLENWGVSTSAEDPKPLIPEIWELPKLRHLQFCRTIVLGTPTVVHQCIQTVQWLRPFQCTEQVFLSIPNAKVMGIFMEGSVEFGEPNCLDNLRCLNQLEELKIESRHNPMFLPVVYAFPVQLKKLKLKGTLVPWDAMIVIGMLPNLQVLKLKNGACQGPHWELTQGSFRQLKSLLIYGSDLMHWEATGNNVFHVLESLILKECYELEAIPSSFEDILTLKLIELCHCYSRLVNSANQIQEVQRDYGNNGLVVRAYNIWRNAEDVLEDELKEELEGR >itb02g07420.t1 pep chromosome:ASM357664v1:2:4599442:4602243:1 gene:itb02g07420 transcript:itb02g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERREGSKAPLLDHGHEKGIIKHPFPMNYLFKPWKLGNWVYRVFKFGIVQYMIVKTFTAILAVILEAFDAYCEGDFRWNCGYPYMAVVINFSQSWALYCLVQFYTITKEELSHIKPLYKFVTFKSIVFLTWWQGVAIALIYSLHLFKGPFAQALQFKSSIQDFIICIEMGIASMVHLYVFPAKPYKLIAECFAGAVSVLGDYVSAECPIDPDEVRDSERPTKLRLPPPDIDDRSGMNIKESVRDVVIGGGEYIVNDVKFTVTHAVVPVEKGITKFNQKLHKISQNIKKHNEDRRTKDDSCIAAASPTRRVIRGIDDPLLNVSECDTGTFTKKRQQWKAGYTSESGGENDSEKRFGGFLVRGNRWVTKD >itb03g22300.t1 pep chromosome:ASM357664v1:3:20369436:20370638:1 gene:itb03g22300 transcript:itb03g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHDKDHNIVEIEEDHGFEIPTQLSESNHDELVNNGEFNICEIEQDASENVKSDVNNETIELCIGMEFQSLDDALMCYTNYAKHEGFGIRKSRILKSRKNQMVIGQEFVCSKEGYRAKKYLQRDNRKKPPPDETRMGCKAMISVSRKDEAKWVFHLRPLTWFTGVFGHKALALVTHSALLALPLGFHGL >itb05g12660.t1 pep chromosome:ASM357664v1:5:19338906:19342450:1 gene:itb05g12660 transcript:itb05g12660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLIIHKSLPFPLTRTANPEPPTCSFSSTSLTHKKISQITFSSPQISQLWTASELAEAVNGRILQWGPPGPISTDTRTLKPGQWFLPLVGPNFDANTFISPQLSAQGCAGVIANRVCENWDKGFVQVGGNTTDSLKTLGMYARNRFKGCLIGITGSVGKTTTRAMTALALKTMGSVYQSPGNWNNETGVALSLIGMPWGSGFGVLELGMSGKGEILELARICRPNIRVILNVGAAHLEKFENLEDVSVAKGEILREAKMGDVCVLNADDPLVMNLPVPVGIKKVLFGQKMGCDVRLVSSHMIDGGQRVQIVLEKNYEMVEFVVSGAGLHLAQNACAAAAVASFLGVPLAQVGNALSNFTPVGRRSELEVAKNGVKIINDVYNANPVSTRAAIDLLRGIHCEGKKVAILGDMLELGPEEISFHEMAIKHCYEARFDLVALVGRRFGIAAENFSSSRGLKVLHAMNAQELTSEIVGLLNCDDVVLVKGSRGMRMEVIVEAIKCIGENTVGNPK >itb14g01400.t1 pep chromosome:ASM357664v1:14:1082525:1085088:1 gene:itb14g01400 transcript:itb14g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPLSSGPISSAAAAVAAAGQQQPQIPPPPPTHVNYADSIDSSPRSRNTDWDEPPPYAAAAAPGGGKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIIVTDRHSSLSDLSLRLSKTLLNGRSFSLKYQLPNEDLDSLISVTTDEDLENMIDEYDRINSNSNGTKTSRLRLFLFPSKSDTMSSIGSLLESSTKSEDWFLNALNGATSTSTKVLSESSSVNCLLGLDDDIGNGNSTGKDAEPLPDGSVNIKNGGVNHAKVNAQDVHSVPDSPMLAMTSSFDSTSSSPPLGNLPPIRVRVEDNHRVGIEEHFSQMTIGAGAGAKVEQKQEEGGFAALTSPPAPPVAVGGEYPSRVYSDDERSEHGVPVGYSKPPLQQQPSQQPPQMQPKPPTPTDLPSPGSVSSEGSASNPLSRPKQYIYQDPMMQIQPGVRVSANPVDPMISDPNSRAQVQPQVQDSGYVLPGHFDHHPQLHQHQHPQYVQAGQFVHHIPAGAMPISSYYPVYPSQQQHHHPQHPALEHQFPVYFVQQPTPTQTYNLPVQQTSEPSPTASTRPQTPPATTVVPPTSDYNTPRNVPASKPEMNAGSYRTAVAPQLVQVAPGQHLPQYAGFTQIHHPSQSTVPTSAATGNYAYAFTDPTHAQIYYTQPFAPQMSAQYQTMKSVHGIPEASSQLPTENLNQHA >itb11g06400.t4 pep chromosome:ASM357664v1:11:3806882:3809797:-1 gene:itb11g06400 transcript:itb11g06400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGAVDPTSGYCSETKTFQTLRQPVSFPPADEPLSIAEYAISLLRSPATAAADTFIIDAATDRKLSYSDFLFRIRAVAGAIRARFPSLARNDVAFVLSPTSLDVPVLYFALLSLGVVVSPANPLSTRSELAHMVRLSKPAVAFSTSAASNKLPKLPFGTVILDSSDFSAMLDGPTSHHDDSSDRRLVLQSDTATVLYSSGTTGRVKGVELTHRNYIALMSTLYNTRFIDGDSTAPQEEPVTLLMLPLFHVFGFFMLLRAVSMGETAVIMGRFDFEKMLAAIQKYRVTYIPVSPPLVVAMAKSDLVAKYDLSSVKILACGGAPLGREVGERFKARFPNVDIAQGYGLTETTGGTTGMICPEECQKHGSAGRIYPSLEAKIVDPEIGEAMPPGHRGELWLRGPLVMKGYVGDRSATCATLDSEGWLRTGDLCYFDSEGFLFVVDRLKELIKYKAYQVSN >itb11g06400.t3 pep chromosome:ASM357664v1:11:3806882:3811693:-1 gene:itb11g06400 transcript:itb11g06400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSKPAVAFATSAASNKLPSLPLGTVILDSADFSAMLEGPTSHHDDSSDRRLVRQSDTATVLYSSGTTGLVIGVELTHRNYIALVATLYNSKFTDGNSTAPQEEAVALLMLPLFHVFGFFMLIRTVSMGETAVIMGRFDFEKMLAAVQKYRVTYIPVSPPLVVALAKSDLVAKYDLSSVKLLACGGAPLGREVAERFNARFPNVDISQEEDHDKLSSMAVPGAVDPTSGYCSETKTFQTLRQPVSFPPADEPLSIAEYAISLLRSPATAAADTFIIDAATDRKLSYSDFLFRIRAVAGAIRARFPSLARNDVAFVLSPTSLDVPVLYFALLSLGVVVSPANPLSTRSELAHMVRLSKPAVAFSTSAASNKLPKLPFGTVILDSSDFSAMLDGPTSHHDDSSDRRLVLQSDTATVLYSSGTTGRVKGVELTHRNYIALMSTLYNTRFIDGDSTAPQEEPVTLLMLPLFHVFGFFMLLRAVSMGETAVIMGRFDFEKMLAAIQKYRVTYIPVSPPLVVAMAKSDLVAKYDLSSVKILACGGAPLGREVGERFKARFPNVDIAQGYGLTETTGGTTGMICPEECQKHGSAGRIYPSLEAKIVDPEIGEAMPPGHRGELWLRGPLVMKGYVGDRSATCATLDSEGWLRTGDLCYFDSEGFLFVVDRLKELIKYKAYQVSN >itb11g06400.t1 pep chromosome:ASM357664v1:11:3804309:3811693:-1 gene:itb11g06400 transcript:itb11g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSKPAVAFATSAASNKLPSLPLGTVILDSADFSAMLEGPTSHHDDSSDRRLVRQSDTATVLYSSGTTGLVIGVELTHRNYIALVATLYNSKFTDGNSTAPQEEAVALLMLPLFHVFGFFMLIRTVSMGETAVIMGRFDFEKMLAAVQKYRVTYIPVSPPLVVALAKSDLVAKYDLSSVKLLACGGAPLGREVAERFNARFPNVDISQEEDHDKLSSMAVPGAVDPTSGYCSETKTFQTLRQPVSFPPADEPLSIAEYAISLLRSPATAAADTFIIDAATDRKLSYSDFLFRIRAVAGAIRARFPSLARNDVAFVLSPTSLDVPVLYFALLSLGVVVSPANPLSTRSELAHMVRLSKPAVAFSTSAASNKLPKLPFGTVILDSSDFSAMLDGPTSHHDDSSDRRLVLQSDTATVLYSSGTTGRVKGVELTHRNYIALMSTLYNTRFIDGDSTAPQEEPVTLLMLPLFHVFGFFMLLRAVSMGETAVIMGRFDFEKMLAAIQKYRVTYIPVSPPLVVAMAKSDLVAKYDLSSVKILACGGAPLGREVGERFKARFPNVDIAQGYGLTETTGGTTGMICPEECQKHGSAGRIYPSLEAKIVDPEIGEAMPPGHRGELWLRGPLVMKGYVGDRSATCATLDSEGWLRTGDLCYFDSEGFLFVVDRLKELIKYKAYQVPPAELEHLLQSVPDVADAAVIPYPDEEAGQIPMAYVVRKPGSNITETQIMDTIAKQVAPYKRIRRVSFINAIPKSPAGKILRRELVAQAVSTASSKL >itb11g06400.t2 pep chromosome:ASM357664v1:11:3804309:3809797:-1 gene:itb11g06400 transcript:itb11g06400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGAVDPTSGYCSETKTFQTLRQPVSFPPADEPLSIAEYAISLLRSPATAAADTFIIDAATDRKLSYSDFLFRIRAVAGAIRARFPSLARNDVAFVLSPTSLDVPVLYFALLSLGVVVSPANPLSTRSELAHMVRLSKPAVAFSTSAASNKLPKLPFGTVILDSSDFSAMLDGPTSHHDDSSDRRLVLQSDTATVLYSSGTTGRVKGVELTHRNYIALMSTLYNTRFIDGDSTAPQEEPVTLLMLPLFHVFGFFMLLRAVSMGETAVIMGRFDFEKMLAAIQKYRVTYIPVSPPLVVAMAKSDLVAKYDLSSVKILACGGAPLGREVGERFKARFPNVDIAQGYGLTETTGGTTGMICPEECQKHGSAGRIYPSLEAKIVDPEIGEAMPPGHRGELWLRGPLVMKGYVGDRSATCATLDSEGWLRTGDLCYFDSEGFLFVVDRLKELIKYKAYQVPPAELEHLLQSVPDVADAAVIPYPDEEAGQIPMAYVVRKPGSNITETQIMDTIAKQVAPYKRIRRVSFINAIPKSPAGKILRRELVAQAVSTASSKL >itb03g13660.t1 pep chromosome:ASM357664v1:3:13722654:13724865:1 gene:itb03g13660 transcript:itb03g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKTWCTIIILFTLLLLTQISLSNSSLTPPPLPILPLPTFSQLKWQQREVIMFLHFGVNTFTDREWGTGDENPAIFNPTSLNANQWVDTAVQAGISLMILTAKHHDGFCLWPSKYTDHSVIGSPWKNGKGDVVRELVNAANSRGVNIGLYLSPWDRHDHRYGRTKEYNEYYLAQLQELLKNYGSVREIWFDGAKGANAPNMTYYFNNWFEMVNELQGTINIFSDAGPGVRWVGNEKGFAGTTCWSSINRTSLSIGNGSLVNYLNTGDSKGTDWLPPECDVSIREGWFWHKSQAPKTLTELLEIYYNSVGRNCLLLLNVPPNTKGLISDSDVTRLKEFRSALETIFSTNLAQKCSIKVSSQRGGEGGGFGPENMIDGDHLWTYWAPLDEYTGHHWIKLTAFKQKLKFNVVRIQEAIGLGQRIKRHEVYVDGVKVANGTTVGYKKLHRLEKGVVEGYSVKIKIIGSRASPLISSIGLHFDPFWHP >itb13g13870.t2 pep chromosome:ASM357664v1:13:20443014:20444171:1 gene:itb13g13870 transcript:itb13g13870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKQFMLSCLILIFVNCLLRWLSASDLKKVALFGCPSLVKKHVYSAKMLRNFFRIQEDTVCGKCALRDSCKFVNQRMWNSNAKTLQLHHVMRTITLYGLESVPTELAVPEDIKKSVSRLLKEVVKLSKVC >itb13g13870.t1 pep chromosome:ASM357664v1:13:20443014:20450439:1 gene:itb13g13870 transcript:itb13g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKQFMLSCLILIFVNCLLRWLSASDLKKVALFGCPSLVKKHVYSAKMLRNFFRIQEDTVCGKCALRDSCKFVNQRMWNSNAKTLQLHHVMRTITLYGLESVPTELAVPEDIKKSEDGAISADSISAQYMSFLKQPTCTHPLKIEICPLEPFAFSRCSSVNSAPSLPINTAAHSRNRNTFCQSSSIQ >itb08g06950.t2 pep chromosome:ASM357664v1:8:5941881:5944696:1 gene:itb08g06950 transcript:itb08g06950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQVNRPLAPSRAAARALTRAITTPAAITHRKGQRRPASQSGKLLNIRLPASRRVGDLASPFSANKVFGLRSVWHGQKLPSKYVYLCEKLLLVNEMCFSIILNRASAGPIYFRIDTGKQGLQSA >itb08g06950.t1 pep chromosome:ASM357664v1:8:5941881:5944754:1 gene:itb08g06950 transcript:itb08g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQVNRPLAPSRAAARALTRAITTPAAITHRKGQRRPASQSGKLLNIRLPASRRVGDLASPFSANKVFGLRSVWHGQKLPSKYVYLCEKLLLVNEMCFSIILNRASAGPGSKDYRAHECKVERRNCIKSQFSLYMS >itb13g19530.t1 pep chromosome:ASM357664v1:13:26515712:26522315:-1 gene:itb13g19530 transcript:itb13g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDWIETDETAKQYLSRVLTERPFVPLPPPIHRLPLRAGNIVEIVGPSPSAKTQILIQASINCILPKEWKGVHYGGLERPVMFIDLDCRFDVLSLSGSLKQRILKANGKSMQCLKEADAEYDKELFAESMRRFLYIRCYDSIQFLATLKTMHHQLQMAKEAHGVGAYLMVIDSIGAFHWMDRASAFMPQGSSNRKSLSLQSVSETVVQEIRKILLVHPMLVLSTKAVSLEDKYASNEVVRNVGKWPAQNSLDSTSVRSRANILPFREYMPSVWQSFVSHRMLVRPSGDSDENQNQLTYITEWLLPALKLSEKFIFNDTDRHQNQHSRYLLRDLTFAATVLKKNLWLLHL >itb13g12220.t1 pep chromosome:ASM357664v1:13:18388156:18388908:-1 gene:itb13g12220 transcript:itb13g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMKFQDSQQKEASETRWPLLFRAKFPISIFNRPFIYGISTPSLQPSDDDYDDFSTFLATDFSSGPTLKLTYTDSPTSSLTLTLKSGLGLYGSPINSPFIISANLSVNPHSPHYTPTFSLILKPQLGPFSLKAPSCSNPKGISVRARTEVPVSERFLINCRWGVNFPEDLGTQMPHLSLNKIEIEMVDVVKEKKELDTLQRENREMKLKLEEFKTGGDIMEKKEVKKNGVESELEKPTNSNSGFFFSP >itb07g20930.t1 pep chromosome:ASM357664v1:7:25362880:25365692:-1 gene:itb07g20930 transcript:itb07g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMIRAWNKACHSKGAAKVVIPRGTFRAGEVVFEGPCTAKPIVIEIKGTVVADSDLSVYTSNYWFIIEHVAGVEVTGGGTMNGRGEDVWQFDADEKIKNAPLLPVSLIFQGVNRSGIHDIKFVNSKGFHMKVSDCNDFSVAKLRITAPGDSPNTDGLHISGSTNVNVSDLVVGTGDDCVSIGDGNTNLLVTRVTCGPGHGISIGSLGKREKETDVKGVTVRNCTLISTTNGARIKTYRDSPKLKASGIIFEDIVLHNVTHPIIIDQDYNSKSRKEPSNVKLKDVHFRNIRGTASKKHPAISLTCSQAVPCEDVELANIDIVSVEGKAAQLKPNTCVNAKTIVKGKRNLGGSCG >itb07g20930.t2 pep chromosome:ASM357664v1:7:25362880:25365692:-1 gene:itb07g20930 transcript:itb07g20930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAAGIFVILGIALLSTGVECIPPRGHTHSIFDVTKFGAKPDGKGDSTMAMIRAWNKACHSKGAAKVVIPRGTFRAGEVVFEGPCTAKPIVIEIKGTVVADSDLSVYTSNYWFIIEHVAGVEVTGGGTMNGRGEDVWQFDADEKIKNAPLLPVSLIFQGVNRSGIHDIKFVNSKGFHMKVSDCNDFSVAKLRITAPGDSPNTDGLHISGSTNVNVSDLVVGTGDDCVSIGDGNTNLLVTRVTCGPGHGISIGSLGKREKETDVKGVTVRNCTLISTTNGARIKTYRDSPKLKASGIIFEDIVLHNVTHPIIIDQDYNSKSRKEPSNVKLKDVHFRNIRGTASKKHPAISLTCSQAVPCEDVELANIDIVSVEGKAAQLKPNTCVNAKTIVKGKRNLGGSCG >itb09g07160.t1 pep chromosome:ASM357664v1:9:4151666:4154949:-1 gene:itb09g07160 transcript:itb09g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIISREFCLKLCSPRNFSFHFPSISQKIPNYPNPFTRKCSRTPKMLTFCAVASSESLSYGGWDAPELVSDSVSSGESNLLHSLLKSLGINDRKYVFVYVLGFVCALAISRVKVSSFIAFPACMIVFVLGFTIGLVNGGQMSLNGTKKIPKDEIFRVPVEKLRCLVDLINGFDAKILKLKNDARKGIECNHITVDDLESFVNNLELVNSSAVNAKSILEGCIDSILVESQEMERSSNQKSSRRKKNPGENQFSVSQFFAGLFQEKSDLKSNKRKDSPENELMDGNVTNLKQGNILGSSAKDRNVNYILNQKVGNRDQSSKGTLFDHAETSRSNTVADKNASSPEMDYSVQSIFGSQQYCYSSNHTHYMKNERISLKNGNPNEVGTWISDECLHKSLDFGVSTESIKTVNSFLQEQEVNELEGKYSPFDGTKSNEDCRSYIKTETMAPEDEPSLASNDRSHDRDVGSSTFSAGSDDMKFSKYLSEANILLKEAKGCLRRQGNDKIADDAFHKSAILLSKATDIRPMSLLAVGQLGNTYLLHGELKLRISRELRIVLTRTNPVSVDIWEGIENAQNDKVGRKEKLASYLVNVCEECEELLIKAGRQYRLALSIDGNDMRALYNWGLALSFRAQLIADIGPDAARDADKVFLAAIDKFDAMMSKSNNYAPDALFRWGAALQQRSRLRPRNSREKVRLLQQARRLFEDALDMDSDNLQVQKALSSCISELNWYN >itb04g22450.t2 pep chromosome:ASM357664v1:4:27630814:27634467:1 gene:itb04g22450 transcript:itb04g22450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTLFTIEAADLLQKLSLESKPNTLEIPEPTKKASVDSTDVANGQTQSGDRSLTPLLPDFIDPAVCYVPNGYPYTAYHYGAYDGTGNEWENYPRYLNQDGVEMPGVYGDNGSLLYHHGYGYAPYAPYSPATSPVPTVGQDGQLYGAQQYQYPAPYFQPMTPTSGAYATPAAPAKGEIQTSAAVDEAPLSVDSAKGNPNDIANGGAVKGTNGSAPVRPFYQNSSFNANANGSFGRGTLPGGFASGYHDPRFAYDGLRSPIPWLDVTSFSDGQPRPVSSGPITSASNNSSSRNPSIRPHLMGLHHPRPISGMNATNGYANRMYPNKLYGQYGNTYRSGVGYSSNGYDSRTASRGWLSVDNKFKPRGGRGSSYYGYGNENMDGLNELNRGPRGKGSKTQKGFTPVALAVKGQNIPLTKTNDDEKEKSSLVPDRDQYNCSDFPVTYDDAKFFIIKSYSEDDVHKCVKYNVWASTPNGNKKLNEAYQEAQQKSGGCPIFLFFSVNTSGQFVGVAEMIGPVDFNKKVEYWQQDKWIGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLQVLKIFKDHASKQCILDDFEFYEDRQKRIQEKKAKQQLYQNQVWEGKTTDEKKETTNGEQKPQTPTEVVSEELNKEATPAEQVNGDAKVVENGSAAKSGDVMKGDNQVVSSDNKAIIANGVVNGCC >itb04g22450.t1 pep chromosome:ASM357664v1:4:27630233:27634518:1 gene:itb04g22450 transcript:itb04g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTAPADQAADLLQKLSLESKPNTLEIPEPTKKASVDSTDVANGQTQSGDRSLTPLLPDFIDPAVCYVPNGYPYTAYHYGAYDGTGNEWENYPRYLNQDGVEMPGVYGDNGSLLYHHGYGYAPYAPYSPATSPVPTVGQDGQLYGAQQYQYPAPYFQPMTPTSGAYATPAAPAKGEIQTSAAVDEAPLSVDSAKGNPNDIANGGAVKGTNGSAPVRPFYQNSSFNANANGSFGRGTLPGGFASGYHDPRFAYDGLRSPIPWLDVTSFSDGQPRPVSSGPITSASNNSSSRNPSIRPHLMGLHHPRPISGMNATNGYANRMYPNKLYGQYGNTYRSGVGYSSNGYDSRTASRGWLSVDNKFKPRGGRGSSYYGYGNENMDGLNELNRGPRGKGSKTQKGFTPVALAVKGQNIPLTKTNDDEKEKSSLVPDRDQYNCSDFPVTYDDAKFFIIKSYSEDDVHKCVKYNVWASTPNGNKKLNEAYQEAQQKSGGCPIFLFFSVNTSGQFVGVAEMIGPVDFNKKVEYWQQDKWIGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLQVLKIFKDHASKQCILDDFEFYEDRQKRIQEKKAKQQLYQNQVWEGKTTDEKKETTNGEQKPQTPTEVVSEELNKEATPAEQVNGDAKVVENGSAAKSGDVMKGDNQVVSSDNKAIIANGVVNGCC >itb10g03010.t2 pep chromosome:ASM357664v1:10:2691285:2695199:-1 gene:itb10g03010 transcript:itb10g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADALISTVVEQLINILKHQAQELKRALGVEKEIANLSSKLENIREVLDDAEKRSFKDKGIKLWIQNIQDFCYQVDDVLDEWRTRTLRQQIESPEASRSSFLPSRSKFKRFVMHRDIAKKIKELDSTLDRITKEKDQFRFDYASITHTSAASHSDQELMRVTTAFDVDASHIQGRKSDASALISKLLENPGEEEARNGPHVISIVGTGGIGKTTLAQLVFEDEQIKTHFGDERVWICVSDPFDQIKIAKAIVESITKSSTDLSQLQLLLEKIKSTLSGKRFLLVMDDVWTEQSAKWEPLKNSLKDGLPGSRILVTSRKERVAKMMGSVYLHQLDLISDSEAWLLFSRIAFSGRSEEDCEKLKDIGHKIAQKCKGLPLAVKVMGSLLCFKHTRDDWQNVLDNKIWESDEVVTELFPHLYLSYNDLTPNMKQCFSYCAVFPKDYKMKVDMLIRIWMAQGYVTMESKGRELFRGLAMRSFFQDLKKDDMDSNIIKSCKMHDIVHDFAQFLTRNECYNIDQHEDKVGFKNLRHLQSWQDTGRNMNLPSICDIGKLRSFFAKDLSPAQLTLDLFNGLKSVRVLSLHGCELEKLPKKIGNLIHLRYIDLSASVVNELPDAVCSLYNLQTLDLKRCGNFSRLPDGIGNLRQLRYIDLSWSKVKTLPDTICSLENLQTLDLEGCKQFSRLPDGIGNLRQLRYINLSCSEVETLPDTICSLENLQTLVLRRCEYFSRLPEGIGNLINLRHLKIRGSNRLEMMPPGIAKLTQLCSLSGFKVGKESSKLGYMEKLNQLKGNLSIFFLCDLNNAADVEEAEKAELRNKKHIKELCLNFSDGVDVGIDVMEALKPPPELQTLELIGYGGIHLPSWILLSLDNLRNLEIWNWVNCSSLPPLGKLPSLETLLIAGMKELRYVGSEFLGVVEVGGVAFPKLKTLQFYECNEWEEWEDLKEEATIIIMPCIRELVLSDCRKLKTVPHHLLSRLQESLKIVSCPGVSVGIDAQKPPLELQTLELTTFGGSHFPSWITLFLNLRIFKIIGCFNCSSLPPLGKLPFLETIFIGGMKELRYVGREFLGVTEVGGVAFPKLKKLEFYQCCEWEEWEDLKEEATIIIMPCIRELLLFHCEKLKTVPHHLLSRLQESLKIFHCPLLKVE >itb10g03010.t1 pep chromosome:ASM357664v1:10:2691285:2695191:-1 gene:itb10g03010 transcript:itb10g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDMLIRIWMAQGYVTMESKGRELFRGLAMRSFFQDLKKDDMDSNIIKSCKMHDIVHDFAQFLTRNECYNIDQHEDKVGFKNLRHLQSWQDTGRNMNLPSICDIGKLRSFFAKDLSPAQLTLDLFNGLKSVRVLSLHGCELEKLPKKIGNLIHLRYIDLSASVVNELPDAVCSLYNLQTLDLKRCGNFSRLPDGIGNLRQLRYIDLSWSKVKTLPDTICSLENLQTLDLEGCKQFSRLPDGIGNLRQLRYINLSCSEVETLPDTICSLENLQTLVLRRCEYFSRLPEGIGNLINLRHLKIRGSNRLEMMPPGIAKLTQLCSLSGFKVGKESSKLGYMEKLNQLKGNLSIFFLCDLNNAADVEEAEKAELRNKKHIKELCLNFSDGVDVGIDVMEALKPPPELQTLELIGYGGIHLPSWILLSLDNLRNLEIWNWVNCSSLPPLGKLPSLETLLIAGMKELRYVGSEFLGVVEVGGVAFPKLKTLQFYECNEWEEWEDLKEEATIIIMPCIRELVLSDCRKLKTVPHHLLSRLQESLKIVSCPGVSVGIDAQKPPLELQTLELTTFGGSHFPSWITLFLNLRIFKIIGCFNCSSLPPLGKLPFLETIFIGGMKELRYVGREFLGVTEVGGVAFPKLKKLEFYQCCEWEEWEDLKEEATIIIMPCIRELLLFHCEKLKTVPHHLLSRLQESLKIFHCPLLKVE >itb02g17010.t1 pep chromosome:ASM357664v1:2:13021485:13024490:1 gene:itb02g17010 transcript:itb02g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNSKLPPSPLLASSSSLRATIFFNHCLLSFPSFTFSPATALLRQTVAAFHHRLPPPLPSLLPPSSYNQLPSATITAASPSTTHRTAAFRHRSSAFSFAILLFSYF >itb09g17610.t4 pep chromosome:ASM357664v1:9:12965095:12970528:1 gene:itb09g17610 transcript:itb09g17610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSECWLLSLAILLVLATSSSPTSQATTLRNKNGVKTSTFLSPKVEVEPGMASIEYYYKIPFPKGHIAIKGFDAEVVDEAGNSVPLHEAYLHHWTVVRSYLRQGVETSSLGSNQSDYMVVGNSGVCETLPQYFGLGAESRKTDSHIPNPYGIEVGNPTDVPEGYEEGWTLTVHIIDTRGVEDRMGCIECRCDLYNVTMDEQKLYKERGYIGGVLCCLDKMRCKLKEGYNNGTKRSLYLKYTVKYVEWDSSIVPVKIYILDSTDPWKMSEDSKGLMELHLCQIEYYVESCSAAVANDKCVHTQSASVILPSGGDVIYAVAHQHAGGIGSTLYGQDGRTICSSLPIYGKGQEAGNETGYIVEMTTCYPRPGFVKIVEGEMITIVSNYSNSQSHTGVMGFFYLAVAAEPLKKPNSIMHANTR >itb09g17610.t3 pep chromosome:ASM357664v1:9:12965095:12970528:1 gene:itb09g17610 transcript:itb09g17610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSECWLLSLAILLVLATSSSPTSQATTLRNKNGVKTSTFLSPKVEVEPGMASIEYYYKIPFPKGHIAIKGFDAEVVDEAGNSVPLHEAYLHHWTVVRSYLRQGVETSSLGSNQSDYMVVGNSGVCETLPQYFGLGAESRKTDSHIPNPYGIEVGNPTDVPEGYEEGWTLTVHIIDTRGVEDRMGCIECRCDLYNVTMDEQKLYKERGYIGGVLCCLDKMRCKLKEGYNNGTKRSLYLKYTVKYVEWDSSIVPVKIYILDSTDPWKMSEDSKGLMELHLCQIEYYVESCSAAVANDKCVHTQSASVILPSGGDVIYAVAHQHAGGIGSTLYGQDGRTICSSLPIYGKGQEAGNETGYIVEMTTCYPRPGFVKIVEGEMITIVSNYSNSQSHTGVMGFFYLAVAAEPLKKPNSIMHANTR >itb09g17610.t2 pep chromosome:ASM357664v1:9:12965061:12971061:1 gene:itb09g17610 transcript:itb09g17610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSECWLLSLAILLVLATSSSPTSQATTLRNKNGVKTSTFLSPKVEVEPGMASIEYYYKIPFPKGHIAIKGFDAEVVDEAGNSVPLHEAYLHHWTVVRSYLRQGVETSSLGSNQSDYMVVGNSGVCETLPQYFGLGAESRKTDSHIPNPYGIEVGNPTDVPEGYEEGWTLTVHIIDTRGVEDRMGCIECRCDLYNVTMDEQKLYKERGYIGGVLCCLDKMRCKLKEGYNNGTKRSLYLKYTVKYVEWDSSIVPVKIYILDSTDPWKMSEDSKGLMELHLCQIEYYVESCSAAVANDKCVHTQSASVILPSGGDVIYAVAHQHAGGIGSTLYGQDGRTICSSLPIYGKGQEAGNETGYIVEMTTCYPRPGFVKIVEGEMITIVSNYSNSQSHTGVMGFFYLAVAAEPLKKPNSIMHANTR >itb09g17610.t1 pep chromosome:ASM357664v1:9:12965061:12971061:1 gene:itb09g17610 transcript:itb09g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSECWLLSLAILLVLATSSSPTSQATTLRNKNGVKTSTFLSPKVEVEPGMASIEYYYKIPFPKGHIAIKGFDAEVVDEAGNSVPLHEAYLHHWTVVRSYLRQGVETSSLGSNQSDYMVVGNSGVCETLPQYFGLGAESRKTDSHIPNPYGIEVGNPTDVPEGYEEGWTLTVHIIDTRGVEDRMGCIECRCDLYNVTMDEQKLYKERGYIGGVLCCLDKMRCKLKEGYNNGTKRSLYLKYTVKYVEWDSSIVPVKIYILDSTDPWKMSEDSKGLMELHLCQIEYYVESCSAAVANDKCVHTQSASVILPSGGDVIYAVAHQHAGGIGSTLYGQDGRTICSSLPIYGKGQEAGNETGYIVEMTTCYPRPGFVKIVEGEMITIVSNYSNSQSHTGVMGFFYLAVAAEPLKKPNSIMHANTR >itb02g06080.t2 pep chromosome:ASM357664v1:2:3770056:3773774:1 gene:itb02g06080 transcript:itb02g06080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLRRTGMSNTLCFQQKAGAYCVPQFQILDQLSHSDNGGNYSIQAYSDHCCTRELSSKNDSHARCNSSSTISFSPYGSPMLQQEFQSYPLDLHQSPETKYSSPISMSSSITDDMTDFRHKLKELESVMLGPDSDVPECYELALPSSIAPPELDSWRQMMEAIPVGDLKQVLVTCAKAISINDLPKAECLMSQLRQMVSVSGEPIQRLGAYVLEGLVARLDASGSSIYKSLKCKEPESFELLSYMHILYEVCPYFKFGYMSANGAIAEAMKGENRVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDDSTSAYARRGGLNIVGKMLSKLAESFNVPFEFHAASMSDYEVQVADLGIHTGEALAVNFAFMLHHTPDESVSTQNHRDELLRLVKGLNPKVVTLVEQECNTNTAPFFPRFLETLDYYIAMFESIDVNLPREDKQRINVEQHCLARDVVNIIACEGTERVERHELLGKWKSRFRMAGFSPYPLSSLVNATIKTLLGNYSSKYRLEERDGALYLGWMKRDLVASCAWK >itb02g06080.t1 pep chromosome:ASM357664v1:2:3770056:3773814:1 gene:itb02g06080 transcript:itb02g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLRRTGMSNTLCFQQKAGAYCVPQFQILDQLSHSDNGGNYSIQAYSDHCCTRELSSKNDSHARCNSSSTISFSPYGSPMLQQEFQSYPLDLHQSPETKYSSPISMSSSITDDMTDFRHKLKELESVMLGPDSDVPECYELALPSSIAPPELDSWRQMMEAIPVGDLKQVLVTCAKAISINDLPKAECLMSQLRQMVSVSGEPIQRLGAYVLEGLVARLDASGSSIYKSLKCKEPESFELLSYMHILYEVCPYFKFGYMSANGAIAEAMKGENRVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDDSTSAYARRGGLNIVGKMLSKLAESFNVPFEFHAASMSDYEVQVADLGIHTGEALAVNFAFMLHHTPDESVSTQNHRDELLRLVKGLNPKVVTLVEQECNTNTAPFFPRFLETLDYYIAMFESIDVNLPREDKQRINVEQHCLARDVVNIIACEGTERVERHELLGKWKSRFRMAGFSPYPLSSLVNATIKTLLGNYSSKYRLEERDGALYLGWMKRDLVASCAWK >itb02g06080.t3 pep chromosome:ASM357664v1:2:3770056:3773774:1 gene:itb02g06080 transcript:itb02g06080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRLRRTGMSNTLCFQQKAGAYCVPQFQILDQLSHSDNGGNYSIQAYSDHCCTRELSSKNDSHARCNSSSTISFSPYGSPMLQQEFQSYPLDLHQSPETKYSSPISMSSSITDDMTDFRHKLKELESVMLGPDSDVPECYELALPSSIAPPELDSWRQMMEAIPVGDLKQVLVTCAKAISINDLPKAECLMSQLRQMVSVSGEPIQRLGAYVLEGLVARLDASGSSIYKSLKCKEPESFELLSYMHILYEVCPYFKFGYMSANGAIAEAMKGENRVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDDSTSAYARRGGLNIVGKMLSKLAESFNVPFEFHAASMSDYEVQVADLGIHTGEALAVNFAFMLHHTPDESVSTQNHRDELLRLVKGLNPKVVTLVEQECNTNTAPFFPRFLETLDYYIAMFESIDVNLPREDKQRINVEQHCLARDVVNIIACEGTERVERHELLGKWKSRFRMAGFSPYPLSSLVNATIKTLLGNYSSKYRLEERDGALYLGWMKRDLVASCAWK >itb07g14630.t1 pep chromosome:ASM357664v1:7:17332693:17337752:-1 gene:itb07g14630 transcript:itb07g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSSSAPRSGASPDSYIGSLISLTSKSEIRYEGVLYNINTDESSIGLRNVRSFGTEGRKKDGPQVLASDKVYEYILFRGSDIKDLQVKASPPVQSTPQINNDPAIIQSHYPRPPTTSSSLPPVSSAPAVDPNSHPSQLGHPGSASQGALPLYQPGGNLGSWGPSHPPQNASGSGLAMPMYWQGFYGAPNGLPQLPQQSLLRPPPGLSMPPSMPQMQYSAFNSSMPTGGLSLPGSNLPEYPPSLIPNTASLTSSSLPASSLPSSAQPPQPTPALPSNVLPLQPAPALPSSVLPLQHLAPGSETVSSNLPNKTSLTAVSTATFSSSLPALSPTTTNPGATAVAPHITNIPNPLPGPSQSKIAVGALNSGPVETSTPSLLAPGHLLQSGPATVLPTQSVQTVQKDVEVVQVSPSQSSEPSAVVKSETQPPILPTPQQTHAQRPNGAPFQMRHNNYRGRGGRGTGISRPVTNFTEEFDFMAMNERFNKDEVWGHLGKISKSDSRDEEGNVNGNDDNNYHEEEDAGHPRVEVKPVYNKDDFFDSLSSNALDNASNQVRPRFSEQRKIDAETFGGEYARYRGGRGRGPYRGGRSRGYYGGYGGRGYGYGCRGRGRGSSD >itb12g06170.t1 pep chromosome:ASM357664v1:12:4578540:4578791:1 gene:itb12g06170 transcript:itb12g06170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDFNQQVMDILGLDSERINTKGKARATQSQLHNPASTLGARCTEGRHRPPARSAPEADLSLRRVVRLRPTSASAMNSVKGP >itb01g01240.t1 pep chromosome:ASM357664v1:1:653985:658713:1 gene:itb01g01240 transcript:itb01g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEASKNWVPFYKLFSFADFTDKILMGVGTVGSIASGVCEIMVVVFFGELIDALGHNQDRNNVVPAVSKVSLKFLYAAFAMGASSFFQVACWIVTGDRQAARIRNLYLASILRQDIGFFDKEISTGESTANMSGDIVVLQNAMGEKVGKFIKLVAEFAAGFVVALVRGWHLALVMLSTLPPVGLSLAITLIFMAKTASRAQSAYTRAANVVEQTVSSIKMVASFTGENKAVASYKASLAKAYKSEVYQYLAQGLGFGTFMFILFSSFSLSFWYGGRLILEKGYTGGEVINVTFAVLFGSMAMGQASTCMAAFAAGQAAMFKMFEIINRNPEVDANEIDGRVLDDIRGEIELRDVCFSYPTRPKDQILNGFSMSVPSGKTLALVGHSGSGKSTVISLIERFYDPQSGEILIDGVNIKMFKLKWLRRQIGLVSQEPVLFTTTIKENIAYGKDSATMEEIRAAAELANAYKFIKDLPQGLDTMVGERGTQLSGGQKQRIAIARAILKEPRVLLLDEATSALDAESERVVQEALEKVMVNRTTVIVAHRLTTVKKADIIAVVHQGQIVEKGTHSQLLKDPEGAYSRLLHLQEANKAEESRGGEDMTAESNIELEKWSSGSMSIDVPNYPSRHEISSNKTPEKAADVSLCRFASLSKPELPTLTAAATSALIFGAIFPLFGLLLANMIQTYYLPPNKLKKDSAFWALMLVILGVVSLLSILITACLFGVARGKLINRIASMCFEKVVHTEIGWFDEPQNSSGVIAAKLSSDAATIRTLISDALLQMIQNLVSGILGLVIAFRASWQLSLFSFIMFPLIGANIYVEAKHTKGFSTDTKMLYEDATQVANDAVGNMRTVASFCAEEKVMQLYNTKCEKPKKRGLRRGLITGMSFGLTCTSIFFVHASISYFGAHLVADGKATFEDYFRVYYAMYFTTSVLSQSSSFTQDFRKAKAVAKSIFGLLDRQSKMDLDEKSGLELDSVQGEIEFQNVCYAYPTRPDVKVLCGFSFTVQNGKTVALVGKSGSGKSTVIALLQRFYDCDSGRIMLDGVDIRNLNLKWLRKQMGLVSQEPVLLNDTIRANITYGKEEDVTEGEVIAAAELANAHKFISGLQQGYDTVVGERGVQLSGGQKQRVAIARAIMKSPRILLLDEATSALDAESERMVQDALDKIMMNRTTIMIAHRLSTVRGADVIAVVKDGAVVEKGKHDMLIAKRDGHYASLVSLYTSPSSEPSAAAAADVYNF >itb02g12930.t1 pep chromosome:ASM357664v1:2:8932016:8935412:1 gene:itb02g12930 transcript:itb02g12930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVHPNHGDSPTFSNPHNSPDSHHFWRAIRDMVGVCKLRMIVIAFLQHFVRSDDWVKFYGVLETTLTTLRSHNRAASRSLRLKFSQEMPGEVYTGEWIVAKGDGRLEVALVDGATGDTVIHGPGTSGKIEIVPVEGNFNPSCEDFNTNIVVGEDGRKSLLGKNPYLEMKEGNARVSGIKFKHAGKWMKKRKFRLGARFVHQPNAIRIREAVSEPFVVKDQRLKPKKRYPPSPTDEVWRLKNIGKDGPFHECLVEKKILTVEDFLVEFQKNRERLRDVLGNMSNAKWKATLDHALTCKLNARNNFTCIDKNLSEHQQVFANWENVSKTYDGASCSSINPCLLSPSNDLAALSVDFGNSGLQHDKNNDVLSILTSQPDDLSQDMQLRVFGSGNQQSHGSELSPTSAADIVSDAIASLKALNPSNTDDFSRLLSKIFELLSSDDILENSEQNSRVEHEQQFASPNNSEAPHSAEFWSDMVNEYIRCNGIHSLTLTDAGPSSSTCHQMQHNEDVNSDPGNLINGTKAPKNTFSRSYQHEELDIDDELSAGVTNKSLRVAAIPKCSIGWAKMSSYVRWFLLMKAITLHVAKKRRLC >itb15g22510.t1 pep chromosome:ASM357664v1:15:25205698:25206240:1 gene:itb15g22510 transcript:itb15g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATDIDCMNPVLYKAVVEGNVEDYHEALRQMPEEEARRRQVTPKGNTVLHVAAIHGHKDLVEKILEDAAMSVLFAKNNRNQSVLHCAAEKGYDCIVSSILSAIKKHEDEESAGGRVREMIEMKDDVGDTALHKAVRMGYLEVVKLLIQEYPEFKYETNQAGETPIYIAAESEFSDYLN >itb05g19010.t1 pep chromosome:ASM357664v1:5:25643019:25644493:-1 gene:itb05g19010 transcript:itb05g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVTVQLTDDTAKRFEGHAKLLAIGTATPTNWVDQATYPDFYFRITNSEHLLEHKEKFRRICNKSKIRKRHLVLTEELLKKNPNLCTYNDASLNTRQDILVSEVPKLGKEAAMKAIKEWGRPISEITHLVFCTTSGVDMPGADFQLTKLLGLNSSVKRLMMYQQGCNAGAAMLRLVKDLAENNKGARVLVVCSEITINIFRGPSLEQDDNLLAQCLFGDGSAAMIVGKDPRPGLETPLFELVSSAQTIVPNTDSHLKLHLREMGLTFHCSRAVPSVLAENVEDCLVKAFEPYGISDWNSIFWVFHPGGNAIVDRVEERLGLGPERLRASRDVLSEYGNLTSACVLFILDEMRKKSKKDEQITTGEGLEWGVVFGFGPGLTIDTIIIRSVPIN >itb14g12800.t2 pep chromosome:ASM357664v1:14:14537499:14542366:-1 gene:itb14g12800 transcript:itb14g12800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPANTPGDPSSPYDSLSSPIANTFSSPADSGRRKRGRRSSSAATPPAPANPRFSTPDATPTPSSRNPRRRGGGRFTSAAAAATPSSTNDAPQSSMGGGGGDSSAPSPSSDGGDDAPPTYVWGTNIVVQDVNAAILRFLRHFREDSSQDEGKYMISIHHVIEMEGDSLDVDANDVFNYDSDLYNKMVRYPLEVLAIFDIVLMDMVSRINPLFEKHIQARIFNLKTSTPMRNLNPSDIEKMVSVKGMIIRCSSIIPEIREAIFRCLVCGYYSDPIVVDRGRISEPTLCGKQECLARNSMILVHNRCRFVDKQIVRLQETPDDIPDGGTPHTVSLLVHDKLVDAGKPGDRVEVTGIYRAMSVRIGSTQRTVKSLFKTYIDCLHLKTTDRSRMHLEDPMEVENGICRNENEPSLDSADKVEQLKELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLMLDKADEQTDRRLAKHIVALHFENPENLVQEFIDLPTLTAYVSYARKHVHPQLSDEAAEELTRGYVEMRRRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSVWVEKRDVVEAFRLLEVALQQSATDHSTGTIDMDLITTGVSASERVRRENLVSATRNIVMEKMQLGGPSFRLIEILEELKKQSSDIPPNLNDVNLSFLSAVFECLFS >itb14g12800.t1 pep chromosome:ASM357664v1:14:14537489:14542366:-1 gene:itb14g12800 transcript:itb14g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPANTPGDPSSPYDSLSSPIANTFSSPADSGRRKRGRRSSSAATPPAPANPRFSTPDATPTPSSRNPRRRGGGRFTSAAAAATPSSTNDAPQSSMGGGGGDSSAPSPSSDGGDDAPPTYVWGTNIVVQDVNAAILRFLRHFREDSSQDEGKYMISIHHVIEMEGDSLDVDANDVFNYDSDLYNKMVRYPLEVLAIFDIVLMDMVSRINPLFEKHIQARIFNLKTSTPMRNLNPSDIEKMVSVKGMIIRCSSIIPEIREAIFRCLVCGYYSDPIVVDRGRISEPTLCGKQECLARNSMILVHNRCRFVDKQIVRLQETPDDIPDGGTPHTVSLLVHDKLVDAGKPGDRVEVTGIYRAMSVRIGSTQRTVKSLFKTYIDCLHLKTTDRSRMHLEDPMEVENGICRNENEPSLDSADKVEQLKELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLMLDKADEQTDRRLAKHIVALHFENPENLVQEFIDLPTLTAYVSYARKHVHPQLSDEAAEELTRGYVEMRRRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSVWVEKRDVVEAFRLLEVALQQSATDHSTGTIDMDLITTGVSASERVRRENLVSATRNIVMEKMQLGGPSFRLIEILEELKKQSSDIPPNLNDLRNALSTLASEGFVLVHGDHVKRI >itb11g12850.t1 pep chromosome:ASM357664v1:11:9812922:9814932:-1 gene:itb11g12850 transcript:itb11g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTYLRWLLFLLIIVQYLNGSRSCFENERNALLSFKRSVSNPSFTSLSSWGASRNDDDCCKWEGIGCDNRIGHVVALHLGDNEGLQGEISPSLLHLRFLNFLDLSRNEFDTIPSFIGSLDKLVYLNLSYNNFSGNVPPHLGNISTLKYLDLGNNYIIDGQELEVVDTLEWISHLSSLEYLNMDWVDLHSVPDWLHSISKLSLLRTLSLSSCDVPSPPSSSLLHINSSTFLQHLSLPDGNIATFPLLNLWLNQSYFLEYLDLSYNHLVGGEKDIKFLRHLGNLKTLDLSYNSFSFNFSQLILGSEKLIEILKLHDNKIVGSLDDTREFNSLRELNLENNQLSGSLPDMSTMLSLEIFAIGNNTFNGNLMGSNIGHLSNLKCLDVSSNSLDGAISEISFSNFSKLNVLILSDNSLTVNLGIHWIPPFQLSGLGLRSCELGPKFPNWLHTQTKLDTLDISNNGISDLIPQWLTNLSNLVYLRASQNRIRGKLPNIPSTMYLVD >itb06g16280.t1 pep chromosome:ASM357664v1:6:20453182:20453487:-1 gene:itb06g16280 transcript:itb06g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIDDLISPWFRLFEYLPFLVSHGEELLWILPFNFDGLSPYGMPVFLSVRNVVSDGPFTRSSNILVLSSDKNAVVWLSDPCSILKSLEQYTRDPAEIVGW >itb05g12920.t2 pep chromosome:ASM357664v1:5:19695444:19698647:1 gene:itb05g12920 transcript:itb05g12920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKEGLSDQRLPPGFRFHPTDEELVLYYLKRKICRRRILTDVIGETDVYKWDPDDLPELSKLKTGDRQWFFFSPRDRKYPNSGRTNRATKHGYWKATGKDRTITCNSRDVGVKKTLVFYKGRAPTGERTDWVMHEYTMDEMELSRCQSAQDYYALYKVFKKSGPGPKNGEQYGAPFNEEDWADDECVGTNLHVEQEKSGKNVSDVGLIDDPKPNDVLPPPIDGLEEFLKHIADEPSLTELLPVDNSYVDKLVGNEDTASVLLDHAAGEVYLPVQTATLFSVPPLHNEQDNFDSIHSGTLQPQFHEATEVASAPVSERNPKVVEEDFLEDFLEMNDLDGPELSVNQNFDKPAENLGSQQFVDFDDGLNEFELYQDAAMFLHDMGAIGVGQGAEQAANHVANGIINPLSTDFGDQMINNQQSYLNEGNEFNHQLWSHDLRCSTVNPTEASQNANLPLTSGTEIVADNKYNYWVA >itb05g12920.t1 pep chromosome:ASM357664v1:5:19695444:19698647:1 gene:itb05g12920 transcript:itb05g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKEGLSDQRLPPGFRFHPTDEELVLYYLKRKICRRRILTDVIGETDVYKWDPDDLPELSKLKTGDRQWFFFSPRDRKYPNSGRTNRATKHGYWKATGKDRTITCNSRDVGVKKTLVFYKGRAPTGERTDWVMHEYTMDEMELSRCQSAQDYYALYKVFKKSGPGPKNGEQYGAPFNEEDWADDECVGTNLHVEQEKSGKNVSDVGLIDDPKPNDVLPPPIDGLEEFLKHIADEPSLTELLPVDNSYVDKLVGNEDTASVLLDHAAGEVYLPVQTATLFSVPPLHNEQDNFDSIHSGTLQPQFHEATEVASAPVSERNPKVVEEDFLEDFLEMNDLDGPELSVNQNFDKPAENLGSQQFVDFDDGLNEFELYQDAAMFLHDMGAIGVGQGAEQAANHVANGIINPLSTDFGDQMINNQQSYLNEGNEFNHQLWSHDLRCSTVNPTEASQNANLPLTSGVVYGNHLENHPVGANLNQNNIQDDATNSSFSSALWAFMESIPATPASAAESVLVNKAFERMSSFRRLRLNARNVKVASGNTSATSRRSGKSKNGLYRLICFSLLGVSCAILWIFIGAS >itb09g23070.t1 pep chromosome:ASM357664v1:9:22235864:22243656:-1 gene:itb09g23070 transcript:itb09g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLPSPLSSLSHLLMVTTTRRECRLQAQKIRWLKEHPIQQTAGKRCGRRLGVTASPPSAAPTLWLGAHNRLQVRITSLSIPVKQPIDRFIVRYRAQNDITLYGLENASWLYCIERLLYRHNPASSGSLMLAASLLNNTGFRILRSCLVALPQFPCASSTPSSLLSAFRASTSMDSYKNSPSSSFSAFAHSNRSSGGRGRGLDTKDKRERSRGGGGGSGKDKIDALGRLLTRILRHMTSELNLNMRSDGFVKVQDLLKLDLKTFANIPLRLHTVDDIKEAVRKDNKQRFSLLEENGELWVRANQGHTVTTVETESLLKPILSAEEVPVCVHGTYKKNLELILEQGLKRMKRLHVHFSCGIPTDGEVISGMRRDVNILIFLDVKKALQEGMKLYISDNKVILTEGFDGVVPVKYFKKVESWPDRKSISFPVD >itb09g23070.t2 pep chromosome:ASM357664v1:9:22235864:22243656:-1 gene:itb09g23070 transcript:itb09g23070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKLPSPLSSLSHLLMVTTTRRECRLQAQKIRWLKEHPIQQTAGKRCGRRLGVTASPPSAAPTLWLGAHNRLQVRITSLSIPVKQPIDRFIVRYRAQNDITLYGLENASWLYCIERLLYRHNPASSGSLMLAASLLNNTGFRILRSCLVALPQFPCASSTPSSLLSAFRASTSMDSYKNSPSSSFSAFAHSNRSGGRGRGLDTKDKRERSRGGGGGSGKDKIDALGRLLTRILRHMTSELNLNMRSDGFVKVQDLLKLDLKTFANIPLRLHTVDDIKEAVRKDNKQRFSLLEENGELWVRANQGHTVTTVETESLLKPILSAEEVPVCVHGTYKKNLELILEQGLKRMKRLHVHFSCGIPTDGEVISGMRRDVNILIFLDVKKALQEGMKLYISDNKVILTEGFDGVVPVKYFKKVESWPDRKSISFPVD >itb14g21100.t1 pep chromosome:ASM357664v1:14:23263832:23268529:-1 gene:itb14g21100 transcript:itb14g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 159, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02510) UniProtKB/Swiss-Prot;Acc:O81283] MDSKEAALSPSSEVSDMKIAGMGSDTYAGFPGDGMIVEGKEGTLDEENIGNGIGGANSDDPLAGSPVTEKGIPVSEVLVNDDGDSLNKIGGLEGEKGVERDGVLLEKEYSVENGFPNSVVLVNGVEGLNGSATEVQPGGLEGSGASSGDKVAKDEFVNPVSEGGSTVKAEGNNVKSQEVEEDKSVGASSVETLTNVLEDTTEKVVPKPEVLVNDDVENLNNVGALEGEKIVDGDGALLDEEFRSRRESEGSGSSVDNGFPNSTGINELNGSASKVEDKVTADEKFNEFVNPSSEGDSVVHLDKVEEVNLKGEEDKTVGETVNVNLSEEEVAIVGEEGKDVEKQEVPVEGVASINGTDEMKQLNEDVTGMTVSEVDDQMTKSETVKPKAINLDVSEHFGESINDTRSTEIEKLPDKETNQMASDTIHSNGGPISIQSDMEDEVSRVASFPVSDEQSSKVYAREIPGGEDDTNYQINGDGGDLEGLISDQESDGMIFGSSEAARQFIEELERESGHVPHSGGEASRDVEQRIDGQIVTDSDEEVDTDEEGDGNQMLNSSALAALLKAATGADPNGGSITITSQDGSRLFSVERPAGLGSSLRSLRAAPPSNRSNLFTPTLSNSSESENNLSEEEKKKLEKLQNIRVKFFRLVQRLGFTSDESIATQVLYRLSLIAGRQNSQLFSLDTARSTALQLETEGKDDLDFSVNILVLGKSGVGKSATINSILGEEMAPINAFAPATTSVKEICGNVHGVKIRVFDTPGLKSSAMEQAYNRSVLSTVKKFTKKNPIDIVLYVDRLDAQTRDLNDLPLLRTITSSLGSAIWRSSIVTMTHAASAPPEGPTGSPLSYDVFVNQRSRVVQQSIGHAMGDLHMMSPSMMNPVSLVENHPACRRNREGERILPNGQIWKPQLLLLCYSMKILAEANSLSKPQDPFDHRRLFGFRARAPPLPYMLSSMLQSRAHPKLSAEQGGDNVDSDIDLDDLSDSDQEGEDEYDQLPPFKPLRRAQIANLSKEQKKAYFDEYDYRVKLLQKKQWREELRRMREMKNRGKSAAALEYDNNDEDAPAPVAVPLPDMVLPPSFDGDNPTFRYRFLESTSQFLARPVLDAHGWDHDCGYEGVNVEHSRAFANRFPAAITVQVTKDKKDFTISLDSSVSAKHGENGSSMAGFDIQNIGKQLAYIVRGETKFKNLKKNKTAGGVSVTFLGENIVTGLKVEDQITFGRQYGLVGSAGVVKSKQDTAYGANIELQRREAEYPIGQVQSTVSMSLIKWRGDFAMGFNGLAEFSTGRNSKVAVRAGLNNKLSGQISVRTSSSDNLSLALAGILPVAVAIYRKLYPGAAENYSIY >itb14g12310.t1 pep chromosome:ASM357664v1:14:13931724:13938029:-1 gene:itb14g12310 transcript:itb14g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDMERSNSLGSSNNSFFSSSSKPTSSLVLSVQCLKGSSKGDEWTGDMLQTGDIVEELRIGNLTIVAPFKNGKAGVQKLLHTSFKAKETSVRVRVRRGSGDLAELQACLVPNESVGRKQYVLRAIDDPNYAVGFVDRTESECLELQASRNSRMVAALAKTPMQDGYVSYPWERRMAEMLAVPNSSSFYSMLLLPKATDKVAFRYNDVEDTLARANAWLNASQASGIPIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRGVRLWFSPLGGEIPIEIIIQEHDTKLGFAISRTEEGFIYVSSVIKGNEDAPSARSGLSNLYEEASKQSKLLVVSRISNQKVLPWMVSTAGAIRCYDTVSLSQKLSLHRHARVPILIHVFLWDRAVCIPSCGSIRSRAVSPPAQPPWQQQEVVAVPRVQRESQVMPVSLPDDDDDDDIAGRLERDTAGESSFRFHDFALPNNNWV >itb11g05690.t1 pep chromosome:ASM357664v1:11:3355878:3366581:-1 gene:itb11g05690 transcript:itb11g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNHCSLLRASSSVSSLCRRRVFSSSPFSPYRPSASTFYQQQSRPLTFASAFRSLRCSVPRWSHGVDWKSPASLTAQIRTAAPVLHDFHRKIATMASENPFKGIFTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLEAAIRNCDNFQVTKEDVEKIIDWQNTAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVKANMELEFQRNKERFAFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGDGLAELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQQERVYSSSLQLDLADVEPCLSGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKEAQEKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKAAELGLQVKPWVKTSLAPGSGVVTKYLQQSGLQKYLNEQGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKDVYFRDIWPTTEEVAEAVQSSVLPDMFKSTYESITKGNPMWNQLSVPEAKLYSWDPNSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRLVNKLLSGEVGPKTIHVPTGEKLYVFDAAMRYKSEGKDTIILAGAEYGSGSSRDWAAKGPMLLVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGDGLAELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQQERVYSSSLQLDLADVEPCLSGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKEAQEKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKAAELGLQVKPWVKTSLAPGSGVVTKYLQQSGLQKYLNEQGFHIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKDVYFRDIWPTTEEVAEAVQSSVLPDMFKSTYESITKGNPMWNQLSVPEAKLYSWDPNSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEIMARGTFANIRLVNKLLSGEVGPKTIHVPTGEKLYVFDAAMRYKSEGKDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADSLGLTGHERYTIDLPSNINEIRPGQDVTVRTDNGKTFTCTVRFDTEVELAYFNHGGILPYVIRQLSKQ >itb01g09680.t1 pep chromosome:ASM357664v1:1:7930277:7932954:1 gene:itb01g09680 transcript:itb01g09680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDAVNSKSFSKFLISYSCFPLSDQRSESWIAVKPWSVDVSETGGGLWMALLRATHRLEIHLCAAHW >itb15g01410.t1 pep chromosome:ASM357664v1:15:852807:853857:-1 gene:itb15g01410 transcript:itb15g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTYNTTNKRVCNGHEFFPSAVNSRPRVAINGADLRTFFTLVMTDPDVPGPSDPYLREHLHWLVTDIPGTTDATFGREVVTYETPKPNIGIHRFVFVLFRQTRRLSVTPPSTAASSRDHFNTRLFAAENGLGEPVACVFFNAQRETAARRR >itb09g25690.t2 pep chromosome:ASM357664v1:9:25777995:25779016:-1 gene:itb09g25690 transcript:itb09g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNFNSNVVLSAIETILNSEKVVDKIATEEMLEEFDLKFRELSLKIQHLYFELTSKSSSIIDRHLTTICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSRQRYRKGLTKQLVIVTQRANPTESNDPNPIDNLIKCAMDLTKCIVEINQSSSYSLPQSISSVLPFASYWVGRSIACTVAYCDCLPMANIK >itb09g25690.t1 pep chromosome:ASM357664v1:9:25777344:25779016:-1 gene:itb09g25690 transcript:itb09g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNFNSNVVLSAIETILNSEKVVDKIATEEMLEEFDLKFRELSLKIQHLYFELTSKSSSIIDRHLTTICLLSTLSAYSWEAKMVLMLAAFSISYGKLNIFSRQRYRKGLTKQLVIVTQRANPTESNDPNPIDNLIKCAMDLTKCIVEINQSSSYSLPQSISSVLPFASYWVGRSIACTVAYCDCLPMANIKFESKLNIITTKIKDILTTCSPALEAKRADESYQALQHALFNNSSHKLTVLKLILNVIDDNKISLSTRAHSRVRLQTLNN >itb09g14710.t1 pep chromosome:ASM357664v1:9:9963100:9967875:1 gene:itb09g14710 transcript:itb09g14710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKHNSEIVESKEAIRPSQKSWYGRAGERDGKPPVLKKAPNKYLEDDINRLFEAINFRTSKSLDLSDRVRRDASKRPMRGSGPNSPGIGFSEPVSLKQALRGLCISQAAEMAAMKRLSKLPGSPSESEAGRFTTSCRSVADTSEERGEISLTPDEGSSRFSSKVPLHLQASSSRPLSRSAQSSPRYYIRSAAKSALSTACPNNKIVPAESTSSASEKLPQCHQESKHKSPSQNVLSSPRLLGKAISKIAVGVIPQDEKIVPEESISGSFDMPQQTQEPKIKSPSQAAAPTLFVDKAISNDAQSTFCQNERIGHVEMSSSSSEKASKHPQGPQKKPPNQRNISSPRSVNKPVIKSTESNIVQSEISTASAPLAVQPVEVQDEAEHQTSVPPRKVTSNPSRIGGTSIKVHKATPKLRRKGIPLSSTVKSSKDFRSTRSSSRALKPTTRIRKIPKTKPNQQTTQVSGNSNLSCENDGVPDNAGKVICQRCQCALKDVRDEPSKKLATPVPLYVPSEVNTCSGEPGFIVNPVPKLNKYSKSILGDFSQSSKSSIGDYSSSTTISEESNISGLSYGTRPHMSKDTRWEAINQVRKQHGFLGLGHFNLLKKLGCGDIGTVYLAELIGTTCSFAIKVMDNEFLARRKKTPRAQTEREILRILDHPFLPTLYAQFTSDNLSCLVMEFCPGGDLHVLRQRQPGRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENIMVRGDGHIMLTDFDLSLRCSVNPTLLKSSLPLEPARMSGPCAGSKCIDPFCAEPSCKVSCFTPMNFPPTTRAGKPKTEPLSSSFNKSLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTLGVFLYELLYGRTPFKGAGNEQTLTNVVLQTLRFPESPIISFQARDLIRGLLVKDPENRLGSKTGAAEIKRHPFFEGLNWALIRCAIPPIVPEFCDIEAANSAFLQQKSKKKYREYGSTEGHLEFELF >itb09g14710.t2 pep chromosome:ASM357664v1:9:9963100:9967861:1 gene:itb09g14710 transcript:itb09g14710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKHNSEIVESKEAIRPSQKSWYGRAGERDGKPPVLKKAPNKYLEDDINRLFEAINFRTSKSLDLSDRVRRDASKRPMRGSGPNSPGIGFSEPVSLKQALRGLCISQAAEMAAMKRLSKLPGSPSESEAGRFTTSCRSVADTSEERGEISLTPDEGSSRFSSKVPLHLQASSSRPLSRSAQSSPRYYIRSAAKSALSTACPNNKIVPAESTSSASEKLPQCHQESKHKSPSQNVLSSPRLLGKAISKIAVGVIPQDEKIVPEESISGSFDMPQQTQEPKIKSPSQAAAPTLFVDKAISNDAQSTFCQNERIGHVEMSSSSSEKASKHPQGPQKKPPNQRNISSPRSVNKPVIKSTESNIVQSEISTASAPLAVQPVEVQDEAEHQTSVPPRKVTSNPSRIGGTSIKVHKATPKLRRKGIPLSSTVKSSKDFRSTRSSSRALKPTTRIRKIPKTKPNQQTTQVSGNSNLSCENDGVPDNAGKVICQRCQCALKDVRDEPSKKLATPVPLYVPSEVNTCSGEPGFIVNPVPKLNKYSKSILGDFSQSSKSSIGDYSSSTTISEESNISGLSYGTRPHMSKDTRWEAINQVRKQHGFLGLGHFNLLKKLGCGDIGTVYLAELIGTTCSFAIKVMDNEFLARRKKTPRAQTEREILRILDHPFLPTLYAQFTSDNLSCLVMEFCPGGDLHVLRQRQPGRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENIMVRGDGHIMLTDFDLSLRCSVNPTLLKSSLPLEPARMSGPCAGSKCIDPFCAEPSCKVSCFTPMNFPPTTRAGKPKTEPLSSSFNKSLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTLGVFLYELLYGRTPFKGAGNEQTLTNVVLQTLRFPESPIISFQARDLIRGLLVKDPENRLGSKTGAAEIKRHPFFEGLNWALIRCAIPPIVPEFCDIEAANSAFLQQKSKKKYREYGSTEGHLEFELF >itb09g14710.t3 pep chromosome:ASM357664v1:9:9963101:9967875:1 gene:itb09g14710 transcript:itb09g14710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKHNSEIVESKEAIRPSQKSWYGRAGERDGKPPVLKKAPNKYLEDDINRLFEAINFRTSKSLDLSDRVRRDASKRPMRGSGPNSPGIGFSEPVSLKQALRGLCISQAAEMAAMKRLSKLPGSPSESEAGRFTTSCRSVADTSEERGEISLTPDEGSSRFSSKVPLHLQASSSRPLSRSAQSSPRYYIRSAAKSALSTACPNNKIVPAESTSSASEKLPQCHQESKHKSPSQNVLSSPRLLGKAISKIAVGVIPQDEKIVPEESISGSFDMPQQTQEPKIKSPSQAAAPTLFVDKAISNDAQSTFCQNERIGHVEMSSSSSEKASKHPQGPQKKPPNQRNISSPRSVNKPVIKSTESNIVQSEISTASAPLAVQPVEVQDEAEHQTSVPPRKVTSNPSRIGGTSIKVHKATPKLRRKGIPLSSTVKSSKDFRSTRSSSRALKPTTRIRKIPKTKPNQQTTQVSGNSNLSCENDGVPDNAGKVICQRCQCALKDVRDEPSKKLATPVPLYVPSEVNTCSGEPGFIVNPVPKLNKYSKSILGDFSQSSKSSIGDYSSSTTISEESNISGLSYGTRPHMSKDTRWEAINQVRKQHGFLGLGHFNLLKKLGCGDIGTVYLAELIGTTCSFAIKVMDNEFLARRKKTPRAQTEREILRILDHPFLPTLYAQFTSDNLSCLVMEFCPGGDLHVLRQRQPGRYFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENIMVRGDGHIMLTDFDLSLRCSVNPTLLKSSLPLEPARMSGPCAGSKCIDPFCAEPSCKVSCFTPMNFPPTTRAGKPKTEPLSSSFNKSLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTLGVFLYELLYGRTPFKGAGNEQTLTNVVLQTLRFPESPIISFQARDLIRGLLVKDPENRLGSKTGAAEIKRHPFFEGLNWALIRCAIPPIVPEFCDIEAANSAFLQQKSKKKYREYGSTEGHLEFELF >itb01g22070.t1 pep chromosome:ASM357664v1:1:28014850:28015350:-1 gene:itb01g22070 transcript:itb01g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGGSDSVIIPTGVGRFIACRAVRALSQRNNDPTRASRPWDSDRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGRTPP >itb08g14380.t1 pep chromosome:ASM357664v1:8:16182339:16191576:1 gene:itb08g14380 transcript:itb08g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMELKWRGPVFALVILVALICTPIKADVDADADVELVRSGDPDFSGELESLKTMIHTLESQVEEKARELYLKDQVIAEKENIIKEKSDKIASLQTEFSSLQKKGSLDAREQVGKAQARADLLEKQVDSLRKDIEWKSEENKELEAQKIVAEKELTELNSKILKFQKITEEQSAKIQKTERALQVAEEEMVRARFDATTKTKELMEVHGAWLPPWLAVHLIHYQEILEKHWEEHVKPAMDVLLQKAIVKKAQAEAWAAPHMEKIRTKWVPTVKEQWLIATTNVEPHLHSLKTKTLEIYASSKDAVTPHVLKVQEIADPYFQQIRKFSKPYIDQIATTTRPHVEKVRVVIKPYTKKAVHAYGKFLESASTYHHQLQGTVQETLKKHELTRPLATKELIWFAASAMLALPIIILFKVFSAIFRTKAKPSNRSSSHHSRRKAKRGHTEK >itb01g24550.t1 pep chromosome:ASM357664v1:1:30179625:30182318:1 gene:itb01g24550 transcript:itb01g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVVQQQNRGVEGVPGGIKQKNMAAEGRNRRALGDIGNMVTVRGAEGKQQLPQVSRPLTRGFCAQLLANAQAAAADKNKKSIAVNVGGAAANQAAKVPRKQQQQQPAQKKVTVKPKPEAVIVISPDTEEQQEEVKEKKSRRKAAAEDSSRKTYTATLTARSKAACGLNIKKEKKIQDIDAGDLYNELAVVEYVEDIYKFYKEAENESRVHDYMDSQPEINVKMRAILVDWLIEVHYKFELTSETLYLTINIIDRYLAARTTSKRELQLVGISAMLIASKYEEIWAPEVNDFVCISDRAYNHQQVLEMEKRILGELEWYLTVPTPYVFLVRFIKAAEADPAMENMVYFLAELAMMNYATMVYCPSMIAASAVYAARCTLHKSPAWNETLKLHTGFSEAQIMDCAKLLVDYHFEAAANHKLQVIYRKYSNPQKGGVAELPPAKFLLAPAP >itb07g09410.t1 pep chromosome:ASM357664v1:7:8835689:8835970:-1 gene:itb07g09410 transcript:itb07g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFPMLCAGSVRVKNTMNIMLTNVITAATGVLFDFVNNTGYSVIDGLAMGMEPFAVRLTVGGKQMKLHGLTLHRTDWEIASMAHTFIIFGQ >itb04g12920.t1 pep chromosome:ASM357664v1:4:12745410:12753369:1 gene:itb04g12920 transcript:itb04g12920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKRGVNKKVLKVPSIEQKVLDATSNEPWGPHGSHLADIAQATRNYNEYQMIMAVIWKRINDTGKNWRHVYKGLTVLEYLVAHGSERVIDEIREHSYQISILSEFQYVDSSGRDQGSNVRKKSQSLVALVNDKERIQEVREKAAANREKYRNTSTGSMYRPGSYSNPGGDDDRNGYGREREWGGNEDRYGRDSYGREGDRYGREYDERYTRDGYRDDDYSGRNRSIDEYNSGSRSSEKERDHAYDDDAQYSSRESGARAEDQSQGSASHGGIDRKYSEQNLGAPPSYEEAVGAAQSPTHIERDGEISSAQPPKSSSPHSSASPSQETTVPVPTPSAGSPPALAPENKDINSFDEFDPRAAFTAAPSAPTTSTGIEMDLLGSLSESFSSNALALVPAESTNATSNGNPPRTTSSEPTFAVSPAANQAFDDPFGDGPFKAILSSNDIAAQPHAPFLTSSIQSPDIPPPASQKVEMVGNLGGPLPVASYSPSDASSVPLHSTNQQYAQHELSTSNQEIDILADILPPSGPSPIEYQVPTSQLAPETVPLQAAQHNSQMGFPPHAAPALQTGFGSQNGPTSLQGYSAQPGQAAGVTSFSAQPGLQAQTSFQTGQSVPQMGLSSQTSPSPSMTGLAGFPAPPAQTPQTGFMAQSGQPASLAGFPPQGGSLSQAGFQASGGQSLQQPNASFYGGYSTGPIAASMNPHVSTVGVQVPSQVTPQSSQIQINTTPAAMQPIPSASTGSLTTSSQPAKDKFETKSTIWTDTLSRGLVNLNISGPKTNPLADIGVDFDAINRKEKRMEKPTTTPVMSTVTMGKAMGSGSGIGRAGAGAFRPQQNPMVGPGMGMGMGGPGSGMAMGGPGVGMGMGGFRGVNQPMGMGMGMGVGMNSQMNMGMGMNMGMTPGMQMQQPTGFPPGSNVAGGYNPMSGTNNYYGQQPYGGGYR >itb15g00460.t1 pep chromosome:ASM357664v1:15:279889:283319:1 gene:itb15g00460 transcript:itb15g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIIKRGHRKVPKSNGGEFGYGPQGTGSVSTSNVVVNHASRGSLPPDSTQSHLPTAAAAALFSAAVPAPGTIENLPMFRDVPVSERQNLFLRKLQICCFQFDFTDTMKLAREKEIKRQSLVELVDFIQSGSGKITESNQEEMIKMISINIFRCLPPNSHENTGSENADPEEEEPFLEPSWPHLQLVYELLLRYIVSSDTDTKVAKRFIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFVRKAINNVFYGFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKTIAMYHQQLSYCIVQFVEKDYKLADVVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQASEFQRCMVPLYRQIARCLNSPHFQVAERALFLWNNEHIVSLIAQNRNAILPIIFEALEKNIQFHWNQAVHGLTVNVRKMFLEMDANLFEECQRQYAEKMARATEVEKQRELRWQRLAAAAGEGS >itb09g13430.t2 pep chromosome:ASM357664v1:9:8747884:8754010:-1 gene:itb09g13430 transcript:itb09g13430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSDIPIVGCELTPYVLLRRPDKSVITDDVLDSAPIDGHALRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSTKCFSDAWQHHRVLHERAASAVNENGNEEEEVFGRFNNAGSAVVNTSLPPSQSAGNLANGVTPLYPATVAQRNGSETWFEVARSKTYTPTADDIGHVLKFECVVVDAETKLPVGHVNTILTSRVIPAPSPTPRHLISFSGVDIPGHLDLDGRISSSESFTVLSYNILSDAYASSEIYNYCPSWALSWTYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTAEVFGGNINTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKAALSRLVKDNVALIVVLEAKFSNQGVDNPGKRQLVCVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAAGKVDPLHPDLQVDPLGILRPTTKLTHHLPLVSAYSGIGRLGVGLGFELQRRRIDPTTNEPLFTNCTRDFIGTHDYIFYSADSLTIESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFHCKSRTKH >itb09g13430.t1 pep chromosome:ASM357664v1:9:8747884:8754010:-1 gene:itb09g13430 transcript:itb09g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSDIPIVGCELTPYVLLRRPDKSVITDDVLDSAPIDGHALRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSTKCFSDAWQHHRVLHERAASAVNENGNEEEEVFGRFNNAGSAVVNTSLPPSQSAGNLANGVTPLYPATVAQRNGSETWFEVARSKTYTPTADDIGHVLKFECVVVDAETKLPVGHVNTILTSRVIPAPSPTPRHLISFSGVDIPGHLDLDGRISSSESFTVLSYNILSDAYASSEIYNYCPSWALSWTYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTAEVFGGNINTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKAALSRLVKDNVALIVVLEAKFSNQGVDNPGKRQLVCVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAAGKVDPLHPDLQVDPLGILRPTTKLTHHLPLVSAYSGIGRLGVGLGFELQRRRIDPTTNEPLFTNCTRDFIGTHDYIFYSADSLTIESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFHCKSRTKH >itb09g13430.t4 pep chromosome:ASM357664v1:9:8748686:8754010:-1 gene:itb09g13430 transcript:itb09g13430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSDIPIVGCELTPYVLLRRPDKSVITDDVLDSAPIDGHALRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSTKCFSDAWQHHRVLHERAASAVNENGNEEEEVFGRFNNAGSAVVNTSLPPSQSAGNLANGVTPLYPATVAQRNGSETWFEVARSKTYTPTADDIGHVLKFECVVVDAETKLPVGHVNTILTSRVIPAPSPTPRHLISFSGVDIPGHLDLDGRISSSESFTVLSYNILSDAYASSEIYNYCPSWALSWTYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTAEVFGGNINTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKAALSRLVKDNVALIVVLEAKFSNQGVDNPGKRQLVCVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAAGKVDPLHPDLQVDPLGILRPTTKLTHHLPLV >itb09g13430.t3 pep chromosome:ASM357664v1:9:8747884:8754010:-1 gene:itb09g13430 transcript:itb09g13430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSDIPIVGCELTPYVLLRRPDKSVITDDVLDSAPIDGHALRYKWYRIQSDKKVAICSVHPSEQATLQCLGCVKAKIPVAKSYHCSTKCFSDAWQHHRVLHERAASAVNENGNEEEEVFGRFNNAGSAVVNTSLPPSQSAGNLANGVTPLYPATVAQRNGSETWFEVARSKTYTPTADDIGHVLKFECVVVDAETKLPVGHVNTILTSRVIPAPSPTPRHLISFSGVDIPGHLDLDGRISSSESFTVLSYNILSDAYASSEIYNYCPSWALSWTYRRQNLLREIVGYRADIVCLQEVQNDHFEEFFAPELDKHGYQALYKRKTAEVFGGNINTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQKKAALSRLVKDNVALIVVLEAKFSNQGVDNPGKRQLVCVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAAGKVDPLHPDLQVDPLGILRPTTKLTHHLPLVSAYSGIGRLGVGLGFELQRRRIDPTTNEPLFTNCTRDFIGTHDYIFYSADSLTIESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFHCKSRTKH >itb09g03620.t2 pep chromosome:ASM357664v1:9:2000122:2004341:-1 gene:itb09g03620 transcript:itb09g03620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPSSTALSLSQASKAFKLSNGLRCGVPCSASGAPGKSLIQLNGIAHLSIKRDSIIRSAFLSGEGDVLSHTNGANVVGKRSVVSGLSVGVETEPDAVSFGTLVADIIATPSGFPAEIDDFDLDRPAEAFSSIPEAIEDIRQGKMVLVVDDEDRENEGDLIMAASKVTPEAMAFFVKHGTGIVCVSMIEEDLERLQIPLMVNHKDNEEKLCTAFTISVDAKNGTTTGVSASDRATTILALASKDSKPEDFNRPGHIFPLKYRNGGVLKRAGHTEASVDLAMLAGLDPVGVLCEVVDDDGSMARLPKLRQFAQENGIKIISIDDLIRYRRKRDQLVEHASAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDVLVRVHSECLTGDIFGSARCDCGTQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQVPSRPQPFFPNIFSHLISLLPIAY >itb09g03620.t1 pep chromosome:ASM357664v1:9:2000122:2004341:-1 gene:itb09g03620 transcript:itb09g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPSSTALSLSQASKAFKLSNGLRCGVPCSASGAPGKSLIQLNGIAHLSIKRDSIIRSAFLSGEGDVLSHTNGANVVGKRSVVSGLSVGVETEPDAVSFGTLVADIIATPSGFPAEIDDFDLDRPAEAFSSIPEAIEDIRQGKMVLVVDDEDRENEGDLIMAASKVTPEAMAFFVKHGTGIVCVSMIEEDLERLQIPLMVNHKDNEEKLCTAFTISVDAKNGTTTGVSASDRATTILALASKDSKPEDFNRPGHIFPLKYRNGGVLKRAGHTEASVDLAMLAGLDPVGVLCEVVDDDGSMARLPKLRQFAQENGIKIISIDDLIRYRRKRDQLVEHASAARIPTMWGPFTAHCYRSILDGIEHIAMVKGEIGDGQDVLVRVHSECLTGDIFGSARCDCGTQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLAVAGRVALLTPITKDNKKYLETKRAKMGHIYGMNFNNIISSSKSNNNGSETSSENTSALTQSS >itb03g05070.t1 pep chromosome:ASM357664v1:3:3362526:3364460:-1 gene:itb03g05070 transcript:itb03g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSRGATTCGGAKRGLSTSSSSHGVSSLCRWPSLVKTKRNRRTPLGASHAQRPTDLACSPPIEHSSSPEIQIPSPNRRSVDPKFHLQIPNLALHRTRDQRPVLHLLPRLLPAACSAASAPRMLLATNGLLYCRRR >itb09g16810.t1 pep chromosome:ASM357664v1:9:11941319:11943712:-1 gene:itb09g16810 transcript:itb09g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGVQTRSKRRGPPARGGVPGKKVRVVQLQPDSTDDDFVAPPLAFLARLQEDPVWKAPDGKLSAEEEAAFPKVKTRSPAGVLVDALKDLSFQQKKAIRDLGFSSLLEFNVSKCPPRLVYWLLSNFDGQSRSFDLGGGRSLALEERDVELVLGFPRGCVDMVKRDRFQISNLLQRWRDKFEKKRHDVTPAEISNVMVKLVDGGLWFKRHFCMLVLTTLVSCMRNGYANQMYFQYFDDVSRVRDLNWCKLLVDSLVETLAAWKSGRHKCFIGPAEFVARELSEIRLGGFGGGVIEPPLLGGVGCDGGDPPQEDGDCGVGFDDSSPLPACRYGPKVGEPELLGDGFPVPVEAGVQANVDVVVDVGADKVPASPGLLATDRFELGSGIDVSADAPRFVTPHEDLVGGYEGSASIPLDGTACGAPLKST >itb12g26160.t1 pep chromosome:ASM357664v1:12:27168062:27172653:-1 gene:itb12g26160 transcript:itb12g26160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPHLPPPSSRYRLNAPALSKSSLPPVRTSIVTASLHNARWRLSCSRREDTSLESVNYESVDDDIPQVVNSEFDQPNNAKSHLVFHLNKAFHAVLHIEPWTVRTIAQVMLLWIASFWLVGSWIIPFLAHSAGFRKESLTYQGLALYSLLTDVAGGIAGLAILHHRLAKFFPLPSNWFKFSLGGKWHFDVGLCCIMFPLINRLSQFAEDAATCIPWSATGYYICTVKELAGTHAAAWPLECLSDIRGDHLVSSLFPVLAFASSELPLCPPLSTTAVGTFVWNQSRALASPMETSQSLSIDSFSYSWLVNLKPSFESLESSFRASLSDEEASFIEMDPKLSPSKRFFSVPQDFNFDLPISDSPFAIVHADELISNGFLVPLFFKPRRSMEACDASSDSMPTTPSTSVAQNEILQSSSPPLRSCRRLSRQFFQKYLDFLRPICQKLQRCRLRPRHRTANSRMKNWADFSSATSPRTSSVDNWRRSFDSESSIHEAVLHCKRTIGNK >itb02g16370.t2 pep chromosome:ASM357664v1:2:12277498:12286451:1 gene:itb02g16370 transcript:itb02g16370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISKDALSLFTVHIIRYLRAMIAISPRVQKKNTISRYHEFCCDFCPRFRFSFTARIAFLQDFIDKEVMGEHLVLCVDRLITPESVQTLQWSESAGPSGGSSYSPKDHKVDIEDLKLEGAGEDKPLLLQSVECRICQEEDDLSKLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPSEDTDTDISPRWTMAGAQLDINDPRLLAMVERRLLETDNDDYADSSANGAAFCRSAALILMALLLLRHAMILGNGDGDGDDEVSTFFSLFLLRAAGFLLPCYIVAWAISILQRRRQRQVRETSAHNQLLLFKFS >itb02g16370.t1 pep chromosome:ASM357664v1:2:12277498:12287148:1 gene:itb02g16370 transcript:itb02g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISKDALSLFTVHIIRYLRAMIAISPRVQKKNTISRYHEFCCDFCPRFRFSFTARIAFLQDFIDKEVMGEHLVLCVDRLITPESVQTLQWSESAGPSGGSSYSPKDHKVDIEDLKLEGAGEDKPLLLQSVECRICQEEDDLSKLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPSEDTDTDISPRWTMAGAQLDINDPRLLAMVERRLLETDNDDYADSSANGAAFCRSAALILMALLLLRHAMILGNGDGDGDDEVSTFFSLFLLRAAGFLLPCYIVAWAISILQRRRQRQEAATLGAAEVTFMVPAGQSRGLHVTITPGPPVPAAAPEAPTPHQQLL >itb06g24960.t2 pep chromosome:ASM357664v1:6:26290456:26292079:-1 gene:itb06g24960 transcript:itb06g24960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDLEKHFAFYGSYHNNKVNIFIHMLFVWPIFFTSLVLFYFTPPIFSDSKVQLCCHTVLVFNFGFLFAMVYGIYYIGLDRKAGFLGALLCFFCWVSSSHLAHRLGFSCAWKLVLAAQVICWTGQFIGHGVFEVLI >itb06g24960.t1 pep chromosome:ASM357664v1:6:26290456:26292079:-1 gene:itb06g24960 transcript:itb06g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDLEKHFAFYGSYHNNKVNIFIHMLFVWPIFFTSLVLFYFTPPIFSDSKVQLCCHTVLVFNFGFLFAMVYGIYYIGLDRKAGFLGALLCFFCWVSSSHLAHRLGFSCAWKLVLAAQVICWTGQFIGHGVFEKRAPALLDNLAQAFLMAPFFVLLEALQSFFGYEPYPGFHDSVQAKIDAEIKEWKEKQKKKNS >itb01g04240.t1 pep chromosome:ASM357664v1:1:2868604:2871221:1 gene:itb01g04240 transcript:itb01g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMIWFWLLMSLIDITKGIPYLSLRINCPKRISSYYYTPNSTYKANLDLLLSNLYSNATRDNGFYHTTVGDGTSNDTVHGFFLCRGDVSAYVCRNCIGDARKEILELCGGVTPAIIWFDECMLRYSQKSMFGILDTSTYFITSNKGNNDTQPNGFIQLVGNMLNQLIPPALSSGSDKKFAVLEANFSAFETVYALGQCTPDLSNDDCQICLTNAISLLSSNCFGALGARAVFPSCNVRYEIVPFYNLSAFGRPAPTILPNSSTTKDPEKKHLLNWFIRYKIIGGIARGILYLHEDSHLKIIHRDLKASNVLLDGDMNSKISDFGLARIFMFDQTHGNTSRVIGTYGYMSPEYVLHGLFSVKSDVFSFGVLLLEIITGKKNSSLSMHSTGAQDLLSYAWKHWREGKALEMVDQSLGGLYSRNEVIQCIHVGLLCVQEEVEDRPTMANVVLMLNSHSATRNTPNPPAFFTGFSQMISGGEEADQSRSKPQPLSENEVSSSELYPR >itb03g02070.t1 pep chromosome:ASM357664v1:3:1162814:1163681:1 gene:itb03g02070 transcript:itb03g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREAYDRLKYHQSARNLLLLVIIIIIISTNMVVAEGVRAANNLRIVSNGSSLVADYMEVDGGEESPTDWGIGGMFVSNNGAKKGGHIVYPVTGNRRPVCNAKRYQSCFPSRA >itb01g20650.t1 pep chromosome:ASM357664v1:1:26883989:26885195:1 gene:itb01g20650 transcript:itb01g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEVILLDFWCSMFGMRARVALAEKGIKYQYREEDLGNKSPLLTQMNPVHKKIPVLIHNGRPVCESLIILHYIDEVWSHTSPLLPSHPYHRSQARFWASYIDDKLSEYGHKLNTSKGEEQEAAKKDFIDCMKVLEGELGEKPYYGGESFGFLDVALITFYPWFLAYEKSGNFSMECVCPKLIAWAKRCMQRESVSKSLADPYKIYEFVQQIRNTKFGTDE >itb01g01360.t1 pep chromosome:ASM357664v1:1:762583:763891:-1 gene:itb01g01360 transcript:itb01g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSKPVIITLWGNFVMTEGIQIDLQLSQGKFPIVIAQGVHVNAFQGITLSTRYDTTIEVNPPGQHATVLNKWKDNNLSVIYKTIVDKTYLDSFLTLSNALQQPKCTFAEIDNELKQKPIAWVRGKLRMKNVGPLEYYIGCNYCNKIVNSIEGLKLHCLYCGQTDGLTVRRYKLNVEISDGSTIVQAILFNHDVHRLMLLVGIEMPTTVEDSEIFQQKLDAIDFVVGLRINALNEDHPSTLTYSVACICKDITRDTGEQQATPHARSSNIVEETFTVGNPTKRRLDFDESSKHATDILEDATSKEKSVSLDKGKRAKVD >itb07g06030.t1 pep chromosome:ASM357664v1:7:4201028:4201726:-1 gene:itb07g06030 transcript:itb07g06030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSILNVHYWIRHEPNILRNYVVPSQDDDVFVFQMKVKDMVRFYQFHPRASPSQRVILDHWEQYGFHISRDFLIPFRDIAGSGRNCAKHRIHEMLGEVDIPSHKRRPLLEKIYSEAIRLAKEHHAPDGEYKRIAIKVELRRVYATTIVSNDGVESGVVPASRSAIEGLERVRVEETEVCAVCLEEMEAGLEGTMLPCKHIFHGRCINAWLEKANLCPLCRFQL >itb04g28300.t1 pep chromosome:ASM357664v1:4:32068409:32072813:-1 gene:itb04g28300 transcript:itb04g28300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKIYFTVFLFLYLLLSPSFALSSSVRKKKKHEIDGAIKTIVILVMENRSFDHVLGWLRASRPDIDGLTGSEFNRVNASDPSSSKVFVSDDAVFIDSDPGHSIQAMREQIFGSDDTSADPAPMNGFVQQALSMEVEGLEETVMKGFKPELVPVYSKLANEFAVFDRWFASVPASTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDENDLSFGIYYQNIPATLFFKSLRKLKHIVKFHNYDLKFKRHAKKGKLPNYVVIEQRYFDVNIFPANDDHPSHDVAIGQKFVKEVYETLRASPQWKEMALLITYDEHGGFYDHVPTPVSGVPNPDGIIGPAPYYFQFDRLGVRVPTLLISPWIDKATVIHEPNGPQSSSQFEHSSIPATVKRLFNLESSFLTKRDAWAGSFDSYFRLRQTPRDDCPETLPEVTKSLRPRGPQEDAKLSEFQLELIQLASQLCGDHVLNTYPDIGKNMNVGEANRYVEDAVERFLEAGRAALRAGANESAIVTMRPALTSRPSVGTASA >itb01g26630.t1 pep chromosome:ASM357664v1:1:31739622:31744909:1 gene:itb01g26630 transcript:itb01g26630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATGRSFLQVAVTEEVAVPPLRVVQIEGLVIMKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGILALKALKLSDSFMDLYRNNNFTGEKLREKNLSFVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDFDRLQLSTNPYLERNVEFLIECMDDLSMDQQKFQFYYRNLSRQQAQQQAWLQKRRAENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSFLITNQIANYCNQINGVAGQSFNRLYLMKALQEN >itb01g26630.t2 pep chromosome:ASM357664v1:1:31739622:31744786:1 gene:itb01g26630 transcript:itb01g26630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRSFLQVAVTEEVAVPPLRVVQIEGLVIMKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGILALKALKLSDSFMDLYRNNNFTGEKLREKNLSFVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDFDRLQLSTNPYLERNVEFLIECMDDLSMDQQKFQFYYRNLSRQQAQQQAWLQKRRAENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSFLITNQIANYCNQINGVAGQSFNRLYLMKALQEN >itb04g03720.t1 pep chromosome:ASM357664v1:4:2316978:2321901:-1 gene:itb04g03720 transcript:itb04g03720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDMSKMDPREENVGRGECTLDGSIDKHGRPAVRATTGGWVSGVLLLVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQGIYVIGLVSLALCSQIFLVQPKGCGDKNSKCGAHSTLQVVLFYVSIYLVALGNGGYQPNVATFGADQFDEDHPKESHSKVAFFSYFYLALNLGSLFSNTVLVYFEDKAMWALGFWASAASAFSALVLFLVGTPRYRHFTPKGNPLSRFCQVVVAAARKWKVQVPCDADELHEVDGEIGTRKILHTNGFQILDKAAVVAPKQKPCLAGEEGGYNPWRLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTDVSGFHIPAASMSSFDILSVAAFIFIYRRLLDPFVATLKNSGAGAGGLTELQRMGVGLIIAIMAMVAAGTVEHFRLKYAGAGTGAGDSTSSLSIFWQVPQYMLIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSIVMKISTTGSLPGWIPGNLNNGHLDRFYFLLAALTAADFAVYIACAKWYKYIEFEDRIDQNSNNVEAADFKV >itb04g03720.t2 pep chromosome:ASM357664v1:4:2316978:2321643:-1 gene:itb04g03720 transcript:itb04g03720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPREENVGRGECTLDGSIDKHGRPAVRATTGGWVSGVLLLVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQGIYVIGLVSLALCSQIFLVQPKGCGDKNSKCGAHSTLQVVLFYVSIYLVALGNGGYQPNVATFGADQFDEDHPKESHSKVAFFSYFYLALNLGSLFSNTVLVYFEDKAMWALGFWASAASAFSALVLFLVGTPRYRHFTPKGNPLSRFCQVVVAAARKWKVQVPCDADELHEVDGEIGTRKILHTNGFQILDKAAVVAPKQKPCLAGEEGGYNPWRLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTDVSGFHIPAASMSSFDILSVAAFIFIYRRLLDPFVATLKNSGAGAGGLTELQRMGVGLIIAIMAMVAAGTVEHFRLKYAGAGTGAGDSTSSLSIFWQVPQYMLIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVSIVMKISTTGSLPGWIPGNLNNGHLDRFYFLLAALTAADFAVYIACAKWYKYIEFEDRIDQNSNNVEAADFKV >itb08g02360.t1 pep chromosome:ASM357664v1:8:1874667:1878176:-1 gene:itb08g02360 transcript:itb08g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVYMFYLLILCSLPSIASSSQSDTLKQGDALNSSALLVSANNNFTLGFFTPEGTNRTYLAIQCRACDSSEPVWIGNRETPLPTNSSATLQIDASGRLILTYTEGQRNPFLLSSRQTSRNVTATLLDSGNFVLREVNTDGEELWSSFDNPTDTLLPGMKLGVNHRTGRNWSLTSWQDDDIPAAGAFSLEWEPTKRRLVLKNRGVVRWTSGELMNATDFQHITINSSGIYNGLHTFDYVNISTKEEESFSYAVTPLPDPFLTPEEKIKNRLAGWKLDTRGALFDIVGGMHIVDVGNCYGYENETQQSIGCELWEQPSSCRGGGETFVEIRGTFKRDTENGLIVVGDDMTPKNSSGSPSDCRENCWSDCDCVGYRSYSEGRCGYWRGTDLQFEPENILNTKTLFRLIRPDKGKSPSKTWIWILIPIVVSTVMVVLLLLRRRRRKREEARKEQELKDLLTLEEYSDIHELSSGENGSLKVFSYAWVITTTNNFSSDCKLGQGGFGSVYKGLTPEGQEVAIKQLSERSTQGLVEFKNEVVLIAKLQHTNLVKLLGFCIHGDQKMLIYEFMPNKSLDFYLFDQERKEYLTWEKRLNIIEGIAQGLLYLHKYSRVRIIHRDMKVSNILLDENMNPKISDFGMAKILRQNATEANTMRLGGTFGYMAPEYAMEGIFSTKSDVYSFGVLVLEIISGKKNNHFRSEDGPLNLVEHAWELWNTDEVLQLVDPAISNVCGNEEQLRRCIHVGLLCVEDFAVDRPSMSDVVSMLGNENMALPKLKKPAFVSRFSVPDRFQDGKSEKFTVNELSISVMEGR >itb11g00590.t1 pep chromosome:ASM357664v1:11:261381:261848:1 gene:itb11g00590 transcript:itb11g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSTESNRLQDMQKAWYYEEHGPKEVLKLGDFLIPVPKHDQLLVQVQAAALNPIDFKMRQTSLVPVNFPVVPGCDMAGIVVAKGSGVTKFDVGDEVYGNIQNFNLEGEMKRLGTLAEFTVVEESLVA >itb03g06860.t1 pep chromosome:ASM357664v1:3:4953329:4955445:-1 gene:itb03g06860 transcript:itb03g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLISLPLLSLCSVLSFSGVFSATFTMVNQCSYTVWPGILSGAGTAQISPTGFSLDPGQSVPVSVPAAWSGRLWGRTQCSQDSVTGKFACVTGDCGSGTVECSGGGAAPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVAPQGGTGAGNCTTTGCMSDLNGGCPAELKMMSGGAAVAGGECVACRSACEAFGDPKYCCSGEYATPDTCKPSSYSQYFKAACPRAYSYAYDDGTSTFTCAAADYVITFCPFPSTSQKSSGGPNSDAADAGVSLAANAADTPLPPFFAVFVTVLAAVFQLRQLHLH >itb15g23450.t1 pep chromosome:ASM357664v1:15:26261583:26263688:1 gene:itb15g23450 transcript:itb15g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIQSSSSTQQLQVVSICGTPGTGKTTLAKRAYEDPLIVSHFDIRAWTVASRLYTRRKLLIDILGCIASFTTEISNKDDDQLAEQLCNILTGQRYLIVIDNLRRKTEVWDELKASFPPNSNGSRVLITTADQRVARSLSSHNCIIGTLVLNTEESWNLLSKTAFNGKCCPSREIELIGRRIAMICKGVPLVTVLVGGLLATLNNSPKQWEDFETHFNNTSFSVLAHLFWYTIEFCYNYLPSYLKACFLYLGGFPEVTDKDSRTTNFTKVPNLKELGIYIEGEVLPNALDSLAQLCQLEKLKVKMGRVERFNLPNSFPPNLKQLTFSNTHLSWEDMDIIGKLPKLDLLKLKDFAFCGPEWTPKDGEFLQLRFFLIERSDLEHWNANANHFPALESLILRYCWDLEKLPNEFMEVCTLRLIELGNCCSSLVTSAREIQQAQRELLGYEGLIVRDATKVSL >itb15g06460.t1 pep chromosome:ASM357664v1:15:4298893:4300941:1 gene:itb15g06460 transcript:itb15g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVKLPVAASKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPQVVRIQRKRRILKQRLKVPPTLNQFSKTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTPEIKKPIVVKYGLKHVTYLVEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTSVKNEDKLEFSKILEAIKANFNDKYDENRKKWGGGIMGSKSQARTKAKERVLAKEAAQRMS >itb04g15700.t1 pep chromosome:ASM357664v1:4:16897687:16899086:1 gene:itb04g15700 transcript:itb04g15700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLGRKSARSTVFVLFGSEYFLSKEDTEEIAYALELSNVNFIWVLRFPKGEEISAREALPPEGWAAQGKILGHRSTGGFVTHCGWNSIIESLSLGVPIIAMPMQLDQPVNARLMVEIGAAVEVERDDDGKLHRAEMAEAVRGAVGGKVGEKLKRNVKRISEDLKSGGSKEMDAVAEELVKLCAKLEG >itb11g11370.t1 pep chromosome:ASM357664v1:11:8318657:8323998:1 gene:itb11g11370 transcript:itb11g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTDKLLEDGENDKLKFGLASMQGWRASMEDAHAAYPNLDASTAFFGVYDGHGGDEVAKFCAKYLHQQFLRHEAYSAGELSTSIQKSFLRMDELMRSIGSRKELASLTDSDKVKDVVEGLWSPRSSENKGKTTDWPSKEEGLSDYNGPTSGCTACVAIIQNNQLLVANAGDSRCVLSRKGQAHDLSRDHKPDLEVEKDRILKAGGYIQCGRVNGSLNLARAIGDMELKQNKTLPAERQIVTANPDIKTVELCADDDFLVLACDGIWDCMSSQQLVDFVKDNLNNENKLSVVCQKVLDRCLAPSSGGEGCDNMTMILVQFKKNLERDAPTSTKEQPTPSNKQSESSLGAAGESGSN >itb11g11370.t2 pep chromosome:ASM357664v1:11:8320988:8323933:1 gene:itb11g11370 transcript:itb11g11370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELMRSIGSRKELASLTDSDKVKDVVEGLWSPRSSENKGKTTDWPSKEEGLSDYNGPTSGCTACVAIIQNNQLLVANAGDSRCVLSRKGQAHDLSRDHKPDLEVEKDRILKAGGYIQCGRVNGSLNLARAIGDMELKQNKTLPAERQIVTANPDIKTVELCADDDFLVLACDGIWDCMSSQQLVDFVKDNLNNENKLSVVCQKVLDRCLAPSSGGEGCDNMTMILVQFKKNLERDAPTSTKEQPTPSNKQSESSLGAAGESGSN >itb06g23310.t1 pep chromosome:ASM357664v1:6:25326631:25330966:1 gene:itb06g23310 transcript:itb06g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMARPLYRGVSGGGEGKFYGNNHDFWDDSQKKDRIEEEELDNSQSTKDHTCWSIKLPLRILFPDDSSLKHCVSGNGSISDPFSAGTRRNRLKFTLHLLKLSLVAIVVVALTESFWWAMAMTRASKGEVYRGDQRVQEQVVLDLKEIGLISRGSAKLRDLEYCPPEAENYVPCFNASESLEMGFSEGEEYERHCGLRSKQGCLILPPINYKPPLRWPTGKDVIWLANVKITAQEVLSSGSLTKRMMMLEDEQISFRSDSALYDNVEDYSHRVAEMIGQRNASYLVQAGVRLILDIGCGFGSFGAHLFSSQLLTMCIASYEDSGSQVQLTLERGLPAMIGSFTSKQLPFPFLSFDMIHCAECHVDWDKKGGTLLIEVDRMLRPGGYFVWTSSVLNARLYPREKQNQKTWNSVRDFADSLCWKVLSQQEETVVWKKTSEKKCYAARKPGSGPSLCNRGHDIESPYYRPLEACIGGTQSRRWVSIEKRTKWPSRAGLSSSELTVHGLQPEDIAEDAHYWTSSVKNYWSLLSPLIFSDHPKRPGDEDPSPPYNLLRNVLDMNARFGGLNAALLEAGKSVWVMNVVPSGAPNHLPLILDRGFVGVLHDWCEAFPTYPRTYDLVHAYGLLTLESEQHSRCGMLDLFIEIDRLTRPEGWIIIRDTIPLIDLARAHSRLLKWDARVVEIESNSDERLLVCQKPIIKRQAI >itb14g17870.t1 pep chromosome:ASM357664v1:14:21054718:21055114:1 gene:itb14g17870 transcript:itb14g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYIRFDMRFHHSPRLPPGPIGASSASSVNVSDSPCSPVSGGPILLSLSVFESRRRLAASAQLFSPTEAAQ >itb10g20820.t1 pep chromosome:ASM357664v1:10:26255222:26269558:1 gene:itb10g20820 transcript:itb10g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMTDFGVTNDQFQKLMMAVQNQMGQTSQTQSGQLPNSAAAISLIPRFEEDHSEGKFPTPHCMIREPHGMTVGLAKQEKGLYLMNTLMSKEFDPSLPNIPISTHLQYEAQPRDKEVQFPDIEQAEGEPISTSSRISPQNSANHALPFIETTTEGSPTNQSSHQETMADTHMDEASPAPRRSNRERQLPRKLHDYYCDSVIQHRSPHLLSKVISCSTWRQGCWRNATEVCRNGEGFLKLENMKIPDTAMTAMNTTIGLEECRELCLSNCSCSAYARANISDGGTGCITWYGDLIDMREFTLGGQDMYVRVSASDLDQLLKKSKEHNRKRLIFSVILPIAAVILVLYCLVTTNIREAIKTLSRTSQQGIEEFKNEVKLIASLQHRNLVKLLGCCIQQGEKMLVYEYLPNKALDNVIFDNRQGMLLEWKKRFEIILGIAQGLLYLHQDSRLRIVHRDLKASNVLLDDSMNPKISDFGMARLFEEEQVEANTNRVVGTYGYMSSEYAMGGNFSVKSDVYSFGVLLLEIVSGKKNKHKCKETSLNLIGDVWDFWNEERALEIVDPSLGESYDYQEVLSSTDTITFNQPLKDGDLLISNDSSYALGFFTPGKSIGKRYVGLWYQNIPEKVVVWVANRDNPVNGTSGILFIDSTGNLVIQDKETGNSVWNTSLSFEPTGTRDYSAQLKDTGNLVLYHDREKRVDKWQSFDYPTNTLIASMKLGVGKNKSLNWFLRSWKSPDDPGTGEYSVGIDLTGKPQAFLYKNSSSPVWRLGPWNGIRWSGVPQMTPEITPYTFTENDEEFSEEYWIRDPSSVYTIVMLNDSGTLNKIIWKGSGNGEKKWDGVWYYPNDECDHYGHCGAFGICDSAGFSCKCVSGFKPKSNQDWRQGCWRNQTEVCRNGEGFLKLEHMKIPDTEMAAVNTTIGLEECGELCLSNCSCTAYASANISDGETGCVTWYGDLIDMREFTDGGQDMYVRVSASNLGQLVKESKGLHGKWLIVTVVVAVAAAVILLLLCLTLLLTGRKGNKKPVSSTSLETYEAPAAMGKYVDDEDGSASDGKLQNGELVAVKRLTRTSLQGIVEFKNEVRLIAKLQHRNLVRLLGCCIQQGEKMLVYEYLPNKSLDTLIFENAQGMSLDWRKRFEIISGIAEGLVYLHQDSRLRIIHRDLKASNVLLDAAMQPKISDFGMARIFEEQQVEANTNRVVGTYGYMSPEYAMEGLFSIKSDVFSFGVLMLEIVSGKKNKYRHNENSLNLIGDVWDLWSEERALEIVDPTLGESYDGQEVLRCIHIGLLCVQPYPDDRPTMSEVIFMLSNDTKLPHPRAPGFIFNQGSFTTAPFSYSTTDGNQSINSMSFTAMGGR >itb06g13190.t1 pep chromosome:ASM357664v1:6:17816484:17826007:-1 gene:itb06g13190 transcript:itb06g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSGWRSLLLRIGDKCPEYAGNPDFKDQIETCFGSVRRELEHSGDDILPFLLQCAEQLPHKIPLYGTLVGLLNLENEEFVRKLVESTQSNLQDALDTGNCNSIRILLRFLTVLMCSKVIQSSSLVVVFETLLSSAATTVDEEKGNPAWQARADFYITCILSCLPWGGAELVEQVPEEIERVMVGIEAYLSIRRHVSDSGFSVFEVIDDVDNGQNEKDFLEDLWGRIQDLSNREWKVDSVPRPHLSFEAQLVAGKSLDFGPISCPEQPEILSGITPGRQKHEADLKYPQRIRRLNIFPASKFEDIQPIDRFVVEEYLLDVLLFLNGCRKECASYMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIIDLCKALPGAFPAVVAGAVRALFDRIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLELPKWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPTLEELLPPKGGPNFKYGADDGTDQPDHALSVELSGMVKGRQTAREVISWMDNNVLPVHSLEITRTVVIQTLLDIGSKSFTHLITVLERYGQVIAKLCTDEDQQVTLISEISSYWKNNGQMTSIAIDRMMGYRLISNLAIVRWVFSSANVDQFHTSDRPWEVLRNAVSKTYNRICDLRKDISTLKRSLTLAEEAVSKSQSELDLAESKLTLLDGEPVVGENPAKMKRLKANAEKAKEDEVSICESLEAKEALLARALDENEALFLSLYKNFLSVLAEPLHDVFADGTLRSSGQADEMTIDLEDTSAMEVDKDNEEGPKKSNPQNGGKSEYNLGETEQWCLSTLGYLKAFTRQYSSEIWTHVGKLDATVLTEDVHPLFRKAIYCGLRRPMNDF >itb13g25680.t1 pep chromosome:ASM357664v1:13:30982209:30989689:1 gene:itb13g25680 transcript:itb13g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNLSKQASLRKYKLFSESYPLFSSLRAFQPSQVCEKIRDGRGEEHAVRMYKELGFAQSSHFSRGDFGVWSNFPGIFGTSRGYASVAEALASTSEEDVDEVQELIEEMNRHNEETMTTKQQKQPKTIGGMGVWRYNVLKKRQIKIETEAWEEAAKEYQELLTDMCEQKLAPNLPYVKSLFLGWFEPLKDAIAAEQTACLEGKIKLGHVPFFTHLPAEMMAVITMHKLMGLLMTGSGHGSTRVVQAACHIGEAIENEARINRFLEKTKKKSASNTDSEEQPEDLMKERERLRKRVTTLMKRQKMHEVKKIVIQQDDGKPWGQENQVKVGCRLMQILMETAYIQAPMNESEDGVPDIRPAFVHSVKTLETPGGNRRYGVIQCDPLVRKGLEKTARHMVIPYMPMLIPPKDWTGYDKGAYLFLPSYIMRTHGAKQQRDTVKRVPRNQLVDVFQALNTLGNTKWRVNKRILGVVDRIWTSGGGVADLVDREDIPLPEEPDTEDEAEIKKWRWKVRNVKKQNSERHSQRCDIELKLAVARKMRDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEARPLGKSGLRWLKIHLANVYGGGVDKLSYEGRVAFTEKHVEDIFDSADRPLEGKQWWLGAEDPFQCLATCINLTEALRSPSPETYRSHMPVHQDGSCNGMQHYAALGRDKLGAAAVNLVGGDKPADVYSGIAVRVLDIMKRDAAKDPATDPNVMLARRLINQVDRKLVKQTVMTSVYGVTYVGAREQIKRKLKERGVIEDSDELFSASCYVAKTTLTALGEMFEAARGIMSWLGDCAKIIAQENHPVQWTTPLGLPVVQPYRKIGRHLIRTSLQILTLKRETDKVMVQRQKTAFPPNFVHSLDGSHMMMTAIACKEAGLSFAGVHDSYWTHASDVDQMNRILREKFVELYEAPILENLSESFQKSFPKLQFPPLPERGDFDLREVLDSPYFFN >itb10g15480.t1 pep chromosome:ASM357664v1:10:21678398:21680418:-1 gene:itb10g15480 transcript:itb10g15480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYDQLQGQFDKYPLILYSFTHFGGSEFQVRREVNMALTLPAGCSRLTVAPRVHVPPRHRLTVFATVSSPPSSGREKAVDWVEATASFFEADTRPIMLFDGVCNLCNGGVKFVRDNDSRRRIRFEALQSEAGKKLLRRSGRAPDDISSVVLVEKERSYVKSEAVLRIMQYINLPFPQLSFFLQFVPLFVRDFAYDNVANNRYAFFGRSDSCEI >itb10g15480.t2 pep chromosome:ASM357664v1:10:21678769:21680418:-1 gene:itb10g15480 transcript:itb10g15480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKYDQLQGQFDKYPLILYSFTHFGGSEFQVRREVNMALTLPAGCSRLTVAPRVHVPPRHRLTVFATVSSPPSSGREKAVDWVEATASFFEADTRPIMLFDGVCNLCNGGVKFVRDNDSRRRIRFEALQSEAGKKLLRRSGRAPDDISSVVLVEKERSYVKSEAVLRIMQYINLPFPQLSFFLQFVPL >itb11g07760.t1 pep chromosome:ASM357664v1:11:4853931:4859200:-1 gene:itb11g07760 transcript:itb11g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSIKMKQFKYSIAQSLLCLVVLSASSCFARIHLPSTSRFQSSRAEKLIRELNLFPKESINIVDRISPSAASRSPRLVEKRFTFPNLADPSASIENLGHHAGYYKIQSSYAANMFYFFFESRNSKNDPVVIWLTGGPGCSSELALFYENGPFKIANNLSLVWNDYGWDKISNLIYVDQPTGTGFSYSSDSRDIRHNEDDVSNDLYEFLQAFFTEHSEYAKNDFYITGESYAGHYIPAFASRVHKGNKANEGIHINLKGFAIGNGLTDPAIQYKAYPDYALEMGIISESYHKTLVKVLPVCEAAIKLCGTQGTVSCIAAYYVCEAVFEAILLRANGINYYDIRKQCEGSLCYDFSNMETLLNEKSVKEALGVGDIEFVSCSTTVYQAMLVDWMRNLEVGIPALLEDGIQMLIYAGEYDLICNWLGNSRWVQSMEWSGQDDFVASSEVPFEVDGSEAGLLKSYGPLSFLKVHDAGHMVPMDQPKAALEMLQRWTAGTLSVNITKPESLVSSM >itb02g09730.t1 pep chromosome:ASM357664v1:2:6248184:6250545:1 gene:itb02g09730 transcript:itb02g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSMPASLWVSVSAPTKLKITSCNVKPRFSLPLSKTHSCFTLFSTFPSHFSLGSSDARLPGLFSPRAASSSSVGNAEYVEEPTTNVKFQRSLSLPGCSTSLSLLGTGYREKVFAIIGVKVYAAGLYVNESILNKLDAWRGRSAAELQNDSSLFETIFKAPLEKSLSIVLVRDVDGKTFWDALDEAISPRIKSPSADDGTALSTFRSIFQGRPLKKGTFIVLTWIDPTKMLVCVSSDATPSSIDAKIESANVTSALFDVFLGGNPVSPSLKASVANGLEVVLK >itb15g20980.t1 pep chromosome:ASM357664v1:15:23637391:23645599:-1 gene:itb15g20980 transcript:itb15g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNAEMEIPLLITESENDKRRKCWDEVSGEVKKLMVLAGPLISVNLLLTSLQFISLMFVGNLGGELFLSGASMATAFASVTGFSLMRGFTGALETLCGQSYGAKQYKMLGIFMQRGMLVMLLICIPVAGVWAYTDRILRFCGTDPEISGAAGEYARFLIPSIFPYAILRCLVSFLQAQNNVVPMMFTAGIAALVHVLSCWILVFKSGMGFNGAAMANAISYWVNVVLLGVYVRVSPSCKETWTGFSKDMFHDIIKFLRLGIPSTAMLCLENWSFELMVLLCGFLPNPELETSILSITLNTCIIVYMLPMGLGGAISVRVSNEVGAGRPNAAWMAVRTALGCAATEGILVGIAMVSVHKVWGYVFSTEEEVVNYAGQMLLFLSASHFLDSLLCILSGIARGCGWQNIGAIINLGAYYLFGIPAGILLAFIYHVGGMGLWLGITIALFAQAVLLFIITLRTNWENEAKKASDRIHN >itb03g05970.t1 pep chromosome:ASM357664v1:3:4224783:4228753:1 gene:itb03g05970 transcript:itb03g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGIGSEIADPSRSSTTHRSSSSPRPSTPATSRNYSNGAATAFSATSSSAGLSRFSTAGSEDTHVNGEILPMSNLKTYTYADLKAATRNFKSDMVLGVGGFGTVFKGWVDEKTFAPSKIGSGVVVAIKKLNEESMQGFEEWQSEVNFLGRLSHPNLVKLIGYCWEDKELLLVYEFMQKGSLENHLFRRSAVVEPLSWDLRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDANYNAKISDFGLAKMGPSGGHSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTRRPSGEHNLVDWKKPMLSQKKKLKSIIDARMEGQYSTKAAMQAAQLTLRCLEQEPRKRPSMKEVVEILEEIEGMEKPKKSKSSRSGHSQSSSHHRRPSPRHRPTSPGRPSPRGGGAAGPRR >itb09g10550.t1 pep chromosome:ASM357664v1:9:6538089:6539094:1 gene:itb09g10550 transcript:itb09g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLFGNPLIVISPEYCTPHHLQISIKKKVYFLAGHGYEVKDTANDRILFKVENIPTFFNSKIVVYDPAGNSIVTLRRKAFTWRTKWEVYRGESKEEKDLIFSARTSSAFQFTTNLDVFLAGNTSEQICDYKMKTSYGQSTCDIYVKPSSTLIAQMKKKVTAGSIFLGKDNFTVTLQPNVDQAFIVALIVILQEIVDSRRRNNHSSHHHHTTHHHCT >itb10g02860.t1 pep chromosome:ASM357664v1:10:2494761:2496653:-1 gene:itb10g02860 transcript:itb10g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCLASWGIEKKSAIGREYESKTEALKSRAWQSKLFHLGIINNCSSGIAVNQHLVSGLKHHQKSWSTVACTLVFTIW >itb14g00130.t1 pep chromosome:ASM357664v1:14:104564:107022:1 gene:itb14g00130 transcript:itb14g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRSSGVRQYVRSKVPRLRWTPDLHNCFLHAINALGGQHKATPKLVLQLMNVPDLTISHVKSHLQMYRSMKPDLSDQEEEKTKQPFVDVRTAAAQAQDYHHHLSSSSPSSSSSLVFLNPCPLPSKRGGPTEESTSWTKSEKHKVGKGREKRRIIMCEGEEEGCCLFCGLNKNENPPSYFCNVKDSTAAQCPNHSTTLTSSSLTHQELDFFKVVEGGGEEEKWKTSKKRKRESEEEKDEEEGCRLSLSLSILPPPSTQTSNNNASSTSEISEAAAISSCSNPNPNPNFDFLLNPPILNLDLSLCTQ >itb06g12730.t1 pep chromosome:ASM357664v1:6:17317833:17326326:1 gene:itb06g12730 transcript:itb06g12730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MKSKIKWAALGGLVLSFVSLLVHLFLAKSSADLVQYTAFTVFTDDLTPNLPIKKGAAYRRLWGNVKSLESLQHFADPRSTYPVPVEQRNGFIYAKIFGSFEKIRNSICDLVTVARLLNATLVIPEIQKSTQSKGISSKFRSFSYLYDEEKFIASLANDVIIVKELPPTLKNARRRKELATFKPKITTSPTFYISEVLPKLKKAKVIGLILSDGGCLQSILPPSLAEYQRLRCRVAFHALQFRTEILSLGRLMVERLQAAGQPYLAYHTGLTRDNLAYNGCAELFQDVHTELIQSRRAQMIKEGIINDELSVDSHARRRNGSCPLMPEEVGLLLRAMGYPPRTRIYLAGSESFGGQRSLIPLRAMYPNLVDRTSLCSRTELANLIGPETSLPPDPIQLLPVKSQKQLKEEWDKAGPRPRPLPPPPDRPIYRHEKEGWYGWIAEKDSEPDPSPHDLREQAHSLLWDALDYIVSVQADAFFPGFDNDDYGWPDFSSLVMGNRLYRMASSRTFRPDRKYLVEMFNTTSERLYYPPRNWTLTVREHLNRSITEEGLMKKSNRMKPKLFLSHPIPECSCSTVKSTEIRSSEKKSSLQLLYEGQEQCPREIVQSVKFEEIDADEGDSHEDEMEMEGLDSDGNSGPDAIPSLEQDEEMDPDD >itb06g12730.t2 pep chromosome:ASM357664v1:6:17317833:17326323:1 gene:itb06g12730 transcript:itb06g12730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MKSKIKWAALGGLVLSFVSLLVHLFLAKSSADLVQYTAFTVFTDDLTPNLPIKKGAAYRRLWGNVKSLESLQHFADPRSTYPVPVEQRNGFIYAKIFGSFEKIRNSICDLVTVARLLNATLVIPEIQKSTQSKGIRSFSYLYDEEKFIASLANDVIIVKELPPTLKNARRRKELATFKPKITTSPTFYISEVLPKLKKAKVIGLILSDGGCLQSILPPSLAEYQRLRCRVAFHALQFRTEILSLGRLMVERLQAAGQPYLAYHTGLTRDNLAYNGCAELFQDVHTELIQSRRAQMIKEGIINDELSVDSHARRRNGSCPLMPEEVGLLLRAMGYPPRTRIYLAGSESFGGQRSLIPLRAMYPNLVDRTSLCSRTELANLIGPETSLPPDPIQLLPVKSQKQLKEEWDKAGPRPRPLPPPPDRPIYRHEKEGWYGWIAEKDSEPDPSPHDLREQAHSLLWDALDYIVSVQADAFFPGFDNDDYGWPDFSSLVMGNRLYRMASSRTFRPDRKYLVEMFNTTSERLYYPPRNWTLTVREHLNRSITEEGLMKKSNRMKPKLFLSHPIPECSCSTVKSTEIRSSEKKSSLQLLYEGQEQCPREIVQSVKFEEIDADEGDSHEDEMEMEGLDSDGNSGPDAIPSLEQDEEMDPDD >itb07g13280.t1 pep chromosome:ASM357664v1:7:15426899:15434608:-1 gene:itb07g13280 transcript:itb07g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHRSGTLKKSNDSARLIITTIMGMVFGYFIGISFPFVSLTKINLPSSLISTIDVAFGDDRHRHTSYSGRSFPENLGSGSIPVTPKIYVPTNPHGAESLPPGIVVAESDFYMRRLWGEPSEDLRRKPKYLVTFTVGLEQKNNIDAAVKKFSEDFQIMLFHYDGRTSEWDQFEWSRRAVHVSARKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNADKYIQLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQAENGKAPWQGVRERCRTEWAMFQDRLTTADKQYFAKIGRSLH >itb07g13280.t2 pep chromosome:ASM357664v1:7:15426948:15434608:-1 gene:itb07g13280 transcript:itb07g13280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHRSGTLKKSNDSARLIITTIMGMVFGYFIGISFPFVSLTKINLPSSLISTIDVAFGDDRHRHTSYSGRSFPENLGSGSIPVTPKIYVPTNPHGAESLPPGIVVAESDFYMRRLWGEPSEDLRRKPKYLVTFTVGLEQKNNIDAAVKKFSEDFQIMLFHYDGRTSEWDQFEWSRRAVHVSARKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNADKYIQLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGQAENGKAPWQGVRERCRTEWAMFQDRLTTADKQYFAKIGRSLH >itb07g13280.t3 pep chromosome:ASM357664v1:7:15426899:15434587:-1 gene:itb07g13280 transcript:itb07g13280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHRSGTLKKSNDSARLIITTIMGMVFGYFIGISFPFVSLTKINLPSSLISTIDVAFGDDRHRHTSYSGRSFPENLGSGSIPVTPKIYVPTNPHGAESLPPGIVVAESDFYMRRLWGEPSEDLRRKPKYLVTFTVGLEQKNNIDAAVKKFSEDFQIMLFHYDGRTSEWDQFEWSRRAVHVSARKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNADKYIQLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQAENGKAPWQGVRERCRTEWAMFQDRLTTADKQYFAKIGRSLH >itb07g13280.t5 pep chromosome:ASM357664v1:7:15429315:15434587:-1 gene:itb07g13280 transcript:itb07g13280.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHRSGTLKKSNDSARLIITTIMGMVFGYFIGISFPFVSLTKINLPSSLISTIDVAFGDDRHRHTSYSGRSFPENLGSGSIPVTPKIYVPTNPHGAESLPPGIVVAESDFYMRRLWGEPSEDLRRKPKYLVTFTVGLEQKNNIDAAVKKFSEDFQIMLFHYDGRTSEWDQFEWSRRAVHVSARKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNADKYIQLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQVRYLLTYFYWQFGF >itb07g13280.t4 pep chromosome:ASM357664v1:7:15429315:15434587:-1 gene:itb07g13280 transcript:itb07g13280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHRSGTLKKSNDSARLIITTIMGMVFGYFIGISFPFVSLTKINLPSSLISTIDVAFGDDRHRHTSYSGRSFPENLGSGSIPVTPKIYVPTNPHGAESLPPGIVVAESDFYMRRLWGEPSEDLRRKPKYLVTFTVGLEQKNNIDAAVKKFSEDFQIMLFHYDGRTSEWDQFEWSRRAVHVSARKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNADKYIQLVRKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQVRYLLTYFYWQFGF >itb15g03980.t3 pep chromosome:ASM357664v1:15:2497337:2500260:-1 gene:itb15g03980 transcript:itb15g03980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METDWASFSDGTTDNEDGVEDVNEDENFCSYASGDIPKLQFRKDISKAKWIAKMGMAEIVERKGRLWTTTGMIRYGKLYCSIEETLYLAEIGAMHLLDNDDAAISLKDIYNKVAEAKNGCSWEAFEAYRHLKCLGYIIRRHGIPWTVKRSKMSTTADQDIAEVDSTESEDGHHISEMFSSMSIDELKPVFDVYPPNAKFRKSSPGDPCFVLCFTR >itb15g03980.t1 pep chromosome:ASM357664v1:15:2497337:2500177:-1 gene:itb15g03980 transcript:itb15g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDWASFSDGTTDNEDGVEDVNEDENFCSYASGDIPKLQFRKDISKAKWIAKMGMAEIVERKGRLWTTTGMIRYGKLYCSIEETLYLAEIGAMHLLDNDDAAISLKDIYNKVAEAKNGCSWEAFEAYRHLKCLGYIIRRHGIPWTVKRSKMSTTADQDIAEVDSTESEDGHHISEMFSSMSIDELKPVFDVYPPNAKFRKSSPGDPCFVLCFTRGSPPSKQGIEDVERRCNGSPVKLCNVEHGRVSFFTFNRVELPTLP >itb15g03980.t2 pep chromosome:ASM357664v1:15:2497337:2500847:-1 gene:itb15g03980 transcript:itb15g03980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METDWASFSDGTTDNEDGVEDVNEDENFCSYASGDIPKLQFRKDISKAKWIAKMGMAEIVERKGRLWTTTGMIRYGKLYCSIEETLYLAEIGAMHLLDNDDAAISLKDIYNKVAEAKNGCSWEAFEAYRHLKCLGYIIRRHGIPWTVKRSKMSTTADQDIAEVDSTESEDGHHISEMFSSMSIDELKPVFDVYPPNAKFRKSSPGDPCFVLCFTRGSPPSKQGIEDVERRCNGSPVKLCNVEHGRVSFFTFNRVELPTLP >itb15g03980.t4 pep chromosome:ASM357664v1:15:2497244:2500847:-1 gene:itb15g03980 transcript:itb15g03980.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METDWASFSDGTTDNEDGVEDVNEDENFCSYASGDIPKLQFRKDISKAKWIAKMGMAEIVERKGRLWTTTGMIRYGKLYCSIEETLYLAEIGAMHLLDNDDAAISLKDIYNKVAEAKNGCSWEAFEAYRHLKCLGYIIRRHGIPWTVKRSKMSTTADQDIAEVDSTESEDGHHISEMFSSMSIDELKPVFDVYPPNAKFRKSSPEALHHPNKGLKM >itb08g16050.t1 pep chromosome:ASM357664v1:8:18217855:18219983:-1 gene:itb08g16050 transcript:itb08g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLRTSILQTDKCPPSLDSLWIPDSEPSFHGPASMVNFDGLQEARLEKEEISRSNGEKKRRLLPDQVQFLERSFEVENKLEPERKLHLAQKLGLHPRQVAIWFQNRRARNRTKQIEKEFDSLKASYHKLTSEFDAISQENDKLRHQVQLLTEKLKSKGKEDDQITSFEAEPQKQQPIAGTILADRKALSITVCKQEDASSAKSDVLDSDSPHCTDGIGNQFLEPADSSHVLESSDFSQDEDDSLPPNLFHTLSFPKLEDHLQQIPANSCNLGFSISDHSPWFWASGLVD >itb15g03680.t1 pep chromosome:ASM357664v1:15:2301830:2309194:1 gene:itb15g03680 transcript:itb15g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELRFLIGIVVVCGCVLVANADYMLYKDPSKPIGRRIKDLMGRMSLEEKIGQMVQIERQVSSFEVMKKYYIGSVLSGGGSVPKENASAQDWVDMVNDFQKGSLATRLGIPMIYGIDAVHGQNNVYKATIFPHNVGLGATRDPQLVRRIGAATALEVRATGIQYVFAPCIAVCRDPRWGRCYESYSEDPEIVRAMTEIIPGLQGEIPPNSLGVPFVAGKEKVAACAKHYVGDGGTIKGINENNTLIDWHGLLSIHMAGYYNSVIKGVATVMVSYSSLNGVKMHAHQQMITGFLKKTLRFRGFVISDYMGIDKITPTMHGNYTYSILHSINAGIDMVMVPNNYTEFIDGLTFLVKNNFVPMSRIDDAVKRILRVKFVMGLFENPLADYSMANYLGSKEHRELAREAVRKSLVLLKNGANADEPVLPLPKKTSRILVAGTHADNIGNQNGGWTITWQGVPGNNCTVGTTILSAIRNTVDPKTEVAFKENPDVEYVKSNEFSHAIVVVGETTYAEGYGDSLNLTIPEPGPTILTNVCAYVKCVVVLITGRPVVIQPYLPQIDALVAAWLPGTEGQGVADVLFGDFGFSGKLPRTWFKTVDQLPMNVGDPHYDPLFPFGFGLETEDSFLYVKVMGTPELPNLGKHCSVDDCRQIDFLPFTCDCCQKVFCLEHRSYLRHHCPTANKNDVTVVVCPLCAKGVHLIPDEDPNVTWELHVNIDCDPSNYEKSTKKRKCPVPGCKELLTFSNTIKCRDCTLDHCLKHRFGPDHKCPGPRKPETSFPFMGYLSKSRKDETKHAPATSSSSWATTFLGAASSVRAKAGAGMAKLSTEFNQALQKGKDRGSLNSRATRQAGNTSGSTGGNVEQCPQCSMRFSSVVDLVDHVQNVHERKSITNSTVDICPRCSKGFHDPVSLVEHVEREHRNFTKV >itb02g03130.t1 pep chromosome:ASM357664v1:2:1805100:1810879:-1 gene:itb02g03130 transcript:itb02g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASVVIAALLIGILVNGLSSANADTDPSDASVLRGMFTSLNSPAQLTNWKANDGDPCGESWKGISCKDNKVTEIEISNLGLTGNMGFQLDQLKSVTNFDISNNNLGNQLPYQLPPNVKRLNLASCGFSGQLPFSISQLTSLQYLDVSHNQIQGQLNDMFGSLSSLSTMDLSFNSMVGNLPQSFASLTSMSNMNLQNNQFTGTIDVLANLPLDKLNVENNHFTGWIPQQLKSINSLQTDGNTWNSGPAPPPPPGTPPANRPNRNRQSGGGASNGEGNGSDGGGKSGIGGGAVAGIVISILVIGAIVAFFVMKRRSRKKSTDIEKSENDMFSPLASKEVQEMKSLETSSTMSTETFETPAAVNLRPPRAPPVEFRKSLDEYDASPKPIAPPKKMNTAQISATQYSIADLQIATDSFSAENLLGEGSIGRVYRAQFEDGKVRVVKKINSTAVQYPEDFLDVVSTISQLHHANVTELVGYCSEHGQYLLIYEFHKNGSLHDFLHLSDEYTKPLTWNSRVKIALGAARALEYLHEVCSPSVVHKNFTSSNILLDSELNPHLSDCGLASLIPDEDQALNHNSGSGYGAPEVSMSGQYTIKSDVYSFGVVMLELVTGRKPFDSSRARPEQSLVRWATPQLHDIDALSKMVDPALEGLYPVKSLSRFADVIALCVQSEPEFRPPMSEVVEALVRLVQRANMSKRTTAPPGNDSADAE >itb04g26230.t1 pep chromosome:ASM357664v1:4:30594000:30596534:1 gene:itb04g26230 transcript:itb04g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPLKLLLAFCIVFFAGSAIGSISLASDVEILLQKIKPSLQGKGENLLLSSWNVSVPLCQWRGLKWVFINATSLLCDDLSSPQWTSLSLYKDSSLHLASIQLPSANLSGSLPREIGELIALQSLYLGVNSLSGTVPLELGYASSLSDIELSGNSLSGSLPPSIWNLCENLVSLRLHGNSLSGSLPDPALPNVSCKNLKFLDLGHNMLSGNFPEFITRFHGLKEIDLGNNNLVGSIPGSITGLTLEKLNLSHNNFSGVLPDFGESKFGGEVFEGNNPELCGPPLRPCNGSSSGMSPGAIAGIVIGLMTGAVVLVSLLIGYFQGKKRRRSLEEEEEFEDEDEFGDEENGSSHGNGGEGKLILFQGGEHLTLEDVLNATGQVMEKTSYGTVYKAKLAEGGTIALRLLREGSCKDRSSSLPVIRQLGKVRHENLIPLRAFYQGKRGEKLLIYDFLPNRTLYDILHESWVGKPVLNWARRHKIALGVARGLAHLHSLETPITHGNVRSKNVLVDDFFVARVTEFGLDKIMIPAIADEIVSLAKADGCKAPELQKMKKCNSRTDVYAFGILLLEILLGKKPGKTGRNGEFIDLPSLVKVAVLEETTMEVFDMELLKGIRNPMEEGLVQALKLAMGCCAPVATVRPSMEEVVRQLEENRPRNRSALYSPAETRSESGTPY >itb01g36170.t7 pep chromosome:ASM357664v1:1:38054682:38058495:-1 gene:itb01g36170 transcript:itb01g36170.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGCLEKFDLQSTVVWLIEKSHQVLLQGQAPSSELILHFLESFPECRLYFPQLQQ >itb01g36170.t5 pep chromosome:ASM357664v1:1:38054682:38059604:-1 gene:itb01g36170 transcript:itb01g36170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWEEDPDEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPPVVNSSLSSKRKKGEKSKKTTRRSIGELLVLPFLSKFPVPSDANIKIVNEYYGVLMAYSSLLDFLKEQKPGYTATLLQTRLLPLYRAPLPEPHLIASANWVLGELASCLPEEMSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGCLEKFDLQSTVVWLIEKSHQVLLQGQAPSSELILHFLESFPECRLYFPQLQQ >itb01g36170.t1 pep chromosome:ASM357664v1:1:38054682:38062505:-1 gene:itb01g36170 transcript:itb01g36170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNNGSSEWKTTNSLTVLQSLIRPFKYFLNPTLAKEPVPPQLELIAKEILVPLLAVFHHFVDNVLHVQDNVEAEIQNILLIISKCIYFAVRSHMPSALAPLLPSLCQDLIKFLNSSSFDDGMNCKDRDLYRLKTGKRSLLIFSALVTRHRKISDKLMPGMVECVTKIARHSTSISKLDSLSERIVSLAFDVISRVLETGLGWRLVSPHFSSLLNSAIFPALVRNEKDMAEWEEDPDEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPPVVNSSLSSKRKKGEKSKKTTRRSIGELLVLPFLSKFPVPSDANIKIVNEYYGVLMAYSSLLDFLKEQKPGYTATLLQTRLLPLYRAPLPEPHLIASANWVLGELASCLPEEMSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGCLEKFDLQSTVVWLIEKSHQVLLQGQAPSSELILHFLESFPECRLYFPQLQQ >itb01g36170.t3 pep chromosome:ASM357664v1:1:38054655:38061122:-1 gene:itb01g36170 transcript:itb01g36170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKDRDLYRLKTGKRSLLIFSALVTRHRKISDKLMPGMVECVTKIARHSTSISKLDSLSERIVSLAFDVISRVLETGLGWRLVSPHFSSLLNSAIFPALVRNEKDMAEWEEDPDEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPPVVNSSLSSKRKKGEKSKKTTRRSIGELLVLPFLSKFPVPSDANIKIVNEYYGVLMAYSSLLDFLKEQKPGYTATLLQTRLLPLYRAPLPEPHLIASANWVLGELASCLPEEMSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGTIIRTHFAFLGVLSRMQIILPATSAVIWPE >itb01g36170.t2 pep chromosome:ASM357664v1:1:38054682:38062505:-1 gene:itb01g36170 transcript:itb01g36170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQIAQLLNQTLSSDGNVVNLATDALDRLSMLPDFPFYLLSIATGGENEGQKVAAATYLKNFIRRNIDANDANQKLSKEFRDALVRVLLQTEPAILRVLNEAFRSIVAVEFVKSSAWPEIVPELRSVIQNSNMISNNGSSEWKTTNSLTVLQSLIRPFKYFLNPTLAKEPVPPQLELIAKEILVPLLAVFHHFVDNVLHVQDNVEAEIQNILLIISKCIYFAVRSHMPSALAPLLPSLCQDLIKFLNSSSFDDGMNCKDRDLYRLKTGKRSLLIFSALVTRHRKISDKLMPGMVECVTKIARHSTSISKLDSLSERIVSLAFDVISRVLETGLGWRLVSPHFSSLLNSAIFPALVRNEKDMAEWEEDPDEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPPVVNSSLSSKRKKGEKSKKTTRRSIGELLVLPFLSKFPVPSDANIKIVNEYYGVLMAYSSLLDFLKEQKPGYTATLLQTRLLPLYRAPLPEPHLIASANWVLGELASCLPEEMSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGCLEKFDLQSTVVWLIEKSHQVLLQGQAPSSELILHFLESFPECRLYFPQLQQ >itb01g36170.t6 pep chromosome:ASM357664v1:1:38054655:38058807:-1 gene:itb01g36170 transcript:itb01g36170.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRISLLSFIGNLYMALCLQFLKEQKPGYTATLLQTRLLPLYRAPLPEPHLIASANWVLGELASCLPEEMSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGCLEKFDLQSTVVWLIEKSHQVLLQGQAPSSELILHFLESFPECRLYFPQLQQ >itb01g36170.t4 pep chromosome:ASM357664v1:1:38054655:38061122:-1 gene:itb01g36170 transcript:itb01g36170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKDRDLYRLKTGKRSLLIFSALVTRHRKISDKLMPGMVECVTKIARHSTSISKLDSLSERIVSLAFDVISRVLETGLGWRLVSPHFSSLLNSAIFPALVRNEKDMAEWEEDPDEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPPVVNSSLSSKRKKGEKSKKTTRRSIGELLVLPFLSKFPVPSDANIKIVNEYYGVLMAYSSLLDFLKEQKPGYTATLLQTRLLPLYRAPLPEPHLIASANWVLGELASCLPEEMSADIYSALMEAFITPDRDISCYPVRVSAAGAIAQLVENDYMPLEWLPLLQVIVGRISDVEEETSISLQLLGTLVEAGNENIAPHIPHVVTLLVMTILKHIPLDSEPWPQMVERGFATLAVMAQCWRDSIPDENESNEFEEVWLPGQAIIMKAFSDILQQAWLKSAQPMESELGLLKLPSSSVDDSSRLLGFVLQGITDRSEIANLKVTELLLVWSDLIADWHAWEEMEDLSIFNCIKETVNLTRKFAIKNFIVRELPFPPAPPVPRRSIIEGIGGFVVEAFSQYVSVVWRASSCVHMLLHIPDYPLEGEGTKQSLAISFTIAAFTRFRETKNKPVSLWKPLLLAISSCYLCCPDIVEKRLENIQNEGFTVFASALAFISTSKFEHTWSTESQIKLAVIALAKVVEKLLTQQSRGSVVLHNCIVSLMEVSVRLKEVLQEEDEDEESENGDCGDEETEEEDDDDEDSEDNEQEETEEEFLERCAETAIALENGTILEGDEEDEDHDIELGCLEKFDLQSTVVWLIEKSHQVLLQGQAPSSELILHFLESFPECRLYFPQLQQ >itb13g20970.t1 pep chromosome:ASM357664v1:13:27658360:27658599:1 gene:itb13g20970 transcript:itb13g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHKLSTFFFVVLVAVVVVTIVTADLVDTVCGKTPQPAQCAQTLRADPRSKGADLKALGLIAIDIATNQTKSGQALV >itb01g14830.t1 pep chromosome:ASM357664v1:1:16968963:16971145:-1 gene:itb01g14830 transcript:itb01g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRKRIAAALTLFLKMYTTVSSFLLLMASTVSRPRINRRYSLNFYEKREYVRRIVYDNDESCISLLRMNRKTFFKLCVVLEKFRLGNRARSESNSDSLSSGGRRKRKISDRDESITSQSFHNAAMMLSETLIMVGDKLSKSIGTELTLQEKVQQLDKELIQIDGLSNEEYLMALIKLPDRPNKMLVFFSLPPERRLEWVRAFLAT >itb01g14830.t2 pep chromosome:ASM357664v1:1:16968963:16970033:-1 gene:itb01g14830 transcript:itb01g14830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRKRIAAALTLFLKMYTTVSSFLLLMASTVSRPRINRRYSLNFYEKREYVRRIVYDNDESCISLLRMNRKTFFKLCVVLEKFRLGNRARSESNSDSLSSGGRRKRKISDRDESITSQSFHNAAMMLSETLIMVGDKLSKSIGTELTLQEKVQQLDKELIQIDGLSNEEYLMALIKLPDRPNKMLVFFSLPPERRLEWVRAFLAT >itb02g00860.t2 pep chromosome:ASM357664v1:2:481007:484338:-1 gene:itb02g00860 transcript:itb02g00860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MAASLGSSLNFISYPPSTTARPASSAASRSYIPVRCGAGSRSNRGPLYKGRILSTEAIQAIQALKRAQRTNPSEIDSSISSTISRLLKPDLLAAYKELLRQEHCDLALRVFSSLRLEYDSPDLNLYADMAATLARNGLTEEIDRLICDLENEAAIQLDGSNSKGLIKLVKALIAAGRAESTARVYRLMKRSGAEVDDYLAIVLSKGLRRFGMKEEADEVEMELHRLSRGILDKQQV >itb02g00860.t1 pep chromosome:ASM357664v1:2:481007:484338:-1 gene:itb02g00860 transcript:itb02g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MAASLGSSLNFISYPPSTTARPASSAASRSYIPVRCGAGSRSNRGPLYKGRILSTEAIQAIQALKRAQRTNPSEIDSSISSTISRLLKPDLLAAYKELLRQEHCDLALRVFSSLRLEYDSPDLNLYADMAATLARNGLTEEIDRLICDLENEAAIQLDGSNSKGLIKLVKALIAAGRAESTARVYRLMKRSGAEVDDYLAIVLSKGLRRFGMKEEADEVEMELHRLSRGILDKQQV >itb13g04520.t2 pep chromosome:ASM357664v1:13:5210557:5214895:1 gene:itb13g04520 transcript:itb13g04520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 3 [Source:Projected from Arabidopsis thaliana (AT4G17510) UniProtKB/Swiss-Prot;Acc:Q8GWE1] MADSISAKRWLPLEANPDVMNQFLWGLGVKEDEAECYDVYGLDEELLAMVPKPVYAVLFLYPITSQSEDERIKEDSKTKEPSSGVYFMKQTVGNACGTIALLHAVGNITTEIKLVEGSFLDRFFKSTANMDPMERAKFLEDDGEMEVAHSVAATAGDTEASDNVDTHFICFAIADGKLYELDGRRSGPISHGASSPATLLQDAAKVIQKMIEKNPNSINFNVMAVSKKGGADAF >itb13g04520.t1 pep chromosome:ASM357664v1:13:5210641:5215631:1 gene:itb13g04520 transcript:itb13g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 3 [Source:Projected from Arabidopsis thaliana (AT4G17510) UniProtKB/Swiss-Prot;Acc:Q8GWE1] MADSISAKRWLPLEANPDVMNQFLWGLGVKEDEAECYDVYGLDEELLAMVPKPVYAVLFLYPITSQSEDERIKEDSKTKEPSSGVYFMKQTVGNACGTIALLHAVGNITTEIKLVEGSFLDRFFKSTANMDPMERAKFLEDDGEMEVAHSVAATAGDTEASDNVDTHFICFAIADGKLYELDGRRSGPISHGASSPATLLQDAAKVIQKMIEKNPNSINFNVMAVSKKGGADAF >itb12g09300.t1 pep chromosome:ASM357664v1:12:7306453:7307158:1 gene:itb12g09300 transcript:itb12g09300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESDHGVHIWTERERRKKMRNMFSNLHALLPQLPPKADKSTIVDEAVNYIKTLQQTLQKLQTRKLEILHGFNSNNPSPSIFGSQKLNAELTTREAFLADHHQGSSGALASFIGSSSTPPQPPAFQTWTSPNVILNVCVDDAQISLCCPKKPGLLQAICFVLEKHKIEVVSAQVSSNHHRTSISGAHFCDFASS >itb02g07440.t1 pep chromosome:ASM357664v1:2:4613323:4614689:1 gene:itb02g07440 transcript:itb02g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSANSFKRYQTDDLEAGGGDGDAPAAGNNNNNNDESADLNKFFEDVEKVKTDMKDVETFYKKLQELNEECKTAHNAKTMKSLRSKMDGDVAQVLKRVKVIKAKLEALEKSNAASRQVSGCGPGSSTDRTRTAVVGGLGKKLKVMMDDFQALRARMNSEYKETVARRYFTVTGEQPDDDLIENLIASGESESFLQKAIQEQGRGQILDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVRRGTEQLQEASEIQKESRKCTCIAIILVIVLIIVLLFPIWSHLLMLHLR >itb04g19070.t1 pep chromosome:ASM357664v1:4:23070790:23074700:-1 gene:itb04g19070 transcript:itb04g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSYQCLLDSTSSVFLQPNSPPIYKMPSGSFLDEPNDSFSQFYQSESLQELSTVSHGLVSHSHHHHNNINEFSPSPSSSVTNKPESGEQLTYQSPPLMPKKRKSKQDSSMNSAQSKNVKEGEEGKRQRKGGNGNAVKEGKEKKTKEDKKCPEEAPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQGLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVSPMFYDYGMDLDTLMVRPDQEMNIQRANGLTFPMPNMQQCNPTTTHTPSAVVSDANTVLTIPTSPPNCDDFSLLDCPSAPPLFQQPPHIINNNLFNQGNGQLLWGVEDQRQKFINQNGFTSNNLCSFH >itb04g19070.t2 pep chromosome:ASM357664v1:4:23070663:23074700:-1 gene:itb04g19070 transcript:itb04g19070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSYQCLLDSTSSVFLQPNSPPIYKMPSGSFLDEPNDSFSQFYQSESLQELSTVSHGLVSHSHHHHNNINEFSPSPSSSVTNKPESGEQLTYQSPPLMPKKRKSKQDSSMNSAQSKNVKEGEEGKRQRKGGNGNAVKEGKEKKTKEDKKCPEEAPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQGLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVSPMFYDYGMDLDTLMVRPDQEMNIQRANGLTFPMPNMQQCNPTTTHTPSAVVSDANTVLTIPTSPPNCDDFSLLDCPSAPPLFQQPPHIINNNLFNQGNGQLLWGVEDQRQKFINQNGFTSNNLCSFH >itb10g24810.t1 pep chromosome:ASM357664v1:10:28423812:28424484:1 gene:itb10g24810 transcript:itb10g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASAHVATESRRRRGGRLIMSTPAAAMVVNMNGALQEFRRPVKAADVLSDNPNCFLSNSEAMNVDSAMPQVAGDQDLQLGQLYFLLPVSMSHAPLSLQDMCALAIKASAALNDYYSPVAGAVLRRGSFDERVSGDCGNINRVDYYCNKRVS >itb03g08760.t1 pep chromosome:ASM357664v1:3:6590003:6590708:1 gene:itb03g08760 transcript:itb03g08760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISNISLNVRRLFDFLVQFEATTKSKLASLNEKLDTLERRLELLEVQVSTATANPALFNT >itb14g14230.t1 pep chromosome:ASM357664v1:14:16627425:16633399:1 gene:itb14g14230 transcript:itb14g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSEFLPRLKFREDEFGGFMPKQLKNDSLGVVNMFSRETLHVSYDRWKNLEVHCNNDQSIHHSPLSKSDSTKHKLLELSFNRLQPTEEHCGLKKHSFGRFVAREAMLAEEYWAASWLRAEAHCESLSGMRHVDTYKRKYAEQEFYALKRRCSGQDGKSLKCFCFVAVKKEEKNVRRTVLNSVVGTLDLSIRLFVQGETYPGEVKRQSGVFAPFDVHRYAYIANVSVAKYARRQGIASNMLYLAADVAMSEGMKKLFVHVNEKNKIALDLYRKVGFEVVKAASSHVSSEQKLLMSMEF >itb01g03820.t2 pep chromosome:ASM357664v1:1:2511478:2513913:1 gene:itb01g03820 transcript:itb01g03820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEQSKPGNPSAPVILPAKRRRGRPRKDGSVPKRGSSLTPATSAPEMVKKTQAVEANQMDGTVENMVGRMVSGVIDGCFDAGYFLTVRVGNSNTLLRGVIFQPGRFAPISASNDVAPQAKMYQRRDIPVPVPNLQGQNDGMIPQSDKLVKQPVQLGPQPAMDAQQVLPSKPTSSDTFVLNNQMNQPPSVMVPQPGPFSIQMNNMSRGGSGFPFGGKIMVHQNPDQRLQIQSQPGHRPQSLGMVEHDEVMQVFELSTQSEMPKVGSEGDKTDMLPSEGTSNQLSQTHNQALGSMAQQCFMSYNLEQSNSRLPQNPAAATVSQTVDSESQDVESEMEKSQFDSGEVRHPNHHEQQHETPINSQPPPIQEKPSAMEPGPFVHSETVELHQTPIVAELQFISQEPEAAESELKPSDPNNPNNELKSPVPVHHQDQTLEKLGTTQTPQPLEVEHASPNFECHHNPAVGNAQNVHQELMNDAMDFMMEKPASPKNNNVTQHSPEGKPMSDPTENAMRGPQFSLESTGQILTLGIGGSDNPGRFDTPFYNPVSGTTDFGFVLGDFVPPTGNPNNMPETQHR >itb01g03820.t1 pep chromosome:ASM357664v1:1:2511400:2513913:1 gene:itb01g03820 transcript:itb01g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGNNVICLSCSCILNRYLFHSLFRTIGLLFLKRLVVFVLCRGINIIGIEIGLCSLLLMMNEQSKPGNPSAPVILPAKRRRGRPRKDGSVPKRGSSLTPATSAPEMVKKTQAVEANQMDGTVENMVGRMVSGVIDGCFDAGYFLTVRVGNSNTLLRGVIFQPGRFAPISASNDVAPQAKMYQRRDIPVPVPNLQGQNDGMIPQSDKLVKQPVQLGPQPAMDAQQVLPSKPTSSDTFVLNNQMNQPPSVMVPQPGPFSIQMNNMSRGGSGFPFGGKIMVHQNPDQRLQIQSQPGHRPQSLGMVEHDEVMQVFELSTQSEMPKVGSEGDKTDMLPSEGTSNQLSQTHNQALGSMAQQCFMSYNLEQSNSRLPQNPAAATVSQTVDSESQDVESEMEKSQFDSGEVRHPNHHEQQHETPINSQPPPIQEKPSAMEPGPFVHSETVELHQTPIVAELQFISQEPEAAESELKPSDPNNPNNELKSPVPVHHQDQTLEKLGTTQTPQPLEVEHASPNFECHHNPAVGNAQNVHQELMNDAMDFMMEKPASPKNNNVTQHSPEGKPMSDPTENAMRGPQFSLESTGQILTLGIGGSDNPGRFDTPFYNPVSGTTDFGFVLGDFVPPTGNPNNMPETQHR >itb05g16500.t1 pep chromosome:ASM357664v1:5:23697446:23701012:-1 gene:itb05g16500 transcript:itb05g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKEFKVHLEDTLPEWRDKYLRYKPLKKLLKSLPAHPSAAAAADDSFQPPPHSELHDWFIRLLTEDLEKFNDFYVEQEEEFIIRFQELKERIERVKEKSGRDVASTSESEEMMDIRKDFVAIHGQMLLLQNYSSLNFAGLMKILKKYDKRTGGLLRLPFTQFAVHQPFFTTEPLTRLVRECEANLELLFPLEAEVIESTAAAHDHAEASSSNASTISPDTTLQLGEKNVDIYRGTLAAIKAIQGLRKASSTSNPLSFSSLLGNQDNDSTGSITAENSSASQDDEEMNQEAEVSHSPK >itb01g26650.t3 pep chromosome:ASM357664v1:1:31755959:31764106:1 gene:itb01g26650 transcript:itb01g26650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLTRLIGRRRRFLPNRNYILSSPAAQGFLSESKRGDGSESEQHQQQSGGNGNESGNSGTEWVNCPVCGARVLGDDHTINLHLDKCLARGTKRKLSQRTLLQLNFCSKVKYQANSSDCTETTSVQNRDHSSVCDTIPRLGDLDDLENHDQALCKLESSTPCNTAFESAKLHDFTRCIDESPSSDTDTLAGCTENQMNDDKINGNCSYPSLLSEHETCMHDMVESANGDDLSELSLDTYIVGRRFVEETELELGAGILLSRDPDNDKDPNAIKVLSTDSGYDQAIGFLPREIALYLSPLIEKFGLRFEGYITSVPKHPRAVVPIQITCPCSKSFDEIDCNNVQFLKSSWKNALCVAQYARAHPPGSAKYQHNLQVLLTEVLKNNHHLFMDAEKTLLENFLSLSDDSQRLFARLYTRKGPWFRMSNISYAEISDCDEALRGLSAGGYVTSFESMSEPTDVKEILNVLNVGELRDLLHMVNKQCAQTNRKSSKSFGHGTRKQDYIAFLLYSYKDGLCPDLLRLVLLKIGTCIQISPLAESLFWRAERLFFLNGEQDLSSFLLVDLGIVKYPAYNCILTNQIFPNRSQLLSYEMAIEVAQTMDECLDDDNTEMVLKCIEVSASQISSSLKEEELSSSGLMAAYLSCFTAVFVYSKMVLLGTSFLEHERRYNDAIILLRKLLDSFRNDRRRGYWTLRLSIDLEHVGCLDESLQVAEDGILDPWVRAGSKLALQRRVLRLGKPPRRWKTPSYSKSLKRKIAEVHVQGRPLNCKMGVKSIFYGEDGEWCGVEQLALQYYAGEGGGWQGVHTESGIWLTLFGLLMWDVIFADIPNVFCTKFQTAPLDLETDSFYEVRKSQIEALLDKIQHGMAEEILITSWELHKGTACRGVSWDRHSLSELRAAVACIGGSCTASICRHLAQDYKSWSSGMPDLLLWRFHDNYRGEAKLVEVKGPRDRLSEQQRAWLLFLTDCGFNVEVCKVSEAAV >itb01g26650.t4 pep chromosome:ASM357664v1:1:31756318:31764106:1 gene:itb01g26650 transcript:itb01g26650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MNDDKINGNCSYPSLLSEHETCMHDMVESANGDDLSELSLDTYIVGRRFVEETELELGAGILLSRDPDNDKDPNAIKVLSTDSGYDQAIGFLPREIALYLSPLIEKFGLRFEGYITSVPKHPRAVVPIQITCPCSKSFDEIDCNNVQFLKSSWKNALCVAQYARAHPPGSAKYQHNLQVLLTEVLKNNHHLFMDAEKTLLENFLSLSDDSQRLFARLYTRKGPWFRMSNISYAEISDCDEALRGLSAGGYVTSFESMSEPTDVKEILNVLNVGELRDLLHMVNKQCAQTNRKSSKSFGHGTRKQDYIAFLLYSYKDGLCPDLLRLVLLKIGTCIQISPLAESLFWRAERLFFLNGEQDLSSFLLVDLGIVKYPAYNCILTNQIFPNRSQLLSYEMAIEVAQTMDECLDDDNTEMVLKSVFVYSKMVLLGTSFLEHERRYNDAIILLRKLLDSFRNDRRRGYWTLRLSIDLEHVGCLDESLQVAEDGILDPWVRAGSKLALQRRVLRLGPRSRQTTELQDGSEEYILW >itb01g26650.t2 pep chromosome:ASM357664v1:1:31755959:31764106:1 gene:itb01g26650 transcript:itb01g26650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLTRLIGRRRRFLPNRNYILSSPAAQGFLSESKRGDGSESEQHQQQSGGNGNESGNSGTEWVNCPVCGARVLGDDHTINLHLDKCLARGTKRKLSQRTLLQLNFCSKVKYQANSSDCTETTSVQNRDHSSVCDTIPRLGDLDDLENHDQALCKLESSTPCNTAFESAKLHDFTRCIDESPSSDTDTLAGCTENQMNDDKINGNCSYPSLLSEHETCMHDMVESANGDDLSELSLDTYIVGRRFVEETELELGAGILLSRDPDNDKDPNAIKVLSTDSGYDQAIGFLPREIALYLSPLIEKFGLRFEGYITSVPKHPRAVVPIQITCPCSKSFDEIDCNNVQFLKSSWKNALCVAQYARAHPPGSAKYQHNLQVLLTEVLKNNHHLFMDAEKTLLENFLSLSDDSQRLFARLYTRKGPWFRMSNISYAEISDCDEALRGLSAGGYVTSFESMSEPTDVKEILNVLNVGELRDLLHMVNKQCAQTNRKSSKSFGHGTRKQDYIAFLLYSYKDGLCPDLLRLVLLKIGTCIQISPLAESLFWRAERLFFLNGEQDLSSFLLVDLGIVKYPAYNCILTNQIFPNRSQLLSYEMAIEVAQTMDECLDDDNTEMVLKCIEVSASQISSSLKEEELSSSGLMAAYLSCFTAVFVYSKMVLLGTSFLEHERRYNDAIILLRKLLDSFRNDRRRGYWTLRLSIDLEHVGCLDESLQVAEDGILDPWVRAGSKLALQRRVLRLGPRSRQTTELQDGSEEYILW >itb01g26650.t1 pep chromosome:ASM357664v1:1:31755959:31764106:1 gene:itb01g26650 transcript:itb01g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLTRLIGRRRRFLPNRNYILSSPAAQGFLSESKRGDGSESEQHQQQSGGNGNESGNSGTEWVNCPVCGARVLGDDHTINLHLDKCLARGTKRKLSQRTLLQLNFCSKVKYQANSSDCTETTSVQNRDHSSVCDTIPRLGDLDDLENHDQALCKLESSTPCNTAFESAKLHDFTRCIDESPSSDTDTLAGCTENQMNDDKINGNCSYPSLLSEHETCMHDMVESANGDDLSELSLDTYIVGRRFVEETELELGAGILLSRDPDNDKDPNAIKVLSTDSGYDQAIGFLPREIALYLSPLIEKFGLRFEGYITSVPKHPRAVVPIQITCPCSKSFDEIDCNNVQFLKSSWKNALCVAQYARAHPPGSAKYQHNLQVLLTEVLKNNHHLFMDAEKTLLENFLSLSDDSQRLFARLYTRKGPWFRMSNISYAEISDCDEALRGLSAGGYVTSFESMSEPTDVKEILNVLNVGELRDLLHMVNKQCAQTNRKSSKSFGHGTRKQDYIAFLLYSYKDGLCPDLLRLVLLKIGTCIQISPLAESLFWRAERLFFLNGEQDLSSFLLVDLGIVKYPAYNCILTNQIFPNRSQLLSYEMAIEVAQTMDECLDDDNTEMVLKSVFVYSKMVLLGTSFLEHERRYNDAIILLRKLLDSFRNDRRRGYWTLRLSIDLEHVGCLDESLQVAEDGILDPWVRAGSKLALQRRVLRLGPRSRQTTELQDGSEEYILW >itb01g26650.t5 pep chromosome:ASM357664v1:1:31755959:31764106:1 gene:itb01g26650 transcript:itb01g26650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLTRLIGRRRRFLPNRNYILSSPAAQGFLSESKRGDGSESEQHQQQSGGNGNESGNSGTEWVNCPVCGARVLGDDHTINLHLDKCLARGTKRKLSQRTLLQLNFCSKVKYQANSSDCTETTSVQNRDHSSVCDTIPRLGDLDDLENHDQALCKLESSTPCNTAFESAKLHDFTRCIDESPSSDTDTLAGCTENQMNDDKINGNCSYPSLLSEHETCMHDMVESANGDDLSELSLDTYIVGRRFVEETELELGAGILLSRDPDNDKDPNAIKVLSTDSGYDQAIGFLPREIALYLSPLIEKFGLRFEGYITSVPKHPRAVVPIQITCPCSKSFDEIDCNNVQFLKSSWKNALCVAQYARAHPPGSAKYQHNLQVLLTEVLKNNHHLFMDAEKTLLENFLSLSDDSQRLFARLYTRKGPWFRMSNISYAEISDCDEALRGLSAGGYVTSFESMSEPTDVKEILNVLNVGELRDLLHMVNKQCAQTNRKSSKSFGHGTRKQDYIAFLLYSYKDGLCPDLLRLVLLKIGTCIQISPLAESLFWRAERLFFLNGEQDLSSFLLVDLGIVKYPAYNCILTNQIFPNRSQLLSYEMAIEVAQTMDECLDDDNTEMVLKSVFVYSKMVLLGTSFLEHERRYNDAIILLRKLLDSFRNDRRRGYWTLRLSIDLEHVGCLDESLQVAEDGILDPWVRAGSKLALQRRVLRLAPLDLETDSFYEVRKSQIEALLDKIQHGMAEEILITSWELHKGTACRGVSWDRHSLSELRAAVACIGGSCTASICRHLAQDYKSWSSGMPDLLLWRFHDNYRGEAKLVEVKGPRDRLSEQQRAWLLFLTDCGFNVEVCKVSEAAV >itb07g03860.t1 pep chromosome:ASM357664v1:7:2607771:2610521:-1 gene:itb07g03860 transcript:itb07g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEICDDWESADGRTLVLVGRTGNGKSATGNSILGRRAFKSMTSSAGVTSTCEFQRTVLDDGQIINVIDTPGLFDFSGESEFIGKEIVNCINMAKDGIHAVLVVFSVRTRFSREEEAAVQSLCDFFGSKLADYMIVVFTGGDDLEENEETLEDYLGRDCPEPLKEVLKKCGNRRVLFDNKTRDASKKAKQVRELLSLVNIVVECNCGIAYTDEIFSNLRDGAVRLRNQTAEVESSNGYTKQEISLLKDQMQKSYADQLKRITEMVESKLKETTHRLEEQLAKEHSARLQAEASAREAQERSNDEIRKLRENLERAQRETEELRMQARNSGCHIL >itb07g03860.t2 pep chromosome:ASM357664v1:7:2607771:2610273:-1 gene:itb07g03860 transcript:itb07g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIKIMGGSEICDDWESADGRTLVLVGRTGNGKSATGNSILGRRAFKSMTSSAGVTSTCEFQRTVLDDGQIINVIDTPGLFDFSGESEFIGKEIVNCINMAKDGIHAVLVVFSVRTRFSREEEAAVQSLCDFFGSKLADYMIVVFTGGDDLEENEETLEDYLGRDCPEPLKEVLKKCGNRRVLFDNKTRDASKKAKQVRELLSLVNIVVECNCGIAYTDEIFSNLRDGAVRLRNQTAEVESSNGYTKQEISLLKDQMQKSYADQLKRITEMVESKLKETTHRLEEQLAKEHSARLQAEASAREAQERSNDEIRKLRENLERAQRETEELRMQARNSGCHIL >itb13g20910.t1 pep chromosome:ASM357664v1:13:27629625:27632167:-1 gene:itb13g20910 transcript:itb13g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVREDGVSLSTVDAAPSHFMLKIQSVSLLTQYKIQKYTSTPFEAGGYKWELIFYPNGNRVKDHISMHLAVADTGGFQPGWEVHAVFRLFLLDQNNDTYFVVQDAEAGKGRRFRGTMREWGFERFISLTTFTDSSYGYVVDDVCVIGAEVYVHKEFKSPKGETRFPRGECLSMFKDPDPAKHYWKIYSFFALQRHYHESNTFQQWRMRVYPKGMDGKGTHLSLSLHMELGNEAKEQAPSRIYAEFTLRLIDERRHQKNFTKKETRWLSPSTTRCEWPQFIPMETFKASFWSSSKDKCVIDAEVRMHGETIPLHYS >itb12g20340.t1 pep chromosome:ASM357664v1:12:22824519:22825861:-1 gene:itb12g20340 transcript:itb12g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRVFRKSKDKNDHIATLDKLNETLVSLEKKEKVLQRKAAEETERAKEFTRAKNKRAALQCLKRKKLFEQQIEQLCNFQLRIHDQMIMIEGAKVTTGTVDALRTGAAAMKAMQKTMNIDYVDKTMDEVNEQTENIRQIQEALAAPIGSGADFDEDELEAELDALKIDDLEEQLLQPATSTIPATPLHIPLVKQSAQTSSRTRDRDSDEEFAELQREMAL >itb01g01050.t1 pep chromosome:ASM357664v1:1:509066:516366:-1 gene:itb01g01050 transcript:itb01g01050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFYDILDRIKPDLLIYDVFQPWAAEAAASRKIPTVCYGITGAASMAFAHYLLRHRTTSGFPFPEICMRPDELKNLRKNYENVNLDQRIAILRAMELSTKICLINTSREIEGKYIDYISEKLGNTAVPIGSLIRVQEKKGGKEEDVEMMKWLDGKQKHSTLYLSFGSEYYLSREEIQEIAKGLELISSANFIWLLRPKYDDEDDVAVDYSEYWALYRAILAANWEETQIFFSQNPAAIRSPINNYLETPLHVAAKAGNASFMEKLAALLMDHELGPRDASGCTPLHTAAQNVNIEVAEILVRRNYNLLYLPSNGGVFPIHCAIRDHRKSKEAFLYFLGLTRDDEYGQPNPYAGPTGVSILVNLITYKFYGLIGKSIVNKMVLHHQVVKLLKYLCDELKTLNETRVISLTERALSDATSLDIWQAILNIAEAYPMSVYSLNSKKQTILHVAVMNRSENVFNFVCGTSLLRTNLMDYLDTNCNSVLHLAGKLAPPHKLNLVSGAALQMQRELQWFKEVKKITPPYFLSYLNKDGKTPSMVFTEEHKELKEGGEKWMKDTANSCTIAAALIVTVVFAAAITVPGGNSGENGLPIFSNNNAFTVFSISNAASLFTSATSLLVFLSILTSRYAEEDFLYALPKRLIIGLFTLFLSIIFMMIAFSATVYLVFGQNRRGVLIIVAAFACLPVTSFVLLQFPLLVDLVSSTYGRGIFDQRGFPQLPF >itb03g01410.t1 pep chromosome:ASM357664v1:3:792413:793155:-1 gene:itb03g01410 transcript:itb03g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMAWVILMAAVLGALRLGQAMDCHQAESYISDCLPYLQGDTPRPSMPCCDSFRDLLRQTPTEQRTACECYKATAASPDIKPDIAYYFPRNCGLGSRFTISRDSDCQSVL >itb13g13930.t1 pep chromosome:ASM357664v1:13:20499025:20501410:-1 gene:itb13g13930 transcript:itb13g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACVYGHLKSQPPWLLVLLVLGFLKLLALSVSLLKWVGANFLRPAKNLKNYGSWALVTGATDGIGKGFAFQLARKGLNLVLVGRNPEKLKDVSDSIEAKYGQTRIKSVVVDFSGDLDGGVRRIREAIEGIDVGVLINNVGVSYPYARFFHEVDDRLLADLIKVNVEGTTKVTQAVLPAMLRRKRGAIVNIGSGIAIAIPSAPLHAVYAATKAYIHQFSRCLYVEYGKSGIDVQCHVPLYVATKMISIRKSSFFIASTDDYAEAALRWIGYEARCTPFWPHSLQWALVSFFPEFAVDAWRLRVCLGIRNKGKLKDSGQEEAATDLMMQKLN >itb13g13930.t2 pep chromosome:ASM357664v1:13:20499904:20501410:-1 gene:itb13g13930 transcript:itb13g13930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACVYGHLKSQPPWLLVLLVLGFLKLLALSVSLLKWVGANFLRPAKNLKNYGSWALVTGATDGIGKGFAFQLARKGLNLVLVGRNPEKLKDVSDSIEAKYGQTRIKSVVVDFSGDLDGGVRRIREAIEGIDVGVLINNVGVSYPYARFFHEVDDRLLADLIKVNVEGTTKVTQAVLPAMLRRKRGAIVNIGSGIAIAIPSAPLHAVYAATKAYIHQFSRCLYVEYGKSGIDVQCHVLFNFQ >itb03g30600.t1 pep chromosome:ASM357664v1:3:33322640:33328714:1 gene:itb03g30600 transcript:itb03g30600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVFSGILAILSVLLAVSVASAAGEEKEYVLTLDHTNFSETVSKHNFIVVEFYAPWCGHCKKLAPEYEKAASVLSSHDPPVTLAKVDANEDSNRDLASQYEVQGFPTIKILRDGGKTVQDYKGPREADGIVTYLKKQVGLASSEIKSKEDVANIIDEKKVFVVGVFQEFSGEKFANFISLAEKLRSDYDFGHTLDAKLLPSGEPVDKPTLRLLKPFDELFADFQDFQVDAMEKFIGEASTPIITIFDQNPENHPYVNKFFDSPNDKAMLFVNFSSELSAFKSKYNDVAVLYKGKGLSFLLGDLETSGGALQYFGLKEDQAPVIVIQDKDQQKFIKPNVEPDQLATWVKDYKEGKVEPFIRSEPIPEVNNEPVKVVVSDSLENMVFKSGKNVLLEIYAPWCGHCKKLAPILDEVAVSFENDPDVMIAKLDGTANDIPGKKFDVQGYPTVYFISATGNITPYEGDRTKDDIIDFIQKNRDKPLQSDSIKSDSVKEESAKDEL >itb03g30600.t2 pep chromosome:ASM357664v1:3:33322640:33327996:1 gene:itb03g30600 transcript:itb03g30600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVFSGILAILSVLLAVSVASAAGEEKEYVLTLDHTNFSETVSKHNFIVVEFYAPWCGHCKKLAPEYEKAASVLSSHDPPVTLAKVDANEDSNRDLASQYEVQGFPTIKILRDGGKTVQDYKGPREADGIVTYLKKQVGLASSEIKSKEDVANIIDEKKVFVVGVFQEFSGEKFANFISLAEKLRSDYDFGHTLDAKLLPSGEPVDKPTLRLLKPFDELFADFQDFQVDAMEKFIGEASTPIITIFDQNPENHPYVNKFFDSPNDKAMLFVNFSSELSAFKSKYNDVAVLYKGKGLSFLLGDLETSGGALQYFGLKEDQAPVIVIQDKDQQKFIKPNVEPDQLATWVKDYKEGKVEPFIRSEPIPEVNNEPVKVVVSDSLENMVFKSGKNVLLEIYAPWCGHCKKLAPILDEVAVSFENDPDVMIAKLDGTANDIPGKKFDVQGYPTVYFISATGNITPYEGDRTKDDIIDFIQKNRDKPLQSDSIKSDSVKEESAKDEL >itb15g09320.t1 pep chromosome:ASM357664v1:15:6593249:6594376:1 gene:itb15g09320 transcript:itb15g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSILDAMKLSIGLKLLIQAKHSGVLCLHLGESCSASSAISKGHFAIYTADQKRLVVPLVFLDNEIIRQLLVMSEEEFGLPSDGPITFPCDAVLMEYIISLLSQGVGKELQTALLASVTSNRCSLTSMYQGLRDQQFLVC >itb13g15020.t1 pep chromosome:ASM357664v1:13:21670765:21674679:1 gene:itb13g15020 transcript:itb13g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSAPLLFCVTRSKPQLIVPSTATPHEHKPLSDIDDQRSIRVHVPILMFYRNSVERRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENGKLIVNCNGKGVLFVEAKANVKLEQLGHKSIQPPCPYLKQLLNTLPGSNGIIDCPLLLIQVTRFSCGGFALGIRLNHTMMDGQGLIQFVNAVSELAQGASVPSTLPIWERDLLVARPTPTITCEHNEFNDFDPSKTTRWLDFEKVLLTRKFINVQKLASDPCVFFSKHILHSLLVKRSFTFGSRELQAIKDQCPSSTTFEALSACLWKCRTIALRPDPNSKMLLTIAVNIRERLQDPKLPIGYYGNAIVSAATVTTAKLLCSNPISYAAKLIREAKNKVNDDYVKSVTDLMVTRGRPMGTILRNFLITDISRFGFDEVDFGWGKPVCGGSYGVVYGVGFLLPHKGMEDTKGKLVALALPPIIMGKFQNELKKMTRAQK >itb08g08970.t1 pep chromosome:ASM357664v1:8:8188125:8197806:1 gene:itb08g08970 transcript:itb08g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGEGHDPSGTRKIPSPSSMLWVRNLRRFIGSGVGLGSEALMELETKRILLDIFKEKQQKNQESGKIPTFYKKKPEEGSISQRVQRLAKYRFLKKQSDLLLNADDLDSMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEGYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDTNRRGKACIKKILLSNCLQELMELHQESEEEVTDMEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTDIFIERVFDEHVRRGKIGGGNTREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNVRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPIDPLRITLADLLTCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEEPEEEG >itb04g34250.t1 pep chromosome:ASM357664v1:4:36267861:36270599:1 gene:itb04g34250 transcript:itb04g34250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKILACLFLLQLLVLLGPASSDLAKDKDECADKLVALGPCLGYVNGVAKAPTQDCCSALKGVLDKGRKCLCLLVKDSNDPSLGFKINATLALGLPDRCSTPANISECPELLNLAPNSPDAKVFQDFVNSAKGSNTTSPAAPVKGSPSGGATSANVKSDGCKRKRLVEVNVAMGLFLYVFILIFNL >itb14g08750.t1 pep chromosome:ASM357664v1:14:8458596:8460046:1 gene:itb14g08750 transcript:itb14g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVARSPTVLMFPFLAHGHITPYVGLAKDLSDRGFLIHLCSTPIILSSVKKMIPEKYSDSIRLVELHLPELPDLPPHYHTTNGLPRHLQPTLRKALGMSKPNFRKIMEALSPDLLVLDFLQVWAEGVARDLHVPAVRFYNVCAATSSYITFATRDPLSEYPFPGIRLKGFADSSFRATIDHVMRSGRDPEEYLKNPEMVLISSSVEMEPKYIEYYATFLGCKVVPIGLLAQDPQQNEKHEENAEILDWLGQKEEGAVVYISFGSEYFLSKEDTEEIAHGLEKVVADSNVNFIWVLRFPHGEKVSAEETLPQGFLERIGERGRVVEGWAPQVEILGHSSLGGFLTHCGWNSVMESLNSRVPIIAMPMHSDQPVNARFLEEMGLAVEITRDAAGKLCREEIARVVKEAMGGESGEALKRRVKDMSDRLKLKKKEEIDAAAVELRKLCGVR >itb14g18150.t1 pep chromosome:ASM357664v1:14:21264259:21266439:-1 gene:itb14g18150 transcript:itb14g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFESYTLPKLYLKMQYCVSCAIHSKVVRVRSRTDRRIREAPQRFRRPRDDQPKPGQAPRPAGAPTAPRT >itb03g24140.t1 pep chromosome:ASM357664v1:3:22684180:22689152:-1 gene:itb03g24140 transcript:itb03g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSDDEGECRYFDAPETIAQASDLCSSCLESTSNSYEYDLWVRRPRSVRERRRKFLNEWMDLSVDRAPSEDPDDLYGDANALGEEIDRIMVSTGSVLRNSISKDEFSFSQSSVSGSSEESGSHANFIFGSDNTDCEMDCNVHSLAEHLMSSNRPNVGFGQLLMSEDFENHSCESRSAQQPRQRESPVNGKVRTILKRVKIHCLNRLRSLRHLMNSEGKTENLKSNASSPIDGTGVQRVKVHHCRKNSKELSALFMGQDIPAHDGSILTMKFSLDGQYLASAGEDKILRVWQVVADERSNDFDIPELDPSCLYFSVNHLSQLTTVATEKERIHRLMGIRKAADSACVIFPPKVFRIQENPLHVFHGHCAEILHLSWSKNNLLLSSSIDKTVRLWKVGLNQCLRVFSHSNYVTCAEFNPVNDGYFISGSIDGKIRIWATDGGQVVHWTEIRDIVTAVSYHPSGQGGIIGSITGTCHFFNVTENRIQLEPQLCMISKKKSPVKRITGIQFVPSDPSKVMVTCADSHVRIINGINVIGKYRGPRNCGHQISASFTSDGKHIISASEDFNVNLWDYVSPKESSPSKTKVVKALEFFSGDASVAVPWSGLKFREPDSGCQSNGGDESLNNTLPFSFTSLGHEFFLEAFPKCSATWPEEKLPLSSAHNSPPMSKSQYKFLKSSYQISSSSHAWSLVIVTAGWDGRIRSFHNYGLPVRL >itb03g24140.t2 pep chromosome:ASM357664v1:3:22684180:22689152:-1 gene:itb03g24140 transcript:itb03g24140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSDDEGECRYFDAPETIAQASDLCSSCLESTSNSYEYDLWVRRPRSVRERRRKFLNEWMDLSVDRAPSEDPDDLYGDANALGEEIDRIMVSTGSVLRNSISKDEFSFSQSSVSGSSEESGSHANFIFGSDNTDCEMDCNVHSLAEHLMSSNRPNVGFGQLLMSEDFENHSCESRSAQQPRQRESPVNGKVRTILKRVKIHCLNRLRSLRHLMNSEGKTENLKSNASSPIDGTGVQRVKVHHCRKNSKELSALFMGQDIPAHDGSILTMKFSLDGQYLASAGEDKILRVWQVVADERSNDFDIPELDPSCLYFSVNHLSQLTTVATEKERIHRLMGIRKAADSACVIFPPKVFRIQENPLHVFHGHCAEILHLSWSKNNLLLSSSIDKTVRLWKVGLNQCLRVFSHSNYVTCAEFNPVNDGYFISGSIDGKIRIWATDGGQVVHWTEIRDIVTAVSYHPSGQGGIIGSITGTCHFFNVTENRIQLEPQLCMISKKKSPVKRITGIQVFVPSDPSKVMVTCADSHVRIINGINVIGKYRGPRNCGHQISASFTSDGKHIISASEDFNVNLWDYVSPKESSPSKTKVVKALEFFSGDASVAVPWSGLKFREPDSGCQSNGGDESLNNTLPFSFTSLGHEFFLEAFPKCSATWPEEKLPLSSAHNSPPMSKSQYKFLKSSYQISSSSHAWSLVIVTAGWDGRIRSFHNYGLPVRL >itb03g24140.t3 pep chromosome:ASM357664v1:3:22684180:22689152:-1 gene:itb03g24140 transcript:itb03g24140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSDDEGECRYFDAPETIAQASDLCSSCLESTSNSYEYDLWVRRPRSVRERRRKFLNEWMDLSVDRAPSEDPDDLYGDANALGEEIDRIMVSTGSVLRNSISKDEFSFSQSSVSGSSEESGSHANFIFGSDNTDCEMDCNVHSLAEHLMSSNRPNVGFGQLLMSEDFENHSCESRSAQQPRQRESPVNGKVRTILKRVKIHCLNRLRSLRHLMNSEGKTENLKSNASSPIDGTGVQRVKVHHCRKNSKELSALFMGQDIPAHDGSILTMKFSLDGQYLASAGEDKILRVWQVVADERSNDFDIPELDPSCLYFSVNHLSQLTTVATEKERIHRLMGIRKAADSACVIFPPKVFRIQENPLHVFHGHCAEILHLSWSKNNLLLSSSIDKTVRLWKVGLNQCLRVFSHSNYVTCAEFNPVNDGYFISGSIDGKIRIWATDGGQVVHWTEIRDIVTAVSYHPSGQGGIIGSITGTCHFFNVTENRIQLEPQLCMISKKKSPVKRITGIQVVLICPHTLYCVVSFHGLDCLKWKKNI >itb03g25800.t1 pep chromosome:ASM357664v1:3:25034707:25035625:1 gene:itb03g25800 transcript:itb03g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRRRNGSRPASHRRRHFPVIDMLNWGSDPKVGDLISKAAEKWGFFQILNHGVPLEVLEEVKVATYRFFRQPAEEKNKHSKDNSPSNNVRNGIRFTPQAEKALECKIFSSSSKKRFLLPSLCSISLSLSLLKTRSNRVQGGSVVVVAVAEQPEIQTAIRHPCVKLL >itb13g13770.t1 pep chromosome:ASM357664v1:13:20340329:20353815:-1 gene:itb13g13770 transcript:itb13g13770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLNKRPHEEGGDGSGSGNHGHSSAPKYIHDDSNSYAKVMSSATHEYHSSYDAGQDVRMPKIPRTESRDVDRRSPLLPTFRVSSSLNDLHSDHTVGLEARLEAREGKDSIRDVKAENREAKAESRELYQGGKGDKDARTDSRVDDSKETKHERDSYSEYKVNMKSDKDSFSGVSNHLNWKDSKEQNRGKRYPDVSGGNMDPWHASRTNVHVSAEVPKESVNVENRDYVEACEAVGENRVDLKGDDKFKGKDRKRKEGKHWEWGGDKERNDGKSNVQVANSSIENKDTLKEDRETERWERERKDLSKDKDKPKDREKDHLKREIWKTERESSHNEKELMDAPGRTLEQETEKKKQKDHDGWKSGERESRDKRKERDQDVEGERNEKCNKYNDNDLEEVGMSADGGGDREREGFNYGVQQRKRMLRPRGSPQMGNRDPRFRSRVHDNEGSQGGKPDMSSIVYRVGECMQELIKIWKEYESSQAEKVGDSSQTGPTLEIRIPAEHVSATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPTILELCATIRVLPPQESYVSSLRNNVRSRAWGAAIGCSYRVERCCIVKKGGGTIDLEPCLTHSSTLEPTLAPVAVERTMTTRAAASNALRQQKFVREVTIQYNLCNEPWLKYSISVVADKGLKKPLFTSSRLKKGEVLYLETHTRRYELSFNGEKMVKATAVPHAHEVENEKHHAHHSHSVNGEKNVDGENVVVDVFRWSRCKKPLPQKMMKSYGIPLPLEHVEVLEENLEWEDVQWSQTGVWIAGKEYALARAHFLSPN >itb09g25460.t1 pep chromosome:ASM357664v1:9:25504762:25505642:1 gene:itb09g25460 transcript:itb09g25460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKDVAYLIVVVVLVFGVELRQEVQADSPCTRVCPKECLHQKSQDPAACYFSCVQRCTKGEDAETPVPQGMKEEAIGKTVPSETKNTIPTKDTGVLKNLIISSYDTKAPKDTAAAKQH >itb07g04980.t1 pep chromosome:ASM357664v1:7:3350685:3354954:-1 gene:itb07g04980 transcript:itb07g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRITSLLTRSLLSSSHSSSPLRLLAGGGNSILAGGIHRFSTAAAVEEAIKPPVSVEHTQLLINGHFVDSASGKTFQTIDPRTGEVIANVAEGDAEDIDRAVCAARKAFDEGPWPRMTAYERSKILLRTADLIEKHNDEIAALETWDNGKPYIQSAKIEVPMLVRLIRYYAGWADKIHGMTVPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVMKTAEQTPLSALYVAKLFHEAGLPPGVLNVVSGFGPTAGAALSSHMDVDKLAFTGSTATGKVVLELATRSNLKPVTLELGGKSPFIVCEDADVDKAVELAHFALFFNQGQCCCAGSRTYVHESVYDEFVEKAKARALKRAVGDPFLAGTEQGPQIDSEQFEKILKYIRLGVEGGATLEAGGDRLGTKGYYIKPTVFSNVKDNMLIAKDEIFGPVQSILKFSDLDDVVRRANASRYGLAAGVFTQNIDTANTLTRALRVGTVWINCFDTFDAAIPFGGYKMSGQGREKGEYSLKNYLQVKAVVTPLTNPAWL >itb09g22670.t1 pep chromosome:ASM357664v1:9:21205363:21207665:-1 gene:itb09g22670 transcript:itb09g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLQFLYDCVQLVIRKTCIIHWIRKSAIDDSILDLIRGNLGKDYRFQFQLGSGALLGASYIQSVTPYLSLGGEVFWAGQHRKSGIGYVARYNTDKMVAAGQVASTGIVALSYVQKVSEKVSLASDFMYNYLSRDVTASFGYDYILRQCRLRGKIDSNGCVAAFLEERLNMGLNFILSAEVDHKKKDYKFGFGLTVGE >itb02g12470.t1 pep chromosome:ASM357664v1:2:8514396:8516081:-1 gene:itb02g12470 transcript:itb02g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFSDLSINDDSSAFSDCNSDIFGEFPTASSQSRWLLLACAIDNFDELIPQLVCDLNSSSIDAIKQATMELWLLAKNKPENIIKIARAGAIKPLISLISSSDPQLLEYGVTAILNLSLCNENKQLIAAFGAIKPLVRALKVGTPVAKENVACALLRLSQIKESKVAIVQSGAIPPLVSLLENGNLRGKKDASTTLYSICSVKENKVRVVQAGIMKPLVELMADFSSNMVDKSGFVVSVLASAVKARVTLVEEGGIPVLVLVEIVEFRFRKTIRIGSTGNGIT >itb13g09480.t1 pep chromosome:ASM357664v1:13:13248650:13250866:1 gene:itb13g09480 transcript:itb13g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMRDIIGVVISKLPRELDIIGVVISKLPREFIVTNRTGQQKPREFIVTNRTGQQKAREFIVTNRTGQQKANDFVIVDEELKPIIFTMWGNFTVCQGVEIDKELQSGDYPVVLGRRITVTPYQGISLSTRTDSGVEVNPFGKRADALKEWAKTNSLVIERLIVEKAHNNAVSEMTSPLDQQISPISYLKKSFDQSKGVWVKSKITLIDNGNPTYYIGCNNCDKKINCNDEGIKFQCMFCGHANAVSIKRYRLIVELFDGTDRIQATLFNRLVDKLFSLTETENEKDGFDFQKLQKKLDTPTFAVELRCQTQDRGGMTTVYTVASICEDISTSSITGTKKKLAFGESSNPVEDSTNLPPSNVDNNYAREKRPRIE >itb14g17410.t1 pep chromosome:ASM357664v1:14:20659984:20664399:1 gene:itb14g17410 transcript:itb14g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQTALTSIVCKNVSNSAFLPGFHLPGHVTGLWRKDMCPNSFSGPRATLTFDPPTNNNAKSKQRKNTVDPNAPDFLPLPSFEECFPKSSKEQKVVVHEQSGHVLKVPFRRIHLSGDEPHFDTYDTSGPQGVNPRVGLPKLRKEWIDRREKLGGPRYTQMFYAKQGIITEEMAFCAAREQLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYDHWDDILDICNQYDISLSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPLAQTWDDTLSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYAEDHGYESAEEALRRGMDAMSAEFQAAKKTVSGEQHGEVGGEIYLPTNYINSMKI >itb14g17410.t2 pep chromosome:ASM357664v1:14:20659984:20664399:1 gene:itb14g17410 transcript:itb14g17410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQTALTSIVCKNVSNSAFLPGFHLPGHVTGLWRKDMCPNSFSGPRATLTFDPPTNNNAKSKQRKNTVDPNAPDFLPLPSFEECFPKSSKEQKVVVHEQSGHVLKVPFRRIHLSGDEPHFDTYDTSGPQGVNPRVGLPKLRKEWIDRREKLGGPRYTQMFYAKQGIITEEMAFCAAREQLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYDHWDDILDICNQYDISLSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPLAQTWDDTLSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYAEDHGYESAEEALRRGMDAMSAEFQAAKKTVSGEQHGEVGGEIYLPTNYINSMKI >itb09g27770.t1 pep chromosome:ASM357664v1:9:28211675:28213242:1 gene:itb09g27770 transcript:itb09g27770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHNKGLVQSKSKPQLLQLFSRRNPSDPGGFVPPSFVNLCSLKHLIVSYNYLGEVDLGTSLGNLSSLENLDLSGRCYLQSLPFGLSHLSNLTRLYLNNWRNLKALQDLPPNLNLLSAENCVSLEKIADISNLRQLRELNFENCKSLVELPGVGSLEFLQCLAIANCSALSIPLIENWYKARCEGDNLKIWVQVIRYVSSVSCRIPTDLRQYGFEMLFPLLNDDHINGVGVSVRSKSSGAWIVKEPPQTQYIGIKEYEEIEFEIPTRIEQVMEVYAHFHGVQKILCVVEIHRNRDGEVRFFPSNRGWIAAAS >itb03g23040.t1 pep chromosome:ASM357664v1:3:21154885:21157503:-1 gene:itb03g23040 transcript:itb03g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGCDTRYEIHQNAYIKLVLHALKHKSSAVNGVLLGRPSPTGDAVEVTDSVPLFHSQLGVLPPLEISLIMIEEYYGDKGLSIVGYFHGNERFDDAELGTVSKNIADHIYRYFPQAALLLLDNRKLEALPKSKDRSPVMQLYAKDTSRSWKLVGSDGNNQLTIKEPSANVVLLDYISSEKWKDIVDFDDHLDDISKDWLNEGLFK >itb12g27420.t1 pep chromosome:ASM357664v1:12:27810284:27812156:-1 gene:itb12g27420 transcript:itb12g27420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESVAVREPPESLASERFRIGYALAPKKVQSFIQPSLVDHAFQRGVDLVHVDLDKPLVEQGRLDCVIHKVYSEDWRNQLDDFSLQNPSAVIIDPLDAISRLHNRRSMLEVVNDLNVSSESEILGIPKQVFIADDSESLSGALTREGLRFPVIVKPLIANGSAGSHQMFLVFNEEGLKDLKPPIVLQEFVNHGGVIFKVYVAGKHVQCVKRRSLPDISEANFGTSANLLPFSQISNLTAQGSSDDSFAKLIEGAEMPPLSFVTEVANELRRALKLNLFNFDMIRDSRAGNRYLVIDINYFPGYAKMPSYETILTEFFLDIAHLKKNSLYPFHRSEIGSKDDKPA >itb07g11670.t1 pep chromosome:ASM357664v1:7:13148536:13151449:-1 gene:itb07g11670 transcript:itb07g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDIMADFFYRNLDKKAQKTTNKKPTEKKAVEEKNTIVGEKALVEKKPKRGKKIPKDAGSASGDKKNKKAKKNIESYKTYIFKGLKKVHPDLGITSKAMGIMNSFINDIFEKLAQEASKLAHCNKKPTITSREIQTAAKLVLPGELAKHAISEGTKAVTKFTST >itb09g08670.t1 pep chromosome:ASM357664v1:9:5164591:5167896:-1 gene:itb09g08670 transcript:itb09g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKNDFGDGASPGKIFIGGLAKDTTLDQFVKYFGKYGEITDSVIMKDRHTGRPRGFGFITYADPSVVDTVIAETHIINGKQVEIKRTIPKGSSESKDFKTKKIFVGGIPTSVSEDEFKEFFSKHGKVVEHEIIRDHVTKRSRGFGFIVFDNEQVVDNILADGNMIDMMGTQVEVKKAEPKKPSNPAPAPAYGSNSRGRGYAENYGGFDNSYSGFGGGGGFGPASYRSFGGGLGGRFGDYPYGGGGGGGGGEFGGRYGEYGSSDFGGYRGEPSLGYSSRFGSYGGGFGGGYGGSGLGPYGRGGGYGGYGGAGSGAGYDSGPGAGYGGAGGLYGSRGAGYSGSGRYHPYAR >itb07g23290.t1 pep chromosome:ASM357664v1:7:27708663:27714272:-1 gene:itb07g23290 transcript:itb07g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNQTAAPEPPPAQEIQAENNNVPKLSTSLDALIAEDPFQHPTSSENCSVEGYNHGNENGGAAGVNVKCNHVEVTEDEGWIVIPKKELPDNWSEAPDISSLRSMDRFFVFPGEQVKILACLSAYREDIEIITPFKVAALMNKNGVDQNSKSQNGSTVNATNPVSDGAKLNDGEYMNQNGTVKQGKYDLKKEASAGESLLRREDHRRQTESLLLRMKTSHFFARIAEPDEVLWTRRKATEDSSKMIGENVAADDLETQKTTKKKLSLSAAIDRGNFDAITSGGVARNAMKSCALPNGDIVVLLQVNVGVEFFRDPVLEILQFEKTQVRSLSGGQDSTYIDQDPCGELLKWVLPLDNSIPPAQPPSPQLNSSPTIRTTTTRSTSSPATGSQLFSFGNFRSYSMSSVPSNTIPPPSASTPNSKPNFDPEDWEQFSFQKAIKSEHNGTEGLLSFRGVSLEPERFSVHCGLEGIFMPGRRWRRKIEIIQPLEIKSFSANCNTDDLLCVQIKNVAPEHTPDVVLYLDAITIVYEEASQCGPPLSLPIACIEAGDDHCLPNLTLRRGEEHSFILKPAACSWKNSKGHSEKSSQLSHIKGGNIASASHQSSNIEGRNTASTADKYAILVSCGCNYTESKLFFKHPTSWRPRISRDLMISVASEMSKQTLVSDESGAQLPVQVLTLQASNLTSEDLTMTVRAPGSFTSPPSVLSLSSSPTSPLSPFLGSSEFTERLNNDKQAAAVQRLSSTSESKVHELKGHSRAVSFNEKAISLPNVLPTNDLGCTHLWLQSKVPLGCIPSQSTATIKLEVLPLTDGIITLNSLQIDVKEKGLTYIPEESLKIYATSSISTAMR >itb02g09370.t1 pep chromosome:ASM357664v1:2:5967841:5971035:-1 gene:itb02g09370 transcript:itb02g09370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQRWFVIGFVGFLVGIILNHFLPWLLRIGVVPKGSFGWPLLGETLAFLKPHPSNSIGSFLQDHCSRYGKVFKSHLFFSPTVVSCDQDLNYFILQNEDKLFQCSYPKPIHGILGKVSMLVAVGDTHKRLRNVALSLVNTTKSKPEFLNDVETAALHILDSWKDMKQVIFWEESRKFTFNVIVKQVLGLTPEEPQTTEILQDFLTFMRGLISLPLYIPGTPYARAVQARRRISSTVKAIIEERRRRRGHLGDHNSKKNDFLEILLYVDTLSEDEKISFVLDSLLGGYETTSLLMSMVVYFLGQSNSALEQLKLEHQSIRSMKKKGEFLNWEDYKKMDFSQKVMNEALRYGNVVKFVHRKALKDVKFRDYIIPSGWKVLPVFSAVHLDPNLHANALQFNPWRWESDDQTCKKFTPFGGGSRSCPGSELAKVEVAFFLHHLIQNYRWKVEEGEQAMAYPYVEFHKGLAIKLQPLKNQFGSG >itb15g19000.t1 pep chromosome:ASM357664v1:15:20981491:20982126:1 gene:itb15g19000 transcript:itb15g19000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRKSNGYALRSSFQRSLSPSGRFCTPATEKDVSSFASYTSSSFCSSPSTGFFNRSASPTRVKLHGFAASTPTPSVRFSIDRSISPHRSVAVSRRDQVVRKQGVGNPLVNLPKKTCLCSPTSHPGSFRCSLHKNVNTRPSISYSPNRLNARRSAMTNSLVRIGTVEGDLVKRALAALIRPSSHQQRRRGDFHPRPSRLSRMSKAEEDL >itb05g23680.t1 pep chromosome:ASM357664v1:5:28738423:28742040:-1 gene:itb05g23680 transcript:itb05g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPMTSQDQNGGMGNCISARRIQQSDEHAIKVRKPYTITKQRERWTEEEHRKFLDALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVERESNGDDAKTVKPIEIPPPRPKRKPMHPYPRKLVITPVSVGMLDLEKPTRSVSPNLSFSGKENQSPTSVLFALDSEGQASADSSPNASPSCVTSATGGGILFSKHCNVLVEENGSSSLLQVNTDDPTPIEPPPGNLELLPLDDGYVRENSTAQYLKLFGKTVLVNGSHTLQSPTSGDLKALKMAIQPFSWNFLSIGHGDSECKPSTFADGTLTPRSVYHLPLAQNEYPKTMESINPVPSLPWALPRAPSSAGFPCVVQVHSPIPIKARPLSFDSKDVEEKEKEGSSTCSNSGSVNVGICVDKNLDTETQSGQQSFEKLGSDSSCIIKPTILEQKTASSTKRTKGFLPYKRCLAERATRSPTITSEEREKQRTRLCL >itb15g11230.t1 pep chromosome:ASM357664v1:15:9065053:9066610:1 gene:itb15g11230 transcript:itb15g11230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGGPRYGETTGSTVQGFRFRRICCLSFIGPFFLGGLSYVLGWMVSGCKWRGGIRRIRLTAGCFLCVIWRHTSVRE >itb04g32410.t2 pep chromosome:ASM357664v1:4:34985257:34988577:1 gene:itb04g32410 transcript:itb04g32410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYYSSFQDSSMKTESEDKMTHYYSSFQDSSMKTESEDKMTSKGGISEDTTGGGNAGSVGGKALKKGPWTSAEDAILVEYVTKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEESRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDICLKALNESKQNEDFSTFPNGGTHHPDLLQINNFEIPAVEFRSLEVNQQLYPPALLEIPSSSLLDIPASSLLAHGLNSSYGNRSVLSTMHPSKRIRGSEPLFPGLNVNASDILPACSPYQNDSSVEIAQSFGFSSAYDQNLASGHSSFSGVISGSHASLNGNSSSLEPTWAKKMELPSFQSQMGSWGSPSSPLPSLESVDTLIQSPPTEHNTGSGSLSPRNSGLLDAVLYESQTLKHTKSNSSEQTSGAFMMPGDIMDSSCPDLHGTELEAYGDPISPLGHSVASVFSEYTAISGSSLDEPQSVETMPGEGYLFFVKFLNCVFSHNVKFWDYYDFLGDKVKQEDAGSASVQCDDKNDASNQDEMFSRPDFLLDSNCFDMKADHGKNHSILKDAFGAVLFDDFSKDCKKMGAVTTSTGQDSCAWDAMSTF >itb04g32410.t1 pep chromosome:ASM357664v1:4:34983809:34988577:1 gene:itb04g32410 transcript:itb04g32410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESEDKMTSKGGISEDTTGGGNAGSVGGKALKKGPWTSAEDAILVEYVTKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEESRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPPDICLKALNESKQNEDFSTFPNGGTHHPDLLQINNFEIPAVEFRSLEVNQQLYPPALLEIPSSSLLDIPASSLLAHGLNSSYGNRSVLSTMHPSKRIRGSEPLFPGLNVNASDILPACSPYQNDSSVEIAQSFGFSSAYDQNLASGHSSFSGVISGSHASLNGNSSSLEPTWAKKMELPSFQSQMGSWGSPSSPLPSLESVDTLIQSPPTEHNTGSGSLSPRNSGLLDAVLYESQTLKHTKSNSSEQTSGAFMMPGDIMDSSCPDLHGTELEAYGDPISPLGHSVASVFSEYTAISGSSLDEPQSVETMPGDKVKQEDAGSASVQCDDKNDASNQDEMFSRPDFLLDSNCFDMKADHGKNHSILKDAFGAVLFDDFSKDCKKMGAVTTSTGQDSCAWDAMSTF >itb14g00760.t1 pep chromosome:ASM357664v1:14:519512:522667:-1 gene:itb14g00760 transcript:itb14g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKAEQELERRSKFLNSLILRKKAVDQNQHDQRFKNVRVRASDMPPPLQSRAFRCAKENLDAMPSGKLDSKRLALALKKEFDSTFGPAWHCIVGTSFGSYVTHSHGGFLYFSIDKVYILLFKTAVEPLNH >itb01g16770.t1 pep chromosome:ASM357664v1:1:21217042:21223039:-1 gene:itb01g16770 transcript:itb01g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKASSSKNSRSVSQGNDTSIAGLQMKHQRELENLTLMTQPFKTAKLFIIAVVLYLRRSLAYLLSHVWFMLLSSVVVLAGIMLVITDGFHGKHFEEVLKYVQFGLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDIKSAPYDTIQLKRTPSWLGKECSEFGPPLFPPSSGARVPLSSILPNVQLEAILWGLGTALGELPPYFISRAARISGSRMEELDASSTEDNGFFATHLNHIKHWFLSNAQYLNFGTILLLASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKALVKTHIQTVFIISVCNNQLLEWIENELIWVLSFVPGIDSILPNLITKLHSMKEKYMATKPHVPSNIKVSKWDFSFASLWNTVVSIMLLNFFVKIVNATAQRYLKKQQERDLAAMKGKATVETDH >itb01g16770.t2 pep chromosome:ASM357664v1:1:21217042:21222463:-1 gene:itb01g16770 transcript:itb01g16770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQRELENLTLMTQPFKTAKLFIIAVVLYLRRSLAYLLSHVWFMLLSSVVVLAGIMLVITDGFHGKHFEEVLKYVQFGLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDIKSAPYDTIQLKRTPSWLGKECSEFGPPLFPPSSGARVPLSSILPNVQLEAILWGLGTALGELPPYFISRAARISGSRMEELDASSTEDNGFFATHLNHIKHWFLSNAQYLNFGTILLLASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKALVKTHIQTVFIISVCNNQLLEWIENELIWVLSFVPGIDSILPNLITKLHSMKEKYMATKPHVPSNIKVSKWDFSFASLWNTVVSIMLLNFFVKIVNATAQRYLKKQQERDLAAMKGKATVETDH >itb01g16770.t3 pep chromosome:ASM357664v1:1:21217042:21222463:-1 gene:itb01g16770 transcript:itb01g16770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQRELENLTLMTQPFKTAKLFIIAVVLYLRRSLAYLLSHVWFMLLSSVVVLAGIMLVITDGFHGKHFEEVLKYVQFGLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDIKSAPYDTIQLKRTPSWLGKECSEFGPPLFPPSSGARVPLSSILPNVQLEAILWGLGTALGELPPYFISRAARISGSRMEELDASSTEDNGFFATHLNHIKHWFLSNAQYLNFGTILLLASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKALVKTHIQTVFIISVCNNQLLEWIENELIWVLSFVPGIDSILPNLITKLHSMKEKYMATKPHVPSNIKVSKWDFSFASLWNTVVSIMLLNFFVKIVNATAQRYLKKQQERDLAAMKGKATVETDH >itb13g00520.t1 pep chromosome:ASM357664v1:13:412541:417578:1 gene:itb13g00520 transcript:itb13g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVDDEESVKLCKDRKKFIKEAVEYRLRFASGHIAYIQSMKRVSAALRDYVEVDEPREFLLDAFTTNQPFAPAKKVKSGGFISIEPKSFTITPLPPENNSTLRVNYLRSGGNASVSVEERPQLPETFRIETYSPVHQYGMDGFFPMQSPPVSSSIFNYSPNNRPNFPPSPQNTQWDFFWNPFASLDYYGYPTTSNLDHEILDDDDNDGLRQVREKEGIPDLEEESEQEETNYRGIAKEERARIHQKFINEVVVEDVDDDDDDDDDDDDDDSDDNDEIDEHIDTDHHVQGLNSNSNHHAQGLKSNANHHAQGLNSNANHHAQGLNSNANHHVQGLNSNANHHFQGLNSNANRHAQGLKSNANHHVQELKPNGGNHSTAVAKAQNRGQLSSKGTAVVDCEAKEEAPGFTVYVNRRPTSMAEVIKDLETQFTIACNSATEVSSILESIRAQYSSTSNDLKHMKMLNPVSLFRSGSSRSSSSRFLINPSTLKDESYQSNSDTSDDSSMLSSSHQSTLDRLFVWEKKLYQEVRAGERVRLAYEKKCAQLRNQDVSGADPSSLEKTRAAIRDLHTQIKVSIHSVESISKRIESLRDDELQPQLLQLVEGLGKMWKVMAECHHIQKRTLDDAKLLLAGMPSKHAGIKKYTIMSPSEPHQLARSAANLEMELRNWRACFESWITSQRSYLHALTGWLLRCLHEDADTSKTPCSPSRAEGAPPIFNICIQWSKLLDSVRELPVLDGLDFFAAGVGSLYAHQLKEDSRGWNPGGSKRFSGEPSSNNMELVEVGKVDEEVMTAEKMAEVAIRVLCAGMSVSVSSLTEFSIVSAEGYADLLKNWEEKRLLQNSNRNGNGM >itb13g00520.t4 pep chromosome:ASM357664v1:13:412725:417578:1 gene:itb13g00520 transcript:itb13g00520.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVDDEESVKLCKDRKKFIKEAVEYRLRFASGHIAYIQSMKRVSAALRDYVEVDEPREFLLDAFTTNQPFAPAKKVKSGGFISIEPKSFTITPLPPENNSTLRVNYLRSGGNASVSVEERPQLPETFRIETYSPVHQYGMDGFFPMQSPPVSSSIFNYSPNNRPNFPPSPQNTQWDFFWNPFASLDYYGYPTTSNLDHEILDDDDNDGLRQVREKEGIPDLEEESEQEETNYRGIAKEERARIHQKFINEVVVEDVDDDDDDDDDDDDDDSDDNDEIDEHIDTDHHVQGLNSNSNHHAQGLKSNANHHAQGLNSNANHHAQGLNSNANHHVQGLNSNANHHFQGLNSNANRHAQGLKSNANHHVQELKPNGGNHSTAVAKAQNRGQLSSKGTAVVDCEAKEEAPGFTVYVNRRPTSMAEVIKDLETQFTIACNSATEVSSILESIRAQYSSTSNDLKHMKMLNPVSLFRSGSSRSSSSRFLINPSTLKDESYQSNSDTSDDSSMLSSSHQSTLDRLFVWEKKLYQEVRAGERVRLAYEKKCAQLRNQDVSGADPSSLEKTRAAIRDLHTQIKVSIHSVESISKRIESLRDDELQPQLLQLVEGLGKMWKVMAECHHIQKRTLDDAKLLLAGMPSKHAGIKKYTIMSPSEPHQLARSAANLEMELRNWRACFESWITSQRSYLHALTGWLLRCLHEDADTSKTPCSPSRAEGAPPIFNICIQWSKLLDSVRELPVLDGLDFFAAGVGSLYAHQLKEDSRGWNPGGSKRFSGEPSSNNMELVEVGKVDEEVMTAEKMAEVAIRVLCAGMSVSVSSLTEFSIVSAEGYADLLKNWEEKRLLQNSNRNGNGM >itb13g00520.t2 pep chromosome:ASM357664v1:13:412607:417539:1 gene:itb13g00520 transcript:itb13g00520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVDDEESVKLCKDRKKFIKEAVEYRLRFASGHIAYIQSMKRVSAALRDYVEVDEPREFLLDAFTTNQPFAPAKKVKSGGFISIEPKSFTITPLPPENNSTLRVNYLRSGGNASVSVEERPQLPETFRIETYSPVHQYGMDGFFPMQSPPVSSSIFNYSPNNRPNFPPSPQNTQWDFFWNPFASLDYYGYPTTSNLDHEILDDDDNDGLRQVREKEGIPDLEEESEQEETNYRGIAKEERARIHQKFINEVVVEDVDDDDDDDDDDDDDDSDDNDEIDEHIDTDHHVQGLNSNSNHHAQGLKSNANHHAQGLNSNANHHAQGLNSNANHHVQGLNSNANHHFQGLNSNANRHAQGLKSNANHHVQELKPNGGNHSTAVAKAQNRGQLSSKGTAVVDCEAKEEAPGFTVYVNRRPTSMAEVIKDLETQFTIACNSATEVSSILESIRAQYSSTSNDLKHMKMLNPVSLFRSGSSRSSSSRFLINPSTLKDESYQSNSDTSDDSSMLSSSHQSTLDRLFVWEKKLYQEVRAGERVRLAYEKKCAQLRNQDVSGADPSSLEKTRAAIRDLHTQIKVSIHSVESISKRIESLRDDELQPQLLQLVEGYAR >itb13g00520.t3 pep chromosome:ASM357664v1:13:412701:417539:1 gene:itb13g00520 transcript:itb13g00520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVDDEESVKLCKDRKKFIKEAVEYRLRFASGHIAYIQSMKRVSAALRDYVEVDEPREFLLDAFTTNQPFAPAKKVKSGGFISIEPKSFTITPLPPENNSTLRVNYLRSGGNASVSVEERPQLPETFRIETYSPVHQYGMDGFFPMQSPPVSSSIFNYSPNNRPNFPPSPQNTQWDFFWNPFASLDYYGYPTTSNLDHEILDDDDNDGLRQVREKEGIPDLEEESEQEETNYRGIAKEERARIHQKFINEVVVEDVDDDDDDDDDDDDDDSDDNDEIDEHIDTDHHVQGLNSNSNHHAQGLKSNANHHAQGLNSNANHHAQGLNSNANHHVQGLNSNANHHFQGLNSNANRHAQGLKSNANHHVQELKPNGGNHSTAVAKAQNRGQLSSKGTAVVDCEAKEEAPGFTVYVNRRPTSMAEVIKDLETQFTIACNSATEVSSILESIRAQYSSTSNDLKHMKMLNPVSLFRSGSSRSSSSRFLINPSTLKDESYQSNSDTSDDSSMLSSSHQSTLDRLFVWEKKLYQEVRAGERVRLAYEKKCAQLRNQDVSGADPSSLEKTRAAIRDLHTQIKVSIHSVESISKRIESLRDDELQPQLLQLVEGYAR >itb10g01750.t2 pep chromosome:ASM357664v1:10:1435721:1438159:1 gene:itb10g01750 transcript:itb10g01750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVGLLVCALILALDIVAGILGIKAEAEQDKEKHLRLWLFECKEPSHEAFVLGGAAIGVLVIAHLIANLVGGCSVCATDEIKNASTRKQIAIAFLAFTWIIFAVGLGTLVIGTMANRKSRASCGMSHHNFLSIGGIACFVHGAFALGYYVTATMAT >itb10g01750.t1 pep chromosome:ASM357664v1:10:1433671:1438426:1 gene:itb10g01750 transcript:itb10g01750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVGLLVCALILALDIVAGILGIKAEAEQDKEKHLRLWLFECKEPSHEAFVLGGAAIGVLVIAHLIANLVGGCSVCATDEIKNASTRKQIAIAFLAFTWIIFAVGLGTLVIGTMANRKSRASCGMSHHNFLSIGGIACFVHGAFALGYYVTATMAT >itb02g05720.t1 pep chromosome:ASM357664v1:2:3523274:3523648:1 gene:itb02g05720 transcript:itb02g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIGISCFQFVKQYYSLEVVWPVKLRILWLLIDSSCRSHHINGTSHGLRLLQEWVKKSRVSNWRYWMINTGNGSMPRLCGCKRHVTLRKTVKRTSLQARYISTRCSNPDTRPLILQGSNRLDN >itb07g04380.t1 pep chromosome:ASM357664v1:7:2940508:2943223:-1 gene:itb07g04380 transcript:itb07g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYSFLLCIIIISHLAPPPTVVAQPLSTNSRWIVNESGRRVKLACVNWVTHLEVAVAEGLSKQPVDVISKKIVDMGFNCVRLTWPLFLFTNDSLASLTVRQSFKNLGLLESIAGLQANNPSIVDLPLISAYQVVVGSLAKYKVMIILDNHISKPGWCCSSYDGNGFFGDIYFDPKLWVIGLTKVATMFNGTSNVVGMSLRNELRGPKQNVNDWYRYMQQGAEAVHAANPDLLVILSGLSFDKDLSFLQKTPVNLTFSGKLVFEVHRYGFTDGEDWASGNPNKVCGRITNDIMSRGGFVLDKGYPLFVSEFGIDLRGTNVNDNRYFNCFLGLAAELDFDWALWTLAGSYYLREGSVGLEEFYGVLTWNWCEPRNLSFLQRISIMQSPFRGPGYTESRPHKLIFHPMTGLCVRRVSLFQPLELGPCSESDPWGYTTGKTLTVEGTYFCLQAEKLGKPAKLGLFCTDDSSKWEIISDSRMHLSSKLKDGSNVCLDVDSNNVIITNTCKCLNNDSSCDPASQWFKITDSTRGTATKSDFQSTSFLYFLAKKLFASYI >itb07g04380.t2 pep chromosome:ASM357664v1:7:2940508:2943223:-1 gene:itb07g04380 transcript:itb07g04380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYSFLLCIIIISHLAPPPTVVAQPLSTNSRWIVNESGRRVKLACVNWVTHLEVAVAEGLSKQPVDVISKKIVDMGFNCVRLTWPLFLFTNDSLASLTVRQSFKNLGLLESIAGLQANNPSIVDLPLISAYQVVVGSLAKYKVMIILDNHISKPGWCCSSYDGNGFFGDIYFDPKLWVIGLTKVATMFNGTSNVVGMSLRNELRGPKQNVNDWYRYMQQGAEAVHAANPDLLVILSGLSFDKDLSFLQKTPVNLTFSGKLVFEVHRYGFTDGEDWASGNPNKVCGRITNDIMSRGGFVLDKGYPLFVSEFGIDLRGTNVNDNRYFNCFLGLAAELDFDWALWTLAGSYYLREGSVGLEEFYGVLTWNWCEPRNLSFLQRISIMQSPFRGIYEQNQQIDYHIISLRNLRINDID >itb06g19810.t1 pep chromosome:ASM357664v1:6:23019812:23027697:1 gene:itb06g19810 transcript:itb06g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISTIMGFFGFGFGITIGILAGYFMFIYVQPSNVKDPDIRPLAEQESEGLQRLLPEIPLWVKNPDFDRLDWLNRFIETMWPYLDKAICKTVKQIADPIIAEQIPKLKIEAVEFEELTLGSLPPTFQGMKVYSTEEKELIMELSLKWAANPNILVAVKAFGLKATAQVLDLQVFALPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMSIPGLYLFVQDIIKEQVGNMYLWPKTLEVQIMDPAQAMQRPVGILHVKVVKAMKLKKKDLLGASDPYVKLKLTGDKLPSKKTTVKHKNLNPEWNEEFTFVAKDPQSQSLDISVYDWEQVGSHDKMGVNIVHLKDLTPEEPKVLTLDLLKNLNRDDPQNEKSRGQLVLEVMYKAFSDEQMPANFEESKEVQTAPEGTPEGGGLFVVIVHEAQDLEGKHHTNPSVRLIFRGEEKRTKVIKKNRDPRWDEEFQFMLDKPPTNDKMHVEVVSTSSRMGLRHPKESLGYVDIPLVDVVNNKRTNERYHLIDSKNGRLQLVLEPNTTFNPFVPNKNSPHNLNPTQKLKFLELRLGFSISERGLGISADWVIAVWLILYKSPDLIKRAFGDG >itb14g20840.t1 pep chromosome:ASM357664v1:14:23116207:23118900:1 gene:itb14g20840 transcript:itb14g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSHHHHNLILVCSFFLVFCVVASEASHLKKHFYKKECPYVEEIARSITWQHTSSNPQLPAKLLRLHFHDCFVRGCDGSILLDSTTTNKAEKAAFPNLSLAGFEVIDEIKAAIEVECPGVVSCADIVALAARDSVSYQFKKKMWSVPMGRRDGSISQASEALSNLPSPSSNFSTLVQRFASKGLGVHDLVILSGGHTIGMGHCNLFSKRLYNFTGNGDQDPSLSPSYADVLRTKCKNLNDTSAVEMDPGSSHDFDTDYFVILKQKMGLFQSDAALLTDFHARKIVDKMVDQDFFFKSFANSMEKMGAIQVLTGNSGEVRKNCRVPNS >itb10g26170.t1 pep chromosome:ASM357664v1:10:29368541:29368954:1 gene:itb10g26170 transcript:itb10g26170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVQVLDDAADLSNNQLNWTNLFLWNKFGKSLSAADAEAAKLIQTIKTIDDKTLAQLKNMAKTLSDDFSEVKKMIEEMKDDSSDLTKLQTVVQNVLHTNNYEAKFYKIEARLDSLEKSVEDINNLTKKIAQRFGII >itb06g15380.t1 pep chromosome:ASM357664v1:6:19751944:19757656:-1 gene:itb06g15380 transcript:itb06g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLWLIVWYASLCCLLREAEALQYRITPSQSLSDGESLVSEEGSFELGFFSPGSSKYRYLGIWYRNIAVRTVVWVANRKIPIKDLSGVLTVNSTGSLVLLSGKSNDIWSTNSFREARSPVAKLLDSGNLVLVDEKDGNSDVFLWQSFDYPSDTLLPGMKYGWDLRAGLNRRITSWKNSDDPSPGALSAGLDLHRYPESVIWKNSRKYYRGGPWNGLRFSGGPELRPNPVFDFKFVSNQDEAYYMYQLKNKSVITRLVLNDTTSTRQRYVWVEADQSWKLYGEVPRDYCDNFNLCGANGVCVISDSPVCQCLEGFKPKSPESWNSMDWSQGCILSEPLNCLNRKVFVKFTGLKLPDTTSSWVNATMNLAECRETCLKNCSCMAYTNLDVRGQGSGCALWFGNLIDIRQFPDSGQDLYIRIEASDKGFPAVKVIIIVVITAAVFSGMLLACYCLFRRKKGKESMDFLIAGNFQGTLTDGRDIAVKRLSQSSGQGMNEFKNEVRLIAKLQHRNLVRLIGSCIDGEEKMLIYEYMANKSLNSFLFDKRRKRDLNWSRRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDEELNPKISDFGMARTFGGDQNEDNTRRVVGTYGYMAPEYALYGLFSVKSDVFSFGILLLEIISGKKNGSFNHSEFHHNLIGYAWQVWTEGRPLELIDSSLDDSCSLPEMLRCMHVALLCIQQSPDNRPNMSSVVLMLNGESTLPEPKQPGFLIDVMPTEAYPSSSQRETCSVNEITFTLLDAR >itb03g15810.t1 pep chromosome:ASM357664v1:3:15039655:15042309:1 gene:itb03g15810 transcript:itb03g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNLDRMDGLETVLEVPIPNEVYSSPKDVKAWVKSHREPSISGGRNAEIQLLLGVIGAPLIPHPVRPHHFPNNKINDHPIETAMAKYIVLQYMAAAGGESALGCIENMYAMGKVKVASAEFVCEDNGLNNGGKKVMKKMKSNGAQGEMGGFVVWQKRPDLWSLELLISGCKISAGSDGKVAWRQTPWHNSHAARGPPRPLRRTLQGLDPMSTANLFSNSICSGERTVNGEECFVLKLEAEPTALKARSSSNVEIMRHTVWGYFSQRTGLLIQLQDSHLLRIKSPGNDDVLWETTMTSLIQDYRTLKGVNIAHAGRTSVSLSRLDQNSQPHTVTRMEEVWSIEEVDFNVKGLSADSFLPPSDLFNKKQGDRKLSAFLSSRKT >itb06g16840.t2 pep chromosome:ASM357664v1:6:20854265:20856633:-1 gene:itb06g16840 transcript:itb06g16840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFKPPSRSSRRLTADLLWGRADLSGAKKNSNSSGSHYSKPLRSELVVLDDDFEADFQDFKDHSYGQVDAKPFAFSASHRPGFSSDSSKDADNSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFDTAEEAARAYDIEARRIRGKKAKVNFPDDAPLTVQKNTAKVNPQKAVPDLSANDFGYYDPSNFFEEKPLTKLNPAPGDMGSKSYFPSDAANLYFSSEEGSNLLDCSDFGWADQSSRSPEISSVLSAALEADEAQFAEEANPRKKLKSSSDNLLASNGNTVEKLSEELSAFEAQMKFFDIPYLEGNCSAPTFNAYATQDGGMNLWCFDDIPSFTGDVF >itb06g16840.t1 pep chromosome:ASM357664v1:6:20854180:20856708:-1 gene:itb06g16840 transcript:itb06g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFKPPSRSSRRLTADLLWGRADLSGAKKNSNSSGSHYSKPLRSELVVLDDDFEADFQDFKDHSYGQVDAKPFAFSASHRPGFSSGPDSDSSKDADNSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFDTAEEAARAYDIEARRIRGKKAKVNFPDDAPLTVQKNTAKVNPQKAVPDLSANDFGYYDPSNFFEEKPLTKLNPAPGDMGSKSYFPSDAANLYFSSEEGSNLLDCSDFGWADQSSRSPEISSVLSAALEADEAQFAEEANPRKKLKSSSDNLLASNGNTVEKLSEELSAFEAQMKFFDIPYLEGNCSAPTFNAYATQDGGMNLWCFDDIPSFTGDVF >itb11g14500.t6 pep chromosome:ASM357664v1:11:11646917:11655266:1 gene:itb11g14500 transcript:itb11g14500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKNYTGDKEMLGKCEQVCNQII >itb11g14500.t4 pep chromosome:ASM357664v1:11:11646917:11660106:1 gene:itb11g14500 transcript:itb11g14500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKNYTGDKEMLGKCEQFFLELMKVPRVESKLSVFLFKIQFNTQVSDFRKSLTLVNSACEEVRNSVKLKDIMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRANNRMTLMHYLCKALAQKSPGLMDFHVEFVNLEASSKIQLKALADEMQNITKGFDKVKKELVASESDGPVSETFLKVSWLYAPSSDSY >itb11g14500.t7 pep chromosome:ASM357664v1:11:11646917:11655266:1 gene:itb11g14500 transcript:itb11g14500.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKCTRI >itb11g14500.t3 pep chromosome:ASM357664v1:11:11646917:11662703:1 gene:itb11g14500 transcript:itb11g14500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKNYTGDKEMLGKCEQFFLELMKVPRVESKLSVFLFKIQFNTQVSDFRKSLTLVNSACEEVRNSVKLKDIMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRANNRMTLMHYLCKALAQKSPGLMDFHVEFVNLEASSKIQLKALADEMQNITKGFDKVKKELVASESDGPVSETFLKTLKEYIGNAEAEVASLTNLYSVAGKNADALALYFGEDPAKCPFEQGKVLRNGSHSLTRFIGLY >itb11g14500.t2 pep chromosome:ASM357664v1:11:11646917:11662703:1 gene:itb11g14500 transcript:itb11g14500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKNYTGDKEMLGKCEQFFLELMKVPRVESKLSVFLFKIQFNTQVSDFRKSLTLVNSACEEVRNSVKLKDIMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRANNRMTLMHYLCKALAQKSPGLMDFHVEFVNLEASSKIQLKALADEMQNITKGFDKVKKELVASESDGPVSETFLKTLKEYIGNAEAEVASLTNLYSVAGKNADALALYFGEDPAKCPFEQEMPRGEFETGGTCKEEGSEGG >itb11g14500.t5 pep chromosome:ASM357664v1:11:11646917:11656182:1 gene:itb11g14500 transcript:itb11g14500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKNYTGDKEMLGKCEQISWCCNESKKGKF >itb11g14500.t8 pep chromosome:ASM357664v1:11:11646917:11655266:1 gene:itb11g14500 transcript:itb11g14500.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKVKLPSLKLYFFGCL >itb11g14500.t1 pep chromosome:ASM357664v1:11:11646917:11662703:1 gene:itb11g14500 transcript:itb11g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDRLLEISERVYVFDCCFSTNVLDEDEYKTYLGGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYDMTVMDYPLQYEGYPVLQLVMIHHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTPLALNCIIIRLLPLYNNGRGCRPVVHVYGQDPSLVKSNQSSKLLFSTSKTKKHSRLYLQEECELVKIDIRCHVQGDIVLECIHLDDDLVGEEMMFRVMFHTAFIRSNILMLTRDEIDVLWDFRDRFSREFRVEVLFLDADALPSIITTEEPSEDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTSCSIESQAVFPGVHDNSDEGKNNQDRDGTPTQKLERQGSEHKMDADSNKQQSDNVPPAASKKQPLANSTTSADAFGSKNESKHQESQAVFPVVHGKSDEGENNQDRESIATQKLEMQGSEQKMDGDRNRQQSDNVPLAAPKKQQLANSTTSADAFGTKNESKHQESQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSVHPFGAKNESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSEPPALALDKDFQSQGKPKAPSPCASPEIFAPTNDSIFMADCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVLQVHPPPPPPPPLPTWYTSSLSSQVPYEQQVAEMNSSPLPTPYFPQHASHSTTVSSLGLIPPPPPLPTLLLFSNCVAVGTTSPPLPVALPPALPQTVIASETTPFSTSFELPPPSSTSTPPHPPPTTSAQNDYKILPTSPSSSPSLGQTSVSSYTFPLVVSSSPPLPPPPPPPPPLHAPCMVESTNVGASSPPLLVHSSLITPPPPPLSQGSLVSTPPPPPPLPLKDETLPPPLPLQGAPQLPPSQPLLPLRVGLPHPAPLPSTPLHLLFAPLLNSALKDAAPVSPHCCPSCGAIGALPSFPYQGVQPSPPSIITLPPEPTTPTCRAPPPPPPPTFEEAPKPPPLPEDAAPPPLLPLSLGSEAPPPPPPLGVGAPLPPPPPPLVGGEEPQTPSLGSGVPPLTPPPPPPPIFGGAPPPPPPPGGAPPPPSLPGGAPPPPLPPGGAPPPPPPPGGVPGPPPPPGPPGGPPPPPGPPGGPPPPPGLPGGPPPPPGPAGGPPLPPGAPGGAPPPPGRGIPGRGRGLPGRGAGAAKRSNLKPLHWSKVTRALQGSLWEELQRHGDPQVAPEFDVTEIETLFSTVVPKSNKDKSGGKQKAAGSKPDKIHLIDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKEEMEQLKNYTGDKEMLGKCEQFFLELMKVPRVESKLSVFLFKIQFNTQVSDFRKSLTLVNSACEEVRNSVKLKDIMKKILYLGNTLNQGTARGAAVGFKLDSLLKLTDTRANNRMTLMHYLCKALAQKSPGLMDFHVEFVNLEASSKIQLKALADEMQNITKGFDKVKKELVASESDGPVSETFLKTLKEYIGNAEAEVASLTNLYSVAGKNADALALYFGEDPAKCPFEQVATTLLNFTRLFQKCHEENLKQAELAKKKAQKEAEAAENANANANTQKEAEAAENANANAQKEAEAAENAIANATKQTESPKE >itb09g26070.t1 pep chromosome:ASM357664v1:9:26217621:26220971:-1 gene:itb09g26070 transcript:itb09g26070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVFSPKPVVPLEGEAERTNQMNAKREKRLLANKEAAKRSRKKKEHLKELETQVSEMKAENFYLWEHVTEISLKLSIALADKKILEADNETLRAKVAMAEETLKGVTGMHLTVPPEISTMSIPSFADNHLDQSLCYNSEIQNDLLDISLLNNAWQDYPATTAGMNNMEVMMAEETVRRMQGEGSCLENLPNCTSGINSNSLHDEKQ >itb14g00480.t1 pep chromosome:ASM357664v1:14:322241:329519:1 gene:itb14g00480 transcript:itb14g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGNLSRLEYLHLGYSSEGRFYANNLITNTLDWFASLSSLKSLDMSMVFIQRSENLFGTINKLVSLSSLNLHECQLKITNPPSLVNSTSLISLDLGENACDAMTLLWLSNLTRLENLNLPHNLAYSFNSSLLIPFCKLFNLVSMDLTWNNFQGLIPHCLGNLTSLTSLNLASNRFEGSIPNSISGLCRLQTLDLSDNDLSGSLTDSLGAPSECLSYNLQMLSLGLNHFTGQLPNQLYMYKNLNRLSLYSNSLSGPIADSLGNLSMLSFLDIGSNNFSGSIPTSLGQLSNLDTLYITYNSFQGVLSESHFSKLTNLRVLGLNANSLLHVNSLLLDVRSNWVPPFQLKEIYMPSIRVGPLFPQWLRTQIKVYALDMSNASISDAIPDWFGNLFWTCEYIDLSKNDIRGELLMSVEFGYTIEIILSSNHLTGEILKWLCNLKYLVMLDIFSNKLFGEIPSCFGKLQELGYLNLGNNHLFGHIPSSLGSLSNLYSLHLQNNKFEGGLPSSLQNLRRLITLDLSENGLMDVIPSWIGENLASLRFLNFQKNKFFGDIPFQLCYLKALQVLNLANNNISGPIPRYFNNFTAMANGSIDGSDAFDADDEENIQEDIKGLELEYTTNLRFLKSIDLSGNHITGEIPLEVMSLCALSNLNLSRNNLSGTIPQTIGNMSKIESLDLSMNVLSGPIPQSLSSLNFLSYLNLSFNKLNGRIPTGHQLQTLDDPSIYIGNEGLCGVPLLKSCLGDDKPSFVKQPTETKLTNDDHEFLMWFYVGLGPGFFVGFIGVLCTLLFKTSWRYAYFKCLEITLNKVLRGISIKRKSCSDPQDRPAIDESRSFAVNLRLGDPVSPVFVNRPNFICLQDEIVSAKLGDRAWDCVNLCCRVWMRRCVILVSTTIVRQTLSVRGYA >itb09g07460.t2 pep chromosome:ASM357664v1:9:4340684:4341644:-1 gene:itb09g07460 transcript:itb09g07460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRLRLLETSRATATATYSSPSFSSLSSSNLDTESTASFFPDRSVSLGRLIGIRPGKPLQFPGKVQVEGNQSGIDYRRSHSEGGKGGEGEGEGVCVPLLQNVMGKMSRSKSSTKLRMFHN >itb09g07460.t1 pep chromosome:ASM357664v1:9:4340684:4341912:-1 gene:itb09g07460 transcript:itb09g07460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPADSEVPSGWPLRLGNMNIRLRLLETSRATATATYSSPSFSSLSSSNLDTESTASFFPDRSVSLGRLIGIRPGKPLQFPGKVQVEGNQSGIDYRRSHSEGGKGGEGEGEGVCVPLLQNVMGKMSRSKSSTKLRMFHN >itb05g22120.t1 pep chromosome:ASM357664v1:5:27637991:27638515:-1 gene:itb05g22120 transcript:itb05g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTATTTTLMIKAVFLLSMAASLATVSARPLSVTSGATALRARLRLYEEDSGGGSSTCWESLFALRACTGEVILFFVNGEKYLGPGCCRAVRTIHHYCWANSVFGSLGLTTQEFDILRGYCDATADDDHSAPPPSPPSQPPSPQPITPTPWLQPSPQPIDSTASVFSFDATTP >itb06g13990.t1 pep chromosome:ASM357664v1:6:18615622:18615954:-1 gene:itb06g13990 transcript:itb06g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAKQLHQNLELLNGDYHNLELLKWLSDDALGFQRRITVFQRSRITQCFAVGAFAGSGYWSREGECCGGWRSWNLRRLWRAAVHAGDLVGVGVGLYDSFNLELEFGMQ >itb15g01390.t1 pep chromosome:ASM357664v1:15:834456:836621:1 gene:itb15g01390 transcript:itb15g01390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLYRRAVLIALANAIVIAVVHFMLFCQRRPAKETVFVSLSQDYPYSSSSPQQVHISEVGKDRMRISWITEDSGTPATVEYGTSPGNYRFSVNGGTTNYTYVQYKSGEIHNVVVGPLNPSTVYYYRCGGRATEYNFKTPPSWFPIKFAVIGDLGQTEWTRTTLERIGKSNYDMLLLPGDLSYAETNQSLWDSYGRLVAPLARRRPWMVTEGNHEYETLPPVHTTPFTAYNARWHMPYEESGSNSNLFYSFDVAGVHVVMLGTYADFWPGTAQHTWLKSDLGKVDRTKTPWLIVLVHAPWYNSNTAHQGEYHADHMKAAMEDLIFEAKTDIVFAGHVHAYERFTRVYKEEVNDSGPLYITIGDGGNLEGLATKYIDPQPKISLFREESFGHGELNVVNGSHARWTWHRNDDDEGVVADSVWIRSLSSHQ >itb07g24050.t1 pep chromosome:ASM357664v1:7:28322331:28324427:-1 gene:itb07g24050 transcript:itb07g24050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSRGLRAFVESHLPAFKEVNPHLEVVTELNRGQHPFLKGLYKNKNERAVSVKNLNPEEIVLQATRLRNSLGRKVVKMKTRHVTKHPSVQGTWSTELKM >itb12g02540.t1 pep chromosome:ASM357664v1:12:1655727:1658532:1 gene:itb12g02540 transcript:itb12g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRYSPSPSPWEEKSRSRSRSRSRSRSWSRQRGRSRSRDRNDATNPGDTLYVTGLSTRVTERDLEEHFSKEGKVKSVFLVVEPRSRISRGFAFVTMDNDDDASRCVKHLNQSVLEGRYITVEKLCWQQSKMTSSSIATFYSHSLITGQLKQLFTCI >itb12g02540.t3 pep chromosome:ASM357664v1:12:1655727:1658532:1 gene:itb12g02540 transcript:itb12g02540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRYSPSPSPWEEKSRSRSRSRSRSRSWSRQRGRSRSRDRNDATNPGDTLYVTGLSTRVTERDLEEHFSKEGKVKSVFLVVEPRSRISRGFAFVTMDNDDDASRCVKHLNQSVLEGRYITVEKSRRKRARTPTPGHYLGLKSSRGESYRGDRGDRGRYRGHNDYGYRRSPRRSPYRGGRERDYSPRRSPYGGRSRRDRSRSYSPYRSLERNYARGR >itb12g02540.t5 pep chromosome:ASM357664v1:12:1655819:1659448:1 gene:itb12g02540 transcript:itb12g02540.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRYSPSPSPWEEKSRSRSRSRSRSRSWSRQRGRSRSRDRNDATNPGDTLYVTGLSTRVTERDLEEHFSKEGKVKSVFLVVEPRSRISRGFAFVTMDNDDDASRCVKHLNQSVLEGRYITVEKSRRKRARTPTPGHYLGLKSSRGESYRGDRGDRGRYRGHNDYGYRRSPRRSPYRGGRERDYSPRRSPYGGRSRRDRSRSYSPYRSLERNYARGQSHQILTLCNLPSAFILLLCVSASRPSPTQPTTAGNHRRDDDRPTLPSTAPPSAFTHRLPFAFTLLLLRNRRPADSPLRDSPSSLHPPPAAEPASPPLRLRPLPANQHRDPRQ >itb12g02540.t2 pep chromosome:ASM357664v1:12:1655727:1658532:1 gene:itb12g02540 transcript:itb12g02540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRYSPSPSPWEEKSRSRSRSRSRSRSWSRQRGRSRSRDRNDATNPGDTLYVTGLSTRVTERDLEEHFSKEGKVKSVFLVVEPRSRISRGFAFVTMDNDDDASRCVKHLNQSVLEGRYITVEKLCWQQSKMTSSSIATFYSHSLITGQLKQLFTCI >itb12g02540.t6 pep chromosome:ASM357664v1:12:1657475:1659448:1 gene:itb12g02540 transcript:itb12g02540.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCPEGNGQGPQHLGIILGSRAAGARVIVVTVETVEGTVATMTMAIEGLQDVLHIGVAESEIILLGVHHMGEGQEGIGRGQSHQILTLCNLPSAFILLLCVSASRPSPTQPTTAGNHRRDDDRPTLPSTAPPSAFTHRLPFAFTLLLLRNRRPADSPLRDSPSSLHPPPAAEPASPPLRLRPLPANQHRDPRQ >itb12g02540.t4 pep chromosome:ASM357664v1:12:1655727:1658532:1 gene:itb12g02540 transcript:itb12g02540.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRYSPSPSPWEEKSRSRSRSRSRSRSWSRQRGRSRSRDRNDATNPGDTLYVTGLSTRVTERDLEEHFSKEGKVKSVFLVVEPRSRISRGFAFVTMDNDDDASRCVKHLNQSVLEGRYITVEKVKAICKQSAEEWPTCLFSCLLVVDVF >itb01g00750.t2 pep chromosome:ASM357664v1:1:344896:346982:1 gene:itb01g00750 transcript:itb01g00750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASAAARSFFRSSSVLNSVSRVAHEAKAARPPFRIASRTPLGSRIFRCPVELSACGQSMQPYHTATALALMNSMLTVSPRSFGWLSEACIDDA >itb01g00750.t1 pep chromosome:ASM357664v1:1:344896:346982:1 gene:itb01g00750 transcript:itb01g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASAAARSFFRSSSVLNSVSRVAHEAKAARPPFRIASRTPLGSRIFRCPVELSACGQSMQPYHTATALALMNSMLTVSPRSFGWLSEGI >itb02g01420.t1 pep chromosome:ASM357664v1:2:779203:780606:-1 gene:itb02g01420 transcript:itb02g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDEIPNLEVETTHGSFKLHDYIDTFVVLFSHPGDFTPVCTTELGMMAAYADKFSERGVKLLGLSCDDVESHKAWIKDIEAYNKGHKVGYPIIADPDRKLIKLLNMVDPDEKDSAGNNVPSRALHIVAADKKIKLSFLYPASTGRNMDEVIRVLDSLKLAAKHKIATPVNWKQGEAVVISPSVSTDEAKKQFPQGFNTVDLPSGKDYLRLVTDV >itb09g15680.t1 pep chromosome:ASM357664v1:9:10948517:10956746:1 gene:itb09g15680 transcript:itb09g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative white-brown complex homolog protein 30 [Source:Projected from Arabidopsis thaliana (AT2G37010) UniProtKB/Swiss-Prot;Acc:Q9SJK6] MIEMSGTRISVPCLLFLILTLWSSWPAWCDDGDEPDSEISDANKGNHAAAAPIVSSLIYSQFSNITKVYNKEINQALGYCINDVDADVNEAFNFSTNLDFLSNCYKERRDLRQRLCTAAEIKFYFTAFLDTKSADVQYLKPNPNCNLTSWVPGCEPGWACSIPQGEKVDLRNSKVIPDRTLDSQPCCEGFFCPKGLTCMIPCPRGSYCPLATLNKATGVCDPYHYQIPPGELNHSCGGADIWAGDASSEGVFCSAGTYCPSTIQKIPCSKGHYCMKGSIKPKACFKLSTCNPKSEIQNLHAFGFMLIGALTFILIIFYNFSDQIITTRYARMAKSREAAAKHARETAQARERWKSAKEVAKAGGFTGLRQLSRAVSKKNNVKQSDQPDSSLPPKDPSSEKKKDPNKLTEMMESIDKDPENQEGLSMEIGDKNIKKQAVKAKQLHSRTQIFKYAYGQIEKEKALEQVQQNMTFSGLISMATHEDIKTRPTLEIAFKDLTITLKKKNIHLMRSVTGKMMPGRVAAVMGPSGAGKTTFLTAVLGKITGCTITGSILINGKDVSIQCYRKIIGFVAQDDIVHGNLTVEENLRFNARCRLAADLPKADKVLIIERVIESLGLQGVRDSLVGTVEMRGISGGQKKRVNVGMEMVMEPSLLILDEPTSGLDSASSNLLLKALRREALEGVNICMVLHQPSYTLYKMFDDLVLLAKGGLTVYHGSVKKVEDYFKGLGIVVPERVNPPDHFIDILEGIEKPPDGLSIEQLPVHWMLYNGYPVPPDMMHLCDKEASSKGATPVVAEVVHEKQDSNPNFFASHEDLSNRVTAGVARQFRYYIGRVLKQRLREAKVQAADYMILLVAGACLGTLSKTKGDTFGYYGYMYSVIAVSLLCKIAALRSFTADRLEYLRERSSGMSSLAYFMSKDVLDCFNTIIKPLVFLSMFYFFNNPRSTFAENYIVFLCLVYCVSGMAYVFAVCFQPGPAQLWCVLVPVVLTLIANQEPDDRIGKYVAKFCYPKWALEAFVTANAERFSGVWLITRCGALMKLGFDVHDFNQCLALLLLTGVLSRLVAYLCLISIGKK >itb01g18350.t1 pep chromosome:ASM357664v1:1:23304718:23306308:1 gene:itb01g18350 transcript:itb01g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIPPWIEENLLSLKFLNFKKNKFFGDIPFQLCFLKALQLLNLANNNISGPIPRCFNNFTAMVSDSVDGSSIFNSSFYEENIHEDIKGLKLEYTRNIRFLNSIDLSGNHITGEIPLEVMSLRALNNLNLSGNYLSGTIPQTIGSLSKIESLDLSRNALSGPILQSLSSLNFLSYLNLSFNKLYGRIPAGHQLQTLDDPSIYIVNKGLCGVPLLKSCPGDEKPSFVNQPTETKLITNDDHEFLMWFYTGLGPGFFVGFIGVFCTLLFKTSWRYAYFKCLEITFNKVLNGISVKRNSCGRYFNLLMLWCGCFKLLRCPERPKIREAAICCSVL >itb07g02280.t1 pep chromosome:ASM357664v1:7:1428122:1434237:1 gene:itb07g02280 transcript:itb07g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVRSKEIVRPSSPTPESLKNYKLSFLDQCALNVRVPFVFFYDSSSGAYSHDHTIDELKKSLSETLSLMYPLAGRVKEDKLTIECNDEGVEFIVADVAEIMSCLLENPEMEKIKLLIPIGKVYEPQPVGKALVAVQVNRFSCGGIGFGVSVSHAIADASAVAIFFETWASINRGCAVNGNGFISDQSTILFPPLTDTSAIERSVKMAAEAVEQEGKDMIVKRFVVPAKAIAQLREELIYELEAEKMTRAKIDNQDEDIWMTKVQDWRPEAQDWQPEAGLQGQPWAASHSRTKASLQIRTYPNQFVPLTCFG >itb12g02990.t1 pep chromosome:ASM357664v1:12:1951627:1962461:-1 gene:itb12g02990 transcript:itb12g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMADESCAVPPGFRFHPTDEELVGYYLRKKIASQRIDLDVIRDIDLYRIEPWDLQYKCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAIYHKSKIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLESQNNSPPQEEGWVVCRAFKKRMAGQARINAETWESSRKPSNETSVIMDPADYYITTRQTTPTTFISSHHHHSFLRSKQDLSSSCNNLTDQFIHLPQLQSPSHPPPLQNPATSPSVPPESYNKFHGSNIEEEDENNNRVSDWRALDKLVASQLNHDDNPDDDQKRYNGGGLKNQDNSDVGLLLLQSGGIWEDGDDDINRFFSSNPDSSFRQKIRFSRIESLDSALLLIQSPNSLSLSFLSLSPPSRNNQILDGFLIPLMMDHNNLTIKTYMAIMAERDAAIRERNMALEERKRAFAERDMAMLQRDAAIAERNAAIQERDEAISALHLQESSMNDNNFVPESPGNRIVGEAKHIHYQQQMHHMIDSTAYDPAETLTSDPSRVTDLTTENAKPRRVRQTKESKPMSAKRPRAGKRTAESLNRQVISTSDDCSNGNDLDNDEERNKQLETWKENLGLNQINFDESAMPVPVCSCTGTPQPCYKWGSGGWQSACCTTSISMYPLPLVSNKRYSRVGGRKMSGGAFSKLLNRLAAEGYDLSVPLDLKDHWAKHGTNRYSTIK >itb03g08490.t1 pep chromosome:ASM357664v1:3:6384743:6387793:1 gene:itb03g08490 transcript:itb03g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFYKLEHGDRDAPSESSSSSSDSEAEAEGMEEEEEEELEYDDEEDEEQEIHSEEEYDDDHKDNDAVTEMRKKNVSVSSPSGYESEDSSENEVKDDKSGLLTSDDDIGTEIDQKRISQTMPEERDILCGNSDYILKCKSVFKCRICPRVVCLSDETLRTHLNSKRHARSEKLLKEGRLKLMLDDRGKIDVEEEHSPKREQNKTETTRAKGFKKQRLGKGSKKENEQYAGTQTERHRKKNQAKRRRKDDR >itb02g03280.t1 pep chromosome:ASM357664v1:2:1886991:1888212:1 gene:itb02g03280 transcript:itb02g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPLRFNQEPANRSKSAVNVSHGGVVGLRILTHHLPQGDDHLLLLSALNLRKPRALQQPCFLKWCCLCRRALRLDKEVYMYRGDQGFCSVECRNRQILMDERKEIEIATKNRLASLRHRRDGGGRRCDASALLDEYRHRSKTTLSPCPNRRAIFTLS >itb10g00440.t2 pep chromosome:ASM357664v1:10:295978:299870:1 gene:itb10g00440 transcript:itb10g00440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIATEEEKISLPVVKSPLMELNLGSIKCMPEAFEESPATSIDVNVVPEDDKHKLEQRILTLEGEIVNLRLKQRSLDEKRREALNKIIDIKGCIRLFCRVRPCLPTDKRRNHQPLSVESERILVRSGASRKEFGFDKVFPQEASQEDVFVEVEPILRSALDGHNVCILAYGQTGTGKTYTMDGTSEAPGVIPRVLEELFRQASLDGSTDYTFSISMLEVYLGNLRDLLAPRPSSRVYAASRCILNIQTDSKGSVDIDGLTEVQISNFTKANWWYNKGRRVRSTSWTNVNEASSRSHCLTRITIYRPGDALGGKPQVSKLWMIDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVISALRRKKGHVPYRNSKLTQILKDSLGNGSKVLMLVHVSPYEDDIVETVCSFSFAKRARAVECNRDLSEVIIFVLLIRINCFRCLS >itb10g00440.t1 pep chromosome:ASM357664v1:10:295978:299870:1 gene:itb10g00440 transcript:itb10g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIATEEEKISLPVVKSPLMELNLGSIKCMPEAFEESPATSIDVNVVPEDDKHKLEQRILTLEGEIVNLRLKQRSLDEKRREALNKIIDIKGCIRLFCRVRPCLPTDKRRNHQPLSVESERILVRSGASRKEFGFDKVFPQEASQEDVFVEVEPILRSALDGHNVCILAYGQTGTGKTYTMDGTSEAPGVIPRVLEELFRQASLDGSTDYTFSISMLEVYLGNLRDLLAPRPSSRVYAASRCILNIQTDSKGSVDIDGLTEVQISNFTKANWWYNKGRRVRSTSWTNVNEASSRSHCLTRITIYRPGDALGGKPQVSKLWMIDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVISALRRKKGHVPYRNSKLTQILKDSLGNGSKVLMLVHVSPYEDDIVETVCSFSFAKRARAVECNRDLSEESKKKKEKRIAELEEQMMEVDEECQNLKRQIENVQVLLNESKKTFLEYYEPPEDDEKSPVSPEQGFGEILETPKAMRRSSANSMPRFMTSTMASRQRESAAEKQINSKPKSVRSWAKSSVQISGSHSISYSDPTSKHFLRNAHKKTGLGETNSVIPMEDMETKPCATPRGKAAAPPPSNPNSRVKVCHHRRRMSDCL >itb11g19380.t1 pep chromosome:ASM357664v1:11:20122691:20123635:-1 gene:itb11g19380 transcript:itb11g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSHFLILSLLSLLSFAFTALSNPHPFSDGGTPSLVLDADGGRLQAGVNYHVLPVIPGRGGGLSPANIKEKSSCPRDIIQEASEVQRGFPVRFSPVDTSTGLVPVSTDINVKFFTPTVCSNETVWRVGKYDEVLKRYFIVTGGVEGNPGPETIANWFKIEKLESDYKIVFCPSVCSICQVVCDDVGIYVGNGGTRFLALSDSPLVVKFERAFPFTAPPFPVSHGPPQPVPFSYAPPPPVPFLHAPPPPPPPVPFPHAPPPPVPEPHRPPPPPVPVPAGPPPPDTSLAVATLESPAYARPFVIFVSVLLFLCLS >itb01g13460.t1 pep chromosome:ASM357664v1:1:13594238:13597701:1 gene:itb01g13460 transcript:itb01g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKHTDPRAAIAQPSFGQAACDPDCIKGFIAAHNAARETVDAPPVKWNTTLADFAESYATKRSADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDSASIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb02g11300.t1 pep chromosome:ASM357664v1:2:7532574:7535539:-1 gene:itb02g11300 transcript:itb02g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGNSSGRRSVTYHPTVWGDYFLAYDSKLTEIDPSEEREVQQLKEEVRKLLIAAPLASLEKLELVDKIQRLGVDYHFENEIEASIQYIFDNYDNCNKGDGENNLYVVALRFRLLRQEGHHISCGVFEKFLDKDGKFKDSLTKDVEGMLSLYEASYLGLPGETTLDEALTFTTGHLESMLPSLNKFEAAQVTHALKLPIRRTLPRVGAKEYMQIYQQDQTHNKVLLRFAKLDFNLLQKVHQRELSGITKWWKDLDVPKTLPFARDRLAECYFWILGVYFEPQYHFSRRITTQIICIWSVLDDLYDVYGTKDELQLFTNAIQRFETSASDEVPQYLKKIYLAILDITTEMEEVMAKENKLFHVSYAIGEMKKQIRTYYQEFMWFYTKHVPPFEEYLKVSLVSSCYMMIATTCLVGMGDIITKEVLDWVTSEPLAVKATCSICRLMDDMIGHEFEQERGHVASAVECYMKGYNVSKEEAYVGIEKLISRAWKDINQECLHPLPVPMKVLLRVLNLARAIFLIYKDNDIYTFSQVKFKSLITVTLVQPATI >itb04g28490.t1 pep chromosome:ASM357664v1:4:32206687:32207364:-1 gene:itb04g28490 transcript:itb04g28490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKESLEASEEEAQRVSSLSFTPHRLGVDVTSFYRYFSLRGIRVDRYRPGFISCTFKVPPRLTDKNGKLGSGAIATLVDEVGCATVYVEGLPFNVTVDITINYVSTAKLDVSFLFSRISLRF >itb05g27220.t1 pep chromosome:ASM357664v1:5:30710561:30712503:-1 gene:itb05g27220 transcript:itb05g27220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFKDVIVLAMLCSVLCWVLAGWIWKKRSRRSVIPTNWPVVGMLPALLKNAGRLHEYGTDILRESGGTFEIKGPWFANTDMLITCDPANVDHILCRNFENYPKGPRLRKIFHVLGDGMVSVDSELWELHRRTTTPLMNQANFRTSLERNVSEKMENGLFPVLDHYALQGSHIDLQEIFQRMAFDISCQQFLDKDPGSLTADHPFRKAVRDAVNAILYRHILPERCWKLQKYFGIDREKNLSEADRAFDNFLYPILEERMEQLNKTQQPHDSGMLTSHIETHRGKSMKFLRDTFVTLIIAGGDTTASALTWFFMLLAQNPQVEANILHDILQLKQNKLIRIFKVEECQKLTYLHAAIFESLRLFPSVPLNHKIPMEKDILPSGHVVTPNTKIIMPFYSMGRMDTVWGEDCMEFKPERWISPNGKIKRQPSYKYPVFNAGPRTCIGRDMALAMVKMIAATIICNYQFQLVEPHHSEILISDTILLEKKHGLKVKFSKRK >itb11g13400.t1 pep chromosome:ASM357664v1:11:10359679:10361843:1 gene:itb11g13400 transcript:itb11g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEGKWSLQGMTAIVTGGTRGIGYAIIEELAGFGAEVYTCSRNQNDVDECVERWREKGLKVAGSACDISSRPQREELMEKVANHFNGKLNILVNNAGTIVPKDAIEFTAEDYTNVMGTNFEASFHLCQLAYPYLKASEKGSIVFNSSAAGILPVIKSTLYSASKGAMNQVTKSFACEWAKDNIRVNSVAPWITRTKLVDDTEIYSESSEEIQRMLRRTPISRAGEVGEVSSLVAFLCLPAASYITGQTICVDGGYTITGFA >itb04g00440.t4 pep chromosome:ASM357664v1:4:269712:271449:-1 gene:itb04g00440 transcript:itb04g00440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSSSTKQGLPSWIGATRTATAVDLDRSSPAAMANGEAQQSQHANSDVDLGFVERAFSAAGAAVVSAILVNPLDVAKTRLQAQAAGVPYDGICRMGSFGGHTMLDLKCNSCTNAAVFRTEISCPPDSTRYKGTMDVLSKVIRQEGFPRLWRGTMASLALAIPSVGIYLPLYDIFRNSMEEYTLHGAPIVTPYIPLVAGSLARSVACITCYPVELARTRMQVGTCFALLI >itb04g00440.t2 pep chromosome:ASM357664v1:4:268198:271449:-1 gene:itb04g00440 transcript:itb04g00440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSSSTKQGLPSWIGATRTATAVDLDRSSPAAMANGEAQQSQHANSDVDLGFVERAFSAAGAAVVSAILVNPLDVAKTRLQAQAAGVPYDGICRMGSFGGHTMLDLKCNSCTNAAVFRTEISCPPDSTRYKGTMDVLSKVIRQEGFPRLWRGTMASLALAIPSVGIYLPLYDIFRNSMEEYTLHGAPIVTPYIPLVAGSLARSVACITCYPVELARTRMQAFRDIPNGVKPPGVLKTLVGIISPVRSANGHQHSIQVYRTLWTGLGAQLARDVPFSAICWGTLEPVRRKVLGLTSNEASAGSILGANFCGGFVAGSLAAAVTCPLDVARTRRQIEVRIFVFY >itb04g00440.t3 pep chromosome:ASM357664v1:4:268198:271449:-1 gene:itb04g00440 transcript:itb04g00440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSSSTKQGLPSWIGATRTATAVDLDRSSPAAMANGEAQQSQHANSDVDLGFVERAFSAAGAAVVSAILVNPLDVAKTRLQAQAAGVPYDGICRMGSFGGHTMLDLKCNSCTNAAVFRTEISCPPDSTRYKGTMDVLSKVIRQEGFPRLWRGTMASLALAIPSVGIYLPLYDIFRNSMEEYTLHGAPIVTPYIPLVAGSLARSVACITCYPVELARTRMQAFRDIPNGVKPPGVLKTLVGIISPVRSANGHQHCKFSPP >itb04g00440.t1 pep chromosome:ASM357664v1:4:268198:271449:-1 gene:itb04g00440 transcript:itb04g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSSSTKQGLPSWIGATRTATAVDLDRSSPAAMANGEAQQSQHANSDVDLGFVERAFSAAGAAVVSAILVNPLDVAKTRLQAQAAGVPYDGICRMGSFGGHTMLDLKCNSCTNAAVFRTEISCPPDSTRYKGTMDVLSKVIRQEGFPRLWRGTMASLALAIPSVGIYLPLYDIFRNSMEEYTLHGAPIVTPYIPLVAGSLARSVACITCYPVELARTRMQAFRDIPNGVKPPGVLKTLVGIISPVRSANGHQHSIQVYRTLWTGLGAQLARDVPFSAICWGTLEPVRRKVLGLTSNEASAGSILGANFCGGFVAGSLAAAVTCPLDVARTRRQIENDPERALKMTTRRTLIEIWRDGGMKGLFTGVGPRVARAGPSVGIVVSFYEVVKYTLHNRYIH >itb05g04520.t1 pep chromosome:ASM357664v1:5:4130120:4131717:1 gene:itb05g04520 transcript:itb05g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLKSDYEVREEIGRGKFGTVYRCYSPATSQSFACKTIQKSLLVDPTDRECLEKEPKILHLLTGAANILRLFEIYEDEDHLHMITELCDGGDLYEILSRGPLSEPAAAAVLRPLISAIGCCHRAGVAHRDVKPDNVLFDAQGNLKLADFGSAEWLGGGGRMSEVVGTPYYAAPEVLMRREYNEKVDVWSAGVIFYIMLAGVPPFRGDTTAETFEAVLRANLRFPAKIFRSVSPEAKDLLRKMICRDVSRRFSADQVLRHPWVMSEGETRSIADFT >itb02g25440.t1 pep chromosome:ASM357664v1:2:26198141:26198473:-1 gene:itb02g25440 transcript:itb02g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDSPLLKGLASTWDLIYDKVGSIANSKAKMLSWMNGDRLTVHKVYDFLSDKGQLFACWRNIWKSFIPPKYSFITWRALHECLVTRDRMQFNDIGSNYSFNLYWVLGIG >itb11g07370.t1 pep chromosome:ASM357664v1:11:4516862:4523412:-1 gene:itb11g07370 transcript:itb11g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLHTLLLAALFWAFLVLGSCNCSKTTSDQERDRITYLPGQPLNTQFSQFSGYVTVNETAGRALFYWLTESPASQDPVEKPLVLWLNGGPGCSSVGYGAAEEIGPFHINPDGKTLYLNPYSWNNVANLLFLDSPAGVGYSYSNTTSDLITAGDNRTAEDAYTFLVKWFERFPQYNHRDFYIMGESYAGHYVPQLSQLVYERNKGIENPIINFKGFMVGNAVIDDYHDYIGTFEYWWTHGLISDSTYEVLNKYCDPYSAEHPPIECVGALALAETEQGDIDAYSIYTPVCTQTSSSSSARFKLRGHYPWMSRAYDPCTANYAQVYFNLPEVQKAFHANVTGIPYPWDTCNYDVNGNWGDSPVSMLPIYQELIDASLRIWVFSGDTDSVVPLTASRRSINALKLPTKKNWYPWYDNGKVGGWSQIYEGLSLVSILGAGHEVPLTRPQHALTLFKSYLENKPMPTLSETLKSSS >itb11g07370.t2 pep chromosome:ASM357664v1:11:4516862:4523412:-1 gene:itb11g07370 transcript:itb11g07370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLHTLLLAALFWAFLVLGSCNCSKTTSDQERDRITYLPGQPLNTQFSQFSGYVTVNETAGRALFYWLTESPASQDPVEKPLVLWLNGGPGCSSVGYGAAEEIGPFHINPDGKTLYLNPYSWNNVANLLFLDSPAGVGYSYSNTTSDLITAGDNRTAEDAYTFLVKWFERFPQYNHRDFYIMGESYAGHYVPQLSQLVYERNKGIENPIINFKGFMVGNAVIDDYHDYIGTFEYWWTHGLISDSTYEVLNKYCDPYSAEHPPIECVGALALAETEQGDIDAYSIYTPVCTQTSSSSSARFKLRGHYPWMSRAYDPCTANYAQVYFNLPEVQKAFHANVTGIPYPWDTCNYDVNGNWGDSPVSMLPIYQELIDASLRIWVFSGDTDSVVPLTASRRSINALKLPTKKNWYPWYDNGKVGGWSQIYEGLSLVSILGAGHEVPLTRPQHALTLFKSYLENKPMPTLSETLKSSS >itb11g02050.t1 pep chromosome:ASM357664v1:11:1033633:1037546:1 gene:itb11g02050 transcript:itb11g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLEQSKYSLSTNWSNSDNMKDMSKLSVETDDSFSSLLELAANNDLDAFKRSVERDGSAVDEVGIWLVRKKGAKQIVKEERSPLMVAAMYGSIDVLKFLISLPEVDVNRVCGPDKCTALHCATSGGSVHAVEVVKLLLSAGADPNIEDANDQRPADVIVVPPKFPGARASLEELLLNNISDGSVGECNLRVHVTTSNGSSPDLSSSPCSPSESASYPLTSKFGDVPANSVQEKKEYSIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKEGTSCVRRVCFFAHMPEELRPLYVSTGSAVPSPRSTAATATVMDMAAALSLLPGSPSSHSMMSPAFNQPMSPTANGMSHSSPAWPQPNIPTLHLPGSNLQSSRLRSSLSVRDIPPEDLNMLRDFDAQQQLVLNDMACFSQPRPGSASFSRSGCTNTLTPSNLDELFSAEIASSPRYSDQAMASAAFSPSHKSAILSQLQQQQSMLSPINTNMFSPKNADHPLLQASFGVSSTGRMSPRSVEPISPMSARLSVFAQREKQHQQLRSLSSRDLGSNNNTSIVGSPVSNSWSKWGSPSSRPDWSFNGDEPSHLRRSSSFERLNNNNSEEPDLSWVQSLVKESPPEMKDKSAAAPAPGGAVPSGEGLKFSTQTDSIDQSVIGTWLEQMQLDQPVV >itb11g02050.t2 pep chromosome:ASM357664v1:11:1033643:1037505:1 gene:itb11g02050 transcript:itb11g02050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLEQSKYSLSTNWSNSDNMKDMSKLSVETDDSFSSLLELAANNDLDAFKRSVERDGSAVDEVGIWLVRKKGAKQIVKEERSPLMVAAMYGSIDVLKFLISLPEVDVNRVCGPDKCTALHCATSGGSVHAVEVVKLLLSAGADPNIEDANDQRPADVIVVPPKFPGARASLEELLLNNISDGSVGECNLRVHVTTSNGSSPDLSSSPCSPSESASYPLTSKFGDVPANSVQEKKEYSIDPSLPDIKNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKEGTSCVRRVCFFAHMPEELRPLYVSTGSAVPSPRSTAATATVMDMAAALSLLPGSPSSHSMMSPAFNQPMSPTANGMSHSSPAWPQPNIPTLHLPGSNLQSSRLRSSLSVRDIPPEDLNMLRDFDAQQQLVLNDMACFSQPRPGSASFSRSGCTNTLTPSNLDELFSAEIASSPRYSDQAMASAAFSPSHKSAILSQLQQQQSMLSPINTNMFSPKNADHPLLQASFGVSSTGRMSPRSVEPISPMSARLSVFAQREKQHQQLRSLSSRDLGSNNNTSIVGSPVSNSWSKWGSPSSRPDWSFNGDEPSHLRRSSSFERLNNNNSEEPDLSWVQSLVKESPPEMKDKSAAAPAPGGAVPSGEGLKFSTQTDSIDQSVIGTWLEQMQLDQPVV >itb07g06730.t2 pep chromosome:ASM357664v1:7:4858836:4863483:-1 gene:itb07g06730 transcript:itb07g06730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPTHLKFRPPNHPKRTILATHVAVFTVRTRKLTPRTLSSFKFHCFLNRPSVLTRACSDGESDVSAITQEPASLDVDTTGDSMSTIGDGYVALFVRMLGLDNDPLDRREAIDALWKYSLGGKKCVDTIMQFPGSVNLTVNLLKSESDSAREAAAGLLRVVSSVNVYRDSVAESGAIEEITGLLRRSSLSSNVKEQSLCTLWNLSVDEKHRIKMANFDLLHVLMKLLEDDKVRVVEAAGGVLANLTLSKSNHKIMIEVGVIPKLARLLKTGMEGSKVIRKEAKNALLELAKDEYNKILVMEEGLVLVPLVEQRSKAPSPFNSEEAKVNAMDGQARQQFLDRKGAIEIEDNKLNHELLSSRFTLLPWTDGVARLVLILGLEDELAVARAAEAIADASFSEHMRVSFMEAGAVNQLTELINHPNDKIRCAVVRALERLSVSNAVCKRLEEENVLHSLINLLNHLEISSNITKTILDILTRILDPSKEMKSKFFEGPAKFSEKGWDETRNMGPGGKENEISVSTTSLKTTNAVDVLDSAVLTRLIDILKTSSSDVQRKVASILEFVSVVEPCTEKIISIDIESGLDAVFKQECLKAEADFDGQKPELHALEIEEAGYAISAASRLLTKLLDFEHFRRKINAYHFTKLLRGVLKSDIPLYHKDWVAACLVKLSSLSGPYSGFENPINMEVTLYETIPRLVEQMKTSFSPEVQEAAVVELNRIISEGVVDSTRAVSAEGGIFPLVKLIENGTERAVDAGLAILYNLSMDSENHAAIIAAGAVPVLRRIVLSQRSHWARALRLLRNLPT >itb07g06730.t1 pep chromosome:ASM357664v1:7:4858836:4863483:-1 gene:itb07g06730 transcript:itb07g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPTHLKFRPPNHPKRTILATHVAVFTVRTRKLTPRTLSSFKFHCFLNRPSVLTRACSDGESDVSAITQEPASLDVDTTGDSMSTIGDGYVALFVRMLGLDNDPLDRREAIDALWKYSLGGKKCVDTIMQFPGSVNLTVNLLKSESDSAREAAAGLLRVVSSVNVYRDSVAESGAIEEITGLLRRSSLSSNVKEQSLCTLWNLSVDEKHRIKMANFDLLHVLMKLLEDDKVRVVEAAGGVLANLTLSKSNHKIMIEVGVIPKLARLLKTGMEGSKVIRKEAKNALLELAKDEYNKILVMEEGLVLVPLVEQRSKAPSPFNSEEAKVNAMDGQARQQFLDRKGAIEIEDNKLNHELLSSRFTLLPWTDGVARLVLILGLEDELAVARAAEAIADASFSEHMRVSFMEAGAVNQLTELINHPNDKIRCAVVRALERLSVSNAVCKRLEEENVLHSLINLLNHLEISSNITKTILDILTRILDPSKEMKSKFFEGPAKFSEKGWDETRNMGPGGKENEISVSTTSLKTTNAVDVLDSAVLTRLIDILKTSSSDVQRKVASILEFVSVVEPCTEKIISIDIESGLDAVFKQECLKEADFDGQKPELHALEIEEAGYAISAASRLLTKLLDFEHFRRKINAYHFTKLLRGVLKSDIPLYHKDWVAACLVKLSSLSGPYSGFENPINMEVTLYETIPRLVEQMKTSFSPEVQEAAVVELNRIISEGVVDSTRAVSAEGGIFPLVKLIENGTERAVDAGLAILYNLSMDSENHAAIIAAGAVPVLRRIVLSQRSHWARALRLLRNLPT >itb03g26510.t1 pep chromosome:ASM357664v1:3:26033829:26034819:1 gene:itb03g26510 transcript:itb03g26510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALILARLGKGIPDNQELAEDMAITQHSKNRRIKGDTLIICTMALLGQWIKTRSVLTTYSLLTAVYKSDGESSIFLKVDWGRIVLDEAHTIKNWRTMSAKAAFTLSAHYRPILVLPPTDIQVIECEQSEAERDFYDAFYKKSLPEGGKKFSS >itb08g06020.t2 pep chromosome:ASM357664v1:8:5183333:5186727:1 gene:itb08g06020 transcript:itb08g06020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAVGAFGGESYTDGIDVPPLMVENCGNSSRPAISSLNCPPFLAVELCREHLGVHWCDKRRSINEYKPLFPAIDFSLIENDEDILWKEDVREPNEDVASRGMQFLKWLWTREEKEIAVVTHSGFLIHALSLFGDDCHPNVKSEVCRRFENCELRSMVIVDRSMIGSDTSTTNYPGKIPSGSDAPSDDAGVKYPNGIH >itb08g06020.t1 pep chromosome:ASM357664v1:8:5181922:5186727:1 gene:itb08g06020 transcript:itb08g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGGLSVYPLHRCKTIHLVRHAQGAHNVEGEKDHSAYLLPHLFDAHLTPIGWQQVDNLRKHVHSSGLSKRIELVVTSPLLRTMQTAVGAFGGESYTDGIDVPPLMVENCGNSSRPAISSLNCPPFLAVELCREHLGVHWCDKRRSINEYKPLFPAIDFSLIENDEDILWKEDVREPNEDVASRGMQFLKWLWTREEKEIAVVTHSGFLIHALSLFGDDCHPNVKSEVCRRFENCELRSMVIVDRSMIGSDTSTTNYPGKIPSGSDAPSDDAGVKYPNGIH >itb07g06500.t1 pep chromosome:ASM357664v1:7:4661140:4662681:1 gene:itb07g06500 transcript:itb07g06500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCMVSRTGRNLQRYNKGQRLVVGCIPYRYTINDELEVLMISSQKGQAMMFPKGGWELDESVEEAASRESIEEAGVLGIVEPELGKWIVKSKSRAIYHEGYMFPLLVTEQLDLWPEKNLRRREWMSVTEAREVCKQIWMKEALDMLVERINSREDQEDVFQSSP >itb07g06500.t2 pep chromosome:ASM357664v1:7:4661591:4662681:1 gene:itb07g06500 transcript:itb07g06500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQKGQAMMFPKGGWELDESVEEAASRESIEEAGVLGIVEPELGKWIVKSKSRAIYHEGYMFPLLVTEQLDLWPEKNLRRREWMSVTEAREVCKQIWMKEALDMLVERINSREDQEDVFQSSP >itb14g13440.t1 pep chromosome:ASM357664v1:14:15449959:15452375:-1 gene:itb14g13440 transcript:itb14g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHCFFAAILLFVTLQTTVAESVGVCYGRNGDDLPSEAQAVNLYKQYGISSMRVFDPVPAVLDALRGTQIQVILCIPNPTLQSLTDPAAAERWVQTFVKNYYPDVKFKYVAVGNEVLPNTPTAQFADFVLPVMQNVYNSLAASGLQERIKVSTATFSAVLANTYPPSESVFRHDAAEFVVPIVQFLAAKNCPLLANIYPYFARVGDPAHVPLAFALFENSAPNDAGYTNLFDAMLDGFYYAAAKVAAPNIDVVVSETGWPSSGGGDAATTNNAQAYYRNMIRHVKNGTGTPLKPGKPMEVYLFAMFDENLKIGDETEKHFGLFFPNQQPKYQISFK >itb12g26430.t1 pep chromosome:ASM357664v1:12:27345457:27349738:-1 gene:itb12g26430 transcript:itb12g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MALIGVLSSPRMESIELRCFSLTNSPFRRNVLPLDRRKKFPIRLKKLGINTTCSLSSEALPTVDSGGKGNLTGSASLEDDLSHVTKFKMSDFKIRNRVSIGFGGRAGELVFEAVVKDPNSPLYKTRVVLRQHFSAQAKRRGRRAIEVLKRLAHRKVMYHSYSMQVHGYVCSSTTDDSSSFTLVHGYHGSLSLRHWLQQSDWLSTLEATLALDEESVRRVGDDTVGGPAVSRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENLHISPVDKHLKVGILGNASDFYGSGLEDTTSSYGNMDRRNMMIAFDMRCVGFIMAKMVLRELMDPLNFAKFKAFLTKANNPSCLREFMLHAFSRDSSPGNLGIQILDRNSGAGWHLLSSLLATNPMERISCLNALRHPFLCGPKWRVNPSIELIRWSLGSTAVRITEEYIYAHQQRNRLAHFIELMEILNPHSKPKHWLEKLPGKWRLLYCTGRHIGLTLRQPSFRVLIGDVHLTISKSSKPKTTFSVSSHIGFRVVIGRDWPHDKSGVNGALQTGTSFTLRAGRRVYLTEETTTTTTKLPSSSTQDSQASVQKRLSSNQWRKVVPIKEFPSSLPVAKLISGDIEVAMSLDDPLVRNVEMAQKVVQEVRVQVPPEIFDPSKLVCGTYVDSRLLVLRSVNGSALLFTRSCTHEEL >itb05g17840.t1 pep chromosome:ASM357664v1:5:24733153:24736426:-1 gene:itb05g17840 transcript:itb05g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVNSEGKGSSPERILDTMDAGVKTLGRSDSENNENGENSSKPSVGSLEYADLLTKQGDKASKDKDYAEATECYSRALEIRAMNHGELSPECVQAYYKFGCALLYKAQEEADPLGSVPTKDEESQQNSCKDEPAKSGISAESSVANPTEQGGTSIQNGKEEEDDENEDEEEDDDVTPRDVGANVCEVEEEDESDLDLAWKMLDVARAIVEKQSGDTMEKVDILSALAEVALEREDVETSLNDYLKALSIVERIVEPDSRHIAELNFRICLCLEIGSRPQEAIPYCEKAISVCKSRVQRLTNDVKSLPGQTVPSECTAAESSNMLQSTDSSQDNEAEIETLTGLCSELEKKLEELNQLVSNPTSILQDILGIVAAKAKGAEKSSASVAMSSSQVAAVNSSGDFDSPTASTAHTNGASGVTHLGVVGRGVKRVNLNPITSESKPVKRPSLDPPTNGEGSTS >itb12g08600.t1 pep chromosome:ASM357664v1:12:6769270:6775256:1 gene:itb12g08600 transcript:itb12g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABC transporter 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01660) UniProtKB/Swiss-Prot;Acc:Q9SBB2] MKVNDIARVVNGLSLVAKEAARRQPLSDGTDLQFLIKTAILSATDLTGLTKGSFRRFQDVHPNSKTNSNAIKQSVVHFEDSSPQPDQNRCSPDCNDIIAQDSEVSVSPPLEAAATSTDADPCLSSQKDEPSTQRDFAAGGAASQGAVIKRQQRKPRERRVPSTPFSRALGFAGLGAGLAWGTLQESAKRIVFGSPNAQDNQNVLSPYLSEKNAERLALALCRMRGAALKLGQMLSIQDESFIPAPILAALEIVRQGADVMPRSQLNQVLDTELGTDWSSKLKSFDYEPLAAASIGQVHKAVTKDGMQVAMKIQYPGVGDSIESDIENVKLLLSYTNLIPEKLYLDNAMKVAKEELSRECDYELEAKNQKIFRDLLRGEIGYYVPAVIDELSGKRVLTSELVPGIPIDKVALLDQETRNHVGKKLLELTLKELFIFRFMQTDPNWSNFLYDEPSKSINLIDFGAARDYPKHFVDDYLRMVLACANSDREAVIEMSKRLGFLTGEESDVMIDTHVQAGFVVGLPFSKMGGYDFRSNNIIQSISNLGATMLRHRLTPPPEEAYSLHRKLSGAFLACIKLGAVVPCRELLLQVHENYRFGEEGDDGSSSEVLSSGSALC >itb05g11660.t2 pep chromosome:ASM357664v1:5:17800668:17806898:-1 gene:itb05g11660 transcript:itb05g11660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSSSSPEFDYLFKLLLIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKYVTLGGKRLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRTNVEQCFEELVLKILDTPSLLSEGSASVKKSNIFKQKPPETDAASGCC >itb05g11660.t1 pep chromosome:ASM357664v1:5:17800665:17806913:-1 gene:itb05g11660 transcript:itb05g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSSSSPEFDYLFKLLLIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKYVTLGGKRLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRTNVEQCFEELVLKILDTPSLLSEGSASVKKSNIFKQKPPETDAASGCC >itb09g05230.t1 pep chromosome:ASM357664v1:9:2978393:2982952:-1 gene:itb09g05230 transcript:itb09g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNSAGPEITHTGTPIDGDPDSLSELRSPSSSNSFGSLPRTRSAGHSFSSLPNGLRRITKKRKTAWYLQSASVGLVLLFAAFVLVNWWMLSRIQESAQTRGIKFKFLKANSSTFSIREELIKLGKGKRPQKTIYARLLAKAAHALAELNNQPELKDLWVEPYAIASTWKPCAEQRDWEPSDGNAGYIMVTANGGINQQRVAICNAVAVARLLNATLVLPKFLYSSVWRDASQFGDIYQEEHFINYLKPDVRIVKELPEELQSLDLEAIGSLVSDVDIAKEAKPSFYLKYIRPILNQNRVIHFVGFGNRLASDPLPFQIQM >itb09g05230.t5 pep chromosome:ASM357664v1:9:2979045:2982952:-1 gene:itb09g05230 transcript:itb09g05230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNSAGPEITHTGTPIDGDPDSLSELRSPSSSNSFGSLPRTRSAGHSFSSLPNGLRRITKKRKTAWYLQSASVGLVLLFAAFVLVNWWMLSRIQESAQTRGIKFKFLKANSSTFSIREELIKLGKGKRPQKTIYARLLAKAAHALAELNNQPELKDLWVEPYAIASTWKPCAEQRDWEPSDGNAGYIMVTANGGINQQRVAICNAVAVARLLNATLVLPKFLYSSVWRDASQFGDIYQEEHFINYLKPDVRIVKELPEELQSLDLEAIGSLVSDVDIAKEAKPSFYLKYIRPILNQNRVIHFVGFGNRLASDPLPFQIQRLRCRCNFHALRFVPKIQETGALLIQRMRQNVTRLGLLDPHLVGPYAKSTTRGQRNRASKTPRYLALHLRFEIDMVAHSLCEYGGGEEERRELEAYRRIHFPALVEEKKSKKFPSPAALRSEGLCPLMPEETVLMLAALGYNRHTHIYLAGAQIYGGKSRLTALTTLYPYLVTKETLLSPTEIEPFANFSSQV >itb09g05230.t2 pep chromosome:ASM357664v1:9:2978371:2982952:-1 gene:itb09g05230 transcript:itb09g05230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNSAGPEITHTGTPIDGDPDSLSELRSPSSSNSFGSLPRTRSAGHSFSSLPNGLRRITKKRKTAWYLQSASVGLVLLFAAFVLVNWWMLSRIQESAQTRGIKFKFLKANSSTFSIREELIKLGKGKRPQKTIYARLLAKAAHALAELNNQPELKDLWVEPYAIASTWKPCAEQRDWEPSDGNAGYIMVTANGGINQQRVAICNAVAVARLLNATLVLPKFLYSSVWRDASQFGDIYQEEHFINYLKPDVRIVKELPEELQSLDLEAIGSLVSDVDIAKEAKPSFYLKYIRPILNQNRVIHFVGFGNRLASDPLPFQIQRLRCRCNFHALRFVPKIQETGALLIQRMRQNVTRLGLLDPHLVGPYAKSTTRGQRNRASKTPRYLALHLRFEIDMVAHSLCEYGGGEEERRELEAYRRIHFPALVEEKKSKKFPSPAALRSEGLCPLMPEETVLMLAALGYNRHTHIYLAGAQIYGGKSRLTALTTLYPYLVTKETLLSPTEIEPFANFSSQLAALDFIVCTAADVFAMTDSGSQFSSLISGYRVYYGRGKMPTIRPNKRRLADIFVKNNTIEWKVFEKRVRKAVRQNKRVFSRPVGRSVYRYPRCHECMCNNTEVPPPN >itb09g05230.t4 pep chromosome:ASM357664v1:9:2978393:2982952:-1 gene:itb09g05230 transcript:itb09g05230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNSAGPEITHTGTPIDGDPDSLSELRSPSSSNSFGSLPRTRSAGHSFSSLPNGLRRITKKRKTAWYLQSASVGLVLLFAAFVLVNWWMLSRIQESAQTRGIKFKFLKANSSTFSIREELIKLGKGKRPQKTIYARLLAKAAHALAELNNQPELKDLWVEPYAIASTWKPCAEQRDWEPSDGNAGYIMVTANGGINQQRVAICNAVAVARLLNATLVLPKFLYSSVWRDASQFGDIYQEEHFINYLKPDVRIVKELPEELQSLDLEAIGSLVSDVDIAKEAKPSFYLKYIRPILNQNRVIHFVGFGNRLASDPLPFQIQRLRCRCNFHALRFVPKIQETGALLIQRMRQNVTRLGLLDPHLVGPYAKSTTRGQRNRASKTPRYLALHLRFEIDMVAHSLCEYGGGEEERRELEAYRRIHFPALVEEKKSKK >itb09g05230.t3 pep chromosome:ASM357664v1:9:2978371:2982952:-1 gene:itb09g05230 transcript:itb09g05230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSNSAGPEITHTGTPIDGDPDSLSELRSPSSSNSFGSLPRTRSAGHSFSSLPNGLRRITKKRKTAWYLQSASVGLVLLFAAFVLVNWWMLSRIQESAQTRGIKFKFLKANSSTFSIREELIKLGKGKRPQKTIYARLLAKAAHALAELNNQPELKDLWVEPYAIASTWKPCAEQRDWEPSDGNAGYIMVTANGGINQQRVAICNAVAVARLLNATLVLPKFLYSSVWRDASQFGDIYQEEHFINYLKPDVRIVKELPEELQSLDLEAIGSLVSDVDIAKEAKPSFYLKYIRPILNQNRVIHFVGFGNRLASDPLPFQIQTSVQM >itb06g04660.t1 pep chromosome:ASM357664v1:6:7460836:7462746:1 gene:itb06g04660 transcript:itb06g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDIEVGNEYSLKDYQDPPPAPFIDPEELGQWSFYRAIIAEFVATLLFLYVTVLTVIGYKSQTDPHVNGTDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAIMYMVAQCLGAICGCGLVKAFQKAYYVRYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYGKDKAWDDQWIFWVGPFIGAAIAALYHQFILRAGALKALGSQRSNA >itb10g26360.t1 pep chromosome:ASM357664v1:10:29742134:29742451:1 gene:itb10g26360 transcript:itb10g26360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTLLSSKNLYYNSSKYYSFSLNRGFASGSDEENDVVVIGGGPAGYVAAIKAAQLGLKTTCIDKRGTLGGTSVNVGSIPSKVVPVSLSLLLIFNFHVFLTIFS >itb09g30990.t3 pep chromosome:ASM357664v1:9:31519471:31524158:-1 gene:itb09g30990 transcript:itb09g30990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSIYDTARVAEFICLKGFRRVALQVIMATFSLDYKSSFFIISNILYYIWKYINFEPQFPDELLKHSTKIVAALQKRIRALGELNIDTKEVKLYVMADTTYGNCCVDEVGAAHANADCVIHYGHTCLSPTSTLPAFFVFGKASINVPSCVEKLCGHALKNEKPVLVLYGLEYAHAIPEIKEVAIKESSRLNSPSSKLEFCYADVINSVTSPSEDFRYVNGKEEAGDCGTGNESRSKEMDATYAIGGLSWSLTKGHKMEDYLIFYIGSENSAFANVLLTFNSCELVRYDATKDWLVNDFSSQNMILKRRYFLVEKAKDASIVGILVGTLGVAGYLHMIHQMKELITKAGKKAYTFVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPIITPFEAMLAFNRGSQWTGAYMTEFRDLLGSIPVEVNEQSEEARFSFLHGGYVEDFDQKEVDEVEDGVSALVSITEKALQVRDNETRSVMTGITKSGADFFAARSFHGLDIHCESNISEPFLIGRSGKASGYTDDLTRQ >itb09g30990.t1 pep chromosome:ASM357664v1:9:31519471:31524158:-1 gene:itb09g30990 transcript:itb09g30990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSIYDTARVAEFICLKGFRRVALQFPDELLKHSTKIVAALQKRIRALGELNIDTKEVKLYVMADTTYGNCCVDEVGAAHANADCVIHYGHTCLSPTSTLPAFFVFGKASINVPSCVEKLCGHALKNEKPVLVLYGLEYAHAIPEIKEVAIKESSRLNSPSSKLEFCYADVINSVTSPSEDFRYVNGKEEAGDCGTGNESRSKEMDATYAIGGLSWSLTKGHKMEDYLIFYIGSENSAFANVLLTFNSCELVRYDATKDWLVNDFSSQNMILKRRYFLVEKAKDASIVGILVGTLGVAGYLHMIHQMKELITKAGKKAYTFVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPIITPFEAMLAFNRGSQWTGAYMTEFRDLLGSIPVEVNEQSEEARFSFLHGGYVEDFDQKEVDEVEDGVSALVSITEKALQVRDNETRSVMTGITKSGADFFAARSFHGLDIHCESNISEPFLIGRSGKASGYTDDLTRQ >itb09g30990.t2 pep chromosome:ASM357664v1:9:31519471:31523476:-1 gene:itb09g30990 transcript:itb09g30990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSIYDTARVAEFICLKGFRRVALQFPDELLKHSTKIVAALQKRIRALGELNIDTKEVKLYVMADTTYGNCCVDEVGAAHANADCVIHYGHTCLSPTSTLPAFFVFGKASINVPSCVEKLCGHALKNEKPVLVLYGLEYAHAIPEIKEVAIKESSRLNSPSSKLEFCYADVINSVTSPSEDFRYVNGKEEAGDCGTGNESRSKEMDATYAIGGLSWSLTKGHKMEDYLIFYIGSENSAFANVLLTFNSCELVRYDATKDWLVNDFSSQNMILKRRYFLVEKAKDASIVGILVGTLGVAGYLHMIHQMKELITKAGKKAYTFVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPIITPFEAMLAFNRGSQWTGAYMTEFRDLLGSIPVEVNEQSEEARFSFLHGGYVEDFDQKEVDEVEDGVSALVSITEKALQVRDNETRSVMTGITKSGADFFAARSFHGLDIHCESNISEPFLIGRSGKASGYTDDLTRQ >itb04g20660.t1 pep chromosome:ASM357664v1:4:25520844:25523024:-1 gene:itb04g20660 transcript:itb04g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIRQFQPSATGTATLTITIDEASSQPEAASSSSSSSSHQRPRNETLVLTLKPKKKKKVTWKEGTVDNEFLNRKSSKKCCIFHKDKPFDEDYSDDEDENKGHSNDHQRNHDHGGCGNCGDH >itb09g07270.t1 pep chromosome:ASM357664v1:9:4200826:4201865:-1 gene:itb09g07270 transcript:itb09g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQSFGVEDSSEKASACFDCNICFDFACDPVVTLCGHLYCWPCIYEWFNVQGRSDKHLQCPVCKTEMSHTSVVPLYGSGKKMPESEPENKLSSLKVPPRPSARAQSLTVPNSQRLSSHNSYQSFSPSFNYLGDNQGMSVNHPAVGMFGEMVYARVFGNSESVYGYPNSYHLVGNSNPRLRRQQIQADKSLSRITFFLFCCFLLCLVLF >itb01g28820.t1 pep chromosome:ASM357664v1:1:33167544:33167915:-1 gene:itb01g28820 transcript:itb01g28820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVFRTIKRTRTRRQYQCLSSGGAADGGAFNIQDFYPNGYYGGGGNMISQNDYGYNGDRRKGGENVGADGRRHRRHMSLQVEYSGGFSPERGAAAASKSSKQLVRFKSHRMFSCVTGA >itb14g21290.t1 pep chromosome:ASM357664v1:14:23370697:23373192:-1 gene:itb14g21290 transcript:itb14g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSEKPRCDGGDKKGKKKTTKVELSLGKNGGIFILLGGALVTALISSAFRKPRKPRGGSPLKSTAAEEENREAAGDERKKNIIDDEDPVRKGLQFILSDPSSLMPGHLRIKDDGEGDCNATKEIYETTEKEERSLKIQAIEEQDSPGNGCHQEIISNRSSIPGSATSIQFDQIFSVQRIKDDGEGDYNVRKEIYETTEKQERSLKIQAIEEQDSPGNGCHQEIISNGSSIPGSATSSEQEYSSHPFYTGPLFEQANSEDLPLTKMNETMKTAVEEEEEEEVKEALGEEMECSEKNCSPEIEWDLCDGQDGMYSSPEMQGNDDQKNSNSEQVTSEINQITPPEDCETNVQNLDLAAFHVPLHIENSNEENGTTNEPEEEEEEEEEDNPIPILQFVAKQHCNDYCKGGVSMADEKELGQYSSMDNEGEEVAGNDEEETNQNIEKTLREDIVTDDTATAKQHPILPSNDTEGVQETTEDGNNNECDYDEEYGYGDGDRDDNISEEMEESSEGVMISSDESDDDVVIWPAEPALQTPLMGLKGPKEETEDDRTLKTEAHINLKTINNTKLQTGDCRGDLRMNNIQTSPRKLTILDNLVACSLQSKRIILAGTVTLLIAYSCFCYFELPYLKPSLVVIAAMFLSKVVLAG >itb04g18730.t2 pep chromosome:ASM357664v1:4:22355809:22363265:-1 gene:itb04g18730 transcript:itb04g18730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKANGNREKKMSRKEMLEKKKLVEEIIKAASSEKDHLSCFPTFRQCHRNGLSVYLESGRGNKLSSQLKQYIQCLFKENMKGPYGSEWPSEEKVKRREMIAPEARYIFVYEIPTADDKDRDTANRIDDKGPIIGFVHYRFLIEEEIPVLYVYELQLEQRVQGKGLGDYLMQLIELIGHKSKMGAVVLTVQKANILAMKFYTSKLRYKVSAISPSRVYPGLGLQTNYEILCKTFGYEAKAMLEGSGTNEEN >itb04g18730.t4 pep chromosome:ASM357664v1:4:22355809:22363265:-1 gene:itb04g18730 transcript:itb04g18730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKANGNREKKMSRKEMLEKKKLVEEIIKAASSEKDHLSCFPTFRQCHRNGLSVYLESGRGNKLSSQLKQYIQCLFKENMKGPYGSEWPSEEKVKRREMIAPEARYIFVYEIPTADDKDRDTANRIDDKGPIIGFVHYRFLIEEEIPVLYVYELQLEQRVQGKGLGDYLMQLIELIGHKSKMGAVVLTVQKANILAMKFYTSKLRYKVSAISPSRVYPGLGLQTNYEILCKTFGYEAKAMLEGSGTNEEN >itb04g18730.t1 pep chromosome:ASM357664v1:4:22354730:22363265:-1 gene:itb04g18730 transcript:itb04g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKANGNREKKMSRKEMLEKKKLVEEIIKAASSEKDHLSCFPTFRQCHRNGLSVYLESGRGNKLSSQLKQYIQCLFKENMKGPYGSEWPSEEKVKRREMIAPEARYIFVYEIPTADDKDRDTANRIDDKGPIIGFVHYRFLIEEEIPVLYVYELQLEQRVQGKGLGDYLMQLIELIGHKSKMGAVVLTVQKANILAMKFYTSKLRYKVSAISPSRVYPGLGLQTNYEILCKTFGYEAKAMLEGSGTNEEN >itb04g18730.t5 pep chromosome:ASM357664v1:4:22359485:22363265:-1 gene:itb04g18730 transcript:itb04g18730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKANGNREKKMSRKEMLEKKKLVEEIIKAASSEKDHLSCFPTFRQCHRNGLSVYLESGRGNKLSSQLKQYIQCLFKENMKGPYGSEWPSEEKVKRREMIAPEARYIFVYEIPTADDKDRDTANRIDDKGPIIGFVHYRFLIEEEIPVLYVYELQLEQRVQGKGLGDYLMQLIELIGHKSKMGAVVLTVQKANILAMKFYTSKLRYKVSAISPSRVYPGLGLQTNYEILCKTFGYEAKAMLEGSGTNEEN >itb04g18730.t3 pep chromosome:ASM357664v1:4:22355809:22363265:-1 gene:itb04g18730 transcript:itb04g18730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKANGNREKKMSRKEMLEKKKLVEEIIKAASSEKDHLSCFPTFRQCHRNGLSVYLESGRGNKLSSQLKQYIQCLFKENMKGPYGSEWPSEEKVKRREMIAPEARYIFVYEIPTADDKDRDTANRIDDKGPIIGFVHYRFLIEEEIPVLYVYELQLEQRVQGKGLGDYLMQLIELIGHKSKMGAVVLTVQKANILAMKFYTSKLRYKVSAISPSRVYPGLGLQTNYEILCKTFGYEAKAMLEGSGTNEEN >itb10g08920.t1 pep chromosome:ASM357664v1:10:11494716:11500574:-1 gene:itb10g08920 transcript:itb10g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MAAAVSTGGVGLTLKNPVIKPSSLSSFLGKKLAPRFKPFNFTARPASRSRNHVVLAVGGESFSFLHDVFLGVGVGLPCTVMQCGDIIYRSTLPKSTGLTITVPGVILALGTLSYLWATPGVAPGFFDMFVLAFVERLYRPSFKKDDIVLGKKLGEGSFGSVYRVSLANKPSKPKDGDLVLKKATEYGAVEIWMNERARRACANSCADFLYGFLESSSKKGAEYWLIWRFEGESTLADFMQSKEFPYNVEAIILGKGRDLPKGLERENRIIQTIMRQLLFALDSLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATALSPVLWQLNLPDRFDIYSVGLIFLQMAFPGLRSDNSLIQFNRQLKRCDYDLVSWRKTVEPRAGPELRRGFELLDLDGGIGWELLTSMVRYKARQRISAKTALAHPYFDREGLLALSFIQNLKLQFFRATQQDYSEAAKWVIQLMAKSGTQQDGGFTEAQLQELREIKPNKKSSAQRNALASALRLQRKIIKTINESIDELNQRRKSLWWSKWIPREE >itb13g17280.t1 pep chromosome:ASM357664v1:13:24289843:24290154:-1 gene:itb13g17280 transcript:itb13g17280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLRMAREKPVVIFSKSSCCMSYSIKSLFSDLDVYPAVYELDEIPRGREIEQALSRMGCNPTVPAVFVGGELVGGENKVMSLHLQGHLTPMLKRAGARWV >itb01g31280.t1 pep chromosome:ASM357664v1:1:35083424:35085112:-1 gene:itb01g31280 transcript:itb01g31280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINHRKLLYANETTCGGDGCDPPPYGAGDYYFPLPPPPPQEKSSGNGGGNTREITIAAAIVGGFVLLILSYYLVIVKNCFGWIRGRRPEQGRGPEGENREFLDENRGPVIDHPIWYIRTIGLQPSVINTITIFQYKPGHERLIEGTECSVCLNEFQEDETLRLLPKCNHAFHILCIDTWLRSHTNCPLCRAPIVSNAAAPLRNPIPAAPVQAENRPERNIERDDVEAGNRENREEEAQVQESGGDEARKTERTGNGNDGVVYWSSTRRSLSVGSSIAANIVDFVEHEEACLGDPEASQSNTRRNSITECLHKKPVLMKRSFSYAGMPFFSRNQPNTPQN >itb12g26650.t5 pep chromosome:ASM357664v1:12:27455037:27458777:1 gene:itb12g26650 transcript:itb12g26650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTTAMPFPREPANYDEVSMQQSVLFSDSLKDLKNLRKQLYSAAEYFELSYSNDDQKHVVVNTLKDYAIKALVNTVDHLGSVTFKVNDLLDEKVDEVSGTELRVSSIEQRLRSCQGYIDREGFSQQSLVINTPKYHKRYILPVGEALRGLDAEDDWNQFRNAVRATITEAPSSAVVKGRSLSPSPRLSHQQPRDFSFSKSMPRRELEKRTVSPHRFPLLRTASFAGRSTTPKTSRPTTPKGSRSTTPNSSRPTTPNPRRLYPAEPRKSASMRLHAEKEIRRDSDDNPSKSKRLLKALLSRRKSKKDEVLYTYLDEY >itb12g26650.t1 pep chromosome:ASM357664v1:12:27454754:27458655:1 gene:itb12g26650 transcript:itb12g26650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTTAMPFPREPANYDEVSMQQSVLFSDSLKDLKNLRKQLYSAAEYFELSYSNDDQKHVVVNTLKDYAIKALVNTVDHLGSVTFKVNDLLDEKVDEVSGTELRVSSIEQRLRSCQGYIDREGFSQQSLVINTPKYHKRYILPVGEALRGLDAEDDWNQFRNAVRATITEAPSSAVVKGRSLSPSPRLSHQQPRDFSFSKSMPRRELEKRTVSPHRFPLLRTASFAGRSTTPKTSRPTTPKGSRSTTPNSSRPTTPNPRRLYPAEPRKSASMRLHAEKEIRRDSDDNPSKSKRLLKALLSRRKSKKDEVLYTYLDEY >itb12g26650.t2 pep chromosome:ASM357664v1:12:27455055:27458655:1 gene:itb12g26650 transcript:itb12g26650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTTAMPFPREPANYDEVSMQQSVLFSDSLKDLKNLRKQLYSAAEYFELSYSNDDQKHVVVNTLKDYAIKALVNTVDHLGSVTFKVNDLLDEKVDEVSGTELRVSSIEQRLRSCQGYIDREGFSQQSLVINTPKYHKRYILPEDDWNQFRNAVRATITEAPSSAVVKGRSLSPSPRLSHQQPRDFSFSKSMPRRELEKRTVSPHRFPLLRTASFAGRSTTPKTSRPTTPKGSRSTTPNSSRPTTPNPRRLYPAEPRKSASMRLHAEKEIRRDSDDNPSKSKRLLKALLSRRKSKKDEVLYTYLDEY >itb12g26650.t3 pep chromosome:ASM357664v1:12:27455601:27458579:1 gene:itb12g26650 transcript:itb12g26650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTTAMPFPREPANYDEVSMQQSVLFSDSLKDLKNLRKQLYSAAEYFELSYSNDDQKHVVVNTLKDYAIKALVNTVDHLGSVTFKVNDLLDEKVDEVSGTELRVSSIEQRLRSCQGYIDREGFSQQSLVINTPKYHKRYILPVGEALRGLDAEDDWNQFRNAVRATITEAPSSAVVKGRSLSPSPRLSHQQPRDFSFSKSMPRRELEKRTVSPHRFPLLRTASFAGRSTTPKTSRPTTPKGSRSTTPNSSRPTTPNPRRLYPAEPRKSASMRLHAEKEIRRDSDDNPSKSKRLLKALLSRRKSKKDEVLYTYLDEY >itb12g26650.t4 pep chromosome:ASM357664v1:12:27455037:27458777:1 gene:itb12g26650 transcript:itb12g26650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTTAMPFPREPANYDEVSMQQSVLFSDSLKDLKNLRKQLYSAAEYFELSYSNDDQKHVVVNTLKDYAIKALVNTVDHLGSVTFKVNDLLDEKVDEVSGTELRVSSIEQRLRSCQGYIDREGFSQQSLVINTPKYHKRYILPVGEALRGLDAEDDWNQFRNAVRATITEAPSSAVVKGRSLSPSPRLSHQQPRDFSFSKSMPRRELEKRTVSPHRFPLLRTASFAGRSTTPKTSRPTTPKGSRSTTPNSSRPTTPNPRRLYPAEPRKSASMRLHAEKEIRRDSDDNPSKSKRLLKALLSRRKSKKDEVLYTYLDEY >itb03g05500.t1 pep chromosome:ASM357664v1:3:3843762:3848221:-1 gene:itb03g05500 transcript:itb03g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRLSKPLCRPNKASAAACETLKAHKQQYLLVSSPKASDALPLPLYLTNGLFFTMFFTVMYFLLVRWREKIRNSTPLHVVTLSELAALASLIASVIYLLGFFGIGFVQSFLYRANQEGWDGEDESNEQYLLEEDSRRGVTTLGCSVPPPPVRRIASVVPEQPAKISNGVAEKPGPLIAPAGSEEDEEIISSVVAGKTPSYLLESKLGDCKRAASIRREALQRITGKSLEGLPLDGFDYESILGQCCELPVGYVQIPVGIAGPLLLNGCEYSVPMATTEGCLVASTNRGCKAIFASGGATSIVLRDGMTRAPVVRFGTAKRAAELKFFVEDPVNFETLAVAFNKSSRFARLQSIQCAIAGKNLYMRFSCGTGDAMGMNMISKGVQHVLDYLQTEYPDMDVLGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTEVASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANKDSPGTNARLLATIVAGAVLAGELSLMSAISAGQLVKSHMKYNRSSKNITQQAAS >itb05g26130.t1 pep chromosome:ASM357664v1:5:30178178:30186083:1 gene:itb05g26130 transcript:itb05g26130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSRGARTRSSLEEMLEALQRRDDDEKPKDLPPELPSRPRLTAKTRPPSFKRRLPPSFETGNGGLESSVECDSQKEEVKESRGNNRFGAKKVKEMEPRESPYIMAGQRNESKGRLWGKNDGPKIDSGLPGSLPMCCEAEWDDSIGYFTKNKLNVWCRLKDGLWESGQIQSTSREKASVKLSDGSDVVVPTAELLPANPDILDGVDDLIQLSYLNEPSVLHNLQHRYSHKRIYSKAGPVLIAVNPFKDVKLCGNEFITAYRQKLVDGPHVYSIADAAYTEMMEDGTNQSIIMSGESGSGKTETAKVAMQYLAALGGGGNGIECKLLQSSCILEAFGNAKTSRNNNSSRFSRVNQLAQGERSYHIFYQLCAGAPAGLRAKLKLKRASEYNYLNQTECLMNDVDDAEKFHMLMDALNTLNICKKDQEHAFEMLAAVLWLGNISFEVIDDESHVEAVADEALTNAASLIGCSAHDLMLALSTHRVHVGKDKVTKWLTMQQATDTRNALAKFIYGSLFNWLVDEINKSLAMEKQHTGRSISILDIYGFEAFKNNSFEQFCINYGNERLQQHFNRHLFKLAQEEYESDGIDWIKVEFEDNRECLDLFEKKPTGLISLLDEESNFPGATDLTFASKLRQHLTANRCFKGESGGAFSIQHYARKDTLPADIVQLLSSSSSEIPRLFASLLNQSQKQSVATKFKGQLFNLMQQLENTTPRFICCIKPNDKQLPGMFEKNLVLKQLRCCGVLDAVKMSRCGYPTRMTHLDFTRRYSLLVDNPVPRDPLSTSVAILQQFNILPEMYQVGYTKLYFRAGQIDALEDARKRVLQGALEVQKCIPRHRACHHFHELNGGVIALQSFVRGENARRQFNTLVTSKEQLASNKIDEQLVAVVRIQSAIRGCLARKHVSSLRNLKKVILEKCKAGGKMLEVKDLPSEVLPFVIEELQKHLFVSKTTLGQKEKENAALKEQAKQLEAHWSEYEAKMRTMEETWEKQIASLQANLAAAKKSLAADNAVGLPPRCFYDSEGAVGSSQEIDGGLSAIDRLVKEFEQRKQNFDDKATSILQVSPGQLLSTSPEDELRRLKLQFEQWKKDYKVRLRAAKVKVHKLGHSEAERSRRTWWGGKTSKRFQT >itb05g26130.t2 pep chromosome:ASM357664v1:5:30178178:30186083:1 gene:itb05g26130 transcript:itb05g26130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSRGARTRSSLEEMLEALQRRDDDEKPKDLPPELPSRPRLTAKTRPPSFKRRLPPSFETGNGGLESSVECDSQKEEVKESRGNNRFGAKKVKEMEPRESPYIMAGQRNESKGRLWGKNDGPKIDSGLPGSLPMCCEAEWDDSIGYFTKNKLNVWCRLKDGLWESGQIQSTSREKASVKLSDGSDVVVPTAELLPANPDILDGVDDLIQLSYLNEPSVLHNLQHRYSHKRIYSKAGPVLIAVNPFKDVKLCGNEFITAYRQKLVDGPHVYSIADAAYTEMMEDGTNQSIIMSGESGSGKTETAKVAMQYLAALGGGGNGIECKLLQSSCILEAFGNAKTSRNNNSSRFSRVNQLAQGERSYHIFYQLCAGAPAGLRAKLKLKRASEYNYLNQTECLMNDVDDAEKFHMLMDALNTLNICKKDQEHAFEMLAAVLWLGNISFEVIDDESHVEAVADEALTNAASLIGCSAHDLMLALSTHRVHVGKDKVTKWLTMQQATDTRNALAKFIYGSLFNWLVDEINKSLAMEKQHTGRSISILDIYGFEAFKNNSFEQFCINYGNERLQQHFNRHLFKLAQEEYESDGIDWIKVEFEDNRECLDLFEKKPTGLISLLDEESNFPGATDLTFASKLRQHLTANRCFKGESGGAFSIQHYARKVLYLTSGFLEKNQDTLPADIVQLLSSSSSEIPRLFASLLNQSQKQSVATKFKGQLFNLMQQLENTTPRFICCIKPNDKQLPGMFEKNLVLKQLRCCGVLDAVKMSRCGYPTRMTHLDFTRRYSLLVDNPVPRDPLSTSVAILQQFNILPEMYQVGYTKLYFRAGQIDALEDARKRVLQGALEVQKCIPRHRACHHFHELNGGVIALQSFVRGENARRQFNTLVTSKEQLASNKIDEQLVAVVRIQSAIRGCLARKHVSSLRNLKKVILEKCKAGGKMLEVKDLPSEVLPFVIEELQKHLFVSKTTLGQKEKENAALKEQAKQLEAHWSEYEAKMRTMEETWEKQIASLQANLAAAKKSLAADNAVGLPPRCFYDSEGAVGSSQEIDGGLSAIDRLVKEFEQRKQNFDDKATSILQVSPGQLLSTSPEDELRRLKLQFEQWKKDYKVRLRAAKVKVHKLGHSEAERSRRTWWGGKTSKRFQT >itb03g03250.t1 pep chromosome:ASM357664v1:3:1868390:1869429:1 gene:itb03g03250 transcript:itb03g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVGRKMAGLNQAHLAGLRRLSARAAARTATSSRKSLDTPRQQPGLTPRWAEFWSDAAVEWRQKTSNNSSSSTSPDPKFYVPRSETPKWVEKYIEQIGSTLKQGGWDESDVAEIVHVSSCPFIVGEFALLDNQAVMDSLLVKADRLSDSLRQAGWSSEEVSNVLWLDSRADKRKKPGGKLSPELVE >itb14g07050.t1 pep chromosome:ASM357664v1:14:6435100:6446250:1 gene:itb14g07050 transcript:itb14g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MEMESRRPFHSLSDSIHSLLGLKNDLNGNWLHSVCNIIKSLPPPPDQRRPSDEEGEHTATAISKIQNELDSLTAKIQLLNFQRRQVLNELLDLKGNIRVFCRVRPIGEDINGSQKRVVNCGSNQVHVNFAENNSKFYTFDKVFQPTSSQGEVFSEVEPIIKSALDGYNACIFAYGQTGTGKTFTMEGSQDLPGVVPRAIQALFEQAAESNHTVLFKFSMLEIYMGYLKDLLIPHNSRATNDIPPCLSIQTHPSGDIEIESLVSIQVNDLNQAMRLYSLGCRLRSTASTNSNRTSSRSHCLIRISISCSGATATERRRETNKLWMIDLGGSERVLKTKTWGRRFEEGKAINLSLSALGDVIHALQTRQRHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPREEDLCETICSLSFATRVKSIHLGNKESTEARGAKEIAMHSLQQKMMQIEDARNDIGGKIKNLNEKLGNLSGISSSSSELLNASDTLNDGPQSARENVKTAVPSSNLPRFMRPTICSRKKSGKNAVEYQAPRVKASIPPRSRKTSSHHAESVAFPIQGGSVCTSESSISRTSCFLGLNKKFSAESEPEYSKDASDSENKTVVLAEREEQERDSKNHKFKYSHRVEDQVNRRINSLHTWNHSKVDNWLQLHKYTPNNTSSTHRNKKVLAIPIPEKKSKGRGRIPKESCDEEVQGQKFRRRNIKHLEVAGVVDAKVEMETTSDAKVDVETVSEAEGTVTSVVLTDFSDNVADSTSSNTDEKPTKEQAKVYAFLTDQCTYSNSTASADLEGSKMTAEEIVSKETYSGSFTLKSGKSNFPTCKILTENPYILTSSEEKHQCQAVPTEAVEHNDNENANAPAHSLRDLQSNDANQKDMFGSVLPKNRKYAGLCNTLKQNIQMLLVSFLVLLGFQSLGLGHDFFQALTL >itb14g07050.t2 pep chromosome:ASM357664v1:14:6437476:6446231:1 gene:itb14g07050 transcript:itb14g07050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MEGSQDLPGVVPRAIQALFEQAAESNHTVLFKFSMLEIYMGYLKDLLIPHNSRATNDIPPCLSIQTHPSGDIEIESLVSIQVNDLNQAMRLYSLGCRLRSTASTNSNRTSSRSHCLIRISISCSGATATERRRETNKLWMIDLGGSERVLKTKTWGRRFEEGKAINLSLSALGDVIHALQTRQRHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPREEDLCETICSLSFATRVKSIHLGNKESTEARGAKEIAMHSLQQKMMQIEDARNDIGGKIKNLNEKLGNLSGISSSSSELLNASDTLNDGPQSARENVKTAVPSSNLPRFMRPTICSRKKSGKNAVEYQAPRVKASIPPRSRKTSSHHAESVAFPIQGGSVCTSESSISRTSCFLGLNKKFSAESEPEYSKDASDSENKTVVLAEREEQERDSKNHKFKYSHRVEDQVNRRINSLHTWNHSKVDNWLQLHKYTPNNTSSTHRNKKVLAIPIPEKKSKGRGRIPKESCDEEVQGQKFRRRNIKHLEVAGVVDAKVEMETTSDAKVDVETVSEAEGTVTSVVLTDFSDNVADSTSSNTDEKPTKEQAKVYAFLTDQCTYSNSTASADLEGSKMTAEEIVSKETYSGSFTLKSGKSNFPTCKILTENPYILTSSEEKHQCQAVPTEAVEHNDNENANAPAHSLRDLQSNDANQKDMFGSVLPKNRKYAGLCNTLKQNIQMLLVSFLVLLGFQSLGLGHDFFQALTL >itb03g04450.t1 pep chromosome:ASM357664v1:3:2791387:2795222:-1 gene:itb03g04450 transcript:itb03g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVVLGCLRWGWKRCTYVGSDDSATWTAATREEFDAVPRVCRTILAVYEDDLRNPKFAPAGGYRLNPDWVIKRVTYQETCGNAPPYLIYLDHDHREIVLAIRGLNMGKESDYKVLLDNRLGKQMFDGGYVHHGLLKAAIWLLNTESETLRTLWEENGRSYKMIFAGHSLGSGVASLLTVIVVNHRHKFGGIPRNMITCYAVAPARCMSLNLAVKYADVIHSVVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPDGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIERESEKALQILKEGSVEAITTAPKVPRMERLQTIKQEHKDALERAVSLNIPHAVSATEEEEPSGTHKEESAEEISITAEPSEEEIEEDNGKEKSEEDASKGKETSSKRITNWDELAERLFKKDETGQMVLNRDVIINE >itb13g06380.t2 pep chromosome:ASM357664v1:13:7670000:7672202:1 gene:itb13g06380 transcript:itb13g06380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRDPFFGFGDGFGHHRSLMPSVFGGRDPFDDPFFQRPFGGMLESSSFGANGDPFVGLSPNVFHEQQTIQRTRSTGLIIEELSSDDENEEEKGTEKNDNTRKQRRSINEPFVEVPDDEIGERKSKKTQYGNEHGWINNFRPQHRAHSFTFHSSSTVTYGDANGTYYTSSRTRRTGSDGLTFEESKEANSATGKAKHKISRGIHDKGHSVTRKLNPDGRVDTMQTLHNLNEDELTSFEGAWRAKSKTRLPGWTEGLNTPGATGESESIFTLLVFSIP >itb13g06380.t1 pep chromosome:ASM357664v1:13:7669986:7673042:1 gene:itb13g06380 transcript:itb13g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRDPFFGFGDGFGHHRSLMPSVFGGRDPFDDPFFQRPFGGMLESSSFGANGDPFVGLSPNVFHEQQTIQRTRSTGLIIEELSSDDENEEEKGTEKNDNTRKQRRSINEPFVEVPDDEIGERKSKKTQYGNEHGWINNFRPQHRAHSFTFHSSSTVTYGDANGTYYTSSRTRRTGSDGLTFEESKEANSATGKAKHKISRGIHDKGHSVTRKLNPDGRVDTMQTLHNLNEDELTSFEGAWRAKSKTRLPGWTEGLNTPGATGAGRRAQSGEPRRGGGWALFSRARVPEPKASGKFRSFKDRKT >itb06g05630.t1 pep chromosome:ASM357664v1:6:8317698:8319937:-1 gene:itb06g05630 transcript:itb06g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKHHPTKSVVVSVFFGFFLVALTLDFLWASSPSSSTYLSIATTWARQKYQIVVPNFSNDTQKVKDAAAAEIKDVTEDNNGRSRQRFLSATFADLPARTLDWEQMPSAPVPRLDGYSIQIKNKLYVFSGYGTIDHVHSHVDVYDFLNNTWVEKIDTPPNMAHSHLGIASDGRYVYIVSGQYGPQCRGPVAHAFVLDTETKKWETFPPLPAPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKNGKALEKEWRTEIPIPRGGPHRACVVVDDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDNEMKWKVLPPMPKQDSHIECSWVLVNNSIVIMGGTTEKHPFTKRMMLVGEVFQFNLNTLKWSVIGRLPYRVKTTQAAFWNGWLYFTSGQRDRGPDNPQPRQVVGEMWRTKLELSSD >itb10g19630.t1 pep chromosome:ASM357664v1:10:25426578:25430470:1 gene:itb10g19630 transcript:itb10g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIYVFGDSITEMSFEDGGWGVSLADHFRRTADVVLRGFSGYNTRWGLRIIDKAFPAEQGGGAPLAVTVFFGANDACVPDRCSSFQHVPVDEYKQNLRSIVSFFKKQWPTVHVILITPPPIYEPARLLYPYGENNSGLPERTYEAAGTYAKACLAVAAELGIPSVDLWTKVQEVPDWQTACLSDGLHLSRAGNAIVFKEVVDVLKKLGSSVETLRVDFPLIDDIDPNDPLKSFENLKN >itb09g18360.t1 pep chromosome:ASM357664v1:9:14098408:14102613:1 gene:itb09g18360 transcript:itb09g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTHSAPGAGAYHATAAHDTEHYHHPFSPPPQPATRSAQRNHHHRPPFCPPQQPPPSAISASIAQVQNVDDIVKDLLMQIQNGEAVDPKDIDGLKRRNLIAQQSWKGYSVRKGPKYAPKRIKEATKGPKYAPKRIKEATDLTRENLHSIAEDKVIFPVVDTELSFAQEHAEEENEFDKLRPS >itb04g09580.t1 pep chromosome:ASM357664v1:4:8864870:8879281:1 gene:itb04g09580 transcript:itb04g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAAKNVVVSVSRWRYLPLFLRQPLHRRRRCCGCFISSPLFPLINQFDQICCFKERKLYATCARKPRHFESVPEERNCAHIMWWKEIVETLRKPSSIQLVKRLTYSNLLGLNSDLRNGSLKEGTLNWDMLQFKSRFPREVLLCRVGEFYEAIGFDACILVEYAGLNPFGGLRSDSIPKAGCPVMNLRQTLDDLTSNGFSVCIVEEVQGPTQARSRKSRFISGHAHPGIPYVFGLVGDDHDLDFPEPMPVVGISRSVKGFCIVSILETMKTYSTEDGLTEEALVTKLRTTFCHHLFLHTSLKHNSSGTSRWGEFGDGGLLWGECHARNFEWLDGDLTSELLSKVKEIYGLDSDTVFRNATVSSENRPRPLHLGTATQIGAIPTEGIPSLLKVLLPPNCTGLPVLYIRNLLLNPPAYEIASKIQEASRLMMSVTCSIPEFTCISSSKLAKLLELREANHVEFRRIKDVLDEILQMYRNNELLQILKLLIDPTWAATGLKVDFDSLVNECEKVSSTIGDIIFLDGESDQKISSYSMIPKEFFEDVESSWKGRVKRVHLEEAYAEVEKAAEALSSVVTEDFFPIISRIKATNAPFGGPSAPKGEILYAREHQAVWFKGKRFVPPVWAGTPGEDQIKLLIPALDSKGKKVGDEWFTTRNVEEALARYHEANAKAKLGVLELLRGLSSELQSKINILLFASMLVIIAKALFAHASEGRRRKWVFPTLMQFQKFENGKPHGGANLMKISGLSPYWFDVVRGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESALIPHFDSIMLHMKSYDSPADGKSSFQIEMSEMRSLINGSTSRSLVLIDEICRGTETAKGTCIAGSIIESLDAIGCLGIVSTHLHGIFDLPLETKRTVYKAMGTDYIDGQTVPTWKLIDGICRESLAFETARREGLSEKVIDRAEELYQSYCGHSSPSTPTNPDPRHFIAKPDISVADHLNGSRQEVMTSARKSVYRTEILCKEVENAITAICQKKLTELYKTKNTSELAVKCVLIAVREQPPPSTIGTSTVYVMLRPDNKLYVGQSDDLGGRIRAHRTNEGMENAWFVYFVVAGKSVACELETLLINQLPNHGFQLTNVADGRHRNFGTFDVSLETVSMLK >itb10g13850.t4 pep chromosome:ASM357664v1:10:20118503:20123423:1 gene:itb10g13850 transcript:itb10g13850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFLKMLKNESGERKPTSSSADDLSPLPGSDFSELVWENGQISMQGQSSKAKKSDNNLSLQASRMREKCVGNASASRIGKLDLAGSVLDEMPPSVPSGEMDLSQDDEIAPWLNYSPTNGQSQEYGSQLLPEISGMTANDDGFALIDKGVCCNLMVGASKSVPNHNVVNSGQRNASKVDCSPTPRFGLLASWSSQQANPLVSGVSDIGSSNGSINLDSILKDSVPPQASAGMKIQNQDTETPRTCPTLLNFSNFSRPVVLARANLQNLTSTLEAKGKREKEIKENTQNPAKTALIEACSTSRKESDLKSEPNLISTKFEPRPTLGKPHGESSPLEQTDASFREDTNDADKAHGKLISPAYVGRVLDGDKTAEPGACSSVCSGSSAERASNDQSHSLKRRTRENEESGCPSEDAEEESAGAKKAAPARGGTGLKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQIMSMGAGLCMPQMMFPAGMHHHMHAQMPHFPQMGLGIGMGMGFGMGLPELSGRSPGCPIYPVPAMPRPHFPSPSMSGPINFPGMAAASNIQAFGHPCQGVSMSVPRTPFVPLSQQPSASSAPGLNASKMRMNGEVPSKSTMLHPEDPEKIKNLQLTQNSDPIPSRLKNQTSQVQTTRDQQPNASDDPTVNPTNSTNVPGSKAAGM >itb10g13850.t5 pep chromosome:ASM357664v1:10:20118503:20123423:1 gene:itb10g13850 transcript:itb10g13850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFLKMLKNESGERKPTSSSADDLSPLPGSDFSELVWENGQISMQGQSSKAKKSDNNLSLQASRMREKCVGNASASRIGKLDLAGSVLDEMPPSVPSGEMDLSQDDEIAPWLNYSPTNGQSQEYGSQLLPEISGMTANDDGFALIDKGVCCNLMVGASKSVPNHNVVNSGQRNASKVDCSPTPRFGLLASWSSQQANPLVSGVSDIGSSNGSINLDSILKDSVPPQASAGMKIQNQDTETPRTCPTLLNFSNFSRPVVLARANLQNLTSTLEAKGKREKEIKENTQNPAKTALIEACSTSRKESDLKSEPNLISTKFEPRPTLGKPHGESSPLEQTDASFREDTNDADKAHGKLISPAYVGRVLDGDKTAEPGACSSVCSGSSAERASNDQSHSLKRRTRENEESGCPSEDAEEESAGAKKAAPARGGTGLKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQIMSMGAGLCMPQMMFPAGMHHHMHAQMPHFPQMGLGIGMGMGFGMGLPELSGRSPGCPIYPVPAMPRPHFPSPSMSGPINFPGMAAASNIQAFGHPCQGVSMSVPRTPFVPLSQQPSASSAPGLNASKMRMNGEVPSKSTMLHPEDPEKIKNLQLTQNSDPIPSRLKNQTSQVQTTRDQQPNASDDPTVNPTNSTNVPGSKAAGM >itb10g13850.t2 pep chromosome:ASM357664v1:10:20118588:20123423:1 gene:itb10g13850 transcript:itb10g13850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFLKMLKNESGERKPTSSSADDLSPLPGSDFSELVWENGQISMQGQSSKAKKSDNNLSLQASRMREKCVGNASASRIGKLDLAGSVLDEMPPSVPSGEMDLSQDDEIAPWLNYSPTNGQSQEYGSQLLPEISGMTANDDGFALIDKGVCCNLMVGASKSVPNHNVVNSGQRNASKVDCSPTPRFGLLASWSSQQANPLVSGVSDIGSSNGSINLDSILKDSVPPQASAGMKIQNQDTETPRTCPTLLNFSNFSRPVVLARANLQNLTSTLEAKGKREKEIKENTQNPAKTALIEACSTSRKESDLKSEPNLISTKFEPRPTLGKPHGESSPLEQTDASFREDTNDADKAHGKLISPAYVGRVLDGDKTAEPGACSSVCSGSSAERASNDQSHSLKRRTRENEESGCPSEDAEEESAGAKKAAPARGGTGLKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQIMSMGAGLCMPQMMFPAGMHHHMHAQMPHFPSPSMSGPINFPGMAAASNIQAFGHPCQGVSMSVPRTPFVPLSQQPSASSAPGLNASKMRMNGEVPSKSTMLHPEDPEKIKNLQLTQNSDPIPSRLKNQTSQVQTTRDQQPNASDDPTVNPTNSTNVPGSKAAGM >itb10g13850.t3 pep chromosome:ASM357664v1:10:20119464:20123424:1 gene:itb10g13850 transcript:itb10g13850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQSSKAKKSDNNLSLQASRMREKCVGNASASRIGKLDLAGSVLDEMPPSVPSGEMDLSQDDEIAPWLNYSPTNGQSQEYGSQLLPEISGMTANDDGFALIDKGVCCNLMVGASKSVPNHNVVNSGQRNASKVDCSPTPRFGLLASWSSQQANPLVSGVSDIGSSNGSINLDSILKDSVPPQASAGMKIQNQDTETPRTCPTLLNFSNFSRPVVLARANLQNLTSTLEAKGKREKEIKENTQNPAKTALIEACSTSRKESDLKSEPNLISTKFEPRPTLGKPHGESSPLEQTDASFREDTNDADKAHGKLISPAYVGRVLDGDKTAEPGACSSVCSGSSAERASNDQSHSLKRRTRENEESGCPSEDAEEESAGAKKAAPARGGTGLKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQIMSMGAGLCMPQMMFPAGMHHHMHAQMPHFPQMGLGIGMGMGFGMGLPELSGRSPGCPIYPVPAMPRPHFPSPSMSGPINFPGMAAASNIQAFGHPCQGVSMSVPRTPFVPLSQQPSASSAPGLNASKMRMNGEVPSKSTMLHPEDPEKIKNLQLTQNSDPIPSRLKNQTSQVQTTRDQQPNASDDPTVNPTNSTNVPGSKAAGM >itb10g13850.t1 pep chromosome:ASM357664v1:10:20118588:20123423:1 gene:itb10g13850 transcript:itb10g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFLKMLKNESGERKPTSSSADDLSPLPGSDFSELVWENGQISMQGQSSKAKKSDNNLSLQASRMREKCVGNASASRIGKLDLAGSVLDEMPPSVPSGEMDLSQDDEIAPWLNYSPTNGQSQEYGSQLLPEISGMTANDDGFALIDKGVCCNLMVGASKSVPNHNVVNSGQRNASKVDCSPTPRFGLLASWSSQQANPLVSGVSDIGSSNGSINLDSILKDSVPPQASAGMKIQNQDTETPRTCPTLLNFSNFSRPVVLARANLQNLTSTLEAKGKREKEIKENTQNPAKTALIEACSTSRKESDLKSEPNLISTKFEPRPTLGKPHGESSPLEQTDASFREDTNDADKAHGKLISPAYVGRVLDGDKTAEPGACSSVCSGSSAERASNDQSHSLKRRTRENEESGCPSEDAEEESAGAKKAAPARGGTGLKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQIMSMGAGLCMPQMMFPAGMHHHMHAQMPHFPQMGLGIGMGMGFGMGLPELSGRSPGCPIYPVPAMPRPHFPSPSMSGPINFPGMAAASNIQAFGHPCQGVSMSVPRTPFVPLSQQPSASSAPGLNASKMRMNGEVPSKSTMLHPEDPEKIKNLQLTQNSDPIPSRLKNQTSQVQTTRDQQPNASDDPTVNPTNSTNVPGSKAAGM >itb09g08370.t1 pep chromosome:ASM357664v1:9:5010843:5011430:1 gene:itb09g08370 transcript:itb09g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTLHYTNLQFVTVLLSSLFLLSSSQSCSNYTFNSNRTFSSCADLPYLDAHLHWNYMPSVRIAAVAYRARQAAQGWVAWGINPNATGMVGSQAIIAFRASNGSMMAYTVPITSYDPAMAPATVSFRVSGLAAEYVNEEMIIFATIGPLRNGSVVNQVWQAGDSVYDNIPQPHSIAPSNLESMGEIDFLMYLS >itb05g19260.t1 pep chromosome:ASM357664v1:5:25862894:25866152:-1 gene:itb05g19260 transcript:itb05g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKTESMEESFKPYKLKKTLEGHKCAISAVQFSDNGRLLGTSSADKTARVWSVSEGALLHEFEGHEQGLSDLAFSSDARYIATASDDKTARIWDVATGCLVKILSGHTNYVFCLNYNHQANLLVSGSFDETVRVWDVKSGKCLKVLPAHSDPVTAVHFSPDGKLIASSSYDGLCRVWDASTGHCMKTLIDDENPPVSFVKFSPNGKFLLVGTLDNTLRLWNSSTGEILKTYRGHANSKYCISSTFSITNGKYVVGGSEDNCIYMWELQSRKIVQKLEGHCDAVISVACHPTQNLIASGALGNDKTVKIWTQE >itb03g28070.t1 pep chromosome:ASM357664v1:3:28704597:28709958:-1 gene:itb03g28070 transcript:itb03g28070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLDSQEAVQLCKDRKMFIEQAVEYRLQFALGHVAYIESMRRVSSALRGYIEGEEYSEFLVDAFTTPAFTPVKKINSGFISIASKSFTMKPLQSEKNSSVKENYFKSGGSSSISVEEKPQSHEILRVEAYSPVHQSGMDGFFAMHSVPISSSFYQSSPNNMPNFPSPSPQNSQWDSFWDPFSSLDYYGYPVTTSLDQTILDDGNGITQVQKEEAAPDLEKHIDSRESSKEKSAQIRHSLNKDEVVVEDVNDGEKIDDKIDSHHLEKELQPNANHNTAVEKTQNDSQLSSKETTVVDCEAKKEIPGFTVYVNTRPTRMAEAIKDLEAQFRIACNSAKEVSAMLEATEAQNPSILNDLTPMKMLNPVALFRSGSSKSLSSKFLVNPSIIEENIYQNSSHQSTLDRLHLWEKKLYQEVRAGERVRLAYEKKCAQLRNQEENNADHPSIDKTRAAIGSLLAQIKVSIHSVEAISKRIETLRDEELQPQLLQLMQGLGKMWKVMAECHQLQKCILDEAKVLLSSSSPSKHSAAKSYTKVPPSDPQQLGRSAANLEIELRNWRACFESWIVSQRSYLHALTGWLLCCVHANPSTSKLLPFSSCISVGAPPVFSICIQWSRLLDSINEVPVLDGLDFFMAGIGSLSAQQLREDPHRSKETSNTEVVEAGKLVKEEALTAEKIGVVYSGMSASVSALTEFAIVSAEGYADLLKNWEDKK >itb03g28070.t2 pep chromosome:ASM357664v1:3:28705058:28709958:-1 gene:itb03g28070 transcript:itb03g28070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLDSQEAVQLCKDRKMFIEQAVEYRLQFALGHVAYIESMRRVSSALRGYIEGEEYSEFLVDAFTTPAFTPVKKINSGFISIASKSFTMKPLQSEKNSSVKENYFKSGGSSSISVEEKPQSHEILRVEAYSPVHQSGMDGFFAMHSVPISSSFYQSSPNNMPNFPSPSPQNSQWDSFWDPFSSLDYYGYPVTTSLDQTILDDGNGITQVQKEEAAPDLEKHIDSRESSKEKSAQIRHSLNKDEVVVEDVNDGEKIDDKIDSHHLEKELQPNANHNTAVEKTQNDSQLSSKETTVVDCEAKKEIPGFTVYVNTRPTRMAEAIKDLEAQFRIACNSAKEVSAMLEATEAQNPSILNDLTPMKMLNPVALFRSGSSKSLSSKFLVNPSIIEENIYQNSSHQSTLDRLHLWEKKLYQEVRAGERVRLAYEKKCAQLRNQEENNADHPSIDKTRAAIGSLLAQIKVSIHSVEAISKRIETLRDEELQPQLLQLMQGLGKMWKVMAECHQLQKCILDEAKVLLSSSSPSKHSAAKSYTKVPPSDPQQLGRSAANLEIELRNWRACFESWIVSQRSYLHALTGWLLCCVHANPSTSKLLPFSSCISVGAPPVFSICIQWSRLLDSINEVPVLDGLDFFMAGIGSLSAQQLREDPHRSKETSNTEVVEAGKLVKEEALTAEKIGVVYSGMSASVSALTEFAIVSAEGYADLLKNWEDKK >itb07g11500.t1 pep chromosome:ASM357664v1:7:12954865:12957173:-1 gene:itb07g11500 transcript:itb07g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQGDMKLWPFKVVAGAGDKPMIVVTYKGEEKQFSAEEISSMVLTKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEELKKKVEAKNALENYAYNMRNTIKDEKIGGKLAAADKKKIEDAVEEAIRWLDGNQLAEVDEFEEKMKEVESICNPIIAKIYQGGDAMPMDEEDNVPPASAAGPKIEEVD >itb15g22710.t1 pep chromosome:ASM357664v1:15:25487136:25488856:-1 gene:itb15g22710 transcript:itb15g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQEKAAEWSGVDTSDALAIDETNLYEKLGLQTFINLSTNFYTRVFDDEEEWFRSIFASSTKEDAIRNQYEFFVQRMGGPSLFSQRKGHPALIGRHRPFPVTHKAAERWLHHMQQALDATADIDDDSKTKMMNFFRHTAFFLVAGDELKNQGQASTCKNCKHH >itb09g20620.t1 pep chromosome:ASM357664v1:9:17506988:17507680:1 gene:itb09g20620 transcript:itb09g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPQFHSSSIRLVSSSLPPFHESADRGRRTDLLQSRLSFFQVCESVLTPQVELRTPVAHRLSFSLPLALTRQRPIHSNFESPSSHFADVVNTLI >itb05g20030.t2 pep chromosome:ASM357664v1:5:26267908:26273505:1 gene:itb05g20030 transcript:itb05g20030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGRVVNTKCNVGANRNQAFIEFAEQNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPSYLIPDLGPCSLKITYSAHTDLSVKFQSHRSRDYTNPHLPVAPSAIDASGQFTVGLDGKKLDPESNVLLAAIENMQYAVTVDVLHTVFSAFGPVLKIAMFDKNGGLQALVQYPDVQTAVAAKDALEGHCIYEGGFCKLHITYSRHTDLSIKVNNDRGRDYTIPNAPIMSSQPPVLLGQQQSPTGGPSAHPYNATQYASAPNVYAAPQAASSWNFVGAAGPPPMSMQMHNPPYMAPANVHNQNRPAMPPYQLR >itb05g20030.t1 pep chromosome:ASM357664v1:5:26267684:26273505:1 gene:itb05g20030 transcript:itb05g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGRVVNTKCNVGANRNQAFIEFAEQNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPSYLIPDLGPCSLKITYSAHTDLSVKFQSHRSRDYTNPHLPVAPSAIDASGQFTVGLDGKKLDPESNVLLAAIENMQYAVTVDVLHTVFSAFGPVLKIAMFDKNGGLQALVQYPDVQTAVAAKDALEGHCIYEGGFCKLHITYSRHTDLSIKVNNDRGRDYTIPNAPIMSSQPPVLLGQQQSPTGGPSAHPYNATQYASAPNVYAAPQAASSWNFVGAAGPPPMSMQMHNPPYMAPANVHNQNRPAMPPYQLR >itb04g31510.t1 pep chromosome:ASM357664v1:4:34365652:34369078:1 gene:itb04g31510 transcript:itb04g31510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATLSGALLLLSILTDLFTDSQSIGVGINYGQIANNLPSHSRVAMLLRSLNIRRVKLYDADPNVLSAFSNSEVEFVIGLGNEYLQKMSDPMQAQAWIQQHVQPYYGLTKITCITVGNEVLTGNDTQLMSYLLPAMQSVHSALGNLGLSDDITVTTAHAYSIVSNSFPPSSGVFRQDLGEYIHAILNFHAQTKTPFLINAYPFFAYKDSPDQVSLEYVLFQPNPGTTDPITNLKYDNMFYAQIDAVYSAIKAMGHTDIEVKVSETGWPSKGDSNEVGATVENAAQYNGNLLQRIAQGEGTPAKPSVPVDVFVFALFNENLKPGPTSERNYGLYYPNGNPVYNIGLQGYIPQMDYSASTGSVLSTPAFLLIFILYLIHV >itb04g31510.t3 pep chromosome:ASM357664v1:4:34365652:34368889:1 gene:itb04g31510 transcript:itb04g31510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATLSGALLLLSILTDLFTDSQSIGVGINYGQIANNLPSHSRVAMLLRSLNIRRVKLYDADPNVLSAFSNSEVEFVIGLGNEYLQKMSDPMQAQAWIQQHVQPYYGLTKITCITVGNEVLTGNDTQLMSYLLPAMQSVHSALGNLGLSDDITVTTAHAYSIVSNSFPPSSGVFRQDLGEYIHAILNFHAQTKTPFLINAYPFFAYKDSPDQVSLEYVLFQPNPGTTDPITNLKYDNMFYAQIDAVYSAIKAMGHTDIEVKVSETGWPSKGDSNEVGATVENAAQYNGNLLQRIAQGEGTPAKPSVPVDVFVFALFNENLKPGPTSERNYGLYYPNGNPVYNIGLQGYIPQMDYSASTGSVLSTPAFLLIFILYLIHV >itb04g31510.t2 pep chromosome:ASM357664v1:4:34365652:34368889:1 gene:itb04g31510 transcript:itb04g31510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATLSGALLLLSILTDLFTDSQSIGVGINYGQIANNLPSHSRVAMLLRSLNIRRVKLYDADPNVLSAFSNSEVEFVIGLGNEYLQKMSDPMQAQAWIQQHVQPYYGLTKITCITVGNEVLTGNDTQLMSYLLPAMQSVHSALGNLGLSDDITVTTAHAYSIVSNSFPPSSGVFRQDLGEYIHAILNFHAQTKTPFLINAYPFFAYKDSPDQVSLEYVLFQPNPGTTDPITNLKYDNMFYAQIDAVYSAIKAMGHTDIEVKVSETGWPSKGDSNEVGATVENAAQYNGNLLQRIAQGEGTPAKPSVPVDVFVFALFNENLKPGPTSERNYGLYYPNGNPVYNIGLQGYIPQMDYSASTGSVLSTPAFLLIFILYLIHV >itb04g26310.t1 pep chromosome:ASM357664v1:4:30641560:30643436:1 gene:itb04g26310 transcript:itb04g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVVNHPQLMLYAIPKVPSGDGPYVRAKYAQLVEKDLETAVIWFWKAINAGDRVGSALKDMAVVMKQLDRCEEAIEAVKSFRGLCSFQSQDSFDNVLLDLYKKCGKVDEQIVLLKQKLRKIYQGKVFNGRPTKTARSHGKKFQVSVKQETARILGSLGWAYMMKSNFITAEVVYRKAQMIDADGNKACNLAYCLIKQSRHDEARFFLEQVSSGRYPGSDEPKTRARLEELLSELDSVQPPCFMQNLPAGPGLGLELDHDFLAELDGVMSEWGPPRSRRLPVFEAITPIRDQLAC >itb06g04220.t1 pep chromosome:ASM357664v1:6:6789526:6789987:-1 gene:itb06g04220 transcript:itb06g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHLLLPPLCISLSASNHSHFHSSNPRKALLSHSKHKQAIQTQKKPIKVNAGLQSKTEFLALWLSGTRSVSLRSWICGGEGRG >itb11g02550.t1 pep chromosome:ASM357664v1:11:1307679:1308620:-1 gene:itb11g02550 transcript:itb11g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRANRVGFLDSEPFLLSSPSDGLICASKPNGDVAVRNVGMRQRIFLPRLNQYQGEDCALLLGFDSQSKRYKVLMSVQIVDEESRLISYEYKHWVFTVGVDRSWREINNYCYCPFFPIGDYPHCDYNNTNVYVDGVIYSYNWRTNHSLVPSIVAFEVGCESFSVIILPDEITSRSSYLLFKKSALLEVGGRLAIVFVHVPDLLDILRGGECLCYMDVWTWEKSKKCWEKITITIPLAGSRLIHNAKWMRFATNHDGEIMLLLYTYSEKFSILIYNLKGDAWSKFDISGVEKFQIFSSWKVTLHNIVDHVFPLE >itb10g03230.t1 pep chromosome:ASM357664v1:10:2935291:2935668:1 gene:itb10g03230 transcript:itb10g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTTWPAPLEGVVATGEWVAHGQPTSSSHGMADRVARPPLETWRGCSGEVAGAWSARLAHQIPNDVAWLARAKYPRRTINTSTDRSRHVYVERPERERRG >itb05g03880.t1 pep chromosome:ASM357664v1:5:3305735:3309436:-1 gene:itb05g03880 transcript:itb05g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYLAVIFLQFGYAGLTIVVKFALNEGMSPYTYLVYRNVFAALAVAPFAIFFERKVRPKMTISTLLKITVLGLVGPGMQLFYLGMQYTTATFTASLYNVLPAITFLLAWILRIEKVNMRKVHSQAKVLGTVVTIGGAMIMSLVKGPNIGLPWTKHTNLIQTAANALHSQQDILKGAVMIIAACFFLASFIILQGVICSGVTYYLSGIIMKKKGPVFVTAFNPLSTIISAIMGSFILAEQLDLGMALGAVGIMVGLYMVIWGKKHDNVPPESTIDGRVVPVDELPSTLVKPPTNQEPFDTTTADVAGDDAV >itb02g07160.t1 pep chromosome:ASM357664v1:2:4445621:4446034:1 gene:itb02g07160 transcript:itb02g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNDNSTSLLCDCLPGFRRKSDKDWSLKVFSGGCVRKTDLQCGNATAGTANSQEDRFRMVTNTRLPRHPRNVTVGSTTECESACLRNCTCNAYAYDNTNGGCWHWDGELLNLSKDNSNGSTIYIRLAASEFVVQVTI >itb15g01000.t1 pep chromosome:ASM357664v1:15:562599:564323:1 gene:itb15g01000 transcript:itb15g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEWKYLVWSAIFLIPGLVFLFARKKSSCSYRLPPGPPGLPVIGNILDLGAYPHQTIAEMKNKYGSVIWLRIGSVRTMAILSAKAAAELFKNHDVSFADRKLIDAMKVQGYHKGSLVLAPYGSYWRVLRRICTVELFVHKRINETVPVRRKCIDDMLLWIEKEASSVQRGTGVHVAHFVFLSTFNLLGNLFLSRDLVDPMSDKASKFFDALKGIAQLFGTPNISDIFPGLRWLDLQGLRRKADRDVRTTLEIISTLVKERMNEDRQESGKRKDFLDVLLEFEGNGKDEPAKLSEHEINIFIMEMFFAGTETTSSSVEWALSELLCNPKAMAKSKEEIYEVVGPNRRFEESDIDNLHYMQAVVKETLRLHPPAAFLIPRRAIQDTKFMEYDIPKDTQVFVNVWAIGRDPESWEDPLSFKPERFLGSNVDFKGQDFEFLPFGAGRRICVGLPLGNRMLHFILGSLLHAFDWELECNVTSQSLDMKERMGIAVSKSEPLKAIPRRIVA >itb12g27860.t1 pep chromosome:ASM357664v1:12:28054299:28060198:-1 gene:itb12g27860 transcript:itb12g27860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSDKSPSGETESSGSISSHSERFSDDQAIPNHSIQSPEVTSKASNYEELNDSVSVKALSAKLSEALLNIRAKEDLVKQHSKVAEEAVTGWEKAEAEVLALKKQVDATAQKNLVLEERTVHLDGALKECLRQLRLAREDQEQKILETISKTSSQWESSKSELEKQLAELRSELESAKVEALMIPDLRAQLESMKEENSVLKLELFSQAEELTLRTSERDLSTLAAETASKQHLESIRKVARLEGECRRLKALAHKAASANDQKSVAASSAYVESFTDSQSDSGERLSGIENDSRKMNTLEQNEYGSSRSDLWASALISELDQFAFEKPLKRNIMKPSSDMNLMDDFLEMERLAALPESDSESPLERGACSGELSLKVELEAMINRTAELEEKLENMESEKMKLEMALTQCQFQLKMSKGQLKETEVKLIELKSELALEIEARTAAEVEFQTTSAKLKKLIERLEKTEMNVAELQTKLDMAHEARKTVEAELKNTNLKLQSKLDMTHEARKTIEAELKDTNLKLQSKLDMAHEARKTLLAELKDTNLKLQKTEVWLEEAQVSLADLDAQFSTEREERIAAQQELEVSNSELKKSIERLEQAEANLLELQTQLERANEIRCTIESELEDSNAKREETESQLKAAEFQLQALHSRVYSLEEEVKKEQAFSHESILKLRKLESLNLRLTSQCQLQKATTIGEFQFNKDKELAVAASKFEECKKTIASIGRQLKTLSTLDDFLIDS >itb12g27860.t6 pep chromosome:ASM357664v1:12:28053725:28059735:-1 gene:itb12g27860 transcript:itb12g27860.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSDKSPSGETESSGSISSHSERFSDDQAIPNHSIQSPEVTSKASNYEELNDSVSVKALSAKLSEALLNIRAKEDLVKQHSKVAEEAVTGWEKAEAEVLALKKQVDATAQKNLVLEERTVHLDGALKECLRQLRLAREDQEQKILETISKTSSQWESSKSELEKQLAELRSELESAKVEALMIPDLRAQLESMKEENSVLKLELFSQAEELTLRTSERDLSTLAAETASKQHLESIRKVARLEGECRRLKALAHKAASANDQKSVAASSAYVESFTDSQSDSGERLSGIENDSRKMNTLEQNEYGSSRSDLWASALISELDQFAFEKPLKRNIMKPSSDMNLMDDFLEMERLAALPESDSESPLERGACSGELSLKVELEAMINRTAELEEKLENMESEKMKLEMALTQCQFQLKMSKGQLKETEVKLIELKSELALEIEARTAAEVEFQTTSAKLKKLIERLEKTEMNVAELQTKLDMAHEARKTVEAELKNTNLKLQSKLDMTHEARKTIEAELKDTNLKLQSKLDMAHEARKTLLAELKDTNLKLQKTEVWLEEAQVSLADLDAQFSTEREERIAAQQELEVSNSELKKSIERLEQAEANLLELQTQLERANEIRCTIESELEDSNAKREETESQLKAAEFQLQALHSRVYSLEEEVKKEQAFSHESILKLRKLESLNLRLTSQCQLQKATTIGEFQFNKDKELAVAASKFEECKKTIASIGRQLKTLSTLDDFLIDS >itb12g27860.t4 pep chromosome:ASM357664v1:12:28054299:28059735:-1 gene:itb12g27860 transcript:itb12g27860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSDKSPSGETESSGSISSHSERFSDDQAIPNHSIQSPEVTSKASNYEELNDSVSVKALSAKLSEALLNIRAKEDLVKQHSKVAEEAVTGWEKAEAEVLALKKQVDATAQKNLVLEERTVHLDGALKECLRQLRLAREDQEQKILETISKTSSQWESSKSELEKQLAELRSELESAKVEALMIPDLRAQLESMKEENSVLKLELFSQAEELTLRTSERDLSTLAAETASKQHLESIRKVARLEGECRRLKALAHKAASANDQKSVAASSAYVESFTDSQSDSGERLSGIENDSRKMNTLEQNEYGSSRSDLWASALISELDQFAFEKPLKRNIMKPSSDMNLMDDFLEMERLAALPESDSESPLERGACSGELSLKVELEAMINRTAELEEKLENMESEKMKLEMALTQCQFQLKMSKGQLKETEVKLIELKSELALEIEARTAAEVEFQTTSAKLKKLIERLEKTEMNVAELQTKLDMAHEARKTVEAELKNTNLKLQSKLDMTHEARKTIEAELKDTNLKLQSKLDMAHEARKTLLAELKDTNLKLQKTEVWLEEAQVSLADLDAQFSTEREERIAAQQELEVSNSELKKSIERLEQAEANLLELQTQLERANEIRCTIESELEDSNAKREETESQLKAAEFQLQALHSRVYSLEEEVKKEQAFSHESILKLRKLESLNLRLTSQCQLQKATTIGEFQFNKDKELAVAASKFEECKKTIASIGRQLKTLSTLDDFLIDS >itb12g27860.t5 pep chromosome:ASM357664v1:12:28054299:28059735:-1 gene:itb12g27860 transcript:itb12g27860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSDKSPSGETESSGSISSHSERFSDDQAIPNHSIQSPEVTSKASNYEELNDSVSVKALSAKLSEALLNIRAKEDLVKQHSKVAEEAVTGWEKAEAEVLALKKQVDATAQKNLVLEERTVHLDGALKECLRQLRLAREDQEQKILETISKTSSQWESSKSELEKQLAELRSELESAKVEALMIPDLRAQLESMKEENSVLKLELFSQAEELTLRTSERDLSTLAAETASKQHLESIRKVARLEGECRRLKALAHKAASANDQKSVAASSAYVESFTDSQSDSGERLSGIENDSRKMNTLEQNEYGSSRSDLWASALISELDQFAFEKPLKRNIMKPSSDMNLMDDFLEMERLAALPESDSESPLERGACSGELSLKVELEAMINRTAELEEKLENMESEKMKLEMALTQCQFQLKMSKGQLKETEVKLIELKSELALEIEARTAAEVEFQTTSAKLKKLIERLEKTEMNVAELQTKLDMAHEARKTVEAELKNTNLKLQSKLDMTHEARKTIEAELKDTNLKLQSKLDMAHEARKTLLAELKDTNLKLQKTEVWLEEAQVSLADLDAQFSTEREERIAAQQELEVSNSELKKSIERLEQAEANLLELQTQLERANEIRCTIESELEDSNAKREETESQLKAAEFQLQALHSRVYSLEEEVKKEQAFSHESILKLRKLESLNLRLTSQCQLQKATTIGEFQFNKDKELAVAASKFEECKKTIASIGRQLKTLSTLDDFLIDS >itb12g27860.t2 pep chromosome:ASM357664v1:12:28053725:28060023:-1 gene:itb12g27860 transcript:itb12g27860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSDKSPSGETESSGSISSHSERFSDDQAIPNHSIQSPEVTSKASNYEELNDSVSVKALSAKLSEALLNIRAKEDLVKQHSKVAEEAVTGWEKAEAEVLALKKQVDATAQKNLVLEERTVHLDGALKECLRQLRLAREDQEQKILETISKTSSQWESSKSELEKQLAELRSELESAKVEALMIPDLRAQLESMKEENSVLKLELFSQAEELTLRTSERDLSTLAAETASKQHLESIRKVARLEGECRRLKALAHKAASANDQKSVAASSAYVESFTDSQSDSGERLSGIENDSRKMNTLEQNEYGSSRSDLWASALISELDQFAFEKPLKRNIMKPSSDMNLMDDFLEMERLAALPESDSESPLERGACSGELSLKVELEAMINRTAELEEKLENMESEKMKLEMALTQCQFQLKMSKGQLKETEVKLIELKSELALEIEARTAAEVEFQTTSAKLKKLIERLEKTEMNVAELQTKLDMAHEARKTVEAELKNTNLKLQSKLDMTHEARKTIEAELKDTNLKLQSKLDMAHEARKTLLAELKDTNLKLQKTEVWLEEAQVSLADLDAQFSTEREERIAAQQELEVSNSELKKSIERLEQAEANLLELQTQLERANEIRCTIESELEDSNAKREETESQLKAAEFQLQALHSRVYSLEEEVKKEQAFSHESILKLRKLESLNLRLTSQCQLQKATTIGEFQFNKDKELAVAASKFEECKKTIASIGRQLKTLSTLDDFLIDS >itb12g27860.t3 pep chromosome:ASM357664v1:12:28054299:28059735:-1 gene:itb12g27860 transcript:itb12g27860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 3 [Source:Projected from Arabidopsis thaliana (AT3G05270) UniProtKB/Swiss-Prot;Acc:Q9MA92] MDRRSWLWRRKSSDKSPSGETESSGSISSHSERFSDDQAIPNHSIQSPEVTSKASNYEELNDSVSVKALSAKLSEALLNIRAKEDLVKQHSKVAEEAVTGWEKAEAEVLALKKQVDATAQKNLVLEERTVHLDGALKECLRQLRLAREDQEQKILETISKTSSQWESSKSELEKQLAELRSELESAKVEALMIPDLRAQLESMKEENSVLKLELFSQAEELTLRTSERDLSTLAAETASKQHLESIRKVARLEGECRRLKALAHKAASANDQKSVAASSAYVESFTDSQSDSGERLSGIENDSRKMNTLEQNEYGSSRSDLWASALISELDQFAFEKPLKRNIMKPSSDMNLMDDFLEMERLAALPESDSESPLERGACSGELSLKVELEAMINRTAELEEKLENMESEKMKLEMALTQCQFQLKMSKGQLKETEVKLIELKSELALEIEARTAAEVEFQTTSAKLKKLIERLEKTEMNVAELQTKLDMAHEARKTVEAELKNTNLKLQSKLDMTHEARKTIEAELKDTNLKLQSKLDMAHEARKTLLAELKDTNLKLQKTEVWLEEAQVSLADLDAQFSTEREERIAAQQELEVSNSELKKSIERLEQAEANLLELQTQLERANEIRCTIESELEDSNAKREETESQLKAAEFQLQALHSRVYSLEEEVKKEQAFSHESILKLRKLESLNLRLTSQCQLQKATTIGEFQFNKDKELAVAASKFEECKKTIASIGRQLKTLSTLDDFLIDS >itb05g24240.t1 pep chromosome:ASM357664v1:5:29094112:29095899:1 gene:itb05g24240 transcript:itb05g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPPSMRSSASFALTNLLKTPASSQKPQVIPQSSKKKPQVIHKFPKKNPQSSSQSPLVTFTSPSLSEAKTLFTSLISDPKKAPSDPRFYNSILQSFSSVSTLQDAIFFLNHMVKTHPPFSPDRSTYHVLLIQACKSSDESLSPVYQILNLMNTNGFPPNIVSTDISVRALCISGREEHAIELVKDLCSKNSPPDSHTYNFLVRHLCKNRSVSTMNGFVRDMKEEFSIKPDLVTYTIMIDNVCNNKNLREATRLLGVLSEEGYKPDCYVYNTIMKGYCMLSTGGEVLDVYKKMLDEGVKPDLVTYNTLIYGLSKSGRVQQAKKFLSVMGENGHFPDAVTYTSLMNGMCREGDAKGALSLLEEMEAKGCEPNSCTYNTLLHGLCKARLLEKGVELYAMMKQSSMKLETGAYGTFVRSLCRNGRVAEAYEVFDYAVESKSLTDVAAYTTLESTLKWLTKAREQGLV >itb10g22510.t1 pep chromosome:ASM357664v1:10:27333024:27336845:1 gene:itb10g22510 transcript:itb10g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAPANPDRPHQSSSPSIHGFGDQLHSDHTRGYGSMNMDEIIKSIYADTNTLAAAAAADSCSSSGGAGGGNKTVDEVWREIVSGGGGGGGAGGGSRDPEMTLEDFLTKAGAVREEDVRVPAIPPPPPPAVAVTPGFKVDAMVTAANCQFPVAMQTGPGGFGVEPPHMGFGNGVVAIGGNGSSSGGGRGKRRATVEEIPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEDNARLLREEAEQNKKRLKQLMENLIPVVEKRRPPRVLRRIHSMTW >itb10g22510.t2 pep chromosome:ASM357664v1:10:27333024:27336845:1 gene:itb10g22510 transcript:itb10g22510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAPANPDRPHQSSSPSIHGFGDQLHSDHTRGYGSMNMDEIIKSIYADTNTLAAAAAADSCSSSGGAGGGNKTVDEVWREIVSGGGGGGGAGGGSRDPEMTLEDFLTKAGAVREEDVRVPAIPPPPPPAVAVTPGFKVDAMVTAANCQFPVAMQTGPGGFGVEPPHMGFGNGVVAIGGNGSSSGGGRGKRRATVEEIPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEDNARLLREEAEQNKKRLKQVLLWFQLSNSYFALILHATSCMHVLSSPSVSRCVN >itb12g09090.t1 pep chromosome:ASM357664v1:12:7145040:7147007:1 gene:itb12g09090 transcript:itb12g09090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPNLSSSCSFCHSIRPKKPSPLALFFCRLAPAALQKNSFDRLSESGIICFTWMLKCKTPFVRKLKQPFTLGQKHIRLR >itb02g03050.t1 pep chromosome:ASM357664v1:2:1750217:1754002:-1 gene:itb02g03050 transcript:itb02g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPKNPISFRASMPACPRSRNPKISHLSFFHSSPNSIPLRLVSHGSRRSAGSFQVRSVLNTVQPADVSVSGKADLGSELKPRVLVSEKLGDAGLELLRGFGDVDCAYDLSPEELCAKISEFDALIVRSGTKVTRQVFEAAKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLASMARNVAQADASTKSGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVVAHDPYAPADRARAIGVDLVSFDEAISSAHFISLHMPLTPATKKVFNDETFAKMRKGARLINVARGGVIDEEALVRALDNGTVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTKEAQEGVAVEVAEAVVGALKGELSATAVNAPMVPPEVLSELAPYVVLAEKLGRLAVQLVAGGSGIQSIKIVYKTARSPDSLDTRLLRAMVTKGIIEPISDTYINLVNADFTAKQKGLRISEERVYVNSSPEYPVETIQVQISNVKSKFASALMENGNICAEGRVKDSSIPHLTCVGPFSVDVSLDGNLIMCRQVDQPGMIGQVGNILAESNVNVSFMSVGRTGRRTKAIMAIGVDEEPTKDTLKKIGEVAAIEEFVFLKL >itb09g26760.t1 pep chromosome:ASM357664v1:9:27438837:27439499:1 gene:itb09g26760 transcript:itb09g26760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLVKRWQKFAAIRRKRISYPRLNNDVTDSCSSSSAVNKGHFAIYTSDQKQFVVPLSYLENEIIRQLLSISEEEFGLPGDGPITLPCDAVFMDYIISLLSRGLSKELENALLVSVTSHRCSSAPLHQDGWRNQELLVC >itb03g02200.t1 pep chromosome:ASM357664v1:3:1199851:1203836:1 gene:itb03g02200 transcript:itb03g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAMGLSRSLAKFTASVAVVVFLISCFSFTSTDAFDPLDPNGNITLKWDVISWTADGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGAQTTEQGDCSKFKANIPHCCKKDPIVVDLLPGTPYNQQIANCCKGGVINSWGQDSQTAVSSFQVSVGQSGTTNKTVRLPKNFTLKAPGPGYTCGPAKVGKPTKFITGDGRRITQAMMTWNVTCMYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCTCGCQNNGTQRENCVDPNSPHLASVVSDHGSANSKANLAPLVQCTNHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNMVVQHPNFDNLTQIFSFNYKALTPYGSLNDTAMLWGVKFYNDLLSQAGPLGNVQSELLFKKDLTSFTFEKGWAFPRRVYFNGDNCVMPPPDSYPYLPNASSRHKTSLLSLVVALISSIAVFFFV >itb08g17180.t1 pep chromosome:ASM357664v1:8:19452630:19453939:1 gene:itb08g17180 transcript:itb08g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSRQPSQQRLGLELEGCVNATKCLAHTPKVTRVEKGTSASIYLDNAAYRDFIYEKFNVSPVEMESAAVALVCYQQKVPYIVIRALSDLAGGGTAESNEADTFIDLAANNSVNVAVEFIKMVPLPSRSARLISSS >itb11g10030.t1 pep chromosome:ASM357664v1:11:6833286:6836080:1 gene:itb11g10030 transcript:itb11g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDTEKWRSTALLVIDMQNDFILPSSPIHLRGGQAIVPNVIKAVEVARKRGIPVIWVVREHDPSGRDAELLRRHMYSPGKPKPASKGSVGAELVDGLVIKEGDYKLVKTRFSAFFNTNLHSYLQSNGITSLVITGVQTPNCIRQTVFDAVAWNYHPVTIIVDATAAATPEIHTY >itb11g10030.t2 pep chromosome:ASM357664v1:11:6833286:6836080:1 gene:itb11g10030 transcript:itb11g10030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDTEKWRSTALLVIDMQNDFILPSSPIHLRGGQAIVPNVIKAVEVARKRGIPVIWVVREHDPSGRDAELLRRHMYSPGKPKPASKGSVGAELVDGLVIKEGDYKLVKTRFSAFFNTNLHSYLQSNGITSLVITGVQTPNCIRQTVFDAVAWNYHPVTIIVDATAAATPEIHTSNIFDMKNIGVMTPTLEQWCESGH >itb10g20930.t1 pep chromosome:ASM357664v1:10:26316056:26316905:1 gene:itb10g20930 transcript:itb10g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHALVLLLLLTEAAAKATSNKDIHELLRSRGLPAGLFPKNAVEYYDLDEDGRLEVFFEGPCVAKYETRVMFERVVRANLSYGGMTGLEGLSQEELFLWLPVKGIKVYDPSSGLILFDIGVACKELSLSLFEDPPICLPQGALMEVESGLQIDRYKTLPLSAA >itb03g14860.t1 pep chromosome:ASM357664v1:3:14395949:14398294:-1 gene:itb03g14860 transcript:itb03g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEESGTATDTKNVVRPRVEIDTSPPFESVKEAVVRFGGSGPWIPHHLLRLAPPHPLQEQDEVLDLNKMEEQAVKYERDLMMKEQEALKLLKEVEAAKRFVEGLKLNLMEEVSTFVSSTPAKPQMHKLSPNPVQSPGYVLMELDQAKLELNKMSIDLAVIRTSVESLNKKMQKEKILLETEQFKKMAEASRHEVMKAMSEIERTKASLKLAEMRLIAAKKMEEAAKAVEAIAFAERKALLNCKTTNSEGIITIPYEQYYALTQKAQQADELCNTKFVDSSPQNKKPDSPRLQNDALRDIGTDRTVPVFRSSISIGDILSRKLILQDDHIMVGKGQQQQQRQQQHVSFSQMLREQSGIILNPAKSGKDNSGSVHKQFIAHRKKFGFIQVPIPRHNKKKDQSLNQR >itb03g14860.t2 pep chromosome:ASM357664v1:3:14395991:14397409:-1 gene:itb03g14860 transcript:itb03g14860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAVKYERDLMMKEQEALKLLKEVEAAKRFVEGLKLNLMEEVSTFVSSTPAKPQMHKLSPNPVQSPGYVLMELDQAKLELNKMSIDLAVIRTSVESLNKKMQKEKILLETEQFKKMAEASRHEVMKAMSEIERTKASLKLAEMRLIAAKKMEEAAKAVEAIAFAERKALLNCKTTNSEGIITIPYEQYYALTQKAQQADELCNTKFVDSSPQNKKPDSPRLQNDALRDIGTDRTVPVFRSSISIGDILSRKLILQDDHIMVGKGQQQQQRQQQHVSFSQMLREQSGIILNPAKSGKDNSGSVHKQFIAHRKKFGFIQVPIPRHNKKKDQSLNQR >itb07g14800.t1 pep chromosome:ASM357664v1:7:17441270:17449630:1 gene:itb07g14800 transcript:itb07g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDITDDIAQEISFQNFEDDCRLLQSLLNDVLQRELGPTFMDKVERTRCLAQSACNMRIAGIEDTAYLLEKQLASELSKMTLEEALSLARTFSHYLSLMGIAETHHRVRKGQDEPQLSKSCDDTFNQLLQSGVSPDELYDTVCKQVVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGIEDRDMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQTLWKAVPHYLRRVSNALKKHTGRPLPLTCTPIKFGSWMGGDRDGNPNVTSKVTKDVSLLSRWMAIDLYVREIDTLRFELSMNQCSDRLSRLAHEILEKENAAEDRNDRNQPSILSQSKHQGPHALPFPSQLPTGADRPSCTERNDVESRYPRLDVPGTEFKPLNRQDGQAPPRNFSADSLRSIQKANTNGNAPAPAVTRVASFNSSQVVAQRKLFAESQIGRTSFHKLLEPSLSQKPGMAPYRIVLGDVKEKLLKTRRRLELLLEDLPCDYDPWDYYETSDQLLEPLLLCYDSLQSCGSGVLADGRLADLIRRLATFGMVLMKLDLRQESARHSETLDAITKYLDMGTYSEWDEDRKLEFLTRELKGKRPLVPPTIKVAPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVAGEQGKPCPAGTLRVAPLFETVKDLRAAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQVAVRQLEIYTTAVLLATLQPPQPPREQKWRSLMEDISNSSCNSYRSTVYENPEFLTYFNEATPQAELGYLNIGSRPSRRKSSVGIGHLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCDKGNTNDLKEMYKEWSFFQSTVDLIEMVLGKADSPIAKHYDEVLVSPNRQALGAELRSELLATEKYVLLVTGHEKLSDNNRSLRRLIESRLPYLNPLNILQVEVLKRLRRDDDNNKLRDALLITINGIAAGMRNTG >itb06g04940.t1 pep chromosome:ASM357664v1:6:7718187:7719427:1 gene:itb06g04940 transcript:itb06g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYSSTLIFLLVSIQILSCFAQVPAKNTFKLVNEGELGPYVVEYRADYRVLDVFNNPFQLCFYNTTPNAYTLALRMGTVRSELRMRWVWEANRGRPVRDGATFELRKDGNLVLADVDGRVAWQSGTANKGVVGFKLLPNGNMVLHDTKGNFVWQSFDYPTDTLLVGQSLRQSGPRKLVSRALEAKNANGPYTMILEPTRLGFYNQTKNSGRPILYHDSSKWFSVGKSSLEEVKFNAGPQALKWEYKVAKSPKLGAHIMARPKFNTTLTYLRLEIDGNLKTYTFYSDAEDNFHWGESFKLL >itb11g01690.t1 pep chromosome:ASM357664v1:11:852236:855091:1 gene:itb11g01690 transcript:itb11g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESWEVILWNIIRFGAMGLGCLWVVRRLNSWYYEVLKLGNKRFSLPPGDLGWPYIGTMILYFRAFTSSNPDIFYNSYVSRYGKTGMYKALMFGRPTVIVTSAEACGKVMTDDRAFKSGWPASTEELVGKKSFVNLVDEEHKRMRKITSAPINGHEALSLYFITIEENVKSHLEKWADMGEMEFARQLPTLFFRVIMHIFVSSEVDHILTALLKEYYFMNRGLKAMAINIPGFIYHRGLKARRRIKAVLQKILKERRDKRRDGVSEKRDVTGIMLDMTDENGKGFTDEVIIDILIMYLNAGYESTACVTLWTLILLHDHPDILKKAKAEQEEIVRKRPSDQKGLSFNEIRRMQYLPKVIDETLRLISRTSIMFREARIDFNVNGYIIPKGWTAMLIVPDIHMKEEVYKDPYEFNPSRWESITPKAGEYIPFGAGGRSCVGKDLAKLEITIFLHHFLLGYE >itb13g23800.t1 pep chromosome:ASM357664v1:13:29653300:29654602:1 gene:itb13g23800 transcript:itb13g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNEKLKVTLKLEPMFKRSITYVEKDDTTLGDEAGVFGTQHEFGDMIWFPSERKVMYRIDDRVPTNASGDGLNDFIGFRSTLSALMAATRRAEEIQEASSDANGKCTSANIISAFLKADAFGLTNNAKIPMRSWTLQWHPHEIRHGFKCLPGKTRKRHRFRHHIFQKPGPIGSEAVLEEIEQLAVFKYGALPHWGKNRNVAFLGAVKKYAKFADFLEVKQKYDPAGLFSSDWTDKVLGLKQGLVILKEGCASEGLCICSEDSHCAPSKGYFCGVGKVYKKARVCFKTGSS >itb06g21370.t1 pep chromosome:ASM357664v1:6:23977190:23980864:-1 gene:itb06g21370 transcript:itb06g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYLLQITEFEHVSRIATMACYTCAAVIGANPTPIKSSKFQIFTPNDCLSLPIIPTIKKIILRTNRPLSLSVLHCSSSSFSSATLSQSETEDDEYSGEEEEDENGNGSASFSAAAAATGSLPPLKQKRRRYRKQYPGESKGITEEMRFVTMKLRNSGKPSKSKRRGESEKESGDSGSEEAMEEGEVSDSEGSVSVDEDDGDENGKSWQPSVEGFLKYLVDTKLVFGTIERIVDESSDVSYAYFRKTGLERADCISKDLEWFSQQGNVIPEPSNPGVDYSKYLEELAETNPPLFLCHFYNIYFSHIAGGQVIAKKVSEKLLEGRELEVYTWEGDPEKQLQGVREKLNMLSEHWSRKEKNKCLRDIPKAFRFMGQIVRLIVFL >itb04g19990.t1 pep chromosome:ASM357664v1:4:24444130:24444906:1 gene:itb04g19990 transcript:itb04g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQTIKSTNWAVLLNQSSRKWALPDSATQCHLSLSSKPSKTRNGKNSTSLKITDSVKGKLILGAKLLRAGGIQKVFKKNFGVREGEKLLKVSQCCLSTTAGPLPGLLFVSTEKVAFLSERSIRVRSTSGKSMRVHYKVLIPIAKIKTANESKNLKNPSEKYVQVVTEDHFEFWFMWFQQYQRTLKYLQDAISRSAQYP >itb06g07700.t5 pep chromosome:ASM357664v1:6:11433236:11443092:-1 gene:itb06g07700 transcript:itb06g07700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSESAAETSPTSTEAPPPKKYGVTMPLSLAGPSEADLQRSAALEKFLRDSGLYESDEETAKREQVLRQLDQIVKLWVKKLTRQRGYSDQMVEDANAVILTFGSYQLGVHGPGADIDTLCIGPSYINRDEDFFIILRDILVEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDFDISERSVLYNVDEATVRSLNGCRVADQILKLVPNAEHFRTTLKCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEADLGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNKAQWGALFEPYLFFEVYKNYLQVDIVAADNYDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEFVDTSKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWRPGMDIYVSHVRRKQIPGYVFPEGYKRQRLSRNPTQCTTTPEKDAKCCKSPEDRHPKRKHENETVDANSNRLGKRTSVSPQRIGSASPASAGLSPTTGICKESKVEHLSTGDVASCVPGSVNEQWECAESVLVSSSKIHLSCEGNVSLGEQISRLGDGCLSASNVLGSGLPDKSQDHSDY >itb06g07700.t3 pep chromosome:ASM357664v1:6:11433231:11443118:-1 gene:itb06g07700 transcript:itb06g07700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSESAAETSPTSTEAPPPKKYGVTMPLSLAGPSEADLQRSAALEKFLRDSGLYESDEETAKREQVLRQLDQIVKLWVKKLTRQRGYSDQMVEDANAVILTFGSYQLGVHGPGADIDTLCIGPSYINRDEDFFIILRDILVEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDFDISERSVLYNVDEATVRSLNGCRVADQILKLVPNAEHFRTTLKCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEADLGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNKAQWGALFEPYLFFEVYKNYLQVDIVAADNYDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEFVDTSKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWRPGMDIYVSHVRRKQIPGYVFPEGYKRQRLSRNPTQCTTTPEKDAKCCKSPEDRHPKRKHENETVDANSNRLGKRTSVSPQRIGSASPASAGLSPTTGICKESKVEHLSTGDVASCVPGSVNEQWECAESVLVSSSKIHLSCEGNVSLGEQISRLGDGCLSASNVLGSGLPDKSQVEYKSCSSISLMHFHSYIY >itb06g07700.t1 pep chromosome:ASM357664v1:6:11433231:11443118:-1 gene:itb06g07700 transcript:itb06g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSESAAETSPTSTEAPPPKKYGVTMPLSLAGPSEADLQRSAALEKFLRDSGLYESDEETAKREQVLRQLDQIVKLWVKKLTRQRGYSDQMVEDANAVILTFGSYQLGVHGPGADIDTLCIGPSYINRDEDFFIILRDILVEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDFDISERSVLYNVDEATVRSLNGCRVADQILKLVPNAEHFRTTLKCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEADLGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNKAQWGALFEPYLFFEVYKNYLQVDIVAADNYDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEFVDTSKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWRPGMDIYVSHVRRKQIPGYVFPEGYKRQRLSRNPTQCTTTPEKDAKCCKSPEDRHPKRKHENETVDANSNRLGKRTSVSPQRIGSASPASAGLSPTTGICKESKVEHLSTGDVASCVPGSVNEQWECAESVLVSSSKIHLSCEGNVSLGEQISRLGDGCLSASNVLGSGLPDKSQVEYKSCSSISLMHFHSYIY >itb06g07700.t2 pep chromosome:ASM357664v1:6:11433231:11443118:-1 gene:itb06g07700 transcript:itb06g07700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSESAAETSPTSTEAPPPKKYGVTMPLSLAGPSEADLQRSAALEKFLRDSGLYESDEETAKREQVLRQLDQIVKLWVKKLTRQRGYSDQMVEDANAVILTFGSYQLGVHGPGADIDTLCIGPSYINRDEDFFIILRDILVEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDFDISERSVLYNVDEATVRSLNGCRVADQILKLVPNAEHFRTTLKCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEADLGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNKAQWGALFEPYLFFEVYKNYLQVDIVAADNYDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEFVDTSKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWRPGMDIYVSHVRRKQIPGYVFPEGYKRQRLSRNPTQCTTTPEKDAKCCKSPEDRHPKRKHENETVDANSNRLGKRTSVSPQRIGSASPASAGLSPTTGICKESKVEHLSTGDVASCVPGSVNEQWECAESVLVSSSKIHLSCEGNVSLGEQISRLGDGCLSASNVLGSGLPDKSQPNNALKRVIEASRDGASPEPLQEPAIRLSLESTA >itb06g07700.t4 pep chromosome:ASM357664v1:6:11433231:11443118:-1 gene:itb06g07700 transcript:itb06g07700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSESAAETSPTSTEAPPPKKYGVTMPLSLAGPSEADLQRSAALEKFLRDSGLYESDEETAKREQVLRQLDQIVKLWVKKLTRQRGYSDQMVEDANAVILTFGSYQLGVHGPGADIDTLCIGPSYINRDEDFFIILRDILVEMEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDFDISERSVLYNVDEATVRSLNGCRVADQILKLVPNAEHFRTTLKCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEADLGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNKAQWGALFEPYLFFEVYKNYLQVDIVAADNYDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEFVDTSKPCPHCAFFMGLQRKQGVQVQEGQQFDIRGTVDEFKQDVSMYSYWRPGMDIYVSHVRRKQIPGYVFPEGYKRQRLSRNPTQCTTTPEKDAKCCKSPEDRHPKRKHENETVDANSNRLGKRTSVSPQRIGSASPASAGLSPTTGICKESKVEHLSTGDVASCVPGSVNEQWECAESVLVSSSKIHLSCEGNVSLGEQISRLGDGCLSASNVLGSGLPDKSQVEYKSCSSISLMHFHSYIY >itb09g25760.t2 pep chromosome:ASM357664v1:9:25861277:25864063:-1 gene:itb09g25760 transcript:itb09g25760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPIIGCSGIELLQADTFDLHCFQSLTGTKIFVVCEPGTLHMEPLLKYIYELYTDYVLKNPFYEMEMPIRCELFDINLAQAVQKDRVALLGR >itb09g25760.t1 pep chromosome:ASM357664v1:9:25861277:25864063:-1 gene:itb09g25760 transcript:itb09g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPIIGCSGIELLQADTFDLHCFQSLTGTKIFVVCEPGTLHMEPLLKYIYELYTDYVLKNPFYEMEMPIRCELFDINLAQAVQKDRVALLGR >itb10g10970.t1 pep chromosome:ASM357664v1:10:16024733:16027524:-1 gene:itb10g10970 transcript:itb10g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILMFNMLLLFSNVMHALGECLPDQKSVLLQIRSEITYDSSESTNLVLWDEGGDCCRWPGLSCNAAGYITSLDLSYDESITGGFNVSLLYKLPSLSVIRLDGVNFSTPFPNFFTDFTNLTVLSLKNCNFSGTVPHKVFQVPTLQTIDLSSNFMLGGSLPDVPENGLLQSLTLHDTNFSGNLPESLGNLRLLSHIDLAYCDFSGSIPASIIKLSKLVKLSLSGNSFSGPIPASLFFLPSLQTLSLDGNKLFGHINDLQNMTSPLELLDLSYNNLEGTIPFFFFQLQNLTSINLSSNKFFGQMIDLQNVTSPLQYLDLSSNDFEGTIPPFLFQLQHLRVLDLSSNKFNSIIHLTKFKSHYIDILDFSNNNLIETTLSTSELPLLPQFGQLNLASCNLQKIPDFLKSQSQLSWLDLSNNTIGGEIPNWIWGIGNGQLYYLNLSHNSLTHMKEPMEYGSLHFLDLNSNILSGQIPRSPPEAEYLDFSNNIFSTIPLDFADQIPYLISFFSIAKNRVSGKISTSWCRAAYLKVLDLSHNALHGTIPSCLVQNNSNLIVVNLRGNHLSEILTFLEILNLSYNHLVGRIPRSTQLDTFDASSFMGNKGLCGFQINVSCTGIDEPASPIPESEEKESTHHVDIYISVAFGFVAGLGGIFVPLLLSSKWRSYYNKMIDGILLKIFFQRGQGRRKKSR >itb05g27670.t2 pep chromosome:ASM357664v1:5:30927035:30927562:1 gene:itb05g27670 transcript:itb05g27670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHTDSDHFWATAFLVFGFKSEPPNVQLNATEMLLFIRKTALPLAINAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWHHASLCWKKTALLQSNQRVDEYVWQEVTLLKATLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHNHAHTLAAQQTLAKLVRMRSKI >itb05g27670.t1 pep chromosome:ASM357664v1:5:30924313:30927562:1 gene:itb05g27670 transcript:itb05g27670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQIPAVDPKSLTIKIVSSSPPDSCLPSPPSSAFVSALQSPYISPRATLPENPAPSPPVSSYCGSQSDDVPSTSYSTPPPERCDFSDDPMLKIVTVSGPDTDPRISFSFPVPRISFAKGSVSPAANAKLRSCDVYIGYHGENPNLGRFCKWLKSELELQGIAGFVADRAKYSDNQSHEIADRVICSVTFGIVIVTNHSLFNHTTVEELRFFAQKKNLIPLFFGTDATEISTLTLANPENKKCKEALDAVLKCHEYKLEAKEGNWRSCVSKAAGILRAKLGRRSVAEKMNPEGLEELPFPRNKHFVGREKEIMEIEAAFFGSGDSFEQENGQSEGLADDESEIDGGRGKYINLEVGRCNNGETWVERNSVKRSKYKKSKSCKDRSFGSSVVCISGLPGGGKTELALEFAYRYWQRYKMVLWVGGEARYFRQNILNLSVNLGLDVSADAEKERGRIRSFDEQESEAFKRVKREIFRDMPYLLIIDNIETENEWWEGKDLHDLIPSNTGGTHVIITTRISRVMNMDPLQLHPLPVSDATALIHGRHKRQYPVGEEEFVNKFNERLRSLSFGLSVVGSLLTELAIPPSVLFEAVNQVPLEDTHSNLTIAEEQFCRSNPFLMKTLAFSSAVLQQSKNLFASRMLQVGAWLAPTPISVNILAAAANKIPDSGNRLRKWTKCMKLGLFCCLGNQTWKSEEEAAFLLVKLGLARKSNRQPGCWIQLHPITQVFAKRKDGLGLGLGLAAAKATVQGVRRMGNPHTDSDHFWATAFLVFGFKSEPPNVQLNATEMLLFIRKTALPLAINAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWHHASLCWKKTALLQSNQRVDEYVWQEVTLLKATLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHNHAHTLAAQQTLAKLVRMRSKI >itb01g12930.t1 pep chromosome:ASM357664v1:1:12591032:12591760:-1 gene:itb01g12930 transcript:itb01g12930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEQKRGSSNAWRGIVSAYSILHAGVRFNVKSGMNARFWEDNWIHDNPLGSYIPSEIHEVIKNKTVRDYWKNNEGWDWSALHNIPGTTKACMDLISLEETTSVDEPTWKGEASGKFLVSSAYNIIFATMTNLQEPAWNNLWKLKVPSKMKLFMWTAMHGKILGNAERKKRGFTMNGECDVCHGEEETTIHVLRDCCHAEEIWTSLIGRARWRKWRQLNPRQWMDQNTTMRRQPENHHEWP >itb10g08520.t1 pep chromosome:ASM357664v1:10:10611354:10614216:-1 gene:itb10g08520 transcript:itb10g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPPIQPPAWETSRLRRRSRTPSPPQQNVVAITTERHHRRTPSPSLQNAIAIAIEASQSLCLTPSLSSLTSQPPNRSASVALEAYSYGLQEIKPIGKRLANLEDCFLTVGSVHPEDLFVFLLNPLPLKQLIEELSASKRFEDDEIHILFTYIMYIPPPKWLYLSQQES >itb06g07820.t1 pep chromosome:ASM357664v1:6:11588127:11588733:-1 gene:itb06g07820 transcript:itb06g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYSRSSNSPPQSSSPRDLDQNPKSSLDRRLTAHLLSQPSRPSPFDSSGFSETQTQLSRVVQFHTFIASAFGLRPSPFALRPSPFGAFKIQLKALRNPELRLHKFTVLLSIVALHSRIQSSAIQGNF >itb01g34680.t1 pep chromosome:ASM357664v1:1:37238094:37238816:1 gene:itb01g34680 transcript:itb01g34680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPPSEETLTRINAGNSINYVQHSPVLFDIWKCQKKNVAMTMKKLLLLEVLVFLVLLVFWSWTRLLAAVRFSGALLVDLSGLLCNPHVVFLLCNAIVFALFLLRRQTEISRNSVIDGDICGGTQLTVSAAEIFAPLSQPEAAVDDSGAGEEETIIKTVCLESVVLKSQCNAAAAAAIALQCQTGSSVESGAIENLNDEEFRRKIERFIRKNHEQFKVEEESMQKQQNMNTNSLQIITY >itb12g04350.t1 pep chromosome:ASM357664v1:12:2854296:2860594:1 gene:itb12g04350 transcript:itb12g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSLSDTPSRTRCGYNTPHSLLICFILIFMAACFSPSRSIPIFYQHLCLKPSFISRSLSHPNHFLKSRPCPLWSSSFSLCLHTFTRKSTLPFSLSPRKRLTCARRFSAPPSPAVSGMAAAPTADDNPLLKDFYFPPFDAIDASHVRPGIRALLKKLEGELEELERTVEPTWPKLVEPLEKIIDKLAVVWGAVNHLKAVKDNPELRSAIEEVQPEQVAFELRLGQSKPIYNAFKAIKESSDWHGLSDSRKRIVEAQIKEAVLNGIALEGDKREIFNKIEQDLSNLSRKFGENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAESGPWIITLDAPSYMSVVQHAKNRTLREEVYRAYITRASSGDLDNTAIIDQILKLRLEKAKLLGYNNYAEVSMARKMATVDKAEELLEKLRVASWDPAVQDMEELKDFCKSQGAPEADDLNHWDVSFWSERLRESKYEINEEELRPYFPLPRVMDGLFNLANKLFGIRAEPADGLAPVWNKDVRFYRVNDSSGNPIAYFYFDPYSRPSEKRGGAWMDEVVGRSRVLSPDGASPRLPVAHMVCNQMPPVGDKPSLMTFREVETVFHEFGHALQHMLTRENEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGESLPEDIYKKLLAARTFRAGSFSLRQLRFATLDLELHSRYIPGGSESIYDVDQRVSKKTQVLPPLPDDKFLCGFAHIFNGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAVQETGQRFRETILALGGGKAPLEVFVEFRGREPSPEPLLRHNGLLPAVA >itb15g10600.t1 pep chromosome:ASM357664v1:15:8331152:8333509:-1 gene:itb15g10600 transcript:itb15g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQNSHPLTSLSDFYASLLQTSLKIKDPFAIRSIHARIIKSGLHLGPFLVNNLINAYGKNGFISDAQSMFDEMPTRDASSWNTLLSAYAKRGLIHEARHIFNEMPCPDDITWTTMIVGYNLIGQFKVAIKTFLEMVGSNVLPTQYTVTSILASCAAIRSLDIGRKVHSYVVKFGLSRYVSVDNSLLNMYAKSGDVSTAEIVFDRIAIKNISSWNAMISLHMQTARVDLALAQFEQMNDKDIVSWNSMIAGYNQHGFDVEALNMFYRMLRESLLKPDHYTLASVLSACSNLEELNVGKQIHSHILRTEFDSSGAVGNALISMYSQCGAVEIARRILEQSRISNLNVIAFTALLDGYIKLGDIDPARKIFDSLKDRDVVAWTAMIVGYMQNGLNNDAMDLFRLMSEIGPEPNSYTLAAMLSVCSSLASLNHGKQIHAAALKSGEASSVSVSNALITMYAKSGNISCAQRVFNLIHWKRETVSWTSMILALAQHGFGEESIQLFENMLAMGIRPDHITYVGVLSACIHVGLVAQGRLYFRMMKDVHGIEPTSSHYSCMIDLFGRAGLLQEAQDFIEKMPIEPDAIAWGSLLASCKVHKNVKLAKLAAERLLSIDPENSGAYSGLANAYAACQKWEEAAKIRKSMKDRQVKKEQGFSWVQIKNEVHVFGVEDVLHPQRDAIFQTMEKIWKEIKKLGFVPDTESVLHDLDNELKDQLLRHHSEKLAIAFGLLNTPDNTTLRIMKNLRVCNDCHSAIKYISKLVGREIIVRDATRFHHFKDGFCSCRDYW >itb14g07430.t1 pep chromosome:ASM357664v1:14:6823334:6828335:-1 gene:itb14g07430 transcript:itb14g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWLLSNITAFSFVALSLSLSLYSLLFANPTIPRSFFTSIFTRELKKMAQEKPKPAAGVWSAVKPFVNGGCSGMLATCAVQPIDMVKVRIQLGQGSAGEVTKNMIKNEGFGALYKGLSAGLLRQATYTTARLGSFRILTNKAVEANEGKPLPLYQKALCGLTAGAIGACVGNPADLALIRMQADATLPAAQRRNYTNAFHALYRIAADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNLGMGEAATVLGASSVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYTGSMDCAMKTLKAGGPFKFYTGFPVYCVRIAPHVMLTWIFLNQIQKIEKNAGW >itb11g22020.t1 pep chromosome:ASM357664v1:11:23821605:23824679:-1 gene:itb11g22020 transcript:itb11g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTRQSSKQLQVVPIVGMGGIGKTTLAGKVYSDPRITSHFYIRAWVTVSQEYNVEQMLQCLIGCVNAASRDELHEQSNELHEQRRQRNSKESLRRLLMGKRYLIVMDDIWSTTAWDSVQGCFPDDYNGSRILLTSRLREVVEYANPGNSSINMHFLDANESWNLYCNVFGQTKFPSEFEQIGRNIVKKCNGLPLAIIVIASLLSKIEAAVEKWNNVAENVSRYVIGDSNDACSRILYLSYNQLPHHLKACFLYFGVFPEDYEIHVKKLVRLWAAEGFLRAEEHQNMEEVAMECLQDLVDRSLVFLKFIDTYCLAWSDMELIGMLPNLEVLKLKHAIDRKDTMWEPPEEGFRQLKRLLQFTNHLSG >itb12g12320.t1 pep chromosome:ASM357664v1:12:11039359:11041420:-1 gene:itb12g12320 transcript:itb12g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTRLIKLRHEVMSQSFRVWNWCMSLAQRCGNMHQFKVVHAIFITCGLHRNTYAVSKLLDFCALSNFRDLSYASQLFTQTQMPNLFIYNTLIRAYSDSLQPHLTLHYFNLLVRNNEPLSPDNYTFPFVLIACSNGFLVPEGKQIHSLVVKNSLSFSDPHVQTALIRFYAGCKVLDDAQQVFDEIASIDVFQANVLISGYIRNGLASKALSVLQGMFVHGVEPDEFCVTSGLAACANIGALDQGKWIHEYIKKQKNWLESDAFVGTALVDMYAKCGCIHTAVEVFEAMPKRTKHSWAAMIRGFGIHGFAKKAIECLDRMQVEDGLKPDSIVLLGVLVACTHSGLQKEGRLLLDRMEPLYSVVPQHEHFSCVVDLLCKAGKLDEAIKFIRMMPMKPLASVWGALLSGCRVHNNVTLAELAVRELLLLEDGNEAEKGCAYVQLSNIYLATHQSSDARRIRTMIGDKGLKKTPGISAIEVDGEVNEFVSGDVSHPHLGEIHSVLDLMSLEISTSLVNDRA >itb08g13570.t1 pep chromosome:ASM357664v1:8:14650053:14651336:-1 gene:itb08g13570 transcript:itb08g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTFVDRGSASLSSTNSAQLQVRDGHHPGLGFHFLAPEFSEFEDFLVGCDLPSSGVENLKPFVFAGGKSKGTVEF >itb15g10940.t1 pep chromosome:ASM357664v1:15:8704216:8707110:1 gene:itb15g10940 transcript:itb15g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPNLKADEASPEWMNKGDNAWQLTAATLVGLQCVPGLVILYGGMVKKKWAINSAFMALYAFAAVLICWVGWGYRMSFGEKLVEFLGKPAVAVDENFLLGQPFLGYFPTATMVLFQFVFAAITPVLIGGALLGRMNFVAWMMFVPLWHTFSYTVGAFSIWCPDGWLAKLGVIDFAGGFVIHLSSGVAGFTAAHWVGPRLDKDRERFPPNNILMMLAGAGMIWMGWSGFNGGAPYAASSIASLAVLNTHVCTATSLLTWVILDFFAFGKPSVLGAVQGIITGLVCITPGAGVVQCWAAILMGLISGSVPWYTMTVLHTKVKLLRQVDDTFAVFHTHAIAGALGGILTGFFAVPKLSRLFYLVPDWEKYIGLTYGLQTGRTSAGLRQMGAQLAGAAFIICLNIVTTSLICLVIRLVVPLRLGEEELQVGDEAVHGEVAYALWDGGERFEKSKLNSMYDADDDEYPL >itb12g06860.t1 pep chromosome:ASM357664v1:12:5068682:5071252:-1 gene:itb12g06860 transcript:itb12g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFDDGHSITVNLLDGHQKLHQKPVSGQTSFFKTCFNGINALSGVGILSVPYALACGGWLSLMFLLIIAASTFYTGLLIQRCMVMDCRIKSYPDIGERAFGSVGRTVVSIVMNLELYMVATGFLILEGDNLDNLLPNLKVEIWGIVIGGKSSLVILIGLVILPTVLLNNMSILSYISASGVIASVTLLGSMLWASATDGIGIHADDVVLDWKGIPTAVSLYAFCYCAHPVFPTLYTSMENPTQFSKVLILCFVVCTISYASMAILGYLMFGPNVLSQVTLNLPTHKLSSKVAILTTLINPIAKYALMVKPLVNAMENLFASHCSKKGSSLVIRTSLVISTVIVAVAIPLFGYLMSLVGAFLSITASVVLPCLCFLKISGIYRTLGVEMIMVFVIMVMGVAIMVVGTYTSVLEIVGHL >itb12g06860.t2 pep chromosome:ASM357664v1:12:5068685:5071252:-1 gene:itb12g06860 transcript:itb12g06860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLELYMVATGFLILEGDNLDNLLPNLKVEIWGIVIGGKSSLVILIGLVILPTVLLNNMSILSYISASGVIASVTLLGSMLWASATDGIGIHADDVVLDWKGIPTAVSLYAFCYCAHPVFPTLYTSMENPTQFSKVLILCFVVCTISYASMAILGYLMFGPNVLSQVTLNLPTHKLSSKVAILTTLINPIAKYALMVKPLVNAMENLFASHCSKKGSSLVIRTSLVISTVIVAVAIPLFGYLMSLVGAFLSITASVVLPCLCFLKISGIYRTLGVEMIMVFVIMVMGVAIMVVGTYTSVLEIVGHL >itb09g19450.t1 pep chromosome:ASM357664v1:9:15682731:15686729:-1 gene:itb09g19450 transcript:itb09g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPVVGQLDVPERRGSYVIKSKEVVFKETKVFVSDDEVISPITQQDSAKEFTQSQSRSGPVRRSLLDEFSSTQFKEAAICESTGREIALGSATIHRTEPNLAKIRVEIDVSKHVSEKIWIEIDSDRRGFWHNIVVDVRCLVFDKKVSHASGGLTRVEKDKIAVIQFQISLPKTDIEHIIVASDYTEMDRILKEERTSTTLIKSCSAVKGSAIEGEKEILVELEEQLLNMRFQSLHQNNRYISSALEYSESVSSGSGLSGQECGNTLSTLSFGTAVREKAGENWFADVHDLRLLCNLHLQWCFVNAKTDSAYFKLTETSEWNLYNAQLATPKLMHSVKSKQTELFLLKQNFKLYHICSAVNMVHEMAYNEVQDNQISDGDKNVSKTSIVAGDSEIVFEMKQTFESVATEAVKEGTYVKVGTLAAKENSEMISHNTETVEWKMPLGGPEARNQEIHESEDSRKLFYVIDSFTGLQNQLCFCCQYWIIVATIAVSERIGDAFISWISMYNEAKLAFCIYLWFPKTKGATYVYDSFFRPMGLKHSQRLIITCWS >itb10g16400.t2 pep chromosome:ASM357664v1:10:22646520:22649545:-1 gene:itb10g16400 transcript:itb10g16400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAASSIISPALTSTSSSSSKPPLTHSPPPLFLCFKISPTPSKPLLLHTRGKTCAALIVKAQTLEFSNSSFYEDGLGDDDDPPSIPGLGISDIEDKEEPQCPPGLRKYETMAVLRPDLSEDERLTLVQKYEELLVTGGGMYVEVFNKGIIPLSYNIRKKNKAGETNIYMDGIYLLFTYFTKPESMAALETAMKTDDDVIRSSSFKVRKRKY >itb10g16400.t1 pep chromosome:ASM357664v1:10:22645673:22649545:-1 gene:itb10g16400 transcript:itb10g16400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAASSIISPALTSTSSSSSKPPLTHSPPPLFLCFKISPTPSKPLLLHTRGKTCAALIVKAQTLEFSNSSFYEDGLGDDDDPPSIPGLGISDIEDKEEPQCPPGLRKYETMAVLRPDLSEDERLTLVQKYEELLVTGGGMYVEVFNKGIIPLSYNIRKKNKAGETNIYMDGIYLLFTYFTKPESMAALETAMKTDDDVIRSSSFKVRKRKY >itb02g08780.t1 pep chromosome:ASM357664v1:2:5559573:5566309:1 gene:itb02g08780 transcript:itb02g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLCNLFLFSFSIIFLVCAWRVVNWAWLRPKTLEKWLRGQDLKGNAYRLFYGDTKEIAKMINATKSKPMKILSDEVVPRAIPYFFESIQKHGKKSFVWTGTVPMVIITDSDHVKEVLSKHNTFQKNLADPIIDKLAQGLLKYEKDKWSKHRKIVNHAFQLEKLKHMVPAMNKICSEMVGRWEEIVSRNESGELDVWPDLKTMTANVISLTAFGINYEDGRRVFELQCEQAEHAMELRNSVFVPGGRFLQTKRSRRMDEIDKEINAVVGRIIGKRIEAMKEGEANNNDLLDMLLESNFKEINHHGNQEFGMTIEEIISECKLFYLAGQETTSSLLVWTMFLLSRNQEWQERAREEVVHVFGDDKPDFDGLNRLKIVTMILHESLRLYPPVVSLIRVVKEDTKLGNLSLPAGVLLFVPTILLQHDPEIWGDDANEFKPERFREGVSKATNGQACFLPFGGGPRICLGLNFTMVEAKIALSCILRRFSFQLSPSYAHAPYMLITIKPLYGDVAVKFAMAERFCWYRPFSRCQFSKGKVICSFTILSSSSSTPLSILRRPPPTSMQWDYIGQVMMTMEVLCNLFLFSFSIIFLVCAWRVVNWAWLRPKRLEKWLRGQDLKGNAYTLFYGDTKELAKMINQTKSKPMKILSDDQVVPRAIPYFFESIQKHGKKSFVWLGTVPMVIITDPDHVKEVLSKHNTFQRNLADPVIDKLAQGLLKYETDKWSKHRKIVNPAFQLEKLKHMVPAMNKICSEMVGRWEEIVSRNESGELDVWPELQTMAANVISLTAFGINYEDGRRVFELQSEQAEHAMELRHSVYVPGGRFLPTKRNRRMNEIDKEINAVVRRIVEKRIEAVKEGEANNNDLLDMLLDSNFKEIKHHGNQAFGMTIEEIISECKLFYLAGQETSSALLVWTMVLLSRNQEWQERAREEVVHVFGDDEPDFDGLNRLKIVTMILHESLRLYPPVATLIRIVKEEAKLGNLSLPAGVLLLVPTILLHHDPEMWGEDANEFKPERFREGVSKATNGQACFLPFGGGPRICIGLNFTMVEAKIALSCILRRFSFKLSPSYAHAPYMLLTIKPLYGAPLILHKL >itb01g32280.t1 pep chromosome:ASM357664v1:1:35800333:35801323:1 gene:itb01g32280 transcript:itb01g32280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELGILCDAEVGVVIFSSTGKLYDYASSRHRNSVVHAAPKNFEITLKYSMVKLAANDLFGKMVKKEVPSLGSEVQARKCVVYLLFCS >itb01g17320.t1 pep chromosome:ASM357664v1:1:22072759:22073459:-1 gene:itb01g17320 transcript:itb01g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIELAKCECCGLKEDCTQAYISEVKEKFDGKWLCGLCSEAVRDEIIRGNNTSRHMMFVGIEDAVKAHMSFCRKYKSNNPATRVADGMRQMLRRRSSDVSASSPSSSSKKYSRSASSASASSLSYC >itb04g13060.t1 pep chromosome:ASM357664v1:4:13064459:13068368:-1 gene:itb04g13060 transcript:itb04g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) UniProtKB/Swiss-Prot;Acc:O04922] MHFFTLTNCFSLLFLALASFLVFNYPSISSTPNMAADSPKSIFDFFVKDIRGNDVPLSNYRGKVVLIVNVASKCGLTNSNYKELNILYDKYKDQGFEILAFPCNQFAWQEPGTNEEIQETVCTRFKAEFPIFEKIDVNGKNIAPLYKYLKSEKGGVLGDSIKWNFTKFLVNREGKVVERYGPSTSPLKFEKDIENLLGSPASTQEN >itb04g13060.t2 pep chromosome:ASM357664v1:4:13065536:13068148:-1 gene:itb04g13060 transcript:itb04g13060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) UniProtKB/Swiss-Prot;Acc:O04922] MHFFTLTNCFSLLFLALASFLVFNYPSISSTPNMAADSPKSIFDFFVKDIRGNDVPLSNYRGKVVLIVNVASKCGLTNSNYKELNILYDKYKDQGFEILAFPCNQFAWQEPGTNEEIQETVCTRFKAEFPIFEKIDVNGKNIAPLYKYLKSEKGGVLGDSIKWNFTKFLVNREGKVVERYGPSTSPLKFEKDIENLLGSPASTQVIFSYLSFLFLIQ >itb13g16720.t1 pep chromosome:ASM357664v1:13:23663967:23664338:1 gene:itb13g16720 transcript:itb13g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKHCTIFLALLLLNAHLGICGGSSVFDPNSVESRRFCGGKMRDCGGMGMEEEMESEMSRRVLVMQKRYISYDTLKRDLVPCDTPGDSYYNCKGPAASHPYSRGCEIITRCARGEVSDINS >itb01g12840.t1 pep chromosome:ASM357664v1:1:12533648:12534851:1 gene:itb01g12840 transcript:itb01g12840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKECSIHKDRRQKAVRQFCGCVLILLFLILLTILIVWAVLQPKKPRFILQDATIYNFNVSAPNIFSTTIQVTVSSHNPNRRVGVYYDKLDAFATYHDQQITYYTVIPPVYQGHKDTNLWSPFIYGNNVPIAPFNGPDLRQDQDAGAVWITVKLNGRVKWRVGSFTSGRYHLHVTCPAYIPFGNAPKNGGIVVGNAVKYQLSRSCDVSV >itb13g13550.t1 pep chromosome:ASM357664v1:13:20065976:20070191:1 gene:itb13g13550 transcript:itb13g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLKTGATQDAEAKGNCYPHWFSVETGICKSTHPPVHLPSDPFLDVVSFIFSHKHGGVSALVDSQSGVSISYSELRSLVKSTAAGLHRMDVSQGDVVMILLPNSIYFPVVLLGVLSLGAVVTTANPLSSLVEIKKQVSECNVSLVFTTLERVDKLGTLGVQIIGLPQHFMFGSERNLDSGFHKLISCDPKLAPKPRIKQQDTAVILYSSGTTGNCKGVVLTHANFIATVELFMRFEASQYEHLSTENVYLDVIPMFHVYGLSLFGMGLLSLGSTVVVMRKFDADEMVRAIDRYGVTHFPVVPPLLMALTRRAKGVAQRSMKSLKQVSCGAAPLNTKCIQDFIRTFPNVDFIQGYGMTESTAVGTRGYNTEKLHNYSSAGLLSPNTEAKVVDWITGSSLPPNSTGELWLRGPNVMKGYLNNVEATKSTVDDDGWLHTGDIVYFDQNGYLYVLDRLKEIIKYNGFQIAPADLEAVLMSHPDIIDAAVTSVINEEVGEIPVAFVVKKDSSTLSQADVIDFVAKQVAPYKKVRKVIFRGSIPRSAAGKVLRKELRNLLKSRM >itb13g24660.t1 pep chromosome:ASM357664v1:13:30281012:30285502:-1 gene:itb13g24660 transcript:itb13g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRYWIYKRRVGFCLRLQKMMKCICSGEQLKADEIIPSSDSMADYSAGVYSSRGGETDTKVDTSNIEEAELSLRESGFLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVIPRIKLSIVRRSEPPRRNSLTDANPPMSMHAISLLFEAILLKAKSLQALGRFTEAAQSCKIILDTVEAALPDGLPGNFASDCKLLEMLNSAVELLPELWKNALAPQEAILAYRRALLYNWNLDVQIKTRIEMEFVTFLLYSGTDATAPNLGSQSEGSFVPRNNIEEAILLLLVLLRKSLLKQTGWDPSILDHLCFALSIAGELRSLACHVEELPPGIVQRKQKFTTLSLCYYAEGEDSISLNLLRNLLDNKERVNCTFELLLAAKICGENPEYLGEGIQYARGVLPKFDGKCNQMASVANYLLGVSLSAQSRAVASDSQRTLRQSEALEALETAQNMTRGRNPNVLYCLSLENAEQRKLDAALYYAKELLKLEAGSSVKGWILLARVLSAQKRYTDAENIVNAALEETGNWDQGELLRTKAKLQIAQGKLTDAIETYTHLLAVLQVQRKSFGVQRTHTKNTMNSRSLEMETWHDLANVYTNLSQWRDAEACLSKSEAISPHSASRLHCRGLLHQSMGQYMEALRSFEKALDIEPNHVPSLVSTAIVLRQIGGPSMPVVKSFLTDALRLDRTNASAWYNLGLLYKSGNGASALEAAECFEAATLLLESAPVEPFR >itb13g24660.t2 pep chromosome:ASM357664v1:13:30281012:30285049:-1 gene:itb13g24660 transcript:itb13g24660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRYWIYKRRVGFCLRLQKMMKCICSGEQLKADEIIPSSDSMADYSAGVYSSRGGETDTKVDTSNIEEAELSLRESGFLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVIPRIKLSIVRRSEPPRRNSLTDANPPMSMHAISLLFEAILLKAKSLQALGRFTEAAQSCKIILDTVEAALPDGLPGNFASDCKLLEMLNSAVELLPELWKNALAPQEAILAYRRALLYNWNLDVQIKTRIEMEFVTFLLYSGTDATAPNLGSQSEGSFVPRNNIEEAILLLLVLLRKSLLKQTGWDPSILDHLCFALSIAGELRSLACHVEELPPGIVQRKQKFTTLSLCYYAEGEDSISLNLLRNLLDNKERVNCTFELLLAAKICGENPEYLGEGIQYARGVLPKFDGKCNQMASVANYLLGVSLSAQSRAVASDSQRTLRQSEALEALETAQNMTRGRNPNVLYCLSLENAEQRKLDAALYYAKELLKLEAGSSVKGWILLARVLSAQKRYTDAENIVNAALEETGNWDQGELLRTKAKLQIAQGKLTDAIETYTHLLAVLQVQRKSFGVQRTHTKNTMNSRSLEMETWHDLANVYTNLSQWRDAEACLSKSEAISPHSASRLHCRGLLHQSMGQYMEALRSFEKALDIEPNHVPSLVSTAIVLRQIGGPSMPVVKSFLTDALRLDRTNASAWYNLGLLYKSGNGASALEAAECFEAATLLLESAPVEPFR >itb04g22910.t3 pep chromosome:ASM357664v1:4:28146200:28151248:-1 gene:itb04g22910 transcript:itb04g22910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVHRVKQEDDKEEAAGPAEDTNTPLKTAPFYKLFSFADSTDILLMIVGTIAAVGNGLSLPLMTVLFGDVTDAFGQNQGDKDVVHAVSKVALKFVYLALGSGVASFLQMVCWMVTGERQAARIRSLYLQAILRQDVAFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFLGGFVIAFIKGWLLTLVMLSSIPLLVISGGVMSIVIAKMASRGQTAYSKAAAVVEQTIGSIRTVASFTGEKQAVATYNKSLEKAYESGVQEGLAAGLGLGSAMFVLFCSYGLAIWFGARMITQKGYTGGEVLNVIIAVLAGSMSLGQASPCMSAFAAGQAAAFKMFETINRKPCVDAYDTNGKILDDDIRGDVELRDIYFSYPARPDEQIFSGFSLYIHNGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIREKIGLVSQEPVLFTASIKDNIAYGKPDASIEEIKAATELSNAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTIIVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLRDPEGAYSQLVHLQETNKDTEQLGRQSSQKASTSLRSISRGSSGIGNSSRHSFSVSFGVPTGLGVSETTSITDVGVVGSEKDSENPHQKVQIRRLAYLNKPEIPVLLAGTVSAVINGAILPIFGILISNVIKAFYESPHKIRKDSNFWSLIFVVLGAVSLLAFPARTYLFGVAGCRLIKRVRAMCFEKVIHMEVGWFDEPEHSSGIIGARLSTDASTVRGLVGDALAQIVQDTASAAAGLAIAFQASWQLALIILAMIPMIGLNGYVQVKFMKGFSADAKIMYEEASQVANDAVGSIRTVASFCAEEKVMEMYKKKCEGPVKAGVRQGLISGTGFGISMTLLFLTYAASFYAGAKLVEDKKITFSDVFRVFFALTMAAMAISQSSSFAPDSSKARSAAASIFAILDRKSKIDPSDESGMTPASVKGEIEFRHVSFSYPTRPDVQILRDLCLSIRSGKVSLIN >itb04g22910.t4 pep chromosome:ASM357664v1:4:28145713:28151248:-1 gene:itb04g22910 transcript:itb04g22910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVHRVKQEDDKEEAAGPAEDTNTPLKTAPFYKLFSFADSTDILLMIVGTIAAVGNGLSLPLMTVLFGDVTDAFGQNQGDKDVVHAVSKVALKFVYLALGSGVASFLQMVCWMVTGERQAARIRSLYLQAILRQDVAFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFLGGFVIAFIKGWLLTLVMLSSIPLLVISGGVMSIVIAKMASRGQTAYSKAAAVVEQTIGSIRTVASFTGEKQAVATYNKSLEKAYESGVQEGLAAGLGLGSAMFVLFCSYGLAIWFGARMITQKGYTGGEVLNVIIAVLAGSMSLGQASPCMSAFAAGQAAAFKMFETINRKPCVDAYDTNGKILDDDIRGDVELRDIYFSYPARPDEQIFSGFSLYIHNGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIREKIGLVSQEPVLFTASIKDNIAYGKPDASIEEIKAATELSNAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTIIVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLRDPEGAYSQLVHLQETNKDTEQLGRQSSQKASTSLRSISRGSSGIGNSSRHSFSVSFGVPTGLGVSETTSITDVGVVGSEKDSENPHQKVQIRRLAYLNKPEIPVLLAGTVSAVINGAILPIFGILISNVIKAFYESPHKIRKDSNFWSLIFVVLGAVSLLAFPARTYLFGVAGCRLIKRVRAMCFEKVIHMEVGWFDEPEHSSGIIGARLSTDASTVRGLVGDALAQIVQDTASAAAGLAIAFQASWQLALIILAMIPMIGLNGYVQVKFMKGFSADAKIMYEEASQVANDAVGSIRTVASFCAEEKVMEMYKKKCEGPVKAGVRQGLISGTGFGISMTLLFLTYAASFYAGAKLVEDKKITFSDVFRVFFALTMAAMAISQSSSFAPDSSKARSAAASIFAILDRKSKIDPSDESGMTPASVKGEIEFRHVSFSYPTRPDVQILRDLCLSIRSGKTVAIVGESGSGKSTVISLLQRFYDPDSGEITLDGIEIRKLQVKWLRQQMGLVSQEPALFNNTIRANIAYGKGGEGNAATEAEITSAAELANAHNFISALQQVHLLLIIILCITRQDTNKLTTHAYI >itb04g22910.t1 pep chromosome:ASM357664v1:4:28144806:28152054:-1 gene:itb04g22910 transcript:itb04g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVHRVKQEDDKEEAAGPAEDTNTPLKTAPFYKLFSFADSTDILLMIVGTIAAVGNGLSLPLMTVLFGDVTDAFGQNQGDKDVVHAVSKVALKFVYLALGSGVASFLQMVCWMVTGERQAARIRSLYLQAILRQDVAFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFLGGFVIAFIKGWLLTLVMLSSIPLLVISGGVMSIVIAKMASRGQTAYSKAAAVVEQTIGSIRTVASFTGEKQAVATYNKSLEKAYESGVQEGLAAGLGLGSAMFVLFCSYGLAIWFGARMITQKGYTGGEVLNVIIAVLAGSMSLGQASPCMSAFAAGQAAAFKMFETINRKPCVDAYDTNGKILDDDIRGDVELRDIYFSYPARPDEQIFSGFSLYIHNGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIREKIGLVSQEPVLFTASIKDNIAYGKPDASIEEIKAATELSNAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTIIVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLRDPEGAYSQLVHLQETNKDTEQLGRQSSQKASTSLRSISRGSSGIGNSSRHSFSVSFGVPTGLGVSETTSITDVGVVGSEKDSENPHQKVQIRRLAYLNKPEIPVLLAGTVSAVINGAILPIFGILISNVIKAFYESPHKIRKDSNFWSLIFVVLGAVSLLAFPARTYLFGVAGCRLIKRVRAMCFEKVIHMEVGWFDEPEHSSGIIGARLSTDASTVRGLVGDALAQIVQDTASAAAGLAIAFQASWQLALIILAMIPMIGLNGYVQVKFMKGFSADAKIMYEEASQVANDAVGSIRTVASFCAEEKVMEMYKKKCEGPVKAGVRQGLISGTGFGISMTLLFLTYAASFYAGAKLVEDKKITFSDVFRVFFALTMAAMAISQSSSFAPDSSKARSAAASIFAILDRKSKIDPSDESGMTPASVKGEIEFRHVSFSYPTRPDVQILRDLCLSIRSGKTVAIVGESGSGKSTVISLLQRFYDPDSGEITLDGIEIRKLQVKWLRQQMGLVSQEPALFNNTIRANIAYGKGGEGNAATEAEITSAAELANAHNFISALQQGYDTMVGERGVQLSGGQKQRVAIARAMVKNPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADVIAVVKNGVIVEKGNHDTLINIKDGFYASLVALHITAASSSSS >itb04g22910.t2 pep chromosome:ASM357664v1:4:28144806:28151248:-1 gene:itb04g22910 transcript:itb04g22910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVHRVKQEDDKEEAAGPAEDTNTPLKTAPFYKLFSFADSTDILLMIVGTIAAVGNGLSLPLMTVLFGDVTDAFGQNQGDKDVVHAVSKVALKFVYLALGSGVASFLQMVCWMVTGERQAARIRSLYLQAILRQDVAFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFLGGFVIAFIKGWLLTLVMLSSIPLLVISGGVMSIVIAKMASRGQTAYSKAAAVVEQTIGSIRTVASFTGEKQAVATYNKSLEKAYESGVQEGLAAGLGLGSAMFVLFCSYGLAIWFGARMITQKGYTGGEVLNVIIAVLAGSMSLGQASPCMSAFAAGQAAAFKMFETINRKPCVDAYDTNGKILDDDIRGDVELRDIYFSYPARPDEQIFSGFSLYIHNGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLRWIREKIGLVSQEPVLFTASIKDNIAYGKPDASIEEIKAATELSNAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTIIVAHRLSTVRNADMIAVIHRGKMVEKGTHSELLRDPEGAYSQLVHLQETNKDTEQLGRQSSQKASTSLRSISRGSSGIGNSSRHSFSVSFGVPTGLGVSETTSITDVGVVGSEKDSENPHQKVQIRRLAYLNKPEIPVLLAGTVSAVINGAILPIFGILISNVIKAFYESPHKIRKDSNFWSLIFVVLGAVSLLAFPARTYLFGVAGCRLIKRVRAMCFEKVIHMEVGWFDEPEHSSGIIGARLSTDASTVRGLVGDALAQIVQDTASAAAGLAIAFQASWQLALIILAMIPMIGLNGYVQVKFMKGFSADAKIMYEEASQVANDAVGSIRTVASFCAEEKVMEMYKKKCEGPVKAGVRQGLISGTGFGISMTLLFLTYAASFYAGAKLVEDKKITFSDVFRVFFALTMAAMAISQSSSFAPDSSKARSAAASIFAILDRKSKIDPSDESGMTPASVKGEIEFRHVSFSYPTRPDVQILRDLCLSIRSGKTVAIVGESGSGKSTVISLLQRFYDPDSGEITLDGIEIRKLQVKWLRQQMGLVSQEPALFNNTIRANIAYGKGGEGNAATEAEITSAAELANAHNFISALQQGYDTMVGERGVQLSGGQKQRVAIARAMVKNPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADVIAVVKNGVIVEKGNHDTLINIKDGFYASLVALHITAASSSSS >itb08g14580.t1 pep chromosome:ASM357664v1:8:16319691:16320684:-1 gene:itb08g14580 transcript:itb08g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITCGDNCGSSFGWVYIHPMEEEEAEGGRTAEISTGLQELGVATVAVRQSSVAVSREETRWSGEQAGSSSTEQSRSRFSQSRAAVETRWSRTGGRDSCRRSSVVAAASRAVAGVKREGDAELGISN >itb01g01920.t1 pep chromosome:ASM357664v1:1:1118091:1119219:-1 gene:itb01g01920 transcript:itb01g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALFFALSLVYLLLPNPTHSTRNPIRLPAAASGPPVLDIEGNEVLPGQTYYIRSWKWSHGGVRLVSLDGSTTLCPSDVILGDEVDNGNPVSFTPADPDAPVILESTFQNMKFDFPMVKLCVNNVSWEVEYDAKSGQRFVTAGDVFSYPFKIEPVARILPAYNITYCESGTDNNCNIVGKYYGPDLRQRLALSTDEPWVVYFQKPHQAP >itb01g23960.t1 pep chromosome:ASM357664v1:1:29777755:29778513:-1 gene:itb01g23960 transcript:itb01g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKHFSHPHTLNLYKVQEGQQCRCHGCQSLCYDSVYACRSCDFFLHDHCGNANRYLNHPSHPLHPLVLFPAPTYCSGSFLCNACGSPGSGFSYACALCEVDLHLHCAFLPPKVAHKAHQHDLILSFPAPDHAESVEYCKICAKKMDSKLWSYCCGKSGEDECDFRVHTFCATTEVKPGLYQGLESEPPPAAADTNSGGNQPPQLTPDEAAAAELYSLQLQMQMNQQLAQMMAAFNLSQFV >itb06g21100.t2 pep chromosome:ASM357664v1:6:23828327:23833183:1 gene:itb06g21100 transcript:itb06g21100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIREFELPEASVAGEAPATYDSAGGMLSEMLKFPGGGNNSSLESSFVDQGLHQYTWIPAPDSSRIGTVMEVQGLSLSLSSSWRGLEGMEAAKLEELSSRNGGIGIYSNNNATGADQLLLHSGVDVAGYGDAAAAESIRTVNVLRNSRYLKAAQELLEEFCFVGRGKLKNLRAKKNIMNNDESGNPNSDKDPPPPSPPSSSPAVRSEHQRRKIKLLSMLDEVDARYVRYNEQMQTMVNSFELVVGYGAAAPYTGLAQEAMSRHFRRIKEGIVGQLRETCKVLGEKDAGERRGLTRGETPRLRFIDQKLRHQKALHEMAMGMLDSEVWRPQRGLPEPSVNTLRAWLFDHFLNPYPSEADKQLLSRQTGLSKNQVSNWFINARVRLWKPMVEEMYQKEAKEEEEEGGGQGQAVDDNAKDSQLIAEEKDPSHNIIDSSENQPMTLHSSIILPNHADNSLPLTGFSMKAPDSWSGGAGAGNGGFGAAAQVTRDVSLTLGLQRIENLSRNNLIPIKDFRAYN >itb06g21100.t3 pep chromosome:ASM357664v1:6:23828327:23833092:1 gene:itb06g21100 transcript:itb06g21100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIREFELPEASVAGEAPATYDSAGGMLSEMLKFPGGGNNSSLESSFVDQGLHQYTWIPAPDSSRIGTVMEVQGLSLSLSSSWRGLEGMEAAKLEELSSRNGGIGIYSNNNATGADQLLLHSGVDVAGYGDAAAAESIRTVNVLRNSRYLKAAQELLEEFCFVGRGKLKNLRAKKNIMNNDESGNPNSDKDPPPPSPPSSSPAVRSEHQRRKIKLLSMLDEVDARYVRYNEQMQTMVNSFELVVGYGAAAPYTGLAQEAMSRHFRRIKEGIVGQLRETCKVLGEKDAGERRGLTRGETPRLRFIDQKLRHQKALHEMAMGMLDSEVWRPQRGLPEPSVNTLRAWLFDHFLNPYPSEADKQLLSRQTGLSKNQDKVTNYN >itb06g21100.t1 pep chromosome:ASM357664v1:6:23828327:23833183:1 gene:itb06g21100 transcript:itb06g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIREFELPEASVAGEAPATYDSAGGMLSEMLKFPGGGNNSSLESSFVDQGLHQYTWIPAPDSSRIGTVMEVQGLSLSLSSSWRGLEGMEAAKLEELSSRNGGIGIYSNNNATGADQLLLHSGVDVAGYGDAAAAESIRTVNVLRNSRYLKAAQELLEEFCFVGRGKLKNLRAKKNIMNNDESGNPNSDKDPPPPSPPSSSPAVRSEHQRRKIKLLSMLDEVDARYVRYNEQMQTMVNSFELVVGYGAAAPYTGLAQEAMSRHFRRIKEGIVGQLRETCKVLGEKDAGERRGLTRGETPRLRFIDQKLRHQKALHEMAMGMLDSEVWRPQRGLPEPSVNTLRAWLFDHFLNPYPSEADKQLLSRQTGLSKNQDKVTNYN >itb11g12720.t1 pep chromosome:ASM357664v1:11:9667924:9671322:-1 gene:itb11g12720 transcript:itb11g12720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWIILTYVVAVEVAVAILLTLPSPKPLRSRIVSLISLTLQPSLFIVPFAAFQLLDIYWKNEHRLMCTGEICTAAERDRYEKSIYKAQRNGILCVAACLLYWCIYRICKYNKEIQRMEEVEKRSKDN >itb09g11670.t2 pep chromosome:ASM357664v1:9:7281178:7285675:-1 gene:itb09g11670 transcript:itb09g11670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEMMNGINSMELAPSLDPPKRRLYQVWRGRNKFVCGGRLVFGPDGASMILSTSLIATPALIFCFKTLLRISEVDPLYGRAIPTVGFILIILDLNFLFLTSSSNPGIVPRNSRPLEDESIKSSDWINNSYSDIKLPRTKDVFVNGRTVKVKFCDTCLLYRPPRASHCSVCNNCVQRFDHHCPWVGQCIGARNYRCFILFITTSTILCVYVFTFSLLRLLEQPGSTWSKMSGDVVSLVLIVYCFIAVWFVGGLSVLHFYLMCTNQTTYENFRYRYDKKENPFNRGIVKNLKEILFSTIAPSLVNFREWVVVEEDSVMGSFSHKFGSINSNGKFDLEVEILGKDGSFSVPEIFQSLDYNGIDPKKDKGAGLFAFDPFLYPPSKGGQDSIIEGGKTEDGMFYRSSPMIPPHK >itb09g11670.t1 pep chromosome:ASM357664v1:9:7281178:7285675:-1 gene:itb09g11670 transcript:itb09g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEMMNGINSMELAPSLDPPKRRLYQVWRGRNKFVCGGRLVFGPDGASMILSTSLIATPALIFCFKTLLRISEVDPLYGRAIPTVGFILIILDLNFLFLTSSSNPGIVPRNSRPLEDESIKSSDWINNSYSDIKLPRTKDVFVNGRTVKVKFCDTCLLYRPPRASHCSVCNNCVQRFDHHCPWVGQCIGAPGSTWSKMSGDVVSLVLIVYCFIAVWFVGGLSVLHFYLMCTNQTTYENFRYRYDKKENPFNRGIVKNLKEILFSTIAPSLVNFREWVVVEEDSVMGSFSHKFGSINSNGKFDLEVEILGKDGSFSVPEIFQSLDYNGIDPKKDKGAGLFAFDPFLYPPSKGGQDSIIEGGKTEDGMFYRSSPMIPPHK >itb13g18750.t1 pep chromosome:ASM357664v1:13:25656359:25663634:-1 gene:itb13g18750 transcript:itb13g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEIHEKDSEEADDSCKNAPRNSDETADLKSLEEDAPKKPEVFDSIIDVSGKSFDFPVVECQGGEVEGLYVYKNTFNLIPKANGGLGRLKTLKFFANDVNLFPPGELKNLVELECLQVKVALPGLSGLDLQKLKGLKELELCKVPSRPSAFPIFRDITGLKRLTKLSVCHFSIRFLPPEIGYLINLEYLDISFNKMRNLPTEITYLNSLLSLKVANNKLVEVPSGLSSLQRLENLDLSHNRLTSLENLDLGLMHNLQRLNLQDNKLLQCCDIPSWICCNLEGSCIDDLFSSSSEMDVLEETNGSTEHGSSIASLSHLTGSAPNSRCFGPRKSKRWKRRQYLQQRARQERLNNIRKWRVENQSAIQQTSKECGACEHSGSTTVGTGSSKEEISSHSNNINSLIDCIDLKKDSVEKCSCVVPNSIVICKEVKDSCIESDRSLESFLNAASAEDEVSSAGVCDNPSKPKRHFDGVLENPKPRKTRRPTNPDDLSRKYSDLSFCSTDDLLPDGFYDAGRDRPFMPLSFFDQNLQLSSREVILVDRQRDEGLDSIVLRAQALVFRFRQINGLVKERGHVIIDHLQVASLLALFVSDHFGGSDRSAVIQRARKDVSGSNYRKPFVCTCSTGNADSMNKATEQSLNSVQDIFLLDLCERSLHSIKSRQNSAVVPIGSLPFGICRHRALLMKYLCDRIEPHIPCELVRGYLDFSPHAWNVIVIERGGRLVRMIVDACHPHDIREETDPEYFCRYVPLSRVNSPLKPAGNSASGSFPSLSASDEVGKVASTTLIQCKLGSLEALAKVRTLEVQGSSIDEIRHFEFNCIGEIRMLGVLKHSCIVEFYGHQISSKWNQSADGNTNHRLLRSAILMEHVKGGSLKSYLEKVCGAAGEKCLPVELALFIARDVASALRELHSRDIIHRDIKTENVLIDLDKKRDDGTPTVKLCDFDRAIPLRSYLHTCCIAHTGIPPPDVCVGTPRWMSPEVFRAMHERNMYGLEADIWSFGCLLLELLTLQVPYAGLPESDIHSSLQMGKRPKLTKELEAMAEPREKLEDLTASDSGTDLDGPQSESVLLRFLVSVYYWCTEKNPCDRPTAESLHNVLLNRACSVINSSRSSSSSPEE >itb13g18750.t2 pep chromosome:ASM357664v1:13:25656359:25663634:-1 gene:itb13g18750 transcript:itb13g18750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEIHEKDSEEADDSCKNAPRNSDETADLKSLEEDAPKKPEVFDSIIDVSGKSFDFPVVECQGGEVEGLYVYKNTFNLIPKANGGLGRLKTLKFFANDVNLFPPGELKNLVELECLQVKVALPGLSGLDLQKLKGLKELELCKVPSRPSAFPIFRDITGLKRLTKLSVCHFSIRFLPPEIGYLINLEYLDISFNKMRNLPTEITYLNSLLSLKVANNKLVEVPSGLSSLQRLENLDLSHNRLTSLENLDLGLMHNLQRLNLQDNKLLQCCDIPSWICCNLEGSCIDDLFSSSSEMDVLEETNGSTEHGSSIASLSHLTGSAPNSRCFGPRKSKRWKRRQYLQQRARQERLNNIRKWRVENQSAIQQTSKECGACEHSGSTTVGTGSSKEEISSHSNNINSLIDCIDLKKDSVEKCSCVVPNSIVICKEVKDSCIESDRSLESFLNAASAEDEVSSAGVCDNPSKPKRHFDGVLENPKPRKTRRPTNPDDLSRKYSDLSFCSTDDLLPDGFYDAGRDRPFMPLSFFDQNLQLSSREVILVDRQRDEGLDSIVLRAQALVFRFRQINGLVKERGHVIIDHLQVASLLALFVSDHFGGSDRSAVIQRARKDVSGSNYRKPFVCTCSTGNADSMNKATEQSLNSVQDIFLLDLCERSLHSIKSRQNSAVVPIGSLPFGICRHRALLMKYLCDRIEPHIPCELVRGYLDFSPHAWNVIVIERGGRLVRMIVDACHPHDIREETDPEYFCRYVPLSRVNSPLKPAGNSASGSFPSLSASDEVGKVASTTLIQCKLGSLEALAKVRTLEVQGSSIDEIRHFEFNCIGEIRMLGVLKHSCIVEFYGHQISSKWNQSADGNTNHRLLRSAILMEHVKGGSLKVIISRSTVILIYFLPYILISLFVILQSYLEKVCGAAGEKCLPVELALFIARDVASALRELHSRDIIHRDIKTENVLIDLDKKRDDGTPTVKLCDFDRAIPLRSYLHTCCIAHTGIPPPDVCVGTPRWMSPEVFRAMHERNMYGLEADIWSFGCLLLELLTLQVPYAGLPESDIHSSLQMGKRPKLTKELEAMAEPREKLEDLTASDSGTDLDGPQSESVLLRFLVSVYYWCTEKNPCDRPTAESLHNVLLNRACSVINSSRSSSSSPEE >itb01g29890.t1 pep chromosome:ASM357664v1:1:33995339:33997526:-1 gene:itb01g29890 transcript:itb01g29890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVNTLHFVLGIFGNVTALFLFLAPLITFKRIVAKKSTEQFSGLPYVMTMLNCLLSAWYGMPFVSPNNLLVSTINGTGAVIEFIYVLIFLIFAPKKAKKKIGSIFILSLLVFAAVALVSLLALHGRTRKLFCGVAATILSIIMYGSPLSIIRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGKDPFVAIPNGFGCGLGAVQLILYAIYCDKKSFPDGSGKMVGVDGKPTGEDIQLGIKMGQNQSKEDLV >itb01g29890.t2 pep chromosome:ASM357664v1:1:33995788:33997522:-1 gene:itb01g29890 transcript:itb01g29890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVNTLHFVLGIFGNVTALFLFLAPLITFKRIVAKKSTEQFSGLPYVMTMLNCLLSAWYGMPFVSPNNLLVSTINGTGAVIEFIYVLIFLIFAPKKAKKKIGSIFILSLLVFAAVALVSLLALHGRTRKLFCGVAATILSIIMYGSPLSIIRLVIKTKSVEFMPFFLSLFVFLCGTSWFIFGLLGKDPFVAVSTSIENIFIMKKTSETKQLLL >itb03g07340.t3 pep chromosome:ASM357664v1:3:5389397:5393996:-1 gene:itb03g07340 transcript:itb03g07340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPAVRSVDWQWENATAGAVAGLATATFTHPLDVVRTRFQVHDGRTSMLPAYRNTPHALYTITRLEGIRGLYAGFYPAVLGSTISWSLYFYFYSKAKQRYLKDRKELTPGLHLASAAEAGALVCFCTNPIWLVKTRLQLQSPLHQIRPYSGFHDALITIMKEEGWRAFYKGLLPGLFLVTHGAIQFTAYEELRKVVVNWRFDKNERTSGTADNLLDSIDYATLGASSKIAAILLTYPFQVIRARLQQRPSTAGIPRYVDSWHVVKETARFEGVRGFYRGITANLLKNVPAASVTFIVYENVLNMLKLARRDY >itb03g07340.t1 pep chromosome:ASM357664v1:3:5389397:5393996:-1 gene:itb03g07340 transcript:itb03g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPAVRSVDWQWENATAGAVAGLATATFTHPLDVVRTRFQVHDGRTSMLPAYRNTPHALYTITRLEGIRGLYAGFYPAVLGSTISWSLYFYFYSKAKQRYLKDRKELTPGLHLASAAEAGALVCFCTNPIWLVKTRLQLQSPLHQIRPYSGFHDALITIMKEEGWRAFYKGLLPGLFLQVTHGAIQFTAYEELRKVVVNWRFDKNERTSGTADNLLDSIDYATLGASSKIAAILLTYPFQVIRARLQQRPSTAGIPRYVDSWHVVKETARFEGVRGFYRGITANLLKNVPAASVTFIVYENVLNMLKLARRDY >itb03g07340.t2 pep chromosome:ASM357664v1:3:5389397:5393984:-1 gene:itb03g07340 transcript:itb03g07340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPAVRSVDWQWENATAGAVAGLATATFTHPLDVVRTRFQVHDGRTSMLPAYRNTPHALYTITRLEGIRGLYAGFYPAVLGSTISWSLYFYFYSKAKQRYLKDRKELTPGLHLASAAEAGALVCFCTNPIWLVKTRLQLQSPLHQIRPYSGFHDALITIMKEEGWRAFYKGLLPGLFLQVTHGAIQFTAYEELRKVVVNWRFDKNERTSGTADNLLDSIDYATLGASSKIAAILLTYPFQVIRARLQQRPSTAGIPRYVDSWHVVKETAR >itb05g00780.t1 pep chromosome:ASM357664v1:5:667742:672015:-1 gene:itb05g00780 transcript:itb05g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSTASDSLLTAVKSSLRKRTSLFSNNSVTSGGSWRVVEDNFSHHHQQQQRDCSSAGAGILGKILKMGENGSSHITRVLFCGPNFPAAQNYTREYVKDYSFIQVDDIPFDNVPAVIKDYDICVVKNLRLNSDLISSAKRMKLIMQFGVGLEGVDITAATTHGIKVARIPSGATGNATSCAEMAIYLILGLLRKQHEMDIAIKQKKLGEPIGDTLLGKTVFILGYGNIGIHLAKRLRPFDVRILATERSWPSHLHHSSETDAPHMENNGGCDLVDEKGSHDDILKFASKADIVVCCLAMNSETAGIVNNEFLSSMRKGAILINIARGGLLDYDAVFNHLKCGHLGGLGIDVAWTEPFDPDNAILNFRNVIITPHVAGVTEHSYRYMAKVVGDVALQLHEGTPFTGIEIVN >itb05g00780.t2 pep chromosome:ASM357664v1:5:667742:671946:-1 gene:itb05g00780 transcript:itb05g00780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSTASDSLLTAVKSSLRKRTSLFSNNSVTSGGSWRVVEDNFSHHHQQQQRDCSSAGILGKILKMGENGSSHITRVLFCGPNFPAAQNYTREYVKDYSFIQVDDIPFDNVPAVIKDYDICVVKNLRLNSDLISSAKRMKLIMQFGVGLEGVDITAATTHGIKVARIPSGATGNATSCAEMAIYLILGLLRKQHEMDIAIKQKKLGEPIGDTLLGKTVFILGYGNIGIHLAKRLRPFDVRILATERSWPSHLHHSSETDAPHMENNGGCDLVDEKGSHDDILKFASKADIVVCCLAMNSETAGIVNNEFLSSMRKGAILINIARGGLLDYDAVFNHLKCGHLGGLGIDVAWTEPFDPDNAILNFRNVIITPHVAGVTEHSYRYMAKVVGDVALQLHEGTPFTGIEIVN >itb06g12810.t1 pep chromosome:ASM357664v1:6:17365418:17370266:-1 gene:itb06g12810 transcript:itb06g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKNKYKVIRKVKEHHKKKAKEAKKAQKSGLHRKKVEKDPGIPNEWPFKEQELKALEDRRNRALEELEQKKAARKERAKKRKLGLLEDDDLSKLADMASMKEQKFVGETIDDGFAAIGKKHDNSDRAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVMRSGHDKHLVLLLNKIDLVPREAVEKWLKYLREELPTVAFKCNTQEQKSNLSWKSSKAGKTTNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLRSAENDASIALRNCKRIEKLDDPIGPVKEILKLCPAATLVTLYKVPNFETADDFLQKVATIRGRLKKGGIVDIDAAARIVLHDWNEGKIPYYTMPPKRDEVQHSEVKVVSELGKEFNVDEVYGSECSFIGSLKSVNEFNPVEVPSNCPLSFDENMVENNPQPPPTNGEEDHQLVDNGDESMGLGEEDSGATRTKTGSSKQNERLYSEEGMLNTKMRKAEKRRRKKEKEPSSSMMEDGDDDYDFKVDYVKSKSSSAMDVSENNTVIADDDSNRNRFELPSGVTLENE >itb03g14370.t1 pep chromosome:ASM357664v1:3:14116716:14117512:-1 gene:itb03g14370 transcript:itb03g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTLLKMKPNFLYFCLLVLFLVFVSGDPSLALNAGGFIGGRKMNTRETTSSSEPTGNAPPTSGSGSAHGPNWDYSWGWGSSPGSGYGYGSGSGRSPNGFGRGWGFGSGSGSGSGSGYGYGSGSGGARGGGYGAGSGSGGNGGGSGGGAGGNGGRSP >itb12g23430.t1 pep chromosome:ASM357664v1:12:25147511:25150966:-1 gene:itb12g23430 transcript:itb12g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCRNLYREDGLETVLEVPVPEEMFPSTQKSKSWQSMKSWMKYLTERSSPPVLGGRRAELQLLLGVVGAPLIPHPIPSHCRSSGRKKINDHPIEASMAKYIVQQYVAAAGGESALNAIDSMYAMGKVKMSASEFICGDGLGLNNNGKVMKVKSGKSGPGEMGGFVLWQKRPDLWSLELMVSGCKISAGSDGKVAWRQTPWHSSHASRGPPRPLRRALQGLDPKSTADLFSNSICTGEKTVNGEDCFVLKLEAEHCALRSRSRGNVEIIRHTVWGYFSQKTGLLVRLEDAHLLRIKSAAGDCFWETAMESAIRDYRTVNGVNIAHAGKTSVSLFRFGGNSQGHTRTRMEEAWSIEEVDFNIMGLSGDCFLPPSDLKKEDDEDEDEKCGYAVKNGVTGKLRLGMKIRDFGAGKGVSKIVAIEEDGYVNIEDYEEL >itb05g14140.t1 pep chromosome:ASM357664v1:5:21194528:21195762:-1 gene:itb05g14140 transcript:itb05g14140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPNRRPSPVTGNRWVVASEKPDRIMTYLPLCQGADAVAGVYCYFVLRGLLSSLGIDVAAENFPSISSIFNFQPRLLRRRPSSAPSRFQFSPLSCRLDFSPLVHILQLCRPSVRHSSSSRHR >itb12g25150.t1 pep chromosome:ASM357664v1:12:26422981:26426649:-1 gene:itb12g25150 transcript:itb12g25150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNLNRMPLASAQGTPPTNYPKTLLSIVSLVGGLAVFLLLASSFLASQPDESSVRRNFYGVDSSKKVINGGIVADTTNRDGSDVGTSRNFNTGSRGVDQGNKDGEVGVFRNSDSSASQENESDGDVQRNNNGSNGDNKQPSEPQDTVVPDSEQGETAKSSHSTQGLSEGNVVQPQHSGVKQGNRYRNDSTLHKGKKDETLPSSDSNLDGVTSSSSTTMVEPQANSECNLYHGKWIFDSTGPLYTNNSCPVITQMQNCQGNGRPDKDYENWRWKPDQCDLPRFNPKKFLELMRGKTLAFIGDSVARNQMESLLCILWQFEVPKNRGNRRMQRYYFRSTSTMIVRIWSSWLVNQTSEPFGFAPAGVAKIHLDVPDDVFMGFIPQFDVVVLSSGHWFAKQSVYILNNEIVGGQLWWPKKSRRMKVNNIEAFGISVETILTALGRHPTYHGLTIVRAYSPDHYEGGAWNTGGSCTGKVKPVVESDLLENAFTNIMHQKQFNGYAHSINKKNNKSALKFMDITRIFEYRHDGHPGPYRSPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEMVFELIRREFEEKQSTSS >itb08g03560.t1 pep chromosome:ASM357664v1:8:2896812:2900513:-1 gene:itb08g03560 transcript:itb08g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATFSVSSFFAAAAALFLHLLLISSAAAMTPEEMEVEALMAFKGNLEDPLGALDGWDVSTAAAPCDWVGIGCDGGRVRELRLPRLKLRGRITERIANLRQLRRLSLHSNNLNGSIPRGLSECSLLRAVYLHNNSLSGDLPPGISNLTNLQVLNVARNFMSGGIPGGVPPSLRFLDLSSNVFSGEVPANLSAAADLELLNLSFNRFTGEIPAGIGALQNLQYLWLDSNHLYGTIPSAISNCSSLIHFSAGDNNLRGLLPATIGSLPNLQVISLSHNQLTGVVPASLLCKASVNNAHTIRIVELGFNELTGLVNPENAVCSSSVLEVLGLHGNHINGVFPNWLLSISSLKVLDISGNSISGALPSNLGNLRMLEELNVANNSLAGKIPDSIQKCRKLQLLDVSGNRFPGLIPEFLGGMKSLTLLSLGNNLFNGSIPASFGGLNQLVYLDLSSNNLSGNLPQELMLLSNLSTLNLSGNKFSGEIPVDIENLNGLEALNLSKCGFSGRVPASIGSLLWLKTLDLSKQNLSGALPIELFGLPSLQAVALQENEFTGDVPEGFSSLSSLEYLNLSSNGFSGQISSTFGFLRSLKVLSLSKNRINGSIPLELGNCSALEVVELQHNHLRGKVPNEFSHLSHLKELHLSHNGLTGEIPEEISNCSLLATLLLDSNNISGGIPDSLSRLSNLAILDLSSNNLSNTIPSNLHLSSLKFFNVSHNHLEGEIPLPLGSLFNNPSLFANNDGLCGKPLKECKNDKEAKQRRKKLILLIVLSAIGLIVLTFCCCGYIYSLIQWNRKLRGGGVGEKKRSPGRASSGGETGRGSGENGGGPKLIMFNNKITYAETVEATRQFDEENVLSRGKFGVEFKANYADGMVLSIRRLPDTSIEEHTFRKEAESLGKVRHRNLTVLRGYYPGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAYLHSVRITHADIKPHNVLFDADFEAHLTDFGLEKLTKAAAVGGAASTSAAPVGTLGYVAPEVTLTGLPTKEADVYSFGIVLLEILTGRKPVMFNGDEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTMPDPLERPSMTDVVFMLEGCRVGPDIPSSADPTTLPSPI >itb04g18650.t1 pep chromosome:ASM357664v1:4:22224800:22225771:-1 gene:itb04g18650 transcript:itb04g18650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPEKMGRLNSMNAQYPKLRHFAHPHELELVNLNLHNPNPNPSICSACKLQESSGQMYICRPCNFTLHLSCTQFPELISHPCHPEHPLTLLPASSYPGGLFNCDACNRRGDGFNYHCVHCEFDLHVFCASKPLRIAHHMHKCPLQLVFNNPYDDVKGFSCDVCKKIGIKQWLYRCSSCEFDVHLDCSTASAPKPLPLHPQPPPIRHHHSFLGSTSHSQYQQVPVAQGGSLIRPNQLQQQSAGTGAVAYNQFVQTPPAPAIVVQAGGYTRPTLTQHAQHQPAMGRSGLLNAAIQGLVEGASQQVGQTIMGSILGRGTDENGNA >itb12g00790.t3 pep chromosome:ASM357664v1:12:572178:575032:1 gene:itb12g00790 transcript:itb12g00790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNVMAVSNSNRFPAAGHKPPIVPGGYINFDITSSKELLQNLDVNGGAKVSSWVDSMRASSPTQKSTPLSDDHQTWMMHHPSALDMFEQIIKASKGKNIVMFLDYDGTLSPIVDDPDSAFMSDPMRSTVRKLATYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSRGSKYKKGAEEDVLFQPASEFLPMIDKVYKTLLQQTSSTPGVKVENNKFCVSVHFRCVDELKWGELAQQVRSVLKEYPKLRLTQGRKVLEIRPIIEWDKGKALEFLLESLGKSLIQLKVVFLPNPSTLSRS >itb12g00790.t2 pep chromosome:ASM357664v1:12:572178:575032:1 gene:itb12g00790 transcript:itb12g00790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNVMAVSNSNRFPAAGHKPPIVPGGYINFDITSSKELLQNLDVNGGAKVSSWVDSMRASSPTQKSTPLSDDHQTWMMHHPSALDMFEQIIKASKGKNIVMFLDYDGTLSPIVDDPDSAFMSDPMRSTVRKLATYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSRGSKYKKGAEEDVLFQPASEFLPMIDKVYKTLLQQTSSTPGVKVENNKFCVSVHFRCVDELKWGELAQQVRSVLKEYPKLRLTQGRKVLEIRPIIEWDKGKALEFLLESLGYANSTDVFPVYIGDDRTDEDAFKVLREIGQGFGILVSKLPKDTQASYSLQEPSEVTMPYLKISFMYVLFYYTR >itb12g00790.t5 pep chromosome:ASM357664v1:12:572178:575032:1 gene:itb12g00790 transcript:itb12g00790.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNVMAVSNSNRFPAAGHKPPIVPGGYINFDITSSKELLQNLDVNGGAKVSSWVDSMRASSPTQKSTPLSDDHQTWMMHHPSALDMFEQIIKASKGKNIVMFLDYDGTLSPIVDDPDSAFMSDPMRSTVRKLATYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSRGSKYKKGAEEDVLFQPASEFLPMIDKVYKTLLQQTSSTPGVKVENNKFCVSVHFRCVDELKWGELAQQVRSVLKEYPKLRLTQGRKVLEIRPIIEWDKGKALEFLLESLGKSLIQLKVVFLPNPSTLSRS >itb12g00790.t4 pep chromosome:ASM357664v1:12:572178:575032:1 gene:itb12g00790 transcript:itb12g00790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNVMAVSNSNRFPAAGHKPPIVPGGYINFDITSSKELLQNLDVNGGAKVSSWVDSMRASSPTQKSTPLSDDHQTWMMHHPSALDMFEQIIKASKGKNIVMFLDYDGTLSPIVDDPDSAFMSDPMRSTVRKLATYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSRGSKYKKGAEEDVLFQPASEFLPMIDKVYKTLLQQTSSTPGVKVENNKFCVSVHFRCVDELKWGELAQQVRSVLKEYPKLRLTQGRKVLEIRPIIEWDKGKALEFLLESLGYANSTDVFPVYIGDDRTDEDAFKVCTILLYPFSPILYSFQKS >itb12g00790.t1 pep chromosome:ASM357664v1:12:572178:575032:1 gene:itb12g00790 transcript:itb12g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNVMAVSNSNRFPAAGHKPPIVPGGYINFDITSSKELLQNLDVNGGAKVSSWVDSMRASSPTQKSTPLSDDHQTWMMHHPSALDMFEQIIKASKGKNIVMFLDYDGTLSPIVDDPDSAFMSDPMRSTVRKLATYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSRGSKYKKGAEEDVLFQPASEFLPMIDKVYKTLLQQTSSTPGVKVENNKFCVSVHFRCVDELKWGELAQQVRSVLKEYPKLRLTQGRKVLEIRPIIEWDKGKALEFLLESLGYANSTDVFPVYIGDDRTDEDAFKVLREIGQGFGILVSKLPKDTQASYSLQEPSEVMVFLRRLVEWKRLSLRRQSRLRRQLEEIKAL >itb13g03830.t1 pep chromosome:ASM357664v1:13:3842006:3846442:-1 gene:itb13g03830 transcript:itb13g03830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILSTFLLSKISLIMARGEGFAENLREKLALAIRSIEWSYAIFWTISSAQPGVLEWGDGYYNGDIKTRKTVQAAETSTDQLGLQRTEHLRELYGSLLAGETDLHAKIPSAALSPEDLTDTEWYFLVCMSFVFNIGQGLPGKALAKNQTVWLCNAPQADGRTVVCFPHLGGVIELGVTELVKEDLGLVQHLKTSYLDIPCPIVPGVPNYISTDDGNDRDIVNSKPNQDTLEASPKEENIDSPDNSSNGLEADEQGGDEFKVKGATAEASQPPNCQIVEDDISNCIHNSTNSSDCISQNYENPEKVSDFLNDEEMVNHSPLENQECNQESLAPLDNRGQGHDVHYQSILSSVLKSSHQFILGPYFRNGNRESSFVGWKKEISSNIQTLRIETSQRLLKKVLSGVARMVCIPDARKEGDGKNDPCKLEADESDRSRVVSERRRREKINERFMILSSLIPSSGKVDKVSILDETIEYLKNLKTRVLEAESQKEGFELNARMGRNCKDCDDAERTSDNCGTNIIDNNKKPSSKKRKACETEGASKSTAKNGSACEVAVSVTDEDVTIEIGCQWSEGVLIKIIQALNNLHLDCETIQSSNGDDGTLSVSVKCKMKASKLTSPSPALIRQALKRVI >itb04g22370.t1 pep chromosome:ASM357664v1:4:27551016:27551582:-1 gene:itb04g22370 transcript:itb04g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:22.0 kDa heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G10250) UniProtKB/Swiss-Prot;Acc:Q38806] MRGVLFGYCILLALMAFLSQGSLLPHIIDRPGSLLSSDGWFLDPFRVLEETPLALERDESFALAPAKVDWKETAEGHVITLDVPGIKKEELKIEVEENRVLRVSGERKKEEQKKGDHWHREERVHGKFWRRFRLPENADLDSVKARLENGVLTISFAKLAPDRVKVPKVVSIEGEKPEKISEAAKQEL >itb02g17000.t1 pep chromosome:ASM357664v1:2:13008715:13015063:1 gene:itb02g17000 transcript:itb02g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPAVLLLFLALFPTVLTQTVEHAELLIETTARISDTDANYICATLDWWPHEKCNYNQCPWGSSSVLNLDLSHPFLAKAIQAFEHLRLRLGGSLQDQVLYDVGNLKTPCHPFRKQKDGLFGFSNGCLPMDRWDKLNSFFKRTGGLVTFGLNALHGRQKIKKQWRGNWQSSNAHDFINYTISKGYEIDSWEFGNELCGTGVGASVDAELYAKDMIRLKSLIDQLYKDVHPKPLLLAPGGFYDKVWFEKFLDVSGPTTVNALTHHIYNLGPGSDHNLISKILNPKYLDKISYTFRNLTQTIQANGPWASAWIGESGGAYNSGGRNVSNTFVNSFWYVDQLGMAAKYKTKVYCRQTLIGGNYGLLDTNTFIPNPDYYSALLWHRLMGRGVLDVNSNGSPYLRSYAHCTKERAGVTLLLINLSNQTEFSVGVKSTTSISLHASAKAQHKKRSFLHGLKQTVSWVGSKASDAPLSREEYHLTPEDGNLQSRSALLNGRPLQLSKTGDIPSFSPVLEDVSSPVSIAPLSIKFIVFPNFIAPGCREV >itb02g17000.t2 pep chromosome:ASM357664v1:2:13008715:13015063:1 gene:itb02g17000 transcript:itb02g17000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPAVLLLFLALFPTVLTQTVEHAELLIETTARISDTDANYICATLDWWPHEKCNYNQCPWGSSSVLNLDLSHPFLAKAIQAFEHLRLRLGGSLQDQVLYDVGNLKTPCHPFRKQKDGLFGFSNGCLPMDRWDKLNSFFKRTGGLVTFGLNALHGRQKIKKQWRGNWQSSNAHDFINYTISKGYEIDSWEFGNELCGTGVGASVDAELYAKDMIRLKSLIDQLYKDVHPKPLLLAPGGFYDKVWFEKFLDVSGPTTVNALTHHIYNLGPGSDHNLISKILNPKYLDKISYTFRNLTQTIQANGPWASAWIGESGGAYNSGGRNVSNTFVNSFWYVDQLGMAAKYKTKVYCRQTLIGGNYGLLDTNTFIPNPDYYRFG >itb02g17000.t3 pep chromosome:ASM357664v1:2:13008715:13015063:1 gene:itb02g17000 transcript:itb02g17000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPAVLLLFLALFPTVLTQTVEHAELLIETTARISDTDANYICATLDWWPHEKCNYNQCPWGSSSVLNLDLSHPFLAKAIQAFEHLRLRLGGSLQDQVLYDVGNLKTPCHPFRKQKDGLFGFSNGCLPMDRWDKLNSFFKRTGGLVTFGLNALHGRQKIKKQWRGNWQSSNAHDFINYTISKGYEIDSWEFGNELCGTGVGASVDAELYAKDMIRLKSLIDQLYKDVHPKPLLLAPGGFYDKVWFEKFLDVSGPTTVNALTHHIYNLGPGSDHNLISKILNPKYLDKISYTFRNLTQTIQANGPWASAWIGESGGAYNSGGRNVSNTFVNSFWYVDQLGMAAKYKTKVYCRQTLIGGNYGLLDTNTFIPNPDYYRLGELFIKFKNKWCC >itb06g21920.t1 pep chromosome:ASM357664v1:6:24411444:24412190:-1 gene:itb06g21920 transcript:itb06g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLATLLLITLVLGSSSFVQTTLAFSGFCSSKCKGRCAKAGVMDRCLKYCGICCEECKCVPSGTFGNKHECPCYRDKKNAKGKPKCP >itb04g24330.t2 pep chromosome:ASM357664v1:4:29032762:29036836:1 gene:itb04g24330 transcript:itb04g24330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEKQPRKHSEESVKLFVGQVPKHMTEAQLLAMFKEFAIVDEVNIIKDKATRASRGCCFVICPSREEADKAVNACHNKKTLPGAASPLQVKYADGELERLEHKIFVGMLPKNVSDAEVSGLFSQYGTIKDLQILRGSQQTSKGCAFLKYETREQAVAAIEAINGKHKMEGSTVPLVVKWADTEKERQARRAQKALSQTSNMPDSGQHPSLYGPLPMGYMAPYNGYGYQSPGTYGIMPYRLPPLQNQHTFQNMIPTLNQGNTLRGTTPDISPGLTPRNYAMSPTSYVGSTYPGIPGVQYPIPYPGGFMSNRPSSSSGAVHPSTSNSQSAASSSVNSNLGAQAEGCSNFNFFLILPPFILQACF >itb04g24330.t1 pep chromosome:ASM357664v1:4:29032762:29036842:1 gene:itb04g24330 transcript:itb04g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEKQPRKHSEESVKLFVGQVPKHMTEAQLLAMFKEFAIVDEVNIIKDKATRASRGCCFVICPSREEADKAVNACHNKKTLPGAASPLQVKYADGELERLEHKIFVGMLPKNVSDAEVSGLFSQYGTIKDLQILRGSQQTSKGCAFLKYETREQAVAAIEAINGKHKMEGSTVPLVVKWADTEKERQARRAQKALSQTSNMPDSGQHPSLYGPLPMGYMAPYNGYGYQSPGTYGIMPYRLPPLQNQHTFQNMIPTLNQGNTLRGTTPDISPGLTPRNYAMSPTSYVGSTYPGIPGVQYPIPYPGGFMSNRPSSSSGAVHPSTSNSQSAASSSVNSNLGAQAEGPPGANLFIYHIPQEFGDQELANVFQPFGRILSAKVFVDKATGVSKCFGFVSYDSPAAAQTAISRMNGFQLSGKKLKVQLKRDNKQSKPY >itb12g28250.t1 pep chromosome:ASM357664v1:12:28238874:28243721:1 gene:itb12g28250 transcript:itb12g28250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEKDIALYGYGSVAWKEHMEEWKKKEGDKHQVNSDNELEDPDFPMMDEGRQPLSRKLPIASSKINPYRILIILRLVILGFFFHYRILHPVADAYGLWLTSVICEIWFAISWILDQFPKWCPLVRETYLDRLSLRYEKEGKQSNLAPIDIFVSTVDPLKEPPLITSNTVLSILAVDYPVEKVSCYVSDDGAAMLTFESLSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVAMAQKVPDEGWTMQDGTHWPGNNVRDHPGMIQVFLGQEGVRDIEGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVISNAPFLLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDHHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRREALYGYDAPAKKKKPSRTCNCWSKLCCCCCRPRKNSKGKTKKERKKKTKHRETSKQIHALETIEEGIEGADSSQALQTELEKKFGQSPVFIASTLVENGGVPKDASSTALLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCRGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSKHCPIWYGYGGGLKWLERFSYINSVVYPFTSIPLIVYCSLPAICLLTGKFIVPEISNYGSIIFMAMFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKGGDDGEFSELYILKWTSLLIPPTTLLVVNIVGVVVGVSDAINNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLLGKQDRTPTIVVVWSILLASVLTLLWVRINPFVSRDGPVLQICGLDCDDR >itb14g09580.t1 pep chromosome:ASM357664v1:14:10007793:10011073:1 gene:itb14g09580 transcript:itb14g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDEMGFCGDFDFFSAPIKESGVDMVSMPVTEQPVVDDDYSDEEDIDVDELERRMWRDKMKLKRLKENNKSKEGVDPAKQRQSVEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQAENAIPGTNEGSANQVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEDWWSQLGLQKDIGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDITKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDRCPLPFYSGIFTVPDSSSEYDVDGIDDEACFDVQEQKPKHLNLLHMGVERFQDTMAVPQQPHPPIISNFDFTRKRKPDNEPPRPVLLDDKIYTCEFSRCPHSELGLGFQDRTSRDNHQLTCPHRGRNSPSPPRFGVSNFHIKPVGFPQPNLTSFDLGGVPEDGQRMISDLMTFYETNLHRNKNSNSGNNIAATTPPNLQCQKNITYLQNHSIMEGNIFEDQCKLLNSPLNTNSFPFTFGSPYNLQNIDYAEGLPGAVQDSMPKKDAAATIWY >itb14g09580.t2 pep chromosome:ASM357664v1:14:10007813:10011069:1 gene:itb14g09580 transcript:itb14g09580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDEMGFCGDFDFFSAPIKESGVDMVSMPVTEQPVVDDDYSDEEDIDVDELERRMWRDKMKLKRLKENNKSKEGVDPAKQRQSVEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQAENAIPGTNEGSANQVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEDWWSQLGLQKDIGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDITKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDRCPLPFYSGIFTVPDSSSEYDVDGIDDEACFDVQEQKPKHLNLLHMGVERFQDTMAVPQQPHPPIISNFDFTRKRKPDNEPPRPVLLDDKIYTCEFSRCPHSELGLGFQDRTSRDNHQLTCPHRGRNSPSPPRFGVSNFHIKPVGFPQPNLTSFDLGGVPEDGQRMISDLMTFYETNLHRNKNSNSGNNIAATTPPNLQCQKNITYLQNHSIMEGNIFEDQCKLLNSPLNTNSFPFTFGSPYNLQNIDYAEGLPGAVQDSMPKKDAAATIWY >itb14g09580.t3 pep chromosome:ASM357664v1:14:10007813:10011069:1 gene:itb14g09580 transcript:itb14g09580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDEMGFCGDFDFFSAPIKESGVDMVSMPVTEQPVVDDDYSDEEDIDVDELERRMWRDKMKLKRLKENNKSKEGVDPAKQRQSVEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQAENAIPGTNEGSANQVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEDWWSQLGLQKDIGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDITKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDRCPLPFYSGIFTVPDSSSEYDVDGIDDEACFDVQEQKPKHLNLLHMGVERFQDTMAVPQQPHPPIISNFDFTRKRKPDNEPPRPVLLDDKIYTCEFSRCPHSELGLGFQDRTSRDNHQLTCPHRGRNSPSPPRFGVSNFHIKPVGFPQPNLTSFDLGGVPEDGQRMISDLMTFYETNLHRNKNSNSGNNIAATTPPNLQCQKNITYLQNHSIMEGNIFEDQCKLLNSPLNTNSFPFTFGSPYNLQNIDYAEGLPGAVQDSMPKKDAAATIWY >itb11g05700.t1 pep chromosome:ASM357664v1:11:3371635:3373201:1 gene:itb11g05700 transcript:itb11g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTSRKSDAAAASYLRYLKPGALAQLRDSRISARTHRVFASQLQISSPLRSSSSPAHVLPADAFPCSPVRIRGPRCPQRKKLVAAKAMFFSVPVPSSPVSQPHESVVDLFSNSESVLVAH >itb12g03300.t1 pep chromosome:ASM357664v1:12:2156276:2156659:-1 gene:itb12g03300 transcript:itb12g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLTKRKSPYSYKQLADDAALVAADHGGGEPKLSRTRSWPPPSAKKKVMSTTSELHNFPAKSQAKQMRKVSKILPIFSVFDGRKKKKATARPEFSRYLEYVREGGFEGVLDMDTTMRASATNSHV >itb03g03470.t1 pep chromosome:ASM357664v1:3:2028589:2031158:-1 gene:itb03g03470 transcript:itb03g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRDETPAVCVYTVCDESKFLIVRNVPALGCGDDLAKLFTTYGEVEECKPMDAEDCEPFTDVYWIKFQRIDNARFAKRKLDEFVFLGNRLQVSYAPQYESLSDTKEKLEGRRREVLTRVNSQRSKSSAIHGPDAVSKSIITSTSKPLEINKSPSMKPQETANNRDSSMNVISSNKEYFSSESMNQTVQLVREKLNKIQSSAEHSEAGASKKVRVDNRRRI >itb10g04700.t1 pep chromosome:ASM357664v1:10:4520045:4522387:-1 gene:itb10g04700 transcript:itb10g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATTIIPVIDLLDPAAREQIVKACHEFGFFKVVNHGVPLEAMTKLEEEAVNFFNLPQLEKEQVGSPNPFGYGHKKIGSNGDMGWVEYLFMSTHNPITIPSHSQLFRCLVKEYIGAVGGIACKVLEMIAEGLKIEAKDVLSRLIRDEKSDCWFRINHYPPCTELQTGMNGGDLIGFGEHKDPQVISVVRSNNTTGLQIFVGDGTWLSVPPDHHSLFFNIGDCLQAMTNGRFKSVKHRVLANSIEPRLSMIYFGGPALSEKIAPLSCLMEEGEETLYNEFTWSEYKNSVYKNRLADNNLLAFQKNKSTCDMIN >itb09g14330.t2 pep chromosome:ASM357664v1:9:9631717:9634598:1 gene:itb09g14330 transcript:itb09g14330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALRSRQVRSIVNRLLIFSTPVHSRLISTLAFDEVRSSPDRPYTFTALILHGLLGSGRNWRSFSRSLASSLPSEWRMILVDLRNHGRSAEIGGFEPPHTMENAANDVANLVKSQGWNWPDVVIGHSMGGKVTLQFAQSCSRGDYGQSAQLPKQLWILDSVPGKVGQENSDGEVEKVLQTLQSLPSSIPSRKWLVDYLLKLGFSKALSEWLGSNLKKSGDEMTWTFNIDAAVQMFQSYRETDYWPLLEHPPKGTEISIVRAEKSDRWEPEVIERLESLGSRKSNEAEGKFSYHILPNSGHWVHVENPKGLLQIVAPKLSSLV >itb09g14330.t1 pep chromosome:ASM357664v1:9:9631732:9634529:1 gene:itb09g14330 transcript:itb09g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDLRNHGRSAEIGGFEPPHTMENAANDVANLVKSQGWNWPDVVIGHSMGGKVTLQFAQSCSRGDYGQSAQLPKQLWILDSVPGKVGQENSDGEVEKVLQTLQSLPSSIPSRKWLVDYLLKLGFSKALSEWLGSNLKKSGDEMTWTFNIDAAVQMFQSYRETDYWPLLEHPPKGTEISIVRAEKSDRWEPEVIERLESLGSRKSNEAEGKFSYHILPNSGHWVHVENPKGLLQIVAPKLSSLV >itb05g03690.t1 pep chromosome:ASM357664v1:5:3153418:3157261:1 gene:itb05g03690 transcript:itb05g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSQASLLLQKQLRDLCKNPVDGFSAGLVDESNLFEWSVTIIGPPDTLYEGGFFNAIMSFPQNYPNSPPAMRFTSEIWHPNVYTDGKVCISILHPPGDDPNGYELASERWSPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRENREVFRKKVSRCVRRSQEMM >itb10g24570.t1 pep chromosome:ASM357664v1:10:28316694:28318069:-1 gene:itb10g24570 transcript:itb10g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT1G49570) UniProtKB/TrEMBL;Acc:A0A178WK78] MGHHGFPRPEMAISLVLFLGFLAAPMAESQLDYLFYDQSCPNLDMIVRWGVWAAIRNDSRMAASLIRLHFHDCFVNGCEGSVLLDDTKGFKGEKNGLPNRNSARGFEVIDSIKADVEKACPSIVSCTDILTLAASYAVGMSGGPYWRVLLGRRDGLTASEEATKQLPSPFESLKDITAKFSSQGLDLKDMVVLSGAHSVGFAQCFTFKRRLFNYQGSGKPDPTLESSFLSNLQTTCPNVDKSNTKLAPLDYQSAYRFDNSYYTNLVNNTGLLESDQALMANPQTADMVKYYSAYPYRFYMDFATSMVKLGNLGVLTGKDGQIRKICGSVN >itb06g15420.t1 pep chromosome:ASM357664v1:6:19777071:19779453:1 gene:itb06g15420 transcript:itb06g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPFGFPSDFARYLIPSSDVFLAKFPFPSFDSTSLSLVLPSPRRHPPLHRRRRPLQLCIDAGHRLHSLTSRHLPLIVSLESGRLLWSASTPSSHRRRLLQSTSGDSGRLFASLSSLRPVVATRCSSALHSSPSRLSGHLLATRMVVPSFIRENDVTQVMMAFRGRGRGRGGYGGGFRIAKQESFELFPKINDENLGNASTVTERVSLARWYLKLQNYWNSSPYYLGGESDTSKKTKSMDIERFSDKNSDRAKAKRPLSDFITVDPAYFPGELARGGRTGRPAAKRVRWSEGQDLQKLDVFEKLEQKSKGQGEKKTNEGEDEEEEEENIEEEEEEDDEDGDYIQPFDFDDDEDDFNIVDDNDDEGGTF >itb03g10080.t1 pep chromosome:ASM357664v1:3:7848654:7850202:1 gene:itb03g10080 transcript:itb03g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRQIKVQKRQIKFCIFELREIMREIKNSHYFLDSWTQFNSVGSFIHIFFHQERFLKLFDPRIFSILLSRNFQGSTSNRSFTIRGVILFVVAVLIYRINNRNMVERKNLYLTGFLPIPMNSTGPRNDRLEEAVGSSNINRLIVSLLYLPKGKKISESSFLNRKESTVYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAIEAPSTNG >itb03g10080.t2 pep chromosome:ASM357664v1:3:7849362:7850210:1 gene:itb03g10080 transcript:itb03g10080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAIEAPSTNG >itb01g01610.t1 pep chromosome:ASM357664v1:1:953948:956893:-1 gene:itb01g01610 transcript:itb01g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTPPSSSSPPFQNINGKHHHNTMKQHRITTFFSPKLSIYLVATCAVLLILFQIQSLQTPSSSSSSSPSPRPQWPAFMRRWESLISNTTTTNLNRNDDNNCGKESTILSDQDVGPTETKESSTILSRKSVEPMETKESSTVLSGQGVGPNGAREFLDMDSVARKLRDSVTFLPLKDLRYSNAPLQGHTWFMSAMDDTQEEGEAQYQRFPSTASKGRVLCLRGRDRHDGAWNSYALAWRDALPNNATFLNGLTFVSYNHYDYGNIWHGLSAVVPFISWHIGNRCSSPARWVLYHWGELRAKMSNWLTTLLEATLHAPLNIETFEDGDGYSDDSVACFEDAVVMRHNEGGMSRDKRIETYDLLRCKARMHCNVSLEGRLSEVTGSGRLPVIGMTMFMRTGPRSFKNETAVIGIFEKECRKVEGCRFMVAYSNNLTFCEQVKVMSSTDILISPHGAQLTNMFFMDKNSSVMEFFPKGWLKLAGVGQLVYHWIASWSGMKHEGAWRDPDGDPCPYPDDDRRCMSIYKGGKIGYNETHFSDWAKRVLREVRMRKTEEASKKNGPAANGCSCG >itb05g19350.t1 pep chromosome:ASM357664v1:5:25903882:25906047:-1 gene:itb05g19350 transcript:itb05g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVKCSCGESCAEWAIVELQGVIEAQPAVMDHLQNLEIGVLCRPSAQEVYTFTVGYHELTGNKVALKKPMLVLKKVKHSKEGGIGMNAPRVELDVVGIIRQRILFKTRPKALISKPQSSVKEKLIATNSSK >itb01g00920.t1 pep chromosome:ASM357664v1:1:436660:438991:-1 gene:itb01g00920 transcript:itb01g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPTWEELLGSNNWEGLLEPLNLTLRRLILRCGDFCQATYDAFNNDAHSKFAGTSRYGKNSFFQKVMLQSADDYTVAAFLYATAQVGVPEALFLHSLSRESWDRESNWIGYIAVTSDAVSETLGRREIYVAFRGTTRDYEWINVLGAKPEAAEPLLRPKSLRKGSRISNSNNSGSSSDSDDDDEEVPKVMKGWLKIYVSNDPNSEFTKLSAREQLQTKIEDLRSEYKDENLSITLTGHSLGASLSILAAFDLCENGVTDIPVTAIVFGSPQVGNKVFNQAMNQFTNLKILHVRNKIDLIPHYPSSILGYAKSGTELVIDTRKSPSLKDSKNPSDWHNLQAMLHVVAGWNGEDGEFEMKVKRSLSLVNKSSGFLKDECLVPESWWVEKNKGMVVDDNGDWVLASPGDEDLPVPEY >itb04g03030.t1 pep chromosome:ASM357664v1:4:1873982:1878424:-1 gene:itb04g03030 transcript:itb04g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSESKPVPRQPLEAKVAPLPSLLSSEGKLMSTHPVFERRDVGNKPEAKFMSTRPVFERPEAKLMSARPVFERLDVGNKPNLQDFEDLVLTGPPLQAWKAGGMICHETEEDVMIDVGSSFKMSADSYEDSNSSSFHGVSHPAEPVDTDLMRPVYVPIGQSKGDGGKGLVKSMSRKGAFLEDISIRVLPTVKPSLSVLSPAERLIEEPNDVARISSPFAVPRPSQNTEASLPQDPEERECIWDASLPPSGNVSPLSSIDSVGVARTMSIVNSCTSTYRSDGMLSDGMLSIDRHFESTKASMQGDSLESAKTSLSRASNSSGLSDDSNWSNITGTANKPHKGNDPRWKAILAIRSRDGVLGMSHFKLLRRLGCGDIGSVYLSELTGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVRSSLDPSKQGAAFCVQPACIEPTTVCIQPSCFLPRIFPQKNKKKSQKPRIELGLSSSTALPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEPELPPGVKMGQVDPISVAANSSKRIVGGVVGAGPGPGKDVRPEGKFLDFEFF >itb04g03030.t2 pep chromosome:ASM357664v1:4:1873982:1876998:-1 gene:itb04g03030 transcript:itb04g03030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSESKPVPRQPLEAKVAPLPSLLSSEGKLMSTHPVFERRDVGNKPEAKFMSTRPVFERPEAKLMSARPVFERLDVGNKPNLQDFEDLVLTGPPLQAWKAGGMICHETEEDVMIDVGSSFKMSADSYEDSNSSSFHGVSHPAEPVDTDLMRPVYVPIGQSKGDGGKGLVKSMSRKGAFLEDISIRVLPTVKPSLSVLSPAERLIEEPNDVARISSPFAVPRPSQNTEASLPQDPEERECIWDASLPPSGNVSPLSSIDSVGVARTMSIVNSCTSTYRSDGMLSDGMLSIDRHFESTKASMQGDSLESAKTSLSRASNSSGLSDDSNWSNITGTANKPHKGNDPRWKAILAIRSRDGVLGMSHFKLLRRLGCGDIGSVYLSELTGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVRSSLDPSKQGAAFCVQPACIEPTTVCIQPSCFLPRIFPQKNKKKSQKPRIELGLSSSTALPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEPELPPGVKMGQVDPISVAANSSKRIVGGVVGAGPGPGKDVRPEGKFLDFEFF >itb07g17670.t1 pep chromosome:ASM357664v1:7:21965808:21972091:-1 gene:itb07g17670 transcript:itb07g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIAWLRVMATVAFLWPVLVECAIRRYNFNVVMTNTTKLCSSKPIVTVNGLFPGPTIYATEDDNVLVNVTNSVSYNLTIHWHGIKQIQTGWADGPAYITQCPIQPGQSYVYNFTVTGQRGTLLYHAHILWLRATVHGAIVILPKPGVPYPFPKPDNELVVILGEWWISDVEAVVNEALQSGLAPNISDAHTVNGLSGPVSNCLSEGGYNFVVEAGKRYLVRIINAALNEELFFKIAGHNLTVVEVDATYVKPFQTDTILTAPGQTTNAILSTNPQTPAGAGGNFLITTSPFMDAPIRVNNNTATATLQYNGTLPSAAATPTAPPPLNSTSLAEKFYDSLRSLNSHQVPLSIDHSLLFTMGLGVNPCSTCYAGKKVVADFNNVTFVMPETALINAHFFNISGVFTDDFPGNPTTPYDYTGEQPANLMTTEATKLYRLAYNSSVQIVLQDTGMLAPENHPIHLHGFNFFAIGRGLGNYNPDQDPRNFNLVDPVERNTIGVPSGGWAAIRFRANNPGVWFLHCHLEVHTTWGLKMAFVVDNGKGPNEILPPPPADQPKC >itb06g00030.t1 pep chromosome:ASM357664v1:6:203389:203704:1 gene:itb06g00030 transcript:itb06g00030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQPASAIFPRRDTPSEEQAATLLGKPSFASAVPPLHNANNRRLQFQLALPSSSPEELTSSKLDEQRLERDDTG >itb05g24410.t1 pep chromosome:ASM357664v1:5:29221310:29222231:-1 gene:itb05g24410 transcript:itb05g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGKTLLKDVGVGINKTLSEFLVCPLSKQPLRLCEKTNSLISDTIGVSYPIVDGIPHLVPADGKIIETNDASDVAASPGVK >itb09g08210.t1 pep chromosome:ASM357664v1:9:4917836:4921935:1 gene:itb09g08210 transcript:itb09g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMENCDNGRPCIFPLTSLQIGDLQSYLSHRFIYLAPESKKFYILVDNRPWLQDLISHPAHLWQLMVTKSRMSPFANTRGQKDKRETEDMQTKAEPNASNSEEVKKWFSVIDAATLSSTSTILPVKKFRISFLNSNLNRTLYGFIVFEVAWSDVRGINYFNELQTDTQFALEAKFMRRWEFDSMAQAAICINSWFSGTHDERSTLIEYLDPTIGEAFFDAEVKFSSTSVADGNDTTIDDLYNDNKYALTPSNSFRSMHPETITSSRTSSCRPFGPYKRRKSISNADMFSEDSYSSTTGAPQHSPKSCSSECENDVVEATKYKDILIFFRFNDRDLPFKLRDTIMSDLRLLTLLECGLPAWAIFLQSYPGLCHIYRPWMCPLARFLYVLISIITVLIGFYDLYKNVPLVKATASHLFGPFFDWIETWEMISRIRYLGTMLFLHNFQKALKWFLSATRAIRSFLSIVTRPFAGPLFELMELFLPLWSLCAQLAESLFSIIWLILETSWNLVGDIFEILLLPVWCLLSVFMRIVTSLLYPVFWIFWGALYTPIRLVMGCSGAIARVFSVISEVILDMWEFSSGILRFTSEVESTVTTAEVSMWRALWNDLFSQIFRALRSILNGFVAFFMACNRHRLSIYNHTKEFIQKLFQPAERNQLTVYTGSGQLSVLQKKFEDEYEYHRQKKLRKVVPTLMSPPH >itb01g11590.t2 pep chromosome:ASM357664v1:1:10639333:10642991:-1 gene:itb01g11590 transcript:itb01g11590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNIFYEKVQKCRSNCEGLAAINYRLEKQIQYHDTKATYLAMFYITFQFILFLANSKPSEHQCKHWWKLFSVSILISVLFGINFISTILKCVRIRKAQDMNWLYQSENYRQMGRLERHKESYHSNIQLRPEAMTSAEQEKTYYQMLGIGRQTLHTNEENRESAATTVEGFQPTSAHQRNLDTFTVYQRYAHVGVTVSLLLAYTVLVLHACRSSLCNGKA >itb01g11590.t1 pep chromosome:ASM357664v1:1:10637491:10642991:-1 gene:itb01g11590 transcript:itb01g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNIFYEKVQKCRSNCEGLAAINYRLEKQIQYHDTKATYLAMFYITFQFILFLANSKPSEHQCKHWWKLFSVSILISVLFGINFISTILKCVRIRKAQDMNWLYQSENYRQMGRLERHKESYHSNIQLRPEAMTSAEQEKTYYQMLGIGRQTLHTNEENRESAATTVEGFQPTSAHQRNLDTFTVYQRYAHVGVTVSLLLAYTVLVLHACRSSLCNGKA >itb08g02330.t1 pep chromosome:ASM357664v1:8:1855408:1858629:1 gene:itb08g02330 transcript:itb08g02330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVYMFFLLILCSLPSIASSSQNDTLKQGDALNSSSLLVSANNNFTLGFFTPNGTNRTYIAIHSRASYSTDPVWIGNRETPLPTNSSPTLQIDASGRLLILTHDEGQGNSYLLSSKQTSRNVTATLLDSGNFVLREVNSDGEELWSSFDNPTDTLLPGMKLGVNHRTGRNWTLTSWQDNNIPAAGAFSLEWEPTKRRMVIKYRGVARWTSGELMNSVDFQYTSFNSTFTDHTFKFANVSTKDEEYLSYAVTPVSTQNRNAGWRLDSQGVLYDVVGNQFIIDVGRCYGYENETQQSLGCELWEQPKCSPSRSGETFEQRYGRFMRDSQHEAEQETMPNNSSDCREHCWNLCDCVGYRSYPDEGSCGYWRGTDLQFDQDNSRNTIPLFRLIRPDKGKSPSKTWIWILIPIAVSTVLAVLLLLRQRRRKREEARKEQELKDLLTLEEYSDIDELSSGENGSLKVFSYAWVVTTTNNFSSDYKLGQGGFGSVYKGLTPEGQEVAIKQLSERSTQGLMEFKNEVVLIAKLQHTNLVKLLGFCIHGDQKMLIYEFMPNKSLDFYLFDPERKEHLTWEKRLNIIEGIAQGLLYLHKYSRVRIIHRDMKVSNILLDENMNPKISDFGMAKILRQNATEANTMRLGGTFGYMAPEYAMEGIFSTKSDVYSFGVLVLEIISGKKNNHFRSEDGPLNLVEHAWELWNRDAVLQLVDPAISNVGGNEEQLHRCIHVGLLCVEDFAVDRPSMSDVVSMLGNENMALPKLKKPAFVSRFSVPDRFQDGKSEKFTVNEVSISVMEGR >itb04g28290.t1 pep chromosome:ASM357664v1:4:32062983:32067283:-1 gene:itb04g28290 transcript:itb04g28290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MPPQTRRTSFPKVVIERDTDSEESSSEEEDVDNGSVQESESESEEGEVEEAKVEGVEEKVEDVSSLKKTGKQPITISLKKVCKVCKRTGHEAGFKGATYIDCPMKPCFLCKMPGHTTMFCPHRVATEFGVVPAPRKNMQNPLEYFFLRQLQPRIHPIKPAFVIPDQVHCAVVRYHSRRVTCLEFHPTNSNILLSGDKKGQLGVWDFVKVHEKTVYGNIHGCILNNMKFSPANDGSVYGASSDGTVSCTDLETGISLSLMNLNPNGWQGPSTWRMLYGLDVNPERSVVLIADNFGYLYMIDMRSNEVIGEPLLIHKKGSKVVGLHCNPFQPDILLSCGNDHFARIWDMRQLKAGSSLCSLPHKRVVNSAYFSPQSGSKILTTSQDNRIRVWDSIFGNLDTPSREIVHSHDFNRHLTAFRAEWDPKDPSESLVVVGRYISENYNGTALHPIDFIDITTGQLIAEVMDPNITTISPVNKLHPRDDILASGSSRSLFIWRPAGESEPGQQRAEEKIVVCGKAADAKRKKFGADSDDESDGDMFPSNGKNIKNKKVALKSTKYSIKKKR >itb04g22970.t1 pep chromosome:ASM357664v1:4:28206841:28210866:-1 gene:itb04g22970 transcript:itb04g22970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRFAVEGVVAGGIKQKNIAAEGRNCRVLGILAIWLPFEGLKASSSFLRCLATSQGVFVHNYWLMHKLQQLTRTRSLLCRPLLVDIGRCTCVIVKETSPDGFNGGTLYSLYTYAGTKVPRQPWHDLHCRIDGPAAYDVLINFAQRWRRATRWREFAFLKKARSKWNDDAMIKVERISWILSPAFSIGKEFTSIPEDDPKLYVNENDQSKHWHVQIFCSIDSGSVKGFPKRIDIAEKQNLICGKNLAVDKSIETAYIQAIRSAQNFIYIENQYFLGSSYAWPSYEDSGADHLIPMELAMKVVSKIRASQRKSQTMHMMYQVIAQEIKSMQLDEHPSDYLNFYCLGNREDVPSSVSQSISVLAYNQVSESLKFQRFMIYVHAKGMIVDDVMIYVHAKGMIVDDEYVIVGAKGMIVDDEYVIVGSANINQRSMAGSKDTEIAMGGSMAGSKDTEIAMGGYQPHHTWARKQRRPHGQVQTV >itb11g13130.t1 pep chromosome:ASM357664v1:11:10067799:10068176:1 gene:itb11g13130 transcript:itb11g13130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVLYVRRFGSTSGDDPGSLLLLLHTCDFRRNGVWLVRQQWRRRCINDGLICSEDHRRRPWAGDGRERCRQWTLFTTDGALFLVLDGEAPTFFCRRRTSTVRHYGVDFAFVFPFSFLRLAAGE >itb01g00370.t2 pep chromosome:ASM357664v1:1:182685:187790:-1 gene:itb01g00370 transcript:itb01g00370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRWAFWCLNLKILLGFLLILSDGANPGDVDAIYTLYAALGSPPLPGWGVDADPCNGKWQGVVCEDTNIVSITINSANLGGELGEKLGAFSSIKTIDLSNNHIGGAIPSNLPVTLQSLFLSANEFTGSIPDSLSSVSQLSAISLNDNNLAGEIPDSFQGLVHLANLDLSNNNLSGVLPSSLGNLSLMTLRLQNNQLSGTLDVLQNLPLRDLNIENNLFSGLIPQKLLDIPVFKNGGNPFNSIISAPFPPSSSSTTPSPALPFFGPPTSGKLPPITERKPGNQTDGPSFSGESNSNSSNRPSAKRIVWISITVAWSFIILVLAFLLCLPKCLREMQETCRGPKLHELAPYMRPRDNPGDNDSLVQPGHDKEKAPLVLKPNEDFQPRKPASIQMPRNEKALITENLTATQKDSHVISLSRLDIDFMLRPPPPPVMPPTEERVIVKPIPPREETILKHPKSSLSPTSVKSFTVASLQQYTNSFSQDNLVGAGTLGTVYKAELPDGRLFAVKKLDNRVSNQHKDNEFVDLVNNIHKIHHANVVEITGYCVEHGQRLLIYEYYSNGTLQDGLHSNDEFKKKLSWNVRIRMALEAARALEYLHEVCEPPVIHRNFKSANVLLDDELGVHISDCGLAPLISSATVNQLPGQLELLASYGYGAPELESGIYTSKSDVYSFGVVMLELLTGRMSYDRTRKRGEQLLVRWAIPQLHDIDALTRMADVSLGGKYSVKSLSHFADIISRCIQPEPEFRPPMSEVVQDIVQMMRRDSQSPNRFDF >itb01g00370.t1 pep chromosome:ASM357664v1:1:182685:187790:-1 gene:itb01g00370 transcript:itb01g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRWAFWCLNLKILLGFLLILSDGANPGDVDAIYTLYAALGSPPLPGWGVDADPCNGKWQGVVCEDTNIVSITINSANLGGELGEKLGAFSSIKTIDLSNNHIGGAIPSNLPVTLQSLFLSANEFTGSIPDSLSSVSQLSAISLNDNNLAGEIPDSFQGLVHLANLDLSNNNLSGVLPSSLGNLSLMTLRLQNNQLSGTLDVLQNLPLRDLNIENNLFSGLIPQKLLDIPVFKNGGNPFNSIISAPFPPSSSSTTPSPALPFFGPPTSGKLPPITERKPGNQTDGPSFSGESNSNSSNRPSAKRIVWISITVAWSFIILVLAFLLCLPKCLREMQETCRGPKLHELAPYMRPRDNPGDNDSLVQPGHDKEKAPLVLKPNEDFQPRKPASIQMPRNEKALITENLTATQKDSHVISLSRLDIDFMLRPPPPPVMPPTEERVIVKPIPPREETILKHPKSSLSPTSVKSFTVASLQQYTNSFSQDNLVGAGTLGTVYKAELPDGRLFAVKKLDNRVSNQHKDNEFVDLVNNIHKIHHANVVEITGYCVEHGQRLLIYEYYSNGTLQDGLHSNDEFKKKLSWNVRIRMALEAARALEYLHEVCEPPVIHRNFKSANVLLDDELGVHISDCGLAPLISSATVNQLPGQLELLASYGYGAPELESGIYTSKSDVYSFGVVMLELLTGRMSYDRTRKRGEQLLVRWAIPQLHDIDALTRMADVSLGGKYSVKSLSHFADIISRCIQPEPEFRPPMSEVVQDIVQMMRRDSQSPNRFDF >itb01g00370.t3 pep chromosome:ASM357664v1:1:182789:187368:-1 gene:itb01g00370 transcript:itb01g00370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRWAFWCLNLKILLGFLLILSDGANPGDVDAIYTLYAALGSPPLPGWGVDADPCNGKWQGVVCEDTNIVSITINSANLGGELGEKLGAFSSIKTIDLSNNHIGGAIPSNLPVTLQSLFLSANEFTGSIPDSLSSVSQLSAISLNDNNLAGEIPDSFQGLVHLANLDLSNNNLSGVLPSSLGNLSLMTLRLQNNQLSGTLDVLQNLPLRDLNIENNLFSGLIPQKLLDIPVFKNGGNPFNSIISAPFPPSSSSTTPSPALPFFGPPTSGKLPPITERKPGNQTDGPSFSGESNSNSSNRPSAKRIVWISITVAWSFIILVLAFLLCLPKCLREMQETCRGPKLHELAPYMRPRDNPGDNDSLVQPGHDKEKAPLVLKPNEDFQPRKPASIQMPRNEKALITENLTATQKDSHVISLSRLDIDFMLRPPPPPVMPPTEERVIVKPIPPREETILKHPKSSLSPTSVKSFTVASLQQYTNSFSQDNLVGAGTLGTVYKAELPDGRLFAVKKLDNRVSNQHKDNEFVDLVNNIHKIHHANVVEITGYCVEHGQRLLIYEYYSNGTLQDGLHSNDEFKKKLSWNVRIRMALEAARALEYLHEVCEPPVIHRNFKSANVLLDDELGVHISDCGLAPLISSATVNQLPGQLELLASYGYGAPELESGIYTSKSDVYSFGVVMLELLTGRMSYDRTRKRGEQLLVRWAIPQLHDIDALTRMADVSLGGKYSVKSLSHFADIISRCIQPEPEFRPPMSEVVQDIVQMMRRDSQSPNRFDF >itb14g19980.t1 pep chromosome:ASM357664v1:14:22473019:22474971:-1 gene:itb14g19980 transcript:itb14g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISIISPLILFTTFLAFPLLLLFTINFTKTLKNLFPPKSTKIPRAYPIIGSFFSILSNQRRRVQWIAEVINSTGNLTFVLHRPLGYVQVFTANPSNVEHMLKTHFHVYQKGEISKKALADLMGQGIFNTDGPTWKFQRQLASYEFNMKSLRKFVEIVVDTELTERLVPILSGAAAHGGAGGGAGVDGGVLDLQDILQRFAFDNICKIAFGYDPEYLLPCLPEAKFAVAFEESVRISSERFNSIVPLIWKIKRILNVGSEKKLREVVGEVREFARKMIREKKGEMGEKISIDSLDLLSRFLNSGHSDEEFITDIVISFILAGRDTTSAALSWFFWLIFKHPRVEEEIVREITAGAKCETPVYDEVKEMVYTHACLCETMRLYPPVPVDTKAAIQDDVLPDGTAVKKGTRVSYHPYAMGRVEKVWGADWRLFRPERWLDRDPVTGKYTFVGKDPFTYPVFQAGPRVCLGKEMAFLQMKRVVAGVLRRFTVVPATGEAFEPVYTADLTSKMMGGFPVRIVERPASDDTTY >itb09g21460.t1 pep chromosome:ASM357664v1:9:19470067:19470369:1 gene:itb09g21460 transcript:itb09g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFPNAIEDFYPYYCLDLVYQYILLVDGFGVHPRKEITVITEVEYKNYMVGAAWPLGCAIDVISSS >itb11g19840.t1 pep chromosome:ASM357664v1:11:20669600:20670552:1 gene:itb11g19840 transcript:itb11g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYLFLSLFLFSALLSNNAAQTPPAVLDTDGNTVQGGVKYVIVPVQPSQGGGLDLASTGNQTCPKSVVQDAPKVTGNSVTFFPVNPKDVVRNGTDLNVQFSGSNAGCPESTLWQIAHDPENTDVTQYVLSGGDKGNPSFSTARSWFMILKTKNGYKFKFCPVSLCDCNPVCQDIAITVENGQRRLVVDLRLTPLEVNFKKA >itb11g01000.t1 pep chromosome:ASM357664v1:11:429490:433814:1 gene:itb11g01000 transcript:itb11g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGYSASTVGIVFFLVVALFINLSCHSHVEAAAGSPVKFLPGFDGPLPFHLETGYIGVGKNEEVQLFYYFIKSDSNPEKDPLILWITGGPGCSPLRAIIQEIGPLLIEPVEYNGSLPRLLPFPYSWTKVANFIFLDLPVGTGFSYATTSNSAQSDNLLTGNNAYEFLRKWLVDYPEFLSNPFYVGGDSYSGITVPIITEMISNGNEGGIKPFIQLKGYILGNAATFMGEGNYQIPFAHRMALISDELYESLKTNCRGEYLDTDPTNLLCQQDIQTFNQLIERIYLNHILEPICLSDDDSSTPNLIMLPGQRRFLHEKHQKLSNPDLLPGLKCRNDWNKLSEYWANDYRAREALHVRKGTKGEWEHCTSNLPFAKIINNTIPYHVRLSRKGYRSLVYSGDHDMLVPYLSTQAWVKSLNYAIVDDWRAWMVEGQVAGYTRTYANRMTFATVKGGGHTAPEFRPSECQAMFERWISNKDL >itb02g05560.t1 pep chromosome:ASM357664v1:2:3399386:3399712:1 gene:itb02g05560 transcript:itb02g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIALSMGKKQREKSCAYKKLSGGGGGRPGVCRKGYVPVIVGPSEEEEEEERERIMIPMKLMMHPCIINLLESSAVELGYDQPGTLRIQCDVQGFKALLDSISPRRR >itb09g13580.t1 pep chromosome:ASM357664v1:9:8879734:8880233:-1 gene:itb09g13580 transcript:itb09g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLATAVRQAGHPVRIQRTILTDLSFHYSHKKMEAMNAKVFFAALVVALVALSAVQGAAAVDTPAPAPGPSSDAAAFVPAAFASAVALAFGFLF >itb04g31890.t1 pep chromosome:ASM357664v1:4:34557367:34561977:-1 gene:itb04g31890 transcript:itb04g31890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDVDHRVFCVYVYSCVVFVWLWANVVWSATNANDFRILKELRNGLENPELLKWPVKGNDPCGPPAWPYVFCSGGRVTQIQAKGLGLKGTLPQDFNQLDKLQNLGLQKNNLYGKLPSFSGLSNLKFAYLDGNEFDTIPADFFHGLSNVRVLALDKNPFNKTAGWSIPSELQESTRLTNFSCSSCNIAGPLPGFFGKMPSLTALKLSYNRIEGEIPDTFRDSLLQVLWLNNQEGGSMTGPIDVIGSMVGLTSVWLHGNAFTGQIPDNIEDLTSLKDLNLNGNELVGLIPKGLADLNLHSLDLNNNQLMGAIPKFKAADVTYSSNSFCQSSPGEPCAPEVDALLEFLKDLNYPHHLANEWTGNDPCKGPWFGITCTPSGHVSIINLQKLNLNGTLSPSLAALDSLMEIHLAGNNLHGRVPTDLTKLRNLRLLDISGNNFDQPLPKFRNGVRILTNGNPGLDDSKAKSPHRPTIHSPPTPKGSNPVPSPGNQPPSFSSPPSPGSRSDLASKSPSLAQDRKKRQSSLKSVVIITVAISATSAILFLGIALFCCLRKRKKSKKISGGTVVHPKGPSNQEFKTKTSENPSLGILGSHAASENSGGLEAAHMVKGGYLIIPVEVLRAVTNNFAPQNEIGRGGFGVVYKGVLQDSTPLAVKRMEAGMINNKVLDEFHAEITVLSKVRHRHLVSLLGYSVEGNEKLLVYEYMPQGALSRHLFMWKKLNLDPLSWTQRLSIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDREMSVATRLAGTFGYLAPEYAVTGKVTTKVDVFSFGVVLMELLTGLTALDEHRSEESRVLFEWFWAMKFNKENIIATIDPSMNAKEDIYDSICTVSELARHCTARDPNHRPDMGHVVNVLSQLVHKWKPVEEEEQQQTQVLADIDYTVPLPQMLMAWQNEKTGDFSGISQDSKGSTHSKASVLANASVDPR >itb07g16450.t1 pep chromosome:ASM357664v1:7:20230456:20233470:-1 gene:itb07g16450 transcript:itb07g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSSFSSSCWTRRARMMVVVIVVVISILSGSTSAQLSTNFYSKSCPNLLATVKSVVKSAVNKEKRMGASLLRLHFHDCFVQGCDGSILLDDTSSFTGEKTAGPNNNSVRGYNVIDNIKSAVEKVCPGIVSCADIVTIAARDSVVLLGGPNWNVKLGRRDSKTASLSAANSGVIPPPTSTLNNLITRFQNKGLSARDMVALSGAHTIGKARCTVFRGRIYNETNIDSSFAKTRQQMCPSQTGSGDNNLAPLDFKTPAFFDNNYYKNLLGKKGLLHSDQVLFNGGSTDSIVQKYSQNQASFDSDFVKAMIKMGDISPLTGSSGEIRKNCRRAN >itb08g03290.t2 pep chromosome:ASM357664v1:8:2709378:2713581:-1 gene:itb08g03290 transcript:itb08g03290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSKALKKESVEDEEEDEEECLGSMLKNRKKEGSGGNAAPKQSKAKKPPAKVKEEDGAEKPAPRKSSSKAPDSKAKAKKEEDDDDFEEPKSKNAANKTEKVQKKAKKATKEAEQNGKKREKKVYDLPGQKRDPPEERDPSRLFYESLYNQLPESNMAAIWMMESGLLSKDKAKEVFETIKKKAQQQKHISPKKSVKKTTAASPVPAKKTQTPSPAVSTQRKKAAGSEAKPKQSNKRKKEDDDSDDGSDDDFVLAPRNPKKQKTS >itb08g03290.t1 pep chromosome:ASM357664v1:8:2709394:2713587:-1 gene:itb08g03290 transcript:itb08g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSKALKKESVEDEEEDEEECLGSMLKNRKKEGSGGNAAPKQSKAKKPPAKVKEEDGAEKPAPRKSSSKAPDSKAKAKKEEDDDDFEEPKSKNAANKTEKKKAKKATKEAEQNGKKREKKVYDLPGQKRDPPEERDPSRLFYESLYNQLPESNMAAIWMMESGLLSKDKAKEVFETIKKKAQQQKHISPKKSVKKTTAASPVPAKKTQTPSPAVSTQRKKAAGSEAKPKQSNKRKKEDDDSDDGSDDDFVLAPRNPKKQKTS >itb13g13600.t2 pep chromosome:ASM357664v1:13:20098360:20100066:1 gene:itb13g13600 transcript:itb13g13600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQREVVMPRRLEATGGVTVVDGVASAATYGIELMIEFKPVEHPTEPLDNDRPIQCPLPEPSILNDGRIWKDIVSTVQRRGDLGAREEAGRGGMKPTPPSNPTIHPSISAPEHSILKLLEESGI >itb13g13600.t1 pep chromosome:ASM357664v1:13:20097578:20100066:1 gene:itb13g13600 transcript:itb13g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFPRFSASKAGHRRSQSALDQREVVMPRRLEATGGVTVVDGVASAATYGIELMIEFKPVEHPTEPLDNDRPIQCPLPEPSILNDGRIWKDIVSTVQRRGDLGAREEAGRGGMKPTPPSNPTIHPSISAPEHSILKLLEESGI >itb05g19390.t1 pep chromosome:ASM357664v1:5:25914461:25916978:1 gene:itb05g19390 transcript:itb05g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTKKPVYKIRVCNFLSEGVATRNLPNSFPIPHRHSCNLYALDNMGGGDHGHHHADAPADFRTKVWTMAGGPNCRPVHWKRNTAIAMAGIVLICIPIAMKSAQLEQRPHNPVRPIPSQLWCKNFGTKEY >itb06g12540.t1 pep chromosome:ASM357664v1:6:17178089:17178682:-1 gene:itb06g12540 transcript:itb06g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASITTFAVDNDVISLDGRGPLCTESEIDANGQIRVRIRRSTSSAPESGFSSSLGITPRASNLSNAEVFSVNTPPGPLHEFQLANEDVEFGHGDLAVGFRAGLSPQLSGGYASSDAYSLQPTPRRSNVNEMDATTTTGNTPMWVMSPASAGKICRQTSPGKCEGGGETQEYRDALGGMCDRYYYYYYYYYYYYICF >itb08g04860.t1 pep chromosome:ASM357664v1:8:4006903:4007962:-1 gene:itb08g04860 transcript:itb08g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVSGDNGGGDLEPRKKGKIEGEGEGEESEKTSTAAAAEDVLTDENLLYEVLKHVDARTLAWAACVSKQWNRTAQDERLWEVMCNKDGSRGHYQQQQRQFRAVVLALGGFRRLYSLHLWPLVKISSSPSPPPPPPSAWPCLPPAPAPPPSKSALSKKRLGKDEMNLSLSLFSIQYFAQMNFNNRSKGT >itb01g22710.t1 pep chromosome:ASM357664v1:1:28762877:28765921:1 gene:itb01g22710 transcript:itb01g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRDEDYIRCHHASEIVLPEKQRETRREVAGQSPPFAPPPSLTHRHPSAAAATIHRTRFRPQSNGKRPKPERETMIATDAPPTATSNCVVAT >itb15g00300.t1 pep chromosome:ASM357664v1:15:187675:189477:-1 gene:itb15g00300 transcript:itb15g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKILWWVYLALLAIAAVIPAATTSADVDDDVWKQREAEARRGLLQAYHPNPENITSELNERVSIGLNEMYEDDDSNHNNVTRRELRGKKGHWERCRATNPIDSCWRCHSNWGGRNRYRLADCALGFAKGTTGGKGGRFYEVTDSSDNDVQEPKPGTLRHAVIQKEPLWITFADSMTIKLSRELLVQGNKTIDGRGVTVTIAGGAGMTIQFVQNVIIHNIKLGDIKASPGGIIRDAVDHKGLRTPDEGDGITIFGSHHVWIDHVSMHNCEDGIIDAVAGSTAVTISNCHFTDHDKVLLFGANNWDPIDKKMQITLVFNHFGKRLHQRMPRCRWGLFHIVNNDYTHWVMYAVGGSSGATIISQGNRYIAQPGENFFKQVTHRDCPDDSWKQWTWVSSGDVFKNGAFFKPSGDPKGAEKYGYRDYLSAQSGKKVGELTKYSGHLGHCRIGLPC >itb15g08250.t1 pep chromosome:ASM357664v1:15:5777500:5779952:-1 gene:itb15g08250 transcript:itb15g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAVNPKAYPLADAQLTTTIMDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >itb03g00840.t1 pep chromosome:ASM357664v1:3:457608:459619:1 gene:itb03g00840 transcript:itb03g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLVILLLILLILLLGCSSASATPTAKVVCGVVTNVVATLFKWLVSLKSEMEPAFSRIKFEKGYNIETIFDGNKLGVEPYSVEVSPAGEVFILDSENSNIYKITTPHSLYSRPMLAVGSPEGCSGHVDGKLREARMNHPKGLAMDDSGNMYVADAMNMAIRKITDTGVVTIAGGKRFQGDRSREDDAKLSNDFDVVYVRSSCSLLVIDRGNRAIKQVQLHNHDCSDQYDSNLHLGAALLFAAGFFGYMLALLQQKVAAFSSSIHRHTNPIQPGLVPTEDEHKKPAENMFVSIGRLLLNTASSATEMFGRTLSAFRKKPLQPHFQQNLHYSHKHSQYYTNTIGYEDDLPFSDLRYPPPRTSSYSSMPKELERTQHFRQHHHQKKQPSSPQTCTEERCERNEVVFGAVQEQDGCGESMVIKKIDYSDPAYNHYNIRSRYRN >itb09g19230.t1 pep chromosome:ASM357664v1:9:15436174:15441229:-1 gene:itb09g19230 transcript:itb09g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYGIESELRRSIIINAIISLLGYFVTLAMIPVASKYLVRRNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTGPEYKQAHAFSIYLAQPLLGTSLALLSYNWYPSLVFVGDTFTYFAGMTMAVVGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPKFDPQTRLLTGTNDGTLVNFFLRQFGRMSEQTLCVVLLLFQAFCCCFCFVLRWLLTGWYK >itb09g19230.t4 pep chromosome:ASM357664v1:9:15437759:15441241:-1 gene:itb09g19230 transcript:itb09g19230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYGIESELRRSIIINAIISLLGYFVTLAMIPVASKYLVRRNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTGPEYKQAHAFSIYLAQPLLGTSLALLSYNWYPSLVFVGDTFTYFAGMTMAVVGILGHFSETLLIFFTPQVLNFLLSLPQVPNPSS >itb09g19230.t2 pep chromosome:ASM357664v1:9:15436174:15441241:-1 gene:itb09g19230 transcript:itb09g19230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYGIESELRRSIIINAIISLLGYFVTLAMIPVASKYLVRRNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTGPEYKQAHAFSIYLAQPLLGTSLALLSYNWYPSLVFVGDTFTYFAGMTMAVVGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPK >itb09g19230.t3 pep chromosome:ASM357664v1:9:15437336:15441229:-1 gene:itb09g19230 transcript:itb09g19230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKRASKEPAGVAAKPESGDSKPVPTPDDASSEPKITPSKAPLMFKCTAIFSIPYFYLIYYHYGIESELRRSIIINAIISLLGYFVTLAMIPVASKYLVRRNLFGYDINKRGTPQGTIKVPESLGIVVGTVFLVVAILFQYFNFTADSNWLVEYNAALASICFMMMLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIVIPKPLVQYVGLEILDLGWIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASTGPEYKQAHAFSIYLAQPLLGTSLALLSYNWYPSLVFVGDTFTYFAGMTMAVVGILGHFSETLLIFFTPQVLNFLLSLPQLAGIIPCPRHRLPKFDPQTRLLTGTNDGTLVNFFLRQFGRMSEQTLCVVLLLFQVIVFSCILLLVSCQVPSYC >itb10g17530.t1 pep chromosome:ASM357664v1:10:23722791:23727477:-1 gene:itb10g17530 transcript:itb10g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSAILNTLPATTTASKLYRIHRKNFLSLSYLPLTTPRTPGLRSRRVCFSGSTSFSSNTQENPCQQLALLLEVEGVLMDIYRFGNRQAFNVAFQKLGLDCASWTQPVYQDLVKKSFGDEERMLVLYFNRIGWPTSLPTNEKEGFLKRVLREKKNALDDLVISKTLPLRPGLEAFIDEALEESIPVVMLTAYSKRGDKVARSIVEKLGSDRMSKMKIIGDEEVKQSFYGQLVLGKGVSSDLGELLAKEANKAVSSEEQRIAKEVASMLKLSVDIDTTSTESFENIVTALRAGAEYADTPVDKCILVAGSQPGVTAAERIGMPCVVVRSSVTARAEFAGANAVMDGFGGTDLTISRLRQIQKS >itb07g12330.t1 pep chromosome:ASM357664v1:7:14072479:14075731:-1 gene:itb07g12330 transcript:itb07g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKTLRSEHLSLYAVSPSLTSITTRARTFPLRYRPAARPPSSSSIATIASTPFLKMSSSSSASYQRPSPTSGRLRKSLTWLFVALLIIYVVYSAKIILIKDSPPTKCSYVDNNNNNNNNHNLVKENRLSTTNAAANPPPPSPPSSEEEEEEEPPPPKKKPSFRDDTEVKHVVFGIAASANLWEKRKEYIKVWWRPQETRGAVWLDKAIDVQNSDELPQIKVSGDTSRFNYTNRQGDRSALRISRVVSETLRLGLEDVRWFVMGDDDTVFFVDNVVRVLNKYDHTQYYYIGSSSESHIQNIFFSYSMAYGGGGFAISYPLAVEIEKMQDRCISRYPGLYGSDDRIQACMAELGVPITREPGFHQFDVYGNLLGLLGSHPVAPLVSVHHLDVVAPIFPDMTRVESLRQLFESVKLDSGSVMQQSICYDKQRYWSISVSWGYVIQVIRGMISPRELEMPTRTFLNWYKRADYMAYAFNTRPVTRHPCQKPFIYYVNKVRLDRSRNQIIGIYYRHREPYPYCRWRADSPENIDSIVVLKEPDTGRWERSPRRDCCRVLPSRQNARNLYLWVGSCREGEVSEF >itb05g17320.t1 pep chromosome:ASM357664v1:5:24302512:24307120:1 gene:itb05g17320 transcript:itb05g17320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGEGKTMVSKKMKWVGLVGLVVSALSLVTHFLLARHTYGGAVSEFRSSVTIFSWRPIFDNADLPTANLIHGRLWGPVRPLEYLHADANPGSNYPAPEVMSTGFIFVRIRGGFHEIRNSISDVVAVARLLNATLVVPEIQSTTSSKGISSEFKSFAYLYNEDQFMAALANDVKIVKTLPKNLKGARRKKEIPSFRVSYSASPYFYLRHVLPVLTRHSVVELVVSDGGCLQSTLPSHLIEYQKLRCRVAFHALRFRDEVQELAIKILNRLRASGRPFLAYDPGMTRDALAYHGCAELFQDVHTELILHRRSWMIKRRILKGNLSVDSAKQRLNGLCPLMPEEVGILLRAYGYKPDTIIYISGGEVFGGRKQLIPLHAMFDNLVDRTSLSTLWELSKMYGRETTLVDKHSTTPLIKEDRKSRDWKTSGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPESSIEELRTNAHKLLWEAIDYIICLEADAFLAGFDRDGKGHPNFASLVMGHRLYQFAPSRTYNPNRKEIAKLFEEIRDTLYQANRTWITSIRKSLRRNLVDGLVKESKRTRPLSLLAFPIPECSCTMHVSTEKSANASSPSPHSQISDSLGPLRRCPSWMMNDHAISQSKEESEEDVDLDDSLSSGLFFRQTNNNNNNNHEGDSGEISNKEEALVEDQEELEGGER >itb05g17320.t2 pep chromosome:ASM357664v1:5:24302631:24306458:1 gene:itb05g17320 transcript:itb05g17320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKGEGKTMVSKKMKWVGLVGLVVSALSLVTHFLLARHTYGGAVSEFRSSVTIFSWRPIFDNADLPTANLIHGRLWGPVRPLEYLHADANPGSNYPAAPEVMSTGFIFVRIRGGFHEIRNSISDVVAVARLLNATLVVPEIQSTTSSKGISSEFKSFAYLYNEDQFMAALANDVKIVKTLPKNLKGARRKKEIPSFRVSYSASPYFYLRHVLPVLTRHSVVELVVSDGGCLQSTLPSHLIEYQKLRCRVAFHALRFRDEVQELAIKILNRLRASGRPFLAYDPGMTRDALAYHGCAELFQDVHTELILHRRSWMIKRRILKGNLSVDSAKQRLNGLCPLMPEEVGILLRAYGYKPDTIIYISGGEVFGGRKQLIPLHAMFDNLVDRTSLSTLWELSKMYGRETTLVDKHSTTPLIKEDRKSRDWKTSGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPESSIEELRTNAHKLLWEAIDYIICLEADAFLAGFDRDGKGHPNFASLVMGHRLYQFAPSRTYNPNRKEIAKLFEEIRDTLYQANRTWITSIRKSLRRNLVDGLVKESKRTRPLSLLAFPIPECSCTMHVSTEKSANASSPSPHSQISDSLGPLRRCPSWMMNDHAISQSKEESEEDVDLDDSLSSGLFFRQTNNNNNNNHEGDSGEISNKEEALVEDQEELEGGER >itb15g06910.t2 pep chromosome:ASM357664v1:15:4665678:4672480:-1 gene:itb15g06910 transcript:itb15g06910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRSMEVFPPPNVTGALHIGHALTSAIEDMIIRWRRMSGYNTLWVPGVDHAGIATQVVVEKKIMREKKLTRHDIGREKFVAEVWDWKNEYGGTILKQLRRLGASLDWSRECFTMDEKRSKAVIEAFVRLSNEGLIYRAPRMVHWGCVLRTAISDIEVDYIDVKERTLLKVPGYAEPVEFGVLTSFAYPLEGGLGEIIVATTRIETMLGDTAIAIHPEDERYHHLHGKFAVHPFNGRKLPIVCDEILVDMNFGTGAVKITPAHDPNDFEVGKRHNLELINILTDDGKINSNGGPDFEGMPRFKARLAVTEALKAKGLYRDAKNNEMRIGICSRSNDIVEPLVKPQWFVDCKSMAKQALDAVMDENSRKMEIIPKQYAADWKRWLENIRDWCVSRQLWWGHRIPAWYVMLEDDEHQEFRVYDDHWVVARNESEAREVARQKFPQKFELSQDPDVLDTWFSAGLFPLTALGWPDDTEDLKAFYPTSVLETGHDIIFFWVARMMMFGIKLGGDVPFTKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGITLEGLHKRLEEGNFDPLELRTAKEGQKKDFPNGIQECGADALRFALISYTAQSDKINLDIQRVVGYRQWCNKLWNAIRFAMSKLGDNYMPPAEIVPHTIPFSCQWIISALNKAISKTVSALESFEFSDATTSVYSWWQFQLCDVFIELIKPYFASEDPSFASARKSAQDALWLCLDNGLRLLHPFMPFVTEELWQRLPTKSDCSKKESIVISEYPSTVESWNNDRVEDEMEKVISVVKGLRSKRALLPPKERFERRAAFVLCRTNEFLKIVKNRELEISTLASLSSLKVSGDIDSAPTGWLTEVIDESLTVFLEEKANSSNPEAELERLRKKMEETKRQHDNLSKIMSALGYKEKVPPNVHDENMSKLTALLQELQLFEENIERLENQIANT >itb15g06910.t3 pep chromosome:ASM357664v1:15:4665678:4671877:-1 gene:itb15g06910 transcript:itb15g06910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKLTRHDIGREKFVAEVWDWKNEYGGTILKQLRRLGASLDWSRECFTMDEKRSKAVIEAFVRLSNEGLIYRAPRMVHWGCVLRTAISDIEVDYIDVKERTLLKVPGYAEPVEFGVLTSFAYPLEGGLGEIIVATTRIETMLGDTAIAIHPEDERYHHLHGKFAVHPFNGRKLPIVCDEILVDMNFGTGAVKITPAHDPNDFEVGKRHNLELINILTDDGKINSNGGPDFEGMPRFKARLAVTEALKAKGLYRDAKNNEMRIGICSRSNDIVEPLVKPQWFVDCKSMAKQALDAVMDENSRKMEIIPKQYAADWKRWLENIRDWCVSRQLWWGHRIPAWYVMLEDDEHQEFRVYDDHWVVARNESEAREVARQKFPQKFELSQDPDVLDTWFSAGLFPLTALGWPDDTEDLKAFYPTSVLETGHDIIFFWVARMMMFGIKLGGDVPFTKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGITLEGLHKRLEEGNFDPLELRTAKEGQKKDFPNGIQECGADALRFALISYTAQSDKINLDIQRVVGYRQWCNKLWNAIRFAMSKLGDNYMPPAEIVPHTIPFSCQWIISALNKAISKTVSALESFEFSDATTSVYSWWQFQLCDVFIELIKPYFASEDPSFASARKSAQDALWLCLDNGLRLLHPFMPFVTEELWQRLPTKSDCSKKESIVISEYPSTVESWNNDRVEDEMEKVISVVKGLRSKRALLPPKERFERRAAFVLCRTNEFLKIVKNRELEISTLASLSSLKVSGDIDSAPTGWLTEVIDESLTVFLEEKANSSNPEAELERLRKKMEETKRQHDNLSKIMSALGYKEKVPPNVHDENMSKLTALLQELQLFEENIERLENQIANT >itb15g06910.t1 pep chromosome:ASM357664v1:15:4665678:4674459:-1 gene:itb15g06910 transcript:itb15g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYGKNEAGAASEGKQLTPQELEKKKKKEEKAREKELKKLKAAHKAEAAKIQAQQAANASKTSRKKSSKREGGDENPEDYVDPETPLGEKKKLSTQMAKAYNPSAVEKSWYAWWEKSKFFVADPSSSKPPFVIVFPPPNVTGALHIGHALTSAIEDMIIRWRRMSGYNTLWVPGVDHAGIATQVVVEKKIMREKKLTRHDIGREKFVAEVWDWKNEYGGTILKQLRRLGASLDWSRECFTMDEKRSKAVIEAFVRLSNEGLIYRAPRMVHWGCVLRTAISDIEVDYIDVKERTLLKVPGYAEPVEFGVLTSFAYPLEGGLGEIIVATTRIETMLGDTAIAIHPEDERYHHLHGKFAVHPFNGRKLPIVCDEILVDMNFGTGAVKITPAHDPNDFEVGKRHNLELINILTDDGKINSNGGPDFEGMPRFKARLAVTEALKAKGLYRDAKNNEMRIGICSRSNDIVEPLVKPQWFVDCKSMAKQALDAVMDENSRKMEIIPKQYAADWKRWLENIRDWCVSRQLWWGHRIPAWYVMLEDDEHQEFRVYDDHWVVARNESEAREVARQKFPQKFELSQDPDVLDTWFSAGLFPLTALGWPDDTEDLKAFYPTSVLETGHDIIFFWVARMMMFGIKLGGDVPFTKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGITLEGLHKRLEEGNFDPLELRTAKEGQKKDFPNGIQECGADALRFALISYTAQSDKINLDIQRVVGYRQWCNKLWNAIRFAMSKLGDNYMPPAEIVPHTIPFSCQWIISALNKAISKTVSALESFEFSDATTSVYSWWQFQLCDVFIELIKPYFASEDPSFASARKSAQDALWLCLDNGLRLLHPFMPFVTEELWQRLPTKSDCSKKESIVISEYPSTVESWNNDRVEDEMEKVISVVKGLRSKRALLPPKERFERRAAFVLCRTNEFLKIVKNRELEISTLASLSSLKVSGDIDSAPTGWLTEVIDESLTVFLEEKANSSNPEAELERLRKKMEETKRQHDNLSKIMSALGYKEKVPPNVHDENMSKLTALLQELQLFEENIERLENQIANT >itb05g10080.t1 pep chromosome:ASM357664v1:5:14969815:14970117:1 gene:itb05g10080 transcript:itb05g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVCRSIKRSKTRQQYEFLSSGTAAHTFIIQDFYQTGGYNHSSPEAEAVEGNRHRRHKSLYAQNGGGFSPDLATAKPEKLVRFRSHRMFSCVTGA >itb11g02780.t2 pep chromosome:ASM357664v1:11:1420590:1426047:-1 gene:itb11g02780 transcript:itb11g02780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MLGLLPHSPCSTNCLKELLKRLLSTSINISQNTAPNFINEQWSNDYISSLCKRKLFKGALEAFELLKRTTIYHVYPSTYTNLVYACSSLRSLDSARKLYNHVLMSGYEPDMIFQNHVLNMFGKCGSMRDARRVFDQMVERNVVSWTSVIAGYSQNDQEIEAIRLYFQMRQSGIMPDPFTFGSLLKACSNLGELELGQQLHCQVIKSESNSHLIAQNALVAMYTKFSMINEAANVFSRIKLKDLISWSSIIAGFSQLGYELEALHHFREMFGHGIYQANEFIFGSVFSACSSLLQPEYGRQVHGICIKYGLGQDTYAGCSLADMYARCGLLESAKTAFYQIDNPDIVSWNAIITGFSSSGDASEALALFSEMRHLDFTPDDLTMRSLLCAFVSPLALFQGKQVHGFIIKMGFDLYIPVSNTLLSMYSNCSDLFSAYRMFGEIQHNADLVSWNAILTVFIQHNEAGEVFSLFKMMLQSHYKPDRITLVNIVGACGKVASLEMGDQAHCYALRTGLSLDITISNGLIDMYVKCGSMENARKLFDGMENRDVFSWSSLIVGYAQFGYGEEALKLFGEMRNLGVKPSQVTFVGVLTACSHFGLVKEGWQMFQQMEMEHGIVPTREHCSCVVDMFARAGCIDEAEAFINQMPFDPDIVMWKTLLAACKTRNNLDVGRRAAENVLKIDPSNSAAHVLLCNIYASTGNWKDFAAVKGSMRQKGIKKAPGQSWIEAKDRIHVFLAEDCQHPEREKIYAMLDDLWLQMSDADYVPVHV >itb11g02780.t1 pep chromosome:ASM357664v1:11:1420590:1426047:-1 gene:itb11g02780 transcript:itb11g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MLGLLPHSPCSTNCLKELLKRLLSTSINISQNTAPNFINEQWSNDYISSLCKRKLFKGALEAFELLKRTTIYHVYPSTYTNLVYACSSLRSLDSARKLYNHVLMSGYEPDMIFQNHVLNMFGKCGSMRDARRVFDQMVERNVVSWTSVIAGYSQNDQEIEAIRLYFQMRQSGIMPDPFTFGSLLKACSNLGELELGQQLHCQVIKSESNSHLIAQNALVAMYTKFSMINEAANVFSRIKLKDLISWSSIIAGFSQLGYELEALHHFREMFGHGIYQANEFIFGSVFSACSSLLQPEYGRQVHGICIKYGLGQDTYAGCSLADMYARCGLLESAKTAFYQIDNPDIVSWNAIITGFSSSGDASEALALFSEMRHLDFTPDDLTMRSLLCAFVSPLALFQGKQVHGFIIKMGFDLYIPVSNTLLSMYSNCSDLFSAYRMFGEIQHNADLVSWNAILTVFIQHNEAGEVFSLFKMMLQSHYKPDRITLVNIVGACGKVASLEMGDQAHCYALRTGLSLDITISNGLIDMYVKCGSMENARKLFDGMENRDVFSWSSLIVGYAQFGYGEEALKLFGEMRNLGVKPSQVTFVGVLTACSHFGLVKEGWQMFQQMEMEHGIVPTREHCSCVVDMFARAGCIDEAEAFINQMPFDPDIVMWKTLLAACKTRNNLDVGRRAAENVLKIDPSNSAAHVLLCNIYASTGNWKDFAAVKGSMRQKGIKKAPGQSWIEAKDRIHVFLAEDCQHPEREKIYAMLDDLWLQMSDADYVPVHV >itb04g05620.t1 pep chromosome:ASM357664v1:4:3655604:3660235:1 gene:itb04g05620 transcript:itb04g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGDDIYKVVVAMAPLYVAMVLGYGSIRWWHMFKPDHCDAINRFNCFFILPFFNFHFMSQVDPYTLNYMFIASDAVAKAMVVVALVIWANFVKNGGLDWSITTFSLSTLNNTLVVGVPLMQAMYGKLGYDLVLQAAAIQALLWFTLLLFGHEFRRTRLVQISSLSAAAHNAPPSIEMGRDLEENAEGGETETAAATPAAPTNIRPSYTSLMKAVCLKLSKNPNTYACFLGLIWALIANRWHLSMPSIVDNSILIMAKAGSGVAMFSTGLFMALQDRIISCGWALTVYGLVLRFLIGPATSAIGAGILRLHGDVLKIVIVQSALPQAVTTFIYAKEYGLHAEVLSTARPLASYRTNYPFIASEGNAEGGETETATAAETPAAPTNVVVVVHVVDESCLSQTFQQP >itb13g20380.t1 pep chromosome:ASM357664v1:13:27190101:27193314:1 gene:itb13g20380 transcript:itb13g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSLADEHFSPPSSLPPPLIHFNPKTTTLLQSSLIFHLCSSKKPRRARMEPIQQQASLDEDDGGEGGAKGFAWNRNDAVGGSIKREMKAPYSCESDSASWYVNSHNGVQLHHSFHGSSSQENCDFSSIPTHGLIQQPPLIHPMESGYSGGLETTAFHPKPPYLSSLLSAVCNNYPFDDNLDLLSDSGFSDAPVWNSNDSMGFHGSGFRVDPDLGFVSPPEARLLPLDNGAGFSAPGLDGYGAMVLKPLDSPSPGMGSSNLNLFQKRLAMRQKSGEFRGGAGEENSQITIGGGGDDITGMSGWHSDSDEPSESYKLSENLNDGLEISNANSSVIDGDPKGKKGRLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILADAIDYLKELLQRIKDLNDELQMLASNGSSVPPVAGLLPSCSAMPTLPFPVKEESWKTSLPSPNNQPPMVHVELREENAFNIHMFCSRRPGLLLSTIKALDNLGMDIHQAVISCFNGFALDIFRAEKCNQAMDVHPDEIKAALLDTAAFHGLV >itb02g01430.t1 pep chromosome:ASM357664v1:2:781079:784959:-1 gene:itb02g01430 transcript:itb02g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFEMGRRVSGRVNTISIVSLLFFLVSSAQSFYLPGVAPRDFQTGNPLAVKVNKLSSTKTQLPYDYYFLKYCKPTKIMNNAENLGEVLRGDRIENSVYTFNMRQELPCKVACRVKLDAEAAKNFKEKIDDEYRVNMILDNLPVAVIRQKRDGTQSTIHEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMYHKDTETDTARIVGFEVTPNSINHEYKDWNEKNPQVTTCNQNTKNILQGTTVPQEVDTNKEVVFTYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMLRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRPPMNPGLLCVYVGTGVQIFAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLYKMFRGTEWKRITLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQTWYMKPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILLITCAEISVVLCYFQLCSEDYNWWWRAYLTAGSSALYLFLYSIFYFFTKLEITKLVSGILYFGYMLIASYAFFALTGTIGFYTCLWFVRKIYSSVKID >itb06g01780.t1 pep chromosome:ASM357664v1:6:3080129:3092800:-1 gene:itb06g01780 transcript:itb06g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPPSFHRIAAATSSDGVTATATPSDAVASRTAMYNHKHLGGRRGRGRRSYSDQPSGGGRGEQQVSGDSHFRSVQDTNRGFRPSYSRGGKFSNFRSHSPRPPPPSFSHHPPYYSAHPPPPNLYSNQQFHRPRPPSFYNQQLNRPGWPHFDQNQQFRPPRPPPEFRPRPQPPKALNFRVWEHAKPEPPPHYDRFTVLSYNILADCHATDHWRRLYFHIPRHILDWEWRKRSIIFELGLWSADILCLQEVDRFKDLEAELQLRGYSGIWKMRTGVAVDGCAIFWRGSRFKLLHEDSIEFKNHGLRDNVAQICVFEFLDQCNGDASAASSTSSSNTNKVVICNIHVLFNPRRGEMKLGQIRLLLDRADYFSKLWDGAPIVICGDFNSTPKSPLYNFIAEQKLNILEVPRDKVSGQESATLNLLKPSPPVNRAQSSADSVKDSLVSQEGEGRESSSTPNVRTWSPEIESVDGLSRGIRSQNQNSVVNDSSESFVSVHFEDKSREGTVENFHDSQRYLSAPLSVSKDSSPVSPNEDIISPTKEKDSSNPTESRHDEYSTTTNAISSPSSNYLDSEMHSGLESICNKEDVLVGENHPRKETSSVSTSPVDAFSSEVLSDPFSPNSHVISSLSSPRDVSLLSNGNVEGCAPSPHEFNYTSEQTAALLDKKMDNLSLDNVFEEAEDQSIGEDSEAFLSELCGATDPFPSESTQLQVYESEKLDEQSQGSALGKEILCDDLDADSPAVYTEKFTYDPSAWTPIEIQTATGSVDCTVMEHRLKLRSVYREVEEVTGTRDSTGEPEVTSYHSCFLGTVDYIWRSEGLQTVRVLAPIPKQAMQWVQGFPTKKWGSDHIALVSELAFTKDIPVKDIDVE >itb01g03670.t1 pep chromosome:ASM357664v1:1:2388967:2399739:-1 gene:itb01g03670 transcript:itb01g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELQELANLMRQAGAVLANEDVEAVMSASSRRPSTFLNVVALGCTGAGKSAVLNSVIGHPALPTGEGGATRAPICVELKRDSSLSSKLIILQIDSKSQEVSASALRRSLQERLSKISSKSCDEIYLKLKTSTAPPLKLIDLPGVDKGRLDSSSSQYVEHSDAVLLVVIPASQAPEVSSYKALRIAKENDGESTRTIGVISKIDQAASEPKILAAVQALLLNQGPPSTSDIPWVALIGQSVSIASAQSGNVGDDSLETAWRAESESLKSILTGAPQSKLGRLALVETLAQQIRNRMKLRLPNLLSGLQDMSQSVKDELAKLGGQVQSAEGTRALALELCREFEDTFLQHLTSGEGIGWKVVASFEGNFPNRIKQLPLDRHFDIKNVKRIVLEADGYQPYLISPEKGLRSLIKTVLEMAKEPSQLCVDEVHRVLSDIVLAAANGTPGLGRYPPFKREVVALATAALEKFKNEAKHMVVALVDMERAFVPPQHFIRLVQRRYRRDEDQRSRSSKRAYEAEQSIINRASSPQPGGSLKSMKDKPTQQDKDVPEGPVLKTAGPEGEITAGFLLKKSGKANSWNKRWFVLNEKSGKLGYTKKQEERHFRSVINLEECNLEDLSEEEEAALFKSSKDKREAANLTFKITSRIQYKTVLKAHSNVILKADTVAEKVEWVNKLKTVISSKGGQVKAEIGVPIRQSFSDGSIDTMARKPADQEEELRLMAQEVRGYVEAVMNSLAANVPKAVVLCQVEKAREDMLNKLYISISGQSLARIEELLMEDQNVKRKRERCKKQSSLVSELTRKLNTLDSRASTASDYDGAEGRAGDHLSTDDWSSAFDPASGGQSGDSTSRGRRAPSRLPPAPPDSASTSRY >itb13g26600.t1 pep chromosome:ASM357664v1:13:31822731:31827466:-1 gene:itb13g26600 transcript:itb13g26600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFAANLASKARVAKNSTQQIGSRLSWNRNYAAKDIRFGVEARALMLQGVEELADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSVEFNNKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLLNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHEKKISSINAIVKILELALKRQRSLLIVAEDVDSDALATLILNKLRVGIKVCAIKAPGFGENRKANLQDLATLTGGQVITEELGLNIENVDMEMLGTCKKVTVSKDDTVILDGAGEKTSIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKTGIIDPLKVIRTALVDAASVSSLLTTTEAVVVELPKEEKETPAMGGGMGGMDY >itb12g01160.t1 pep chromosome:ASM357664v1:12:821688:822970:-1 gene:itb12g01160 transcript:itb12g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTRPVPESRALALLKSSEAFLDDQNFEECRKHALRAQESDPNHPGPGQLLAIADVISAFSATISGSAAGDGSSKKSDYYAILGVPRFTADRQIIKSHYEKLAPLLNPNENPYALSKQAYGLVTKAWRVLSDPDRKSEYDLELDIKLKSGNGSENRTFWTVCNYCYYLYEYPEEYEKCCMKCQNQKCGRAFNAAVCPPPPEEVIDKGEYDCLEVMSFEGIIFTNGDYGKAQRIDPNDGKFVGMKKQKMAAKSSKKLMGKGVRVKVSDYVQL >itb14g03390.t1 pep chromosome:ASM357664v1:14:3027225:3029786:1 gene:itb14g03390 transcript:itb14g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSKSTKHWSAVAVFAKRFYCGKHYVEIPVDALIVKTGFDPQISRFNYQLKNLVRSNQMAQAQELYEHMPSRNTCTVNMMISGYVNSGNLSRASEMFDSMDERTEVSWTIMIGAYSHNKQPKEAFTLYAEMCRAGMKPDYITFATLLSGCDDTSTAKEALQIHAHIIKLGFNSISMVGNSLMDSYCKCQRLDLALQLFNEITTKDSVSFNAMITGYSKHGSNEEALKLFQEMQHLGLKPSDFTFAAVLGAIVGLDDATFGKQLHGLAIKTSYVRNVFVGNALLDFYSKHDNLDDANKLFGEMPELDGVSYNIIITGFAWGGQYEKSFTLFRKLNSTTFDRRQFPFATMLSIAATTQNLEMGRQIHAQGIVTKADSEVQVGNALVDMYAKCDRLEETNAIFANLAHSSVSWTAIISVYVQKGLFEEALKVFKEMSRENVHGDQATFASLLKASANLASVALGRQLHSYVVRLGLMSNVFSGSALLDMYAKCGSIKDAKKIFKEMPDRNIVSWNAMFSAHAQNGDGEATLRSFEEMIESGLHPDSVSFLCVLTACSHHGLVEAAQEYFSSMTQVYNLDPKREHYTTMVDVLCRKGRFDEAEKLITEMPYEPDEILWSSVLNSCRIHKNQELAQKAAEQLFKMDILRDAAAYVNMSNIYAEAGQWHNVASVKKAMRERGIKKVAAYSWVEIGHIVHKFTANDRAHPQIEVIRRKIDSLGKQMEKEGYKPDTSCAIHDVDEDIKVESLKYHSERLAIAFALISTPEGSPIVVMKNLRACIDCHAAIKIISRIVGREIIVRDSSRFHHFRDGSCSCGDYW >itb03g24270.t1 pep chromosome:ASM357664v1:3:22911725:22914691:1 gene:itb03g24270 transcript:itb03g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQKVHSFEEVAKHNKTKDCWIIINGKVYDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDQYYIGEIDMSTVPLKRAYIPPQQTPYNPDKTPEFVIKILQFLVPLLILGLAFAVRHYTKEK >itb01g00200.t1 pep chromosome:ASM357664v1:1:118169:119809:1 gene:itb01g00200 transcript:itb01g00200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERGCRANVHVVVLAYHGQGHINPMVQFSKRLASKGIKITVATTLSNTKALQAVSSSSSLRFLSVYDDCTEGGVAGLGGFKGFLDRFQVSGSKNLSNFIAQHDRSEYPVKCLVYDANIPWASGIASNFKIASAAFFTQSCAAAASYYPMYCEVSGTPMPESVFPLIGLPKLGIPNLPSLGPTDGRYPPIIMHLLSQFDNIHKANWVLMNSFDQLEEEVVEWMAKLWPVKPIGPTVPSFHLDKRVENDDDYGFHTYKLDSRDCMEWLSSKKPGSVVYVSFGSAALLSAEQMSEIATSLKQSCANFLWVVKPTEQSKIPHNFSEETSSKGFIASWCPQLEVLAHDAVGCFVSHCGWNSTLEAICFGVPIVGMPQFLDQMINAHLVEKVWKVGMGAVADDKGFTRSEEISKCIQIVLEGERGKEIKKNALRWKELAKEAIDEGGSSDKHINEMVSYLIQHEASN >itb12g16450.t1 pep chromosome:ASM357664v1:12:17402163:17421188:1 gene:itb12g16450 transcript:itb12g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVEGASEAVEAVTFSFMTAKEVRRHSVMKVTNPNLLDLVGCPTPGGLYDPALGPSDERAQCKSCGQRSFHCPGHCGHIDLVSPVYNPLLFDMLHNLLQRTCLFCFHFKASRREVENCASQLRLIAKGDIIGAKQLGEASSEAKQLGEEFSNDYIDTDPVDCEGSHMSGLTGDYGSQDHFDHNKQQPYWDNFQFTEAMAVLNKFLKPKPTKCSKCKAKNPKISKPTFGWLHMAGISNTVLRENIIGGHKLEGWSTGGAKENITSEVVNDFETAETNSFLTTDGVENSMTPRSREDTVYHELEKQKRILSGPLLPSQVRDIIKLLWENEASICSFICDIQSQNLNKPDKVAGHSMFFLEAVLVPPIKFRPPAKGGDSVMEHPHTVMLNKVLQSNIALGNAHVNTTERSKIINRWMELQQSVNVLFDSKTAMAQGQKDAGTGICQLLEKKEGIFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVAKLRDAIINGPEIHPGALSYSDRVSTVKLPLNRKMRVAVSRKLPSSRGVVTQSGKNSEYEFEGKVVYRHLQDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSSYNADFDGDEMNVHFPQDEVSRAEAYNIVNANEQYIVPTKGDTVRGLIQDHIVSAVILTMKNTFLTLDEFNQLLYGSGVFMAGHGSFSGDRSRKVSTVDSESFLQPVLPAVWKPKPLWTGKQVITSILNHLTRGFAPCTIRNKGKVPEKYFSKDKDNTADNKFIIWKNEFVRGVLDKAQFGKFGLVHTVQELYGSNIAGALLSAFSRLFTIFLQTHGFTCGVDDLIILPDYDSRRKEHLEGNDVGEEVHANFVKFKPGEIGPVELQLEIEKAISTDKENAVAFLDMKMKNKLNQKAEKMDKDLLQKGLLRPFPKNCISLMTVTGAKGSTVNFQQISSYLGQQELEGKRVPRMVSGKTLPCFPPWDFTARAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLESLKVCYDYTVRDADGSIIQFYYGEDGIDVHRTSFLKNFKALQKNEETIRQKFHPARQFNSYIEKLPNGLEERVKKFWMERKAKLDEKSKKSLAKHVQEEHLEKQVEQLTADKKKLASFLKLVEQKYLFSLVQAGEPVGVIAGQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASDVIKTPFLSCPFRGWTSRDDAQSLLAKVNKITVADMIESMEVHLLPISIHNKNISQVYKLIVKLKKHDFVSLEDCKYTLKSAFLRELEDAIENHLSLLSKISGIKNFKSSAQSVASNETDENASGAKSQEDMADDDDGDEEDDRTEDLGSDAQKRKQQATDEMDYDDGSDNELSEMKNDETDDVNSREEEAGDDDDEDDELRESSKPKSSEKKTKSTTKVKRKKEIFVKKESDRAIFVGTKGSCFEVQFRFTNEPHILLAQVAQKTAKKVYIKSSGKIHNCRMVKFDVDENTVLWDGEKKEKAKRLQNNESKNAPFYWALKAAGVDFGAFWELQDVLDVNRIYSNNIHAMLQTFGVEAARASLIREVKTVFGIYGVEIDFRHLSLIADYMTHNGGYQPMSRHGRIADSLSPFLKMSFETASKFIVEAASHGMTDNLEAPSSRICLGLPVKMGTGSFDLMQKLEIDAQ >itb03g08400.t8 pep chromosome:ASM357664v1:3:6276209:6279753:1 gene:itb03g08400 transcript:itb03g08400.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVSCNRIAFHGLIFNASSSLFFTLNRIYLIVMAIQVYDKWGNVICSGAKNIIKEGHKSFPSGHTSCKGSNKRIYQAAIPIYTSVYSKQ >itb03g08400.t1 pep chromosome:ASM357664v1:3:6276205:6279753:1 gene:itb03g08400 transcript:itb03g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGNVICSGAKNIIKEGHKSFPSGHTSLSFAGLGFLSLYLAGKIKAFDCRGHVAKLCLVWLPLLAAALVGISRVDDYRHHWQDVFAGGLLGYVVALFCYLQFFPPPHHVRGWATYAYFRAMDECGGTTPVNNGAGSLEAGVDNQETKRSDEAEAEAFMEIALADDRHSHSEDMKSGGGRHS >itb03g08400.t3 pep chromosome:ASM357664v1:3:6276209:6279747:1 gene:itb03g08400 transcript:itb03g08400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGNVICSGAKNIIKEGHKSFPSGHTSSELLTSRFCSVICWSWISVTVFSGEDKSI >itb03g08400.t5 pep chromosome:ASM357664v1:3:6276209:6279753:1 gene:itb03g08400 transcript:itb03g08400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVSCNRIAFHGLIFNASSSLFFTLNRIYLIVMAIQVYDKWGNVICSGAKNIIKEGHKSFPSGHTSCKGSNKRIYQAAIPIYTSVYSKQ >itb03g08400.t7 pep chromosome:ASM357664v1:3:6276209:6279753:1 gene:itb03g08400 transcript:itb03g08400.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVSCNRIAFHGLIFNASSSLFFTLNRIYLIVMAIQVYDKWGNVICSGAKNIIKEGHKSFPSGHTSCKGSNKRIYQAAIPIYTSVYSKQ >itb03g08400.t6 pep chromosome:ASM357664v1:3:6276209:6279753:1 gene:itb03g08400 transcript:itb03g08400.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVSCNRIAFHGLIFNASSSLFFTLNRIYLIVMAIQVYDKWGNVICSGAKNIIKEGHKSFPSGHTSCKGSNKRIYQAAIPIYTSVYSKQ >itb03g08400.t4 pep chromosome:ASM357664v1:3:6276209:6279747:1 gene:itb03g08400 transcript:itb03g08400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGNVICSGAKNIIKEGHKSFPSGHTSSELLTSRFCSVICWSWISVTVFSGEDKSI >itb03g08400.t2 pep chromosome:ASM357664v1:3:6276209:6279747:1 gene:itb03g08400 transcript:itb03g08400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCRLRSVFCLENFSWFSRGQGLTDQRSRTSNGGSDSFSHPYLPLITHDKKTAMREVQLGSHTLRSHGVKVARTHKHDWLILLLLAGIIAALNFIHPFYRFVGKDMMDDLKYPHKSNTVPFWVVPMYADVLPIFIFLIFYFYRRDVYDLHHAILGLLFSTLGTTVITDAIKDAVGRPRPDFFWRCFPDGKDVYDKWGNVICSGAKNIIKEGHKSFPSGHTSSELLTSRFCSVICWSWISVTVFSGEDKSI >itb15g01820.t2 pep chromosome:ASM357664v1:15:1081243:1084533:1 gene:itb15g01820 transcript:itb15g01820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLRPSSSTTTTNTQFSQSTVDGISVANTPRSSDQTTPSVDLTREYNLAIQTSSCCEIWSKIHYEVPSDQDEVVNFHDEPLQVEEVLKPSRECVEEAFSRVRPDNLSQLVINYFNHSEHTTQSCLSLIQCIHRARQIYSPLHKLIDIFPIDFETATNSLSQAQCDWAFDAFVQFDKLDNPFPGPDSYSFVDMHGCFSQLKQQLDIRLQKSRSKVQLLRRGTKGAAICLTITTFGVVVSAIVIATHALVALVAAPLCPLLFTSKMTKEERVHLVQLDDATRGIFVLHSHLETIDCLVARLHNSVEDYKRLIRFGLERVQDNYPIQEVILQLQKKHNNFLDQLTGLEEHLCLCFAAINRARSLLLSYFHLHI >itb15g01820.t1 pep chromosome:ASM357664v1:15:1081243:1084533:1 gene:itb15g01820 transcript:itb15g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLRPSSSTTTTNTQFSQSTVDGISVANTPRSSDQTTPSVDLTREYNLAIQTSSCCEIWSKIHYEVPSDQDEVVNFHDEPLQVEEVLKPSRECVEEAFSRVRPDNLSQLVINYFNHSEHTTQSCLSLIQCIHRARQIYSPLHKLIDIFPIDFETATNSLSQAQCDWAFDAFVQFDKLDNPFPGPDSYSFVDMHGCFSQLKQQLDIRLQKSRSKVQLLRRGTKGAAICLTITTFGVVVSAIVIATHALVALVAAPLCPLLFTSKMTKEERVHLVQLDDATRGIFVLHSHLETIDCLVARLHNSVEDYKRLIRFGLERVQDNYPIQEVILQLQKKHNNFLDQLTGLEEHLCLCFAAINRARSLLLSYFHLHI >itb15g01820.t3 pep chromosome:ASM357664v1:15:1081403:1084171:1 gene:itb15g01820 transcript:itb15g01820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLRPSSSTTTTNTQFSQSTVDGISVANTPRSSDQTTPSVDLTREYNLAIQTSSCCEIWSKIHYEVPSDQDEVVNFHDEPLQVEEVLKPSRECVEEAFSRVRPDNLSQLVINYFNHSEHTTQSCLSLIQCIHRARQIYSPLHKLIDIFPIDFETATNSLSQAQCDWAFDAFVQFDKLDNPFPGPDSYSFVDMHGCFSQLKQQLDIRLQKSRSKMTKEERVHLVQLDDATRGIFVLHSHLETIDCLVARLHNSVEDYKRLIRFGLERVQDNYPIQEVILQLQKKHNNFLDQLTGLEEHLCLCFAAINRARSLLLSYFHLHI >itb02g25720.t1 pep chromosome:ASM357664v1:2:26499005:26500723:1 gene:itb02g25720 transcript:itb02g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETPTKLLVVDFNNENLKPGSTSWADACKDIRTALEDHGCFIALYDKVSPQLHNSIFHASQQLFDLPFDKKILNTNEKPYHGYVGQIPFIPYHEAFGIDHATTMEGVQSFSNLMWSAGNHSFSESSHSFSKIVAELEEKVLRMLFESYGVEKHYDSYVESTDYLLRYMKYEVPESDENKVVFPCHTDKTFMTVLYQLNQVSGLEVEARNGEWISAHFPPSSFIVMAGEAIKGWSNSRVLAPIHKVTLDASGKEKRYSVGIFTFLNNSKTIEVPEELVDDEHPLQFKPFLHLDMLKFFNSDKGRRSHNLLKDFCGV >itb13g21240.t1 pep chromosome:ASM357664v1:13:27843359:27843946:1 gene:itb13g21240 transcript:itb13g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPKNHTKQQFSRKFNSSQMAFSHNLRLLFAVVLAISVANVTADLVDDICAKTPQPATCKQLLGSDPRSKTSDLVALETVAIDVASRQAKSGQSLVSSLHSGATDPKLKEIYSSCLENYGDSVDSIGQLPGFLRSKDYGSLGSYASAALDGPATCDDNFSRSSPEPSQLKDASVKLRTICSAVLAINNKLSGQ >itb15g10890.t1 pep chromosome:ASM357664v1:15:8651039:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGSIIGSITIIIGFYGVIWGMSKEVTILEPTDILCESGTVNGTSPLLHK >itb15g10890.t5 pep chromosome:ASM357664v1:15:8652373:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQVQLLKSNAYYCVKSNFISLYHHILEKESAGLAPLASAQQSLTT >itb15g10890.t3 pep chromosome:ASM357664v1:15:8651039:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGSIIGSITIIIGFYGVIWGMSKEVTILEPTDILCESGTVNGTSPLLHK >itb15g10890.t6 pep chromosome:ASM357664v1:15:8651488:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGR >itb15g10890.t10 pep chromosome:ASM357664v1:15:8652096:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNEILRKDVVPFAAMIVVVCMEMATSTIAKAALNSGISTFIFMLYYNFLGVLLLLPGFLIQRHRRNPLPITFSILSRCFILGLLGTCLTMGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSVSKNLNC >itb15g10890.t7 pep chromosome:ASM357664v1:15:8651039:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNEILRKDVVPFAAMIVVVCMEMATSTIAKAALNSGISTFIFMLYYNFLGVLLLLPGFLIQRHRRNPLPITFSILSRCFILGLLGTCLTMGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGR >itb15g10890.t2 pep chromosome:ASM357664v1:15:8651039:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNEILRKDVVPFAAMIVVVCMEMATSTIAKAALNSGISTFIFMLYYNFLGVLLLLPGFLIQRHRRNPLPITFSILSRCFILGLLGTCLTMGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGSIIGSITIIIGFYGVIWGMSKEVTILEPTDILCESGTVNGTSPLLHK >itb15g10890.t8 pep chromosome:ASM357664v1:15:8651039:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNEILRKDVVPFAAMIVVVCMEMATSTIAKAALNSGISTFIFMLYYNFLGVLLLLPGFLIQRHRTCLTMGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGSIIGSITIIIGFYGVIWGMSKEVTILEPTDILCESGTVNGTSPLLHK >itb15g10890.t12 pep chromosome:ASM357664v1:15:8652462:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNEILRKDVVPFAAMIVVVCMEMATSTIAKAALNSGISTFIFMLYYNFLGVLLLLPGFLIQRHRTCLTMGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQVQLLKSNAYYCVKSNFISLYHHILEKESAGLAPLASAQQSLTT >itb15g10890.t11 pep chromosome:ASM357664v1:15:8652373:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNEILRKDVVPFAAMIVVVCMEMATSTIAKAALNSGISTFIFMLYYNFLGVLLLLPGFLIQRHRRNPLPITFSILSRCFILGLLGTCLTMGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQVQLLKSNAYYCVKSNFISLYHHILEKESAGLAPLASAQQSLTT >itb15g10890.t4 pep chromosome:ASM357664v1:15:8651039:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSAVLEPLCCKNITTFCLGTKGPLYVAMFKPLGIVIAATLNLVFLAEALHLGSIIGSITIIIGFYGVIWGMSKEVTILEPTDILCESGTVNGTSPLLHK >itb15g10890.t9 pep chromosome:ASM357664v1:15:8651488:8653635:-1 gene:itb15g10890 transcript:itb15g10890.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFQGLKYSSPTLAAGISNLMPGFTFVLAMIFRMEKFEVKRRTWQAKSVGTVLSIIGASIMTLYQGPTILGSSSSSSSTSDLPQHSLLSQDSSKWVLGGVMFLATYLFASGWNILQTATLKDYPEQMTVVFFTTCFGSIQWAIGSLLVERTVDAWKVQPGIGMAAIVVSVSKNLNC >itb01g00290.t1 pep chromosome:ASM357664v1:1:145930:148879:-1 gene:itb01g00290 transcript:itb01g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNGGRRSKRWVSGRAIPSAASGSAGAAGYSAHAWSFKRRTFRRTPISVVCEGYDQQPAGVGSRVSARRRAAHRGANRDQNPFQPVVLTEDEGDTDDEFHTAPRIPTFTTRGGPKQLIRVVSSLTQCQREDVISIGMGGLLGLQVADLPLQLGEWLVGNFDPDMMALKLCNGSYMSITTQDVARVLGLPNGPLPISERDGPHVSPELRAWREEIKHRKGKITVKALVTQMLELKGGGEWFRRHLSVVVVSTLIASVSNGYANQKTVHMFRDVDRITDLDWCGYLLRSLVVAHGHWTQDRTRKFMGPLLFLILLYADRVVVGGRDVPRSIPTLNGWTTELLKAREAREITAQGFGQGMLDDPPHPTDFHAPSVEASLTGQPIRLNTEPGTLQPGPTLGTPQGFAQLFESKTGDLVLVATQVADMVRQNPNQAYGDHNFKRLPRHPIF >itb13g11350.t1 pep chromosome:ASM357664v1:13:16146967:16148885:1 gene:itb13g11350 transcript:itb13g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHARSRYLNRKEGDAEGRASDWSEVVTRRIDGAIRVRSNVDPTFDHSWDPGGPGGTTTAPLFSRIHTSLISVWTAISRAQVRRFTSRARSLVQVQDGPAAPGKRIEEVSDYFMHAPLGSGDIAQLVELRSCNWVVAITGWMSNCPGVGVGGSPRVPPSEISGEEDQVGPCEQLDALSPFNPLSEMRQKKRKENPWTDPIISTP >itb13g19540.t1 pep chromosome:ASM357664v1:13:26524264:26532023:1 gene:itb13g19540 transcript:itb13g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAQLSKPISFSDYPPRRKENGRTAGSAMRLVSTLNHYTHRLFFHSSHNSSRLSPLPKLQTIKFKCPNYSLSHSSYIPPGRFRSGPTMAESEASPPLVHVKDHINLTAKEKQIFDRLLQVLRHFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGREFCEKVNEYLSSTGEEIQGIGVIQCNPDQSKHLETARMRLCDIWVDFVNLRAEDYSESSRIPTMRFGTAEEDAYRRDLTINSLFYNINSSSVEDFTGRGIKDLKSGRIVTPLPPKQTFLDDPLRVLRAIRFGARFEFVLDEELKRAASDDDVKAAIADKISRERIGHEIDLMISGNQPLKAITYVADLQLFWAVFSLPLDVEPPIPTSCDKLCVAYMDLAWRLLRLIGCSLTDDQRRLCLYAALFLPFRNTIYKDHKAKKIPVVSYIFRNSLKLKASDSETVTSLHSVTQKLVSLIPFITSKDIEIIEVDWKTDTIDVPVASKRRILIGLLLREIKEFWRVSLVLSMLLYPTNVDTQENIELEKRSKLFKTIENDILNLGLESVWEAKPLVNGKEIMNILQIKTGGPIVKEWQQKLLEWQLAHPSGTAEECTDWMKEANSKRARTE >itb01g16210.t1 pep chromosome:ASM357664v1:1:20115710:20117347:1 gene:itb01g16210 transcript:itb01g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSMELEPSWRLRPFEENPLFFFFFITAFFLLLLFYLLRIKLWCDCHVCNAYINSTWKSHFTNLADWYTHLLRSSPTGTIHIHVLRNIVTANPDNVEYMLKTRFDNFPKGKAFSAILGDFLGRGIFNVDGDSWLFQRKMASHQLGSSSIRSYAFHVVQSEIDRRLLPLLASNDGAVLDLQDVFRRFAFDSICRFSFGLDPKCLESLLAMPEFAASFDSASKLTAERAMAAAPIVWKLKRILNIGGEKELKKAIETINVLATEVIRQKRKLGFSNHKDLLSRFMGSISDEIYLRDIVISFLLAGRDTVAAALTSFFWLAANHPEVPDVILAEADSVLGPNKNPTKCEHLGDLHYLQAAVYESMRLFPPIQFDSKFCLEDDVLPDGTVVKKGTRVTYHPYAMGRMEEIWGSDCLEFKPERWLNNGVFFQENPFKYPVFQGGLRVCLGKEMAVLEVRTVVLSLLRRIRIELAQPHDRSPPRFSPGLTASFYGGLPVLVRERRGSSAGVN >itb05g06200.t1 pep chromosome:ASM357664v1:5:6400391:6400711:-1 gene:itb05g06200 transcript:itb05g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALRARNSDDFRTGLPEFATGMMCISMFRSPEFSVGGQRGEAIVENDDGRSSSLSCLSIGRISYDSAAAGDVDGDGEEVQSSAKGGALHSLEHLEEALPLKYVA >itb07g13960.t1 pep chromosome:ASM357664v1:7:16287939:16288425:-1 gene:itb07g13960 transcript:itb07g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKSASGEKAREGGERKRKRRRGRSGGVGPGEGYKRYVFKVMKQVHPDLAISSQAMTVINNLMGDMFERIAAEAATLSKYVSRTTLSSREIQDAVKLVLPGDLGKHAIAEGTKAVTTYLGVVNGPSKSNPKPK >itb03g00110.t1 pep chromosome:ASM357664v1:3:60255:63910:-1 gene:itb03g00110 transcript:itb03g00110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVQPCRCGGVAAATLPSSLSQSPCPFPRRVSLPNLNFVLSPLHQSRKFEILAVVKRSPKRLKYSTPRFTKEDGLLYLEVDPSGSDSWKLDPVIQLLKEGAVGVIPTDTVYAIACDLRSHTAIERLRRIKNIEATKPLSILCHSLRDIDKYTTGFPRGNDHGFTNIFRAVKHCLPGPYTFILTASKELPKQCTRYGTTTSKYASRKNVGIRIPDDPVCQAILDKMNGPLISTSVKSPKENEWILDPVVIADVYGPEGLDFVVDAGVRVADPSTVVDMTGSYPKIMRQGKGAKQPWMVAGEEDFDVK >itb10g15660.t1 pep chromosome:ASM357664v1:10:21869351:21872430:1 gene:itb10g15660 transcript:itb10g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIHMGESETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRILPDKVNKTLAIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVATTKHNDDEQYVWESQAGGSFTVTKDVNGEPLGRGTKITLFLKDDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEEVKKEEGDVEDDVLGDKVEKVVVSDRIVDSPCVLVTGEYGWTANMERIMKAQALRDNSMSAYMSSKKTMEINPDNGIMEELRKRAEVDKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEDETAGEDAEMPALEESNEESKMEEVD >itb12g17500.t1 pep chromosome:ASM357664v1:12:19549958:19551535:-1 gene:itb12g17500 transcript:itb12g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASIGSAAAPVSSSFRHLSLRPLSSVFLVRSCSTASAAVAAKTPLMSDNLIPHPSLEVVGGARDAFLPALKSLQNPYHPYPIIGWNRHAETIFAAFFRSLPDLKLRRECLRTKDDGSVALDWVAGDDRRLPPDSPVLILLPGLTGGSQDTYVRHMLVRARNKGWRVVVFNSRGCGNSPVTTSQKSREDERAGAEIIYGSEECFRHSVELLHELGFPKGVLPLKDLEECGYVRETGFVWMKQKAPYDHYFEGTKTLVSYAAEVTAYVEKSKMKKMTGVKSKQLLIWVPIVEMSIEDPAQKKIYFKTPMGIGRSFPITAFMTEEEKKLYLEKVKE >itb09g24190.t1 pep chromosome:ASM357664v1:9:23783857:23788109:-1 gene:itb09g24190 transcript:itb09g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSGMMLGIMFGIGLMAIWRHVMRYRSTKRIAKAVDVKLMGSLNRDDLKKICGENFPEWVSFPVYEQVKWLNKQLNKLWPFVADAAEAVIRESVEPLLEEYRPPGITSLKFSKLSLGNVAPKIEGIRVQSLKKGQITMDVDFRWGGDPSIILAVEAALVASLPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLAEPKPRIDYVLKAVGGSLTAIPGLSDMIDDTVNSIVTDTLQWPHRIVVPIGGVPVDTSDLELKPQAKVTITIIRASNLKNREVIGKSDPYVVVYIRSLFKVKTKTIDDNLNPVWNETFELIAEDKETQAVILEVFDEDVGQDELMGVAKLPLNELVPETSKQIELRLLPKLDMFKVKDKKDRGTITIKVLYHEFSKQEQLAAVEDENKTLEARKKLREEGAIGSARDAVDGAASTVGSGISAGAEVVGSGISAGVGSGKGAVTSGLSKAGKFVGRTFTGHSISIHSKSHRRDNSPSMNSAHETGGGGSGGSGDAKLV >itb02g09400.t3 pep chromosome:ASM357664v1:2:5995988:6001649:1 gene:itb02g09400 transcript:itb02g09400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDGRAVLEFHDESLVSPDHVIGRPISLDPSPRRSFFYTSSDDFSCKCILNRMLASIKIVFLSKKLNILITCGPLAVLVDKFSNRHGWIFILSLSGIIPLAERLGWATEQLAFFTGPTVGGLLNATFGNATELIISMYALNRGMMRVVQQSLLGSILSNMLLVLGCAFFAGGLVYSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTELHFGKSEVALSRFSSCVMLVAYGAYLFFQLTSEKSLYMPIAEDANRNDSDNEEEEAPEISMWSSIIWLSILTAWIALLSEYLVNTIEGASIALNIPVAFISVILLPIVGNAAEHAGAIMFAVKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIMGRPMNLDFQLFETATLFMSVIVVAFMVQEGTSNYFKGLMLLLCYLIVAASFFVHIDPESIQDKPTETSLQTLQKAAEAIYDDLRNV >itb02g09400.t1 pep chromosome:ASM357664v1:2:5995988:6001649:1 gene:itb02g09400 transcript:itb02g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDGRAVLEFHDESLVSPDHVIGRPISLDPSPRRSFFYTSSDDFSCKCILNRMLASIKIVFLSKKLNILITCGPLAVLVDKFSNRHGWIFILSLSGIIPLAERLGWATEQLAFFTGPTVGGLLNATFGNATELIISMYALNRGMMRVVQQSLLGSILSNMLLVLGCAFFAGGLVYSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTELHFGKSEVALSRFSSCVMLVAYGAYLFFQLTSEKSLYMPIAEDANRNDSDNEEEEAPEISMWSSIIWLSILTAWIALLSEYLVNTIEGASIALNIPVAFISVILLPIVGNAAEHAGAIMFAVKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIMGRPMNLDFQLFETATLFMSVIVVAFMVQEGTSNYFKGLMLLLCYLIVAASFFVHIDPESIQDKPTETSLQTLQKAAEAIYDDLRNV >itb02g09400.t2 pep chromosome:ASM357664v1:2:5995988:6001649:1 gene:itb02g09400 transcript:itb02g09400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDGRAVLEFHDESLVSPDHVIGRPISLDPSPRRSFFYTSSDDFSCKCILNRMLASIKIVFLSKKLNILITCGPLAVLVDKFSNRHGWIFILSLSGIIPLAERLGWATEQLAFFTGPTVGGLLNATFGNATELIISMYALNRGMMRVVQQSLLGSILSNMLLVLGCAFFAGGLVYSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTELHFGKSEVALSRFSSCVMLVAYGAYLFFQLTSEKSLYMPIAEDANRNDSDNEEEEAPEISMWSSIIWLSILTAWIALLSEYLVNTIEGASIALNIPVAFISVILLPIVGNAAEHAGAIMFAVKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIMGRPMNLDFQLFETATLFMSVIVVAFMVQVSNLHKHSRL >itb02g09400.t4 pep chromosome:ASM357664v1:2:5997392:6001300:1 gene:itb02g09400 transcript:itb02g09400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYALNRGMMRVVQQSLLGSILSNMLLVLGCAFFAGGLVYSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTELHFGKSEVALSRFSSCVMLVAYGAYLFFQLTSEKSLYMPIAEDANRNDSDNEEEEAPEISMWSSIIWLSILTAWIALLSEYLVNTIEGASIALNIPVAFISVILLPIVGNAAEHAGAIMFAVKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIMGRPMNLDFQLFETATLFMSVIVVAFMVQEGTSNYFKGLMLLLCYLIVAASFFVHIDPESIQDKPTETSLQTLQKAAEAIYDDLRNV >itb02g09400.t5 pep chromosome:ASM357664v1:2:5997392:6001300:1 gene:itb02g09400 transcript:itb02g09400.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYALNRGMMRVVQQSLLGSILSNMLLVLGCAFFAGGLVYSHKEQVFNKGTAVMNSGLLLMAVMGLLLPAVLHFTHTELHFGKSEVALSRFSSCVMLVAYGAYLFFQLTSEKSLYMPIAEDANRNDSDNEEEEAPEISMWSSIIWLSILTAWIALLSEYLVNTIEGASIALNIPVAFISVILLPIVGNAAEHAGAIMFAVKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIMGRPMNLDFQLFETATLFMSVIVVAFMVQEGTSNYFKGLMLLLCYLIVAASFFVHIDPESIRELLPAFLPSFISVPLLITRFRSNLNAMADNVAFQRTSPQRLVYRHCRRQLKPYMMISEMFKFEEL >itb06g22120.t1 pep chromosome:ASM357664v1:6:24518813:24521434:1 gene:itb06g22120 transcript:itb06g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDDKDSFNPPPPSLPANYVTLTMLKERWLQKKQEEEEAKLKKQQNPEQEAKEERAGGSRDRITPTTRNQNPGKSGRDYVPRRRQQFSRDRRGGESWVRKHEEAESSGSVVVGGNPEIKEKKHWEGRKPRAPQSVKYPENAAEKGEIPTVLSEESGGKGKNVGELLLDGDNGENGVEIRKGFGGERRGERKEWRGGFKRYGNGRVNSRVHKQVGELEENEKKEEGVFGGAEEKKEKAEKGRQSGIGQSAVKIDKSSQDLLLDDRKDAIVSVRVSGQQLSMNSKVHKQAGEKEKKGAERVVGVVEDRRGKGEKGRQSGIGQSGAKIDKNSQDLLLDDRKDGNVRTGVSGQQQSKNSKVYKHVGELGEDEKKAEEGVVVVAEDRREKGEKGRQSGIGQSGVKIDKGSRDFLLDDRKDGNVRMRVSGQQQRMDSKVYKQVGELGEDEKKAEEGVVVVAEDRREKGEKGRQSGIGQSAVKIDMSSQDLLLDDRKDANVRTRVSSQQQSIDSKVHELVGEFGDEEKKVEEGVVEVAKDGIKNGDEGRIRIRTCAGPWAHNIENRFRNDNRRYGNVRRISGSAGGRHGYVGYGGRVARQRVEQVENRLMWVKKGENSSGNVTEFNSQVHL >itb04g05610.t1 pep chromosome:ASM357664v1:4:3649525:3651483:1 gene:itb04g05610 transcript:itb04g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGDDIYKVVVAMAPLYVAMVLGYGSIRWWHMFKPDHCDAINRFNCFFILPFFNFHFMSQVDPYTMNYPFIASDAVAKAMVVVVLVIWANFVKNGGLDWSITTFSLSTLNNTLVVGVPLMQAMYGKLGYDIVLQAAAIQALLWLTLLLFGLEFRRTRLVQISSLSAVAPPSIEMGRDLEGNAEGGETETAAVAAETAAAPANVGPSYTSLMKAVCLKLSKNPNTYACFLGLIWALIANRWHLSMPSIVDDSILIMAKAGSGIAMFSTGLFMALQDRIISCGGALTVYGLVLRFLIGPATSAIGAGLLRLHGDVLKIAIVQSALPQAVTAFIYAKEYGLHADVLSTAIIIGTIVSLPVLIGYYVVLDLLP >itb08g06520.t1 pep chromosome:ASM357664v1:8:5522390:5524061:-1 gene:itb08g06520 transcript:itb08g06520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGSCGGPLLVRLYFIRGGDRIWFSTWISTAGWPITFIPLAAAYYTRRRTTTTKTNFFTITRNITLVGAALGVLLGIINYLYTYGISKLPVSTSSLIVASQLAFTAGAAFVLVKQRFTAFTMNAVVLLTIGAGVLAVGSSGDRPAGESKKEYVAAFLMTFAAAALYGALLPCIELTYIKARQCLSYTLVLEFQMVMSLFATAFCTVGMLVNKDFQAISREGRGFEIGEAKYYVVVVFSALIWQIYFIGAAGAICYGSSLLSGIIAAASLSLTEVLAVVVYGEKFGPEKGISLALSLWGFVSYFYGEVKNGDNNNKKEELQVQVNNMEANETLPM >itb02g02350.t1 pep chromosome:ASM357664v1:2:1331389:1333488:-1 gene:itb02g02350 transcript:itb02g02350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSAKLTSTTLPYLHHAQSPKTPLSHNLSILSKSSESQFYGLKLHRSSSPSLPSSSSSTPRSLIFAKVNKGSVPPAFTLKDQDGRNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDTSSHKAFAKKYRLPFTLLSDEGDKVRKDWGVPSDLFGALPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKLLQSL >itb02g07790.t1 pep chromosome:ASM357664v1:2:4881632:4882387:-1 gene:itb02g07790 transcript:itb02g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLFAASVAAASATAISSSSKTQPSHNQDDNSGKHSANSPFSRKSSAAPAAPSSSEKFAPRFDGLRFIETLVTAHR >itb11g02830.t1 pep chromosome:ASM357664v1:11:1444789:1448395:1 gene:itb11g02830 transcript:itb11g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVALGAAFAAGSGFLAKRLINPPSTQNDQESSDQPKHQNGLSSSNFVDQDSNFTGEVGAKEESLGDQSIFRFSSSPGSERGPSKKSGCGCGSSRNKQGNVEGFKRNNDGRMVKKKKGGVVGLDHRCSGSGKKFYVCLKKRKTSKTAPGKCDSCSSNKGNSVFGWGLGIGMMCMMSARGAEISKLNVAMDETSKVVEGLKDELSRRITSHNLRGSKSEVGKFSGDIKEERMEPAFVKLCSENRNGKRIFSFPVTEEGEYASSVLTEELLPEAREMDQLEAELESELQKLDGSGFEVRANIYENGDLAEAFHQGDEDMNSYELNGVPPSELHQKLSQVLIEQQESQIVELESELQRSHRKLHEKEAELQALKDCVRRLTDFSLASASDEDAEHSRIIVDNEEKQNMGGDFRKLMVGSKRTSMEF >itb08g10860.t1 pep chromosome:ASM357664v1:8:10489463:10489711:1 gene:itb08g10860 transcript:itb08g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIRLLTSWVDLEDLNSISADLYNPKQRTGAVLLSSSTGGVILRLLEPALQNTGGTIPALTVFTLLIFARERLINAAVFG >itb05g22480.t1 pep chromosome:ASM357664v1:5:27899309:27902421:1 gene:itb05g22480 transcript:itb05g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METELVENGKYEALFESRIANGRLVYRLFSASIFVGIVCVWIYRVIHIPEGGEYGRMGWMGMYGAELWFGIYWVFTQAQRWSPVFRQPFRQTLLKRYGKALPRVDVFVCTADPATEPPIMVVNTVLSVMAYDYPAEKLSVYLSDDAGSELTFYALMEASNFSKHWIPYCKKFNIEPRCPAAYFSSAPDVSSGRDFSHVKKLYQEMENRIESACQLGRIPKDEYHKHTGFSKWDSSSSHKNHAAMLQIVIDGREGEAKDSEGHSLPTLVYMAREKRPHHFHNFKAGALNALIRVSSEISNAPIILTLDCDMYSNDSGSVQDALCFFMDEEKSHNIAYAQYPQSFHNTTKNDLYGGCLRVPYFVEFHGLDGYGGPWYIGTGCFHRREALYGREFSKATRNELNSDPPRRTHKNLDEFEESLQALVSCTYEENTRWGYEIGMKYGCLVEDVLTGFSILCKGWKSVYFSPARRAFLGVTATTLEQILVQQKRWSEGDLQTLFSKFSPVKNGLGKFNIGLIFCYLPYPLWPLNCFPALYYSIVPSLYLFKGVPLFPQVSSVWFLPFACIVVATLAYSCAEFLWTDGTLLGWWNEQRMWLYRRTSAYLFAFLDTMLKLVGCSNSTFVISPKVSDEDVCVRYEQEMMEFGSDSPMFTILSSVAMLNVLCFVGAVKKMVTERFVFENLGLQIVLCGVLVFINLPIYNGMLLRKDKGRMPSTVTYKAIFVALSACTFFTFF >itb04g19280.t3 pep chromosome:ASM357664v1:4:23584804:23586699:1 gene:itb04g19280 transcript:itb04g19280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDYDPISERRRRKISTHDLWAELDPISELWSFNSSSAINALKQSSPSTLPDATNRLNQVLVCKNGGAENAEKSEKKRARKNVYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNTAEEAARAYDVAATRIRGKKAKLNFPSPPPSPATKPSCGRDHSPQESSGSSSATAASLNPSPLMNTGVVLSHAPPYYPVGAADGHHNQGGSELKAQISDLESFLGLEPEPTQLGGTGAQFDSFDPFLVGPVEECFGATQQHQVFYTVP >itb04g19280.t2 pep chromosome:ASM357664v1:4:23584804:23586699:1 gene:itb04g19280 transcript:itb04g19280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDYDPISERRRRKISTHDLWAELDPISELWSFNSSSAINALKQSSPSTLPDATNRLNQGAENAEKSEKKRARKNVYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNTAEEAARAYDVAATRIRGKKAKLNFPSPPPSPATKPSCGRDHSPQESSGSSSATAASLNPSPLMNTGVVLSHAPPYYPVGAADGHHNQGGSELKAQISDLESFLGLEPEPTQLGGTGAQFDSFDPFLVGPVEECFGATQQHQVFYTVP >itb04g19280.t1 pep chromosome:ASM357664v1:4:23584804:23586699:1 gene:itb04g19280 transcript:itb04g19280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDYDPISERRRRKISTHDLWAELDPISELWSFNSSSAINALKQSSPSTLPDATNRLNQVCKNGGAENAEKSEKKRARKNVYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNTAEEAARAYDVAATRIRGKKAKLNFPSPPPSPATKPSCGRDHSPQESSGSSSATAASLNPSPLMNTGVVLSHAPPYYPVGAADGHHNQGGSELKAQISDLESFLGLEPEPTQLGGTGAQFDSFDPFLVGPVEECFGATQQHQVFYTVP >itb12g16280.t1 pep chromosome:ASM357664v1:12:16992387:17000458:-1 gene:itb12g16280 transcript:itb12g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSDSKKKKQKKPKKRVREDSELLEHLDSLPWNSSLPETDDAFSHLFGDDELGERFLSLEEVDETTYGLEISKSSGGNEQSKSKGKPKTKKKKISESHDDSNGEEGDDKADEEIKQKKKKRSKKKKGSLVNKTEENTELTGAPRGLLRALIVTPTRELALQVTDHIREVAKHTNIRVIAIVGGMSTEKQERLLKRRPEIVVGTPGRLWELMSGGEVHLVELHSLSFFVLDEADRMIETGHFQELQSIVDILPMASRSTDGHSMETQNCITVSSVQRKKRQTFVFSATISLFADFRKKLKRGSQISKSNDELNSIETLSERVGMRADVAIVDLTNASIMANKLMESFIDITPSFLNVAHPWHQCFDTSCPDATGIDRFCSNENGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGKTARAFSDRCSIALISSNDASKFASLCKSFAKESFQRFPVEISYMPEVMKRLSLARQIDKILRKGSQDKANKTWLERHAESVELELDDDDSEEERVKSFKQKKATSSQLKNLQQDLKSLLSLPLQPKTFSNRFLAGAGVSPLLQNQLEELAKLKLGKISNTGDSQRRKLVVIGQDCVEPLQALRSAGPEAHLDLKEIAEKRKNMDNITRKRKEAKKRQREQRRKQRKKPQGAND >itb12g28240.t1 pep chromosome:ASM357664v1:12:28231619:28235186:-1 gene:itb12g28240 transcript:itb12g28240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFPRPVFTLFLYTTTKPPCYKLFQLSHFSAASHNHPSYHSRRHEEDGRNVKVSVWWDFENCSLPPAVNVFKVAHSITAAIRANGIKGPIQITAFGDILHLPRPNQEALSSTGINLTHIPNGGKSSADRSLLVDLMYWVSQNPPPAHLFLITGDCEFAGILHRLRMNNYNILLASPENASVALFSAASIMWQWNALLRGDDLTGKHFNHPPDGPYASWYGHYKAPLEDPFASTVDHQNSPHTDSDLSDSTSDPKPRPIPKPVTKYIRHILASNPKGLFITDLRAELGKSNLSIDKDFYGYKKFSRFLLAMPHVLKLQSGSGGQLLVQSVAPKSSEQNECSSGITAVEPSAAIVGQPDSIAVRKMNGTKSSCSGSPSKMSSSTSCSGPKVETPPAKLQESQKVQELTTKLLSKEQQLAQSTQDPTSGIQVPQGELPPSSIQITETKATGSQINTAEDKSSVPKQGLFKRLWKKWFGSKKEYDANEKNCSSSDKIPAERTTINESDAKLASQSEHSKGLDPFPSGDEEKVDEKNSVSSQAIIEKSSSQSGFFNKIKNWCRPSESLSPLNNSDFESDEKVTQNKPGSGTHKNFSEDSFWKDMEAFLHTEQGSTLVLQSRTRLQLAQNLQQCGPSSLRSLCENDLLHLVDLFISDKKWVEERIQRTFPFKVSRSAVKAVKNASHSSTGLSSIFLHAELPTKLQEKDGEKKHQNPPHSGVSQPVTQGQGNSFGKSRNEVLVDCQKLVQEIVRVHPEGYNLGSFRKLFLENYGYSLDLQKLGYQKLVNLLQIMPGIRIESNYMIPSGKDVNSAANELFTKESCVGDSVATSDSELVDASRKVDDVDSPWAELGPISKMTTLKKDEMEVGSSSRMDYEPLSDDDFSDMDEESLSVSSSTKRESAKPREKEESSLMQILDSWYSRKEESSGNGASKNVDGMDKCCKDDAKQSASSESVSIKSDSLVVNTGKRSKPSKPYSFVSDQPQDHKDELIDGILSSLNKSGQQSVEPKTQASPSFNG >itb12g28240.t2 pep chromosome:ASM357664v1:12:28231425:28233920:-1 gene:itb12g28240 transcript:itb12g28240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVLKLQSGSGGQLLVQSVAPKSSEQNECSSGITAVEPSAAIVGQPDSIAVRKMNGTKSSCSGSPSKMSSSTSCSGPKVETPPAKLQESQKVQELTTKLLSKEQQLAQSTQDPTSGIQVPQGELPPSSIQITETKATGSQINTAEDKSSVPKQGLFKRLWKKWFGSKKEYDANEKNCSSSDKIPAERTTINESDAKLASQSEHSKGLDPFPSGDEEKVDEKNSVSSQAIIEKSSSQSGFFNKIKNWCRPSESLSPLNNSDFESDEKVTQNKPGSGTHKNFSEDSFWKDMEAFLHTEQGSTLVLQSRTRLQLAQNLQQCGPSSLRSLCENDLLHLVDLFISDKKWVEERIQRTFPFKVSRSAVKAVKNASHSSTGLSSIFLHAELPTKLQEKDGEKKHQNPPHSGVSQPVTQGQGNSFGKSRNEVLVDCQKLVQEIVRVHPEGYNLGSFRKLFLENYGYSLDLQKLGYQKLVNLLQIMPGIRIESNYMIPSGKDVNSAANELFTKESCVGDSVATSDSELVDASRKVDDVDSPWAELGPISKMTTLKKDEMEVGSSSRMDYEPLSDDDFSDMDEESLSVSSSTKRESAKPREKEESSLMQILDSWYSRKEESSGNGASKNVDGMDKCCKDDAKQSASSESVSIKSDSLVVNTGKRSKPSKPYSFVSDQPQDHKDELIDGILSSLNKSGQQSVEPKTQASPSFNG >itb03g19220.t1 pep chromosome:ASM357664v1:3:17362968:17365892:-1 gene:itb03g19220 transcript:itb03g19220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMSKPTQIFLINLTVLIIITFPFYGNCQPRMNPEKSILLEIKEKLSYPSKLSHWNSSSDHCTWPEITCVDGSVTRIQVNNLKLNQGIPLSLCDLKNLTYLNLSLNSIPGPFPVLDNCTELIFLDLSCNNLAGPIPLTFSPRDLPIGKLGVPGKIIVFNCRMNKLSGEIPSEFGLLQNLTELDLSGNQFSGEIPPDLGRLRLTSLNLSSNYLSGKIPGELENAAFYKSFLNNPRLCASTSSFGISICNKNTSNSSDSKLVRLAAFLGSIAGTLFTVVVLYILYCLRKKWKARKRLHSNWKFIKFHSLSFTVSDILTNLIDDNVVGSGGSGKVYLVTLRTGKQVAIKKILNHEKLDEKLEKQFEAEVGVLGKVWHSNIVKLVAFIASEDTKLLVYEYQENLSLDLWLHPKRRQGTARSPLWKILEWPTRLHIATGIAKGLCYLHHCCSPPIVHRDVKSSNVLLDSEMNAKIADFGLARELFKPEESNTVSAVAGSFGYIAPEYVSICKVNEKIDVYSFGVILLELVTGKVANDRSEDLCLVDWARKYIKDEKPITDALDAVIKKQEYLDEMQFVFKLGIVCTNKTPSSRPTMKEVEEMLQRQTSYADNINGSERDASPLIKSFNIHSGSK >itb06g23940.t1 pep chromosome:ASM357664v1:6:25688487:25689783:1 gene:itb06g23940 transcript:itb06g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPMKRTTSMTEFTLDLNAGAGAGSVQTSQAAAAAVDQHLTSAATVSARPHRRKSADFVETAHFLRACSLCKRRLIPGRDIYMYRGDSAFCSLECRQQQMTQDERKEKCSLVASKKEAMAAATSAAAATGSEVVAATGESIAAL >itb07g10040.t1 pep chromosome:ASM357664v1:7:10305671:10307923:1 gene:itb07g10040 transcript:itb07g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPVKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQMWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLAWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLMAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKEILDAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIIVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWACIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGGDFVAVGGKVALVPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVITHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >itb01g18040.t1 pep chromosome:ASM357664v1:1:22920875:22924410:-1 gene:itb01g18040 transcript:itb01g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEDEIKQQLQELQRQLGKKQSFEEAVSSIRSLLLQYYPSASPSLQKSFYSVVCRAATILKTRYTAPGFWHAGLQLFFDTERLVSESSERKKLQDCIAQAQDHLSEIENQPENSTSTENRPRGFLFEGHLTVDPEPPQPDWLVMSNLLSAAATLPAGQSSEENPASSNSSEAAANLLRELADRLDDIVPMILDDAPAAPRVPPASKEVVAKLPVTTVTDEFLAKLDADAECAICKENLVLNDKMQELPCKHMFHPPCLKPWLDEHNSCPICRHELQTDDHNYESWKEREREAEEERKGAANAVREGEYMYV >itb11g12080.t7 pep chromosome:ASM357664v1:11:8950699:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWLVVFGPVFLTLLLLFVSGLPLLEVPVYHYWLLLLYFKRYISQSRS >itb11g12080.t2 pep chromosome:ASM357664v1:11:8950852:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWNQQTRSLGMLLLTSHIKEQQEKQFSAHSFCCLLEYMGICPSGSKHLSFSSFLCTTTIFPRRPKLMR >itb11g12080.t9 pep chromosome:ASM357664v1:11:8950699:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWLVVFGPVFLTLLLLFVSGLPLLEVPVYHYWLLLLYFKRYISQSRS >itb11g12080.t10 pep chromosome:ASM357664v1:11:8950699:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKCVTYHNHAREDRRGEDVNSLLLLSHRNQQTRSLGMLLLTSHIKEQQGDHSYKFIILYLPYN >itb11g12080.t1 pep chromosome:ASM357664v1:11:8950699:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWLVVFGPVFLTLLLLFVSGLPLLEESADKKFGNVAAYLSYKRTTSPLVLLPPGVYGNLPQWFKTSVLFEFPLYNNNLPKKT >itb11g12080.t8 pep chromosome:ASM357664v1:11:8950852:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTVTTLFALYMAMRLFTVIDKCWWVVFLLLQIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWLVVFGPVFLTLLLLFVSGLPLLEESADKKFGNVAAYLSYKRTTR >itb11g12080.t4 pep chromosome:ASM357664v1:11:8950852:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTVTTLFALYMAMRLFTVIDKCWWVVFLLLQIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWLVVFGPVFLTLLLLFVSGLPLLEESADKKFGNVAAYLSYKRTTSPLVLLPPGVYGNLPQWFKTSVLFEFPLYNNNLPKKT >itb11g12080.t3 pep chromosome:ASM357664v1:11:8950699:8954042:-1 gene:itb11g12080 transcript:itb11g12080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWNQQTRSLGMLLLTSHIKEQQAHSFCCLLEYMGICPSGSKHLSFSSFLCTTTIFPRRPKLMR >itb11g12080.t5 pep chromosome:ASM357664v1:11:8950699:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTVTTLFALYMAMRLFTVIDKCWWVVFLLLQIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWNQQTRSLGMLLLTSHIKEQQAHSFCCLLEYMGICPSGSKHLSFSSFLCTTTIFPRRPKLMR >itb11g12080.t6 pep chromosome:ASM357664v1:11:8950852:8954066:-1 gene:itb11g12080 transcript:itb11g12080.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSHFLALTAIVTIAYQLFFFIITAILKFDKLTDFAGTTNFIVLSILTLVLKGSWHFRQVILSMLVVIWGLRLGLFLLMRILQWGEDRRFDGKRDQLGRLAIFWTFQAIWVWTVSLPVTVINASDNQPSLHAGDIIGWIMWSLGILVEITADQQKLKFKSSQENRRKWCSVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAKWLVVFGPVFLTLLLLFVSGLPLLEESADKKFGNVAAYLSYKRTTR >itb05g15850.t1 pep chromosome:ASM357664v1:5:23189033:23189345:-1 gene:itb05g15850 transcript:itb05g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSYSSCSSNEGSEYYEFGERFPIGHCRCGEQLKFRTSWRTENPGRRFWQCPGGNLIDEMSFQFNPEEGGMWIY >itb13g12420.t1 pep chromosome:ASM357664v1:13:18598238:18600990:1 gene:itb13g12420 transcript:itb13g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPAYAKTVGEITKIYKSLPPRPSIEEVEAAISVVKSVDAEEEMRLAEISKQVAPPDTPPELFTVLQELRKAMVVFQCQEQRREAVLLIEVDKRFRGFDQLIRSASEWVSGVDPVEIGGDDFCGGIEIDESVISENGLIRSSSSNALALSSSGDRDKKFSLMKVAEIIENFAKTEQDVLDLQGKLMDKVEWLPSSLGKLCSVVDLNLSDNRIMALPSTINGLVTLTKLDIHSNQLINLPDCIGELINLTDLDLHANWMKSLPASFGNLANLINLDLSSNQFTTLPDVFGNLVHLQQLNLETNELEEVPHSIGSCISLAELRLDFNRLNALPEAVGEFKCLEILTLRYNKVSVLPTTMGNLSSLRELDVCFNEIHSIPEALCSAVCLEKLNVASNFSDLTALPESIGKLENLEELDITNDQIKTLPDSFRFLSKLRIFRADETPLEEPPMEVTKLGAKAVVEYMADYVAKRDMKAEEQKKKNGFWPRICPLFSCFRGD >itb14g16980.t1 pep chromosome:ASM357664v1:14:20334376:20336814:-1 gene:itb14g16980 transcript:itb14g16980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSALCILIIISVVSSLTITGEARVPGVYSGGPWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNDGLSCGACFEIKCANDKSCFSGSPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLSMPMFLTIAQYRAGIVPVVHRRVACKKSGGIRFTINGHRFFNLVLISNVGGAGDIIKVSVKGSNTGWIGMSRNWGQNWETSATLVGQSLSFKVKGSDRRTSTSWNMVPANWQFGQTYVGRNFHV >itb01g03330.t2 pep chromosome:ASM357664v1:1:2204959:2208847:-1 gene:itb01g03330 transcript:itb01g03330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSGRDKIETPEQFKQAEETVKKLDLDGLVVIGGDDSNTNACLLAENFRSKNMKTRVIGCPKTIDGDLKCKEVPVSFGFDTACKIYAEMIGNVMIDARSSGKYYHFVRLMGRAASHITLECALQTHPNVTMIGEEVFAKKQTLKSVTSYIADVVCKRADLGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEAGVWKKKLTPQCLQLFEILPQAIREQLMLERDPHGNVQVAKIETEKMLIQMVETKLDQRKQIGQYNCEFKGQSHFFGYEGRCGMPSNFDATYCYALGYGAGALLQSGKTGLISSVGNLAAPVSEWTVGGTALTAMMDVERRHGKYKPVIKKAMVELDGAPFKKFASMRGEWAIKNRYLSPGPIQFVGPIADKVNHTLLLELGAES >itb01g03330.t1 pep chromosome:ASM357664v1:1:2204959:2210702:-1 gene:itb01g03330 transcript:itb01g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASLFTNGGTASPKSFTSRYASVYSEVQNNRLDHPLPLPSVLKNPFKVVDGPASYAAGHPEEIAKLFPCLFGQPSAALVPDDSGDIAMGQSLKIGVVLSGGQAPGGHNVISGIFDYLQNYCKGSTLYGFRGGPAGIMKCKYVVLTPEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETVKKLDLDGLVVIGGDDSNTNACLLAENFRSKNMKTRVIGCPKTIDGDLKCKEVPVSFGFDTACKIYAEMIGNVMIDARSSGKYYHFVRLMGRAASHITLECALQTHPNVTMIGEEVFAKKQTLKSVTSYIADVVCKRADLGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEAGVWKKKLTPQCLQLFEILPQAIREQLMLERDPHGNVQVAKIETEKMLIQMVETKLDQRKQIGQYNCEFKGQSHFFGYEGRCGMPSNFDATYCYALGYGAGALLQSGKTGLISSVGNLAAPVSEWTVGGTALTAMMDVERRHGKYKPVIKKAMVELDGAPFKKFASMRGEWAIKNRYLSPGPIQFVGPIADKVNHTLLLELGAES >itb10g25610.t1 pep chromosome:ASM357664v1:10:28847639:28848612:1 gene:itb10g25610 transcript:itb10g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYYDPYRRSAASSFLDAFSLNPLPYPVLLILAVIGIFLGLQWYVSYEEVVESAEEGFGWLLLVVPLVLILAVRWLSSMETPEWLFWGSSPWDRRRKMMYFGSSSEGSSPWGVAALIILLLFLLQFQSTFLDMWSL >itb04g19130.t1 pep chromosome:ASM357664v1:4:23261392:23262525:1 gene:itb04g19130 transcript:itb04g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPTPKRHMPQPTLNQDIIPEILKMLPVKSLCKFRCVSKAWRDIISSPEFVKLHLRFQSKKDNKVMTYSSLNWIISYMSLFSITENKQSSFPKFITYDRSVSIPEGGFEFLGSCNGLFCFRAKPHQIIIWNPSSNGNLKTIPDVWQLGCLSIFGFGYDERNDDYKVVYAYNADNSDENVVLVSSFKNGTWKRIERELSSGFVNPIIAVFVSGCLNWCNNNLADSDWNWNLISFNLTTETSKAMALPSHEHGSATCISESRGFLFAGFHHKSQMEVWMMNEYGVEESWTKRVCISNLPIHHPLPRGLENTAYMAIYNSKLAIAHVSENGDILMMVGRQLKLYRPNAKRGKNFTIPYNLGYMVFSYIETLVSPAMIGL >itb11g09730.t1 pep chromosome:ASM357664v1:11:6619870:6620906:-1 gene:itb11g09730 transcript:itb11g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATAVKRRIEIGPWGGHGGSSWDDGSHDGIREISLVYGRCIDSIRAVYDRNGRPFSAEKHGGNGGDKTGEVKLQFPEEYLTSISGYYGPQRGSLVVRSLTFKSNQRTFGPFGLEEGTPFSLPMEGGKIVGFKGRGGWYVDSIGCYIARIQTPNAYQKVQKTLKKLTSTVAGDPNRHRSSKAAAP >itb14g11700.t3 pep chromosome:ASM357664v1:14:13380771:13383387:-1 gene:itb14g11700 transcript:itb14g11700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLIQAVEQLIRNEPSDKMTSDQLAWLYSIMITATVVKLCLWIYCRSSGNDIVKAYAKDHYFDVVTNLVGLLAAVLGDKFYWWIDPTGAIILAIYTITNWSGTVLENAASLVGRSAPPEVLQKLTYLVVRHPQVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKESHTIGESLQIKIEKLPEVERAFVHIDYECDHKPEHSVLSRLPNTEP >itb14g11700.t2 pep chromosome:ASM357664v1:14:13380769:13385768:-1 gene:itb14g11700 transcript:itb14g11700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISNYANILLLALKIYATVRSGSIAIAASTLDSLLDLMAGGILWLTHLSMKSVNIYKYPIGKLRMQPVGIIIFAAIMATLGFQVLIQAVEQLIRNEPSDKMTSDQLAWLYSIMITATVVKLCLWIYCRSSGNDIVKAYAKDHYFDVVTNLVGLLAAVLGDKFYWWIDPTGAIILAIYTITNWSGTVLENAASLVGRSAPPEVLQKLTYLVVRHPQVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKESHTIGESLQIKIEKLPEVERAFVHIDYECDHKPEHSVLSRLPNTEP >itb14g11700.t1 pep chromosome:ASM357664v1:14:13380769:13385768:-1 gene:itb14g11700 transcript:itb14g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADKDVKSPLLDGWKSGGGSGGGRRSQRITRRNSVTLLRSDFLARLPDKVRSYIDPESFSPADLSKSSTLTKGEKEYYERQFATLKSFESVDIVSASNCVDEEDLEEQAQHERAMKISNYANILLLALKIYATVRSGSIAIAASTLDSLLDLMAGGILWLTHLSMKSVNIYKYPIGKLRMQPVGIIIFAAIMATLGFQVLIQAVEQLIRNEPSDKMTSDQLAWLYSIMITATVVKLCLWIYCRSSGNDIVKAYAKDHYFDVVTNLVGLLAAVLGDKFYWWIDPTGAIILAIYTITNWSGTVLENAASLVGRSAPPEVLQKLTYLVVRHPQVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKESHTIGESLQIKIEKLPEVERAFVHIDYECDHKPEHSVLSRLPNTEP >itb15g19310.t1 pep chromosome:ASM357664v1:15:21597215:21599052:-1 gene:itb15g19310 transcript:itb15g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRKCMEAVEKCFRDAKIDKGQIHDVVLVGGPTKDSQSSATSFCRTPPLRSHQEGADLLHLLGRQSAWSAHPEQRGGRSYAAAVVAEIDNDEGRTNRAGTSSIPAPMNQNHQRSSKKCNKAQVPL >itb07g02250.t1 pep chromosome:ASM357664v1:7:1415802:1417318:1 gene:itb07g02250 transcript:itb07g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVRSKEIVRPSSPTPQSLKTYKLSFLDQLALNIKIPDVIFYDSPGAYSHEYINTIDELKKSLSKTLSLMYPLAGRVKEDKVTIECNDEGVEFIVADVADEIMSCLLENPDEMEKIKHLIPNARVYEPQPVGKVLLAVQVNRFSCGGIAIGFFVSHAIADGLVAAIFFETWGSINRGCDMNGNGFVSDQPSILFPPLTDTSVVERSVRMAAEAIEQEDKSKVIKRFVIPADAVAQLREELIYRVSRGSKFVVL >itb10g12120.t1 pep chromosome:ASM357664v1:10:18086711:18087878:1 gene:itb10g12120 transcript:itb10g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLEITIKSAEVFKKHGVCFGKMQLYATAFLVGGDRNSAECRTNVDEYRGSRPFWWIVASFRTHETEVRSNNVALVFEVKCRKSVGPDKCVGTTFVPIKQFFDHCVSQGFQYQDVALGISSKLGKPRVENFEIYVQLASASWGFIYGRDSIEKSSKK >itb01g26540.t1 pep chromosome:ASM357664v1:1:31680760:31690611:1 gene:itb01g26540 transcript:itb01g26540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLRFIGLGFVVAFLSISSLAAAANDDVTRASPNVSASCNNPYKLVKVKTWLDGTEGEEIGGLSAAFGTLLPTKEKDGVKLPATYTNPLNCCSNISEKLSGAMALAIRGECDFVTKAKFAQTGDAGGLVIINDDEALTEMGCPGNEDVQIKFPVVMISKSGGDAIQKSMDAGKKVELLLYAPERPIVDYSVIFLWLMSVGTVFCASIWPKITASKESAGDENDEILRINVKSAIVFVISASTFLVLLYLFMSSWFVWVLIVLFCIGGVEGMHNCIVSLVSSKCKACTRKTLKLPVFGETTIFSFVVCLLCLAFAIFWAANRKASYSWIGQDILGIGLMITVLQMAQLPNIKVATVLLCSAFMYDIFWVFLSPFIFHDSVMIAVAKGNNSGGESIPMLLRVPRITDPWDGYDMIGFGDILFPGLLVSFSFRFDQANQKGVLNGYFPWLMVGYGVGLCFTYLGLYLMNGHGQPALLYLVPCTLGTCITLGLIRGELKKLWNYGSESTEPSGNA >itb01g26540.t2 pep chromosome:ASM357664v1:1:31680760:31690611:1 gene:itb01g26540 transcript:itb01g26540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLRFIGLGFVVAFLSISSLAAAANDDVTRASPNVSASCNNPYKLVKVKTWLDGTEGEEIGGLSAAFGTLLPTKEKDGVKLPATYTNPLNCCSNISEKLSGAMALAIRGECDFVTKAKFAQTGDAGGLVIINDDEALTEMGCPGNEDVQIKFPVVMISKSGGDAIQKSMDAGKKVELLLYAPERPIVDYSVIFLWLMSVGTVFCASIWPKITASKASDDYQLSPKESAGDENDEILRINVKSAIVFVISASTFLVLLYLFMSSWFVWVLIVLFCIGGVEGMHNCIVSLVSSKCKACTRKTLKLPVFGETTIFSFVVCLLCLAFAIFWAANRKASYSWIGQDILGIGLMITVLQMAQLPNIKVATVLLCSAFMYDIFWVFLSPFIFHDSVMIAVAKGNNSGGESIPMLLRVPRITDPWDGYDMIGFGDILFPGLLVSFSFRFDQANQKGVLNGYFPWLMVGYGVGLCFTYLGLYLMNGHGQPALLYLVPCTLGTCITLGLIRGELKKLWNYGSESTEPSGNA >itb05g24620.t2 pep chromosome:ASM357664v1:5:29333470:29338521:-1 gene:itb05g24620 transcript:itb05g24620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQRTLKDDPFLLKFSPEELRIASEFLSNWLPFLSRDLCHSCTQTLSDRVRSIHPAIRDAEHDGSTCLNGCSGDQANWDAHSVGSWKDGADLNDTADTNSLGSWKDGADGSPEPVAEVSMESGPSVNLKDALPQTSVEASTSDTFSTPVSDSRKVKMSWADMAQEDELEAEEETESTGSSRQLGNGDGFAGEGTAEKNFKPKTELSREQREHIRFSNVKRKKDFICLERVNGKIVNILDGLELHTGVFSAAEQIRIVNYVETLQEMGKKGQLKGRTYTAPQKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRDDSVDPIPQLFKVMIKRLVKWHVMPPNCVPDSCIVNIYDEGDCIPPHIDNHDFVRPFCTVSFISECNIVFGSNLSVVGPGEFAGAIAIPLPVGSVLVINGNSADVAKHCVPSVPTKRISITFRRMDESKRPVGFVPEPDLQGLEPLSYEADRSRKSKDVYSRRSMRKQAVRREENMDKLKGSAERSSEPRYSGRNRQRPVNRGRTEG >itb05g24620.t1 pep chromosome:ASM357664v1:5:29333375:29338546:-1 gene:itb05g24620 transcript:itb05g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQRTLKDDPFLLKFSPEELRIASEFLSNWLPFLSRDLCHSCTQTLSDRVRSIHPAIRDAEHDGSTCLNGCSGDQANWDAHSVGSWKDGADLNDTADTNSLGSWKDGADGSPEPVAEVSMESGPSVNLKDALPQTSVEASTSDTFSTPVSDSRKVKMSWADMAQEDELEAEEETESTGSSRQLGNGDGFAGEGTAEKNFKPKTELSREQREHIRFSNVKRKKDFICLERVNGKIVNILDGLELHTGVFSAAEQIRIVNYVETLQEMGKKGQLKGRTYTAPQKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRDDSVDPIPQLFKVMIKRLVKWHVMPPNCVPDSCIVNIYDEGDCIPPHIDNHDFVRPFCTVSFISECNIVFGSNLSVVGPGEFAGAIAIPLPVGSVLVINGNSADVAKHCVPSVPTKRISITFRRMDESKRPVGFVPEPDLQGLEPLSYEADRSRKSKDVYSRRSMRKQAVRREENMDKLKGSAERSSEPRYSGRNRQRPVNRGRTEG >itb14g04770.t1 pep chromosome:ASM357664v1:14:4163734:4164753:1 gene:itb14g04770 transcript:itb14g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIEAIKEELLKIKAEGRKTAHDVLQRSEVVSSQAQMVGKKDEFEIIKKLLTELGSKGKKVVSIIGMGGIGKTTLAGQVYEDSSVAMHFDVRAWVVASQLHDKRQMLIGLINSISKQGNLEKATDEDLALKLYQCLKCQRYMVVVDDVWSGEAWDDVSNCFPDDGNGSRVLLTTRLAEVANYSSSNSDFSHHMQLLDQRDSWNLFCEKAGKFCGAEFEMIGRPIVEKCKGLPLAIIVVAGLFSKLCTLNEWENVAKALDSSTTTTIAATCSKILSLSYNHLPHYLKACFLYLGVFPEDYAINANELTRLWSAEGLVNTSENENFDVVADKHIQEWIET >itb06g09390.t1 pep chromosome:ASM357664v1:6:13683962:13685225:1 gene:itb06g09390 transcript:itb06g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLTDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSVETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLHCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCKDAQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb07g01520.t1 pep chromosome:ASM357664v1:7:919387:924182:1 gene:itb07g01520 transcript:itb07g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPKEDQSAEGPKQSDQNQEDQPTTAPENDPMGGAAAAPKSSSVKPVQIGTVLGRPMEDVRVTYTIGKELGRGQFGVTHLCTHKQTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHTMGVIHRDLKPENFLLLSKDENSPLKATDFGLSVFYKQGDVFKDIVGSAYYIAPEVLRRRYGPEVDIWSVGVMLYILLCGVPPFWAESEHGIFNAILRGHLDFSTEPWPAISPGAKDLVRKMLNSDPKQRLSAAEVLNHAWIKEDGEAPDTPLDNAVLDRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKQMFKGMDTDGSGTITLEELKLGLAKQGTKLSEYEVKQLMEAADADGNGTIDYEEFITATMHLNKMDREEHLYTAFQYFDKDNSGYITIEELEQALREFGMNDEKDIKEIISEVDTDHDGRINYDEFVAMMRKGQQDSETNPKKRRESFIIA >itb05g21130.t1 pep chromosome:ASM357664v1:5:27041983:27043412:1 gene:itb05g21130 transcript:itb05g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFILTAFILFPLAFAANSPPFPSFKRIYQFGDSLADTGNLIRLPRPGGSGYYPPEHLPYGQTFFGKPTGRISDGRLIVDFIAAALNLPFLDAYLNTNGSFAHGVNFAVAGATALDEEFFAERKVNISNFRPPISKQLEWFETHLNSTASRSESLEDSLFIFGEFGGNDYFSFIRQGRPIEEIRANVVPYVVAAIIHGIKRIVHLGAKRIVVPGPSPFGCLPPLLASSSNASDPADYDAFGCLKAFNAFSSYHNRFLKRALFSLNRQLSGEGVAIVYGDYEGAFLEILQKPSSYGFDKEWLLKACCGGGGKYNINPTKPCGTNGTDVCPRPGHAVNWDGVHLTDAAYHLISQILIHQSISKLI >itb07g14670.t1 pep chromosome:ASM357664v1:7:17354290:17354772:-1 gene:itb07g14670 transcript:itb07g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGLAINGLAEDALNAFSRMGETKVEPNHVTLVGVLMACAHSGLVSEGKKYWSSMIESGIEPSLEHYGLMVDLFCRSNLIEDAYSFVESMPIPQVDGFVHEFVMGDWSHPEAEELKGILSEISDRVRGSGHEPWISGVLHYTSHEEKEHASVNTARVWL >itb10g21220.t1 pep chromosome:ASM357664v1:10:26535572:26536681:1 gene:itb10g21220 transcript:itb10g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYGWGNRNRGKMTAENEEFQEEEVWAVNNTERETSPSKVMMRKSSSSWQLPVAPRTTGHERRCRAEQSSAPVSVPDWSKIYCRKNNGSNMKGDNNSEGGEGGDEEDDDDNGVVPPHEYIARRLARTQIASFSMCEGVGRTLKGRDLSKLRNAILTKTGFLEK >itb09g26000.t1 pep chromosome:ASM357664v1:9:26128503:26131346:1 gene:itb09g26000 transcript:itb09g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKHNIMLVLIFLLLICKFEYCYSNYVASCIERERIALLQFKESLIDTSNRLSSWSGLDCCEWEGISCSSTTGHVLKLDLHNPAAYDYDIENYLGGEINHSLINLTHLNYLDLSFNRFSMIQIPEFFGSFKNLRYLNISSSGFVGNIPTHLGNLSSLEYLDLGDGALSICPFCNYLATDNLDWLASLSSLKSLDMSGISIWYSEDWLRTINKLVSLSSLNLADCELNTTSLVSHVNSTSLISLDLSWNSLDYAILPWLFNLTRLEHLNIGDSFFISQNSFTGTLVPLCKLHNLIFMDLSSNNFQCSIPNCLGNLTSLTSLSLSDNSFTGSIPNSMNKLTDFIAVLSDCLLDSLKELKLDSNNFIGQLPNQLYKYKNLQVLSLSSNSFSGPIIESLGNLSMLQILDISNNKFSGSVPSSLGELSNLEELDISKNSFVGVLSEFHFSKLSKLQFLDISSNLFVWNVSSTWVPPFQLSYIAMESIKIGPHFPHWLRTQRNVGSLFMSNASISSAIPDWFDIFFWNNTDLDLSKNQISGELLKPHVESYMDKFYLSLSNNYLSDVIPKWLCSSENLVILALSTNQLYGEIPTCLGKLQNLEVLDLGNNNLSGHIPNSLGSLQSLFSMHLQNIELEGKLPGSMQNLTSLGILDLSENKFMDVIPSWIGEKLLSLRYLIFYRNKFYGDIPLQLCQLDDLQLLNLANNNISGYIPQCFGNFTAMAFDDEQTYISYFISNGKTYRDEIDVVIKGLTLQYTKNLPFLRSIDLSGNYIVGKIPVEIMSLHALENLNVSRNNLSGPIPETIGNLRKIESLDLSRNELSGTIPPSLSSLNFLSHLNLSFNHLYGRIPTGSQLQTLNDPSIYMGNEGLCGDPLSKTCPSDVPSFVNQSIKISNDDDHEFFMWFYVGMGPGFFVGFIGVLSILLFARSWSYAYFKFLEMAYNKVLHYFS >itb14g12790.t1 pep chromosome:ASM357664v1:14:14534197:14534589:-1 gene:itb14g12790 transcript:itb14g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIVKFLLDIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNAGGKVLGFFY >itb13g16900.t1 pep chromosome:ASM357664v1:13:23843612:23849214:1 gene:itb13g16900 transcript:itb13g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G04920) UniProtKB/Swiss-Prot;Acc:Q8RY24] MAGNEWINGYLEAILDSGASAIEVESKAPVVNVGEQGHFNPTKYFVEEVVSGVDETDLHRTWIKVVATRNTMERSSRLENMCWRIWHLTRKKKQLELEGLQRLANRRWEREQGRKDVTEDMSEDLSEGEKGDVLGETITLDSPRKKFQRNSSNSSNLEVWSESIKERKLYIVLISLHGLVRGENMELGRDSDTGGQIKYVVELAKALAKMPGVYRVDLFTRQVSSPEVDWSYGEPAEMLNTGAEDGDGDFLGESSGAYIIRIPFGPRDKYQRKELLWPHIQEFVDGALAHILNMSKTLSEQIGDGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLERVLRARARRGVNCHGRFMPRMAVIPPGMDFSNVVVQEEAAETDELVALTGSDGSSPKAMPTIWSEVMRFLVNPHKPMILALSRPDPKKNLTTLLKAFGECRPLRELANLTLIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGQVAFPKHHKQSEVPEIYHLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPLVATKNGGPVDIIRALNNGLLVDPHDQQAIADALLKLVSEKNLWMECRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPTDELAAEESLNDSLKDVDMSLRLSVDGERTSLYESLDKGAVGYNQEDQVKRVLSKIQKADGDSESPEAERKDNNVPSNYPMLRRRRKLIVIALDCYDNQGQPEKKMLHIIQEVFKAIKIDPQIARLSGFAVSTAMPVSELSEFLKSGNIKVTDFDALICSSGSEVYYPGVNTGADGKPKPDPDYASHIEYRWGSDGLKNAIWKLMNAGEGEGGKSRSSPIEEDVKSSNMYCLSYLIKDLSKAKKVDDMRQKLRMRGLRCHPMYCRNSTRMQVIPLLASRSQALRYLFVRWRLNVTNMYVVLGETGDTDYEELIAGTHKTLIMKGAVEKGSEELLRTSGSYLREDTVPQESSLVARISGDARADEIVNALRQVSRG >itb13g16900.t2 pep chromosome:ASM357664v1:13:23843630:23849214:1 gene:itb13g16900 transcript:itb13g16900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G04920) UniProtKB/Swiss-Prot;Acc:Q8RY24] MAGNEWINGYLEAILDSGASAIEVESKAPVVNVGEQGHFNPTKYFVEEVVSGVDETDLHRTWIKVVATRNTMERSSRLENMCWRIWHLTRKKKQLELEGLQRLANRRWEREQGRKDVTEDMSEDLSEGEKGDVLGETITLDSPRKKFQRNSSNSSNLEVWSESIKERKLYIVLISLHGLVRGENMELGRDSDTGGQIKYVVELAKALAKMPGVYRVDLFTRQVSSPEVDWSYGEPAEMLNTGAEDGDGDFLGESSGAYIIRIPFGPRDKYQRKELLWPHIQEFVDGALAHILNMSKTLSEQIGDGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLERVLRARARRGVNCHGRFMPRMAVIPPGMDFSNVVVQEEAAETDELVALTGSDGSSPKAMPTIWSEVMRFLVNPHKPMILALSRPDPKKNLTTLLKAFGECRPLRELANLTLIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGQVAFPKHHKQSEVPEIYHLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPLVATKNGGPVDIIRALNNGLLVDPHDQQAIADALLKLVSEKNLWMECRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPTDELAAEESLNDSLKDVDMSLRLSVDGERTSLYESLDKGAVGYNQEDQVKRVLSKIQKADGDSESPEAERKDNNVPSNYPMLRRRRKLIVIALDCYDNQGQPEKKMLHIIQEVFKAIKIDPQIARLSGFAVSTAMPVSELSEFLKSGNIKVTDFDALICSSGSEVYYPGVNTGADGKPKPDPDYASHIEYRWGSDGLKNAIWKLMNAGEGEGGKSRSSPIEEDVKSSNMYCLSYLIKDLSKAKKVDDMRQKLRMRGLRCHPMYCRNSTRMQVIPLLASRSQALR >itb02g06670.t5 pep chromosome:ASM357664v1:2:4175788:4179350:1 gene:itb02g06670 transcript:itb02g06670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MSYYLKYQNCFTYLCTENSSHLNDKFNFVLEATRRANTTCLQLFSKELEAYPGDSAASSIISRYQHDQGNLPSSSFDKKFQDLVRQLCAWRDIMARVHDESLRYVLSEHAIVALASKAPTEVRDICNTVSEADANGDHVTPFQSLSPLVCSHLEDFNHLFQDEIGDDDENLLLILQKCLGANGSCPLSIYNYALLSKTSLKVANRSVVKKNGFKTARCVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYVNRNLAKLVEEEPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKKEVAAEFGIPLFVRKVFDSSQMQNTSASNANIEEDGVPPLQLRTAAMALLRHGSRMPSRRREELEEIVRNYYGGREITEGDLEKALLIGMSPHERRRFEKKKKLASKQPTTDVGSDDNQEPSSDAKAEFAPTDELLNRTESCCNKEDTNTVVLTEMDIDNQSLPSNAEVNCEVPVANGKDLTNKSEFSVSDHISDPDSIIAENGNISSESGLVQSNNDVNLKQHPKLSLLGHGPHGKQVVEFILKEHGEEGVSEFCQRWRQVFVEALQPRFLPGGWNVMHSGKRDFGEFSVYKPEKRVTADAEE >itb02g06670.t4 pep chromosome:ASM357664v1:2:4174083:4179350:1 gene:itb02g06670 transcript:itb02g06670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MVLYAQNDAHYLLYIAHCLFTELKQKDSENSSHLNDKFNFVLEATRRANTTCLQLFSKELEAYPGDSAASSIISRYQHDQGNLPSSSFDKKFQDLVRQLCAWRDIMARVHDESLRYVLSEHAIVALASKAPTEVRDICNTVSEADANGDHVTPFQSLSPLVCSHLEDFNHLFQDEIGDDDENLLLILQKCLGANGSCPLSIYNYALLSKTSLKVANRSVVKKNGFKTARCVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYVNRNLAKLVEEEPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKKEVAAEFGIPLFVRKVFDSSQMQNTSASNANIEEDGVPPLQLRTAAMALLRHGSRMPSRRREELEEIVRNYYGGREITEGDLEKALLIGMSPHERRRFEKKKKLASKQPTTDVGSDDNQEPSSDAKAEFAPTDELLNRTESCCNKEDTNTVVLTEMDIDNQSLPSNAEVNCEVPVANGKDLTNKSEFSVSDHISDPDSIIAENGNISSESGLVQSNNDVNLKQHPKLSLLGHGPHGKQVVEFILKEHGEEGVSEFCQRWRQVFVEALQPRFLPGGWNVMHSGKRDFGEFSVYKPEKRVTADAEE >itb02g06670.t2 pep chromosome:ASM357664v1:2:4174083:4179350:1 gene:itb02g06670 transcript:itb02g06670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MFCLNHKGRWHICWRHIVVLSQINNYREKTGGNVLYQQKWCYMLKMMHTICCTLHIVFLQSSSKKIQVAFENFQKLRVQLEVYGILPKEVYIPHPKVPKFFFQQNSSHLNDKFNFVLEATRRANTTCLQLFSKELEAYPGDSAASSIISRYQHDQGNLPSSSFDKKFQDLVRQLCAWRDIMARVHDESLRYVLSEHAIVALASKAPTEVRDICNTVSEADANGDHVTPFQSLSPLVCSHLEDFNHLFQDEIGDDDENLLLILQKCLGANGSCPLSIYNYALLSKTSLKVANRSVVKKNGFKTARCVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYVNRNLAKLVEEEPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKKEVAAEFGIPLFVRKVFDSSQMQNTSASNANIEEDGVPPLQLRTAAMALLRHGSRMPSRRREELEEIVRNYYGGREITEGDLEKALLIGMSPHERRRFEKKKKLASKQPTTDVGSDDNQEPSSDAKAEFAPTDELLNRTESCCNKEDTNTVVLTEMDIDNQSLPSNAEVNCEVPVANGKDLTNKSEFSVSDHISDPDSIIAENGNISSESGLVQSNNDVNLKQHPKLSLLGHGPHGKQVVEFILKEHGEEGVSEFCQRWRQVFVEALQPRFLPGGWNVMHSGKRDFGEFSVYKPEKRVTADAEE >itb02g06670.t1 pep chromosome:ASM357664v1:2:4173055:4179350:1 gene:itb02g06670 transcript:itb02g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MENRDKVKLALKLTIATCITLAVSIFVASKLHNHRKRNRRKTSPSPCYLKAESKPQYTFKRVLADNSYSSFKHLKLNATNTSTSEECVNLHPYKAEISALLKEPNVEVLELFNENLEELTSRDSYVWVETESQLQELAEVLSGERAFAVDTEQHSLRSFLGFTCLIQISTKREDYLVDTIALHDMMGILRPIFANPEICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACDVLSKPQRSLAYLLETYCGVVTNKQLQREDWRQRPLPAEMVLYAQNDAHYLLYIAHCLFTELKQKDSENSSHLNDKFNFVLEATRRANTTCLQLFSKELEAYPGDSAASSIISRYQHDQGNLPSSSFDKKFQDLVRQLCAWRDIMARVHDESLRYVLSEHAIVALASKAPTEVRDICNTVSEADANGDHVTPFQSLSPLVCSHLEDFNHLFQDEIGDDDENLLLILQKCLGANGSCPLSIYNYALLSKTSLKVANRSVVKKNGFKTARCVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYVNRNLAKLVEEEPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKKEVAAEFGIPLFVRKVFDSSQMQNTSASNANIEEDGVPPLQLRTAAMALLRHGSRMPSRRREELEEIVRNYYGGREITEGDLEKALLIGMSPHERRRFEKKKKLASKQPTTDVGSDDNQEPSSDAKAEFAPTDELLNRTESCCNKEDTNTVVLTEMDIDNQSLPSNAEVNCEVPVANGKDLTNKSEFSVSDHISDPDSIIAENGNISSESGLVQSNNDVNLKQHPKLSLLGHGPHGKQVVEFILKEHGEEGVSEFCQRWRQVFVEALQPRFLPGGWNVMHSGKRDFGEFSVYKPEKRVTADAEE >itb02g06670.t3 pep chromosome:ASM357664v1:2:4174083:4179350:1 gene:itb02g06670 transcript:itb02g06670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MFCLNHKGRWHICWRHIVVLSQINNYRLLLSEGFIIDLNCREKTGGNVLYQQKWCYMLKMMHTICCTLHIVFLQSSSKKIQVAFENFQKLRVQLEVYGILPKEVYIPHPKVPKFFFQQNSSHLNDKFNFVLEATRRANTTCLQLFSKELEAYPGDSAASSIISRYQHDQGNLPSSSFDKKFQDLVRQLCAWRDIMARVHDESLRYVLSEHAIVALASKAPTEVRDICNTVSEADANGDHVTPFQSLSPLVCSHLEDFNHLFQDEIGDDDENLLLILQKCLGANGSCPLSIYNYALLSKTSLKVANRSVVKKNGFKTARCVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYVNRNLAKLVEEEPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKYKKEVAAEFGIPLFVRKVFDSSQMQNTSASNANIEEDGVPPLQLRTAAMALLRHGSRMPSRRREELEEIVRNYYGGREITEGDLEKALLIGMSPHERRRFEKKKKLASKQPTTDVGSDDNQEPSSDAKAEFAPTDELLNRTESCCNKEDTNTVVLTEMDIDNQSLPSNAEVNCEVPVANGKDLTNKSEFSVSDHISDPDSIIAENGNISSESGLVQSNNDVNLKQHPKLSLLGHGPHGKQVVEFILKEHGEEGVSEFCQRWRQVFVEALQPRFLPGGWNVMHSGKRDFGEFSVYKPEKRVTADAEE >itb02g23800.t1 pep chromosome:ASM357664v1:2:24070896:24072963:-1 gene:itb02g23800 transcript:itb02g23800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSAQPQFMTSSANRSLSNEPLIDNIDTTRLSYLISDRSAGWSSVQIWVTMDHTIGLVCCSSNPISCSKPRSRHSFCICLIGKHLAEHCRKEYPRVPNFILWILAEVAIVACDIPE >itb02g23800.t2 pep chromosome:ASM357664v1:2:24070896:24072963:-1 gene:itb02g23800 transcript:itb02g23800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSAQPQFMTSSANRSLSNEPLIDNIDTTRLSYLISDRSAGWSSVQIWVTMDHTIGLVCCSSNPISCSKPRSRHSFCICLIGLFIWKHLAEHCRKEYPRVPNFILWILAEVAIVACDIPE >itb14g18610.t1 pep chromosome:ASM357664v1:14:21564107:21565358:-1 gene:itb14g18610 transcript:itb14g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHQLPLKRAAVKTRFARTFLRALHNLNADGAGASPGSAAQARRRRSRKIKLAAYASMASAVGPRRAWSRAVLWRIRSRASLRRIPPAQRSSRSRRKPKPPPSRTRRSRNDVRGGRLDSDKAKELRKLVPGGKAMDLCCLLDETAHYIKCLSSQVEIMRNIADLFST >itb06g21590.t1 pep chromosome:ASM357664v1:6:24197857:24199458:-1 gene:itb06g21590 transcript:itb06g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANEFFKRGEKHLLCEIHRRKTAQPQVAVSHHHHHHAMNEPSFFSAAYNPARLSVSPPDSDEQLTPNHNNAWCDSPSFASSTVISAANSGGGGASYNTGSVAALSEDNVRLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVTPSNSYPSPLTHQKPLSQLVTYPHPHLPTKHQPCNNAILNLSSPTKTSQSSVTLVEETPAPAADLCRTKLFGFPLQSKKRLHPDQAAAAGHKARVLVLEKDDLALHLMPPSC >itb06g16450.t3 pep chromosome:ASM357664v1:6:20632825:20636524:-1 gene:itb06g16450 transcript:itb06g16450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLGLVRPAPNSLLQITRSSRRFSISGNSRGGSILISRNGYSPLRHILRASLNSSSVSSSTLVERSEGQSEIIFLGTGTSEGIPRVSCLTNPVKTCPVCSKAIEPGNKNRRLNTSILIRHPGPSGNSNILIDAGKFFYHSALRWFPAYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSVPIYVAERDFEVMKKTHYYLVDTSGVTPGAAVSELQFNIIKEDPFIVHDLKIIPLPVWHGAGYRSLGFRFGNICYISDVSEIPEETYPLLEDCELLILDALRPDRSSSTHFGLPRVTPSSSCLFVCSF >itb06g16450.t5 pep chromosome:ASM357664v1:6:20632157:20636534:-1 gene:itb06g16450 transcript:itb06g16450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLGLVRPAPNSLLQITRSSRRFSISGNSRGGSILISRNGYSPLRHILRASLNSSSVSSSTLVERSEGQSEIIFLGTGTSEGIPRVSCLTNPVKTCPVCSKAIEPGNKNRRLNTSILIRHPGPSGNSNILIDAGKFFYHSALRWFPAYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSVPIYVAERDFEVMKKTHYYLVDTSGVTPGAAVSELQFNIIKEDPFIVHDLKIIPLPVWHGAGYRSLGFRFGNICYIRF >itb06g16450.t1 pep chromosome:ASM357664v1:6:20632157:20636534:-1 gene:itb06g16450 transcript:itb06g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKDAKPTFSCKYVVCSKAIEPGNKNRRLNTSILIRHPGPSGNSNILIDAGKFFYHSALRWFPAYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSVPIYVAERDFEVMKKTHYYLVDTSGVTPGAAVSELQFNIIKEDPFIVHDLKIIPLPVWHGAGYRSLGFRFGNICYISDVSEIPEETYPLLEDCELLILDALRPDRSSSTHFGLPRALEEVRKIRPRRTLFTGMMHLMDHETINEGLLKLKETEGLDVQLSYDGLRVPVRLYSLSQA >itb06g16450.t4 pep chromosome:ASM357664v1:6:20633348:20636534:-1 gene:itb06g16450 transcript:itb06g16450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLGLVRPAPNSLLQITRSSRRFSISGNSRGGSILISRNGYSPLRHILRASLNSSSVSSSTLVERSEGQSEIIFLGTGTSEGIPRVSCLTNPVKTCPVCSKAIEPGNKNRRLNTSILIRHPGPSGNSNILIDAGKFFYHSALRWFPAYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSVPIYVAERDFEVMKKTHYYLVDTSGVTPGAAVSELQFNIIKEDPFIVHDLKVSFLFFCYYQPFAFLKFIEFHHF >itb06g16450.t2 pep chromosome:ASM357664v1:6:20632157:20636534:-1 gene:itb06g16450 transcript:itb06g16450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLGLVRPAPNSLLQITRSSRRFSISGNSRGGSILISRNGYSPLRHILRASLNSSSVSSSTLVERSEGQSEIIFLGTGTSEGIPRVSCLTNPVKTCPVCSKAIEPGNKNRRLNTSILIRHPGPSGNSNILIDAGKFFYHSALRWFPAYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPSVPIYVAERDFEVMKKTHYYLVDTSGVTPGAAVSELQFNIIKEDPFIVHDLKIIPLPVWHGAGYRSLGFRFGNICYISDVSEIPEETYPLLEDCELLILDALRPDRSSSTHFGLPRALEEVRKIRPRRTLFTGMMHLMDHETINEGLLKLKETEGLDVQLSYDGLRVPVRLYSLSQA >itb02g17490.t3 pep chromosome:ASM357664v1:2:13532223:13536690:-1 gene:itb02g17490 transcript:itb02g17490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEFQTIHHSCFPRASPQLGGLFRNVQNRRHASFLVRSEQVPVALTSSSPDQPQRRQLLALGAIICPLLISMQTKTSFAAETKKGFLPVTDKKDGYSFVYPFGWQEVVVEGQDKVFKDVIEPLESVSVNVFPTTKQDIRDFGSAQEVAETLITKVLASPSQKTKLIGVKERDEEGKAYYTFEFVAQAPNFTRHALSTVCIGNGKFYTLTTGANERRWEKMKERLNTVVDSFQIFNV >itb02g17490.t4 pep chromosome:ASM357664v1:2:13532223:13536372:-1 gene:itb02g17490 transcript:itb02g17490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEFQTIHHSCFPRASPQLGGLFRNVQNRRHASFLVRSEQVPVALTSSSPDQPQRRQLLALGAIICPLLISMQTKTSFAAETKKGFLPVTDKKDGYSFVYPFGWQEVVVEGQDKVFKDVIEPLESVSVNVFPTTKQDIRDFGSAQEVAETLITKVLASPSQKTKLIGVKERDEEGKAYYTFEFVAQAPNFTRHALSTVCIGNGKFYTLTTGANERRWEKMKERLNTVVDSFQIFNV >itb02g17490.t2 pep chromosome:ASM357664v1:2:13532223:13536786:-1 gene:itb02g17490 transcript:itb02g17490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEFQTIHHSCFPRASPQLGGLFRNVQNRRHASFLVRSEQVPVALTSSSPDQPQRRQLLALGAIICPLLISMQTKTSFAAETKKGFLPVTDKKDGYSFVYPFGWQEVVVEGQDKVFKDVIEPLESVSVNVFPTTKQDIRDFGSAQEVAETLITKVLASPSQKTKLIGVKERDEEGKAYYTFEFVAQAPNFTRHALSTVCIGNGKFYTLTTGANERRWEKMKERLNTVVDSFQIFNV >itb02g17490.t1 pep chromosome:ASM357664v1:2:13532223:13536786:-1 gene:itb02g17490 transcript:itb02g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEFQTIHHSCFPRASPQLGGLFRNVQNRRHASFLVRSEQVPVALTSSSPDQPQRRQLLALGAIICPLLISMQTKTSFAAETKKGFLPVTDKKDGYSFVYPFGWQEVVVEGQDKVFKDVIEPLESVSVNVFPTTKQDIRDFGSAQEVAETLITKVLASPSQKTKLIGVKERDEEGKAYYTFEFVAQAPNFTRHALSTVCIGNGKFYTLTTGANERRWEKMKERLNTVVDSFQIFNV >itb02g17490.t5 pep chromosome:ASM357664v1:2:13532223:13536786:-1 gene:itb02g17490 transcript:itb02g17490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEFQTIHHSCFPRASPQVLLLFLCLFAFASLSHLSRLCMFRVIEMHQLGGLFRNVQNRRHASFLVRSEQVPVALTSSSPDQPQRRQLLALGAIICPLLISMQTKTSFAAETKKGFLPVTDKKDGYSFVYPFGWQEVVVEGQDKVFKDVIEPLESVSVNVFPTTKQDIRDFGSAQEVAETLITKVLASPSQKTKLIGVKERDEEGKAYYTFEFVAQAPNFTRHALSTVCIGNGKFYTLTTGANERRWEKMKERLNTVVDSFQIFNV >itb04g22410.t4 pep chromosome:ASM357664v1:4:27573314:27579228:1 gene:itb04g22410 transcript:itb04g22410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METNQVTKTGHLDKFIVGSVPTVIYIPNFIGDTEEGQIVKNIYGAPVSKWKSLKNRRLQNWGGVVHEKGLIAQDLPPWLTRITQRIQEETGLFPSAINHPHQDGPAYYPVVAILSLGSPVVMDFTLHPNLRSSTESSTNKIDDRVHEEGGTETNGGERLDDCHSFSILLMPRSLLIFKDKAYAEYLHGIKDSEMQRCDRAVNVKDGGLVGPLSDSGQVVDGKGGVDDSVIHRTSTRLSLTCRVVTKVHKNLFRF >itb04g22410.t2 pep chromosome:ASM357664v1:4:27573314:27579198:1 gene:itb04g22410 transcript:itb04g22410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METNQVTKTGHLDKFIVGSVPTVIYIPNFIGDTEEGQIVKNIYGAPVSKWKSLKNRRLQNWGGVVHEKGLIAQDLPPWLTRITQRIQEETGLFPSAINHVLINEYLPDQGIMPHQDGPAYYPVVAILSLGSPVVMDFTLHPNLRSSTESSTNKIDDRVHEEGGTETNGGERLDDCHSFSILLMPRSLLIFKDKAYAEYLHGIKDSEMQRCDRAVNVKDGGLVGPLSDSGQVVDGKGGVDDSVIHRTSTRLSLTCRVVTKVHKNLFRF >itb04g22410.t3 pep chromosome:ASM357664v1:4:27574002:27579228:1 gene:itb04g22410 transcript:itb04g22410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METNQVTKTGHLDKFIVGSVPTVIYIPNFIGDTEEGQIVKNIYGAPVSKWKSLKNRRLQNWGGVVHEKGLIAQDLPPWLTRITQRIQEETGLFPSAINHVLINEYLPDQGIMPHQDGPAYYPVVAILSLGSPVVMDFTLHPNLRSSTESSTNKIDDRVHEEGGTETNGGERLDDCHSFSILLMPRSLLIFKDKAYAEYLHGIKDSEMQRCDRAVNVKDGGLVGPLSDSGQVVDGKGGVDDSVIHRTSTRLSLTCRVVTKVHKNLFRF >itb04g22410.t1 pep chromosome:ASM357664v1:4:27573314:27579228:1 gene:itb04g22410 transcript:itb04g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQVTKTGHLDKFIVGSVPTVIYIPNFIGDTEEGQIVKNIYGAPVSKWKSLKNRRLQNWGGVVHEKGLIAQDLPPWLTRITQRIQEETGLFPSAINHVLINEYLPDQGIMPHQDGPAYYPVVAILSLGSPVVMDFTLHPNLRSSTESSTNKIDDRVHEEGGTETNGGERLDDCHSFSILLMPRSLLIFKDKAYAEYLHGIKDSEMQRCDRAVNVKDGGLVGPLSDSGQVVDGKGGVDDSVIHRTSTRLSLTCRVVTKVHKNLFRF >itb03g01510.t1 pep chromosome:ASM357664v1:3:850971:853038:1 gene:itb03g01510 transcript:itb03g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSKDPAIKLFGKTIQLPEIPASTAPATEGSCPDDAPGDDSSARHGSGSGNDPAEDGGGGEEDEHLQKNQNGGKLDESKEDESLMAEELTDQTNEMETDELKTASNNKDCGAMKKSEVEEQGETGNSQEKALKKPDKILPCPRCNSMETKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSGSHYRHVTISEPFPSARVDLPNGIQLPTINPNGTLLTFGSDTPLCESMASVLNIAEKTMQNGSINGFHKLEELGVPVPHGAGDNGDDRSSGSSVTAASTKDESVKNEVPGRQNCPNFAPQLPCFPGAPWPYPLTAVQWSSAIPPPGYCPPGFPMPVYPAPAYWGCAVPGSWSVPWVSPPIAPQHHMPSTSGPNSPTLGKHSRDENMLKPVNSEGEDPKKESNPEKCLWVPKTLRIDDPEDAAKSSIWATLGIKNDKADSAGSGLFKAFQSKDDEKTDASENSTVLQANPAALSRSLNFHENS >itb12g26500.t1 pep chromosome:ASM357664v1:12:27378603:27380361:1 gene:itb12g26500 transcript:itb12g26500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAWEEDERENFNVAGPLHLTAVDWSNFRHRSSVAASLVEGTYILEMDWQKRRHGGPRAKAPLWWEFFGFKLIQVLVDREDQSIFGAVYELKFSSQTGKSPKQVIAFRGTLMKCKSWSQDIRLDRHIVQNTLHNSNRVHDGLQAVQTAVSKVGAKNVWLTGHSLGSAIALVVGRNMVLKMGYHLETYLFNPPFASLPIQLIKNPKLREGIHFVRTVVKAGVAVAAMSSSAHNNKIVEDDEEFSVLFPWIPYLFINPSDPICAGYLEYFQQWEKMAGAGEIARFAARNSIKSMVWNAMGKDSKPSHLIPSAYVTMNLKRSPDLETAHKLSQWWRPDSKLDYKLYRLDNV >itb12g03040.t1 pep chromosome:ASM357664v1:12:2015830:2018097:-1 gene:itb12g03040 transcript:itb12g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METICQSTLLFLIIFSLVPLKPLEACHPVDKEALLDFKHRATSDPSQLLKTWNATTDCCTSWEGIACDPAGRVVNVSRPGLASGDDFILDTWITGTLPPSLGNLSSLHLLDLSNLKDLSGQLPLELGHLSHLTHLFLDSNKISGSIPDTFGNLKRLTKLYLSDNNLSGTIPLTTFKSFTSLSELGLSGNQLSGEIPDSIGNMVSLVKLDLHGNSFSSSIPESIGRIKKLEYLDLSENQLSGRIPSSIGNLSGLVLLYLNKNRLSGSIPSSISGLRSLQFCRVSENELTGAIPPSIGSLPKIQRLIFENNKLRGKLPATIGHLSTLTDLYFSNNNFTGKIPLSFGNLHSLMTLDLSRNQLRGEIPPQLAKLQILQDLDLSFNPLLELQTIPDWFSQLKLFRLKLAKTGIKGNLPNWLSSSSISTLDLSSNELTGKLPPWIGNMTNLSFLNLSNNAFSSTIPEEFTNLALLMDLDFHSNNLSGDINIIFKKNSQDPLGHYNSIDLSGNKFSGPIIDNIGDQEVMESISSLTLSHNPLGGHIPKSLGKLSELQELRLSGNGLSGKIPAEIGNAKKMNTILLSDNKLSGAIPTEVMNLKGLQEFDVSRNRLSGKIPPHKANIPKAAFLGNSGLCGSPLPPCKLSWHLA >itb08g08120.t1 pep chromosome:ASM357664v1:8:6972898:6977080:-1 gene:itb08g08120 transcript:itb08g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGRSIVCIMMIVVAFLFLGSSDLAAAHMGEQALSKIAIHRVSLALSDSSSIKASPLVLGLKGEDTEWVTVNLEHPKPSEDDWVGVFSPAKFNGSTCPGDGPKQQSPTICTSPIKYNYASFDSGYKKTGKASLKFQLINQRADFSFALFTGGLSNPKLVAISNSISFVNPKAPVYPRLALGKSWNEMTVTWTSGYNINEAVPVVEWGLKGHPRRNSPAGTLTFDQNSMCGSPARTVGWRDPGFIHTSFLKDLWPNMVYTYRVGHYLQNGSYVWSKQYSFKSSPYQGQNSLQQIIVFGDMGKGERDGSNEYSNYQPGSLNTTDRLIEDLNNIDVVFHIGDTTYANGYISQWDQFTAQVEPIASTVPYMTASGNHERDWPGSGSFYDGMDSGGECGVLAETMFYVPAENRAKFWYAADYGMFRFCIADSEHDWREGTEQYKFIEQCFASVDRRNQPWLIFAAHRVLGYSSDKYYALEGSFDEPMGRESLEKLWQKYKVDIGFYGHVHNYERTCPIYQNRCVNSEKSHYSGTVNGTIHVVAGGAGAHLSEFTTVNTSWSLFKDYDWGFVKLTAFNHSSLLFEYKKSKDGKVYDSFTISRDYRDVLACVHDGCEPTTAA >itb15g00600.t1 pep chromosome:ASM357664v1:15:351288:357198:-1 gene:itb15g00600 transcript:itb15g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFAELKEAVEKVKLVDAHAHNIVSLHSKLPFLSCFSEATGDALSSALHTINFKRSIREIAELYGSNISLHAIQEYRRCHKLEESTALCFKAAGISVLLIDDGLDLDMKNEVEWHKNFVPIVGRILRIEHLVEKILDEGRSEKKTWTLDSFMEVFMGRLKSLADKVVAFKSIAAYRSGLEFNTEVTAKEAEEGLKAVLHAGGPARITNKNFIDYIFVHALEVAQSFDLPIQIHTGFGDKDLDLRLSNPLHLRNLLEDKRFSKCRIVLLHASYPFSKEASYLASVYSQVYLDFGLAVPKLSFHGMVSSIKELLELAPMNKVMFSTDGVAFPEAFYLGAKKAREVVFTVLRDACMDGDLSITEAIAAVRDIFSENAKQFYKINIAVESLNSKTDQSPSHAKVDSNSETQDVTLVRILWIDASGQHRCRAVTQKRFNDYIQKNGVGLTCASMGMSSFSDGPADGSNLSAVGEIRLIPDLSTKCKLPWAKQQEMVLSDMCIAPGKAWEYCPREALRRVSRVLKDEFDLVMNAGFENEFFLFKSVVREGKEEWLPFDYTMYCSTASFDAASSVLEEIVASLQSLNITVEQLHAESGNGQFEFALGYTECASAADTLIFAREVIRAVARKHGLLATFVPKYALDDIGSGSHVHLSLSKNGENVFMDHGGSARHGMSKVGEAFMAGVLEHLPSIMAFTAPVPNSYDRIQPNTWSGAYQCWGRQNREAPLRTASSPGVPNGFTNFEVKVFDGCANPYLGLASIIAAGIEGLRRCLTLPEPVDENPENLKASIRRLPKSLAESVEALERNTTFKGLINEKLLVAIQAVRKAEIKYYSENNEAYKELIHKY >itb07g03150.t1 pep chromosome:ASM357664v1:7:2139167:2147296:1 gene:itb07g03150 transcript:itb07g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRFPLFFSWLLLLCVSLIGGSEASLPSRDLLGISPQDETYYKGLSSGAAIKCKNGSNKFTPAQLNDDFCDCPDGSDEPGTSACPNGKFYCRNAGHMPLLIYSSMVNDGICDCCDGSDEYGGKTKCPNMCWEAGKVARDKLKKRIAIFQEGVIIRKKEIEQAKLAILKEEAELAKLTKEEKILKDIVEQLKEHNEQIEEAQEKERMQREMEKEKKEVDEAKLEVTKTGENYLEEAENAKDGIHNKIPSEDAPLENVVEDHSSKVDKVDKSDISIMDETPGDDVGRVIEDSPKHAMIEEGSSASENKADLRSSEVKDATENTESLSKEELGRVVGSRWTGKKAEQETGEAKANKDDHGDHDEEYNMYDSEADTEGQMDEEDHDSSSSSLLSDDDTDDHGDDFEGEDHDSSSSSDDELDLSDITGTSNPPWLEKIKRNAQKIFKTFNLFQTPVDISEAARVHKEYNGSTAKLTKIQSRISKLTRKLKRDFGPEKEFYSFHGQCFEIQQNKYTYKICPFKKATQVEEYSTTHLGNWDKFEDSYRIMQFSNGDNCWNGPDRSLKVKLRCGLKNEVNSVDEPSRCEYLAVLSTPALCSEEKLKEFEDKLKMLDRELPQGTQRHDEL >itb11g18200.t1 pep chromosome:ASM357664v1:11:18398497:18401911:1 gene:itb11g18200 transcript:itb11g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MALRVLSSSSSSSSCSSQPIFLSQRSAISAIPSAIAIPNGGNKAILLKSSIWGLPSRGRVKGTKRIFKNGSKVACFAAEASAVPQALLFDCDGVLVDTEKDGHRISFNDTFAEKELGVTWDVDLYGELLKIGGGKERMTAYFNKVGWPENAPKSDDERKEFIKSLHKRKTDLFMVLIEKKLLPLRPGVAKLIDQALGKGVKVAVCSTSNEKAVSAIVSCLLGPERAEKIQIFAGDVVPRKKPDPAIYLLAADTLSVDPSRCVVVEDSAIGLAAAKAAGMKCIVTKSGYTADEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKQYVS >itb14g14550.t1 pep chromosome:ASM357664v1:14:17267414:17267959:-1 gene:itb14g14550 transcript:itb14g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLFWSAFCYKIGILTAVASGLRASPLARRSIPHSPPRLASRPPQHSALATAPRLSVSWLRLYPLRASSVVCASGSCPSIAHDSMAHPPQCLTKRRRQSTLNSRRLPPALRAPQTKKQTVRHERRESR >itb12g15770.t1 pep chromosome:ASM357664v1:12:16229695:16231247:1 gene:itb12g15770 transcript:itb12g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLSTALVIAMVAAATMLHGSSAQTTHVVGDTTGWTIPANGAASTYSTWASRNTFTVGDILVFRFTTGVHDVTEVSRAGFDGCNATNPISQNTNGPANITLRTAGQHYYICSIPGHCAIGQKLAINVSAAASTAPAPQPSVASPPRATPAPVPSQAPASTPAATPSPSPSPSGGAAAQTYTVGDSLGWTVPTTAGSNPYQTWVRNKDFKVGDTLVFNFPTGAHNVAEVSKSAFDSCSGSSPISTESTGPARIALATPGQHFYICTFPGHCSGGMKLAVNVTGTASPPSSGPAPTTPPSSSTDTPPSVPSADSPPPPSTAAPSIAIAALPLTFLSVALAFLY >itb10g14600.t1 pep chromosome:ASM357664v1:10:20956472:20958561:1 gene:itb10g14600 transcript:itb10g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEERELLLMVDGDGDDEPGTKIEVKGLTRVSDKGLTLLNQISLDIPRGLIMGIIGPSGSGKSTFLRALNRLWEPANGAVFLDGKDICDLDVLTLRCKVGMLFQLPALFEGTVADNIRYGPLLRGKKLSDDQVLRLLSLADLDSSFCNKPGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVRLKKEKRMTIAMVSHSIKQIQRIADVVCLLVEGEIVEVLKPDQLSQAKHPMAQRFLQLSS >itb14g19030.t1 pep chromosome:ASM357664v1:14:21823369:21827113:1 gene:itb14g19030 transcript:itb14g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVAFSAYNVVGANRDCHIQALPIRSRRGAAASCAPPRTGPALSVATEEPKSQLRVAYEPTSLADRFRLGSLAEDGFSYREKFIIRCYEVGINKTATVETIANLLQEVGCSHAQSVGFSTDGFATTHTMRKLHLIWVTACMHIEIYRYPAWSDVVEIETWCQTEGRIGTRRDWILKDFATGEVIGRATSKWVMMNQDTRRLQKVTDDVRDECLIYFPKEPRLAFPEENNGSVKKIAKLEDPAEFSRLGMVPRRADLDMNQHVNNVTYIGWVLESIPQEIIDTHELQTITLDYRRECQHDDVVDSLASLEPTDDAAGSELQGTNGSFSAPKKRFLHLLRLSSDGLEVNRGRTEWRKKPAKR >itb14g18490.t1 pep chromosome:ASM357664v1:14:21489258:21492985:-1 gene:itb14g18490 transcript:itb14g18490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSFPTPMKVKKRAFICSCWSSVFLLSAGFFIATAAFILTDYRQRFLGWQLIEAVRTSEPTCQDECKPVGTETLPRGIVSKTSDLEMRPLWGSAKQKPKSPMSLLALAVGIKQKKNVDEIVKKFPLSDFMIMLFHYDGLVDEWKDLKWSSSAIHVSAPHQTKWWFAKRFLHPDIVADYAYIFLWDEDLGVQHFNVARYLSIVKEEGLQISQPAIDAEKSEVHHKLTARENGSKVHRREININGPGRRCFPDSQGPPCTGWVEMMAPVFSSASWRCVWHIIQNDLVHGWGVDFQVGYCAQGNRTANVGVVDTEYLVHYALPTLGGSSNETSNEAKGQGSSKESSSHQSDGRNAVRKQSYAELEIFKNRWSKAVREDKCWVDPF >itb01g10510.t1 pep chromosome:ASM357664v1:1:9107460:9110437:1 gene:itb01g10510 transcript:itb01g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSASDSSLSSSHREYRRRRRHRDRDVLKVRKEKRSHIKRRRNRRRSSSSSSSDSGRHSSSSSSEDYSSSDTEHEAGERHKKHKHRDRSSKVKKEKDRGKSHKSKRRKHKAKEKQEVERHSSPVQLSKFLGRDKDDGVRRSAVSGKKILLKLEKTKEDKEAENKRNELLKFLNASYD >itb01g05860.t1 pep chromosome:ASM357664v1:1:4078128:4081407:-1 gene:itb01g05860 transcript:itb01g05860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCDWGGSSRGLRAFIESHLPAFKEVNPHLEVVTELNRGQHPFLKGLYKNKNERAVSVKNLDPEEILLQATRLRNSLGRKVVKMKTRHVTKHPSVQGTWSTELKM >itb01g31700.t1 pep chromosome:ASM357664v1:1:35346918:35348570:1 gene:itb01g31700 transcript:itb01g31700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPYYSPPPPSPPPPPPKVPCNTPPPPPPKPPCKSPPPPHTGYAVSPPPPPTGHVMPPPQTPSPSPPPPHRRRHRHHHHHHRKPKPTPGPGTPPKPCPPPSTPLHPVVSPPKPPTYTTPPPLPSAPTPPPPPKNSHPPPSNYCPPPTSHPRPPSQPGTPYKPPQAPSPTPSPPRAETPTSPPSQPGTPHKPPQAPSPTPSPPGTETPTSPPSKNYQPPVGAPPSQPGTPNKPPQGPSPAASPPNKSTMPPTPTPPGGNHGPASPPTKPPRGSRTPAPSPHYPKPTSPSPSPSPLPYTFPPSTSFPPYATPPYPFDSFPPSPFSSVPPSPFSSIPPSSGTIVSPPPSGGGGGHHTVVIAVCASLGGLFFLAFLALGLFCVAKKKKKPIVVPPAAPPCEEETPPPPPPPPHHGPHHGEIHSSQC >itb01g16420.t1 pep chromosome:ASM357664v1:1:20748216:20752107:1 gene:itb01g16420 transcript:itb01g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t8 pep chromosome:ASM357664v1:1:20748335:20752096:1 gene:itb01g16420 transcript:itb01g16420.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t11 pep chromosome:ASM357664v1:1:20748246:20752107:1 gene:itb01g16420 transcript:itb01g16420.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGMGVVTTSASMPYGAPSFQANQMTGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t4 pep chromosome:ASM357664v1:1:20748222:20752096:1 gene:itb01g16420 transcript:itb01g16420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t12 pep chromosome:ASM357664v1:1:20749243:20752107:1 gene:itb01g16420 transcript:itb01g16420.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t5 pep chromosome:ASM357664v1:1:20748222:20752096:1 gene:itb01g16420 transcript:itb01g16420.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t6 pep chromosome:ASM357664v1:1:20748216:20752096:1 gene:itb01g16420 transcript:itb01g16420.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t9 pep chromosome:ASM357664v1:1:20748246:20752096:1 gene:itb01g16420 transcript:itb01g16420.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGMGVVTTSASMPYGAPSFQANQMTGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t10 pep chromosome:ASM357664v1:1:20748216:20752096:1 gene:itb01g16420 transcript:itb01g16420.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGMGVVTTSASMPYGAPSFQANQMTGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t7 pep chromosome:ASM357664v1:1:20748246:20752107:1 gene:itb01g16420 transcript:itb01g16420.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t3 pep chromosome:ASM357664v1:1:20748216:20752096:1 gene:itb01g16420 transcript:itb01g16420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb01g16420.t2 pep chromosome:ASM357664v1:1:20748216:20752107:1 gene:itb01g16420 transcript:itb01g16420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPPGMGVVTTSASMPYGAPSFQANQMTGPPLATSVGPIQSPQNVGLPASSSQMAPHQLAYQHIHQQQQQQLQQQLQGFWANQYQEIEQVSDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTLPVGGPAELPYYYMQAQSAPQVGTSGMYMGKPVDQAPYGQQPRPYMAQPMWPQQQQQPPSDS >itb03g19070.t1 pep chromosome:ASM357664v1:3:17216076:17218925:-1 gene:itb03g19070 transcript:itb03g19070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGFAVQPKLKTVNGDDGYVLEDVPHLSDYVPNLPTYPNPLQENPSYSVVKYSVIVHKDSPRGTHFRRAGPHQKVYFKSEEVNACIVTCGGLCPGLNTVIREIVCGLHHMYGVKKVMGIDGGYKGFYSKNLVHLTPKVVNDIHKRGGTILGTSRGGHNTKNIVDCIQDRGINQVYIIGGDGTQRGASVIFEDIKRRGLQVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAISAAHVEATSTENGIGLVKLMGCYSGFIAMYATLASRDVDCCLIPESSFYLEGRGGLFEYIEKTLKEHEHMVIVVAEGAGQELLSENLLLSSANQKDASGNKVLEDVGLWLSQKIKDHFSKQKKMPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGSVNGRHAYIPYNRITEGQNHVVITDRMWARLLCSTNQPSFLRTRDVIELKQEEELPKAATFCL >itb04g21180.t1 pep chromosome:ASM357664v1:4:26272068:26273693:1 gene:itb04g21180 transcript:itb04g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSATSIFLSLSSLLLLFSSNSRAESPDITHEHFRRCLIRISQNSAFSDDVYTPDNPSFLPILQFSIHNRRFNTTHTPRPRIIVTPRQESEVPAVVYCAKEHGVQVRVRGGGHDYEGLSYTTDYGNPFLVLDLANLRNITVDAEAKTAWVGAGSTIGQVYYSVSEKSKTLGFPAGVCPTVGVGGHFSGGGYGVLLRKYGLSADNIIDARLVDANGRILDRKTMGKDLFWAIRGGAGNSFAVILAWKIRLVDVPETVTVFTIKKNLDDIGTRLVHKWQSIAPKLNKDLFIRVLINRVNSTAENPTIQAAFNSLYLGRANKLLPILQKRFPELGLTKEDCREMSWVESCLYFAGFPIGTPTNVLQRRVQLSRVQYFKAKSDYVKTPIPMEGLEGIWEFFFKDEGASAELILNPYGGRMREISESSIPFPHRDGNLYKIQYLAYWNTDDSKASVTERHISWMRKLYAYTTAFVSKHPREAYVNYRDLDIGINNEGNTSFAQARKWGIKYYKNNFDRLVTVKTKVDPTNFFRNEQSIPPLTPS >itb10g23490.t1 pep chromosome:ASM357664v1:10:27818583:27821803:1 gene:itb10g23490 transcript:itb10g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLLRSLRRRDLATSSLSAYKAFAANTNPSIGSARWASLARPFSSKPAGNDIIGIDLGTTNSCVAVMEGKTPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKEMKMVPFKIVRAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAESYLGKTVTKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLVAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKRTENIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVNDLIERTKNPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATAKEQQITIRSSGGLSESEIEKMVQEAEIHSQKDQERKALIDLKNNADTTIYSIEKSVNEYKDKVPKEIISEIESAVSDLRTAMAGENIDDIKSKLDAANKAVSKIGEHMSGGSGGAASGGAQGGEQAPEADYEEVKK >itb01g17700.t1 pep chromosome:ASM357664v1:1:22486845:22487808:-1 gene:itb01g17700 transcript:itb01g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTNVMVSTLLCFLTMVPPSTATTSPLNVTLIQRACNETVDHEFCLNHLSKNALVVSASQGPSPLAIAAAIAESGLADAEEMHKYAANKVARSPAVKTAYAECASLLDGTTLQLRVAVNILKQRAALTEVGASEDASESLLTSIDGVAGCMDTLDSVKVEDQYVKTSCKEVMVYSVAANSILRQLKYNMTGK >itb10g16950.t2 pep chromosome:ASM357664v1:10:23190939:23195652:1 gene:itb10g16950 transcript:itb10g16950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAILSAAFSSSAPVLPLSNSTALHSSSSVTTHLNLPSLAIGSKCFRANSQRLRVQASMSSSPLIEKETAEAERPPTFLRESDNGAGNESATVRARFEKMIREVQDSVCSALEAADGGGSFKEDVWSRPGGGGGISRVLQDGAVFEKAGVNVSVVYGLMPPEAYRAAKPSTENGDVKPGPIPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFDEDVKHFHLVQKSACDKFDPSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIEKRKDTPFTASHKEWQQIRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTSRWEYGHQPAEGTEEWRLLDACINPKEWI >itb10g16950.t1 pep chromosome:ASM357664v1:10:23190939:23194616:1 gene:itb10g16950 transcript:itb10g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAILSAAFSSSAPVLPLSNSTALHSSSSVTTHLNLPSLAIGSKCFRANSQRLRVQASMSSSPLIEKETAEAERPPTFLRESDNGAGNESATVRARFEKMIREVQDSVCSALEAADGGGSFKEDVWSRPGGGGGISRVLQDGAVFEKAGVNVSVVYGLMPPEAYRAAKPSTENGDVKPGPIPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPAYIFDEDVKHFHLVQKSACDKFDPSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIIEKRKDTPFTASHKEWQQIRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTSRWEYGHQPAEGTEEWRLLDACINPKEWI >itb02g16260.t1 pep chromosome:ASM357664v1:2:12107083:12110435:-1 gene:itb02g16260 transcript:itb02g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISSLFCAEHRIKFVSHFKLDHLRGRKRERERERMASSGAWLEKELLELCKRIDTGLDLDSEIISGLVSYCELASPLDAKEYLDNIIGQESGKGVIEEYLKRRGHSNLYQNTRDASTSQLHAYVKPPSNDSFAAGAKKPVKVRKDDKVHVKQESQTKAETSDSQNKQKQSQSNSKKKKSGKVLSLAEAAKGSIVFQQGKPCSCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGSLVLKEGSSYAGLDGALPISDAEAAAEAFAKRLVDYDRNAAARTTVIDDQSDYYEIEGNSWLSMEEKELLRKKREEIEEIERAKRSKVVVTFDLVGRKVLLNQDEVSGELHNGILLRPSEEEEKEKDNARFKPSPNLKIQPIFLDPGPRRTPKEDTNKVLKNGLCLEITGRVQHDANELARGKQERSSNAKSWHEPSVNKRSPHIADDNECSLDYN >itb14g02410.t1 pep chromosome:ASM357664v1:14:2049048:2050842:-1 gene:itb14g02410 transcript:itb14g02410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNFSLIFSLLIIGAVLCSTTTVVVGTPPGPRGGAPFPPIHNPVGTSPQLNKYKCRHHPPSSRQTRQLMDHQKAVYRRHPPPSHQTRQPMDHQKAFLVLAQTLIHLQARIII >itb11g19580.t1 pep chromosome:ASM357664v1:11:20356776:20357387:-1 gene:itb11g19580 transcript:itb11g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQFFLLSLFLFSILLCEVIEAQDTNAVLDIRGKILRAGVKYYVVPLLQDQGGGLDLASTGRQSCPQSVVQDDVYWWGNTIQFYPVNSQKGVIREWIDLNIEFPDAYTGCPESKVWTISGDPSSYDITHYITDGGRKGNPGQQTLSNWFKIVKTTNAYKFMFCPSVCDDCSYVCQDVGISKKRGQRRLVLSNTPLEINFRKA >itb01g12060.t1 pep chromosome:ASM357664v1:1:11487471:11488281:1 gene:itb01g12060 transcript:itb01g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSERRPVAGVPCLVATREVRQCRPCEDRGTEVTDKRYCLSPVSSXLCASVLARTTLSHLASRYQTRNTSDRSPLTGQHESSLVPNLVLTLCRRYLEPLTIALYREKWSLVQFLFYSSYSGYGTSSLKQVVVFNPSDIGRCLP >itb06g21600.t1 pep chromosome:ASM357664v1:6:24216172:24218334:-1 gene:itb06g21600 transcript:itb06g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQQKHFQQPPPQPHQQSKAFRDLYNVEGQISQPVAYFNGPNLPDQSQHPPYIPPFQVAGLAPGTVEESGQDLQWNYGLEPKKKRPKEQDFLENNSPISSLDFLQPRSVSTGLGLSLDNGRLASSGDSSFLGLSGDDIERELQRQDVEIDRYIKVQGDRLRQAILEKVQANQLHTISYFEEKVIQKLHEREAEVENINKKNVDLEMQMEQLALEANAWQQRAKYNESLINTLKFNLQQVYAQSKDSKEGCGDSEVDDTASCCNGRAIDFHLLCRDGNEVKKLMTCKVCRVNTVCMLLLPCKHLCLCKECESKHSTCPLCQSTKYIGIEVYM >itb08g07180.t1 pep chromosome:ASM357664v1:8:6138186:6138743:1 gene:itb08g07180 transcript:itb08g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEHATKAAAFSARLWNILKFMLSFPPAENVSERTGNDPSEEMLVMGLMQKKELSKAILPLAVALSFGLYAMKSREDEMQFVEQLIVLTSMMGFALICNGILVPECTPNFARNAELMGVGLVISAIYLLSAISLMWWQRIILALNWAFCMRPLIMAGAFAKMKMKAAKTSTIMHAGATDGVII >itb15g07200.t1 pep chromosome:ASM357664v1:15:4873905:4876541:-1 gene:itb15g07200 transcript:itb15g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSIEACKPGSGDVANAPTNGVNTVHSTPVAFSSAEATLGRHLARRLVQIGVTDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHELIDTAVSTALKESKPVYISIGCNLAGIPHPTFIREPVPFSLNPKLSNQMGLEAAVEAAAEFLNKAVKPVLVGGPKMRVAKACKAFVELADSCGFQVTAQDVSTMLRCGQRPIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALIDAIHNGEGKCWTAKVFYEEELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >itb04g12990.t1 pep chromosome:ASM357664v1:4:12948083:12951833:1 gene:itb04g12990 transcript:itb04g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSPVSAPLSFKHSNLQNFLSSVTPTVSLQAPKQKDGDTIEYFTLGDLWDCFEEWSVYGVGIPVILNSGVSVTQYYVPFLSAIQIYTNKLQQTSRNQKENEDVASTEGKKKDESLSKERDGISIERNKDCCDDKPSSEAEQHGHLYFQFCDTVSPYWRIPFIEKIAEFAEVHPGLMTFKTTDLSPASWISVAWYPIYQIPTKGNHKDRLSTCFLTYHALSSSSIRGGVNPDKDENKKGKKVLEMVKGEEGNNNIKKSTGDVLYPYPFGMATYRLDDEIWINANTFDDYERIIDLYNAAESWLKLLNFWHHDFNFFASKLSLQGLSI >itb07g18520.t1 pep chromosome:ASM357664v1:7:22986252:22987192:-1 gene:itb07g18520 transcript:itb07g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPSPARDMPMPLNVVGNLWVLSLTYVSLRQGGKMELGFSMKALVLENRMLSCSKIPATSSNAKAASPGTSYYIVGASTCHKCEEWPPTSTKTIAPNHAMFSFIPYYLPATERGM >itb06g24640.t2 pep chromosome:ASM357664v1:6:26112040:26112399:-1 gene:itb06g24640 transcript:itb06g24640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVIYIIHCLTNPPCFPYSSFKVYGARPIRRWLEKKVVTELSKMLVKEEIDENSTVYIDAGADGELRYEVEYGGLVNAATGQKADILIDIPSGPRSDASQAVKKLKIEEIDDDIDMED >itb06g24640.t3 pep chromosome:ASM357664v1:6:26112040:26113269:-1 gene:itb06g24640 transcript:itb06g24640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIDMSEYMEQHSIARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHPTVFNTLLQVLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEYLLRGLVGKCSMESAREKVMQEVRKHFKPELLNRLDEIVVFDPLSHEQLRKVCRLQLKDVAARLAERGIALGVSEAALDVILAQSYDPVYGARPIRRWLEKKVVTELSKMLVKEEIDENSTVYIDAGADGELRYEVEYGGLVNAATGQKADILIDIPSGPRSDASQAVKKLKIEEIDDDIDMED >itb01g31040.t1 pep chromosome:ASM357664v1:1:34876338:34879611:1 gene:itb01g31040 transcript:itb01g31040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKSHTSTADLLTWSENPPEFSPASASAPRSSARSHQPSDGIQKVVFGGQVTDDEVESLNKRKPCSGYKLKEMTGSGIFASTGEDDMLESENANATPSNKTGLRMYQQAVASMSQISFGEDEAVSPKKPTSLPEVAKQRELSGTLESEADAMLKKQLSDAKCKELSGHDIFAPPPEIKPRPLTARALALRESITIGEPAPNHDPEASEEVVKTAKKIPNQKLAELSGNDIFKGDTPPALAEKHLSSAKLREMSGSNIFADGKVESRDFYGGVRKPPGGESSIALV >itb04g07420.t1 pep chromosome:ASM357664v1:4:5020245:5022659:-1 gene:itb04g07420 transcript:itb04g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTTPDWAQPWMIPCNNTARMSSNSRVMNMSRNLPLLSLLCFNSSRVSARSSSCSAPVLEHQASCTQTSIGLDLQLQDLCPSPLPEPEDLNGLICSLLKDPQTAGIGHEYYEKAKENPGFIPQKSTLNLLVRYFMSSRNWGSISLLVQDFRAFHVFPDSSTCCRLIISSVRARKFKIVDSLLESLIGDDDKGAAVLGFDSAMKGYNSLHMYSSTVVLYRRMKSAGLVLEPGCYCGTMEAFLKMGKYEKVVSLFQEFEGRRVESKSTQFHAQIYRCLCKALEKLGRPFEALEYFREMTRKGIPEDQSFYSSLICAFATAREVKLAEELLEEAEGKGMVRDPALFLKLVLMYIEEGMLERTFGVIAVMNRAKIRVSDCISCAIVNAFSRKRGPRVAAKVYEKLVFQGCEPGQVTYASILNIYCRIGLYSKAEMIFAEMEQKGFDKCIVAYSSMVNMYGKTGRHKEAMRLVAKMKERGCEPNVWIYNTLLDIHGRASNTKQVEKIWKEMKRRKVVPDRVSYTSVINAYSKAKEFENCIKYYQEFKLNGGKTDRAMAGIMVGVFSKMNKVDELVKLLQDLKTHETKLDERLYRSALNALRDAGLEIRAKWLQESFAVAT >itb03g01480.t1 pep chromosome:ASM357664v1:3:837619:841707:-1 gene:itb03g01480 transcript:itb03g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGSLHFDIFDIYRRYSDIAAGICANGNPDDEPQKVRYLREEISQLLKFVESRLQSSYGTISLMEELCRLMSQLDLKADFSEFSHFYDFVFFICRENGQKNITVRRAVMAWRLVLAGRFRLLDQWCDFVEKNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRIGGSNGSPHTVCNCGDSEVHQSDEQPLRGLNIFSGFKRKWCDDPDASVHCKKHLSSSNKYFTSEENPLASVHDCMDIGKYNNPIGHPKSPCAVEGCLSKGFAGLLSNGQCLQFDQKRRVSYT >itb06g03730.t1 pep chromosome:ASM357664v1:6:6152530:6155380:-1 gene:itb06g03730 transcript:itb06g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESESAQNNARLTIMELANMISVPMCLNAVVRLNVADAIWQGGSNAPLSASEILSSVLPSGGGDAQNLQRILRMLTSYGVFREHINADASERRYSLGEVGKTLVTDKDGLSYGAYVLQHHQDALMKAWPLVHQAVVDSSSEPFAKANGEPAYSYYGKKPEMNDIMLKAMSGVAVPFMKAFLDGYHGFQGVTRLVDVGGSAGDCLRMILEKHPSITEGINFDLPEVVQKAPNIPGITHVGGDMFKSIPNGDAIFMKWMITTWMDEEVKAILKSCYGALPQGGKLIACEPVLPNQTDDTQRTRLLLEGDIFVMTIYRAKGRHRTEEEYRQLGLSVGFSSFRAFYIDFFFAVLEFQK >itb06g19330.t1 pep chromosome:ASM357664v1:6:22675535:22681943:1 gene:itb06g19330 transcript:itb06g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRLTRIAIVSSDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDIKEELCVDLELNQVLDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEVETYARYKYPTMTKTQGNFKLKVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDIVEGSDVEIPEFNVSYKPQKISPKFQSSVRHLLHQKIRDSYTHPQFISDVMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKNAGSYYYLDD >itb06g19330.t2 pep chromosome:ASM357664v1:6:22675535:22681943:1 gene:itb06g19330 transcript:itb06g19330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRLTRIAIVSSDKCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDIKEELCVDLELNQVLDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEVETYARYKYPTMTKTQGNFKLKVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDIVEGSDVEIPEFNVSYKPQKISPKFQSSVRHLLHQKIRDSYTHPQFISDVMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKNAGSYYYLDD >itb04g05260.t1 pep chromosome:ASM357664v1:4:3274423:3276826:-1 gene:itb04g05260 transcript:itb04g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNSLLPWLLFALHLFPLSLSAQRSTYIVHMDTSSKPTAFASHHHWYSAAVNSLDGGRHSSPPKLVYSYAHAFHGFSAVLSELELEALKKSPGFVSAYKDRSFELQTTYTPTFLKLNSNTGLWPASKFGEDVIIGVIDSGVWPESRSFGDDGMPEIPKRWKGVCKEGTEFNSSLCNRKLIGANYFNAGVLAANPGINISVNSARDTDGHGTHTASTAAGNYVDGVSYFGYAPGTAKGVAPRARVAVYKVNWDEGSFTSDLIAGMDQAIADGVDVISISLGIRFVPLYEDPIAIAAFGAMKKGILVTGSAGNRGPSLGTLNNGAAWILTVASGLTDRWFAGTLTLGNGLKIRGWSLFPGRALVRDVNLLYNKTISACNSSELVSKLPDPGSTILICEKPEIDEPTFTFSQIQAVASARIRATIFINDDPGIFRSTTFPNPGVFISPEEGEQVITYAQTDNDPRATITFQETIFGNKPAPTVAASSSRGPSPSYLGISKPDIMAPGVLILAAYPPNSFAVNIGINIQLGTDYFLESGTSMACPHAAGIAAMLKGAHPNWSPSAIRSAMMTTAITVDNTGNPIKDSDDNAVATQLDMGAGLVDPNAALDPGLVYDATPQDYVNLLCSMDFTEAQFQTIARSSATTNCSNPNPDLNYPSFIALYPLGGDNGVYDWMVQTFERTLTNVGPGAATYKAKVEVPKNSTISVSPTTLVFTEKMEKQSYSLRIRYRGDDNQSKNVGSITWVEVNGNHKVRSPIVVSNTVEVWE >itb15g13350.t2 pep chromosome:ASM357664v1:15:11329995:11332000:-1 gene:itb15g13350 transcript:itb15g13350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTMTMEGTMENGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSQPILLHLHAPISICGDIHGQYQDLLRMLEYSGYPPAANYLFLGDYVDRGKQSLETICLLLAYKVRYPDKVFLLRGNHEEAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKIICMHGGLSPDLNRLDQITEIERPTDVPDSGLLCDLLWSDPNPRINGWSDSDRGVSSTFGADAVAEFLDKTDLDLICRGHQLQIMEGNLTMQVPY >itb15g13350.t1 pep chromosome:ASM357664v1:15:11329947:11332137:-1 gene:itb15g13350 transcript:itb15g13350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTMTMEGTMENGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSQPILLHLHAPISICGDIHGQYQDLLRMLEYSGYPPAANYLFLGDYVDRGKQSLETICLLLAYKVRYPDKVFLLRGNHEEAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKIICMHGGLSPDLNRLDQITEIERPTDVPDSGLLCDLLWSDPNPRINGWSDSDRGVSSTFGADAVAEFLDKTDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEQLVCSFQILKPAPTNSKVPLKKPPRMG >itb11g21650.t1 pep chromosome:ASM357664v1:11:23342726:23343689:1 gene:itb11g21650 transcript:itb11g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFFCPTVNIDKIWSLLPPEVQEKAAKNKDTAPVIDVTQFGYFKVLGKGVLPPSQPVVVKAKLISKIAEKKIKEAGGAVVLTA >itb12g12110.t1 pep chromosome:ASM357664v1:12:10613099:10613905:1 gene:itb12g12110 transcript:itb12g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDQAQEGEGQGAKDHYEAIFSFYFGDYGHIAVQGPYLTYNEYYLTVTGGSGIFEGVTGHVKLWRLVYPFNLRVLSAAAVCEFVYVNLLKSETTPVARLNPNLKLSASSSSSSLLFILFCFYEFRWVGLRIQRGGLRIPVGGIDFHFQLSPEIIGNSFIFSYQESRDQRTLELPPWGNTSSRSCLRSRRRGTPAGGNTRSWRCRTAPGREHLQNTFSPL >itb08g10000.t1 pep chromosome:ASM357664v1:8:9406275:9407641:1 gene:itb08g10000 transcript:itb08g10000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFLSPTNYMVELVPATQNGNNGSTFEIMTLKAHPDIQMNLPALQKLDTMLIETLDSMTGLCDAERKKLMDCGKLVNQMFKAAKAINENVLHEMPVPVLIKDALPKSAKVNLGEDLYKVLSVESASFDDMIDALNLRSEHSALETINRLEAADIGHAVLEAYSRVLGNLAFTILTRIGEILQEDILSNPNSPAAAACHIPGLRIPGSPAPSSHRVRHSLIDQMNKADGRDSFSCRTNASDLDLDSASSMRGLITATPSRRRRWCLSRSKSPENSP >itb10g06120.t1 pep chromosome:ASM357664v1:10:6702216:6713803:1 gene:itb10g06120 transcript:itb10g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKWLAFLYLCSMMTLLAIAQPDLRYCDCVQSGNYTENSTYQRNLNTLLASLPSKLDEYGFCNASMGETPDRVSVVGLCRARETVQLCPNQKEVFGGYDECLIHYSNVSTVGRWSRSPWMYMWNLQNASSPDQFYRDLSKLLDGLGDRAANGFSLCKFAAGNTSGPDLQSIYAAVQCSPDLGAQDCSDCLVSTFADFPGCQPCTGKRRGRVVRPGCNFRFESYRFYNYTLIGSTPPQSQSQPQPDPVKRENLDWETRYKIIGGISRGLLYLHEESRLRIIHRDLKASNVLLDANMNPKISDFGMAKLFELDESEGNTSRIVGTYGYMSPEYAMHGQFSVKSDVFSFGVLVLEIVSGQKNSCFKNGESVKDLLSYAWTQWREGTAMNLVDPFLKGKSGSVPEMMRCIHLALLCVQENVADRPTMSTVVLMLSGFSMSLPVPSAPPFFMHSTISNEVLLLPETAAYSSQNEASITELYPR >itb03g28470.t1 pep chromosome:ASM357664v1:3:29222469:29223376:1 gene:itb03g28470 transcript:itb03g28470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEKCSLSVVRPFFKPPTAIRGGIPICFPQFSNLGPLEQHGFARNKHWTVEKDPPPLPSESTAFIDLILKPSEDDFKIWPHRYEFRLRVTLGPDGELLLTSRIRNINTDGKPFTFTFAYRNYLSVSDIG >itb13g20430.t1 pep chromosome:ASM357664v1:13:27257406:27259784:1 gene:itb13g20430 transcript:itb13g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNFPGKAMSFSNTAFYGSSGSPTARLFVTSSVKKIDWDKPGIEDDSSNNATENADQVFQNVDEFLGVLSAAGDDSGPPDVPDAVELLCQIVESRIGKYTSGENRNKFGRMNEEDDSLIDAVMRLSRLSNALGPFPAGSAAASSRNRVGSVLQRAMALMEEELPNLLDDSRNGGNSKECKFLSFNSKDEDAGSGKEEKYAAFSPEVVTKISRIATVMISAGYENELCEAYTISRRNAFSEQMKKFDFERINVEDVQKIHWDTLEGEITRWMGVVKYCSETLFPGERRLAESVFSEHPSFSLSVYSNFVRSVAIHLIDFGEAVSTTKRSAEKIFKFMDIYETIRDLIPAIISESCSDVCRDEIKHEIAAVGDRIGEAVVSVFSDLESSIRNDIARIPVPGGAVHPLTRYIMNYLEYAFEYKDTLEHIFQKNFKPEQQSQGTEPAETDETPFSIQIVTVMDLLDSNLQTKSMLYRDPALRDIFLMNNGRYILQKVKGCPKIRKLMGDNWCRRRSGIVRQFHKSYQRETWVRVLRMLGHEGLQVNGKIAKPVMKERFKSFTTAFEEIHRTQSAWVVSDEQLRSELRVSVSAVVIPAYRSFLGRFRQCLENSKQAERYIKYQPEDIEAMIEGLFEGNNNASMAKRKA >itb01g27170.t1 pep chromosome:ASM357664v1:1:32083740:32090532:1 gene:itb01g27170 transcript:itb01g27170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFILSSAKSKLSRTPINRFSSSFPNLCGKASRFGAHGSFLSGARGFSSESCREAIDYDVVIVGAGPAGLSAAIRLKQLCREKNADLSVCVVEKGAEVGAHILSGNVFEPRALDELLPHWRQEKTPIDVPVSSDKFWLLSKNRAFSLPSPFENKGNYVISLSQLVRWLGQKAEELGVELYPGFAASEILYNESDEVIGIATNDMGVAKDGSRKENFQHGVELKGRVTLLAEGCRGSLAEQVIGKYKLREKGNGQHQTYALGIKEVWEIDANKHSPGDVLHTIGWPLDHKTYGGSFLYHMKERQVAIGFVVALNYHNPFLNPYEEFQKFKHHPAIRPLLEGGTVLQYGARTLNEGGYQSIPYPVFPGGAVIGCSAGFLNVPKIKGTHTAMKSGMLAAESAFDMLQGGSNMETFWDSLRSSWIWKELYHARNYRPAFENGLFPGLALSAIEHYILKGRSPWTFKHGKPDHEAISEAKSSLPIEYPKPDGAISFDILTSVYRSNTNHDHDQPAHLRLKDPKTPELVNLPKYAGPESRYCPARVYEYISDDNGEVKLNINAQNCLHCKACDIKDPTQNIKWTVPEGGGGPGYTVM >itb01g27170.t3 pep chromosome:ASM357664v1:1:32083740:32090532:1 gene:itb01g27170 transcript:itb01g27170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSACQLTIFMVFLKILSQLVRWLGQKAEELGVELYPGFAASEILYNESDEVIGIATNDMGVAKDGSRKENFQHGVELKGRVTLLAEGCRGSLAEQVIGKYKLREKGNGQHQTYALGIKEVWEIDANKHSPGDVLHTIGWPLDHKTYGGSFLYHMKERQVAIGFVVALNYHNPFLNPYEEFQKFKHHPAIRPLLEGGTVLQYGARTLNEGGYQSIPYPVFPGGAVIGCSAGFLNVPKIKGTHTAMKSGMLAAESAFDMLQGGSNMETFWDSLRSSWIWKELYHARNYRPAFENGLFPGLALSAIEHYILKGRSPWTFKHGKPDHEAISEAKSSLPIEYPKPDGAISFDILTSVYRSNTNHDHDQPAHLRLKDPKTPELVNLPKYAGPESRYCPARVYEYISDDNGEVKLNINAQNCLHCKACDIKDPTQNIKWTVPEGGGGPGYTVM >itb01g27170.t2 pep chromosome:ASM357664v1:1:32083740:32090532:1 gene:itb01g27170 transcript:itb01g27170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFILSSAKSKLSRTPINRFSSSFPNLCGKASRFGAHGSFLSGARGFSSESCREAIDYDVVIVGAGPAGLSAAIRLKQLCREKNADLSVCVVEKGAEVGAHILSGNVFEPRALDELLPHWRQEKTPIDVPVSSDKFWLLSKNRAFSLPSPFENKGNYVISLSQLVRWLGQKAEELGVELYPGFAASEILYNESDEVIGIATNDMGVAKDGSRKENFQHGVELKGRVTLLAEGCRGSLAEQVIGKYKLREKGNGQHQTYALGIKEVWEIDANKHSPGDVLHTIGWPLDHKTYGGSFLYHMKERQVAIGFVVALNYHNPFLNPYEEFQKFKHHPAIRPLLEGGTVLQYGARTLNEGGYQSIPYPVFPGGAVIGCSAGFLNVPKIKGTHTAMKSGMLAAESAFDMLQGGSNMETFWDSLRSSWIWKELYHARNYRPAFENGLFPGLALSAIEHYILKGRSPWTFKHGKPDHEAISEAKSSLPIEYPKPDGAISFDILTSVYRSNTNHDHDQPAHLRLKDPKTPELVNLPKYAGPESRYCPARVYE >itb13g24420.t1 pep chromosome:ASM357664v1:13:30090420:30091094:1 gene:itb13g24420 transcript:itb13g24420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKAGMERSTNWRGNDGRNNMKDINKLRDSWGHHHHHHHQYGTSHESLPPHNKENGDLLAAGFSWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQSPPREGQFSSLLNLNLADPSAINPNPNPSCYTSTISSPPSSPPRKPLPGFVPTLPFASSSAEMGKWTKIEGIPVMKNMVVKGFKNGFEVHQNPAASFSDEAKLELKIGFKEDLDLELRLGYT >itb05g13940.t1 pep chromosome:ASM357664v1:5:21018069:21021206:1 gene:itb05g13940 transcript:itb05g13940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSYMARPTLSMMGWSKGSNMRKRIGFTVSASSSSGVEEQEEASAEVKVEEDINQPEEPQKKLEEGMKTTPRPVEAQINVKNKNMGREYGGQWLSSTTRHVRIYAAYIDPETCAFDQTQMDKLTLLLDPTDEFLWTDETCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRRLLYAGEIQYNMDARVLNFSMGKPRIGFNYDGQLQDVNQ >itb02g11100.t1 pep chromosome:ASM357664v1:2:7260304:7260600:-1 gene:itb02g11100 transcript:itb02g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSAAWVVAASIGSVEALKDLGFARWNYSITKLHQHVTANLRSYTQAAASRLAAPSSTLVSKNIKMSRGEDNYLKLQQSEESLRKVMFLSCWGPNT >itb08g09200.t1 pep chromosome:ASM357664v1:8:8434362:8436753:-1 gene:itb08g09200 transcript:itb08g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQFTLFSALLLSAVVWVYAKSISKKARLPLPPGPRGFPVVGYLPFLRPNLHHHFTDLTRKYGPIFKLQLGSRLVVVINSPSIAKQVVRDHDAVFANRDPPIAGIVGTYGCRDIAFAPSGTYWRDLRKVFVREMLSSANLRACYEHRREEVRKAIRGVKSRIGEPVNIGALASSTELNVVTRMIWGSTLGSDEEKNEKIGAVFRELMGKYVDMVAVPNISDFFPWLARFDLQGIEAKMEGMRNAVDNILEPIINEGVKIVSEKSGNVSNGDEKKDFLQILLELKERDAAGRSLDFQAIKALLLDIVIGGTDTTTTMVEWVMTTLLDNPVIMKKV >itb09g23270.t1 pep chromosome:ASM357664v1:9:22564214:22565264:-1 gene:itb09g23270 transcript:itb09g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLSTSCFKIRDSKLEPTSSVFRFKTCRRLPSSRRRRRKPPSPSPRRGKQIRAVVNHWDVSFWSERLRESKVMDGLFNLANKLFGIRAEPADGLAPVWNKDVRFYRVNDSSGNPIAYFYFDPYSRPSEKRGGAWFAIKCHL >itb14g10510.t1 pep chromosome:ASM357664v1:14:11886333:11887968:1 gene:itb14g10510 transcript:itb14g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKTHRRGGSEEKNRKGRIVEKAKSFHGHGVENTAELLGRPRTVPDLISAQRSSTEMRFPSKLTKLLINVRIQRCLGAVQVLMSSESTVEDLIAAALRQYVKEGRRSALPSTDPADFGLHYSQFSLEGLDRTDSVMTLGSRNFFLCMKNSPAGTGRGGATPSCGAAPGCSSEVDRVTKFGLPWIKFMDILL >itb08g05780.t1 pep chromosome:ASM357664v1:8:4751611:4754457:-1 gene:itb08g05780 transcript:itb08g05780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSVPAGSINRYVGIWYNKIPVQTVIWIANRETALTNTTSAVLRLVRPGQLVLTDAKNDIIWSTNAPRSAKNPIMQLLDSGNLVVRDSEDENPENFLWQSFDYPTDTFFPGVKLGWNLVTGHEVYVTARKTENDPSSGPFTFRFDPTGYPQIVIKNGSKTTYTTGCWNGVRFSGTSEKSPDSSHYNYQVHINPREISGKYEITNHSVLARAVLTSSGDLQFSAWMNEAQSWVSIIKVPRDVCDRYAICGANGICNATDSPVCGCFEKFTKNNRGGLEYWSNGCRRRRPLKCKNGTEGFKKYSRVKWPDTKHSWFNTTMNLTECELKCLRNCSCTAYSNLDISNGGSGCLLWFNDLIDIRVMPQNGQDIYIKLDSSELPEPITKETRASLKRDKAKIVLGCLGVLDNKEEIAVKRLSKTSKQGVLEFKNEVICIAKLQHRNLVKLLGCCIQGEETLLVYEYMANKSLDTFIFDDAKNKLLDWPKRHSIINGIAQGLMYLHQDSRLRVIHRDLKASNVLLDNNMNPKISDFGLARSVGGDVTEANASRIMGTHGYISPEYAANGIFSIKSDTFSFGVLLLEIVTGKRNRGLFHPDHCLNLIGHAWKLYKENKALELIDVHLIPSCDLSQVQRCIHVGLLCVQQCPSDRPTMSSVVTMLTNDYTLPEAKEPGFFTERRENTSDYSSSTQGTCSRNECTITALDPR >itb10g17600.t1 pep chromosome:ASM357664v1:10:23776904:23781694:-1 gene:itb10g17600 transcript:itb10g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQVVCNGCRTILLYPRGASNVCCAVCNALTPVPPPAMEMVQLICGGCRTLLMHPRGATSVRCSCCHTVNLVPGPNQFAHVNCGNCRTMLMYPSGAPSVKCAVCHFITNVNQAGDTRAPIQPHTPNGTATSSSMTPSTATTRPQNQTVVVQNPMSVDESGKLVSNVVVGVTTT >itb12g06710.t1 pep chromosome:ASM357664v1:12:4973242:4976778:1 gene:itb12g06710 transcript:itb12g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLRATAFTVQTHNTSRSSRLTTLASNHRCSKHCSFRFPNSKGLKNGIRSVQAVATSPGMGKKSRVDETESYTLDGIRSSLIRQEDSIIFSLVERAQYCYNPDTYNPDAFPMEAFHGSLIEYILKETEKLHAKVGRYNSPDEHPFFPNEVPQPMLPPLQYPQVLHPVASSININIKVWDMYFKNLLPRLVKEGDDGNCGSTAVCDTLCLQALSKRIHYGKFVAEAKYQASPEVYKPAIVAQDKNKLMELLTYPTVEEAIKNRVEVKTRTYGQEVTINAGGSKGDPVYKINPSLVAELYGDWIMPLTKEVQVQYLLRRLD >itb01g21670.t1 pep chromosome:ASM357664v1:1:27734927:27740118:-1 gene:itb01g21670 transcript:itb01g21670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLPLRTHYSLTSNQRLLSPSSTRLHHPPRFFRISDCPASRLLRRNVGLLARAEDKARDSSTSSPTQPQEFTSSPGACDPLCSVDETSWEDFEASYQPKTDLLKALAVLAAAGTGALAINHSWVAANQDIAMALLFAIGYVGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIALTELSHATGEISEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLLWVVGFVTFFLSSVLDNLTSTIVMVSLLRKLAPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKELFIPSAISLAVPLALMSLASEVNGKGQNSADVLASEKMAPRGQLVFSVGIGALVFVPIFKSLTGLPPYIGMLLGLGVLWILTDAIHYGESERQHLKVPQALSRIDTQGVLFFLGILLSVSSLEAAGILRELANYLDTHIPNTELIASAIGVVSAIIDNVPLVAATMGMYDLSSIPKDSEFWQLVAYCAGTGGSMLIIGSAAGVAFMGMEKVNFFWYLRKVSGFAFAGYAAGIAAYLAVHNLNLSLPTTLAEVPFLSGS >itb04g28450.t1 pep chromosome:ASM357664v1:4:32190694:32193917:1 gene:itb04g28450 transcript:itb04g28450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVHRKDDDLYTKDGTVDYQGNPAKKNETGTWKACPFILGNECCERLAYYGMSTNLVLYFKHKLNQHSATASKNVSNWSGTCYIMPLIGAFLADAFMGRYWTIACFSIIYVIGMALLTLSASVSGLKPTCSKDGVCDPTLAQSAVSFIALYVIALGTGGIKPCVSSFGADQFDDDDEVEKKHKSSFFNWFYFSINIGALIASSVLVWIQDNVGWGWGFGIPAVTMAIAVVSFFSGTRLYRNQKPGGSPLTRICQVLVASIRKYKVAVPAEKSLLYETADAESAITGSRKLDHTRDFGFFDKAAVQVESDEKKGSIDPWRLCTVTQVEELKAIVRLLPIWATGIIFSTVYGQMSTLFVLQGAAMDTRVGNSTFRIPPASLSIFDTLSVIFWVPVYDRIIVPVTRKFTGHKNGLTQLQRMGIGLFISIFAMLSAGILELVRLRFVQRHNYYDLEEVPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLTTVALGNYLSSLLVTIVTSITAKDGKPGWIPDNLNRGHIDYFYYLLAILSVLNLGVYLWIAQWYTYKKPVGPLR >itb08g03160.t2 pep chromosome:ASM357664v1:8:2632743:2639425:1 gene:itb08g03160 transcript:itb08g03160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSPPSCPKTVTVRRNPHRRARDTPLTNVPVPFPKPTSKNDISSFPIEEILSIEVKANPEAEPVSAEEPVSDSLKVYLRIRPVVVQSYAAKKAKIGAENLQVKNAWPRNPRAKSAVEKKVKKSSETCITVNDSHSITLQPPAKLQNSRRIKSEVYEGFSDVFSPEASQKEVYEKMVNPLVNDFIRGRSTMLAALGPSGSGKTHTIFGSGKDPGMVTLALRKLFSEEDAAKTESSRYFYLSMFEICTEKGKSEKIFDLAQDRTDLCSQQTSIKGLQETRIYDIQQAESIIASGLLRRSTATTNSNSQSSRSQCIINIRCGHKKIDEEVGESSNSSVLTIVDLAGAEREKKTGNQGARLLESNFINNTSMVFGQCLRSLLEHQKNPKKPMQKHFQNSMLTRYLRDYLEGKKRMALLLTARPEGEDYLDNSFLLRQASPYTKIKFDCIEEPINLNCNKRPSQTMPMSEQLKRMKIGDIEACSSGGIKTEKGSSLLKEELTAGGVDDVKKRDREYQVLQGFSKGLWNVLKEYKKKLEVAENEIHCLREELKDFKSQHSSQIMLSAKVPSTEDHQSTETEEVNSELSSCQLTSSNQTGSKELCDHIVTSSRSCTGEALYEENCCQDYNEKFVLKKSFEDTAGVEDLKLQDVDAEYTYSNCETPGILMDSCLCQYLDDEKSEERTDSTPVKSTTESCLLPNYGKSQLQNEVVKDGENETCTLLEEFKDLKSEHFSQIIASSEVSSTEDHCSVDLEEQYRKSPEDPNLEDVEVEDTPLEWKAPTISANSSQCSDQEDENTKESSDSTIVEVEANSALPPNVIGFQPQCEEEKKHLQAPMPESEDVGTCNRRCDDSNATEAVLKHTSYNNSRQAEKPKRRLLPASSILLKDINNIDFVDENEKAKGTRGEKKAAAVNVKNRSEGNLSLLRLLMNQPR >itb08g03160.t1 pep chromosome:ASM357664v1:8:2632743:2639415:1 gene:itb08g03160 transcript:itb08g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSPPSCPKTVTVRRNPHRRARDTPLTNVPVPFPKPTSKNDISSFPIEEILSIEVKANPEAEPVSAEEPVSDSLKVYLRIRPVVVQSYAAKKAKIGAENLQVKNAWPRNPRAKSAVEKKVKKSSETCITVNDSHSITLQPPAKLQNSRRIKSEVYEGFSDVFSPEASQKEVYEKMVNPLVNDFIRGRSTMLAALGPSGSGKTHTIFGSGKDPGMVTLALRKLFSEEDAAKTESSRYFYLSMFEICTEKGKSEKIFDLAQDRTDLCSQQTSIKGLQETRIYDIQQAESIIASGLLRRSTATTNSNSQSSRSQCIINIRCGHKKIDEEVGESSNSSVLTIVDLAGAEREKKTGNQGARLLESNFINNTSMVFGQCLRSLLEHQKNPKKPMQKHFQNSMLTRYLRDYLEGKKRMALLLTARPEGEDYLDNSFLLRQASPYTKIKFDCIEEPINLNCNKRPSQTMPMSEQLKRMKIGDIEACSSGGIKTEKGSSLLKEELTAGGVDDVKKRDREYQVLQGFSKGLWNVLKEYKKKLEVAENEIHCLREELKDFKSQHSSQIMLSAKVPSTEDHQSTETEEVNSELSSCQLTSSNQTGSKELCDHIVTSSRSCTGEALYEENCCQDYNEKFVLKKSFEDTAGVEDLKLQDVDAEYTYSNCETPGILMDSCLCQYLDDEKSEERTDSTPVKSTTESCLLPNYGKSQLQNEVVKDGENETCTLLEEFKDLKSEHFSQIIASSEVSSTEDHCSVDLEEYRKSPEDPNLEDVEVEDTPLEWKAPTISANSSQCSDQEDENTKESSDSTIVEVEANSALPPNVIGFQPQCEEEKKHLQAPMPESEDVGTCNRRCDDSNATEAVLKHTSYNNSRQAEKPKRRLLPASSILLKDINNIDFVDENEKAKGTRGEKKAAAVNVKNRSEGNLSLLRLLMNQPR >itb02g10930.t1 pep chromosome:ASM357664v1:2:7132307:7134001:1 gene:itb02g10930 transcript:itb02g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDMDPLTQLSLPPGFRFYPTDEELLVQYLCRKVAGHDFSLQIIGDIDLYKFDPWDLPSRANFGEKEWYFFSPRDRKYPNGSRPNRVAGTGYWKATGTDKIITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLCEAPRKTGSARLDEWVLCRIYKKNSSAAAAAAQKPVSGVQSKDYSHGSSSSSSSQFDDMLESLPEINDQYFSLPRINSLKNLNLQQDDKSSILRLNSGSFDWATLAGINSIPELGPGNQIPQSGHLNHANPADIYGGHSMALSFPVDDEAQSGIRVENNSGIYQPQNPNAFTHNFSNLLDPYGIRYANQPGSLAFRQ >itb08g11620.t1 pep chromosome:ASM357664v1:8:11650176:11651816:-1 gene:itb08g11620 transcript:itb08g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNVRSDDSATWIAATREEFDTVSRVCRTILAIYEDDLRNPKFALVGGYRLNPDWSEKKTKATREVLTKIIDRNSSVAEAASRLATWQVNRGVSDHEQSRHQQRRRTSGREGELGDRAGTLLMKEKRRRRRVGRQSRSIVDE >itb13g13810.t1 pep chromosome:ASM357664v1:13:20394954:20405340:-1 gene:itb13g13810 transcript:itb13g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVSTVNSNHRDSSLLAMSPPPMPPPASSAASRSSDSWTVSTSQNHYHHNNSSSNTRNLTESSSSASASAKVLSMSRPPSMREATQLTFGGVDSQQHQREQQVKINEIVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSPETEKGSKVIGEESLRRISRPHKHSNSNTSQPRRKPLGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRIAWTEALQTVKDMFPRLSNSELMAPLDNISVSTEKLRKRLMEERVSEAAIQDSEQIMRDEFASLQNQLFLLKQKHWLLIDTLRLLETEKVDLENTVVDESQRQINGAGPSVRLRQDKYSEASVSDSEDDNERVDAAEEDTDEEENTFFDTRDFLSSSSFKSNGSDCRTSSFSSEDDDLYAFASDESVDPIIRSASTKFPYIKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKSFEDLEYSYLVDRAYEWGKRGNSLLRILNVAAFAVSAYASTEGRICKPFNPLLGETYEADYPDKGVRFFSEKVSHHPMIIACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNRNYSCKLKFKEQSIIDRNPHQVQGIVQDKSGKTVATLFGKWDESMFYMNGDCTRGNSFDSLSEAHLLWKRSKPPKDPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYEKANSEKLRLEQRQRQARRMQERGWRPQWFTKEKGSDVYRYIGGYWEAREQRKWDSCPDIFGQIPSDQMLD >itb07g13860.t1 pep chromosome:ASM357664v1:7:16189576:16191919:1 gene:itb07g13860 transcript:itb07g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MDNVNIPQGNDDRDLGLIDFMDEENLEQFIDLIRGENEEPMGAMFFQQSYGGCENISGYLADDNLFDYDNVGSYKLSSANVSGDVGNILPTGENDAGEVSSETTPEKKGTKADRSKTLVCERKRRGRMKEMLYSLRSLVPNITKMDKASIIGDAVLYVQDLQMQTKKLKAEIANLESSTTKTDKYEGGTFLGGKKTNFTNPLPILKKIFKMDVFQVEETGFYVRIVSSKGQQVAASLYKAVDSLASLFISSSNLATTSHNYVFTFTFTVRECESDINLPNLKLWIASAFLNQGFGFEASLS >itb02g22840.t1 pep chromosome:ASM357664v1:2:22519171:22524086:1 gene:itb02g22840 transcript:itb02g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILCFVLDLRCLSPPLLRDLKQIANFHAISSSASNGNRSKLKPLLDRIGLCYVFKNRITCADELKVAYSPRGNFNLRDFHHAVSSLPTDAFTPEFNNSMALCGGDMKLSGVLNDKVLYSWGSQDKDITRKVVLISSCIVENLDSVTRRALMDAADKCVSVEFVFLEQNSSHLADIPGNINSFLKKIGDLENCLFQHCIPDGRAFFRLVKQWSQELKDDMEEPLQARFIFKINLVGSSNQILCNISTSFNQIIDEFLPCQTCRCHGIPFENSNKKETNSNSSCPVTGNDLGTLNVIENSVKIGESIILYLPSFQCFQDIQQVSSPIDFNVIERTNLQSLNEGVIFGSSFTVTPAYHESDIEKSELNFFQVLSGLLNSLDQGLVCSSNFNIETARQTSFLCYYILLPSEKGVMLLRRLAGSEEILPVPDVPFIHMKVAKDIESSVQASLFKYKCTCTSSISERAIPLRSKEVATVLNSTYQDSTAAVSPSVQSTDEEMIEGNISLLDSDVGDKTSELIAEEWEQLIVSEDPKICSPSCNSKPKLDLLVLSAPQTSRQLDEKTSRILERLEVPKQLKRKTSSPVTSSSIPVDTCALNKKPLVPFGPVHSVDHGITSSQPIKPNFQRIRRKK >itb02g00410.t1 pep chromosome:ASM357664v1:2:299090:299569:-1 gene:itb02g00410 transcript:itb02g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGGGLALRSTTRKFCPLGIFREANDDYLGIPISFYPVNLKKGVIRDSTDLSIDFHKNRVQCAKSNVWKVDSYDQYTKRFYIVIGGVNGNPDPKTISNWFKIEKFGCGYKLVHCPSVVQHKVMCKDVGLVKYNGQKRLALSDAPLVSFSRRLEERVL >itb08g01400.t1 pep chromosome:ASM357664v1:8:1030725:1031608:-1 gene:itb08g01400 transcript:itb08g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEDLLATITPSQEEKLRSCDFAGAFPENSNSVSSSPPRTPPQQSGSADRATAQPYLSGSENPRPNGKEQVQIETIDLDSDEDSDEVGLIRKKKTAPESSLRESNGCLGKRKLPASMEECEKASDGGVKIGGFKDLMEVLQGVLEKASDGGHGGEGLSLLETAERAGWEFPRPRWWPPEGFED >itb11g06320.t1 pep chromosome:ASM357664v1:11:3744331:3747057:-1 gene:itb11g06320 transcript:itb11g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVELLSKEVMIKPSSPTPPHLKTFKLSFLDQNAPPIFIPLILFFHHAPADDHGRSSQLLKQSLSKVLTLFYPLAGRIKGNDLVDCSDEGALWVEARVHGFLKDVVENPLMEELEKFLPVEPSNGDGSELIVGVQINYFVDGGIAVGVCLSHKIADGLSLVNFVNAWAVTAREGDAAGISPPNFGLATSLFPPTTMDLPAGSRISPTLGMTREKIVTRRVVFDKENIAALKKSAAAKSSGVGDRGPTRVEAISAFLWKHFREAGRKKSQHVAVKKAFLAAHIVNLRAKMNPPLPDATFGNLWTLANTTITPSETNQSPDDNDDLVFQLRTSIKEINAEYVEALQNEKGQSEHLRKWHERFSTEEAEFLEFTSWCRFPIYEENPHRCQLDCSTDPTRQIWQKTTLYRLNCCTRRLRETTCGGD >itb15g12310.t1 pep chromosome:ASM357664v1:15:10234859:10235176:-1 gene:itb15g12310 transcript:itb15g12310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHLNVADNSGARELMCIRIIGASNRRYAHIGDIIVAVIKEAVPNMPLERSEVVIVRTCKELKRDNGMIIRYDENAAVVIDQEGNPKGTRILGAIARELRQ >itb02g11460.t2 pep chromosome:ASM357664v1:2:7655020:7661192:-1 gene:itb02g11460 transcript:itb02g11460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDCQKLPQEGGKGGGLRSCRSSKNGFIPTSFRALSRIMSSGASTVASTVKSAASAASAIVDRDNESTHCQVLWAGFDKIECEGRITRQVLLLGCWYGFQVWDVEEPDNVHNLVSKHDGPVSFMQILPKPISLKQHGDKFANSRPLLIICADGSFPGGSNASQGVTTPRNGTIHHSHDVTNSGFVPTVVWFYSLRSHSYVHHVKFRSVVHSVRCSSRVVAVLQASQIHCFNAATLERDYNIVTNPIVTGYSGSGNMGFGPLAVGPRWMAYTGNPVAVSNCGRVNPQHLTPSASFPTWGANGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELRPDGNGSQAGGAHLKIQGFTNGHLPEAESVGMVLVRDIVSKDLVTQFRAHKSPISSLCFDPSGILLVTASVHGHNINVFRIIPSLLKNSGANQASYVHLYRLQRGITDAVIQDISFSCDSRWIMISSSRGTNHLFAISPSGGSVSFQSSDAYLSARNNGSCVMAKTSGHWPPSGVQELNDQVICESGPPVTLSVVGRIRSGNNGWKNTVSGAAAAATGRTSSLSMAIASAFLYCKSNNHSFDPSLLVSNYCLLVFSASGCLTQYALRASPGMDSIAASPSLSTTCEPGVEPETKLIVEAIQKWNICQKLNHKEREDNVDIYGDFGNSDSSKIFPEGMRRENGKYHETIDKVKGKVSSEERQHMYISEAELHMHQPVTPLWARPEIYFQSILFNDISMDADGACGGEIEIERIPTRTVEARSKDLVPVYNYLQAPKIQQQRMSGNNDQLLHIQRSEVSGKDNPMNSNLGYPHCTTNGCIEPYNGIEENGSNGCWMAPETTTKDIVYNSDSPKANTQLGFVNNSQISTKETQAKFVNNDIDGLKRKNHFEGEVEEFD >itb02g11460.t1 pep chromosome:ASM357664v1:2:7655020:7661192:-1 gene:itb02g11460 transcript:itb02g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDCQKLPQEGGKGGGLRSCRSSKNGFIPTSFRALSRIMSSGASTVASTVKSAASAASAIVDRDNESTHCQVLWAGFDKIECEGRITRQVLLLGCWYGFQVWDVEEPDNVHNLVSKHDGPVSFMQILPKPISLKQHGDKFANSRPLLIICADGSFPGGSNASQGVTTPRNGTIHHSHDVTNSGFVPTVVWFYSLRSHSYVHHVKFRSVVHSVRCSSRVVAVLQASQIHCFNAATLERDYNIVTNPIVTGYSGSGNMGFGPLAVGPRWMAYTGNPVAVSNCGRVNPQHLTPSASFPTWGANGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELRPDGNGSQAGGAHLKIQGFTNGHLPEAESVGMVLVRDIVSKDLVTQFRAHKSPISSLCFDPSGILLVTASVHGHNINVFRIIPSLLKNSGANQASYVHLYRLQRGITDAVIQDISFSCDSRWIMISSSRGTNHLFAISPSGGSVSFQSSDAYLSARNNGSCVMAKTSGHWPPSGVQELNDQVICESGPPVTLSVVGRIRSGNNGWKNTVSGAAAAATGRTSSLSMAIASAFLYCKSNNHSFDPSLLVSNYCLLVFSASGCLTQYALRASPGMDSIAASPSLSTTCEPGVEPETKLIVEAIQKWNICQKLNHKEREDNVDIYGDFGNSDSSKIFPEGMRRENGKYHETIDKVKGKVSSEERQHMYISEAELHMHQPVTPLWARPEIYFQSILFNDISMDADGACGGEIEIERIPTRTVEARSKDLVPVYNYLQAPKIQQQRMSGNNDQLLHIQRSEVSGKDNPMNSNLGYPHCTTNGCIEPYNGIEENGSNGCWMAPETTTKDIVYNSDSPKANTQLGFVNNSQISTKETQAKFVNNDIDGLKRKNHFEGEVEEFD >itb02g11460.t3 pep chromosome:ASM357664v1:2:7656439:7661160:-1 gene:itb02g11460 transcript:itb02g11460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDCQKLPQEGGKGGGLRSCRSSKNGFIPTSFRALSRIMSSGASTVASTVKSAASAASAIVDRDNESTHCQVLWAGFDKIECEGRITRQVLLLGCWYGFQVWDVEEPDNVHNLVSKHDGPVSFMQILPKPISLKQHGDKFANSRPLLIICADGSFPGGSNASQGVTTPRNGTIHHSHDVTNSGFVPTVVWFYSLRSHSYVHHVKFRSVVHSVRCSSRVVAVLQASQIHCFNAATLERDYNIVTNPIVTGYSGSGNMGFGPLAVGPRWMAYTGNPVAVSNCGRVNPQHLTPSASFPTWGANGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELRPDGNGSQAGGAHLKIQGFTNGHLPEAESVGMVLVRDIVSKDLVTQFRAHKSPISSLCFDPSGILLVTASVHGHNINVFRIIPSLLKNSGANQASYVHLYRLQRGITDAVIQDISFSCDSRWIMISSSRGTNHLFAISPSGGSVSFQSSDAYLSARNNGSCVMAKTSGHWPPSGVQELNDQVICESGPPVTLSVVGRIRSGNNGWKNTVSGAAAAATGRTSSLSMAIASAFLYCKSNNHSFDPSLLVSNYCLLVFSASGCLTQYALRASPGMDSIAASPSLSTTCEPGVEPETKLIVEAIQKWNICQKLNHKEREDNVDIYGDFGNSDSSKIFPEGMRRENGKYHETIDKVKGKVSSEERQHMYISEAELHMHQPVTPLWARPEIYFQSILFNDISMDADGACGGEIEIERIPTRTVEARSKDLVPVYNYLQAPKIQQQR >itb07g06420.t2 pep chromosome:ASM357664v1:7:4569001:4573510:1 gene:itb07g06420 transcript:itb07g06420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKQILKIMTLLTAVSAVWIFLLQTSVLPESYIWLVPLYLIVSLGCYGLLMVGVGLMTFPTCPREALLLQQDIFEAKDFLKKKGVDVGDD >itb07g06420.t1 pep chromosome:ASM357664v1:7:4569000:4573510:1 gene:itb07g06420 transcript:itb07g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MDFSVANFSSSRELYLVPLYLIVSLGCYGLLMVGVGLMTFPTCPREALLLQQDIFEAKDFLKKKGVDVGDD >itb09g13300.t1 pep chromosome:ASM357664v1:9:8641111:8645493:1 gene:itb09g13300 transcript:itb09g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTAVHPEGKKSKSDVVKRVPYAKPAFTVGEIKKAIPPHCFQRSVLRSFSYVVYDLIIASLLYYVATSYFHLLPHPLSYLAWPLYWICQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSGMKWYSKYLSNPPGRAFTLLVQLTLGWPLYLMFNVSGRPYPRFACHYDPYSPIYSDRERAQIFLSDAGIFAVTYGLYRLVAAKGLPWVLCVYGVPLLIVNGFLVLITFLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPVFNAMFREVKECMYVEPDEGDKNKGVFWYKNKL >itb09g13300.t2 pep chromosome:ASM357664v1:9:8641111:8645493:1 gene:itb09g13300 transcript:itb09g13300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRTAVHPEGKKSKSDVVKRVPYAKPAFTVGEIKKAIPPHCFQRSVLRSFSYVVYDLIIASLLYYVATSYFHLLPHPLSYLAWPLYWICQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSGMKWYSKYLSNPPGRAFTLLVQLTLGWPLYLMFNVSGRPYPRFACHYDPYSPIYSDRERAQIFLSDAGIFAVTYGLYRLVAAKGLPWVLCVYGVPLLIVNGFLVLITFLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPVFNAMFREVKECMYVEPDEGDKNKGVFWYKNKL >itb15g18560.t1 pep chromosome:ASM357664v1:15:20286266:20287932:1 gene:itb15g18560 transcript:itb15g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCKTIVLSRKRKTGAVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKSILIGRTDLGPKEVRAFMEKLAEEYSGNSYNLITKNCNHFCNDVCSRLTGKPIPRWVNRLARLGNKLLSLFHISTPPLSFEQGPNKKTKNFFLVE >itb05g12530.t1 pep chromosome:ASM357664v1:5:19103025:19106956:1 gene:itb05g12530 transcript:itb05g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MAFASRFLSRSTRQLYSSQMIMRPDSAIPIRSFAKGAGAPAALKGDEMLKNVFLEVKKKFETAVGILRKEKITIDPEDPAAVTQYAKVMKTVREKANLQSESEKIKEAIDTQTNEIPDARTYLLTLKEIRIKSGLPDEHGVEEKMMNALDKVEKELKKPLLRNDKKGIALLTAEFDKINQKFGIRREDLPKYEEELEMKVAKAQLEELKKDALEAMETQKKREEFNDEEMPDVKSLDIRNFL >itb07g06980.t1 pep chromosome:ASM357664v1:7:5270103:5270975:1 gene:itb07g06980 transcript:itb07g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLLGQIEISFHGDLFHEILGARPHHLPSMTSVIHEVGGQWGTQGCGKTETEEAVMDIIDNEKKIVKYRIVKGDVLKSYKSFIVTCEVETNGDDKFVTWTVVYEKLKEEIPEPLTYMEYFFTVTKDLDNHHAKPNP >itb11g22850.t2 pep chromosome:ASM357664v1:11:24736343:24739422:-1 gene:itb11g22850 transcript:itb11g22850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGTTQKCKACEKTVYLVDQLRVDSKVYHKACFRCQHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGAPKMARERSTDQKLVRYTQAPKFQDCFLGLKRNVLLATKLFTPSKRLRLMAHHTTGLASSAAMAGA >itb11g22850.t1 pep chromosome:ASM357664v1:11:24736313:24739458:-1 gene:itb11g22850 transcript:itb11g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGTTQKCKACEKTVYLVDQLRVDSKVYHKACFRCQHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGAPKMARERSTDQIHTSTKVSRLFSGTQEKCVTCNKTVYPLEKVAVDGTSYHRACFKCSHGGCVISPSNYVAHEQRLYCRHHHTQLFKQKGNFSQLGSSEKVKVAVTDQNSNGTAKSDEIKSDEIL >itb05g20950.t1 pep chromosome:ASM357664v1:5:26889505:26892552:-1 gene:itb05g20950 transcript:itb05g20950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKLMDKVSSFGEILRIGGSEVGQKISAGMSSMSFKMREFFQGPNQVDMLIEEATAETLDGPDWETNLELCDMINQDRINSVEVIRGIKKRIVLTSPRIQYFALVLLETVAENCDKAFSEIAAEKVLDDMVKLIDDPQTVVNNRNKALMLIESWGESSSELRYLPVFEVTYKSLKERGISFPCRNNESLDAPPRSITDSEPSATFAQQIHDDIPPPFTFSAEQIKEIFDVSRNSAELLSTVLLSSPQPDALQDELTTTLAEQCRHSINTVQGIIQTAGDNETLLFEALNVNDELQKALSKYEDMMKPAAALSVTAQAPAPAMVPVATEPNEYPHAGKEEALIEKPAGSHSRVHGENKSDISDDLEMVFCRKSGSPSELGHDDQKLQPARDDLITF >itb12g18190.t1 pep chromosome:ASM357664v1:12:20424003:20431854:-1 gene:itb12g18190 transcript:itb12g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTKSAALLCSSDHCHRINSAPCKSAIFGGVFNSKPQRTLILKQFSSSSSWMLSQNAQCSSAVLPCKASLKAQETPAPDRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRCDVLTIEIEHVDAATIEKLEQQGVDCEPKASTIRIIQDKYLQKVHFSKSAIPLPKFMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSEEDLSSAVNALGGCDRGLYVEKWAPFVKELSVIVARGRDGSILCYPVVETIHRENICHIVKSPANVSWKVMKLATDVACKAVGSLAGAGVFAVELFLTDDDQILLNEVAPRPHNSGHHTIESCFTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNILGEDEGEPGFALANQLIGRALEIPGASIHWYDKPEMRKQRKVGHITFVGPSMGIVEARLRSALRENIMDSQPSGSVAPRVGIIMGSDSDLPVMKDAAKILREFDVPTEVKIVSAHRTPETMYSYALSAVERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVRLLGISDTSLQARMAQYQEDRRDEVLVKAERLEKGGWEEYLNS >itb12g18190.t3 pep chromosome:ASM357664v1:12:20424003:20431854:-1 gene:itb12g18190 transcript:itb12g18190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTKSAALLCSSDHCHRINSAPCKSAIFGGVFNSKPQRTLILKQFSSSSSWMLSQNAQCSSAVLPCKASLKAQETPAPDRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRCDVLTIEIEHVDAATIEKLEQQGVDCEPKASTIRIIQDKYLQKVHFSKSAIPLPKFMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSEEDLSSAVNALGGCDRGLYVEKWAPFVKELSVIVARGRDGSILCYPVVETIHRENICHIVKSPANVSWKVMKLATDVACKAVGSLAGAGVFAVELFLTDDDQILLNEVAPRPHNSGHHTIESCFTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNILGEDEGEPGFALANQLIGRALEIPGASIHWYDKPEMRKQRKVGHITFVGPSMGIVEARLRSALRENIMDSQPSGSVAPRVGIIMGSDSDLPVMKDAAKILREFDVPTEVKIVSAHRTPETMYSYALSAVERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVRLLGISDTSLQARMAQYQEDRRDEVLVKAERLEKGGWEEYLNS >itb12g18190.t2 pep chromosome:ASM357664v1:12:20424003:20431854:-1 gene:itb12g18190 transcript:itb12g18190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFTKSAALLCSSDHCHRINSAPCKSAIFGGVFNSKPQRTLILKQFSSSSSWMLSQNAQCSSAVLPCKASLKAQETPAPDRSSVSPIHGVSEMVVGVLGGGQLGRMLCQAASEMAIKVIVLDPMKDCPASLLAHHHVVGSYDDSATVEEFGKRCDVLTIEIEHVDAATIEKLEQQGVDCEPKASTIRIIQDKYLQKVHFSKSAIPLPKFMQIDNLESAKRAGDLFGYPLMVKSRRLAYDGRGNAVAKSEEDLSSAVNALGGCDRGLYVEKWAPFVKELSVIVARGRDGSILCYPVVETIHRENICHIVKSPANVSWKVMKLATDVACKAVGSLAGAGVFAVELFLTDDDQILLNEVAPRPHNSGHHTIESCFTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNILGEDEGEPGFALANQLIGRALEIPGASIHWYDKPEMRKQRKVGHITFVGPSMGIVEARLRSALRENIMDSQPSGSVAPRVGIIMGSDSDLPVMKDAAKILREFDVPTEVKIVSAHRTPETMYSYALSAVERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASALDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVRLLGISDTSLQARMAQYQEDRRDEVLVKAERLEKGGWEEYLNS >itb08g08250.t4 pep chromosome:ASM357664v1:8:7178216:7180082:-1 gene:itb08g08250 transcript:itb08g08250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYTNDGFHNLFCHLINRMPQDTETASKLSSACEKKHLYREIATTAESGWDFSSRWMRDGSDLTTLATTSVLPVDLNAFILKLELDIVFLASIIGENNIAERFNEASQARKIAINSIFWDTETGQWYDYWLSNSKFACEGVYKWDSLNQNKKPFASNFVPLWIDLFNKDKMTVQKTIQSLQSSGLLLPAGIAMTLSNTGQQWDFPNGWAPLQHIIVEGLTRSGSAEARSLAQDIARRWIRTNYEVYKKTGAMYEKYDVEKCGQYGGGGEYVSQTGFGWSNGVVLAFLEEFGWPKDLKMDC >itb08g08250.t1 pep chromosome:ASM357664v1:8:7177813:7182474:-1 gene:itb08g08250 transcript:itb08g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIETTQASANCVPADAGPVVPTTPLVTFLERLQETALRTFGHDGFDPKKYVDMALKEELSRTVEAFQSVTRGGNGSIPRSELEGFMGKYLVGPDKDLVYAEPVDFVGEPEGFLSKVESPEVRKWGLELHLLWKNLSRKVGDQVLERPGFHTLLPLKNPVIIPGSRFQEVYYWDSYWVIRGLLAGNMYETAKGIVANLISLIDQFGYVLNGARAYYSNRSQPPLLSSMVVDIYKRTGDMDLIKMSLPALLKEHEFWNSGMHKVIIQDAQGVNHSLSRYYAMWNKPRPESSTIDTETASKLSSACEKKHLYREIATTAESGWDFSSRWMRDGSDLTTLATTSVLPVDLNAFILKLELDIVFLASIIGENNIAERFNEASQARKIAINSIFWDTETGQWYDYWLSNSKFACEGVYKWDSLNQNKKPFASNFVPLWIDLFNKDKMTVQKTIQSLQSSGLLLPAGIAMTLSNTGQQWDFPNGWAPLQHIIVEGLTRSGSAEARSLAQDIARRWIRTNYEVYKKTGAMYEKYDVEKCGQYGGGGEYVSQTGFGWSNGVVLAFLEEFGWPKDLKMDC >itb08g08250.t3 pep chromosome:ASM357664v1:8:7177813:7180503:-1 gene:itb08g08250 transcript:itb08g08250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDIYKRTGDMDLIKMSLPALLKEHEFWNSGMHKVIIQDAQGVNHSLSRYYAMWNKPRPESSTIDTETASKLSSACEKKHLYREIATTAESGWDFSSRWMRDGSDLTTLATTSVLPVDLNAFILKLELDIVFLASIIGENNIAERFNEASQARKIAINSIFWDTETGQWYDYWLSNSKFACEGVYKWDSLNQNKKPFASNFVPLWIDLFNKDKMTVQKTIQSLQSSGLLLPAGIAMTLSNTGQQWDFPNGWAPLQHIIVEGLTRSGSAEARSLAQDIARRWIRTNYEVYKKTGAMYEKYDVEKCGQYGGGGEYVSQTGFGWSNGVVLAFLEEFGWPKDLKMDC >itb08g08250.t5 pep chromosome:ASM357664v1:8:7177813:7182034:-1 gene:itb08g08250 transcript:itb08g08250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIETTQASANCVPADAGPVVPTTPLVTFLERLQETALRTFGHDGFDPKKYVDMALKEELSRTVEAFQSVTRGGNGSIPRSELEGFMGKYLVGPDKDLVYAEPVDFVGEPEGFLSKVESPEVRKWGLELHLLWKNLSRKVGDQVLERPGFHTLLPLKNPVIIPGSRFQEVYYWDSYWVIRGLLAGNMYETAKGIVANLISLIDQFGYVLNGARAYYSNRSQPPLLSSMVVDIYKRTGDMDLIKMSLPALLKEHEFWNSGMHKVIIQDAQGVNHSLSRYYAMWNKPRPESSTIDTETASKLSSACEKKHLYREIATTAESGWDFSSRWMRDGSDLTTLATTSVLPVDLNAFILKLELDIVFLASIIGENNIAERFNEASQARKIAINSIFWDTETGQWYDYWLSNSKFACEGVYKWDSLNQNKKPFASNFVPLWIDLFNKDKMTVQKTIQSLQSSGLLLPAGIAMTLSNTGQQW >itb08g08250.t2 pep chromosome:ASM357664v1:8:7177813:7182034:-1 gene:itb08g08250 transcript:itb08g08250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIETTQASANCVPADAGPVVPTTPLVTFLERLQETALRTFGHDGFDPKKYVDMALKEELSRTVEAFQSVTRGGNGSIPRSELEGFMGKYLVGPDKDLVYAEPVDFVGEPEGFLSKVESPEVRKWGLELHLLWKNLSRKVGDQVLERPGFHTLLPLKNPVIIPGSRFQEVYYWDSYWVIRGLLAGNMYETAKGIVANLISLIDQFGYVLNGARAYYSNRSQPPLLSSMVVDIYKRTGDMDLIKMSLPALLKEHEFWNSGMHKVIIQDAQGVNHSLSRYYAMWNKPRPESSTIDTETASKLSSACEKKHLYREIATTAESGWDFSSRWMRDGSDLTTLATTSVLPVDLNAFILKLELDIVFLASIIGENNIAERFNEASQARKIAINSIFWDTETGQWYDYWLSNSKFACEGVYKWDSLNQNKKPFASNFVPLWIDLFNKDKMTVQKTIQSLQSSGLLLPAGIAMTLSNTGQQWDFPNGWAPLQHIIVEGLTRSGSAEARSLAQDIARRWIRTNYEVYKKTGAMYEKYDVEKCGQYGGGGEYVSQTGFGWSNGVVLAFLEEFGWPKDLKMDC >itb10g06650.t1 pep chromosome:ASM357664v1:10:7467366:7467686:-1 gene:itb10g06650 transcript:itb10g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPEGGSMSGMDNQSVSVQDYDEEAASPIRTTTKIVERPMVHLDSNPEGVGPSTWVNSFLAQTDSSSFTSRVAPTFDTA >itb06g24240.t2 pep chromosome:ASM357664v1:6:25878496:25880674:-1 gene:itb06g24240 transcript:itb06g24240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDPFYIVKEEIQESIEKLLSTFHQWERTPPSSGDRLHLSKELITTCDSIDWQVDELNKTIAVAARDPSWYGIDEAELEKRRRWTSDAHTQVGNVKKAVVAVKESNGMSSSNFDGMRRELMRMPDSHQTGRTDPYSAQDNDDFISSESDRQLLLIKQQDEELDVLSASIERIGDVGLTIHDELIGQVIVAFT >itb06g24240.t1 pep chromosome:ASM357664v1:6:25877845:25880674:-1 gene:itb06g24240 transcript:itb06g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDPFYIVKEEIQESIEKLLSTFHQWERTPPSSGDRLHLSKELITTCDSIDWQVDELNKTIAVAARDPSWYGIDEAELEKRRRWTSDAHTQVGNVKKAVVAVKESNGMSSSNFDGMRRELMRMPDSHQTGRTDPYSAQDNDDFISSESDRQLLLIKQQDEELDVLSASIERIGDVGLTIHDELIGQEKIISNLDSEMDNTSNRLDFVQKKVAMVMKKASAKGQIMMICFLLVLFVVLFSLVFLT >itb04g13170.t1 pep chromosome:ASM357664v1:4:13213521:13222240:-1 gene:itb04g13170 transcript:itb04g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCNAKPCNPTLELVGKLYNTVEVGDTDVVMLSDPILNDHLQNRSCDSFNWNSSSTSFPNSSSISFEVPSFQFLFLFKCDKNLSHYSRRKMDSYFKGYRSYSNCRNFTLYYKENQDTIAHGRVPKDCSLIQLPFDNTTKSNDLFERLTPQIVIFWKVSKECSDCHNRGGQCQSNSNNSFKCLEGKLGDGREVAVKRLYERNCKRMEQFVNEIKILTRLRHRNLVTLYGCSSRNSSSLLLVYEYIPNGTLADHLHGERAKHKSLTWPIRINIAIQTANALAYLHASDIIHRDVKTANILLDHNFAVKVADFGLSRLFPIDVTHVSTMPQGTPGYFDPEYYESYKLTDRSDVYSFGVVLAELISSMPAVDMDRDSHEINLANYAMNRILASTYDALVDPSLGFGTDTEVTRMTTSVAELAFRCLQPRKDMRPTMFDVLETLLEIQGGHGMKDEIGFTKCPLSPDTEEILLKTKFPTLPNSVTDKWICGTSTSCNSV >itb12g00460.t1 pep chromosome:ASM357664v1:12:381313:382676:-1 gene:itb12g00460 transcript:itb12g00460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAATTGEPSRLSTESVSSRPPSPPPLSLVEPSVNKKKGNKPEKVFRVVRSVFRSFPIITHPVCKFPALPNGSRFQESGARVTGTLFGYRKGRVSLSVQENPGTLPTLVVELAMQTQALQKEMSQGMVRIALECEKRTDKMKLLDEPVWSMFCNGKKIGYSKKRDATDEDLSVMESLKVVSMGAGVLPSTKPDVEGPDAEIAYVRACFDRTVGSKDSETLYMMSPDGDSGPELSIFFVRL >itb12g20500.t1 pep chromosome:ASM357664v1:12:22984374:22993540:1 gene:itb12g20500 transcript:itb12g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPENITLLLLIIYLFAFHPISASHFNTTNTHSLLLPKNLREANELEVASLLTWKSSLDLTSQKLLSSWVVGGSHCNWTGINCNVDGSITSLNLTGYGLRGTLRGLNFSSMSSLEIIDLSVNAFHGNISFIEEMSNLQNLTTLDLGSNQLFGHIPQEIGLLVSIVELGLYDNSLIGPIPTSIGNLKNLKWLYLGVNNLSSKIPPEIGTLSMLVHLSLAENRLYGSIPRELGKLTSLQRLWLYSNNLNGQIPISLGNLQNLKILSLYTNNLSGHIPQELGLLKSLVVCSLSSNTLTGQIPPEIGNLSMLVNLSLWGNQLYGSIPKELGKLASLQGLFLYSNNLNGQIPISLGNLQNLKFLSLYTNNLSGHIPQELGLLKSLVVCSLSSNALMGHIPPEIGNLSMLVNLSLWGNQLYGSIPKELGKLTSLQGLFLSSNSLNGQIPISLGNLQNLKFLFLYTNNLSGHISGHISQELGLLKSLVVCDLSSNALMGQIPLEIGSLISLTEINFRSDKLIGQIPTSIGNLRNLTIIYLDQNQIFGNIPLEMGNLSKLTNLGLSENKLYGSIPEEFGKLKSLQKLWLYSNNLNGQIPRSFDNFTHLITFQVFDNHLTGSLPENLCLGQSLENVSVAYNEFSGKIPKSLKYCTTLYRLRLESNELYGDISKDFGIYPNLDYIDLSYNNFYGRLSSKWALCPNLTALKIAGNKILGNIPLDLGNAPLLQYLDLSSNQLVGKIPTSLGKLSKLYVLKLDNNKLTGNIPLELGQLSLLSELNLASNKFVDSIPPQIGRCQRLITLNLSINMLVGKIPLDILSLKSLENLDLNHNMLSTQIPPQVGGLTNLQTLDLSHNNLSGSIPSSIVQCVALVSVDISYNRLEGPIPNTKAFLQAPYSALSNNIGLCGNHSGLMPCSLQSQSDDGLNINLVVIMSIVLGSLFLFTMVIIIFVIFQRKMRNTREEQRDFTNKDLFTIWSFDGKMTYESIIEATGNFDSSYCIGVGGHGSVFRAELPCGQIVAVKKFHTLGVQDDESWHDLRSFSNEISTLTNLRHRNIVKLYGFCAHNRHSFLIYEYLQGGSLAQILSDDEKALQVGWLERINVVKAVAKALSYMHHDCLPPIIHRDISSNNILFDSEHEAHVSDFGAARFLSFDSSNWTSIAGTMGYTAPEFAYTAEVNCKCDVYSFGVVTLEVIMGKHPGDLITCLSSSSFSAIDGMLFKDLLDPRLPTPKRNVTQQLVLVAKIAVSFRNSVCGFNMRMHKEVGNLGTTRFLSPDSSNWTAFAGTMGYIAPEFAYTAEVNCKCDVYSFGVVTLEVLMGKHPGDLVTYISSSSLSATAGMLLMDLLDPRLSTPKRHDAQQLVLLAKIGVSWLSAQTWADMAQEIVKIFFYIGFRVECSDGDVNYSPYGSGKWSCRWTLASGSDVTQLALHTQLSGENDVAGFDDGDNGSRKRRSSSKLGTKTECLSLDQLQFWASSPILLTLGRELTSVGFLYKEDNKGQIPETAPTIPGIFPNMLTLAPGQTCDFHLNSRRLWGTVTTHKRGGSLKSMVELSDANSASQGFIRMGLT >itb09g27800.t1 pep chromosome:ASM357664v1:9:28270577:28272628:-1 gene:itb09g27800 transcript:itb09g27800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWRGSGRRWTGRQKQQEWFRGGRLGGTRQQKKRSLERSTTVLLPLSSLPVGFRFYPTDEELINHYLKLKINGSKAKVNVIRKIDICKLEPWDLPGE >itb15g08060.t1 pep chromosome:ASM357664v1:15:5673203:5677501:-1 gene:itb15g08060 transcript:itb15g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKESLSSVWSMGYSLGTSGRTSGQQDISDELQVNRASTFHDRHEFPSSKPCIDKRHEVRVHAVNAHLVQLKTSKNFQIGSVSSKGDELVKHMSNLPVYLQRAENERSVQEKALNFGVLDWKRLENWKLNESMPAGSHRKSSSAGSDSLVVTGKPPKVCAMSSSQEKKLRIGTSKMNTDNEDNLAPQNCAPKPQKMVNLSPKAKHSRRSYSGIPQISESRTLSNVDSVEPEKNRDSGSYFSQEPYYKELYPTRLSCSLPINESSSSKSGRKQQNSYAHRRNSDFLPCHSQDDMLTLPTQTEAKRHSKKKPSLTSLDSFETSQKMDLDIAGQPALEGRHLSHNRKFSFSLGRLSISFTSKQTSVLPELSSENCTSHFMDSDTRDKANGSGGGRSSPLRRLLNPLVRSKRIHSAKTSKPTTNSNENVSAKKHELSTSEALLHLMCKEGLPFFKFVANNGNDILAAAVKKLPTSGKGDGCLIYSFCKVSVIKKKTGGWVGQGSKEKCSGLGYNIIGMMKVSSSRVLNPNSEDREDESVVRESVLYSVDLGQGDKQTPEFLPNRELAAIMVRNQTMKHNEGDIVVILPGAIHGLPHKGAPSSLIERWRSGGSCDCGGWDIGCKLRVLAGDGKNHDNQDSISLFVQRGDQEKEPVFCLSPLKNGLYSVQYDASITLLETFSACVAILTSQKLSHILKVPKQKKIISASSTTIQGQGPARYVPSPPLSPVGRI >itb15g08060.t2 pep chromosome:ASM357664v1:15:5673203:5677227:-1 gene:itb15g08060 transcript:itb15g08060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSKESLSSVWSMGYSLGTSGRTSGQQDISDELQVNRASTFHDRHEFPSSKPCIDKRHEVRVHAVNAHLVQLKTSKNFQIGSVSSKGDELVKHMSNLPVYLQRAENERSVQEKALNFGVLDWKRLENWKLNESMPAGSHRKSSSAGSDSLVVTGKPPKVCAMSSSQEKKLRIGTSKMNTDNEDNLAPQNCAPKPQKMVNLSPKAKHSRRSYSGIPQISESRTLSNVDSVEPEKNRDSGSYFSQEPYYKELYPTRLSCSLPINESSSSKSGRKQQNSYAHRRNSDFLPCHSQDDMLTLPTQTEAKRHSKKKPSLTSLDSFETSQKMDLDIAGQPALEGRHLSHNRKFSFSLGRLSISFTSKQTSVLPELSSENCTSHFMDSDTRDKANGSGGGRSSPLRRLLNPLVRSKRIHSAKTSKPTTNSNENVSAKKHELSTSEALLHLMCKEGLPFFKFVANNGNDILAAAVKKLPTSGKGDGCLIYSFCKVSVIKKKTGGWVGQGSKEKCSGLGYNIIGMMKVSSSRVLNPNSEDREDESVVRESVLYSVDLGQGDKQTPEFLPNRELAAIMVRNQTMKHNEGDIVVILPGAIHGLPHKGAPSSLIERWRSGGSCDCGGWDIGCKLRVLAGDGKNHDNQDSISLFVQRGDQEKEPVFCLSPLKNGLYSVQYDASITLLETFSACVAILTSQKLSHILKVPKQKKIISASSTTIQGQGPARYVPSPPLSPVGRI >itb02g03870.t2 pep chromosome:ASM357664v1:2:2294151:2296927:-1 gene:itb02g03870 transcript:itb02g03870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRKLPKHVQKSAAVHSPTPPSVLQLSVLDTAVGALSPATQTQFTRLVKTHLKPSFTPKDLLSFIKKHIHYHPTLTHLDFHLFRHAASVDSFRHDHSTLEWMARTLAVSHRLDSLSSLLQFIAANPCPCADGIFSCPRTEPIFRFAINAYCRSGRFDDALLAFDTMKRLIDGKPEVALYNIMIHGFLKFRHYDRALEFYDRMIKDRIKPDLITFNTLISGHCRNSQLGLALQMFREMKNYGCVPNVVSFNTLIKRFLWEGKIEEGIGMAYEMIELGHEMSSVSCEILVDGLCRKGMINKACDLLVDFSRKGVMPSGFDYFAVVERLCGEGNVGRAMELVNELWRKGNTPSLIACTTLIEDLRKARRIEEASKLVGDMLRKCIVPDGVTFNCLLSDMCNAGKSVEANKLRMLGSSKGLRPDAMTYSILISGFTREGKSKEGEILVDEMLDNGFIPDIFTYNRFMNELAKAKC >itb02g03870.t3 pep chromosome:ASM357664v1:2:2294150:2296927:-1 gene:itb02g03870 transcript:itb02g03870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRKLPKHVQKSAAVHSPTPPSVLQLSVLDTAVGALSPATQTQFTRLVKTHLKPSFTPKDLLSFIKKHIHYHPTLTHLDFHLFRHAASVDSFRHDHSTLEWMARTLAVSHRLDSLSSLLQFIAANPCPCADGIFSCPRTEPIFRFAINAYCRSGRFDDALLAFDTMKRLIDGKPEVALYNIMIHGFLKFRHYDRALEFYDRMIKDRIKPDLITFNTLISGHCRNSQLGLALQMFREMKNYGCVPNVVSFNTLIKRFLWEGKIEEGIGMAYEMIELGHEMSSVSCEILVDGLCRKGMINKACDLLVDFSRKGVMPSGFDYFAVVERLCGEGNVGRAMELVNELWRKGNTPSLIACTTLIEDLRKARRIEEASKLVGDMLRKCIVPDGVTFNCLLSDMCNAGKSVEANKLRMLGSSKGLRPDAMTYSILISGFTREGKSKEGEILVDEMLDNGFIPDIFTYNRFMNELAKAKC >itb02g03870.t1 pep chromosome:ASM357664v1:2:2294101:2296927:-1 gene:itb02g03870 transcript:itb02g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRKLPKHVQKSAAVHSPTPPSVLQLSVLDTAVGALSPATQTQFTRLVKTHLKPSFTPKDLLSFIKKHIHYHPTLTHLDFHLFRHAASVDSFRHDHSTLEWMARTLAVSHRLDSLSSLLQFIAANPCPCADGIFSCPRTEPIFRFAINAYCRSGRFDDALLAFDTMKRLIDGKPEVALYNIMIHGFLKFRHYDRALEFYDRMIKDRIKPDLITFNTLISGHCRNSQLGLALQMFREMKNYGCVPNVVSFNTLIKRFLWEGKIEEGIGMAYEMIELGHEMSSVSCEILVDGLCRKGMINKACDLLVDFSRKGVMPSGFDYFAVVERLCGEGNVGRAMELVNELWRKGNTPSLIACTTLIEDLRKARRIEEASKLVGDMLRKCIVPDGVTFNCLLSDMCNAGKSVEANKLRMLGSSKGLRPDAMTYSILISGFTREGKSKEGEILVDEMLDNGFIPDIFTYNRFMNELAKAKC >itb03g30490.t1 pep chromosome:ASM357664v1:3:32867407:32871237:-1 gene:itb03g30490 transcript:itb03g30490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSKSKTLIPNLLSSTLGGLLRRTLIPSAIPRFGPAFVTSRRFESIRAEPAESPDDLEVLDFPGGKVKFTSYMKFISETHDERIHCYRVLNDDGFPVTNNFVKIDKKVAVKMYTDMITLQTMDTIFYEAQRQGRISFYVTTIGEEAINIASAAALHMDDFIFPQYREPGVLIWRGFTLQEFANQCFGNKDDNGKGRQMPIHYGSNQHNYFTVASTVATQIPHAVGAAYSLKRDGRDACTVVYFGDGGSSTGDFHAALNFAGVMEAPVIFFCRNNGWAISTPVSDQFRSDGVVSRGKAYGVRSIRVDGNDAVAIFSAMSAAREMAVKEHKPVLIEALTYRAGHHSTSDDSTKYRPADEIELWKSARDPVTRFRKWIQREGWWDTAAESQLRGNLRKQVLHAIQVAERAEKPPISEAFTDVYDVPPANLCEQEMSLRDTITRHPQDYPSDVPF >itb11g19460.t1 pep chromosome:ASM357664v1:11:20239882:20240481:1 gene:itb11g19460 transcript:itb11g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFFLLSLFLFSILLFQVIEAQVLDTRGKIVRAGVKYYVVPLLQDQGGGFDLASTGSQSCPQSVVQDDVYWWGNTIQFYPVNSQKGVIREWTDLNIEFPDVYTGCPESKVWTITGDPSSYDITHYITDGGSKGNPGQQTLSNWFQIVKTANAYKFMFCPSVCNYCSYVCQDVGISIEGGQRRLVLSNTPLEINFKKA >itb14g04050.t1 pep chromosome:ASM357664v1:14:3625478:3627152:1 gene:itb14g04050 transcript:itb14g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIPNVKKLWVRYEEPELKGKMHPIDLLHTLSHLKQLEDIRFDGHDWLKYSGLVNIPKPYDFPLKLKKLKFFKTWMKLGITMTILGKLPNLEVLQLKLHAFDDSETEWEQVEEGFPKLKVLVFKYPKLHIWKDSDFTFLSLECLVLKVLSDLESLPYECLSRCPCLKLIHLEGYCRDGVLESAKKIQNDGDGQLEKQNKK >itb07g18920.t1 pep chromosome:ASM357664v1:7:23326330:23328900:1 gene:itb07g18920 transcript:itb07g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPTYSSLVYSYPRTHSRFHLPQQRCYVAPRTSPRHLLPSMNLRSRRLTLRCCSSVSPGGPGPGENESKTILDAFFLGKAIGEALSERVESTVGEFLSTIGRLQAEQQKQVQEFQEEVLDRAKKAKEKAARESMESQGLIAPNTTTVNGTSFGTTSPNPPNVQDFNREPDPTDTDILFGISNED >itb14g02640.t1 pep chromosome:ASM357664v1:14:2266215:2268243:-1 gene:itb14g02640 transcript:itb14g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEVSGDSSPVARENPKEVDPELQIFEFERTNNESRFDDDFHSMSALEILRETVWILRFNSMGFISIMALLILPVSAVLLSNVLVNQSLVRRLTVRLLLVVRSSGISLGHFFKQYGHKFSEKVVAAAVSFPLCATFLLLSKAAIVYAVDCTYSREQFDSLKFYMIMTNIWKRIVFTYLWVCTVISGCVTLFLLLLVAVSTMFSMSGLPSNLILYPAVVVGMIFAIILANCVVICNIAIVISVLEDVSGPQALLRSRSLIKGKTQVGLLIFLGTTVGMAFVEGLFDHRVKTLSYGDGSSRIWEGPLLVVMYSFLLLLDSMMNTVFYFCCKSYRVETYNEESQPVLEPSKISSTSTEVQ >itb09g18960.t1 pep chromosome:ASM357664v1:9:15031767:15033128:-1 gene:itb09g18960 transcript:itb09g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGNQNPWAPYDSYKDCSLGICSVYCPQWCFYVLPPPPPSDDEDSGPTFSPLIIAIIGILASAFLLVTYYTVITKYCRRRRGDSSSGAGDLEANNTPQDQWQLLTASSGLDETVIKSIAVFKYRKSEGVVEGTECSVCLSDFQDDENLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRANVASPNPNQLPPPPPPPTAPLQSELVIDRDDDHREAEEVSSVVSLCDASLKLGCSNGESSENNGEKGEGVKLQFRRSISLGAQQRQLILGRIDDHQQLLLQAESSKGNNSRRRTHAAFGRSISTGRLMFSRYDNGNNL >itb11g18310.t1 pep chromosome:ASM357664v1:11:18526527:18527552:-1 gene:itb11g18310 transcript:itb11g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVEEHQPTNPPSPPRKKAKIQQNEPCPNTLIHKIKAHHAQCNSILFLNTPNHLVSGGRDNNSDNNHNPSLKIWDTLTASFVNSLDGFNGFVSADLAFNPTNNLLVAGLSTHKLCVWDLNHSTQPRRTLIGHDQKICAVDISQFTGRVVIGAAANSHVLKAWDLSRDPEIGSSCYPWISSYTSSPCNALRFTKDDTIFCSGHVNGTVKFTNIDRFFARSIGEIAAHTQPVTSICAMQDGNVVLSSGRDNVHNVIDVRVMKVCGKLKSKGVKVVARTCVSPCGEYAAVGSTEGVVYVWSIKMGKMVGALKGHGGPVVCCSWSSGGSPLASCDSDGNICIWS >itb15g12600.t3 pep chromosome:ASM357664v1:15:10504715:10514786:1 gene:itb15g12600 transcript:itb15g12600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVTVATMSLSTTMLRRSSTLHYHTRHSRFPLISLPIPRKLHFPLKFPSTSPNCRVLIVRNSSNITAKPSSEFQKKPRGPDQEERLRALRQLFTRPDINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRSGNWGVPTPSEWLNSVLSPGSRIGIDPFLFSSDAAEELKEDISKGNHELVFLSNLNLVDEIWKESRPQPPKKPIRVHDLKYAGADVSSKLFSLRSDLINAGSSAIVISMLDEIAWLLNLRGSDVRHSPVMYAYLIVEIDGAKLFIDDSKITPEVMDYLKSVGVELRAYESIISEIESLAEKGANLWLDKSSVNAAITNAYKTACDRYSRSPGIKKRSNKSTNLDTNGLSGQPHAFYRSSPVSMAKAVKNSAELEGMQNCHLRDAAALAHFWAWLEEEICKDVGLTEVEVAEKLLEFRSKQHGFLDTSFDTISGSGANGAIIHYKPEPDSCSIVNRHELFLLDSGAQYVDGTTDITRTVHFGEPSSREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARASLWKVGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPMVPGMVVSNEPGYYEDHSFGIRIENLLYVKEMDTPNRFGGIGYLGFEKLTFVPIQVFELYKIMSI >itb15g12600.t2 pep chromosome:ASM357664v1:15:10504727:10514559:1 gene:itb15g12600 transcript:itb15g12600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVTVATMSLSTTMLRRSSTLHYHTRHSRFPLISLPIPRKLHFPLKFPSTSPNCRVLIVRNSSNITAKPSSEFQKKPRGPDQEERLRALRQLFTRPDINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRSGNWGVPTPSEWLNSVLSPGSRIGIDPFLFSSDAAEELKEDISKGNHELVFLSNLNLVDEIWKESRPQPPKKPIRVHDLKYAGADVSSKLFSLRSDLINAGSSAIVISMLDEIAWLLNLRGSDVRHSPVMYAYLIVEIDGAKLFIDDSKITPEVMDYLKSVGVELRAYESIISEIESLAEKGANLWLDKSSVNAAITNAYKTACDRYSRSPGIKKRSNKSTNLDTNGLSGQPHAFYRSSPVSMAKAVKNSAELEGMQNCHLRDAAALAHFWAWLEEEICKDVGLTEVEVAEKLLEFRSKQHGFLDTSFDTISGSGANGAIIHYKPEPDSCSIVNRHELFLLDSGAQYVDGTTDITRTVHFGEPSSREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARASLWKVGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPMVPGMVVSNEPGYYEDHSFGIRIENLLYVKEMDTPNRFGGIGYLGFEKLTFVPIQVFELYKIMSI >itb15g12600.t1 pep chromosome:ASM357664v1:15:10504715:10514913:1 gene:itb15g12600 transcript:itb15g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVTVATMSLSTTMLRRSSTLHYHTRHSRFPLISLPIPRKLHFPLKFPSTSPNCRVLIVRNSSNITAKPSSEFQKKPRGPDQEERLRALRQLFTRPDINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRSGNWGVPTPSEWLNSVLSPGSRIGIDPFLFSSDAAEELKEDISKGNHELVFLSNLNLVDEIWKESRPQPPKKPIRVHDLKYAGADVSSKLFSLRSDLINAGSSAIVISMLDEIAWLLNLRGSDVRHSPVMYAYLIVEIDGAKLFIDDSKITPEVMDYLKSVGVELRAYESIISEIESLAEKGANLWLDKSSVNAAITNAYKTACDRYSRSPGIKKRSNKSTNLDTNGLSGQPHAFYRSSPVSMAKAVKNSAELEGMQNCHLRDAAALAHFWAWLEEEICKDVGLTEVEVAEKLLEFRSKQHGFLDTSFDTISGSGANGAIIHYKPEPDSCSIVNRHELFLLDSGAQYVDGTTDITRTVHFGEPSSREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARASLWKVGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPMVPGMVVSNEPGYYEDHSFGIRIENLLYVKEMDTPNRFGGIGYLGFEKLTFVPIQTKLVDLSILSAAEVAWLNSYHLQVWEKVSGLVDGGAREWLWNNTRPIDSSPTPT >itb15g12600.t4 pep chromosome:ASM357664v1:15:10504715:10514206:1 gene:itb15g12600 transcript:itb15g12600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVTVATMSLSTTMLRRSSTLHYHTRHSRFPLISLPIPRKLHFPLKFPSTSPNCRVLIVRNSSNITAKPSSEFQKKPRGPDQEERLRALRQLFTRPDINIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRSGNWGVPTPSEWLNSVLSPGSRIGIDPFLFSSDAAEELKEDISKGNHELVFLSNLNLVDEIWKESRPQPPKKPIRVHDLKYAGADVSSKLFSLRSDLINAGSSAIVISMLDEIAWLLNLRGSDVRHSPVMYAYLIVEIDGAKLFIDDSKITPEVMDYLKSVGVELRAYESIISEIESLAEKGANLWLDKSSVNAAITNAYKTACDRYSRSPGIKKRSNKSTNLDTNGLSGQPHAFYRSSPVSMAKAVKNSAELEGMQNCHLRDAAALAHFWAWLEEEICKDVGLTEVEVAEKLLEFRSKQHGFLDTSFDTISGSGANGAIIHYKPEPDSCSIVNRHELFLLDSGAQYVDGTTDITRTVHFGEPSSREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARASLWKVGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPMVPGMVVSNEPGYYEDHSFGIRIENLLYVKEMDTPNRFGGIGYLGFEKLTFVPIQVFELYKIMSI >itb06g15540.t3 pep chromosome:ASM357664v1:6:19858720:19862283:1 gene:itb06g15540 transcript:itb06g15540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGLRLYCFCFTLLSLFTFCTSADTITPDHPITDGATIVSAGGNFELGFFSPGKSMNRYVGIWYSKIPSKDVVWVANRDTPLNNTSGKLILKDNGILVLLNGSKEEIWSTNSSISLKNPVAQLSDRGNLVVRAGNDHSSKNSAWQSFDYPGNTLLPGMKLGRNLATGHAWYQTSWKSADDPAVGEYTEMIDINGFPEIFQFRGANKSPISRSGPWNGEMFTGAPSIKDNPYYTFDFIMNDREIYYTYESIDSSVPTRVVMNPTGMIDRLTWIERTKSWIVYLTAQTDNCDRYGMCGAFGKCNINSSPPCDCLKGFIPKYPQDWEATDWSNGCVRRTPLDCGDADRFFIYRGVKLPDTRHSWYDRSIGLEECKRLCLKNCSCTAYSNIDVRDGGSGCLLWFGNLTDIRDFDEVDQDLYIRIAASDFDISQNGQKKQEVTKIVIPTISGILILSSLVWFALYKRNKGAKIGKEDCDLPLFTLETLVSATNNFSSDNLIGEGGFGPVYKGKLPTGTEIAVKKLSENSGQGVQEWENEVIIIAKLQHRNLVTLQGCCAERGQRILIYEYMPNNSLDYFIFGLIFLTSKLKKTSSVTIATKFLIQN >itb06g15540.t1 pep chromosome:ASM357664v1:6:19858720:19862283:1 gene:itb06g15540 transcript:itb06g15540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGLRLYCFCFTLLSLFTFCTSADTITPDHPITDGATIVSAGGNFELGFFSPGKSMNRYVGIWYSKIPSKDVVWVANRDTPLNNTSGKLILKDNGILVLLNGSKEEIWSTNSSISLKNPVAQLSDRGNLVVRAGNDHSSKNSAWQSFDYPGNTLLPGMKLGRNLATGHAWYQTSWKSADDPAVGEYTEMIDINGFPEIFQFRGANKSPISRSGPWNGEMFTGAPSIKDNPYYTFDFIMNDREIYYTYESIDSSVPTRVVMNPTGMIDRLTWIERTKSWIVYLTAQTDNCDRYGMCGAFGKCNINSSPPCDCLKGFIPKYPQDWEATDWSNGCVRRTPLDCGDADRFFIYRGVKLPDTRHSWYDRSIGLEECKRLCLKNCSCTAYSNIDVRDGGSGCLLWFGNLTDIRDFDEVDQDLYIRIAASDFDISQNGQKKQEVTKIVIPTISGILILSSLVWFALYKRNKGAKIGKEDCDLPLFTLETLVSATNNFSSDNLIGEGGFGPVYKGKLPTGTEIAVKKLSENSGQGVQEWENEVIIIAKLQHRNLVTLQDESIKGILTWQKRFEIAVGISRGLLYLHQDSRFKIIHRDLKASNILLDAELNAKISDFGLARIVGEDDALARTKRIIGTYGYMSPEYAVDGNFSVKSDVFSLGVILLELISGRKNRTFHHSDHHHNLLGHAWLLWNDGNPIELMDNCVRDSYVESQVLRCIHVALLCVSKLPEDRPTMASVVFMLENDEIALPQPKEPGFFVERNSTEASPTNEETSHSEVAKMTFSVLEPR >itb06g15540.t2 pep chromosome:ASM357664v1:6:19858720:19862283:1 gene:itb06g15540 transcript:itb06g15540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGLRLYCFCFTLLSLFTFCTSADTITPDHPITDGATIVSAGGNFELGFFSPGKSMNRYVGIWYSKIPSKDVVWVANRDTPLNNTSGKLILKDNGILVLLNGSKEEIWSTNSSISLKNPVAQLSDRGNLVVRAGNDHSSKNSAWQSFDYPGNTLLPGMKLGRNLATGHAWYQTSWKSADDPAVGEYTEMIDINGFPEIFQFRGANKSPISRSGPWNGEMFTGAPSIKDNPYYTFDFIMNDREIYYTYESIDSSVPTRVVMNPTGMIDRLTWIERTKSWIVYLTAQTDNCDRYGMCGAFGKCNINSSPPCDCLKGFIPKYPQDWEATDWSNGCVRRTPLDCGDADRFFIYRGVKLPDTRHSWYDRSIGLEECKRLCLKNCSCTAYSNIDVRDGGSGCLLWFGNLTDIRDFDEVDQDLYIRIAASDFDISQNGQKKQEVTKIVIPTISGILILSSLVWFALYKRNKGAKIGKEDCDLPLFTLETLVSATNNFSSDNLIGEGGFGPVYKGKLPTGTEIAVKKLSENSGQGVQEWENEVIIIAKLQHRNLVTLQGCCAERGQRILIYEYMPNNSLDYFIFDESIKGILTWQKRFEIAVGISRGLLYLHQDSRFKIIHRDLKASNILLDAELNAKISDFGLARIVGEDDALARTKRIIGTYGYMSPEYAVDGNFSVKSDVFSLGVILLELISGRKNRTFHHSDHHHNLLGHAWLLWNDGNPIELMDNCVRDSYVESQVLRCIHVALLCVSKLPEDRPTMASVVFMLENDEIALPQPKEPGFFVERNSTEASPTNEETSHSEVAKMTFSVLEPR >itb07g22950.t1 pep chromosome:ASM357664v1:7:27434186:27438651:1 gene:itb07g22950 transcript:itb07g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALELVGANDTKERMAGVERLHLHRHRTPASGHHHRTPVIANLRNENEPSLDSADKVEQLKELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQKQIRIWDNANEIIKGIVVVLILKVTRDGYHAIGLVLSASEEWGVVNSGGECIWSVHPMCNRLSGDIYFSSCDFGAVPIPNMEDKERLHGPLYRLVMGISALNVDGMMGRLKQIRIWDNANEIIKGIVVVLILKVTRDGYHAIGLVLSASEEWGVVNSGGECIWSVHPMCNRLSGDIYFSSCDFGAVPIPNMEDKERLHGPLYRLVMGISALNVDGMMGRLVSLHMRYQLPTL >itb01g31740.t1 pep chromosome:ASM357664v1:1:35377629:35379713:1 gene:itb01g31740 transcript:itb01g31740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTGTRTKRILLFLSCIILSIGNCGGPLLIRLYFLRGGNRIWFSSWLQTAGFPALFIPLLVSYHRRRRACSDESSKTTKFFLISPFLSIAAALLGVLVGVDNYLYSYGMAKLPVSTSSIIIATQLAFTAGFAFLLVKQKFTAFSVNAVVLLTVGAVILGIRAGSDRPAGESNKAYILGFVLTVGAAALYGLILPLIELSYLKAKQTVSFSLVLEFQMIMGFVGTIFATVGMIINRDFEVIPREAREFELGVTKYYVLAVFSGIIWQFFFVGALGVISYGSSLLSGVIITVLLPITEILAVIFYHEKFQAEKGIALFLSIWGFVSYFYGDIKNTIKVNESAETEITTHPTLASTQPV >itb05g19770.t1 pep chromosome:ASM357664v1:5:26112239:26113299:-1 gene:itb05g19770 transcript:itb05g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIVLKLESLDDKIAQKVMKKVSELYGVESMSVDKNKKLLTVTGIIDPVLLVAKLRKVCNTEIVSAGPKEGEKKKGDENNKGGDEKKGEDGKKKGGAQAAGGGAQPFVYYPPYPYQQYHYYQQQYPPPAPAYYNSYRAVDDTSHGGCVIS >itb10g24400.t1 pep chromosome:ASM357664v1:10:28246394:28249296:1 gene:itb10g24400 transcript:itb10g24400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MVATPEAARYVLVTHSHLFKPAYPKSKEQLLGPSALFFHHGTYHSQLRKLVQRSLSTHVVLQLVPRIETIVVSSLESWSNKHILNTFQEMKEFSFEVGILAIFGNLEKKYREGLMENYCIVDKGYNSFPTNLPAYNKAIMARKRLNKILSEIICEWKEKSMLGKNLLGQLLNFKNEKGQHLRDDQIADNIIGVLFAAQGTTASALTWILKYLHDDHNLLAAVKVILESLRLSSIISFTFREAMVDVHYDGYIIPKGWKVMPLFRNIHHNPELFTAPQNFNPSRFQVAPKANTYMPFGSGAHACPGNELAKLEMLIMIHHLVMEFTWEAMSSQDVVEYSPFPVPHQGFPAKYWKETNTE >itb06g09960.t1 pep chromosome:ASM357664v1:6:14234700:14237353:-1 gene:itb06g09960 transcript:itb06g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILSSLLPILAGILLWFIARRWTPASSKTHKKLPPGPRGLPIIGNLHMLGNTPHRALNGLSKKYGPIMFLKLGSIPMVVISSPSAAELILKTHDNVFSDRPRLDAVEHITNSSKGMAFAPPYSPEWRKTKKFSIQQLLNSAKIESFAGVRREEVEALLASIKTAASGGEVVDLSEMVGELIEKVTYKMLFGSNEKKYGLKRIVHEAMALIGAFNIADYVSFLKPFDLQGLYKRMKAVRKAMDNVIGEIINKHEEDARNGNKKGNMDFVDMMLFSKTLSSADKLDQENIKSLLFDLILGAIDTSYTWIEWTMAELLRSPRAMRRLQEELEANVGLDRMVEEKDLPKLQYLEMVIKETARLHPPAPLLVPRESMEDIEINGYYIPKRSRVIINAWAIGRNSSICPNINADDFIPERFIDSDVNIVGHDFVLLPFGYGKRMCPGAKLGLLNVKLIVSHLVHGFNWELPKGKSPSEMDMDEAYGLVTAKSKHLLAIPSYHLLL >itb02g14880.t5 pep chromosome:ASM357664v1:2:10819460:10821253:-1 gene:itb02g14880 transcript:itb02g14880.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVARTQSQSSSRTQPSPFVVRVAMRISRARWFIFLRRVFHYQNGSTSDLGSNPFNSLTWMMMECITLSLQIMFTAYTLTVSKEERPVWPMRIWVSGYAFGCLLSLVLLYWRYWVFYLRQRDDSSSAVSDIEQQRSLEGSSVQMVEKCKTCIELFFAIWFVMGNVWVFDTRFGSFRRAPKLHVLCISLLAWNAVTYSFPFILFVLLCCCVPMVSSFLGYNMNMGSVERGASNEQLSSLPTWKYKQVCSTPDLENENPVCNM >itb02g14880.t2 pep chromosome:ASM357664v1:2:10819430:10821458:-1 gene:itb02g14880 transcript:itb02g14880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGLLTTDSFCNSGAAATSGEDTMMSVVARTQSQSSSRTQPSPFVVRVAMRISRARWFIFLRRVFHYQNGSTSDLGSNPFNSLTWMMMECITLSLQIMFTAYTLTVSKEERPVWPMRIWVSGYAFGCLLSLVLLYWRYWVFYLRQRDDSSSAVSDIEQQRSLEGSSVQMVEKCKTCIELFFAIWFVMGNVWVFDTRFGSFRRAPKLHVLCISLLAWNAVTYSFPFILFVLLCCCVPMVSSFLGYNMNMGSVERGASNEQLSSLPTWKYKQVCSTPDLENENPECCICLAQYCDKEEMRQLPCSHVFHLKCVDQWLRIISCCPLCKKELAR >itb02g14880.t6 pep chromosome:ASM357664v1:2:10819430:10821418:-1 gene:itb02g14880 transcript:itb02g14880.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGLLTTDSFCNSGAAATSGEDTMMSVVARTQSQSSSRTQPSPFVVRVAMRISRARWFIFLRRVFHYQNGSTSDLGSNPFNSLTWMMMECITLSLQIMFTAYTLTVSKEERPVWPMRIWVSGYAFGCLLSLVLLYWRYWVFYLRQRDDSSSAVSDIEQQRSLEGSSVQMVEKCKTCIELFFAIWFVMGNVWVFDTRFGSFRRAPKLHVLCISLLAWNAVTYSFPFILFVLLCCCVPMVSSFLGYNMNMGSVERGASNEQLSSLPTWKYKQVCSTPDLENENPVCNM >itb02g14880.t3 pep chromosome:ASM357664v1:2:10819460:10821253:-1 gene:itb02g14880 transcript:itb02g14880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVARTQSQSSSRTQPSPFVVRVAMRISRARWFIFLRRVFHYQNGSTSDLGSNPFNSLTWMMMECITLSLQIMFTAYTLTVSKEERPVWPMRIWVSGYAFGCLLSLVLLYWRYWVFYLRQRDDSSSAVSDIEQQRSLEGSSVQMVEKCKTCIELFFAIWFVMGNVWVFDTRFGSFRRAPKLHVLCISLLAWNAVTYSFPFILFVLLCCCVPMVSSFLGYNMNMGSVERGASNEQLSSLPTWKYKQVCSTPDLENENPECCICLAQYCDKEEMRQLPCSHVFHLKCVDQWLRIISCCPLCKKELAR >itb02g14880.t4 pep chromosome:ASM357664v1:2:10819460:10821253:-1 gene:itb02g14880 transcript:itb02g14880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVARTQSQSSSRTQPSPFVVRVAMRISRARWFIFLRRVFHYQNGSTSDLGSNPFNSLTWMMMECITLSLQIMFTAYTLTVSKEERPVWPMRIWVSGYAFGCLLSLVLLYWRYWVFYLRQRDDSSSAVSDIEQQRSLEGSSVQMVEKCKTCIELFFAIWFVMGNVWVFDTRFGSFRRAPKLHVLCISLLAWNAVTYSFPFILFVLLCCCVPMVSSFLGYNMNMGSVERGASNEQLSSLPTWKYKQVCSTPDLENENPVCNM >itb02g14880.t1 pep chromosome:ASM357664v1:2:10819430:10821458:-1 gene:itb02g14880 transcript:itb02g14880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVARTQSQSSSRTQPSPFVVRVAMRISRARWFIFLRRVFHYQNGSTSDLGSNPFNSLTWMMMECITLSLQIMFTAYTLTVSKEERPVWPMRIWVSGYAFGCLLSLVLLYWRYWVFYLRQRDDSSSAVSDIEQQRSLEGSSVQMVEKCKTCIELFFAIWFVMGNVWVFDTRFGSFRRAPKLHVLCISLLAWNAVTYSFPFILFVLLCCCVPMVSSFLGYNMNMGSVERGASNEQLSSLPTWKYKQVCSTPDLENENPECCICLAQYCDKEEMRQLPCSHVFHLKCVDQWLRIISCCPLCKKELAR >itb12g23170.t1 pep chromosome:ASM357664v1:12:25015929:25019792:1 gene:itb12g23170 transcript:itb12g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANYDESCSRSINETVNGSHRFTIRGYSLAKGMGSGKYIASDTFSVAGYDWAVYFYPDGKNAEDSSVYVSVFIALASDGADVRALFELTLLDQSGKGKHKVHSHFDRSLEGGPYSLKYKGSMWGYKRFFKRTSLETSDYLKDDCLVMNCTVGVVRTRVEGPKKYRVSVPASDMGQNLKYLMDSEIGCDIVFQVGKETFKAHKLVLAARSPVFRAQFFGLIGNPNTEEVEIQDIEPSIFKAMLQFVYSDQLPDLLEITGSTATIMLQHLLAAADQFGLDRLKQLCEAKLCEGVTADTVATTLSLADQHGCSQLKAICLKFAATNLGVVMQSEGFRHLEESRSSVLSELLETVASVDEKAVLISSKKRNSSSIFGIDLIANGDAAESVIRNFRHLRRRT >itb12g23170.t2 pep chromosome:ASM357664v1:12:25015929:25019792:1 gene:itb12g23170 transcript:itb12g23170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANYDESCSRSINETVNGSHRFTIRGYSLAKGMGSGKYIASDTFSVAGYDWAVYFYPDGKNAEDSSVYVSVFIALASDGADVRALFELTLLDQSGKGKHKVHSHFDRSLEGGPYSLKYKGSMWGYKRFFKRTSLETSDYLKDDCLVMNCTVGVVRTRVEGPKKYRVSVPASDMGQNLKYLMDSEIGCDIVFQVGKETFKAHKLVLAARSPVFRAQFFGLIGNPNTEEVEIQDIEPSIFKAMLQFVYSDQLPDLLEITGSTATIMLQHLLAAADQFGLDRLKQLCEAKLCEGVTADTVATTLSLADQHGCSQLKAICLKFAATNLGGG >itb12g11680.t1 pep chromosome:ASM357664v1:12:10050533:10056787:1 gene:itb12g11680 transcript:itb12g11680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLCFVLLVLAISWCCSAKDLAAADLKRESLDASEKQVQATKLVSRNEQVCLLCEQYASEALAFLSNEQTQREITDFLLKACSKFPMYKQECIGWVNHYAILFFIEISSLQPENLCQEIDLCEKVVSISQYVSNSSCDLCHRAVMEAVQQLKNPDAQVEVLQLLLKACDSAKNFSTKCKKLVFEYAPLILVNTEQFLEINDVCTLLHVCDSPTLGIELALPRMEESRGLEAFSILRKKTSGNLVHML >itb02g06320.t1 pep chromosome:ASM357664v1:2:3940208:3944810:1 gene:itb02g06320 transcript:itb02g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLQSKHGTRSILLGMILSFLSLSSLAPSAECRCSESCDLALASYYVWEGTNLTFTSQLFSVPPADIVAYSPPENIPNQDSVLARTRILVPFRCDCLENGEFLGRVFSYGVIPGDTYERVAERFYSNLTTVDSLRRFNSYTDNNIPDTGATLNVTVNCSCGDRDVSPDYGLFVTYPLRPGESLSSVANAANVSEDLVRRYNPGVNFNAGSGVVFVPGRDRNGNFPPMPKRKRKEKVSLLPTSENQSHHFGQEVTALKGADSARAPDGAAPVISGITVEKSVEFSYEELASATGDFSLANKIGEGGFGAVYYAELRGEKAAIKKMDMQATKEFLAELKVLTRVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGTGRDPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKPANILIDKNFHAKVADFGLTKLTEVGSSSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKANGSVAESKGLVALFEEVLSQPEPNDDIRTLVDPRLGDTYPLDSVRKMAQLAKACTHENPQIRPSMRSIVVALMTLSSSTEDWDVGAFYGNQGLINLMSGR >itb12g25030.t1 pep chromosome:ASM357664v1:12:26340079:26349530:-1 gene:itb12g25030 transcript:itb12g25030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHNAEAGRTLNRNAVNDSPLSSDHNDPPSIAKHIRDIPPIPYPPVEADDGGGRGDPLMMEGRVLGLNQIRTRNTTPPCRLSNAISMPIDYGDGVYSQSGLLSTADQSAENFPEQGKRVLWNQANSLNVLSRTCSGSEDYHAAFVKEMKSPRYQAILRVTNGRKKRGQDIRSFSHELNSKGGRPIPSWRSRAFGRVEEIMAIIHSKFDKLKEEVNSDLSIFTGDIAGIIDKTSESHLQWRKNLEDLLVIARECAKMSPSDFWLKCEKIVQNLDDRRQELPMGTVKQAHTRLLFILTRCTRLVQFQKESGFEEHILASHKLCDLAHAERIVCPIGQDLNHSLSGKERRRNKKSQGHDHISSTINQFHTKENLSVEVHISPTINQFHTKENLRVEVTEESTVKNVASPSGSCRMSSWKKHPCASERKGEVHDSVDTSSFIKSDLLQHKEATDKTYLDTPLCHPEVSEETSNERRVTWGDWDQHSISYEDSFICRICEVEIPTIFVEQHSRICTVADRCDLKGLTVNERLQRVAETLEKILESWTPKSIDNELGSPELVRLSTLTLPKELDELSPKQNGLSCQCSEDMLNCIHECDTISVTDLNSLSELPRKDSSAGTSTPRSPLLTPRTNQMKLLFSGLKMISEYESYQQINKLLQIARSVATINNNDSSLEYLLDRLDDLKYAIQDRKVDALVVETIGRRIEKLLQEKYVLLYGHIEDEKSDATNNAADEESSTDEDTVLRKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDIFSLLRNLGCFEEDMARVYIAEVLTDFGLSKAGLINSTDYLSHPSSSTFLEDDKPKLQSSLKREQRQKNSVVGTPDYLAPEILLGMEHGATADWWSVGIIMFELLVGIPPFNAEQPQQIFNNIMNRDIPWPKVPEEMSFEAYDLINKLLSESPVKRLGACGAGEVKQHTFFKNINWDTLARRKAAFIPAAEPHDTSYFMSRYIWNPEDEDFNVCSEFDETSEAGSISCSSSSYSNYQDDEGEEFGYFAEFGAISPNLKYTFSNFSFKNLSQLASINYDMVVKGAKESAEAAKKPPNPFHELE >itb09g04920.t1 pep chromosome:ASM357664v1:9:2791809:2798326:1 gene:itb09g04920 transcript:itb09g04920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLDAVNHRNAATAVSAPNTKRKLDDYADDLSSEYLVSCPVRMRKDQPLPSSPADFHLRSASGASACRSSASSAAACSTSSSPGSAPYAESTRVFGRLQFFVRLLSGGNTLVIHADFDDTVKSIHEKIQVSTGIPVTEQRLIYRGKQLQVEQTLAECDIQNDAGLQLVGRMRSTGYPQAWQLINDMVSEIFVLCKTEYPQPTQRIKKILKEFLGNTPQTDVFKASEYLQIFLLSRAPTALVMLYASPVKANRDCASDSIRLFIVSSKNILSKPIYLQFAPIIIEFCMLLNRAAGTKDPVYCLCRSSLGSIVESVGIGCGVGSDKLLVRMQDIFPFVRELATKISEDLGTSMDSSMGPSETDVRDFIAFMLPVKKVIVDGVASDGKITLPLREERISGRGKYSLCYRDEIKLLHSIFLDLLEKMEHCLKKMEVRLESKEKGETTAVVPGSCQYLAILKELNSIAERFKGAQKIFWEMMRLRKVSFSYLIVRFAKKSDDHHWILKHKEVTNFEARRHLAMLILPEVKDEYEDLHEMLIDRSQLLSESFEYIAHAEPETLRGGLFMEFKNEEATGPGVLREWFFFVCQAIFNPQNALYMCCTNDRRRFFPNPASKVNQLHLEYFNFSGRVIALALMHKIQIGIVFDRVFFLQLAGKEISLEDIRDADPFLYNSCKQILEMDPEIVDQDVLGLTFIREAEELESREIIELCPNGRSTIVTSKNRKQYVDLLIRHCFVTSIAEQVTHFAQGFTDIIGSSELQKSFFQGLDLEDLDWMLHGSETPISVEDWKANTDYNGFKESDPQISWFWKIVGRMTAEQRKVLLFFWTSIKYLPVEGFGGLSSRLCIYKSTESFDRLPSSHTCFYRLCFPPYPSKDIMKDRLNFITQEHVGSSFGTW >itb09g04920.t2 pep chromosome:ASM357664v1:9:2791809:2796130:1 gene:itb09g04920 transcript:itb09g04920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLDAVNHRNAATAVSAPNTKRKLDDYADDLSSEYLVSCPVRMRKDQPLPSSPADFHLRSASGASACRSSASSAAACSTSSSPGSAPYAESTRVFGRLQFFVRLLSGGNTLVIHADFDDTVKSIHEKIQVSTGIPVTEQRLIYRGKQLQVEQTLAECDIQNDAGLQLVGRMRSTGYPQAWQLINDMVSEIFVLCKTEYPQPTQRIKKILKEFLGNTPQTDVFKASEYLQIFLLSRAPTALVMLYASPVKANRDCASDSIRLFIVSSKNILSKPIYLQFAPIIIEFCMLLNRAAGTKDPVYCLCRSSLGSIVESVGIGCGVGSDKLLVRMQDIFPFVRELATKISEDLGTSMDSSMGPSETDVRDFIAFMLPVKKVIVDGVASDGKITLPLREERISGRGKYSLCYRDEIKLLHSIFLDLLEKMEHCLKKMEVRLESKEKGETTAVVPGSCQYLAILKELNSIAERFKGAQKIFWEMMRLRKVSFSYLIVRFAKKSDDHHWILKHKEVTNFEARRHLAMLILPEVKDEYEDLHEMLIDRSQLLSESFEYIAHAEPETLRGGLFMEFKNEEATGPGVLREWFFFVCQAIFNPQNALYMCCTNDRRRFFPNPASKVNQLHLEYFNFSGRVIALALMHKIQIGIVFDRVFFLQLAGKEISLEDIRDADPFLYNSCKQILEMDPEIVDQDVLGLTFIREAEELESREIIELCPNGRSTIVTSKNRKQYVDLLIRHCFVTSIAEQVTHFAQGFTDIIGSSELQKSFFQGLDLEDLDWMLHGSETPISVEDWKANTDYNGFKESDPQISWFWKVCGCRSPALVSNCECISKKARIEN >itb13g24000.t1 pep chromosome:ASM357664v1:13:29771121:29772032:1 gene:itb13g24000 transcript:itb13g24000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNASLFILAFVAILLCSSVSSILCSIMEADEDMAEVEVAGGATTSDGPLLLDSCNQNCNRTCITNNDCKACSKDCRYCNHNQNGKICGPLGLIKLPVTDEENIRIDQ >itb07g10900.t1 pep chromosome:ASM357664v1:7:12208096:12214955:1 gene:itb07g10900 transcript:itb07g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTYPPPTANLLDCSSASHATAVVAVGEGGGGSGGGEVRRRRRGGERWQAMAGEKAAEKEEIRRWKSAAVCRIRTVAGALCVVAVRWRREVAVVLGGSVLGGRGEGGREQSIPNLLTLTHTPRLTLTLRPQTRRLAHSGRPPFLPPSPTPADTRTGHDYEVDAFLPVYLDLRSVRTIELNCSLEDFVNEVRARGPQPPVLNVEYLKLSLIFSPDDGACSALIDLLQICPELCKLDMQFWLERFEGKWSEMFFIKGILACFPSLEKVIIVRPKEIAYYYNEIEIVQKLLRFHRASPKAEIVYI >itb05g06680.t1 pep chromosome:ASM357664v1:5:7336673:7337508:-1 gene:itb05g06680 transcript:itb05g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTALPSILLILALAFTVATTVVANHPSPPPSAEAILMKKCSEVLGSRRPLVVRFCAHDFLGHRAALLATCDRRKTVAVVIKEVHNKAKAIEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEEPSTESIMMLKKALPGEVAKTKEKCDYTVPGRQNGLWLELRIKELKSISADIVASAFVDHLYSTIH >itb10g13190.t1 pep chromosome:ASM357664v1:10:19358595:19368337:-1 gene:itb10g13190 transcript:itb10g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLCFILPLVFALFLRPAFSIKKSYVVYLGGHSHGKHPSSVDLDRVTHSHQELLGSYIGRHINGFAAVLEEEQAAEIAKNPQVVSVFLNRARQLHTTRSWDFLGLESDGGKIHKHSIWKQARFGEDTIIGNLDSGVWPESKSFSDEGFGPIPERWKGICQNENDKSFHCNRKLIGARYFIEGYSAVAGPLNSSFFTPRDVNGHGSHTLSTAGGNFVHGANVFGFGNGTAKGGSPKARVAAYKVCWPPVAPDQECFDADILAGFDRAIEDGVDVLSVSLGGDPLPYFQDGIAIGSFHAVKNGIVVVASAGNSGPAPGSVTNVAPWVITVGANTMDRQFQRNVVLGNKKHYTGESVAPQELETGKFYPLLSAESARIENTTSSSQDALLCKRGTLDPKKVKGKILVCLRGDNDRIEKSHEAALAGAVGMILANDEDSGNEIIADAHFLPATHSYVVYLGGHSHGKHPSSVDLDRVTHSHQELLGSYIGRHINGFAAVLEEEQAAEIAKNPQVVSVFLNRARQLHTTRSWDFLGLESDGGKIHKHSIWKQARFGEDTIIGNLDSGVWPESKSFSDEGFGPIPERWKGICQNENDKSFHCNRKLIGARYFIEGYSAVAGPLNSSFFTPRDVNGHGSHTLSTAGGNFVHGANVFGFGNGTAKGGSPKARVAAYKVCWPPVAPDQECFDADILAGFDRAIEDGVDVLSVSLGGDPLPYFQDGIAIGSFHAVKNGIVVVASAGNSGPAPGSVTNVAPWVITVGANTMDRQFQRNVVLGNKKHYTGESVAPQELETGKFYPLLSAESARIENTTSSSQDA >itb11g01630.t1 pep chromosome:ASM357664v1:11:805168:806858:-1 gene:itb11g01630 transcript:itb11g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHFTDISSGVGVCASCLREKLFALIAAQAQHTQQQQQFRAQEERRKSDINNNPPPLVFPRSVSPYISRRSSDTSPWHHHDRSQSGFSDQRFYFTPQIGPNRKIVEGNEYSKKKTYKFSMFYNLFRSKSEKPDSDPVVSNSGEPCMVTSSSSSSWFSSILSGRRKKQNRTFSVDESTVGGRRRACRNCDRGMSPARYSDDADGDEHCQGGSSGYSSESSPKQTPRRTPAVAPGRRSGGKSRIQRNVSGMTFCLSPLVRASPNRQWNQKSMPPDMILTGDIRVPVKSQSSTTSTSLFCKNGSRKLADFGRANGNHRFRNS >itb09g12700.t1 pep chromosome:ASM357664v1:9:8024653:8029006:1 gene:itb09g12700 transcript:itb09g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFTLRLRPRPTPSFSSYQSPSTALASRLLLFLTILSLCLAAYAFMLQWRGGFSDPTTQWLRFDDHHVFAQMGVSRPARRSSSSDCEGILTQTRTLSFPYFRDWKFNVGSSSAPDIRPKICITTSTSAGLEQTLPWIYYHKVIGVTSFFLFVEGKAASPHVSKVLESIPGVNVIYRTRELQEQQEKSRIWNESWLSGFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIFHLDTDELIYPAGTREFSVRELLSAMPADTDIVIFPNYESSVERDNIKEPFSEVSMFKKNFDHLTKETYFGNYREAAHGNPNYFLTYGNGKSAARIKDHLRPNGAHRWHNYMKIPVETKLDEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRDAFIIASTATEEEMRYCSVIADAQGNIVKESLLSTEKNVSGNVGSRKIGRSLESQATARKALVFGESESESEFVAVPPESPPTMDDILLLDI >itb04g26380.t1 pep chromosome:ASM357664v1:4:30679751:30682098:-1 gene:itb04g26380 transcript:itb04g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETYSVMLNVYDLSQGLAKQLSSAFLGKCIEGIWHTGVVVYGYEYYFGGGIQHEPAGSTPYGTPLRVIDLGTTEVTKDVFEMYLQEISPRYTAETYNLMGHNCNNFSNEVAQFLVGITIPDYILDLPNEVMNSPMGPLMLPMIKQLEATLRDGAVPKVPQFVPPTEPLAFQTGVCADQSKEVGVQDADTEAEVVMSGEQSANSLDPLGDARSKIQGEITQEFATIMATGTLRASEAAALATKRVMERYGHTTTA >itb04g26380.t2 pep chromosome:ASM357664v1:4:30679819:30681893:-1 gene:itb04g26380 transcript:itb04g26380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETYSVMLNVYDLSQGLAKQLSSAFLGKCIEGIWHTGVVVYGYEYYFGGGIQHEPAGSTPYGTPLRVIDLGTTEVTKDVFEMYLQEISPRYTAETYNLMGHNCNNFSNEVAQFLVGITIPDYILDLPNEVMNSPMGPLMLPMIKQLEATLRDGAVPKVPQFVPPTEPLAFQTGVCADQSKEVGVQDADTEAEVVMSGEQSANSLDPLGDARSKIQGEITQEFATIMATGTLRASEAAALATKRVMERYGHTTTA >itb09g30120.t1 pep chromosome:ASM357664v1:9:30789699:30791969:1 gene:itb09g30120 transcript:itb09g30120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYQLADSPLGSKNMGDIDSPMPIIGVYVAAASMACSFAMFLNSCLTFINSFPKFNFRFSGNFFTLNATWLTLLAVATKVAADLTTPKSSYLDNQAKVMNTVFLTVAMGNFFTSLGSMNNTDILTNLTALSILVITVVVDLYIQLRFHLFDRQSSSVIIIQIALLFCTWMTIVCTGLAVPAIKKRAESKYQKLVSDERQRQMEAGQQHYRVEELRLSITKYWVMAATGSPQLLMKSEPPNCWTLPVVTLTSIAIAIPNIASGHVDWLVSSANEGLRYASLIDVLDGKCGLKSIKNAADVVWVGVELHRKWLDMDLKRKTGEINSVKDIIQDLTNVSERIVMEFSSKENKMIVENPLYWPANVLAANSMYRITRTILLYYEDGECHAEELFRKLICMIADILAACLNNLPHMIYTKCISSAIEERLESVRDAAIIFGETEDILNFVEERKLSSIGPSKPLCIDEWRGWIEQQVTTVSSSATSNGASSVESNEHVVLQILA >itb12g02090.t1 pep chromosome:ASM357664v1:12:1408495:1409865:-1 gene:itb12g02090 transcript:itb12g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISTSTTAPSAATLSFSAPNKPQCSITQINLSSLAEAETLETVRSTIAKQLSIDESAVTPQTKFAELGADSLDTVEIMMALEERFGVSIGEGGAENVATVQDAADLIQNVIADAA >itb04g02680.t1 pep chromosome:ASM357664v1:4:1616443:1619250:1 gene:itb04g02680 transcript:itb04g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAPEEDGGNSKPNHHKTPPAPATQQQVKKRRPSWSDGQLWLNKGDAQQALRNVVTKLRLQSLSNSTTPPSEPDSDFQTLEDPDPNRQCSDPDYTSLLSDELLLKVLSRLPESQHISNSLVCKRWCKLSGKLVQSIKLLDWEFLESGRLVFRFPNLIDIDILRACVKLGRNSSILISHRLVSVHLDSSSSNGGFVRREDILNWEVVDGGVKILVEGCANLRRMVLINTSEESLKCVADKCETLQELELHCCDDFSLRGISGCKNLQILKLVGCLDGFYSSMVSDIGLTIVAQGCRRLLKLELVGCEGSYDGIKAVGQCCLMLEELTLYDHRMDDGWLSALSYCSNLKTLKLQSCKNMDLSPGPDEHLGSCPSLEELHLQRCQLRDKQGLRALFLVCMSVRELVIEDCWGLDNNAFASACILRGLRVLSLHGCSLLTTEGLDSVIESWRELQRLRVVSCKNIKDSEITPALATLFSTLKELKWRPDSRSLLSSSLEGTGIGQKGGRSFRWK >itb14g11400.t1 pep chromosome:ASM357664v1:14:13043653:13045702:1 gene:itb14g11400 transcript:itb14g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTLSYGVCPCVTPQKYSYRKASVKAQVLISAPTKQAPLPQLSVPQIPIREIVYRQSQRNSLQLDTNSRPRFHPMFLEEAYERCRNICAEYAKTFYLGTQLMTEERQRAIWAIYVWCRRTDELVDGPNAGYMSSAVLDRWEERIEDIFNYRPFDMLDAALSDTIHKFPLDIKPFKDMIEGMRMDTRKSRYANFEELYKYCYYVAGTVGLMSVPVMGIAPESPLSAHTIYHAALHLGIGNQLANILRDVGEDALRGRVYLPQDELARFGLSDEDIFAGKVSDKWREFMKEQIRRARFYFNLAEEGASHLDKASRLPVWASLMLYRKILDAIEENEYDNLTKRAYVGRLGKLVTLPLAYSRAQSVPSLAF >itb06g23630.t1 pep chromosome:ASM357664v1:6:25498171:25501099:-1 gene:itb06g23630 transcript:itb06g23630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLLKVIVLGDSGVGKTSLMNQYVHKRFSQQYKATIGADFVTKELLIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVVRSFDNLVNWHKEFLKQANPSNPKTFPFILLGNKIDIDGGSSRVVSESKAKDWCSSKGNIPYFETSAREDINVDAAFLYISRSAIANDHEQDIYFQGIPDAVSETEQHGGCSC >itb06g23630.t2 pep chromosome:ASM357664v1:6:25498171:25501099:-1 gene:itb06g23630 transcript:itb06g23630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLLKVIVLGDSGVGKTSLMNQYVHKRFSQQYKATIGADFVTKELLIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVVRSFDNLVNWHKEFLKQV >itb06g23630.t3 pep chromosome:ASM357664v1:6:25498171:25501099:-1 gene:itb06g23630 transcript:itb06g23630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLLKVIVLGDSGVGKTSLMNQYVHKRFSQQYKATIGADFVTKELLIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVVRSFDNLVNWHKEFLKQANPSNPKTFPFILLGNKIDIDGGSSRVVRFHSCHAFQVFLV >itb12g07080.t1 pep chromosome:ASM357664v1:12:5291499:5294962:1 gene:itb12g07080 transcript:itb12g07080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYSDIGKKARDLLYKDYQTDHKFTITTYSPTGVAITSSGSKKGDFFVADVNTQLKNKNITTDIKVDTNSNLFTTITVDEPVPGLKTILNFRVPDQRSGKLEIQYLHDYAGISTSVGLTANPIVSFSGVLGTNSLALGTDVSFDSKTGTFTKYNAGLSFINSDLIAALTLNDKGDTLSASYYQPTKPLTNTFIGAEVTHSFSTNMNTITVGTQHQLDPLTTVKARMNNFGKASALIQHEWRPKSIFTLSGELDTKSVDKSPKFGLALALKP >itb06g13210.t1 pep chromosome:ASM357664v1:6:17853722:17858664:-1 gene:itb06g13210 transcript:itb06g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKSKVKKGPWSPAEDLRLISFIQKHGHPNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTPEEEETIIKLHNSLGNKWSKIAAHFPGRTDNEIKNVWNTHLKKRLTNKKGKGRSNNTDDDEDDDESPKNDAVSPDTPPPKDASLDASPTSSSSSSSTKHDQATAEAPPEPPTPGDHDSVIDMEIWDMLDTLDNPSPQDPEIVVADLQATGSSCGGEIDKQVEWLRYLENELGLEDSPNNNNHAQPQKDDDDSNHNLVHLDSFDDNDDFNDMVASLYFPTWPPCSPQHFGI >itb01g01890.t1 pep chromosome:ASM357664v1:1:1105168:1106162:-1 gene:itb01g01890 transcript:itb01g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALALFFTLSLYLLPNPTHSTRNPIRLPTAASGGIPVVDMEGDELQPGKPYMLRSWNWTHGGVRLASLDGATTICPSDVILGCKLDYGSTVTFTPADPNAAVVLRSSFLNIQFAVPTVRLCANNVSWEVEYEASSGQRFVKAGDVLSHPFKIESIAPGLRAYMITYCESGTDNCYEVGSHYGQQTRLALSTDEVYAVTFMKPRDV >itb12g18970.t1 pep chromosome:ASM357664v1:12:21278101:21282504:1 gene:itb12g18970 transcript:itb12g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNSIPSSSPFAATSAGGESDPPAPKNDITVLPPSQPPPPRPFLSGVGRVLGRPMEDVHSTYIFAGELGRGQFGVTYLVTHRKTRDRLACKSIATRKLLSKDDVDDVRREVQIMHHLTGHRNIVELKGTYEDRNHVHLVMELCAGGELFDRIIAKGHYSERAAAGLCRQMVTVLHYCHSMGVMHRDLKPENFLFLSSDENSPLKATDFGLSVFFKPGDTFKDLVGSAYYVAPEVLRRNYGPEADIWSAGVILYILLSGVPPFWGENEQGIFDAVLRGHLDFSSDPWPSISSSAKDLVKKMLRLDPKERLSATDVLNHPWMREDGDASDKPIDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSIDTDDSGTITYEELKAGLTKMGTKLSESEVRQLMEAVLSFHFSFSIPSFILFSMTQL >itb12g18970.t3 pep chromosome:ASM357664v1:12:21278101:21282504:1 gene:itb12g18970 transcript:itb12g18970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNSIPSSSPFAATSAGGESDPPAPKNDITVLPPSQPPPPRPFLSGVGRVLGRPMEDVHSTYIFAGELGRGQFGVTYLVTHRKTRDRLACKSIATRKLLSKDDVDDVRREVQIMHHLTGHRNIVELKGTYEDRNHVHLVMELCAGGELFDRIIAKGHYSERAAAGLCRQMVTVLHYCHSMGVMHRDLKPENFLFLSSDENSPLKATDFGLSVFFKPGDTFKDLVGSAYYVAPEVLRRNYGPEADIWSAGVILYILLSGVPPFWGENEQGIFDAVLRGHLDFSSDPWPSISSSAKDLVKKMLRLDPKERLSATDVLNHPWMREDGDASDKPIDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSIDTDDSGTITYEELKAGLTKMGTKLSESEVRQLMEAADVDGNGTIDYLEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITKEELEHSLKKYNITDEKTIKEIIAEVDTDNDGKINYDEFVAMMRKGTPDLVTNRRRR >itb12g18970.t2 pep chromosome:ASM357664v1:12:21278101:21282504:1 gene:itb12g18970 transcript:itb12g18970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNSIPSSSPFAATSAGGESDPPAPKNDITVLPPSQPPPPRPFLSGVGRVLGRPMEDVHSTYIFAGELGRGQFGVTYLVTHRKTRDRLACKSIATRKLLSKDDVDDVRREVQIMHHLTGHRNIVELKGTYEDRNHVHLVMELCAGGELFDRIIAKGHYSERAAAGLCRQMVTVLHYCHSMGVMHRDLKPENFLFLSSDENSPLKATDFGLSVFFKPGDTFKDLVGSAYYVAPEVLRRNYGPEADIWSAGVILYILLSGVPPFWGENEQGIFDAVLRGHLDFSSDPWPSISSSAKDLVKKMLRLDPKERLSATDVLNHPWMREDGDASDKPIDIAVLSRMKQFRAMNKLKKVALKEMFKSIDTDDSGTITYEELKAGLTKMGTKLSESEVRQLMEAADVDGNGTIDYLEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITKEELEHSLKKYNITDEKTIKEIIAEVDTDNDGKINYDEFVAMMRKGTPDLVTNRRRR >itb01g03060.t1 pep chromosome:ASM357664v1:1:1986632:1991913:-1 gene:itb01g03060 transcript:itb01g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGGKVRLGFRLEIMGCMCSKGVSTRNKLPEKHGKLKEKESKKHSSKRSVASYRKDDVGVGVDSGTNEVTTRLISMESAEKSAGSTPPARWDEGEQKLAVPEKPVVVPRVQIGPAIDAAGSAGARVQPQLNSVFSVRNGVDGAQVVAGWPSWLTDVAGEAIRGWIPRKADSFEKLEKIGQGTYSSVYRGRDLENKKLVALKKVRFANMDPESVRFMAREIIILRRLDHPNVMKLEGLMTSRVSGHLYLIFEYMEHDLAGLVASPTVRFTESQIKYYMQQLFRGLEHCHSRGVLHRDIKGANLLIDNNGNLKIADFGLATFVRTSQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSSGCIIAELFAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWTKSKLPHATIFKPQHPYKRCFAETFKDFPPSALSILDSLLAFEPECRGSATSALQSEFFTTQPLPSDPSCLPKYPPSKEFDIKMREEEARKQKGGVNRETKVSKAVPAPDANAELQASIQKWRGHSNAKSISEQFDPAENGGSVYPGVASRGAVYNGHLAVNGGWNEVSSSGYGPELRAQRSFQPLGAAAELSRFSNSMAAASGSSRFDLIRDGCSQSHWPEKQFGTRYNSMNDAESSHSLLGIPNHSFKKDQQPPKKEHAMGFGTKNPRMHYSGPLFPPGGSIEEILKDHEKQIQHAVRKAHHLNNAKMKKAYHNDHGGQTTSLLQYVGTGR >itb07g02740.t1 pep chromosome:ASM357664v1:7:1781128:1784161:1 gene:itb07g02740 transcript:itb07g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPECSDAKVSRLLYTNSGVGILALSSNGTGKLWKLSYNKQNPSRKNWQPNTGTPTINDVPGVNLEETVPCIAVSNDDFYVVSAAGKKVSLFNIMSNKVTKTKSLPSASTFLAFDPHHDNNIIAIGMKNSSIYICDWVNEELLIELNEHREPITGLAFSTKLKMLVSADADAQLCVWNTNLWEMRSSVHIQLPDGEVPSGGDTQVMFHVDQVHLLVTHETQLAIYDASKMELIHQCIPHASLSARISSATYSCNSQLIYASFIDGNIGVLDADTLRLKSRVVPSAYLPHQLVLNGSKDVYPLVIAAHPQEPNQFAVGLTNGSIKVIEPLESQGNWEVSVSSPVDNGKKNGRLVWQVLCRTLGTMCLGLFGVGIIPIIQTKTRKSKMNFDGYMTDSSRTNKSDGAEQGEPNQPKVEPSARPQPIKSRL >itb07g02740.t2 pep chromosome:ASM357664v1:7:1781128:1784161:1 gene:itb07g02740 transcript:itb07g02740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPECSDAKNWQPNTGTPTINDVPGVNLEETVPCIAVSNDDFYVVSAAGKKVSLFNIMSNKVTKTKSLPSASTFLAFDPHHDNNIIAIGMKNSSIYICDWVNEELLIELNEHREPITGLAFSTKLKMLVSADADAQLCVWNTNLWEMRSSVHIQLPDGEVPSGGDTQVMFHVDQVHLLVTHETQLAIYDASKMELIHQCIPHASLSARISSATYSCNSQLIYASFIDGNIGVLDADTLRLKSRVVPSAYLPHQLVLNGSKDVYPLVIAAHPQEPNQFAVGLTNGSIKVIEPLESQGNWEVSVSSPVDNGKKNGRLVWQVLCRTLGTMCLGLFGVGIIPIIQTKTRKSKMNFDGYMTDSSRTNKSDGAEQGEPNQPKVEPSARPQPIKSRL >itb15g20580.t1 pep chromosome:ASM357664v1:15:23246407:23249801:-1 gene:itb15g20580 transcript:itb15g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQFDAKMNEILETDAQEFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLFDIQKFYNVVVEELPANVADLL >itb05g11790.t1 pep chromosome:ASM357664v1:5:17999621:18001203:-1 gene:itb05g11790 transcript:itb05g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] METRSGHSHSQSLSLLLPHFQSDFNSLFTNLNTLLLPTPNHRRRRTNAHLRFSSTSVSLQTEIKNPEIPKPSPQNWLKPASPTVQALFKNLSVLERALIGAGAGGIAGAFTYVCLHPLDTIKTKLQTKGASEIYSGTMDAVVKTFQSKGILGFYSGVSAVIVGSTFSSAVYFGTCEFGKSVLAKLPQYPPLLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLRILEKDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVIKESKQAKLEPYQSVCCGALAGAISASLTTPLDVVKTRLMTQVHGEAVNKVAAAMVSGVSATVRQILREEGWVGFTRGMGPRVLHSSCFSALGYFAFETARLTILEQYLKQKELQTEEALAPSAPANPSL >itb06g05260.t1 pep chromosome:ASM357664v1:6:8001542:8004866:1 gene:itb06g05260 transcript:itb06g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNKTDLLSTKNAKAFADKEGTFFMETSALKSLNVENAFTELLKQILRKAVKHFAVLVGDGHAASLSLAFFSTCPHYLRLLMAGGYRADDDYKYLFKVVLIGDSGVGKSNLFSRFTRNEFSLNPKSTIGIDLASRKLRVHGQLVKAQIWDTAGQERYNSFAV >itb14g11920.t1 pep chromosome:ASM357664v1:14:13525592:13529410:-1 gene:itb14g11920 transcript:itb14g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MTKGFTSVHRRFPVSPVVIVVTRSSPSPESGTTSGPFIPFLNRFRKRFACLHSLPKMAAMDSTHIVAEAAPQLEVGTQQQSGQTVELNSANNEVNINGGNQPTTISSFLKRFRKKKTGGWNSAIFLLVNQGLATLAFFGVGVNLVLFLTRVLGQGNATAANNVSKWTGTVYLCSLLGAFFSDSYWGRYLTCAIFQLILIMGLLMLSLASSTLLIKPVRCGDGIEKCAPPTGSGNAIFYVAIYLVALGYGGHQPTVATLGADQFDESDPREMASRTAFFSCFYFALNLGSLFSNTILVYFENSGSWTVGFWASTGSAVTALLLFFVGSPGYTYVQPFGNPFTRISQVFVAAYRKIRVKFPEGQELYEVAPSSQSSIRGSRKILHTNNLKCLDKAAIVTQNDRLGLPNPWRLCTVTQVEETKCILRLLPIWACTIMYSVIFTQMSSLFVEQGEVMDTHLGGRGFRIPAASMSAFDICSVLICTILYRFVVIPTTSALSKNPKGITELQRMGIGLMIGMLAMVAAGVTEIHRLRNVSPGETMSQLSIFWQVPQYVLVGASEVFMYIGQLEFFNGQAPDGIKSFGSSLCMASMSLGNYFSSMLVKVVMDITVRGGRKPGWIPEDLNSGHMDRFYFLIAGMAAVDFVLFVLCAKWYKFIKLEENEEEEQLGDEHGPDRIV >itb08g01210.t1 pep chromosome:ASM357664v1:8:898574:902954:1 gene:itb08g01210 transcript:itb08g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAWRYSGGAFANVAVVQQRQKHFVVVATKKPISWMAVCGLILFVLGLISLFTGHLVSNLEWYSHKFIKRPWYSKLDRRTTASIDIWKSKYSNCYYGCSERSRDFASAVSEQSSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFLDIFDVNWFIQYLAKDVTIVKRVPEKVMTSMEKPPYTMRVPRKSEPDYYLDQVLPVLQRRRVVQLTKFDYRLANDLDEDLQKLRCRVNYHALRFTKPIRSMGQKLVMRMRKMTTRFIAVHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWETLPELSPEEERVRGKCPLTPHEVGLMLQALGFKNDTFLYVASGEIYGGDKTLQPLRDLFPNFYTKETLVGEDLKPFLAFSSRLAAIDYIVCEESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFMAREKIGWHAFTRKVKSCQRGFLGEPEETKPGRAEFHEYPASCICRKPFRYSSVVKNLNRNRNSEGVSSLLVAKSRYRYQRSDKLSRKLGNVTSSSSLLVEIEHEDSLSD >itb07g12000.t1 pep chromosome:ASM357664v1:7:13569052:13570476:1 gene:itb07g12000 transcript:itb07g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDSMIKLRSKLLKCRFAIINSCNSTPSTSKSYHTRRCPPRPPASEPPRRPSRLSQRKPIPFIDDLKQIHAPEDLLSLFHDYRKMGFKHYYPSYSSLIYKLAKSRNFEAVDTLLGCLKTYNVHCREALFIGLIEHYGKCGLVEKAIHLFREMKEYFNCVRSIQSFNMLLNVLVENGRHCEAYDMFKGSSKLGFRPNSVSFNIMIKMYLEKGELERAREVFDEMLEREVEPTAVTYNSQIGFLCKRGEFEKGKSLFEDMVRKGTKPNEVTYALLMEGLCFLGRYKDAKKLMFDMEYQGCKPKVVNYGVLVSDLGKRGKIEEAKSLLVEMKKRHIKPDAVIYNMLISYFCREDRAAEAYRVLVEMQIAGCKPNATTYRMVVDGFCKAGEFEEGLKVFNAMLMSGHFPRTETLRSLVMGLFDCGKVDDAYFILEEMAKRKKMFDFESWEAIVKDSCPLDKALAFNNHITEIVSSN >itb13g19240.t1 pep chromosome:ASM357664v1:13:26249049:26255012:-1 gene:itb13g19240 transcript:itb13g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MVTSTLIHQPSHFLVSANHHCRRWRLPTQAIVVTRRRPIIRAEIAAASANGAAASTSTVTLDLPDSSSSPSSFPLFHPPSQPEETPASQLELADPDFYKIGFVRSFRAYGVEFREGPDGYGVFASKDVEPLRRSRIIMEIPLELMLTISQKLPWMFFPDIIPVGHPIFDIINSTNPETDWDLRLACLLLYAFDCKDNFWQLYGDFLPSAEECTSLLLAKEEDLLELQDQNLASTIREQQDRALAFWEKNWHPTMPLKIKRLAREPERFIWAVSMAQSRCINMRMTLGALVQDANMFVPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQRIKRGDELTINYMAAQKNDFFMQRYGFSSPVNPWDVIQFSGNAQIHRDSFLSVFNISGLPEDYYHNTGKLSNEGDSFVDGAVIAAARTLPTWSDRDMPPIPSLERKAVKELQEECLQMLAEFPTTSEQDQKILDSLSEPRRTLETAIKYRLHKKLFIEKVMQTLEIYQDRILF >itb13g19240.t2 pep chromosome:ASM357664v1:13:26249049:26255012:-1 gene:itb13g19240 transcript:itb13g19240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MVTSTLIHQPSHFLVSANHHCRRWRLPTQAIVVTRRRPIIRAEIAAASANGAAASTSTVTLDLPDSSSSPSSFPLFHPPSQPEETPASQLELADPDFYKIGFVRSFRAYGVEFREGPDGYGVFASKDVEPLRRSRIIMEIPLELMLTISQKLPWMFFPDIIPVGHPIFDIINSTNPETDWDLRLACLLLYAFDCKDNFWQLYGDFLPSAEECTSLLLAKEEDLLELQDQNLASTIREQQDRALAFWEKNWHPTMPLKIKRLAREPERFIWAVSMAQSRCINMRMTLGALVQDANMFVPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQRIKRGDELTINYMAAQKNDFFMQRYGFSSPVNPWDVIQFSGNAQIHRDSFLSVFNISGLPEDYYHNSKLSNEGDSFVDGAVIAAARTLPTWSDRDMPPIPSLERKAVKELQEECLQMLAEFPTTSEQDQKILDSLSEPRRTLETAIKYRLHKKLFIEKVMQTLEIYQDRILF >itb04g06680.t1 pep chromosome:ASM357664v1:4:4371678:4372982:1 gene:itb04g06680 transcript:itb04g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKTTCALFLLLFLFLVRPCFSDGEPDGSGSSSPVVYDIDYRGPETHTTVPPPKRGGRRHRNIIHHQQTMVARHKRFKSSHGHNEKQKYGNK >itb12g13990.t1 pep chromosome:ASM357664v1:12:13258644:13259257:-1 gene:itb12g13990 transcript:itb12g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLPLRPPIVTARAGSGNRKTDASSRKSSSSSNWWSPLFGLSGEPDYLRTVESKTDPDPDPKQARTRFSPGAFTEEKAKQLRRLTTETSSFHDVMYHSAIASRLASDFTDISTPGTDPPC >itb08g16270.t1 pep chromosome:ASM357664v1:8:18458535:18459408:-1 gene:itb08g16270 transcript:itb08g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPGGYTAEVTNLSPIATEKELHHFFGLCGKIDRIQILRASEVASTAYVSFRSSHALEIAVFLNGAIIVDQPVCISRWEHYKDDDIWNQICWKSEEATHSSSIIEEASSDSGVGEQDGAEKVMSVVKRMLWKGYVVGKDGLERARALEQSHQVSASILKALSEAAEAAVEFGSQGMHY >itb04g33240.t1 pep chromosome:ASM357664v1:4:35554594:35556355:1 gene:itb04g33240 transcript:itb04g33240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKITSWYDKYLRAELFKAVLISGMVFYYLATIFLFNNPICSPPEEGALISSPPEGKNESPTNISHLLFGLIGSEKAWHSRKAYAESWWRPGVTRGFIYLDVAPTGDLLPWSPSSPPYRVSENISPMVARIVHGIKEVFRERHEGVRWVVMGDDDSVFFVENMVDVLAQYDHTKYYYFGGQSEFVMSHHWYSFNQGFGGAGIILSYPLAEALSNYMDSCLRRYGHYIKSSDHITMLCIADLGVNFSPLKGLHQIDLHGDLSGFLSAHPKFPLLSLHHLDVVEPIFPGMDRFQSAKHLVRSADHDQTRMLQQTICYHRQSSWSVSVSWGYSVHIYEKIHPRSYLQMPIETFQPWHGGQPLPIYMFNTRLPSKDPCEAPHVFFLKTVNRTQEGGVVMTYLRSWERGLPPCLSSGNHSAAYVSRVEVHSPSTKRPEMDKCECCDVIHTDGEEGLKVKYRNCLLTEVIA >itb05g23830.t1 pep chromosome:ASM357664v1:5:28862038:28864887:-1 gene:itb05g23830 transcript:itb05g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEPMSMGNDVIEFDMIGIGGGVVDGAIDIEHPIEDDDDDDRGGGAGVSVEIHARDGDMNLEPCEGMEFESEEAAKAFYNCYARRVGFSTRVSMSRRSRRDGAIIQRSFVCAKQGFRVDKAKPSCDGDGGRVKRPRVETRVGCKAMLLVKIQEESGKWIVAGYNNLQNEALRYVDESAGDEEIYNVALDALREAANKVAIAKRNSDKLSIVNGPGDIQECTIHLSHANTRSQDHQLDTEKPLPLDEQDRKIQSLSRQLEHAEQKCEAYRANLLSILKDIEQQKLQLMVKVQNIKFGLKD >itb03g14490.t1 pep chromosome:ASM357664v1:3:14186490:14188183:1 gene:itb03g14490 transcript:itb03g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVHGGYLPSKFQLWRRTVVSEIRPNPPSLFITTKLSDIDIHRVRDLYSDCNHSCHRFPNLDADGRVDPVDLNKLRKALIHSSVVVSVFTQPEFSSPLASIGGDWVRTAMPVTPANGQLVGFGRAVSDSSLTASIYDVMVIPPLRRRGIGRRIVQMILRMLTNKGIYDIAALCSDVEKPFFSACGFGDDILGSTTMMYTRSTSQYSSGDQVVKNIGRKQMLIPPLRAGIKS >itb11g20380.t1 pep chromosome:ASM357664v1:11:21413648:21419340:-1 gene:itb11g20380 transcript:itb11g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAADILMSKDNHETLEDSNSSGLIDYMEEAVQQAGHFGIADQIATIGAIDNVSQVREKINNLKSQTQAYRAIITSQQNQAFLDDNSVVEYADNILEILKCCKDILEVTFKEISDLEKRLLLLKMFLEFITTLGVEGEKLQDLLIGIISVLDTATLISDQCFLIGGESEMVNVIKDCMAKARFIDSEVIDLLLKSLKSTSSASEFDMIVRIADEILIFLQDVLRVTLNHGADMNNQVKDDEIHLELEFLESFLSNKSSQYIEHVKSISSHVEVVFTAHAPKLFSIGELDYLSELSKDLKLIKAEVRILEHLDNTMEPQIKDQTETLLEGLVFMRNYLMSHKMKQIYCSDFSLYKHIEEMAFKGESIISSIIDNGVKEDLVGMLEDIKHLKIRASGIYLEPTTLKFPRVNQKAFLDSFLQYLKEDVIPTSNKVALVKRQVMAIHSSLESLKTSFSHAIELYNEHDEEFKAIKSRVVDAVFEAEYTIDSFLVRQHHLWYHMLELFQVTEKIQSIDSRIEIFNKNWEAEDRSTQQMSTHVLPEVNQGKVVGVENEVKEIVEKLANGKKEHHVISIVGMPKLGKTALAKAVYYNERFLNHFDVRAWCDLSGVNTKRNLLMGLLNQVGMRAQIRDFYDDGDLAQFLKKSLWGRRYLIVMDDLQDIEIWEYFQRVFHKENNGSGIIFTTRFHGLVSKLSDQTIKFVHLGFIPTSISWMLLKNKIFDNDCSGKLEEIGMRIAERCYGIPFAIHLAVETLRMMEKNPDRWGEEEERLFSQNITYLNDNILEPSYKDLPEKLKSCFLYWGPIIKSETIPIKKLIWLSIAEKLVQQTTNKSLEDQANDLLLELQTSEVLVVAQQIFNGRIKKCNIVEQFSEFCLKKCREEHIWELINGRDADTKSKQEPYTQCRLYIHSNGRHFLMSKPSGSHVRSLIFGVEDKLIMDCDVTCITNNFRLLRVLDFGSINVGNSFPREIELMILLKYLAIHGNFDSIPESVSNLGDLETFLIKGSKAGSEAVGLPHTVQHMRKLRHLHIYPHAVFLPGPNYHGQKSLMLSMESDLQTISALPLVYDVGSTWTEKEHMQKWLGNVRNLKFVVADSSSTLEKCNLFPSLLYLNKLESLKICCTHRVVSSSDFSFPQSLKRLTLSNLALPWDEISIIGRLPQLEVLKLLCNAFKGSKWEMKDDKFPRLKYLKLDSVDIQQWIGDDEDCLPHLEWLALRSCEQLEMIPSCITNNFNLQTIEFWGCSDSIKNLAKKINEERVDVGCEQLIKVVDHPHRA >itb04g07090.t1 pep chromosome:ASM357664v1:4:4739167:4743893:1 gene:itb04g07090 transcript:itb04g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASGSVVPANRRRVPMEEERMTFETSEGVEPISTFEQMGVKDDVLRGIYAYGFEKPSAIQQRAVLPILSGRDVIAQAQSGTGKTSMIALTVCQMVDTKSSEVQALILSPTRELASQTEKVILAIGDHINIQVHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTSKMRENNFTVSSMHGDMPQKERDAIMGEFRSGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >itb13g15410.t1 pep chromosome:ASM357664v1:13:22243525:22244415:-1 gene:itb13g15410 transcript:itb13g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCPFLWVFLLFTELLCGKDVFKHGETTGQNTQSKNRGKENKLASCSCSSLAFESVSSRVLCCYRVERSTTDGFHSVSVGVAATGQVRTEKAFQRIVVKISLARWFGSDTRKSSLPKHSPEFFAGSLRSVFSHIRSSGQCGLVELRISDIPKCGLPVHLLIMIVECVYSLGPIDNIHTTFYIVELP >itb14g19540.t1 pep chromosome:ASM357664v1:14:22143528:22145905:1 gene:itb14g19540 transcript:itb14g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHTTTGAQSINPRTYKQHTALPAKSFGLSSTCIAPFCSFGLPKMPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLTLTDITIDIKRVPKKKTLVAAMEAADVKNKWENSSWGRKLIVQKRRACLNDFDRFKIMLAKIKRAGVVRQELAKLKKESTA >itb11g23350.t1 pep chromosome:ASM357664v1:11:25365683:25367828:1 gene:itb11g23350 transcript:itb11g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDSIEMEEERLTAEMDFKDSSSVVIKIRRRLPDFLQSVKLKYVKLGYGYSCNYPTALLAMIAALFGVTAFQLTGLKFDRFSEFYSSPSLGLIVDTATGVTGLVVLLFFLGIYWARRPRPVYLVDFACYKPEDERKISVDSFLKMTEENGAFSGDTVGFQKKIAHRSGLGDETYLPQAVTARPPNLCMKEARAEAEAVMFGALDSLFLKTGVRPEEIGILIVNCSLFNPTPSLSSMIVNHYKLRENIRSYNLGGMGCSAGLISIDLAKHLLKANPNTYAVVVSMENITLNWYFGNDRSMLLCNCIFRMGGAAMLLSNKRSDRSRSKYELIHTVRTHKGSDPNSYNCVYQREDEQGVVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQFKFLVTLIKRKALKNSRVKPYIPDFKRAFEHFCIHAGGRAVLDALQNNLNLTEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVGKGDRVWQIAFGSGFKCNSAVWRALKSVDNSEPSLKGNPWVDCVHRYPVKIDIDNPHK >itb08g16190.t1 pep chromosome:ASM357664v1:8:18337768:18338481:-1 gene:itb08g16190 transcript:itb08g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTLIDHNHELKATFSVWSPCASASATAAMATPVKLHFVFNTIHRYVVLHDDSQIATRSQPTMAYRDRGRFNSTTKLDRSMLLSHHSSPAFHRIVLSKLWEYWGHARHVWLQLGGVESLVPDIVQSVRSLILANPTNRVRVHVDFFTYHVFDGFLVGNYGMKPACKSATMEMLKKVDKNHLLDDDERCTVCLEELGREDEEVLCMPCLHRFHGECILKWLDNSHYCPICRFQMPTQ >itb06g17010.t1 pep chromosome:ASM357664v1:6:20964761:20972440:1 gene:itb06g17010 transcript:itb06g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRSSLHFRCSTPSFSSSHKYSLKPSRLSVLRSSGNSNSIPRSPNSNRLLVRSVLQTLESADTSVSAAADLGAVPFPKPRVLVSEKLGEAGLEVLRGFGDVDCSYDLSPEELCAKISRFDALIVRSGTKVTRQVFEAAQGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLASMARNVAQADASMKAGKWQRSKYVGVSLVGKTVAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRAHAAGVDLVSFDQAISTADFISLHMPLTPATRKIFNDETFAKVKKGVRLINVARGGVIDEDALVRALDNGAVAQAALDVFAVEPPPKDSKLVQHENVTVTPHLGASTREAQEGVAVEIAEAVVGALKGELSATAVNAPMVPPEVLSELAPYVVLAEKLGRLAVQLVTGGSGIQSMKIVYKTARDPDNLDTRLLRAMVTKGIIEPISDTIINLVNADFTAKQKGLRISEERIVVDSSKEHPVEAIQVQISNVESKFASALLNNGNISIEGRVKYGIPHLTCVGSFSVDVSLEGNLILCRQTDQPGMIGSVGNILGESNVNVSFMSVGRTIKRTKAIMAIGVDEEPDKDSLKKIGEVPAVEEFVFLKL >itb03g16860.t1 pep chromosome:ASM357664v1:3:15644354:15646985:-1 gene:itb03g16860 transcript:itb03g16860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELCVKAATGAPDDLGDCPFSQRVTLTLEEKNIPYKIHLINTSDKPEWFLKANPEGKVPVIKFEDKWISDSDVIVGIIEEKYPNPSLSAPPEVASVGSKIFPSFVKFLTSKDPSDGTEQALVEELKALDEHLKAHGPFVNGENICSVDLSLAPKLYHLVVVLGHYKKWSVPENLTHVHNYLKLLFARESFQKTKPPKEEYVIAGWASKVNA >itb01g30830.t1 pep chromosome:ASM357664v1:1:34699314:34700999:-1 gene:itb01g30830 transcript:itb01g30830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELVEIKFRLADGSDIGPNKYSPSSTVGSLKEKIIAQWPKDKENGPKTINDVKLINAGKILENNRTLSESRLPVAEVAGAVITMHVVVRPPMVDKNNGNFKMDYKLKDTERV >itb10g18960.t1 pep chromosome:ASM357664v1:10:24754325:24764421:-1 gene:itb10g18960 transcript:itb10g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGRNAVRSRVLALCCFLVLMRFAESRVAPREVDVLQQIVTTMGVTTWKFNASSCNIEGVGTAQPPPSWSESNVECDCNVGNSTVCHIVKIVLKGLSLPGVLPPKLVELPYIQHIDFAYNYLSGTIPTEWASTKLNFISVLVNRLSGKIPKALGNITSLTYLNLEGNQFLGAIPSELGNLVNLETLMLSSNSLVGRLPASFSALVNLTDFRINDNNFTGPIPDFIGNWKQLIRLEMQASGLEGPIPANISLLNNLINLRISDINGPSQGFPVLSSNGGLVTLILRNCNISGKIPPYIWNLAILETLDVSFNKLEGGFPDHISPRSTLQFLFLTGNLLRGYIPSSILVTGLNVDLSYNNFTWQGLDGPACQPNLNLNINMYKSFSTVSALRRVLPCAEEVTCPRYVCSLNVNCGGNDVTVKERNREVLYEGDASVEGSSASRYFTSGNYWGFSSTGDFMDDANIQNARFIVSQPSPNLSELYRSARISPLSLTYFQYCLENGTYNVSLHFAEIEFRNDSTYYSLGRRIFDIYIQEKLVRKDFNIEEEARGAQRPAVLYFNASVTDNTLEIRVYWAGKGTTRIPLSGHYGPLVSAISVHPYFKCSNGTNNHANIYIIVGVVAACSIIVMFGLLWWNGCLPCRGRHRKELAGGELQMVTYTLKQIKVATRNFDIANKVGEGGFGPVYKGRLPDGTLIAVKQLSSKSRQGNKEFLNEIGMFSCLQHPNVVKLLGGCVEANQLLLVYEYMENNSLANALFKDNQLVLDWPTRLKICIGVARGLTFLHEESSLKIVHRDVKATNVLLDRDMNPKISDFGLARLNEDDKTHMSTRIAGTVGYMAPEYALWGHLTYKADVYSFGIVLLEIVSGQSNSNYMPIESCVCLLDWACLSLLSGNLEQFTDQKLNSHFNKEEVEGVVKVALLCTSATPTQRPIMSEVLGMLEGRISIPAEIPEASTCTDDLRFKAIKDYRREKTKFSNAATGTNSQISGTDYFEYNPESPSRRNQSEIRVVPENPATF >itb10g18960.t3 pep chromosome:ASM357664v1:10:24755539:24764421:-1 gene:itb10g18960 transcript:itb10g18960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGRNAVRSRVLALCCFLVLMRFAESRVAPREVDVLQQIVTTMGVTTWKFNASSCNIEGVGTAQPPPSWSESNVECDCNVGNSTVCHIVKIVLKGLSLPGVLPPKLVELPYIQHIDFAYNYLSGTIPTEWASTKLNFISVLVNRLSGKIPKALGNITSLTYLNLEGNQFLGAIPSELGNLVNLETLMLSSNSLVGRLPASFSALVNLTDFRINDNNFTGPIPDFIGNWKQLIRLEMQASGLEGPIPANISLLNNLINLRISDINGPSQGFPVLSSNGGLVTLILRNCNISGKIPPYIWNLAILETLDVSFNKLEGGFPDHISPRSTLQFLFLTGNLLRGYIPSSILVTGLNVDLSYNNFTWQGLDGPACQPNLNLNINMYKSFSTVSALRRVLPCAEEVTCPRYVCSLNVNCGGNDVTVKERNREVLYEGDASVEGSSASRYFTSGNYWGFSSTGDFMDDANIQNARFIVSQPSPNLSELYRSARISPLSLTYFQYCLENGTYNVSLHFAEIEFRNDSTYYSLGRRIFDIYIQEKLVRKDFNIEEEARGAQRPAVLYFNASVTDNTLEIRVYWAGKGTTRIPLSGHYGPLVSAISVHPYFKCSNGTNNHANIYIIVGVVAACSIIVMFGLLWWNGCLPCRGRHRKELAGGELQMVTYTLKQIKVATRNFDIANKVGEGGFGPVYKGRLPDGTLIAVKQLSSKSRQGNKEFLNEIGMFSCLQHPNVVKLLGGCVEANQLLLVYEYMENNSLANALFKDNQLVLDWPTRLKICIGVARGLTFLHEESSLKIVHRDVKATNVLLDRDMNPKISDFGLARLNEDDKTHMSTRIAGTV >itb10g18960.t2 pep chromosome:ASM357664v1:10:24754325:24762313:-1 gene:itb10g18960 transcript:itb10g18960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGLEGPIPANISLLNNLINLRISDINGPSQGFPVLSSNGGLVTLILRNCNISGKIPPYIWNLAILETLDVSFNKLEGGFPDHISPRSTLQFLFLTGNLLRGYIPSSILVTGLNVDLSYNNFTWQGLDGPACQPNLNLNINMYKSFSTVSALRRVLPCAEEVTCPRYVCSLNVNCGGNDVTVKERNREVLYEGDASVEGSSASRYFTSGNYWGFSSTGDFMDDANIQNARFIVSQPSPNLSELYRSARISPLSLTYFQYCLENGTYNVSLHFAEIEFRNDSTYYSLGRRIFDIYIQEKLVRKDFNIEEEARGAQRPAVLYFNASVTDNTLEIRVYWAGKGTTRIPLSGHYGPLVSAISVHPYFKCSNGTNNHANIYIIVGVVAACSIIVMFGLLWWNGCLPCRGRHRKELAGGELQMVTYTLKQIKVATRNFDIANKVGEGGFGPVYKGRLPDGTLIAVKQLSSKSRQGNKEFLNEIGMFSCLQHPNVVKLLGGCVEANQLLLVYEYMENNSLANALFKDNQLVLDWPTRLKICIGVARGLTFLHEESSLKIVHRDVKATNVLLDRDMNPKISDFGLARLNEDDKTHMSTRIAGTVGYMAPEYALWGHLTYKADVYSFGIVLLEIVSGQSNSNYMPIESCVCLLDWACLSLLSGNLEQFTDQKLNSHFNKEEVEGVVKVALLCTSATPTQRPIMSEVLGMLEGRISIPAEIPEASTCTDDLRFKAIKDYRREKTKFSNAATGTNSQISGTDYFEYNPESPSRRNQSEIRVVPENPATF >itb04g32080.t1 pep chromosome:ASM357664v1:4:34766413:34768508:-1 gene:itb04g32080 transcript:itb04g32080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQIQQMSEDPTNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKNRGRSLDDEAIEKMLNDLSLIKKFE >itb04g18930.t1 pep chromosome:ASM357664v1:4:22794127:22800906:-1 gene:itb04g18930 transcript:itb04g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGGGLRAEGGGRRAVTVVEGGGGGVWSGGRSAASRGAVDEDLCAAPLPLPRHDATVHQPPATSFPVSLFTLNPPQSPACEPSPSSTDEETDSRTDESVQIVIISEALANFFGTSEREMSQAEVLRQVWEYIKVNQLEILDDANC >itb02g12600.t1 pep chromosome:ASM357664v1:2:8684342:8690182:-1 gene:itb02g12600 transcript:itb02g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNPKKDVNLFFQLIVKGALGQNSSEYNKYIQLLEDYRENRIDIVQYVLKGKQLLYDYPDLFAGFNILTGVGDYALNPSRKCSVPKELLSRAIVLFDLLKSLQDRRYATLLMVYEEYQKGRICREEMLGEAKYLFLSHYGVAELAEEL >itb02g12600.t2 pep chromosome:ASM357664v1:2:8684342:8687755:-1 gene:itb02g12600 transcript:itb02g12600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNPKKDVNLFFQLIVKGALGQNSSEYNKYIQLLEDYRENRIDIVQYVLKGKQLLYDYPDLFAGFNILTGVGDYALNPSRKCSVPKELLSRAIVLFDLLKSLQDRRYATLLMVYEEYQKGRICREEMLGEAKYLFLSHYGVAELAEEL >itb11g09610.t1 pep chromosome:ASM357664v1:11:6510292:6510577:-1 gene:itb11g09610 transcript:itb11g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEAGVNGDGKQRPTELRPAIDEDGNSAVMSLAAGSPPDFALSSPLLLTASTSSSASTGLRVIGK >itb10g11740.t1 pep chromosome:ASM357664v1:10:17388663:17393068:1 gene:itb10g11740 transcript:itb10g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAEDEVVARKSKKRKERNNDMLAANPGHIVKDVGVEGDKSFEEENLMKKKSDNEGVERMKKKKKSAGDKKGGALMEDTNGITVEKAKKRKRKREVESNNNELHAVSQDTANDVSVDNGGYVSSTATEIHESKKKHKKKAGKISDGCTEGEVEKVKRKKKKNKKKKGEDDQDLAIGLACTDGIADFSVNETQASGVGNDNNSQNQVKDSSKDPKLKNSKKKVRFSNELEVFPESNVPESGNDENEEVELIRGKRFTKIEDEKIKEAIYKYIEVHHLGEEGLNMVLNSRSHPEVKNCWKEIGAAIPNRPHIAVYYRAQIIFRRAENPKWTEEEKALVLQHVKLHGNEWKSLAEELGRHRFHVKDTWRRIKLPKMKTGHWSQDEYQNLFDLVNTDLQVRVTEEKKSKHGMLRDNICWTAISDKLSTRNGPNCCLKWYKQLTSPMVAEGLWSDSDDYRLIGALYNLDETCKENVDWDNLVEHRSGEICLKRWRQMVLHIGNHGSKPFSEQVEVLAKRYCPSLIEARETWDSKPLVP >itb03g00180.t1 pep chromosome:ASM357664v1:3:89280:94235:-1 gene:itb03g00180 transcript:itb03g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRAKTHRSPSIQADYLIHIHEIKPWPPSHSLRTLKAVFIQWEHGERNNAGSTNQVVPSLGIGSGVSDGRIEFNESFRLPVILTREISVKGGRDVDTFQKNCIHFSLYEPRHDKMAKGQLLGTATVDLAVYGIIKARMSITAPINCKRSYRNTAQPLIFLKIQPVEKVQMRSLLRDGLMREASLDRNVTESVSTLMSEEYAEEAETASFTDDDVSSHSSLPFTYSAAESNCSSPQKENGSEVVKNIPAKEEEQISPLVSLRMSSSCSQSKDLSSDLAWISRKVVTHSTIQSATPYKKDNAMEDIKNTDVKSSHEDQAKGFEVEVINGDNRSQKPSEQSTNISPYSTYPADEAYSVSHVNIDYNTSLLNSVGDIINAEIDENMPTPCVKLTQEAARTDVDSNGLAVGRNREDHQEIAQELVILNWVNDEEVIIEEGKGSDDEPVNISLQDDTIKQALEENNAISYCRESLGTKSIAPNNERFYYVKSVRSMGKSNRGNGSPGSNQFVSHNTQSGTGGFTGNGAKFHSMETTNIVLESKIQKLKERVQMLEGELREAAAIEVGLYSVVAEHGSSLNKVHAPARRLSRFYLHACKENSVLRRGSAARSAISGLILVSKACGNDVPRLTFWLSNCVMLRATLSEFFEKTMFEIKKKSSPPKWLFFPSKSLGSDLHGSYEEWEAPHTFIRELEKVEAWIFSRIVESIWWQTFTLHMQPGSAKALSRSMESEISKIYQRTSSSGDEEQGKFSLELWKKAFRDACEKICPVRAGGNECGCLHLLSKLIMEQCVARLDVAMFNAILRESADEIPADPVSDPISDARVLPISTGKASFGAGAQMKNVVGNWLRWLTDLFDINDGCLPEDENNVEDINDRRENGASFKPFHLLNALSDLMMLPKDMLLSSAIRKEVCPMFGPPLIRRVLDAFVPDEFCPDPIPDVVLEDLTSEDHFEVEEETVMNLPYPAAPIQYVPPSAASVTDFFDGVTGFSQLRSIQSSLLNKSCTSDDELDELDSPLSFILTDCTNKTVSLTKPSWISRGSVNHNAVRRYQLLRECLWQNPVGYQEEVRIIMLSLCQVSTPSGGMDKCC >itb07g09990.t1 pep chromosome:ASM357664v1:7:10172805:10173428:-1 gene:itb07g09990 transcript:itb07g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQLRTGIEALYNKQFEPYFGSSVEAYRRSYSIRYIDRKFSSKNAQGFQNSNRKISNGRKLSTLLHNFIII >itb10g14580.t1 pep chromosome:ASM357664v1:10:20933270:20934655:1 gene:itb10g14580 transcript:itb10g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWWHKMVFPVRKVWIAVSARVKARKHGAGLLKLRDDIQTCGYEDVQVMWEMLRRTESELTSRHSKPKHRPFWRIFVWSNHRSCPPFSTTHAHQ >itb11g20660.t1 pep chromosome:ASM357664v1:11:21859398:21862619:-1 gene:itb11g20660 transcript:itb11g20660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGHQLAFAFGVLGNIISFIVFLSPLPTFYQMYKKKSTEGYQSIPYVVALFSAMLWIYYAFVKANDSTLLITINSFGIFIETIYVVFFLCYSTKKTRIQTMKFLGLFVAGGFGAILLVTQFLFKSPMRLHVVGWIALVFSVCVFVAPLFIVRQVIRTKSVECMPVLLSVFLTVNAVMWFFYGLFLKDMNIALPNVLGFIFGILQIGLYMKYKDAKKDVVKEQKLPEVTTLPKPVIILEDNNDTNDNNNNKRSSKLPELTEEQIIDIVKLGTLVCSEKIQKSAAAASPFDKNAATAPKLQAVGA >itb03g14160.t1 pep chromosome:ASM357664v1:3:14002776:14003577:-1 gene:itb03g14160 transcript:itb03g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTRYTFTAATCHRRASLLRLYNPPLNPDPHFQLTTYNLASLISFEFASMAAERYGQNRDDALQLNQQQQQPPKSYGAVKTATAATVGGSLLVLSGLTLAGTVIALTVATPLFVIFSPVLLPAAITAFLLITGFLSSGGFGLAAISVLSWMYQYMTGKRPPGAEQLDYAKGRLGIRAREMMRDKGDNYNVQPQVTTTTTA >itb05g24680.t2 pep chromosome:ASM357664v1:5:29360440:29363985:-1 gene:itb05g24680 transcript:itb05g24680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSSSQRPVDEITTVSERVEGVDPVLEKLRSLQISKPILTSPLAESSLTDILVRKTPYASNSGCVDPKVLLELFSTYRNWQEDKVQKINKKQEEIDNKIEVVDALAIKLLQRYNYSVSAMKATSQHLSEVHVLQVELGELKGRLTEVISNCDALCKRIESEGPESLRSSIKPFTVVASVNPEDACRSNSLQSDTDDGPKIA >itb05g24680.t1 pep chromosome:ASM357664v1:5:29359667:29363985:-1 gene:itb05g24680 transcript:itb05g24680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSSSQRPVDEITTVSERVEGVDPVLEKLRSLQISKPILTSPLAESSLTDILVRKTPYASNSGCVDPKVLLELFSTYRNWQEDKVQKINKKQEEIDNKIEVVDALAIKLLQRYNYSVSAMKATSQHLSEVHVLQVELGELKGRLTEVISNCDALCKRIESEGPESLRSSIKPFTVVASVNPEDACRSNSLQSDTDDGPKIA >itb01g03100.t1 pep chromosome:ASM357664v1:1:2007635:2009636:1 gene:itb01g03100 transcript:itb01g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVNDPLALLRAKLERVRLETPEIPVANLGLFKDVPDLRELLDEEKKDFRDDEYLEQEGFYIDKSDLDFLAKFGRVVMPMLSHGFDTCGIVGLFILAYNLRVPNTVGEGMVFEHINPHHAIYDFAGEIVPNVQYSGRAPPAAYEPTIDPVKEAIFYCYIAASTLRLFSKSVENYVHAWDNIVNKFSSFYGFVPPRAIMPPTIQSLTGLQTAFSADTRMRMTLYKILYHAKRDQSLDGLRRFLYEMHLTNTGVHAVGIFANLVSILNMESGYILKTMHTAMFERQFEALKRMTRMATSTEPHYTKKMWRFGRIFDHNFMSSLQTKACPKFVYILASMLKQEDPAKFQNITRIFHFNHLSEDAKMRCETVAKALLKQIREGQPQVPPTEM >itb01g03100.t2 pep chromosome:ASM357664v1:1:2007637:2009636:1 gene:itb01g03100 transcript:itb01g03100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVNDPLALLRAKLERVRLETPEIPVANLGLFKDVPDLRELLDEEKKDFRDDEYLEQEGFYIDKSDLDFLAKFGRVVMPMLSHGFDTCGIVGLFILAYNLRVPNTVGEGMVFEHINPHHAIYDFAGEIVPNVQYSGRAPPAAYEPTIDPVKEAIFYCYIAASTLRLFSKSVENYVHAWDNIVNKFSSFYGFVPPRAIMPPTIQSLTGLQTAFSADTRMRMTLYKILYHAKRDQSLDGLRRFLYEMHLTNTGVHAFEALKRMTRMATSTEPHYTKKMWRFGRIFDHNFMSSLQTKACPKFVYILASMLKQEDPAKFQNITRIFHFNHLSEDAKMRCETVAKALLKQIREGQPQVPPTEM >itb03g27150.t1 pep chromosome:ASM357664v1:3:26865115:26885488:1 gene:itb03g27150 transcript:itb03g27150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRVGGKVVDSVDLLRKRHRLWRLDVWPFVSLYVLWLLVVIPSLDFVDACIVLGGIAVSHILVFLFTVWSVNFKCFIKYSKVDDIHLTDACKITPAKFCGSKEVVPLYLRKLASSSTENVDEIYFDFRKQRFIYSKEKGTFCKLPYPCKETFGYYLKNTGHGTEAKVVAATEKWGRNVFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRIKTLSELRRVRVDSETLMVYRCGKWVKLSGTDLLPGDVVSIGRSVGQSGEDKNVPADMLLLAGSAIVNEAILTGESTPQWKVSIMGRGAGEKLSARRDKAHVLFGGTKILQHTPDKTHQMKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFALIAAGYVLKKGLEDPTRSKYKLVLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLTDSEDLERDMSKVPRRALEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKKGGDDAVQIVHRHHFASHLKRMAVVVRMQEQFFAFVKGAPETIQDRLVDVPHFYVPTYKKYTRQGSRVLALAFKPLPEMTVSEARSLERDIVESGLTFAGFAVFNCPIRVDSATVLSELKKSSHDLVMITGDQALTACHVASQVHIISKPAMILSRAKNNEKYDWVSPDETDIVSYSENEVEALSETYDLCIGGECVEMLQQTSATLKVIPYVKVFARVAPEQKELIMTTFKSVGRIALMCGDGTNDVGALKQAHVGVALLNAIPPSKGEKSASSKNEPPKNPKSKKLKPAIENGDGSVNSRGTSRSESTSSQAANRHLTPAEMQREKLKKLMDELNEGGDGQAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQNLSAERPHPNIFCAYVFLSLLGQFAVHLFFLISSVNEANKYMPDECIEPDSDFHPNLVNTVSYMVSMMLQVATFAVNYMGRPFNQSISENKPFLYALLGAVGFFTVITSDLFRNLNDWLKLVPLPTGLRDKLLVWAVLMFLSCYSWERLLRWAFPGKMPAWKHRQRRAAASLEKKKL >itb07g20770.t1 pep chromosome:ASM357664v1:7:25109859:25110508:1 gene:itb07g20770 transcript:itb07g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNKYLLSERRQPSQLMQRRAPSSSSMQINCAAAHWNAAIPMLSPLVSSLESRNDLTAAINLYSNSMKDELAKKEQEKPPSPPAVLKRWQHPAMPFCQEQVPPFVPFAPHMLID >itb07g08160.t1 pep chromosome:ASM357664v1:7:6366147:6369824:-1 gene:itb07g08160 transcript:itb07g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVRLQPDPFLNELTNMFERTTEKGSVWVTLKHSSDKSKAKRNKLKSAGEKIEYKCLIRATDGKKNISTMVGPKDHQRFQASYAILLKAQMTALKKRERKDRRKAADSDKKQDGSKKQQRSAAPKASP >itb12g28220.t1 pep chromosome:ASM357664v1:12:28225546:28228103:1 gene:itb12g28220 transcript:itb12g28220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETPVLLQNSSDEGGGAAAAELKKNNEGGGEWNSGGNRWPHEETLALLNIRSQMELAFRDSSSSHKVPLWDEVSRKMGELGYHRNAKKCKEKFENIYKYHKRTKEGRSGRRQNAKNYRFFQQLELFDSHHSLLPSPTSHQIQIQNTLEKPTLVSPATPLTMLKPTTSLSQDDLRIQQPCHTRGDFSLDVNFTSTSATSSSSGKESQGIAKRKRKLGDYFDKLMKEVLEKQEGLHSKFLEAIEKCDKDRMAREEAWKRQEMERLKREQESLAQERAIAAAKDAAIIAFLQKITQQPIPIPVPLPTNPTPISPKCVENQESVLEKNIGDGSEEDVVDKGNDMQENVAERSSIEKREKSGCGESNSVQTSSSRWPREEVEALIRVRRNLDLQYQDSGSKGPLWEEISAGMKKLGYDRSAKRCKEKWENINKYYRRVKETQKKRPEDSKTCPYFHLLDSLYQSKSRRVEHRGSDVPKSKGEMLVQIMRQNQAEEEEEEEEATGKEDGERPSVDQNEENDDE >itb09g12550.t1 pep chromosome:ASM357664v1:9:7933085:7937803:-1 gene:itb09g12550 transcript:itb09g12550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVKKVSREDIQLVQNLIERCLQLYMNQKEVVNTLLHQAKIEPGFTELVWQKLEEENQEFFRAYHLRLIVKDQISRFNELLERHAKLIHQICPAGVNPIPLANGGSQMPPLHENSTCTTEKTGPVVKTETMHRNMDASLLDAYSNGASSLQTCMQSAFDMSTHTRRINVSPSMLLAQNANVGLMQGLNGGMIKSEAVYPSNSHFLFGNDNNVLETRPAITDASISSFSSVESNSQHLNETVLDADSSSFGFLGQIPRNFSLSDLTADFANSSDILESYSRSPFLASDTDSFLDPLGTREHRGAFAP >itb09g12550.t2 pep chromosome:ASM357664v1:9:7933085:7937803:-1 gene:itb09g12550 transcript:itb09g12550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVKKVSREDIQLVQNLIERCLQLYMNQKEVVNTLLHQAKIEPGFTELVWQKLEEENQEFFRAYHLRLIVKDQISRFNELLERHAKLIHQICPAGVNPIPLANGGSQMPPLHENSTCTTEKTGPVVKTETMHRNMDASLLDAYSNGASSLQTCMQSAFDMSTHTRRINVSPSMLLAQNANVGLMQGLNGGMIKSEAVYPSNSHFLFGNDNNVLETRPAITDASISSFSSVESNSQHLNETVLDADSSSFGFLGQIPRNFSLSDLTADFANSSDILESYSRSPFLASDTDSFLDPLGTREHRGAFAP >itb09g12550.t3 pep chromosome:ASM357664v1:9:7933085:7937803:-1 gene:itb09g12550 transcript:itb09g12550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVKKVSREDIQLVQNLIERCLQLYMNQKEVVNTLLHQAKIEPGFTELVWQKLEEENQEFFRAYHLRLIVKDQISRFNELLERHAKLIHQICPAGVNPIPLANGGSQMPPLHENSTCTTEKTGPVVKTETMHRNMDASLLDAYSNGASSLQTCMQSAFDMSTHTRRINVSPSMLLAQNANVGLMQGLNGGMIKSEAVYPSNSHFLFGNDNNVLETRPAITDASISSFSSVESNSQHLNETVLDADSSSFGFLGQIPRNFSLSDLTADFANSSGLLSVTPTHAHTCKHRHDTYNFILFFAADMYPGIYVHYRYFRELFKITLPSIRYR >itb01g35850.t1 pep chromosome:ASM357664v1:1:37833620:37834591:1 gene:itb01g35850 transcript:itb01g35850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CP1 [Source:Projected from Arabidopsis thaliana (AT5G49480) UniProtKB/Swiss-Prot;Acc:Q9FDX6] MCPTGSGVHGESARRSTTLRSAFEVMDVDRDGKISPDDLRTFYKTDFSLAVGGTASEDDELIGSMISVADSNKDGFVEYEEFEKVVGSRSVSVMEDVFRVMDRDGDGKVGHQDLKTYLSWAGLQANDEDVNAMIKLGGGDGNGGGVTLEGLCKILCI >itb15g06060.t2 pep chromosome:ASM357664v1:15:3965696:3967227:-1 gene:itb15g06060 transcript:itb15g06060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICEMMQRIREIIVVLFLALCSKEVSGRREWRAAAADGGDGPYCQSWRVAVEANNVRAWRTVPTQCLRHIESYMTGGQYERDLNFTVDAIFSFVDNEVSISGDGLDAWILDVDDTCISNLIYYQTKRFGCDPYDPAGFKAWATKGECPAIPSVLRLFKKLAKSGFKVFLLTGRSEDAYERATIKNLRNQGFIGYERLILRYN >itb15g06060.t1 pep chromosome:ASM357664v1:15:3965095:3967227:-1 gene:itb15g06060 transcript:itb15g06060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICEMMQRIREIIVVLFLALCSKEVSGRREWRAAAADGGDGPYCQSWRVAVEANNVRAWRTVPTQCLRHIESYMTGGQYERDLNFTVDAIFSFVDNEVSISGDGLDAWILDVDDTCISNLIYYQTKRFGCDPYDPAGFKAWATKGECPAIPSVLRLFKKLAKSGFKVFLLTGRSEDAYERATIKNLRNQGFIGYERLILRTGANKELSAVAYKSKIRKQLVKEGYKIWGNVGDQWSDLQGDHVGNRTFKLPNPMYFVP >itb10g09230.t1 pep chromosome:ASM357664v1:10:12298951:12301351:1 gene:itb10g09230 transcript:itb10g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQQFPPQQQDSQPGKEHVMDPHPQYSSHQYKPSNKLQGKIALVTGGDSGIGRAVCHCFALEGATVAFTYVKAQEEKDAEETVGILKKAKSGDAKDPIAIAADLGFDENCKKVVDEVVKAFGRIDILVNNAAEQYESNSVEEIDEARLLRVLRTNICSYFFLTRHGLKYMKEGSSIINTTSINAYKGHAKLLDYTATKGAIVAFTRGLALQLVNKGIRVNGVAPGPIWTPLIPASFTKEECASFGKDVPMQRAAHPIEVAPCYVFLASSPDSSYISGQVLHPNGKYNIAMLSFPLLWIETVPVSP >itb02g15750.t2 pep chromosome:ASM357664v1:2:11472628:11475833:-1 gene:itb02g15750 transcript:itb02g15750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGGGESTKPQLYSYWRSSCSCRVRIALNLKGLDYEYKAVNLAKGEQFSPEFLKLNPMGYVPALVDGDIVISDSFAILMYLEEKYPQNPLLPKDPKLKAINFQAANIVSANIQPLQNLAILKYIEDKVGPDEKVPWCQTHIKKGFAALEKLLKSYAGKFATGDEIYLVSFFDTFTWTTEYPNSRMQTSEIRNRSPSPNPGRFRTQFTSYDY >itb02g15750.t1 pep chromosome:ASM357664v1:2:11470989:11475902:-1 gene:itb02g15750 transcript:itb02g15750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGGGESTKPQLYSYWRSSCSCRVRIALNLKGLDYEYKAVNLAKGEQFSPEFLKLNPMGYVPALVDGDIVISDSFAILMYLEEKYPQNPLLPKDPKLKAINFQAANIVSANIQPLQNLAILKYIEDKVGPDEKVPWCQTHIKKGFAALEKLLKSYAGKFATGDEIYLADLFLVPQIHAAINRFNVDMNEYPILSRIYEAYKEVPAIQNAMPEKQPDKPTS >itb14g11810.t1 pep chromosome:ASM357664v1:14:13446844:13451969:-1 gene:itb14g11810 transcript:itb14g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGDSESEEEESDIEVEEPVDSIDAANKSKYLVSDTDSDSDDSNVHRRVVKRSDKRYEEMSSTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRTIDAEKVPDLYIKALVMLEDFLSEAFANKEAKKKMSSSNAKAMNSMKQKLKKNNKQYEELIDAYRKNPPKSEDEGGDEESEEEDDDDEDDFEEDPTKAESEEDEDEGEGHDELTETGTGWEKMLSKKDKLMDKQFKDPSQITWDIVNKKFREIVSARGRKGTGRIELIEQLTFLTKVAKTPAQKLEILFSVISAQFDVNPSLSGHMPINVWKKCVQNMLIVLDILTQYPNIVVDDKVEPDENETQKGPDHKGTIRIWGNLVAFVERIDVEFFKSLQVIDPHTREYVERLKDEPLFVVLAQNVQDYLERAGDLKGAAKVALKRVELIYYKPQEVYDAMRKWAEQTENAEEAMESGEENKVLEESRGPPAIVPTPELVPRKPTFEENSRTLMDILVSLIYKYGDERTKARAMLCDIYHHAILNEFSVSRDLLLMSHLQDNVQHMDISTQILFNRAMAQLGLCAFRVGLIFEGHSCLSELYSAGRVKELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLESVHLICAMLLEVPNMAANSHDGKRKVISKTFRRLLEVSERQTFTGPPENVRDHVMAATRALRQGDFKKAFDIINSLDIWRLLRNKDNVLEMLRAKIKEEALRTYLFTYSSSYNSLSLDLLAKMFELSDSQTHCIVSKLMITDELHASWDQPSRCVVFHDVEHTRLQALAFQLTEKLAILAESNDRAIEARIGGGLDGPPLRRRDGQDYAAAAGGGAGGKWQEFFSQGRQGGTGGGRSGGYNRGSGSGQTSRDRSNQARGAGGYSSGSQGSRYHSGSAARGSQGDASARMVNLNRGIRA >itb14g11810.t2 pep chromosome:ASM357664v1:14:13446937:13450863:-1 gene:itb14g11810 transcript:itb14g11810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGDSESEEEESDIEVEEPVDSIDAANKSKYLVSDTDSDSDDSNVHRRVVKRSDKRYEEMSSTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRTIDAEKVPDLYIKALVMLEDFLSEAFANKEAKKKMSSSNAKAMNSMKQKLKKNNKQYEELIDAYRKNPPKSEDEGGDEESEEEDDDDEDDFEEDPTKAESEEDEDEGEGHDELTETGTGWEKMLSKKDKLMDKQFKDPSQITWDIVNKKFREIVSARGRKGTGRIELIEQLTFLTKVAKTPAQKLEILFSVISAQFDVNPSLSGHMPINVWKKCVQNMLIVLDILTQYPNIVVDDKVEPDENETQKGPDHKGTIRIWGNLVAFVERIDVEFFKSLQVIDPHTREYVERLKDEPLFVVLAQNVQDYLERAGDLKGAAKVALKRVELIYYKPQEVYDAMRKWAEQTENAEEAMESGEENKVLEESRGPPAIVPTPELVPRKPTFEENSRTLMDILVSLIYKYGDERTKARAMLCDIYHHAILNEFSVSRDLLLMSHLQDNVQHMDISTQILFNRAMAQLGLCAFRVGLIFEGHSCLSELYSAGRVKELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLESVHLICAMLLEVPNMAANSHDGKRKVISKTFRRLLEVSERQTFTGPPENVRDHVMAATRALRQGDFKKAFDIINSLDIWRLLRNKDNVLEMLRAKIKEEALRTYLFTYSSSYNSLSLDLLAKMFELSDSQTHCIVSKLMITDELHASWDQPSRCVVFHDVEHTRLQALAFQLTEKLAILAESNDRAIEARIGGGLDGPPLRRRDGQDYAAAAGGGAGGKWQEFFSQGRQGGTGGGRSGGYNRGSGSGQTSRDRSNQARGAGGYSSGSQGSRYHSGSAARGSQGDASARMVNLNRGIRA >itb07g17870.t1 pep chromosome:ASM357664v1:7:22164948:22169674:-1 gene:itb07g17870 transcript:itb07g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPPHSGTVPPTDPKAAPTQPEKVDYMNLPCPIPYEEIQREALMSLKPELFEGMRFDFTKGLNQRFSLSHSVFMGPTEIPSQSTETIKIPTANYEFGANYIDPKLMLFGRLMTDGRLNARLKCDLSENLSLKANGQLTSEPHMSHGMVNFDYKGKDYRSQFQLGSGALLGASYIQSVTPYLSLGGEVFWAGQHRKSGIGYVARYNTDKMVAAGQVASTGIVALSYVQKVSEKVSLASDFMYNYLSRDVTASFGYDYILRQCRLRGKIDSNGCVAAFLEERLNMGLNFILSAEVDHKKKDYKFGFGLTVGE >itb06g23270.t1 pep chromosome:ASM357664v1:6:25308845:25311551:1 gene:itb06g23270 transcript:itb06g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIKCEIEKPHAVCVPYPAQSHINAMLKVAKILHNKGFHITFVNTEFNHRRLQRSRGPESLRGLPSFRFKTIPDGLPASDVDATQDIPSLCVSTATTCLGPFKELLAGLNDTAASNVPPVSCIVSDGIMSFTLDAAEELGIPDVLFWPISASGLLGFMHYSQLVEKGYTPFKDESYLTNGYLETELDWVKGMKGIRLRDLPSFFRTTDPNDILLNFLIQQTERSKKATAIILNTFDALDHEVLMALQSMLPPVYAIGPLPLLQTQFDDDNVRAIASNLWKEDSTCLAWLDTKEPNSVVYVNFGSITVMTPNHLVEFAWGLANSKKPFLWIVRPDMVTGEAAMLPPEFLEETKDRGMLSNWCPQEQVLGHHAVAGFLTHCGWNSTLESICNGVPMLCWPFFAEQQTNCWYSCTKWGIGMEIDNNVKRDEVEKLVRELMESEKGREMKRKAMELKKLAKEAADTIPIGLSHINIDKFINHLLLSHSK >itb02g06880.t1 pep chromosome:ASM357664v1:2:4310838:4314847:-1 gene:itb02g06880 transcript:itb02g06880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYPKDDFTQLIKRFGAFLTVKISNVFHSLDSRSIGALAGLAFAVVFTWRVLRSPSQRRRPKRQTGAPSSSSASSNTNANVTTSASDPSPENSRAQNVIDEFFQPVKPTLGQIVRQRLSEGRKVTCQLLGVILEETSPEELQKQATVKPSVLKVLLEITKCCDLYLMERVLDDESEKKVLLALEDAGVFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVTQLARFIKYQLHISPTKPERAASNVFSSTSLEQFFGCV >itb12g05730.t1 pep chromosome:ASM357664v1:12:4269022:4272206:-1 gene:itb12g05730 transcript:itb12g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKHFVLVHGSSHGAWCWYKLKPLLESGGHKVTALDLSASGVNPKQLRDLRTLHDYTLPLMELMAALPNGEKVILVGHSFGGINIALAMENFPHKISVAVFLTAFMPDSVHPPSYILDQFNKCTPAETWLDTQFLSCGTPEDPLTSVVFGPQFMSKKLYQMCSPEDIALGGLLIRPSSYFTEDLPKVKKFTNEGYGSVKRVYIICSEDQAITLDFQRWLIENIGVVQAKEIKDADHMAMLSKPQQLCEYLLEIARDE >itb13g25960.t3 pep chromosome:ASM357664v1:13:31332165:31334714:1 gene:itb13g25960 transcript:itb13g25960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRFIIIRIYTTLINVHKRVSFSILVFETQREKQREREREMAELNEGAAAEVTSIFIYPIKSCRGISVSQAPISPTGFRWDRQWMVVNSKGRACTQRVDPRLALVETELPNEAMFEGWEPNKNSYLVIRAPGMQALKVPLSKPSLTTEGISVWEWSGSAFDEGDEAATWFSNYLGKPYRLVRFNEASETRAVDPEYAHGYKVTFSDGYPFLVLSQGSLNALNTLLKEPISVNRFRPNILVDGCEQYAEDLWKEILINKSAFYGVKLCSRCKVKTIFSLDKFSVPEHYTVTFS >itb13g25960.t1 pep chromosome:ASM357664v1:13:31332165:31335350:1 gene:itb13g25960 transcript:itb13g25960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRFIIIRIYTTLINVHKRVSFSILVFETQREKQREREREMAELNEGAAAEVTSIFIYPIKSCRGISVSQAPISPTGFRWDRQWMVVNSKGRACTQRVDPRLALVETELPNEAMFEGWEPNKNSYLVIRAPGMQALKVPLSKPSLTTEGISVWEWSGSAFDEGDEAATWFSNYLGKPYRLVRFNEASETRAVDPEYAHGYKVTFSDGYPFLVLSQGSLNALNTLLKEPISVNRFRPNILVDGCEQYAEDLWKEILINKSAFYGVKLCSRCKIPTINQETAEMGSEPTQTLKQFRSGQVLLPNKKQQGSVFFGQNMVCVDSLGQVKIIKVGDPVHVIKAVPSCAEAAA >itb13g25960.t2 pep chromosome:ASM357664v1:13:31332165:31334902:1 gene:itb13g25960 transcript:itb13g25960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRFIIIRIYTTLINVHKRVSFSILVFETQREKQREREREMAELNEGAAAEVTSIFIYPIKSCRGISVSQAPISPTGFRWDRQWMVVNSKGRACTQRVDPRLALVETELPNEAMFEGWEPNKNSYLVIRAPGMQALKVPLSKPSLTTEGISVWEWSGSAFDEGDEAATWFSNYLGKPYRLVRFNEASETRAVDPEYAHGYKVTFSDGYPFLVLSQGSLNALNTLLKEPISVNRFRPNILVDGCEQYAEDLWKEILINKSAFYGVKLCSRCKIPTINQETAEMGSEPTQTLKQFRSGQVLLPNKKQQGSVSIYDISGTLETLV >itb04g24560.t1 pep chromosome:ASM357664v1:4:29335120:29341438:-1 gene:itb04g24560 transcript:itb04g24560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFVLKFVVSSMMVVCTCKLEARIMERSEDYFCFCTCNKTLSSEDYFCFCTCNKTLRSEDYFCFCTCNKTLRGVRTSSFLFYICSKTQRQLKSYFPAKMVNPNVAGGAGEGNSKGDKGKGKMVMEDNSDGDKGKGKMIKTSKDDIPVLPELDLQSYETLAESLHKQMLEVKAWKERSSEESTVKEGKEEEEERKEFPEWYDPLALQLEEPLIHNLRGSFQTAIKKIAANGYSMEQAEWAVLTSGFYIGNSDITSNIVAAAMAFLAKKEFEIDPSERYIFESVENLANYALVEMVNVLKQVKPYLSVGDAMWLLLLFDLNLIQAASEGQSVDHFSQLKSSTSTGNPKGMAEMKKNSRGETTVYDVPATTTIVPEFKGAKRGIKATGKAPRNVPGSSRKVTMKTQRSIDIPAKKATETPKTEKDEVIAALTQRKEQLERELQGWSDWTSVRVGQAAHLALCEECNELHQRKGMKVSYLSNPKAMTTRT >itb02g26050.t4 pep chromosome:ASM357664v1:2:26857723:26859811:-1 gene:itb02g26050 transcript:itb02g26050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIPEAVHIDKILVHDEKTCCMKSDIKVTLHLDAMEDHHEHSIFMHLSNVFQAKLIDLFGKHPEDCNIPEAILPEPFSGSSITAKPYSLPVNLSSPLDTEILESSHLSPSLSSHFSQKAVVPKEKKTQLLVSSIPCSFVDDGPIQETESEMEPPRSCPKSPIVTDSVQQTQLLPAGSSKTCENTPLKLTSENNNLLLETPAQSTPRRSLSATEDKHKKVISQSALASNLTVKRSLMDDLDWSETVDSIFPQMDIKGTSASGSVTTSAIHLSEVEKSSCTSGKDKRGLPVHQQIYVGLPNLVHLIHNIFQSLGRDSVTKEELFHKILMADCDVDENSMLNSC >itb02g26050.t3 pep chromosome:ASM357664v1:2:26856752:26861329:-1 gene:itb02g26050 transcript:itb02g26050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEPESRERDVTDLKDDSLMFPIPSKKSEITKSQHLGTNFASSTPEKTTELSRVERPKDIAGLPENYRALAELFDRMVCSLRLLRLRKKSPTFQRISSQVEVLTGRKFLYGHLAQIMYIIPEAVHIDKILVHDEKTCCMKSDIKVTLHLDAMEDHHEHSIFMHLSNVFQAKLIDLFGKHPEDCNIPEAILPEPFSGSSITAKPYSLPVNLSSPLDTEILESSHLSPSLSSHFSQKAVVPKEKKTQLLVSSIPCSFVDDGPIQETESEMEPPRSCPKSPIVTDSVQQTQLLPAGSSKTCENTPLKLTSENNNLLLETPAQSTPRRSLSATEDKHKKVISQSALASNLTVKRSLMDDLDWSETVDSIFPQMDIKGTSASGSVTTSAIHLSEVEKSSCTSGKDKRGLPVHQQIYVGLPNLVHLIHNIFQSLGRDSVTKEELFHKILMADCDVDENSDIEGQIDLLEKLVPEWICKKLAPTGGFLYSLKSGPDLNSVCERLIST >itb02g26050.t2 pep chromosome:ASM357664v1:2:26856752:26861329:-1 gene:itb02g26050 transcript:itb02g26050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEPESRERDVTDLKDDSLMFPIPSKKSEITKSQHLGTNFASSTPEKTTELSRVERPKDIAGLPENYRALAELFDRMVCSLRLLRLRKKSPTFQRISSQVEVLTGRKFLYGHLAQIMYIIPEAVHIDKILVHDEKTCCMKSDIKVTLHLDAMEDHHEHSIFMHLSNVFQAKLIDLFGKHPEDCNIPEAILPEPFSGSSITAKPYSLPVNLSSPLDTEILESSHLSPSLSSHFSQKAVVPKEKKTQLLVSSIPCSFVDDGPIQETESEMEPPRSCPKSPIVTDSVQQTQLLPAGSSKTCENTPLKLTSENNNLLLETPAQSTPRRSLSATEDKHKKVISQSALASNLTVKRSLMDDLDWSETVDSIFPQMDIKGTSASGSVTTSAIHLSEVEKSSCTSGKDKRGLPVHQQIYVGLPNLVHLIHNIFQSLGRDSVTKEELFHKILMADCDVDENSDIEGQIDLLEKLVPEWICKKLAPTGGFLYSLKSGPDLNSVCERLIST >itb02g26050.t1 pep chromosome:ASM357664v1:2:26856752:26861329:-1 gene:itb02g26050 transcript:itb02g26050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLEPESRERDVTDLKDDSLMFPIPSKKSEITKSQHLGTNFASSTPEKTTELSRVERPKDIAGLPENYRALAELFDRMVCSLRLLRLRKKSPTFQRISSQVEVLTGRKFLYGHLAQIMYIIPEAVHIDKILVHDEKTCCMKSDIKVTLHLDAMEDHHEHSIFMHLSNVFQAKLIDLFGKHPEDCNIPEAILPEPFSGSSITAKPYSLPVNLSSPLDTEILESSHLSPSLSSHFSQKAVVPKEKKTQLLVSSIPCSFVDDGPIQETESEMEPPRSCPKSPIVTDSVQQTQLLPAGSSKTCENTPLKLTSENNNLLLETPAQSTPRRSLSATEDKHKKVISQSALASNLTVKRSLMDDLDWSETVDSIFPQMDIKGTSASGSVTTSAIHLSEVEKSSCTSGKDKRGLPVHQQIYVGLPNLVHLIHNIFQSLGRDSVTKEELFHKILMADCDVDENSDIEGQIDLLEKLVPEWICKKLAPTGGFLYSLKSGPDLNSVCERLIST >itb13g08920.t3 pep chromosome:ASM357664v1:13:11793045:11797234:-1 gene:itb13g08920 transcript:itb13g08920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLSSSSSKELHGNGEKSRALLYLNVYDLTTINNYLYWFGLGVFHSGIEVHGLEYGYGAHDFSSSGVFEVEPRTCPGFIFRRSILLGGTDMSPSEVRSFMEQLSRKYHGDSYHLIAKNCNHFTDEVCMQLTGKPIPGWVNRLARVGSFCNCLLPENIQVTAVRRLPDRQTCSDNETDSGASSLTELSDGEEVDHQLLTARNSDVAFLNQKTVILSKELL >itb13g08920.t1 pep chromosome:ASM357664v1:13:11793036:11797375:-1 gene:itb13g08920 transcript:itb13g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLSSSSSKELHGNGEKSRALLYLNVYDLTTINNYLYWFGLGVFHSGIEVHGLEYGYGAHDFSSSGVFEVEPRTCPGFIFRRSILLGGTDMSPSEVRSFMEQLSRKYHGDSYHLIAKNCNHFTDEVCMQLTGKPIPGWVNRLARVGSFCNCLLPENIQVTAVRRLPDRQTCSDNETDSGASSLTELSDGEEVDHQLLTARNSDVAFLNQKTVILSKELL >itb13g08920.t2 pep chromosome:ASM357664v1:13:11793060:11797235:-1 gene:itb13g08920 transcript:itb13g08920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLSSSSSKELHGNGEKSRALLYLNVYDLTTINNYLYWFGLGVFHSGIEVHGLEYGYGAHDFSSSGVFEVEPRTCPGFIFRRSILLGGTDMSPSEVRSFMEQLSRKYHGDSYHLIAKNCNHFTDEVCMQLTGKPIPGWVNRLARVGSFCNCLLPENIQVTAVRRLPDRQTCSDNETDSGASSLTELSDGEEVDHQLLTARNSDVAFLNQKTVILSKELL >itb13g21190.t1 pep chromosome:ASM357664v1:13:27823824:27825845:-1 gene:itb13g21190 transcript:itb13g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRAAKHHIFEERLDDNVGCVVLNQYTNDLNRLIKKYKFSSKHSFRCCFAASILEELGLSHHRAFLLADQALADDFNIDASCGTTAITALILGKYLVIANAGDCRAVLCRKGNAVQISHDHRPSCQFERKRVEALGGLIEYGYLNGEISVTRALGDWSMKLPYGSASSPLSAEPEIHQVALTEDDEFLIIGCDGVWDVMSNQDAVSIVLQELRMHNDPQECAKELVNQALLREKNDNLTAIVICFTAPPVPSRRPKLRCLTLSEEARNRLRSFVQGN >itb06g12970.t1 pep chromosome:ASM357664v1:6:17547762:17554546:1 gene:itb06g12970 transcript:itb06g12970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase family 3 member H1 [Source:Projected from Arabidopsis thaliana (AT1G44170) UniProtKB/Swiss-Prot;Acc:Q70DU8] MDSAAAVSELRETFAAGKTKSYEWRVSQLKAVLKITEHHEKAIMDALFSDLSKPELESFVHEISFVKASCKLALKELKRWMKPENVKSSFAVFPSSAKIVSEPLGVVLVISAWNYPFMLSLDPVIGAIAAGNAVVLKPSEVAPATSSLLAKLFKDYMDTTAVKVIEGSVPETTALLEQKWDKIFYTGNGKVGRIVMAAAAKHLTPVVLELGGKCPLVVDSNINLKVAARRIIAGKWGCNSGQACIAPDYIITTKENALQLLDVMKVELDKFYGKDPLCSVDLSRIVNSNHFYRLTKLLDDDKVSGKIVHGGQRDEKKLKIAPTILMDVPEDALIMKEEIFGPLLPILTVNKVEDSLRIINGRDKPLAAYLFTSNKKLEQEFVTNLSAGGLLINDTALHVAVHTLPFGGVGESGTGSYHGKFSFEAFTHKKAVLKRSFGGDLSARYPPYTPKKGRLLRALLSGNLIFIIRALLGL >itb06g04650.t1 pep chromosome:ASM357664v1:6:7453792:7459834:1 gene:itb06g04650 transcript:itb06g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYASEAGSNKRKYEEPTSPSPVSRRPTGFSAPISSLSPPDGSAAAVGAPPPPSYNNVPPPMDDFQLAKQRAQEIAARLINSSDPKKPRVDNGGGAPAFESREPQKQMGSTFLPPASNSYGYPGPSKKIEIPNGRVGVIIGKGGETIRYLQLQSGAKIQVTRDMDADPNSQTRGVELMGTPEQIAKAEQLIQDVLSEADSGGSGIVSRRLTGQQSGAEQFVMKVPNNKVGLVIGKGGETIKNMQASTGARIQVIPLHLPPGDTSTERTVQIDGTSDQIEHAKQLVNEVISENRVRNPAMTGAYPQQGYQARPPTSWAPPGGQMQQPGYGYMQPGTYPGPSPQYSMPQPPYPGYPPQPTSAGYGTGWDQSNPNQQTAPGAGYDYYSQVPPQQQQPTPGGPGAAPTDSSSAYGYNQQPSSAYSQGQSYSQDGYNGYHAPAPQSGYPNPVPGYDQQQGYNSTTGYSNTSNPAADGQTPSYGTQSDTSQAPPNQSAGSQPGYHASQPGGYGIPTSQGGYGTQPSAYGNNYGQPPSQKPPTSQPAYGQPQQSPSAQGGYAQPTQVYPHSQPSPTLSGYSQPDSGSQRAPSTGYGAPASQPGYGPPPYGAPQMTQSGVPYNSGYGGSGYSQASAYSTDGSGGGGARASYDTAPTSQSSQPTGSAKTSPQS >itb06g04650.t2 pep chromosome:ASM357664v1:6:7453792:7459614:1 gene:itb06g04650 transcript:itb06g04650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYASEAGSNKRKYEEPTSPSPVSRRPTGFSAPISSLSPPDGSAAAVGAPPPPSYNNVPPPMDDFQLAKQRAQEIAARLINSSDPKKPRVDNGGGAPAFESREPQKQMGSTFLPPASNSYGYPGPSKKIEIPNGRVGVIIGKGGETIRYLQLQSGAKIQVTRDMDADPNSQTRGVELMGTPEQIAKAEQLIQDVLSEADSGGSGIVSRRLTGQQSGAEQFVMKVPNNKVGLVIGKGGETIKNMQASTGARIQVIPLHLPPGDTSTERTVQIDGTSDQIEHAKQLVNEVISENRVRNPAMTGAYPQQGYQARPPTSWAPPGGQMQQPGYGYMQPGTYPGPSPQYSMPQPPYPGYPPQPTSAGYGTGWDQSNPNQQTAPGAGYDYYSQVPPQQQQPTPGGPGAAPTDSSSAYGYNQQPSSAYSQGQSYSQDGYNGYHAPAPQSGYPNPVPGYDQQQGYNSTTGYSNTSNPAADGQTPSYGTQSDTSQAPPNQSAGSQPGYHASQPGGYGIPTSQGGYGTQPSAYGNNYGQPPSQKPPTSQPAYGQPQQSPSAQGGYAQPTQRAPSTGYGAPASQPGYGPPPYGAPQMTQSGVPYNSGYGGSGYSQASAYSTDGSGGGGARASYDTAPTSQSSQPTGSAKTSPQS >itb13g18780.t1 pep chromosome:ASM357664v1:13:25684107:25684743:1 gene:itb13g18780 transcript:itb13g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYHVNPSFLLLFFITLSPAVVNMVQTEARNLLEITLPELPFPEIPTILPKPEIPEIPKPELPTLPKPQLPEIPHPELPSIPKPEFPEIPKPELPAFPMPELPTKP >itb08g11840.t1 pep chromosome:ASM357664v1:8:11826103:11828754:1 gene:itb08g11840 transcript:itb08g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFDLHHPLVFVFGVLGNIVSILVYFAPLPIFIRICKAKSTMGYHAVPYVVALFSAMLWMYYAFLKKNAPLLISINSFGCVIETIYISIFLAYATKDAKRQTVKLVILLIGVLYSGIFLATIFPFSGTLRVNIVGWICVAISVCVFASPLSIVFQVVRTKSVEFMPFGLSFFLTLTAVMWFGYGMLLKDLCIALPNVLGFILGMVQMVLYGIYRNAKPVKAAGEEKKAVPAEHIINVVVIGAVEQVHPVNEITETPVVDDDGGKNRGEEHDERRTIPRPPEIPDGDDESSQLGQVNSVHMEQPVLVVCSAA >itb08g06670.t1 pep chromosome:ASM357664v1:8:5704308:5709491:1 gene:itb08g06670 transcript:itb08g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLSTPSPSLPPFPRRLHSHSPLISSFPAIFTGTLRLRKIAPSMATATASCSSQPPLLSARTVSISYTELKDKNADLSSKIEQGFGANGLGLVSISDVPEYTLLRENLLRLSSRLANVSEDVKRELEDPDSRYSFGWSYGRQMRDGKLDKLKASFYANPILDVPTTEPSLLQQYPSFCRANIWPCTALPELEIAFKALGKLIVNVGLLLAYHCDRYVSSRIATNENKDILHTLLPSRSHKGRLLHYFPTQNSCSAQDDGSIPSWAGWHTDCASFTGLTCEMFTRDDVEIPCPDNAAGLYIKSRTGQVVKPEYGEDEIAYMAGETSEILSRHLLCATPHCVQAPKGAEASAVGRSTFALFLQPDWDDKFNFSELAHIHEELRHSNHPQTFGEYNERLLDKYY >itb09g08450.t1 pep chromosome:ASM357664v1:9:5051821:5052186:1 gene:itb09g08450 transcript:itb09g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARSGIILHERIICVILVGYFCVIRNRFKGGHLEISARMQPSISQKYAGGSFLALLSAGNYAGYVENLGEMTGRNKFSSKNCLNNLSYFNTCSSNKLRHPQELLGSGGKNFLLFLSQLE >itb15g02420.t1 pep chromosome:ASM357664v1:15:1473810:1477862:1 gene:itb15g02420 transcript:itb15g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLKFTYSLIFVTFIIVFLATLHHSRRGSAAVKRNGSYYRNDFISSASNYTIADYLRNLTGGPHLAGTAAAGAAAVYVRSHFERLKMETRVVNYTVLLSYPVRGSVTAVFINGSDFSVPLSEPGGGGGVVMAYHAYAPSGTAYGKAVFLNYGRDGDYGELAAAGVDVAGCVGIVRRGGGLSRGEAVERAAARGVAAVLMYTDGEREKFGVERGTVMSDLGDPLSPGWGGVENGEKLRLDDPRVRDKFPKVPSLPISMAAAGIILRSLGGAELPQEWKKNLKNSGIDFERVGPGPTMLNFSYEGEKKMATIQNVFGIIRGSEEPDRFVLLGNHRDAWTYGAVDPNSGTAALLDIARRYARLVSLGWKPRRTIMLCSWDAEEFGMIGSTEFVEQNLMNLAAKSVAYLNVDCAVQGPGFFASATPQLDDLLLNVAKKVRDPDHERTTIFETWAVSNRGTNIQRLSRVDSDFASFLQLAGIPSVDLYYGKDFPVYHTAFDSYDWMIKFGDPLFQRHIAVSGVWGLLGLHLADDAVLPFNYEPYAAELLRYTRSLSGVLEGGVSLDQIFDAIRELSTAAKAIEEEAKKLRKDETMAELLILERRMLNDRLMSAERGFLDNEGLNRRQWFKHLVYGPSTGGKTELDFYPGIVDAVSRSTHLNNTEREAVIQHEIWRVARAIQRAAYALKGNLT >itb10g25650.t1 pep chromosome:ASM357664v1:10:28862419:28877076:1 gene:itb10g25650 transcript:itb10g25650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPNTKDEAPNTIKVVDSSLWWDSFSVLLTELENISLSSDITSSLERKLKENHPWFLDAKSLFKPPNQKSREALDASAVKIDSRQITIWPELKETALKISSILCLDEVQSYILVERSLKQNTLATEAIIHELPHLVILQYYIERQCLLKCTRQILMHALYFGTKPKEGSGIVDEAHKLISDGLETKMLSVLEDNLSLNFPEPMEIDFYTLWAEEILTEDNLILDILFLIYYEFCTCSGKQWRKLCLLYEGFISNSLNFGRLAISAESFSSICHAKVQLLLILIETLNLENLLQMVHDEIPFRQGSATFSLNDVQEIDALVSNLNVFEKKEAGPLILAWAVFLCLVSSLPEKEESNVLMEIDHIGYVRQAFEAASLSYFLEILESDILKEDSDGPIAGFRSVLRTFISAFVASYEINLQLEDNNLQLILEILCKIYRGEESLCIQFWDRDSFVDGPIRCLLCNLEGEFPFRTGQLLRLLSALCEGAWPAECVFNFLDKSTGLSSLFEISRGSLVDAASQSVKTSQPLHVPGVEGLIIPSGTHGHVLKMVDRNIGLVRWEYSQSGLLVLLLRLAQVTHLDSSDEVFAILDLLSRMVSFNVAVCYSLMDFSRSFCNELCSPNVRLEEDLRVNVAEIICGLIKNLPPNSTGVTLMSMGVDILTKMLKCSPHRVTTLSVKTNIFDVAFKTNPFEVGSNGLSSGSWLLSGRLAKMLLIDCEQNDCQLTLSVLDFTTALVQTGAENDIVLALVIFSIQYVLVNHEFWKYKVKHARWKVSLKALEVIKNSIRSISYGQIHGEVIRDILLCDSSIHSVLFRLVCMTAEGLEKLYVSRLYELVDIEGLQQSIVFTLDILFSLLSDLTKDLPSRPVLHQAVMSSATKPIPVVTAAISLMSFFRNPKIQLAAARLLSVLFLVGDDSSSCAFGNAYFGLDEKQIHNFKNAICSILSEQAGSSDDLIVATFQMLSSAANHQASFLSAVIALGENDKDQEHEYQSQSKDANILNHILLYVRRSEALMESNPAILYNLLNFLKALWQGAGHYRNILKQLTNSDFWRQLSNSLMQIRESENLSEVDPRDVAYIYKCQSSVLDLMAYEMFLLKKTLNADLHAKQTSPLLHDTVEKTVSSLIERSEDNIVYILKTWCTSSSLGKLIKSFVSVEYDDCTNLRGKVAASLFAVHLMAKVRSSDTGSLSISLIDKVSTLSQKLSKLPAFSELMVYYGERGYSGGEELHNLILNDLFYHLQGELDGREISHGPYKELSQYLIGSKFLQTYRCKGDDDLPQPNNAYLFDINHLVTEMGIDMWNFSGWRSSKAIAEVLLLWLRNVNAMALVTSSKLVAIKSLITLFSMFDDKSSQFKAATGGKIPEQTIFSSINYTCQCLQATIDLLAPASDASNDILDILAAQIDLLFHFVRSMTRSLPLPTFLLILKSSSYGLKVLNSRPSVTGFTTTTKTLLMLILFSVEYCCNKSNCSLPTEADNESSEALAEAANVSLGLLPILCNCIEVADYCTLSLTIIDVVVKSFSTPATWFPIITKHLQLQPVVHKLLDRNFSANVHIILKFLLTLGCVREGAKMLMSAGVFAYLRELLTDIPDGTSFSIVQNEWILSEAFKNNEKHQSIWGLGLAVATTIIHSLGESSVDVIDYVMAYLIVEKANTIYYYLSAPDFPSDDREKKRARALKTCTSLYALMETENTLTLICVLARYRNSWIKVMKEMESQLRERCIHLLAFISRGTLHHGESSAKCAPLLCHPFLRDELESQKKPSFINSKNGWFALSPLGCELSTKFSSRISSISKGQSNEIVTPGAQTHFSDTVAVQIYRITFLLLKFLCIQAEGAAERAQDLGYVDLAHFPELPMPDILHGLQNQGISIVAELCEANKLKQVTSEIQGVCVLLLQITIMALYLEYCVIQICGMRPVLGRMEDFSKEFKLLIRATEGHAFLKEHVKSVKQIVSFVYPELLQREDFR >itb14g04610.t1 pep chromosome:ASM357664v1:14:4095603:4097855:-1 gene:itb14g04610 transcript:itb14g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEKNKKLGIVGIASLLFLAFVVVATANESGTFAISCNGSECPTSGAESVSGSGSGSCAAGETDKETCVEKNPEGNAVGKMSAHEVMRAAFSLDSIADAIGKSEHLQQSVKQLCESTDYKELCEKSLARANHSHDPRKLMNAAFSVAWENLAETVSKSELLKRADKDPRTHEALEICKEVLDHSIEDLKRSSHKVEESTTVNAESGNDLKVWLSAAIAFEDTCLDAFQNTTGETGEKMKHLLKTAMELTSNGLAMVTKLTEFLKTLEIPGISRRLLEDTEGGEGVDSTDEEFPKFVDAPTRRLLLAHPNSIRADIVVAKDGSGKFKSISSALASIPPKNTRQIVIFIKAGVYNEYVIVPRKMNNIVFLGEHPTKTVITGSKNFIDGVGTYKTATVAVEGDGFVCRDLTIENRAGAAKHQAVALRVSADMVVVHNCHIDAYQDTLYTHSYRQFYRGCTITGTIDFIFGDAAAVFQKCKMIVRKPMENQACMVTAQGRKDRRSVGGTVLQNCEILPDPALKAVHPPVKVYLGRPWKEFSRTIIMFSFIDGFIAPEGWSPWEGNFALNTLWYAEYKNRGPGSNTARRVNWKGIQKNISPQVAKQFFPGAYLEGDAWIRRSHIPYASGMA >itb03g13510.t1 pep chromosome:ASM357664v1:3:13617010:13618255:1 gene:itb03g13510 transcript:itb03g13510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDIVGNYYSGNFLSAAAAASSFWSPEMGAVVSSPLSSSDTGSCSATMKANFSDEEVLLASNNPKKRAGRKKFRETRHPVYRGVRRRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGRSACLNFADSAWRLPIPASADPKDIQKAAAEAAEAFRPVALPANQNQNQRIILEAEEEEECNSSMKEEQVSTTNENVFFMDEEAFFDMPGLLADMAQALMLPPPQCALVDRSNDVELDADVSLWSFSI >itb10g18080.t1 pep chromosome:ASM357664v1:10:24132523:24134871:-1 gene:itb10g18080 transcript:itb10g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSPQAPPWVELPEGITEKILQKLGAFEILCTAQRVCTTWRRLCKDPSMWRVIDMRFSGIAALWELENYPDKICIRAVDLSQGNADHINIEYFGSDSLLNYIALRSSQLKRLQLAFCYNVSAEGVSEAVKKFPLLEELHLSFISITQEAIESIGRSCPRLKSFELNHRVCSFQRMEYDEEAVAIAGNMRELQHLQLIGNKMTDDGLLTILNGCSRLESLDMRQCFNLRFGGNLRTKCSQQIKKLRWPTDSTEDYRYCHEIYDSELNFPYDIYDTDSSYDSDYAEYGWLMQ >itb03g23680.t1 pep chromosome:ASM357664v1:3:21909306:21910154:-1 gene:itb03g23680 transcript:itb03g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEAIRAKTIAEGKLEKKDFAGAKKFALKAKALYPALEGLYQLLTILDVYISAENRISGEVDWYGVLGVNPSADDDTVRKQYRKLALILHPDKNKSVGADGAFKLLSEAWSLLSDKSKRLAYNQRRSSKGFQQKGPTHPGGPSASSRANGFHNFSGKTSSSSKSQSASARAHPTNVNPPSHQRNDTFWTICHRCKMHYEYLKIYLNHTLLCPNCQEAFLATETPPPFDHPRPSNANSRQHXFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFLNY >itb06g14120.t1 pep chromosome:ASM357664v1:6:18724035:18725804:-1 gene:itb06g14120 transcript:itb06g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSVISVIYPIIEAKISTRTLHLPLHSLAPLCESQSQSLHLEPLSRLPSAATAKMKLFPPPAAAAAAVFFSLALLLLPSATEAYNITSILAKYKEFSTFNHYLSLTHLATDINSRDTITVCAVDDAAMADLLAKHHSISTVKNVLSLHVLLDYYGAKKLHQLTNGTALAATMFQATGSAPGAAGFVNITDLKGGKVGFGPQDNGGALPATFVKAVEEIPYNISIIHISSVLPSAAAEAPAPEPSKANITKLMSAHGCKLFADTLLGSPAEKTFEDNIDGGLTIFCPGDGAMKSFMPKFKNLTKDQKESLLEYHGVPVYQPLSSLRSNNGDMNTLATDGADNYQITVQNDGQDVTLKTKIDKATVTGTLSDQQPLVILTVDKVLMPKELFKPAAVPTPAPAPAPEADDADSPEPAGKKHKSHKSKADSPADAPDGDVADQKADDNGAVDFSDGRFITLGLSLWFAFLLL >itb05g24430.t2 pep chromosome:ASM357664v1:5:29226189:29230435:-1 gene:itb05g24430 transcript:itb05g24430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIGRKKWGIDPICGEKRARTNPRRSRRRVEGLSLSSSQPFFWSQAKISELTATAVAVTFSWRVMKY >itb05g24430.t1 pep chromosome:ASM357664v1:5:29226189:29226781:-1 gene:itb05g24430 transcript:itb05g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNAITEFYISPFPLNFTISLRLRINQVHKLITTVFPLFFFYNYSQAKISELTATAVAVTFSWRVMKY >itb03g19330.t1 pep chromosome:ASM357664v1:3:17440104:17441166:-1 gene:itb03g19330 transcript:itb03g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSYSGTELSSSSNNSCTTLLSATQTSSPNNHRKRPRQDGDNVNAVASYVGVRMRAWGKWVSEIREPKKKSRIWLGTFATAEMAARAHDVAAVAIKGDAANLNFPELAGLLPRPASCSPRDVRAAAIKAARMDHLLSREPTAPPEPASSSSSSSEKAEISAEPSQDELGEILELPELAADTIYDDFVFPNWDGWDWWPCSAADGGAGYYGEIVFDTGEFGNFLWQH >itb01g16470.t1 pep chromosome:ASM357664v1:1:20777590:20780417:-1 gene:itb01g16470 transcript:itb01g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDLILPSECAAPPASFVVAAVSGDDDCSICLEPFSCRDPRTVAECTHGYHLQCILEWSQRSKECPICCRQLVFKDSASQELLAAVEFERNATSRHIVHRIPEVYEANNLVDVGASHIDDSDFQDRILHHPSGSGVTNRACSGNSRRRQISSRVGQASFPVGASSDRHQVTQRPVDEFGNGLPASGISSTSSSGVSSVANVASGAAVYKGGSVGLGKPSPENPQKQTPSEFLHLPVSIKSKLFAASPRYNESFSKNTQRFKWKLQANNNSVKELGREVQREMSVSIAGLAKMAERLDLSSNKGSGVSGPLCYAMGREPQTLGRKENLSKSV >itb14g03260.t1 pep chromosome:ASM357664v1:14:2874421:2876262:1 gene:itb14g03260 transcript:itb14g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTSTGRCLKLLEKCKNLKQLSQAHGQVITCGLENNTFALSRLLAFCSDPNLGNPTYGWTIYQRIQQPTICIVNTMIKTFLLKGDLSRTIETYKHMLKTGMCPDNYTLPYLLKACGNMKSCNLGRSIHGQCLKLGFLMDSYVGNSLIVMYSSLDNMECAQCVFDEMPRHCVVAWTVLISGYSRKGDVFEARSVFDETPVKDRRVWGSMISGYVQNNCFKEGLQLFRLMQMTGVQPDEAILVSILSACAHLGSLDIGKWVHRYVEKLGMAINVRLGTALVDMYAKCGCLGLAQKLFYELPKRDVICWNAMISGFAMNGDGKNAVRLFQEMQNAGTRPDGVTFVSLFTACSSSGMAHEGLKLLRIMCNTYNIQPKGEHYGCIIDLFSREGLVEEAKNIVLSMPNSGASAVAWRALLSACANHGQVRLAEVAAERIVGLEQHSGAYVLLSNVYAAAGKHEGARRMRKMMKKQHIDKTPGCSSVEINGCVHEFVAGEKTHPQMNQVHQLLLMFNRQVDTQF >itb04g16330.t1 pep chromosome:ASM357664v1:4:17871639:17874895:1 gene:itb04g16330 transcript:itb04g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVGPPNGIMAYGKHYFRMWETIFEVDTKYVPMKAIGRGAYGVVCSAVNRETGERVAIKKINDVFGNRMDALRCLRELQLLRHIRHENVIALRDVMMPSNRSSFTDLYLVYELMDTDLHHIIKSSQPLTNDHCKYFLFQVLRGLEYLHSANVLHRDLKPGNILVNANCDLKICDFGLARTTSGDNNGQLMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPLFPGTDCLTQLKLIITLLGTQPEAHLQFIDNPKAKRFIRSLPFSRGPHFSSLFPEADPLALDLLQKMLVFDPSKRITVSQALYHPYLTGLYDPIQNPPAQFPLHLDVDDSTKDLAVIRQMMLREILHYHPQPAPAYVNNFY >itb04g18400.t1 pep chromosome:ASM357664v1:4:21829220:21830409:-1 gene:itb04g18400 transcript:itb04g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNKSPIFPFPQPQHFTDYVFDTQVDYFQKNILEETRKHKSLSRSLDAFRFKPQKPISKEDTSRKFKKSGGRKRWWKSALLFFKWNTTAGAAPLAKTEGDSTERGANRNRARVRSVYGPVYYISDSRSGSGGKPYRTTGRPSSVPLAGTLTPSGKGEMEMEIPYVSLRDLNMEKQQHYRMSAPSTLPVYLVT >itb03g24850.t1 pep chromosome:ASM357664v1:3:23684069:23687868:-1 gene:itb03g24850 transcript:itb03g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVYIQENMGWEIGYGVLVVIMLISLVVFFLGSSFYVKPKAKESLIVGLIQVAVASYRKRNLKCSEGNSSDAAYHHKGSNLLLPTEKLRFLNKACIIQDPQRDLTDDGKPTDPWYLCTVDQVEELKALLKVTPIWFTGVIMSINVSQGSFGTLQATTMDRRIGSTFQIPAGSVGMFAIISVVLWIVLYDRFIIPLASRAMQKPVRFSTKSRMGCGIFVSFLSVAVAATVETVRRRRAIDEGFADSPDEVVGMSVLWLVPSNLLAGFAEGLSAVAQNEFYISEFPKSMSSIASSLFLLGMGFASLLVSVVMNVINDFTNGEESWISSNINKGHYDYYQWILAGLSVVNLLLFFVCSRAYGPCKDENMEVVALGREDEP >itb02g25560.t1 pep chromosome:ASM357664v1:2:26295181:26295552:1 gene:itb02g25560 transcript:itb02g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQVGLWKITDRLRNRNVKVGESARDGGVTAISRWQVGSSRVGCSTASFRVLTIQIKALISFTEKSLVYVSPEFLLRSPSLSLSLSLSLSLPLSPLSLSLLRCVCFVVEVYDSFGFLFKKYV >itb01g22410.t1 pep chromosome:ASM357664v1:1:28302500:28310631:1 gene:itb01g22410 transcript:itb01g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGMNPCKESHKKEEKIKEEMYKKNEMNTTNSVEFGSYHILDPPESSASGQVPIDRNPSKEHKKEEKKEKEEEKIEKEKDDDKYYSFKYCTNIYITQQNTANPIKFGSYHHPPPPPRMSFAAGQIPIDRTPSKEHEKKEKIDKTKEDYYDRKDMNTPAKKEEKKDKEKKDGEKKDTREEIEGEERKRRRETKRD >itb07g00180.t1 pep chromosome:ASM357664v1:7:132088:135094:1 gene:itb07g00180 transcript:itb07g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSQISSNGMTSSGTGTPIFDKQLTGVAKKAALRDVQNQNGSLINNHRDSSLFLGTKLAADATRVCGNKRLTPERPSSSACHLSLISNGTNEHILNARRRFELELGGGRIHNDIDKYVEALQAKRLCQPQKDVPQKPNQLKENNNKNAPMTMPDHFTCSQGRTSATHSVGKCNKVTQPAQADSAKFTSDKPRSIDSKVTDDQQRTERFANLQKILNQSDESFYSDYIKMLLRLSPIELSRHAVDLEKRAIQLAIDEGKEVNRGKALNILGKSAPTFTPLQTTQLLQPKNEQ >itb06g22480.t1 pep chromosome:ASM357664v1:6:24741955:24746125:-1 gene:itb06g22480 transcript:itb06g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYNQLGEEAAAAQRGGTSSFLYCGSVLAPPSYRAAGAGAGEFHLQAYEPIVKTEGGSSSHNHHQRFESEMEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVVARLAAVRQEFESRQRASGLGGRDISSKDPELDQFMEAYYDMLVKYREELTRPLQEAMEFMRRIESQLNMLSNAPVRVFTSDDKCEGVGSSEDDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLNWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYMGDGPYRLGP >itb06g22480.t2 pep chromosome:ASM357664v1:6:24741955:24746125:-1 gene:itb06g22480 transcript:itb06g22480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYNQLGEEAAAAQRGGTSSFLYCGSVLAPPSYRAAGAGAGEFHLQAYEPIVKTEGGSSSHNHHQRFESEMEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVVARLAAVRQEFESRQRASGLGGRDISSKDPELDQFMEAYYDMLVKYREELTRPLQEAMEFMRRIESQLNMLSNAPVRVFTSDDKCEGVGSSEDDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLNWKPRRWLWLNRRGWIRSRLTTGSSIKGNGTGSLLRTCSLW >itb03g23900.t2 pep chromosome:ASM357664v1:3:22273888:22281019:1 gene:itb03g23900 transcript:itb03g23900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAKRKLLLILYASQTGNAIDAAERLGREAERRGCPVAVLSVDEFDPISLPNEEIVVFVVSTTGQGDTPDSMKVFWRFLLQRNLSQIWLKGVNYAVFGLGDSSYQKYNFVAKKLDKRLLDLGATPVIERGLGDDQHPSGYEGALDPWMSSLWNVLHQNNPMLFPNGPEYETSNIELLDQPKIKITYHDAYDTDGRNQQFSMSLDLNFVEKQIVRARSMFPGTHSGKNRPDCFLRMVKNDPLSKVGCGKDVRHFELEPVSSSIQYEVGDVLEVLPGQSAASVDAFIKRCNLNPDSYIRVQPRDKDQRPADKTISTVMLPVRLRTFVELTMDIASASPRRYFFEIMSYFATAEHEKERLKYFASSEGRDDLYQYNQKERRTVLEVLDDFPSVQMPFEWLVQLVPPLKTRAFSISSSLLAHPNQVHLTVSIVSWTTPYKRKRTGLCSSWLAGLDPQQGVLIPAWFHKGSLPPPPPPLPLILVGPGTGCAPFRGFVEERALQSKSGTTTAPIIFFFGCRNEENDFLYRDFWQSHAQNGGVLSEEKGGGFFVAFSRDQPQKVYVQHKMKEQSTKVWSLLAEGAAVYVAGSASKMPSDVLSAFEEIVSKESGVSREAAARWLRALERAGKYHVEAWS >itb03g23900.t1 pep chromosome:ASM357664v1:3:22273877:22281376:1 gene:itb03g23900 transcript:itb03g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAKRKLLLILYASQTGNAIDAAERLGREAERRGCPVAVLSVDEFDPISLPNEEIVVFVVSTTGQGDTPDSMKVFWRFLLQRNLSQIWLKGVNYAVFGLGDSSYQKYNFVAKKLDKRLLDLGATPVIERGLGDDQHPSGYEGALDPWMSSLWNVLHQNNPMLFPNGPEYETSNIELLDQPKIKITYHDAYDTDGRNQQFSMSLVADLNFVEKQIVRARSMFPGTHSGKNRPDCFLRMVKNDPLSKVGCGKDVRHFELEPVSSSIQYEVGDVLEVLPGQSAASVDAFIKRCNLNPDSYIRVQPRDKDQRPADKTISTVMLPVRLRTFVELTMDIASASPRRYFFEIMSYFATAEHEKERLKYFASSEGRDDLYQYNQKERRTVLEVLDDFPSVQMPFEWLVQLVPPLKTRAFSISSSLLAHPNQVHLTVSIVSWTTPYKRKRTGLCSSWLAGLDPQQGVLIPAWFHKGSLPPPPPPLPLILVGPGTGCAPFRGFVEERALQSKSGTTTAPIIFFFGCRNEENDFLYRDFWQSHAQNGGVLSEEKGGGFFVAFSRDQPQKVYVQHKMKEQSTKVWSLLAEGAAVYVAGSASKMPSDVLSAFEEIVSKESGVSREAAARWLRALERAGKYHVEAWS >itb07g21680.t1 pep chromosome:ASM357664v1:7:26221485:26223120:1 gene:itb07g21680 transcript:itb07g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPIDMRKLEQLRELLANGTAISHIPFSFGCLRNLKTLNLGKSKNCVLSKSKSHLLDNLSSPLFLSRRRRDGVGFFPPSVANLCSLEFLYLNDIYLHEVDLRITLENLTSLVSLDLSGSYCHQSLPFGLCHLSNLKFLSLNNLENLRVLVELPPSLVNFSAENCVSLENIAVVSNLKRLEVLSIGNCKSLVVLPNMESLSSLVELDISNCLSLVELPNMESLSSLEILGIRNCISLVELPNMESLSSLKCLNIRNCNALTIPDNCLHEEDLPIALRSLSSSLKEIGLMGRYYLQSLPLSLCHHSNLKNLCLDDLQNLRSLPQLPPHLEALSAKNCVALENIENLSNLKRLQWLDIQNCKSLVELSGLESLESLSVIGIANCIDLRIPSIEKWFKAHSKGDSVDISLCASYGSVLCIFRKPMGDVQLQIMHSVIDPCSEIEGCNGIRLSARIKSSGAWFIKQQKYFNRKSESFIAICFDFPTMMGEVLEVCVELKDHVQIIF >itb06g21770.t1 pep chromosome:ASM357664v1:6:24330427:24333182:-1 gene:itb06g21770 transcript:itb06g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGGGTAGDDGASAVSQWSFTIWRQYQYMLDKSTPLVLRRWIFLSIVALIYAVRVYLVEGFYIVTYALGIYVIQLLIAFLSPQVDPEIQELTDGPTLPTRGSDEFRPFVRRLPEFKFWHSLTKAFFFAFSLTFFSAFDVPVFWPILLFYWLVLFISTMKRQIMHMMKYKYVPFTFGKQRYTGKKAAASSDDTTTPTRD >itb15g08800.t1 pep chromosome:ASM357664v1:15:6214120:6216994:1 gene:itb15g08800 transcript:itb15g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFTYAVDDNDLDDAALWAVIDSAAAASISARTTTLATVKHCRPPPVKHSPTVPFPIPSPQTKPSKNPRKHPHPDGEVLNQHSKKIAIRPASSCLSEEGTPPMALVKHAPRAASTPVYYSPPETRSSVTEYGYRNNSPGGSECSPMTTMSNGRCDERGGMIRHSLSGQFPSVSLFKEYQDAAMAILEKSDYTLISGSPYIKKSGWRKISFYFNLSYEIKDKTIEFDDNRNVLRAEFVVRAYMQGGRFSDGWGSCERREKRFMKPNHDIPSTAETRAKNKACQDLLGIGEYRPGASHSHK >itb12g03460.t2 pep chromosome:ASM357664v1:12:2253763:2254974:-1 gene:itb12g03460 transcript:itb12g03460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSKEKFEGIPRSMAFSSHPPSRINLQAQESSSQQTLDQMGEKELEHHHMHEGPSNPDPDPSLLNNKPTTQQVLPQRFADTDTSNTTTLIRYRECLKNHAASTGGHVTDGCGEFMPSGEEGTLEALKCAACNCHRNFHRKGIRHGSRSTFQTQNPPMLPQQQHYRGAMPPPIRAPAESSSEDLNAGGGQGLTQVPSSKKRFRTKFTQQQKQQMHEFAEKIGWRIQKQDDQEVHKFCSEVGVKRQVFKVWMHNSKQAEKKKQM >itb12g03460.t1 pep chromosome:ASM357664v1:12:2253763:2255618:-1 gene:itb12g03460 transcript:itb12g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSKEKFEGIPRSMAFSSHPPSRINLQAQESSSQQTLDQMGEKELEHHHMHEGPSNPDPDPSLLNNKPTTQQVLPQRFADTDTSNTTTLIRYRECLKNHAASTGGHVTDGCGEFMPSGEEGTLEALKCAACNCHRNFHRKGIRHGSRSTFQTQNPPMLPQQQHYRGAMPPPIRAPAESSSEDLNAGGGQGLTQVPSSKKRFRTKFTQQQKQQMHEFAEKIGWRIQKQDDQEVHKFCSEVGVKRQVFKVWMHNSKQAEKKKQM >itb09g11080.t1 pep chromosome:ASM357664v1:9:6929287:6932808:1 gene:itb09g11080 transcript:itb09g11080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW [Source:Projected from Arabidopsis thaliana (AT3G54220) UniProtKB/Swiss-Prot;Acc:Q9M384] MAAKAFPMVGEAANVSGSSGSATSSREYHHHDHNNILPLHSSSASPSHLALLCDNAKMVRKRAASEMELQIGGGGGGIGEHGRFLRRAAAAEMNAPLLGDLRVCGGNFGGDESNDNGGGNSHHVVVNNYSTMQIAPPPSSTNLSVTSTSNATHLTYMEPLPLPLPLPNPPPNEAQPLPLCVFSGLPLFPAPTRGRNAAGALQPPPLPAAGCGGSAIGVNSSSGMGDNGTAMAWIDGIIKDLIHTSNHVSIPQLIQNVREIIHPCNPNLAALLEYRLRSLTTADPLAANVYDDWRRKETLQPQSQDAAITHPLHLPDSMPHPWEITLPPAAATASTTRHHHHQQQHQLRDNSPSVTAGLPFVPAPSSDRQEQQQQGRMDHEKQPESQSQSQSPPPSESTAAAAALIRTESLRREKDELEQQKKDEEGLHLLTLLLQCAEAVAADNLDEANRMLLQVSELSTPYGTSAQRVAAYFSEAMSARLVNSCLGIYASAPLNALPHSLNQKMASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPLVRLTGLGTSMEALEATGKRLSDFAQKLGLPFEFFPVADKVGNLDPQRLNVNKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGACYGEESEERHAVEQQLLSREIRNVLAVGGPSRSGEVKFNNWREKFQQSGFRGVSLAGNAAAQATLLLGMFHSDGYTLAEDNGALKLGWKDLCLLTASAWRPPPLAQ >itb01g00980.t1 pep chromosome:ASM357664v1:1:455472:456347:-1 gene:itb01g00980 transcript:itb01g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKDVEQDNLPTGSYRFEYLLATCKVEQQKLLPEKDDADDDEFDRYYLHDGITNVVEHPSTCKSDEPKPALKITIVEEVKKGICLTATTKPRSDTPESGASSSSEDGEAAPELNTSPNGKSKRSNKRKRSGGNNSEEPPPELPAEIKGLILHLPPNRVVSEEKLVIKKELTVTDVSNHHNRLSIPKKRLHETFLTEEEDLKLCTRDENNNLGSMDVLLITPMLEVVSVSLRRWDMPKTRGNPSINYMLTSTWNKIKEQNRLRNKMKVQLWAFRIDGHLCLALTLLTSPQ >itb03g10730.t2 pep chromosome:ASM357664v1:3:8575355:8585131:-1 gene:itb03g10730 transcript:itb03g10730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSRDRSNMEDPDGTLASVAQLVEQMRKNSASMPEKETLLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSFGVKMQAATVLGSLCRENELRVKVLLGGCIPPLLGLLKSSSTEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWQQLQKGLKAENLVDELITGSLKNLSTSTEGFWSSTNQAGGVDILIKLLATGQPSTQANVCFLLASIMMEDSSVCSKVLSSEATKQLLKLLGPSNEASVRAEAAGALKSLSAQSKEARREIANSNGIPVLINATIAPSKEFMQGEHAQALQEHAMCALANISGGLSYVISSLGQSLESCTSPAQVSDTLGALASALMIYDSKAENSRASDPLEVEQTLVKQFKPQLPLLVQERTIEALASLYGNAILSRNLANSDAKRLLIGLITMATNEVQDELIRSLLVLCKNEGSLWHALQGREGIQLLISLLGLSSEQQQECAVALLCLLSDENDESKWAITAAGGIPPLVQILETGSSKAKEDSVTILGNLCNHSEDIRECVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLASDLPESKVYVLDALRSLLSMVPLKDILREGSAANDAIEMMIKLLHSAKEETQAKSASALAGIFSLRKDLRESSLAISILCSVTELLSVESKNILVEASRCLAAIFLSIRENRDVAAFARDKLPSLVALANSSALQVAEQAICALANLLLDGDVSESAFPEEIILHATRVLREGTTDGQTHAASAIARLLKSHQIDSGLTDCVNRNGTVLALVYFLESTTGGSIAISEALDALCHLSRLEGPGEHIKPAWAVLAEYPDGIMPIVSCIADASPLLQDKAIEILSWLCQAQPIILGETIACASGCISSIVRRVINSSNARVKIGGTALLVCTAKVNHQRVVEDLNEFHLRVPLIQSLVGMLNPLESSQLADQGDKVAINISRNTENESKKDEMGRSTSVIYGANIAIWLLSVLASRDDQSKIEILEAGGIDCLTEKISQSLSQFTQIDFKEDSSIWVCALPLAILFLDRDIIREHVTIQALPVLANLLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAAGLISLLGCADDDISDLIELSEEFALLRNPEQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPSNKIVMVECGAIEALTKYLSLGPQDTTEEAATDLLGILFSTAEIRRHESAFGAVGQLIAVLRLGGRAARYSAAKALENLFSADHIRNAESVRHSVKPLVEILNTGLEKEQHAAIAALVRLLSENSSRAVAIADVEMNAVDVLCRILSSNCSMELKGDAAELCSVLFTNTRIRSTIAAARCVEPLVSLLVSEFSPAHQSVVHALDKLVDDEQLAELVAAHGAVIPLVGLLYGQNYMLHEAISRTLVKLGKDRPSCKLEMVKAGVIESILDILHEAPNFLCAAFAELLRILTNNAAIAKGSSAAKVVEPLFLLLTVPEFGHDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPASAVQQLAAELLSHLLLEEHLQKDPVMQQVIGPLVRALGSDIPILQQRAVKALVCISVTWPNEIAKVGGVSELSKVLLNADPLLPNALWESAASVLASILQCSSDASEYLEVPVAVLVRLLRSGLESTVLGALNALLVLESDDSTTAEAMAESGAIEALLELLRCHICEETAARLLEVLLNNVKIRETKATKSAILPLSQYLLDPQTLGQQARLLATLALGDLFQNESLARTSDAVSACRALVNLLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDIIGSSDPETSVQAAMFIKLLFSNNTIQEYASGETVRAITAALEKDLWATGAVNEEYLKALNSLFGNFSRLRATEPATLSIPHLVTALKTGTEAIQEAALDALFFLRHSWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLVVIIKRGNNMRQSVGNPSAYCKLTLGNTPPVQTKVVSTGSNPEWDESFAWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKSGPSRNLEIEFQWSNKTEL >itb03g10730.t1 pep chromosome:ASM357664v1:3:8575355:8585131:-1 gene:itb03g10730 transcript:itb03g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGDTKPHELEPPTPHSLMKMGSRDRSNMEDPDGTLASVAQLVEQMRKNSASMPEKETLLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSFGVKMQAATVLGSLCRENELRVKVLLGGCIPPLLGLLKSSSTEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWQQLQKGLKAENLVDELITGSLKNLSTSTEGFWSSTNQAGGVDILIKLLATGQPSTQANVCFLLASIMMEDSSVCSKVLSSEATKQLLKLLGPSNEASVRAEAAGALKSLSAQSKEARREIANSNGIPVLINATIAPSKEFMQGEHAQALQEHAMCALANISGGLSYVISSLGQSLESCTSPAQVSDTLGALASALMIYDSKAENSRASDPLEVEQTLVKQFKPQLPLLVQERTIEALASLYGNAILSRNLANSDAKRLLIGLITMATNEVQDELIRSLLVLCKNEGSLWHALQGREGIQLLISLLGLSSEQQQECAVALLCLLSDENDESKWAITAAGGIPPLVQILETGSSKAKEDSVTILGNLCNHSEDIRECVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLASDLPESKVYVLDALRSLLSMVPLKDILREGSAANDAIEMMIKLLHSAKEETQAKSASALAGIFSLRKDLRESSLAISILCSVTELLSVESKNILVEASRCLAAIFLSIRENRDVAAFARDKLPSLVALANSSALQVAEQAICALANLLLDGDVSESAFPEEIILHATRVLREGTTDGQTHAASAIARLLKSHQIDSGLTDCVNRNGTVLALVYFLESTTGGSIAISEALDALCHLSRLEGPGEHIKPAWAVLAEYPDGIMPIVSCIADASPLLQDKAIEILSWLCQAQPIILGETIACASGCISSIVRRVINSSNARVKIGGTALLVCTAKVNHQRVVEDLNEFHLRVPLIQSLVGMLNPLESSQLADQGDKVAINISRNTENESKKDEMGRSTSVIYGANIAIWLLSVLASRDDQSKIEILEAGGIDCLTEKISQSLSQFTQIDFKEDSSIWVCALPLAILFLDRDIIREHVTIQALPVLANLLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAAGLISLLGCADDDISDLIELSEEFALLRNPEQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPSNKIVMVECGAIEALTKYLSLGPQDTTEEAATDLLGILFSTAEIRRHESAFGAVGQLIAVLRLGGRAARYSAAKALENLFSADHIRNAESVRHSVKPLVEILNTGLEKEQHAAIAALVRLLSENSSRAVAIADVEMNAVDVLCRILSSNCSMELKGDAAELCSVLFTNTRIRSTIAAARCVEPLVSLLVSEFSPAHQSVVHALDKLVDDEQLAELVAAHGAVIPLVGLLYGQNYMLHEAISRTLVKLGKDRPSCKLEMVKAGVIESILDILHEAPNFLCAAFAELLRILTNNAAIAKGSSAAKVVEPLFLLLTVPEFGHDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPASAVQQLAAELLSHLLLEEHLQKDPVMQQVIGPLVRALGSDIPILQQRAVKALVCISVTWPNEIAKVGGVSELSKVLLNADPLLPNALWESAASVLASILQCSSDASEYLEVPVAVLVRLLRSGLESTVLGALNALLVLESDDSTTAEAMAESGAIEALLELLRCHICEETAARLLEVLLNNVKIRETKATKSAILPLSQYLLDPQTLGQQARLLATLALGDLFQNESLARTSDAVSACRALVNLLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDIIGSSDPETSVQAAMFIKLLFSNNTIQEYASGETVRAITAALEKDLWATGAVNEEYLKALNSLFGNFSRLRATEPATLSIPHLVTALKTGTEAIQEAALDALFFLRHSWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLVVIIKRGNNMRQSVGNPSAYCKLTLGNTPPVQTKVVSTGSNPEWDESFAWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKSGPSRNLEIEFQWSNKTEL >itb03g10730.t3 pep chromosome:ASM357664v1:3:8575355:8585046:-1 gene:itb03g10730 transcript:itb03g10730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSRDRSNMEDPDGTLASVAQLVEQMRKNSASMPEKETLLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSFGVKMQAATVLGSLCRENELRVKVLLGGCIPPLLGLLKSSSTEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWQQLQKGLKAENLVDELITGSLKNLSTSTEGFWSSTNQAGGVDILIKLLATGQPSTQANVCFLLASIMMEDSSVCSKVLSSEATKQLLKLLGPSNEASVRAEAAGALKSLSAQSKEARREIANSNGIPVLINATIAPSKEFMQGEHAQALQEHAMCALANISGGLSYVISSLGQSLESCTSPAQVSDTLGALASALMIYDSKAENSRASDPLEVEQTLVKQFKPQLPLLVQERTIEALASLYGNAILSRNLANSDAKRLLIGLITMATNEVQDELIRSLLVLCKNEGSLWHALQGREGIQLLISLLGLSSEQQQECAVALLCLLSDENDESKWAITAAGGIPPLVQILETGSSKAKEDSVTILGNLCNHSEDIRECVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLASDLPESKVYVLDALRSLLSMVPLKDILREGSAANDAIEMMIKLLHSAKEETQAKSASALAGIFSLRKDLRESSLAISILCSVTELLSVESKNILVEASRCLAAIFLSIRENRDVAAFARDKLPSLVALANSSALQVAEQAICALANLLLDGDVSESAFPEEIILHATRVLREGTTDGQTHAASAIARLLKSHQIDSGLTDCVNRNGTVLALVYFLESTTGGSIAISEALDALCHLSRLEGPGEHIKPAWAVLAEYPDGIMPIVSCIADASPLLQDKAIEILSWLCQAQPIILGETIACASGCISSIVRRVINSSNARVKIGGTALLVCTAKVNHQRVVEDLNEFHLRVPLIQSLVGMLNPLESSQLADQGDKVAINISRNTENESKKDEMGRSTSVIYGANIAIWLLSVLASRDDQSKIEILEAGGIDCLTEKISQSLSQFTQIDFKEDSSIWVCALPLAILFLDRDIIREHVTIQALPVLANLLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAAGLISLLGCADDDISDLIELSEEFALLRNPEQVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPSNKIVMVECGAIEALTKYLSLGPQDTTEEAATDLLGILFSTAEIRRHESAFGAVGQLIAVLRLGGRAARYSAAKALENLFSADHIRNAESVRHSVKPLVEILNTGLEKEQHAAIAALVRLLSENSSRAVAIADVEMNAVDVLCRILSSNCSMELKGDAAELCSVLFTNTRIRSTIAAARCVEPLVSLLVSEFSPAHQSVVHALDKLVDDEQLAELVAAHGAVIPLVGLLYGQNYMLHEAISRTLVKLGKDRPSCKLEMVKAGVIESILDILHEAPNFLCAAFAELLRILTNNAAIAKGSSAAKVVEPLFLLLTVPEFGHDGQHSALQVLVNILEHPQCRADYTLTSHQAIEPLIPLLDSPASAVQQLAAELLSHLLLEEHLQKDPVMQQVIGPLVRALGSDIPILQQRAVKALVCISVTWPNEIAKVGGVSELSKVLLNADPLLPNALWESAASVLASILQCSSDASEYLEVPVAVLVRLLRSGLESTVLGALNALLVLESDDSTTAEAMAESGAIEALLELLRCHICEETAARLLEVLLNNVKIRETKATKSAILPLSQYLLDPQTLGQQARLLATLALGDLFQNESLARTSDAVSACRALVNLLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDIIGSSDPETSVQAAMFIKLLFSNNTIQEYASGETVRAITAALEKDLWATGAVNEEYLKALNSLFGNFSRLRATEPATLSIPHLVTALKTGTEAIQEAALDALFFLRHSWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLVVIIKRGNNMRQSVGNPSAYCKLTLGNTPPVQTKVVSTGSNPEWDESFAWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVSGEYTLLPESKSGPSRNLEIEFQWSNKTEL >itb04g29400.t1 pep chromosome:ASM357664v1:4:32804856:32806598:1 gene:itb04g29400 transcript:itb04g29400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQIMSLEKGNEDDVPAKLTGQVIICSIIAAFAGLMFGYDIGISGRVTSMDEFLEKFFPAVYVKKHMIHEDNYCKYDNQMLQLFTSSLYLAAVVASFFASHCCKRYGRKLTIQLASIFFIIGVILNTTAVNLMMLIFGRLFLGIGVGFGNQAVPLFISEIAPANKRGLLNVLFQFLITIGIFFANMVNYLSSRFIRSNGWRVSLGIAAIPSIFLGLGSLIIVETPTSLIERGKNEEGLRALKKIRGAENVEKEYEHILHSTEMAKKIKTPFRNLMKRSSWPQLFCGTILQVFQQLTGINVIMFYAPVLFQTMGLGANASLLSAVITGLVNSLSTVGAILGSDYFGRRVLLIEGAIQMFVAQGVVGGILAAYLNATNMIPKFAAVIVLVFICVFVMGFAWSWGPLGWLIASEIYPLETRTAGFFFAVSTNMIFTFIVAQAFLTMLCTMKSAIFFMFASFVLVMGLFVVFLLPETKGIPIDEMNERVWKKHWLWKRCFDEKEDANTDSAN >itb14g14340.t2 pep chromosome:ASM357664v1:14:16870312:16874567:-1 gene:itb14g14340 transcript:itb14g14340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASHQPEPQPQPEPEPEQVKVEEQEQSQPEVDPEPEATNQVAEEEKEQEKEVGEQMEVEDLNGNSNGAEKGKGNCSDDAGTANGGEEEEEEEEDLEEEPLEKVLEPFSKEQLKVIVQEAVNKHPDFIKNVTAWADKDPSHRKIFVHGLGWDATAETLTNVFANYGEIEDCKAVTDKVSGKSKGYAFILFKHRSGAKKALKQPQKKIGNRMASCQLASSGPGPGPAPPPNTPPVSDYTQRKIFVSNVSADFDPQKLLAFFSKYGEIEEGPLGLDKISGKPKGFCLFVYKTVESAKKALEEPNKIFEGQELNCSKAIDGPKQNKNFHQHQHHHKQQQYYQHTAKKGKYSAGGVGSAATSTGHLIRPQPGPAPVGFNPAVAPVLGQALTALLATQGGGLGIGNFLGGLGPVNPQGVPPGMNNSTGYGVQGAAAGYGVQPGMQGGYQYPQMGHGGVRPHGGAPYMGRGR >itb14g14340.t1 pep chromosome:ASM357664v1:14:16870312:16874575:-1 gene:itb14g14340 transcript:itb14g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASHQPEPQPQPEPEPEQVKVEEQEQSQPEVDPEPEATNQVAEEEKEQEKEVGEQMEVEDLNGNSNGAEKGKGNCSDDAGTANGGEEEEEEEEDLEEEPLEKVLEPFSKEQLKVIVQEAVNKHPDFIKNVTAWADKDPSHRKIFVHGLGWDATAETLTNVFANYGEIEDCKAVTDKVSGKSKGYAFILFKHRSGAKKALKQPQKKIGNRMASCQLASSGPGPGPAPPPNTPPVSDYTQRKIFVSNVSADFDPQKLLAFFSKYGEIEEGPLGLDKISGKPKGFCLFVYKTVESAKKALEEPNKIFEGQELNCSKAIDGPKQNKNFHQHQHHHKQQQYYQHTAKKGKYSAGGVGSAATSTGHLIRPQPGPAPVGFNPAVAPVLGQALTALLATQGGGLGIGNFLGGLGPVNPQGVPPGMNNSTGYGVQGAAAGYGVQPGMQGGYQYPQMGHGGVRPHGGAPYMGRGR >itb14g14340.t3 pep chromosome:ASM357664v1:14:16870312:16874567:-1 gene:itb14g14340 transcript:itb14g14340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASHQPEPQPQPEPEPEQVKVEEQEQSQPEVDPEPEATNQVAEEEKEQEKEVGEQMEVEDLNGNSNGAEKGKGNCSDDAGTANGGEEEEEEEEDLEEEPLEKVLEPFSKEQLKVIVQEAVNKHPDFIKNVTAWADKDPSHRKIFVHGLGWDATAETLTNVFANYGEIEDCKAVTDKVSGKSKGYAFILFKHRSGAKKALKQPQKKIGNRMASCQLASSGPGPGPAPPPNTPPVSDYTQRKIFVSNVSADFDPQKLLAFFSKYGEIEEGPLGLDKISGKPKGFCLFVYKTVESAKKALEEPNKIFEGQELNCSKAIDGPKQNKNFHQHQHHHKQQQYYQHTAKKGKYSAGGVGSAATSTGHLIRPQPGPAPVGFNPAVAPVLGQALTALLATQGGGLGIGNFLGGLGPVNPQGVPPGMNNSTGYGVQGAAAGYGVQPGMQGGYQYPQMGHGGVRPHGGAPYMGRGR >itb05g21350.t1 pep chromosome:ASM357664v1:5:27151674:27152465:-1 gene:itb05g21350 transcript:itb05g21350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLILSFAVLAMAASFAHASDPSPLQDFCVAINDSKAAVFVNGKICKNPMEVDADDFLFQGLNKPGNTSNPLGSAVSAVNVNNLEGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGHSNAIAFAGLSSQNPGVITIANAVFGSDPPIDPKVLTKAFQVEDKVIEYLQAQFWYNNNN >itb08g13410.t1 pep chromosome:ASM357664v1:8:14365544:14372237:1 gene:itb08g13410 transcript:itb08g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEEEIRAEFKKNGFILDDDDVISKCLTFCIEYNLSPLDLVTSWEVFSLNRGLELTVKSSDMGAFLQHLQSEQRDAIIKKEPGLHFYSNDIAMILNDEHEDMKEDILGSPTNRPKALEGEPFHSAQNSNGSMFGSRKPLESVTPFGQRKSKFVVQFTLNEPSVTDNRKIENEDQDNGDDDDVIKRVQPMKRCSLQISGSQPEPGCRFMYDRIEDKFNFLENRMKKHARALVASGLFEEPRDPTVASQRSLLAVGMICCEEEGRLKEMPILLQSSVEHSGGQRVRLDLQNLDHFSIFPGQVIGVEGHNPSGHCLIASKIIDHIPSSVSSDEGLHPAKKQAMNQELQRTNTYGAVSELSLIVAAGPFTTTDNLFFEPLTELLAYARRKQPQLLVLLGPFIDSDHPEIKKATVHKTFDEIFQEEIVGRLKDYVEYMGSSAQVILVPSIRDAHHDFVFPQPAFDIQASDTILQINSISNPGTFCANEVKVACCTVDILKHLSAEEISRNPQGGSKQRMSTLANHVLNQHSFYPLYPPAESIPLDFSLAPETLQISLIPDILILPSDLAHFVRVLSVKGKSEGEDVKCICVNPGRLARGEGGGFFVELNYNGSADSASASVIRV >itb11g07850.t7 pep chromosome:ASM357664v1:11:4936166:4938672:1 gene:itb11g07850 transcript:itb11g07850.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATLDSEKAGNAGEKPKVPETAKTVIGNAARRKLVDISNLPQKMRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAEVGLVIFYPIQFDEKIVIHVTNFVSSKVSQGEIRERNIPKFNC >itb11g07850.t8 pep chromosome:ASM357664v1:11:4936820:4938672:1 gene:itb11g07850 transcript:itb11g07850.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAEVGLVIFYPIQFDEKIVIHVTNFVSSKVSQGEIRERNIPKFNC >itb11g07850.t1 pep chromosome:ASM357664v1:11:4936119:4939511:1 gene:itb11g07850 transcript:itb11g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATLDSEKAGNAGEKPKVPETAKTVIGNAARRKLVDISNLPQKMRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAECHKEKSERETSQNLIAEVKPIKCEDTGDDLQEEEDKVDSELENAKRRRQSKSWRPSKHTQPHDNAAKKRLCVRRQSARLKHEEIKAEEDLYPIDDAKDSVHQPSNCDSIQENSSASACTSSDNVENNPASENEARESKRPSLSRPSRQAARKVQSYKEIPLNVKMRRSE >itb11g07850.t5 pep chromosome:ASM357664v1:11:4936820:4938864:1 gene:itb11g07850 transcript:itb11g07850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAECHKEKSERETSQNLIAEVKPIKCEDTGDDLQEEEDKVDSELENAKRRRQSKSWRPSKHTQPHDNAAKKRFVFDIL >itb11g07850.t3 pep chromosome:ASM357664v1:11:4936820:4939482:1 gene:itb11g07850 transcript:itb11g07850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAECHKEKSERETSQNLIAEVKPIKCEDTGDDLQEEEDKVDSELENAKRRRQSKSWRPSKHTQPHDNAAKKRLCVRRQSARLKHEEIKAEEDLYPIDDAKDSVHQPSNCDSIQENSSASACTSSDNVENNPASENEARESKRPSLSRPSRQAARKVQSYKEIPLNVKMRRSE >itb11g07850.t4 pep chromosome:ASM357664v1:11:4936119:4939482:1 gene:itb11g07850 transcript:itb11g07850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATLDSEKAGNAGEKPKVPETAKTVIGNAARRKLVDISNLPQKMRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAECHKEKSERETSQNLIAEVKPIKCEDTGDDLQEEEDKVDSELENAKRRRQSKSECNFWV >itb11g07850.t2 pep chromosome:ASM357664v1:11:4936166:4938864:1 gene:itb11g07850 transcript:itb11g07850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATLDSEKAGNAGEKPKVPETAKTVIGNAARRKLVDISNLPQKMRPSNENEKLKHIPTEMKGLIEKLESENKALMNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAECHKEKSERETSQNLIAEVKPIKCEDTGDDLQEEEDKVDSELENAKRRRQSKSWRPSKHTQPHDNAAKKRFVFDIL >itb11g07850.t6 pep chromosome:ASM357664v1:11:4936820:4939511:1 gene:itb11g07850 transcript:itb11g07850.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVERVKIIEMSGSEIQRLRATLQKMQQQNHHLALSNTQKLMELNLCKDKLKLLEHELGCKSSLLKAMKLEAECHKEKSERETSQNLIAEVKPIKCEDTGDDLQEEEDKVDSELENAKRRRQSKSWRPSKHTQPHDNAAKKRLCVRRQSARLKHEEIKAEEDLYPIDDAKDSVHQPSNCDSIQENSSASACTSSDNVENNPASENEARESKRPSLSRPSRQAARKVQSYKEIPLNVKMRRSE >itb05g20610.t1 pep chromosome:ASM357664v1:5:26649382:26653177:1 gene:itb05g20610 transcript:itb05g20610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQNTVVGFNFFLASLLFFLNPTSALLETKVYIVYMGARQHDDIELITSTHHDMLATILGSQEAAADSMIYSYRHGFSGFAAMMTKSQAQTIADLPGVVKVMPNYKYKLQTTRSWDYLGLSFNSANNNLLHDTKMGDGIIVAVFDSGVTPENEAYNDKGLGPIPSKWKGYCQSGQKFNPKKHCNRKLIGARYFIDGHLAEIGQQPGNTTGIDDYISARDKDGHGTHTSSTATASPVHNVSYKGLALGTLRGGAPRARLAIYKIGWDGGIAAADALKAFDEAIHDGVDVISASFGQPVPLYSEVDPQDSINYGSFHAVAHGISVVASAGNDGPGAQSVSNGEPWVLTVAGITPDRAFPTPITLGNGQIFMGESLFYGNDTGLVSLVYTEPDTDISQNNSWLAGTVLLYFAGQQGADHDFLRETVKGAGGLGVILFQQTSSALDSYNSDFPLIQVGYEIGTKILLYIRSTSDPKVRLSPTKTHIGKPISTVVAKYSSRGPYTLTPELLKPDIAAPGTNILAAYIPDDPTVPSAYYFVSGTSMATPHVAGIVALLKSVHPDWSPAAIKSAIVTTAWTTDPISGQPIFSEGDTITKLADAFDYGGGIINPNKARYPGLIYDMGTKDYIHYLCAVGYETSAIGKLAGQTISCPRGLSILDMNFPSITIPNLKESVTFKRTVTNVGLTNSIYKVVIEPPKGINVAIKPTTLVFGPTVKKISFTVTVSTTYRFNTGYSFGSLTWNDGVHNVRIPISVKVAY >itb12g01340.t1 pep chromosome:ASM357664v1:12:942512:942916:-1 gene:itb12g01340 transcript:itb12g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAGLLNLLVVVSAVLFLAGYCAAQEAAASGGKTEVSDVKSNAEVQNLGRKAVMEFNKRLNVKVNPENNAKRLVFTEVIKAEKQVVAGEKYFLTIKATSEDGQTKTYESEMWVKPGDETVHEMLNFAPAAAA >itb05g22720.t1 pep chromosome:ASM357664v1:5:28031516:28035154:-1 gene:itb05g22720 transcript:itb05g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNSITRSLVRKSLISHVRTAVRSMSNLPENAVYGGPKPQDPNQRTTLATLRQKHRKGEPITMVTAYDYPSSVHLDTAGIDICLVGDSAAMVVHGHDTTLPITLDEMLVHCRAVARGAKCPFLVCDLPFGSYESSTTQAVDAAVRVLKEGAMDAIKLEAGAPSRITAAKAIVESGIAVMGHVGLTPQAISVLGGFRPQGRNVCSAVKVLETALAFQEAGCFSVVLECVPPPVAAATTSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYGHVGDGINRALLQYKEEVTNGSFPGAAYSPYKISAADMDGFVHELQKLGFDDAASAAATAAEKIQKPPPK >itb05g22720.t2 pep chromosome:ASM357664v1:5:28031516:28035154:-1 gene:itb05g22720 transcript:itb05g22720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNSITRSLVRKSLISHVRTAVRSMSNLPENAVYGGPKPQDPNQRTTLATLRQKHRKGEPITMVTAYDYPSSVHLDTAGIDICLVGDSAAMVVHGHDTTLPITLDEMLVHCRAVARGAKCPFLVCDLPFGSYESSTTQAVDAAVRVLKEGAMDAIKLEAGAPSRITAAKAIVESGIAVMGHVGLTPQAISVLGGFRPQGRNVCSAVKVLETALAFQEAGCFSVVLECVPPPVAAATTSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYGHVGDGINRALLQYKEEVTNGSFPGAAYSPYKISAADMDGFVHELQKLGFDDAASAAATAAEKIQKPPPK >itb01g06940.t2 pep chromosome:ASM357664v1:1:5151705:5155034:1 gene:itb01g06940 transcript:itb01g06940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASMFVILIPIIFVEAQSGHLPPDEYEVGKKDWDFKLNPCDNNSNWLTPQREGMPEYNNTLTCNCSFPAGICHVQSINLKGQDLQGVLPPSLVKLPFLKIIDLSRNYLSGTIPLEWASIKLELISVTVNRLSGPIPKYLGNITTLTYLNLESNFFNGAIPPELGKLANLEML >itb01g06940.t3 pep chromosome:ASM357664v1:1:5151718:5155034:1 gene:itb01g06940 transcript:itb01g06940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRLSSALCCFLGDYDITLHANYIYVLTASFNFVECYMEVNALREIADEVGKKDWDFKLNPCDNNSNWLTPQREGMPEYNNTLTCNCSFPAGICHVQSINLKGQDLQGVLPPSLVKLPFLKIIDLSRNYLSGTIPLEWASIKLELISVTVNRLSGPIPKYLGNITTLTYLNLESNFFNGAIPPELGKLANLEML >itb01g06940.t1 pep chromosome:ASM357664v1:1:5151705:5155034:1 gene:itb01g06940 transcript:itb01g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASMFVILIPIIFVEAQSGHLPPDELNALREIADEVGKKDWDFKLNPCDNNSNWLTPQREGMPEYNNTLTCNCSFPAGICHVQSINLKGQDLQGVLPPSLVKLPFLKIIDLSRNYLSGTIPLEWASIKLELISVTVNRLSGPIPKYLGNITTLTYLNLESNFFNGAIPPELGKLANLEML >itb14g19250.t1 pep chromosome:ASM357664v1:14:21961401:21962979:1 gene:itb14g19250 transcript:itb14g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLASAASGLVTPNVVTSNNNNTTQRTSMLMFSSKNTSFPRLVVRASEETAPPAAATTTAAPEGGEAPAPKAAKPPPVGPKRGAKVRILRKESYWYKGVGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEVEEVV >itb12g23790.t1 pep chromosome:ASM357664v1:12:25414964:25419565:1 gene:itb12g23790 transcript:itb12g23790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTLHSRCSLVLSSMASLSPAPGPKTRSVEDIFKDYSGRRTAVLRALTRDVDEFFLMCDPEKGSLCLYGLPNESWEVDFPVEEIPPEIPEPVMGINFARDEMVKRDWLGLLAKHCDSWLMAVAFYFGARLNQSEREHLFDLINDQPTICEVLKEKKPVKRKCNANIGSKSKTNFKRNKQGKGTPRMQDSHDEDKDEQVCRICVANDKENEFWVCCALCQGWFHGKCVKLTPAKAKGMKKYKCSLCRSKKAKGNAKMHESHGENKGEDEDKASRTICGICAENYNDNEFWLVCHLCEGRFHGKCVKIKPSNARKMKEYVCGFCSNKAVKGNATMQESHRVKKDEREKGNATMQESHGEDKDEDMDDSVGNATCGICGANEKESEFWMHCDFCDGRFHGKCVKLTPAKAECIKNYKCPSCKNKTEKGNATVKCHGEENDKDKDDKSNTICGTCAENYNANEFWIVCDLCKGWFHGKCVKVTPAKAKRMKEFKCGFCSNGRGTTIARMQDS >itb12g15780.t1 pep chromosome:ASM357664v1:12:16233971:16234707:-1 gene:itb12g15780 transcript:itb12g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERIGAADRRKMNGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYHDMALAVKNPCHLNMAACLLKLKCYDEAIAQCSIVLVEDENNVKALFRKGKARAELGQTDAAREDFLKACKFAPQDKAIAQELHFLAEHDKAVYQKQKELYKGLFGKRPEPKPEKKSLLLVIWHWLLSLFYYLFRRKAGKTD >itb03g26670.t1 pep chromosome:ASM357664v1:3:26275646:26276962:-1 gene:itb03g26670 transcript:itb03g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTFGLFFLLLIVLASQNGGVEGRVCRSQSHHYKGLCLRDHNCAIVCRTEGFSGGDCVGFRRRCFCSKRC >itb06g18770.t1 pep chromosome:ASM357664v1:6:22347131:22348399:-1 gene:itb06g18770 transcript:itb06g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVILLQGRYAGKKAVIVKSFDDGTRDRPYGHCLVAGIAKYPSKVIRKDSAKKQAKKSRVRTFVKLVNYNHIMPTRYTLDVDLKDAVTADCLQSRDKRVSAAKEAKARLEDRFKTGKNRWFFSKLRHEMRDVQVSEA >itb09g05360.t1 pep chromosome:ASM357664v1:9:3058953:3060164:-1 gene:itb09g05360 transcript:itb09g05360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSSPERNQQLRPSSMGENPLLSSSSSSRVIKWTPDLHRRFLNAVEFLGGEQSATPKAIMDLMKEKDLTLSQVGSHLQMYRSFCFAQQQATRAEAIVATAAAQMGLTGNGGYGWSVPIHYQQAWPQPSPPRLYIDPVGPMPPSIPPMMRMMGFSTTQQETILFEGVFQECRKRPIVGVDDEVGDENVRLELMLGDSATKKPKIDLTLTLEPPVNEGHDSSRPNV >itb06g06550.t1 pep chromosome:ASM357664v1:6:9188693:9191652:-1 gene:itb06g06550 transcript:itb06g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFRVRVDKAFGSLLASPPSSSDSRGKETSSLPLSSLWCLTDDEIQRKEWNRDPGDHDFYDSMPYPPNLDGFVFANNNHTDNVANTPQTVVPSSSQPGPSSSDLNQQLETDLVDIDDLSEDYGDDDEDEEPNSKSKRQRGSPNDDDESNIRSSIGQDCTLDYEEEEDEYDKVAVGSEKVSDHCLYMRDATEYGIGVNTYNELPDTLQDFVRDPRADHAAAKLRLKEDAEAAGNFDLLRLSDAPEISSFVVLEDGSSRKSIIKKREGLKDVKSQKRVRFNNNRTEGNLIATKDYCPIKEEKASGSGEGLNMIQDTSVPDYIRNPSNYTHYTFDTATDMDDDSNRKAYLDFLSLVRKPGITTTTVQHQGDLVNNPPKPVIFNLKKASLDVHTDKVGNKYPNVHVEKYSRVGIALSDDAPEDEVVSAMEEDDHGTAVDTMNTTLKSSRQYRVRTKRDFDDQE >itb11g22990.t1 pep chromosome:ASM357664v1:11:24872638:24874551:-1 gene:itb11g22990 transcript:itb11g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANEKGQVLQKLEIKSEGEEDNGGLVQDHHNTDLPANSPTNSVLEGSDSGTNFTGSNSRSSCSSGGCCSRSMSEEDEERDDVCFDDWEAVADALAITDKKQEQPTSPCLDDRNDNVVHLSSQSELSSYHVSDADISKSKLGSGGLAPRLPKICQAWRPDDAFRPQSLPNLSKQYSFPLNSGRHFRGGSVWERKNLSVPTSCPICYEDLDLTDTSFLPCSCGFRLCLFCHKRILEEDGRCPGCRKQYNHDPVDGEATMDGGCLTVRLARSCSMISRS >itb11g22990.t2 pep chromosome:ASM357664v1:11:24872638:24874551:-1 gene:itb11g22990 transcript:itb11g22990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISDGISNPAIPMASSNPRDFAKKKRANRSAKLKQCKLDARREQWLSQVKSKGAKEELNGGGGTCGSGMDAANEKGQVLQKLEIKSEGEEDNGGLVQDHHNTDLPANSPTNSVLEGSDSGTNFTGSNSRSSCSSGGCCSRSMSEEDEERDDVCFDDWEAVADALAITDKKQEQPTSPCLDDRNDNVVHLSSQSELSSYHVSDADISKSKLGSGGLAPRLPKICQAWRPDDAFRPQSLPNLSKQYSFPLNSGRHFRGGSVWERKNLSVPTSCPICYEDLDLTDTSFLPCSCGFRLCLFCHKRILEEDGRCPGCRKQYNHDPVDGEATMDGGCLTVRLARSCSMISRS >itb09g17200.t1 pep chromosome:ASM357664v1:9:12430358:12435704:1 gene:itb09g17200 transcript:itb09g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKAVCVTGGSGFIASWLVKHLLLRGYTVHSTVLNLRDPNQVAHLLAFDGSNERLHLFEADLLEENSFDPAIKGCDGVFHTASPVTFSPLATKAELVDPAVKGTLNVLGSCVRTPSVKRVVVTSSTASILIKENPISPDDVVDETWFSDKEYVEENKQWYILSKILAEEAAWKYAKEKGIDIVTLHPCWVIGPMLQPRLSLINQLILDLIKEGVEFSPAGNYRFIDVRDVANAHIHAFELPSASGRYCLIGVTVHSSKALKIIGKLYPSLALPDKYKEDLLIAPSYQVSQEKAKQLGITLTSLEVSLRDTVESLKDKNFLNF >itb09g17200.t2 pep chromosome:ASM357664v1:9:12430358:12435132:1 gene:itb09g17200 transcript:itb09g17200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKAVCVTGGSGFIASWLVKHLLLRGYTVHSTVLNLRDPNQVAHLLAFDGSNERLHLFEADLLEENSFDPAIKGCDGVFHTASPVTFSPLATKAELVDPAVKGTLNVLGSCVRTPSVKRVVVTSSTASILIKENPISPDDVVDETWFSDKEYVEENKQWYILSKILAEEAAWKYAKEKGIDIVTLHPCWVIGPMLQPRLSLINQLILDLIKEGVEFSPAGNYRFIDVRDVANAHIHAFELPSASGRYCLIGVTVHSSKALKIIGKLYPSLALPDK >itb01g28000.t1 pep chromosome:ASM357664v1:1:32562761:32565718:1 gene:itb01g28000 transcript:itb01g28000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRAGSFITIFVWAVLCFVFFNEALATGVTTFNVVDLGAEPDGETDAAEIFQRAWCAACGSPDPAKIYVPEGMFLIRQAYFSGPCENNNIVFEIWGTLVAPSDYNVIGNDDNWLAFEHVDGVAIIGGKLYGQGAGLWACKDSGNECPKGATNLSITNSKNVVVTGLLSLNSQMFHVVVNRCGRVTMQDIEIKAPGDSPNTDGIHVQLSYDVTILNSKITTGDDCISIGPGAKNLWMENILCGPGHGVSIGSLAKNLKEKGVQNVTLKTATFKNTQNGVRIKAWGRPSKGFARKIVFKDLKMRNVHNPILIDQNYCPDNKNCPGQASGVKIRDVTYQNIVGTSATEVAVKFDCSKKNPCKRIRMSNVKLKFKKKRARASCAYVAGEAYGQIEPSSCL >itb14g04040.t1 pep chromosome:ASM357664v1:14:3623792:3624715:1 gene:itb14g04040 transcript:itb14g04040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSTSSRNQGASAAMPQQVFMVGNNNAFAEIKDKLTNPSIKEREVISITGMGGIGKTTLVKYVYEDKDIKCHFDIQAWITVSQSYSLDDLLRVLLQSIDASSPTEKQSAGTFKLKDKVRKLLLGKRYLIAIDDIWSTQVWDDLKICIPSEKRNGSRVLLTTRHTDVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKGSCPPSIEFEKIGRDIVKKCKGLPLSIMVIAGILSKADMKVEDWENVARDVALSSTLYEEKNCEKILLFSYNHLPENLKTCFLYLGVFPEDYEIPAQRLVGYWVA >itb01g27010.t2 pep chromosome:ASM357664v1:1:31989304:31992776:1 gene:itb01g27010 transcript:itb01g27010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNFIGSSPLRNHWRVVYEYMKQQDLLDSEFHQCFPCFSEARHAILCSELKQLYVAITRTRQRLWIYESVEEFSKPMFDYWMKMCLVEVREVDDCLAQAMRMASTPEQWKSRGIKFFWDKQYEMAIMCFERAGESKWEKRAKASRIREAAYRKRDSKPIEFYTSLRQAAEIFESIGRFESAAECYCDLNEYELAGSIYLNKRSEQEHEKAAECYTLARCYETAAKIYARQNCFSECLSVCSKGRHLYDMGLEYVEYWKQHAQERRKEIDGIEQKFLESCASDFFKCNDKKSMMKFVKAFKTLDHMRMFLKPRDCLDELLWLEEESGNYAEAAELAHLKGDLQREADLLGKAGNFSKASSRILWYALVNSLWVRGCWAAWPLKSFESKHELLKKAISFASNESDAFYESVCTEAKILSHNPSSLCELRRALSATHKCGSLRGEILCLRKIIDVHTQIDVTKYSWEEKFPVDLKYPDDTLFCDQLSVGTLCHFWNLWRRNILDVFESLKCLEVQRDFGKYKGYGEFCLNCFGVRRQFTDMKVTYLLSNPDAEWVKEVHQSFLRKSKNMVSVDVRHFIIAARNYWQNEVFSVGLKVLETLESLYGFSTKLLSQFSQSIFLVNIYVIAKDLHCQKYDAKLRKFFQLSSMHYFVKVFPLDYQEALEENIISLRGTEVSRSLLEEFIVNDLSGKGKLTLGQIGRLMMIWLGSAEPSDELCHKIFERIRDGSNCKAFINILRSVREPLNQSTSADSQEASSVDPYNLLVCRFHEALNETYQINWQNFEDYISPHCFVYLVERFLILSFCPSGFFYTTKSSFLEWLIFQKPGVSVIAGFQTSCPSSEIFYKSVTSMVHWLLFHNLEAHWIANSKIQSSNYHKLLVLRLVVILCLLCMNSSSQEPWIALFDALKTPYISSELPREFNAVFRRGGKHTAFVDRVKIAEALRVLGNPALLVNLKENTPTSVCPNTFYLGIHPNSCRADIMEMLFPRKSVTSPVQKSMKNSCCLLPLIADLDIETSVLPSPDDASAQN >itb01g27010.t1 pep chromosome:ASM357664v1:1:31989870:31992776:1 gene:itb01g27010 transcript:itb01g27010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFERAGESKWEKRAKASRIREAAYRKRDSKPIEFYTSLRQAAEIFESIGRFESAAECYCDLNEYELAGSIYLNKRSEQEHEKAAECYTLARCYETAAKIYARQNCFSECLSVCSKGRHLYDMGLEYVEYWKQHAQERRKEIDGIEQKFLESCASDFFKCNDKKSMMKFVKAFKTLDHMRMFLKPRDCLDELLWLEEESGNYAEAAELAHLKGDLQREADLLGKAGNFSKASSRILWYALVNSLWVRGCWAAWPLKSFESKHELLKKAISFASNESDAFYESVCTEAKILSHNPSSLCELRRALSATHKCGSLRGEILCLRKIIDVHTQIDVTKYSWEEKFPVDLKYPDDTLFCDQLSVGTLCHFWNLWRRNILDVFESLKCLEVQRDFGKYKGYGEFCLNCFGVRRQFTDMKVTYLLSNPDAEWVKEVHQSFLRKSKNMVSVDVRHFIIAARNYWQNEVFSVGLKVLETLESLYGFSTKLLSQFSQSIFLVNIYVIAKDLHCQKYDAKLRKFFQLSSMHYFVKVFPLDYQEALEENIISLRGTEVSRSLLEEFIVNDLSGKGKLTLGQIGRLMMIWLGSAEPSDELCHKIFERIRDGSNCKAFINILRSVREPLNQSTSADSQEASSVDPYNLLVCRFHEALNETYQINWQNFEDYISPHCFVYLVERFLILSFCPSGFFYTTKSSFLEWLIFQKPGVSVIAGFQTSCPSSEIFYKSVTSMVHWLLFHNLEAHWIANSKIQSSNYHKLLVLRLVVILCLLCMNSSSQEPWIALFDALKTPYISSELPREFNAVFRRGGKHTAFVDRVKIAEALRVLGNPALLVNLKENTPTSVCPNTFYLGIHPNSCRADIMEMLFPRKSVTSPVQKSMKNSCCLLPLIADLDIETSVLPSPDDASAQN >itb04g02780.t3 pep chromosome:ASM357664v1:4:1706919:1710945:1 gene:itb04g02780 transcript:itb04g02780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKSAAIVGRFLRGHGVISQQTRTLNLPNHLLVDDPQEVVLVEGKACSRTAILNRPSALNALNAAMTERLQKLYTSWEESPGIGFVVMKGKGRAFCAGGDVVAIYNMLKQGNLEETKEFFSSAYKFMYILGTYLKPHVALLNGITMGGGAGVSVPGTFRVATDKTVFATPETLIGFHPDAGASFHLSHLPGYLGEYLGLTGDKLSGVEMMACGLATHYTVVERLHLIEEHLGNLETDDPTVIDSSLARFADVALPHQTSVMHRIRTVDKCFSHDTVEEIIDALEREAGRTNDEWCISVLKKLKEASPLSLKVALRSIREGRFQTFDQCLIREYRMTVQAISGQITNDFREGVRARLVDKDLTPKVNHFAWMLLSLYICLT >itb04g02780.t1 pep chromosome:ASM357664v1:4:1706919:1710945:1 gene:itb04g02780 transcript:itb04g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKSAAIVGRFLRGHGVISQQTRTLNLPNHLLVDDPQEVVLVEGKACSRTAILNRPSALNALNAAMTERLQKLYTSWEESPGIGFVVMKGKGRAFCAGGDVVAIYNMLKQGNLEETKEFFSSAYKFMYILGTYLKPHVALLNGITMGGGAGVSVPGTFRVATDKTVFATPETLIGFHPDAGASFHLSHLPGYLGEYLGLTGDKLSGVEMMACGLATHYTVVERLHLIEEHLGNLETDDPTVIDSSLARFADVALPHQTSVMHRIRTVDKCFSHDTVEEIIDALEREAGRTNDEWCISVLKKLKEASPLSLKVALRSIREGRFQTFDQCLIREYRMTVQAISGQITNDFREGVRARLVDKDLTPKWDPPSLDHVSNDMVEQYFSPLSVSEPDLDLPTQQREPFP >itb04g02780.t4 pep chromosome:ASM357664v1:4:1706919:1709453:1 gene:itb04g02780 transcript:itb04g02780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKSAAIVGRFLRGHGVISQQTRTLNLPNHLLVDDPQEVVLVEGKACSRTAILNRPSALNALNAAMTERLQKLYTSWEESPGIGFVVMKGKGRAFCAGGDVVAIYNMLKQGNLEETKEFFSSAYKFMYILGTYLKPHVALLNGITMGGGAGVSVPGTFRVATDKTVFATPETLIGFHPDAGASFHLSHLPGYLGEYLGLTGDKLSGVEMMACGLATHYTVVERLHLIEEHLGNLETDDPTVIDSSLARFADVALPHQTSVMHRYFFSLFCWELFRNKSVS >itb04g02780.t2 pep chromosome:ASM357664v1:4:1707495:1710945:1 gene:itb04g02780 transcript:itb04g02780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQGNLEETKEFFSSAYKFMYILGTYLKPHVALLNGITMGGGAGVSVPGTFRVATDKTVFATPETLIGFHPDAGASFHLSHLPGYLGEYLGLTGDKLSGVEMMACGLATHYTVVERLHLIEEHLGNLETDDPTVIDSSLARFADVALPHQTSVMHRIRTVDKCFSHDTVEEIIDALEREAGRTNDEWCISVLKKLKEASPLSLKVALRSIREGRFQTFDQCLIREYRMTVQAISGQITNDFREGVRARLVDKDLTPKWDPPSLDHVSNDMVEQYFSPLSVSEPDLDLPTQQREPFP >itb11g07300.t1 pep chromosome:ASM357664v1:11:4466546:4472497:1 gene:itb11g07300 transcript:itb11g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHSNVVQTGGDLQEPSIDTDKLSYEIFSILESKFLFGYDDQKVWIPKTITPAVELGRNEAVSTSPAGDREDDGVQAIKNQRGKICVLSIDGGGMRSILSGKALGYLEQALKEKSGNPDARIADYFDVAAGSGVGGIFTAMLFGTKDQNRPIFTADDTWRFLAENGRKIYSSRGSSSNGIFRRLFSGGGPGSATAALEKAMREAFTVSDGKTKTGRNLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSSEPGVFDPVCMRSVDGSTRCVAVDGGLAMCNPTAAAITHVLHNKQEFPFVRGVEDILVLSIGTGQLLEGSFKFEQVKGWKAKDWARPMARISGDCSAELVDHAVAMAFGHSRSTNYVRIQASGSSGGRCGVSADADSSPNNVKLLVGIADEMLKQKNVESVLFGGKRVGEQSNSEKLDWFAAELVQEHQRRSCRIAPTVAFKQASSKASQTTTLK >itb11g07300.t2 pep chromosome:ASM357664v1:11:4466546:4472465:1 gene:itb11g07300 transcript:itb11g07300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHSNVVQTGGDLQEPSIDTDKLSYEIFSILESKFLFGYDDQKVWIPKTITPAVELGRNEAVSTSPAGDREDDGVQAIKNQRGKICVLSIDGGGMRSILSGKALGYLEQALKEKSGNPDARIADYFDVAAGSGVGGIFTAMLFGTKDQNRPIFTADDTWRFLAENGRKIYSSRGSSSNGIFRRLFSGGGPGSATAALEKAMREAFTVSDGKTKTGRNLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSSEPGVFDPVCMRSVDGSTRCVAVDGGLAMCNPTAAAITHVLHNKQEFPFVRGVEDILVLSIGTGQLLEGSFKFEQVKGWKAKDWARPMARISGDCSAELVDHAVAMAFGHSRSTNYVRIQASGSSGGRCGVSADADSSPNNVKLLVGIADEMLKQKNVESVLFGGKRVGEQSNSEKLDWFAAELVQEHQRRSCRIAPTVAFKQASSKASQTTTLK >itb10g12950.t1 pep chromosome:ASM357664v1:10:19037417:19040074:-1 gene:itb10g12950 transcript:itb10g12950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSKSEAFHREGQSWHCTSGLPSDVTVEIGEMSFHLHKFPLLSRSGLLDKMIRESNKNDGSMCILQLGDIPGGAKAFELVAKFCYSIKIELTASNIVSLRCAAEYLQMTEDYGEGNLIVQTELFLNEVFGNWTDTIKALETCQEVLAQAEDLHIVSRCIHSLAMKACADTTNALFNWPVAGVNAAASSVAEVWNGISSGGAAAAKLQAASCTDDWWFEDVCFISLPFFKRFVRAVEDGGMKPENIASSLVYYAKKYIPLMNRQSSFKDASQAKSSSKISTPSETDQKVLLEEIVGLLTSQKGVVETRFLLRLLRTAMMLQTSQLCRESLERRVGMQLDQGSLDDLLIPNIGYSVETLYDIDCFQRILDHFMLMDHHALAADETPIIMEEITTTTTTATHSLTSITRVASLVDSYLAEVAPDVNLKFPKFQALAAAIPDYARPLSDGIYRAIDIYLKAHPWLADAEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSDNLESSQNQNEECHDEQCKGTGMEDMRHRVADLEKECQNMREEVQKLAKTKRGWNIFSRRKSHYNSKASRHCTAQPAEPLNREHTNQNGGLA >itb12g02720.t1 pep chromosome:ASM357664v1:12:1777517:1780822:-1 gene:itb12g02720 transcript:itb12g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELTHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKTIAAPAYIECSSKTQENVKGVFDAAIKVVLAPPKAKKKKAKTQKSCSIL >itb12g02720.t3 pep chromosome:ASM357664v1:12:1778078:1780721:-1 gene:itb12g02720 transcript:itb12g02720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELTHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKTIAAPAYIECSSKTQEVCILAVSNRILL >itb12g02720.t2 pep chromosome:ASM357664v1:12:1778078:1780721:-1 gene:itb12g02720 transcript:itb12g02720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELTHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKTIAAPAYIECSSKTQEVCILAVSNRILL >itb09g02430.t1 pep chromosome:ASM357664v1:9:1395792:1398057:-1 gene:itb09g02430 transcript:itb09g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRSAAVAAGDLQVVPMEDKAVAGLPPRPPTSSSTALVEYTPPAPNPEEEDLEIKLRRIIECVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDADYQKRKEMAEFNTKREERLKAAEERTAKKRLKRQKKKQRKKEKKSQPTAGGEEQQQRVESSDDGESNNDEEAER >itb01g31290.t1 pep chromosome:ASM357664v1:1:35088718:35090637:-1 gene:itb01g31290 transcript:itb01g31290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRSTATADTALASATADDGDSTAKPAAADDDDPGSDLPDLSSRTLAGRRRSPLCSSATDVIRIAGIAKLELLCLMVLRKVASGLHYHTLLMRFTFSKASNEDHWSNPLLVEDSQHVNSVANLDCTLTPVVQCW >itb01g07150.t1 pep chromosome:ASM357664v1:1:5366501:5369273:-1 gene:itb01g07150 transcript:itb01g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQHTLFLLLLFFEKSPIFCQPIRAINNKTLLFIATGRMGSGAPCSAISCLFFCLFLLPGTSISEKHPSEAIRKSKKIGFQDEQITFSRTMYDNQLDTAPDNVPIINPTTPPSDTNPDINPNPNPNPNPDINPNPNPNPNPGTTNPNPNPNPNPGTTNPNPNPNPNPNPNPNPNPGATNPNPTTNPTPTNGPGTSGGSWCIANPGASETALQVALDYACGFGGADCSAIQPGASCYDPNTLKDHASYAFNDYYQKNPVPTSCVFGGTAQLATTDPSTGNCHYASPKATPTPPAQPPPSPMPPPTPMPPSIPTPVSLYPPAGQTTGYVPEPTDYGAPTGSPNSAYAFSGNLILGVMVSILSLIATNHI >itb13g07240.t1 pep chromosome:ASM357664v1:13:9008979:9012004:1 gene:itb13g07240 transcript:itb13g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMQYSKNSFGSSISASSSAAQDGKLKRDGSFGSNEPISVLDKRRSPSPSTSTSASSSSFGCTAAVKDAAAPAAVEEWVVGELQPLVPFEKFGLGLEDWECFLSESGAGDSDQSILRWVSGAEFEDPSSLHEIQGNAGLGDADQTTTGFGALIASDNFFTNVSSSVIPISSLNSNIGKFGSTVNHVNSQDSNLNFTPNNNLVPGLSFQEPEQKPQISNFQIPVVNQTQNATNRNVFVSPSYGGILHEEQLPPPAKRQNLEIPNSQLPEIPLVGMSHGLLLGKQQDFAQLQQQGMMGSGQHSSLLQQKPLLVPKQEEVVMPHHQHQQQVVYDQIYKAAELILTGQFSHAQMILARLNHQFSPVGKSLQRAASYFKEALMLPLLMPGSSISLPSRVPSPVDFVFKMGAYKVFSEASPILQFMNFTSNQALLEALGDAEYVHIFDFDIGFGAQWSSFIQELPKRNNGGRGGAPSLKITAFASPSTHHPVEISLMHESLTQFANDVGVKFELEVVNLDTFDPSSYQLSSFRPCGSEVVAVNFPIWSLSNHLSALPSLLHYIKQLSPKIVVSLERGCERTELPFPHHILNALKYYEVLFESMGAAKVTPDMANKMERFLFQPSIESIVQGRLCFPDQMPPWRTLFTSAGFLPVPFSNFTETQAECIMKRNQVRGFHVEKRQASLVLCWQRRELLTAMAWRC >itb08g00520.t1 pep chromosome:ASM357664v1:8:416181:423265:1 gene:itb08g00520 transcript:itb08g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTELKRIENATSRQVSFSKRRRGLLKKAFELSVLCDSEITLIVFSPTGKLYEFSSSSCTNKTIERYMNSAKNLGQYKKSSETSLQHEKEDAAAMSKRVEVLEQSKRKLLGEGLDSCSIDDLYQIQEQLAISLRNIRARKSLLCKQQIDRLREKEKILEEENAELKKRCDVQLRLHLSEEDDEPQRPYTDVETRLFIGLPETTIPLATGRAQ >itb12g24810.t1 pep chromosome:ASM357664v1:12:26222160:26228148:-1 gene:itb12g24810 transcript:itb12g24810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MMLDLSSFSDEKFDPKQWINGACQSRHPQDPLDKHLVDLEMKLQMASEEIAVSLEELSASALHRVPRATRDVIRLRDDALSLRSSVSSILQKLRKAEGSSAESVATLAKVDTVKRRMEAAYETLQDAAGLTQLSSTVEDVFSSGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDSMVQPRLTDALSNRKVDIAQEMRSILNRIGRFKSLELQYSKVHLKPIKHLWEDFELRQQANKVSNEKNEVERLSSANDLQLNSSPISFSRWLPSFYDELLLYLEQEWKWCVLAFPEEYKTLVPNLVIETMSAVGASFVSSINLAAGEAVPETKTLAKGIIDISTGDLSKGVKVQTKHLDALIELHNTTGSFARNIQHLFSDTDLQVMLDVLKAIYHPFESFKRRYGQMERAVLSGEIAGLDLRGAAITLVGVQGVELSETVRRMEESIPQIILLLEAAVERCINFTGGSEADELILALDDVMLQYISTLQDNLKSLRAVCGLDADAVGSKKEMGSDRRDSNSRKVDFMSNEEEWSFVQGALQILTVADCLTSRSSVFEASLKATLARLSTNLSFSVFGSSLDQNQSHAANDDGSAQVAVTGRAALDVAAVRLVGVPEKARKLLNLLEQSKDPRFHALPVASQRVTAFADAVNELVYDVLVSKVRQHFNDLSRLPIWSSVEEHSARPLPTFSAYPQSYVTNVGEYLLTLPQQLEPLAEGISNSDANAEEAQYFATEWMFKVAEGATALYVEQLRGIQYITDRGAQQLSVDIEYLSNVLSALSMPVPPVLATFHSCLSTPRNQLKELVKSDSGNQLDLPTANLVCKMRHVSLE >itb01g29040.t3 pep chromosome:ASM357664v1:1:33334666:33346739:1 gene:itb01g29040 transcript:itb01g29040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNQIVFCSAGKLVQPGGGLQDVLHMHDVLARVALARLCHTISRARALDERPDIKSQFNSLLYQLLLDPSEKVCFEAILCVLGKFDNAERTEERAAGWYRLTREILKLPEAPSVKESKTESKDTVPKSSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAASRVVQEMGKSRAAAFALGLQDLDEGAYVNTFAENDSYDPDLNETSQSEGIRRVSSISNGASGKDTIASLLASLMEVVRTTVACECVFVRAMVIKALIWMQSPHESFDELESIIASELSDPTWPATLLNDILLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPDLMSGLTSVDRVSVSDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLSGALTRLQRCAFNGSWEVRIIAVQALTTIAIRSGEPYRLQIYELLHTLAQGGVQSQFSDMHISNGEDQGASGTGLGSLISPMLKVLDEMYSAQDDLIKEMRNHDNAQKEWTDDELKKLYETHEKLLDLVSLFCYVPRAKYLPLGPTSAKLIDIYRTRHNISASTGLTDPAVATGISDLIYETSKAKSAEPDTLDDDLVNAWATSLGDDGLLGNNAPAMNRVNEFLAGAGTDAPDVDEENVVSRPSMSYDDMWAKTLLETSEMEEDDARSSGSSSPDSIGSVETSISSHFGGLNYPSLFSSKPTTYGSSQTKDRSGGSQYSNNSYGSSYGGLGSPIREEPPPYSSPIHERNASFENPLASSGSYSFGSHDDERASSGNPQFGSALYDFTAGGDDELNLTAGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVTQS >itb01g29040.t1 pep chromosome:ASM357664v1:1:33326251:33346739:1 gene:itb01g29040 transcript:itb01g29040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGTTLMDLITSDPSSTQSSSKSTASAAPAATLPHQTAAAATASTDRKKKGMLMQIQSDTISAAKAALNPVRMPQRQKKKAVSYAQLARSIHELAATSDQKSSQRQLVQHVFPKLAVYNSVDPSIAPSLLMLGQQCEDRTVLRYVYYYLARILSDGGSQGLSPGGGIPTPNWDALADIDVVGGVTRADVVPRIVDRLTSEALNEDVEFHARRLQALKALTYAPSSSSEILSKLYEIVFSILDKVADTPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVSFADPVAVRHSLEILSDLAMMDPYAVAMALGKLVQPGGGLQDVLHMHDVLARVALARLCHTISRARALDERPDIKSQFNSLLYQLLLDPSEKVCFEAILCVLGKFDNAERTEERAAGWYRLTREILKLPEAPSVKESKTESKDTVPKSSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAASRVVQEMGKSRAAAFALGLQDLDEGAYVNTFAENDSYDPDLNETSQSEGIRRVSSISNGASGKDTIASLLASLMEVVRTTVACECVFVRAMVIKALIWMQSPHESFDELESIIASELSDPTWPATLLNDILLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPDLMSGLTSVDRVSVSDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLSGALTRLQRCAFNGSWEVRIIAVQALTTIAIRSGEPYRLQIYELLHTLAQGGVQSQFSDMHISNGEDQGASGTGLGSLISPMLKVLDEMYSAQDDLIKEMRNHDNAQKEWTDDELKKLYETHEKLLDLVSLFCYVPRAKYLPLGPTSAKLIDIYRTRHNISASTGLTDPAVATGISDLIYETSKAKSAEPDTLDDDLVNAWATSLGDDGLLGNNAPAMNRVNEFLAGAGTDAPDVDEENVVSRPSMSYDDMWAKTLLETSEMEEDDARSSGSSSPDSIGSVETSISSHFGGLNYPSLFSSKPTTYGSSQTKDRSGGSQYSNNSYGSSYGGLGSPIREEPPPYSSPIHERNASFENPLASSGSYSFGSHDDERASSGNPQFGSALYDFTAGGDDELNLTAGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVTQS >itb01g29040.t2 pep chromosome:ASM357664v1:1:33326251:33346739:1 gene:itb01g29040 transcript:itb01g29040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGTTLMDLITSDPSSTQSSSKSTASAAPAATLPHQTAAAATASTDRKKKGMLMQIQSDTISAAKAALNPVRMPQRQKKKAVSYAQLARSIHELAATSDQKSSQRQLVQHVFPKLAVYNSVDPSIAPSLLMLGQQCEDRTVLRYVYYYLARILSDGGSQGLSPGGGIPTPNWDALADIDVVGGVTRADVVPRIVDRLTSEALNEDVEFHARRLQALKALTYAPSSSSEILSKLYEIVFSILDKVADTPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVSFADPVAVRHSLEILSDLAMMDPYAVAMALGKLVQPGGLQDVLHMHDVLARVALARLCHTISRARALDERPDIKSQFNSLLYQLLLDPSEKVCFEAILCVLGKFDNAERTEERAAGWYRLTREILKLPEAPSVKESKTESKDTVPKSSKDKSQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAASRVVQEMGKSRAAAFALGLQDLDEGAYVNTFAENDSYDPDLNETSQSEGIRRVSSISNGASGKDTIASLLASLMEVVRTTVACECVFVRAMVIKALIWMQSPHESFDELESIIASELSDPTWPATLLNDILLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPDLMSGLTSVDRVSVSDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLSGALTRLQRCAFNGSWEVRIIAVQALTTIAIRSGEPYRLQIYELLHTLAQGGVQSQFSDMHISNGEDQGASGTGLGSLISPMLKVLDEMYSAQDDLIKEMRNHDNAQKEWTDDELKKLYETHEKLLDLVSLFCYVPRAKYLPLGPTSAKLIDIYRTRHNISASTGLTDPAVATGISDLIYETSKAKSAEPDTLDDDLVNAWATSLGDDGLLGNNAPAMNRVNEFLAGAGTDAPDVDEENVVSRPSMSYDDMWAKTLLETSEMEEDDARSSGSSSPDSIGSVETSISSHFGGLNYPSLFSSKPTTYGSSQTKDRSGGSQYSNNSYGSSYGGLGSPIREEPPPYSSPIHERNASFENPLASSGSYSFGSHDDERASSGNPQFGSALYDFTAGGDDELNLTAGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVTQS >itb01g30280.t1 pep chromosome:ASM357664v1:1:34336434:34338923:-1 gene:itb01g30280 transcript:itb01g30280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSSSFPCIKFPNRSVVQSPPPPSSSSSSYTFMRFSVSKPGSPALNIRNSQAEGPFRRPVAPSPPTPVKPVPPSTSPPPPSAPPKPAAVEDKSASAITLEFQRQKAKELQEYFKQKKLDDANQGPFFGFIGKNEIANGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGILDLE >itb06g07830.t2 pep chromosome:ASM357664v1:6:11593093:11597670:1 gene:itb06g07830 transcript:itb06g07830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKPSLESCIGEEPSDLKHWIRPPSNLMHTMTDKQLLWRASLMPRVKKYPFKRVPKIAFMFLTKGPLPLAPLWERFFKGHEGKFSIYIHSSPSYKADFPPKSPFYKRQIPSQVAEWGRMSICDAERRLLANAMLDISNEWFVLLSESCIPLYNFSFIYQYIKKSKYSFIGAFDDPGPFGRARYNRNMAPEVNITDWRKGSQWFEINRNLALHIVEDTVFYPKFANFCRPACYVDEHYFPTMLTIRAANDLANRSLTWVDWSRGGAHPATFGKSDITENLMKRMVDGRSCLYNDRNTSVCYLFARKFAPSALESLFFLAPKFLGF >itb06g07830.t3 pep chromosome:ASM357664v1:6:11593749:11597670:1 gene:itb06g07830 transcript:itb06g07830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKVGLMEEGKDPPGVSSRGGQVRVLPQRLVHLLALFLFLCLAFSVVSIYMIKHYGIHNVVMAPLKPSLESCIGEEPSDLKHWIRPPSNLMHTMTDKQLLWRASLMPRVKKYPFKRVPKIAFMFLTKGPLPLAPLWERFFKGHEGKFSIYIHSSPSYKADFPPKSPFYKRQIPSQVAEWGRMSICDAERRLLANAMLDISNEWFVLLSESCIPLYNFSFIYQYIKKSKYSFIGAFDDPGPFGRARYNRNMAPEVNITDWRKGSQWFEINRNLALHIVEDTVFYPKFANFCRPACYVDEHYFPTMLTIRAANDLANRSLTWVDWSRGGAHPATFGKSDITENLMKRMVDGRSCLYNDRNTSVCYLFARKFAPSALESLFFLAPKFLGF >itb06g07830.t1 pep chromosome:ASM357664v1:6:11593081:11597672:1 gene:itb06g07830 transcript:itb06g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKVGLMEEGKDPPGVSSRGGQVRVLPQRLVHLLALFLFLCLAFSVVSIYMIKHYGIHNVVMAPLKPSLESCIGEEPSDLKHWIRPPSNLMHTMTDKQLLWRASLMPRVKKYPFKRVPKIAFMFLTKGPLPLAPLWERFFKGHEGKFSIYIHSSPSYKADFPPKSPFYKRQIPSQVAEWGRMSICDAERRLLANAMLDISNEWFVLLSESCIPLYNFSFIYQYIKKSKYSFIGAFDDPGPFGRARYNRNMAPEVNITDWRKGSQWFEINRNLALHIVEDTVFYPKFANFCRPACYVDEHYFPTMLTIRAANDLANRSLTWVDWSRGGAHPATFGKSDITENLMKRMVDGRSCLYNDRNTSVCYLFARKFAPSALESLFFLAPKFLGF >itb13g19260.t2 pep chromosome:ASM357664v1:13:26262637:26266845:-1 gene:itb13g19260 transcript:itb13g19260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRANFIGFVLAVASSAFIGSSFIIKKKGLQRAGASGSRASTGGYGYLREPLWWIGMVTMIVGEFANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKLGVIGCILCVMGSTVIVLHAPGEHAISSVDEIWALATQPAFLLYAAASMAIVLVLVLYCEPRYGQTNIMVYIGICSIVGSLTVMSIKAVGIAIKLTLEGSSQAAHLQTWIFVTISVLCIISQLNYLNKALDTFKTAVVSPIYYAMFTSLTIVASAIMFKDWSGQSASNIISVLCGFLTVLSGTMVLHCTRDPDPPPDMYTQVSPQISWVVRANGEIWKQKDDDLHPEFVAIIRQDHFK >itb13g19260.t1 pep chromosome:ASM357664v1:13:26262637:26266845:-1 gene:itb13g19260 transcript:itb13g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRANFIGFVLAVASSAFIGSSFIIKKKGLQRAGASGSRASTGGYGYLREPLWWIGMVTMIVGEFANFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKLGVIGCILCVMGSTVIVLHAPGEHAISSVDEIWALATQPAFLLYAAASMAIVLVLVLYCEPRYGQTNIMVYIGICSIVGSLTVMSIKAVGIAIKLTLEGSSQAAHLQTWIFVTISVLCIISQLNYLNKALDTFKTAVVSPIYYAMFTSLTIVASAIMFKDWSGQSASNIISVLCGFLTVLSGTMVLHCTRDPDPPPDMYTQVSPQISWVVRANGEIWKQKDDDLHPEFVAIIRQDHFKFPG >itb04g00430.t1 pep chromosome:ASM357664v1:4:267402:268689:1 gene:itb04g00430 transcript:itb04g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGMESMARPTPPSMGGGGDSGGNGRERCGHVGFQMPLHYPRYTRSEYETMPEWKLDCLLTEYGLPIQGDVSHKRKFAMGAFLWSFSS >itb01g14730.t3 pep chromosome:ASM357664v1:1:16824847:16830300:-1 gene:itb01g14730 transcript:itb01g14730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISTERSGSGSFQNPRSPHASQMAPYLSISVTDPAKMGNGVQAYISYKVTTKSNLPDFQGQEKIVIRRYSDFVWLHDHLFEKYKGIFIPPLPEKSTVEKFRFSAEFIEMRCRALDVFINRIASHHELQKSEDLRIFLEADEQTMERARSQETSIFKKKPSDLMQIFKDVQSKVSDVVLGKEKPVEESNSEYEKLKHYIFELEDHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGTSEENSLGKAFSELGAKCELQSIKLQKEAHNLLMNFEEPLKDYVRAVQSIKATMAERANAFKHQCELAETIKFKEIDMYDLVN >itb01g14730.t1 pep chromosome:ASM357664v1:1:16824807:16830300:-1 gene:itb01g14730 transcript:itb01g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTERSGSGSFQNPRSPHASQMAPYLSISVTDPAKMGNGVQAYISYKVTTKSNLPDFQGQEKIVIRRYSDFVWLHDHLFEKYKGIFIPPLPEKSTVEKFRFSAEFIEMRCRALDVFINRIASHHELQKSEDLRIFLEADEQTMERARSQETSIFKKKPSDLMQIFKDVQSKVSDVVLGKEKPVEESNSEYEKLKHYIFELEDHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGTSEENSLGKAFSELGAKCELQSIKLQKEAHNLLMNFEEPLKDYVRAVQSIKATMAERANAFKHQCELAETIKFKEIDINKLRLMRSDKLAEAEREYEVLKVEGEEAAERFKTIARLMNEEIVQFQEQKTLDIGLALHEFAKGQAHLANSVAEAWRGLLPKLEACS >itb01g14730.t2 pep chromosome:ASM357664v1:1:16824807:16830300:-1 gene:itb01g14730 transcript:itb01g14730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTERSGSGSFQNPRSPHASQMAPYLSISVTDPAKMGNGVQAYISYKVTTKSNLPDFQGQEKIVIRRYSDFVWLHDHLFEKYKGIFIPPLPEKSTVEKFRFSAEFIEMRCRALDVFINRIASHHELQKSEDLRIFLEADEQTMERARSQETSIFKKKPSDLMQIFKDVQSKVSDVVLGKEKPVEESNSEYEKLKHYIFELEDHLAEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGTSEENSLGKAFSELGAKCELQSIKLQKEAHNLLMNFEEPLKDYVRAVQSIKATMAERANAFKHQCELAETIKFKEIDIPSVLFKKQTQANAV >itb04g33340.t1 pep chromosome:ASM357664v1:4:35607367:35611550:1 gene:itb04g33340 transcript:itb04g33340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGRIMDGGDAREMGLKRQRVMDQGSSYYSIPPGSSYVYNPAPPAPAEYSYIGQPPPFPVVRLRGLPFDCTEGEIADFLHGLDVVDVLLVHKGGRFTGEAYCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKKQEYYRAIANEQSDGCSNSVPRARSSEDGKDLAEHTGVLRLRGLPFSASKEDIIEFFKKFSLPEDSINIIANSEGRPTGDAFVEFADAEDSRAAMAKDRMTIGTRYIELFPSSHEELEETVSKGRNLQTGRT >itb04g33340.t2 pep chromosome:ASM357664v1:4:35607367:35611550:1 gene:itb04g33340 transcript:itb04g33340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGRIMDGGDAREMGLKRQRVMDQGSSYYSIPPGSSYVYNPAPPAPAEYSYIGQPPPFPVVRLRGLPFDCTEGEIADFLHGLDVVDVLLVHKGGRFTGEAYCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKKQEYYRAIANEQSDGCSNSVPRARSSEDGKDLAEHTGVLRLRGLPFSASKEDIIEFFKKFSLPEDSINIIANSEGRPTGDAFVEFADAEDSRAAMAKDRMTIGTRYIELFPSSHEELEETVSKGRILQKPSDGKDLTEVTPVLRMRGLPYSAAKDDIIEFFKDFVLSEESVHITLNFEGRPTGEAFVEFANPEDAKVALAKDRMSLGSRYIELFPSSPEELNESLSRGR >itb06g03560.t1 pep chromosome:ASM357664v1:6:5957519:5960689:1 gene:itb06g03560 transcript:itb06g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVSRSRGRRAEAEMQKPEVDSRWRRRSAVDRGGLAAGTQWEAANWRCREAESRRRDQGLGLCYFLSNSQLWVHNYLLRPTTQSDTTISSGEKLFLDETLSVEDVIFLEYISSEKGSDGKTSHRGKATGAQSSAMGARCGPPTMKAGQKYIPGEHHPNKSQKNTMAYNTYVPGEHGSPLAVAADEGRRKMTLL >itb03g29450.t1 pep chromosome:ASM357664v1:3:30325376:30326204:1 gene:itb03g29450 transcript:itb03g29450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPWVSCLCFIVSLSFFAYSTCGESSFNLKHTNLEILQEGKQTRNTSWRMEGLQGFGDFNNQNKVETVDHGRRGAYGGSDLLRKPADKSDGGRNCTSFPNLFHILASITIALLALYFWYIE >itb05g22580.t1 pep chromosome:ASM357664v1:5:27953084:27954287:-1 gene:itb05g22580 transcript:itb05g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEHKSGDGRWSLKGMNALVTGGTQGIGYAIVEELAGFGASIYTCTLNQEDLNKCLQEWKIKGYKVTGSVCDLSSRSETQQLMEKVSDYFNGKLHILVNNAATVITKKITDFTAEDYSVIMSTNFEAPYHLSQLSHPLLKATGQGSIVFISSISGHFGMPYVSLYSGSKGAINSVTKCMACEWAKDGIRVNAVAPWIVDAPLRDAVCAHPGFKVEAERAVERTPISRHGKPNEVSSVVAFLCLPAASWLTGQIITLDGGKTAHDL >itb09g20890.t1 pep chromosome:ASM357664v1:9:17957577:17958021:-1 gene:itb09g20890 transcript:itb09g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPKLVVPRGHRTGRNQSKKLVKEGQRSLPLGAMMKGEETDHQVIQVRHQLPEHQPVVVQESFEKGEEWDSEAATSRVVMDTNETTGWWVRDWLLTSFLSLRHRSK >itb13g25080.t1 pep chromosome:ASM357664v1:13:30536873:30539211:-1 gene:itb13g25080 transcript:itb13g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKAANYYLETASEGYKKRAQKAIDDGESLEDFYVRKTKRCIRKCDVCSKLVVGFYFCCTKCELFMAGYNYNLCVDCFYGGRTFEHEHDTFADNHSVLMMHTQGRGEPTCVIEQNNGKGKQDSNSEDDASSSHPKSSIPHDSSLKDSNSHSKLTHHNSSSQTRGSRSSSTAIEKRNRHGDDVVAALKVGQAIINTGAAVLSIASALGACTIM >itb13g25080.t4 pep chromosome:ASM357664v1:13:30536689:30539211:-1 gene:itb13g25080 transcript:itb13g25080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKAANYYLETASEGYKKRAQKAIDDGESLEDFYVRKTKRCIRKCDVCSKLVVGFYFCCTKCELFMAGYNYNLCVDCFYGGRTFEHEHDTFADNHSVLMMHTQGRGEPTCVIEQNNGKGKQDSNSEDDASSSHPKSSIPHDSSLKDSNSHSKLTHHNSSSQTRGSRSSSTAIEKRVSYTMTMNRTHSRLIRLRCLFVYFFTFFSDSMCTLNKR >itb13g25080.t3 pep chromosome:ASM357664v1:13:30536689:30539103:-1 gene:itb13g25080 transcript:itb13g25080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKAANYYLETASEGYKKRAQKAIDDGESLEDFYVRKTKRCIRKCDVCSKLVVGFYFCCTKCELFMAGYNYNLCVDCFYGGRTFEHEHDTFADNHSVLMMHTQGRGEPTCVIEQNNGKGKQDSNSEDDASSSHPKSSIPHDSSLKDSNSHSKLTHHNSSSQTRGSRSSSTAIEKRNRHGDDVVAALKVGQAIINTGAAVLSIASALGACTIM >itb13g25080.t2 pep chromosome:ASM357664v1:13:30536689:30539211:-1 gene:itb13g25080 transcript:itb13g25080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKAANYYLETASEGYKKRAQKAIDDGESLEDFYVRKTKRCIRKCDVCSKLVVGFYFCCTKCELFMAGYNYNLCVDCFYGGRTFEHEHDTFADNHSVLMMHTQGRGEPTCVIEQNNGKGKQDSNSEDDASSSHPKSSIPHDSSLKDSNSHSKLTHHNSSSQTRGSRSSSTAIEKRVSYTMTMNRTHSRLIRLRCLFVYFFTFFSDSMCTLNKR >itb06g21030.t1 pep chromosome:ASM357664v1:6:23779642:23782966:1 gene:itb06g21030 transcript:itb06g21030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAAAAVEASSVHGVGGFAQLQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFENFQWNGSDMASDDTQKSHRSRYRMHKSSGSSHKTLCRTLSCDAQSKGCVSAPRRSTKVDLSKLEMTALWRYLQHFNLVDAIPNPSKEQLIDVVQRHFMSQQLDELQVIVGFVQAAKRLKTVCK >itb06g21030.t2 pep chromosome:ASM357664v1:6:23779642:23782966:1 gene:itb06g21030 transcript:itb06g21030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAAAAVEASSVHGVGGFAQLQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFENFQWNGSDMASDDTQKSHRSRYRMHKSSGSSHKTLCRTLSCDAQSKGCVSAPRRSTKVDLSKLEMTALWRYLQHFNLVSSLLSNARRFIYS >itb04g15590.t4 pep chromosome:ASM357664v1:4:16809639:16816234:-1 gene:itb04g15590 transcript:itb04g15590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRAGMVASSNGISRRRHRTNSLRDSPDEDVAVEFSESVRLRERVKKDRDRDRERERDRDRERERERSSRSKRRRGDDSSQESVNDDEDEEDEDTTAHVHHQLHHSSNAGGGGGVRLLPPNPSAAAAAAAAATSVTNHHHHSSSTNSHHQHHQQLQHRKTFPPSAGKPFRAAPVWKSGNEMMDVPVPRKARSVHPKRSHDWISSGSGGSCGVGDQIHRQASTSPVRQGPVSTSTPSPAPPLSPSSSNASGRRKIKANGSKQRPPPKSSSKSTSSNNPEELEIEIAEVLYGLMTQSQAPLKKEIASNDTREVNNRSCADTKSRVSSPVSGSPSPAILPSVPNSSSAAPFSAVAPKRKRPRQVSENQGSASVRSSPSSASAKAEMDQTMKAEVSSSPNFEKTPVQNGGGSLLYGLGSSINSQSDPTAEPMKVESEIKPPATEQAPESGDLAGKEEEVVVSSPKKESPPSASASAEDSKAMADSVTTKPSTVSEVEEGQREEKKIQIDLMAPPPPLLRSSPEREGSATMDIKTIASESLPERAAVKHNEEDEKMEEKTVEEEKKMKAVEEAEAPPQKRTESSSSKGKDNIGVQLDLEKLQRGDNNSKLHQHHHPLLKSNNEEHPEKANQSSSFPLPPMSLAGWPGAAAAGAAAAAAGLPPMGYMAPLQGVVTMDGSSVPTPPLQPTFSQPRPKRCATHCYIARNIHLYQQFTKMNPFWLPTAAAAAASSAASLFVSKAACNLNVVPTPADLHGNIGGRGVNSGPDNKGGHGGVAIFPGLAAGGGKDKGSQPPTLPDHPAQRKQQQILLQQALPPPIAPNNLLPGHTFIFPLNQQQQQAAAATSAARPGPAKSPANAASNASNSAATGTATTGGAPAAISFSYPNMPPNETPYLAILQNNAYFPIAAVGPPLNYRATHPPSLPMFNGSFCPSQMIHHPSQLHHHHHQQQQQQPQQPTPSSQSQQQMQQNTSISSGSSSSHKLLQNHQQKQRSQGGGGGGVINGSSGSGNLHNFPASNSKTHPPHQPQQLPHGRHIENELGSEDNPPSTAESQGSRVPVNMYSNQNCVMPIHPSNFNLMTPPTAGVLCATSVSGNQSEKKQQQQQGIKPGTESMQPQSFSMSFTSINGASAPGIDISSSMAQANAAIFQNFTEASRQNLHIAAAVAAAAQPKKNYRDESRGGSGDPTTSADDERKGGSAGKGPATVGQSITFSRSDVADASGSKLAANGIDSSRSISLASASGWTARAAAIPTSMGSNAQLQAQLQHKQQQQMAQLQMQQQHQLAAVVARSKAPPTSNGIVYSEHSNPPTSVGMKFPNTISAFPQNLVQTSSNGSPVHSPQWKGSGKTSSSSQVASTTPSSLKSISHQHQQQQQQQQQMRIQPNHTQISFVAANQKSSTTTTSQGQHPSNSNQSQSSSMVIGSPTTTSSISKGASGSPRTTSASMNNKMDHQTPLVVQQGKASVSNPNQKSSSPASGRNVPSILGNNPHISTSSSVSKTQVQQHLAKGIQQSQLFFSSPYAQAQPSSHSVSSSSSSAPATSGYYIQTRRPEQQQPSGSTMAPTTSSTAGMLTLCPVTLGGGNTSDPAKAIAAAAAAAASNVRGGGGLPSQSILHTAQFAAAASSGSSQHQIFPAGFSYVQPPVPTVVQVKPPPEQKQPAGNDNLNACWQPEKK >itb04g15590.t1 pep chromosome:ASM357664v1:4:16809639:16816256:-1 gene:itb04g15590 transcript:itb04g15590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRAGMVASSNGISRRRHRTNSLRDSPDEDVAVEFSESVRLRERVKKDRDRDRERERDRDRERERERSSRSKRRRGDDSSQESVNDDEDEEDEDTTAHVHHQLHHSSNAGGGGGVRLLPPNPSAAAAAAAAATSVTNHHHHSSSTNSHHQHHQQLQHRKTFPPSAGKPFRAAPVWKSGNEMMDVPVPRKARSVHPKRSHDWISSGSGGSCGVGDQIHRQASTSPVRQGPVSTSTPSPAPPLSPSSSNASGRRKIANGSKQRPPPKSSSKSTSSNNPEELEIEIAEVLYGLMTQSQAPLKKEIASNDTREVNNRSCADTKSRVSSPVSGSPSPAILPSVPNSSSAAPFSAVAPKRKRPRQVSENQGSASVRSSPSSASAKAEMDQTMKAEVSSSPNFEKTPVQNGGGSLLYGLGSSINSQSDPTAEPMKVESEIKPPATEQAPESGDLAGKEEEVVVSSPKKESPPSASASAEDSKAMADSVTTKPSTVSEVEEGQREEKKIQIDLMAPPPPLLRSSPEREGSATMDIKTIASESLPERAAVKHNEEDEKMEEKTVEEEKKMKAVEEAEAPPQKRTESSSSKGKDNIGVQLDLEKLQRGDNNSKLHQHHHPLLKSNNEEHPEKANQSSSFPLPPMSLAGWPGAAAAGAAAAAAGLPPMGYMAPLQGVVTMDGSSVPTPPLQPTFSQPRPKRCATHCYIARNIHLYQQFTKMNPFWLPTAAAAAASSAASLFVSKAACNLNVVPTPADLHGNIGGRGVNSGPDNKGGHGGVAIFPGLAAGGGKDKGSQPPTLPDHPAQRKQQQILLQQALPPPIAPNNLLPGHTFIFPLNQQQQQAAAATSAARPGPAKSPANAASNASNSAATGTATTGGAPAAISFSYPNMPPNETPYLAILQNNAYFPIAAVGPPLNYRATHPPSLPMFNGSFCPSQMIHHPSQLHHHHHQQQQQQPQQPTPSSQSQQQMQQNTSISSGSSSSHKLLQNHQQKQRSQGGGGGGVINGSSGSGNLHNFPASNSKTHPPHQPQQLPHGRHIENELGSEDNPPSTAESQGSRVPVNMYSNQNCVMPIHPSNFNLMTPPTAGVLCATSVSGNQSEKKQQQQQGIKPGTESMQPQSFSMSFTSINGASAPGIDISSSMAQANAAIFQNFTEASRQNLHIAAAVAAAAQPKKNYRDESRGGSGDPTTSADDERKGGSAGKGPATVGQSITFSRSDVADASGSKLAANGIDSSRSISLASASGWTARAAAIPTSMGSNAQLQAQLQHKQQQQMAQLQMQQQHQLAAVVARSKAPPTSNGIVYSEHSNPPTSVGMKFPNTISAFPQNLVQTSSNGSPVHSPQWKGSGKTSSSSQVASTTPSSLKSISHQHQQQQQQQQQMRIQPNHTQISFVAANQKSSTTTTSQGQHPSNSNQSQSSSMVIGSPTTTSSISKGASGSPRTTSASMNNKMDHQTPLVVQQGKASVSNPNQKSSSPASGRNVPSILGNNPHISTSSSVSKTQVQQHLAKGIQQSQLFFSSPYAQAQPSSHSVSSSSSSAPATSGYYIQTRRPEQQQPSGSTMAPTTSSTAGMLTLCPVTLGGGNTSDPAKAIAAAAAAAASNVRGGGGLPSQSILHTAQFAAAASSGSSQHQIFPAGFSYVQPPVPTVVQVKPPPEQKQPAGNDNLNACWQPEKK >itb04g15590.t2 pep chromosome:ASM357664v1:4:16809639:16816256:-1 gene:itb04g15590 transcript:itb04g15590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRAGMVASSNGISRRRHRTNSLRDSPDEDVAVEFSESVRLRERVKKDRDRDRERERDRDRERERERSSRSKRRRGDDSSQESVNDDEDEEDEDTTAHVHHQLHHSSNAGGGGGVRLLPPNPSAAAAAAAAATSVTNHHHHSSSTNSHHQHHQQLQHRKTFPPSAGKPFRAAPVWKSGNEMMDVPVPRKARSVHPKRSHDWISSGSGGSCGVGDQIHRQASTSPVRQGPVSTSTPSPAPPLSPSSSNASGRRKIANGSKQRPPPKSSSKSTSSNNPEELEIEIAEVLYGLMTQSQAPLKKEIASNDTREVNNRSCADTKSRVSSPVSGSPSPAILPSVPNSSSAAPFSAVAPKRKRPRQVSENQGSASVRSSPSSASAKAEMDQTMKAEVSSSPNFEKTPVQNGGGSLLYGLGSSINSQSDPTAEPMKVESEIKPPATEQAPESGDLAGKEEEVVVSSPKKESPPSASASAEDSKAMADSVTTKPSTVSEVEEGQREEKKIQIDLMAPPPPLLRSSPEREGSATMDIKTIASESLPERAAVKHNEEDEKMEEKTVEEEKKMKAVEEAEAPPQKRTESSSSKGKDNIGVQLDLEKLQRGDNNSKLHQHHHPLLKSNNEEHPEKANQSSSFPLPPMSLAGWPGAAAAGAAAAAAGLPPMGYMAPLQGVVTMDGSSVPTPPLQPTFSQPRPKRCATHCYIARNIHLYQQFTKMNPFWLPTAAAAAASSAASLFVSKAACNLNVVPTPADLHGNIGGRGVNSGPDNKGGHGGVAIFPGLAAGGGKDKGSQPPTLPDHPAQRKQQQILLQQALPPPIAPNNLLPGHTFIFPLNQQQQQAAAATSAARPGPAKSPANAASNASNSAATGTATTGGAPAAISFSYPNMPPNETPYLAILQNNAYFPIAAVGPPLNYRATHPPSLPMFNGSFCPSQMIHHPSQLHHHHHQQQQQQPQQPTPSSQSQQQMQQNTSISSGSSSSHKLLQNHQQKQRSQGGGGGGVINGSSGSGNLHNFPASNSKTHPPHQPQQLPHGRHIENELGSEDNPPSTAESQGSRVPVNMYSNQNCVMPIHPSNFNLMTPPTAGVLCATSVSGNQSEKKQQQQQGIKPGTESMQPQSFSMSFTSINGASAPGIDISSSMAQANAAIFQNFTEASRQNLHIAAAVAAAAQPKKNYRDESRGGSGDPTTSADDERKGGSAGKGPATVGQSITFSRSDVADASGSKLAANGIDSSRSISLASASGWTARAAAIPTSMGSNAQLQAQLQHKQQQQMAQLQMQQQHQLAAVVARSKAPPTSNGIVYSEHSNPPTSVGMKFPNTISAFPQNLVQTSSNGSPVHSPQWKGSGKTSSSSQVASTTPSSLKSISHQHQQQQQQQQQMRIQPNHTQISFVAANQKSSTTTTSQGQHPSNSNQSQSSSMVIGSPTTTSSISKGASGSPRTTSASMNNKMDHQTPLVVQQGKASVSNPNQKSSSPASGRNVPSILGNNPHISTSSSVSKTQVQQHLAKGIQQSQLFFSSPYAQAQPSSHSVSSSSSSAPATSGYYIQTRRPEQQQPSGSTMAPTTSSTAGMLTLCPVTLGGGNTSDPAKAIAAAAAAAASNVRGGGGLPSQSILHTAQFAAAASSGSSQHQIFPAGFSYVQPPVPTVVQVKPPPEQKQPAA >itb04g15590.t3 pep chromosome:ASM357664v1:4:16809639:16816234:-1 gene:itb04g15590 transcript:itb04g15590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee [Source:Projected from Arabidopsis thaliana (AT3G22380) UniProtKB/TrEMBL;Acc:B3H7F6] MDRNREARRAGMVASSNGISRRRHRTNSLRDSPDEDVAVEFSESVRLRERVKKDRDRDRERERDRDRERERERSSRSKRRRGDDSSQESVNDDEDEEDEDTTAHVHHQLHHSSNAGGGGGVRLLPPNPSAAAAAAAAATSVTNHHHHSSSTNSHHQHHQQLQHRKTFPPSAGKPFRAAPVWKSGNEMMDVPVPRKARSVHPKRSHDWISSGSGGSCGVGDQIHRQASTSPVRQGPVSTSTPSPAPPLSPSSSNASGRRKIKANGSKQRPPPKSSSKSTSSNNPEELEIEIAEVLYGLMTQSQAPLKKEIASNDTREVNNRSCADTKSRVSSPVSGSPSPAILPSVPNSSSAAPFSAVAPKRKRPRQVSENQGSASVRSSPSSASAKAEMDQTMKAEVSSSPNFEKTPVQNGGGSLLYGLGSSINSQSDPTAEPMKVESEIKPPATEQAPESGDLAGKEEEVVVSSPKKESPPSASASAEDSKAMADSVTTKPSTVSEVEEGQREEKKIQIDLMAPPPPLLRSSPEREGSATMDIKTIASESLPERAAVKHNEEDEKMEEKTVEEEKKMKAVEEAEAPPQKRTESSSSKGKDNIGVQLDLEKLQRGDNNSKLHQHHHPLLKSNNEEHPEKANQSSSFPLPPMSLAGWPGAAAAGAAAAAAGLPPMGYMAPLQGVVTMDGSSVPTPPLQPTFSQPRPKRCATHCYIARNIHLYQQFTKMNPFWLPTAAAAAASSAASLFVSKAACNLNVVPTPADLHGNIGGRGVNSGPDNKGGHGGVAIFPGLAAGGGKDKGSQPPTLPDHPAQRKQQQILLQQALPPPIAPNNLLPGHTFIFPLNQQQQQAAAATSAARPGPAKSPANAASNASNSAATGTATTGGAPAAISFSYPNMPPNETPYLAILQNNAYFPIAAVGPPLNYRATHPPSLPMFNGSFCPSQMIHHPSQLHHHHHQQQQQQPQQPTPSSQSQQQMQQNTSISSGSSSSHKLLQNHQQKQRSQGGGGGGVINGSSGSGNLHNFPASNSKTHPPHQPQQLPHGRHIENELGSEDNPPSTAESQGSRVPVNMYSNQNCVMPIHPSNFNLMTPPTAGVLCATSVSGNQSEKKQQQQQGIKPGTESMQPQSFSMSFTSINGASAPGIDISSSMAQANAAIFQNFTEASRQNLHIAAAVAAAAQPKKNYRDESRGGSGDPTTSADDERKGGSAGKGPATVGQSITFSRSDVADASGSKLAANGIDSSRSISLASASGWTARAAAIPTSMGSNAQLQAQLQHKQQQQMAQLQMQQQHQLAAVVARSKAPPTSNGIVYSEHSNPPTSVGMKFPNTISAFPQNLVQTSSNGSPVHSPQWKGSGKTSSSSQVASTTPSSLKSISHQHQQQQQQQQQMRIQPNHTQISFVAANQKSSTTTTSQGQHPSNSNQSQSSSMVIGSPTTTSSISKGASGSPRTTSASMNNKMDHQTPLVVQQGKASVSNPNQKSSSPASGRNVPSILGNNPHISTSSSVSKTQVQQHLAKGIQQSQLFFSSPYAQAQPSSHSVSSSSSSAPATSGYYIQTRRPEQQQPSGSTMAPTTSSTAGMLTLCPVTLGGGNTSDPAKAIAAAAAAAASNVRGGGGLPSQSILHTAQFAAAASSGSSQHQIFPAGFSYVQPPVPTVVQVKPPPEQKQPAA >itb07g23820.t1 pep chromosome:ASM357664v1:7:28164582:28168477:1 gene:itb07g23820 transcript:itb07g23820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKYEEEAVFAGEFTEENNQTVCVLDASTFVGYWILKKLLVNGYKVHAAVQKNGEKEIMKKIKDLERTEKERVVVYSVDVLNYRSIVDALKGCCGLFCCLDSPDGYDDVVVDLEVRGIINVMEACAQSDSIHKIVFSSSLTAAIWRENISTLKDVDETSWSSTDFCRKTKLWYALAKTLSEQAAWALAMDRMLDMVSINAGLVIGHGVSQLSPVPTLSYLQGAAEMFENGLLAVVDVEFLADVHVRAFADHSTCGRYFCFSRSVTTEEEAVKLAQSLSPLIPLPAKRYKWEANEVYGERLRTKKLSKLVEGTAATAC >itb07g21200.t1 pep chromosome:ASM357664v1:7:25614370:25618997:1 gene:itb07g21200 transcript:itb07g21200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSRCREPKDCALLREDYLECLHHSKEYQRRNRIYKEEQRQLRAATHKEKEGGHGDGGTHH >itb04g07780.t1 pep chromosome:ASM357664v1:4:5382034:5384983:1 gene:itb04g07780 transcript:itb04g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLIHGETDLCLGLPGGDRGGNESAECLKITRKREFSETVDLKLNLQPNSDDHDSSLDCEKKMKASSSSQHEPIIMPPPKAQVVGWPPVKNYRKKMAQKSSTEGSEEEKSSSSSGSSAANLVKVCMDGAPYLRKVDLKMYRSYQHLYDALANMFTSFTTIGTNGMIDFMNERKVMDLLNTSEYVPTYEDKDGDWMLVGDVPWEMFVESCNRLRIMRGSEAPRAMKICKSRS >itb14g16720.t1 pep chromosome:ASM357664v1:14:20057779:20059870:1 gene:itb14g16720 transcript:itb14g16720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCEAGSSDKMADRQSKLRWDAANHESNNLLCDELVGEILVRLPTTAAVRFKAVCKRWYSLISSPYFIARFRDHHTLRDTDYVHSSLVFRNCPHYNGAACNQCHCHIQIISNTFFNTCSSRKVYGERIIHLIYLPCYPHNSNYYRFEGSFSDLIFPHNSNSNSNYRLEGSFADLILCSCINTFANKVDYYVSNPLTRQWIALPPLANEAGIVSIGFLFVEDTDTSHHNKFMVVRLCLGHLDDVSTPRSQFKVQVFSSENWQWRTLVVSSPLALMRYRWRAPLVAYRGMLHWLNGDCIVVYDPLNTPETFSRVIHLPIQTHYFKIYKYNCFGVSQGRLRVAEVSDEHSIYPILDVWELEDYDSGLWILVHKVHLRDLIHNGLLESFQSELSCFSVLSLHPQNGDVFYLRLLNGVVPVNMKTGIIERFYPINKSAMLCWMDAFHLFDQLWPTPVSSLH >itb09g28110.t1 pep chromosome:ASM357664v1:9:28709479:28712431:1 gene:itb09g28110 transcript:itb09g28110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSCYVNAFPFTISLNSQKLISFTLSQRRELFASISATNSPSAATISLLKSKHLPPDFTQKQLLDTLRQEKDEASALNLFKWALEQPEIEPSRQICDEILRKLGKAGSFDAMRRVLDDMKDSRVEIGEGAFFILIESYAKFELYDEAIGVLDLMEQEFDVKPGTFSYNLLLNILVDGNKLKLVEDVHSRMLSEGLKPDVSTFNILIKALCKAHQIRAAILMIEDMPRHGLSPDEKTFNTLMHCYIEGGDLEGALRIRDQMVAANCPPTSITVNTLIHGFCKQGKIEEIFSFVQEMSSQGFSPDSFTFNTLVNGLCKAGHISHAIDVLDLMLQEGFDPDLVTYNTLISGLCEAGEVEEAIKVLTQMIFRGYLPDRVTYNILISTLCKKNQVQEATELTRVLASKGVLPDVCTLNSLIKGHCLNSNFSSAMELFQEMKTEGCQPDEFTYNILIDYLCAKKKLDEAMSLVKDMELSGCARSVITYNTLIDGFCKNKRLDEAGEIFDEMELQGISRDLITYNTLIDGLCKAKRVEEAAELMDQMIIEGLKPDKFTYNSILSHYCRAGDVKKAADIVQNMTSTGCEPDVVTYGTLIQGLCKSGRVEVATRLLRSIQMKGMVLAPQAYNPIILALFRRKRTKEAMRLFREMEEKADPPDYVSYKIVFQGLCSGGGSIDEAVNFAVEMMGNGYVPEFSSFYNLAEGLFALAREETLVKLIDMIMKKAHFSDNEVDMIKGFLKIRKFQDALATLGRVLNSRYPKRNYR >itb02g03220.t1 pep chromosome:ASM357664v1:2:1848251:1851619:-1 gene:itb02g03220 transcript:itb02g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIMTKEGVVLAVEKRITSPLLEPSSVEKIMEIDQHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLKEAETIALSILKQVMEEKVTPNNVDIAKVAPDYQLYTPSEVEAVLSRL >itb05g24170.t1 pep chromosome:ASM357664v1:5:29042756:29045668:1 gene:itb05g24170 transcript:itb05g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQENMVMSDEKNPTLITDKQTTVQEGAANMNGRKMGLDNQTNRRELSVITQNLGALPFKKRAISQKFAAEMASLHQHQHEENKKPKVEGKELSIWEDRDEQENIGANGQHAPMVLEQTEKDSTKKAQMVIGLDCMLLLASVKDIDLEDISEEEAVMDIDSCDMNNPLAVNEYVEDLFAYYRKVENFSCVSPGYMAQQFDINERMRAVLIDWLIEVHHKFELREETLFLTVNLIDRFLEKQTVMRKKLQLVGMVSMLLACKYEETAVPGVSDFIYISGEAYTRKEVLDMESLMLKTLQFKLSVPTPCVFMRRFLKAAESDKKLELLSFFLIELCLVEYEMLECSPSLLAAAAVYTAQCTLYGVRQWSKTCEWHTGYSEHQLR >itb01g14060.t1 pep chromosome:ASM357664v1:1:15428805:15436250:-1 gene:itb01g14060 transcript:itb01g14060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQKFEEQQTQALIDQRIKERLGQAAAYQQIGVAYNQHLAAFPGQPPLFMFILMDSYVFSQPSVRKQHNAGYKHKANVRSYYQKFEEQQTQALIDQRIKERLGQAAAYQQIGVAYNQHLAAFPGQPPRMPILPPPLLPIPGAPPPQLVPGVRLPVFPRPLPGAPAYSVPPIAPVPGQPPGAPPLPMQPGMPNPNSAPPVPGGATPTSSSSAPTAAMQAMYQPNPAASAAPATNTPGGSYAFAPPPAPAPAPAPASAPETSQ >itb01g14060.t2 pep chromosome:ASM357664v1:1:15428805:15436250:-1 gene:itb01g14060 transcript:itb01g14060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQKFEEQQTQALIDQRIKERLGQAAAYQQIGVAYNQHLAAFPGQPPRMPILPPPLLPIPGAPPPQLVPGVRLPVFPRPLPGAPAYSVPPIAPVPGQPPGAPPLPMQPGMPNPNSAPPVPGGATPTSSSSAPTAAMQAMYQPNPAASAAPATNTPGGSYAFAPPPAPAPAPAPASAPETSQ >itb01g14060.t3 pep chromosome:ASM357664v1:1:15428805:15436250:-1 gene:itb01g14060 transcript:itb01g14060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQKFEEQQTQALIDQRIKERLGQAAAYQQIGVAYNQHLAAFPGQPPRMPILPPPLLPIPGAPPPQLVPGVRLPVFPRPLPGAPAYSVPPIAPVPGQPPGAPPLPMQPGMPNPNSAPPVPGGATPTSSSSAPTAAMQAMYQPNPAASAAPATNTPGGSYAFAPPPAPAPAPAPASAPETSQ >itb06g19290.t1 pep chromosome:ASM357664v1:6:22649276:22651251:-1 gene:itb06g19290 transcript:itb06g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRCRRRRGMMLAAMTTVGLAALYLEAAAVGQPRFEAMFVFGDSLIDPGNNNYLVDSLAKANYVPYGVDFQGPTGRFCNGKTLIDYLGELLEFPLLPAFTDPTATGRNILRGINYASAAAGILEETGRNLGERFTFSQQIQNFQDTLNELKSMMGAEDLKSYLNKSLVVISIGSNDYINNYLQPSMYTSSYMYNPTAYADMLIKLYSTQVQALHGLGVRKFLLAAIGPLGCIPYQLETRAAPQGKCVSNVNDIVGLFNSRVRALVDQLTPLLADSILVYGNTFAAVTDIIDNAATYGFTVKDRGCCGISRQITCLPLSVPCADRSKYVFWDSFHPTQAVNQIIANHAYAGPPSVCYPMNVQQLAQLL >itb10g20830.t1 pep chromosome:ASM357664v1:10:26274669:26277705:1 gene:itb10g20830 transcript:itb10g20830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTCVFGTNISLVVFLSCLVCLCSSTDTITFNHPLKDGDLLISNDSSYALGFFTPGKSIGKRYVGLWYLKIPEKLVVWVANRDNPVNGTSGILFIDSTGNLVIQDNKTGTPVWNTSLSFDPTGTRDYSAQLKDTGNLILYHDREKRVDKWQSFDYPTNTLLASMKLGVGKNKSLNWFLRSWKSPDDPGTGDYTFGIDLIGKPQAFLYKNSSPVCRLGPWNGLRWSGLPEMTPEITPYAFTDNDEEFSEEYWIKDPLSVYTIVMVNDTGKVNKILWKGNNDSEKKWAGVWYFPNVDCDRYGHCGAFGICDSAGFSCRCVSGFKPKSNQDWRQGCWRNQTEVCRNGEGFLKLENMKIPDTEITAVNTTIGLEECRELCLSNCSCTAYANANISDGGTGCITWYGDLIDMREFTHGGQDMFVRVSASDFGQPIKKSKWLNGKWLIVIVVVPVAVAVILLLLFLTLLLKGRKGNKKPAAAMGKYVDDEDGSTSDGKLQNGELIAVKRLTRTSLQGIVEFKNEVRLIAKLQHRNLVKLLGCCIQQGEKMLVYEYLPNKSLDCFIFDNAQGESLDWRKRFEIISRIAEGLVYLHQDSRLRIIHRDLKAGNILLDAKMQPKISDFGMARIFEEQQVEANTNRVVGTYGYMSPEYAMEGLFSVKSDVFSFGVLLLEIVTGKKNKYRHNENSLNLIGDLWDLWSEERALEIVDPALGESYDCQEVLRCIHIGLMCVQPYPGDRPIMSEVIFMLSNDTKLSRPNKPGFVINQGNPTTAPFSYPTTDGNQSINGMSFTAMDGR >itb10g16890.t1 pep chromosome:ASM357664v1:10:23134429:23138823:-1 gene:itb10g16890 transcript:itb10g16890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSMDALPLGFRFRPTDQELISHYLRRKINGRHSEVQVIPEVDVCKWEPWDLPSLSVIKTDDPEWFFFCPLDRKYPNGTRCNRATEAGYWKATGKDRAIKIRKSTGSDQSNIPLIGIKKTLVFYKGRAPKGERTNWIMHEYRATEPDLDGTHPGQGAFVLCRLFHKSDDKKCDEAEPSGSSPATNKSSPDDVSSNLFQEAALLDRQPEKANASSIVMLPVESRMSDAVEHSTEEIKNEAPVGVDMMFNESKHESDSCTDIGLHISSPFPDDFGNDHNGLDFQDGTCEQDVSLSELLGHFQGHENYSSEETTCQNNLSSERLILQDTLLEQTSPAPFQTQVPPNDPDSILHTDDTHTHTNPVGHASDVAGGSGLRIRTRKRQNRPTSGNIITRGTAPRRIHLSLEQEPISVSSANVAEAGSFGSEVHEVQSLVAEVQASPISFKTKASEESYGDNSATNHRTTVDGETVTKVWMPQLFNQASSEHNVSQVSAAKRILQMEQVTVSVSSGKAGIGHGRRAVIGEAHEGKECTSSTDEGEIPSSSTGSRETTQEHDTTARLLSKQGSNHHLSKIGFSFSSLVSPVLCGLSFSRLYAVSIYVIVAVSIFCVMIWKYPSKSVVQR >itb10g16890.t2 pep chromosome:ASM357664v1:10:23134429:23138823:-1 gene:itb10g16890 transcript:itb10g16890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSMDALPLGFRFRPTDQELISHYLRRKINGRHSEVQVIPEVDVCKWEPWDLPSLSVIKTDDPEWFFFCPLDRKYPNGTRCNRATEAGYWKATGKDRAIKIRKSTGSDQSNIPLIGIKKTLVFYKGRAPKGERTNWIMHEYRATEPDLDGTHPGQGAFVLCRLFHKSDDKKCDEAEPSGSSPATNKSSPDDVSSNLFQEAALLDRQPEKANASSIVMLPVESRMSDAVEHSTEEIKNEAPVGVDMMFNESKHESDSCTDIGLHISSPFPDDFGNDHNGLDFQDGTCEQDVSLSELLGHFQGHENYSSEETTCQNNLSSERLILQDTLLEQTSPAPFQTQVPPNDPDSILHTDDTHTHTNPVGHASDVAGGSGLRIRTRKRQNRPTSGNIITRGTAPRRIHLSLEQEPISVSSANVAEAGSFGSEVHEVQSLVAEVSLRLNFSLVLPFKLYL >itb10g16890.t3 pep chromosome:ASM357664v1:10:23134430:23138823:-1 gene:itb10g16890 transcript:itb10g16890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSMDALPLGFRFRPTDQELISHYLRRKINGRHSEVQVIPEVDVCKWEPWDLPSLSVIKTDDPEWFFFCPLDRKYPNGTRCNRATEAGYWKATGKDRAIKIRKSTGSDQSNIPLIGIKKTLVFYKGRAPKGERTNWIMHEYRATEPDLDGTHPGQGAFVLCRLFHKSDDKKCDEAEPSGSSPATNKSSPDDVSSNLFQEAALLDRQPEKANASSIVMLPVESRMSDAVEHSTEEIKNEAPVGVDMMFNESKHESDSCTDIGLHISSPFPDDFGNDHNGLDFQDGTCEQDVSLSELLGHFQGHENYSSEETTCQNNLSSERLILQDTLLEQTSPAPFQTQVPPNDPDSILHTDDTHTHTNPVGHASDVAGGSGLRIRTRKRQNRPTSGNIITRGTAPRRIHLSLEQEPISVSSANVAEAGSFGSEVHEVQSLVAEVQASPISFKTKASEESYGDNSATNHRTTVDGETVTKVWMPQLFNQASSEHNVSQVSAAKRILQMEQVTVSVSSGKAGIGHGRRAVIGEVRLNVSFLNQFCFVYCF >itb13g18180.t1 pep chromosome:ASM357664v1:13:25197238:25204086:-1 gene:itb13g18180 transcript:itb13g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEYTESPDYDRAKELEAFDDSKTGVKGLIDAGIQKIPKIFVRPADELVEELTLHCPSDDLRPPVIDLAGVGVEDQRVKIVDQVREASREWGIFLVTNHGIPSGVLDGMIDGIRRFHEQDGEIKKTFYSREQTRRVKYSSNIDLFQSRAANWRDTLTISLSVSQHLEPEELPEICRNVSMEYITQVTRVGEIVFELLSEALGLKPSYLNELECARGRTFVCHYYPPCPQPELTLGATKHTDPAFLTILLQDQIQALQVLHDNLWINVQPVPGALIINIGDLLQILSNDEFVSPMHRVIANKEAPRTSVASFFTGAVEPPTTYGPIKELTSEGGTPALYKEFTVREYLNKFFGRAINESGLQLFRL >itb13g18180.t2 pep chromosome:ASM357664v1:13:25197241:25204006:-1 gene:itb13g18180 transcript:itb13g18180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEYTESPDYDRAKELEAFDDSKTGVKGLIDAGIQKIPKIFVRPADELVEELTLHCPSDDLRPPVIDLAGVGVEDQRVKIVDQVREASREWGIFLVTNHGIPSGVLDGMIDGIRRFHEQDGEIKKTFYSREQTRRVKYSSNIDLFQSRAANWRDTLTISLSVSQHLEPEELPEICRNVSMEYITQVTRVGEIVFELLSEALGLKPSYLNELECARGRTFVCHYYPPCPQPELTLGATKHTDPAFLTILLQDQIQALQVLHDNLWINVQPVPGALIINIGDLLQILSNDEFVSPMHRVIANKEAPRTSVASFFTGAVEPPTTYGPIKELTSEGGTPALYKEFTVREYLNKFFGRAINESGLQLFRL >itb13g18180.t3 pep chromosome:ASM357664v1:13:25197238:25201438:-1 gene:itb13g18180 transcript:itb13g18180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEYTESPDYDRAKELEAFDDSKTGVKGLIDAGIQKIPKIFVRPADELVEELTLHCPSDDLRPPVIDLAGVGVEDQRVKIVDQVREASREWGIFLVTNHGIPSGVLDGMIDGIRRFHEQDGEIKKTFYSREQTRRVKYSSNIDLFQSRAANWRDTLTISLSVSQHLEPEELPEICRNVSMEYITQVTRVGEIVFELLSEALGLKPSYLNELECARGRTFVCHYYPPCPQPELTLGATKHTDPAFLTILLQDQIQALQVLHDNLWINVQPVPGALIINIGDLLQILSNDEFVSPMHRVIANKEAPRTSVASFFTGAVEPPTTYGPIKELTSEGGTPALYKEFTVREYLNKFFGRAINESGLQLFRL >itb05g13550.t3 pep chromosome:ASM357664v1:5:20547578:20557095:-1 gene:itb05g13550 transcript:itb05g13550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MVALEMGGQSGESRGQGQNRVVLENISYGQLQAISAVPADSPALGVDERGEGSGSGSYVITPPQILPGRGVIKRFGSAGRVHVLPMHADWFSPNTVHRLERQVVPHFFSGKSTEHTPEKYMECRNQIVANYMENPEKYLSVADCQGLVDGVSIDDLTRIVRFLDHWGIINYCANPPKLELRKDGTYLYEEANGELCVPSEALKSIDSLIHFDKPKCRLKARDVYPELACNSDNESDFDSTIREQLSENRCNYCSRPVSVVYYQSQKEVEVVLCLDCFHEGKFVIGHSSLDFVKVSSMKDYGDLDGETWTDQETLLLLEGMQLYKENWIQVAEHVGSKSKAQCILHFVRLPLDGAQLENIEVPSTSSSLNFCTREEYERPHSYLNGNNAGSSLQDFDSDDKFPFAHCGNPVMALVAFLASAVGPRVAAACAHASLAALSSDDNVTVSGNTGQIDGSRPNNGVTVGTTYGKDGNSSGDLANSSQCKGTAPLPVEKVKVAAKIGLSAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMRECEQMERRRQRMAGERNMMTSQFGSTAVPRSIGLSGVGTSMINNTSVNSRPPVVSGSQQPFISGYGNNQPLHPHMQFMPQQGMYGLGPRLPLSAIHPSSASANAMFNAAANSQPALGHPMLRPASGTKPGLG >itb05g13550.t2 pep chromosome:ASM357664v1:5:20547608:20557226:-1 gene:itb05g13550 transcript:itb05g13550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MECRNQIVANYMENPEKYLSVADCQGLVDGVSIDDLTRIVRFLDHWGIINYCANPPKLELRKDGTYLYEEANGELCVPSEALKSIDSLIHFDKPKCRLKARDVYPELACNSDNESDFDSTIREQLSENRCNYCSRPVSVVYYQSQKEVEVVLCLDCFHEGKFVIGHSSLDFVKVSSMKDYGDLDGETWTDQETLLLLEGMQLYKENWIQVAEHVGSKSKAQCILHFVRLPLDGAQLENIEVPSTSSSLNFCTREEYERPHSYLNGNNAGSSLQDFDSDDKFPFAHCGNPVMALVAFLASAVGPRVAAACAHASLAALSSDDNVTVSGNTGQIDGSRPNNGVTVGTTYGKDGNSSGDLANSSQCKGTAPLPVEKVKVAAKIGLSAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMRECEQMERRRQRMAGERNMMTSQFGSTAVPRSIGLSGVGTSMINNTSVNSRPPVVSGSQQPFISGYGNNQPLHPHMQFMPQQGMYGLGPRLPLSAIHPSSASANAMFNAAANSQPALGHPMLRPASGTKPGLG >itb05g13550.t4 pep chromosome:ASM357664v1:5:20547578:20557226:-1 gene:itb05g13550 transcript:itb05g13550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MPASSEARSRWKKRKPLISRKPKPQQEDEGFEDEEEEEDLDQQQQEIDEDHLNPSSSADRTASAKESEVLAEGGERICEFPPVIKRAVIGPHSSVLNMVALEMGGQSGESRGQGQNRVVLENISYGQLQAISAVPADSPALGVDERGEGSGSGSYVITPPQILPGRGVIKRFGSAGRVHVLPMHADWFSPNTVHRLERQVVPHFFSGKSTEHTPEKYMECRNQIVANYMENPEKYLSVADCQGLVDGVSIDDLTRIVRFLDHWGIINYCANPPKLELRKDGTYLYEEANGELCVPSEALKSIDSLIHFDKPKCRLKARDVYPELACNSDNESDFDSTIREQLSENRCNYCSRPVSVVYYQSQKEVEVVLCLDCFHEGKFVIGHSSLDFVKVSSMKDYGDLDGETWTDQETLLLLEGMQLYKENWIQVAEHVGSKSKAQCILHFVRLPLDGAQLENIEVPSTSSSLNFCTREEYERPHSYLNGNNAGSSLQDFDSDDKFPFAHCGNPVMALVAFLASAVGPRVAAACAHASLAALSSDDNVTVSGNTGQIDGSRPNNGVTVGTTYGKDGNSSGDLANSSQCKVKVAAKIGLSAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMRECEQMERRRQRMAGERNMMTSQFGSTAVPRSIGLSGVGTSMINNTSVNSRPPVVSGSQQPFISGYGNNQPLHPHMQFMPQQGMYGLGPRLPLSAIHPSSASANAMFNAAANSQPALGHPMLRPASGTKPGLG >itb05g13550.t1 pep chromosome:ASM357664v1:5:20547578:20557226:-1 gene:itb05g13550 transcript:itb05g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MPASSEARSRWKKRKPLISRKPKPQQEDEGFEDEEEEEDLDQQQQEIDEDHLNPSSSADRTASAKESEVLAEGGERICEFPPVIKRAVIGPHSSVLNMVALEMGGQSGESRGQGQNRVVLENISYGQLQAISAVPADSPALGVDERGEGSGSGSYVITPPQILPGRGVIKRFGSAGRVHVLPMHADWFSPNTVHRLERQVVPHFFSGKSTEHTPEKYMECRNQIVANYMENPEKYLSVADCQGLVDGVSIDDLTRIVRFLDHWGIINYCANPPKLELRKDGTYLYEEANGELCVPSEALKSIDSLIHFDKPKCRLKARDVYPELACNSDNESDFDSTIREQLSENRCNYCSRPVSVVYYQSQKEVEVVLCLDCFHEGKFVIGHSSLDFVKVSSMKDYGDLDGETWTDQETLLLLEGMQLYKENWIQVAEHVGSKSKAQCILHFVRLPLDGAQLENIEVPSTSSSLNFCTREEYERPHSYLNGNNAGSSLQDFDSDDKFPFAHCGNPVMALVAFLASAVGPRVAAACAHASLAALSSDDNVTVSGNTGQIDGSRPNNGVTVGTTYGKDGNSSGDLANSSQCKGTAPLPVEKVKVAAKIGLSAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMRECEQMERRRQRMAGERNMMTSQFGSTAVPRSIGLSGVGTSMINNTSVNSRPPVVSGSQQPFISGYGNNQPLHPHMQFMPQQGMYGLGPRLPLSAIHPSSASANAMFNAAANSQPALGHPMLRPASGTKPGLG >itb14g06750.t1 pep chromosome:ASM357664v1:14:5997903:5998475:1 gene:itb14g06750 transcript:itb14g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTYNVTNVVGGVPSHYRGVRKRKWGKWVSEIRETGKKSRIWLGSFETAEMAAVAYDTAAFYLRGTSARLNFPQWARALPRPRTSGADDIRAAAREAALLLKGAMAEDAPPPPRAGSSSSASNVAAAAAPVNVGLSEREIQAINDSPLDSSEMWSEMAAGFRVMGDDQSMVFPTSEYEDEIDDSLWDL >itb14g06950.t1 pep chromosome:ASM357664v1:14:6290613:6291314:1 gene:itb14g06950 transcript:itb14g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPIEIIRPILLKLKVKALIRCECVCKEWRSIIQDPDFKLSYRGRRRVLAASSSRTLLDVTSISNSGLRIKTLFQVGGTASLLNRLSSLPRWWTGVWCSCNGLVLFSVRKHIFLWNPSTHCCAKVLDLPLLNNTIPADVVSGLCYVSSTGDYKAVLLFCRDDFDRNVMVASLKNKVWRKVSFPYWEYDSRIGVNFHNTLHLRATDSIIYFEAESDEFKKLPTPESLRGSSGE >itb03g05910.t2 pep chromosome:ASM357664v1:3:4165142:4168638:-1 gene:itb03g05910 transcript:itb03g05910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFELVDFGEKGMARKFVSHKKQGLEAPRNSLDLPVEVSQRLCTGGNNNILCTYHMTNGWSDKNSYTAELPIKKLINEEIGKRPNKGRNAPSVVARLMGVDTLPLDSKPAARQNKKNNETKISNTFKEEEDVREVPIDRVTLTTNSLRHKKLSSFDGYEYCDHDRWSDSSLKLEKPKPREHPQEEELQKFKKEFEAWQTARFRECSKVVELDIPQSQWLAQQNLTREKMALYAKSTRGIVKEKPTELKAYKMDTDPQERSSLQHQKNRKENYADEQNNSFFLKSINSKTEFKENQKFEKVSAPTKIVILRPGFDDFDTKGASWASSPGISEDRGSMEDFLEEVKERLRREMQGKSSKRSTIARGGGIETPYSEKSPDARQLAQRIAKQVRESVTRDLGVSLPRSESTRSYRSEIQFDGTGSPEFINRDTRRFLTERLRNVLKEETCRDIPRAVHGCSRLGLLSKEKMRTEESSGTRNAGSKFSHWDEMKNKSDMQSSSFRKDNDDDDDDDVKLEAELSPRNLIRSLSAPVSGTSFGKLLLEDRHILTGAHIRRKHEAIEKVTLNAKKRRKEKFNLKEKVSSFKYSFMLRGKLFGKKLPSLEESHGNKHNFNAPPTVTMNLYERHENSTEVPPSPASVCSSVNEEFWRPGDNFSPASTPDVHPLDESDMPRVFREISSNLSELRRQLNQLETGIPGEAVIDDQPLEDEMMEIKDNAEAYIRDLLIASGLYDGSCDKYLSRWDPLGKPISNHVFEEVEESYRQKTKGNEGSVNDQGEKLNHKLLCDLLNEALSSLIGAPLTTSRFMKKATGPLPRPPQGRKLLDRVWEMTRAQIYPAADESYYSLDSIVARDLKSTPWTGLIDEDVNVLGKDIESQITGDLIQEIIKDMQPLS >itb03g05910.t1 pep chromosome:ASM357664v1:3:4164795:4170122:-1 gene:itb03g05910 transcript:itb03g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFELVDFGEKGMARKFVSHKKQGGLEAPRNSLDLPVEVSQRLCTGGNNNILCTYHMTNGWSDKNSYTAELPIKKLINEEIGKRPNKGRNAPSVVARLMGVDTLPLDSKPAARQNKKNNETKISNTFKEEEDVREVPIDRVTLTTNSLRHKKLSSFDGYEYCDHDRWSDSSLKLEKPKPREHPQEEELQKFKKEFEAWQTARFRECSKVVELDIPQSQWLAQQNLTREKMALYAKSTRGIVKEKPTELKAYKMDTDPQERSSLQHQKNRKENYADEQNNSFFLKSINSKTEFKENQKFEKVSAPTKIVILRPGFDDFDTKGASWASSPGISEDRGSMEDFLEEVKERLRREMQGKSSKRSTIARGGGIETPYSEKSPDARQLAQRIAKQVRESVTRDLGVSLPRSESTRSYRSEIQFDGTGSPEFINRDTRRFLTERLRNVLKEETCRDIPRAVHGCSRLGLLSKEKMRTEESSGTRNAGSKFSHWDEMKNKSDMQSSSFRKDNDDDDDDDVKLEAELSPRNLIRSLSAPVSGTSFGKLLLEDRHILTGAHIRRKHEAIEKVTLNAKKRRKEKFNLKEKVSSFKYSFMLRGKLFGKKLPSLEESHGNKHNFNAPPTVTMNLYERHENSTEVPPSPASVCSSVNEEFWRPGDNFSPASTPDVHPLDESDMPRVFREISSNLSELRRQLNQLETGIPGEAVIDDQPLEDEMMEIKDNAEAYIRDLLIASGLYDGSCDKYLSRWDPLGKPISNHVFEEVEESYRQKTKGNEGSVNDQGEKLNHKLLCDLLNEALSSLIGAPLTTSRFMKKATGPLPRPPQGRKLLDRVWEMTRAQIYPAADESYYSLDSIVARDLKSTPWTGLIDEDVNVLGKDIESQITGDLIQEIIKDMQPLS >itb01g35670.t1 pep chromosome:ASM357664v1:1:37750325:37760512:1 gene:itb01g35670 transcript:itb01g35670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKTFDLYREMYGPGILIPQRLLHEKTKKKASPHKPSSKDIYLFACRPPYPHKYIFHHLLLWCRACLDVLLSWILRSWLEMDYGVPVLLAGSDIHGFHTLEDLDVKTVMDEAKTRWLRPNEIHAILCNYKYFTVHVRPVNLPKGGTVTLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQSSPATPSSALSDLSPPWGLTEGSESTIDCANYNRPPASLEPNDNMRIQNHRQTLHAINTLEWEELLEPDDPNKSRSAKASGGQQQQYETNGFRLNVDNLSADKLAVGPLENLPGQMSISNSINFNIPNDVVPQTLNAQMTSYSQRIDFDNPSKDGLQSQDSFGKWINYMIAADSPGSVDDPSLEPATLPGYQSFPSPSLDNKSSAQEHIFNITDVSPAWAFSTEETKILVIGHFLGGQSQLAISNLFCVCGDTPVPAEVIQSGVYRCLLSPQTPGLVSLYLSFDGYNPISQVITFEFRAPEMPKSTTTPSVVKHHWEELRAQMRLAHLLFATPKSLNILSSKIPQDAQKGAKIFAHKCSHITSNWTNLTKSIDNNEFSFPQAKDQLFELSLHTKLQEWLLERILEGCKIPERDEQGQSVIHLCAILGYTWAIYPYKWSGLSLDYRDKFGWTALHWAAYYGREKMVAVLLSAGAKPSMVTDPSSENPSGCTAADLASKNGYEGLGAYLAEKALVAQFNDMTLAGNASGSLQPASDSGNYGNIDEDMELKDTLAAYRTAADAAARIQSAFREHSFKLRKQQVETSTPESEARSIIAAMKIQHAFRKYDSRKKIAAAARIQYRFRTWKIRKDFLNMRRQATKIQSVFRGYQVRRQYRKIVWSVGVLEKAILRWRLKRKGFRGLQVAETTDTTENQKQDNDVVEEDFFRASRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLEHNTASLEYEEFLDPTDTYMG >itb14g15900.t1 pep chromosome:ASM357664v1:14:19228975:19232867:1 gene:itb14g15900 transcript:itb14g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIHLLIVMSFALSFGGEAAHGAKFGVGLVVDERSPMGEVVKKCIQMAVHDFYAINAHYNTKINLHVRNSASDPLLAFTYVVDLLENVKVGAIIIPEMWNEVTLFARLSHKARVPMFSFSSLLSPNGYPYFVQISQDGDMGLKGIVAFIERAKWKTVILIHDETEYGMKDLSRLIDFFQEIDIRVVNKTSISLSTEEHQIIEELNKLKKMETSIFIVHLSASLTPLLFENAKRLGLMGKGYAWIVSDKTMNFLHTFNDAIIESMQGSLGFKSYIPASGKLKNFTLKWLRQSNTEASMELNVFGIWAYDAVWALALAIEKVGKRIPQTKEECKDLLNFTSIRVSDDGGSLVLKEVKNSRFVGLAGEFKLLNGEVGSKAYEVVNVMGQGERRVGFWTLNSGFTIHPSSNEPNNCFHGDLDSIIWPGPSLATPKEWSGKKIRVGVPIQQAFKEFIDVHHVVQSNTTIVEGFSVDVFLAAIGSLEYEVPFEFIPFLIVDEKGKRHSHYSDLLHQTYLKNFDAAVGDITITSNRSTFVDFTLPYTEAGVGTVARLGSAGAWFFLKPFRTDLWIIIVVSFIVTGLVIWLIEHERNEDFQGSLAQQVGTTLWFAASTLVYAQRERIQSNLSRFIVSIWMFVVLIISSSYTASLSSLLTLQQIRLAKGDYIGYRSFQEGIIFNNMNISGSRLIRYNSPEEFHEALSKGTIGGIVDEIPYIKSFLAKYPSQYALISTAPTTNGFGFAFQKGSPLVPEISRAIARLREEGKLAELEDKWFKNHPSMLTQDGESSNIKTLNVDNFRGLFLVSGISKAIAVTMFLCLVLRNKLSVYHYILRIALGGKLAFMIKYLFCRKEFIIEGRNENSHNHI >itb10g26100.t1 pep chromosome:ASM357664v1:10:29274038:29280365:1 gene:itb10g26100 transcript:itb10g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSMGNRASQSPRKRDDVGFSPSLPGSPNADGDNYRGRHPRDRIRSLLSTNLRSMFPLFADRSHLHSSKIFFLFGVVIVIAVMISAFSIFNRLNAPYLCYKEGITLHCPRVKEPPSLWENPYSATTSWKACAERRKDLPPMNETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYASAEFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPSEINRLRCRVNYHALKFLPEIEQMADLLVSRMRNRTGSSNPFMALHLRFEKGMVGLSFCDFVGTRLEKTLMAAYRKKEWPRRFKDGSHLWPLALQKRKEGRCPLEPAEVAVLLRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELARKEELDEFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGHRQKSIKPDKGLMSKSLGDPYMGWASFKDDVVITHQTRTGLPEETFPNYDIWENPLTPCMCKA >itb07g13300.t1 pep chromosome:ASM357664v1:7:15468746:15473372:-1 gene:itb07g13300 transcript:itb07g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARSHSMRNGDYLEGMVSNNKPRSSQQKAATSARLITLLTCLQFTFAVYATFLLYYMSPAVDLRAKPDFSWIAHRWKHFMTPQHAVSKAVVRAEIAPKLNSRAEVCEREEIGFLQKKSDDAVMVKMKRELYGEVLDFQNRRFGTETLPELMAMESKWDLGGPNRPKITVILNHFKRKTLCAQLDSLLRQTLPFHHVWVVSFGSPKEQSLRRIVESYNDSRISLVSSRYDFKYYGRFQLALQTEADLVYVVDDDMIPGKKMLQILAHVAGTDKYKNSVLGSIGRILPFRQKDFSFPSYRKPRAKEAGLYLPDPAYDILVERIVRVDFLSSSWFLSAELVKTLFIETPFTFMTGEDLHLSYQLQKYRNGSSFVVPIDPNDKETWGDSEHRLAYVSETTVIFKDIVQARDDQWWRALSSGYVTQWAAMHPQRTDALFYAHSVDEVRALAPLLEKFRASVGKKAYIVVSGGCEDAAAALKWAKTVCRERRFKIMDLGIDGLSGSSSSEVAVLQGVYASMKGLIKIHNPSLVITVSDANSIIIKALKMAVEADRTNATLVLLPRLSVPRVLWMPDLRSTALPNWNRMRVTISIITQNRANSLARLLKSLSNAYYIGDEIPITFNMDSKVDNATLKLVNSFDWPHGTKTLRRRIVQGGLIRAVSESWYPSSDDEYGVLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEFFKHVHPNTPYLHQLPCSWGAVFFPKHWREFYAYMSLRFTQDPKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHIAAKDNVVGHKKDDFEVPLLGQDFAALLPGGKMPPASKLPVLNLFNQAVSLKGLKAAGAKLKQDVLACEESEVVVVNHHTGMPSHCARF >itb14g01240.t1 pep chromosome:ASM357664v1:14:965202:969056:-1 gene:itb14g01240 transcript:itb14g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLIASLLRSSASRGGSISRSAVGNSLTRPAPRASPAGFLLNRAVRYATSAASPAEKPSAKPPSGNAPTGKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEAIDEKGPLTTDHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMVESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESINSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAA >itb08g10300.t1 pep chromosome:ASM357664v1:8:9719059:9720525:-1 gene:itb08g10300 transcript:itb08g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFQPKSASSNAWRGIITARPTFQEGIRFGVRNGKSARFWSDAWIQESPLSRYLTGDDQDTVRERSVCDYWNETGGWNWTVLHQLPEKVRQCMELISLEGVGDSDEPVWKCEASGMFSLKSAYNITIGVETNLQEPAWGTLWKIKVPNKMKFFMWTALHDKVLGNAERKRRHLTENGDCDACQGKEETMIHILRDCHHAAEVWRTLIGNERWRKWRQIHPRQWLVQNIMGKNQPESHYEWPRWFVITTWWIWRWRNERVFNAKTTDTQSKIAWIREAEKEITRAFLHETSMRSSPTKEKVLKLCWKPSTTHRFTLNVDGCVKATMRKVGIGGILRNDEGVWSGGFAASAAQTDPTVTELGLSSGVLPSF >itb05g03300.t1 pep chromosome:ASM357664v1:5:2741097:2746615:1 gene:itb05g03300 transcript:itb05g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAWNVAAKEFLLGSNRQKIALSRHSVRQNLLWGVTPKQGPLKFTNKNAGVRSDLSAKVKAVVSGNVNTLLGEEASKVQQPAESVVHFYRVPLLQDSATAELLKLVQTKISHQIIGLKTEQCFNIGLNSDLPSEKHAVLKWVLGETYEPENLGTESFLHIDKTKNLDAVIVEVGPRLSFTTAWSANAVSVCQACGLTEITRMERSRRYLLFLEPGSGPLLDSQINEFAAMLHDRMTECAYPEKLTSFETSVIPEEVRFIPVMERGRKALEEINEKMGLAFDEQDLQYYTKLFRDDIKRNPTNVELFDIAQSNSEHSRHWFFTGKLVVDGQPVNRTLMQIVKSTLAANPNNSVIGFKDNSSAIKGFLVKHLRPIHPGSSCPLQTSAHDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDPSFQYPANLASPLQILIDSSNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHNHISKGEPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACVEMGQNNPIVSIHDQGAGGNCNVVKEIIHPQGAEIDIRAVVVGDHTMSVLEIWGAEYQEQDAILVKPESGSLLQAICKRERLPMAVIGTINGEGRITLVDGLAVERCKSDGLPPPPPAVDLELEKVLGDMPQKTFELHHVNNVLEPLDIAPGTTVMETLKRVLRLPSVGSKRFLTTKVDRCVTGLVAQQQTVGPLQITLSDVAVIAQTYTDFTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAANALSEAMIELGIAIDGGKDSLSMAAYASGEVVKAPGNLVISTYVTCPDITKTVTPDLKLGDDGILLYIDLAKGKKRLGGSALAQVFGQIGYECPDLEDVSYLKTVFNEVQNLLSGDLISAGHDISDGGLLVGILEMAFAGNCGVSLNLTSEDSSVFQTLYAEELGLILEVSWENLEVVLAKLHSGGVTAQVIGQVTASPMVDLKIDGVSYLNDKTSLLRDIWEDTSFELEKFQRLASCVELEKEGLKNRREPSWNLSFKPDFTNGKYMTATLKPKVAVIREEGSNGDREMSAAFYAAGFEPWDVAMSDLLNGLVSLHEFRGIVFVGGFSYADVLDSAKGWAASIRFNQPLLNQFQEFYNRPDTFSLGVCNGCQLMALLGWIPGPQVGGVLGKGGDPSQPRFTHNESGRFECRFTSVAIQESPAIMFKGMEGSTLGVWAAHGEGRAYFPDGSILNQVLDSNLAPVRYCDDDGKPTEVYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWDVDKKGPSPWLRMFQNAREWCS >itb14g21410.t1 pep chromosome:ASM357664v1:14:23422288:23425338:-1 gene:itb14g21410 transcript:itb14g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEARRSRYKVAVDSDDGRRRREENMVEIRKSKREESLLKKRRDGLQPQQLHNFTPPAPHIAKKLESLPALVAGVWSNDTTLQLESTTQFRKLLSIERNPPMEEVIQAGVVPRFVDFLARDEYPQLQFEAAWALTNIASGTSDNTKVVIDHGAVPIFVRLLSSPSDDVREQAAWALGNVAGDSPKCRDLVLNHGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPQFEQMKAALPTLAQLVHSNDEEVLTDACWALSYLSDGPTEKIQAVIEAGVCHRLVELLLHHSPSVLIPALRTVGNIVTGNDVQTQIIIDHLALPCLRNLLTQNYKKSIKKEACWTISNITAGNKDQIQAVFDAGIVEPLVYLLQNAEFDIKKEAAWAISNATSGGSPAQIKFLVSQGCIKPLCDLLACHDPRIVTVCLEGLQNILKIGEAEKDADGVNKFGQLIEEADGLDKIENLQSHDNHDIYDKAVKILEAYWLDEDEEQLPSDDAPQSGFNFGGGDLSVPSGGFKFS >itb05g28190.t1 pep chromosome:ASM357664v1:5:31296719:31299609:-1 gene:itb05g28190 transcript:itb05g28190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRWNGGGIMQRCFDWWKGGVARENRLIKFLRDMLPIVIMWELWTQYTSCKYGKGKKSVANVIFKVGKGMAECIHRRWPQWDALPPNWTVIMKKARGFGCQRITVAVCWEKPPRGYVKINCAANMRGDTCGYFVRNSGGQLCAAGVYSRGELFDLTLQELIAVMLKDCWDWCALKGIDRVVIGSNDIECLSDEVLPQGWSGAGRRIKLAGELGRMTPGDDALKGVELGLLELWSHRKSCGVSGTLRGSNKGRFCGDGMEEIPGCEVTTMGEVRGGDNAECTYLTPKKAALAYDRAAFKLRGSRVLLNFIALASSSDISKSVKVKRQQPIPKPRSLSSSSLEHGSCTSKKTNMVTFPNSEVTWNDIFAPSI >itb13g05160.t1 pep chromosome:ASM357664v1:13:6112441:6115619:1 gene:itb13g05160 transcript:itb13g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSTLVSPRHRLRSEASEQFQACHFPAMSTQRLDLPCSFIRKESSRAQAVRPVGLSVEKPGEAKTSGCGLKQNIRLPPTPTAIQTPRFEGKRESWEWEKKSRCLKRYAAEQESCDEGFVSRANKRKKGCGEEEEDEEEEKGHHGLSLGHLGSSSGGFWFQSGVGGANPSSQGPFSLSSSGGDEESVCFVPSEVRPPPLPLSHHPWLDSVVTEITDFSDKNVVETSQGPAKEASGSSTSSEGGGRGLLLRLHDNNPPPTTSDHEIGNGSRRPNPINAAASQAAVAGESEPNSGVELITLLVACVEAVGLKNFAAVNHCIGRLGELASPRGLPVSRLTAYFTEALALRVAWHWPHIFHITPPRDLDRPGLDDDHNNGTALRLLNQVTPIPKFIQFTSNEILLRVFEGKDRVHIIDFDIKQGLQWPSLFQSLASRANPPSHIRITGIGESKQELLETGDRLSGFAEQLNLAFEFHPVVDRLEDVRLWMLHVKEGESVAVNCMLQMHKVLYDTSGRALVDFLGLIRSTNPIAVVMAEQEAEHDESSLESRLVNSLKYYSAVFDSLDSSLPLDSPVRTKIEEMFAREIRNIIACEGRERLERHASFGKWRKLLMEQGNFRCVGITERELLQSQMLLKMHSMESYKVEKQGEDDGLTLSWEDQPLYTVSAWTPFDVAGSSSSYSQPS >itb10g17540.t1 pep chromosome:ASM357664v1:10:23737514:23739874:1 gene:itb10g17540 transcript:itb10g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT1G31320) UniProtKB/Swiss-Prot;Acc:Q9SHE9] MKESSWKRLSSSPPSSSSPCAACKLLRRRCGPDCVFAPYFPGDQPHKFANVHRVFGASNVSKMLQELPEEQRGDAVSSMVYEANARVRDPIYGCAGAISSLQQQLDVLRTQLAMAQAEVVHLRVRQAAAMSSSPQNSGSPQSIVMGSQPRGYFELDTDVVDQPGYFYS >itb11g12130.t1 pep chromosome:ASM357664v1:11:9016581:9019672:1 gene:itb11g12130 transcript:itb11g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNHVFFAFFTFLFLTIIPVLMAIPGFPDPDKVQTQTFLPNPSPPTTIPAIPEQSSDAACPLDLSEELFHGIKSACGSNAYPGQLHRTRCCPVLAAWLYSAYSRTALRRAATARIPKTATFDMPVLPDDSETCVDSLEKAMGSRGIELVKPNETCDMVYCYCGIRVHPLSCPQSFTVNPDGKLVGDERVKMLEKNCLGNSPNGFSSLAGCSKCLKTLHSLGEVKARNTSKSEDRTAKMLSKDCQLMGLTWLLNKNRSAYFHTVSAVLRALMMSTDEGSGPRSCTLNSDGMPLAVDSSQINNQSSSAILQISRYSCIFPLLLFYIPLVISFPHFRKS >itb07g18840.t3 pep chromosome:ASM357664v1:7:23282587:23284107:1 gene:itb07g18840 transcript:itb07g18840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFLGKTIEGIWHTGVVVYGNEYYFGGGIQHARVGTTPYGTPIRVVELGVTHLPKDVFESYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGVTIPEYILNLPNEVMSSPMGALMLPMIQQMEATLRVGAVPQAPVFKPSTTAPPAASQSGSNKRSVSEDTIKEGVDSGSGVKNEVAGAVNPASAVDMDPMGDARSKIQGEITREFAAIMATGTLRASEAAALATKRVMQRYGHTSVAQS >itb07g18840.t1 pep chromosome:ASM357664v1:7:23281194:23284107:1 gene:itb07g18840 transcript:itb07g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVFLNVYDLSQGLARQMSAAFLGKTIEGIWHTGVVVYGNEYYFGGGIQHARVGTTPYGTPIRVVELGVTHLPKDVFESYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGVTIPEYILNLPNEVMSSPMGALMLPMIQQMEATLRVGAVPQAPVFKPSTTAPPAASQSGSNKRSVSEDTIKEGVDSGSGVKNEVAGAVNPASAVDMDPMGDARSKIQGEITREFAAIMATGTLRASEAAALATKRVMQRYGHTSVAQS >itb07g18840.t2 pep chromosome:ASM357664v1:7:23281194:23284079:1 gene:itb07g18840 transcript:itb07g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVFLNVYDLSQGLARQMSAAFLGKTIEGIWHTGVVVYGNEYYFGGGIQHARVGTTPYGTPIRVVELGVTHLPKDVFESYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGVTIPEYILNLPNEVMSSPMGALMLPMIQQMEATLRVGAVPQAPVFKPSTTAPPAASQSGSNKRSVSEDTIKEGVDSGSGVKNEVAGAVNPASAVDMDPMGDARSKIQGEITREFAAIMATGTLRASEAAALATKRVMQRYGHTSVAQS >itb13g06430.t1 pep chromosome:ASM357664v1:13:7715315:7717939:1 gene:itb13g06430 transcript:itb13g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFCLFFILIFLQVNSWPLLRNHGSFDGYNASKNKLESLFGIHDFKIQNNHFPDRPMHPLDPLTIQEIETTRSILSSYQPFLGSSLRIHSLSLDEPDKSEVLRWKRGNPLPTRKAFVVVLLNGQAHLLVVDLGSGKVSSHTRNPASGYPSLSADDASTALEATFSNLVFRKAISARGVEIDDVICSAAAPGWFGPEEEGRRITKIKCYTAQNTPNYYLRPIEGLLLTVDLDSGEVINIYDTHSEIPIPKAEGTDYRRDGVEYRAPGVEPLKPISMEQPNGQSFKVEDGYIVKWASWEFHLKADQRAGIIISRATVFDPETGKRRSVMYKAFASELFVPYMDVDDGWYFRAYMDAGEFGLGATAMELVPLNDCPRNSHYIDGLFVDPDGRPVFQPNVICVFERYSGDIAWRHSGTQLNDDLIREARPKISLVARTTATVGNYDYIFDWEFQTDGSIRVTVGLSGIILAKGSPYEHINQWDSPAGSLVSENTIGVAHDHFITFHLDMDVDGPINSFVKVDLVKNYNIAGKIERKSYWSPKRKVAKSEDDAKIKLQLDKPSEYHVINPRRKSRLGNPSGYKIVPSATATSLLDLHDPPQLRAAFTNNQV >itb04g02600.t1 pep chromosome:ASM357664v1:4:1570330:1571337:-1 gene:itb04g02600 transcript:itb04g02600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVACSSITRLVFTPPHVRHKHQNFVKFNSIVNGGAFTGMRIKRLPVCCSNPSPWEPAPITYALKDDTETKFLQGTGNLFDTVISNETEEASLTNTNEVTDVNSKPVVKFQYIRWLMWLIGPALLLATGMVPTLWLPISSVFLGPNIASLLSLTGLDCIYNLGAHLFLLLADSCARRQNPPQDCSNKPPLTYCFWNMVANAVGFIIPLAVMFGSQKGVVQPHLPFIPSAVLLGPYLLLLSIQILTELLTWHWQSPVWLVTPVVYEGYRLLQLMRGLKLGAELSVPSWMLHTIRGLVCWWVLILGVQLMAIAWYAGFTARANQQKSHSLPDGNQP >itb01g18750.t1 pep chromosome:ASM357664v1:1:24605033:24605830:1 gene:itb01g18750 transcript:itb01g18750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLAWAADVVGKSGHINGADDDDPNSIPLILMDEQQAYVQELNRKAASLSHAIQDLRLRLSPPDISQRIPHLHAHSLASNAALALQLNAHSATKEQAQQREVTLEEENADYEKAISNCKNKIEEKLQEADTLHIRLKEMELFEENLRSELESALAAVANQSIKSNKTVSNSTIMVDIGTESSKSTLAEKVEDKNNELASMEELVQDLEKKWAQVQDKALKQPSPAQREKLLHKQLHSLNEQLVAKQAQVEGLANEIHIEEMNL >itb10g17890.t1 pep chromosome:ASM357664v1:10:23989741:23992943:1 gene:itb10g17890 transcript:itb10g17890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVDVGGMGLRMRMKVRKHKRNQMRRQLQEIRLAVDNVGSSYHHNTDISQSSRSQYDNVGSSGVFTQFASSGSQFAFNQYATPSNDIYHHSQSPMVYASPHTSLVIPRPEFNNNEPAYYPIIDFQAWQVSNQYGMGLEFRLAPPNDSKREDDEDDIPLTRTRVTLSCGIHS >itb14g02500.t1 pep chromosome:ASM357664v1:14:2144953:2145372:-1 gene:itb14g02500 transcript:itb14g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDAYHVLRDIICTSTRLPPIQCVVEIKTIAKQLNFNWQAMAMAAASLAYGSEKKNNEITLVFDLGGGTFDVSVIEVGDGVFEMLSTSGDTHLGGDDFDKRIGDWLSSNFKEDDGIDLLEFLLMKLNGQQELLEFQSH >itb08g04410.t1 pep chromosome:ASM357664v1:8:3496580:3499904:-1 gene:itb08g04410 transcript:itb08g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANHSREDLELLESETESETGSESRSHDSRRDDDDDDEENYEDASTPSSFQPKTPAKTPTSLDDVEAKLKALKLKYNVGSQHNPTKNAVKLYLHVGGNTPKSKWVVADKLTTYCFVKSGDGDEDEEDEECEEGWWVLKIGSKIKAKVDENLQLKAFKDQKRIDFVADGVWAAKFFDEEEYTAFFDRYKDCLFENTYGYEANDANRVKVYGKDFIGWAKPELADDSMWEDAGDSFFKSPSSAKTPLRESHDLREEFEEAAANGGAIQSLALGALDNSFLISDSGIQVVRNYNHGIQGKGVYVNFDKERFSAAHSTPRKALLMRAETNMLLMSPVTGNTPHPRGLHQLDIETGKVVSEWRFEKDGVDISMRDITNDSKGAQMDPSGSTFLGLDDNRLCRWDMRDRNGMVQNLVNDSTPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSIDGKIRLYSSNTMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDNYLVLICTLFTDKDGKTKTGFAGRMGNRISAPRLLKLTPLDSHIAGANNRFQKAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKDGAHQCYQSQVGLKSCYCYKIVLRDESIIDSRFMHDKFAVTDSPEAPLVVATPLKVSSFSISSRRLNL >itb09g24930.t1 pep chromosome:ASM357664v1:9:24822557:24825756:-1 gene:itb09g24930 transcript:itb09g24930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTKEKREKRSGKGRKRERERASTEDPRRTTSTTAEASAFAIHDAVNPPPSPPQPFRAGQSRNRDRQSHCRCQRRSEQRRCRSKSPPSPLPPPVEEHRSGKMEEKKGNSAAATAERKLVWMGWTLELNSRFMDAIINLSGIQNSDAAPSQILELMNVPELTEENVASHLQKYRKLKTTFPKRNTTFPKRNNKLAGRDKQYNIQQP >itb08g15800.t1 pep chromosome:ASM357664v1:8:18021765:18024996:1 gene:itb08g15800 transcript:itb08g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRNALSTFFTNMSWRRIRTASSTPISPIFAAEVESGTVSSEPSLLAFPSGPQTVSLNNNSSVSPNQSKFRNSFNDLDDALNLFRQMAHTCPLPSVSQFNKLLSRILKLKHYSVVVSLFQEMRINGIPINSESPTSPALPSPSQRHKLTDCSSNQQSSTDRRGSDVAHPLRSRRSQLAYRKSFNDLFRQMARTRPLPSVFHFNKLLSKIRKLKHYSLVVSLFQEMRIKGIPINVYTINILVDVYCRSSRVDCGFCLLGVVFKCGLEFNVVTFNTLIKGLFLDNKIVEGVGLFKKLVRENVCKVDQITYGSVINGLCKAGHTQNALDLLIVMQEEGPKPNTIAYNIVIDSLCKDRIVDQALGLLSEMIERGVPPDIFTYTSLIQGLCNFNRWKEVTKLMNDMVLHNVYPGVYIFNILVDALCKVGKLESAETIIQIMIQRKIYPDVVTYNTLIEGYCLQELMDEARKVFGRMVESGIQPDVMTYNTLISGYCKIKEMDKARRAFGQMVESGLQPDVRTYSTLINGYCKIKEMDKALHLFCEIPQKGLHPDVVTYTIMLQGLFLVGRCSAALKLFQEMLVAGHKPNFYTSCVLLDGLCGNGLVEEAMSVYHQLLTRNRNGSHVYGAIIIDRLCKIGRLNAARDVFNDLISKGRCLNVNTYTVMINGLCREGLTDEALELLRKMERNDCLPNTVTYNVILQGFVREKKCHEANLLLDEMVGKGISPDDDTLFFINDLLALKTEDETALKVMQKFAANHVK >itb08g15800.t2 pep chromosome:ASM357664v1:8:18021266:18022392:1 gene:itb08g15800 transcript:itb08g15800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRNALSTFFTNMSWRRIRTASSTPISPIFAAEVESGTVSSEPSLLAFPSGPQTVSLNNNSSVSPNQSKFRNSFNDLDDALNLFRQMAHTCPLPSVSQFNKLLSRILKLKHYSVVVSLFQEMRINGIPISVCTINILVEAIGFD >itb11g06070.t1 pep chromosome:ASM357664v1:11:3622963:3629342:-1 gene:itb11g06070 transcript:itb11g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEGVVVSDPWLQSQFTQVALRDLKSKFLAARNQSGKVTLEDLPAIMSQLKAFSNVFSNEEIKAILTESSSDLKEQYDFESFLRAFLNLQARAPTTSGNSKLKATTTFLKATTTLLRHTISGPEKASYVAHINSFLGTDKFLKDYLPLDPSTNALFDLAKDGVLLCKLINVVVPGTIDERTINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRIQLLADINLRKTPQLLELAEDSKDVEELLSLSPERMLLRWMNFHLKKVGYNKKQVANFSSDLKDGEAYAHLLNALAPEHGTTNTLETKDPTERANLILEHADKLGCKRYLTAKDIVEGSANLNLAFVAQLFQHRNGLSLANSKFSFATMMNDDDQTSRQERCFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKVSCGSVNWKQATKPPIKMPFRKVENCNQVIDIGKELNFSLVNVEGNDIVQGNKKLILAFLWQLMRFSMLRLLKNLRSYSQGKEIIDTDILNWANRKVSSAGRKRQMESFKDKSLSDGMFFLELLSVVEPRVVNWTLVTKGRTDEDKKLNATYIISVARKIGCSIFLLPEDIIEVNQKMILTLTASIMCWSLEKKAKESESDKDKR >itb13g11570.t1 pep chromosome:ASM357664v1:13:16247065:16249019:-1 gene:itb13g11570 transcript:itb13g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWAFVALHGAFALIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQSEETYSMDLPLPEFLEKRSHRHVGSGGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQSEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >itb06g21080.t1 pep chromosome:ASM357664v1:6:23809371:23810777:1 gene:itb06g21080 transcript:itb06g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQQLLLLLLFSFTVFSTGAAAIPISEQFREAPEFYNSPECPSIFSATDGSERDATAAYVCYDQAVHVAMTLDAAYIRGSMAAVLSILQHSSCPQNTVFHFVASAAANASLLRATIGASFPFLRFRVYRFDVSAVARLISTSIRSALDCPLNYARSYLADILPLCVEKAVYLDSDLVLVDDIAKLAATPLGSDSVLAAPEYCNANFTSYFTPTFWSNPSLSLNFANRKACYFNTGVMVIDLKRWRSGDYTTKIEEWMELQKRIRIYNLGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLKPPFSFDS >itb06g21080.t2 pep chromosome:ASM357664v1:6:23809429:23810493:1 gene:itb06g21080 transcript:itb06g21080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQQLLLLLLFSFTVFSTGAAAIPISEQFREAPEFYNSPECPSIFSATDGSERDATAAYVCYDQAVHVAMTLDAAYIRGSMAAVLSILQHSSCPQNTVFHFVASAAANASLLRATIGASFPFLRFRVYRFDVSAVARLISTSIRSALDCPLNYARSYLADILPLCVEKAVYLDSDLVLVDDIAKLAATPLGSDSVLAAPEYCNANFTSYFTPTFWSNPSLSLNFANRKACYFNTGVMVIDLKRWRSGDYTTKIEEWMELQKRIRIYNLGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLKPPFSFDS >itb01g32430.t1 pep chromosome:ASM357664v1:1:35932645:35933355:1 gene:itb01g32430 transcript:itb01g32430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVVGEAFTAFYHGWLLNQDAIFEQLESFLAAAPDDDEQCSGLVGRVLAHYQEYYAEKTKAAEEDVFVFFAAPWMSRLEQSFLWLSGFKPSMLLWVVESSMGGGALTEEQWCKVGMLRAETARVENAISKAMASAQETVAAPPMLGLLKREEELVDGEVSQLDAVMGDLKQSMGRLMGNADALRGSTASKILEILKPKQAVKFLTAVMRCQREARRWGLYKDSQREATSTLTE >itb12g06280.t1 pep chromosome:ASM357664v1:12:4658782:4659456:-1 gene:itb12g06280 transcript:itb12g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGGGEAPNKPQPAQNPEKVSNDAPAPKPAAAAAPSQPADVSKQVPAGILSSNANNYTRADGQNCGNFLTERRSTKVQAAPGGGSSLGYLFGGDGK >itb15g16950.t1 pep chromosome:ASM357664v1:15:17087546:17092133:1 gene:itb15g16950 transcript:itb15g16950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEDKETNNVGEFNEDVNLEPPAAMEFESHEEALAFYQQYASSMGFETAIQNSRRSKRLGEFIDAKFACSRYGTKRGNGKSANRPGSSQDPENGGDLRKSITMSFHLPKLYLRNFPIIPPSLFCLGKVSESVKNVVKQNEEEFMVKFEECIYRPWTNEEFEERWKNLVELRENELFLSLYEDRTKWVPTLMRKNNAAFLGGLSRAVQSESVNSFFFDKYVHKNTTLQEFVKQYEAVLQDRHVMVVLQLRGISTIPHQYVMKRWTNDAKTRYPLLAASGENKSRVQRYNDICQRAMILSEEGSLSQQTYTSALHALDEAYVSSNSIYPVGTLTSSTPGLLVEGDNQTSNTNKNKSSPTKKRKITSEEQDVMIVGGAPDNFAHVIAHNCHKVYKECCTKLREPTDNAGRTKGYVNLYTNDTGWSLPTIATRNVELDGTNSNYGNQQTMQEEPKVMRSTSQLNDNEDPRQA >itb08g08580.t1 pep chromosome:ASM357664v1:8:7548671:7555710:1 gene:itb08g08580 transcript:itb08g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSISTRAKLFYQQQQQFAPSFSHLQRDDDRKNPPEPFSENPKIFRYLQHSCSGYGVSGTRNSFVDRRFCMPPMSLGGCLVRNMSTGVGEGVDKIEYMSDIADVLSDKAVEVVASQAPAVNEVAIAAADSFVPVAALQHLIDYVHCYTGLNWWASIIAASFMIRLFTLPLNIHQLKATSRLTLLRPKLDAIREDMQNRGMSPSAVSEGQQQMKKLFNEYKVTPFTALKGIFIQGPIFVCFFLAVSNMAEKVPSFKEGGAFWFTDLTTPDSMYILPVLTALTFLITVECNAQEGLEGNPAGPTIKKVSRIFALLTVPFTASFPKAIFMYWITSNLFSLTYGMVLKKPEMKKLLGIPIIPVAPPSPASQKPGFSFFEALNKYSAAQAQQQAQSASTNDTSRPTNQRVPPSSVLSQRIRSLEKQVKEKKKGGKKR >itb01g29430.t2 pep chromosome:ASM357664v1:1:33666022:33675141:-1 gene:itb01g29430 transcript:itb01g29430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGASTPLGGAQSVPPSLFRSNSSLLGGQGGAMPSQGGFPSMMSPRTQFGNMNMLGNAPNVTSLLHQSFGNGGPNPGLSVPGGTQRGLIDNGAESDPLSSVGNGMGYNAPSSSFVSVATPTNPNTAAQVQGQQFPNTSGNQMLTDQQPQQLDPINFQHNQQLPQFSTPDNSQTQQQQQQQQFQSMRSGLASVAPVKLEPQVINDQTPQQLRNLATVKLEPQQLQNMRSLGTVKMEPQHSDPSLFIQQQQQQQQQLLQMSRQTPQAAAMAQLLHQQRLMQFQQQQQQQQLLKAMPQQRNPPQYHPQNPALRSPAKPFYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTNGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPCEYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKIVSWEFCARRHEELIPRRLLIPQVSHLGAAAQKYQAATTQNASSNISVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTNVSSGTHGQSQHSEDQPQQQQQQQQQNMGQNSNHDTSVQAAQMQQLSASNGLPTVNSSLSPAPTPSSSSNIAGLVHQNSMNSRHQNPLNSANSPYAGTAVQMPSPSNSSSMPQPQTNPSPFQSLTPSSSNNPPQTSHGGISSGSHINSASSPNISLQQPALSGDLDANDSQSSVQKIINEMLMSSQLVGGGMVGAGAIGNDMKNANGVLGTNNNSVLNGNNCLVGNGTVNANAGIGGVGFRNMGNGIGQGGMVSGIRSGLGNNPVSVNGRVGMTMARDQSMNQQQQDLGNHLLNGLGSVNGFNNLQFDWKTSP >itb01g29430.t4 pep chromosome:ASM357664v1:1:33666022:33675141:-1 gene:itb01g29430 transcript:itb01g29430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGASTPLGGAQSVPPSLFRSNSSLLGGQGGAMPSQGGFPSMMSPRTQFGNMNMLGNAPNVTSLLHQSFGNGGPNPGLSVPGGTQRGLIDNGAESDPLSSVGNGMGYNAPSSSFVSVATPTNPNTAAQVQGQQFPNTSGNQMLTDQQPQQLDPINFQHNQQLPQFSTPDNSQTQQQQQQQQFQSMRSGLASVAPVKLEPQVINDQTPQQLRNLATVKLEPQQLQNMRSLGTVKMEPQHSDPSLFIQQQQQQQQQLLQMSRQTPQAAAMAQLLHQQRLMQFQQQQQQQQLLKAMPQQRNPPQYHPQNPALRSPAKPFYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTNGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPCEYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKIVSWEFCARRHEELIPRRLLIPQVSHLGAAAQKYQAATTQNASSNISVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTNVSSGTHGQSQHSEDQPQQQQQQQQQNMGQNSNHDTSVQAAQMQQLSASNGLPTVNSSLSPAPTPSSSSNIAGLVHQNSMNSRHQNPLNSANSPYAGTAVQMPSPSNSSSMPQPQTNPSPFQSLTPSSSNNPPQTSHGGISSGSHINSASSPNISLQQPALSGDLDANDSQSSVQKIINEMLMSSQLVGGGMVGAGAIGNDMKNANGVLGTNNNSVLNGNNCLVGNGTVNANAGIGGVGFRNMGNGIGQGGMVSGIRSGLGNNPVSVNGRVGMTMARDQSMNQQQQDLGNHLLNGLGSVNGFNNLQFDWKTSP >itb01g29430.t5 pep chromosome:ASM357664v1:1:33665972:33675141:-1 gene:itb01g29430 transcript:itb01g29430.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGASTPLGGAQSVPPSLFRSNSSLLGGQGGAMPSQGGFPSMMSPRTQFGNMNMLGNAPNVTSLLHQSFGNGGPNPGLSVPGGTQRGLIDNGAESDPLSSVGNGMGYNAPSSSFVSVATPTNPNTAAQVQGQQFPNTSGNQMLTDQQPQQLDPINFQHNQQLPQFSTPDNSQTQQQQQQQQFQSMRSGLASVAPVKLEPQVINDQTPQQLRNLATVKLEPQQLQNMRSLGTVKMEPQHSDPSLFIQQQQQQQQQLLQMSRQTPQAAAMAQLLHQQRLMQFQQQQQQQQLLKAMPQQRNPPQYHPQNPALRSPAKPFYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTNGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPCEYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKIVSWEFCARRHEELIPRRLLIPQVSHLGAAAQKYQAATTQNASSNISVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTNVSSGTHGQSQHSEDQPQQQQQQQQQNMGQNSNHDTSVQAAQMQQLSASNGLPTVNSSLSPAPTPSSSSNIAGLVHQNSMNSRHQNPLNSANSPYAGTAVQMPSPSNSSSMPQPQTNPSPFQSLTPSSSNNPPQTSHGGISSGSHINSASSPNISLQQPALSGDLDANDSQSSVQKIINEMLMSSQLVGGGMVGAGAIGNDMKNANGVLGTNNNSVLNGNNCLVGNGTVNANAGIGGVGFRNMGNGIGQGGMVSGIRSGLGNNPVSVNGRVGMTMARDQSMNQQQQDLGNHLLNGLGSVNGFNNLQFDWKTSP >itb01g29430.t3 pep chromosome:ASM357664v1:1:33665995:33675142:-1 gene:itb01g29430 transcript:itb01g29430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGASTPLGGAQSVPPSLFRSNSSLLGGQGGAMPSQGGFPSMMSPRTQFGNMNMLGNAPNVTSLLHQSFGNGGPNPGLSVPGGTQRGLIDNGAESDPLSSVGNGMGYNAPSSSFVSVATPTNPNTAAQVQGQQFPNTSGNQMLTDQQPQQLDPINFQHNQQLPQFSTPDNSQTQQQQQQQQFQSMRSGLASVAPVKLEPQVINDQTPQQLRNLATVKLEPQQLQNMRSLGTVKMEPQHSDPSLFIQQQQQQQQQLLQMSRQTPQAAAMAQLLHQQRLMQFQQQQQQQQLLKAMPQQRNPPQYHPQNPALRSPAKPFYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTNGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPCEYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKIVSWEFCARRHEELIPRRLLIPQVSHLGAAAQKYQAATTQNASSNISVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTNVSSGTHGQSQHSEDQPQQQQQQQQQNMGQNSNHDTSVQAAQMQQLSASNGLPTVNSSLSPAPTPSSSSNIAGLVHQNSMNSRHQNPLNSANSPYAGTAVQMPSPSNSSSMPQPQTNPSPFQSLTPSSSNNPPQTSHGGISSGSHINSASSPNISLQQPALSGDLDANDSQSSVQKIINEMLMSSQLVGGGMVGAGAIGNDMKNANGVLGTNNNSVLNGNNCLVGNGTVNANAGIGGVGFRNMGNGIGQGGMVSGIRSGLGNNPVSVNGRVGMTMARDQSMNQQQQDLGNHLLNGLGSVNGFNNLQFDWKTSP >itb01g29430.t1 pep chromosome:ASM357664v1:1:33665972:33675142:-1 gene:itb01g29430 transcript:itb01g29430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGASTPLGGAQSVPPSLFRSNSSLLGGQGGAMPSQGGFPSMMSPRTQFGNMNMLGNAPNVTSLLHQSFGNGGPNPGLSVPGGTQRGLIDNGAESDPLSSVGNGMGYNAPSSSFVSVATPTNPNTAAQVQGQQFPNTSGNQMLTDQQPQQLDPINFQHNQQLPQFSTPDNSQTQQQQQQQQFQSMRSGLASVAPVKLEPQVINDQTPQQLRNLATVKLEPQQLQNMRSLGTVKMEPQHSDPSLFIQQQQQQQQQLLQMSRQTPQAAAMAQLLHQQRLMQFQQQQQQQQLLKAMPQQRNPPQYHPQNPALRSPAKPFYEPGMCARRLTNYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTNGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPCEYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKIVSWEFCARRHEELIPRRLLIPQVSHLGAAAQKYQAATTQNASSNISVPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTNVSSGTHGQSQHSEDQPQQQQQQQQQNMGQNSNHDTSVQAAQMQQLSASNGLPTVNSSLSPAPTPSSSSNIAGLVHQNSMNSRHQNPLNSANSPYAGTAVQMPSPSNSSSMPQPQTNPSPFQSLTPSSSNNPPQTSHGGISSGSHINSASSPNISLQQPALSGDLDANDSQSSVQKIINEMLMSSQLVGGGMVGAGAIGNDMKNANGVLGTNNNSVLNGNNCLVGNGTVNANAGIGGVGFRNMGNGIGQGGMVSGIRSGLGNNPVSVNGRVGMTMARDQSMNQQQQDLGNHLLNGLGSVNGFNNLQFDWKTSP >itb05g14520.t1 pep chromosome:ASM357664v1:5:21627163:21629937:1 gene:itb05g14520 transcript:itb05g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWTGRPAPCPQPLSVFHSLSRNLSFSLSLRPPRLETPTATGHRPSALTVSLALCLSHRPSPPPAHCHSASTVHLLQPPGIEDTRYFSQHRQPPQPLTVSLALSPPPSTRT >itb06g23410.t1 pep chromosome:ASM357664v1:6:25384561:25385067:1 gene:itb06g23410 transcript:itb06g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVTKLCAYTSRLVAILFYTWLPQALRITILALLVAVNRCGRAYARYQYRAMVKREGRTFVYRRKSSFSRPEAAPECSICLSEFVEGEVGRELERCRHVFHASCVEKWLLHGEGHGSCPLCRSPVVVPGADVGESWKDERGERLCFEEDLARLLLAGLSKICTATC >itb13g06150.t1 pep chromosome:ASM357664v1:13:7307756:7312229:-1 gene:itb13g06150 transcript:itb13g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12060) UniProtKB/Swiss-Prot;Acc:Q8GW78] MGRFINDVEAAHIRSPSFLRSASIQKFSAGSEESNEMAAHCVSTVQAATAGINQFRHRNSVQLAAEELKFPCLGSPTGISLQPFKFRPFFSKHSPIKATISLSLPTSNPDAVVSLENAPKWSSKSIRSFAMAELEARKLKYLTTGTEALVMGILNEGTNFASKILWSMGITLFKVREETIRICGEANFFNFSPEHPPLTEDAQKALDWALNEKVKEGDGGEITTIHLLLGVWSQEGSPGYKVLATLGFNDEKAQELKALISKPGFKED >itb03g30340.t1 pep chromosome:ASM357664v1:3:32079736:32083370:-1 gene:itb03g30340 transcript:itb03g30340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYFMEGENSLLTAIKASLTRPSWVIMFLLFTVIALFGLQISKDKIIIPPLGFISGLQERRAGLEGSHDKTTCSDFFTPLNPPRKVVRSIADFGGVGDGKTSNTAAFRRAVEFMEAFRESGGAQLNVSPGRWLTGSFNLTSNFTLFLQHGAVILGSQEVDEWPIIKPLPSYGRGRERLGGRHISLIHGDGLSNVVITGENGTIDGQGKMWWDLWWNRTLKYTRGHLVELVNSHNILISNLTFLNSPFWTVHPIYCSNVVIRDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGMKTARPSSNIIVQRVSGTTPTCSGVGIGSEMSGGVSNVVIKDVRVRDSAAGVRIKTDIGRGGYVVNVTIHNMTMERVKVPLRFSRGANDHPDEGWDPKALPVVKGISISNIVSLETRRAPVLEGIKEAPFEDICMKNVSILGLASSVRWNCEFISGSSDDIFPAPCSQLKRNDSTTASSCFHS >itb03g20600.t1 pep chromosome:ASM357664v1:3:18496991:18498606:1 gene:itb03g20600 transcript:itb03g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDHLIPIDFKNVVQVPDTHTWLINNSSSSDESVPLIDLEDPQALEKIKMACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSLYGYGITHISPNFNTLMWMEAFTLPGSPLELARRVWPQTLSDVEWFEPKSMEAFLHFNSYPNCPDPARALGMVPHTDAPLITLLYQSNTNRALQVYGPNLKWVDVEPISNAIIVNVGDMLHIYSNGQYKNVLHRAIVSEAHHRISIGYFFGPKSDVSISSPLKLIKGGDFPMYRPITWKEYRKIKVKHFNKALEVVRFNSVVVENANALTSGDEAPLDGVDGGKVEALI >itb05g25910.t1 pep chromosome:ASM357664v1:5:30055727:30057154:-1 gene:itb05g25910 transcript:itb05g25910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHRTIQTAAGAGCPRLTRFTLHAPKSVEVEFDNGNLYNLSAEYLRIYSPAADSKIRSVGGEKVISGRRYVGIMSAEPIGNYGVRLLFDDLHLTGIFTWDYFYHLGSNKFSLMRNYVETLNKHGLSRNPPRRK >itb12g07040.t1 pep chromosome:ASM357664v1:12:5263942:5266949:-1 gene:itb12g07040 transcript:itb12g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFAPLLLCFLSFALAGLVASRQEEVEFYLINKGDFSVKLTNYGARVASVILPDKNGKLIDIVLGYDTTKEYQHDGSNFGAIVGRVANRIGGAQFTLNGILYKLDANDGNNTLHGGSKGFSNVVWKVKTHKESYITFTYHSPDGDQGFPGDVVAQVTYTLKQNPYKLIVKMNAKALNKPTPVNLAQHNYWNLGGHDSGDILSETLQIFASHITPVDATLIPTGQILAIKNTAFDFLKPVQVGTQMAKLPAGTRGFDNNYVLDDEGAGKRKRVAKVYDERSGIGMEVGATAPGVQLYTANHLQGVKGKGGAVYESHGALCLETQGFPDAVNHPNFPSTIVTPDQPYRHTLHVTLRVQKFKGA >itb03g18170.t1 pep chromosome:ASM357664v1:3:16523315:16524857:1 gene:itb03g18170 transcript:itb03g18170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPWRSERSQRRRKTPSFSSSLLEAIYHSIDESVEEKETSSFAYRRNNNGGGVGVEVEEEITSLRRAIMLEKWMESYTRRQFFNSDSTSSTDSSLFSSSETESSSAASRSTSTPKSSIFAAKSANFDREKTVAAPEGNQKREGRFMRTKSRALKIYGDLKKVKQPISPGGKIANFLNSIFNSSRSNNLKKHPAEAIEDWSSVRKSRSVKDSTMASRSCLNKTPSRAKSKRSVRFCPVSIILDEDCQPCGHKNTYEQNQKNPIPYITTHYINNSFRDSREIYDENSNSSDEDEDVRSCTSSDLFELENVGSFSRVVDATAANELPVYGTTSLKLNQAIANGLRS >itb06g10550.t1 pep chromosome:ASM357664v1:6:14999061:14999633:-1 gene:itb06g10550 transcript:itb06g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDHSISTNLCLSLHPSPGISRSQPCKPPANSALPNLQQFTFTLLSPPNLSNPPSYRNLFPIPAKFEDFLEDKNHHWIKKRLSVSDVNDSARLLLGKEYVRKYILALMDEERGAACQSTGGLRVKVWDLDTVSEHELRLKQWKTGSFLLTNNWIMEFVKRRNLQVGDAIGLMWDSEKLGFFFHKFNAQS >itb04g26290.t1 pep chromosome:ASM357664v1:4:30636563:30638230:1 gene:itb04g26290 transcript:itb04g26290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQWLSKLAHEHQTGKKQDKEVNANGIVFLNKNTAEQTRLKCKSCSLFKFLRRKDIAKAYFYNTLHLKRLGSSRRRQHFVRSMNMKRENLSRGLSPSHRSDSGAHLGSTKVLPISSDHAAQSSSENGNRQCPKPEKKDKTKTISKMKELLRWAAATKSEKGEKFLGRKVFRFRDKTTLKPVPDDDQLSNDSPKISFRWDVESCSTISSTYSEISSIASSTRNDQSGKRNAISLSSTPASREQQQGCRAGNWITTDSEFVVLEL >itb06g23340.t1 pep chromosome:ASM357664v1:6:25334959:25336297:1 gene:itb06g23340 transcript:itb06g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRQIRFGILGCASVARKLVRAISLTPNATISAVGSRSAEKAAAFAAENECPATAKTYGSYDAVLDDPDVDAVYIPLPTSLHVRWAVLAAQKKKHVLVEKPAALNVAELDLILAACEASGVQYMDATVWMHHPRTAKMKEFLSDPLRFGQLKSVHSIVSYLFSADSLKNDIRVKPDLDGLGALGDAGWYCIRAILWATDYELPESVTAVRDPEVNEAGVILSCGASMKWQDGRVATFYSSFMTNMATDICVYGTAGKLRVHDFILTFPENSASFNTVQGSRFTKHVVNTELPQDPLMVREFCNLAGSGAPEKKWAVISRKTQLVVDAVKASIEKGFETIEVVY >itb06g03590.t1 pep chromosome:ASM357664v1:6:5984535:5984783:-1 gene:itb06g03590 transcript:itb06g03590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPRTSAAEGPKRANPAAEVLHQRRGLPYSPASMALGGVAIIGAVWYFTIYTKKKREASAGDVARVSAGAASPEETHPRK >itb02g15280.t1 pep chromosome:ASM357664v1:2:11119922:11121080:1 gene:itb02g15280 transcript:itb02g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMLLNCMEFVAASVPARRLSVLPPTISTPTPPFIISPSSIISTNYNANSHRHCLKRNNKYKLAPRIHPVTDVISTAAVTSEAAAAAPVEITWQIIVGAIAGVTPFIVAGIEFGKRIVAQRRCTTCGGSSLILREDDYFRCSTCGGFLPWQSWKRFFTG >itb05g00790.t1 pep chromosome:ASM357664v1:5:673578:676161:-1 gene:itb05g00790 transcript:itb05g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLLSALSMAFTPSHTTQFTYNGFSPSPDFTLDGLAHITPAGLIQLTNDTTFMTAHAFYSRPINFKNSSSFSTSFAFAMVPLFATKGGHGMAFVISPANNLPGGAAGQFMGLMNETTNGNSTNHIFAVEFDVIQTRKFHDLSDDHVGIDVNGMVSAAARVAGYSDENGAFRNLTLLSGDPILAWIDYGGPDKKKINVTLAPFGVPKPRTPLLTLPYDNVSSVFDIGEMFVGFSAATGPELTSNFYVLGWSFSSTGRAPELDVSQLPKVPRLGRKKKPAFLTSGLPIICVVLVVILGLAVVKVVQRKRKFEDVLEDWEHVFGSHRFKYKDLYLATKGFREREILGIGGLGIVYRGVLPETQLQVAIKRVYYESREGVQEFVSAAVTAGRLRHRNLVPFLGYCWQKSELFLVYEYMPNGSLDAFLYQRPNNTLNWAQRFAVIKGIAAALLYLHEEWDQATNIPREINAGNIFLDGEFNGKIGGFSLARLYDGNRRRVVGTLGYLAPELARSSKATKSSEVYAFGALVLEMACGKMALEARNMADEDFILVDWVFSRWIKGDILQAMDPNLGNGYEKSEVEMVLKLGLLCTHSESRARPSMRRVVQYLGGGLELPELSSTNFRIMA >itb09g00490.t2 pep chromosome:ASM357664v1:9:381983:383301:1 gene:itb09g00490 transcript:itb09g00490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASCVVVVGLVWMLVASTVVGNHGKKVTYDARSLIIGGTREVFFSGSIHYPRMPPEMWPDILKKAREGGNNDVVKFIKLIGEMGMYVTLRVGPYLEAEWTLGGFPYWLKEVPDIYFRTYNEPFMKHMKKFVEMTVDMMKKEKLFAPQGGPIILAQIENEYSNVAGSYRENGIKYIHWAAEMAVGLYNEVPWIMCKQKEAPQEVINTCNGRHCGDTFSGTNGPNKPNLLLLCLHSLLR >itb09g00490.t1 pep chromosome:ASM357664v1:9:381983:384959:1 gene:itb09g00490 transcript:itb09g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASCVVVVGLVWMLVASTVVGNHGKKVTYDARSLIIGGTREVFFSGSIHYPRMPPEMWPDILKKAREGGNNDVVKFIKLIGEMGMYVTLRVGPYLEAEWTLGGFPYWLKEVPDIYFRTYNEPFMKHMKKFVEMTVDMMKKEKLFAPQGGPIILAQIENEYSNVAGSYRENGIKYIHWAAEMAVGLYNEVPWIMCKQKEAPQEVINTCNGRHCGDTFSGTNGPNKPVMWTENWTAQYRTFGDPPSQRSAEDLAFSITRFFAAKNGTYVNYYMYYGGTNFGRTSSSFVSTRYYDEGPLDEFGLYRDPKWSHLRDNHRALKLCKKPLFWGAQTIQKITTDLEIITFENPALKLCAAFLTNNHTLNPNTINFRGTKYYLPEKSISILPDCKTLVFNTQNVVSQHNARNYVPSKLSNNFKWEMFQESIPTIDNLPMKSQLPLELYSLTKDTTDYAWYSTRIFFTEHDLPTKSNTLPVVYVHSMGHALVLFVNGEYLGSAHGDNIKKAFDLTKPADLKPGNNDISFLGVITGYPNSGAYMERRFTGPKYVRVQGLLAGTLDITRNSWAQSVGLPGEKLKLYTEEGSHKVKWTAVSNVNPPLTWYKTYFDAPEGNNPVAIRMSNMAKGMIWINGRSIGRYWVSFLSPLGQPSQGEYHIPRPFLKPKKNLMVVFEETGGDPSNIELVLVNRDTICGQVSDYYSASVKSWDRKGEELKQVVDDVRPSVQLKCPDDKVMKKIEFVSYGEFPEGVCGNYFAGNCTFPNANKIVEKACLGKSQCKVPVEKSLFEEEGIKHPCPPNDYYTLTVQAKCGH >itb13g14270.t1 pep chromosome:ASM357664v1:13:20818316:20821645:-1 gene:itb13g14270 transcript:itb13g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKASVRNMVSSIADGASKQKSVSSPEEENSVKHNKLFGREKPVHNILGGGKSADVMLWRNKTISGSVLGSATIIWLLFEWLNYHLLTLICFAIVMLMLGQFLWVNAIEKFSSTPIKLPRIVIPDHVFVNIAKSIGGEINRGLGFLQDISCSGNMKQCLLVVASLWATAVIGSWCNFITVAYIGFVAAHTLPVLYERYDETIDSVMDMIFDKLRHNFRTLDAGDLSKIASNITEKKAE >itb15g12240.t1 pep chromosome:ASM357664v1:15:10182656:10190483:1 gene:itb15g12240 transcript:itb15g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSDDYGAFIDKFTLQPNSSSPQLPLHGLTFAVKDIFDVNGYVTGFGNPDWARTHSAATSTAPVILDLLKAGATGVGKTFMDEMAYSINGENIHYGTPRNPCAADRVPGGSSSGSAVAVGAMLVDFSLGTDTGGSVRVPASYCGIFGIRPSHGVVSTTGVIPMAQSFDTVGWFARDSSILKQVGKVLLPSQDLLPVRPNQIIIAEDCFKLQDFWGSQFTKALINSIEKLYGRGTIKHAHLGEIVKENVPSLKPFMSQGSEGQEYIPSLLALSNAMRLLQRYEFKENHGEWISTIKPNLGPGIAERAWEALKTTDEKIDVCLSVKAELKEALVALLGDAGILAIPTVPGPPPKLQTDPTSLEAFRVKAFSLLSIAGVSGVCQVNIPLGVHGNLPVGISLLAKHGSDLFLLDIVDTIYGTLKEQVELLKE >itb04g14270.t1 pep chromosome:ASM357664v1:4:14724023:14725820:1 gene:itb04g14270 transcript:itb04g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQECSDEVLKMVDEETDFAKTQGVSKGCFGRDQLKYLVMEAIRGGCQGHRAEMYAARVAKCLAALEGRDKANVDDLKKACRSSNEF >itb06g12320.t1 pep chromosome:ASM357664v1:6:16949861:16950622:1 gene:itb06g12320 transcript:itb06g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTPPATPGRAAGSAKHHGAALLASVNSLWHRVTKKLGNGGGARSSGGCSPKSPLAKPKQLLATISLLRRKKAANDGVLDGDDFGDGGLWQRSILMGDKCQPPDFSGVIYYDSNGNRMSEMPMKSPRASPLPAYLHCPSPKP >itb06g10090.t1 pep chromosome:ASM357664v1:6:14336461:14338739:-1 gene:itb06g10090 transcript:itb06g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIRNSKLAKKLNFIDTLERLGISYHFNEEIDEMLEKIYNVIPSFELGDDLCISALIFRLLRQHGYNISSGIFETFQENGKFKNTLSGNIKGLLNLYEASHVQGHNDSTLNDAFTFSRTHLEAVSPHLNSTFGKQVKRALEQPLHKGIPRVEISCFIKVYQEDESKNDVLLRFAKLDFNLLQMHHRQEVSELMRWDISQMNHLPDYMKILYKALIDLCEEYDKELSEEGRSFALYYTKERIKELVRAYNIEQKWSIGGCMPPVEDYLKNAQASSTLYLLITASFLGMKSVTRETFEWLSQNPRIIEANALLGRVVNDIASYEVWIDYYMNDYGVSVEEAMDKFQEMAENAWKDTNDGILQQTPSAATTEILMRIINHARMDEVTYAQRLDGYTYPEKDAKRYIIALLIDSFEI >itb10g14370.t1 pep chromosome:ASM357664v1:10:20716846:20718163:-1 gene:itb10g14370 transcript:itb10g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRKPKIPSLSFFILLLFASSLLIFFFPLIESTDVEDPVPTPWPLQFHSIVFINTSEGKLQKVDLWYDWPNGRNFNIIQFQLGKLYYDLEWDNGTSFVYTLDQDQECEVLLFPVGILRPNWLDGANYLGQKYMDGFLCNVWEKVDFIWYYEDVATKRPVYWAFYTGMITHVMTFEVGKVLEDPAWQAPVYCFKENTTLQYMAASPNSDGRFLTGAMDAPKFL >itb09g03110.t1 pep chromosome:ASM357664v1:9:1751792:1755086:-1 gene:itb09g03110 transcript:itb09g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSFFRRWLFPRGFMFLVSLCMVLQSSRGDDFQLLMQFKSSLKDESSRVFDSWTTRNRLCNFTGIVCDSNRMVKEISLPQEELSGIVSFDSVCGLESLEKLDLGANFLYGRVTDHLRNCSRLEYLDLSNNSFSGEFPDLSVLNQLKFLNLNATSLSGRFPWKSLENMTSLGFLSLGDNVFEKSPFPLEVLKLEKLYWLYLTNASIEGEIPEGIGNLTLLENLQISQNSYLSGKIPDGITKLTRLWELELHENQLTGKIPVGFGNLTNLVYFDASANYIEGDISELKSLTQLQSFQMYGNNLSGEIPVEFGEFKSLVELSLYRNRFTGTVPQKIGSWAPFQFIDISENFFTGPIPPDMCKQGQLTDLLVLQNKFTGGIPGNYAGCSTLVRLRANNNSLSGTVPVGIWSLPSLEIIDLRLNQFEGPIASGIGEAKSLAQVFLANNRFNGPLPERLSEASSLVSINLSSNNFSGGIPENIGELKKLNSLILDHNLFSGNIPVSIGSCVSLNEINLAGNSLSGEIPASIGSLTSLNSLNLSDNQLSGEIPVALSSLRLSLLDFSNNRLTGHIPSSLSMVAFNGSFSGNPGLCSEDTRSGVRPCSSHSSRSKGHRTVIICLLVGIVVLIILGACFVFTKSKHKNQQIPARKSDSWNIKQFHILSFSEDQVVKALKQENLIGKGGSGNVYKIVLDNGKQLAVKHIWKSENPGADHRRSLSSSPMLSVRKDRRSKEYDAEVAALSSIRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDRLHSSNKTKMDWLVRYEIALGAARGLEYLHHGCDHAMIHRDVKSCNILLDEKMKPKIADFGLAKILEASIASIDTSCVIAGTHGYIAPEYAYTSKVNEKSDVYSFGVVLMELVTGKKPVEPEFGENNNIAQWVCSRLMGNKESMMDMVDSSFSVGFKEDAVKVLKIAMHCTARLPSLRPSMRMVVQMLEDADPCKLTGIVVNSEDDNEKF >itb12g20540.t1 pep chromosome:ASM357664v1:12:23023158:23025773:-1 gene:itb12g20540 transcript:itb12g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFDNLVLLAFLSLVVVSSWLPISQCAKKPVGVARKEDIPYIKCQVCEKLAYQLYHQVQSKQAEISPKKISEYQIIEISENLCNLKKQEADWILKIDIVEQGDKLELVDQDAEGQCNSECKTIEKACQEVMGFSDTDVAEYLYTHKPKLDSLANFLCKDITNVCSRKPPPVPKDRTPGEPFVPKSSKEAEMEKLLRSMEGMPGAPGMKMYSREDLMNQNFGGDEDADEDDEDEADFPKNLGKVLKGKETTETDWKQRITKGIKDATKTVKEHSTRLSYRLRKWLKSKKEQLQKPKSSKAEL >itb15g14530.t1 pep chromosome:ASM357664v1:15:12862357:12865733:1 gene:itb15g14530 transcript:itb15g14530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >itb13g22770.t1 pep chromosome:ASM357664v1:13:29001503:29005777:-1 gene:itb13g22770 transcript:itb13g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTESSASPTPCEGTGEAAEENEEVIIEIEPKLLFCTSISLSAEEMQCLNLEENPVDKDPNREINEEINSIVEDVNDHNKATLATIGEDDELEFCEEGHTDRMLSEASGKQPETERSATSKFGFFHTVLTNADGKTHAQLYGFFRKLKKGPAGMNLPGMSLHTFHPTIPSLNSIRIFSKKTRKPMLPSQMDHVDLVPLPSQIDVNLVPQSSQIDVDLDDSQLDVNLVPHFLGTSWKNYSLSELQKATDNFNSEYIIGKGGYSEVYKGNLDDGGLVAIKKLTRGSPEEMTADYLSELGILVHVSHPNIASVIGYGVEGGMFLVLPLSPHGSLATLLNGEQNHKLDWSSRYKIALGTAAGLAYLHEECQRRIIHRDIKAANVLLSQDFEAQISDFGLAKWLPDKWSHLTVSQFEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLEIITGRPALDEANNSVVMWAKPLLLTKSYNELADPVLGGDYDLEQMNRMAMVASLCLQQSATDRPSMSKVERMLRGEETIRVDSKKFQNRPSTLRAFPLELLDDDSLFDSPVGGDDDSPKSSSDTPKSSNATTPKSAIDPNDEMIDPNEIIHEVTWEQ >itb07g02920.t2 pep chromosome:ASM357664v1:7:1951142:1955076:-1 gene:itb07g02920 transcript:itb07g02920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWLGISLLICGLLSNVVGAFVGINIGTDVSNLPSAKDVVELLKARQIKHVRLFDADAHMLSALSNTGIEVIIGVTNNEVLGIGQSSSQAAAWVNKNVAAYLPSTNITAIAVGSEVLTSLPNAAPVLVPAMNYLHKALVSANLNNQVKVSTPQSTDLIAKIFPPSTATFNFSSNSTVFQVLQFLQNTNSYYMLNAYPYYEYVKSDGIFPIEYALFQRLSPVKQIVDPNTLFHYESMLDALVDATYNAIAAFNFSNIPVVVTETGWPWFGSTSEPDATVENAGIYNNNLIRRVSNDTGPPSELKMPIHAYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPINLGVSSPGQISNNSSSAGVFCIAKPDANENKLQRGIDWACGPQGNANCSAIQPGQPCYSPDTLQNHASYAYNDYYQRVQSVGGTCDFDGTATTTTVDPSYGSCKFTGSSNSSIGDVPTPAFGPTSPTGKGSMARAPDLGRVLAVVLLSVVFLEAMLKFNLWCQECFSKL >itb07g02920.t4 pep chromosome:ASM357664v1:7:1951112:1955385:-1 gene:itb07g02920 transcript:itb07g02920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWLGISLLICGLLSNVVGAFVGINIGTDVSNLPSAKDVVELLKARQIKHVRLFDADAHMLSALSNTGIEVIIGVTNNEVLGIGQSSSQAAAWVNKNVAAYLPSTNITAIAVGSEVLTSLPNAAPVLVPAMNYLHKALVSANLNNQVKVSTPQSTDLIAKIFPPSTATFNFSSNSTVFQVLQFLQNTNSYYMLNAYPYYEYVKSDGIFPIEYALFQRLSPVKQIVDPNTLFHYESMLDALVDATYNAIAAFNFSNIPVVVTETGWPWFGSTSEPDATVENAGIYNNNLIRRVSNDTGPPSELKMPIHAYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPINLGVSSPGQISNNSSSAGVFCIAKPDANENKLQRGIDWACGPQGNANCSAIQPGQPCYSPDTLQNHASYAYNDYYQRVQSVGGTCDFDGTATTTTVDPSYGSCKFTGSSNSSIGDVPTPAFGPTSPTGKGSMARAPDLGRVLAVVLLSVVFLEAMLKFNLWCQECFSKL >itb07g02920.t1 pep chromosome:ASM357664v1:7:1951112:1955497:-1 gene:itb07g02920 transcript:itb07g02920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWLGISLLICGLLSNVVGAFVGINIGTDVSNLPSAKDVVELLKARQIKHVRLFDADAHMLSALSNTGIEVIIGVTNNEVLGIGQSSSQAAAWVNKNVAAYLPSTNITAIAVGSEVLTSLPNAAPVLVPAMNYLHKALVSANLNNQVKVSTPQSTDLIAKIFPPSTATFNFSSNSTVFQVLQFLQNTNSYYMLNAYPYYEYVKSDGIFPIEYALFQRLSPVKQIVDPNTLFHYESMLDALVDATYNAIAAFNFSNIPVVVTETGWPWFGSTSEPDATVENAGIYNNNLIRRVSNDTGPPSELKMPIHAYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPINLGVSSPGQISNNSSSAGVFCIAKPDANENKLQRGIDWACGPQGNANCSAIQPGQPCYSPDTLQNHASYAYNDYYQRVQSVGGTCDFDGTATTTTVDPSYGSCKFTGSSNSSIGDVPTPAFGPTSPTGKGSMARAPDLGRVLAVVLLSVVFLEAMLKFNLWCQECFSKL >itb07g02920.t3 pep chromosome:ASM357664v1:7:1951112:1955285:-1 gene:itb07g02920 transcript:itb07g02920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWLGISLLICGLLSNVVGAFVGINIGTDVSNLPSAKDVVELLKARQIKHVRLFDADAHMLSALSNTGIEVIIGVTNNEVLGIGQSSSQAAAWVNKNVAAYLPSTNITAIAVGSEVLTSLPNAAPVLVPAMNYLHKALVSANLNNQVKVSTPQSTDLIAKIFPPSTATFNFSSNSTVFQVLQFLQNTNSYYMLNAYPYYEYVKSDGIFPIEYALFQRLSPVKQIVDPNTLFHYESMLDALVDATYNAIAAFNFSNIPVVVTETGWPWFGSTSEPDATVENAGIYNNNLIRRVSNDTGPPSELKMPIHAYIYELFNEDKRPGPVSERSWGIFFPNGSAVYPINLGVSSPGQISNNSSSAGVFCIAKPDANENKLQRGIDWACGPQGNANCSAIQPGQPCYSPDTLQNHASYAYNDYYQRVQSVGGTCDFDGTATTTTVDPSYGSCKFTGSSNSSIGDVPTPAFGPTSPTGKGSMARAPDLGRVLAVVLLSVVFLEAMLKFNLWCQECFSKL >itb12g08310.t1 pep chromosome:ASM357664v1:12:6498336:6501365:-1 gene:itb12g08310 transcript:itb12g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKESLSVFFNNRWLVFVAALWIETCAGIGYLFGSISPIIKKALNYNQKEVALLGVAKDLGDSVGFLAGTLSDVLPLWATLLVGAIQNFLGYGWVWLILTGRAPALPLWAMCLLIFLGTNGETYLNTATLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYAVVNSPDHASLIFMVAVGPAIVVTSLMFFIRQVGANKQINASECSSSFSFIYTICLILAAYLMGVMLLQDLVHVSQTMIKVFTVILFILIIIPIVVPVYLSLRSSQESAEEPLLSEPQAKDTGKSKEDNDNILFSEIEDEKPKDVDLLPASERQKRITHLQAKLAHAAAEGAVRIKRRRGPRRGEDFTLTQALIKADFWLIFFSLLFGSGSGLTVIDNLGQMSESLGYDNEQVFVSMISIWNFLGRVGGGYFSEIVVREYAYPRHVAMGVAQVTMAFGHFFFAMGWPGAMHIGTLLVGLGYGAHWAIVPAAASELFGLRNFGALYNFLTLANPGGSLVLSGVIASSIYDIEAEKQAQERRYTLTAGESIKCEGAVCFFLTSLIMCALCIIASGLSAILVYRTKSVYANLYGKSLR >itb12g26130.t1 pep chromosome:ASM357664v1:12:27146155:27148481:1 gene:itb12g26130 transcript:itb12g26130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAGEHDSVVESVMDKITEKFHGHDSSSDSDDEKHKESPVEAVKAKIYRLFGREKPVHKVLGGGKPADIFLWRDKKVSAGVLGFATAIWVFFELLEYHLLTLVCHVLILALAISFLMSNASTFIKKSPLHIPEVCIPEDIVLGVASALRIEINTALANLRDIACGKDLKKFLGIIAGLWLFSILGNLCNFLTLFYISFVLLHTVPLLYEKYEDQVDAFAEKAEAEIKKQYAVFNEKVLSKIPKGPLKDKKFA >itb11g01020.t1 pep chromosome:ASM357664v1:11:449686:454708:1 gene:itb11g01020 transcript:itb11g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQRDAVVFLVVVVLLSSHNHAVEAARGLPVEFLPGFDGPLPFQLQTGYIGVGQNEEIQLFYYFIKSDSNPQEDPLILWITGGRTCSALRTICQQIGPLLVEPVKYNGSLPRLQPFPYSWTKVASIIFLDLPAGAGFSYATKFLENNDLLAASYAYEFLQKWLIDYPEFLSNPFYVGGHSYAGTTVPIITEMISNGIESGVKPLIQLQGYILGSPKTFPNQSNYNIPFAHGMGLITDQLYESIKENCQGDYTNTNSTSLHCQRDLQTYQQLIESINNKHILEPICLSGSDSTMASLIMLPGQKSLYHDLYTKLKTDPFLVSGLQCRDEWSKLSEYWANDYHVQMALHVRQGTKEKWQLCDESLPYNSIIYNTIPYHVNLSKKGYRSLIYSGDHEMIYPHLSTEAWIKSLNYSIIDDWRSWMVEGQVAGYTMAFANKMTFVTVKGGGHVAPDYRPFESQVMFERWISYENL >itb11g06640.t1 pep chromosome:ASM357664v1:11:4002339:4003534:-1 gene:itb11g06640 transcript:itb11g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHQTMASEQHDDKRKYIVPSQLYVADTPPVKSYTPSAASLPSSPTGHRKTCLCSPTTHAGSFRCRYHRNTKLTRGSMSVAANLSELGSTSVANRFSEFSKRK >itb13g11450.t1 pep chromosome:ASM357664v1:13:16203643:16205723:-1 gene:itb13g11450 transcript:itb13g11450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICEQCGYHLKISSSDRIELLIDPGTWNPMDDDMVSMDPIGFHSEEEAYKDRIDSYQIKTGLTEAVQTGIGQLNGIPVAIGVMDFQFMGGKLEGERDITLGFVDLLRDDYTEKDRSRGIYFTQSWVSTPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNTIIREATKWSPELAAACEVWKEIKFEFPAMDTV >itb04g28920.t1 pep chromosome:ASM357664v1:4:32477528:32479105:-1 gene:itb04g28920 transcript:itb04g28920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIAARCRNKFWIVFLALFVFWHPLFYGFNWFSFPGIASPAKQAAIFMEAFLADPVRIRAGTVVKNGGFEDAGKELKLKQKDDACAGRYIYMHDLPGRFNEDLMKECKLLNKWVDMCDYLVNMGLGPDIGNRRFLQSRGWFSTNQFSLEPIFHSRMKQYECLTNDSSRAAAVFVPYYAGFDVVRHLWGDFNASVRDSGALGLVKWLREKPEWGFMGGRDHFMVAGRITWDFRRLDDGDSSWGNKLMLLPECRNMTVLTIESSPRDKNDFAIPYPSYFHPSTDDQILQWQSRMRKQRRRTLFSFAGAPRPNLDDSIRGQIIRQCLKSRTKCRLSECKPERRKCDNPVYVMRMFQSSVFCLQPPGDSFTRRSTFDSILAGCIPVFFTPASAYVQYLWHLPRNFAKYSVLIPEGDVRGKNVSIENILSGISNSRVSAMREEVIKLIPKLVYADPRTRLKRIKDAFDLTVEAVIDRVKKLRKEMREGKDSSLDFDDEHSWKYYAFGTVAEHEWDHFFSKQISSTRQN >itb01g29080.t1 pep chromosome:ASM357664v1:1:33372211:33381389:1 gene:itb01g29080 transcript:itb01g29080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGKGRWLTTTNDHIGRQHWEFDPDAGTPEERAQVEKMRRDFTNNRFRCKQSADLFMRMQLRKEKPCGEIPAAVKVEKPEEITGEAVKSTLVRSISLYSSIQGHDGHWPAESAGPLFFLQPLVISLYVMGMVNEVLSPEHQKEIIRYIYNHQNEDGGWGFHIEGHSTMFGTVLSYIALRLLGEEAEDGGAVASGRRWIIDHGGAVATPSWGKFWLAVLGVYDWEGCNPLPPEFWLLPKLFPIHPGKMLCYCRLVYMPMSYLYGKRFVGKITTLVESLRKEIHSQSYAKINWNHARNTCAKEDLYYPHPLMQDMIWGFLHHIAEPILGRWPFSKLIREKALKIAIEHVHYEDENSRYLCIGCVEKVLCLMACWVEDPTSEACKRHIARIPDYFWIAEDGLKIQSFGCQMWDTAFAIQAILSSDDLTQQYTQTLRKGHHFIKASQVRDNPSGDFSKMYRHISKGAWTFSMQDHGWQVSDCTAEGLKVALLLSQQPEELVGEKIETECLYDAVNVILSLQSPNGGLPAWEPQRAYRWLEKLNPTEFFEDTLIEREYVECTSAAIQALVLFKKLHPRHRTKEIDACISKGLQYIQNTQNSDGSWTGSWGICYTYGSWFGVGGLVACGKSYNNCEALRKACTFLLSKQLPDGGWGESYLSCSTKVYTNLEGNRSNLVQTSWALLSLIDAGQFEVDPTPIHRGIKLLINSQMEDGDFPQQEITGAFMKNCTLNYSSYRNIFPIWALGNYRRRVLFD >itb10g21730.t1 pep chromosome:ASM357664v1:10:26808671:26811566:-1 gene:itb10g21730 transcript:itb10g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDEKKGEDKKEATAVSETNKVADSDAETDNEGGGGRPMSESSFYTTEEEEDEDTANKIQLGPQVTLKEQFEKDKDDESLRRWKEQLLGSVDINAVGESLEAEVKILSLSILSPGRSEIVLPIPRDGNPPSPWFTLKEGSRYSLKFAFQVSNNIVSGLKYTNTVWKTGMKVDGSKEMIGTFSPQLEPYTHEMPEETTPSGMFARGSYSARTKFLDDDNKCHLEINYTFEIKKEWAATE >itb01g26410.t1 pep chromosome:ASM357664v1:1:31587611:31589766:1 gene:itb01g26410 transcript:itb01g26410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSCSRKGGKMMEDFQAIHKLPHGDSPYVRAKYAQLVDKDPDAAIVLFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKSFRGRCSRQAQESLDNVLIDLYKKCGQLDEQIQLLKQKLQMIYQGEAFNGKPTKTARSHGKKFQVTIKQETTRILGNLGWAYMQQMKYAAAEIVYRKAQEIDPDANKACNLCLCLIKQVKYKEARYVLDGVLQGKLSGSDDPKSVGRAGELLQELGRFEPGKYASPSPSPPTGVSLEDAFVEGLDQLMNQWAPFRSRRLPIFEEISPQRDQLAC >itb06g17930.t1 pep chromosome:ASM357664v1:6:21694109:21695170:-1 gene:itb06g17930 transcript:itb06g17930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKKVDDNVASSTYKSQNSASSFKRLGRKSPFTRYGLPMISLMVLGSFGLGHLLQGSKDIAKVKDDREWEIIETRKALSKEGPVNAYNPKKINLEDELKALQEKVDINTYEYKRIPKPNESK >itb03g08010.t1 pep chromosome:ASM357664v1:3:5999313:6000732:1 gene:itb03g08010 transcript:itb03g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLKCLDLNFKHRRTPHPSSHHHRLPSTAIALPHTTRHTHRRTTIACRPQPSPPSTTSADVHNSVPVASRLDPTPSPHASRLTVRRLTPGSNAVVDTATRRRRPCCPRRRPPASPPESRCLSQCFGFTGSNLPSSKTSNSNGKVSLFVPLSLHFCHQSFVFGVLFVRFTLGTRISLLSKNKVAGYAVGGGHVLHMVCDLTSAADNAIFGQIGPKVGSFDAGYGSSIMSRL >itb07g22670.t1 pep chromosome:ASM357664v1:7:27216683:27218848:-1 gene:itb07g22670 transcript:itb07g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MGGSSDNNVLAVLAKNIDVLALPLVSLAYPLYASIGAIETKSRADDRQWLTYWVLYSLITLFELTFWKALEWFPIWSYAKLGAICWLVLPHFNGAAYVYENFIRPFYRNPQVKIWYVPRKKDVFSKPDDVLTAAEKYIEQNGPEAFERLIAKADRESRARRSNYMIFDDDYRY >itb02g02170.t2 pep chromosome:ASM357664v1:2:1201455:1205694:1 gene:itb02g02170 transcript:itb02g02170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLKVSQISLILIGFICITVLTLAFARSSFLSSWIPSQSNIFRPPPVTEQATKYVVDLGKENTSVQAEEGVSFKLQENFINTNTSSQGKSTEELTTQTDVVEDSPLRVTKEAEVSGAKEADKAEVSGGKNNSNEEKDSNAPLHSLTTENYFKGQHRENLTTHPEKKDCNFAKGKWIKDDNRPLYSGFGCKQWLSSMWACRLTQRTDFEYEKLRWKPKDCEMEEFTGSKFLKRMENKTLAFIGDSLGRQQFQSLMCMITGGEERTDVLNVGHEYGLVKARHAVRPDGWAYRFPSTNSTILYYWSASLCGLTPINPSDRATEYAMHLDRPPEFLSRFLPNFDVVVLNTGHHWNRGKINANRWVMYVGGKPNTNRKIADIAGAKNFTIYSIVKWMNSQLPKYPGLKAFYRTISPRHFFNGDWNTGGTCDNTTPHSALEVLQDESSDSSAAGAVKGTNVKLLDITAVSQLRDEGHISRYSIKATPGVQDCLHWCMPGVPDTWNEMLFAQL >itb02g02170.t1 pep chromosome:ASM357664v1:2:1201455:1206886:1 gene:itb02g02170 transcript:itb02g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLKVSQISLILIGFICITVLTLAFARSSFLSSWIPSQSNIFRPPPVTEQATKYVVDLGKENTSVQAEEGVSFKLQENFINTNTSSQGKSTEELTTQTDVVEDSPLRVTKEAEVSGAKEADKAEVSGGKNNSNEEKDSNAPLHSLTTENYFKGQHRENLTTHPEKKDCNFAKGKWIKDDNRPLYSGFGCKQWLSSMWACRLTQRTDFEYEKLRWKPKDCEMEEFTGSKFLKRMENKTLAFIGDSLGRQQFQSLMCMITGGEERTDVLNVGHEYGLVKARHAVRPDGWAYRFPSTNSTILYYWSASLCGLTPINPSDRATEYAMHLDRPPEFLSRFLPNFDVVVLNTGHHWNRGKINANRWVMYVGGKPNTNRKIADIAGAKNFTIYSIVKWMNSQLPKYPGLKAFYRTISPRHFFNGDWNTGGTCDNTTPHSALEVLQDESSDSSAAGAVKGTNVKLLDITAVSQLRDEGHISRYSIKATPGVQDCLHWCMPGVPDTWNEMLFAQL >itb13g26840.t1 pep chromosome:ASM357664v1:13:31952340:31953527:1 gene:itb13g26840 transcript:itb13g26840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESQRQPLSNQKSFCKKLKPRAEPLKPMRRVRIVCDDPDATDSSDDEGVVHVKRPKLFVREIHLPFADPFSCPAKAPETESSCQDSNNGEKEKNPPTRKKVLAKTPGQPQTDSSVKLRGVRQRKWGKWAAEIRDPFKGRRVWLGTYNSAEEASRAYESKRLEFEAMCKNDMDNDSNENSHSHSLAISKPQDLDMTAAYSALEEDSAESLASHTSQPSPSSVLEVTSLASANKFVVDVILEANGCEQNAPELGVMADENPVMPQIDDEMEKGPKLGVMDDETLVVAEIGQSMEKVPDLGVMDESLSLARMAEGIEFDMELDALLAGNDLRQCLDDFVLGDFEDFPMYGFEGDEQLPATLPDFDFDLDFNFEGCNDTWMDDAPMMTGTTSLNIACL >itb07g06450.t2 pep chromosome:ASM357664v1:7:4591584:4600024:1 gene:itb07g06450 transcript:itb07g06450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MWIRWGIGQGVTAVDLKQTVQVDNDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMVPDRHLGSAQIDRLQLDLVITESTYATTFRDSKYAREREFLQAVHKCVAAGGKVLIPTFALGRAQEICMLLDDYWERMNLKVPIYFSAGLTIQANMYYKMLINWTSQKVKSTSTIRNAFDFKNVCSFDRSLINASGPCVLFATPGMISGGFSLEVFKQWAPCEENLITLPGYCVAGTIGHRLMSAKTPTRITVEEGIQIDVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHVILVHGEKPKMATLKERIQSDLQIQCYDPANNETVCIPSTHYIQVDASDKFLKSLLTPNFKFLNRSPRPDSGPKGMDTRPDPLLQVSDDRISQGVLIMQTNQKSKVVHENELRVALGSEIHEVQFGCCVPVRIHCSNAPDSTFWLQLLYAKLSSEVAELSVVNNSEHLQVESLVIVVCLKEKCCHRTHASTQDMSKSVHFCCTWSLLDEKLAWRIISIMKNLELSM >itb07g06450.t1 pep chromosome:ASM357664v1:7:4590659:4600024:1 gene:itb07g06450 transcript:itb07g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MAIDCLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGFLDHRRYPDFSRISQSGDFTSALSCIIITHFHLDHIGALPYFTEVCGYNGPIYMTYPTKALGPLMLEDYRKVMVDKRGEAEQFTAEHIVECMKKVTAVDLKQTVQVDNDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMVPDRHLGSAQIDRLQLDLVITESTYATTFRDSKYAREREFLQAVHKCVAAGGKVLIPTFALGRAQEICMLLDDYWERMNLKVPIYFSAGLTIQANMYYKMLINWTSQKVKSTSTIRNAFDFKNVCSFDRSLINASGPCVLFATPGMISGGFSLEVFKQWAPCEENLITLPGYCVAGTIGHRLMSAKTPTRITVEEGIQIDVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHVILVHGEKPKMATLKERIQSDLQIQCYDPANNETVCIPSTHYIQVDASDKFLKSLLTPNFKFLNRSPRPDSGPKGMDTRPDPLLQVSDDRISQGVLIMQTNQKSKVVHENELRVALGSEIHEVQFGCCVPVRIHCSNAPDSTFWLQLLYAKLSSEVAELSVVNNSEHLQVESLVIVVCLKEKCCHRTHASTQDMSKSVHFCCTWSLLDEKLAWRIISIMKNLELSM >itb12g06020.t1 pep chromosome:ASM357664v1:12:4479405:4479941:1 gene:itb12g06020 transcript:itb12g06020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAHNTTSPADPTGFHRWNSPVPYLFGGLAIMLGLIALALMILACCYKKPAGENQDNNDDTDRQEKPPPAHVIMKPEMEPKFVVIMPGDHNPTCLAKPAICTRTDPDDVV >itb12g20070.t2 pep chromosome:ASM357664v1:12:22480252:22484301:1 gene:itb12g20070 transcript:itb12g20070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHHFQLLFRPHWFKLLFVVVVVIAATFLLQLLVFPFENYTLFLSSSNAATSPLIIRNSTFSKASNSTSTHVLRGMIDDTSVSSLDEELSAEKEPKETDVKNEFSLTELSRMNASLEIVNDRNVLPGIISEGNLFPDRSAEIKDFTSKIYHSSPEKHTDVGFNLVDGVKKDTISSNQKMISDTNSTRGTDSKKGSLMYQAMNNSSSVTLSGMTMLPADERQTKYEPTGDFKSKLIASGFPNDLSKMAHNSTSKKGERTPMSISLMNSLLLQNSEYVNSMRAQRHSMPERELQKARFQIENAMIVRNVPEVHMSIFRNYSTFRRSYELMEHMLKVYIYREGEKPIFHQPYLRGIYASEGWFMKLMEVNKQFVVRDPKKAHLFYLPFSSLKLRDSLRDQKPPSQKRIEYLLSDYINLIARKHRFWNRTKGANHFLVACHDWAPKFTRKGMDTCIRALCNTNIASGFQIGKDVSLPVTYVRSAENPLKDLGGNLPSKRSILAFFAGGMHGYLRPILLQHWSNKVPDMKIIGPMPRDIESKERYREFMRGSRFCICARGYEVHTPRVVESIHYGCIPVIISDNYVPPFFEVLNWETFSVFVLEKDVPNLRNILLSIPEERYMVMQHRLKIVQGYFLWHKNPVRYDLFHMILHSIWYNRVFQVKPR >itb12g20070.t1 pep chromosome:ASM357664v1:12:22480252:22484301:1 gene:itb12g20070 transcript:itb12g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHHFQLLFRPHWFKLLFVVVVVIAATFLLQLLVFPFENYTLFLSSSNAATSPLIIRNSTFSKASNSTSTHVLRGMIDDTSVSSLDEELSAEKEPKETDVKNEFSLTELSRMNASLEIVNDRNVLPGIISEGNLFPDRSAEIKDFTSKIYHSSPEKHTDVGFNLVDGVKKDTISSNQKMISDTNSTRGTDSKKGSLMYQAMNNSSSVTLSGMTMLPADERQTKYEPTGDFKSKLIASGFPNDLSKMAHNSTSKKGERTPMSISLMNSLLLQNSEYVNSMRAQRHSMPERELQKARFQIENAMIVRNVPEVHMSIFRNYSTFRRSYELMEHMLKVYIYREGEKPIFHQPYLRGIYASEGWFMKLMEVNKQFVVRDPKKAHLFYLPFSSLKLRDSLRDQKPPSQKRIEYLLSDYINLIARKHRFWNRTKGANHFLVACHDWEMQT >itb12g20070.t3 pep chromosome:ASM357664v1:12:22480252:22483069:1 gene:itb12g20070 transcript:itb12g20070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHHFQLLFRPHWFKLLFVVVVVIAATFLLQLLVFPFENYTLFLSSSNAATSPLIIRNSTFSKASNSTSTHVLRGMIDDTSVSSLDEELSAEKEPKETDVKNEFSLTELSRMNASLEIVNDRNVLPGIISEGNLFPDRSAEIKDFTSKIYHSSPEKHTDVGFNLVDGVKKDTISSNQKMISDTNSTRGTDSKKGSLMYQAMNNSSSVTLSGMTMLPADERQTKYEPTGDFKSKLIASGFPNDLSKMAHNSTSKKGERTPMSISLMNSLLLQNSEYVNSMRAQRHSMPERELQKARFQIENAMIVRNVPEVHMSIFRNYSTFRRSYELMEHMLKVYIYREGEKPIFHQPYLRGIYASEGWFMKLMEVNKQFVVRDPKKAHLFYLPFSSLKLRDSLRDQKPPSQKRIEYLLSDYINLIARKHRFWNRTKGANHFLVACHDWVCPSMP >itb12g20070.t4 pep chromosome:ASM357664v1:12:22480252:22482565:1 gene:itb12g20070 transcript:itb12g20070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHHFQLLFRPHWFKLLFVVVVVIAATFLLQLLVFPFENYTLFLSSSNAATSPLIIRNSTFSKASNSTSTHVLRGMIDDTSVSSLDEELSAEKEPKETDVKNEFSLTELSRMNASLEIVNDRNVLPGIISEGNLFPDRSAEIKDFTSKIYHSSPEKHTDVGFNLVDGVKKDTISSNQKMISDTNSTRGTDSKKGSLMYQAMNNSSSVTLSGMTMLPADERQTKYEPTGDFKSKLIASGFPNDLSKMAHNSTSKKGERTPMSISLMNSLLLQNSEYVNSMRAQRHSMPERELQKARFQIENAMIVRNVPEVHMSIFRNYSTFRR >itb05g14860.t1 pep chromosome:ASM357664v1:5:22180724:22186930:-1 gene:itb05g14860 transcript:itb05g14860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MASFCARIEPTSISISKHFKSARNAFPLSPPIRCLSFFNSPSNFPLRKTAPSSSSPIFAASSSPSSSSSSSSSPSATVAESQSLKIKSVPTKPIEGQKTGTSGLRKKVKVFMQDNYLANWIQALFNSLAPEDYKDQLLVLGGDGRYFNREAAQLIIKIAAGNGVGKIMIGKDGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKMADIPDVDLSQLGVTRYGNFSVEVVDPVGDYLELMQEVFDFSLIRDLLSRPNFRFVFDAMHAVTGAYAKPIFVDMLGASPESIVNGVPLEDFGHGHPDPNLTYAKDLVNVMFGENGPDFGAASDGDGDRNMILGRQFFVTPSDSVAIIAANAKEAIPYFKSGPKGLARSMPTSGALDRVAEKLNLLFYEVPTGWKFFGNLMDAGKLSVCGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEALVSVGDVVKQHWATYGRNFFSRYDYEECESEGANKMVAYLRELISTSKAGDKYGSYILKFADDFSYVDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATVRVYIEQFESDASKHDVDAQIALKPLIELALSLSKLKEFTGREKPTVIT >itb11g18130.t1 pep chromosome:ASM357664v1:11:18296417:18300826:-1 gene:itb11g18130 transcript:itb11g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDPNDAGDKMPAREEASVKVPAKYHKKKDKKDEYLSEEDFELKQQLELYVEHVQDADLSLQTRALESIRQEIQTATRSMASVPKPVKFLRPHYGTLKSHYEKMSDSDAKESLKYRLLGSYGDIGPWGHEYVRNLAVELAQEYLQIEVDTPINDLMYLKRQIVAFHMKHNAEPEAVDLLIEGMIMLWDVEIGLAQIDKYFHSTDTHVVAGALLAVGIVNCNVKNKRDPALAILTEYVVKEEPCIRVGAIIGLGLAYAGSQRYQVFEQLRPILEEDTNASLDVIAFTVITLGLVFVGSCKEDIARAIRFSLKNRSESELGEPLARLLPLGLGLLYLGKQDIVGDVAAEVSRTFSEKIKRHCDMTLLSCAYAGTGNINRFRDFAGECAEDLEKGETYPGPAVLGIAMVEMTKELEVERALRALKHFPEYGQRNIRRAVPLAMGLLRISNPKASFATSQIC >itb15g21960.t1 pep chromosome:ASM357664v1:15:24618371:24622039:-1 gene:itb15g21960 transcript:itb15g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYSQPSKKPKEQKEWIGASFKPENFIPGVVIGLILGLLLDWSKPPTPSNNKSTSSSLTKKSRLLPKYQQEKIMSPGAASSDDELKMVLVVRRDLKMGQGKIASQCAHAATGMYSELMSSDRSLLRQWELCGQPKIVVTCKNQQEMNNLKEAADSIGLPTFVVADAGRTQVASGSKTVLAVGPGSKAAVDSITGKLNLL >itb05g00940.t1 pep chromosome:ASM357664v1:5:780061:781010:1 gene:itb05g00940 transcript:itb05g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAQIYNNIIGLIIPSYHSTSSAITFILKYLAELPHIYDQVYTEQMEIAKSKGPNERLCWEDIQKMKYSWNVACEVLRLIPPGQGGFRETVKDFIYAGFIIPKGWKTFWNPYSTHKNPKYFTEPEKFDPSRFEGNGPAPFTFVPFGGGPRMCPGKEYTRLELLVFMHNVVTNFKLEILMPDEKIVYSNGVPIPVNGLPIRFIPH >itb03g03860.t1 pep chromosome:ASM357664v1:3:2304229:2306985:-1 gene:itb03g03860 transcript:itb03g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMLSSPLFLSPPTSSSASTTASCLAMISLCHAGVEATRAAGGGVEAVRTGSASSPSASIRVFVACAKVFNLQSEVWDHRHHPCAVIFLVGMFVYTVSGWCPLTWTGFSMEAFSDLWAFFKLSISSGIMLCLENWYYRILILMTGNLYNAEIALDALSICMNISSMELMIPLAFFAGTGVRVANELGAGNGKAARFASIVSVMESIGIGIVFWVLIIFFHNQLALIFTTSQPILDEVHKLSLLLAFTILLNSVQPILSGVAVGSGWQAYVAYINLGCYYLLGVPLGLILGWVFNKGVMGIWSGMIGGTAVQTLKLEIERDCNILMPTIDVTVEMQARNANRHVEKWDAVGHVKP >itb09g02140.t4 pep chromosome:ASM357664v1:9:1283487:1289626:-1 gene:itb09g02140 transcript:itb09g02140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNWLWRKKSSEKRIIANDKADLAIKDNDEEVQILQNEKEKVLEESVRSLDEKLASALNECNAKDELVTKFTKMAEEAMAAHKKAEAEIMCLKEELEESVKQREAANGRLTHLNSALKDCMQKLTFTREEMEQRVRDAVMRTSEEFGKTHNMLEDKLVETNKKVANLTVENSHLSKVLLVKGKIIDDLTESNSQAEAEFNALMSRLDSAEKENAFLRYEFRMLETELHNRNEEMEFIRRSADASHKQQLENVKKIKRLEAECQRLRVLVRKRMPGQSQSVLTKLKREIELEERNRIETRRNMNPTSGSVVVKDTYLGNNDPDILRKEISFLVENLCNVEEENKNLKLVLAQKGTEIPHSGKAWDQPEELSRAKGSKELAVYGPISNDISSISSHDTKKEHENVSSESWACALISELEHFKNHKDQKSNSEQKMIGVSEMRLMDDFVEMEKLAIVAVDGPLGSSQASSEVNLALSDSSKRNEHENHVDLTGKELVQVKQGDLSDLDREKGKDGWIEHVLKVIIEQSSISKRDVYELLEDVRVAWHNLNFPHTSEGNKSVSSMQPISGYIAWRSPVTSPKANSISSVPVTNSSVEQRSDEYASVSKIVELVKRFSEGCNMVETEHGRTETQEPIIANDYLLHVFRWRNSELKTVLQQFLHICCSLLDGKINFVEFSVGLTATLDWIVNNCMRYQGSSIRDEFIKHFGPRGLGTVTDIRALQNFMFEMEKIHSIIQAENEGLKAELNVMRSSQKDLEARQQLERDKIEALASDLQQSQRSIESLHTEIETLNESNRMMEDMIENQKSINEELDTQLSVTKVKLNESLQKMSSLEVELEDKTHCFEDLEGTCLELQLQLESINSTKIPDEDMEKERQIQQTGWEITAASMKLAECQETILNLGRQLKALTLSEEEPVVVDRQLCPSASNNKTVKHHVSLLDQMLFEDGTKKGTLKSPTKKDALNIPIADTLSVAQPNSCNAICAFKGTPSGSYRGLSSGSKNAKAGTLVILSGRKKGGGMGFLRKLLLRKKKGSHKKTSYSLPV >itb09g02140.t5 pep chromosome:ASM357664v1:9:1283487:1289561:-1 gene:itb09g02140 transcript:itb09g02140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNWLWRKKSSEKRIIANDKADLAIKDNDEEVQILQNEKEKVLEESVRSLDEKLASALNECNAKDELVTKFTKMAEEAMAAHKKAEAEIMCLKEELEESVKQREAANGRLTHLNSALKDCMQKLTFTREEMEQRVRDAVMRTSEEFGKTHNMLEDKLVETNKKVANLTVENSHLSKVLLVKGKIIDDLTESNSQAEAEFNALMSRLDSAEKENAFLRYEFRMLETELHNRNEEMEFIRRSADASHKQQLENVKKIKRLEAECQRLRVLVRKRMPGQSQSVLTKLKREIELEERNRIETRRNMNPTSGSVVVKDTYLGNNDPDILRKEISFLVENLCNVEEENKNLKLVLAQKGTEIPHSGKAWDQPEELSRAKGSKELAVYGPISNDISSISSHDTKKEHENVSSESWACALISELEHFKNHKDQKSNSEQKMIGVSEMRLMDDFVEMEKLAIVAVDGPLGSSQASSEVNLALSDSSKRNEHENHVDLTGKELVQVKQGDLSDLDREKGKDGWIEHVLKVIIEQSSISKRDVYELLEDVRVAWHNLNFPHTSEGNKSVSSMQPISGYIAWRSPVTSPKANSISSVPVTNSSVEQRSDEYASVSKIVELVKRFSEGCNMVETEHGRTETQEPIIANDYLLHVFRWRNSELKTVLQQFLHICCSLLDGKINFVEFSVGLTATLDWIVNNCMRYQGSSIRDEFIKHFGPRGLGTVTDIRALQNFMFEMEKIHSIIQAENEGLKAELNVMRSSQKDLEARQQLERDKIEALASDLQQSQRSIESLHTEIETLNESNRMMEDMIENQKSINEELDTQLSVTKVKLNESLQKMSSLEVELEDKTHCFEDLEGTCLELQLQLESINSTKIPDEDMEKERQIQQTGWEITAASMKLAECQETILNLGRQLKALTLSEEEPVVVDRQLCPSASNNKTVKHHVSLLDQMLFEDGTKKGTLKSPTKKDALNIPIADTLSVAQPNSCNAICAFKGTPSGSYRGLSSGSKNAKAGTLVILSGRKKGGGMGFLRKLLLRKKKGSHKKTSYSLPV >itb09g02140.t2 pep chromosome:ASM357664v1:9:1283194:1289804:-1 gene:itb09g02140 transcript:itb09g02140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNWLWRKKSSEKRIIANDKADLAIKDNDEEVQILQNEKEKVLEESVRSLDEKLASALNECNAKDELVTKFTKMAEEAMAAHKKAEAEIMCLKEELEESVKQREAANGRLTHLNSALKDCMQKLTFTREEMEQRVRDAVMRTSEEFGKTHNMLEDKLVETNKKVANLTVENSHLSKVLLVKGKIIDDLTESNSQAEAEFNALMSRLDSAEKENAFLRYEFRMLETELHNRNEEMEFIRRSADASHKQQLENVKKIKRLEAECQRLRVLVRKRMPGQSQSVLTKLKREIELEERNRIETRRNMNPTSGSVVVKDTYLGNNDPDILRKEISFLVENLCNVEEENKNLKLVLAQKGTEIPHSGKAWDQPEELSRAKGSKELAVYGPISNDISSISSHDTKKEHENVSSESWACALISELEHFKNHKDQKSNSEQKMIGVSEMRLMDDFVEMEKLAIVAVDGPLGSSQASSEVNLALSDSSKRNEHENHVDLTGKELVQVKQGDLSDLDREKGKDGWIEHVLKVIIEQSSISKRDVYELLEDVRVAWHNLNFPHTSEGNKSVSSMQPISGYIAWRSPVTSPKANSISSVPVTNSSVEQRSDEYASVSKIVELVKRFSEGCNMVETEHGRTETQEPIIANDYLLHVFRWRNSELKTVLQQFLHICCSLLDGKINFVEFSVGLTATLDWIVNNCMRYQGSSIRDEFIKHFGPRGLGTVTDIRALQNFMFEMEKIHSIIQAENEGLKAELNVMRSSQKDLEARQQLERDKIEALASDLQQSQRSIESLHTEIETLNESNRMMEDMIENQKSINEELDTQLSVTKVKLNESLQKMSSLEVELEDKTHCFEDLEGTCLELQLQLESINSTKIPDEDMEKERQIQQTGWEITAASMKLAECQETILNLGRQLKALTLSEEEPVVVDRQLCPSASNNKTVKHHVSLLDQMLFEDGTKKGTLKSPTKKDALNIPIADTLSVAQPNSCNAICAFKGTPSGSYRGLSSGSKNAKAGTLVILSGRKKGGGMGFLRKLLLRKKKGSHKKTSYSLPV >itb09g02140.t1 pep chromosome:ASM357664v1:9:1283194:1289804:-1 gene:itb09g02140 transcript:itb09g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNWLWRKKSSEKRIIANDKADLAIKDNDEEVQILQNEKEKVLEESVRSLDEKLASALNECNAKDELVTKFTKMAEEAMAAHKKAEAEIMCLKEELEESVKQREAANGRLTHLNSALKDCMQKLTFTREEMEQRVRDAVMRTSEEFGKTHNMLEDKLVETNKKVANLTVENSHLSKVLLVKGKIIDDLTESNSQAEAEFNALMSRLDSAEKENAFLRYEFRMLETELHNRNEEMEFIRRSADASHKQQLENVKKIKRLEAECQRLRVLVRKRMPGQSQSVLTKLKREIELEERNRIETRRNMNPTSGSVVVKDTYLGNNDPDILRKEISFLVENLCNVEEENKNLKLVLAQKGTEIPHSGKAWDQPEELSRAKGSKELAVYGPISNDISSISSHDTKKEHENVSSESWACALISELEHFKNHKDQKSNSEQKMIGVSEMRLMDDFVEMEKLAIVAVDGPLGSSQASSEVNLALSDSSKRNEHENHVDLTGKELVQVKQGDLSDLDREKGKDGWIEHVLKVIIEQSSISKRDVYELLEDVRVAWHNLNFPHTSEGNKSVSSMQPISGYIAWRSPVTSPKANSISSVPVTNSSVEQRSDEYASVSKIVELVKRFSEGCNMVETEHGRTETQEPIIANDYLLHVFRWRNSELKTVLQQFLHICCSLLDGKINFVEFSVGLTATLDWIVNNCMRYQGSSIRDEFIKHFGPRGLGTVTDIRALQNFMFEMEKIHSIIQAENEGLKAELNVMRSSQKDLEARQQLERDKIEALASDLQQSQRSIESLHTEIETLNESNRMMEDMIENQKSINEELDTQLSVTKVKLNESLQKMSSLEVELEDKTHCFEDLEGTCLELQLQLESINSTKIPDEDMEKERQIQQTGWEITAASMKLAECQETILNLGRQLKALTLSEEEPVVVDRQLCPSASNNKTVKHHVSLLDQMLFEDGTKKGTLKSPTKKDALNIPIADTLSVAQPNSCNAICAFKGTPSGSYRGLSSGSKNAKAGTLVILSGRKKGGGMGFLRKLLLRKKKGSHKKTSYSLPV >itb09g02140.t3 pep chromosome:ASM357664v1:9:1283487:1289626:-1 gene:itb09g02140 transcript:itb09g02140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKNWLWRKKSSEKRIIANDKADLAIKDNDEEVQILQNEKEKVLEESVRSLDEKLASALNECNAKDELVTKFTKMAEEAMAAHKKAEAEIMCLKEELEESVKQREAANGRLTHLNSALKDCMQKLTFTREEMEQRVRDAVMRTSEEFGKTHNMLEDKLVETNKKVANLTVENSHLSKVLLVKGKIIDDLTESNSQAEAEFNALMSRLDSAEKENAFLRYEFRMLETELHNRNEEMEFIRRSADASHKQQLENVKKIKRLEAECQRLRVLVRKRMPGQSQSVLTKLKREIELEERNRIETRRNMNPTSGSVVVKDTYLGNNDPDILRKEISFLVENLCNVEEENKNLKLVLAQKGTEIPHSGKAWDQPEELSRAKGSKELAVYGPISNDISSISSHDTKKEHENVSSESWACALISELEHFKNHKDQKSNSEQKMIGVSEMRLMDDFVEMEKLAIVAVDGPLGSSQASSEVNLALSDSSKRNEHENHVDLTGKELVQVKQGDLSDLDREKGKDGWIEHVLKVIIEQSSISKRDVYELLEDVRVAWHNLNFPHTSEGNKSVSSMQPISGYIAWRSPVTSPKANSISSVPVTNSSVEQRSDEYASVSKIVELVKRFSEGCNMVETEHGRTETQEPIIANDYLLHVFRWRNSELKTVLQQFLHICCSLLDGKINFVEFSVGLTATLDWIVNNCMRYQGSSIRDEFIKHFGPRGLGTVTDIRALQNFMFEMEKIHSIIQAENEGLKAELNVMRSSQKDLEARQQLERDKIEALASDLQQSQRSIESLHTEIETLNESNRMMEDMIENQKSINEELDTQLSVTKVKLNESLQKMSSLEVELEDKTHCFEDLEGTCLELQLQLESINSTKIPDEDMEKERQIQQTGWEITAASMKLAECQETILNLGRQLKALTLSEEEPVVVDRQLCPSASNNKTVKHHVSLLDQMLFEDGTKKGTLKSPTKKDALNIPIADTLSVAQPNSCNAICAFKGTPSGSYRGLSSGSKNAKAGTLVILSGRKKGGGMGFLRKLLLRKKKGSHKKTSYSLPV >itb06g13820.t1 pep chromosome:ASM357664v1:6:18497891:18498459:-1 gene:itb06g13820 transcript:itb06g13820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISLPLNNPKCRAKAMKIASIAGVISVAIDADKNQLTVTGDGVDFLELMNFLRRKFKCASIISLEDVKPPAPPPPPAPQPSSPTKGKCSSPCPCPCPPDYSNPCVQYYPLCEPVYDSYPNCNCSIQ >itb08g14960.t1 pep chromosome:ASM357664v1:8:16858284:16858604:1 gene:itb08g14960 transcript:itb08g14960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNYNLQTRDTWWSLIWKLKIPSKFKHLLWTMSHDRVRGNAERKRRGLTEDGMCTLCDNQEETTEHIFRTCSKAIIVWNAIGGNVNANPGEATKDWICWNITKKR >itb03g22350.t1 pep chromosome:ASM357664v1:3:20414592:20417070:1 gene:itb03g22350 transcript:itb03g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKNKQPMKDDDDDIPSSSQKIEHHSACSKLLCNWSSTMVGRDDQVNMILELLVQDSRKERRVVPIVGMGGIGKTKFAQTLYEDPSVSSHFDVVAWTTVSAQPVMRQMLLQLLSTMQISHTQEKEIINKSNEELADLLRKCLMGRRYLIVVDDLWSTASWDEIQRCFPEDNNGSRILVTTRLQEVAISTGGSHNYSLNLPFLNSDESWELFSERILSYGNLSLPPMRLEGIWGHIVEYCKGLPLAIVIVAGLVQTTNESLWESQSEEMEKILCATVTSSLLDSFSEILRLSYNHLPNVLRVCFLYLGVFPEDSAIPTKKLIRLWIAEGFVKVEEDQRSLEEVAEDYLKDLVSRSLVLVDSLSLDGKIKSCKVHDIVHDFCRNEAIKEGLLHVAISRYFDEPHLYVRTTERWLSFESMYPKLDVHTGFNRYGSLFCFHDDVGHGYRLPATPHFKRLRVLDLGSLHFINGIPSYIADLILLRYLALRSSKSLNSLPVLKDWNLQTLVLLESWGCNDDDPKPLIHEIWELPKLRHLQVCTTFVLCTPTAVHQYLQTVQWLRPFQCTEQVFLRIPNAKVMGIFMEGSVEFGEPNCLDNLRYLHQLEELKIESGHFYPFLLPVVDAFPVQLKKLKLKGTLLPWDAMTVIGMLPNLQVLKLKHGACQGGYWKLTEGSFRQLKSLLIHGSGLRHWEASGDNDFPILERLILKECYELEEIPSSFGEILTLKLIELCHCYSGLVNSAKQIQEEQRDYGNDELVVRAYNIWRNVEEELEDEVTEELEGSKDSEGDC >itb15g14100.t1 pep chromosome:ASM357664v1:15:12238430:12240620:1 gene:itb15g14100 transcript:itb15g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDKMDSLKELYADGTAINQARDGVGSWHAFLRSWVWKGRVFPKISPIFLPNSLVTLSLANSHLSDDAFPIAFSNLSLLEHLDLGQNPISCLPNSLKYLTRLLKLEVESCESLKSLVGLPNIEHLNVTNCWALEKISYQSRSSKLKDLILSNCIALAEIEGNFKLEPLENTDVERLWNLGLSNFKPMENVLIGFTSKILCYYRIYSQGWIPSRKIRKLPPQGVSQPGIFSTFLPGEHVPCWFSSKFTEPYTSLQIPFLHNSRVKGLSFCVVYKRSKVGESKRTNAMRQQLTFSKALVARQRSLGRQKGGISSRRVTYRPLENKEYETSFDCPSITVENVRRCWRRSRQPVFYGVPDGKEGMMWLSDWRFGRDELSCDDGVEVSVSGGDGIAVKEFGVKVLYVDEGSESSMVWVSESCRERERDASFGEAYGFSVRLPPTYRSLQRARESYLDSVPH >itb13g23220.t1 pep chromosome:ASM357664v1:13:29300285:29303741:-1 gene:itb13g23220 transcript:itb13g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPDCETVAFLDMFKKVKRLRLFEPSVGVLGFVLVTVSVMFCFFLLGYRSVGKGLRFSAESQRVLWLRFGGSASREKVGFLSENGRQCDVFDGDWVWDERYPLYHSRDCSFLDEGFRCAENGRPDFFYTKWRWQPKDCNLPRFNAKVMLEKLRNKRLVFAGDSIGRNQWESLLCMLSSAVANKSSIYEVNGNPITKHKGFLVFKFVDYNCTLEYYRAPFLVLQSRPPAGSPAKIRTTVKLDQMDWSSSKWRDADVLILNTGHWWNYEKTIRGGCYFQEGSAVKPDMEIDLAYQKSLQTVVNWINREVNPFKTMVFFRTYAPVHFRGGDWRNGGNCHTETLPELGSSLVPSHTWAKYNIFFDVLSSSSQSHKSAPALHVLNITHMTSRRKDGHQSLYYLGPAVGPAALHRQDCSHWCLPGVPDSWNELLYALFLKREATRRLNLTSSQVQ >itb01g34850.t1 pep chromosome:ASM357664v1:1:37336629:37339113:-1 gene:itb01g34850 transcript:itb01g34850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHGNSATTAVDTVKAERLVWLMKCPLLVSKSWQSQATASSSDTPPVAKVVVSLDLIPKKNPDAPDDPPVDQPPEFTMEMIGNEGGNAPKRYCLNKSNDFVPMCIFSESSQAKVAAEGKVEFKFDMKPDNPNMEEYRKLCRERTNKSMVKNRQIQVIDNDRGVNMRPMPGMFGMIASSSKEKKKAAPVKAPEVKRTRRDRGELEDIMFKLFERQPNWTLKNLVLETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSAEDAGAE >itb01g34850.t2 pep chromosome:ASM357664v1:1:37336711:37339113:-1 gene:itb01g34850 transcript:itb01g34850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIGNEGGNAPKRYCLNKSNDFVPMCIFSESSQAKVAAEGKVEFKFDMKPDNPNMEEYRKLCRERTNKSMVKNRQIQVIDNDRGVNMRPMPGMFGMIASSSKEKKKAAPVKAPEVKRTRRDRGELEDIMFKLFERQPNWTLKNLVLETDQPAQFLKEILNELCVYNKRGANQGTYELKPEYKKSAEDAGAE >itb06g17240.t1 pep chromosome:ASM357664v1:6:21144905:21151896:1 gene:itb06g17240 transcript:itb06g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEIPMRILESGRPGEWFSSKDALPHVNSINDMAVDKLGLLLEGHTIQGDNGGKVPNRCGSAPPSMEGSFLAFQNLICQKRGGRNVGSASFDSTLLNCQSLEQIHADPSSFAYHCSNANLNPRFHPPINSRENRHLDNYKFSSSDDGGDGSLHLHRSSLSTHTEEPEDDNSPSNAADNWAQNSTAELPVHKLGNLAGQDKSLDDITQGGFPRTPSPVFSQSPSSNLVAVDKPTVCDIENNLSIGISESPETGSDVCTEGSVGHGASSSNDASPVPFEEMFYVDVLGTPYTQLNNEEASSSPCLGGELTEGSTVADVYKKHAMVEREKIKDSESSNEKNVPESQHYYSWQNTAYHATGPQVQIPGHGHPRFSSVEVPVVQQTSGLVPPLYATAAAYMASGNQFYSSVNSFGVYPPQYNMGGYAAGSEFLPAYATGYPSHSGLPVHVDATAAQIISDQSTGLSTKESIPHVGDFQQINKFYGHQGLMLHPFIDPFQIPYFQHSVEDAFHLSGQYVNFPSTSVVGSQVNSYTLQKDPTLSYRFGDQNFQPTPSANFSVPTPRKREAPKNSYYGSPTSPGVVPQFPVPPLGSPILPGSPVGGASPFGWRNETRFSQGSGRNLGVYSGWQGQRGSNGVNDPKKYFLEDLKASNSRKIGLSDIAGRIAEFSVDQHGSRFIQQKLENCSIEDKVSVFREVLPLASKLITDVFGNYVIQKFFEYGTHEQRKELASQLSGQILPLSLQMYGCRVIQKALEVIDLDQKTELMHELDGHVMKCVWDQNGNHVIQKCIECVPTEKIGFILSSFQGQVAMLSTHPYGCRVIQRVLEHCSNDSQSQCIVDEILESAYDLAQDQYGNYVTQHVLQRARPRERLQIIDKLAGKVVQLSQHKYASNVVEKCLEHGDSAERELLIEEILAQSEGNDGLLTMMKDQFANYVVQKILEISNDKQRATLLNRIKVHLQSLRKYTYGKHIVARFEQLSGKETEAETNL >itb03g28650.t1 pep chromosome:ASM357664v1:3:29360793:29362444:1 gene:itb03g28650 transcript:itb03g28650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVMTSAISGTATFYTPPYVPSACYGFQEQGTLIAAASDPIYANGAACGRMYRVRCTGPTNAGVPQPCRGEVTVKIVDRCPSPGCQSTIDLSQEAFAIIADPNAGKVNIDYVQV >itb05g25810.t1 pep chromosome:ASM357664v1:5:30007991:30008849:1 gene:itb05g25810 transcript:itb05g25810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVVVFALLFVALAGLASAATAPSSSPSSSPSSSPSSGPAGAPAGSPGPTASASSGAPSGGPAGSPSNAPAGAPSHGPAAAGSPSEGPGPAGAPSEGPAAGSPDSASPAGSPETDPSSPPSPDSDSEDVDDISAEDAPAEAPSEDDEGGAAALKVSAVLGAAAAVGFFL >itb12g07630.t1 pep chromosome:ASM357664v1:12:5842251:5844487:-1 gene:itb12g07630 transcript:itb12g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTEGRRQRHRKITRPLASYKEAYSHYKGELARSEVRSHAEEGYNLQAESTATAPEQGVSSPTFNVLAYGAKGDGKADDTKAFEQAWQAACEQEGSTMLVPSGSVFLVKPISFSGPNCQPHIVFQLDGKIIAPTGSGSWGSGLLQWLEFTKLVGITIRGRGTIDGQGSVWWNSSPTDDNLVDQKLPKTKPTALRFYGSTDVTVTGITIQNSPQTHLKFDSCTGVQVFNFSVSSPGDSPNTDGIHLQNSKDVAIHSTNLACGDDCISIQSGCTAVYIHNVNCGPGHGISIGGLGKGDTKACVSNITVSDSILQNTMTGVRIKTWQGGSGMVQGIMFSNIQVYGVETPIMIDQFYCDGGKCHNQTSAVAVADISYQSIKGTYTSKPVHFACSDSLPCTGVTLATVELKPLPGKEVYDPFCWETYGELKTSTTPRIDCLRTTTQPKKIVDSC >itb06g14390.t1 pep chromosome:ASM357664v1:6:18947610:18948770:1 gene:itb06g14390 transcript:itb06g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQKLELLGGGAHGIVFLGQYYTSPPFNAPVKIAVKSSKIEFSDSIWKEGQILRMFQGCPYIIQCFGGDTSVEHGNIVYNLLLEYAPGGTLQGLINSQEGFFSEHEASLYAYQLLKGIQEVHRLGCGCGLNQLKIGDFRLSKVAGEEGHHGALLYTSPESLFCGIHEAPKDIWAIGCMVVEMMTGKSPWRFTSSSCNTNDVNKLAVEMAFNKPQIPTGISNCAKDFVMRCFERNPNVRWTADKLLNHPFVARNNNPLLCRTWENHKVLGNRLFKTEKWISKFGLFSTPISSCTCGCR >itb04g11970.t1 pep chromosome:ASM357664v1:4:11627463:11628378:1 gene:itb04g11970 transcript:itb04g11970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVVVLLGLSLVVFVMIASEVTAREMAETTTTFNPTEAEKANGLVGDDKHHGGGGWCKHGCCGHGHHGGCSMCCSYKGQTMEDAAKP >itb08g11000.t1 pep chromosome:ASM357664v1:8:10667209:10674132:-1 gene:itb08g11000 transcript:itb08g11000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPQRRSPAELRSCIEELLRFTLKSSVDGISEVDMGLSKDYCANLLKHDPSNTLPISTDISEGVPCYPLYKHLAASLHQSIQSGTISRSCNRLPVMREADFTHQMEEECNNLVREKGSQLQNLLDTCDFDLHVQEPFFSQLKNGEKTIEGRCAVGDHKNIAVGAFILLNKCLVLQVEKVCHYSSFCKMLEVESLSKVLPGVKTTDEGVKVFRNLYSEEKEKLNGVLAICVTKPVLQPYLSMASIISGMGYRGVQALLNFAQTVGTIPEGLPPPTSTLISSFLLPHNPNVKGSRLTDGARALAKHANRSSSRYWGSLSGNDASKNIHALDVITRLINHCCWLNIHVVPPHGVVFEIRVADGYGARWSEDGSKFLGFLEPYMVDGHSKGWRH >itb08g11000.t2 pep chromosome:ASM357664v1:8:10667006:10674132:-1 gene:itb08g11000 transcript:itb08g11000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPQRRSPAELRSCIEELLRFTLKSSVDGISEVDMGLSKDYCANLLKHDPSNTLPISTDISEGVPCYPLYKHLAASLHQSIQSGTISRSCNRLPVMREADFTHQMEEECNNLVREKGSQLQNLLDTCDFDLHVQEPFFSQLKNGEKTIEGRCAVGDHKNIAVGAFILLNKCLVLQVEKVCHYSSFCKMLEVESLSKVLPGVKTTDEGVKVFRNLYSEEKEKLNGVLAICVTKPVLQPYLSMASIISGMGYRGVQALLNFAQTVGTIPEGLPPPTSTLISSFLLPHNPNALD >itb01g06260.t1 pep chromosome:ASM357664v1:1:4457746:4460419:1 gene:itb01g06260 transcript:itb01g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMMESFSGPQSAAPASRPLPFREDCWSEEATSTLVDAWGRRYLELNRGNLRHKDWQEVADAVNSLHGHTKKGHRTDVQCKNRIDTLKKKYKTEKAKIAESNGALASTWPFFTRLDALIGNSGKNQFPKSSAAVVTPSPSLSPSPLPLPSYSPLSLPSPPMGVPLPFRRPPAAAQPVILPQKRPPPPMDDSYFKKNYSAMAAAAAATDDDADEEEEEDGIRGESEEEMETSDEITEEEGIRRLAKAIKRFGEIYERVEGMKQRQMIELEKQRMQFAKDLEVQRMQLYMDTQVQLEKIKQSKRSGSDDMYS >itb05g16030.t1 pep chromosome:ASM357664v1:5:23338785:23339888:1 gene:itb05g16030 transcript:itb05g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNLPYYVPNSNPFMELKDIIKESALPFLPAKTLMRFRAVCQDWRHKISFPFFHHYQSLHCRSISALFCQTSQNPPVFIPIDIPKSCGVPDPSLSFLPEPVVIRSSSHGVLCCQGRNGDRPYYLCNPVTQQWKILPQPTADHGDDPKLVLIFEPSLLNFKPEYNLICAFHCADFDETGFEIYSSKNNAWNVSGEFCFGVERDKLRSGIHINSVAYWPVKSGGILSFDLKKDRSIYLESFMDYNGCILGTFGGRLCKVFIFDNAMYVKVLINIHTNTMSYDDIPWDEDYPYEMWTRRPVLDSDDTDMPLDDLTKVVAVTRYTVVVKRRNRFYSYDFQRQRSKRIRSPPAESPYVICVPYVNSLVSF >itb01g16030.t1 pep chromosome:ASM357664v1:1:19701029:19702412:1 gene:itb01g16030 transcript:itb01g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQHEIHLQPFREPSKAAGMRLFETANQRPFRLPHPPPPVAKSLPNLLFVVPSTANRNRKLEAVAVDLELESVAVDSKPEAASVDREPEAASIDRKPEVVAADREPESTVADREPESTVAKLRTRVHRCRPQ >itb11g04760.t1 pep chromosome:ASM357664v1:11:2529593:2532773:-1 gene:itb11g04760 transcript:itb11g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKENGNVEMGMGMSEFPLGSKNKYKRMENEVADYDDDPSHHHHQLEKRRKSTRNYVFACAVFASLNNVLLGYDVGVMSGAILFIQEDLKITEVQEEVLVGILSIVSLLGSLVGGRTSDAIGRKWTMGLASIIFQTGAAIMTVAPSFKVLMIGRLFAGVGIGFGVMIAPVYIAEISPTVERGTLTSFPEIFINLGILLGYVSNYAFSGLPAHINWRIMLAVGILPSVFIAFALFVIPESPRWLVVQNRVEEAKAVLMKTNDNDAEVEERLAEIQLAAGVTNAEKYEEKAVWRELLSPSPALRRMLITGFGIQCFQQITGIDATVYYSPEILKAAGIVGESKLLAATVAVGVTKTAFILIAIFLIDRVGRKPLMYASTIGMTACLFGLAFSLTFLGEGSVGISLAILFVCGNVAFFSVGLGPVCWVVTSEIFPLKFRAQASALGAVGNRMCSGLVAMSFLSVSRAITVGGTFFIFSAISALAVAFTYKFIPETKGKSLEQIESLFQNGHDEAVPIGEVRVGDAEHLVQKEVQLRDVQKE >itb02g07900.t1 pep chromosome:ASM357664v1:2:4951542:4953106:1 gene:itb02g07900 transcript:itb02g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTAKTPISHRSLDKFFRYSGEPKHETNLKFEFLDENLEFSETSFHSTDLNTTKILDEEDDEENSGDSEENKAFWESTEELLQANICRTTFFESEIRKATKEAIKELNLTGATCNCRKMVADICRCCMQKEISHRLQSSGYNCTICKSKWKSSPELPSGEHTYMEVVQKSSSKKGAMKVIIELNFRGEFEMGRGSEEYNRLVKKLPEVYVGKLERLQSLIKILCAASKKCMEKNKRHLAPWRKQKYMQSKWLGSPELKPEDNFPVKHSERPPPRPTASMLTFDLLDSLPAMHFTPAIRVV >itb06g19010.t2 pep chromosome:ASM357664v1:6:22497674:22499591:1 gene:itb06g19010 transcript:itb06g19010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSKTTRKSLAEDYLKTPPIAPVASPNLSVSKSPMPFQFKFSAFDATSLTPPASSKKRRAGVSSKPMRLSKSPASIKSYNTIADLRSFASSGLDSIKRQLDCSHSEILKDIEASHSRFYKRLKIQTQACEKMADEAEREHKKMSERINEGREAMKASYTEFLAEVQTNASRCEFLTVLLFCS >itb06g19010.t1 pep chromosome:ASM357664v1:6:22497674:22500345:1 gene:itb06g19010 transcript:itb06g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSKTTRKSLAEDYLKTPPIAPVASPNLSVSKSPMPFQFKFSAFDATSLTPPASSKKRRAGVSSKPMRLSKSPASIKSYNTIADLRSFASSGLDSIKRQLDCSHSEILKDIEASHSRFYKRLKIQTQACEKMADEAEREHKKMSERINEGREAMKASYTEFLAEVQTNASRFCKTSIPELLQSAEKAIDSLRSRYSVPSNLAGNMQI >itb06g00750.t1 pep chromosome:ASM357664v1:6:1790800:1796941:1 gene:itb06g00750 transcript:itb06g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYDYEDGSYSTKQQHPDSSLESYDPNYVPDPVKSFVGHLYRHIREKNVYEIHQMYETSFQSLSERMFKDTPWPSVDAVAPYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCNLFQVVLHGVVNMQLPNQWLWDMIDEFVYQFQSFCQYRAKMKNKTEQEVTLLKQFNQAWNVYGVLNYLQALVEKSQIIQILEKEKEGLEEFTSTDGYDYSGGSNVLKVLGYFSTIGLLRVHCLLGDYHTGLKCLRPIDITQQGVYTSVIGSHITTIYHYGFANLMLRRYVDAIQEFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLCLCPQVKLVEETVNSQLREKYGDKMLKMQRYDDESFTLYDELFSYACPKFITPSSPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTYLKVYSTISMGKLANYLQVDEPTLRTILMTYKHKTHAVDSNGKIFSNADVDFFIDDEMIHVVESKPSKRYGDYFLRQIVKLEGVMTDIDRIKLE >itb14g16580.t1 pep chromosome:ASM357664v1:14:19913851:19918147:1 gene:itb14g16580 transcript:itb14g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNGSTSNLTDNSGRSYTSFSAQSGAASPVYHHSGSVQGLHNIHGNFNVPSMPGTLGSRNTSINMPSSSVQQSGNSLSSGRFTSNNLPVALSQISHGSSHAHTGMTSRGGLSVVGNPGYNSNTNGVGGSIPGILPTSAAIGNRSAVPGLGVSPVLGNAGPRMTSSVGNIVGGGNMGRNISSGVLSVPGLASRLNLTANSGSGNLNIPGPNRLMSGSLQQASPQVMSMLGTSYPPAGGPLSQNHIQVNNLNSMGMLNDINANDGSPFDINDFPQLSSRPSSAGGPQGQIGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNSDFAMDLHQKEQLHDNNVPMMQQHFSMGRSASFSLGGTYSSHRPQQHSSVSSSGVSFSNVNNQDLLHSHGSDVFQPSHSTFHQQPGASSGLGLRPLNSPNSASGIGSYDQLIQQYQQHQNQPQFRLQQMSAVGQPYRDQGMKSLQPLVTPDPYGLLGLLSVIRMSDPNLTSLALGIDLTTLGLNLNSADNLHKTFGSPWSDEPAKGDPEFNVPQCYYAKQPPPLNQAYFSKFQLDTLFYIFYSMPKDEAQLYAANELYNRGWFYHRDHRLWFMRVTNMEPLVKTNTYERGSYICFDPNTWETVRKDNFVVHYEALEKRPVLPQH >itb04g25350.t1 pep chromosome:ASM357664v1:4:29928250:29930111:-1 gene:itb04g25350 transcript:itb04g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLEISTSLLLCIVPFVFFLFFNFTKSSKIPIAYPLIGSYFSLLKNKDRRLQWITDVIKSTPNLTFTLHRPLGFRVVFTANPLNVQHVLKTRFPIYRKGDFSRSILDDFLGDGIFNTDGESWKFQRQVASHEFNTRSLRKFVETVVDTELSDRLIPILDSAAKNKTVLDFQDILQRFAFDNICKIAFGYDPGYLLPSLPEAKFAVAFEEAVMISSQRFNAVSPLIWKLKRLFNVGSERKLRAAVDEVREFAKKIVREKKQELEEKSTLDSVDLLSRFLSSGHSDENFVMEIVISFILAGRDTTSAALTWFFWVVSRHPEVENEILKEVRSKSESALYDEVKNMVYTHAALSETMRLYPPVPIDSKAAEEDDVLPDGTIVKKGWRVAYHPYAMGRVEDIWGKDWAEFRPERWLERDGAGNSGNWSFVGRDSYAYPVFQAGPRICLGKEMAFLQMKRVVAGVLRRFRVVPVVAENGVEPDFIMYLTSKMKGGFPVTIEERLSSI >itb13g03640.t3 pep chromosome:ASM357664v1:13:3550876:3554560:1 gene:itb13g03640 transcript:itb13g03640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAGAIATALSIAVVVSYFLTKHTENKSSRRRQTTSLKKSRNGLVDAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEKAMESGALAEGGVVTEGSAGSTAISLATVAPAFGCTCHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHFVNIARRRALEANEIALIRKSKADQNGAKGAKQINGHTISEEKQSSTFSLDCKGGFFADQFENLANFRAHYEGTGPEIWEQTGGELDAFVAAAGTGGTVAGVSCFLKEKNSNIKCFLVDPPGSGLFNKVIRGVMYTREEAEGRRLKNPFDTITEGIGINRLTENFKMAKLDGAFRGTDMEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRVARALGPGHIIVTILCDSGMRHLSKFFNSEYLSQHGLTPSAIGLEFLGFS >itb13g03640.t1 pep chromosome:ASM357664v1:13:3549197:3554560:1 gene:itb13g03640 transcript:itb13g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAGAIATALSIAVVVSYFLTKHTENKSSRRRQTTSLKKSRNGLVDAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEKAMESGALAEGGVVTEGSAGSTAISLATVAPAFGCTCHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHFVNIARRRALEANEIALIRKSKADQNGAKGAKQINGHTISEEKQSSTFSLDCKGGFFADQFENLANFRAHYEGTGPEIWEQTGGELDAFVAAAGTGGTVAGVSCFLKEKNSNIKCFLVDPPGSGLFNKVIRGVMYTREEAEGRRLKNPFDTITEGIGINRLTENFKMAKLDGAFRGTDMEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRVARALGPGHIIVTILCDSGMRHLSKFFNSEYLSQHGLTPSAIGLEFLGFS >itb13g03640.t2 pep chromosome:ASM357664v1:13:3549197:3554560:1 gene:itb13g03640 transcript:itb13g03640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAGAIATALSIAVVVSYFLTKHTENKSSRRRQTTSLKKSRNGLVDAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEKAMESGALAEGGVVTEGSAGSTAISLATVAPAFGCTCHVVIPDDAAIEKSQILEALGATVERVRPVSITHKDHFVNIARRRALEANEIALIRKSKADQNGAKGAKQINGHTISEEKQSSTFSLDCKGGFFADQFENLANFRAHYEGTGPEIWEQTGGELDAFVAAAGTGGTVAGVSCFLKEKNSNIKCFLVDPPGSGLFNKVIRGVMYTREEAEGRRLKNPFDTITEGIGINRLTENFKMAKLDGAFRGTDMEAVEMSRYLLKNDGLFVGSSSAMNCVGAVRVARALGPGHIIVTILCDSGMRHLSKFFNSEYLSQHGLTPSAIGLEFLGFS >itb08g06290.t1 pep chromosome:ASM357664v1:8:5379605:5382981:-1 gene:itb08g06290 transcript:itb08g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGDLVFFFRVAAAVFVVLLGPAKKKEKTSFIIFFFAVFFAGEKETSPATTSLSDGLLDRVFLESTYFLSLEATLAANGGEVGGLRGSGVGDEKSKVELMVAAAVCDVVQVAVSLALMVVAKVVAATS >itb03g05740.t1 pep chromosome:ASM357664v1:3:4068741:4071180:1 gene:itb03g05740 transcript:itb03g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASQNLLTAPHSHCRIGSFRYYQQARLKRPRDVISLSPKPFSANPLQLGIHFLSWDFPGTRRWHAVNVKAFESDATERGKTLPDYEFNFNFDAFLSVLEFICLASSAAVSIALAVNSWFFGRFGNRVLVGQCAVLVGGMAIGAVIRRRQWRRICNAEFSRPGQGSTAGVNLVERIEKLEEDMRSSTTIIRVLSRQLEKLGTRFRLTRRNLKDPITETAALAQKNSEATRELAVQGEVLEKELGEIQKVLLAMQDQQQKQLELILAIGKTSKLWDNKQGPNQDHSENQNAPNNTTSSAVDGAPKMRLNQMQTLTGHKEAMNEQV >itb10g23310.t1 pep chromosome:ASM357664v1:10:27737069:27739309:-1 gene:itb10g23310 transcript:itb10g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVLCRFLNVRAFVFLLLISFVHSLSFDLQPIVPADTNLRIKVEGDASITTQGLQLTPYDRKDVLNYNAAGRARYNNGSLHLWDKATRELADFTTHFTFNIDSDGNASFADGLAFFLADFKATFNKTATLGGGLGLMDTTLVKSPEPFIAVVFDTFSNEDNAPETSVSINLGSWNQSANSTRWLNDVERGKDNNASITYTASSKMLQVVFTGFSDGYRYTDSLSYEVDLRDYLPEFVSIGFSAATGYFFEKNTVSSWRFNSTPLTSDTTVSPPPAPSPSKGTHSSHPPPTIQNQGKNNQRKGIEIAGLSIATLVLLLLLPLAIYICFKKRWAAKGDNQITLGRPMNDDNTQIIIDGAMDNTQVALGRAMDGEFQKVGSGAKKFSYSELATATNNFSEEQKLGEGGFGGVYSGFLSDLKLNVAVKRVSKQSKQGVEEYATEVKIISRLRHRNLVPLHGWCHDKGELLLVYEYMPGGSLDSHLFKRNSPLSWRLRYKIAQGLASALSYLHEEWEKCVLHRDIKSSNVLLDSSLNARLGDFGLAWLVDHENAAEKTYLGGTVGQEFEYHTNSSRDNTRLTSSSVSGGSSTSASLPQIF >itb07g08790.t1 pep chromosome:ASM357664v1:7:7238886:7240587:-1 gene:itb07g08790 transcript:itb07g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTRMENRMETLEKNHETLQTNQDVIRAELATLNDRFAAMETSMTKISDFITLSLQSKGHGDANASSIAVPTEYSTPLSVSRVDSNPPSECRSECRSQPDNPIFQVDWNFLPLMV >itb07g12540.t1 pep chromosome:ASM357664v1:7:14477069:14479783:-1 gene:itb07g12540 transcript:itb07g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKSHRIKQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >itb11g13310.t1 pep chromosome:ASM357664v1:11:10291589:10298844:-1 gene:itb11g13310 transcript:itb11g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKMAASWLNWNMESYPDYQDFFLLPFLLLYFPTLRFILDRSIFEKMARRMILGAKHGNMEIKKGPKRKKIDKFKESAWKFLHYFSSEAFALYVCYDEPWLTNTKYFWMGPGDHLWPDHKYKPKMKGYYMYAGGFYIYSIFALVFWETRRSDFAAQMAHHITSVFLILSSYILRFGRVGSMVLLLHEGCDVFMEFAKMSRYSGFYGLSDFSFLGFVLSWIIGRLILFPFWVLKSTSYDVVFILGKDKHGRAEVLYYFMFNALLFGLMLLHIYWTKMILRMLIGKIRHGQISDDVRSDSESDDDHDD >itb11g13310.t3 pep chromosome:ASM357664v1:11:10292499:10298844:-1 gene:itb11g13310 transcript:itb11g13310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKMAASWLNWNMESYPDYQDFFLLPFLLLYFPTLRFILDRSIFEKMARRMILGAKHGNMEIKKGPKRKKIDKFKESAWKFLHYFSSEAFALYVCYDEPWLTNTKYFWMGPGDHLWPDHKYKPKMKGYYMYAGGFYIYSIFALVFWETRRSDFAAQMAHHITSVFLILSSYILRFGRVGSMVLLLHEGCDVFMEFAKMSRYSGFYGLSDFSFLGFVLSWIIGRLILFPFWVLKSTRSIHFSAPMLHLITE >itb11g13310.t2 pep chromosome:ASM357664v1:11:10291589:10298555:-1 gene:itb11g13310 transcript:itb11g13310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMILGAKHGNMEIKKGPKRKKIDKFKESAWKFLHYFSSEAFALYVCYDEPWLTNTKYFWMGPGDHLWPDHKYKPKMKGYYMYAGGFYIYSIFALVFWETRRSDFAAQMAHHITSVFLILSSYILRFGRVGSMVLLLHEGCDVFMEFAKMSRYSGFYGLSDFSFLGFVLSWIIGRLILFPFWVLKSTSYDVVFILGKDKHGRAEVLYYFMFNALLFGLMLLHIYWTKMILRMLIGKIRHGQISDDVRSDSESDDDHDD >itb03g03160.t1 pep chromosome:ASM357664v1:3:1800859:1803396:1 gene:itb03g03160 transcript:itb03g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSVVPPNRPPNCGKLITILSIDGGGIKGIIPAVILSYLESQLQELDGEDARIADYFDVIAGTGSGGLMTALLTAPNQNRRPLNAAKDIIPFYLYHYPYIFQQIKGPFGKVIDQGKALTGPKYDGKYFRKLIMHVLGSTRLHQTLTNVVIPTFDIKNLEPIIFNSYEALEGSILDAKLSDICIGTTAAPTHMPPYYFKNKDVQGRQREFNLIDGSIVANNPTLLAINEVTKQVLKGHPDFYPAKPLEFNRYLIISIGTGSAKNEHKYNSRTVAKWGMFDWLFNGSSTPLMEAFHQASGATVDLQSSVLFKALQSEDKYLRIQDNTLTGALSSMDEATKENLGNLVRVGENLLRKPVFKVDIHTGEYKPVNNGGTNMEALQKFAKFLSDERKLRLSQLSLPLSDYVPFYS >itb12g02680.t1 pep chromosome:ASM357664v1:12:1745946:1750703:-1 gene:itb12g02680 transcript:itb12g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKNKSKSKVSKPEGDSGTGTGAAAFRGGSQQSGSKIIKDPRFASIHTDPRFRKAPKHKSKVVLDSRFSHIFTDKNFASAKAGIDKRGKPKEDRSQSRLKQYYRLEDEGEEALKLDEELKSKDAESDEEEEEESGSEAGESESESESENEKLKKGNLMSKSDESESEEEEDEEAESDDSSSTSTTDSDEEDDVYSEEEDTFVQEENIPEIEKETHRLAVVNMDWGRVKAVDLYVLLSSFLPKGGQIKSVAVYPSEFGLKRMEEEAVHGPVGLFDEEKGKNKNSDDEDDDDEIDNEKLRAYELSRLRYYFAVVDCDSSATADYLYKNCDGVEFERTSNKLDLRFIPDSIEFKHEARDVATEAPSSYEGLDFHTRALQHSNIELTWDEDEPQRARSLKRKFNADQLAEMELKEFLASDESEADDDENDDDNEDKPAKKHKKQDMYRALLQSGDGSDGSDKDDHGDMEVTFNTGLEDLSKRILEKKDKQSESVWEAYLRKKKEKKKARKNRSKDSSEDESSEDSDYQEHSDQPDDDFFVEEPSASRYKEVKGKRAKKGKQDQETAEEAEASKAELELLLADENGADANVKGYNLKRRKSKDKKGKLIIDEDKIPSVDYNDPRFSSLFTSPLFALDPTDPQFKRSAVYVRQLAEKERKVDQENVGRKEQSDITRPQQPSKESKAEELPSRKEKHELSSLVKSIKMKSKQIPLPSQNKVTKKSEKLRAKKTK >itb12g02680.t2 pep chromosome:ASM357664v1:12:1746646:1750679:-1 gene:itb12g02680 transcript:itb12g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKNKSKSKVSKPEGDSGTGTGAAAFRGGSQQSGSKIIKDPRFASIHTDPRFRKAPKHKSKVVLDSRFSHIFTDKNFASAKAGIDKRGKPKEDRSQSRLKQYYRLEDEGEEALKLDEELKSKDAESDEEEEEESGSEAGESESESESENEKLKKGNLMSKSDESESEEEEDEEAESDDSSSTSTTDSDEEDDVYSEEEDTFVQEENIPEIEKETHRLAVVNMDWGRVKAVDLYVLLSSFLPKGGQIKSVAVYPSEFGLKRMEEEAVHGPVGLFDEEKGKNKNSDDEDDDDEIDNEKLRAYELSRLRYYFAVVDCDSSATADYLYKNCDGVEFERTSNKLDLRFIPDSIEFKHEARDVATEAPSSYEGLDFHTRALQHSNIELTWDEDEPQRARSLKRKFNADQLAEMELKEFLASDESEADDDENDDDNEDKPAKKHKKQDMYRALLQSGDGSDGSDKDDHGDMEVTFNTGLEDLSKRILEKKDKQSESVWEAYLRKKKEKKKARKNRSKDSSEDESSEDSDYQEHSDQPDDDFFVEEPSASRYKEVKGKRAKKGKQDQETAEEAEASKAELELLLADENGADANVKGYNLKRRKSKDKKGKLIIDEDKIPSVDYNDPRFSSLFTSPLFALDPTDPQFKRSAVYVRQLAEKERKVDQENVGRKEQSDITRPQQPSKESKAEELPSRKEKHELSSLVKSIKMKSKQIPLPSQNKVTKKSEKLRAKKTK >itb12g02680.t3 pep chromosome:ASM357664v1:12:1746646:1750702:-1 gene:itb12g02680 transcript:itb12g02680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKNKSKSKVSKPEGDSGTGTGAAAFRGGSQQSGSKIIKDPRFASIHTDPRFRKAPKHKSKVVLDSRFSHIFTDKNFASAKAGIDKRGKPKEDRSQSRLKQYYRLEDEGEEALKLDEELKSKDAESDEEEEEESGSEAGESESESESENEKLKKGNLMSKSDESESEEEEDEEAESDDSSSTSTTDSDEEDDVYSEEEDTFVQEENIPEIEKETHRLAVVNMDWGRVKAVDLYVLLSSFLPKGGQIKSVAVYPSEFGLKRMEEEAVHGPVGLFDEEKGKNKNSDDEDDDDEIDNEKLRAYELSRLRYYFAVVDCDSSATADYLYKNCDGVEFERTSNKLDLRFIPDSIEFKHEARDVATEAPSSYEGLDFHTRALQHSNIELTWDEDEPQRARSLKRKFNADQLAEMELKEFLASDESEADDDENDDDNEDKPAKKHKKQDMYRALLQSGDGSDGSDKDDHGDMEVTFNTGLEDLSKRILEKKDKQSESVWEAYLRKKKEKKKARKNRSKDSSEDESSEDSDYQEHSDQPDDDFFVEEPSASRYKEVKGKRAKKGKQDQETAEEAEASKAELELLLADENGADANVKGYNLKRRKSKDKKGKLIIDEDKIPSVDYNDPRFSSLFTSPLFALDPTDPQFKRSAVYVRQLAEKERKVDQENVGRKEQSDITRPQQPSKESKAEELPSRKEKHELSSLVKSIKMKSKQIPLPSQNKVTKKSEKLRAKKTK >itb01g22330.t1 pep chromosome:ASM357664v1:1:28241904:28246429:1 gene:itb01g22330 transcript:itb01g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPILNFASPWYINELIEYIFAAIKDFIKDIATNVEGHDHDHAENVQSSDSSFTMTASDVSGNSMPNLIQDEHPRSAEWARALEAATLKRTEVLMPENLENMWTIGRNYKKKLKKYASIESQDPGEFVSLGDAKNIVKEIATQEDEIFPEKDDKSLEAEDAGAIISPESKTKFKRFNSTSDLNILLEMEDQLASKGEPTISQLNNADVGRNTEEHSNTSASEMMLHSDKHQIPKLKCRVIGAFFEKLGSQSFAVYSIAVTDIDNNTRFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDAFVHQRCILLDKYLQDLLSIANVAEQHEVWDFLSTSSKSYSFGKSPSVMKTLAVKVDDAVDDIVRQFKGVSDGLVRKVVSSPSAAYTPLAGKTFAWNDDEINKLSFKQNTSEPLSSLSDKDDGDKDGGSSPQTNEWHSDNEVNSKELPHQAIKHEPVSASGCPEANFAIVSGQQEGPLVAPPEWSPPNLSVPILNLVDNVFQLTKRGWLRRQVFWISKQILQLMMEDAIDDWLLRQIHWIRREEVIAQGIRWLQDILWPDGTFFLKATTQSEKNDGQSNQQQYGQPTRQFPMSQTSKGGSFEQQLEAARRASNVKNILFNSAPSALVRLIGQKQYRRCARDIYYFLQSTICLKQVAFAILELVLVSIFPELRDVVKDIHEQMRSQPA >itb14g04000.t2 pep chromosome:ASM357664v1:14:3596931:3600227:1 gene:itb14g04000 transcript:itb14g04000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSTSSRNQGASAATPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWEDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKGSCPPSIEFEEIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVAKDVALSSTLFEEQNCEEILLLSYNHLPENLKTCFLYLGVFPEDYEIPARRLVGHWVVQGFVEDDEALVANNKEEVARQKLQDLIDRNLILVEKRGWSGRIKTCKIHDLTHEMCLRLAKGKNILHIYKFQVGQSFKEISQENGNFWIQNA >itb14g04000.t1 pep chromosome:ASM357664v1:14:3596931:3601169:1 gene:itb14g04000 transcript:itb14g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSTSSRNQGASAATPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWEDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKGSCPPSIEFEEIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVAKDVALSSTLFEEQNCEEILLLSYNHLPENLKTCFLYLGVFPEDYEIPARRLVGHWVVQGFVEDDEALVANNKEEVARQKLQDLIDRNLILVEKRGWSGRIKTCKIHDLTHEMCLRLAKGKNILHIYKFQVGQSFKEISQENGNFWIQNA >itb14g04000.t3 pep chromosome:ASM357664v1:14:3596922:3599393:1 gene:itb14g04000 transcript:itb14g04000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSTSSRNQGASAATPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWEDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKGSCPPSIEFEEIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVAKDVALSSTLFEEQNCEEILLLSYNHLPENLKTCFLYLGVFPEDYEIPARRLVGHWVVQGFVEDDEALVANNKEEVARQKLQDLIDRNLILVEKRGWSGRIKTCKIHDLTHEMCLRLAKGKNILHIYKFQVGQSFKEISQENGNFWIQNA >itb14g04000.t4 pep chromosome:ASM357664v1:14:3596922:3599393:1 gene:itb14g04000 transcript:itb14g04000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSTSSRNQGASAATPQQVFMVGNNNALEEIKDKLTNSSKEREVISITGMGGIGKTTLAKNVYEDKDIKRHFDIQAWITVSQSYSLDDLLRVLLQSIDVSSPTEEQSAGTFELKDKVRKLLLGKRYLIAIDDIWSTQVWEDLKICFPSEKRNGSRVLLTTRLTNVATHASSGGLPFSMPTLSKEESWDLFCKKVFAKGSCPPSIEFEEIGRDIVMKCKGLPLSIMVIAGILSKAEMKVEDWENVAKDVALSSTLFEEQNCEEILLLSYNHLPENLKTCFLYLGVFPEDYEIPARRLVGHWVVQGFVEDDEALVANNKEEVARQKLQDLIDRNLILVEKRGWSGRIKTCKIHDLTHEMCLRLAKGKNILHIYKFQVGQSFKEISQENGNFWVSLQSISNISRLGFDHRTLYKCHSFLAMFPSKYRYRTRRGSRILTNYLLTATSIQVLDLLPLYFPYFPSSLWINNLSQLRYLALYIGAFPGSLSILSSLKNLQTLILRSAKPFVVYSTLPKAPQLRELCILNRSSFHFINEEENLKLENLTTLSWLSDLCCNNEALMVRIPNVKKLRVKYEEPKREDSMHPIDLLHTLSHLE >itb01g19940.t1 pep chromosome:ASM357664v1:1:26271033:26271404:1 gene:itb01g19940 transcript:itb01g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQVPSTEDVDSQVPSTEDVDSQVPSTEDVDSQVTSTEEVAQLKQTVEKLQNRVDELNKAFKAQLDVYYDVLETHKKVCEDNLALKMGFNKATFLYMRKERKLRELIKELGTDHLGRTKSV >itb04g28980.t1 pep chromosome:ASM357664v1:4:32517270:32518913:-1 gene:itb04g28980 transcript:itb04g28980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETAKNHKREKELAWEKVIVLQRELDGKQGLEMEIETLKHDIKVMRQMNKERDLEAKIKLTSIKEELKDTQEELDYLNNINNEFIVKQRCDNDRLQEAQKALVHNERAILEQKKAYETMVETAKNHKREKELALEKVIVLQRELDGKQGLEMEIESLKRDINEMRQMNEERDLAAKIKLTSIEEELKDKQEELDYFNNINNEFIIKQRCDNDQLLDARKALINVSYLYNFFCASLYNT >itb04g05520.t2 pep chromosome:ASM357664v1:4:3595540:3600448:-1 gene:itb04g05520 transcript:itb04g05520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSSSSMEISSRLILFFLLSFFFVAAAVHSSSSSSSPISLADVVVKSAPAEFRNHTAISEFRVVNRKPLTQCLETSTYLKLRLDSNASLSDDEYVRVHVEGVLRPSQSHWVALVSPSHSSVSDCPLNLLQYVQTGDLSKLPLLCHYPVKAQYVSSDPAYLSCRKKECQMLINGKCVLHTCSATLTFHVINLRTDIEFVMFSGGFETPCLLARSGALRFSNPHSPLYAHLSSIDSTATSMRVTWVSGDKTPQQLQYDNGKSQTSVVTTFTQDQMCSDVLKSPAKDFGWHDPGYVHSAIMTGLKPSTTYTYTYGSDSSGWSNKITFKTPPAGGSDEVRFLAFGDMGKTPRDRSAEHYTQPGALSVVKALVQEVSSGKVDSIFHIGDISYATGFLVEWDYFLHLITPIASRISYMTAIGNHERDYAGTGSVYKLPDSGGECGVPYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHSWSKNSEQYEWMKRDMAAVDRARTPWLIFTGHRPMYSSYTGLFVNNVDKNFVNEVEPLLLANKVDLALWGHVHNYERTCAVYAKQCKAMPVKDRRGIDTYNNTDYKAPVHAVIGMGGFRLDPSPITVSTLPGYHFLSSLRTNIEISMLRLIIGAW >itb04g05520.t1 pep chromosome:ASM357664v1:4:3595501:3600511:-1 gene:itb04g05520 transcript:itb04g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSSSSMEISSRLILFFLLSFFFVAAAVHSSSSSSSPISLADVVVKSAPAEFRNHTAISEFRVVNRKPLTQCLETSTYLKLRLDSNASLSDDEYVRVHVEGVLRPSQSHWVALVSPSHSSVSDCPLNLLQYVQTGDLSKLPLLCHYPVKAQYVSSDPAYLSCRKKECQMLINGKCVLHTCSATLTFHVINLRTDIEFVMFSGGFETPCLLARSGALRFSNPHSPLYAHLSSIDSTATSMRVTWVSGDKTPQQLQYDNGKSQTSVVTTFTQDQMCSDVLKSPAKDFGWHDPGYVHSAIMTGLKPSTTYTYTYGSDSSGWSNKITFKTPPAGGSDEVRFLAFGDMGKTPRDRSAEHYTQPGALSVVKALVQEVSSGKVDSIFHIGDISYATGFLVEWDYFLHLITPIASRISYMTAIGNHERDYAGTGSVYKLPDSGGECGVPYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHSWSKNSEQYEWMKRDMAAVDRARTPWLIFTGHRPMYSSYTGLFVNNVDKNFVNEVEPLLLANKVDLALWGHVHNYERTCAVYAKQCKAMPVKDRRGIDTYNNTDYKAPVHAVIGMGGFRLDPSPITADNWSLVRISEYGYARLHATKKELKVKYINANSGNVRDSFQITKATSK >itb11g00970.t1 pep chromosome:ASM357664v1:11:416473:418541:-1 gene:itb11g00970 transcript:itb11g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEVVCILKRRKKMASMASPYSHSLTSIYNKRRFSYYNVSSSSSRIFSCQKQPQQEEDSCLPQMGRREVILRSSEIAVLGAIFSFSGPKPNYLGVQKSPLGLALCPATNNCISTSENISDLTHYAPPWNYNPEEKRGKVSREKAMKELLEVIKSTKPDKSTPKIVEKKDDYVRVEYQSPILGLVDDVEFWFSPGKKPLVQYRSASRKGSYDFDVNRKRIKALRLALEKKGWSSEDTV >itb11g00970.t2 pep chromosome:ASM357664v1:11:416546:418541:-1 gene:itb11g00970 transcript:itb11g00970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEVVCILKRRKKMASMASPYSHSLTSIYNKRRFSYYNVSSSSSRIFSCQKQPQQEEDSCLPQMGRREVILRSSEIAVLGAIFSFSGPKPNYLGVQKSPLGLALCPATNNCISTSENISDLTHYAPPWNYNPEEKRGKVSREKAMKELLEVIKSTKPDKSTPKIVEKKDDYVRVEYQSPILGLVDDVEFWFSPGKKPLVQYRSASRKGSYDFDVNRKRIKVRISKKTLPSCDVKCIFHSFTMQVMTTILIILIRLLDWHWRRRDGHQKTLFEEF >itb09g27140.t1 pep chromosome:ASM357664v1:9:27581579:27588702:-1 gene:itb09g27140 transcript:itb09g27140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRNLEEIERRFSLIGNFLGDRPPLETIRAIFKRSIPLTGDVRIGSINSRRVLIRCELEEDCKAILARNQVKIEGMSMRIRRWSLDWNESSITAVWVLLPNLPIHLFNARILSKICAPIGSLVTLDSATRHKSRSSVAKAMVEIDLLKPLIEKIWIDFETRGFWQKIGYEFVPLFYGECSRLGHSVEGCRRRKSGENRPPEVVVAGRKQAEEGRRRGSARLESHHREGEEGSRREEIGPGQENTNLGEGNKAQKEEGSSTLGLKKSNKEIKMKISSGSIKEERLMTCEEGAEKLKQLMDCDEEFGTLINETLQDLNSYMCDETQETDTQKGIMAIRASSILLGKVRGSRRLASETGPHWRRCRGSILPRISSLTLAFVRRFLRFPGKMKYRKLCLPAVAMKHFLAVSMALNVALVLKLCMAKMRGLGG >itb14g06980.t1 pep chromosome:ASM357664v1:14:6321872:6325457:1 gene:itb14g06980 transcript:itb14g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSANDGAAGPVMRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLNNLRQGMENTGILCAVMLDTKGPEIRTGFLKDNKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAEDVKPQSVILCADGTISFTVLSCDKKNGLVRCRCENTAVLGERKNVNLPGVIVDLPTLTDKDKDDILNWGVPNQIDMIALSFVRKGSDLVEVRKLLGPHAKNIILMSKVENQEGVANFDDILTNSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVSTMAKICIEAESTIDYGDVFKRMVANAPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSEEYPARHSLIFRGLVPVLCAGSARASHEESTEEALVFSLQHGKEKGLCKVGDAVVVLHRIGTASVIKIVTVK >itb04g13720.t1 pep chromosome:ASM357664v1:4:13864630:13869085:-1 gene:itb04g13720 transcript:itb04g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISAPLFLCFLTFALVSGQDNKFYVIKKGDFSVKLTNYGARVASLILPDKNGKLTDIVLGYDTVMEYEQDDEPNFGAIVGRVANRIGGAQFTLNGIRYKLNANDGNNTLHGGKKGFSRVTWKVKKHEESYITFTYYSRDGDQGFPGDVIAYVTYTIKENPYKLIVKMNAKALNKPTPVNLAQHNYWNLGGHDSGDILSEKLQIFASHITPVDSNLIPTGQILPINNTAFDFLQPRQVGTQLAKLPKGSGGFDINYAVDGYDDVGARKKKTVAEVYDERTGIGMEIKATAPGVQLYTANHLQNMKGKGGAVYQSHAALCLETQGFPDAVNHPNFPSTIVTPQRPYNHTLHITFKVHKFKAV >itb08g09210.t2 pep chromosome:ASM357664v1:8:8442218:8445338:-1 gene:itb08g09210 transcript:itb08g09210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGANLRACYEHRREEVRKVIRGVKSRIGEPVNIGELASSTELNVVARMIWGSTLGSDEEKNEKIGAVFRELMGKYVDTFAEPNISDFFPWLARFDLQGIQAKMEGMRKDVDNILEPIIKEGVRIVSEKSRSVSESDEKKDFLQILLELKERDDIGRSLDFQAIKAILLDIVIGGTDTTATMVEWVMTTLLDNPEIMKKVQKELEEIVGLNNIVEEVHLPKLSYLDAVVKETFRLYPALPLLVPRCPTNTTQVGGYTIPKSTRVFLNMYAIHRDPQLWDNPLEFRPERFLNQTSSGLDYTGNDHRFLPFGSGRRICAGIPLAEKMLIYILSSLLHSFDWHLPEGENLDLSDKFGIVTKKCVPLIVVPAQRLSKFELYQ >itb08g09210.t1 pep chromosome:ASM357664v1:8:8442115:8446316:-1 gene:itb08g09210 transcript:itb08g09210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLALFTLFSVLLLSAAVWVYAKSSKKARPPLPPGPLGFPVVGYLPFLRPNLHHHFTDLARNYGPIFKLQLGSCLAVVVNSPSIAKQVVRDHDAVFANRDPPIAAIVGTYGGRDIAFAPSGTYWRDLRKVFVREMLSGANLRACYEHRREEVRKVIRGVKSRIGEPVNIGELASSTELNVVARMIWGSTLGSDEEKNEKIGAVFRELMGKYVDTFAEPNISDFFPWLARFDLQGIQAKMEGMRKDVDNILEPIIKEGVRIVSEKSRSVSESDEKKDFLQILLELKERDDIGRSLDFQAIKAILLDIVIGGTDTTATMVEWVMTTLLDNPEIMKKVQKELEEIVGLNNIVEEVHLPKLSYLDAVVKETFRLYPALPLLVPRCPTNTTQVGGYTIPKSTRVFLNMYAIHRDPQLWDNPLEFRPERFLNQTSSGLDYTGNDHRFLPFGSGRRICAGIPLAEKMLIYILSSLLHSFDWHLPEGENLDLSDKFGIVTKKCVPLIVVPAQRLSKFELYQ >itb09g17530.t1 pep chromosome:ASM357664v1:9:12838354:12840167:1 gene:itb09g17530 transcript:itb09g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMFFAVAFSAVPLTLYIPPVRSLNLFLETMEDLCRESRIYSRRMYPRVRRACSRIFNSVLRTSTSSSRSSNASFHQQLGMSAQSNND >itb01g34390.t1 pep chromosome:ASM357664v1:1:37120344:37124350:-1 gene:itb01g34390 transcript:itb01g34390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLLGNGSCKGSFETKPADNMEMDFNDVFGGPPRRFSIQEVRKRYSFNDEMESEEDSAAVPVFRADRDRRSQQGNEFYDDIFGGDEAFSSPRRMDMEAYGSTTPGSRVLSPAWPLPPKAEPFGTSLPAQFSLPAKLTKATDYPAFGSGNRNSKDGASNGSLSRFSSQSRQRENGSSQMIFRQRSLSREASLNGDDEKDSEGDVEKEAQFHFSIYKWAGKGVPLLTPLMRGKNRKENSRLERCVSSNARVQCDEPDGKLNVTIDTKPNSWKIGSKKQEKQNENDYKEPDFVATEAVANISELKNLNNAKNKVVPEGAKSGAKLEGVEETLSKIKAEFRNGIQNEGLRKKETESKPQSVLSNDVHIGQGNGDSNARFNETMKKSEVKVDKDLKKREVEAKMNGKKSGNNNKGITKNKVEVPSNPEEKFSKVGVKGKVQEFVKIFNQESASPVSKGETRSQSSRWRGGNFNVVEKEMADNNTRQTNEEVQFPSMNKTAADAFQRVEQSLNTKEKTNRHSRKKPSIHQPTTPPADHNSASSFSEPIKNGLRRSVGSADDLFHGSFVVEELYQDQSKPLQKSGESHDVKASDAKIQQWSQGRKGNIRSLLSTLQLVLWPESGWKPVPLVDLIEGSAVKRAYQKALLYLHPDKLQQKGAASHQKYIAEKVFDILQEAWDHFNSLGGI >itb12g20710.t1 pep chromosome:ASM357664v1:12:23157335:23159907:-1 gene:itb12g20710 transcript:itb12g20710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVHHSSFVDGEGISKACGCPLLPLKTDIEGPAPVAEEDSIDIVDEAITFYRANVFFKNFDIKSSADKLLIYLTLYINVALKRLEDCRTLAEGTKAIINLGNENVPVPGEKGFPFPWLFSSTKSQKEAELFRDYLKQIREETSWRLLNVAFRANGTPNKWWLAFAKRRFMNILDE >itb12g20710.t2 pep chromosome:ASM357664v1:12:23157335:23159907:-1 gene:itb12g20710 transcript:itb12g20710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHSSFVDGEGISKACGCPLLPLKTDIEGPAPVAEEDSIDIVDEAITFYRANVFFKNFDIKSSADKLLIYLTLYINVALKRLEDCRTLAEGTKAIINLGNENVPVPGEKGFPFPWLFSSTKSQKEAELFRDYLKQIREETSWRLLNVAFRANGTPNKWWLAFAKRRFMNILDE >itb15g11850.t2 pep chromosome:ASM357664v1:15:9708087:9712585:1 gene:itb15g11850 transcript:itb15g11850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSKRQQQHHQPPPPSLPQLPPPPPPPQQPSSSSVPPPPPLSSHAPPPNPSFAYGANAPYAAPSQNPYCLIPAPYPPQSNGQFNYGYNYPNRPVIYHSSYHPPYYLPNAWSGYRPPQHVPLPLPAVPYVDHQNAKKIKNDINVHKDTIKLQVDDNNKDCHLVSFVFDALVDGSISIFYFAKGGTDCTYTPVYTEIKPVRVPFQKGLGQKFCQPSGTGVDLGFFDINDLSKPIPGENAFPLVIVAESCASTSADEQPNEQQANKLSNAQITEAIIEKNNEDHFQVKVIKQILWVEGVRYELREIYGISKPDEAAISDDSGKECVICMTEPKDTAVLPCRHMCLCSDCAKTLRLQSNKCPICRQPIEELLEIKVNEGPNEGIYSLNVHYITYFSSLSFKPL >itb15g11850.t3 pep chromosome:ASM357664v1:15:9708149:9711546:1 gene:itb15g11850 transcript:itb15g11850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSKRQQQHHQPPPPSLPQLPPPPPPPQQPSSSSVPPPPPLSSHAPPPNPSFAYGANAPYAAPSQNPYCLIPAPYPPQSNGQFNYGYNYPNRPVIYHSSYHPPYYLPNAWSGYRPPQHVPLPLPAVPYVDHQNAKKIKNDINVHKDTIKLQVDDNNKDCHLVSFVFDALVDGSISIFYFAKGGTDCTYTPVYTEIKPVRVPFQKGLGQKFCQPSGTGVDLGFFDINDLSKPIPGENAFPLVIVAESCASTSADEQPNEQQANKLSNAQITEAIIEKNNEDHFQVKVIKQILWVEGVRYELREIYGISKPDEAAISDDSGKECVICMTEPKDTAVLPCRHMVRLSFSFLQFFLHVISVKKICHIMSLRCRNVIARIPLLMLLYRCPFFASLSLLDILDDNL >itb15g11850.t1 pep chromosome:ASM357664v1:15:9708087:9712876:1 gene:itb15g11850 transcript:itb15g11850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSKRQQQHHQPPPPSLPQLPPPPPPPQQPSSSSVPPPPPLSSHAPPPNPSFAYGANAPYAAPSQNPYCLIPAPYPPQSNGQFNYGYNYPNRPVIYHSSYHPPYYLPNAWSGYRPPQHVPLPLPAVPYVDHQNAKKIKNDINVHKDTIKLQVDDNNKDCHLVSFVFDALVDGSISIFYFAKGGTDCTYTPVYTEIKPVRVPFQKGLGQKFCQPSGTGVDLGFFDINDLSKPIPGENAFPLVIVAESCASTSADEQPNEQQANKLSNAQITEAIIEKNNEDHFQVKVIKQILWVEGVRYELREIYGISKPDEAAISDDSGKECVICMTEPKDTAVLPCRHMCLCSDCAKTLRLQSNKCPICRQPIEELLEIKVNEGPNEAS >itb11g16330.t1 pep chromosome:ASM357664v1:11:14353641:14360526:1 gene:itb11g16330 transcript:itb11g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFYVLLVLNVSCNAQLSSTFYNASCPKALATIRNTIRQAILSERRMAASLIRLHFHDCFVQGCDASIVLDETPSIESEKTTLPNLGSVRGFDVIENAKAEVEKICPGIVSCTDILAVAARDASTLVTLPLLNCFICKLFFKQLSTSLKYQTTAPSSVTNLTLIPT >itb11g08070.t1 pep chromosome:ASM357664v1:11:5175779:5178722:1 gene:itb11g08070 transcript:itb11g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFPLLFSNYYPNLPLAQSACQIWDEQIISTSIFYFPLYIQMESRNEVAENEIDRLERGLLVNHVSDEGYESSADDDDEDNEPAVYTASFKEAEENFVKYQTAQWVLYSLLLILAWGIGLFMLLYLPVRRYISRKDIRSRRLFLTPNAIVYKVTRQVPFPCFGVLKREKYVLLHSVADIVVEQGYLQSLFGVYSIRIENVGVRRPPSDDLKIHGVANPCAFKKAVMMQLSKTKSKALSRQVSNAALMSPSKSRRHDNLPRAGELAILQKLEEVGGSVKRVQNLIEDQRFQRLDATN >itb11g08070.t2 pep chromosome:ASM357664v1:11:5175919:5178722:1 gene:itb11g08070 transcript:itb11g08070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNEVAENEIDRLERGLLVNHVSDEGYESSADDDDEDNEPAVYTASFKEAEENFVKYQTAQWVLYSLLLILAWGIGLFMLLYLPVRRYISRKDIRSRRLFLTPNAIVYKVTRQVPFPCFGVLKREKYVLLHSVADIVVEQGYLQSLFGVYSIRIENVGVRRPPSDDLKIHGVANPCAFKKAVMMQLSKTKSKALSRQVSNAALMSPSKSRRHDNLPRAGELAILQKLEEVGGSVKRVQNLIEDQRFQRLDATN >itb04g09980.t1 pep chromosome:ASM357664v1:4:9226832:9232470:-1 gene:itb04g09980 transcript:itb04g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKNWVNLSLTSLLLLLFVVGIVARVQKTEMSESRTAEEEELQRSHNSTMAARLAEVEEALSKHAVDDPEEVVSMVVETIRNSTERRKLGYFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDAGDDDPVNPKPGTLRHAVIQEEPLWIVFKRDMVIQLKQELIMNSFKTIDARGSNVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITISNNHLAHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPANSFAKEVTKRVETAESEWKGWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSMVGTMTANAGPLGCRRGRTC >itb04g09980.t2 pep chromosome:ASM357664v1:4:9226832:9232470:-1 gene:itb04g09980 transcript:itb04g09980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEVEEALSKHAVDDPEEVVSMVVETIRNSTERRKLGYFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDAGDDDPVNPKPGTLRHAVIQEEPLWIVFKRDMVIQLKQELIMNSFKTIDARGSNVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITISNNHLAHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPANSFAKEVTKRVETAESEWKGWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSMVGTMTANAGPLGCRRGRTC >itb10g02720.t1 pep chromosome:ASM357664v1:10:2321283:2321676:-1 gene:itb10g02720 transcript:itb10g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHADTLGYERVEGMKWLQMIELEKQRMEFGKDLELQRIQLFMDTQVQLEKIKQAKRPASDDHLLRNECQSPKDWMSGAQLRISLLFQKPS >itb04g06440.t1 pep chromosome:ASM357664v1:4:4186327:4188448:1 gene:itb04g06440 transcript:itb04g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPHHHALMFFFIILLFSSLNYSSQSLKTTHGDDERGILLSLKQHYWGNPSSLEQWNSTSSPCDWPGISCNFNGSDVTGIYLYDSGLKGSFPSKIICQLNSLSSIDFSHNSLWGSIPVGLSSCSKLEDLDLSANNFTGNIPGELFSMKTLRSLYLQENKLSGEIPTISMVAHSLENLDLSSNQLNGSIPDNIGSLYNLVQLDLSKNSLSGPIPARLLQLHKLYYISLASNNLSGEIPVKLDLFSLKRIDLSDNQFSGDICETVSHIWDTPTLDTLRICLNHFSGRIPYELVKGKFQYDICFDKVNLCSDLIDKKELPTCPSQWLSDTLSLHINCSSNKPSKSKKIIITCVVIAALLIIGLGILIRVFRPRVGRRKEPDGEEWSMISFQRLKFNKWDILGGLIDENLIGNGGSGKVYRVITKKGQKVAVKSIRHEPKQGQGLMEKQFLAEVKILGGIWHNNIVKLLCCIRGNTTKLLVYEYMDKQCLHKWLHGKKRGLTTQVLQWERRLKIAIGAAQGLCYLHHNCSLPIVHRDIKSSNILVDSDFNAKIADFGLAKIMASEGDPETASAVVGTFGYIAPEYGSTRKVDAKCDIYSFGVLLLELTTGREAVTGNEDINLAQWAHKHQREGKSVADALDEEIKDPRHLEAMITIFKLGLACTLSSPSSRPSMKDISRIL >itb04g13410.t1 pep chromosome:ASM357664v1:4:13488319:13491118:1 gene:itb04g13410 transcript:itb04g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILALFILIFCNSQAVVSSLNEEGKSLLIFKKSVVDDPEGSLSNWNRSDETPCSWNGITCKDQRVVSLSIPKKKLSGILSSSLGLLSQLRHVNLRSNRLNGSLPPGLFRALGLESLVLYENYLSGPLPSEVGNLSYLQTMDLSQNFLNGSLPVSLIRCRRLKSLGLSGNNFSGSLPSGFGAGLVSLQQLNLSDNDFSGFIPNDLGNLSNLQGTVDLSHNMFSGSIPPSLGNLPEKIYIDLTYNNLSGPIPQNGALMNRGPTAFLGNPGLCGPPLKNPCPSAGQACPPSQYPFLPTQDNSGSNAGAKGLSKGAVTAIIVGDVIGICVVGLLFSYCYSKFCVRGKRRDGSVCGFEKGGKQRSDCLWFRKDESETLSEHEDQYDLVALDSQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTFAVRRLGEGGSQRFKEFQAEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDFIPNGNLATALHGKPGLVSFTPISWSERLKILKGTAKGLFYLHECSPKKYVHGDLKPSNILLGHNMEPKISDFGLGRLANIAGGTPLLLSNRMASTETATIASTAATLESCYQAPESQKVLKPSQKWDVYSYGVILLEMITGRSPIVHVGSTEMDIVNWLHLCINEKKPLSDVLDPYLAEDADKEEEMIAVLNIAMACVHSSPDRRPSMRYILEALERLPVSTEH >itb08g09550.t1 pep chromosome:ASM357664v1:8:8770147:8770632:1 gene:itb08g09550 transcript:itb08g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVKLDDNLTRWNPEQITVDKPEEGEDDVKSSCPLCLGRHTCYNGRDKGSRSREGELTPKSRPQFGLQAATRLHEAGIASNRRSAIRR >itb15g10190.t1 pep chromosome:ASM357664v1:15:7765212:7767516:1 gene:itb15g10190 transcript:itb15g10190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGIPCCTLKDLQGAGPEYNADVLKRVLSSETGPIADAFILNAGAALLVSGHVKILAKGIELARCTHRSGKALHTLKSWIDVSNQNRPLNVQIVADFLQKFNLKKTAVQKALDSLADSGKISFKEYGKQKIYLARQDQFDIPDNEELNRMKEENAKLQEMLDEQRREISEVEGEIKALQSNLTLDEIRARETNQRNEVERKKAMKCFCEKDIFSTEGLLCNILNF >itb06g22850.t1 pep chromosome:ASM357664v1:6:25024234:25051549:-1 gene:itb06g22850 transcript:itb06g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRRSSSSYGSDSRSGSSFERFSPPTPLIRFSESGDEAILSALWARYENVSDKMEKKRSFQIFLKQFLVVFRNWEPINLAQSREAVSVASTTEGSKLVTDVVLGCSFGHPAEIILCLIEELEQITVLINEYQLGALSTITSEGLPVLDALTVVIRSLHNCRLFGYYGGIQKLTALMKATVVQLKTIASALSTDGSLSNSVVERISIFQNILVRAVSIIGSFIDLQPSTCKKAHLSSSHLEFFVSRSSRETTEPSLDMNDSLPEVMLQWHKKAVVSVMEAGGLNWLVELLRVMRRLNMKEQHTDILLQNLVLETLQSALSDNPRGQNHFRSIGGLEVLLDGLGVVSNSALLLEDFSSSGTTRNNNFLLDIFHLHVLLLEVLREAVFGNLNNMQFLSENGRVHKFANSFCSLAFTLQKVRLDGNDLPQDVYDNSDDTSSTEAKGTKDSATVSVKLSYLQSWNDYVAKMSTALFSFLLPSEVSKPHKAQASTGRSNLLMSPAYVELSVKWVIRVLLIVFPCIKACSNQKELPNHLRFFTYMLQRCVLSAFKTILIFSPSLVDVFRAEGAWDFLFSENFFYFDPASGEFSGHDGSNYEIPLFSNEQKYASNCTGSSINLNEVEAIQREVISFMEFVATSSGSSHNLPECSVLLDALEQSACNPGIANVLARSLLQILQLSSEKSVSSFKTLDAIPRVLKIACVQAQESKRAESESPHVDSIQIEMATSFDQEMLSSAKAVQCWKTSMATCVEIFTRYFSLIDDAKRLMLHSSACVDRLFDLFWEEGLRGHILSYILDLMKIISISEEDHKAKLYLCSKYLETFTHVKEHENFVELSIDLLVGMRDLLSTDEEYYQALFCDGECFIHVVSLLNGNLDVTNGEMLVLNVLKTLTCLLSQNDASKAAFRSLVGVGYQTLRSILLDFCQWQPGEALLNALLDMLVDGKFNLKANPVIKNEDVILLYLSVLQKSSGLLQQHGLNAFLQILRDSISNRTSCVRAEMLNFLLDWFALEDDDGVVMKIALLVQVIGGHSISGKDIRKIFALLRSEKVGSNQQYCSLLLTSVQSMLNEKGPTAFFDLNGVDSGISIKTPVHYPLSKGLSFTCWLRIESFPRSGTMGLFSFLTESGRGCIAVLTKNSLIYESINQKRHCVSLHVNLARKKWHFLCITHTIGRAFSGGSQLKCYLDGVLVSSEKCRYAKINEPLTCCTIGTNINFPYFEDNSLLSINDSSSFFGQIGPVYLFNDSITSEQVKLIYSLGPSYMYSFLDSEFAVGLDSPYNNGSIDVKDGLASKIIFGLNAQASKGRMLFNVSPILDTGVDKSSFEATVSAVGTQLCSRRLLQQIIYCVGGVSVFFPLFTQIELYQDEDKKHVGETLLTPVTKERLTAEVIELIASVLDENLANQQQMLLLSGFSILGFLLQSVPPQQLNLETLSALKHLLNTVSNSGLSDMLMKDAISNIFLNPLIWVHSVYRVQRELYMFLIQQFDNDPRLLKSLCRLPRVLDIIQQFYCDDAKNSSAIGNKPVLHAVTRSVISERPTKDEIHKIRLLLLSLGEMGLREHISVSDINGLIAFFESSQDMSCIEDVLHMIIRSVSQKPLLASFMEQVNLIGGCHIFVNLLQRDFEPIRLLSLQFLGRLLVGLPSEKKGSKFFSIAVGRPKYLLDSHKKASSRMQPIFSVMSDQLFKFPQTDLLCATLFDVLLGGASPKQVLQKHNQLDHQKRSNSQFFLPQILPLIFRFLANCDDATARIKIVSDLLDLLDSNPSNIEALMEHGWNAWLDASLKTDALKNYKLKTHIHSDYEISEQNFIRSLYCVVLCHSVHLVKGGWQHLEETVNFVLVQCEKGCLSYQYFLRDLYEDLIRKLVDLSSEENTLVTQPCRDNMLYLLKLVDEMLLSEINYKLPFPASRTNFSTEFLEPEKLKDLDSALLEALQGEFDEKLSRSIEVQNLPNTKEEEKINEEWWNLYDNIWNIISAMNGKGSNKMLPKSSPAAITTFGQRARGLVESLNIPAAEMAAVVVSGGIGNALAGKPNKPVDKAMLLRGEKCPKIVFRLMILYLCKSSLERASRCVQQVIPLLPCLLTADDEQSKSRLQLFIWALLAVRSHYGMLDDGARFHVIAHLIRETVSCGKSMLATSIVGREDSADSSSNPKEGSSIHNLIQKDRVLSAFSDEVKYIKSSGADRTRQLQELHLRLDESMVVDSNQKKIIKDEIQSSLIAVLASDNKRRDSFQLSKDEEQQVVAGKWIHTFRSLIDERGPWSANPFPNNIITHWKLDKIEDAWRRRQKLRRNYHFDEKLCLPISTIAPTDTLSIANDGKSGFGANIPEQMKRVLLKGIRKITDEGSSELSESDAESSGPVSDDPTDKRFSDVVKEIGDQTDIAQDRKDCSSSSAETENSEVLLSVRCVLVTPKRKLAGHLAVMKKFLHFSGEFLVEGTGGSSVFKNFDSSGMFDLSKPDQVGGSQKQNIFKWPISLDLDSERGRAIDSISALCGNAPQKNPNNIKRHRRWIISKVKAVHWTRYLLRYTAIEIFFNDSTAPVFLNFATQKDAKDVGSLIVTTRNESVFPKGYRDKTSIVSFVDRRVALEMAEIARESWRRREITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSETLDLNTASSYRDLSKPVGALDPKRLEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTALHRNLQGGKFDHADRLFQGVEGTFRNCLTNTSDVKELIPEFFYMPEFLINSNAYHFGVKQDGEPLGDVVLPKWAKGSPEEFISKHREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANVFYYLTYEGAVDLETMDDELQSSAIEDQIANFGQTPIQIFQKKHPRRGPPIPIAHPLQYAPDSITLTSIVASTTNLPSTVLYVNVFDSSVVHVNQGLTMSVKMWLTTQLQSGGNFTFSGSQDPFFGIGSDILSSRKIGSPLAENIELGAQCFATLPTPSENFLISCGTWENSFQVISLTDGRIVQSIRQHKDVVSCISVTSDRSIVATGSHDTTVMVWEIIRGKKRVKHAQQEAHVIAETPFRILCGHDDIITCLYASVELDVVISGSKDGTCVFHTLHDGRYIRSIRHPTGSPLSKLAASRHGRIVLYSDDDLSLHLYSINGKHIASSDSNGRLNCLELSCCGEFMVCAGDQGQIVVRSMSSLEIVKKYSGIAKVITSLTITPEDCFLAGTKDGNLLVYSIENLQIRKTSGQLKTRASVS >itb11g04340.t1 pep chromosome:ASM357664v1:11:2287355:2288374:-1 gene:itb11g04340 transcript:itb11g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVCEFQNSYPFYLKKAMAELDVSPRKILSRRGAADGDGYLDSPTKIEGGIFQKFLPYNNGEDEDEDGDDPYSADHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRKFHYSGTVCSEFRKGKCSRGDNCEFAHGVFESWLHPARYRTEACKDGRNCKRKVCFFAHSPRQLRILPVSRYQTGSLSPVHDPAARYCHSPTSTLVGFSHASSPPLSPAAKTPFCRYGMTAAQLIEMMSYKEALTELVNSLEAMNVEQQVNLNPPLSPATITRMNRPVSGNDPTHFSLSNSPSTPGGHSSGSEILTPQRNWTAFSDNRDGGLTGIDLDWVNDLLT >itb14g05110.t1 pep chromosome:ASM357664v1:14:4524830:4529643:1 gene:itb14g05110 transcript:itb14g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSYADDDMDNQEQKSEEKPQSSNQSPNSQQLDHSGHSSPDSSPVRMSLTSKSAAPKVDDTMLALTVAGGAARALSKPLDPTQHTVSYNPTYDQLWAPIYGPAHPYAKDGLAQGLRNHKLGFVENAAIEPFVFDEQYNTFQKYGYAFDPSANHYVGDMDVLKKNDAISVYNIPQHEQKKRKLEKKKEMMENEQGEEEEEDVDMTEVDNPATEAWLRKNRKSPWAGKKEGLQTELTEEQKKYAEEYAKKKGEEKGEREKGEALVEKSTFHGKEERDYQGRSWIAPPKDAKPQNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDIWFSNDGTKFLTASYDKNIKYWDTETGKVISTFSTGKVPYVVRLNPDEDKQNVLLAGMSDKKIVQWDMNSGQITQEYDQHLGAVNTITFVDDNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISPHPNGNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVLSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGAEWHPLEQSKVATCGWDGLIKYWD >itb01g15580.t1 pep chromosome:ASM357664v1:1:18603185:18606961:-1 gene:itb01g15580 transcript:itb01g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKNGEPLSVEPGEGMVLHLSQANLGEAKKDKGGESICLYVTVDEKKLVLATLNTEKLPQQQFDLVFDRDFEISHNWKNGSVFFYGYLASNPIDDDEEDDEFDSDEDIPLHTSNGKPDTKAKPEKPAVADKANATKDSASGKQKVKIAEPKKGAKDEDEDESSDEDEDMDDDEDSETGEDGSDSEDEDSEDESEETPKKVESGKKRPPESSKKTPVPEKKVKMATPQKTDGKKGSEHVATPHPSKKAAGKTPNNKAAKQQTPKSGGSHLCKPCNRSFGSESALDSHSKAKHGAGK >itb11g07100.t3 pep chromosome:ASM357664v1:11:4299411:4306161:1 gene:itb11g07100 transcript:itb11g07100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEEEKRCPLCAEEMDWTDQQFKPCKCGYQVCVWCWHHIMDMAEKDETEGRCPACRSIYEKEKVVAMQTNFERVTSCHANVKNKPPKARPKTIEVKKDLTNVRVIQRKMAYVIGLPLSLADEDLLQRKQYFGQYGKVTKVSLSRTAGGAVQQFVNDSCSVYITYSKEEEAVRCIQSVHGFILDGRYLRASFGTAKYCHAWLRNMPCNNPSCLYLHCLGADEDSFGKDETAAIHTRNRVQQIAGNTNNMIRRSGNVLPPPVDEFSNTGSLSTEKPPAQNAPCNSTCGSLSSSSGHMSRSLCSNDSDGYAGGPKKGTTFVDIVGRSNISDPEKDGNSCQNWKNLNLCLDTSGTSINRDDLSQDAYSDIVHFNVSSSNHLQRDQSSGEFSDETFREDLISSDGQGSQDSNGLCQRSSFLVSSHSENFRDYTGGNLLLHKGTCSSSNIGMDIHKAVHKQEDEASLPLSCVNMVLNDGYHDMKFQRSAKSDMVYRSSNSFSNEEIVEHLRRIEDDILTNDVESSAFGTIENSIISNFMSMNFDDEADGHHSSSWNSLNTGQSRLPFTNQDGIPSHGVDLESFRNFSQLSKQCSAADYEGNKEHYLSKPQYQVPRTRSLAPPGFSVSSLHSPPGFCACDGAGCFPRGISGSESSGVNADFVDPAILSLVKGTPMNSLNTTGLEMGRAFTPQQRTLEDEARLWLLMQQKSAEQDTTRLSQMFAPPAPTTHQDLRFLGRGGNEFSAVDNMYGFSSRLVDQSQTLDPSSLADLSQQKLVGGGGHISRGYQHQHGLDEIQCRNEASIAGELERNQRLGVNYFGGGYGDLRFQAPSSGDVYTRVFGM >itb11g07100.t1 pep chromosome:ASM357664v1:11:4299411:4306146:1 gene:itb11g07100 transcript:itb11g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMNNEEEKRCPLCAEEMDWTDQQFKPCKCGYQVCVWCWHHIMDMAEKDETEGRCPACRSIYEKEKVVAMQTNFERVTSCHANVKNKPPKARPKTIEVKKDLTNVRVIQRKMAYVIGLPLSLADEDLLQRKQYFGQYGKVTKVSLSRTAGGAVQQFVNDSCSVYITYSKEEEAVRCIQSVHGFILDGRYLRASFGTAKYCHAWLRNMPCNNPSCLYLHCLGADEDSFGKDETAAIHTRNRVQQIAGNTNNMIRRSGNVLPPPVDEFSNTGSLSTEKPPAQNAPCNSTCGSLSSSSGHMSRSLCSNDSDGYAGGPKKGTTFVDIVGRSNISDPEKDGNSCQNWKNLNLCLDTSGTSINRDDLSQDAYSDIVHFNVSSSNHLQRDQSSGEFSDETFREDLISSDGQGSQDSNGLCQRSSFLVSSHSENFRDYTGGNLLLHKGTCSSSNIGMDIHKAVHKQEDEASLPLSCVNMVLNDGYHDMKFQRSAKSDMVYRSSNSFSNEEIVEHLRRIEDDILTNDVESSAFGTIENSIISNFMSMNFDDEADGHHSSSWNSLNTGQSRLPFTNQDGIPSHGVDLESFRNFSQLSKQCSAADYEGNKEHYLSKPQYQVPRTRSLAPPGFSVSSLHSPPGFCACDGAGCFPRGISGSESSGVNADFVDPAILSLVKGTPMNSLNTTGLEMGRAFTPQQRTLEDEARLWLLMQQKSAEQDTTRLSQMFAPPAPTTHQDLRFLGRGGNEFSAVDNMYGFSSRLVDQSQTLDPSSLADLSQQKLVGGGGHISRGYQHQHGLDEIQCRNEASIAGELERNQRLGVNYFGGGYGDLRFQAPSSGDVYTRVFGM >itb11g07100.t2 pep chromosome:ASM357664v1:11:4299329:4306161:1 gene:itb11g07100 transcript:itb11g07100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEEEKRCPLCAEEMDWTDQQFKPCKCGYQVCVWCWHHIMDMAEKDETEGRCPACRSIYEKEKVVAMQTNFERVTSCHANVKNKPPKARPKTIEVKKDLTNVRVIQRKMAYVIGLPLSLADEDLLQRKQYFGQYGKVTKVSLSRTAGGAVQQFVNDSCSVYITYSKEEEAVRCIQSVHGFILDGRYLRASFGTAKYCHAWLRNMPCNNPSCLYLHCLGADEDSFGKDETAAIHTRNRVQQIAGNTNNMIRRSGNVLPPPVDEFSNTGSLSTEKPPAQNAPCNSTCGSLSSSSGHMSRSLCSNDSDGYAGGPKKGTTFVDIVGRSNISDPEKDGNSCQNWKNLNLCLDTSGTSINRDDLSQDAYSDIVHFNVSSSNHLQRDQSSGEFSDETFREDLISSDGQGSQDSNGLCQRSSFLVSSHSENFRDYTGGNLLLHKGTCSSSNIGMDIHKAVHKQEDEASLPLSCVNMVLNDGYHDMKFQRSAKSDMVYRSSNSFSNEEIVEHLRRIEDDILTNDVESSAFGTIENSIISNFMSMNFDDEADGHHSSSWNSLNTGQSRLPFTNQDGIPSHGVDLESFRNFSQLSKQCSAADYEGNKEHYLSKPQYQVPRTRSLAPPGFSVSSLHSPPGFCACDGAGCFPRGISGSESSGVNADFVDPAILSLVKGTPMNSLNTTGLEMGRAFTPQQRTLEDEARLWLLMQQKSAEQDTTRLSQMFAPPAPTTHQDLRFLGRGGNEFSAVDNMYGFSSRLVDQSQTLDPSSLADLSQQKLVGGGGHISRGYQHQHGLDEIQCRNEASIAGELERNQRLGVNYFGGGYGDLRFQAPSSGDVYTRVFGM >itb07g04130.t1 pep chromosome:ASM357664v1:7:2777048:2779757:1 gene:itb07g04130 transcript:itb07g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVAMSFNSLVRLPRRNPMEDSGSSSSSLIRHSTRTAVVPSRKRQRLGRLMVVEAKGKKGMAARQYQRNAPPPLPKLEDDGNPKFVIFIRMADVDLWYPLNIVTGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYRDEKELQKLAKKQFRVLRASTDFRYGYKLVENNNLRTALSTTNIIELPRQEELKTVLDKVKDFFGDAKESFGKLTSLSSELESTEEDSEDSKEKTMVKS >itb01g26900.t1 pep chromosome:ASM357664v1:1:31936665:31940814:-1 gene:itb01g26900 transcript:itb01g26900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKETGQTLNNLPKKKLQELCKKYGLSPYKAKPFLVDSLISFFKATDVETFEAIQYSLENNQSSSYVHNKRGKASASPFIPQTDRIAFKDTVHTTQASSSKTTFVSGVKSVHAPFEFSVMSEEGVNLIVDLNSCVSDWFKRLENEVCICKSLQKQKFQSFRQELQNLGNKQLTNSFTRETDSDCRNQSFVHTVSSPILCSEANGVSGNQDKGDRLLESSATKSGYHSEKHSACMGEKEEPPPFSRHSSNLRNEIVSDTYLREETGSTDPNASYASEGIVETNATCMALCGPEEILEDQDAKFHNALTSGGQKTSSFIEDVVVVKPEGKLENQNVKLGNENSTDIDTQSTCSLDKPMVLLDVPSANDTTEAWFSDAKFHEKYASCSSVHDCSMDLVDVADSIKPGGYSDSCEDDHSSFVDNKPKSGGGNDILSCRTHLNDRHLKGKESSE >itb05g08880.t1 pep chromosome:ASM357664v1:5:12687419:12694533:-1 gene:itb05g08880 transcript:itb05g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPECVGTTVPSAEDGEIQGGTDLNQGLRRRRSSTAAAAESGVYGSEDKNEIEGGGGGLQSETELNKSGGEPSDGNPREERNDHKVANGGVGGGVDNTVTFEFAYRPSSPAHRRNKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLMSAGFWFSSKSLRDWPLLMCCLSLPVFPLAAFLVEKLVQKKYITDPVAVTLHIIIMITVIFYPVLVILWCDSTFPSGVTLMLFACIVWLKLVSYAHSNHDMRALAKSLDKGDTPGTIYAYEVSFKSLAYFMVAPTLCYQLSYPRSPCIRKGWVVRQLIKLIIFTGLMGFIIEQYINPIVRNSQHPLQGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTIDEYWRMWNMPVHKWMVRHLYFPCLRNGIPKGVAILIAFLVSAIFHELCIAVPCQIFKFWAFIGIMFQVPLVILTNFLQNKFRSSMVGNMVFWCFFSVFGQPMCVLLYYHDLMNSEGSES >itb05g11310.t1 pep chromosome:ASM357664v1:5:17304477:17308135:1 gene:itb05g11310 transcript:itb05g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCKDFVKGKCRWGASCRFSHSGTSGHNYDSSIRNASSDYDREHEPSKTSKTLCKYFVAGKCYSENCKFSHDGPTPSNVEMRPSDDIGGHRLDEKNDSWVCPKWNDAEMDSSFVRASRRGDNVGKSDNSGAVDTDRNNHIGDHSVADTNKLWNKPVWDDAARASNLEKNSEWDDTALRTNPTVTVSVDQINSRWTYSLENERAIWQNTASCEERDATPNVIAAKTSESILEILTNNQENNILSQASQSRNLNGSSVHGERQDTMKETSGIFLSTKVMQPCLSSNRYIGSYPFEDSGKTIISNVSNDLNNSRQSIHPVSLPEQSFNETSAMASRGSEYSSFLGGAAQDEARLHAIPSNGHGIRSNEIRQSCQKEAATRPEVLELSALQNLSGAIFSVQTSQLHGSLASLTKKFEHEPAKSQLHAVLPSVVPSDSNSELLPTYNAVYTQPNPMINAPDLCHAHSDGFKWGTPGNYVMPAANASYLDKQETSVSLKQSNELPALNSENRNIDKFDDSNVEYTKDLCLKLQEAVAKSEVKRNNRLVAKECDDGQENKNSHKANGRGKDEEVNANKDDKVIRLFKNALIEFVKEILKPTWKEGRMSREVHKTVVKKVVDKVTGSIQGDHFPKTQDKIEQFLSYSKAKINKLAQAYVERCLKANT >itb04g31650.t1 pep chromosome:ASM357664v1:4:34434376:34437769:1 gene:itb04g31650 transcript:itb04g31650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTTVLAEFSAVTGNTGAVARRILEKLPGEAESRLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDVQMRFMKNYGRIASHAPAYAMNDEFSRVLHQQMEFFSRNPSADTLNHVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTSTMQDNSFHFRKQSKRLRRALWMKNAKLLALLTGLIVLLLYCIIAAFCGGITLSSCRS >itb04g31650.t2 pep chromosome:ASM357664v1:4:34434381:34436637:1 gene:itb04g31650 transcript:itb04g31650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTTVLAEFSAVTGNTGAVARRILEKLPGEAESRLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDVQMRFMKNYGRIASHAPAYAMNDEFSRVLHQQMEFFSRNPSADTLNHVRGEVGEASVSSIVIKKRKNYIFYFSLSRIN >itb01g26040.t1 pep chromosome:ASM357664v1:1:31265993:31268802:-1 gene:itb01g26040 transcript:itb01g26040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALSCNGNPVIIGSSRIQSKRKTQSLLHSKLISCAKNGNPSYLKGAIDKYWRAKFDNKQLININPIVIDEEGGGSLLPDKPKKRGVVEEKSAQVGRQQMMVLCGFGYWVQGFRAFPWLALNFHMAHGMKMHPSTLQLVQNSGNLPFVAKPLYGILSDALYIGGDHRLPYISIGVFLQVLAWGQLALVSSVSEALPALMACVLLSNLGASITEVAKDALVAEYGQKNEMPGIQSYAFMASAAGGMLANLLGGYFLMKTQQPSAMFQAFTALLAVQLAMSLSMREESLGLAESSKYTVLRKSIPESIKRQFSDLMVAIRDESIYRPLIWIVVSILAVPVLSGSIFCYQTQSLNLDPSVIGLSKVTGQLMLLSMTVLYHRFGKNIPMRKLSGIIQILYAASLLLDLVLIKQFNINLGIPNEVFALCFSGIAETISSFKLLPFYVLIASSAPSGCEGSLMSFLASALCLSSIISGFLGIGLASFLGITSGNYTSLPVGIVIQFLAALLPLGWLKFVPMSLPSAEKERKIDRSKRRSRNRRAGKVVLRCIYPDRQERQSDLQR >itb11g00800.t1 pep chromosome:ASM357664v1:11:354324:355074:-1 gene:itb11g00800 transcript:itb11g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVDGGGSVAELITVLEQATLTAKQLPTTTDPSQILRLHSTLHSAHHHLSLFLSQPQNNPPAENSVSSAVSGGENETDPMQLEGEEHNSKVSVDAVEERMRDCFIQNKRPKRPLSPAAAAEPPQSYESEVARSGSAAEFDPIGTKLRSLDLIYQFHA >itb02g04620.t1 pep chromosome:ASM357664v1:2:2756122:2758931:1 gene:itb02g04620 transcript:itb02g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSMEGGLVEEKAAELKKELQRAVKAIVDEEEDECSVESTNRAMQCLCALRDLKLKPLGCSESLGIGNLSLLGLLPPKEFLCPLSGEVMNDPVVLSSGQTYDRPFIQKWLKDGNQTCPQTQQILSNMVLLPNHLARKLISKWYKENGLKPPCCPLVEEDMPGTLKVDTGYLNERLKKLSSSSVSDQKEAAKELRLLTKQMPSVRALFGDISDSITQLLYPLLSGAANSHPDLQEDLVTTVLNISIHDGNKKLVGENPVVVPLLIESLKSGNIETRTNVAATLFTLSALDSNKFIIANSGALKPLIKLLDEGHPMAIKDAASAIFSICIAHENRGRAVSEGAVRVIMKKIKERVLIDELLAILTMLSSHHSAVEDMGELGAVPCLLGIIRENTSEPNKENCIATVYTLCFTDRTKLREVWAEEQTNGTISRLAKSGTSTSRAKRKAGSILERLDRSVFFAHTA >itb07g03230.t1 pep chromosome:ASM357664v1:7:2194545:2196619:1 gene:itb07g03230 transcript:itb07g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTCPMATVVLLLCLSVIRAEDPYLFFTWKVTYGTMSPMGVPQQGILINGQFPGPKINCTSNNNIIVNVFNELDEPLLLTWSGIQQRKNSWVDGTIGTMCPIMPGTNFTYKLQVKDQIGTYFYFPTTGLQRAAGGYGPINVHSRDLIPVPFDRPADEFNVFISDWYNKGHKTLKKILDSGRTIARPDGVVINGKHGKVGDKIDPLFTMEAGKTYRYRVCNVGMRTSVNFRFQGHAMTLVEMEGSHTIQNVYDSLDLHVGQCLSVLVTADKEPKDYYLVVSSRFFKQEFSTVAIISYANGSGIPASPKLPPSPPENTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNSKSYVDGKLRYTFNGVSHINTDTPLKLAEYFGISKKVFKYDVMGDTPPENVEKVTLAPNVVNATFRNFVEIVFENHEKTIQTYDLDGYSFFAVAIEPGRWSPEKRKNYNLVDAVSRHSIQVYPNSWAAIMTTLDNAGMWNLRSDMWEKAYLGQQLYFSVLSPERSLRDEYNLPENQQLCGLVKGMYMPPPYSGF >itb04g05300.t1 pep chromosome:ASM357664v1:4:3292725:3294927:-1 gene:itb04g05300 transcript:itb04g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATIDDLLAMQACNLLCLPENYQMKYYIYHILSWPQLLYVAEDYNGKIVGYVLAKMDEEATECHGHITSLAVLRTHRKLGLATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFKLYTETLGYKIHDLEAKYYADGEDAYDMRKQLKGKKHQHQHHHHHHSGGCCSGEVRTEGKDGVAEEKAQS >itb03g00980.t1 pep chromosome:ASM357664v1:3:516003:516383:1 gene:itb03g00980 transcript:itb03g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIETTGCGGEDEEKAILQRHQKLGHCDPAKKKKPTCPVRRCKEPLTFSNTTVCKGCQIPVCLKHRFPADHACKGRATSSPAPAPLRGATNNKFLVAFAARNEKDCGNKSRESTSSPTTIPSVKAF >itb11g23550.t1 pep chromosome:ASM357664v1:11:25562811:25564856:1 gene:itb11g23550 transcript:itb11g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVDVSRFFLFEVTADLFKVSRRQHLSLLSVRGHRRSVRGLSPSTSLTSFYPRSLQICSRNYILLLNLQRNQTRKCRFGKWEFFCLTSGFQHQVDNDRLQISLGMKNSYFRDDDGEDIQTTSRQSTNKTEKKRAMKKAKKEREREIMAAKERLLAKDIPKNTDDFEKALCGSSTWPSCALWLM >itb09g12240.t1 pep chromosome:ASM357664v1:9:7724122:7725872:1 gene:itb09g12240 transcript:itb09g12240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein A [Source:Projected from Arabidopsis thaliana (AT3G57090) UniProtKB/Swiss-Prot;Acc:Q9M1J1] MEAKITQLFDSVVTSFFNGAGDQLPWSSADSIRACEREVADAIKDWCDDKVRSESIMRLSWALVHSIKPPDVQRGIAMLEASLTKKNSPPQKREMCYLLAVGYYRSGDYSRSRHLLDQSLQIAPDWSQALSLKKAVEDQITKDGVIGIGITATAVGLLAGIIALARNNY >itb07g21600.t2 pep chromosome:ASM357664v1:7:26021292:26023134:1 gene:itb07g21600 transcript:itb07g21600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRALQLFVSRLSFYTTNDELRRLFLPFGDIKEARLVIDHRTQRPKGFGFVTFESETDAQNALKALNGKIINGRLICVEVAKTTRPGES >itb07g21600.t3 pep chromosome:ASM357664v1:7:26021292:26023134:1 gene:itb07g21600 transcript:itb07g21600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRALQLFVSRLSFYTTNDELRRLFLPFGDIKEARLVIDHRTQRPKGFGFVTFESETDAQNALKALNGKIINGRLICVEVAKTTRPGES >itb07g21600.t4 pep chromosome:ASM357664v1:7:26021292:26023134:1 gene:itb07g21600 transcript:itb07g21600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRALQLFVSRLSFYTTNDELRRLFLPFGDIKEARLVIDHRTQRPKGFGFVTFESETDAQNALKALNGKVHFSSCIAVIDLPLGKARAYFEL >itb07g21600.t1 pep chromosome:ASM357664v1:7:26021292:26023134:1 gene:itb07g21600 transcript:itb07g21600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRALQLFVSRLSFYTTNDELRRLFLPFGDIKEARLVIDHRTQRPKGFGFVTFESETDAQNALKALNGKIINGRLICVEVAKTTRPGES >itb09g21470.t1 pep chromosome:ASM357664v1:9:19471884:19472336:1 gene:itb09g21470 transcript:itb09g21470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFPNAIEDFYPYYCLDLVYQYILLVDGFGVHPRKEITVITEVEYKNYMVGAAWPLGCAINYMVGAAWPLGCAIDVMVGAAWPLGCAIDVISSSANKLLSAIDVISSSANKLLSKY >itb03g07930.t1 pep chromosome:ASM357664v1:3:5927123:5929411:1 gene:itb03g07930 transcript:itb03g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSFTSNENVSSKTSTLSSCGSNISIQAPPFPAAAAAGVVSYEPKSVLELRRSPSPNVTENAHNPALDAADISAGCDDPLQLADHVLTNFEDWDSLMKDLGLKEDTTKPNSESLQTQFPEFPLAHSLDSAQFLPSEHFSFSDNVTAAQYPPPPLSAGGNSNFTLSGNDFQNHNWNLGFDYVDELIRFAECFETNAVQLAHVILARLNHKLRSATGKPLQRAAFYFKESLQSLLTWSTRMTRPNSSSEIVHTIKAYKIFSNISPIPMFSSFTANQAVLEAVEGSMLVHVIDFDIGLGGHWASFMKELADKAESARAKSALRITALVPDEYAVESRLIRENLTQFAHDLNMAFDIDFVLIHTFELLSFKAIKFMEGEKIAVILSPSIFRRVGAGFVNDLRRISPHVVVHVDNEGLVGFGPSSFRQTVIDGLEFYSTLLESLEAANIGGGGGGDWMRKIETYVLYPKIMEMVGAAGRRGSSWREAFIAAGFRPVVLSQFADFQADCLLGRVQVRGFHVAKRQAEMLLCWHDRALVATSAWR >itb01g22280.t1 pep chromosome:ASM357664v1:1:28212486:28219775:-1 gene:itb01g22280 transcript:itb01g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMELLLQMEVPRKPPSQKRATVKPIKPEAVIDPAEADKPLKIQSELDETKNILVNAMGLGKTVMTIALILARLGKGNQELAEDMAITQHSRNRRIKGGTLIVCPMALLGQWKDELEAHSKPDSISVFVHNGGWCLTGTPLQDPAEADKLLKIQRELDGTKIFLVGFNSQPSKLVGSRGSINRYFDFDNWQRVTAIVTTNLNKIIDVIPSKLQRTLICIAGSLGLVSKADTFILLGMAFYSPEVNYLDATLLLIWLQRRKALYETYEGSPISKGTLQPDMWGVTPSNQWDWVALRAMIEKNEVRNSLLAALMPTASTSQILGNNECLEPYTSNIYSCRVLRHAGFENWNVLSRSRAAADGIKFTVDTSMLKDLFEIPAPAWMNVSLRMKHVPENDNNLINKKALALQVELCYEKNGGVATFLFFSLTMFLKVWYD >itb06g10730.t1 pep chromosome:ASM357664v1:6:15230751:15236522:-1 gene:itb06g10730 transcript:itb06g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDAFYTEDGTRTVISDVPGDLTITINSITYLIHKFPLLPKCGLLQRLCSSAEDSGNIALELHDIPGGEEAFELCAKFCYGITINVSAHNFVPAFCAAKFLRMTEAFERGNLVVKLEAFFASCILEGWKDSILVLQTTQKLAEWAENVGIIRRCIDSIVEKILTPPLKVKWSYTCSRPGYEKSVPKDWWTEDLSVLEIELFRCIITAVRSTNVLPPQLIGEALHVYATRWLPDVTKRLPLVAPGAGQFSLDRKRSILETIVSMIPSDGGSVLVGFLLRLISAANYLGASMVTKAQLIRRSGTQLPEARLNDLLLPSQAGSTCDESCCAFDVELIGIVLESFLRQWRRQAPGEGENHSLRSIRKVGKLIDTYLQVVARDPKMPVEEMVSLASAVPDIARPVHDELYQAINTYLKIHPDLSKSGKKQLCRILDCQKLSPQVCMHAVKNERLPLRTVVQLLYFEQERGTTSTAASQLALSPTIQRTPTLKDYGLIRRLNLQDSDNEHPHKADNNRRRRGPVGEEESNSSRSRGVSRIIMESRKKGREIVEEGTKPHPPTQRTVVHKHI >itb05g05660.t1 pep chromosome:ASM357664v1:5:5464535:5465233:-1 gene:itb05g05660 transcript:itb05g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGDDYSQTLGIEAAERDGAYIIRWKSGKRVDPRLLTLLESFRDVFDKREGFFKKIFPGIYEEFKLVFEKFAQIKGQKREMKTRALERSSSLGSARGLRLERFRVKTPNVTVVTGPQDGGGQVK >itb03g02040.t1 pep chromosome:ASM357664v1:3:1151463:1153613:1 gene:itb03g02040 transcript:itb03g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHGLITSDRKNAIATTITVAITLLNIAIYYLRCLYEANSTKRNTTSCSKDQAFRQFSLKEIQQYTNNFSLLIGRGGYGNVYKGTIHGSATTVAIKRLKEGSKQGEGEFWTEIEMLSKFQNEHLVSLIGFCNEGKERVLVYEYMPKGTLADHLHKFDRLGKNDDHPLSWEKRLEISIGAARGLHYLHTSKPKAIHRDVKSSNILLDESWVAKVSDFGLSKMGPGNKSFTHISTIVKGTFGYLDPEYFTTCRLTTKSDIYAFGVVLLEVLTGRPALDERIVEEGKNLAIWAIDYLKKGNVNDIVDNCLAGQVSQTCLKAFAEIAERCLGRKPHERPNMTDVLTKLESLLELQQKKNKNKKKKKDRNSESKPGQGDLLHVLPIKPPNTRASATKYEGLRQFSITEILQATRNFNKTRIIGFGEDDEVFIGSLDGGKSNVAIRRATSSVCHDRMDLELQFYHYDLPLPSHANNVVSLIGYCNTDDKHKIFVYDYMANGSLQDHLHKPYNNPLPWKQRLKICIDAARGLCCLHHTLKKSILHHVFNSSNIFLDENWVAKVSDFGWSRSKQHSGWCRGVVNSPDCGILDSGDLGFITPTEKSYAYAFGLLLIEVLCANNESILQITKDVDTRAFWFKSQGRGKSGNHSIYIDPDIVWKISPDCLEMFVDTICNCLQHEFRERPTISEILKSLEGALKLQEASDGNMQLSATRIQKKTVNK >itb15g17370.t1 pep chromosome:ASM357664v1:15:17995216:17999466:-1 gene:itb15g17370 transcript:itb15g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVYAPRLIFAAAPLPRHDATAHQPPASWSPYSPASTRLSRRPRAPSVFTSCLALHCHSERSKSSPTYSSSTASLRPPSVSLRQRRYPQHRLVAARPLSALSLEASLSTARLVTVALHWLSLRLPRSVAAALHRQPRRLQKSGCFAGFPA >itb09g29880.t1 pep chromosome:ASM357664v1:9:30590427:30594515:-1 gene:itb09g29880 transcript:itb09g29880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSAVAAAAATSTTVKPPPLPSFFSGQPFLSSIFFKPLSFSIASSPLSTSEDSSPSTDLSSQLLSLLSRPNWQKNPSLKKLITSLSPSHLSSFLSRNPSLDPHTAIAFFDYLSRVPSFRIDVRSYFSLLHILISHQLFMPADRIRILMIKSCESPDDAKFVLSLLREMNNVDDDGSRLRFKFKLNVKSCNMMLMSLSRFLLIEDMKCLYSEMLKDKLSPNIYTFNAMIHAYCKLGDVTEAEMYLNKICQAGLRPDVHTYTSFILGHCRKMDVNSACKVFEEMPQKGCQRNHVSYNILIHGLCEARRVDDAMKLFSQMENDHCCRNVRTYTILIDAFCKLNREMEALNLFHEMVEKGCQPNAHTYTVLISGMCKDNRLDEARRLLDEMGKRGLVPNVVTYNALIDGYCKVQNVDAALEIVNLMELNKCKPNVRTYNELISGYCRVRKVHKAMGLLDKMLEQKLSPSVVTFNLLVHGQCSEGHIDSAFRLLTLMGESDVLPDEWTYGSLIDALCEKGCLEHAQSIFDSLKEKRIKANEVIYTALINGYCRVEKVAVALTLFKRMLDEHCFPNISTYNVIVSGLCQENKLYEATLLLETMEERGVKPTVVTYSILIEKMLKDNDFHHAYEVFNSMVSLGYKPDVCTYTSFLVAYCNQGKLEEAEDLMSKMIEDGVRPDLMTYTALMDGYGRLRLLDRSFDTLKSMVDAGFEPSPYTYTILIKHLFHENQIGKGVSRIEVDSINVVDVWKKMEFDTAVKLFEKMVECGCPPNKNTYNVLTYGLCTEGRLEEAWRLVDHMKNCGMSPDEDIYNTLVKCCCKLKMYDDATKLVDTMVKRGFLPHLESYNLLTSGLLVPVVLIDAFGTFTCSRKLVIDMMALSLLKMQGYGYDSMTQLNDSDHTTIAIKLCGDLYPSKC >itb14g02140.t1 pep chromosome:ASM357664v1:14:1740359:1744595:-1 gene:itb14g02140 transcript:itb14g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAADALVGELVRRLATLVEENGSYIIWIKDQTDDLVADLESFNAYLMQASMNQRARDNFVLKDVVDKIRNVVTDAEDAILKYTVETKKYNNKGLMKYLQILAYYVKVNESAREIQSIRERVKKIRQDHTPVLQALIDEPNRGQPILQRMAPVVEEEDVVGFDNEAKVIKDRLKKGSKDLTFISIKGMAGLGKTTLTKMVFKDSDLQYDFFTRLWVYVSRTFNRKQIFLDILSNFTKKTNKFHDMSEENLAEKIQEFLEGGKYFIVMDDVWSVKDWECLKIAFPNNMKGSRVLVTTRHEKVALHVDSDGNPHSLKFLINDESWELLEKKVFRKEKCPLLLEARGRQIATKCQGLPLAVVVIAGVLNKNSTPSHWKRVAENPFPEINQENQSYNELVKLSYDQLPYYSKDCFLYLAAFPIGHEIAAWKLIRLWIAEGFIPRMEGAYMLDLEQTAEKYLEDLIDRNLLMVLKRRADGQIKTCRIHDTLHEFCKKEAAIKDLFHEMDRAKLEANKIPRRLCVHSSILEFLKSNDRPSSERVRSFLCFCSKETEIPPECFSAIPKSFPLLRVMDIEYLKFKVLPKEFYLLYNLRFLAVSTELKILPKTFKELWNMETLVFNTTQNSLEVKAEIWSMRKLRHVHSNTSLQLPPPPITGMANGPGSTDIKTLCTISPSSCTGEILHKTPDLQKLGIRGNLAELLETRQGGISLFDNIQKLDCLENLKLINDALHGNKLRSFPRAEKFPRRLRKMTLSNTPFDWKDFGILASLEELEVLKLEEDAFRGEFCDVRSVVFKQLQYLRIERANLVSWTASKESFRVLKYLILRNCSKLDAVPAAIGEIQSLKLIELYCSNKRATESAREIHQINGEARFQLSIYPPDQDVISNH >itb14g02140.t2 pep chromosome:ASM357664v1:14:1740578:1744595:-1 gene:itb14g02140 transcript:itb14g02140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAADALVGELVRRLATLVEENGSYIIWIKDQTDDLVADLESFNAYLMQASMNQRARDNFVLKDVVDKIRNVVTDAEDAILKYTVETKKYNNKGLMKYLQILAYYVKVNESAREIQSIRERVKKIRQDHTPVLQALIDEPNRGQPILQRMAPVVEEEDVVGFDNEAKVIKDRLKKGSKDLTFISIKGMAGLGKTTLTKMVFKDSDLQYDFFTRLWVYVSRTFNRKQIFLDILSNFTKKTNKFHDMSEENLAEKIQEFLEGGKYFIVMDDVWSVKDWECLKIAFPNNMKGSRVLVTTRHEKVALHVDSDGNPHSLKFLINDESWELLEKKVFRKEKCPLLLEARGRQIATKCQGLPLAVVVIAGVLNKNSTPSHWKRVAENPFPEINQENQSYNELVKLSYDQLPYYSKDCFLYLAAFPIGHEIAAWKLIRLWIAEGFIPRMEGAYMLDLEQTAEKYLEDLIDRNLLMVLKRRADGQIKTCRIHDTLHEFCKKEAAIKDLFHEMDRAKLEANKIPRRLCVHSSILEFLKSNDRPSSERVRSFLCFCSKETEIPPECFSAIPKSFPLLRVMDIEYLKFKVLPKEFYLLYNLRFLAVSTELKILPKTFKELWNMETLVFNTTQNSLEVKAEIWSMRKLRHVHSNTSLQLPPPPITGMANGPGSTDIKTLCTISPSSCTGEILHKTPDLQKLGIRGNLAELLETRQGGISLFDNIQKLDCLENLKLINDALHGNKLRSFPRAEKFPRRLRKMTLSNTPFDWKDFGILASLEELEVLKLEEDAFRGEFCDVRSVVFKQLQYLRIERANLVSWTASKESFRVLKYLILRNCSKLDAVPAAIGEIQSLKLIELYCSNKRATESAREIHQINGEARFQLSIYPPDQ >itb10g25170.t1 pep chromosome:ASM357664v1:10:28590210:28592437:1 gene:itb10g25170 transcript:itb10g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAARDRTISNGAASEILQRNVRYSPSWSFRWDNRGRVAGEETSVNWSSDGVGGNDRLEFKSGTTVETVCPSEDGSPLDSFRSFPWQKSPTSERNMGSSTHPSTDPLVDSQDRNSTEVKESTGSPAVSFPSPVKLSPSAPSVSSFPTSPLSSQSQVPPANLTSSRLPHHSPGRRLSRHVSDTGVPGIKSPTFSVSEEASSFILPGWSNESTRGSYGGSSDGWSVPSFPEFLTTSRRGRWSFDSESFGFHRDKVARSSGRNSGSPSLDLRTCGICAKLLTDKSLWGSQKIIASNELAVVAILTCGHVFHAECLENMTSEINKYDPACPVCTYGEKQALKMSEKALKAEMELKARKRYKNRIVDSNFSGNLSVLDRQKSSGHEGRYPKMSSSSSMRSSSGKTFMRRHFSFGSKGSTRTLSESLSTRKRIFFWAKSSRE >itb14g04830.t1 pep chromosome:ASM357664v1:14:4202812:4205384:-1 gene:itb14g04830 transcript:itb14g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLCDELLQEIFRRLPPSSFASVSLVISKWWLCFLRSSTTFVSILLHEPHHNNKRQSPPPVAAGCLCLCLAAPTSPIAASALRLRLRIRIAAPLPLPPHRRLASRRLPSPPLPLLHC >itb02g16430.t1 pep chromosome:ASM357664v1:2:12314280:12315361:1 gene:itb02g16430 transcript:itb02g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGENNLQLSFPVQSWSDNNLAWDYDHDDYDYDFPMQTTHHYTSFDYHSFPLMDNYMFLINDPLYSHPTQSIIQGVYGDEGVEEEQKMGDGGGNNKKKGRNDCSSKMLCRETISKYFYMPITKAARELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLISNVQVLGKDEEEEKLREAVQLLEKQKREIEESPDMEMEDTTKKLRQACFKANYKKRKLNVSVAGAGMLQPLPSSSPSSAPAISAGASAATADYEEDHQMDSLLSYYLSSSSSSSSTAIDY >itb08g07940.t1 pep chromosome:ASM357664v1:8:6834201:6835036:1 gene:itb08g07940 transcript:itb08g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKEDATEYDGDFRDSPVSPSTAAEPASPLAHNCFPHSGCPWWPYSSARDFKTNTALVLLILFSAFVCALAFNAAVRYFLRRRRRRRSSSAAHRDGNYEEDDDKAGGGSKTGEDEEAGAKIPTVIFSAAAAEEGGGGVASDECIICLGEFVEGERVRVLENCKHCFHIQCIQRWLKAHSSCPTCRAAPH >itb02g20440.t1 pep chromosome:ASM357664v1:2:18381044:18381588:1 gene:itb02g20440 transcript:itb02g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIKMKAFNFMTLAVLLLLLGKAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPQLKDYLVNSAAAKKVYELCKVTMPKC >itb09g21640.t1 pep chromosome:ASM357664v1:9:19716460:19718973:1 gene:itb09g21640 transcript:itb09g21640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 34 [Source:Projected from Arabidopsis thaliana (AT4G00350) UniProtKB/Swiss-Prot;Acc:F4JH46] MESPFFYSGGSSSVAMEEAELHEAPSTMLGDYPTIQSYEDLRNLFWVESIKVWGIAGPIAFNILCNYGINSFTNIIVGHLGDVELSAVAISLSVIANFSFGFLLGMGSALETLCGQAFGAGQIEMLGIYLQRSWIILGASCICMLPLYIYSTPILKLLGQRDDIAELAGEFSIQIIPQMFSLAINFPTQKFLQAQSRVGILAWVGFVALTIHTGLLFLFVRVLQWGTSGAAAAYDVSAWGVALAQVVYIVGWCNDGWKGLSLLAFKELWPFVRLSVASAVMLCLEIWYFMTIIVLTGHLEDPVIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSGHPRTAKYSVFVTVAESLVIGLISMAIVIAAKDHFAVFFTDSVKMQRAVSNLAYLLGATMLLNSVQPVISGNMDGHDCGNFSANYCSVYYSMENQLG >itb09g06770.t2 pep chromosome:ASM357664v1:9:3885306:3887007:-1 gene:itb09g06770 transcript:itb09g06770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKASYGDVSSDSDSESDPTPPPPSTSTSIAADTFTPLPPPPVSLLDTPSSLGSFDYLQSSQVNRVRSFPHVEGNYALHVYIPVHIPSASRKELAQFLERVTALVPGLNAVDVDVPLSSLMRENSKLEQVALGREFHISLGRTVPIRLHQINSIVSMFRQRLHSQKRYWINFDKWEIFVNDDCTRTFLSLEVVKGGLFEKSGGNLCRFS >itb09g06770.t3 pep chromosome:ASM357664v1:9:3885020:3887007:-1 gene:itb09g06770 transcript:itb09g06770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKASYGDVSSDSDSESDPTPPPPSTSTSIAADTFTPLPPPPVSLLDTPSSLGSFDYLQSSQVNRVRSFPHVEGNYALHVYIPVHIPSASRKELAQFLERVTALVPGLNAVDVDVPLSSLMRENSKLEQVALGREFHISLGRTVPIRLHQINSIVSMFRQRLHSQKRYWINFDKWEIFVNDDCTRTFLSLEVVKGGLFEKSGGNLCRFS >itb09g06770.t1 pep chromosome:ASM357664v1:9:3885020:3887012:-1 gene:itb09g06770 transcript:itb09g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKASYGDVSSDSDSESDPTPPPPSTSTSIAADTFTPLPPPPVSLLDTPSSLGSFDYLQSSQVNRVRSFPHVEGNYALHVYIPVHIPSASRKELAQFLERVTALVPGLNAVDVDVPLSSLMRENSKLEQVALGREFHISLGRTVPIRLHQINSIVSMFRQRLHSQKRYWINFDKWEIFVNDDCTRTFLSLEVVKGGLFEITKQIQAVNEVYRLHNLPEFYKDPRPHISTAWALGDISDTLKSMVEEEMKRYLVGSSQQKPIFTTKFSSILCKIGNKSYEICKYLGE >itb12g18420.t1 pep chromosome:ASM357664v1:12:20659600:20660909:-1 gene:itb12g18420 transcript:itb12g18420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNSSESVKIDSRLVRMCIEAANESRDAIEAWRRQRRTLERLPSQLADVLFHRLLRRRLLFPSLLEVFKHCVEEVNLKGENSVDAKWMAYLGGFHYLRTLNMSDCRKMSSSSLWPITVGAQCVFMHSFTIPPKLEKEDLPAIIAENDTPFFSCSLDGASTLSFYCSLKEVILLSNMTN >itb10g04150.t3 pep chromosome:ASM357664v1:10:3932835:3934243:1 gene:itb10g04150 transcript:itb10g04150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMGVNMLLLMAMVATNILSLYHLTTHVHSTPSPPPPLPHHLIQHLHTIRAAINRLTRLNPPSSPAAPSDLLLFARIFPVATSCKDHPELLHKYMNYTPFALCPPDSSLAESLILRGCHPLPRRRCFSRTSPAAATSLPNNPFSPIPENAVIWGDYNCSSFNCFKGFDMKVEKSRFLASKSDLDLSIPQILQIGKSGKNVIRLAMDIGGGSGTFAAQMKLQNVTVITTTMNLGAPHNEAAALRGVVPLHLPLQQRLPVFDGVVDLVRCGHGVNRWVPVVVMEFLLFDMDRVLRGGGYLWLDHFFSKRGDLERVYQPMVSKLGYKKVKWVVANKNDSSGVKNGEVYLTALLQKPISR >itb10g04150.t2 pep chromosome:ASM357664v1:10:3932904:3936240:1 gene:itb10g04150 transcript:itb10g04150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMGVNMLLLMAMVATNILSLYHLTTHVHSTPSPPPPLPHHLIQHLHTIRAAINRLTRLNPPSSPAAPSDLLLFARIFPVATSCKDHPELLHKYMNYTPFALCPPDSSLAESLILRGCHPLPRRRCFSRTSPAAATSLPNNPFSPIPENAVIWGDYNCSSFNCFKGFDMKVEKSRFLASKSDLDLSIPQILQIGKSGKNVIRLAMDIGGGSGTFAAQMKLQNVTVITTTMNLGAPHNEAAALRGVVPLHLPLQQRLPVFDGVVDLVRCGHGVNRWVPVVVMEFLLFDMDRVLRGGGYLWLDHFFSKRGDLERVYQPMVSKLGYKKVKWVVANKNDSSGVKNGEVYLTALLQKPISR >itb10g04150.t1 pep chromosome:ASM357664v1:10:3932835:3936240:1 gene:itb10g04150 transcript:itb10g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMGVNMLLLMAMVATNILSLYHLTTHVHSTPSPPPPLPHHLIQHLHTIRAAINRLTRLNPPSSPAAPSDLLLFARIFPVATSCKDHPELLHKYMNYTPFALCPPDSSLAESLILRGCHPLPRRRCFSRTSPAAATSLPNNPFSPIPENAVIWGDYNCSSFNCFKGFDMKVEKSRFLASKSDLDLSIPQILQIGKSGKNVIRLAMDIGGGSGTFAAQMKLQNVTVITTTMNLGAPHNEAAALRGVVPLHLPLQQRLPVFDGVVDLVRCGHGVNRWVPVVVMEFLLFDMDRVLRGGGYLWLDHFFSKRGDLERVYQPMVSKLGYKKVKWVVANKNDSSGVKNGEVYLTALLQKPISR >itb10g01170.t1 pep chromosome:ASM357664v1:10:872690:874836:-1 gene:itb10g01170 transcript:itb10g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCTTNEVEGDASTDREGEEGNAIPEKSTEMGTNEDFGVDIKEIECASEGKPSFLPVFRSGSCAEMGPKQYMEDEHIRIDNLAAYLRESASFSSPGAFCGFRHGIRAKALLRRRRFRCRRSNSRNANSVASHKISDPQSDPKQSRSDSAAEFTAKL >itb03g21800.t1 pep chromosome:ASM357664v1:3:19747771:19749213:1 gene:itb03g21800 transcript:itb03g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKNKKKKRLPPGPKGLPIVGHLHLLGKNPHQDMYQFAKKHGPIIRLRFGSATIILVSSADAAKLFLKTHDHIFASRPRYEAAKYILYDEKDLIAAKYGPYWRQMRKLCTLQLLSPPKINSFRPAREQEVKLGVLSLKQKAHNRQLVDLSAFVSTMNGNMSCIMVFGKKYTEEEFAETGFRGVMRETTQLSAAPNLKDYFDFPLLGGFDLQGLTRRMKAVSRIYDDFFERVINEHIENKDKKQTKDIVDTLIGIMESGQAEFEFDRRHVKTLMLDMLVASMDTSATAIEWILSEIIRHPMVMKKLQKELEEVVGKDRMVQESDLKSLHYLEVVIKEAFRLHPVAPLLLPHEAMEDSMVSGFHIPKGSRILVNTWAIGRDPEFWPEPEKFIPERFVGSDIDVKGHDFELLPFGSGRRSCPGIQLGLVIVRLIVAQLVHCFDWELPDGMLPGDLDMTEQFGTATARANSLKAIPKYRLID >itb14g18020.t1 pep chromosome:ASM357664v1:14:21155377:21156089:1 gene:itb14g18020 transcript:itb14g18020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHVEEITHPITAGEVLKNNPNHVLSKPSSQGMVRRILILSPESELKRGSIYFLIPAPSVPAEKKRRKKISSATTKTAKSRHVTATSMAAVAGDRCVTAAEKKSSSSSRRDRRSCKAGEWRPHLESIFED >itb05g17120.t1 pep chromosome:ASM357664v1:5:24165577:24167085:-1 gene:itb05g17120 transcript:itb05g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLGLFFQPFDYDLVRFLFEFVTGTNNSADEEGLIHEEDMFGDKEPWELIRYGPSENMAYFLTKLKKKGKLKTGCRVERTVGKKVKGKKPGNWHGQDKGKPVFDEKGKFVMGYKRCFVYKNRSEPEQDGQWLMKEFSLPETIVKKARAAYPVVEERKDFVLCRLERKKDEEDEDEDDEKTTLEQGGKDLEVETTSPIITPSLSLSPSPVETLTVADDDMNSNLIGVPVDEETLALTNDDMNSFLASLAAAGDTDGGHDHNISSYFDGVPILVPVGDTDIISSYLDGVPVPVPVGDTDISSYFDGVPVPVPVGDTYISSYFDGVPVPVTYISSYFDGVPVPVLVGDTHISSYLDGVPVPVLVGDTHIDGVPVPVLVGDTHISSYLDGVPVPVPVGDTDIISSYLDGVPVSVPVGDTDISSYLNGVPIPVPAGDTDISSYLVDVPMETLIVPGETLAPADRDQDHHISSGVLVETLPEPGSCSFMDFIFNMEDVTRLIDFDF >itb09g24370.t1 pep chromosome:ASM357664v1:9:24033145:24034745:1 gene:itb09g24370 transcript:itb09g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFAAPSIAQVHHVVLRDHQEVVVKMSQRKRNRGKGKIVTGSHSQADISQPPLLHALIDDPEEGSTPVMETPRNEVHRMLEDTDSKRTPSSSRPTEHTTEGPSEHTSYVASESIDEATLGGGGEGMTTESPPSTIPADRFTTFIRTVGGTAFEPHTTYRHIILCIQRMFTEPIRSFKYAPQHLKDVWFNEFKKKHRWDPSEEDTVRRIFQKKGAKLLSDHLREAREGFTKNRAKPDWISDDVMAGLVRIWGSDEFKKLSEKTRGTKIQTAMD >itb04g10300.t1 pep chromosome:ASM357664v1:4:9625093:9627364:-1 gene:itb04g10300 transcript:itb04g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPSFRRTKAGRHLVEKQRRQKLKGLYDQLSSLVVSGENSLGKEKSSELDLLDRATDYIKQLEKNINELKARMDSLQVPVEVTVKESDAGETLLEINIVCGSENKKVKMHKVIRILEEEGAEVVSASFSTVDLKVYHTILCKQRMSLRRRGTVAEERRKENCEGDGCDKSDVSCKGCEEEEE >itb09g15630.t1 pep chromosome:ASM357664v1:9:10886586:10887867:1 gene:itb09g15630 transcript:itb09g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQKMRIKVESPTSEFRVEVKESDKVRDLIKIVKQAWGTEYMTLHCNSAEMHGDQPLSAYNLRDGSVIKVRVFADAP >itb02g13990.t1 pep chromosome:ASM357664v1:2:10226252:10226590:-1 gene:itb02g13990 transcript:itb02g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTILSSNKTVRRVHVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNALNNELVRTQTLVKSAIVQVDAAPVKQ >itb10g15960.t1 pep chromosome:ASM357664v1:10:22208619:22213159:1 gene:itb10g15960 transcript:itb10g15960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPPSQSRFLRISHFAVGGSLGLSGFWFDMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >itb02g08210.t1 pep chromosome:ASM357664v1:2:5145267:5146913:-1 gene:itb02g08210 transcript:itb02g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCMGDQDIELLNSQTHIWNTIFSYVKSSSLKCAVELGIPDIIHKHGRPMTLLELVDAIPINKAKAGHLARLMRTLIHYGFFLHTKIQGSEVGNDGYALAPPSTLVLKDNPFSLRPHLLCVLDPIITQPWNHACEWFLNDDPTPFDTAHGMTIWDYAAHQPEFNHMFNEAMACDSRLVMNVVIKYCKGVFEGLNSLVDVAGGTGTVARTIADAFPDLKCTVFDLPHVVQGLEGTKNLDYVGGDMFVSIPHANALFLKWILHDWNDEECVKILKKCKESIPSKEKGGKVIIIDMVIDNVRKDEKSFETQICFDTMMLSILIGRERAMKDWEKLFSDAGFSDYKIIPILGLRSIIEVYP >itb03g03670.t1 pep chromosome:ASM357664v1:3:2152052:2155590:-1 gene:itb03g03670 transcript:itb03g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVFYCGYLSQGQGVNSTLSARPSVVNIGCILTLTSNVGKITKLVIQTAVEDINSNPAVLGGTKLNITFLDNNSNGLLWIIEAIEYMETDMVAIIGPQSSVKAHTIAHIANEIHVPLLSFSATDPALSPLQYPFFVRTSPNDMFQMAAIASIVQYYEWKEVVAIYVDNDYGRSGIDALGDQLAISYKAPLKPHATLEEVKDMLAQLAALMESRIIVVHTYAYMGLEIFFQAKSLGMTKSGYVWIATNWLSTIIDTIGPLSSDVMDNIQGTITLRTYIPDSEGKRKFVSRWSDLAKRIDTNSSLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSRVPIHTTGGLNPYSMNVFDGGKLLLDNILKTNISGVTGLFKFTSDRELYRPEFEVINVIGTGTKKVGYWSNYSGLSVVHPHSLYSTHLPSNHQKLYPIFWPGETRQTPRGWAFPNCGRQLRVGVSIKADLNEYVEHVLGTDTFQGYCIEVFTTAIKYLPDDVRVTYKFFPFRDENSTELVRQITEGVYDAGVGLIGITNNRTKMVDFTPPFMESSLVLVAPFRERGSSTWSFLRPFNARMWCVTGMLFLTIGAAVWILEHRVNDDFRGPVRKQIETIIWFGFLTFFSASNNDNTFRIVSILGRLVHMTWLFAIIIITSNYMANLSSILVAQHPSSLIKGIESLVARNEPIGYLLDTGSTARTHLIQQLHVQESNLIPFNNMEDFAEALRDGPNKKGGVAAVIGYSIYMEQFLSTHCEFVTVGPELSRIGWGFVKSGELQRIQDMWLLRRACMSLNTKLEVNRLDVKSFSGLFLMCGCACLVALLLHFILAIRHLITCS >itb04g05020.t1 pep chromosome:ASM357664v1:4:3132675:3133133:1 gene:itb04g05020 transcript:itb04g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKSFPANAICLFDTHVGPAATCVFKSPSHLPDKSSLTNLPLLSLSLSPHTLFYGIFTETSSPESVAPENIFWQRIDDFSISLRCCPAVVEFHRDLLSTLMML >itb01g24510.t1 pep chromosome:ASM357664v1:1:30142376:30142708:1 gene:itb01g24510 transcript:itb01g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTGHHEPPSVTLSRASHLHLLLSALSLSALLPVDGRSGRDQQRRRRTAPAVTSSDGDTTTISNETADPVGPLPSSTTNSTSSKDFGDIVEGDLRRRCVAAFVLPLLA >itb06g15650.t2 pep chromosome:ASM357664v1:6:19967170:19972480:1 gene:itb06g15650 transcript:itb06g15650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKVLMDYYIPDYILLPGIEATLNHDLPACPVIVFINSRSGGQLGGELLLAYRTLLNQNQVYDLRERAPDKVLHQLYSNLEKHKQNGDSFSLEIEKRLRIIVAGGDGTAGWLLGVVSDLKLACPPPIATVPLGTGNNLPFSFGWGKKNPGTDCSSVKAFLDQVRNAKEMKVDSWHILMRMKAPTEGSCDPIAPLELPHSMHAFKRVSQTDALNEDGYHTFRGGFWNYFSMGMDAQVSYAFHRERKLHPEKFKNQLVNQTTYAKLGCTQGWFWASLTHPSSKNIAQLAKVKIMKATGEWIELEIPKSIRSIVCLNLPSFSGGLNPWGTPNKKKLHERDLTPPYVDDGLIEVVGFRDAWHGLVLLAPKGHGRRLAQAHRVRFEFHKGAADHTFMRIDGEPWKQPLPLDSDTVVVEISHFGHANMLAAPNCRSSSVHAPHHTLDDGDDDDDDDDDDYDSDEDDFESSEERRKFGAANTFHYSDSVDGAQS >itb06g15650.t4 pep chromosome:ASM357664v1:6:19967309:19972480:1 gene:itb06g15650 transcript:itb06g15650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKVLMDYYIPDYILLPGIEATLNHDLPACPVIVFINSRSGGQLGGELLLAYRTLLNQNQVYDLRERAPDKVLHQLYSNLEKHKQNGDSFSLEIEKRLRIIVAGGDGTAGWLLGVVSDLKLACPPPIATVPLGTGNNLPFSFGWGKKNPGTDCSSVKAFLDQVRNAKEMKVDSWHILMRMKAPTEGSCDPIAPLELPHSMHAFKRVSQTDALNEDGYHTFRGGFWNYFSMGMDAQVSYAFHRERKLHPEKFKNQLVNQTTYAKLGCTQGWFWASLTHPSSKNIAQLAKVKIMKATGEWIELEIPKSIRSIVCLNLPSFSGGLNPWGTPNKKKLHERDLTPPYVDDGLIEVVGFRDAWHGLVLLAPKGHGRRLAQVS >itb06g15650.t3 pep chromosome:ASM357664v1:6:19967542:19972480:1 gene:itb06g15650 transcript:itb06g15650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLARRSRSTVNDLKMSFRNFFHKRKSAAVSGMEAEKVLMDYYIPDYILLPGIEATLNHDLPACPVIVFINSRSGGQLGGELLLAYRTLLNQNQVYDLRERAPDKVLHQLYSNLEKHKQNGDSFSLEIEKRLRIIVAGGDGTAGWLLGVVSDLKLACPPPIATVPLGTGNNLPFSFGWGKKNPGTDCSSVKAFLDQVRNAKEMKVDSWHILMRMKAPTEGSCDPIAPLELPHSMHAFKRVSQTDALNEDGYHTFRGGFWNYFSMGMDAQVSYAFHRERKLHPEKFKNQLVNQTTYAKLGCTQGWFWASLTHPSSKNIAQLAKVKIMKATGEWIELEIPKR >itb06g15650.t1 pep chromosome:ASM357664v1:6:19967542:19972480:1 gene:itb06g15650 transcript:itb06g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLARRSRSTVNDLKMSFRNFFHKRKSAAVSGMEAEKVLMDYYIPDYILLPGIEATLNHDLPACPVIVFINSRSGGQLGGELLLAYRTLLNQNQVYDLRERAPDKVLHQLYSNLEKHKQNGDSFSLEIEKRLRIIVAGGDGTAGWLLGVVSDLKLACPPPIATVPLGTGNNLPFSFGWGKKNPGTDCSSVKAFLDQVRNAKEMKVDSWHILMRMKAPTEGSCDPIAPLELPHSMHAFKRVSQTDALNEDGYHTFRGGFWNYFSMGMDAQVSYAFHRERKLHPEKFKNQLVNQTTYAKLGCTQGWFWASLTHPSSKNIAQLAKVKIMKATGEWIELEIPKSIRSIVCLNLPSFSGGLNPWGTPNKKKLHERDLTPPYVDDGLIEVVGFRDAWHGLVLLAPKGHGRRLAQAHRVRFEFHKGAADHTFMRIDGEPWKQPLPLDSDTVVVEISHFGHANMLAAPNCRSSSVHAPHHTLDDGDDDDDDDDDDYDSDEDDFESSEERRKFGAANTFHYSDSVDGAQS >itb10g20260.t1 pep chromosome:ASM357664v1:10:25894167:25899190:-1 gene:itb10g20260 transcript:itb10g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSFLFFLSRISPLNLNIFRHYCHNVNPFKMFFTIIIIFLLSSIFRFFRRHGLSCLNPRKFIASKKLNYYIDYSTMQLRGWLCKSFFRLEMAIGACYGVSPFSFRRKKFSALMEEKETLEEESEMNIEPNSSQEIDSAANISKETKGTTERKPCGLASNFMVMTELRNKTLTLRDLLDLSPCIGSASVHELLILTLKDLHALYPSLEQIDVSEIEGASMDQVLNSFCSALESIGEMWTGNDEWMIKCKDDMFNSESNNLEHYVLAMLEDMIHLTSERMFEDEQMVDSPNAFGRLWSEPCSPVTPTSVLPGFCNVPKKSKKGCYSTPIRQLPLKVGPVGKLNPVDVRHVSLHMFSHVVAQDPNYMVQVTNTGSPLKEQQPGTEEKHESQVNIADGRCEVKEGHDKMVDSPKILIAKLEESKEDDNRDWNDGSIIQLVPACITMDMLLQTSFLTKLHSDAAKQEPPFALSSDGVELQISTPSQQLTLPPKLPSNLKPGVAASPPSPPLPSLAPKFFVLLPPPPPPDPSTETRDSVVQLLSPPPPPQPSISPRDSVVLLQPPPPPLSSMAPKFFVLLPPPPPPPDPSTEPRDSVVQLLPPPPPPQPSISPRDSVVQLQPPPSPLPSMAPKFFVLLPPPPPPPDPSTEPRDSVVQLLPSPPLPQLSIEPRNSVVQLPLPTPPQPSIGPKDSVVQLPPPPPPPPPQPSTAPRHSDVLLPPPPPYPPQPIIAPSHNVALLPLPPTPPPPPQPLLESKDNLVLPSSPPPLPLTLENIASSPPPPPPTSNGTAPPPPPPLITSNGTAPPPPPPPITSNGTAPPPPPPPITSNGTAPPPPPPPIASNGTAPPPPPPPITSNGTAPPPPPPPITSNGASPPPPPPPITSNGTAPPPPPPMSSNGTAPVPPPPMSIGKGGACPPPPALAGGINLRTKKTSKLKRSSQMGNLYRLLKVKVEGSSLNGKSARKGKVGAASSGGKQGMADALAEMTKRSTYFIQIEEDVKNHASAIKEMKTAIATFQTSDMSELIKFHKFVESHLEKLTDESQVLARFEDFPTKKLEALRMAATLYSKLDDIASTLQNWPIASPFGQLLDRAEGYISKIKGDLEKIEQTKDDEAKKFASHKITFDFAILVRIKEFMVDVSSNCMELALKEWRDAKTREKEPSGQSNNNGRKNGSTGKILWKAFQFAYRVYTFAGGIDDRADKLTKELAHEIETEPNP >itb03g22170.t1 pep chromosome:ASM357664v1:3:20174347:20176173:1 gene:itb03g22170 transcript:itb03g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPAWLESLYSQKFFVACSIHESAKKNEKNVFCLDCCISICPHCVMAHRVHRLVQIRRYVYHDVVRLEDLEKIIDCSNVQAYTINSSKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPFLRRCTTLQLSPDFFIPQDMGDDDTANSTVVDCDEPWSSSSASSASDNLSFACTDFVRKKRSGLYVCRRTDYKIITEEDMASSMSTRRKGIPHRSPLC >itb05g09690.t1 pep chromosome:ASM357664v1:5:14225425:14225766:1 gene:itb05g09690 transcript:itb05g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRREVEEQSRRGLTKVAEGRPGEAELRSVLESRTIVAEDLKTEEQGREGDKEWAALRLKNPWAALSLGALRIWVVAGCATLFSLSLRAISPGQFTMGREGNKKDARVLMHI >itb10g21880.t1 pep chromosome:ASM357664v1:10:26923238:26927338:1 gene:itb10g21880 transcript:itb10g21880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTQSSLNLRLLLICIFIAFFILFVMKSSIVSSPPTTQSPSSSSSSSQSSSKSQTFLPRKEAKRSTKNCNKKIPASVAKAVIHYSTSAITPQQTTKEISVTSRILEQKSPANFLVFGLGHDSLMWHTLNYGGRTVFLEEDQAWIHQITKKFPMLESYHVTYDTKLSQASDLLETGKGPECTATTDDPRYSMCQLALKSLPSLVYEVPWDLIMVDAPTGYHDEAPGRMAAIYTAGMMARHRGDGGETDVFVHDVNRVVEDKFSKKDINKQRIPFSSSSQSLQSIEDNQLKERSRADQNSIQQRENMSTEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELEGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEKESFDNVKQWLNEIDRYANESVCKLLVGNKCDLVEDKVVDTETAKAFADELGIPFLETSAKDSINVEHAFLTMASKIKKKMGTQPTGNNKSANTVQIKGQPIEQKSNCCG >itb11g11310.t1 pep chromosome:ASM357664v1:11:8250073:8254868:1 gene:itb11g11310 transcript:itb11g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFDIRVQPSDTVMAVKKNIEDAQGKDSYPCGQQLLIHNGKVLKDESTLAENNVSEDGFLVVMLSKSKTVGSSGTSSAQPSATGAPTSNPTTPAEVPSSEPAPKSVASASDAATASVPSDTYSQAASNLVAGNNLEQTIQQIMDMGGGNWDKETVTRALRAAYNNPERAIDYLYSGIPEAAEVALPVAQSGGPAAAQGAVNAIPVSGGPNSSPLNLFPQEQVSGAAGAGLGSLDFLRNNQQFQALRSMVQANPQILQPMLQELGKQNPHLLRTIQEHHQEFLQLINEPVEGSEGDIFDDQPEQDMPHTVSVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLEHSGDYED >itb11g11310.t2 pep chromosome:ASM357664v1:11:8250103:8254868:1 gene:itb11g11310 transcript:itb11g11310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGSHFDIRVQPSDTVMAVKKNIEDAQGKDSYPCGQQLLIHNGKVLKDESTLAENNVSEDGFLVVMLSKSKTVGSSGTSSAQPSATGAPTSNPTTPAEVPSSEPAPKSVASASDAATASVPSDTYSQAASNLVAGNNLEQTIQQIMDMGGGNWDKETVTRALRAAYNNPERAIDYLYSGIPEAAEVALPVAQSGGPAAAQGAVNAIPVSGGPNSSPLNLFPQVSGAAGAGLGSLDFLRNNQQFQALRSMVQANPQILQPMLQELGKQNPHLLRTIQEHHQEFLQLINEPVEGSEGDIFDDQPEQDMPHTVSVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLEHSGDYED >itb12g11930.t1 pep chromosome:ASM357664v1:12:10439581:10442494:1 gene:itb12g11930 transcript:itb12g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAELFGIGNPNPALNRCLKQAPFTAHSYSPASLGFESALRFRHSRCYKAAFSLTPPVHPQGLAPAPLCKTSLGRRSVLSFAASREEPSSKAEVEFEKNDLEKASDESQEAWKQTLESFKEQALKMQSISKEAYEVYSKKAMIVLEETSEKLKIQSEKARHDLSVIAKEISEESKEYLATAAENSPEPVKDIVETFASSSDEFNDISKVLDFYLGIPYGAILSVGGFLSFMVTGSIPAIRFGVILGGALLAFSISSLRSWRKGESSSLALKGQSAIATILFLRQFRLLFQRPCFGNIFMSLVSGSMAAFFAYRIIRDRDQTKGSTLTEN >itb07g05030.t1 pep chromosome:ASM357664v1:7:3409479:3420117:1 gene:itb07g05030 transcript:itb07g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRSIRACKTAAEERAVVRKECAAIRAAISENDHDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSIKQDLNHGNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINAAVSLLKEKHHGVLITGIQLCTDLCKVSTEALEHFRKKCTDGLVKVLKDVVNSPYAPEYDISGITDPFLHIRLLRLLRILGQGDADASDSMNDILAQVATKTESNKNAGNSILYECVATIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDSQAVQRHRTTIIECVKDSDPSIRKRALELVYLLVNETNVKPLTKELIEYLEASDLEFRGDLTAKICSIVEKFYPEKIWYIDQMLKVLSEAGNYVKDEVWHALIVVITNAPNLHGYAVRSLYRVLKTAGEQEILVRVAVWCIGEYGEMLIANKGMLDIEELVTVTESDAVDVVVTALKSHSTDLTTRAMCLVALLKLSSRFSSCSKKINDIIVQYKGSFVLELQQRAIEFNSIISMHQNIRPTLLERMPVLDEASYSGRRAGSVAAAVSTSQGPSVKVPNGVAKSAAAAAPLVDLLDLSSDDVPAAPSSSGGDFLQDLLGVDLSPATSLPGSNHVQKSGTDVLLDLLSIGTPPPQNSSPSTELVLINENNKSSVDLLDRLSSPSAPLAQNSSPVGISPVMDLLDGISSPAALENNGPEYPSIIAFESSSIKLMFNFSKQPGNPQTTVIEATFTNKSENVFTDFIFQAAVPKFLQLHLDPASSNTLPATGNGSITQKLRVTNSQHGKKSLVMRLRVGYKMNGKDVLEEGQINNFPRGL >itb13g19400.t1 pep chromosome:ASM357664v1:13:26401325:26406217:1 gene:itb13g19400 transcript:itb13g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVENRQFSHLEPGLTNVVRFKPRSDSPIRGFNNFPLNSELKFPKIPNGNKNVSVDDYSSDEDDDDDNFSEYAVAIRKGKAELEPSIHDSRDENTSDHWIVRNPSLIRLTGKHPFNSEPPLARLMHHGFITPVPLHYVRNHGAVPAGAWNDWSVEVTGLVKRPTKFTMEQLVTEFAYREFPATLVCAGNRRKEQNMVKKSIGFNWGAAAISTSVWRGVPLAALLKRCGIFSRKNGGLNVCFEGAEDLSGGGGSKYGTSVSREVAMDPSRDIILAYMQNGEKLTPDHGFPVRMIIPGFIGGRMVKWLKRIIVTTKESENYYHFKDNRVLPSHVDAELANSEAWWYKPEYIINELNINSVITTPCHEEILPINAWTTQRPYMLRGYAYSGGGKKVTRVEVTMDGGETWLVCDLDHQEKPNKYGKYWCWCFWSLEVEVLDLLSAKEIAVRAWDETLNTQPEKLIWNVMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTQPGAQSGGWMAKERHLEISSDATPTLKKSVSSPFMNTASKMFSMSEVKKHNTADSAWIIVHGHVYDCTRFLNDHPGGTDSILINAGTDCTEEFDAIHSDKAKKLLEDYRIGELITTGYTSDSSASSPNNSVHGPGHLAPIKEIAAPNAPQRSVALIPREKIPCKLVDKISISHDVRLFRFALPQDDQVLGLPVGKHIFLCAAIDDKLCMRAYTPTSHVDQVGHFDLVVKVYFKNVHPKFPNGGLMSQYLDSLELGSTLDVKGPLGHIEYQGRGKFLVHGKPKHAKKLAMLAGGTGITPIYQVMQAILKDPEDDTEMFVVYANRTEEDILLRAELDAWAEKYPDRVKVWYVVQESIEEGWKYSTGFITEAVLKDHIPAGSKTTLALACGPPPMIQFAVNPNLEKMGYDIKDSLLVF >itb05g04280.t1 pep chromosome:ASM357664v1:5:3837082:3838203:-1 gene:itb05g04280 transcript:itb05g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLICIFFLVFTFGCTQSARILDETSPVTPLPATIPEEANPPETDDPVVTPPVAPAVAAPGVVDPPETDDPEVAPPTASAVTAPAVNVEPVGGPQPTEPEAVPVVSPVAAVAPPPGAAPVATTPGTSSATVANPTTDHPALSFFMHDILGGSQPSGRVVTGIVANSNANNLPFTQPNNQIFPINGGVPLNTINNVINNNNYPFLVGLNGQQQTNTLLQNAGNNNVVDSGDNQPFVTGGQLPSGLNIQQLMFGSITVVDNQITEGHELGSAVLGRAQGFYLASSLDGTSHTLALTAMFHGEHDHEHELGDTISFFGVHRTATPISHLAIIGGTGTYETAKGYATIETLPHVDQHTTDGVETITHFTVYITP >itb05g12930.t1 pep chromosome:ASM357664v1:5:19740315:19743360:1 gene:itb05g12930 transcript:itb05g12930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSSICEDTHWWMLTLPAVLETQYLSDIMVFFSVFAAFLVGGFLTRAFSDGGPAWKNGRNRMGRVKIPGPTGLPIFGSLFSLSHGLAHRTLAAMASRRATQLMAFSLGSTPAVVASEPNTAREILTSPHFANRPVKESAKQLMFSRAIGFAPNGQYWRLLRRIASTHLFAPQRILAHGSSRRLECAAMLKSITKDQNLHGYVTLRKHLQAASLNNIMGIVFGKKYEINNNEEEACSRELQEIVREGFELLGGFNWSDHLPWLKHLYDPFRVQQRCLALIPRVQRLVKAIIQEHKNKKHVGNHVSDQSFVDVLLSLDGEEKLDEDDMVAVLWEMIFRGTDTTALLTEWIMAELVLNRGIQDKLRNELDNIVGQNKTITDAVISKLPYLQAIVKETLRLHPPGPLLAWSRLSTSDVQLSNGMVIPANTTAMVNMWAISHDPTLWDQPLVFYPERFDPAAGGMYMDVRGNDLRLTPFGAGRRVCPGKNLGLATVSLWVANFVQHFEWIEIESQPVDLSEVLKLSCEMKHPLLAKVVPRNY >itb05g27180.t1 pep chromosome:ASM357664v1:5:30691701:30692618:-1 gene:itb05g27180 transcript:itb05g27180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADSVISSPVFTPESMEFCLSARLCVCLYMYIYTHTHYNFTFLPTIILNSDHLKFVLVLLCYRSHHSFMEQKIVIQLYPKRHRAQKCRSKAFTIAAQAYGVSWVEIQQDTVTVTGENIDPSGLTTLIRKKVCNASLELVEDMM >itb10g20970.t1 pep chromosome:ASM357664v1:10:26349652:26351988:-1 gene:itb10g20970 transcript:itb10g20970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVWLSLKKSLQCRSEPAEVHDPSKKVSTGTRRKTATVRSGCSRSIANLRDVVINGSRRYNNGETPYLQSPRSIGSNELLNPITHEVVLNNSTCELKITSYSFQDGGGGGAGGGGGGGGWPFVQCTLKPGTPGPGRVQYRKPGGGGVGSPTVKGSSGSLSRRVGAGFSGIVPRPKASNGGPEFYGFKELSCPKCGEHFSKWDAVESHHLSKHAVTQLVEGDSSRSIVEMICRTSWTSSKPDKNNNTCNNNGMERILKVHNMQKTLARFEEYRETVKIKASKLAKKHARCLADGNELLRFHGTTVECSLGKNGSSSLCNSEKCQVCQILRHGFRVKKGGVGVFTASTSRRALEAIEMKGGMKRALIVCRVIAGRVHKPVENLQELSGFDSLAGKFGLHSSVEELFLLNPKALLPCFVVICRG >itb03g05820.t1 pep chromosome:ASM357664v1:3:4130463:4130834:1 gene:itb03g05820 transcript:itb03g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRPHAVCIPYPAQGHISAMLKLAKLLHHKGFHITYVLTQFNYTHIMKARDFLPLTQSPTFRFETIPDGLPSRENHDTAIDIAELCFSTAKNCYAPLMELIDRLNRAEQSGGCSSSFLCCF >itb03g01040.t5 pep chromosome:ASM357664v1:3:547482:550969:1 gene:itb03g01040 transcript:itb03g01040.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIHSDSQSKNPTSLYSRKEKSLGVLCSNFLRLYDRDDVDCIGLDHAADQLGVERRRIYDIVNILESVGLLSRRAKNQYTWKGFAAIPRTLDALKEEGLREKLSGQNIANVLRENEYRQPSNLSTKQDNTHELIKGDNRKEKSLALLTQNFIKLFLCSDVEFISLDNAASALLGNMHDPTAMRTKVRRLYDIANVFASMNLIEKIRHPESGKPAFRWIGQVGNPGNGSRSAANVTDLKRTFGADITNTVPKRCKAGSSSDWKPSEHATQYAKHGNLKDENSKVSPDRHKHGSKDFEFGPFSPSSVPREVLGNINVGQIPNWENLASTYRPRYCNKADADEKQHDQHLP >itb03g01040.t3 pep chromosome:ASM357664v1:3:547482:550939:1 gene:itb03g01040 transcript:itb03g01040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIHSDSQSKNPTSLYSRKEKSLGVLCSNFLRLYDRDDVDCIGLDHAADQLGVERRRIYDIVNILESVGLLSRRAKNQYTWKGFAAIPRTLDALKEEGLREKLSGQNIANVLRENEYRQPSNLSTKQDNTHELIKGDNRKEKSLALLTQNFIKLFLCSDVEFISLDNAASALLAKVRRLYDIANVFASMNLIEKIRHPESGKPAFRWIGQVGNPGNGSRSAANVTDLKRTFGADITNTVPKRCKAGSSSDWKPSEHATQYAKHGNLKDENSKVSPDRHKHGSKDFEFGPFSPSSVPREVLGNINVGQIPNWENLASTYRPRYCNKADADEKQHDQHLP >itb03g01040.t4 pep chromosome:ASM357664v1:3:547482:550939:1 gene:itb03g01040 transcript:itb03g01040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIHSDSQSKNPTSLYSRKEKSLGVLCSNFLRLYDRDDVDCIGLDHAADQLGVERRRIYDIVNILESVGLLSRRAKNQYTWKGFAAIPRTLDALKEEGLREKLSGQNIANVLRENEYRQPSNLSTKQDNTHELIKGDNRKEKSLALLTQNFIKLFLCSDVEFISLDNAASALLAKVRRLYDIANVFASMNLIEKIRHPESGKPAFRWIGQVGNPGNGSRSAANVTDLKRTFGADITNTVPKRCKAGSSSDWKPSEHATQYAKHGNLKDENSKVSPDRHKHGSKDFEFGPFSPSSVPREVLGNINVGQIPNWENLASTYRPRYCNKALSDLFGHYVEAWNSWYVAADADEKQHDQHLP >itb03g01040.t1 pep chromosome:ASM357664v1:3:547418:550978:1 gene:itb03g01040 transcript:itb03g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIHSDSQSKNPTSLYSRKEKSLGVLCSNFLRLYDRDDVDCIGLDHAADQLGVERRRIYDIVNILESVGLLSRRAKNQYTWKGFAAIPRTLDALKEEGLREKLSGQNIANVLRENEYRQPSNLSTKQDNTHELIKGDNRKEKSLALLTQNFIKLFLCSDVEFISLDNAASALLGNMHDPTAMRTKVRRLYDIANVFASMNLIEKIRHPESGKPAFRWIGQVGNPGNGSRSAANVTDLKRTFGADITNTVPKRCKAGSSSDWKPSEHATQYAKHGNLKDENSKVSPDRHKHGSKDFEFGPFSPSSVPREVLGNINVGQIPNWENLASTYRPRYCNKALSDLFGHYVEAWNSWYVAADADEKQHDQHLP >itb03g01040.t2 pep chromosome:ASM357664v1:3:547418:550978:1 gene:itb03g01040 transcript:itb03g01040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIHSDSQSKNPTSLYSRKEKSLGVLCSNFLRLYDRDDVDCIGLDHAADQLGVERRRIYDIVNILESVGLLSRRAKNQYTWKGFAAIPRTLDALKEEGLREKLSGQNIANVLRENEYRQPSNLSTKQDNTHELIKGDNRKEKSLALLTQNFIKLFLCSDVEFISLDNAASALLGNMHDPTAMRTKVRRLYDIANVFASMNLIEKIRHPESGKPAFRWIGQVGNPGNGSRSAANVTDLKRTFGADITNTVPKRCKAGSSSDWKPSEHATQYAKHGNLKDENSKVSPDRHKHGSKDFEFGPFSPSSVPREVLGNINVGQIPNWENLASTYRPRYCNKGNL >itb04g20940.t1 pep chromosome:ASM357664v1:4:25972252:25974923:1 gene:itb04g20940 transcript:itb04g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGGYAASILLLLLPVLTLLGTAAESEFSAAAEIISRFQAYLRIDTAQPEPRYREAADFIISQAKSLSLETHIVEFVKGKPVVILKWPGKDSSLPTILLNSHTDVVPSEPHKWSHPPFGAQIDRATGNIYARGSQDMKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGALGHGAKLYDNSAMENLFTSIESIRRFRAAQFDLVKAGLKAEGEVISVNMVSLKAGTPSPTGFVMNLQPSEAEAGFDIRVPQTEGMTFSGEIPYFIPRYQCDGGDGLLHDGRMASDAITTEIPRTTIRPLDAPRNHADNTLLI >itb04g26840.t2 pep chromosome:ASM357664v1:4:31007292:31010410:-1 gene:itb04g26840 transcript:itb04g26840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTFCDCHAVPRFYKRRIADLRALDCRAAVEDVMYMLILYKFSEIRVRLVPRLSKCIYMGRLEICPSRDWELESIHSLEVLDMVKEHLTTVVGWRANSKVTDNWAPTQVQRLHLCRVYAASILYGYFLKSASLRHHLEQSLDGLSHLSTRVCPLGSKNVAFSQGGGMQPLLTGPISFIQGEKQETLKSYVMGFDPETLQMCAKPKSKSAMNLIERQSSALFGDERSGLLENNEVISTSLASLKRIVLEAIAFGSFLWDTEEYVNNIYDLEAN >itb04g26840.t1 pep chromosome:ASM357664v1:4:31007292:31010410:-1 gene:itb04g26840 transcript:itb04g26840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGLSSSFLNSSPFAGKSVLAPTPAAASRFGFPNSRVGGSGIGWRRAFVVAASGGRASRSQCEFSGLNAPLEPTTPSGRFLSTVFQNDREYFPLAVEKQLEQLAYDRDEAAARMTLSLASDEACLHRRIADLRALDCRAAVEDVMYMLILYKFSEIRVRLVPRLSKCIYMGRLEICPSRDWELESIHSLEVLDMVKEHLTTVVGWRANSKVTDNWAPTQVQRLHLCRVYAASILYGYFLKSASLRHHLEQSLDGLSHLSTRVCPLGSKNVAFSQGGGMQPLLTGPISFIQGEKQETLKSYVMGFDPETLQMCAKPKSKSAMNLIERQSSALFGDERSGLLENNEVISTSLASLKRIVLEAIAFGSFLWDTEEYVNNIYDLEAN >itb04g26840.t4 pep chromosome:ASM357664v1:4:31007292:31010410:-1 gene:itb04g26840 transcript:itb04g26840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLILYKFSEIRVRLVPRLSKCIYMGRLEICPSRDWELESIHSLEVLDMVKEHLTTVVGWRANSKVTDNWAPTQVQRLHLCRVYAASILYGYFLKSASLRHHLEQSLDGLSHLSTRVCPLGSKNVAFSQGGGMQPLLTGPISFIQGEKQETLKSYVMGFDPETLQMCAKPKSKSAMNLIERQSSALFGDERSGLLENNEVISTSLASLKRIVLEAIAFGSFLWDTEEYVNNIYDLEAN >itb04g26840.t3 pep chromosome:ASM357664v1:4:31007292:31009212:-1 gene:itb04g26840 transcript:itb04g26840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTFCDCHAVPRFYKRRIADLRALDCRAAVEDVMYMLILYKFSEIRVRLVPRLSKCIYMGRLEICPSRDWELESIHSLEVLDMVKEHLTTVVGWRANSKVTDNWAPTQVQRLHLCRVYAASILYGYFLKSASLRHHLEQSLDGLSHLSTRVCPLGSKNVAFSQGGGMQPLLTGPISFIQGEKQETLKSYVMGFDPETLQMCAKPKSKSAMNLIERQSSALFGDERSGLLENNEVISTSLASLKRIVLEAIAFGSFLWDTEEYVNNIYDLEAN >itb01g08090.t1 pep chromosome:ASM357664v1:1:6429978:6430943:-1 gene:itb01g08090 transcript:itb01g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEGVRILVEEQHHDLSDNHTPLKKMKNIPHDEHQSISSSSTSHHTHKPLISPPQHSRFRFPFALHHTTPQPLFHHQSMISFAPQQQFLSSGCENDQISWQRAGQIGSGHDGLDEAASPLPKLYRGVRQRHLGRWVAEIRLPHNRARLWLGTFDSAEDAALAYDREAYRLRGAKARLNFPHLFLGSDRARHEDLETATQKEAKLKQRTSGNIIESNNDVADHVEDRKCSGISTHEQPKTIDDDYVNHDQSVEPEWAGDGLQSDWLPGSNINTFWDHNISTTTGTFLQQSDFAISSFPDDNLPHLSTTEAGILQEPYLN >itb10g21510.t2 pep chromosome:ASM357664v1:10:26704752:26712611:-1 gene:itb10g21510 transcript:itb10g21510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRSALGALVPSVKLEPLTAEESPPETVAQAQQMAIPAPEKEEADKDVLCPICMQVIKDAFLTPCGHSFCYMCIITHLKNKSDCPCCSHYLTAKQLYPNFVLNKLLTKTTARQIAKTALPVEQLRQAIEQGCDVSAKELESLLSLLSEKKKKMELEEAEANMQILFEFLQCLRKQKLDELHETQKDLQYIKEDIRSVEKYRIELYRATGRCSSKMRILGDESSAKFPALLEKQSYGTTTNVPNAQGECIAVSNSVQTQITKAPFDSQLIQREIAQNGSDSQHAVVRRRRVHAQFSDLQDCYLQKRRYWASKSQKQEERVSNGTKEGYNAGLADFQSVFSTFTRYSRLQVVAELRHADLFHSANIVSSIEFDRDDELFAIAGVSRRIKVFEFASVVNEPAADMQCPIAEMPTRSKLSCLSWNKYKKNYIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCLRQEASVLSIDMKANICSVKYNPGSSFHVAVGSADHHIYYYDLRNISQPLHIFTGHRKSVSYVKFLSNNELASASTDSSLRLWDVKDNIPLRTFRGHTNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHSFGSDTNEADAEIGSYFISAVCWKSDSLSMLSANSQGTIKVHVLTA >itb10g21510.t1 pep chromosome:ASM357664v1:10:26704791:26712630:-1 gene:itb10g21510 transcript:itb10g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEAEANMQILFEFLQCLRKQKLDELHETQKDLQYIKEDIRSVEKYRIELYRATGRCSSKMRILGDESSAKFPALLEKQSYGTTTNVPNAQGECIAVSNSVQTQITKAPFDSQLIQREIAQNGSDSQHAVVRRRRVHAQFSDLQDCYLQKRRYWASKSQKQEERVSNGTKEGYNAGLADFQSVFSTFTRYSRLQVVAELRHADLFHSANIVSSIEFDRDDELFAIAGVSRRIKVFEFASVVNEPAADMQCPIAEMPTRSKLSCLSWNKYKKNYIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCLRQEASVLSIDMKANICSVKYNPGSSFHVAVGSADHHIYYYDLRNISQPLHIFTGHRKSVSYVKFLSNNELASASTDSSLRLWDVKDNIPLRTFRGHTNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHSFGSDTNEADAEIGSYFISAVCWKSDSLSMLSANSQGTIKVHVLTA >itb01g11290.t1 pep chromosome:ASM357664v1:1:10010485:10016586:-1 gene:itb01g11290 transcript:itb01g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNIIGKAQGKHHMIAVTKIKNANARYWTCTNSSTWVEKTLSLEALTDPLLDELKVNDFLTKSEGNPAGISLEHLLSSANGISMRTNMMKFIRNATLLCMTVFPQNFILEEAALVAEELSNTMMNSSSCSITPCRVLAKSLLKSNRQDILLCGVYAQREAAFGNIDHARKIFDMALSSIEGLPVDVRRNESLLYLWYAEVELANCSHAALFEEISIGWTASAEIFDQAFSMVLPERRRNSYHVESLFNYYVAMLSKHHREVKLSKVWQSVLKGLQMYPVSPKLYSALVQISHLYTSPNKLRLVFDEYCRKKPSVINWLFALSFEISRGGSQHRIRRLFERALEDEKLRKWVIIWRSYIAYEHDITCNASAARRVFFRAVHACPW >itb09g30400.t1 pep chromosome:ASM357664v1:9:31044725:31045954:1 gene:itb09g30400 transcript:itb09g30400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INAPERTURATE POLLEN1 [Source:Projected from Arabidopsis thaliana (AT4G22600) UniProtKB/Swiss-Prot;Acc:Q9SUV9] MQKAIAFLGLKKCSKPFKDYYSEWFKELKNVHLPQLRRAMSSATVSPAILTAHVDAMQRHFIAYYEALDLAALNDVAQALFPDWRNSLEKPFLWLGDFHPYLFTNLLRSFLEDDDSDEDARESREDFGKPWYLDMAWRSPPRALTARIDQIECGLRLMVPALAARARHAQAKFVDRVGREWGRCEGPSEEAKSAVEESVAAEMEELVDVFVDANRLRRSVLTDIVNATDVYQAALFLESLAQFLVGFNDKKLLAQFEKYKIPVT >itb03g02480.t2 pep chromosome:ASM357664v1:3:1410744:1414043:-1 gene:itb03g02480 transcript:itb03g02480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCFKFPLKPILRTVRHVGGAMRGAGAEQISVLVRPVVESKVSKNALRILNSLGYKLDHELLRVGFAFHFQRGAQITVTVSSINKMLKLHATDEAVPVTPGIQLVEVTAPSSSENYSEVAAAVSSFCEYLAPLLHLSKPGNSTGVVPTAAAAAASLISDGGGTKL >itb03g02480.t1 pep chromosome:ASM357664v1:3:1410731:1414046:-1 gene:itb03g02480 transcript:itb03g02480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLHGLCGVRKERLCIHELCLKSVANLGLVSSEIRLICDLEQPEPTWTVRHVGGAMRGAGAEQISVLVRPVVESKVSKNALRILNSLGYKLDHELLRVGFAFHFQRGAQITVTVSSINKMLKLHATDEAVPVTPGIQLVEVTAPSSSENYSEVAAAVSSFCEYLAPLLHLSKPGNSTGVVPTAAAAAASLISDGGGTKL >itb04g22200.t1 pep chromosome:ASM357664v1:4:27358092:27359893:1 gene:itb04g22200 transcript:itb04g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKTVEVKSQQRLSGADRKKLRRTIRERFPNASDADFDTLLPPKAEFTVTKYPNRILVYGLEGDCPLFFDIDGRGNEIFPTGIEWSSRWPMPQLADKVEGMAILEEKRDYKGA >itb12g04520.t1 pep chromosome:ASM357664v1:12:2948221:2949979:1 gene:itb12g04520 transcript:itb12g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVRSSIRSALRGGVSRRAPASKRSFASSSHHDEAAEAAKWEKITYAGIVGCTILAAVNLSKGHPHYDEPPAYPYMHIRNKEFPWGPDGLFEVKHH >itb07g22710.t4 pep chromosome:ASM357664v1:7:27238347:27242512:-1 gene:itb07g22710 transcript:itb07g22710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t7 pep chromosome:ASM357664v1:7:27238347:27242512:-1 gene:itb07g22710 transcript:itb07g22710.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t6 pep chromosome:ASM357664v1:7:27238347:27242512:-1 gene:itb07g22710 transcript:itb07g22710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t8 pep chromosome:ASM357664v1:7:27238301:27242512:-1 gene:itb07g22710 transcript:itb07g22710.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t3 pep chromosome:ASM357664v1:7:27238347:27242512:-1 gene:itb07g22710 transcript:itb07g22710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t2 pep chromosome:ASM357664v1:7:27238243:27242563:-1 gene:itb07g22710 transcript:itb07g22710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t5 pep chromosome:ASM357664v1:7:27238347:27242512:-1 gene:itb07g22710 transcript:itb07g22710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb07g22710.t1 pep chromosome:ASM357664v1:7:27238243:27242563:-1 gene:itb07g22710 transcript:itb07g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTSGDSRTRSSVSIFIVAGLCCFFYLLGAWQRSGFGKGDSIALEVTKTSKDCNILPSLNFETHHSSRAGSIDESESKVKEIQPCHPRYTDYTPCQDQRRAMSFPRENMIYRERHCPPQEKKLHCLIPAPKGYVTPFQWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPIKDGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHQAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPIHWKANYKAWQRPKEELQEEQRKIEETAKLLCWEKKSEKGEIAIWQKRMDVDSCRAAQKKSKAAFCKSADPDDVWYKKMDVCITPSPKANIDESLEKFPERLTAVPPRIANGLVSGVSAEAYLDDKKKWKKHVSAYKRINKIIDTGRYRNIMDMNAGLGGFAAQLTSPKQWVMNVVPTIADRSTLGVIYERGMIGIYHDWCEGFSTYPRTYDLIHANGVFSLYKDKCDFEDILLEMDRILRPEGAVIFRDEVDTLNKVRRIIGGMRWDYKLMDHEDGPLVPEKILVAVKQYWTLNDKNSTSAQ >itb05g26240.t1 pep chromosome:ASM357664v1:5:30233304:30235273:1 gene:itb05g26240 transcript:itb05g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNQANSSVDSDLPRLANIKVRSSSPRFPPPTTPSSTETPTAGAQRRIGIAVDLSDESAFAVKWAVHQYLRPGDARRKTNQSTTMHMMIAKIRDCNLELCSLHPVLAEAPSVEGHESTNYNPVL >itb05g11130.t1 pep chromosome:ASM357664v1:5:17070188:17071694:-1 gene:itb05g11130 transcript:itb05g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGREGKGPPSTDLLVCFPSRAHLTLMPKPICSPARPSEQNKRHHHHKKLTAVRSGGQASPLLWAKSKTSEIAEPTSPKVTCAGQIKVRPGRPAKPDSCKNWQSVMEEIERLHKSQKYKRKPAWIETTFGFKKDVMQFLTCLRNITFDFRCFGSFPSADVTSDDEDEEDEEAEDEEEEDFRDKGKANDESESSRTMFSKWFMVLQENQNPEPKSTEEVGDDLSESRNLPTGPPPNALLLMRCRSAPAKSWLEERQEKQEEEEKKLKMAAEEEESNNEKNKEKKKESLVVMRYGKDFYKFSSEIANETWVVGGITDPLSRSRSWKR >itb09g18250.t1 pep chromosome:ASM357664v1:9:13926889:13937798:-1 gene:itb09g18250 transcript:itb09g18250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMPFALFQLYALLLLSLLFSSSNAQAVPSHHYVAPFNRTAYPSDFVFGASSAAYQIEGGALEDGKGPSIWDTYTHQHPEKIWDKSTGDVADDFYHRYKDDIRQLKEVGMDAFRLSISWSRILPRGKISGGINQKGVEFYNNVFNEIVAQGMKPFVTLFHWDPPQALEDEYEGFLSPKIVDDFRDYTDLCFKLFGDRVKFWITLNEPLSFSMNGYSTGTFAPGRCSPYVGNCTKGNSATEPYIVAHNLLLAHAAAVKVYRDKYQESQKGQIGVTLVTHWFVPKTKTAEGLKAPFRALDFYLGWFLDPITFGEYPASMRALVGRRLPKFTPEQIKLVKGSIDFLGMNYYTTYYAAPTLSFNAVNLSYTTDNHLDLTPDKDGVPLGTPTPLNWLYIYPKGIRLLMLYLKNKYKNPPIYITENGVAEANNNTLSVEEALKDTIRIKYYEGHLWFLQKAITEGANVKGHFVWSFLDSYEWDAGHTVRFGITYVDYNNLKRYHKKSAYWFQNFLLKSSTK >itb10g01570.t1 pep chromosome:ASM357664v1:10:1204401:1207921:1 gene:itb10g01570 transcript:itb10g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNGGKRRRGGHTHMGCLCYVLLAFLCFLCNLGGCIDSLRPGESVTPNQTLVSADGNFALGFFHPGNSSSSFLGIWYTTNNNTVIWVANRESPLPQHSKAVFTLGYDGNLQLSDGERNIIWSTNISGSGLAGRNSTAAQLQDTGDLIVKQGESTVWESFDGDSDTLMPGMRLKVNKKTGKRNLIRCWSSSDDPRPGKFSWGMDPKGSSQFFIWKEDKPYYRTTLYQAGFTYSAYFPSGGYAYYSYATQNDEVYLSYGYTNTSIQARFVLTPEGHILFLLRQKTSDDWGKLWEAPVADCELYARCGSFGSCERYDSNPVCSCLNGFKPKSQRDWDKGKYDAGCERSIALGCGEADTFMRLPMMKWPDHSSSLGNMTFQECEMECSKSCSCTAFAYANITSNSAVNCINWFGDLVDLAHNYSPGGFGQDLYVRVHSSELNGSSGNDHSSHRNKHRLVAIIVASVSAFFLITVLVYILTCGRKGWVCKKSRESSDAPLLGKDDIELLQLSFRRIIDATNNFDEANKLGEGGFGPVYKGFLSEFGMVAIKRLSKQSSQGLKEFMNELKLIAKLQHTNLVGLMGCCIEGEEKILIYEYLPKRSLDKFLFDAFQKENLDWSTRFQIIEGIAQGILYLHKYSRLKVIHRDLKASNILLDEAMKPKISDFGMARIFGIDQTQAKTNHVVGTYGYIPPEYVLHGQFSEKSDVYSFGVLILEIISGQKNSNFIETELSVTLLGWAWENWKDGKSLEFIDKAITESCDSLKLIRYIEVGLLCVQAIPRDRPTMSDVVRMLSNDPATPIPQLKEPAFVSSNSNSIVVGTSHSNSKNEITVSILDPR >itb10g01570.t2 pep chromosome:ASM357664v1:10:1204401:1207921:1 gene:itb10g01570 transcript:itb10g01570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNGGKRRRGGHTHMGCLCYVLLAFLCFLCNLGGCIDSLRPGESVTPNQTLVSADGNFALGFFHPGNSSSSFLGIWYTTNNNTVIWVANRESPLPQHSKAVFTLGYDGNLQLSDGERNIIWSTNISGSGLAGRNSTAAQLQDTGDLIVKQGESTVWESFDGDSDTLMPGMRLKVNKKTGKRNLIRCWSSSDDPRPGKFSWGMDPKGSSQFFIWKEDKPYYRTTLYQAGFTYSAYFPSGGYAYYSYATQNDEVYLSYGYTNTSIQARFVLTPEGHILFLLRQKTSDDWGKLWEAPVADCELYARCGSFGSCERYDSNPVCSCLNGFKPKSQRDWDKGKYDAGCERSIALGCGEADTFMRLPMMKWPDHSSSLGNMTFQECEMECSKSCSCTAFAYANITSNSAVNCINWFGDLVDLAHNYSPGGFGQDLYVRVHSSELNGSSGNDHSSHRNKHRLVAIIVASVSAFFLITVLVYILTCGRKGWVCKKSRESSDAPLLGKDDIELLQLSFRRIIDATNNFDEANKLGEGGFGPVYKGFLSEFGMVAIKRLSKQSSQGLKEFMNELKLIAKLQHTNLVGLMGCCIEGEEKILIYEYLPKRSLDKFLFDAFQKENLDWSTRFQIIEGIAQGILYLHKYSRLKVIHRDLKASNILLDEAMKPKISDFGMARIFGIDQTQAKTNHVVGT >itb10g01570.t3 pep chromosome:ASM357664v1:10:1204401:1207921:1 gene:itb10g01570 transcript:itb10g01570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNGGKRRRGGHTHMGCLCYVLLAFLCFLCNLGGCIDSLRPGESVTPNQTLVSADGNFALGFFHPGNSSSSFLGIWYTTNNNTVIWVANRESPLPQHSKAVFTLGYDGNLQLSDGERNIIWSTNISGSGLAGRNSTAAQLQDTGDLIVKQGESTVWESFDGDSDTLMPGMRLKVNKKTGKRNLIRCWSSSDDPRPGKFSWGMDPKGSSQFFIWKEDKPYYRTTLYQAGFTYSAYFPSGGYAYYSYATQNDEVYLSYGYTNTSIQARFVLTPEGHILFLLRQKTSDDWGKLWEAPVADCELYARCGSFGSCERYDSNPVCSCLNGFKPKSQRDWDKGKYDAGCERSIALGCGEADTFMRLPMMKWPDHSSSLGNMTFQECEMECSKSCSCTAFAYANITSNSAVNCINWFGDLVDLAHNYSPGGFGQDLYVRVHSSELNGSSGNDHSSHRNKHRLVAIIVASVSAFFLITVLVYILTCGRKGWVCKKSRESSDAPLLGKDDIELLQLSFRRIIDATNNFDEANKLGEGGFGPVYKGFLSEFGMVAIKRLSKQSSQGLKEFMNELKLIAKLQHTNLVGLMGCCIEGEEKILIYEYLPKRSLDKFLFDAFQKENLDWSTRFQIIEGIAQGILYLHKYSRLKVIHRDLKASNILLDEAMKPKISDFGMARIFGIDQTQAKTNHVVGTYGYIPPEYVLHGQFSEKSDVYSFGVLILEIISGQKNSNFIETELSVTLLGWVGIFNNFMIWIYIISRPEEIYDMVSFIVVCIRHGKIGKMESHLNLLIKL >itb10g01570.t4 pep chromosome:ASM357664v1:10:1204401:1207921:1 gene:itb10g01570 transcript:itb10g01570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNGGKRRRGGHTHMGCLCYVLLAFLCFLCNLGGCIDSLRPGESVTPNQTLVSADGNFALGFFHPGNSSSSFLGIWYTTNNNTVIWVANRESPLPQHSKAVFTLGYDGNLQLSDGERNIIWSTNISGSGLAGRNSTAAQLQDTGDLIVKQGESTVWESFDGDSDTLMPGMRLKVNKKTGKRNLIRCWSSSDDPRPGKFSWGMDPKGSSQFFIWKEDKPYYRTTLYQAGFTYSAYFPSGGYAYYSYATQNDEVYLSYGYTNTSIQARFVLTPEGHILFLLRQKTSDDWGKLWEAPVADCELYARCGSFGSCERYDSNPVCSCLNGFKPKSQRDWDKGKYDAGCERSIALGCGEADTFMRLPMMKWPDHSSSLGNMTFQECEMECSKSCSCTAFAYANITSNSAVNCINWFGDLVDLAHNYSPGGFGQDLYVRVHSSELNGSSGNDHSSHRNKHRLVAIIVASVSAFFLITVLVYILTCGRKGWVCKKSRESSDAPLLGKDDIELLQLSFRRIIDATNNFDEANKLGEGGFGPVYKGFLSEFGMVAIKRLSKQSSQGLKEFMNELKLIAKLQHTNLVGLMGCCIEGEEKILIYEYLPKRSLDKFLFGMGKLERWKVT >itb02g00150.t3 pep chromosome:ASM357664v1:2:135856:138759:1 gene:itb02g00150 transcript:itb02g00150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNTPSSSSEPFATLDNGSNNSKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCGKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSMGRLRSESQSHHLQPAGACLSRTASSPSPSSDTNLSAAAAPWPLLMSKPATFMDATPKPHNLELQLLTTTSASSSRFDVSVTSKTDEDQSTQLQLSIGSSSDFDAREQLRLAMAEKAYAEEARQQAKRQIELAEQELANAKRIRQQAQEDLDKAQAMREHAIKQINSTLAQITCHACKNKFEAPRADHYNTSSMEFSYISSSSMALTEGHGQK >itb02g00150.t1 pep chromosome:ASM357664v1:2:135856:138879:1 gene:itb02g00150 transcript:itb02g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNTPSSSSEPFATLDNGSNNSKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCGKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSMGRLRSESQSHHLQPAGACLSRTASSPSPSSDTNLSAAAAPWPLLMSKPATFMDATPKPHNLELQLLTTTSASSSRFDVSVTSKTDEDQSTQLQLSIGSSSDFDAREQLRLAMAEKAYAEEARQQAKRQIELAEQELANAKRIRQQAQEDLDKAQAMREHAIKQINSTLAQITCHACKNKFEAPRADHYNTSSMEFSYISSSSMALTEGHGQK >itb02g00150.t2 pep chromosome:ASM357664v1:2:135856:138879:1 gene:itb02g00150 transcript:itb02g00150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNTPSSSSEPFATLDNGSNNSKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCGKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSMGRLRSESQSHHLQPAGACLSRTASSPSPSSDTNLSAAAAPWPLLMSKPATFMDATPKPHNLELQLLTTTSASSSRFDVSVTSKTDEDQSTQLQLSIGSSSDFDAREQLRLAMAEKAYAEEARQQAKRQIELAEQELANAKRIRQQAQEDLDKAQAMREHAIKQINSTLAQITCHACKNKFEAPRADHYNTSSMEFSYISSSSMALTEGHGQK >itb07g15420.t1 pep chromosome:ASM357664v1:7:18522247:18524960:-1 gene:itb07g15420 transcript:itb07g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSSLGLRPFCMLLVLCIFGRRLVHAESDPHLTLDYYQKTCPSVLGLVRKEMECAVLSDPRNAALILRLHFHDCFVQGCDGSVLLDDTVTLKGEKGAPENKDALKGFRIIDKIKSRIESECPGIVSCADILTVAARDAVLLVGGPYWDVPLGRKDSKNAGYELVETNIPTADESLASIITKFLSQGLSITDMVALSGAHTIGMARCVNFRARVYGNLPFTLNQASDTYLNNIKTMCPAVDDGHSNNNESSMDYVTPNLFDNSYYNLLLNKEGLLNSDQALYSSYLPAGTSKIVERYAGDLKAFFDQFAESMVKMGNITNQESYSDGEVRKNCRFVNT >itb03g19230.t2 pep chromosome:ASM357664v1:3:17367498:17369292:-1 gene:itb03g19230 transcript:itb03g19230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQTIPPSICDLKNLTILDLNHNLIPGPFPVALYNCSNLEYLDLSFNSFNGSIPTDINRLSPRLTAFNLSSNYFTDGIPPAIGGLKELKELQFATSFLSGSFPPEIGDLLNLEILVLDTNAFAPQEIPPSFTQLKKLRNLWITSSNLVGEIPESIGNMTALEYLALSENSLSGNIPNSLFLLKNLTIVYISVNKLSGSIPQSVEALDLYWIDFSNNTLTSKIPEDFGKLTKLEGLVLFMNQLSGEIPGSIGSLPALWDVRLFTNNLSGEIPADFGKYSKLQRFDVSTNNLVGSLPEGLCDNKVLSSITAFSNNLTGELPKSLGDCQTLESVRVEKNQLSGTIPDGLWAARSLSRFLISDNLFTGELPQKVAINLSLVDISNNRFSGEIPAGVSSWNNVVNFKASNNLFTGGIPQELTALQQLSVLWKDWSRIGSSHHSTG >itb03g19230.t1 pep chromosome:ASM357664v1:3:17366313:17369600:-1 gene:itb03g19230 transcript:itb03g19230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSTQINLIILPLVLFTLLFYGNCQPSINPERSILLEIKLHFSNPSNLSHWNSSSDHCTWPEITCADVSVTGIRLAYLMLNQTIPPSICDLKNLTILDLNHNLIPGPFPVALYNCSNLEYLDLSFNSFNGSIPTDINRLSPRLTAFNLSSNYFTDGIPPAIGGLKELKELQFATSFLSGSFPPEIGDLLNLEILVLDTNAFAPQEIPPSFTQLKKLRNLWITSSNLVGEIPESIGNMTALEYLALSENSLSGNIPNSLFLLKNLTIVYISVNKLSGSIPQSVEALDLYWIDFSNNTLTSKIPEDFGKLTKLEGLVLFMNQLSGEIPGSIGSLPALWDVRLFTNNLSGEIPADFGKYSKLQRFDVSTNNLVGSLPEGLCDNKVLSSITAFSNNLTGELPKSLGDCQTLESVRVEKNQLSGTIPDGLWAARSLSRFLISDNLFTGELPQKVAINLSLVDISNNRFSGEIPAGVSSWNNVVNFKASNNLFTGGIPQELTALQQLSVLWLDGNQLSGNLPSEIISWKSLTSLKCSRNQLSGEIPSALGLLQNLNELDLSGNQFSGEIPPNIGRLTLTSLNLSSNHLSGRIPDGLANAAFDKSFLNNPGLCATTSSFGLSICKVNTKKSDSKSVRIIAVFGSIAAALALVAVLYILFVLRKNWKMKEGLVPNWKLTPFHRLSFTESNILPNLLQHNVIGSGRSGEVYLVPLHQTGEKVAVKRIWNCKKLYQRLEKEFEAEVEILGTIRHANIVKLLCGISSEDSMLLVYEYMENRSLDLWLRPKFASLPHFQVLEWPTRLHIATGAAQGLCYMHHGCSPPIIHRDVKSSNVLLDSKFNAKIADFGLARMLIRPGEANAVSTFAGTFGYMAPEYAHTTKVNEKIDVYSFGVILLELVTGREPNDITADLSLAQWAWQHVQEGKPIADALDADIKKPQYLDDMQVVFKLGLYCTDRSPFRRPTMKDVLQVLLKCERQSTYADKKNGSEHDASPLLRNSGSERSSESEDDDEFKSIV >itb14g00450.t1 pep chromosome:ASM357664v1:14:311054:311713:1 gene:itb14g00450 transcript:itb14g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVFLLFICKFEYCYSNNNGSCIEVERVALLRFKDSLIDRSNRLSSWTGLDCCAWEGVSCGSVTGHVWKLDLHNPVTYDDDVDMYWNNCLGGEISHSLINLTFLNYLDLSLNNFSEIQIPEFLGSLKNLRYLNLALSGFVGKIPPHLGNLSRLEYLNLEHSFEFGFYANNLVTNNLDWLAGLSSLKSLDMSNVFIQRSENLFGTINKLVSLSSLNLD >itb04g14020.t1 pep chromosome:ASM357664v1:4:14227698:14229844:1 gene:itb04g14020 transcript:itb04g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARRVYDDPEIASNFDKKTWVVASQNHNKRQLLISLLKSISGKEETGPDEEIALHLYQGLKGQRYMVVIDDLWSNKAWDAVKICLPNDSQSSRVLVTTRFAEVAAQIGSNSDFSHQMQFLDPNESWNLFYEKACKCRGAEFEAIGRPIVEKCQGLPLAIVVVAGLFSKLVTLDEWKNMAKTLINSSVTTVDEECSRILVSSYNQLHYNLKACFLYLGIFPEDKEIFVKNLARLWAAEGFIKTSKKMSFDLVATINIHELNDRNLILVSQVSSCGGKIKAFRIHDLLHSFCVREAQKENLLHVVHENSSNLPQKGFRWVSFHSKDPNSPAASYTFPKTCRSIFSFSGKTPLDLKVCNLLRVLYGAEGEIANLVHLRYLGSKPSDFEFLEPACAWNLQTLSTSENDKRNCLLFPHLEYCRCGSISSCFPEFVHQRLENISWLKPAQCTRELFKKIPYLKKVNIKSEGRAWNDWYCDLSNLKYLESLQICERNSILAGAHRAPIRNRIFHLKQLKKLTFHGMYFVWKEISVFSSLPKLEVLKLRGCSCIDEEWKLSEDEIFKQLAYLEIHTTRFKRWEARNNHFPNLQHLILSGCYKLEEIPVGFGEIGTLELIKIKYCLPSVVESAKQILEEQHDAGNDNMFVIEEGTLKPAQSNEDDESDEDEFDEDDE >itb13g03870.t1 pep chromosome:ASM357664v1:13:3887526:3889087:-1 gene:itb13g03870 transcript:itb13g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNELKAGGSGSVTLGSITPRQKPKGNKQSHVNAKLMLKLEHIKNLAVWASGEASIPSLGAFFGNRLAASSEALGLPPEPSLFSCQRCESVLQPGYNCTVRVEKNDAKARRRNKKPRSSPQNYAVYNCQFCSHRNLKRGTARGYLKQICPPKDRPSTKVEHPKSSTTKSSKPMTCPPKDRPSTKVEHPKSSTTKSSEPMTVPASNNKDSKIDIVTSPEIVQGDHIALPADEPSTPSLRMDISLLDSKRKRRNKSGLKKPVESESISTATDVDQAICTSNKRKRKSWVTLKEMAKSSGQDKSTQFSNISVPFFL >itb12g09650.t1 pep chromosome:ASM357664v1:12:7583415:7583738:1 gene:itb12g09650 transcript:itb12g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGVKDHRKPDHNNLLFTPSESQTHHQFELTHSPEFVGPGEQHEILNLLNPISAAGDVRRLQRLQDAGVEFQPFNHRLPERRRSGPHELRRLWRQLGGFCGGRWV >itb03g00220.t2 pep chromosome:ASM357664v1:3:111021:112950:-1 gene:itb03g00220 transcript:itb03g00220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNSNSSSSAMSGFCSHTRIFQSLKPPTALPPETLPLTVAQYSLSLQLTLPWPDSSTALINSATGHRISYSDFTHRVTTLAASLQTRFGLSKGDTAFVLSSNSTFIPILYFSLLSIGVVISPANPISTDTEISRQIELSKPVIAFATSATRHKLPKLRYGTVLIDSPELESMTNSSDCELRRVEVEVNQSDLAAILYSSGTTGQVKGVMLTHRNLISMVANNYAQKPERSSPAVILYTVPYFHVFGLTYCLKSVTTAETVVVMERFELRKMLKAVEEFRVSDIALAPPVIVGMVKEKAKKEDGLGFDLSSLRMIFCGGAPLGKEAIKAFSEKFPNTHFVQGYGLTEATGAVSRTVTEQEFLRWGSTGRLLPNTEAKIIDLKTGNALPPGKQGELWVRGPTVMRGYVGDTKATAETLVKEGGWWLGTGDICYFDENGFLFVVDRLKELIKYKGYQVHFTTSLIF >itb03g00220.t1 pep chromosome:ASM357664v1:3:110062:112950:-1 gene:itb03g00220 transcript:itb03g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNSNSSSSAMSGFCSHTRIFQSLKPPTALPPETLPLTVAQYSLSLQLTLPWPDSSTALINSATGHRISYSDFTHRVTTLAASLQTRFGLSKGDTAFVLSSNSTFIPILYFSLLSIGVVISPANPISTDTEISRQIELSKPVIAFATSATRHKLPKLRYGTVLIDSPELESMTNSSDCELRRVEVEVNQSDLAAILYSSGTTGQVKGVMLTHRNLISMVANNYAQKPERSSPAVILYTVPYFHVFGLTYCLKSVTTAETVVVMERFELRKMLKAVEEFRVSDIALAPPVIVGMVKEKAKKEDGLGFDLSSLRMIFCGGAPLGKEAIKAFSEKFPNTHFVQGYGLTEATGAVSRTVTEQEFLRWGSTGRLLPNTEAKIIDLKTGNALPPGKQGELWVRGPTVMRGYVGDTKATAETLVKEGGWWLGTGDICYFDENGFLFVVDRLKELIKYKGYQAQGINQI >itb09g00270.t1 pep chromosome:ASM357664v1:9:273197:275865:-1 gene:itb09g00270 transcript:itb09g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWSQLPRELLDLIARHLSSDTDFLRFRSVCSSWRFSVPDKPHNSYPSRFPVIPNDGISDTSWGFKLSRRTIYLLRSSPSNAWILKLDSEIPHRFRLFHPLSRSHLKPLPLTFPKLLDISRYRIHELGQEYTLQYINYRPLASSIGEAGNLYMEKVALCLEKHPPLGFVLLTIHVSGKLVIYRSGASKWTVINDLSSPYDDVIAKDGRFYAVDSTGRAVTLNLDSAAGLDLTVVAHPVFGGDKKFLVESCGDLLMVDKYLTVGPGDDLGYSEGFEFYEEFDCFMSERTLKFKVYKLDEEGQKWVEVASLKDRILFLGENCTFSALVSELNPECTGNRIVFADYYREGDGVWKIPGIGVSNLESGSIGPINSFIGYSELFWPPPSWISSASQIEDGMNQLSI >itb12g21770.t1 pep chromosome:ASM357664v1:12:24010648:24014052:1 gene:itb12g21770 transcript:itb12g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRAPKKSDNTKYYEILGVPKEASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEEALKEGMGSGGGMHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCVKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVHVEKGMQNGQKITFPGEADEAPDTVTGDIVFILQQKEHLKFKRKGDDLFFEHTLTLTEALCGFQYILTHLDNRQLLIKSQPGEVVKPDQFKAINDEGMPMYQKPFMRGKLYIHFTVDFPESLSPDQCKALEGVLPPRPSKQITDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDEDMPGGAQRVQCAQQ >itb05g20720.t1 pep chromosome:ASM357664v1:5:26758499:26761846:-1 gene:itb05g20720 transcript:itb05g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGFRVLLGMLLVQAVAAGLQLLSKVILGQGTFVYALMTYRHIVATLCVAPFAFFWERESLKKLSLSVLMWLFLVALSGISLAMGFFYFGLRDTTATYASNFLNLIPIVTFATSIIFRVEKLRLNTKGGKVKLMGAMICLAGALTIASYKGKTFHFSHTNAKLQAVAAAHQVMKPNWKRGTIFLVCSCLSYGFWFIIQVLNL >itb02g13590.t1 pep chromosome:ASM357664v1:2:9759122:9773492:-1 gene:itb02g13590 transcript:itb02g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSEDTPMANADDGKPEEVVKSDETDTGDVKHEPQANSEPMHIEPKSITEEKEKKSPALDDIDDAVELNKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKGVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAVFIDEDKVRRAGPGENLRVRLSGVEEEDILSGFVLCSVAKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVELMQQIDPKTKKPMKKKPLFVKNGAFVVCRIQVNNLICIEKFSDFAQLGRFTLRTEGKTVAVGKVTSLPNA >itb02g13590.t3 pep chromosome:ASM357664v1:2:9759122:9773490:-1 gene:itb02g13590 transcript:itb02g13590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSEDTPMANADDGKPEEVVKSDETDTGDVKHEPQANSEPMHIEPKSITEEKEKKSPALDDIDDAVELNKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKGVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAVFIDEDKVRRAGPGENLRVRLSGVEEEDILSGFVLCSVAKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVELMQQIDPKTKKPMKKKPLFVKNGAFVVCRIQVNNLICIEKFSDFAQLGRFTLRTEGKTVAVGKVTSLPNA >itb02g13590.t2 pep chromosome:ASM357664v1:2:9759122:9773467:-1 gene:itb02g13590 transcript:itb02g13590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEDIKALQLDSSAEDTPMANADDGKPEEVVKSDETDTGDVKHEPQANSEPMHIEPKSITEEKEKKSPALDDIDDAVELNKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGITVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVTKLLIVVNKMDEPTVNWSKERYDEIEGKMIPFLKSSGYNVKKDVQFLPISGLLGSNLKTRLDKGVCPWWNGPCLFEALDAVEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSIREGDNLLVMPNKAPVKVLAVFIDEDKVRRAGPGENLRVRLSGVEEEDILSGFVLCSVAKPVPAVTEFVAQLSILELLDNAIFTAGYKAVLHIHSVVEECEIVELMQQIDPKTKKPMKKKPLFVKNGAFVVCRIQVNNLICIEKFSDFAQLGRFTLRTEGKTVAVGKVTSLPNA >itb01g26100.t2 pep chromosome:ASM357664v1:1:31338012:31342516:-1 gene:itb01g26100 transcript:itb01g26100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEALEPVVHGRGRKADSLEETYMGVHEELLYARKTKPLEGAQWTGIVTTIAIEMLKTGMVEAVVCVQSDPEDRFAPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHYLGLEKLYVLGTNCVDNGTREGLDKFLKAASDEPDTVLHYEFMQDYKVHLKHLDGRIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYAGISMTQHPQYVTVRNERGKEMLSLVEHLLEVTPTISSGDRRPFVTETVKADDNAKLGRGPAKPAPKFVGNLIAFILNLVGPKGLEFARYSLDYHTIRNYLHVIRAWGRERAALHMPSYAKRIVSMYNQNGEIDRMLEQ >itb01g26100.t1 pep chromosome:ASM357664v1:1:31338012:31342542:-1 gene:itb01g26100 transcript:itb01g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTGKLSPSPFTFSIVSASKEANPNSKPLKLREDWRQRSKPIPPGGTYPAKDHCSHCGLCDTYYIAHVKNACAFLGDGMSRIEALEPVVHGRGRKADSLEETYMGVHEELLYARKTKPLEGAQWTGIVTTIAIEMLKTGMVEAVVCVQSDPEDRFAPRPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHYLGLEKLYVLGTNCVDNGTREGLDKFLKAASDEPDTVLHYEFMQDYKVHLKHLDGRIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYAGISMTQHPQYVTVRNERGKEMLSLVEHLLEVTPTISSGDRRPFVTETVKADDNAKLGRGPAKPAPKFVGNLIAFILNLVGPKGLEFARYSLDYHTIRNYLHVIRAWGRERAALHMPSYAKRIVSMYNQNGEIDRMLEQ >itb09g07060.t1 pep chromosome:ASM357664v1:9:4091527:4094875:1 gene:itb09g07060 transcript:itb09g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESISTAASATAEEMKPQLSNMDASSNSDVKPNFELNMDSLAMDESQSVHKTLSDPDDASIEAVTSGDTLYKSAKRFEDLNLTPELLKGLYVDMKFERPSKIQEISLPMILTPPNKNLIAQAHNGSGKTTCFVLGMLSRVDPKLVAPQALCICPTRELAIQNMEVLLKMGKYTGITSELAIPADAANYVPINKRPPVTAQVIIGTPGTINKWVIAKKLGTSYMKILVFDEADHMLAESGFQDDSIKIMKAIVRGKADCQVLLFSATFNETVKGFVTKIVKDLFVKDYNQLFVKKEELSLESVKQYKVQCPDELSKVMVIKDKILERAQKVGQTIIFVRTRNSAHMLHKSLVDYGYEVTTIQGALKQEDRDKIIKEFKEGLTQVLISTDLLARGFDQSQVNLVVNYDLPVQYGHWSDPDYEVYLHRIGRAGRFGRKGAVFNLLCNDTDTMLMSKIENHFNSPVAEVTSWQSDTEFEAALKTAGLL >itb09g07060.t2 pep chromosome:ASM357664v1:9:4091527:4094875:1 gene:itb09g07060 transcript:itb09g07060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFERPSKIQEISLPMILTPPNKNLIAQAHNGSGKTTCFVLGMLSRVDPKLVAPQALCICPTRELAIQNMEVLLKMGKYTGITSELAIPADAANYVPINKRPPVTAQVIIGTPGTINKWVIAKKLGTSYMKILVFDEADHMLAESGFQDDSIKIMKAIVRGKADCQVLLFSATFNETVKGFVTKIVKDLFVKDYNQLFVKKEELSLESVKQYKVQCPDELSKVMVIKDKILERAQKVGQTIIFVRTRNSAHMLHKSLVDYGYEVTTIQGALKQEDRDKIIKEFKEGLTQVLISTDLLARGFDQSQVNLVVNYDLPVQYGHWSDPDYEVYLHRIGRAGRFGRKGAVFNLLCNDTDTMLMSKIENHFNSPVAEVTSWQSDTEFEAALKTAGLL >itb10g04400.t1 pep chromosome:ASM357664v1:10:4178352:4182343:1 gene:itb10g04400 transcript:itb10g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVPHVRVGKVDVLCTPPRSNSGCVSYPTRELKVDVFRTPPDVGGGATRNSSSSSGSSRRWRLKNMPAGLRWKRKSPFSFHLWLVDGILFKILSFFEAVFLLSNLAFFYLCCGCHI >itb15g03740.t1 pep chromosome:ASM357664v1:15:2348856:2351404:1 gene:itb15g03740 transcript:itb15g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MRQRALHLRSLFNSTPHRLHYHPSRLTHLQTTLSSPSHFSSLSNQQTRYPQKTFFKSDNKDSLITRLCREKKFKDAFDILCQQRRLKDAIRLLENEIGYPFAAIYSALFQLCIEQRALEEGRKVHAHLKRSNFTPGVFISNRIIEFYCKCERPSEARQVFDEMGERDLCSWNILISGYAKAGLIEDARKLFDEMPERDNFSWTAMISGYVRHDKPKDALKLYGVMQRYEGCKSNKFTVSSALAASASIQSLWLGKEIHCHIMRTGLDTDAAVWSALSDMYGKCGSVDEARHIFDRTLDKDVVSWTAMIDRYFEDGRWDEGFSLFSNLLDSGVMPNEFTFAGLLNACACQTTECLGKQIHGYMVRYGYDILAFATSALVHMYAKCGNIESAYNVFKQLPRPDLVSWTSLINGFAQNGQPLEALVYFERLLESGIRPDHITFIGVLSACTHAGLVDKGLEYFHSIKEKHGLKHTQDHYACVVDLLSRSGRFKEVEDLLNQMPMKPDKFLWSSLLGGCRIHGNLEVARRAAEALFEIEPDNAATYVTLANMYANSGKWDEVARIRKTMDDRGVVKKPGKSWIHAQRKVHVFLVGDDSHPRSKEIYEFLAELSKKMKEDGYIPDTDMVLHDVEEEQKEQNLSYHSEKLAVAFGVISTPPGTPIKVFKNLRICVDCHTAMKYISTIVQRRIIIRDSSRFHCFQDGACSCSDYW >itb01g36060.t2 pep chromosome:ASM357664v1:1:37946071:37949312:1 gene:itb01g36060 transcript:itb01g36060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEPQFNKGDRVEVLKRDNFPIWFPAAVLRHSVRKKQWAGQIYVAFETLCSVDDPMKRRKEYVHVSAVRPAPPAEQPCYFRVGESADVFYENRGWRRGTVDEILENSMYMVGLGDEDGEKAVESVKVEQWQLRVRRDWKDGCWVPPLEESQVDQQKKSEDYLMTPSGVKLRIKCTKRSCVRKFSKEMLVEVMGGKEGFERLWYASVVMEVLGNGKLLVQYQTLKTDDGTEFLKEEVDASCIRPYPPEIERISPFKLLDKVDAWVGNGWREGHVSKVLGDAKYMVCFRSMNELFTFEASNLRPHQEWKNNNWVAATKFDLPRSSSDGMLNSKVVKVKIKSDRKALGPKFSMGMLVEATSFEEGYHGSWYTAVIFDSIGPNKFLLEYQTLRTDDESEPLKEKADAFNIRPCPPLIHRFDRFKMFEEVDAWYNDGWWEGLISKVLDGLNYVVYFWTTNEEIEFAHHGIRPHQEWIDRKWDIAFRKSNNNLPNPKPGIMRRHSGGIASETTFCIGAKVEVKDDEEGNQGSWYPALILRLIGNGKYLVEFRTLKCEYGTDLLVEEADALSVRPSAPILQRVDRFRPHEEVDAWSNNGWRVGEVFEVLEGAKYRVYFGTTNETLEFRHHGLRPHQEWINGAWLVVGVKEHIACRLRSCGADCSKGILIIH >itb01g36060.t1 pep chromosome:ASM357664v1:1:37946071:37949312:1 gene:itb01g36060 transcript:itb01g36060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEPQFNKGDRVEVLKRDNFPIWFPAAVLRHSVRKKQWAGQIYVAFETLCSVDDPMKRRKEYVHVSAVRPAPPAEQPCYFRVGESADVFYENRGWRRGTVDEILENSMYMVGLGDEDGEKAVESVKVEQWQLRVRRDWKDGCWVPPLEESQVDQQKKSEDYLMTPSGVKLRIKCTKRSCVRKFSKEMLVEVMGGKEGFERLWYASVVMEVLGNGKLLVQYQTLKTDDGTEFLKEEVDASCIRPYPPEIERISPFKLLDKVDAWVGNGWREGHVSKVLGDAKYMVCFRSMNELFTFEASNLRPHQEWKNNNWVAATKFDLPRSSSDGMLNSKVVKVKIKSDRKALGPKFSMGMLVEATSFEEGYHGSWYTAVIFDSIGPNKFLLEYQTLRTDDESEPLKEKADAFNIRPCPPLIHRFDRFKMFEEVDAWYNDGWWEGLISKVLDGLNYVVYFWTTNEEIEFAHHGIRPHQEWIDRKWDIAFRKSNNNLPNPKPGIMRRHSGGIASETTFCIGAKVEVKDDEEGNQGSWYPALILRLIGNGKYLVEFRTLKCEYGTDLLVEEADALSVRPSAPILQRVDRFRPHEEVDAWSNNGWRVGEVFEVLEGAKYRVYFGTTNETLEFRHHGLRPHQEWINGAWLVVGVKEA >itb07g02690.t1 pep chromosome:ASM357664v1:7:1740997:1741671:1 gene:itb07g02690 transcript:itb07g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKHIESIVFPYTCGFRWQNISNAFKVLKILIGKRSLAYNKEEDTHSNAFEVLTMNNYQFPSSLELIHFPDYPFPLLPTSLHHPSGMDDNPLELAVISSHRCSLGNCRITEVWYTILT >itb03g24040.t2 pep chromosome:ASM357664v1:3:22557263:22561462:1 gene:itb03g24040 transcript:itb03g24040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRETADYDKASMPQNMLLSDSLEDLANLRKQLYSAAEYFELSYINDDQKQIVANTLKDYAIKALVSTVDHLGSVTYKANDMLDEKVVEVSGTELRISCVEQRLRTCQEYIDREGLSQQSLVINTPKYCKRYILPVGETRCGLDDEDVRRHFQNAVEATTTDIPESTVGNEQSPSPSPQLPQQSRSSFSISITMPKKELGPEKRTVSPHRFRHLRTASLPSRPTTPKSSRPTTPNRSRPPTPTPRSQLPYTSEPRKPMPPLHLHAERENTRDSDHHRPSKSKSKRLLKALLSRRKSKNDDMLYTYLDEY >itb03g24040.t4 pep chromosome:ASM357664v1:3:22557466:22561462:1 gene:itb03g24040 transcript:itb03g24040.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRETADYDKASMPQNMLLSDSLEDLANLRKQLYSAAEYFELSYINDDQKQIVANTLKDYAIKALVSTVDHLGSVTYKANDMLDEKVVEVSGTELRISCVEQRLRTCQEYIDREGLSQQSLVINTPKYCKRYILPVGETRCGLDDEDVRRHFQNAVEATTTDIPESTVGNEQSPSPSPQLPQQSRSSFSISITMPKKELGPEKRTVSPHRFRHLRTASLPSRPTTPKSSRPTTPNRSRPPTPTPRSQLPYTSEPRKPMPPLHLHAERENTRDSDHHRPSKSKSKRLLKALLSRRKSKNDDMLYTYLDEY >itb03g24040.t5 pep chromosome:ASM357664v1:3:22557948:22561455:1 gene:itb03g24040 transcript:itb03g24040.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRETADYDKASMPQNMLLSDSLEDLANLRKQLYSAAEYFELSYINDDQKQIVANTLKDYAIKALVSTVDHLGSVTYKANDMLDEKVVEVSGTELRISCVEQRLRTCQEYIDREGLSQQSLVINTPKYCKRYILPVGETRCGLDDEDVRRHFQNAVEATTTDIPESTVGNEQSPSPSPQLPQQSRSSFSISITMPKKELGPEKRTVSPHRFRHLRTASLPSRPTTPKSSRPTTPNRSRPPTPTPRSQLPYTSEPRKPMPPLHLHAERENTRDSDHHRPSKSKSKRLLKALLSRRKSKNDDMLYTYLDEY >itb03g24040.t1 pep chromosome:ASM357664v1:3:22557263:22561462:1 gene:itb03g24040 transcript:itb03g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRETADYDKASMPQNMLLSDSLEDLANLRKQLYSAAEYFELSYINDDQKQIVANTLKDYAIKALVSTVDHLGSVTYKANDMLDEKVVEVSGTELRISCVEQRLRTCQEYIDREGLSQQSLVINTPKYCKRYILPVGETRCGLDDEDVRRHFQNAVEATTTDIPESTVGNEQSPSPSPQLPQQSRSSFSISITMPKKELGPEKRTVSPHRFRHLRTASLPSRPTTPKSSRPTTPNRSRPPTPTPRSQLPYTSEPRKPMPPLHLHAERENTRDSDHHRPSKSKSKRLLKALLSRRKSKNDDMLYTYLDEY >itb03g24040.t3 pep chromosome:ASM357664v1:3:22557571:22561455:1 gene:itb03g24040 transcript:itb03g24040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRETADYDKASMPQNMLLSDSLEDLANLRKQLYSAAEYFELSYINDDQKQIVANTLKDYAIKALVSTVDHLGSVTYKANDMLDEKVVEVSGTELRISCVEQRLRTCQEYIDREGLSQQSLVINTPKYCKRYILPVGETRCGLDDEDVRRHFQNAVEATTTDIPESTVGNEQSPSPSPQLPQQSRSSFSISITMPKKELGPEKRTVSPHRFRHLRTASLPSRPTTPKSSRPTTPNRSRPPTPTPRSQLPYTSEPRKPMPPLHLHAERENTRDSDHHRPSKSKSKRLLKALLSRRKSKNDDMLYTYLDEY >itb02g05220.t1 pep chromosome:ASM357664v1:2:3127699:3130629:-1 gene:itb02g05220 transcript:itb02g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVINSKDIKGHNRRVASPDGSKKRSRTTMGESGRVLTEPPADHRHNLTANSTADNNNININNNNHNSIPHAPPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHRFKGKTVMTAEERYESLRHCKWVDEVIPGAPWVINQEFLDKHRIDFVAHDALPYADASGASNDVYEFVKAVGRFKETMRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSFVKEKRLRVNMRLKKLQEKVKEHQERVGEKIQTVAKSAGMHRNEWIENADRWVAGFLEMFEEGCHKMGTAIRDHIQERLIGQQQRALLRNGSKDYDGDDEDDEYYYDEEDEDDDDDDEEDESEEDDEYYDDVECH >itb13g24980.t3 pep chromosome:ASM357664v1:13:30481566:30484122:-1 gene:itb13g24980 transcript:itb13g24980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSGGAGAEKNDEIATAGDNTTAAGAGAVNNNNNLSVFFDPQEDDISRSIDFNFTIPPQFLLDGQFDLSLLNGQGPVPNGGGQYPPEQPPVQLIPPPLPQPYEEECLSSVPSSYMPSCSILDYLPLPLPAENSGVFAGGAMFQPQELDFQADNNGSRSLFCPDTISRPYNFSNDLQAVSSESQHLVSGAGSTSTPLASDITSLEDSTFKVGKLSVEQRKEKIHRYLKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGGEREAAKGGGGGSHGTPEEETITEDVRMSLLYDPNQAAALQPHNGGRIFAANCLPPPANPYEMCTNTLYCTDSQMR >itb13g24980.t2 pep chromosome:ASM357664v1:13:30481566:30484122:-1 gene:itb13g24980 transcript:itb13g24980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSGGAGAEKNDEIATAGDNTTAAGAGAVNNNNNLSVFFDPQEDDISRSIDFNFTIPPQFLLDGQFDLSLLNGQGPVPNGGGQYPPEQPPVQLIPPPLPQPYEEECLSSVPSSYMPSCSILDYLPLPLPAENSGVFAGGAMFQPQELDFQADNNGSRSLFCPDTISRPYNFSNDLQAVSSESQHLVSGAGSTSTPLASDITSLEDSTFKVGKLSVEQRKEKIHRYLKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGGEREAAKGGGGGSHGTPEEETITEDVRMSLLYDPNQAAALQPHNGGRIFAANCLPPPANPYEMCTNTLYCTDSQMR >itb13g24980.t1 pep chromosome:ASM357664v1:13:30481566:30485033:-1 gene:itb13g24980 transcript:itb13g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHNDDDNNNNMAHHHLPQEQLPMEEITSPLSAQLLEFCESELFPETAAAAVQISEVGSSSNCCYEEHSSHSTGLSHTQDMTKFSGGAGAEKNDEIATAGDNTTAAGAGAVNNNNNLSVFFDPQEDDISRSIDFNFTIPPQFLLDGQFDLSLLNGQGPVPNGGGQYPPEQPPVQLIPPPLPQPYEEECLSSVPSSYMPSCSILDYLPLPLPAENSGVFAGGAMFQPQELDFQADNNGSRSLFCPDTISRPYNFSNDLQAVSSESQHLVSGAGSTSTPLASDITSLEDSTFKVGKLSVEQRKEKIHRYLKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGGEREAAKGGGGGSHGTPEEETITEDVRMSLLYDPNQAAALQPHNGGRIFAANCLPPPANPYEMCTNTLYCTDSQMR >itb03g12880.t1 pep chromosome:ASM357664v1:3:12692284:12693551:1 gene:itb03g12880 transcript:itb03g12880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEEILLQMVNDFIELGSSPTRDTNTTTTVTPDHHDHTKCYILQEIVESRNGVESRVVESVLKHMRDKMECDDEKSCGLKKWLVKRLRMDGFSASLCHSSWPTTLSCPGGAYEYIEVVVEAKQRVIIDIDFKSQFEVARPTASYKRLLNILPQIFVGEEDKLRRTLSILCSEAKCSLTQNGLHIPPWRTATYMHSKWLSSTDRDTPQVMLKLTHVASALSSAQLISPITTQSCC >itb11g18410.t1 pep chromosome:ASM357664v1:11:18731244:18741071:-1 gene:itb11g18410 transcript:itb11g18410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNDTWPSQSMLDKVRQRVVEMSGRDGEEVRFAVSPYRICPLGAHIDHQGGTVTAMTINHGILLGFVPSSDSQVYLQSGQFKGEVRISVDEIQFPAHIAKSNGSNAAKDELEEAKWGNYVRGALYALQKRGNHLKKGITGFICGSEGLDSSGLSSSAAVGVAYLLAFENANNLSISPTENIEYDRIIENEYFGLKNGILDQSAILLSSRGCLTCINCKTREYKLIQPPKSKNHLKTEFESAYKIMLAFSGLKQALTTTPGYNRRVAECQEAARILLKASRNEQEEPILSDVTQEVYEAHKNKLETNLAKRAEHYFSENKRVFKGLEEWASGNIEEFGKLVTESGLSSIQNYECGCEPLIQLYETLLKAPGVYGARFSGAGFRGCCVALVAAERAEEAATFVRVEYSKLQPDLASHIKQGKVVLVCDAGDSARII >itb11g18410.t2 pep chromosome:ASM357664v1:11:18731651:18740864:-1 gene:itb11g18410 transcript:itb11g18410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNDTWPSQSMLDKVRQRVVEMSGRDGEEVRFAVSPYRICPLGAHIDHQGGTVTAMTINHGILLGFVPSSDSQVYLQSGQFKGEVRISVDEIQFPAHIAKSNGSNAAKDELEEAKWGNYVRGALYALQKRGNHLKKGITGFICGSEGLDSSGLSSSAAVGVAYLLAFENANNLSISPTENIEYDRIIENEYFGLKNGILDQSAILLSSRGCLTCINCKTREYKLIQPPKSKNHLKTEFESAYKIMLAFSGLKQALTTTPGYNRRVAECQEAARILLKASRNEQEEPILSDVTQEVYEAHKNKLETNLAKRAEHYFSENKRVFKGLEEWASGNIEEFGKLVTESGLSSIQNYECGCEPLIQLYETLLKAPGVYGARFSGAGFRGCCVALVAAERAEEAATFVRVEYSKLQPDLASHIKQGKVVLVCDAGDSARII >itb11g18410.t3 pep chromosome:ASM357664v1:11:18731651:18740864:-1 gene:itb11g18410 transcript:itb11g18410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNDTWPSQSMLDKVRQRVVEMSGRDGEEVRFAVSPYRICPLGAHIDHQGGTVTAMTINHGILLGFVPSSDSQVYLQSGQFKGEVRISVDEIQFPAHIAKSNGSNAAKDELEEAKWGNYVRGALYALQKRGNHLKKGITGFICGSEGLDSSGLSSSAAVGVAYLLAFENANNLSISPTENIEYDRIIENEYFGLKNGILDQSAILLSSRGCLTCINCKTREYKLIQPPKSKNHLKTEFESAYKIMLAFSGLKQALTTTPGYNRRVAECQEAARILLKASRNEQEEPILSDVTQEVYEAHKNKLETNLAKRAEHYFSENKRVFKGLEEWASGNIEEFGKLVTESGLSSIQNYECGLEEWASGNIEEFGKLVTESGLSSIQNYECGCEPLIQLYETLLKAPGVYGARFSGAGFRGCCVALVAAERAEEAATFVRVEYSKLQPDLASHIKQGKVVLVCDAGDSARII >itb09g09540.t1 pep chromosome:ASM357664v1:9:5777300:5782273:1 gene:itb09g09540 transcript:itb09g09540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWCFNDKTVLVTGGARGIGLAIVEELAKYGAHIYTCALEPNELDQCLQDWNSKGYKVSGLSCNLAVQDERENLMKIVGDHFNGKLDILVNNAGVALYTEAKDCSPKDWSLVMSTNLEASFNISQLAYPLLKASGNGSVVFVSSAAGVIATPGSTIYGTSKAAINHLTKNLACEWAKDNIRVNAVAPWIISTPLTNLVRQDPAARESLDGMINRTPLRRTGETIEVSGPVAFLCSPMAAYITGHILCVDGGASINASAMASWCFNGKTVLVTGGARGIGLAIVEEFAKLGADVYTCALEPEELDQCLQDWNSKGYKVSGLPCNLTVREERENLMKTVGDHFNGKLDILVNNAGLAIYTEAKDCSAKDWSLVMSTNLDASFHISQLAYPLLKASGNGSVVFVSSASGVISTPGSTLYGTSKAAINQLTKNLACEWAKDNIRVNAVAPWVITTPLTILVQQDPVARESLNNMINRAPLRRPGETTEVSGPVAFLCSPMAAYITGHILCIDGGASINGCP >itb10g07700.t1 pep chromosome:ASM357664v1:10:9151907:9156878:-1 gene:itb10g07700 transcript:itb10g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLFPPRFSVRLTTKPELVTPKTPTPREKKALSDIDDQASLRYQMPGLWFYENKESMVGKDPAKVIKEGLAKALVFFYPLAGRLTEGPNKKLIVDCNGEGVLFVTAEANVALHKLGDFIHSPCPYLKKLQYNVPGSHRITGCPLLLIQVTRFSCGGFALGVRFNHTMVDGYGIQLFLKAVCELAQGGSAPSVLPVWERELLTTAADPITPTTHHAVYGAADMRNNFKRLDIEWWGTILFNFEKLASKPLFFFFPNILKPILLRSSFLFGPNEIQALRDQAAAQDFGPCTTFELISACLWKCRTIALQPNPNATVRVTFPTDIRRKSLAGLKFDPGYYGNAIVMLSAATTAKLLCESPITYAIELIREAKSKVSTDYVKSVLDFLVINGRPRMSVMRNVLVSDISRIGLEKIDFGWGDAIFAGAATAAYGATFLERPKSNSSTERSVLVPISLPHLSMLIFKREIKKMTKFNF >itb01g26800.t1 pep chromosome:ASM357664v1:1:31862170:31865685:-1 gene:itb01g26800 transcript:itb01g26800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMMLRIKRVPTLVSNFQKEEGEEGSVRGAGCGRNCLRSCCLPGSKLPLYAFKKLNNCKDGAGGAEDVKEPPVAFLDSLLLGEWEERMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEELLFQFEASDDGEIQFFPDAPIDPESSPSVVAINVSPIEYGHVLLIPKVLECLPQRIDRDSFLLALHMAEEAANPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTRKITTADSGVKISEILNYPVRGLLFEGGSTLEDLANVVSNSCICLQENNIPYNVLISDLGKRVFLLPQCYAEKQALGEVSSELLDTQVNPAVWEISGHMVLKRKEDYEGASEANAWRLLAEVSLSEERFQEVTALIFEAIGCNTEATAMATHDLRGEKPDIEPPQPMDEEIDSLKGSHPAMVPV >itb11g20470.t2 pep chromosome:ASM357664v1:11:21531742:21542131:-1 gene:itb11g20470 transcript:itb11g20470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGFALSCRPNLTLRHCLHKITRKAAVMAFNDTDKGKALEWKRFNSKDLGIRTSAITKPTRVVLNSLKKKGFDVYLVGGSVRDLVLKRTPKDFDVITSADLREVKRTFSQCEIVGRRFPICHVCVDDTIVEVSSFHTCAGKSQRDIYSSFEKPADCDEKDHLRWQNCLRRDFTINGLMFDPYAKLVYDYTGGVEDIRKAKVQTIIPASFSLMEDSARILRAVRIAARLGFCFQREMALTIKNLSSSVLRLDRGRLLMEMNYMLAYGSAEASLRLLWRFGLLEILLPIQAAYFVRHGFRRRDKRSNFLLSLFSNLDKLLAPDKPCHNSLWVAILAFHKALSEQARDPSVVAAFCLGVHNGGDLTEASSIAGSISRQHESCFPELLEHQHLDSASLKDEVVDLALSVQRALTAITDEYFVSRAMAEYSKVPYSNVVFVPLTLYLRVGRIFECVRLGKEKGFVGKQGRKINYELLASGSLQEVRHVFARVVFDTIYPLSLGKDQT >itb11g20470.t3 pep chromosome:ASM357664v1:11:21532012:21542131:-1 gene:itb11g20470 transcript:itb11g20470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGFALSCRPNLTLRHCLHKITRKAAVMAFNDTDKGKVIDGFGGGTKALEWKRFNSKDLGIRTSAITKPTRVVLNSLKKKGFDVYLVGGSVRDLVLKRTPKDFDVITSADLREVKRTFSQCEIVGRRFPICHVCVDDTIVEVSSFHTCAGKSQRDIYSSFEKPADCDEKDHLRWQNCLRRDFTINGLMFDPYAKLVYDYTGGVEDIRKAKVQTIIPASFSLMEDSAYGSAEASLRLLWRFGLLEILLPIQAAYFVRHGFRRRDKRSNFLLSLFSNLDKLLAPDKPCHNSLWVAILAFHKALSEQARDPSVVAAFCLGVHNGGDLTEASSIAGSISRQHESCFPELLEHQHLDSASLKDEVVDLALSVQRALTAITDEYFVSRAMAEYSKVPYSNVVFVPLTLYLRVGRIFECVRLGKEKGFVGKQGRKINYELLASGSLQEVRHVFARVVFDTIYPLSLGKDQT >itb11g20470.t1 pep chromosome:ASM357664v1:11:21531853:21542131:-1 gene:itb11g20470 transcript:itb11g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGFALSCRPNLTLRHCLHKITRKAAVMAFNDTDKGKALEWKRFNSKDLGIRTSAITKPTRVVLNSLKKKGFDVYLVGGSVRDLVLKRTPKDFDVITSADLREVKRTFSQCEIVGRRFPICHVCVDDTIVEVSSFHTCAGKSQRDIYSSFEKPADCDEKDHLRWQNCLRRDFTINGLMFDPYAKLVYDYTGGVEDIRKAKVQTIIPASFSLMEDSARILRAVRIAARLGFCFQREMALTIKNLSSSVLRLDRGRLLMEMNYMLAYGSAEASLRLLWRFGLLEILLPIQAAYFVRHGFRRRDKRSNFLLSLFSNLDKLLAPDKPCHNSLWVAILAFHKALSEQARDPSVVAAFCLGVHNGGDLTEASSIAGSISRQHESCFPELLEHQHLDSASLKDEVVDLALSVQRALTAITDEYFVSRAMAEYSKVPYSNVFVPLTLYLRVGRIFECVRLGKEKGFVGKQGRKINYELLASGSLQEVRHVFARVVFDTIYPLSLGKDQT >itb09g10030.t5 pep chromosome:ASM357664v1:9:6218183:6221101:-1 gene:itb09g10030 transcript:itb09g10030.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKQASVQCRVQERTLGMVMKEVDEDLAIFLQRRRNGEERKHYVCPQNSEELNDLIVEESRDDQRYLVSNETLTRPTTQMAMEKFLSSENEEGDYEWLLSPPRVPSYSSSDTEEQKAIANPTRASSDSTPLKYTSTDSLEDKTSQNMSSVHPSTATSKCASLPNKNASRAGSGMPSAEARKSTSSRSATPTRQQRARSRASTPSSLASLVSAKPVAVPARSSTPVRANSRSSTPTARATVSAPSKSATPTHRPSTTSSSSAVSARSSSVPKRAPTMPRNLSSSSGTSPTVTSRPSLSRDDSTKRPSSASRVRPSSPLLRPPTTNNATDEISRCKSHSSSRSKTPTITAPGSGSTSVSRSRGHSVNKDDVNPVLAGTKMVERVVNMRKLAPAKPDSHLSNKDASKKSSYSQESSGFGTSFSKKALDMALRHMVNTRNLLMFSMLLNFIKLANILTLQFSLR >itb09g10030.t3 pep chromosome:ASM357664v1:9:6218133:6221101:-1 gene:itb09g10030 transcript:itb09g10030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKQASVQCRVQERTLGMVMKEVDEDLAIFLQRRRNGEERKHYVCPQNSEELNDLIVEESRDDQRYLVSNETLTRPTTQMAMEKFLSSENEEGDYECSSDTEEQKAIANPTRASSDSTPLKYTSTDSLEDKTSQNMSSVHPSTATSKCASLPNKNASRAGSGMPSAEARKSTSSRSATPTRQQRARSRASTPSSLASLVSAKPVAVPARSSTPVRANSRSSTPTARATVSAPSKSATPTHRPSTTSSSSAVSARSSSVPKRAPTMPRNLSSSSGTSPTVTSRPSLSRDDSTKRPSSASRVRPSSPLLRPPTTNNATDEISRCKSHSSSRSKTPTITAPGSGSTSVSRSRGHSVNKDDVNPVLAGTKMVERVVNMRKLAPAKPDSHLSNKDASKKSSYSQESSGFGTSFSKKALDMALRHMDIKRRTPGNLRPVSTGAPPAPMNGV >itb09g10030.t4 pep chromosome:ASM357664v1:9:6218133:6221101:-1 gene:itb09g10030 transcript:itb09g10030.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKQASVQCRVQERTLGMVMKEVDEDLAIFLQRRRNGEERKHYVCPQNSEELNDLIVEESRDDQRYLVSNETLTRPTTQMAMEKFLSSENEEGDYEWLLSPPRVPSYSSSDTEEQKAIANPTRASSDSTPLKYTSTDSLEDKTSQNMSSVHPSTATSKCASLPNKNASRAGSGMPSAEARKSTSSRSATPTRQQRARSRASTPSSLASLVSAKPVAVPARSSTPVRANSRSSTPTARATVSAPSKSATPTHRPSTTSSSSAVSARSSSVPKRAPTMPRNLSSSSGTSPTVTSRPSLSRDDSTKRPSSASRVRPSSPLLRPPTTNNATDEISRCKSHSSSRSKTPTITAPGSGSTSVSRSRGHSVNKDDVNPVLAGTKMVERVVNMRKLAPAKPDSHLSNKDASKKSSYSQESSGFGTSFSKKALDMALRHMDIKRRTPGNLRPVSTGAPPAPMNGV >itb09g10030.t2 pep chromosome:ASM357664v1:9:6218133:6221457:-1 gene:itb09g10030 transcript:itb09g10030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQCRVQERTLGMVMKEVDEDLAIFLQRRRNGEERKHYVCPQNSEELNDLIVEESRDDQRYLVSNETLTRPTTQMAMEKFLSSENEEGDYECSSDTEEQKAIANPTRASSDSTPLKYTSTDSLEDKTSQNMSSVHPSTATSKCASLPNKNASRAGSGMPSAEARKSTSSRSATPTRQQRARSRASTPSSLASLVSAKPVAVPARSSTPVRANSRSSTPTARATVSAPSKSATPTHRPSTTSSSSAVSARSSSVPKRAPTMPRNLSSSSGTSPTVTSRPSLSRDDSTKRPSSASRVRPSSPLLRPPTTNNATDEISRCKSHSSSRSKTPTITAPGSGSTSVSRSRGHSVNKDDVNPVLAGTKMVERVVNMRKLAPAKPDSHLSNKDASKKSSYSQESSGFGTSFSKKALDMALRHMDIKRRTPGNLRPVSTGAPPAPMNGV >itb09g10030.t6 pep chromosome:ASM357664v1:9:6218133:6219991:-1 gene:itb09g10030 transcript:itb09g10030.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIDYLMLQSTDSLEDKTSQNMSSVHPSTATSKCASLPNKNASRAGSGMPSAEARKSTSSRSATPTRQQRARSRASTPSSLASLVSAKPVAVPARSSTPVRANSRSSTPTARATVSAPSKSATPTHRPSTTSSSSAVSARSSSVPKRAPTMPRNLSSSSGTSPTVTSRPSLSRDDSTKRPSSASRVRPSSPLLRPPTTNNATDEISRCKSHSSSRSKTPTITAPGSGSTSVSRSRGHSVNKDDVNPVLAGTKMVERVVNMRKLAPAKPDSHLSNKDASKKSSYSQESSGFGTSFSKKALDMALRHMDIKRRTPGNLRPVSTGAPPAPMNGV >itb09g10030.t1 pep chromosome:ASM357664v1:9:6218133:6221457:-1 gene:itb09g10030 transcript:itb09g10030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQCRVQERTLGMVMKEVDEDLAIFLQRRRNGEERKHYVCPQNSEELNDLIVEESRDDQRYLVSNETLTRPTTQMAMEKFLSSENEEGDYEWLLSPPRVPSYSSSDTEEQKAIANPTRASSDSTPLKYTSTDSLEDKTSQNMSSVHPSTATSKCASLPNKNASRAGSGMPSAEARKSTSSRSATPTRQQRARSRASTPSSLASLVSAKPVAVPARSSTPVRANSRSSTPTARATVSAPSKSATPTHRPSTTSSSSAVSARSSSVPKRAPTMPRNLSSSSGTSPTVTSRPSLSRDDSTKRPSSASRVRPSSPLLRPPTTNNATDEISRCKSHSSSRSKTPTITAPGSGSTSVSRSRGHSVNKDDVNPVLAGTKMVERVVNMRKLAPAKPDSHLSNKDASKKSSYSQESSGFGTSFSKKALDMALRHMDIKRRTPGNLRPVSTGAPPAPMNGV >itb02g24440.t1 pep chromosome:ASM357664v1:2:25018506:25019437:1 gene:itb02g24440 transcript:itb02g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSRSKSNVSGFSHHFQRSISPSDRFCSSTISSPSSSFATRSGSFLARPTSPTRVNLRRSVSPSSSVRFSTSPSRSIAVSPQPQKQNSHSHRHRHFPSNQKKTCMCSPTTHPGSFRCSLHKGMHYQSHQQTQYHSSHHLNMRRSAMKNSLVRIGTVEGDLVKRALAALIRPSSHSLRRRGDFQPRPSRLSIMSKADDK >itb06g14720.t1 pep chromosome:ASM357664v1:6:19217211:19217774:-1 gene:itb06g14720 transcript:itb06g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPERRIGLYSQGSSGWTSIRYEELQEDDVWGGFHERNEFPPPKVKEPSPSSTLRRLPSSTKMIPRSNKNPNHEPKMIQHSAPVNIPDWSKIYGTTSQASYNPRVLDGHNQGKEGDGFIRSGWNSDDEEDIDGGGQMVPPHEWIARKLARSQISSFSVCEGVGRTLKGRDLKRVRNAVLTKTGFLE >itb07g02450.t1 pep chromosome:ASM357664v1:7:1586977:1589023:-1 gene:itb07g02450 transcript:itb07g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDREIEPKDIEGIDCEVSGWGDRSVEISMKEMKKLKMLKVGDLDRVFHLKENYDHVFHLKENYLPNSLRWLEFYFYQFTSLPESFAPSKLVCLSLRSSSLQNCTITQKLDKLTLLDLSGSKALLETPDFDWMPNLRTLNLQYCEDLKVVHPSIGNLNKLVLLDLSGCSNLESLPSFNQVSSLNSLDLLNA >itb03g15420.t1 pep chromosome:ASM357664v1:3:14775947:14780859:-1 gene:itb03g15420 transcript:itb03g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYSVCFCFRRRFQLAEAEAPAEIREIFDQYSENGLMSVENLHRFLIEVQKEENTTIEDAQAILDSLHHLKLLHHKDLHIHAFFKYIFGDANSPINPKLGIHHDMNSPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIEALKSGVRVIELDIWPNSAKDDVDVLHGRTLTTPVALIKCLKSIKEHAFSASEYPVVITLEDHLTPDLQAKVAEMITQTFGDMLFSPGSESLKEFPSPESLKKRVVISTKPPKEYLKTKEVEKEGETNKESDSAESDAWGREVTELTAENNDNKDDSDEEEEDDDDDDPKSEQNAAPEYRNLIAIHAGKGKGGLSDWLRVETDKVRRLSLSEQELQKAVLTHGKEIIRFTQQNLLRIYPKGIRFDSSNYNPLIGWTHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYLKKPDLLLGSGTTYEVFDPNATLLVKTTLKVAVYMGEGWYQDFHHDHFDPYSPPDFYAKIGIAGVPADCVMKKTKAVEDNWIPTWDEQFEFPLTVPELALLRLEVHEYDVSGKDDFAGQSCIPIPELRQGIRAAPLYDLKGEMHKSVKLLLKFEFV >itb11g16350.t1 pep chromosome:ASM357664v1:11:14363011:14363358:-1 gene:itb11g16350 transcript:itb11g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRDLLPPVKSSGTAHYDHSNDPWFKNRYSAAEVEKTAAIKANPVPPYLKRAGFRPSKLEDFGDGGAFPEIHYAQYPLDMGRKKDWKPRSNTLPVTVDEHGRDACGPIGATKV >itb06g15180.t1 pep chromosome:ASM357664v1:6:19551087:19552666:-1 gene:itb06g15180 transcript:itb06g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLISKSPSSPPGPPGLPIIGNLHQFDTAELHVFLWKLSKKYGSLMCMKLGFREVVVISSATMAKEAFKTHDLAFSSRPSFIGQQKLSYNGLDIGFSPYGEYWREMKKISVLHLFSLKRVKEFQPIREDEVSLMINRISKLALSYQQIDLSEIAMSLTSTITCRSAFGLRYDEEGQEKRRFHKLMNEAQAMFPGGSLVADFFPSFGWMIDKFTGKVARLERVFKGLDSFYQELIDKHLHPNRPKSMDGDIIDILLGLMEENSSLVKLTWDHIKAVLMNVFIGGTETSAVVIIWAMTALMKDRRVMDKVQQEIRELIGEKGKVDEDDIKQLPYFRAVIKETLRLYPSVPLLLNREIISKCTIEEYEIKPKTIVIINAWAIARDPEHWEDPEEFYPERFLDCNIDYKGQNFEFIPFGAGRRMCPGMTLGLVVAELALANLLYSFDWELPSGMKKEDIDIESLPGLAMYKKNHLCLFAKSAQI >itb01g31980.t1 pep chromosome:ASM357664v1:1:35591247:35595916:-1 gene:itb01g31980 transcript:itb01g31980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDSIVFRDYRGDVPKGSAEIFFRKVKFWKEDGEEEAPPVFNADGVNYFHVKVVGLLFVATTRTNLSPSLVLELLQRIARVTKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYIFNEPIVIDAGHLPPIGPAAIFMQGTKRMPGTAITKSVVANEPGGRKREEIFVDVIEKISITFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNDNLSIGRGGGSIYDYSGSSGAGAVILDDCNFHESVHLDSFDMDRTLTLVPPDGEFPVMNYRITQEFKPPFRINALIEEAGLHKAEVILKIRAEFPSNITANTVVVQMPVPTYTSRVGFELEPAAVGQTTDFKESNKRLEWNLKKVVGGSECTLRAKLTFSQEAHGNITKEAGPVSMTFTIPMYNPSRLQVKYLQIAKKSKTYNPYRWVRYVTQANSYVARI >itb07g02300.t1 pep chromosome:ASM357664v1:7:1458028:1461127:1 gene:itb07g02300 transcript:itb07g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVRRREIIKPSSPTPQNLRTYNFSIVDQLSANVKIPYVRFYDAPGGSRTYNGVIEELKKSLSQTLSLMYPLAGRIKDDRLSIDCNDEGVEFIEGEVAQSLSSFLENPDFEMIRQMVPCNPFTGAFEPNPDARVLVVQVNRFSCGGMSIGLIISHAIGDGVSITVLADAWARINRGCAAVDDGGIIFDASKLFPPVKDTAALDQFARVARGTGEDKDKYVVRRFYIPASAIDQLREQLRLISHYRPSRAEALIAVVWDAVIAANQERHKGRINLHVLTSIINIRNRMEPPFPANCLGNNTFGATAHWNQPTDGNPSRHALAAKFRDAVRGVNDEAARKRYGKGGLLHTMLPIMSEIIKHEGAKKNMYCLYTSSLLGMQVYAADFGWGKPRLAVNAQVMKDTVVFMEGKFGGVDLWMGLPEELMNIVVKVPQFAEFVSAGFSKSKI >itb12g20080.t1 pep chromosome:ASM357664v1:12:22498043:22499249:1 gene:itb12g20080 transcript:itb12g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHETELSTSNSSCSASSRCKRPREDGAAGCGGKSGKRSDLKHPSYVGVRMRAWGKWVSEIREPKKKSRIWLGTFATPEMAARAHDVAALSIKGDSALLNFPALAGLLPRPATSTPRDVQAAAVKAAHMDHLDPPPKPPSSSAASLASSPSSSSLVSTVTSGEDASTPPQDEDEDALPPSPAELGEIVELPELRDNAEPTRDEFIFVDSYGWDFCHPWSHLDDGGYVAGDGFDAVLSGDFDSCLWQHY >itb14g03680.t1 pep chromosome:ASM357664v1:14:3330045:3330353:-1 gene:itb14g03680 transcript:itb14g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCIVRAERLQAKESMATTSAKIHVRFSGSNTRTHQYSQKHVQNLKFISRKRKKQQPWYYSEAGIYRSKHPSVHLPSDPFLDVASFILSRNHGGLSTLIDS >itb15g14470.t1 pep chromosome:ASM357664v1:15:12787077:12787418:1 gene:itb15g14470 transcript:itb15g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFWQIFSQIISAGFIKDTYAASRILKFCTDSPFLGINCTENIFTQIENPNVFSVNTMMRAYLQQNMPQNSIFLYKIDVEGNSMWTIIRSQFFFKLPRSGAQCLRAESCIVMS >itb15g01570.t1 pep chromosome:ASM357664v1:15:941302:942564:-1 gene:itb15g01570 transcript:itb15g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQVDLETLVSACAGGGTDRKIACETLVEDNAGDKEDSAGDDDAGREAPPDFPPESFWLSKDAEIDWFDRNAFLERKESAKGINSNSNPVHPNLNPGSNSSSQRFLKSKASLLLGLPKTQKMNYVWKTCSKPANIRLFPAKRTESIEKVAAEPSSPKVSCMGRVRSKRGCRKSSSTREKPAEDQPETGGEKRRTGFYSRLLSLFRSNRSHKSAKNIKTEPKREEPEPGRKSVKATKMRDVPISAEPVAEPPGLGGMRRLSSARKSESWAAEEIKAAISEAFETDRRRAGHNG >itb11g05850.t1 pep chromosome:ASM357664v1:11:3482108:3485318:1 gene:itb11g05850 transcript:itb11g05850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPETLCVDPTAPPLPPAETATFDVTPIEASSSISQSATVDELIHMLYNPNLRGEAMELLNKCMAAHPDTRMGLVRAKIPAYLYPFLEPTVNEKPLEFLRLTSLGVIGALVKIEDSNAPETVHFLLETEVFPLCLRCIDLGDELTQSVATLIVMKILMQEEGLNYCCALAERFFSVVQVLGRLVERLPETPCLRLLRYVVRCYLRLSELPSSLRYSIVTPLSYLHSPLSLRLHGDGDIPPLPSFKAHRRKDDPQTARMLQQFFLNITTSSS >itb15g21210.t1 pep chromosome:ASM357664v1:15:23903693:23906398:-1 gene:itb15g21210 transcript:itb15g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKDPPQVVISKGGQPRVLPQRLLHLFLLLCFGFSVVCVYTIKGFGALSVVNNTVKPSLESCIQTRPNGLEHWIKPPTNLTHSMSDEELFWRASLVPKIKKYPFKRVPKIAFMFLTKGPLPLSPLWERFFKGQRGLFSIYIHSSPSFEADFTPSSVFYGRQIPSKVTEWGTMSICDAERRLIANALLDIRNEWFVLLSESCIPLFNFTVIYGYLRRSKHSFIGAFDDPGPYGRGRYNPNMAPEVNITDWRKGPQWFEINRKLALYIVQDTKLYPKFAEFCKPHCYVDEHYFPTMLAIQAGSVLANRSITWADWSRGGAHPATFGRSDITPDFVKRILEGHSCLYNGHSISICHLFARKFAPSALEPLILLASEYLGF >itb04g10830.t1 pep chromosome:ASM357664v1:4:10412525:10412980:1 gene:itb04g10830 transcript:itb04g10830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKMIILRSSDGEIFKVEEAVAIEMQMIKYMIDDECANTTIPIANVTGKILNKVIEYCKSHAETAKTSQDDLKDFDANFIKVDHQTLSDLIIAANFLNVKSLLDLTCQAAANLIEKMTVEDVRKFFNIQNDFTPEEEEEIRRENAWAFE >itb02g19500.t1 pep chromosome:ASM357664v1:2:16672149:16672824:1 gene:itb02g19500 transcript:itb02g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRKRKRSAENGEAKTCDDRPKQGQDATAPSSERKDDDDNNCVAAPPPSEAEVEEFYAILRRMRTAVKYFNDVRDRRESGREAVRSGGGGGLQLDLNSVPESENNGARPKCESG >itb13g16210.t1 pep chromosome:ASM357664v1:13:23132337:23143172:1 gene:itb13g16210 transcript:itb13g16210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPSLRGQPTAVVQYNSWQGGALIAVSYEARKFGVKRSMRGDEAKQVCPEIQLVQVPVARGKADLNTYRNAGSEVVSILARKGICERASIDEVYLDLTEAAEKMLKETPPEKLETINEEAAKSHVLGIDKDENESVKKWLCRNDADYRDKLLACGALIVAELRLQVLNETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPSSFVMKFLEPLPIKKMKQLGGKLGTSLQIDLGVNTVGDLLQFSEEKLQELFGINTGTWLWNTARGMNGEEVQGRLLPKSHGSGKTFPGPRALRTIASVEKWLRELCDELNERLQSDLEQNKRIAKTLTLHASAYKTNDTDSFKKFPSKSCPLRYGTAKIQEDALILFQAGLREFLGYYNVKTPTKQQDGWGVTGLSISASKIVSIPSGTRSIMNYFQNQDQTCSSVKQLSQRSIQDAMPLPPLGSGNHSTLHSSEPKVDCIHESKGSEYAIPTLEIQEEDGEPCTVQGLLSTSCHQEDGFSEETVALSFSGAETCSRLEPNQVDPMVDPAEETTNSLLSKEQKRKPSKNKGTSILGYFQSQDSFSKVTHGSPCQESEASSPGTSMDPTGQNDQRRHARSYYYNINEIDPAVVNELPQEIQDEVRAWLRPRKQANTTKKGSDITSYFSRAKDA >itb13g16210.t3 pep chromosome:ASM357664v1:13:23132337:23143172:1 gene:itb13g16210 transcript:itb13g16210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPSLRGQPTAVVQYNSWQGGALIAVSYEARKFGVKRSMRGDEAKQVCPEIQLVQVPVARGKADLNTYRNAGSEVVSILARKGICERASIDEVYLDLTEAAEKMLKETPPEKLETINEEAAKSHVLGIDKDENESVKKWLCRNDADYRDKLLACGALIVAELRLQVLNETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPSSFVMKFLEPLPIKKMKQLGGKLGTSLQIDLGVNTVGDLLQFSEEKLQELFGINTGTWLWNTARGMNGEEVQGRLLPKSHGSGKTFPGPRALRTIASVEKWLRELCDELNERLQSDLEQNKRIAKTLTLHASAYKTNDTDSFKKFPSKSCPLRYGTAKIQEDALILFQAGLREFLGYYNVKTPTKQQDGWGVTGLSISASKIVSIPSGTRSIMNYFQNQDQTCSSVKQLSQRSIQDAMPLPPLGSGNHSTLHSSEPKVDCIHESKGSEYAIPTLEIQEEDGEPCTVQGLLSTSCHQEDGFSEETVALSFSGAETCSRLEPNQVDPMVDPAEETTNSLLSKEQKRKPSKNKGTSILGYFQSQDSFSKVTHGSPCQESEASSPGTSMDPTGQNDQRRHARSYYYNINEIDPAVVNELPQEIQDEVRAWLRPRKQANTTKKGSDITSYFSRAKDA >itb13g16210.t2 pep chromosome:ASM357664v1:13:23132366:23142760:1 gene:itb13g16210 transcript:itb13g16210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDEAKQVCPEIQLVQVPVARGKADLNTYRNAGSEVVSILARKGICERASIDEVYLDLTEAAEKMLKETPPEKLETINEEAAKSHVLGIDKDENESVKKWLCRNDADYRDKLLACGALIVAELRLQVLNETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPSSFVMKFLEPLPIKKMKQLGGKLGTSLQIDLGVNTVGDLLQFSEEKLQELFGINTGTWLWNTARGMNGEEVQGRLLPKSHGSGKTFPGPRALRTIASVEKWLRELCDELNERLQSDLEQNKRIAKTLTLHASAYKTNDTDSFKKFPSKSCPLRYGTAKIQEDALILFQAGLREFLGYYNVKTPTKQQDGWGVTGLSISASKIVSIPSGTRSIMNYFQNQDQTCSSVKQLSQRSIQDAMPLPPLGSGNHSTLHSSEPKVDCIHESKGSEYAIPTLEIQEEDGEPCTVQGLLSTSCHQEDGFSEETVALSFSGAETCSRLEPNQVDPMVDPAEETTNSLLSKEQKRKPSKNKGTSILGYFQSQDSFSKVTHGSPCQESEASSPGTSMDPTGQNDQRRHARSYYYNINEIDPAVVNELPQEIQDEVRAWLRPRKQANTTKKGSDITSYFSRAKDA >itb13g16210.t5 pep chromosome:ASM357664v1:13:23132337:23143188:1 gene:itb13g16210 transcript:itb13g16210.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPSLRGQPTAVVQYNSWQGGALIAVSYEARKFGVKRSMRGDEAKQVCPEIQLVQVPVARGKADLNTYRNAGSEVVSILARKGICERASIDEVYLDLTEAAEKMLKETPPEKLETINEEAAKSHVLGIDKDENESVKKWLCRNDADYRDKLLACGALIVAELRLQVLNETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPSSFVMKFLEPLPIKKMKQLGGKLGTSLQIDLGVNTVGDLLQFSEEKLQELFGINTGTWLWNTARGMNGEEVQGRLLPKSHGSGKTFPGPRALRTIASVEKWLRELCDELNERLQSDLEQNKRIAKTLTLHASAYKTNDTDSFKKFPSKSCPLRYGTAKIQEDALILFQAGLREFLGYYNVKTPTKQQDGWGVTGLSISASKIVSIPSGTRSIMNYFQNQDQTCSSVKQLSQRSIQDAMPLPPLGSGNHSTLHSSEPKVDCIHESKGSEYAIPTLEIQEEDGEPCTVQGLLSTSCHQEDGFSEETVALSFSGAETCSRLEPNQVDPMVDPAEETTNSLLSKEQKRKPSKNKGTSILGYFQSQDSFSKVTHGSPCQESEASSPEV >itb13g16210.t4 pep chromosome:ASM357664v1:13:23132337:23136840:1 gene:itb13g16210 transcript:itb13g16210.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRVIAHVDMDCFYVQVEQRKQPSLRGQPTAVVQYNSWQGGALIAVSYEARKFGVKRSMRGDEAKQVCPEIQLVQVPVARGKADLNTYRNAGSEVVSILARKGICERASIDEVYLDLTEAAEKMLKETPPEKLETINEEAAKSHVLGIDKDENESVKKWLCRNDADYRDKLLACGALIVAELRLQVLNETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPSSFVMKFLEPLPIKKMKQLGGKLGTSLQIDLGVNTVGDLLQFSEEKLQELFGINTGTWLWNTARGMNGEEVQGRLLPKSHGSGKTFPGPRALRTIASVEKWLRELCDELNERLQSDLEQNKRIAKTLTLHASAYKTNDTDSFKKFPSKSCPLRYGTAKIQEDALILFQAGLREFLGYYNVKTPTKQQDGWGVTGLSISASKIVSIPSGTRSIMNYFQNQDQTCSSVKQLSQRSIQDAMPLPPLGSLCFHT >itb03g16160.t1 pep chromosome:ASM357664v1:3:15226270:15231829:1 gene:itb03g16160 transcript:itb03g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAWDIAAAEFLQGANRQKLALPKHSVRQTDRLLWGVLARGSPFKFSNKNVRLQSRFPERIKAVVSGNVSTSQSEEQSKVQQPPGKVVHLYRVPLLQDSATAELLKLVQMKISNKIIDLKTEQCFNIGLTSDLSSEKHSVLKWVLGETYEPEKLGTESFLNRDRMQNSNAVIVEVGPRLSFTTAWSANAVSICKACGLTEISRLERSRRYLLYVEPGNGPLPDSQINEFAAMVHDRMTESVYPEKLSSFETSVVPEKVWSIPVLEKGRKALEEINEEMGFAFDEQDLQYYTKLFRDDIKRNPTNVELFDIAQSNSEHSRHWFFTGKLVIDGQPVSRTLMQIVKSTLVANPNNSVIGFKDNSSAIKGFLVNQLRPVQPGSTCPLSTSSHDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDPSFQYPANLASPLQILIDSSNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHIHITKGEPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRACVEMGNDNPIISIHDQGAGGNCNVVKEIIHPQGAKIDIRAVVVGDHTMSVLEIWGAEYQEQDAILIKPESGSLLQAICKRERLSMAVIGTINGEGRITLVDSLAIEQCQSNGLPPPPPAVDLELEKVLGDMPQKTFESHRANNVLKPLDIAPGTTVMEALNRVLRLPSVASKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDFTGGACSIGEQPIKGLLNPKAMARVAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSESMIELGIAIDGGKDSLSMAARASGEVVKAPGNLVISTYVTCSDITKTVTPDLKLGDDGILLHIDLAKGKRRLGGSALAQAFYQIGDECPDLEDVSYLKAVFNEVQNLLSDDLISAGHDISDGGLLVGILEMAFAGNCGICLDLTSPESSILHTLFAEELGVIIEVNKNNLDVVMSKLQNGGVSAEVIGKVTASPQVDLRIDGVAYLNEKTSVLRDIWEETSFKLEKFQRLASCVELEKQGLKSRHEPLWKLSFTPNFTDQKYMTATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDVAMSDLLNGVVSLHEFRGIVFVGGFSYADVLDSAKGWAASIRFNQPLLNQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQVGGVLGKGGDPSQPRFVHNESGRFECRFTSVTIQESPSIMFKGMEGSTLGVWAAHGEGRAYFPDNSVLNDILGSNLAPVKYCDDDGTPTEVYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWDVEKKGPSPWLRMFQNAREWCS >itb03g16160.t2 pep chromosome:ASM357664v1:3:15226270:15231829:1 gene:itb03g16160 transcript:itb03g16160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAWDIAAAEFLQGANRQKLALPKHSVRQTDRLLWGVLARGSPFKFSNKNVRLQSRFPERIKAVVSGNVSTSQSEEQSKVQQPPGKVVHLYRVPLLQDSATAELLKLVQMKISNKIIDLKTEQCFNIGLTSDLSSEKHSVLKWVLGETYEPEKLGTESFLNRDRMQNSNAVIVEVGPRLSFTTAWSANAVSICKACGLTEISRLERSRRYLLYVEPGNGPLPDSQINEFAAMVHDRMTESVYPEKLSSFETSVVPEKVWSIPVLEKGRKALEEINEEMGFAFDEQDLQYYTKLFRDDIKRNPTNVELFDIAQSNSEHSRHWFFTGKLVIDGQPVSRTLMQIVKSTLVANPNNSVIGFKDNSSAIKGFLVNQLRPVQPGSTCPLSTSSHDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDPSFQYPANLASPLQILIDSSNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHIHITKGEPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRACVEMGNDNPIISIHDQGAGGNCNVVKEIIHPQGAKIDIRAVVVGDHTMSVLEIWGAEYQEQDAILIKPESGSLLQAICKRERLSMAVIGTINGEGRITLVDSLAIEQCQSNGLPPPPPAVDLELEKVLGDMPQKTFESHRANNVLKPLDIAPGTTVMEALNRVLRLPSVASKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDFTGGACSIGEQPIKGLLNPKAMARVAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSESMIELGIAIDGGKDSLSMAARASGEVVKAPGNLVISTYVTCSDITKTVTPDLKLGDDGILLHIDLAKGKRRLGGSALAQAFYQIGDECPDLEDVSYLKAVFNEVQNLLSDDLISAGHDISDGGLLVGILEMAFAGNCGICLDLTSPESSILHTLFAEELGVIIEVNKNNLDVVMSKLQNGGVSAEVIGKVTASPQVDLRIDGVAYLNEKTSVLRDIWEETSFKLEKFQRLASCVELEKQGLKSRHEPLWKLSFTPNFTDQKYMTATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDVAMSDLLNGVVSLHEFRGIVFVGGFSYADVLDSAKGWAASIRFNQPLLNQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPQVGGVLGKGGDPSQPRFVHNESGRFECRFTSVTIQESPSIMFKGMEGSTLGVWAAHGEGRAYFPDNSVLNDILGSNLAPVKYCDDDGTPTEVYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKHWDVEKKGPSPWLRMFQNAREWCS >itb04g22800.t1 pep chromosome:ASM357664v1:4:28070313:28085557:1 gene:itb04g22800 transcript:itb04g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEQVIRQRDGSVLGKRTILKSDHFPSCQNKRLSPQIDGAPNYRKMDGKQTRFLWINLREEPVVYINGRPFVLREVERPFSNLEYTGINRVRVEQMEDRLKEDVLIEAARYGNKILVTDELPDGQMVDQWEPVTQDLVKTPLEVYEELQAQEYLVDYERVPVTDEKSPKEMDFDILVHKVSQADIKTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSSYGANATDTMPNSEDAIRRGEYTVIRSLIRVLEGGVEGKKQVDKVIDRCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTERDALHPRSSGWSSFTDWMRARPELYSILRRLLRRDPMGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIERCAGAGHLREDIIYFTKELKKFPNDDDDEHRAYLMDMGIRALRRYFFLITFRSYLYCTSASEMKFTEWMDARPELGHLCNNLRIDT >itb04g22800.t3 pep chromosome:ASM357664v1:4:28070314:28085514:1 gene:itb04g22800 transcript:itb04g22800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPITERLILCMFMVLLFQLLMVSVMFLITLELRWMGSKLAFSGLIFVKNRWYTLMGALLFCVKWRGPSLTLNIRVRVEQMEDRLKEDVLIEAARYGNKILVTDELPDGQMVDQWEPVTQDLVKTPLEVYEELQAQEYLVDYERVPVTDEKSPKEMDFDILVHKVSQADIKTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSSYGANATDTMPNSEDAIRRGEYTVIRSLIRVLEGGVEGKKQVDKVIDRCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTERDALHPRSSGWSSFTDWMRARPELYSILRRLLRRDPMGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIERCAGAGHLREDIIYFTKELKKFPNDDDDEHRAYLMDMGIRALRRYFFLITFRSYLYCTSASEMKFTEWMDARPELGHLCNNLRIDT >itb04g22800.t4 pep chromosome:ASM357664v1:4:28070314:28082633:1 gene:itb04g22800 transcript:itb04g22800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEQVIRQRDGSVLGKRTILKSDHFPSCQNKRLSPQIDGAPNYRKADSLHVHGVAIPTVDGIRNVLDHIGAQMDGKQTRFLWINLREEPVVYINGRPFVLREVERPFSNLEYTGINRVRVEQMEDRLKEDVLIEAARYGNKILVTDELPDGQMVDQWEPVTQDLVKTPLEVYEELQAQEYLVDYERVPVTDEKSPKEMDFDILVHKVSQADIKTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSSYGANATDTMPNSEDAIRRGEYTVIRSLIRVLEGGVEGKKQVDKVIDRCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTERDALHPRSSGWSSFTDWMRARPELYSILRRLLRRDPMGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIER >itb04g22800.t6 pep chromosome:ASM357664v1:4:28075677:28085556:1 gene:itb04g22800 transcript:itb04g22800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIERCAGAGHLREDIIYFTKELKKFPNDDDDEHRAYLMDMGIRALRRYFFLITFRSYLYCTSASEMKFTEWMDARPELGHLCNNLRIDT >itb04g22800.t8 pep chromosome:ASM357664v1:4:28070313:28085514:1 gene:itb04g22800 transcript:itb04g22800.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEQVIRQRDGSVLGKRTILKSDHFPSCQNKRLSPQIDGAPNYRKMDGKQTRFLWINLREEPVTYLSFFQAHFRWYTLMGALLFCVKWRGPSLTLNIRVRVEQMEDRLKEDVLIEAARYGNKILVTDELPDGQMVDQWEPVTQDLVKTPLEVYEELQAQEYLVDYERVPVTDEKSPKEMDFDILVHKVSQADIKTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSSYGANATDTMPNSEDAIRRGEYTVIRSLIRVLEGGVEGKKQVDKVIDRCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTERDALHPRSSGWSSFTDWMRARPELYSILRRLLRRDPMGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIERCAGAGHLREDIIYFTKELKKFPNDDDDEHRAYLMDMGIRALRRYFFLITFRSYLYCTSASEMKFTEWMDARPELGHLCNNLRIDT >itb04g22800.t7 pep chromosome:ASM357664v1:4:28075677:28085556:1 gene:itb04g22800 transcript:itb04g22800.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIERCAGAGHLREDIIYFTKELKKFPNDDDDEHRAYLMDMGIRALRRYFFLITFRSYLYCTSASEMKFTEWMDARPELGHLCNNLRIDT >itb04g22800.t5 pep chromosome:ASM357664v1:4:28070314:28079296:1 gene:itb04g22800 transcript:itb04g22800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEQVIRQRDGSVLGKRTILKSDHFPSCQNKRLSPQIDGAPNYRKADSLHVHGVAIPTVDGIRNVLDHIGAQMDGKQTRFLWINLREEPVVYINGRPFVLREVERPFSNLEYTGINRVRVEQMEDRLKEDVLIEAARYGNKILVTDELPDGQMVDQWEPVTQDLVKTPLEVYEELQAQEYLVDYERVPVTDEKSPKEMDFDILVHKVSQADIKTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSSYGANATDTMPNSEDAIRRGEYTVIRSLIRVLEGGVEGKKQVDKVIDRCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTERDALHPRSSGWSSFTDWMRARPELYSILRRLLRRDPMGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVLMSCTAYFLTINRR >itb04g22800.t2 pep chromosome:ASM357664v1:4:28070313:28085557:1 gene:itb04g22800 transcript:itb04g22800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEPEQVIRQRDGSVLGKRTILKSDHFPSCQNKRLSPQIDGAPNYRKADSLHVHGVAIPTVDGIRNVLDHIGAQMDGKQTRFLWINLREEPVVYINGRPFVLREVERPFSNLEYTGINRVRVEQMEDRLKEDVLIEAARYGNKILVTDELPDGQMVDQWEPVTQDLVKTPLEVYEELQAQEYLVDYERVPVTDEKSPKEMDFDILVHKVSQADIKTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVSSYGANATDTMPNSEDAIRRGEYTVIRSLIRVLEGGVEGKKQVDKVIDRCASMQNLREAIATYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTERDALHPRSSGWSSFTDWMRARPELYSILRRLLRRDPMGALGYASLKPSPANDVESTNDRPSEMGQVAALRSGEVLGSQTVLKSDHCPGCHHPSLPERLEGAPNFREIPGFPVYGVANPTVSGILSVIQRIGSSKEGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDCERVERMEARLKDDIMREAERYHGAIMVIHETADGQIFDSWEHVSSDSVQTPLEVFKCLEAEGFPIKYARIPITDGKAPKSSDFDTLALNIASASKDTALVFNCQMGIGRTTTGTVIACLLKLRIDYGRPIRVLNDALHEELSGDISSGDESDGHVPPYEPLILKTRPKKDSSHEFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRRLFNQQHTEPRERRVALNRGAEYLERYFRLIAFAAYLGSDAFDGFCGQGESMTTFKHWLHQRPEVQAMKWSIRLRPGRFFTIPEELRTPHELKHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDKYHVYSMATPTIVGAKEMLTYLGAKPGIEGNAADRVVLTDLREEAVVYINGTPFVLRELNKPVDALKHVGITGSLVEHMEARLKEDIISEIKQSGGRMLLHREEYNPTLNQVSIVGYWENIFIDDVKTPTELYASLKKEGYNITYQRIPLTREREALASDVDAIQYRKDDSAGSYLFVSHTGFGGIAYAMAIICLRLDAEAKIASDVTRSLVDEETSKMGYYRDILSLTRVLVHGPESKVDVDNVIERCAGAGHLREDIIYFTKELKKFPNDDDDEHRAYLMDMGIRALRRYFFLITFRSYLYCTSASEMKFTEWMDARPELGHLCNNLRIDT >itb01g20160.t1 pep chromosome:ASM357664v1:1:26443974:26451219:1 gene:itb01g20160 transcript:itb01g20160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDEVGSYGLLKDLKLEFKDVEDRAQNGGITLCFWLYLNNPTALPSTILHQAHPDIASNAPFLLLDEQSRIVLFPLIFLHQEASSPSNAISSKQIPCASNKNEFPVKKWVHIACEISQVNLRLHIDGEIVGERPLTSSLNDDLHSDVSKKVSLCSITGNDDGLQGYVHGVELFHQDSSIRSHYLKDSPLQLSIDSSSAYEIDEYSDGVWSIVGGKASCRKNFSLDVMLLDALGRPANKELEVFASLVYADSEAPVEKPPDGEAPLLTSYDGLEFDSSDRPSKMIQGRASFKLKISQLSSKSDNRLFRIKFGIQKTGRYPFLEALSIPIRCISRSRTSRPSSVTRKKSPSSIHLLNRSQSPGLDGGLPEVLHNIVHEAKQSPSSKRVKLGQEKSLAVLKDDCILKEADEESKSHMWTTNEDNNNAYKTGAVGRPESRDGAENFSSDSENSEATNSVPGNFSPHKGPISDLIVFKYCLGGLSERCLLLKEIAITYKEEALGSFAEQVSLFSGCSHHRRQILISKRLIEDGIKCWSFISRNSHNVLWDNLVTGLQDHFMKLTFCATRSLTRQDFEILRRIAGCQEMVSQENFEKMWCWLYPVAFTLSQKQMNSLWSSLSPKWMEGFITKEEAESSLLRPGGVQAPGTFILRFPISRSWPHTDAGTLVVTYVGSDYSIHHRLLSHESVYCSKEMTGKPLQEMLLEEPELSRLGRTVRSQ >itb01g20160.t2 pep chromosome:ASM357664v1:1:26443997:26450883:1 gene:itb01g20160 transcript:itb01g20160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDEVGSYGLLKDLKLEFKDVEDRAQNGGITLCFWLYLNNPTALPSTILHQAHPDIASNAPFLLLDEQSRIVLFPLIFLHQEASSPSNAISSKQIPCASNKNEFPVKKWVHIACEISQVNLRLHIDGEIVGERPLTSSLNDDLHSDVSKKVSLCSITGNDDGLQGYVHGVELFHQDSSIRSHYLKDSPLQLSIDSSSAYEIDEYSDGVWSIVGGKASCRKNFSLDVMLLDALGRPANKELEVFASLVYADSEAPVEKPPDGEAPLLTSYDGLEFDSSDRPSKMIQGRASFKLKISQDNNNAYKTGAVGRPESRDGAENFSSDSENSEATNSVPGNFSPHKGPISDLIVFKYCLGGLSERCLLLKEIAITYKEEALGSFAEQVSLFSGCSHHRRQILISKRLIEDGIKCWSFISRNSHNVLWDNLVTGLQDHFMKLTFCATRSLTRQDFEILRRIAGCQEMVSQENFEKMWCWLYPVAFTLSQKQMNSLWSSLSPKWMEGFITKEEAESSLLRPGGVQAPGTFILRFPISRSWPHTDAGTLVVTYVGSDYSIHHRLLSHESVYCSKEMTGKPLQEMLLEEPELSRLGRTVRSQ >itb04g31710.t1 pep chromosome:ASM357664v1:4:34462844:34466410:-1 gene:itb04g31710 transcript:itb04g31710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSAIFTPTLPLKSSPTRRRFSSTIPRVLSVIKTSPPVSGVDVDEVLRDFLKERKLNGDFIARVSDRIWLRDIASVDDTESGTVPTNTIQLLEEALGEENEGGFLKLKSTSEWLSGEASAPINKKRTIEEIRDDSERRKRLNFLRYEALKRDLLFLTVSIGAACGGYCLVALSPQAALSYATGVCFSCIYFQLLCQRADKLSKEMVPEIFLKKKPKKIGIRSEDLEDMFLKSVNGSGIALSSPRLVIPAAIYGLWELCQHFAHDLVDFQLLPAMVGLFAYKAAALVQVYRDNEDLQFIFPENEEVSGD >itb13g22290.t2 pep chromosome:ASM357664v1:13:28597178:28598314:1 gene:itb13g22290 transcript:itb13g22290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFVCKKFPHLRSAARSSVTIYKFYGTKAGDESLNQVKQESLVVSYLVNRCGFAPEKALSASSYIKFKKPDKPDSVLSFLKSHGFSETQVLKVVQRCPPILLCDPQNTLLPKIEFFKSLGFTEEDFTHILCRAPSIFKRSLENQLLPTINFLERFISSPEKIRVCVKRGSWIFSPSCQALMANNVKVLREMGVPESRILHYVHHQPRLITKDKDKFRKILEEVKGLGFEPSRKTFMVAVHVFCSMSKSTWEKKIRIYKKWGLTEAEILETFGKNPWFMSCSEEKILGAMDFLVNKMGFKPSDLMRNPVIITFSLKKRIIPRCLVYQTLSAQGLLLTDLKLLTKMLFVSEEKFLMEFVQCYEKDVPELLKLYQLSCLK >itb13g22290.t1 pep chromosome:ASM357664v1:13:28597082:28598412:1 gene:itb13g22290 transcript:itb13g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFVCKKFPHLRSAARSSVTIYKFYGTKAGDESLNQVKQESLVVSYLVNRCGFAPEKALSASSYIKFKKPDKPDSVLSFLKSHGFSETQVLKVVQRCPPILLCDPQNTLLPKIEFFKSLGFTEEDFTHILCRAPSIFKRSLENQLLPTINFLERFISSPEKIRVCVKRGSWIFSPSCQALMANNVKVLREMGVPESRILHYVHHQPRLITKDKDKFRKILEEVKGLGFEPSRKTFMVAVHVFCSMSKSTWEKKIRIYKKWGLTEAEILETFGKNPWFMSCSEEKILGAMDFLVNKMGFKPSDLMRNPVIITFSLKKRIIPRCLVYQTLSAQGLLLTDLKLLTKMLFVSEEKFLMEFVQCYEKDVPELLKLYQLSCLK >itb03g03030.t1 pep chromosome:ASM357664v1:3:1705838:1706989:1 gene:itb03g03030 transcript:itb03g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B13 [Source:Projected from Arabidopsis thaliana (AT2G23640) UniProtKB/Swiss-Prot;Acc:O64837] MSSDLNTTESPSPPATEPTSLPPPPPQKQALPEPPVPTATIKDILLWKRKRLNIAAIVISTATWVALEIYRFNFITAASWVAMFVVAAFFIWGNIDRLLGKEPAADMSRVYISQQSAEKTADVFRRCVNQSVEFTLRLGAEREWYVVAAAVAALWVLSVIASHLDLLTLLYIGEMVGLTLPVTYNKYEQKIKESGQRFKVLYRRYYNMVLQKSSELKTMMLQKTGDLKNKLASKHKHKEKKRE >itb02g01900.t1 pep chromosome:ASM357664v1:2:1048075:1049613:1 gene:itb02g01900 transcript:itb02g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPGGYDTEEKAAKAYDLAALKYWGPTTHINFPLSMYEKELDEMKNMSRQEYVAHLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDITRYDVKRICSSTTLIAGDLAKRSSSHGNPLDEFSSGASPSASSQAVANGDHETDMAWNASIDGGDQHDKDDRTGGESSASRGYYELQGPEYEDGNCEGENPSIVHPAPMFTLLNE >itb03g03020.t1 pep chromosome:ASM357664v1:3:1699536:1702416:-1 gene:itb03g03020 transcript:itb03g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMALPYLVFAVLVLWSSSAVAEDAYKYYTWTATYGTAYPLGFPQQVILINGQFPGPTLNLVTNDNVILNLINKLDQPLLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGSYIYFPSTFMQKAMGGFGALNVYARSVIPVPYPKPDGDFTLLIGDWFNAKSHKELQLLLDSGKSLPRYPSGLLINGKKQSTFAGDQGKTYMFRISNVGLKTSINFRIQNHQMKLVEVEGSHVIQNFYDNLDVHVGQSLTVLVTLDQPPKDYYIVASTRFSRRVLSSNAILHYTNSASGVSGPLPPPPMGQMHWSMKQARSFRWNLTANAARPNPQGSYHYGKIPLSRSFVLANSAPIIAGKQRYAVNRVSYINSDTPLKLADHFNISGVFGLNSIQSFPSDGSPYLATSVLPASLHDFIEIVFQNNENTMQSWHLDGYDFWVVGFGKGKWSEVSRKRYNLVDAPTRHTTQVYPNSWTAILVSLDNQGMWNLRSAMWDRTYLGQQLYLRVYSPVHSLSNEYDMPLNALLCGKAAGRHP >itb03g03020.t3 pep chromosome:ASM357664v1:3:1699536:1702416:-1 gene:itb03g03020 transcript:itb03g03020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMALPYLVFAVLVLWSSSAVAEDAYKYYTWTATYGTAYPLGFPQQVILINGQFPGPTLNLVTNDNVILNLINKLDQPLLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGSYIYFPSTFMQKAMGGFGALNVYARSVIPVPYPKPDGDFTLLIGDWFNAKSHKELQLLLDSGKSLPRYPSGLLINGKKQSTFAGDQGKTYMFRISNVGLKTSINFRIQNHQMKLVEVEGSHVIQNFYDNLDVHVGQSLTVLVTLDQPPKDYYIVASTRFSRRVLSSNAILHYTNSASGVSGPLPPPPMGQMHWSMKQARSFRWNLTANAARPNPQGSYHYGKIPLSRSFVLANSAPIIAGKQRYAVNRVSYINSDTPLKLADHFNISGVFGLNSIQSFPSDGSPYLATSVLPASLHDFIEIVFQNNENTMQSWHLDGYDFWVVGYVIIITTTTTYLLFPQFPE >itb03g03020.t2 pep chromosome:ASM357664v1:3:1699536:1702416:-1 gene:itb03g03020 transcript:itb03g03020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMALPYLVFAVLVLWSSSAVAEDAYKYYTWTATYGTAYPLGFPQQVILINGQFPGPTLNLVTNDNVILNLINKLDQPLLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGSYIYFPSTFMQKAMGGFGALNVYARSVIPVPYPKPDGDFTLLIGDWFNAKSHKELQLLLDSGKSLPRYPSGLLINGKKQSTFAGDQGKTYMFRISNVGLKTSINFRIQNHQMKLVEVEGSHVIQNFYDNLDVHVGQSLTVLVTLDQPPKDYYIVASTRFSRRVLSSNAILHYTNSASGVSGPLPPPPMGQMHWSMKQARSFRWNLTANAARPNPQGSYHYGKIPLSRSFVLANSAPIIAGKQRYAVNRVSYINSDTPLKLADHFNISGVFGLNSIQSFPSDGSPYLATSVLPASLHDFIEIVFQNNENTMQSWHLDGYDFWVVGYVIIITTTTTYLLFPQFPE >itb12g24300.t1 pep chromosome:ASM357664v1:12:25865748:25868608:-1 gene:itb12g24300 transcript:itb12g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSNPKPTTNQTPQFPSALWPSHHRRAHSEVNFRLPEDLDLASDPFDAAPAGSFEEIGSEDDLFSTFMDIEKLGAGSMSAAAGIDNAGAGGAADDGGIASNRPRHRHSNSVDSSSLLLSESAIEAKKALAPEKLAELWTIDPKRAKRILANRQSAARSKERKARYMSELERKVQTLQTEATTLSAQLTLFQRDTTGLSNENTELKLRLQAMEQQAQLRDALNEALKQEVERLKVATGDITSSSDAYNLGMQHIPYNQSAFFSHQPQPGPSESQNMKMPPFHPLQPRSSAPHYPVLLASQAQGLRDTMQQQDPLGHFQSLDISSRGSHLMNSEANSIPASESNIAL >itb05g20630.t1 pep chromosome:ASM357664v1:5:26659826:26663430:1 gene:itb05g20630 transcript:itb05g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVVSGDGGAAAMELETEEVEVVEAPASAAAASAVRWERFLPKMVLRVLLVEADDSTRQIIAALLRKCSYKVAAVPDGLKAWEVLKGRPRNVDLILTEVDLPSISGYALLTLIMEHEICKNIPVIMMSAHDSVSTVYRCMLRGAADFLVKPVRKNELRNLWQHVWRRQATSKSGQGPGDESVAQLKVEATAENNGFSNHSSGYKACIERNRECIEKGSDAQSSCTKPEMETGEENTKHIQEFGQPDWNKPRPADADMQKEEQNHDAGTKLRNPSDQVEGTGYNAATVASGEDRSSNENCCHPQVIGQTSDEDPAIMNSCKRAIDLIGTFDNHGICTYVSGSNISANNKVDSPPLLELSLTRYPSGSVNQFPDEKHKLNHSDASAFTRYVSKGVQPRDLISPKNKESETDSDKRLSVHNLDYNSDTHGPTASSHRLVPPTNFESGQAETRLPSPGQRVLSAPIPVRGVRFEGLSNAYSFMTSPMQSPGSAGHQNSPRQANTFHRLNHQTINSQQRHSVIEQNVNTVSTQTEYKQGYQSEPDRGHFSSATDQSANSSLCNGVVNCHYTGDGSNGRIPVTMIKSTAEYRNDEASVVQDANSQRSQREAALNKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQLPSEPPPGDT >itb14g04970.t1 pep chromosome:ASM357664v1:14:4310231:4321158:-1 gene:itb14g04970 transcript:itb14g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPLWQSNMVVSHIDESIITEEVIATHDSDSKDFEADSYSILYSILLFYDVESDDEESNEEDEEDESDEEDESDSDEESKEEASKDEQSNEDESYDKKSNEDESYDNESNEDESYEDESYDEEFNVEEFMYQIKRFSFEIASKCGQSANPHSTVIYFLKMLSTYTWEAKLLIMLAAFSINIGEFNLVHSHKGLASKLTNINGCDSAVAPSHIYQSLTQFIISLIYLTISIVELAQSSSYNLSPVVPVACYWIITSILTCAPYFAPFLKANSEWLIANGNQLSSLTTKINDLITYCHQILEKKREEESYKALWDAFSDENEIPSTNLDVLKLLFNVNDGDEKPIYDGAREELVEFHLLENKSLLLLISSSLNIDEYLWEILIGLRWTTELPVFWIPILDDCPALGDTKILKKQYRDLADRGSLVIARNLKKVVTPRFIRFVKEKFFPEFQIGGEPIIVSLDHHGRIVHRNALHMILMRAIDFFERISTIVSKGHRRIPLLKDMLREKTSALRDFVPDIDKRISKFADKTNKKINAWLRRIEEKVKNPMAYIGSNMEVASVINRDEICMAPDDESSIAFFWARLRSIFLSRIRFLNESHCDEGCDEIVEGLKKLLAYEDKGLVSPVDGWAMLCKRNKIVVCDLGDNMLTVMNEFEKWKESAITKGFDQAFKDHHEKKFAGTTTYASQCHPCCALDYPSNFDEVPKNMKCPQYLVLKEKKPQRDTDAEKTQPCVKLHQVFQSAMEQIEAIKEELLKIKAEAGRKTAHDVLQRSQLLSSHHASHSKEQMVGKKDDFEIIKKLLTELGSKEKKVVSIIGMGGIGKTTLAKQIYEDPSVSIHFDVRAWVVASQLHNKRQMLVGLLNSISKQGNLENSTDHDTALKLYQCLKRQRYMVVVDDVWSREAWDDVSNCFPDDGNGSRVLLTTRLAEVANYTSSNNDFSHHMQLLDQTDSWNLFCEKVCKSRAAKFEIIGRPIVEKCKGLPLAIIVVAGLFSKLNTLNEWVNIAKALDSSTTTTIAATCSKILSLSYNHLPHHLKACFLYLGVFPEDKEINANELARLWSAEGLVKASENKTFDVVAERRIQELMDRNLILVRKWSCCGRKIKVFRVHDLLHAFCVKEAQKENLLRVIPGNGLDFPQRCFRWINSHIVLLKRLKRLKFEYNHFEWNGINVLCKLPRLEVLKLLSGACVGELWELQEDDKFCHLIVLEIHSTDLKDWKAPGDHFPKLEHLSLFSCHKLKEIPNGFAEISRLKSIQLTDCRPPVIVSAKEIKEEQHDYLNNIVDVVAEPDEDQYETDED >itb14g04970.t2 pep chromosome:ASM357664v1:14:4310231:4312354:-1 gene:itb14g04970 transcript:itb14g04970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKQIYEDPSVSIHFDVRAWVVASQLHNKRQMLVGLLNSISKQGNLENSTDHDTALKLYQCLKRQRYMVVVDDVWSREAWDDVSNCFPDDGNGSRVLLTTRLAEVANYTSSNNDFSHHMQLLDQTDSWNLFCEKVCKSRAAKFEIIGRPIVEKCKGLPLAIIVVAGLFSKLNTLNEWVNIAKALDSSTTTTIAATCSKILSLSYNHLPHHLKACFLYLGVFPEDKEINANELARLWSAEGLVKASENKTFDVVAERRIQELMDRNLILVRKWSCCGRKIKVFRVHDLLHAFCVKEAQKENLLRVIPGNGLDFPQRCFRWINSHIVLLKRLKRLKFEYNHFEWNGINVLCKLPRLEVLKLLSGACVGELWELQEDDKFCHLIVLEIHSTDLKDWKAPGDHFPKLEHLSLFSCHKLKEIPNGFAEISRLKSIQLTDCRPPVIVSAKEIKEEQHDYLNNIVDVVAEPDEDQYETDED >itb10g19010.t1 pep chromosome:ASM357664v1:10:24818855:24823065:-1 gene:itb10g19010 transcript:itb10g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCKEAGWIVEEDGTTYRKGCKPTERMDIMGSSVSVSPCSSYQPSQGPSYNPSPASSSFPSPVSSRYITNNNNDTDPNSLIPWLKNLSSGSSPSSSKLPHHLYIPGGSISAPVTPPLSSPTARTPRMNDNWDDPKVNSAWPNQHYAFLPSSTPQSPGCLTPPDSGWLSGVQTPQDGPSSPTFSLVSPNPFGFKEPLSNGGSRMWTPGQSGTCSPAVAAALDRSADVPMSDAIQAEFAFGCNAKGIVKPWEGERIHEECITDDLELTLGNSNTR >itb09g18350.t1 pep chromosome:ASM357664v1:9:14089039:14089607:-1 gene:itb09g18350 transcript:itb09g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSQKAASTTTTSSSSSSKVSITHKGSPHATTKMKAKPKIRIVHMIPPEVIKTSVHDFCEIVQRLTGKPAVDNGGSRRGSSSNRNSSCCTMQTIGDPPMLQKDYCNNGLRRAKAEPSTWPRQPKAKPNAPYRQPRLSLALGLCGPRPSPMLSLG >itb15g05410.t1 pep chromosome:ASM357664v1:15:3466515:3478071:1 gene:itb15g05410 transcript:itb15g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAFDGQTLTEKLSKLNSSQQSIESLSRWCTSFRKKAKQIVETWDKLFKSAQKEQRVAFLYLANDILQNSRRKGSEFVNEFWKVLPAALKQVYESGHESGKKVASRLVDIWEERKVFGSRGQLLKDEVLGKNPSLPVSNGKNPNPIKVIKRDAHSLRIKLAVGDLPEKIITAFQVVHDENTNEKAALNKCKDALSHFKEMEKDIANISSQGTAQGSEMADKIQEQENVLQQCVTQLENSEASRVALISQLMEAVQDEESKLELIRNDMKAAHKQIEQAANMRKRLTSPFLPIEAAARAAEPIPPSAQLTSVVPLPTNPITSFASSKPTDEESKRAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAAASMSSGLKRGFSSNLPYGSPEKRPKLDNPATFSDMNKADGGGSAYFSTGMQSLPQTNPMPAAFPPPPPPPPIPPANSPPSQLVQSAAMMMGVLPYGYGSAGSLPPPPMPIATGLTRPPPLQPPQQPTQQQLQIPQSHSQQQQQQPVSGGFYPPPGFGFYGQSPQTTPPPVPRQ >itb15g05410.t2 pep chromosome:ASM357664v1:15:3466790:3478116:1 gene:itb15g05410 transcript:itb15g05410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAFDGQTLTEKLSKLNSSQQSIESLSRWCTSFRKKAKQIVETWDKLFKSAQKEQRVAFLYLANDILQNSRRKGSEFVNEFWKVLPAALKQVYESGHESGKKVASRLVDIWEERKVFGSRGQLLKDEVLGKNPSLPVSNGKNPNPIKVIKRDAHSLRIKLAVGDLPEKIITAFQVVHDENTNEKAALNKCKDALSHFKEMEKDIANISSQGTAQGSEMADKIQEQENVLQQCVTQLENSEASRVALISQLMEAVQDEESKLELIRNDMKAAHKQIEQAANMRKRLTSPFLPIEAAARAAEPIPPSAQLTSVVPLPTNPITSFASSKPTDEESKRAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAAASMSSGLKRGFSSNLPYGSPEKRPKLDNPATFSDMNKADGGGSAYFSTGMQSLPQTNPMPAAFPPPPPPPPIPPANSPPSQLVQSAAMMMGVLPYGYGSAGSLPPPPMPIATGLTRPPPLQPPQQPTQQQLQIPQSHSQQQQQQPVSGGFYPPPGFGFYGQSPQTTPPPVPRQ >itb09g30800.t1 pep chromosome:ASM357664v1:9:31382016:31385190:1 gene:itb09g30800 transcript:itb09g30800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAQHVVVISVPVQGHINPMLQFSKRLASKGVRVTILAPAYLTTSLQTMYGGLIQIECISEDDKPPHGIDELLEWFETIVFRSFRNLVDKMEGSGCPLKVVVYDAVLPWAQDLAQNHGLKGAAFFTQSCAVCGMYYLMYSGKLKVSTTENSSVSLPSIMPVMGLQDLPSFFIDTQSYPSLSKISINQSMNFQKAEWLLFNSFDNLEKEVVEWISHEAAIKTIGPTIPSMYLDKGLKDDKDYGMSLFKPNSESCIKWLDSRETSSVVYVSFGSLASLGEKQMEELAWGLMRSNCYFLWVVRTSEDSKVPKDFKSKTSEKGLIVNWCPQLDVLAHPATGCFMTHCGWNSTLEALSLGVPMVGMPQWSDQPTNAKYIMDVWETGIRVKGGEDGIVTREEIEGCIREVMFGEKGNILKNNALKWRELAKEAVDEGGTTDKNIDEFLSQLIK >itb09g30800.t2 pep chromosome:ASM357664v1:9:31382167:31385281:1 gene:itb09g30800 transcript:itb09g30800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAQHVVVISVPVQGHINPMLQFSKRLASKGVRVTILAPAYLTTSLQTMYGGLIQIECISEDDKPPHGIDELLEWFETIVFRSFRNLVDKMEGSGCPLKVVVYDAVLPWAQDLAQNHGLKGAAFFTQSCAVCGMYYLMYSGKLKVSTTENSSVSLPSIMPVMGLQDLPSFFIDTQSYPSLSKISINQSMNFQKAEWLLFNSFDNLEKEVVEWISHEAAIKTIGPTIPSMYLDKGLKDDKDYGMSLFKPNSESCIKWLDSRETSSVVYVSFGSLASLGEKQMEELAWGLMRSNCYFLWVVRTSEDSKVPKDFKSKTSEKGLIVNWCPQLDVLAHPATGCFMTHCGWNSTLEALSLGVPMVGMPQWSDQPTNAKYIMDVWETGIRVKGGEDGIVTREEIEGCIREVMFGEKGNILKNNALKWRELAKEAVDEGGTTDKNIDEFLSQLIK >itb03g24020.t1 pep chromosome:ASM357664v1:3:22535932:22537280:-1 gene:itb03g24020 transcript:itb03g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPFNNVVVHPKLENQNANAAAADWLFFDDYYTNLMATWEEESGFCESFNNNCGGGVSNILGDLDYDVGDLNVWDYDDPSAAIFQEEEDGRCGSSGGSNNNVNNKETMRIGGVKRKRKVGGGERCCKKPAALEFDEIQKYFGLPITKAAKELKVGLTALKKRCRELNISRWPHRKIKSLTSLIHNIKELGMGNEIEMLEEHKRMVECIPEMELTERTKKLRQACFKANYKRRKSSLPAPSH >itb01g10580.t1 pep chromosome:ASM357664v1:1:9196874:9205452:1 gene:itb01g10580 transcript:itb01g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIAAITQILEREKRAGRERRIEVDSIFSVPGTNSDLLFVFLLQFGFLCVISVIASEELSSGESNPVRLRRQSCNGVLTMVNSLVERATSEMLIGPDWAVNIGICDICNHNAGQGKDILKGIRKRIGSKNPKVQLLALTLLETIVKNCGDAVHMYIAEKDLLHEMMRIVRKKPDYRVKEKILILIDTWQEAFGGPRARYPQYFAAYQELGRMGYVFPKRSERSPVFTPPQSRPMASYPQNPCKHESRENAAESSAEAEFPTLR >itb01g10580.t2 pep chromosome:ASM357664v1:1:9196874:9197929:1 gene:itb01g10580 transcript:itb01g10580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIAAITQILEREKRAGRERRIEVDSIFSVPGTNSDLLFVFLLQFGFLCVISVIASEELSSGESNPVRLRRQSCNGVLTMVNSLVERATSEMLIGPDWAVNIGICDICWYKLVTYSMYNNRKRSLG >itb03g15980.t2 pep chromosome:ASM357664v1:3:15146628:15151429:1 gene:itb03g15980 transcript:itb03g15980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDSTRAFVRDVKRIVVKVGTAVVTRSDGRLALGRLGALCEQIQELNSQGYEVILVTSGAVGAGRQRLRYRRLIHSSFADLQKPQRELDGKACAAVGQNGLMALYDSLFSQLDVTSAQLLVTDNDFRDPDFRNQLNETVNQLLSLKVIPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPHSKLIHTYIKERHEKEITFGDKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFAVDNIIKVLHGKRIGTLFHRDANELDPAIDASACEMAVAARECSRRLQALAPQERRNILLDIADALEANEQKILTENEADVDAAQQAGYDPSLVARLALKPGKISSLAKSVRVLAEMDEPIGRILKRTEILDGFILEKTSSPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSVIPENVGERLIGLITSREEVPELLKLDHVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYVDKSANLDVAKHIVLDAKTDYPAACNAMETLLVHDDLVKKGGLNDLIMELQIKGAASFAMCNVLKILI >itb03g15980.t1 pep chromosome:ASM357664v1:3:15146607:15153250:1 gene:itb03g15980 transcript:itb03g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDSTRAFVRDVKRIVVKVGTAVVTRSDGRLALGRLGALCEQIQELNSQGYEVILVTSGAVGAGRQRLRYRRLIHSSFADLQKPQRELDGKACAAVGQNGLMALYDSLFSQLDVTSAQLLVTDNDFRDPDFRNQLNETVNQLLSLKVIPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPHSKLIHTYIKERHEKEITFGDKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFAVDNIIKVLHGKRIGTLFHRDANELDPAIDASACEMAVAARECSRRLQALAPQERRNILLDIADALEANEQKILTENEADVDAAQQAGYDPSLVARLALKPGKISSLAKSVRVLAEMDEPIGRILKRTEILDGFILEKTSSPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSVIPENVGERLIGLITSREEVPELLKLDHVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYVDKSANLDVAKHIVLDAKTDYPAACNAMETLLVHDDLVKKGGLNDLIMELQIKGVTIFGGPKASSLLNIPEANSLHHEYSSLACTVEIVDDVYAAIDHIHQHGSSHTDCIITEDKEVADIFLRQVDSAAVFHNASTRFCDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWVGRGNGHVVNGDKGINYTHKELS >itb04g20540.t3 pep chromosome:ASM357664v1:4:25346384:25347397:-1 gene:itb04g20540 transcript:itb04g20540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNDPYPSHDSPFTSVSSFPITLKFTDVSYRIKVERHGAKGGRLRRMFWSGSGPTSSSSDLENQTTPHDERTILSGITGMVHPGEILAVLGPSGSGKSTLLNALAGRLQLQGFTGTVLANNRKLSKPVLKRTGFVSQDDVLYPHLTVRETLIFCALLRLPGSLPKRDKIATAESRTRC >itb04g20540.t1 pep chromosome:ASM357664v1:4:25338693:25347483:-1 gene:itb04g20540 transcript:itb04g20540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNDPYPSHDSPFTSVSSFPITLKFTDVSYRIKVERHGAKGGRLRRMFWSGSGPTSSSSDLENQTTPHDERTILSGITGMVHPGEILAVLGPSGSGKSTLLNALAGRLQLQGFTGTVLANNRKLSKPVLKRTGFVSQDDVLYPHLTVRETLIFCALLRLPGSLPKRDKIATAESVIAELGLEKCENTIIGNAFVRGVSGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAFRLVSTLRSLAQKGKTVLTSVHQPSSRVYQMFSSVLVLSEGRSLYFGKGNEAMSYFESVGFSPSFPMNPADFLLDLANGVCQHDGVVSEKDRPSVKQKLVSSYNSILAPKVKAACMEGTPTLASSHNVSSSSCPKTNANNKQRSFIFSSTWLNQFYVLLHRGLKERRHDTFNALRVFQVVAAALLAGAMWWHSDYTDVQDRLGLLFFISIFWGVFPSFNAAFAFPQERAVFVKERASGMYTLSSYFVARVVGDLPMELILPTLFITILYWMAGLKPEILAFVFTLLVVLGYVVVSQGLGLFLGAVIMDAKQASTVATVTMLAFVLTGGFYVHRVPPCLAWIKYISTTFYCYRLLIDVQYGEGRGISSLLGCERRSTAGCKFVRDDIQGQIHPATSVAVLVLMFLGYRLVAYLALRRIKA >itb04g20540.t2 pep chromosome:ASM357664v1:4:25338693:25347115:-1 gene:itb04g20540 transcript:itb04g20540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSGSGPTSSSSDLENQTTPHDERTILSGITGMVHPGEILAVLGPSGSGKSTLLNALAGRLQLQGFTGTVLANNRKLSKPVLKRTGFVSQDDVLYPHLTVRETLIFCALLRLPGSLPKRDKIATAESVIAELGLEKCENTIIGNAFVRGVSGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAFRLVSTLRSLAQKGKTVLTSVHQPSSRVYQMFSSVLVLSEGRSLYFGKGNEAMSYFESVGFSPSFPMNPADFLLDLANGVCQHDGVVSEKDRPSVKQKLVSSYNSILAPKVKAACMEGTPTLASSHNVSSSSCPKTNANNKQRSFIFSSTWLNQFYVLLHRGLKERRHDTFNALRVFQVVAAALLAGAMWWHSDYTDVQDRLGLLFFISIFWGVFPSFNAAFAFPQERAVFVKERASGMYTLSSYFVARVVGDLPMELILPTLFITILYWMAGLKPEILAFVFTLLVVLGYVVVSQGLGLFLGAVIMDAKQASTVATVTMLAFVLTGGFYVHRVPPCLAWIKYISTTFYCYRLLIDVQYGEGRGISSLLGCERRSTAGCKFVRDDIQGQIHPATSVAVLVLMFLGYRLVAYLALRRIKA >itb02g21620.t2 pep chromosome:ASM357664v1:2:20602344:20603993:-1 gene:itb02g21620 transcript:itb02g21620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRKQKMMESLSLSVSDLKLLLLFFFFFFFSLTASDLSSDRAALLGFRSAVGGRTFLWNSSDATPCNWPGVTCENERVTVLRLPGSSLSGSIPPYTLSNLTRLRTLSLRLNRLSGQLPSDLSQCVELRNLYLQGNRFSGAIPASLFDLHSLVRLNLASNNISGQLPPRFNNLTRLRTLFLENNQFSGSIPELNLPNLIQLNVSFNNLNGSIPKSLEAMPEDSFSGNSLCGKPLGVCPGGDATPPVAPALATGGIEIGSANKKKLSGGAIAGIVIGSVVGLLLLLLILFVVCRKRSGNKARSVDVTTIKQQEMGEKESENGGGSVAAAAAAAMTANGKGEESSGKKLVFLGNTSTVFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTIVAVKRLKDVSIAEAEFREKIELLVGGMNHQYLLPLRAYYYSREEKLLVYDYMPMGSLSALLHGNHQYSSSTILIMDGTLILHSYFTYSLHKFASMFQEKT >itb02g21620.t1 pep chromosome:ASM357664v1:2:20601223:20604102:-1 gene:itb02g21620 transcript:itb02g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRKQKMMESLSLSVSDLKLLLLFFFFFFFSLTASDLSSDRAALLGFRSAVGGRTFLWNSSDATPCNWPGVTCENERVTVLRLPGSSLSGSIPPYTLSNLTRLRTLSLRLNRLSGQLPSDLSQCVELRNLYLQGNRFSGAIPASLFDLHSLVRLNLASNNISGQLPPRFNNLTRLRTLFLENNQFSGSIPELNLPNLIQLNVSFNNLNGSIPKSLEAMPEDSFSGNSLCGKPLGVCPGGDATPPVAPALATGGIEIGSANKKKLSGGAIAGIVIGSVVGLLLLLLILFVVCRKRSGNKARSVDVTTIKQQEMGEKESENGGGSVAAAAAAAMTANGKGEESSGKKLVFLGNTSTVFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTIVAVKRLKDVSIAEAEFREKIELLVGGMNHQYLLPLRAYYYSREEKLLVYDYMPMGSLSALLHGKGAGRTPLNWDLRSGIALAAARGIEYLHSQGHAVCHGNIKSSNILLTKSYEARVSDFGIANVVGPSSSPTRVAGYRAPEVTEPRRVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSVVREEWTSEVFDLELLRHQNVEEEMVELLQLAIDCAAQYPDNRPSMTKVANRIEELRHSSLRNQQPSELVPETE >itb09g29720.t1 pep chromosome:ASM357664v1:9:30450029:30451612:1 gene:itb09g29720 transcript:itb09g29720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDARRGSYAAAVAAVGESSQGDQTASANRSPARPSSTTVPQRNYNTEELDYPLFLNANENPSAILNEIYNLKQAGLSVNEYYTKARTMWEQMNALRPIPVCRCDPRCSCDLIDQIRKERDTDQVIRFLQGLNEDYNNLKSNVLVLDPLPEVYKVFVMAEKQERQIILNNLNLGSLDFNHANAVQNIQNNQSVSVDENLNAAAVNVYNGRRNNNKGARCTYCGMTGYIINKCYKKHVYPPGWVPGYKSKGKQQLTAAVMNNANDLGISDEQLRRIISILQPQVGQNSASAHNTTTTAAVSLVPDFKPIAEGKCSTSHVNSISMCSNTWILDSGATNHIACTLEFFDDYRVTKGVEVNLPNGSSWTDNWFS >itb03g21270.t1 pep chromosome:ASM357664v1:3:19106939:19110343:1 gene:itb03g21270 transcript:itb03g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKADPLQNSVQFSMAALYRTQMTHFLVFKVTTTTTKLLIVQLNQSASLTRLLRPICTNSHNFLRPEHFNTVDTLISIFTKHPIASENQQLLDLGSKLTPKIVESVLKSLRSWRISQIFFDWASNQQGYNHNCYTFNAMAEILSRARQNDPLRILASKLVKSGCYMSPGALGFFLRCLGNQGLVKEANMLFDQLKRLGLCIPNKYTYGCLLEVISKSSDVAMIELRLTEMHDYGWVLDKYALTPVLHCYCNAGKFENALNIFNQMHEKGWVDAHVLSILMVSFTKWGEVGSAFELIERTEELNINIGEKTFCILIHGFVKAGKMDKALLLLDKMRNLRFSPDIAIYGALIGGLCRNKETEKALQLFRVMNESGLNPDVKIISELLSCVPEESDMIQLLKIRNLKLDLKAKILIYNSVLKGLINKGSTDKAYHLLRATMGMEYDCDFYDDKLFLMKETFHPDTLSFETVIDGLCKSDKLDMALILFRDMDQFGCKRSVLLYNTLIDCLSSLDRLGECRRLLIEMNESGFKPTHFTYNSIFRYLCRQGDVEQALGMVREMRENGHEPWIKNYTLLIKTLCQDGKAVISCNFLAEMVREGFLPDVVAYSAAIDGLLKIQQMDKAMELFREICVRGYCPDVVAYNVIVKGLCKTERVLEAQDLLNEMLDKGLVPSVVTYNLLIDGWCKSGYIDQAILIFLRMVENEQEPNVITYTTLIDGLCNAGKPDDAMKLWVEMESKRCFPNRISFVAIINGLCKCSKPDDALVYLLEMEEKDMEPDVFIYIVLINAFISKSNPSAAYALLGRMVQKDIFPGLSDKYHSVLKDAILIMFTDPRTSSDKPLHKAEQIPVPFLEAGECSKNEEDILQIQGVLESAPCTRSPNTRRGKDSLAAQGKCYDRIPQESKNNLEDCVEVAAPRLQTCFTTCYQEVQAF >itb01g29220.t1 pep chromosome:ASM357664v1:1:33512914:33514323:-1 gene:itb01g29220 transcript:itb01g29220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPNDHVHILDPVTTRDDHYTFDGWEPLNFVTNAKNPSNRGIQFRPDDHQSGVSSPPLWHNSPPGSPGSRHPNYRMLSPSSRAEAIARGQWELMEMVKNMPESCYELSLKDLVEQPARVVVETQEECLIKDYGSSQQAVVAAERVKRQESSKKSSGKMGRSGSMDNRGLFLKLVFPISLGGSSKKKKNPSSSGSVGKSKTLAKVSPKPAEGSEKSSKSMDKEWWKKKRSWGSRESDSSNISGSSDGSTTSSSSNTNSTNTTHRRRGFLTSCWSGFNFRKSKSAE >itb06g19390.t1 pep chromosome:ASM357664v1:6:22752494:22753488:1 gene:itb06g19390 transcript:itb06g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTLGPRRRTNPLVWCLAIICAVLTIVVILTGIVVFIGYMVARPKVPQMSVANAHIDTLYYDMVSLLTVKISIVIKAENDNAKARAYFYKTSYALSFHGVKVAYLNADPFEVPRNSSTELYYQVESSPIPLTPEEGERVEAGLKNSNVTFELKGNTRTRWRVWVIGSVRFWLHLDCRLKLPVDGTTIYPKCNTKSR >itb07g03890.t1 pep chromosome:ASM357664v1:7:2626325:2626831:-1 gene:itb07g03890 transcript:itb07g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASLSNIPNDIIRHILLQLPVKYVIRCQCESKGGKNNNSRFFVRSIGHDLRLQRHKWAFGEGYPLIRESSLFDVITLCSCNGLLLVVTRRYLLLWNPSTRCLTKVLEPPYPKETNDFTLAGLCYDSVLEITRPSY >itb03g17150.t3 pep chromosome:ASM357664v1:3:15867042:15875630:-1 gene:itb03g17150 transcript:itb03g17150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFNGDVASLDAELLQLPEVSPLAIKANSYVAEKLFDQWLSLPDTASLVKNLVSNAKAGGTLNVSGTSSSSSSATSNSLPSMFPAGSAPPLSPRSSSGSPRIMKQRAGPSQLGSPLKLVNQPVKELIPQFYFQNGRPPPNELKERSLFRINQFFYGHADGIQMHEFKLITKEICKLPSFFSTALFRKIDVNGTGNVTRDAFVDYWINDSMLTKDIATQVFTILKQPDLKYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGNRCLTLRELKHSDLITALQHADEEEDINKVLRYLSYEHFYVIYCKFWELDTDHDFLIDKENVIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIHPEDESYITIRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPHLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >itb03g17150.t2 pep chromosome:ASM357664v1:3:15867009:15875721:-1 gene:itb03g17150 transcript:itb03g17150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFNGDVASLDAELLQLPEVSPLAIKANSYVAEKLFDQWLSLPDTASLVKNLVSNAKAGGTLNVSGTSSSSSSATSNSLPSMFPAGSAPPLSPRSSSGSPRIMKQRAGPSQLGSPLKLVNQPVKELIPQFYFQNGRPPPNELKERSLFRINQFFYGHADGIQMHEFKLITKEICKLPSFFSTALFRKIDVNGTGNVTRDAFVDYWINDSMLTKDIATQVFTILKQPDLKYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGNRCLTLRELKHSDLITALQHADEEEDINKVLRYLSYEHFYVIYCKFWELDTDHDFLIDKENVIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIHPEDESYITIRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPHLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >itb03g17150.t1 pep chromosome:ASM357664v1:3:15867009:15875721:-1 gene:itb03g17150 transcript:itb03g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFNGDVASLDAELLQLPEVSPLAIKANSYVAEKLFDQWLSLPDTASLVKNLVSNAKAGGTLNVSGTSSSSSSATSNSLPSMFPAGSAPPLSPRSSSGSPRIMKQRAGPSQLGSPLKLVNQPVKELIPQFYFQNGRPPPNELKERSLFRINQFFYGHADGIQMHEFKLITKEICKLPSFFSTALFRKIDVNGTGNVTRDAFVDYWINDSMLTKDIATQVFTILKQPDLKYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGNRCLTLRELKHSDLITALQHADEEEDINKVLRYLSYEHFYVIYCKFWELDTDHDFLIDKENVIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIHPEDESYITIRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPHLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >itb03g17150.t5 pep chromosome:ASM357664v1:3:15867040:15875630:-1 gene:itb03g17150 transcript:itb03g17150.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFNGDVASLDAELLQLPEVSPLAIKANSYVAEKLFDQWLSLPDTASLVKNLVSNAKAGGTLNVSGTSSSSSSATSNSLPSMFPAGSAPPLSPRSSSGSPRIMKQRAGPSQLGSPLKLVNQPVKELIPQFYFQNGRPPPNELKERSLFRINQFFYGHADGIQMHEFKLITKEICKLPSFFSTALFRKIDVNGTGNVTRDAFVDYWINDSMLTKDIATQVFTILKQPDLKYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGNRCLTLRELKHSDLITALQHADEEEDINKVLRYLSYEHFYVIYCKFWELDTDHDFLIDKENVIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIHPEDESYITIRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQVLAIKCFTAFCGVLEYLVFQIPSLSQLFLLFFLSFSTGA >itb03g17150.t4 pep chromosome:ASM357664v1:3:15868254:15875680:-1 gene:itb03g17150 transcript:itb03g17150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFNGDVASLDAELLQLPEVSPLAIKANSYVAEKLFDQWLSLPDTASLVKNLVSNAKAGGTLNVSGTSSSSSSATSNSLPSMFPAGSAPPLSPRSSSGSPRIMKQRAGPSQLGSPLKLVNQPVKELIPQFYFQNGRPPPNELKERSLFRINQFFYGHADGIQMHEFKLITKEICKLPSFFSTALFRKIDVNGTGNVTRDAFVDYWINDSMLTKDIATQVFTILKQPDLKYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGNRCLTLRELKHSDLITALQHADEEEDINKVLRYLSYEHFYVIYCKFWELDTDHDFLIDKENVIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIHPEDESYITIRDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQLFLLFFLSFSTGA >itb01g12500.t5 pep chromosome:ASM357664v1:1:12030170:12034812:1 gene:itb01g12500 transcript:itb01g12500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYYGKASEAMAYFSSIGCSPLIAMNPAEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFIKKVPGFIVWLRDLSFNYHTFKLLLKVQYEHKTSSVNGVQIDSGVKEVGALAAMAVAYRLLAYISLRRMKLQ >itb01g12500.t1 pep chromosome:ASM357664v1:1:12027671:12034812:1 gene:itb01g12500 transcript:itb01g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSSTSLLRTKSDQLVEAIAAAKGSARARLPRLSAEFAVGAPESVRMLSRKPSRRLTPPSPGGGGANNTHIRKSRSAQMKLDLDELSSGSVLSRASSASLGFSFTGFSVRPDESADSKAFSDDENSEDLEAGTKKKRSIQAEPTLPIYLKFTEVSYKIVIKGVTFTEEKDILNGITGAVDPGEVLALMGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYYGKASEAMAYFSSIGCSPLIAMNPAEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFIKKVPGFIVWLRDLSFNYHTFKLLLKVQYEHKTSSVNGVQIDSGVKEVGALAAMAVAYRLLAYISLRRMKLQ >itb01g12500.t6 pep chromosome:ASM357664v1:1:12027671:12034812:1 gene:itb01g12500 transcript:itb01g12500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSSTSLLRTKSDQLVEAIAAAKGSARARLPRLSAEFAVGAPESVRMLSRKPSRRLTPPSPGGGGANNTHIRKSRSAQMKLDLDELSSGSVLSRASSASLGFSFTGFSVRPDESADSKAFSDDENSEDLEAGTKKKRSIQAEPTLPIYLKFTEVSYKIVIKGVTFTEEKDILNGITGAVDPGEVLALMGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYYGKASEAMAYFSSIGCSPLIAMNPAEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQVCLFFKYYTSSHENLQTNINAHKCLHKCTETNPRVECMHCALEYEILCIYIDSCVHLCTSS >itb01g12500.t3 pep chromosome:ASM357664v1:1:12030170:12034812:1 gene:itb01g12500 transcript:itb01g12500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFIKKVPGFIVWLRDLSFNYHTFKLLLKVQYEHKTSSVNGVQIDSGVKEVGALAAMAVAYRLLAYISLRRMKLQ >itb01g12500.t7 pep chromosome:ASM357664v1:1:12029728:12034812:1 gene:itb01g12500 transcript:itb01g12500.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYYGKASEAMAYFSSIGCSPLIAMNPAEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQVCLFFKYYTSSHENLQTNINAHKCLHKCTETNPRVECMHCALEYEILCIYIDSCVHLCTSS >itb01g12500.t2 pep chromosome:ASM357664v1:1:12027804:12033774:1 gene:itb01g12500 transcript:itb01g12500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSSTSLLRTKSDQLVEAIAAAKGSARARLPRLSAEFAVGAPESVRMLSRKPSRRLTPPSPGGGGANNTHIRKSRSAQMKLDLDELSSGSVLSRASSASLGFSFTGFSVRPDESADSKAFSDDENSEDLEAGTKKKRSIQAEPTLPIYLKFTEVSYKIVIKGVTFTEEKDILNGITGAVDPGEVLALMGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFIKKVPGFIVWLRDLSFNYHTFKLLLKVQYEHKTSSVNGVQIDSGVKEVGALAAMAVAYRLLAYISLRRMKLQ >itb01g12500.t4 pep chromosome:ASM357664v1:1:12027671:12034812:1 gene:itb01g12500 transcript:itb01g12500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSSTSLLRTKSDQLVEAIAAAKGSARARLPRLSAEFAVGAPESVRMLSRKPSRRLTPPSPGGGGANNTHIRKSRSAQMKLDLDELSSGSVLSRASSASLGFSFTGFSVRPDESADSKAFSDDENSEDLEAGTKKKRSIQAEPTLPIYLKFTEVSYKIVIKGVTFTEEKDILNGITGAVDPGEVLALMGPSGCGKTTLLSLLGGRIRDPTAGSITYNQHPYSKLLKSRIGFVTQDDILFPHLTVKETMIYAARLRLPRTLAKEEKDKRAMDVIYELGLDRCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVDILHEIAEAGKTVITTIHQPSSRLFHKFDKLILLEFLLDLANGNINDVSVPSELDDKLQTWNSMEEPTRNNNGKPSPAIVHEYLVGCYETRVAETEKKKLLAPVPAEEELKSKVRSMKREWGASWSEQYVLLFHRGIKERWHDYFSWLRITQVIATALILGMLWWQSGGDSPSQLQDQAGLLFFIAVFWGFFPVFTAIFTFPQEKAMLSKERAADMYRLSAYFAARTTSDLPLDLLLPLIFLLVVYFMAGLKQDASSFLLTAVTVFLCIVVAQVCLFFKYYTSSHENLQTNINAHKCLHKCTETNPRVECMHCALEYEILCIYIDSCVHLCTSS >itb07g04660.t2 pep chromosome:ASM357664v1:7:3151074:3155192:1 gene:itb07g04660 transcript:itb07g04660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEKATPPPRNDSAITVSDDDVLRQCTAFGDREIGRRESLSATADAPALAIVTDSADQPPAPNLTSVKGLPIMMRVQSHHPLEPLTGAEISVAVATVRAAGATPEVRDSMRFIEVSLVEPDKNVVALADAYFFPPFQPSLLPRTKKGPVIPSKLPPRQARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKAISSKVVPDVQPSMDASEYAECEAVIKDFLPFQEAMKKRGILDMDLVMVDAWCVGYYSEADAPNRRLAKPLIFCRTESDCPMENGYARPVEGIYILVDMQNMVVLEFEDCKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHIIQPEGPSFRVNGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGRNAHSLKKGCDCLGYIKYFDAHFINFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFMCTVANYEYGFFWHFYQDGKMEAEVKLTGILSLGALQPGEQRKYGTTIAPGLYAPVHQHFFVARLDMAVDCKPGEAYNQVVEVNVRVEEPGGQNVHNNAFFAEERVLKTELEAMRDCNPSSARHWIVRFLFYCCRFSTHLF >itb07g04660.t1 pep chromosome:ASM357664v1:7:3151074:3156689:1 gene:itb07g04660 transcript:itb07g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPEKATPPPRNDSAITVSDDDVLRQCTAFGDREIGRRESLSATADAPALAIVTDSADQPPAPNLTSVKGLPIMMRVQSHHPLEPLTGAEISVAVATVRAAGATPEVRDSMRFIEVSLVEPDKNVVALADAYFFPPFQPSLLPRTKKGPVIPSKLPPRQARLVVYNKKSNETSIWIVELSEVHAVTRGGHHRGKAISSKVVPDVQPSMDASEYAECEAVIKDFLPFQEAMKKRGILDMDLVMVDAWCVGYYSEADAPNRRLAKPLIFCRTESDCPMENGYARPVEGIYILVDMQNMVVLEFEDCKLVPLPPADPLRNYTPGETRGGVDRSDVKPLHIIQPEGPSFRVNGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGRNAHSLKKGCDCLGYIKYFDAHFINFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFMCTVANYEYGFFWHFYQDGKMEAEVKLTGILSLGALQPGEQRKYGTTIAPGLYAPVHQHFFVARLDMAVDCKPGEAYNQVVEVNVRVEEPGGQNVHNNAFFAEERVLKTELEAMRDCNPSSARHWIIRNTRTVNRTGQLTGYKLIPGSNCLPLAGGNAKFLRRAAFLKHNLWVTPYAPDEMFPGGEFPNQNPRVGEGLATWVKKNRSLEETDIVLWYMFGLTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSSADSDPKENGATPKPCHPGLIAKL >itb09g10900.t1 pep chromosome:ASM357664v1:9:6808071:6812788:-1 gene:itb09g10900 transcript:itb09g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHILAKLCCVPKKLQQQSKNDGDDSDHHVEFAGGNVHLITTKESWEQKLEEARRDGKTVVANFSASWCGPCRMIAPLYCELSEKHPSLMFLTVDVDELTEFSTSWDIKATPTFFFLKDGQQVDKLVGANKPELQKKITAILDTETQCQK >itb09g10900.t2 pep chromosome:ASM357664v1:9:6808165:6812701:-1 gene:itb09g10900 transcript:itb09g10900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHILAKLCCVPKSKNDGDDSDHHVEFAGGNVHLITTKESWEQKLEEARRDGKTVVANFSASWCGPCRMIAPLYCELSEKHPSLMFLTVDVDELTEFSTSWDIKATPTFFFLKDGQQVDKLVGANKPELQKKITAILDTETQCQK >itb04g14740.t1 pep chromosome:ASM357664v1:4:15429963:15434313:1 gene:itb04g14740 transcript:itb04g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLESHISAGFEIAQKIYKQQIRKTNQDDLFISFSNLKEKDFDIQKEAVESFSAISRKYKVQVSENHLDVHLFWAGKGTCCVPSQGSYGPLISAISATRDFVPSNQKKSRTGMVVGIVFGVGILGFLSVFTVYCFVQSRKRQDTRDDEAYDKQGTLEDGRIVAVKQLSVASRQGKSQFVAKIATISAVQHRNLVKFGYLAPEYAMLGHLTEKADVFSFGVVALEIVSGRPNYDSSLEEDKMYLLEWAWNLLEKKREVDLVDENLSEFNEDEVKRVIGVSLLCTQTSPVFRPPMSRVVAMLSGDTEIAAATTRPSYLTDWRLNDLTSFMTSSIHDSQDDPSVATTSVTTDLNSSPLNASKPLLHEIIGEG >itb05g23640.t2 pep chromosome:ASM357664v1:5:28689988:28694697:-1 gene:itb05g23640 transcript:itb05g23640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYLTKKDWFRFRRFGHSRCFVGGDGILGLKNMGGAIFNPVILYGFNSPAAKVLIGQSNLFLGSVHCRSNCITITSIRAFAEASMAMAYQKKWPLYLSTKNTILKKYDGRFKDIFQDVYEAQWKSRFDEAGIWYEHRLIDDMVAYALKSDGGYIWACKNYDGDVQSDFLAQGFGSLGLMTSVLICPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDSNDRLLDFTVKLEAACIGAVESGKMTKDLALIIHGSKLSRDQYLNTEEFIDAVADELKARLS >itb05g23640.t1 pep chromosome:ASM357664v1:5:28689768:28694693:-1 gene:itb05g23640 transcript:itb05g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYLTKKDWFRFRRFGHSRCFVGGDGILGLKNMGGAIFNPVILYGFNSPAAKVLIGQSNLFLGSVHCRSNCITITSIRAFAEASMAMAYQKKWPLYLSTKNTILKKYDGRFKDIFQDVYEAQWKSRFDEAGIWYEHRLIDDMVAYALKSDGGYIWACKNYDGDVQSDFLAQGFGSLGLMTSVLICPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDSNDRLLDFTVKLEAACIGAVESGKMTKDLALIIHGSKLSRDQYLNTEEFIDAVADELKARLS >itb06g04570.t1 pep chromosome:ASM357664v1:6:7179681:7182577:-1 gene:itb06g04570 transcript:itb06g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRHQFVEYNRSPLDADLPSLGAQGLEGFSKWQSMGVRGRLLDLVMLRHLGSVSFLLTAQPTGLLSIFFPSASGNGICNPSSLLHSDMTRPDSIMLQRGQGKNKEKMVSSKRTVVLIGLGLAMLMGLAIYMRLWTIQYRISTDETELIRKQFDLANREAMDESAYWRSRFDEEAGKVSNCQKELLEIKQSSGVEGTGMNSKLESLRKENMDLLEKIESLKQELGLEKLKCSMKQPKGVLAIEETPK >itb07g02320.t1 pep chromosome:ASM357664v1:7:1486271:1490106:1 gene:itb07g02320 transcript:itb07g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESGRKQGATSPCAACKLLRRRCAQDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHHRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDMLQTQLAIAQAEVVHMRMRQSVSFAAAGGGGNSPENMAASPPTPASRHAPPPYHHHHQTRSHFSMDMVMDQATWGNSHCGYA >itb01g30620.t1 pep chromosome:ASM357664v1:1:34575146:34576290:-1 gene:itb01g30620 transcript:itb01g30620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAMNFILFFSVANHQLTVVGTDGSYVKPFKSDYIAISPGQTIDFLLKADQKSNRYYMAAKAYNSVLLLPYDNSTATAILQYSGSKYSPSDPPPPLPNLPNSNDTNSSVSFTRNLRSLADENHPINVPLNVTTNLIFAVSIKALPCENGEVCAGNNGSRLAASMNNNSFVLPPIDILQAYYKMIGCVYGDEFPHFPPFPFNYTAADLPAKLLQANRATEVVVLEYGRTVEIVLQGTTLVSGIDHPFHLHGYSFYVVGSGFGNFDKDRDPSNYNLVDPPLVNTIAVAKSSWTAIRFKAENPGVWFMHCHFERHTSWGMDMAFIVKDGNETNQKMLPPPPYMPKC >itb09g14790.t1 pep chromosome:ASM357664v1:9:10081747:10084345:1 gene:itb09g14790 transcript:itb09g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHYGMPDLRQFMSNTSLFTSIPPPHTADILSAHHYEMAVMAPVVVAPPPRPGLPHHDFLADSSANTTTASGGSGGAGFSGLELETGGGGGGSGRWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMGEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNNNNNHQQPGNTFYCNNNVVLGNHGHLGGNKLSDSLSLSENSSDFESTSSGDSELNGGEKGKKRKGRRSWKCKIKDFIDQKMRKLMEKQEAWLEKMMNTIEQKEKERMVREEEWRNQEIGRMEKEQKFWANERAWIEARDAALMEALHKITGKEIPKDNKIEDQNWPEGETMKLIQLRTNMDSRFQQHIGCSDDVLWEEIASKMSCLGYNGNNNINAAMCRDKWISINSYLIKCNNNKRRKESPANFFTHHNFQINNNGGSYSAEQPAPNHHSNTSPNHGGFRFLMGDSAENLWENYVLKPSKGGDNN >itb07g07370.t1 pep chromosome:ASM357664v1:7:5584087:5588559:-1 gene:itb07g07370 transcript:itb07g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGTIPQEIGGLSFLATFNISANNFHGHIPESIGFLTKLQTLDMSYNHLSGNIPATMYNVSSLRFVDLRNNLLSGTLPEGVCDHFRQLQGLSLSANRFSGHIPSGLHKCMELRFLLLGDNEFQGSIPPEIGNSSKLEWLLLYGNNLTGDLPWTIFNTSSLAVLDLHANEISGTLPNDLCYLLPELEYLDIAINKIHGEIPQALSSCRRLEVLSMSHNQLSGRFPTQICNISSLQELYLARMNLTGNLPNEIGKLSILQDFGVFKNHLTGTIPPSIGNISTLENFDVHDNNMDGNIPPELGKLSSLKGLALGSNNFSGEIPSTIFNNSGLQLIAMSLNNLSGNLQPGLRHWMSPSLSKLYLGANQFSGTIPSTISNATQLIELDLGLNMFSGHIPLVVANLHQLEYFSIEYNQITNDPSAHELSLLTSLSKCKNLKQIVLNGNPFNTFLPSFLDLGNKSLPLEYLYASECHLKGSIPSGISNFSNLVSLELNDDKLSGSFPETLGHLLRLQGLYLHNNEIEGSIPKTLCYLKDLSELDLGDNKLMGKIPSCFGKITSLRKLYLGSNLLTSTIPHDLWNNKDVLELDLSYNSLSGSLSSEIGSMHNMVQLYLSGNQFSGEIPDIIGQLQNLLNLDLSSNRLGGSIPQSFDSLINLQQLDLSNNTLSGGIPMSLQKLKYLVYINLSFNDLSGRIPNDGPFAKFSMESFKGNKELCGASRFHVMECKEGKERPRNTAIFHKYVLPSLVSVVVVVVLLVLLLTFWKRNNRREPQAESVLDVTLKRISYYEILEEQSWQEIEECFLMVMGLALECTADFPEERISMEDVIGGADEAAPFASKATITLDPFDILSSNLVSLNSIL >itb03g15320.t1 pep chromosome:ASM357664v1:3:14682858:14684639:1 gene:itb03g15320 transcript:itb03g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPLSPFALRLPTQTGGFGDEVPVFSATSSSPLTTGVSIPTAVLKRKRPARIDIPMPCLNFEPSVETPGGDRVDEVEDEGEGYAVYCKRGKKGAMEDRHSAAVYLQEGSKQGIFGVFDGHGGAKAAEFAARNLGKNIMHEVVALSSKDGGGVEAAVREGYLTTDEEFVKQNVKGGACCVTALIHEGNLVVSNAGDCRAVMSRGGVAEALTVDHRPSREDERERIESLGGYVSCCRDVWRIQGCLAVSRGIGDSHLKKWVIAEPETTMLSIEPGCEFLILASDGIWEKITNQEAVDTVRSLCVGVDDPKPFCACKELVDLAVTRGSIDDMSAMVILLDRFF >itb02g15730.t1 pep chromosome:ASM357664v1:2:11453407:11457153:-1 gene:itb02g15730 transcript:itb02g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGGGESTKPQLYSYWRSSCFCRVRIALNLKGLDYEYKAVNLAKGEQFSPEFLKLNPLGYVPALVDGDIVISDSFAILMYLEEKYPQNPLLPKDPKLKAINFQADLFLVPQIHAAINIFNVDMNEYPLLSCIYEAHKDVPAIQNAMPEKQPDTPAEARA >itb02g08550.t2 pep chromosome:ASM357664v1:2:5396357:5399493:-1 gene:itb02g08550 transcript:itb02g08550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAPTRENNETHKISAVIFDLDGTLLNTEQVTKGVLKEYLGIYGKVPDKEKEIKRLGMTQKQSVSAIIEDYDLPLSPHQYIQEILPFYQGKWQLAKALPGVNRLMAHLHKQGIPIALASNSLRKNIDGKLAYHEGWKERFAVILGSDQVRAGKPEPDIFLEAASRMNVHPADCLVIEDSVVGVKAGKAAGMKVVAVPSVQTEIDQYSIADSVLHSILELQPELWGLPPFGDCIDNVLPVEPVFFKGFYSNGRLREFTDDILAVLPDQVFGIYVGWAKIDSNKFLKIVVSIGWENDCSSSNRNIVSEKPDYTCDIFLCGQ >itb02g08550.t1 pep chromosome:ASM357664v1:2:5395878:5399519:-1 gene:itb02g08550 transcript:itb02g08550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAPTRENNETHKISAVIFDLDGTLLNTEQVTKGVLKEYLGIYGKVPDKEKEIKRLGMTQKQSVSAIIEDYDLPLSPHQYIQEILPFYQGKWQLAKALPGVNRLMAHLHKQGIPIALASNSLRKNIDGKLAYHEGWKERFAVILGSDQVRAGKPEPDIFLEAASRMNVHPADCLVIEDSVVGVKAGKAAGMKVVAVPSVQTEIDQYSIADSVLHSILELQPELWGLPPFGDCIDNVLPVEPVFFKGFYSNGRLREFTDDILAVLPDQVFGIYVGWAKIDSNKFLKIVVSIGWENDCSSSNRNIQTFILDGNNEDLHDYEMELVLVGYIRGSYSMKTTNFLDILDEDKSIASAAFCHPEFSLDACKSVFPQNKE >itb15g07880.t1 pep chromosome:ASM357664v1:15:5533724:5534293:1 gene:itb15g07880 transcript:itb15g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFDPFFRTFDASKVAVDVSKTLKMRQNTCAKKEEEEATSYALPPSTAAPPSSVPIPSLPRTTTTAVAAAGCRVAMLSYDCEVRDSKAFICFLKESPTTAGAQPPLSPTTSSRNRRHDLYLRCCHCRISAPPCRHTTSYGLRRQLHLFFSDGHKIA >itb11g08080.t1 pep chromosome:ASM357664v1:11:5189603:5194592:1 gene:itb11g08080 transcript:itb11g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAILSWSMAACTPVACEKDSGKMFLECSSVLSNPKCKCSSSPHDSRSGSVGNRKKRIVTSVLLKATRSSVSSGLYARSSNSLSSSNSKFVDNGFSSLFGPGNDALPVIRLCKSNSFAHKGGILATAMQTAMDVVTKEKPSTRERRVVVTGLGVASPLGHEPNEFYENLLEGVSGISEIEAFDCAQYSTRIAGEIKSFSTDGWVVPKLSKRLDRFMLFMLTAGKKALVDGGITDDVMDELDKKRCGVLIGSALGGMRIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILSMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADMMLSGGSDSVIIPTGVGGFIACRALSQRNNDPTRASRPWDSDRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTSDAHHMTEPHPEGTGVVLCIEKAIANSGVCKDDVNYINAHATSTQAGDLTEFQALLRCFGQNPELRINSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNINLDNPDEGVDTKVLVGSKKEQLDIKVALSNSFGFGGHNSSILFAPYK >itb09g07690.t2 pep chromosome:ASM357664v1:9:4532877:4536821:1 gene:itb09g07690 transcript:itb09g07690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNLDSNFSKISSFKIDISDLDISSPSKKNGKTKEKSNEDSSGASNKGKADTFTFNFDFKELDGLSFESSPRAKEQPKKVLETEGSSETSAHQGSGLHLSEDVGEIDVGTPKTNSEPEMAITSTADSLVDSGLTPHTIDKNCSSKCTANNNDASISATVNHKDELMQTRIFPMRKTSTNTQHTDFPTEKGTSPKSVAEEAIQDVSPHSSGHESSQDAGSDLLKEVGSLGAKLSSSDVEKDLELIAGLDSNDNKTMPERFLVQLSNSLQETYQESSKFENDKNLLVSNIEGNNTHPECNIARAKKDEHLKIVNDEMQEPISRLLKAPLSSETAVQNLTQGKGDCGTIRSKFFKPSIETAAHKQKKSLTQTKHVGTSPPSHGDEGMAQNGKDDENGKEVVSLPGSRSPKSSILQAGSQDSCKGFSTDTSHVRPLSMGEQSKSMPHNNGNPRVLRSAFPSMARKTSGGDKKIYPIKSGRQTLDFPSLKLSKNVGSSPCVSQSLLPKDGKALGNLEQNTSLKSIAQSMVTHPITTSASTQKPNITPLKRKTTETAAEMIITSPFKRPSQSPSEHRDFSRISDTQDFYSKDWVNCNTRVEYNSSQTSAHDIPQPVNKNELGVLPTTVDDDNVKKAEAYSKDLDDVIILQHS >itb09g07690.t1 pep chromosome:ASM357664v1:9:4532877:4536821:1 gene:itb09g07690 transcript:itb09g07690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNLDSNFSKISSFKIDISDLDISSPSKKNGKTKEKSNEDSSGASNKGKADTFTFNFDFKELDGLSFESSPRAKEQPKKVLETEGSSETSAHQGSGLHLSEDVGEIDVGTPKTNSEPEMAITSTADSLVDSGLTPHTIDKNCSSKCTANNNDASISATVNHKDELMQTRIFPMRKTSTNTQHTDFPTEKGTSPKSVAEEAIQDVSPHSSGHESSQDAGSDLLKEVGSLGAKLSSSDVEKDLELIAGLDSNDNKTMPERFLVQLSNSLQETYQESSKFENDKNLLVSNIEGNNTHPECNIARAKKDEHLKIVNDEMQEPISRLLKAPLSSETAVQNLTQGKGDCGTIRSKFFKPSIETAAHKQKKSLTQTKHVGTSPPSHGDEGMAQNGKDDENGKEVVSLPGSRSPKSSILQAGSQDSCKGFSTDTSHVRPLSMGEQSKSMPHNNGNPRVLRSAFPSMARKTSGGDKKIYPIKSEMWDQVLVYLSPCCRKMVKPWGTWSRILVLKALHNQWLLTLLQHQHQRKSQTSHLLKGKQQRQLRK >itb04g00630.t1 pep chromosome:ASM357664v1:4:337428:338655:1 gene:itb04g00630 transcript:itb04g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNKIIVTISLLLLSSLKISVGLGGEIAIYWGQNGGEGSLRETCDTTNYNIVNIAFLIAFGNGSTPVLNLADHCDQCEFLSDEIRYCKSKDIKVLLSLDGGGGDSFLSSPDDAKDVAQYLWDNFLGGESASRPLGDESLDGIDFYIEGGVSNKYYDVLAQALSELGEGAGQKVYLSAVPQCPFPDYYLQEAINTGLFDYVWVKFYDNSPCQYNGDATNLLDYWNRYWSTIPNGTLYLGLPAAPEVAPSGGYIPPDILISDVLPEIKGTPIYGGVMLWSRYYDIITNYSSQIKPYVSMLTKHHITSNLPSSAEY >itb14g15050.t1 pep chromosome:ASM357664v1:14:18189290:18190465:-1 gene:itb14g15050 transcript:itb14g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQEYSDYQMVLVKGKRTKRPRGLSPADSSCSSGGGDGGGGGGAVFYSSSAQSPATSTSQISTDEDEDMANCLILLASGGGGGCRQVESVSGGRKVDEMGGGAAKGGGDLFVYQCKTCTRTFPSFQALGGHRASHKKPKITAGDDQKKSPPPPPAAAPADHEKQSWPLSAAGVFSNGGGKAKIHECSICGSEFSSGQALGGHMRRHRPPPTIIPTKLLSVSSDGGTTHVCESSDGREKAAPTGGVFTLDLNLPAPLEEDDDGDQETKLQNNLAFSTPALVDCHY >itb04g09330.t1 pep chromosome:ASM357664v1:4:8640369:8647251:1 gene:itb04g09330 transcript:itb04g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEEKGVDDGYTKDGTVDLRGNPVLRSKRGGWTACSFVVVYEVFERMAYYGISSNLVLYLTKKLHQGTVKSANNVTNWVGTIWMTPILGAYVADAFLGRYWTFLIACAIYLSGMGLLTLAVSVHGLKPPNCVDPNAADCPKATTLQLAVFFGALYTLAVGTGGTKPNISTIGADQFDEHDPKEKGHKLSFFNWWMFSIFLGTLFANTVLVYIQDNVGWALGYGLPTAGLAISILIFLAGTPYYRHKKPSGSPFTRMARVIVAVLRKWSVPVPADPKELYELDLDEYAKNGKYRIDSTPSLRFMDKAGVKTGSTSPWMLCTVTEIEETKQMLKMLPILVATFIPSTMIAQINTLFVKQGTTLNRKVGNFNIPPASLAGFVTISLLVSVAIYDRLFVPLVRKWTKNPRGINLLQRMGIGTALHIIIMVVASLTEKYRLSVARDHGVVENGGQVPLSIFILLPQFILMGTADAFMEVSKIEFFYDQAPESMKSLGTSYSMTTLGVGNFLSSVLLSNVSRITKRNGHRGWILNNLNASHLDYYYAFFAILNALNFIFFLVVSRFYVYKVEVSDSMVVLRQELEVGSKHKVNSQETSRK >itb12g10790.t1 pep chromosome:ASM357664v1:12:8868764:8871065:-1 gene:itb12g10790 transcript:itb12g10790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRLFNRQRSVHQILGGGLVADVILWRIKNVTVGILVITLFAWIVFERSGYTLLSLTSSVLLLLLGILFLWAKSAALLNRPAPPLPHLYLSEERVNEAALFIRDHINTLLSAFEDIALGRDTELFVKVGAGLLLISIIGGLTDFLTLGYTGLFIVLTVPVLYEKYEEHIDRYAVMTYRKLLDLYLKFDEECISKTRNWIAEKKKLD >itb03g13000.t1 pep chromosome:ASM357664v1:3:12902367:12905304:-1 gene:itb03g13000 transcript:itb03g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKSIKRLPLWATNSAKPLYRSKLCYISMASAFSLALILSLVLFFTLSSASRHRSIHSPAVGLPAAARDACKVSVDPPACEAALAATGQVPTNPTAVQIIQSAMRVSSENLKQAESMVKSILAESSDNKNRSDAAKTCLELYGYVERRMESVGDALMSGKIKDARAWMSAVLVYHYDCWSALKYVNNTALVNSTMAFTNSLIGLTSNALGMLVNYDRNGKETGSWGPVKTERDGFWEGTGSSGSGISGGVPTGLTPDVTVCPDGGSCKYSKIQDAVNAAPNFSRDRKFVILVKAGVYDEIVRVPFEKTNVVFLGEGMGKTVITGSLNVGMLGVSTYNSATVGVVGDGFMASGVTFQNKAGPDAHQAVAFRSDSDLSIVENCEFLGNQDTLYAHSLRQYYKSCRIQGNVDFIFGNSASFFQDCTILVAPRQTNPEKGENNAVTAHGRIDPGQSTGFVFQNCVINGTADYMRLYFSKPKVHKNYLGRPWKEYSRTVFIKCTLEALISPDGWMPWSGDFALKTLYYGEFQNTGAGATTSGRVNWSSQIPAEHVSSYSIDNFIQGDQWIPTSS >itb08g09080.t1 pep chromosome:ASM357664v1:8:8323517:8331751:1 gene:itb08g09080 transcript:itb08g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTETLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAVCVAKLYDINAELVEDRGFLDALTDLISDNNPMVVANAVAALAEIQENSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKATDAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEETPHVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATMETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPDSFVTRVKITQKTEEEEFSDGAEAGHSESPAHPVDSGASTPATSSSSPYTVPRQHTTAPAAPAAPAVVPDLLDLGGESNNSAIVPVDQPSVAASPTLPVLVPASTGQGLQISAQVIRRDGQIFYSMLFENHSQIALDGFMIQFNKNTFGLAAAGPLQVPQLQPGTSASTLLPMVLFQNLSPGPPNSLLQVAVKNNQQPVWYFNDKILFNVFFTEDGKMERSTFLETWKSLPDSNEVSRELPGTIINSVEATVEHLATSNMFFIAKRKHANQEVLYLSAKIVRGIPFLIELTGAVGIPGLKCAIKTPSPEMAPLFFEALETLLKS >itb05g22770.t1 pep chromosome:ASM357664v1:5:28064928:28065278:1 gene:itb05g22770 transcript:itb05g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKNSFILSLVITLSLSTANTCLASRRLLQVPGGAPPLPTIPSLPQPSLPTIPNMPTLPTIPSLNLPNTPLPTLPSVPKLTMPPLPANIPLPTLPAAAIPSIPAIPTLSPPPSN >itb14g10550.t2 pep chromosome:ASM357664v1:14:11917547:11920837:-1 gene:itb14g10550 transcript:itb14g10550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGHPIDPHKMQEHFEEFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEQAANALKNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHLKRISRELRRHLFGRYHRRHSRSRSRSPYRHKSYEERSHRSHSRKYDDRDQYYESRSRRHQSTSPGHRKGRSRSPGGRRERSPVRDGSEERRARIEQWNREKEQADLAKNANAEVLDHKSENNEKGYAQNEDQYYGQHPSQRNGYAY >itb14g10550.t3 pep chromosome:ASM357664v1:14:11917547:11920833:-1 gene:itb14g10550 transcript:itb14g10550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGHPIDPHKMQEHFEEFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEQAANALKNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHLKRISRELRRHLFGRYHRRHSRSRSRSPYRHKSYEERSHRSHSRKYDDRDQYYESRSRRHQSTSPGHRKGRSRSPGGRRERSPVRDGSEERRARIEQWNREKEQADLAKNANAEVLDHKSENNEKGYAQNEDQYYGQHPSQRNGYAY >itb14g10550.t1 pep chromosome:ASM357664v1:14:11917084:11920833:-1 gene:itb14g10550 transcript:itb14g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDMITPGVDAQGHPIDPHKMQEHFEEFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEQAANALKNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHLKRISRELRRHLFGRYHRRHSRSRSRSPYRHKSYEERSHRSHSRKYDDRDQYYESRSRRHQSTSPGHRKGRSRSPGGRRERSPVRDGSEERRARIEQWNREKEQADLAKNANAEVLDHKSENNEKGYAQNEDQYYGQHPSQRNGYAY >itb10g14610.t1 pep chromosome:ASM357664v1:10:20960162:20965074:-1 gene:itb10g14610 transcript:itb10g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKSVSSIQQARDYYHTLRNKTDSPLFAWPWENLGNFKYLLYGPFLAKFMYSWVYKESWENIWCLHILALCALRGLVHQLWSSYINMLFFNHAKWVSQDGIDFRQIDKEWHWDNFLILQAFVASFVCLSFSSLPTIPFWDWRGAACCLVLHIGISEPLYYLLHRFLHNPRVYPLYHWLHHDSKVPHPYTAGSATFLENILLCIVVGIPPIGTLFLGYGSISVMYGYVLAFDFLRCLGHSNVEIMPCRLFDAIPFIRYLIYSPTYYSLHHTDTETNFCLFMPLYDMLGKTINTRSWDLQREISTRTSQSAPDFVFLAHIVDIMSALHAPFVFRSFGSIPFTTRLFLLPLWPGVITAMLIMWLNCKTFLSSFYNLRGRVHQTWVIPRFGFQYFLPFAAEAINKKIEEAILNADRRGVKVISLAALNKNEGLNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNGIPRDVDEVFLTGATSKLGRAIALYLARRQVRVLMLTQSCERFTKIQSEAPAEYQRFLVRVTKYQAAQHCKTWIIGKWSSPREQSWAPSGAHFHQFVVPPIIPFRRDCTYGKLAAMKLPKDVEGLGACEYTMERGIVHACHAGGAVHLLEGWTHHEVGALNVDQIDVVWKAALKQGFRPLYIT >itb05g01660.t1 pep chromosome:ASM357664v1:5:1319607:1321189:-1 gene:itb05g01660 transcript:itb05g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFCGSNDSVESPPVEKDSGDSIRIPPGYRFNPTEEELITHYLWRKIANPSFFTTAVEEADLNRLEPWDFPGVCVGEGEWFFFSRRDMKYATATGQRIHRATDSGYWKITGNDRKIFKGKTLVGMKKTLGFYRGRAPSGERTDWVMHEYRLEGHNSLHNLPQNAKNEWVICKVFLKSSLEKKTYIPPGIQELKLAGMQTLFLSLIFLAVQTLAWASNDSSSSDGEVGNHNVNCCRSSTLKRKDNNNEYVGGVRQLQPKLQKSKSNAENPATAAAASSSMSSHNNTTMEDNSRVSVKVGYNNDTVMFLLPFATMDSLKAEILKRFNKLESANFKISYKDEDEEMVTIGCDEDLHYCLEFFKSTGTTPVRLSLLKESIAPSLGHF >itb10g23110.t1 pep chromosome:ASM357664v1:10:27634935:27635228:-1 gene:itb10g23110 transcript:itb10g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKLFAFLFLTALSLSGISGATKNTVLARRLLQAPSLPGLPGLPGLTNPTLPDPSTIPPGFFSSFPFPFAQGPPAFPGLPSNSPPATASTTTTTP >itb09g01910.t1 pep chromosome:ASM357664v1:9:1170191:1172959:-1 gene:itb09g01910 transcript:itb09g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLCFFSKDALIIKPPKKSPLLLRMVVLSVAMLCGVYICSICLKQIGSHTNRQVIKIPAIGGENVCEPPHIEPSERPYVHFPKPKTFSRAECACNPVRYFAILSTQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNISTIVDTLDNIYNLDWFTSASKNECTAAVGLKWMLNQGLLQNHEEIVEYFKRKGVSTIFLFRRNLLRRMVSILANSYDQNAKPLNGTHKSHVHSPLEAEILARYKPVINTTLLIPILRQAEELVTKGLESFKSTRHIILYYEDIIQNRTKLIDVQDFLTVPHRELHSRQIKIHKGPLSSQVENWGDIEKTLKGTPFESFLRADQEM >itb14g20700.t2 pep chromosome:ASM357664v1:14:22981308:22995020:1 gene:itb14g20700 transcript:itb14g20700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVCGFVLPSLLLTAALLDWNLISLVDLVASLLIRFTAPKRGFRFRGRILLWFVCVFSLLVIITEVTFLTVLAIVGSEWWVADAWWAKLIGLIKVQSWRSPLGIYFLTLHLLVAGVTFLEIRSDRYGLFQSRRDSCWGHLSSAFEHVGSRLRVASCLFLPGIQLIVGISNPSWLSLPFFICSCVSLVDWSLTSNFLGLFRWWRVLWLYAGISICLLYVYQLPVPFPQMFHTVADFLGLFEISAKSSWQQICSGLTLLIFYYMLSFIKCDLEEMYLIVTSMEGSLTEQLLPLRHSFSFFVRESKSGVRHTNVLLRSTVFRIFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYILYAFPSLFRMHRLNGLLLVFILFWAVSTYIFNVTFAFLNLNLGKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVAVGNLVNNSVFLCLSDEEQHPSTNSIIEEEKEETKILIVATIAWGLRKISRPVMLILIFLIAAKPGFIHAVYIVFFFVYLLSHDISMRIRQSLILLCEAHFVFLYILRLNLVSKALEQKGSLSMEILSQLGLLSIESSWDFLEVALLACFCAIHNHGFEMLFSFSAIVQHTPCPPLGFSILKAGLNKSVLLSVYASTTPRECNYDSSHERRIALYLSAIGKKVLSIYRSFGTYIAFLTILLTVYMVRPNYLSFGYIFLLLLWINGRQLVEKTKRRIWFPLKLYAIAVFILIYSLSIFPSFESWMCGKLDLFAYFGYNPDGALFENVWQSLAIVIVMQLYSYERRQSKYFKSEVLCPSQFGIVGFVRRLVIWHSQKMLLISVFYASLSPISAFGFLYLIGIVLCSNLPKTSRIPSKLFLVYTGLLVTTEYMFQMWGKDAGMFPGQEYYNLSLFLGLRVYKPNFWGLEAGLRTKVLIIALCNLQYNVFYWLENMPSSLLSADGFEEPCPLFVSAEDILPVLSNGEIQSTSHTGELSNQRIGMTSKSWGSFSRTLYQSPDDGPSSNKGFSDNRRSSKYSFGYLWGSMKESHKWNKRRIISLRRERLDMQKTTLKVYLKFWVENMFNLFGLEINMIALLLASFALLNAISILYIALLAACVLLGRRIIRQIWPIFVMLFASILVLEYFAMWKKQMSSNYEDANMHCHGCWKNSNTYFGYCNKCWLGLTVDDPRMLISYFAVFMLACFKLRADRASSFSWPFTYRQVISQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALILITGTLEYDILHLGYLGFALIFFRMRLKILKQKNKIFKYLRIYNFAVIVLSLAYQAPFIGDFNAGKCETVDYIYEVIGFYKYDYGFRITSRSALVEIIIFVLVSLQSYMFSSSEFDYVFRYLEAEQIGAIVCEQEKKASWKKEQLQHIRESDEKKRQRNQQVEKMKSEMLNLQVQLHSVNPTGTCGETSPASEGLRRRRTASFMDSDSGDPGKQEMNAHPDLLFDFPESPGTARFASPFTLEFVKHSIESPLYEITEITELDEDAPDDSLSDTEKERINVNSQLKENPLASAVQLIGDGVSQVQSIGNLAVNNIVSFLNITQEDSDSESLPAGEGIHGERHSQYVRSTHLDRAASLQSDKSRASEAASLQIGRIFSHIWYQMRSNNDVVCYCCFVLVFLWNFSLLSMFYLAALFLYALCVNTGPSYIFWIVMLIYTEFYILIQYLYQVVIQHCGFSIQSNFLPELGFPTKRITSSFVISSLPLFMVYLFTLVQSSITAKDGEWFSLAYGNIKGRLVDWKEVPMGSSWNEKVKKLFQPLKSMLKIVIRSGCRYWKSLTQEAESPPYFVQLSMDVNVWPEYGIQPERIESGINQLLLLVHNDRCKNENPNYCSCVSRVQIQSIEKSAENPNMALAVFEVVSSCPLTECTPEEPFKSLTPAADVAQDILKAQSLGFVENIRFPYPILSIIGGGRREIDLYAYIFGADLTVFFLVAIFYQSVIKNKSDFLDVSQLEDQFPKEFVFMLMVIFFLIMVDRVIYLSSFTSGKVIFYLFNLILFTYVVTEYAWGTDSLQQSAAGLALRAIYLTKTISLALQAIQIRHGIPHKSTLYRQFLTSKISRVNYIGYRLYRALPFLYELRCVLDWSCTKTSLTMYDWLKLEDINASLYLVKCDAVLNRATHKQGEKQTKMTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPINDASVQLDIKTDGGRLTLYQTTLCQRIPWDQISNYNNLDPDGCLDAYNEYDIQLICCQADSSSLWLVPDAVQRRFTQSFNSNMEIKFSWVLARDRPKGKEVVKYDLTVDPPQPSKVEKVINGSASSFRVENIYPRFFRVTGSGDVRPFEKQEIDVSADIVLNRGVSEWWSFHDTNSLDVSGCGGWTGPMAIIVSEETPQGLLGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTNPD >itb14g20700.t3 pep chromosome:ASM357664v1:14:22984235:22995020:1 gene:itb14g20700 transcript:itb14g20700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIVTSMEGSLTEQLLPLRHSFSFFVRESKSGVRHTNVLLRSTVFRIFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYILYAFPSLFRMHRLNGLLLVFILFWAVSTYIFNVTFAFLNLNLGKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVAVGNLVNNSVFLCLSDEEQHPSTNSIIEEEKEETKILIVATIAWGLRKISRPVMLILIFLIAAKPGFIHAVYIVFFFVYLLSHDISMRIRQSLILLCEAHFVFLYILRLNLVSKALEQKGSLSMEILSQLGLLSIESSWDFLEVALLACFCAIHNHGFEMLFSFSAIVQHTPCPPLGFSILKAGLNKSVLLSVYASTTPRECNYDSSHERRIALYLSAIGKKVLSIYRSFGTYIAFLTILLTVYMVRPNYLSFGYIFLLLLWINGRQLVEKTKRRIWFPLKLYAIAVFILIYSLSIFPSFESWMCGKLDLFAYFGYNPDGALFENVWQSLAIVIVMQLYSYERRQSKYFKSEVLCPSQFGIVGFVRRLVIWHSQKMLLISVFYASLSPISAFGFLYLIGIVLCSNLPKTSRIPSKLFLVYTGLLVTTEYMFQMWGKDAGMFPGQEYYNLSLFLGLRVYKPNFWGLEAGLRTKVLIIALCNLQYNVFYWLENMPSSLLSADGFEEPCPLFVSAEDILPVLSNGEIQSTSHTGELSNQRIGMTSKSWGSFSRTLYQSPDDGPSSNKGFSDNRRSSKYSFGYLWGSMKESHKWNKRRIISLRRERLDMQKTTLKVYLKFWVENMFNLFGLEINMIALLLASFALLNAISILYIALLAACVLLGRRIIRQIWPIFVMLFASILVLEYFAMWKKQMSSNYEDANMHCHGCWKNSNTYFGYCNKCWLGLTVDDPRMLISYFAVFMLACFKLRADRASSFSWPFTYRQVISQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALILITGTLEYDILHLGYLGFALIFFRMRLKILKQKNKIFKYLRIYNFAVIVLSLAYQAPFIGDFNAGKCETVDYIYEVIGFYKYDYGFRITSRSALVEIIIFVLVSLQSYMFSSSEFDYVFRYLEAEQIGAIVCEQEKKASWKKEQLQHIRESDEKKRQRNQQVEKMKSEMLNLQVQLHSVNPTGTCGETSPASEGLRRRRTASFMDSDSGDPGKQEMNAHPDLLFDFPESPGTARFASPFTLEFVKHSIESPLYEITEITELDEDAPDDSLSDTEKERINVNSQLKENPLASAVQLIGDGVSQVQSIGNLAVNNIVSFLNITQEDSDSESLPAGEGIHGERHSQYVRSTHLDRAASLQSDKSRASEAASLQIGRIFSHIWYQMRSNNDVVCYCCFVLVFLWNFSLLSMFYLAALFLYALCVNTGPSYIFWIVMLIYTEFYILIQYLYQVVIQHCGFSIQSNFLPELGFPTKRITSSFVISSLPLFMVYLFTLVQSSITAKDGEWFSLAYGNIKGRLVDWKEVPMGSSWNEKVKKLFQPLKSMLKIVIRSGCRYWKSLTQEAESPPYFVQLSMDVNVWPEYGIQPERIESGINQLLLLVHNDRCKNENPNYCSCVSRVQIQSIEKSAENPNMALAVFEVVSSCPLTECTPEEPFKSLTPAADVAQDILKAQSLGFVENIRFPYPILSIIGGGRREIDLYAYIFGADLTVFFLVAIFYQSVIKNKSDFLDVSQLEDQFPKEFVFMLMVIFFLIMVDRVIYLSSFTSGKVIFYLFNLILFTYVVTEYAWGTDSLQQSAAGLALRAIYLTKTISLALQAIQIRHGIPHKSTLYRQFLTSKISRVNYIGYRLYRALPFLYELRCVLDWSCTKTSLTMYDWLKLEDINASLYLVKCDAVLNRATHKQGEKQTKMTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPINDASVQLDIKTDGGRLTLYQTTLCQRIPWDQISNYNNLDPDGCLDAYNEYDIQLICCQADSSSLWLVPDAVQRRFTQSFNSNMEIKFSWVLARDRPKGKEVVKYDLTVDPPQPSKVEKVINGSASSFRVENIYPRFFRVTGSGDVRPFEKQEIDVSADIVLNRGVSEWWSFHDTNSLDVSGCGGWTGPMAIIVSEETPQGLLGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRYVIYFSPSCTPVYEFNRDLSRYTFAPLLYRE >itb14g20700.t4 pep chromosome:ASM357664v1:14:22981308:22995020:1 gene:itb14g20700 transcript:itb14g20700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVCGFVLPSLLLTAALLDWNLISLVDLVASLLIRFTAPKRGFRFRGRILLWFVCVFSLLVIITEVTFLTVLAIVGSEWWVADAWWAKLIGLIKVQSWRSPLGIYFLTLHLLVAGVTFLEIRSDRYGLFQSRRDSCWGHLSSAFEHVGSRLRVASCLFLPGIQLIVGISNPSWLSLPFFICSCVSLVDWSLTSNFLGLFRWWRVLWLYAGISICLLYVYQLPVPFPQMFHTVADFLGLFEISAKSSWQQICSGLTLLIFYYMLSFIKCDLEEMYLIVTSMEGSLTEQLLPLRHSFSFFVRESKSGVRHTNVLLRSTVFRIFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYILYAFPSLFRMHRLNGLLLVFILFWAVSTYIFNVTFAFLNLNLGKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVAVGNLVNNSVFLCLSDEEQHPSTNSIIEEEKEETKILIVATIAWGLRKISRPVMLILIFLIAAKPGFIHAVYIVFFFVYLLSHDISMRIRQSLILLCEAHFVFLYILRLNLVSKALEQKGSLSMEILSQLGLLSIESSWDFLEVALLACFCAIHNHGFEMLFSFSAIVQHTPCPPLGFSILKAGLNKSVLLSVYASTTPRECNYDSSHERRIALYLSAIGKKVLSIYRSFGTYIAFLTILLTVYMVRPNYLSFGYIFLLLLWINGRQLVEKTKRRIWFPLKLYAIAVFILIYSLSIFPSFESWMCGKLDLFAYFGYNPDGALFENVWQSLAIVIVMQLYSYERRQSKYFKSEVLCPSQFGIVGFVRRLVIWHSQKMLLISVFYASLSPISAFGFLYLIGIVLCSNLPKTSRIPSKLFLVYTGLLVTTEYMFQMWGKDAGMFPGQEYYNLSLFLGLRVYKPNFWGLEAGLRTKVLIIALCNLQYNVFYWLENMPSSLLSADGFEEPCPLFVSAEDILPVLSNGEIQSTSHTGELSNQRIGMTSKSWGSFSRTLYQSPDDGPSSNKGFSDNRRSSKYSFGYLWGSMKESHKWNKRRIISLRRERLDMQKTTLKVYLKFWVENMFNLFGLEINMIALLLASFALLNAISILYIALLAACVLLGRRIIRQIWPIFVMLFASILVLEYFAMWKKQMSSNYEDANMHCHGCWKNSNTYFGYCNKCWLDRASSFSWPFTYRQVISQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALILITGTLEYDILHLGYLGFALIFFRMRLKILKQKNKIFKYLRIYNFAVIVLSLAYQAPFIGDFNAGKCETVDYIYEVIGFYKYDYGFRITSRSALVEIIIFVLVSLQSYMFSSSEFDYVFRYLEAEQIGAIVCEQEKKASWKKEQLQHIRESDEKKRQRNQQVEKMKSEMLNLQVQLHSVNPTGTCGETSPASEGLRRRRTASFMDSDSGDPGKQEMNAHPDLLFDFPESPGTARFASPFTLEFVKHSIESPLYEITEITELDEDAPDDSLSDTEKERINVNSQLKENPLASAVQLIGDGVSQVQSIGNLAVNNIVSFLNITQEDSDSESLPAGEGIHGERHSQYVRSTHLDRAASLQSDKSRASEAASLQIGRIFSHIWYQMRSNNDVVCYCCFVLVFLWNFSLLSMFYLAALFLYALCVNTGPSYIFWIVMLIYTEFYILIQYLYQVVIQHCGFSIQSNFLPELGFPTKRITSSFVISSLPLFMVYLFTLVQSSITAKDGEWFSLAYGNIKGRLVDWKEVPMGSSWNEKVKKLFQPLKSMLKIVIRSGCRYWKSLTQEAESPPYFVQLSMDVNVWPEYGIQPERIESGINQLLLLVHNDRCKNENPNYCSCVSRVQIQSIEKSAENPNMALAVFEVVSSCPLTECTPEEPFKSLTPAADVAQDILKAQSLGFVENIRFPYPILSIIGGGRREIDLYAYIFGADLTVFFLVAIFYQSVIKNKSDFLDVSQLEDQFPKEFVFMLMVIFFLIMVDRVIYLSSFTSGKVIFYLFNLILFTYVVTEYAWGTDSLQQSAAGLALRAIYLTKTISLALQAIQIRHGIPHKSTLYRQFLTSKISRVNYIGYRLYRALPFLYELRCVLDWSCTKTSLTMYDWLKLEDINASLYLVKCDAVLNRATHKQGEKQTKMTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPINDASVQLDIKTDGGRLTLYQTTLCQRIPWDQISNYNNLDPDGCLDAYNEYDIQLICCQADSSSLWLVPDAVQRRFTQSFNSNMEIKFSWVLARDRPKGKEVVKYDLTVDPPQPSKVEKVINGSASSFRVENIYPRFFRVTGSGDVRPFEKQEIDVSADIVLNRGVSEWWSFHDTNSLDVSGCGGWTGPMAIIVSEETPQGLLGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTNPD >itb14g20700.t1 pep chromosome:ASM357664v1:14:22981308:22995020:1 gene:itb14g20700 transcript:itb14g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVCGFVLPSLLLTAALLDWNLISLVDLVASLLIRFTAPKRGFRFRGRILLWFVCVFSLLVIITEVTFLTVLAIVGSEWWVADAWWAKLIGLIKVQSWRSPLGIYFLTLHLLVAGVTFLEIRSDRYGLFQSRRDSCWGHLSSAFEHVGSRLRVASCLFLPGIQLIVGISNPSWLSLPFFICSCVSLVDWSLTSNFLGLFRWWRVLWLYAGISICLLYVYQLPVPFPQMFHTVADFLGLFEISAKSSWQQICSGLTLLIFYYMLSFIKCDLEEMYLIVTSMEGSLTEQLLPLRHSFSFFVRESKSGVRHTNVLLRSTVFRIFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYILYAFPSLFRMHRLNGLLLVFILFWAVSTYIFNVTFAFLNLNLGKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVAVGNLVNNSVFLCLSDEEQHPSTNSIIEEEKEETKILIVATIAWGLRKISRPVMLILIFLIAAKPGFIHAVYIVFFFVYLLSHDISMRIRQSLILLCEAHFVFLYILRLNLVSKALEQKGSLSMEILSQLGLLSIESSWDFLEVALLACFCAIHNHGFEMLFSFSAIVQHTPCPPLGFSILKAGLNKSVLLSVYASTTPRECNYDSSHERRIALYLSAIGKKVLSIYRSFGTYIAFLTILLTVYMVRPNYLSFGYIFLLLLWINGRQLVEKTKRRIWFPLKLYAIAVFILIYSLSIFPSFESWMCGKLDLFAYFGYNPDGALFENVWQSLAIVIVMQLYSYERRQSKYFKSEVLCPSQFGIVGFVRRLVIWHSQKMLLISVFYASLSPISAFGFLYLIGIVLCSNLPKTSRIPSKLFLVYTGLLVTTEYMFQMWGKDAGMFPGQEYYNLSLFLGLRVYKPNFWGLEAGLRTKVLIIALCNLQYNVFYWLENMPSSLLSADGFEEPCPLFVSAEDILPVLSNGEIQSTSHTGELSNQRIGMTSKSWGSFSRTLYQSPDDGPSSNKGFSDNRRSSKYSFGYLWGSMKESHKWNKRRIISLRRERLDMQKTTLKVYLKFWVENMFNLFGLEINMIALLLASFALLNAISILYIALLAACVLLGRRIIRQIWPIFVMLFASILVLEYFAMWKKQMSSNYEDANMHCHGCWKNSNTYFGYCNKCWLGLTVDDPRMLISYFAVFMLACFKLRADRASSFSWPFTYRQVISQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALILITGTLEYDILHLGYLGFALIFFRMRLKILKQKNKIFKYLRIYNFAVIVLSLAYQAPFIGDFNAGKCETVDYIYEVIGFYKYDYGFRITSRSALVEIIIFVLVSLQSYMFSSSEFDYVFRYLEAEQIGAIVCEQEKKASWKKEQLQHIRESDEKKRQRNQQVEKMKSEMLNLQVQLHSVNPTGTCGETSPASEGLRRRRTASFMDSDSGDPGKQEMNAHPDLLFDFPESPGTARFASPFTLEFVKHSIESPLYEITEITELDEDAPDDSLSDTEKERINVNSQLKENPLASAVQLIGDGVSQVQSIGNLAVNNIVSFLNITQEDSDSESLPAGEGIHGERHSQYVRSTHLDRAASLQSDKSRASEAASLQIGRIFSHIWYQMRSNNDVVCYCCFVLVFLWNFSLLSMFYLAALFLYALCVNTGPSYIFWIVMLIYTEFYILIQYLYQVVIQHCGFSIQSNFLPELGFPTKRITSSFVISSLPLFMVYLFTLVQSSITAKDGEWFSLAYGNIKGRLVDWKEVPMGSSWNEKVKKLFQPLKSMLKIVIRSGCRYWKSLTQEAESPPYFVQLSMDVNVWPEYGIQPERIESGINQLLLLVHNDRCKNENPNYCSCVSRVQIQSIEKSAENPNMALAVFEVVSSCPLTECTPEEPFKSLTPAADVAQDILKAQSLGFVENIRFPYPILSIIGGGRREIDLYAYIFGADLTVFFLVAIFYQSVIKNKSDFLDVSQLEDQFPKEFVFMLMVIFFLIMVDRVIYLSSFTSGKVIFYLFNLILFTYVVTEYAWGTDSLQQSAAGLALRAIYLTKTISLALQAIQIRHGIPHKSTLYRQFLTSKISRVNYIGYRLYRALPFLYELRCVLDWSCTKTSLTMYDWLKLEDINASLYLVKCDAVLNRATHKQGEKQTKMTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPINDASVQLDIKTDGGRLTLYQTTLCQRIPWDQISNYNNLDPDGCLDAYNEYDIQLICCQADSSSLWLVPDAVQRRFTQSFNSNMEIKFSWVLARDRPKGKEVVKYDLTVDPPQPSKVEKVINGSASSFRVENIYPRFFRVTGSGDVRPFEKQEIDVSADIVLNRGVSEWWSFHDTNSLDVSGCGGWTGPMAIIVSEETPQGLLGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTNPD >itb13g20840.t2 pep chromosome:ASM357664v1:13:27564054:27566840:-1 gene:itb13g20840 transcript:itb13g20840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVHESVICKGLMEEVQRWGGMKQTGVSLRYMMEFGSRPTDRNLLISSQFLHKELPIRIARRAIDLQNLPYGLSLKPAVLKVRDWYLESFRDLRSFPDIKNINDEREFTQMIKMIKVRHNNVVPMMALGVQQLKKDLNPKVGYQDLGEIHQFLDRFYMSRIGIRMLIGQHVALHDPNPLPDCIGYIHTKMSPVEVAQNASEDARCICFREYGSAPEVSIYGDPNFTFPYVPTHLQLMVFELVKNSLRAVQERYMDSDKVAPPVRIIVADGLEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDELSDLGTTELATLSPLAGYGYGLPISRLYARYFGGDLQIISMEGYGTHALTPRISFISPPTLA >itb13g20840.t1 pep chromosome:ASM357664v1:13:27564045:27566840:-1 gene:itb13g20840 transcript:itb13g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKVHESVICKGLMEEVQRWGGMKQTGVSLRYMMEFGSRPTDRNLLISSQFLHKELPIRIARRAIDLQNLPYGLSLKPAVLKVRDWYLESFRDLRSFPDIKNINDEREFTQMIKMIKVRHNNVVPMMALGVQQLKKDLNPKVGYQDLGEIHQFLDRFYMSRIGIRMLIGQHVALHDPNPLPDCIGYIHTKMSPVEVAQNASEDARCICFREYGSAPEVSIYGDPNFTFPYVPTHLQLMVFELVKNSLRAVQERYMDSDKVAPPVRIIVADGLEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDELSDLGTTELATLSPLAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >itb06g16340.t3 pep chromosome:ASM357664v1:6:20503041:20505329:-1 gene:itb06g16340 transcript:itb06g16340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26160) UniProtKB/Swiss-Prot;Acc:Q8LEK4] MQGSSVISRKVSHFFRFSHHSTRQHSHRFSSNASELRPWPKTLPSIPVPKPSHHAHTRDLVLFKPKWWEKSAPNMVDINSTEGFLDALSNAGDRLVIVDFYGTWCASCRALFPKLCKIAEEHPEILFLKVNFDENKSLCKSLNVKVLPFFQFYRGADGLLDSFSCSLAKLQKLRDAIATHNPGSSVKSQVQ >itb06g16340.t1 pep chromosome:ASM357664v1:6:20502975:20505369:-1 gene:itb06g16340 transcript:itb06g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26160) UniProtKB/Swiss-Prot;Acc:Q8LEK4] MQGSSVISRKVSHFFRFSHHSTRQHSHRFSSNASELRPWPKTLPSIPVPKPSHHAHTRDLVLFKVRATAAETEQPKWWEKSAPNMVDINSTEGFLDALSNAGDRLVIVDFYGTWCASCRALFPKLCKIAEEHPEILFLKFYRGADGLLDSFSCSLAKLQKLRDAIATHNPGSSVKSQVQ >itb06g16340.t2 pep chromosome:ASM357664v1:6:20502975:20505369:-1 gene:itb06g16340 transcript:itb06g16340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26160) UniProtKB/Swiss-Prot;Acc:Q8LEK4] MQGSSVISRKVSHFFRFSHHSTRQHSHRFSSNASELRPWPKTLPSIPVPKPSHHAHTRDLVLFKVRATAAETEQPKWWEKSAPNMVDINSTEGFLDALSNAGDRLVIVDFYGTWCASCRALFPKLCKIAEEHPEILFLKVNFDENKSLCKSLNVKVLPFFQFYRGADGLLDSFSCSLAKLQKLRDAIATHNPGSSVKSQVQ >itb09g12470.t2 pep chromosome:ASM357664v1:9:7894680:7898799:-1 gene:itb09g12470 transcript:itb09g12470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQRIHRGKPRHRSPVILLISIVVAIALIYLYSFLFSTNDISISSPRRIRDLIVEHSRDPPRNGPDKYLYWGTRIDCPGKHCDTCAGLGHQESSLRCALEEALFLHRTFVMPSRMCINPMHNQKENFDLPGNSRSVEGWTGSSCDMDSLYDLDRISDMVSVILENTKMWHRVLSTSMKLGINHGKHSGSWNVRIGKIVVLSCCLILSFLQWPQRNYKMQQKRALLGDYDAIHVRRGDKIRIRKDRFGVERSLNPHLDRDTQPEFILCRIAKWVPPGRTLFIASNERTPGFFSPLAVRYKLAYSSNYSSILDPLIENNYQLFMVERLIMMRAKTFIKTFKEDATDLSLTDDPKKSTKLWGKPIYMMDGNNDC >itb09g12470.t1 pep chromosome:ASM357664v1:9:7894460:7900043:-1 gene:itb09g12470 transcript:itb09g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWESCNVKKKKKKLLRRAQEIISNDIIFFRTSEGCKMPIQRIHRGKPRHRSPVILLISIVVAIALIYLYSFLFSTNDISISSPRRIRDLIVEHSRDPPRNGPDKYLYWGTRIDCPGKHCDTCAGLGHQESSLRCALEEALFLHRTFVMPSRMCINPMHNQKENFDLPGNSRSVEGWTGSSCDMDSLYDLDRISDMVSVILENTKMWHRVLSTSMKLGSRGVAHVKGISRTELRDNSSYSNILLINRTASPLSWFMECKDRKNRSAVLLPYSFLPSMATKKLQDAAEKIRALLGDYDAIHVRRGDKIRIRKDRFGVERSLNPHLDRDTQPEFILCRIAKWVPPGRTLFIASNERTPGFFSPLAVRYKLAYSSNYSSILDPLIENNYQLFMVERLIMMRAKTFIKTFKEDATDLSLTDDPKKSTKLWGKPIYMMDGNNDC >itb04g17390.t1 pep chromosome:ASM357664v1:4:20202110:20202613:-1 gene:itb04g17390 transcript:itb04g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIKSTQWMPEVRCWCGEVAPIKMSWSDANPGKRYRACPHYGGNGNCWFFEWIDSDVSERVSRIIRGLLKRLDKKDNEIKRLQTVIEKDNGVIKKMMLESKFQFCYGFTVGIVVALVCLKVWGNTGEPTPKFFQLN >itb07g16990.t1 pep chromosome:ASM357664v1:7:21042626:21046487:-1 gene:itb07g16990 transcript:itb07g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPEHQHREEEEAVGAEDEDTGAQVAPIVKLEEVAVTTGEEDEDAILDLKSKLYRYDKDGNQWKERGAGTVKLLKHKKTGKVRLVMRQSKTLKICANHLVLPSMTIQEHAGNDKSCVWHAADFADGELKDELFCIRFSSVENCKNFMETFQEIAESQKKNEEHKDASAAAGLLEKLSVEDEKAEEKAEKSEETTKEAAVASDDKAAEDAGDKTSST >itb02g08250.t1 pep chromosome:ASM357664v1:2:5177604:5178781:-1 gene:itb02g08250 transcript:itb02g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQDESVRAGTHIRNHMLNFINSFSLKCAVELGIPDVIHKHGGPITLEELVDALAINKAKAEHLGRLMNLLTRSGFFVAANDGYALGPPSCLLIKDHPFSLAPFVVEVTGPIFAGPWHHVSEWFHNDDPTAFQTAYGKTFWDSTSQDPEFNHNFNQAMARSSLHIMSLVKKYCREVFEGLDSLVDVGGGTGLVARAFADEFPDMKCTVLDLPHVVAGLEGTKNLVYVGGNMFEVIPPAQAAFLKSITHNWNDDDCVKILKKCKEAIPSRKNGGKVIILGMIVGSTQSKADDDDDDEELIQTQIFLDLQMMVNYDGRERKLKEWVKIFNDAGFTEYKTTQLGLMSLIQLFP >itb03g01300.t2 pep chromosome:ASM357664v1:3:716420:718577:-1 gene:itb03g01300 transcript:itb03g01300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPSLHITRGEYDEESKYPETKTITIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVSGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTAAPPSQPTN >itb03g01300.t1 pep chromosome:ASM357664v1:3:716420:718625:-1 gene:itb03g01300 transcript:itb03g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPSLHITRGEYDEESKYPETKTITIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVSGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTAAPPSQPTN >itb11g22780.t1 pep chromosome:ASM357664v1:11:24673965:24676402:-1 gene:itb11g22780 transcript:itb11g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVPIWAILMITMLLQISVTVNSESEQVRQALVQFMDRISLGKIPNDKNFGWNLSSDPCTAKWKGISCDKGSQFVKKIVLDHLNLSGVLDAASVCAAQALGVLSLNRNSVIGTLPEDISKCGSLTHLYLRENNFTGSVPVSISRLINLKRLVISNNSFSGELPADLSSISGLLTFEAENNRLRGHIPRFAFSNLGEFNVSNNNFSGPIPRMNGNVSGNSFLGNPGLCGELISKPCPSSPPPPSPPPLQPKTKGLSFFAYLGYAALGLILVLLLVFGLIKRFKRKKRQKGGGNAGKRAIGTPREHKARGAKSEYSITSVESGMVSSSFEILSSPLVVGGLRFEDLLQAPAEMLGKGKHGSAYKVMILDKGVNLVVKRIRGWDILKEDFQKRMQRINQMNHPNVLRLVAYYCSRQEKLLAYEYLPNGSLLNLLHDAKIGWGSRLGIAATVAGGLAFMHEGLLGDKIAHGNLKSSNILMNKDMEACISEYGLMPVDNQPDSIRAEEDAYAPFKSDVYSFGVVLLELLTGKPVQTRGVDLAKWVNSVVREEWTGEVFDKALVSEGASEERMVSMLQLALKCTNLSPQQRPNMTQIAHMINSIKDDEDNSPST >itb01g22120.t1 pep chromosome:ASM357664v1:1:28077052:28077471:1 gene:itb01g22120 transcript:itb01g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPVVDYPLQQPPPMVMEQQQAYASRTAHGSVGPVIGVLAVIAVLGAIAVMIGRLCSGRRIMGRGQYDFESWVETKCASCIDGRVDPPPPPRPAVAAAAATPPPAPEAEEAPQESKEEAAAAAAEEQNNDSRGTANS >itb12g21040.t1 pep chromosome:ASM357664v1:12:23428080:23428451:1 gene:itb12g21040 transcript:itb12g21040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCAVSMAMPLTIAAPKKHATAAAAFLKPMPMPLRESKAVGASRPAGKFEVRASLKEKAVTGLTAAALTAAMVIPDVAQAADGVTPSLKNFLLSIVSGGVVLGAIIGAIIGVSNFDPVKRS >itb08g03060.t1 pep chromosome:ASM357664v1:8:2568835:2570479:1 gene:itb08g03060 transcript:itb08g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVDIVIVGGGIAGLATSLGLHRLGLQSLVLESSESLRVTGFALTLWTNAWKALDALGIADSLRQHSLPITEFQVISADSGLEKSSVPLDVYKNRNIECRCLRRKLLLETLEKKLPQGTVKYSSKVVLIEESGPYKLVHLADGSLVRTKVLIGCDGVNSVVAKWLGLQKPVHSKRADIRGFVEYPEKHGFEPKFYLLVGAQVNFGFLPCDDNGIYWFYNFTPSIAHFDQSAKNDPVKLKEFVLSKIKNAPKEVKGVVERTPLDSISCVGLKLRLPWNVLLGDIVRNNICVAGDALHPMTPDIGQGGCSALEDSVILARHLGEAFLLKPGGGVADEEFKRIKYGLDRYAKERRCRSFLLITCAYLIGSIQASDNRVVSFLRDNFLARYTLAIVLAMADFDCGKLLSSRSR >itb02g03820.t1 pep chromosome:ASM357664v1:2:2272347:2274170:-1 gene:itb02g03820 transcript:itb02g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFITKIKERIIDAVHPKSRKDTSTTRSRNPTSPASRRRSTRKSAASPRTKPSTSSQDDSTSPAGKALALDDLSVSRSERIGNPRTEACNSWPTEKVVDPWRSGGRIMSDSATTSSFVINFNGGSQLSTSSSESRWRNPFSRLQENLLPEQPNIFDFSEIRSATGDFSLKPFSSSSSSISWRCTIRDRNVVVTQRKFRRPIETPELIHRVAMICRSHHSSLIPLKGVSISGDYIYFVYEFIHGVNLRDALRNPRNPNFTVLSSWMSRLQIVSDVASGIDYIHNYTGLGYEFVHNHIKGSSIIVIEPMLSAKICHFAMAELCGETAKRNVESEVKLKRASSKMLKLEGTRGYMAPEFQFSGAVTQKSDVYAFGVVVLELVSGQESLKYVFDEERDEYVRTSVISAARAAVGSFGGVRGWVDKRLRDSYPVEVAEKLVRLALDCVEDDPNHRPDMGHVSGHISQMYLESQTWAENVGLPIDFTVSLGPR >itb12g20450.t1 pep chromosome:ASM357664v1:12:22921679:22922756:1 gene:itb12g20450 transcript:itb12g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLFTEREKPMINHLFPEEMEEFLGNATRSVRSFFSDRWSELHLGSNPTERSTREQKLLKKHLSFVRRSENKEMIHLFKIITYLQNTVSIHSISLDPGCDMVPKDDPDLDSSNKISFFNKNPFFDFFHRFHERNRGGYALHHDFESEERLQEMADLFTLSITEPDLVYHKGFSFSIDSYGLDQKKFLNEVFNTGAESKKKSLLVLSPVLFRYEENEYFFRRIRQKRVWISCGNGLGDLKQKMVVFASNNIMEAVNQYRLIRNLIQIQYNRKAVFKCIFKCKRAVFK >itb05g22680.t2 pep chromosome:ASM357664v1:5:28006431:28014934:1 gene:itb05g22680 transcript:itb05g22680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKFVLDADIDQILLEAQHRWLRPAEICEILKNYQKFQIAPEPPNTPPNGSLFLFDRKVLRYFRKDGHKWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEEMSHIVLVHYREVKGNRVNFNHVKESQIAIPGYQESEDTTSLNSAVASEYEDAESVYIQQKNPVAHSFLEVQSSMMQKAQDKLSVSLYPGPLANDHQGQFSAISGINFPSIVQGNQNRNTANIYVPCQGHGFTSWKNIEETSTARYQPALSSMNSDTISAVQGQEDTTIGFTNNLGNKQKLMNCVYALEEWQTSEGDSLQSSKWSVDQKLNPDQACDFSTKQKPMEFCDFPTKHKHPMQNDQQVQLTDADGGNFAKTKLDSDLNMRINTDHSALKQPLLNGFLRQELKKLDSFDRWVNKELEDVNEPHMQTSSGNYWDNVGNEDGVDESTIASQVQQDTYVLSPSLSQDQFFSITEFSPNWAYAGTEIKIRITGRFLKSQQEVDKCNWACMFGELEVPAEVISDGVLRCLTPMQKVGRVPFYVTCSNRLACSEVREFEFRVNEIESANSGSSKESLLNMRFGNLLSLESVSFENSVPGNMDYVSHLTSTINSLLKEDNSEWEHMFPHTSEDQLHQKFLKEKLRLWLLQKVVEGGKGPNVLDESGQGVLHFAAALDYDWAIPPTLVAGVNVNFRDVNGWTALHWAAFCGRERMVVFLIALGAAPGALTDPTPQHPSGRTPADLASSNGHKGIAGYLAEASLSTHLSSLELKENKEDKNGDASGVKAIHGVSERAATPVNDGDLPYGLSLKDSLAAVRNATQAAARIHQVFRVQSFQRKQIKEYGDGEVGLSDERALSLLAVRKNRAGQNNEPLHVAAMRIQNKFRSWKGRKDFLLIRQQIIKIQAHVRGHQVRKNYRKITWSVGILEKVILRWRRKGSGLRGFKSEALAAEGHNMQDQPKQEDDYDFLKEGRKQTEERLQKALARVQSMVQYPEARDQYRRLLNVVSEMQETKNKYDKVLKRSGRTYDFDEDLMELEAFLNDDTFMPTAS >itb05g22680.t1 pep chromosome:ASM357664v1:5:28005401:28014934:1 gene:itb05g22680 transcript:itb05g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESRRYGLNAQLDIDQILLEAQHRWLRPAEICEILKNYQKFQIAPEPPNTPPNGSLFLFDRKVLRYFRKDGHKWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEEMSHIVLVHYREVKGNRVNFNHVKESQIAIPGYQESEDTTSLNSAVASEYEDAESVYIQQKNPVAHSFLEVQSSMMQKAQDKLSVSLYPGPLANDHQGQFSAISGINFPSIVQGNQNRNTANIYVPCQGHGFTSWKNIEETSTARYQPALSSMNSDTISAVQGQEDTTIGFTNNLGNKQKLMNCVYALEEWQTSEGDSLQSSKWSVDQKLNPDQACDFSTKQKPMEFCDFPTKHKHPMQNDQQVQLTDADGGNFAKTKLDSDLNMRINTDHSALKQPLLNGFLRQELKKLDSFDRWVNKELEDVNEPHMQTSSGNYWDNVGNEDGVDESTIASQVQQDTYVLSPSLSQDQFFSITEFSPNWAYAGTEIKIRITGRFLKSQQEVDKCNWACMFGELEVPAEVISDGVLRCLTPMQKVGRVPFYVTCSNRLACSEVREFEFRVNEIESANSGSSKESLLNMRFGNLLSLESVSFENSVPGNMDYVSHLTSTINSLLKEDNSEWEHMFPHTSEDQLHQKFLKEKLRLWLLQKVVEGGKGPNVLDESGQGVLHFAAALDYDWAIPPTLVAGVNVNFRDVNGWTALHWAAFCGRERMVVFLIALGAAPGALTDPTPQHPSGRTPADLASSNGHKGIAGYLAEASLSTHLSSLELKENKEDKNGDASGVKAIHGVSERAATPVNDGDLPYGLSLKDSLAAVRNATQAAARIHQVFRVQSFQRKQIKEYGDGEVGLSDERALSLLAVRKNRAGQNNEPLHVAAMRIQNKFRSWKGRKDFLLIRQQIIKIQAHVRGHQVRKNYRKITWSVGILEKVILRWRRKGSGLRGFKSEALAAEGHNMQDQPKQEDDYDFLKEGRKQTEERLQKALARVQSMVQYPEARDQYRRLLNVVSEMQETKNKYDKVLKRSGRTYDFDEDLMELEAFLNDDTFMPTAS >itb05g22680.t3 pep chromosome:ASM357664v1:5:28005401:28014927:1 gene:itb05g22680 transcript:itb05g22680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATESRRYGLNAQLDIDQILLEAQHRWLRPAEICEILKNYQKFQIAPEPPNTPPNGSLFLFDRKVLRYFRKDGHKWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEEMSHIVLVHYREVKGNRVNFNHVKESQIAIPGYQESEDTTSLNSAVASEYEDAESVYIQQKNPVAHSFLEVQSSMMQKAQDKLSVSLYPGPLANDHQGQFSAISGINFPSIVQGNQNRNTANIYVPCQGHGFTSWKNIEETSTARYQPALSSMNSDTISAVQGQEDTTIGFTNNLGNKQKLMNCVYALEEWQTSEGDSLQSSKWSVDQKLNPDQACDFSTKQKPMEFCDFPTKHKHPMQNDQQVQLTDADGGNFAKTKLDSDLNMRINTDHSALKQPLLNGFLRQELKKLDSFDRWVNKELEDVNEPHMQTSSGNYWDNVGNEDGVDESTIASQVQQDTYVLSPSLSQDQFFSITEFSPNWAYAGTEIKIRITGRFLKSQQEVDKCNWACMFGELEVPAEVISDGVLRCLTPMQKVGRVPFYVTCSNRLACSEVREFEFRVNEIESANSGSSKESLLNMRFGNLLSLESVSFENSVPGNMDYVSHLTSTINSLLKEDNSEWEHMFPHTSEDQLHQKFLKEKLRLWLLQKVVEGGKGPNVLDESGQGVLHFAAALDYDWAIPPTLVAGVNVNFRDVNGWTALHWAAFCGRERMVVFLIALGAAPGALTDPTPQHPSGRTPADLASSNGHKGIAGYLAEASLSTHLSSLELKENKEDKNGDASGVKAIHGVSERAATPVNDGDLPYGLSLKDSLAAVRNATQAAARIHQVFRVQSFQRKQIKEYGDGEVGLSDERALSLLAVRKNRAGQNNEPLHVAAMRIQNKFRSWKGRKDFLLIRQQIIKIQAHVRGHQVRKNYRKITWSVGILEKVILRWRRKGSGLRGFKSEALAAEGHNMQDQPKQEDDYDFLKEGRKQTEERLQKALARVQSMVQYPEARDQYRRLLNVVSEMQETKVNLLH >itb09g12260.t1 pep chromosome:ASM357664v1:9:7734105:7736284:1 gene:itb09g12260 transcript:itb09g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGGSGSEDFSVVVLASDLGVDARPFLSQTEQEQGEECWHDCPSDVHEDFSDLDALQFFRLERGSDVAGNRIFRIVGKYFPALVISAERLKKYVVHKILTEMPEGPFCVAYMHSTVKGEDNNPGMTILRWIYEGLPAEHKDRLQVLYFIHPGIRSRLVIATLGRFFLSGGLYWKIKYVSRLQYLWEDIKKGELEIPDFVQEHDDILEHRPLTDYGIEPDPLHLNEMPLTGYSMGRYHSRW >itb05g03270.t3 pep chromosome:ASM357664v1:5:2702538:2710441:-1 gene:itb05g03270 transcript:itb05g03270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSPLEHTLRYIICAISPSKDDWSIRFQLIEELRAVVEPIESLRGATVEPFGSFVSDLFTRWGDLDISIELANGSYIASAGKKHKQNLLEDVLKALKIKGGCRKLRFITNARVPILKFQGKYNISCDISINNLSGQMKSKLLYWISTIDGRFRHMVLLVKEWAKAQSINDSKSGTLNSYSLSLLVIFHFQTCEPAILPPLKEIYPRNMVDDLTGVRVTAENLIEETCAVNINRFKSNSSRAQNDSSLADLFISFIGKFCDISSKASDQGISTYTGQWEDIEGNMRWLPKTYSLFIEDPFEQPANTARSVSSTQLANISEAFQSTYNMLTSPNQDKKLLLSTLMKPPTTKFAGGTPTRNYGNYSRDGVRIQQAQRTTQPSLQHQFQDMRINRQQPNRAIHRPSQDSPAQLQPVWRPKYDKKIAE >itb05g03270.t2 pep chromosome:ASM357664v1:5:2702538:2710480:-1 gene:itb05g03270 transcript:itb05g03270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSPLEHTLRYIICAISPSKDDWSIRFQLIEELRAVVEPIESLRGATVEPFGSFVSDLFTRWGDLDISIELANGSYIASAGKKHKQNLLEDVLKALKIKGGCRKLRFITNARVPILKFQGKYNISCDISINNLSGQMKSKLLYWISTIDGRFRHMVLLVKEWAKAQSINDSKSGTLNSYSLSLLVIFHFQTCEPAILPPLKEIYPRNMVDDLTGVRVTAENLIEETCAVNINRFKSNSSRAQNDSSLADLFISFIGKFCDISSKASDQGISTYTGQWEDIEGNMRWLPKTYSLFIEDPFEQPANTARSVSSTQLANISEAFQSTYNMLTSPNQDKKLLLSTLMKPPTTKFAGGTPTRNYGNYSRDGVRIQQAQRTTQPSLQHQFQDMRINRQQPNRAIHRPSQDSPAQLQPVWRPKYDKKIAE >itb05g03270.t4 pep chromosome:ASM357664v1:5:2702538:2710435:-1 gene:itb05g03270 transcript:itb05g03270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSPLEHTLRYIICAISPSKDDWSIRFQLIEELRAVVEPIESLRGATVEPFGSFVSDLFTRWGDLDISIELANGSYIASAGKKHKQNLLEDVLKALKIKGGCRKLRFITNARVPILKFQGKYNISCDISINNLSGQMKSKLLYWISTIDGRFRHMVLLVKEWAKAQSINDSKSGTLNSYSLSLLVIFHFQTCEPAILPPLKEIYPRNMVDDLTGVRVTAENLIEETCAVNINRFKSNSSRAQNDSSLADLFISFIGKFCDISSKASDQGISTYTGQWEDIEGNMRWLPKTYSLFIEDPFEQPANTARSVSSTQLANISEAFQSTYNMLTSPNQDKKLLLSTLMKPPTTKFAGGTPTRNYGNYSRDGVRIQQAQRTTQPSLQHQFQDMRINRQQPNRAIHRPSQDSPAQLQPVWRPKYDKKIAE >itb05g03270.t1 pep chromosome:ASM357664v1:5:2702538:2710480:-1 gene:itb05g03270 transcript:itb05g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSPLEHTLRYIICAISPSKDDWSIRFQLIEELRAVVEPIESLRGATVEPFGSFVSDLFTRWGDLDISIELANGSYIASAGKKHKQNLLEDVLKALKIKGGCRKLRFITNARVPILKFQGKYNISCDISINNLSGQMKSKLLYWISTIDGRFRHMVLLVKEWAKAQSINDSKSGTLNSYSLSLLVIFHFQTCEPAILPPLKEIYPRNMVDDLTGVRVTAENLIEETCAVNINRFKSNSSRAQNDSSLADLFISFIGKFCDISSKASDQGISTYTGQWEDIEGNMRWLPKTYSLFIEDPFEQPANTARSVSSTQLANISEAFQSTYNMLTSPNQDKKLLLSTLMKPPTTKFAGGTPTRNYGNYSRDGVRIQQAQRTTQPSLQHQFQDMRINRQQPNRAIHRPSQDSPAQLQPVWRPKYDKKIAE >itb12g25360.t1 pep chromosome:ASM357664v1:12:26601221:26603528:-1 gene:itb12g25360 transcript:itb12g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPCLREVEQVFQGCCLNPPFRIVESPQQKVVSMPRNIFAVCHPNFADTTASSFFPNTLFTNHESLPSLQESFVQFIKAYPQYSETCQIDKIRAQEYHNLSHVCLDYNGIGLFSDSQLQSQLASSSSPSPQSSNFPVFDVSFKAVNLKSQLLHGGQGSDLESVIKQRIMDYLKISQNEYCMVFTANRSSAFKLLAESYPFGSSRKLLTVYDHESEALGTMVDTSEKRGACIASAEFKWPRLRVNSARLRKMIVRKKNEKNSRGLFVFPLQSRVTGARYSYQWMSLAQENGWHVLLDACALGPKDMDSLGLSLCHPDFLVCSFYKVLGSNPTGFGCLIVKKSVVSILEASTSTGIVTLVPPTQLLRSLEDSSGTDKELEQMYNIWIRADDKNASNSSTCSISAQHSSGKSTEGNISRMKGKEFASTFTDPEHSGKEKPEESIASLHQILELEGHGDCACECRYLDQVDSLGMRIVNNRQRYLINWLITAVMKLQHPNRLECLPLVKIYGPRIKFDRGAALAFNLYDWKGKKVDPTLVQKLADRNNISLSHGFLHQIWFPDKYDQEMESVLEKRESQEKEEGRKRSKKAELGIAVVTIALNFLTNFEDIYRLWAFIAQFLDADFVEKESWRYTALNQKTMEV >itb03g14730.t2 pep chromosome:ASM357664v1:3:14328169:14331715:1 gene:itb03g14730 transcript:itb03g14730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 18 [Source:Projected from Arabidopsis thaliana (AT2G40620) UniProtKB/Swiss-Prot;Acc:O22873] MQDPSGSKPFQTAPFPSAFRQSHHRRAHSEVNFRLPEDLDLAADPFDAAPAGSFESEDDFFSTYMDIEKLGGSGSGAAAAVESGGDGVKSSSRPRHRHSNSVDSSGLLLGDNGAIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKAHYITELERKVQTLQTEATTLSAQLTLFQRDTTGLTNENTELKLRLQAMEQQAQLRDGMLPAKPYFSAHFLAYAVMPLPLVYKL >itb03g14730.t1 pep chromosome:ASM357664v1:3:14328169:14331715:1 gene:itb03g14730 transcript:itb03g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 18 [Source:Projected from Arabidopsis thaliana (AT2G40620) UniProtKB/Swiss-Prot;Acc:O22873] MQDPSGSKPFQTAPFPSAFRQSHHRRAHSEVNFRLPEDLDLAADPFDAAPAGSFESEDDFFSTYMDIEKLGGSGSGAAAAVESGGDGVKSSSRPRHRHSNSVDSSGLLLGDNGAIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKAHYITELERKVQTLQTEATTLSAQLTLFQRDTTGLTNENTELKLRLQAMEQQAQLRDALNEALKQEVERLRIATGEMAAPSDAYNLGMQHIPYNQSTLFSSHQPQSGPSDSQNMQMPQYHPLGPNISTPHHPIFTANHAQALPHTLHQDPLGRFQGLDINSRGSHLIKTEVPTISASESSSTF >itb13g18840.t1 pep chromosome:ASM357664v1:13:25840915:25847377:-1 gene:itb13g18840 transcript:itb13g18840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREANLPVPPAAATSGVPVRPINPDSAKLTRSSNSSSSSPPDFLRDVQAAFKRHRPLGNIQSNNIRPRRQLLPRREVSNSSTKSVDPMCDMKKAHDDILASHRLQGCISQPKNTASVVREIHEDESVTPPSDWNSTVNTHEQDFRSMNAQRDQIRSFEGCQSNITLESTVARSEDIPLVEGQKKVHYAADYNSRSQGADGQMATQRDDLLSHMNSLALTGTEWYTSSQIEAPTVVSQDMRNQNVPHSDMDGNLRSEAGISLLDKRTAAVSDQPHQFSNFLQSDFSHPMTQSSVNGSSCITTTLINSTSAPMLNSTTYCSQSHKMGNSHLVGELIGESKLNYELVPQKDIMQLLHPSSKNENVALAGHEALTAPNSSTETKLEAKSSIPSKEQNSTIPKLGDTSNGPSPLDGNSAKGDVADMQSEDALPKVSSLGLKAEPSKLEKPEKVASSKVTSSSRRKTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKRIKLKGRDHATAYGFCQEIEYLKTLKGKNNIIQLIDYEVTDKNLLQEVMNGSMSNKDGRVKEDGFIYMVLEYGEIDLAHMLSQKWRELDDCNATIDENWLRFYWQQVLLAVNIIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLSYMSPEAFLCNETDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSEHRTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPELLQHPFLVPPVPTQPSFPQEQSCKLVQLLMKSCQHDQDALMLCSQLQQLLADPRASKQSESTTSQQCELLFELSKLCLKLRVQLATLGGK >itb13g18840.t4 pep chromosome:ASM357664v1:13:25840915:25847312:-1 gene:itb13g18840 transcript:itb13g18840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEREANLPVPPAAATSGVPVRPINPDSAKLTRSSNSSSSSPPDFLRDVQAAFKRHRPLGNIQSNNIRPRRQLLPRREVSNSSTKSVDPMCDMKKAHDDILASHRLQGCISQPKNTASVVREIHEDESVTPPSDWNSTVNTHEQDFRSMNAQRDQIRSFEGCQSNITLESTVARSEDIPLVEGQKKVHYAADYNSRSQGTEWYTSSQIEAPTVVSQDMRNQNVPHSDMDGNLRSEAGISLLDKRTAAVSDQPHQFSNFLQSDFSHPMTQSSVNGSSCITTTLINSTSAPMLNSTTYCSQSHKMGNSHLVGELIGESKLNYELVPQKDIMQLLHPSSKNENVALAGHEALTAPNSSTETKLEAKSSIPSKEQNSTIPKLGDTSNGPSPLDGNSAKGDVADMQSEDALPKVSSLGLKAEPSKLEKPEKVASSKVTSSSRRKTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKRIKLKGRDHATAYGFCQEIEYLKTLKGKNNIIQLIDYEVTDKNLLQEVMNGSMSNKDGRVKEDGFIYMVLEYGEIDLAHMLSQKWRELDDCNATIDENWLRFYWQQVLLAVNIIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLSYMSPEAFLCNETDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSEHRTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPELLQHPFLVPPVPTQPSFPQEQSCKLVQLLMKSCQHDQDALMLCSQLQQLLADPRASKQSESTTSQQCELLFELSKLCLKLRVQLATLGGK >itb13g18840.t2 pep chromosome:ASM357664v1:13:25840969:25847312:-1 gene:itb13g18840 transcript:itb13g18840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREANLPVPPAAATSGVPVRPINPDSAKLTRSSNSSSSSPPDFLRDVQAAFKRHRPLGNIQSNNIRPRRQLLPRREVSNSSTKSVDPMCDMKKAHDDILASHRLQGCISQPKNTASVVREIHEDESVTPPSDWNSTVNTHEQDFRSMNAQRDQIRSFEGCQSNITLESTVARSEDIPLVEGQKKVHYAADYNSRSQGTEWYTSSQIEAPTVVSQDMRNQNVPHSDMDGNLRSEAGISLLDKRTAAVSDQPHQFSNFLQSDFSHPMTQSSVNGSSCITTTLINSTSAPMLNSTTYCSQSHKMGNSHLVGELIGESKLNYELVPQKDIMQLLHPSSKNENVALAGHEALTAPNSSTETKLEAKSSIPSKEQNSTIPKLGDTSNGPSPLDGNSAKGDVADMQSEDALPKVSSLGLKAEPSKLEKPEKVASSKVTSSSRRKTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKRIKLKGRDHATAYGFCQEIEYLKTLKGKNNIIQLIDYEVTDKNLLQEVMNGSMSNKDGRVKEDGFIYMVLEYGEIDLAHMLSQKWRELDDCNATIDENWLRFYWQQVLLAVNIIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLSYMSPEAFLCNETDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSEHRTFWAKFKK >itb13g18840.t3 pep chromosome:ASM357664v1:13:25840969:25847377:-1 gene:itb13g18840 transcript:itb13g18840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREANLPVPPAAATSGVPVRPINPDSAKLTRSSNSSSSSPPDFLRDVQAAFKRHRPLGNIQSNNIRPRRQLLPRREVSNSSTKSVDPMCDMKKAHDDILASHRLQGCISQPKNTASVVREIHEDESVTPPSDWNSTVNTHEQDFRSMNAQRDQIRSFEGCQSNITLESTVARSEDIPLVEGQKKVHYAADYNSRSQGADGQMATQRDDLLSHMNSLALTGTEWYTSSQIEAPTVVSQDMRNQNVPHSDMDGNLRSEAGISLLDKRTAAVSDQPHQFSNFLQSDFSHPMTQSSVNGSSCITTTLINSTSAPMLNSTTYCSQSHKMGNSHLVGELIGESKLNYELVPQKDIMQLLHPSSKNENVALAGHEALTAPNSSTETKLEAKSSIPSKEQNSTIPKLGDTSNGPSPLDGNSAKGDVADMQSEDALPKVSSLGLKAEPSKLEKPEKVASSKVTSSSRRKTYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKRIKLKGRDHATAYGFCQEIEYLKTLKGKNNIIQLIDYEVTDKNLLQEVMNGSMSNKDGRVKEDGFIYMVLEYGEIDLAHMLSQKWRELDDCNATIDENWLRFYWQQVLLAVNIIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLSYMSPEAFLCNETDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFSEHRTFWAKFKK >itb07g01950.t1 pep chromosome:ASM357664v1:7:1169404:1172632:-1 gene:itb07g01950 transcript:itb07g01950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANSWRLAVNDKQFIETALVSDLRVDGRRPFDYRRLTIKFGKEDGSSEVQLGHTHVMGVVTSQLVQPYRERPNEGTLAIYTEFSPMADPSFEAGRPGEAAVELGRIIDRGLRESRAVDTESLCVVAGKWVWAIRIDLHILDNGGNLVDAANIAALAALSTFRRPECTLGGEDGPEVIMHPPEVTEPLPLIIHHMPIAVTFAFIGKENIVVIDPTHFEEAVMGARMTATLNANGDVCAIQKAGGDGIVQAVIMQCLRIASIKASDITSKIKDAVESYNTERALRKIKRHRPAIAAVNVSDHGHGGINDLAKQNRKERVKSEECNVSQGNDMDVEPQSSESDRSSGGVGEDQNIQADPPEKSHADINSTASLMVAHGSATPTERDNTSVDAVKPKRKRKKKKGSNAN >itb15g06590.t1 pep chromosome:ASM357664v1:15:4391937:4393626:-1 gene:itb15g06590 transcript:itb15g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLHNVAGLILFSLLFTVSSAQLSANFYSSSCPNALSIIKSAVNSAVQSEARMGASLLRLHFHDCFVNGCDASVLLDDTANFTGEKTAGPNNNSLRGFNVIDNIKTQLESSCAGVVSCADIVAVAARDSVVALGGPSWNVVVGRRDSTTASLSGANSNIPGPTSSLSQLISSFSNKGFSAREMVALSGSHTIGQARCATFRNRIYNDANINSTFATSLKGNCPQSGGNNNLAPLDVVTPTSFDNNYFKNLQSQKGLLHSDQELFNGGSADSIVNTYSSNPSTFASDFANAMVKMGNLSPLTGSNGQIRKNCRKTN >itb02g20200.t2 pep chromosome:ASM357664v1:2:17975425:17975889:-1 gene:itb02g20200 transcript:itb02g20200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIIFIVFLFLSIKSNADEDYVSRAAELYCPSSTCKNGTIHVSYPFWRLDDRDYTSPNQTCGYPGFGINCSNPDPNYPLLYLSNHTFLVKNINYTDSLIVLVDADVTTNRECPITHHNITFPERSPLVYSTRDVNLTFYLNCTNHFAGEFVY >itb02g20200.t1 pep chromosome:ASM357664v1:2:17966946:17975889:-1 gene:itb02g20200 transcript:itb02g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIIFIVFLFLSIKSNADEDYVSRAAELYCPSSTCKNGTIHVSYPFWRLDDRDYTSPNQTCGYPGFGINCSNPDPNYPLLYLSNHTFLVKNINYTDSLIVLVDADVTTNRECPITHHNITFPERSPLVYSTRDVNLTFYLNCTNHFADCLSSEHFTSYLHVGAVAPGRYHSDWFRSCEEEVETAVMKTGEVVDDEGWWVRNVGGAMNNGFVLNWRNLEECSACESSKGLCGQNEVSGEFLCFCGAGSVTHDYCRLSQGIGGAALCLTVICTILFAYHRRQRRYPSGNELDCQGSGNCTVCNGGIFKKSLQRRNLEVFMEQYRSLAPTIYSYSDIKKMTSSFKHKLGQGGYGEVYKGKLYDDRLVAVKILNSTKGDGEEFINEVVSIGQTSHVNVVNLLGFCYHGTKRALVYEFMPNGSLERYIHGDNTHLGWAKLYEIAIGIARGLEYLHKGCNTRILHFDIKPHNILLDQDFCPKISDFGLAKLCTNKESNVSMLGVRGTIGYIAPEVVSRNFGSVSHKSDVYSYGMMILEMVGGRKNVNDNVSHSSEIYFPHWAYQRLLVDEDLKLQGVRTEEEEEIAKKMILIGFWCIQTDPSDRPSMNKVIEMLMGSLVKLEIPPKPFLYSYSQSQSSEGISPVISPTLLLRSSSSPSFNISMNID >itb09g04950.t1 pep chromosome:ASM357664v1:9:2820316:2821579:1 gene:itb09g04950 transcript:itb09g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLTDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSLETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLQCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCNDVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb13g10600.t1 pep chromosome:ASM357664v1:13:15446840:15447277:1 gene:itb13g10600 transcript:itb13g10600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKHTPCILIHGEPEQHPKPILKSPMLNFFNSRPGSCSEFLASADHDDSEQTSRTTSEHVSNRTGYVDPGFTDRALGNLNRPSLGFFLFKICRWLAALGIKNCLMLGSDQVSKQSIITRNDASIKQVPFFDGLIHLRPPCSRS >itb01g08590.t1 pep chromosome:ASM357664v1:1:6920068:6920797:-1 gene:itb01g08590 transcript:itb01g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLMGFDHPLFHPLHHIVDYAGDDKSSNSSAPSRTFMLDAKAMAATPADVKEYPNSYVFIIDMPGMKSGDIKVQIDDDNVLSISGERKREAEEKEGAKYVRMERRVGKLMRKFVLPENANKEKITAVCQDGVLTVTVEKLPPPEPKKPRTIEVKIA >itb09g03930.t2 pep chromosome:ASM357664v1:9:2158071:2162594:-1 gene:itb09g03930 transcript:itb09g03930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVADEAVAAAVESGHITREPSSRRLSEAALPYIHKVEKPPKQGWLEELKWTVKETFFHDEPLRHFKDQPRSRKFMLALQAVCPIFDWGRSYNLSKFKGDLISGLTIASLCIPQDIGYSGLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGSLLRDEIDPELHKWEYHRLAFTATFFAGVTQFVLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGLLGIKKFTKKTDIISVMHSVWGSVHHGGKKNKKYFWVPAIAPLISVVISTFFVFITHADKHGVQIVNHIKKGINPASADQIYFSGEYLTKGIRIGVVAGMIALTEAAAIGRTFAAMKDYQLDGNKEMIALGSMNIVGSMTSCYVATGSFSRSAVNYMAGCHTTVSNIVMSCVVMLTLLLITPLFKYTPNAILASIIISAVVGLVDIDAMILLWKIDKFDFITSMGAFFGVVFHSVEIGLLIAILLQVTRPRIAVLGKIPRSAIYRNVLQYPDATKVPGILILRVDSAIYFSNCNYVRDRALLWLSDEEDKQKEINGTKIQLLIIDLSPVIDIDTGGIHAVEDLHKSLEKRHVQLILANPGHKVMDKLHTSGLANVIGEDKIFLTVADAVTALAPKMEP >itb09g03930.t1 pep chromosome:ASM357664v1:9:2158071:2161998:-1 gene:itb09g03930 transcript:itb09g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIGYSGLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGSLLRDEIDPELHKWEYHRLAFTATFFAGVTQFVLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKGLLGIKKFTKKTDIISVMHSVWGSVHHGGKKNKKYFWVPAIAPLISVVISTFFVFITHADKHGVQIVNHIKKGINPASADQIYFSGEYLTKGIRIGVVAGMIALTEAAAIGRTFAAMKDYQLDGNKEMIALGSMNIVGSMTSCYVATGSFSRSAVNYMAGCHTTVSNIVMSCVVMLTLLLITPLFKYTPNAILASIIISAVVGLVDIDAMILLWKIDKFDFITSMGAFFGVVFHSVEIGLLIAVAISFAKILLQVTRPRIAVLGKIPRSAIYRNVLQYPDATKVPGILILRVDSAIYFSNCNYVRDRALLWLSDEEDKQKEINGTKIQLLIIDLSPVIDIDTGGIHAVEDLHKSLEKRHVQLILANPGHKVMDKLHTSGLANVIGEDKIFLTVADAVTALAPKMEP >itb09g27690.t1 pep chromosome:ASM357664v1:9:27946508:27951662:1 gene:itb09g27690 transcript:itb09g27690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT3G53520) UniProtKB/TrEMBL;Acc:F4JAG3] MKLHKQASVNYRRDEETAQHTPPYSPKTLKHPRSLPRSINYLLREQRLLFILVGILIGSTFFIIQPSLSHLSSSSPIPRSHSFHLSNKEALPVYTPARSSGGYGAAGIGRVPVGIARKRMRIVVTGGAGFVGSHLVDKLMKRGDDVIVIDNFFTGRKENVMHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMSLDDGRVVSNFVAQAIRKQPMTVYGDGQQTRSFQYVSDLVDGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKKVIDPSATIEFKPNTADDPHKRKPDISRAKELLNWEPRIPLQDGLPLMVNDFRNRILNEDEGKGNK >itb09g04450.t1 pep chromosome:ASM357664v1:9:2493026:2496284:1 gene:itb09g04450 transcript:itb09g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCHLRLRRAVSLSSSILNHHLHHSSLISTIPSVSDTPALSSIGCTSSSNAIPQFPSQSRLFRASAISLSSRSRSFDRNPGDEEIGPDTILFEGCDYNHWLITIDFPKDPAPTREEMIETYIQTAAKVFGSVEEAKQKIYALSTTTYQGFQVLCSEETSEKFRGLPGVVFILPDSYIDPVNKEYGGDKYMNGVIIERPPPVQYGRQGRRNPRSNQSYQQGNSGYDGQRSRNFGPPQGPPQQNITPQGPPPQQNQGPLQGHSPQQYYSQPQGPRPQQSYGQQHFPQQNYGHQQNPLPRQNVGPEHSQPQQTYGSPQTPPSQQSYGFQQNYGAPGSQRGSMPVRNGPGGLDNYQGRTQDQVLSQTDQSGYAPREQRAFQGENQNYGQTQGGHFGQGLTGSQWRGTGPSFGQNYPNYGDQQFSPSEQRNVQGDERYQAPTWRAGTDQGRN >itb09g04450.t2 pep chromosome:ASM357664v1:9:2493026:2496284:1 gene:itb09g04450 transcript:itb09g04450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCHLRLRRAVSLSSSILNHHLHHSSLISTIPSVSDTPALSSIGCTSSSNAIPQFPSQSRLFRASAISLSSRSRSFDRNPGDEEIGPDTILFEGCDYNHWLITIDFPKDPAPTREEMIETYIQTAAKVFGSVEEAKQKIYALSTTTYQGFQVLCSEETSEKFRVFILPDSYIDPVNKEYGGDKYMNGVIIERPPPVQYGRQGRRNPRSNQSYQQGNSGYDGQRSRNFGPPQGPPQQNITPQGPPPQQNQGPLQGHSPQQYYSQPQGPRPQQSYGQQHFPQQNYGHQQNPLPRQNVGPEHSQPQQTYGSPQTPPSQQSYGFQQNYGAPGSQRGSMPVRNGPGGLDNYQGRTQDQVLSQTDQSGYAPREQRAFQGENQNYGQTQGGHFGQGLTGSQWRGTGPSFGQNYPNYGDQQFSPSEQRNVQGDERYQAPTWRAGTDQGRN >itb11g16550.t2 pep chromosome:ASM357664v1:11:14803781:14810667:1 gene:itb11g16550 transcript:itb11g16550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREDKGHNPEVIRESQRRRSANVDIVDEVIELDKVWRQRQFELDNLRKEFNKINKEVAKLKIAKQDASGLIKNTEENKKLTAKKEEEVQEARAALYKRLEVIGNLVHDSVPVSDNEDNNAIIRQWGDKRTESGLKNHVELVKLLGIADLDKGAKIAGGRGYYLKDAGVDLNQALIMFALDFLRKKGYSRLQTPFFMRQDIMGKCAQLAQFDEELYKVTGEGDDKYLIATSEQPLCAYHMDDWIHPSELPLRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCMTSPNGNDSWDMHEEMMNNSEEFFQQLKIPYHVVSIVSGALNDAAAKKYDLEGWFPASATHRELVSCSNCTDYQSRRLEIRYGQKKGNDQSAKQYVHLLNSTLTATERTLCCILENYQKEDGVEVPEVLQPYMGGVTFLPFKSVPTGKDKKSK >itb11g16550.t1 pep chromosome:ASM357664v1:11:14803781:14810667:1 gene:itb11g16550 transcript:itb11g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREDKGHNPEVIRESQRRRSANVDIVDEVIELDKVWRQRQFELDNLRKEFNKINKEVAKLKIAKQDASGLIKNTEENKKLTAKKEEEVQEARAALYKRLEVIGNLVHDSVPVSDNEDNNAIIRQWGDKRTESGLKNHVELVKLLGIADLDKGAKIAGGRGYYLKDAGVDLNQALIMFALDFLRKKGYSRLQTPFFMRQDIMGKCAQLAQFDEELYKVTGEGDDKYLIATSEQPLCAYHMDDWIHPSELPLRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCMTSPNGNDSWDMHEEMMNNSEEFFQQLKIPYHVVSIVSGALNDAAAKKYDLEGWFPASATHRELVSCSNCTDYQSRRLEIRYGQKKGNDQSAKQYVHLLNSTLTATERTLCCILENYQKEDGVEVPEVLQPYMGGVTFLPFKSVPTGKDKKSK >itb05g22450.t1 pep chromosome:ASM357664v1:5:27887457:27889125:1 gene:itb05g22450 transcript:itb05g22450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAFFALCSLAAVTATAHFMDTPFLVKGKVYCDTCNCGFETTATRYIPGSRVQIECRNRGTNALAYTIEGVTNSEGEYSILVESERGGDFCDVVLKQSSDPLCDVPSQGRDRARVILTRNNGITSDVRFANSMGFDTAQPLPSCPQVLQQYHLNDDNF >itb15g13340.t1 pep chromosome:ASM357664v1:15:11327783:11330019:1 gene:itb15g13340 transcript:itb15g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAPHRIEGSRMRLDSYYSLLLLLLAYFNLAAGKDGVCISPGGRFPKFSNEGKPPRKVNKGPRDLNLCRVFRGKTCCDITQTHPTLLSIRRLASTGEASQECLHLWEMLECSICDPRVGVQPGPPVICASLCDRVYQACSNAYFVVDAKTQVLAPCGVNDFVCGRASEWISNGTELCRVAGFSVKSLYDDPEEVSCYGGKSSRDHVAKSWGGSHSKFAQTAQSSSALEDFKHWVEDMLFSERVSWAIGGMVLTAGLLFVSQRRNRRQRYKQAAIQRAAKKLNSGSPRGREARRQVEDK >itb03g11020.t1 pep chromosome:ASM357664v1:3:8894740:8895243:1 gene:itb03g11020 transcript:itb03g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIHCSSSSTHQQHRTAHSSSSSSFKPCAATAASQFAVVDLHARKEFKATAYFDLRKESRRREAGSVVAGTSSAARGNSSSSAVHGRREIEAATAMSAIILPSVKKWRNGGSWIAKLLPTAVVVASVVATGFAGV >itb15g02800.t1 pep chromosome:ASM357664v1:15:1763674:1766283:1 gene:itb15g02800 transcript:itb15g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTKLKTQNNITLIPDFPGLLVLRLCFSIRSISPQSTVPRLRSLCPRRANVCCNRITAAKIKEERIAIMPSLQTALPPELANNTIRLYRECLRRAKYIGSKQYNTKLLIDMVRQQFRNNMHEKDPEKIQKLKDDAARGLINHMLYESEQLSGRKFSKSS >itb07g24410.t2 pep chromosome:ASM357664v1:7:28701598:28705009:-1 gene:itb07g24410 transcript:itb07g24410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEETMRNMGVDRENYHNFPLGMRVLAVDDDPICLKLLEGLLRKCQYHVTTTSQARMALNMLRENKDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDTKLVMKGITHGACDYLVKPVRIEELRNIWQHVIRRKKSESKGQNQDNGYRGNGEGGQGFPLTGSAEQNALLNKKRKDEEDETNENEDPSSQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILELMNVEGLTRENVASHLQKYRLYLKRISSVATQQANMVAALRGKDSAFMRMASLDGLGDFQALGGPGRFNHATLSTYTPADMLGRLSSATGVSIRNLSASALVQSNHAQNLENSLGSDGNLNPNISLSSHNAATLFQGIPSPLAVQELNPLENSRALTAATAFADSGSVIGSSMNPMMLQGSPKQGLIGGGFGNQHSLNMASLSSELYNTGVNSSSNFLGHGRSSENWQTSIQVSEFQSGSYPLTETFSHIQLPQNCEREHESSAATHLHSSPVGFSSTTSASTTFEDSREPQVPSQLWGDSKQNQNSNDIFRNLSSHVPPSLSQGMYQTSGNLNTKMNSFLMHRSNAGSLVLFHQNGNEMPTPDPRTRSIEDNLLESTKTHGAFVSQGFDALDDLMNAVIKQEQDGGILVGEFGFDAYPFGSCM >itb07g24410.t1 pep chromosome:ASM357664v1:7:28701598:28705009:-1 gene:itb07g24410 transcript:itb07g24410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEETMRNMGVDRENYHNFPLGMRVLAVDDDPICLKLLEGLLRKCQYHVTTTSQARMALNMLRENKDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDTKLVMKGITHGACDYLVKPVRIEELRNIWQHVIRRKKSESKGQNQDNGYRGNGEGGQGFPLTGSAEQNALLNKKRKDEEDETNENEDPSSQKKPRVVWSIELHRKFVAAVNQLGIEKAVPKRILELMNVEGLTRENVASHLQKYRLYLKRISSVATQQANMVAALRGKDSAFMRMASLDGLGDFQALGGPGRFNHATLSTYTPADMLGRLSSATGVSIRNLSASALVQSNHAQNLENSLGSDGNLNPNISLSSHNAATLFQGIPSPLAVQELNPLENSRALTAATAFADSGSVIGSSMNPMMLQGSPKQGLIGGGFGNQHSLNMASLSSELYNTGVNSSSNFLGHGRSSENWQTSIQVSEFQSGSYPLTETFSHIQLPQNCEREHESSAATHLHSSPVGFSSTTSASTTFEDSREPQVPSQLWGDSKQNQNSNDIFRNLSSHVPPSLSQGSLVLFHQNGNEMPTPDPRTRSIEDNLLESTKTHGAFVSQGFDALDDLMNAVIKQEQDGGILVGEFGFDAYPFGSCM >itb06g06460.t1 pep chromosome:ASM357664v1:6:9086772:9090536:-1 gene:itb06g06460 transcript:itb06g06460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYRRHSLIPSFLYSSPLSSSSSSFASKTQITADSNSSSPANNSFTIPAPSEPGKIQMHSPQYYAACTAGGILSCGLTHMSVTPLDLVKCNMQIDPTKYKSISSGFGVLLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGAENAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGMADGFPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMLYKHAIPTPKNDCSKSFQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKMGVWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPPPVTDAKA >itb06g21680.t1 pep chromosome:ASM357664v1:6:24258420:24259631:-1 gene:itb06g21680 transcript:itb06g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEAAEKRTRRQSQAEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPTARLNFPEFFAHDDRLPDLSAADIRKKATEVGARVDALQTALHAPLKPDRNPAQTRLKPDLNQYPSSDEDN >itb12g11720.t1 pep chromosome:ASM357664v1:12:10135641:10136670:1 gene:itb12g11720 transcript:itb12g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYKFRFSEIIPNAWFYKLKEMGNKTRNKKHLTQTSSSPASSSSSSSTHPPTTHRKSYYISRDLNAAPPKTRVASPRKSAKKRRSTSCDTDTIPPPQLPPIITKASPKTGEKEQMTRPVRRNLGLKLRTKSPRIGKTIHHQDRKSVSSSSTTTKNFAVVKTSEDPRRDFRESMVEMIVENNIRASKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDMMLL >itb05g00540.t1 pep chromosome:ASM357664v1:5:451697:455074:-1 gene:itb05g00540 transcript:itb05g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDSGRREDDWTCPRCGNVNFSFRTTCNMRNCTQSRPADHNTKPIAKPFQPPQGYSTLAPYVGSGAPSSMFLGIPPHGSSVFNATSILPYDVPFSGGSAYHYNYGSRISGGSPFQPLHLSAPPPYSSGAIMGNGGVYGVRPPLVDRYGLGLPMGSPTMGSRPGFFPEDNSQKKDGTRDNDWKCPKCGNVNFSFRTVCNMRKCNTPKPGFQGAKSVKNSNTPEGSWKCEKCNNINYPFRTKCNRQNCGAEKPSESKKSPSPVADENDQ >itb12g24890.t1 pep chromosome:ASM357664v1:12:26266193:26266615:-1 gene:itb12g24890 transcript:itb12g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQASAAAIFLSFNLFFFAVTTATQYPAIPGFPATGTGAGNGPGGGNGGGGAGRCPRDALKLGVCANLLGGLVGAVVGTPPTLPCCSLIAGLADLEAAVCLCTAIRANVLGINLNIPVALSLVLNDCGRRVPNGFTC >itb10g02160.t1 pep chromosome:ASM357664v1:10:1768871:1771325:-1 gene:itb10g02160 transcript:itb10g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRNYGVLVLHMMFFLVVSHYCYAQGLKANFYKKTCPGMESVVQKTTARLISKAPTLAAPLLRMHFHDCFVRGCDGSVLLNSTSNNQAEKDAIPNLSLRGFQVIDAVKSEVEKKCPGVVSCADILALVARDAVTMLNGPFWKVPLGRRDGRVSNMLEALNDLPPPFGNISTLTTMFASKGLNKKDLVVLSGGHTIGTSHCPPFTDRLYNFTGRGDTDPTMDPKYVAALKKKCRPGDSTTLVEINPGSFKTFDEKYFTDVAKRRGLFQSDAALLNDKQTRRYVVRQVATGGATFFKDFAKSMVKMGKIGVLTGKNGEIRKQCAFVN >itb07g14290.t1 pep chromosome:ASM357664v1:7:16789789:16796030:-1 gene:itb07g14290 transcript:itb07g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWQWLLLLQLIFLSLTTCGSLPHEIPRLAPFYEPILQHSSSKPLPPDFKTFYYTQTLDHFNYAPQSYATFQQRYIVNSKYWGGAQSNSPIFAWLGAEDSIDYSPKSAGFLTNITPHFKALLVYIEHRFYGESIPFGEGLINDTIRGYFNSAQALADYAEVLLYIKNSLQAHDSPIIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDNITAEDAYYSIVSKDFREVSDTCYQTIKQSWSIIDTIASQPNGLSILSRKFNFCQNLNSALELKNYLDRMYCAAAQYDMPATQPVRVVCGGIDGAPKGADILDRIQASVVAIKGNKPCYTVSAIEGNKSFYTVSAGGDDGWSWQTCSELVFPIAKGTDSMFDPAPFNLEQYSQSCISAFGVPPRPHWVTTYFGGQLKYPHIVLGALASSAPILGALASSAPILYFDNITLQNRYYSIVTKDFRDVSESCYQTIRKSWSIINKIASKPNDLYILSRKFKLCQDLNLSRDLKDYLDLRYSVAAQYDTPSKYPVTEVCGGIDGAPKGTHILDRFFARFFASEGNQSCYSIPTGNAIWGWSWQVSIYHKQSLTLIFGED >itb12g18910.t2 pep chromosome:ASM357664v1:12:21164927:21169985:-1 gene:itb12g18910 transcript:itb12g18910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLNDLSLFYVLAVDELMRREEQASNCNVLDTEDGPVYDEEAVAMVEETPSRIGIMINHPVAEATEGNDPSLNTNSSTVLHVKTIHISSPILAAKSPFFYKLFSNGMSESDQRHITLRIHASEEAALMDLLSFMYSNTLSTATPSGLLDVLMAADKFEVASCMRYCSRVLQALPMTCESALLYLELPSSVLMAAAVQSLTDAAKKFLAGRFKDITKHQEEVMNLPLPGIEAVLSSDSLQITSEDAVYDFLLKWARIHHPNLVDRREILSSRIYRLIRFPFMSCRKLKKVLNCTEFYPDLISKLVLEALFFKASYRQRSLAVDEANVTYHRFLERAYKYRPVKVLEFQSPCQQCIVYLDLKREECSNLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGSVSFAVEYEFAARTKPGEEYLGKYKGNYRFTGGKAVGYRNLFSIPWPAFIADDSIYFINGILHLRAELTIRPNDQLGD >itb12g18910.t4 pep chromosome:ASM357664v1:12:21164980:21168284:-1 gene:itb12g18910 transcript:itb12g18910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDQRHITLRIHASEEAALMDLLSFMYSNTLSTATPSGLLDVLMAADKFEVASCMRYCSRVLQALPMTCESALLYLELPSSVLMAAAVQSLTDAAKKFLAGRFKDITKHQEEVMNLPLPGIEAVLSSDSLQITSEDAVYDFLLKWARIHHPNLVDRREILSSRIYRLIRFPFMSCRKLKKVLNCTEFYPDLISKLVLEALFFKASYRQRSLAVDEANVTYHRFLERAYKYRPVKVLEFQSPCQQCIVYLDLKREECSNLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGSVSFAVEYEFAARTKPGEEYLGKYKGNYRFTGGKAVGYRNLFSIPWPAFIADDSIYFINGILHLRAELTIRPNDQLGD >itb12g18910.t3 pep chromosome:ASM357664v1:12:21165020:21169985:-1 gene:itb12g18910 transcript:itb12g18910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSFMYSNTLSTATPSGLLDVLMAADKFEVASCMRYCSRVLQALPMTCESALLYLELPSSVLMAAAVQSLTDAAKKFLAGRFKDITKHQEEVMNLPLPGIEAVLSSDSLQITSEDAVYDFLLKWARIHHPNLVDRREILSSRIYRLIRFPFMSCRKLKKVLNCTEFYPDLISKLVLEALFFKASYRQRSLAVDEANVTYHRFLERAYKYRPVKVLEFQSPCQQCIVYLDLKREECSNLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGSVSFAVEYEFAARTKPGEEYLGKYKGNYRFTGGKAVGYRNLFSIPWPAFIADDSIYFINGILHLRAELTIRPNDQLGD >itb12g18910.t1 pep chromosome:ASM357664v1:12:21164927:21171347:-1 gene:itb12g18910 transcript:itb12g18910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNSELFDQRTIMDFDFSPSSHRGSASDFNFAFAFNDSNFSDRTLRLEILPDLPDSKSGGESFSSVVDWARKRKRRREEINKDNPVDELMRREEQASNCNVLDTEDGPVYDEEAVAMVEETPSRIGIMINHPVAEATEGNDPSLNTNSSTVLHVKTIHISSPILAAKSPFFYKLFSNGMSESDQRHITLRIHASEEAALMDLLSFMYSNTLSTATPSGLLDVLMAADKFEVASCMRYCSRVLQALPMTCESALLYLELPSSVLMAAAVQSLTDAAKKFLAGRFKDITKHQEEVMNLPLPGIEAVLSSDSLQITSEDAVYDFLLKWARIHHPNLVDRREILSSRIYRLIRFPFMSCRKLKKVLNCTEFYPDLISKLVLEALFFKASYRQRSLAVDEANVTYHRFLERAYKYRPVKVLEFQSPCQQCIVYLDLKREECSNLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQNSFHCFGLFLGMQEKGSVSFAVEYEFAARTKPGEEYLGKYKGNYRFTGGKAVGYRNLFSIPWPAFIADDSIYFINGILHLRAELTIRPNDQLGD >itb01g09010.t1 pep chromosome:ASM357664v1:1:7272889:7275934:-1 gene:itb01g09010 transcript:itb01g09010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSTAPPSSSKSRWNQLSVYASRIFFVLIIFQIPLFRVPCRSGMCTTPMQVTSSQLIASDIFPVPVVKALLYPGATTNSLIKNMTVPSWENLLNIYNLTNIKEASAATDLQRLEVLAGSYFSVAGALIGLIKAGRMSMFGTLLIIWGLVKEGILNKQANPDPTRAVFVYPTMLIALVCAFLSVKYDVKRVMRGTPARPIAKPLQRSSKNKLN >itb02g00580.t1 pep chromosome:ASM357664v1:2:370917:371492:1 gene:itb02g00580 transcript:itb02g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDDFSGRRMRKCYSDNSGNRCSPDDEQPPASAAAKPTKNRRRPLQKRSLSCSNRLHHLFSPKDINAIILSSEDARLQCSTIIIALLVVMSCVSVPHGMTKSNNVIASRPLYIIILTDVTIVVARLLILGKPEEPDTAAGALNEDDDEEYNWSQAFAMLEIGLVLYQTIRALFMDCSFYLVVVLCGLSLL >itb05g09040.t2 pep chromosome:ASM357664v1:5:12928914:12931931:-1 gene:itb05g09040 transcript:itb05g09040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLPGHFRVCSAFKLSCIDCGEVFSQQTVESHTQCISEAEKYGPKGQGKTPNGKNAKPNNETKQKPDVDINVGLSDRPPWFCSLCNTKATSKQTLLLHADGKKHRAKARAFQAANQQPGKNEATNPDATHSTDNNPKEDAPEAKANEEPKELNPSEAENEKLQSNKKRKVEVSDNGAVEQRVGGETSVDLGNGEVIQVVRENHVKRAKTIPGEEDIASGKKIKWKKLITSALKSVCPSCIFSAFALT >itb05g09040.t1 pep chromosome:ASM357664v1:5:12928914:12931959:-1 gene:itb05g09040 transcript:itb05g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLPGHFRVCSAFKLSCIDCGEVFSQQTVESHTQCISEAEKYGPKGQGKTPNGKNAKPNNETKQKPDVDINVGLSDRPPWFCSLCNTKATSKQTLLLHADGKKHRAKARAFQAANQQPGKNEATNPDATHSTDNNPKEDAPEAKANEEPKELNPSEAENEKLQSNKKRKVEVSDNGAVEQRVGGETSVDLGNGEVIQVVRENHVKRAKTIPGEEDIASGKKIKWKKLITSALKSNPDGTLKFKKLEKAVLKSLRESGFTDDESQVKEVLEKKINKSSRFAVEGKRIRLVAKS >itb12g23520.t1 pep chromosome:ASM357664v1:12:25196035:25198169:-1 gene:itb12g23520 transcript:itb12g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNSTRMGGEYDTVWECVIPYIQESRDRDAVSLVCRRWYEIDAVTRKHVTMALCYTATPQQLSRRFPHIRSLKLKGKPRAAMFSLIPENWGGYVTPWLEEIARSFPQLDTLHFRRMIVTDSDLEMLARTRSNLLQVLKLDKCSGFSTDGLLQICRSCRNLRTLVLEESAVTDNDGDWLHELALNNTVLENLNFYMTELFKIRAEDIELVAKNCPALVSMKISDCDVSQLFGFFRTATKLEEFAGGSFCISENENNEQLRRYSAVSFPPKLCSLGLTFLGIHEMPIVFPVASLLRKLDLLYACLDTEGHCVLLQKCINLEVLETRNVVGDLGLEVVAWSCKRLRRLRIERGDDEQGMEDVEGVVTQRGLIALAQGCIELEYLAVYVTDITNEALVYIGKYLKNLCDFRLVLLAQEEIITDLPLDNGVRSLLKGCHNLIRFALYLRPGGLTDMGLGYIGQYSANIRWMLLGCVGESDDGLLQFSKGCPSLQKLEMRDCSFSERSLAIAAKQLKSLRYLWAQGYRPSPNGWDLLYMARQFWNLELILDTDQSGTTAISEHPAHLLAYYSLAGRRTDSPETVICFDPNALAL >itb06g06690.t2 pep chromosome:ASM357664v1:6:9284871:9295062:-1 gene:itb06g06690 transcript:itb06g06690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MVALTATATRKVQDDLMEMLHIPRCIKFVSTVNRPNLFYMVREKSSIGKAVIDEIAEYIQTSYPNNESGIVYCFSRKECEQVARELHQRGISADYYHADMDVYAREKVHLRWSSGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYFRPADVPRQSKRECRRSAFFRHFAEALQDCNGMCDNCAFSCEVKELDVSGHAKLIVSFVQEVQETDQRVTMLQLVEKVKGLLNGIVPELKRDELEQVVIQLIVDHVLGEEYDILMYTVTSYVPTPPVLQVYSRRPCHNAPIPGLPLVTPPDSVLGSPPVTPPDSVLGLLTRC >itb06g06690.t1 pep chromosome:ASM357664v1:6:9284871:9295062:-1 gene:itb06g06690 transcript:itb06g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MVALTATATRKVQDDLMEMLHIPRCIKFVSTVNRPNLFYMVREKSSIGKAVIDEIAEYIQTSYPNNESGIVYCFSRKECEQVARELHQRGISADYYHADMDVYAREKVHLRWSSGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYFRPADVPRQSSMVFYENSGLKNLYSTVRYCQSKRECRRSAFFRHFAEALQDCNGMCDNCAFSCEVKELDVSGHAKLIVSFVQEVQETDQRVTMLQLVEKVKGLLNGIVPELKRDELEQVVIQLIVDHVLGEEYDILMYTVTSYVPTPPVLQVYSRRPCHNAPIPGLPLVTPPDSVLGSPPVTPPDSVLGLLTRC >itb03g11590.t1 pep chromosome:ASM357664v1:3:9857823:9862221:-1 gene:itb03g11590 transcript:itb03g11590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAALPNLELLVIGVHVIAEDGYEPHPGDDNALLRVLLPLGGGGGENDAETPGSGLTVTLEGKCDIVFRSGSSGDGRSAVELSACIVVASGGEIFDVNEANFSPCSAVCSTGNLSLHLCFAIHSLTPSALTAAILQSAGRRTTSIGS >itb12g16510.t1 pep chromosome:ASM357664v1:12:17760443:17760715:1 gene:itb12g16510 transcript:itb12g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLALNTTKEITHCIWKSLDGVRSQQPHRCLVLLPPGLAASSHRPSPPHHAAPSRLIRHRLLKSSRRRAVWETAERRTEWRMGWAAASW >itb02g03350.t1 pep chromosome:ASM357664v1:2:1926561:1928705:-1 gene:itb02g03350 transcript:itb02g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARALTTKEADIQMMLAAEVQLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPKDIIVQSARPYGQRAVLKFAQYTGAQAIAGRHTPGTFTNQLQTSYSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMHYVDIGIPANNKGKHSIGVLFWLLGRMVLQMRGAIAPGHKWDIMVDLFFYREPEEAKDQQEEDVPAIQDYPDYGAAAIGGGEWTSSQIPDVQWTAEPVPAAPVAGGWAGEEVPAPIVDGGWDAAAPPPVVPGQGAVDVPPTGWE >itb05g02180.t2 pep chromosome:ASM357664v1:5:1709388:1712939:-1 gene:itb05g02180 transcript:itb05g02180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEECAAAAETKKIINPRAEIDTSPPFESVKEAVDRFGGRGPWIPHHLLRLAPPCHDPEALDLSKMEEQAVKFERDLIVKEQEALNVLKEVEAAKRFVEGLKVNLMEEVSVFMSSPGFSSECKCPNAKLTDGLSVCPVQSPGYVLMELNQAKLDLNKMTVDLAVIRSSVESLNKRVKDERVLLDKSGERKCLERGNDGSRPNPVGIASLEKKMDASNELHELHFESEQFKKMAEASRYEVMKAMSEIERTKASIKMAEMRLTAAKKMEEAAKAVEAIAFAERKALLNAKASFEVSPAKPAADGITLPYEEYYALAQKAQQVEDLCKTKFVDSKTEVITSRKKLDERLNKKCLEEAVDTSEHGLLAKFRNSNPSLSQGNHRLLDENETDAAKHKPLPVFRSSISIGDVLSRKLILRDDIVVGKHVESHTERKHVSFSQMLREQSGIILNPPVNSTKDGSLRKQFITQRKKFGFIQVPLTKQNKKKAQPVNPV >itb05g02180.t1 pep chromosome:ASM357664v1:5:1709388:1712939:-1 gene:itb05g02180 transcript:itb05g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEECAAAAETKKIINPRAEIDTSPPFESVKEAVDRFGGRGPWIPHHLLRLAPPCQHDPEALDLSKMEEQAVKFERDLIVKEQEALNVLKEVEAAKRFVEGLKVNLMEEVSVFMSSPGFSSECKCPNAKLTDGLSVCPVQSPGYVLMELNQAKLDLNKMTVDLAVIRSSVESLNKRVKDERVLLDKSGERKCLERGNDGSRPNPVGIASLEKKMDASNELHELHFESEQFKKMAEASRYEVMKAMSEIERTKASIKMAEMRLTAAKKMEEAAKAVEAIAFAERKALLNAKASFEVSPAKPAADGITLPYEEYYALAQKAQQVEDLCKTKFVDSKTEVITSRKKLDERLNKKCLEEAVDTSEHGLLAKFRNSNPSLSQGNHRLLDENETDAAKHKPLPVFRSSISIGDVLSRKLILRDDIVVGKHVESHTERKHVSFSQMLREQSGIILNPPVNSTKDGSLRKQFITQRKKFGFIQVPLTKQNKKKAQPVNPV >itb15g22750.t1 pep chromosome:ASM357664v1:15:25513529:25517659:1 gene:itb15g22750 transcript:itb15g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEISINPEPIQSFFSKLETRKALLSTIADIHKTLTSHFSAVDRALSRKSETLDARIRTLRQNTNNALTDLRNREDGFPEREISMAARVEVLKAVAIAEIESPDTAGKLKEKSLPEMMRFYCRRMDASGLMKFLFQNRRDSAALRSEIPDAVKESVDAMRLVLDAAEDYVRMKVEGKSGMADRRRACGMLIQSVVPIKEGGGVVAKALKEKAAGVLEKWKGVLRSGGERCGGIRPNEASMFLQLVVGFGLKQQFEEDFLRKLIVQFASRRDMPRLTIALGFGNKMADIIDQLVKSGKELEAIYFASEAGLAEQYPPVSLLKSALRTCKRNAKDTSKRGNFSGAAVERANKLELDATRAIMQCVEDLKLETQFPLDTLKKRVEQLENVKSGKRKSSSSVSASKPPSKKRALGGGGGGGERGGGGGGDGGRRSAPSSSRPPKSGRFSSASASAYPRNPPRAHLAPPHLSRYSGPYGYPNHHQPIYEGHPPPSAYGPLYNEMRGQSHAIYSQQYAYSTPEATTGPPRGATHYPEAAQAPGTYEQQYGYSAPDATTGALHTGPHYVAQAQINYGGSPAAPSQQQQYMYSPKDAPGVTNHEATPGSYGGPSDYATYDYSAAAAAAGATATAYPPSSYQTQ >itb09g03820.t2 pep chromosome:ASM357664v1:9:2095708:2096580:-1 gene:itb09g03820 transcript:itb09g03820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPIMEKVLNMTLKMERKLKNSINIKGSDLVQANAVQNGQMHYTDEQYTVAASVPYNKKKFNTGYKSKGRQNQETQPNPNNYPSVNQISDVGFSAEQLQRLMSLLQGQNQGNQASTSAAVTVTNSGLKSDFRNSIENNHDEGRGNLG >itb09g03820.t1 pep chromosome:ASM357664v1:9:2090652:2096580:-1 gene:itb09g03820 transcript:itb09g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPIMEKVLNMTLKMERKLKNSINIKGSDLVQANAVQNGQMHYTDEQYTVAASVPYNKKKFNTGYKSKGRQNQETQPNPNNYPSVNQISDVGFSAEQLQRLMSLLQGQNQGNQASTSAAVTVTNSGLKSDFRNSIENNHDEGSERDGLYMITKPPAKKRIHSSSLSLNSVWSGHDNVSPEIFNLTLPLIPIETEVGPWEPTRQQTRHTDQYHNSPSIETTSINDHLSEPLADQTLHEDSPPEAHVEQPQSLNQLPVDHTHQQQPRRSTRTRVMPHRLQDYLCHSTKLEGGQSSWDIGKGRSQHEATKYGFSLIKGKANHPMEDYHVAKFVQIERNELGLFAVYDGHLGESVAAYLQNHLFPNILKEEDFWTHPHRSILKAYEKTDQAILSHSPDIGIGGSTAVTAILVNGQKLWVANVGDSRAVLSRKGVAVQMSVDHEPNAERESIEDRGGFVTNIPGDVARVNAQLAVSRAFGDKNLKSFLRSDPDVTTVDIDGNTDLLIIASDGLWKVMSNQEAVDIAKKAKNPQKAAKKLAMEALNRESKDDISCIVVRFKE >itb06g06230.t1 pep chromosome:ASM357664v1:6:8901725:8906191:1 gene:itb06g06230 transcript:itb06g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] MDNKKVVVCDNGTGYVKCGFANENFPTSVFPCVVGRPMLRYEESLMEQDIKDVVVGDACLKLRHQLDISYPVNNGIVQNWDDMGHVWDHAFFNELKVDPTECKILLTDPPLNPSKNREKMVETMFEKYNFSGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLFRRGYAMNRSADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFHCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRVEDPPRRKHMVYLGGAVLAGIMKDAPEFWISRQDYMEEGVACLSKCGQA >itb15g09040.t2 pep chromosome:ASM357664v1:15:6415442:6417780:1 gene:itb15g09040 transcript:itb15g09040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMRSSDFTLPILLLAMEVSSDMGGSLRKRARPMSRSDGHGVSRAEAAPVHRYSLGIHDFLVATFLFLAAFLLRPVAQLEGIPIVGVRFGKNIPWVTQFLFGVIKDPQYVGSILSLGAR >itb15g09040.t1 pep chromosome:ASM357664v1:15:6415442:6417780:1 gene:itb15g09040 transcript:itb15g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMRSSDFTLPILLLAMEVSSDMGGSLRKRARPMSRSDGHGVSRAEAAPVHRYSLGIHDFLVATFLFLAAFLLRPVAQLEGIPIVGVRFGKNIPWVTQFLFGVIKDPQYVGSILSLGAR >itb14g03250.t1 pep chromosome:ASM357664v1:14:2871481:2873108:-1 gene:itb14g03250 transcript:itb14g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYSASRRTAPDPTMPPVLVDPRADAWEHQELEKVKLRFEKLNNIIAEWEADKKNKAKQQYERIEAELEEKRARALQHYKEEIARIESISGEAKAQEEDNMKREEQKVKEKANQLRYTGRLPEQTCFIC >itb15g04790.t1 pep chromosome:ASM357664v1:15:3080214:3084980:-1 gene:itb15g04790 transcript:itb15g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYRTHRPKPKSRSPILILTSAIAAIALFFLFSSLLSTNGFFLSAPKTIGDLIVHSRIRPKKLPDKFLYWGSRIDCPGKHCDSCAGLGHQESSLRCALEEALFLQRTLVMPSRMCINPIHNKKGILHQSEGSSLDEGWAASSCAMDSLYDVDLISDTVPVILDNSKMWHQVLETSMKLGSRGVAHVKDVSRTELKENSPYSDILLINRTASPLAWFMECKDRTNRSAVLLPFSFLPSMATKKLRDAAEKIKALIGDYDAIHVRRGDKIKTRKDRFGVDRSLHPHLDRDTRPEFMLCRIAKWVPPGQTLFIASNERTPGFFSPLAVRYKLAYASNYSHILDPLIENNYQLFMVERLIMMGAKTFIRTFKEDDTDLALTDDPKKNTKTWQIPVNTTDANNC >itb05g11570.t1 pep chromosome:ASM357664v1:5:17686534:17694593:1 gene:itb05g11570 transcript:itb05g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLARTLHLAFGIFANGTAFFIFLAPIVTFKRIIKKRSTEEFSGLPYVMTLLNCLLGAWYGLPFVSPNNILLTTIDGIGMVIELAFVVIFLVFAPKNEKKKIGALLILVVGVFVAVALLSVLVFHGRTRQLFCGFASLISTAIMFFSPLSVIRVVMKTKSVEFMPFLLTFSMFLCGTAWLIFGLLEMDPFVYVPNAAGCGVGVLQLILYAIYSDKTILMTIKRSFFQGMLQINAIPQQEKKQPSVSSTKYDEPV >itb06g09940.t1 pep chromosome:ASM357664v1:6:14180489:14182964:-1 gene:itb06g09940 transcript:itb06g09940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELRLAPPNNFVDKGFDLNDDNAFEENEVMVVKKRRVGEAFVKHHDVERKTSSLVLLNGQPNDDDDHGNVREEGETVSWAHVSSWRQKFVNELQAGVRNGSINNGGRNSKFVKVKMVGVGIGRKVDLRLYNSYHHLKNDLVNMFAKDIACDNGGEYGIFYQDKVGDWLLAGGVPWQTFMESVQRIEIVRNGWDLK >itb06g12530.t3 pep chromosome:ASM357664v1:6:17161419:17166943:1 gene:itb06g12530 transcript:itb06g12530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSMASLLLPVPKVTLCTSQHSNCCILRNHVQQPRSSHALHPIKPQPSDGINFKKFMFFSPLVYHHKPKPVAALDSDIPGSIDQDLKSSDNFKQWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKSALFAVPWLGMFTGLLGNLSLLSYFIKKREKEVAGVQTLGVISTYVVILQLAMAGAMPFPQFVVTSFVVACGLVINFMNYFYLLNPGLWRYWEDFITIAGLSALPQVMWSTFLPYVPNTILPGAISFVMAVTAVLMSRMGKLSEQGVKFIASLSGWTATLLFMWMPVAQMWTNILNPDNIKGLSAVSMLLAMIGNGLMIPRALLTRDLMWFTGSSWACIFYGWGNLVCLYSFKVISKEFFLAATVGFVAWIVMAFWKDTQVYGYRSPLTSLKKLVSGSK >itb06g12530.t2 pep chromosome:ASM357664v1:6:17160241:17166940:1 gene:itb06g12530 transcript:itb06g12530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSMASLLLPVPKVTLCTSQHSNCCILRNHVQQPRSSHALHPIKPQPSDGINFKKFMFFSPLVYHHKPKPVAALDSDIPGSIDQDLKSSDNFKQWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKSALFAVPWLGMFTGLLGNLSLLSYFIKKREKEVAGVQTLGVISTYVVILQLAMAGAMPFPQFVVTSFVVACGLVINFMNYFYLLNPGLWRYWEDFITIAGLSALPQVMWSTFLPYVPNTILPGAISFVMAVTAVLMSRMGKLSEQGVKFIASLSGWTATLLFMWMPVAQMWTNILNPDNIKGLSAVSMLLAMIGNGLMIPRALLTRDLMWFTGSSWACIFYGWGNLVCLYSFKVISKEFFLAATVGFVAWIVMAFWKDTQVYGYRSPLTSLKKLVSGSK >itb06g12530.t1 pep chromosome:ASM357664v1:6:17160337:17166943:1 gene:itb06g12530 transcript:itb06g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSMASLLLPVPKVTLCTSQHSNCCILRNHVQQPRSSHALHPIKPQPSDGINFKKFMFFSPLVYHHKPKPVAALDSDIPGSIDQDLKSSDNFKQWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKSALFAVPWLGMFTGLLGNLSLLSYFIKKREKEVAGVQTLGVISTYVVILQLAMAGAMPFPQFVVTSFVVACGLVINFMNYFYLLNPGLWRYWEDFITIAGLSALPQVMWSTFLPYVPNTILPGAISFVMAVTAVLMSRMGKLSEQGVKFIASLSGWTATLLFMWMPVAQMWTNILNPDNIKGLSAVSMLLAMIGNGLMIPRALLTRDLMWFTGSSWACIFYGWGNLVCLYSFKVISKEFFLAATVGFVAWIVMAFWKDTQVYGYRSPLTSLKKLVSGSK >itb11g10220.t1 pep chromosome:ASM357664v1:11:6947852:6951849:-1 gene:itb11g10220 transcript:itb11g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSSRVEDLSGHEACEKVTVNVPPEDGVTPAAPSEVHKVCLPPHKTTWEKLSQRLGEIFFPDDPFHRFRNQTPARRFLLGLQFFFPIFEWAPNYTLKLLRSDIIAGLTIASLSIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSRNLSVGPVSIASLVMGTTLSEVVHHEKNPTLYIKLAFTATFVAGIFQASLGVFRLGFLIDFLSKATLLGFMAGAAVIVSLQQLKGLLGIVHFTNQMQIIPVLTSVFHHKDEWSWQTVVMGVSFLIVLLTARQIGIWKPKLFWVSAAAPLLSVILSTAIVSLFKEKANMFQTIGQLPKGINPPSINMLYFHGPYVSHAIKTGIIAGVLSLTEGIAVGRTFATLNNYQIDGNKEMMAIGLMNMAGCCASCYVTTGSFSRSAVNNNAGGQTAVSNIVMATAVLITLLFLMPLFYYTPNVILAAIIITAVIGLIDYKAALQLWKVDKLDFFACMCSFFGVLFISVPIGLGIAVSVSILKILLHVTRPNTHVLGNIPGTHVYESIGRYRTATRIPTFLVLSVESPIYFANSTYIQERVLRWIREEEERITANKESAIKCVILDMTAVTAIDTSGIDTIHELRKALERKSLKLVLTSPVGSVAEKMHKSGTLEAFESNAFYMTVAEAVSDISTSYIPEP >itb11g10220.t2 pep chromosome:ASM357664v1:11:6947776:6951849:-1 gene:itb11g10220 transcript:itb11g10220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSSRVEDLSGHEACEKVTVNVPPEDGVTPAAPSEVHKVCLPPHKTTWEKLSQRLGEIFFPDDPFHRFRNQTPARRFLLGLQFFFPIFEWAPNYTLKLLRSDIIAGLTIASLSIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSRNLSVGPVSIASLVMGTTLSEVVHHEKNPTLYIKLAFTATFVAGIFQASLGVFRLGFLIDFLSKATLLGFMAGAAVIVSLQQLKGLLGIVHFTNQMQIIPVLTSVFHHKDEWSWQTVVMGVSFLIVLLTARQIGIWKPKLFWVSAAAPLLSVILSTAIVSLFKEKANMFQTIGQLPKGINPPSINMLYFHGPYVSHAIKTGIIAGVLSLTEGIAVGRTFATLNNYQIDGNKEMMAIGLMNMAGCCASCYVTTGSFSRSAVNNNAGGQTAVSNIVMATAVLITLLFLMPLFYYTPNVILAAIIITAVIGLIDYKAALQLWKVDKLDFFACMCSFFGVLFISVPIGLGIAVSVSILKILLHVTRPNTHVLGNIPGTHVYESIGRYRTATRIPTFLVLSVESPIYFANSTYIQER >itb08g04960.t1 pep chromosome:ASM357664v1:8:4057747:4060471:-1 gene:itb08g04960 transcript:itb08g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYYIAPLLSVLLIVLIRMLFSGHGKNPPPTPLALPIVGHLYLIKNSLHQSLASLSAKHGPVLHLRFGRRSFLVVSSPSAVEQCFTKNDIVFANRPRTMAGDNFSFNYKALVWAPYGDLWRTQRRLAAVELLSAASLQRSSIIREDEIRTVIRSLFRRTKIGRISAVDFSSLAGAFTFNAMMRIISGERFVEEEEMGGEKGREIIDGLRELFFASILGMNACDFFPVLRWFGYGGLEKKMAAVSDRRIELVSRLLDEFRRKNVGFSDSDGDEKTTVIGTLLRLQKSEPEFYTDDVVMSIILTMFVAGTETSLATIEWAMSLLLSQPEVLGKLRNEIDNNVGHERLLNESDLSKLPYLRCIVNETLRLYPPVPLLIPHYSLQDCVVAGFDVPKHTILVVNTWAMHRDPKVWEEPREFKPERFEAMIGEGEGLNYKFVPFGMGRRACPGNNMGLRTVSLALGAFVQCYEWENIGEDKMSAARLSREALQRAERVEVVCTLRKNCHQFLVQL >itb03g15060.t1 pep chromosome:ASM357664v1:3:14520456:14521655:1 gene:itb03g15060 transcript:itb03g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALQLHQRGSAAAAAGVGSVYKQAAQAARWMIPVSISVPEHVLQYHTHAVGAGQCCAAVVQEVAAPLEAVWRLVRRFDKPQAYKHFLKSCHVIVGDGDVGTLREVRVVSGLPAASSTERLEILDDEKHVLSFAVVGGDHRLNNYRSVTTLHPHHRNNTTVVVESYVVDVPPGNTNDETCVFVDTIVRCNLQSLAQIAENSNNSPNQELKSHTTNTVIDVR >itb04g23690.t1 pep chromosome:ASM357664v1:4:28650890:28653311:-1 gene:itb04g23690 transcript:itb04g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSGGRAISITHPTLNLCYPTLESFVWNTLIRAHVQPTNPSLTPLSIFLRMRSHGVKPDSHTFPFLLQSFTSPGYFHSGRSIHSLIIRLGLSDNLFVQTSLVNMYSCCGHLAFSQQAFDEIPQPDLPSWNSMLNANVKAGMVVSARNLFDRMPNRNAVSWSCMMEGYVKTGQYKNALMLFRKMQTLDDEVRPNEFTMSVLLSACGKLGALEHGKWAHLYIEKYGMRIGDVLGTSLIDMYAKCGSIERARLVFEDMGPTKDVKTWTAMISGLAMHAHKEECLSLFSKMIGSGIRPNGVTFISVLCTCVHAGLVSQGKLIFEMMQKEFGIAPSVQHYGCMVDLYARTGLIDEAWELVKSMPIQSDVLVWGALLSGARMCGDIETCEAALNKILELEPTNSGAHVLLSNVYAKMGRWKDSRRVRGLMEAKGIKKVPGCSLVEVDGIVHEFYVGDKSRQDTRQIYMMLDEILSRIRMEGYVSNTKEVLLDLDEEGKELVLSRHSEKLAIAFAIMTTRPGVPIRIVKNIRICSDCHAAIKMISRVYAREITVRDCNRQASGSCFDGDTSGGFASELQELESWAPPYRLLIVQKACRCWVEVVLDVLEEGDNAGSVAVAAADPTLLTPSSSTRER >itb06g03790.t1 pep chromosome:ASM357664v1:6:6227219:6229489:-1 gene:itb06g03790 transcript:itb06g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNHQQLLHFVLFPFMAQGHMIPMIDIARLLAQRGIRITIFLTPHNASRVEAAIARAQQSGLLIQVIHLVFPCVEAGLPEGCENFDLLPSIDQAVNFFVAIKMLQPRVEELLRELKPSPSCIVSDMCFPWTTNVAQSLNIPRIVFHGMGSFSLLCLHKLGSWSDLESMESESQYFEVPGLPDKIQLTKAQLKYILDPMSQEFQEFHKQMKDAEDNAYGVVVNSFEELEPEYIKQLRKEKGKPVWTIGPVSLYNKVESDKAERGNKASIDKNQCLKWLDSKETTSVLFVCLGSLSHLPTPQMIELGLALESSNRPFVWVIRHMSDEFQNWLQHEKYEERVKGQGLIIYGWAPQVLILSHPSVAGFLTHCGWNSTLEGIASGLPLITWPLFAEQFLNERLVVNVLKTGVKAGVEFPVMFGMDEETGAQVNRDEVALAIEKALGGGEEAEMRRRRAKTIGEMAKRAVEEGGSSHLNIAKLIQDIAEESNTMKPV >itb13g02150.t1 pep chromosome:ASM357664v1:13:1981548:1984398:-1 gene:itb13g02150 transcript:itb13g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MARTSSLDQGSTPSLFSPYKMGRFNLSHRVVLAPCTRCRAINGIPQPALAEYYSQRATQGGFLITEGTMIDPTSAGFPHVPGIFTKEQVQAWKKVVDAAHAKGAIIFCQLWHVGRASHEVYQPGGSAPISSTDKPISKRWRILMPDGSHGIYPKPRAVGVDDIANVVDYYRIAAINAIEAGFDGVEIHGAHGYLIDQFLKDGINDRKDEYGGSLANRCKFIMQVVQAVATAIGADRLGVRISPAIDHLDATDSSPLSLGLAVVERLNNLQVDIRTKLAYLHVTQPRYVAYGQTESGKQGSEEEEARLMLTLRNTYQGTFICSGGYTRQLGIEAIAQGDADLVSYGRLFIANPDLVLRFKLDAPLNKYNRKTFYIQDPVVGYTDYPFLNKESRSNLPFSRL >itb12g21140.t2 pep chromosome:ASM357664v1:12:23528278:23533793:-1 gene:itb12g21140 transcript:itb12g21140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERHSSGTFASPEDNTLFLDILHEAPLFGHRKPTRIVGSILYCFLLAGFASLAIGATWIFHPIKELVSPLLCSFNVILLFATGIFQQYLVYQVKKIRLQGYYIFSQKLKHIIRLPFATIAYGTAAMLLVMVWKPHISFLSTSTILRIILLVEVVCASSFISAYIGYVYQYNSLDSQPDVLKSLYSPLQPSSSLEGLRYHDGGRLSDQQMALLQYQQENIHFLNEEILRLQECLSKYEGSNDGNAPQVDLAHLLATRDQELRTVSAEMNQMQSELILARSLIAEKDAEIQRVRSTNNQYVEENERLRAILGEWSSRAAKVSTLFFSKYLSIPFPQLDINNCFRWIKA >itb12g21140.t1 pep chromosome:ASM357664v1:12:23527893:23533807:-1 gene:itb12g21140 transcript:itb12g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERHSSGTFASPEDNTLFLDILHEAPLFGHRKPTRIVGSILYCFLLAGFASLAIGATWIFHPIKELVSPLLCSFNVILLFATGIFQQYLVYQVKKIRLQGYYIFSQKLKHIIRLPFATIAYGTAAMLLVMVWKPHISFLSTSTILRIILLVEVVCASSFISAYIGYVYQYNSLDSQPDVLKSLYSPLQPSSSLEGLRYHDGGRLSDQQMALLQYQQENIHFLNEEILRLQECLSKYEGSNDGNAPQVDLAHLLATRDQELRTVSAEMNQMQSELILARSLIAEKDAEIQRVRSTNNQYVEENERLRAILGEWSSRAAKLERALEAERMSNFEMQKKVTTLRSQSPNT >itb01g30240.t1 pep chromosome:ASM357664v1:1:34315562:34316999:1 gene:itb01g30240 transcript:itb01g30240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLLLQVLVAIGSFLILTTIAAFVLVLCRISAKRRYQRRLSSASVPESVTFDPTDLNRIEMGELIIATKDFSPELIIGDGSFGLVYKAKLSSGLQVAVKKLSADAFQGFREFQAEMDTLGKIRHPNIVKMFGYCSTGLDRVLIYELVKNGSLDQWLYYTSGADVADTIQWEPLNWETRIKIVKGVAKGLAYMHSLDTPIIHRDIKASNILLDENFEAHIADFGLARRIEGSLAHVSTQVAGTMGYMPPEYINGATRATAKGDIYSFGVLMLEIITGRRPNFPFTDENGHETRLVEWASNMVSQNRYNEIIDSSLYKHDIKENDLTHYFNIAIMCSSEAPKARPAMNDVIMMLNQLST >itb04g13440.t1 pep chromosome:ASM357664v1:4:13513882:13517942:1 gene:itb04g13440 transcript:itb04g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSLGSIRNGSVEPQSSLKFRAKNKSPFMMRALGGLGCKGHSSSAASAPAVIRSAAEWDPANQGRRDKKNNNRKKKKKKTSSAALGNGIRNPAANVVVDVPDVCCAPPGIGSVSDVLPRPRNNTQRPNHRQHSRLHRRAATQEESQETSALNLSPSSDAISNRNQTFSTRNYPVFHHHSPGGGISEIVILRQNILYARNVDRYDQYGDWRLDVDHMGYEELLELGDRIGYVGTGLGEERILQFLKKVKHSNPEAIPLLNSNYDKDWKCSICQEGYKRGDEVGRLECGHYYHIHCIKQWLLNKNVCPLCNNSVVDDN >itb04g13440.t2 pep chromosome:ASM357664v1:4:13513882:13516827:1 gene:itb04g13440 transcript:itb04g13440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSLGSIRNGSVEPQSSLKFRAKNKSPFMMRALGGLGCKGHSSSAASAPAVIRSAAEWDPANQGRRDKKNNNRKKKKKKTSSAALGNGIRNPAANVVVDVPDVCCAPPGIGSVSDVLPRPRNNTQRPNHRQHSRLHRRAATQEESQETSALNLSPSSDAISNRNQTFSTRNYPVFHHHSPGGGISEIVILRQNILYARNVDRYDQYGDWRLDVDHMGYEELLELGDRIGYVGTGLGEERILQFLKKVKHSNPEAIPLLNSNYDKDWKCSICQVSYI >itb03g14720.t1 pep chromosome:ASM357664v1:3:14324812:14325792:-1 gene:itb03g14720 transcript:itb03g14720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYHRPHRLLLDNRLDLQHKNESTKIRHGYANEEASFDTNMVVILASLLCALICALGLNSILRCAMRFSRRFSFSEDEVAAAGGVKRRRLREIPVAVYGGSGVEISATECPICLGEFVDGEKVRVLPKCRHGFHVRCIDMWLASHESCPTCRRSLVDQPAPSDAEDAAAAISSGGHAAMPAGAGDDAG >itb13g17400.t1 pep chromosome:ASM357664v1:13:24395103:24395902:1 gene:itb13g17400 transcript:itb13g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSLHLRETKFAKTSAAAILRSNANPVSESGQQMLSFSTPNSQTLPLPSYHHQISEAFSRNSDL >itb07g07140.t1 pep chromosome:ASM357664v1:7:5429423:5430551:1 gene:itb07g07140 transcript:itb07g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLSLIIVFLSVVSLSAEKLHWSSQSPSPSPPQFLLNTAEKLSKSGYISMSLTIHLIAGSGIFNAALTSSEVPAGISALTIFSPPDSAFAASGQPSLPHLLLHFAPISLSSTSLHSLPYGSKIPTLSSSDSLYITTSRSAGAPISINGVKLSDESPVFDDGSVTVFEIDDFFPPNFSLPTAGDPSSSLIGYSECKLQPFSQLRDASAALKSRGYMIMASFLDLQLFGFLNPSPLKLTVFAPVDAALISYSRNAAAYQSLLLRHILPCVLPWTELNELGKGAGTVFKDYVSGFTVAVTSSNRLIFVNGVRITFPDMYHSDTIVIHGVREMIPFSDDTESGRAESVNRKIQEFMSQIVVNSEF >itb03g28050.t1 pep chromosome:ASM357664v1:3:28662471:28663415:1 gene:itb03g28050 transcript:itb03g28050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYTIFLAFLFCFLIATPTESKVGEEKVCGKLSQTYTGWCDNGGDCDLRCRTNEGAVSGSCHWHDLGMACFCYFNC >itb09g05400.t1 pep chromosome:ASM357664v1:9:3080684:3084102:-1 gene:itb09g05400 transcript:itb09g05400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKHTLCFFHFLIITIFLVSSATSSSNLFPEIKVQQGQEEPYVGVNIGIDVSNFLSPPDLVSFLQLQKVTHIRLYDADPEILKALSKSKIRVIVSVPNNELLAIGSSNATAAAWVGRNVAAYYPETLITAIAVGDEVLTTVPSSAPLLMSAIESLYSALVAANLHTQIKISTPSAASIILDPFPPSQAFFNQSLTPVLSQLLKFLSRTQSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDSVYFSMKNLNVTDVVVLVTETGWPSKGDSKEPYATIDNADTYNSNMIKHIYDRIGSPLHPEITSSVYIYELFNEDLRSPPLSEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGVDKKTLQAALDWACGPGRANCSQIQPGESCYQPSDVKNHASYAFDSYYQKTGKAVGSCDFKGVAMITTSDPSHGSCKFPGSKKIINTKTSQVVNSTKASGASTIRLTTIHHTRISISNLGLHVLLSVAFCLFYYPFHL >itb09g05400.t2 pep chromosome:ASM357664v1:9:3080950:3084079:-1 gene:itb09g05400 transcript:itb09g05400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKHTLCFFHFLIITIFLVSSATSSSNLFPEIKVQQGQEEPYVGVNIGIDVSNFLSPPDLVSFLQLQKVTHIRLYDADPEILKALSKSKIRVIVSVPNNELLAIGSSNATAAAWVGRNVAAYYPETLITAIAVGDEVLTTVPSSAPLLMSAIESLYSALVAANLHTQIKISTPSAASIILDPFPPSQAFFNQSLTPVLSQLLKFLSRTQSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDSVYFSMKNLNVTDVVVLVTETGWPSKGDSKEPYATIDNADTYNSNMIKHIYDRIGSPLHPEITSSVYIYELFNEDLRSPPLSEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGVDKKTLQAALDWACGPGRANCSQIQPGESCYQPSDVKNHASYAFDSYYQKTGKAVGSCDFKGVAMITTSDPSHGSCKFPGSKKIINTKTSQVVNSTKASGASTIRLTTIHHTRISISNLGLHVLLSVAFCLFYYPFHL >itb09g05400.t3 pep chromosome:ASM357664v1:9:3080684:3084102:-1 gene:itb09g05400 transcript:itb09g05400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKHTLCFFHFLIITIFLVSSATSSSNLFPEIKVQQGQEEPYVGVNIGIDVSNFLSPPDLVSFLQLQKVTHIRLYDADPEILKALSKSKIRVIVSVPNNELLAIGSSNATAAAWVGRNVAAYYPETLITAIAVGDEVLTTVPSSAPLLMSAIESLYSALVAANLHTQIKISTPSAASIILDPFPPSQAFFNQSLTPVLSQLLKFLSRTQSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDSVYFSMKNLNVTDVVVLVTETGWPSKGDSKEPYATIDNADTYNSNMIKHIYDRIGSPLHPEITSSVYIYELFNEDLRSPPLSEANWGLFYGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGVDKKTLQAALDWACGPGRANCSQIQPGESCYQPSDVKNHASYAFDSYYQKTGKAVGSCDFKGVAMITTSDPSHGSCKFPGR >itb03g27860.t1 pep chromosome:ASM357664v1:3:28134699:28143337:1 gene:itb03g27860 transcript:itb03g27860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNWRTAQAQGQAPAGDATAPAPVAANGAVETGDWRAQLQPESRQRIVNKIMETLKRHLPFSGPEGLHELRKIAVRFEEKIYAAAVSQSDYLRKISLKMLSMETKSPTPMANSLQSNNTTSAQNPQLPGTHNVMQNQVNSQGQPLSIPMATNQSQTRQQLVSQNIQNNITSTGLQSSANMVPALPTGSNLAQPSVANVVNQSSGLQNIQGIPNVSQNSVANTMGQGMGSNMVANSQRQVQGRQQQVISQQQQQQQQQQSQSSQQILYQQHLHNQMMKQRSLMQSHMQERQQQQNLLQQNQIQSSQQAVMQPSLQQNQQASVQQPTQSVLQQRSQSVLRQQQCQQAPMIHQQQGSLLQQSLMQNQQQQQQQQLIGQQQSVANIQQNQLIGQQNNLLDMQHQQSRVIAQQNNYSNIQQQQLINQQNNLQNIHQQQLGSQGNVVGLQQQQMPGNQSSSSGLPTNQHPIQMLQHSKVPVQQQMLPNSTILPTQGLHSQAQQTQQQMMSQSQAQPGALQPPLGLQHQTNSLQREMQQRIQASSPLLQQNVIDQQKQLFQQQRTTPEASSTSLDSTAQTGNANGADWQEEAYQKIKSMKDMHFMDLNDLHVKISTKLAQCESLPQQPPTDHINKLRNFKSMVERFLHVLQLNKSEVQLQHKERMFSIEKQILYFLNSNRPRKPVQQGQPQHTQTHDNQINPQMQSVNLQGSMATMQQNSLTTMQHSSLSSVSAVSGSQQNMINTLQHGTGVDLGQGSSLSSLQAVATGSLQQNPVSGPQQINMSSLSSQSGANTLQSNLSTLQPSSNILQHQHPKQHEQQMLHNQQMRHQMQQQQLLHKQQLMQQQQQIKQSQTPLTAHQMQQLHQISDSNDMKMRQQIGIKSGVLPQHQSIGQRVAAQHPHHKSNISSPQLQQALSPQLSQHPSPQIDQQNILASLTKAGTPLQSASSPFVVPSPSTPLAPSPMPGESDKVSAGIQSLPNAGSIGHQQATGASAPGQSLAIGTPGISASPLLAEFSSLDGAHANVSTVSGKSSVEQPLERLIKVASRMSPEAFSSSVSDISSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTRCRLQARNFFTQDGPTGTKKMKRYTTSNVVSSSGSVNDSFRQLNGSETSDLESTATSSAKKPRIEVNHALIEEIKDINRRLIDTVVEISDEGVDPSTRAPAAVGSEGTTIKCSFNAVALSPNLKSQFASALMSPIQPLRLLVPANYPKCSPILLEKFPVEISMEYEDLSIKAKSRFCASLRSLSQPMSLKEMARTWDICARAVISEYAQQSGGGSFSSKYGTWENCLSSA >itb03g10400.t1 pep chromosome:ASM357664v1:3:8202882:8204271:1 gene:itb03g10400 transcript:itb03g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELTSNHARHDTQITQLVGQNPDLQAGHTNFHPAISLPMNGATNPNLHMPMAYTMLPPTSQMPPPTPGALGGNPVQGTPPQGFAHMYQPWFGTLGYYVMRQPPK >itb07g00600.t2 pep chromosome:ASM357664v1:7:393500:396009:1 gene:itb07g00600 transcript:itb07g00600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTTVLAEFSAVTGNAGAVARRIMEKLPEEAESRLCFSQDRYIFHILRSNGLTFLCMANDTFGRRIPFSYLEDVKMRFMKNYGRIASHAPAYAMNDEFSRVLHQQMEFYSSNPSADTFSRVRGEVGELRTIMVDNIEKILERGDRIELLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLMAVLTCLIVLLLYLIIAAFCGGITLKSCRS >itb07g00600.t1 pep chromosome:ASM357664v1:7:393500:396009:1 gene:itb07g00600 transcript:itb07g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTTVLAEFSAVTGNAGAVARRIMEKLPEEAESRLCFSQDRYIFHILRSNGLTFLWRIPFSYLEDVKMRFMKNYGRIASHAPAYAMNDEFSRVLHQQMEFYSSNPSADTFSRVRGEVGELRTIMVDNIEKILERGDRIELLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLMAVLTCLIVLLLYLIIAAFCGGITLKSCRS >itb07g02230.t1 pep chromosome:ASM357664v1:7:1381936:1396072:1 gene:itb07g02230 transcript:itb07g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSLGTNQFTGGIPPSFGNFSSLILLALHQNHLKGNFPHEITQCWGLTHIFLAVNNLSGTFPSAFFNMTFLEIVSMTDNSFEGTIPSYIGDTMPYLRTFYLDINKFHGTIPTSLSNASKLEFLDISQNYFVGKVPDDIGKFKDLWVFNLMNNLLGSTGVLDDLAFISSLSNCSNLGVLLLRRNRFVGKLPNTIANLSSQLTLLDLRNNKLYGPIPIGIKNLASLTGLALGKNHFSGVIPSKIGELQQLQGLSLEQNQFSGEIPHSLYNLTSLAGLDIHSNNLDGNIPSSVGNFWDMNELYLSHNRLNGTIPQQIFELPSLSKYLDLSSNSFTGSLSPAVGKLKALNALDISKNKLSGKIPETIGDCLGIEHLDMHANLFEGKIPPSLISLKSIRYLDISSNKLTGEIPREFQNLHFLQHLNLSFNDLEGEVPTVGVFAVATNVSLLGNKKLCGGVAELKLPPCPVKKTKHKKHNGLSGKLPTNLSTLKKLQSLELNKNQFTGGIPSAFGNFSSLQLLFLEINHLQGSIPREITQCWNLNVLYLGLNNLTGKLSSAFFNMTSIIEFSITDNSLQGTIPASIGDTMPNLEGFFVSGNKFHGTIPISFPNASKLQFLDLSANYFVGKVPGGNGFHGPIPNEFGRLLRLRYLNMSHNALTGELTAANLSNCLQLRKIFLVLNDLHGKLPAELANLKKLQSLSLDGNQLTGGIPPSFGNLSSLQGLGMEYNHLEGNIPEEITQCRGLGLLSLGANNLAGTLSSAFFNMTSIINFSISQNSLQGTIPSYIGDTMPNLEGFYFAANKFHGTIPTSFPNASKLQFLELSRNYFEGKVPDNIGRLNDLYRLNLGYNFLGSYDPLNDLAFITSLSNCSNLHAFSISTNRFEGKFPNSIANLSSKLSKLSLSGNKISGTILIGIQNLRSLTALDLAENLLSGVIPSEIVIHSLAHYLLSWAN >itb04g06010.t1 pep chromosome:ASM357664v1:4:3904169:3919170:1 gene:itb04g06010 transcript:itb04g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEENVVELVQRYRRDRRVLLNFLLSGSLVKKVVMPPGAVSLDDVDLDQVSVDYVLNCVKKGGMLELAEAIRDYHDSTLFPSVNNAGATTEFFLATNPESSGSPPRRAPPPVPVATPLPILPTLSTEEPLDSMSVEEQSPLTKSQSLTSQVRELTVDDIDDFDDDLDEVDSRRYSRRVLNDATDLVPGLPSFATGISDDDLRETAYEILLAAAGASGGLIVPSKEKKKDRKSKLMQKLGRSKSEHSAARSQRSHGLVSLLETMRVQMEISEAMDIRTREGLLNAMVGKVGKRMDTLLIPLELLCCISRTQFSDKKAYIKWQKRQLNMLEEGLVNHPAVGFGESGRKANELRALLAKIEESESLPPPAADLQRSECLRSLREVAIPLAERPARGDLTGEVCHWADGYHLNVKLYEKLLLSIFDVLDEGKLTEEVEEILELFKSTWRILGITETIHYTCYAWVLCRQFVITSEQGILLHAIEQLKKIPLKEQRGPQERLQLKSLQSRIESEKGYQELSFLQSFLLPVKKWADKQLEDYHLCYAEGSALMEATVVVAMLARRLLLEEPGLAMQAIPDTDSEQIEFYISSSTKYAFARMLQDVDTLSDATHEHPLALLAEQTKKLLQKDRTIYMPILKQRHHNAAAVSASLVHKLYGVKLKPFLDSAEHLTEDIVAVFPAADSLEQYIIEIIVQTCEEGAADAYCRKLNLYKIETISSTLVLRWVNSQLGRILSWVERAVQQEDWVPVSPQQRYGSSIIEVYRIVEETVDQLFALGVPMRSAELNSLIRGIDNAFQLYAQHVVSKLANKEDIVPPIPILTRYSREHGIKAFVKKELRDSKLSDSRKFGDVNILATSTLCIQLNTLHYAISQLNKLEDSIWEQWSKIKPHDKITKKSTDVTKSSVQKNTFDGSRKDINAAIDQICEFTGTKIIFWDLREPFIDNLYKPTAAQSRFEALMDTLDTVLSELCSVIMEPLRDRVVTGLLQASLDGLLRVILDGGPSRIFYPSDAKLLEEDLEILKEFYISGGDGLPRGVVENQVAHLREVVKLHGYETRELIEDLKSASEVEMQGGRSKLGADTKTLLRILCHRGDSEASQFVKKQFKIPKSA >itb15g07430.t1 pep chromosome:ASM357664v1:15:5086162:5089554:1 gene:itb15g07430 transcript:itb15g07430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIFSPSSLFAGSDGNSTDEEITDAPQNFVERRHQFPGMELLIREFDFHELNANLLWPGTFAFAEWIVEHRSCLEGRRILELGSGTGALAIFLQKSFKFDITTSDYDDADIEENIAHNCQANGISSALPHIRHTWGDAFPIPDPHWDLIIASDILLYVKQYPNLIKTLSFLLRAYTPKVSQADSRDFEQQDSSMLPQPAFLMSWRRRIGKEDESLFFDGCKKAGLEVNHLGSRVYCIKPKETGDE >itb03g14040.t1 pep chromosome:ASM357664v1:3:13932773:13937598:1 gene:itb03g14040 transcript:itb03g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVNWRVEKTRPEFAHRAKQWEVASGLSRKAFRTGRFLTGFNALRRSPGPTPAFRLLAVLANSGEMVYFFFDHILWLSRVGVLDAKLARRMSFVSAFGESVGYVFFVISDLILISRGIRAERKLAKEKDENEEEMKKIRADRVMRLMAVAANIADLIIALADIEPNPFCCHAVTLGISGLVSAWAGCPSCSGNGHSQYWIQDQMAEGGIQSRYVKLTKDQAPLEEDIKPGELNLPIDVPQLSVRKCNECGQPLPESFEPPADEPWMTGIFGCTEDRDSCWTGLFCPCVLFGRNIEQLREDTPWTQPCLCHAIFVEGGIALAVATAALNGIVFDPRTSFLICEGLFFGWWMCGIYTGLFRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNVVMPMTIVNPPPVQEMTASGDNQESAPSSTNAPGQTNLEMQAL >itb10g19660.t1 pep chromosome:ASM357664v1:10:25438577:25443996:-1 gene:itb10g19660 transcript:itb10g19660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MAMTALRRVGRRLAAAQFTSPNPPIAFRSSFVSPSEEIVLSGFHSISTQVASKLRAIQTRAENSRGLWQPFIAFLGDTPSIDVKKNAINTISSDKGLCGGINSADYKTSWNIHKLNSGPEKENKYAKAKAQLIMTDLQKNPLNYTQQSFHFSTLANSEEQKNEQKSSWIDLYLPQKIRPYAHLARLDKPIGTWLLAWPCMWSISLAAAPGSIPDVKMMALFGCGALLLRGAGCTINDLLDRDIDTKVERTRLRPIASGILTPFQGICFLGFQLLLGLGILLQLNNFSRVLGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAVVLPLYLSGVSWTLVYDTIYAHQDKDDDLKVGVKSTALRFGDSTKEWIAGFGIACTSSLALCGYNADIGWPYYAFLAAASAQLAWQIWTVDLSSRADCNKKFVSNKWFGALIFSGVLFGRILS >itb10g19660.t2 pep chromosome:ASM357664v1:10:25438599:25443996:-1 gene:itb10g19660 transcript:itb10g19660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MAMTALRRVGRRLAAAQFTSPNPPIAFRSSFVSPSEEIVLSGFHSISTQVASKLRAIQTRAENSRGLWQPFIAFLGDTPSIDVKKNAINTISSDKGLCGGINSADYKTSWNIHKLNSGPEKENKYAKAKAQLIMTDLQKNPLNYTQQSFHFSTLANSEEQKNEQKSSWIDLYLPQKIRPYAHLARLDKPIGTWLLAWPCMWSISLAAAPGSIPDVKMMALFGCGALLLRGAGCTINDLLDRDIDTKVERTRLRPIASGILTPFQGICFLGFQLLLGLGILLQLNNFSRVLGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAIKGNLDPAVVLPLYLSGVSWTLVYDTIYAHQDKDDDLKVGVKSTALRFGDSTKEWIAGFGIACTSSLALCGYNADIGWPYYAFLAAASAQLAWQIWTVDLSSRADCNKKFVSNKWFGALIFSGVLFGRILS >itb11g12100.t1 pep chromosome:ASM357664v1:11:8959364:8971018:-1 gene:itb11g12100 transcript:itb11g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLKKLHLGSNQSEDSEGSTSAAKGNRLSDVSSPERNLNSRSHHGSEHNKPFSAISGWLSSVTSRHSSPSPPSSSNVNKVDIMEPSDSVSSCGLEAAMEAVRLECESTNSRDPDIEEEYQIQLALELSAREDPEAVQIEAVKQISLGSCPPENTPAEVVAYRYWNYNALSFDDKIMDGFYDLYGIQTESTSSKMPSLVDLQRTRVSDHISWEAILVNRAADSKLLKLEQRALEIAVRSRSESLSFVGGDLVQKLAALVSDHMGGPVVDPDNMLIAWKNLSYKLKKTHGSMVLPLGSLTIGLARHRALLFKVLADSVGVPCRLVKGQQYTGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDTAGGHGDYEDFLSTSPMSKDVDSSHTASSSSGAATSLEELNGYDKGSSRLRESITLGKESLSPRDSEYQITAEGRDNKSSGDIEKPYNAKKELGWDNPARITHPYSHARSPSWTEGVSSPAVRRMKVKDVSQYMMDAAKENPNLAQKLHDVLLESGVVAPPNLFAEIYSDELDVSTLEAKSTVDEENWERDNLQRKKGRDDLDRAHFLPPLPYHGTCSKGTPRGQLGHIVEEEELVSPKKEVPPMKYNKNMPVAAAAAAAAAVVASSMVVAAAKTTADPNVDLPVAAAATATAAAVVATTAAVSKQYDNLDFSVNSPESPPAFFNPLEWVRSDGDADATVGEQQGSGERVREAQGTNSEGERISDRSTGSAKSDSMLDDVADCEIPWEDISMGERIGLGSYGEVYRGEWHGTEVAVKKFLDQDIIGESLEEFKSEVRIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERKRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVILWELCTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPAIADIIRKCWQTDPRLRPSFAEIMAALKPLQKPITGSQASKAVASRSQEKGQSSKT >itb11g12100.t2 pep chromosome:ASM357664v1:11:8960472:8970944:-1 gene:itb11g12100 transcript:itb11g12100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLKKLHLGSNQSEDSEGSTSAAKGNRLSDVSSPERNLNSRSHHGSEHNKPFSAISGWLSSVTSRHSSPSPPSSSNVNKVDIMEPSDSVSSCGLEAAMEAVRLECESTNSRDPDIEEEYQIQLALELSAREDPEAVQIEAVKQISLGSCPPENTPAEVVAYRYWNYNALSFDDKIMDGFYDLYGIQTESTSSKMPSLVDLQRTRVSDHISWEAILVNRAADSKLLKLEQRALEIAVRSRSESLSFVGGDLVQKLAALVSDHMGGPVVDPDNMLIAWKNLSYKLKKTHGSMVLPLGSLTIGLARHRALLFKVLADSVGVPCRLVKGQQYTGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDTAGGHGDYEDFLSTSPMSKDVDSSHTASSSSGAATSLEELNGYDKGSSRLRESITLGKESLSPRDSEYQITAEGRDNKSSGDIEKPYNAKKELGWDNPARITHPYSHARSPSWTEGVSSPAVRRMKVKDVSQYMMDAAKENPNLAQKLHDVLLESGVVAPPNLFAEIYSDELDVSTLEAKSTVDEENWERDNLQRKKGRDDLDRAHFLPPLPYHGTCSKGTPRGQLGHIVEEEELVSPKKEVPPMKYNKNMPVAAAAAAAAAVVASSMVVAAAKTTADPNVDLPVAAAATATAAAVVATTAAVSKQYDNLDFSVNSPESPPAFFNPLEWVRSDGDADATVGEQQGSGERVREAQGTNSEGERISDRSTGSAKSDSMLDDVADCEIPWEDISMGERIGLGSYGEVYRGEWHGTEVAVKKFLDQDIIGESLEEFKSEVRIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERKRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTPFRR >itb11g12100.t3 pep chromosome:ASM357664v1:11:8959417:8970944:-1 gene:itb11g12100 transcript:itb11g12100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFYDLYGIQTESTSSKMPSLVDLQRTRVSDHISWEAILVNRAADSKLLKLEQRALEIAVRSRSESLSFVGGDLVQKLAALVSDHMGGPVVDPDNMLIAWKNLSYKLKKTHGSMVLPLGSLTIGLARHRALLFKVLADSVGVPCRLVKGQQYTGSDDVAMNFVKIDDGREYIVDLMAAPGALIPSDTAGGHGDYEDFLSTSPMSKDVDSSHTASSSSGAATSLEELNGYDKGSSRLRESITLGKESLSPRDSEYQITAEGRDNKSSGDIEKPYNAKKELGWDNPARITHPYSHARSPSWTEGVSSPAVRRMKVKDVSQYMMDAAKENPNLAQKLHDVLLESGVVAPPNLFAEIYSDELDVSTLEAKSTVDEENWERDNLQRKKGRDDLDRAHFLPPLPYHGTCSKGTPRGQLGHIVEEEELVSPKKEVPPMKYNKNMPVAAAAAAAAAVVASSMVVAAAKTTADPNVDLPVAAAATATAAAVVATTAAVSKQYDNLDFSVNSPESPPAFFNPLEWVRSDGDADATVGEQQGSGERVREAQGTNSEGERISDRSTGSAKSDSMLDDVADCEIPWEDISMGERIGLGSYGEVYRGEWHGTEVAVKKFLDQDIIGESLEEFKSEVRIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERKRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVILWELCTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPAIADIIRKCWQTDPRLRPSFAEIMAALKPLQKPITGSQASKAVASRSQEKGQSSKT >itb09g27040.t1 pep chromosome:ASM357664v1:9:27541717:27542150:-1 gene:itb09g27040 transcript:itb09g27040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLVRRWQKFAAIRRKRISLPRKRFIVPLSYLENEIIRQLLNLSEEEFGLPSDGPITLPCDAVFMDYIISLLARGLSRELENALLISVASYQCSSASLHQEGLKNQELLVC >itb08g10160.t1 pep chromosome:ASM357664v1:8:9542477:9545470:-1 gene:itb08g10160 transcript:itb08g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAQVEHTVVRGNNTNGSNISTTVVTHGSDKQLLGVQKIMNDEHHNDPPDPLLLDSMEKEPSSSDTRDPICTSKEDAMEQHDGNTAVVSSKTPAASNSSSRGLRRWTSLAADRHRNNTNDLRLLSSPFDAATMTKHGSSLANTISGRRGLGDVRQIKILFSRRNVILWRVSHTLPDSRAEDTLTSVDVADSLPEDVDFHAQNRRAPGILQGIQNFLGGNHPGACAIHGRVGK >itb08g06100.t1 pep chromosome:ASM357664v1:8:5232013:5236099:1 gene:itb08g06100 transcript:itb08g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFNLNETRIAFMLKIEKFHYMAMADDRQRFLPLPEDRLPPRGEELAYPEAVLLVDPVEPEFKGEVDDKYQYSCENKDDKVHGFICFDPPVGFWQITPSNEFRTGGPIKQDLTSHVNPTTLAMFVSTHYGGEDLVIKFAPGEPWKKVFGPVFIYLNSVVDRDDAYSLWDDAKEQMKNEVQSWPYSFPASEDFPRADQRGAISGRLLVQDSYISEDCISAEGAYVGLAPPGATGSFQKENKGYQFWTKADNEGVFMINNIRPGDYNLYAWVPGFVGDYKCAEAIEITAGCEIDVGDLVYNPPRNGPTLWEIGCPDRTAAEFFIPEPDPMYINKLLVNLPAERFRQYGLWERYAELYPDGDLVYTVGTSDYQKDWFYAHVNRKVGDNSFKATTWQVKFKLDSVDQTGNYTLRVALSSATFSILEVRINDPEASPPEFSSGLIGSDNAIARHGIHGLYYLFNIEIQSGKLLQGDNNVYLTQSRNTSAFHGLMYDYIRLEGPPTPNPI >itb01g02590.t1 pep chromosome:ASM357664v1:1:1690500:1694656:-1 gene:itb01g02590 transcript:itb01g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVFQAVQIMPMHTQTSKSLPSSSFLKPLLPPAAPVVAGKLRKSCLIKASSAVVDGDGIAALERCFQASPSLDSPSSSSSTGSPAMYAPVMKGGKYGSLGAVTLEKSKLDMSQKQTKSSPELATGGGGGDIGKNIFHGGGDGGDDDGDDDDYMDDFDDDDEGGEGGLFRRRMILQELFDRKFVDAVLNEWQRTMMDLPAGLRQAYEMGLVSSAQMVKFLAINARPTTARFISRSLPQGLSRGFIGRMIADPAFLYKLLLEQAATIGCSVWWEVKNRKERIKKEWDLALVNVLTVTACNAIVVWSLAPCRSYGSTFRFDLENTLQKLPNNIFEKSYPLREFDLQKRLQSFFYKAAKLCMVGLTAGAAQGAISNFAASKKEGRLSVSIPSVSTNALGYGAFLGLYANLRYQLLCGFDRAMIGYFDVIGVALFFGTALRVLNVQVGESSRLAWLGVEADPLAHSDDLLKAAYNRPSQGSDHLSSNWFISKNNIVSGLGLLGIKQGESDSTREGEAAPPKTRRKRIVKKKVTTSRT >itb09g21360.t1 pep chromosome:ASM357664v1:9:19293511:19296098:-1 gene:itb09g21360 transcript:itb09g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCIRNKCLAINVKRQLSLITPAAHNQQLTTLPFISAALKTSANHRLFNEANHFHAHIIKLGFANVLSLANQLLHVYVKCNEFFYACKLFDEMWMRNVVTWNTLICGLADSTGSFMLNFDFGFRYFKRMMMESVPPDRITYSGLFRICGEVTGGFEAGRGLHCLVVKLGFCKDCFINCALIGFYGKFELVGDAKLVFEGAKMRDLVLWNAMVSCYVSNGLGEEAFQIYALMLLNRFKADLFTFASLLNCCAKLVYHELGRQIHGLVFKLCFDMDVVVGSAIIDMYVKNENTVDARKAFGGMASRNVVSWTTMILGYGRDGDGKEAIKLLKEMLREDFCPDELTLASVLSSCGNLSMASEVVQVHANAIKCVFSSSLSIANSLINAYSKCGCIASALKCFNSIGTPDLISWTSIIGAYTFHGFAEEAIKLFEKMLSCGLKPDKIAFLEVLSACSHGGLLSEGLKYFTSITDHNILLTSEHYACLIDLLGRVGLLNDANFVLNSIPLESQSHALKAFIGASEIHGNIELSQWAAKKLCFMEPNNAVNYILLSKSYASDRNWSDAAVIRELVKEKMNSRIPGNIALELHGTGQARETLRSSLTLAKKLYDIPTQVWVLSDLTGMYQQLSESPVSNKGTRAQRSCKEDIVMCVHLITMLNW >itb05g05580.t1 pep chromosome:ASM357664v1:5:5350960:5353364:-1 gene:itb05g05580 transcript:itb05g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRRRGMSQKMMMSITSVGSSGGRLSMEENEEEEITRMAITSFQAREEEIEKRKMEVKIKVESQLNRAEQQTKHLAHIWEELEVLTDPMRKEVGMVRKKIDTVNRELKSLTQACQKKEKEYKEALEAFQEKNNEKTQLTSTLIEMVNESEKFRLGKLEELSKIVDPTHR >itb01g08510.t2 pep chromosome:ASM357664v1:1:6870859:6875984:1 gene:itb01g08510 transcript:itb01g08510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVASDETSTVAGLIPLASASQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRAFISSADALLAIREEVSSIDKHLESMIDEIPKLTSGCTEFIDSAEEILEKRKMNQTLLANHSTLLDLLEIPQLMDTNGNYDEALDLEAFVAKLSTMHLKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCREAWLTGILDDLEQRNAYEYLKGMINCHRMHLFDVVNQYRAIFSDDTSGREENYDGGLLFNWAMHQISSHLRTLKVMLPKINEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFTKNMNTAVENFQLVLDSHRWVPLPAVGFPASSLGDESQEDVTPPSSLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQELVKGLQAVSDSLQRYNTTRMLRENESVLFISLCRAFIEVAFPHCVTCFGRCYPGGATLIADAKNLFEGISKLLTTSPSRELPKPIHTVENKTISENGNLPSVENDGTPNGDQSGDTNSEEKEEDKIPPVSEEKPVDE >itb01g08510.t1 pep chromosome:ASM357664v1:1:6870843:6875994:1 gene:itb01g08510 transcript:itb01g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVASDETSTVAGLIPLASASQQPYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRAFISSADALLAIREEVSSIDKHLESMIDEIPKLTSGCTEFIDSAEEILEKRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVAKLSTMHLKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCREAWLTGILDDLEQRNAYEYLKGMINCHRMHLFDVVNQYRAIFSDDTSGREENYDGGLLFNWAMHQISSHLRTLKVMLPKINEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFTKNMNTAVENFQLVLDSHRWVPLPAVGFPASSLGDESQEDVTPPSSLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQELVKGLQAVSDSLQRYNTTRMLRENESVLFISLCRAFIEVAFPHCVTCFGRCYPGGATLIADAKNLFEGISKLLTTSPSRELPKPIHTVENKTISENGNLPSVENDGTPNGDQSGDTNSEEKEEDKIPPVSEEKPVDE >itb02g23690.t1 pep chromosome:ASM357664v1:2:23867017:23869096:1 gene:itb02g23690 transcript:itb02g23690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCPIIWETVKLILLSPVANRKRSMDVLVCLVVISQFPFFYWLWTYPQTWVDLCGKGRDPSHVMSVVSNVLKLLQFIALYSVSTPSWPPPLYFWPLFLFGQFLNIRVYQLLGECGVYYGVRFGKNIPWVTQFPFGVIRDPQYSGSILCIIACLPWVPYPYILLWVLGYVFVIHVESKEDPATRAKPLAEETTC >itb07g15760.t1 pep chromosome:ASM357664v1:7:18813504:18818524:1 gene:itb07g15760 transcript:itb07g15760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFSKRRAGLAKKAHEISVLCDADVALIVFSHRGKLFDYSTDSCMEMIIDRYERYSYAEKRLLAETNDSESSDNWSLEYAKLKGKIDLLQRNHKHYMGEDLDTMSQKDLQNLEQQLDSSLRLIRSRRSQLLYDSLSELQKTEKAILQENNMLAKKIKEKEKMAAQQAQWEQNQGPSSTSFLSPQPLPCLNIGYQGERANQTGRNDLDLNLDSLYPPCHLGCFAPCANQ >itb03g19060.t1 pep chromosome:ASM357664v1:3:17212396:17215421:-1 gene:itb03g19060 transcript:itb03g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEYLTIEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFMVGVDNEENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILSPIQLVDKAS >itb04g23180.t1 pep chromosome:ASM357664v1:4:28346815:28350654:1 gene:itb04g23180 transcript:itb04g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVGKAVEVSFERYDPRDVWFPATILEVFGNGSFSVEYQKSNVRDKVTVGSFQIRPCPPHLKNRNFCLLERVDAFYDFGWWSGVITKMLADSRYIVFFKHFNLEKEVSGLELRRHMDWRSGRWHTSQGILISSDCVVEGDDNCNAKVNQRTVRLDSSGTKDEVSKEKTSCSLNSQDDQIAQSTDCNENPSNAMVSAKNKPHSLASANDGTLLQSQVLQKEQDEIPCGFDNLTSEGAASRNVSISECVDQLSGNPFLGKSTRRKRQKTSEQDISVADVQIVQGKQTKLLVDGAQLPIQGKEAAGNVAENNEAGCPIVIGLECISPSRNSRIKTSRHMDGKECSDPLSGQKQHVNDLATDVIESEQVRNSESGQKKKRGRPCKSAANTIKSPAPLIDNPQNVDAEDETIIKDCTTNESSQTKDIPAASEGRNDEYVEAEEASTEAPSNRCSDQPLLPCCRTVDGSNKQATPIDNGSGAISSEIQRLPFVKSTLLWSTIESMDVFQRIPQKPHFQPLEHVKESYREGVAIGYMVTFSSLVDRCCKLQLDDPRSSIEEMLETLVELGGHGFDVRQIQDRLKEMVSLKEKHKNLEVQSAEMEVEMVKHETEKTQVDEEIETIKKQIAELEEKVKMAVTKREAKDVEIARLQSKMDDVRGEITSARSGYEDLASKPLP >itb15g05550.t1 pep chromosome:ASM357664v1:15:3573387:3578101:1 gene:itb15g05550 transcript:itb15g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAETLQFLSQCFLNTLSPMPQPRRQAESALADASEKPNYALAVLRLVAEPSVDEQIRQAASVNFKNQLKSRWSPSTPSEPNVPTLAPIPDFEKEQIKSLMVSLMINSSPKIQSQLSEALTVIGKHDFPKAWPALLPELVSSLDKLSQANDYVSVNGLLTTLNSLFKKFRYQFKTNELLLDLKYCLDNFAKPLLEVFKRTASLIDQVVASGSASASTLKPYIESQRLCCRIFYSLNFQELPEFFEDHMNEWMIEFKKYLTMKYPALEDSSKEGLAVVDDLRSAVCENISLYMEKEEELFQGYLSGFVEAVWSLLVASSASSSREQLTVTAIKFLTIVSTSVHHTLFARDDILEQICQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIAMHYKDKVTEKVSLQIKNCLAMFAQNPAANWKFKDCAIYLVVTLATKKAGGTSVSTDLVDVESFFGSVIVPELQSQDVNAFPMLKAGALKFFTMFKNQLSKPIAIALLPDVVRFLGSDSNVVHSYAASCIEKLLLVKDDGGRARYTAVDISPFLLVLMTNLFQAMEKPESEENQYIMKCIMRVLGVSEISREVALPCITGLANVLNRVCQNPKNPVFNHYLFESVAVLVRRACEKDPSLVPGFEGSLFPSLQMILERDVSEFFPYAFQLLAQLVELNRPPLPQHYMQIFEILLLPDSWKKSGNVPALVRLLQAFLRKAPHELNQQGRLSNVLGIFNTLVSSPNTDEQGFYVINTIIENLGFDVISPFIGHIWAALFKRLQERRTVKFVKNLVIFMSLFLVRHGSQNLVASINAVQVNLFNTIVEQFWIPNLKLITGTIELKLTAVASTKLICESPSLLDSKLWGKMLDSIITLLSRPEEERVEEEPEVPDFGESVGYNAAFVRLYNAGKKDDDPLQDIRDPKQYVVASVATLSAQSPGQYTQVIRENLEPGNQAALLQLCGSYNVSIV >itb15g05550.t2 pep chromosome:ASM357664v1:15:3573387:3578101:1 gene:itb15g05550 transcript:itb15g05550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAETLQFLSQCFLNTLSPMPQPRRQAESALADASEKPNYALAVLRLVAEPSVDEQIRQAASVNFKNQLKSRWSPSTPSEPNVPTLAPIPDFEKEQIKSLMVSLMINSSPKIQSQLSEALTVIGKHDFPKAWPALLPELVSSLDKLSQANDYVSVNGLLTTLNSLFKKFRYQFKTNELLLDLKYCLDNFAKPLLEVFKRTASLIDQVVASGSASASTLKPYIESQRLCCRIFYSLNFQELPEFFEDHMNEWMIEFKKYLTMKYPALEDSSKEGLAVVDDLRSAVCENISLYMEKEEELFQGYLSGFVEAVWSLLVASSASSSREQLTVTAIKFLTIVSTSVHHTLFARDDILEQICQSIVIPNVMLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIAMHYKDKVTEKVSLQIKNCLAMFAQNPAANWKFKDCAIYLVVTLATKKAGGTSVSTDLVDVESFFGSVIVPELQSQDVNAFPMLKAGALKFFTMFKNQLSKPIAIALLPDVVRFLGSDSNVVHSYAASCIEKLLLVKDDGGRARYTAVDISPFLLVLMTNLFQAMEKPESEENQYIMKCIMRVLGVSEISREVALPCITGLANVLNRVCQNPKNPVFNHYLFESVAVLVRRACEKDPSLVPGFEGSLFPSLQMILERDVSEFFPYAFQLLAQLVELNRPPLPQHYMQIFEILLLPDSWKKSGNVPALVRLLQAFLRKAPHELNQQGRLSNVLGIFNTLVSSPNTDEQGFYVINTIIENLGFDVISPFIGHIWAALFKRLQERRTVKFVKNLVIFMSLFLVRHGSQNLVASINAVQVNLFNTIVEQFWIPNLKLITGTIELKLTAVASTKLICESPSLLDSKLWGKMLDSIITLLSRPEEERVEEEPEVPDFGESVGYNAAFVRLYNAGKKDDDPLQDIRDPKQYVVASVATLSAQSPGQYTQVIRENLEPGNQAALLQLCGSYNVSIV >itb12g01210.t1 pep chromosome:ASM357664v1:12:884061:887314:-1 gene:itb12g01210 transcript:itb12g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEAEARENGEKYEKEAKISSPRGVLESRQLSVSSDFGSGSFGSEEETGAGGLRGHNWNWKNWFEQMKKKKKSGKKKALGRIRSSEETILDSCDLSIPKPSWRSFTLEELAQATDNFSPDKLIGQGGHAEVYKGCLADGEVVAVKRITKKDKNDDDRVGDFLSELGIIAHINHPNAVKLIGFSADGGLHLVLQYLPHGSLASVLHGSEESLEWRIRFKVAVGVAEGLQYLHWDCQKRIIHRDITASNILLTEHYEPQISDFGLAKWLPEKWSRHVVSPIEGTFGYLAPEYFMHGVVDEKTDVFAFGVLLLELITGRRAVDSSRQSLVIWAKPLLEKNNTKELADPRLGDAYDVPEMKRAMFTASTCIHCLPKLRPSMKRVVQLLKGESEAVDLKHKSTEGRALLLGSFDLEDYTSTTYLRDLNRHMQLVME >itb03g02720.t2 pep chromosome:ASM357664v1:3:1565895:1572463:1 gene:itb03g02720 transcript:itb03g02720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDYHHPHPGIFSFSNGFERSQSEQQQQQQQHIRREKMRVQGFEAAPPPLVGIEEEQTGGLQVYETAGMLSEMFNFQSGTATELLENQISHYRNNNARPAGIGSEWYGNQLGPLKNPSQQQQPMSGINATAVAADSAAAAMQLFLMNPRSPSPSPSNHHHQPPPTPSTLHMLLPNPSPTSTLPGFHTQPGGPFGQFTWIPDSTNTGGPANPAEIGGGVNVVEGQALSLSLSSSLQHLEAAKAEELFFSQASGSASAQFPYNKTLTAGAGHHLLQTLHGGAAVGGGLVPQNHQIHFGSSLGIVNALRNSKYAKPAQELLEEFCSVGRNHQLKNQKTGKLINAAATQNPNSPSSSKDPPQLSPSDRLEHQRRKVKLLSMLDEVDRRYSHYCEQMQMVVNSFDLVMGFGAAVPYTSLAQRAMSRHFRCLKDAIAAQLKHSCELLGDKDAGTSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAQEEDEDGEKIQASPNSGNNNTAQTSPPNSSNSPPPPPPSATASSASITPPITAPTAKRSEFNDRESDPSLLAINTHRSKSAAAAAMSATAAIPAAQSFPAVLTSSFGAPSDMGPSTLIRFGTSGPAATASGGDVSLTLGLRHDGNLPEKTHFFD >itb03g02720.t1 pep chromosome:ASM357664v1:3:1565795:1572463:1 gene:itb03g02720 transcript:itb03g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDYHHPHPGIFSFSNGFERSQSEQQQQQQQHIRREKMRVQGFEAAPPPLVGIEEEQTGGLQVYETAGMLSEMFNFQSGTATELLENQISHYRNNNARPAGIGSEWYGNQLGPLKNPSQQQQPMSGINATAVAADSAAAAMQLFLMNPRSPSPSPSNHHHQPPPTPSTLHMLLPNPSPTSTLPGFHTQPGGPFGQFTWIPDSTNTGGPANPAEIGGGVNVVEGQALSLSLSSSLQHLEAAKAEELFFSQASGSASAQFPYNKTLTAGAGHHLLQTLHGGAAVGGGLVPQNHQIHFGSSLGIVNALRNSKYAKPAQELLEEFCSVGRNHQLKNQKTGKLINAAATQNPNSPSSSKDPPQLSPSDRLEHQRRKVKLLSMLDEVDRRYSHYCEQMQMVVNSFDLVMGFGAAVPYTSLAQRAMSRHFRCLKDAIAAQLKHSCELLGDKDAGTSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAQEEDEDGEKIQASPNSGNNNTAQTSPPNSSNSPPPPPPSATASSASITPPITAPTAKRSEFNDRESDPSLLAINTHRSKSAAAAAMSATAAIPAAQSFPAVLTSSFGAPSDMGPSTLIRFGTSGPAATASGGDVSLTLGLRHDGNLPEKTHFFD >itb03g02720.t3 pep chromosome:ASM357664v1:3:1566301:1572463:1 gene:itb03g02720 transcript:itb03g02720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDYHHPHPGIFSFSNGFERSQSEQQQQQQQHIRREKMRVQGFEAAPPPLVGIEEEQTGGLQVYETAGMLSEMFNFQSGTATELLENQISHYRNNNARPAGIGSEWYGNQLGPLKNPSQQQQPMSGINATAVAADSAAAAMQLFLMNPRSPSPSPSNHHHQPPPTPSTLHMLLPNPSPTSTLPGFHTQPGGPFGQFTWIPDSTNTGGPANPAEIGGGVNVVEGQALSLSLSSSLQHLEAAKAEELFFSQASGSASAQFPYNKTLTAGAGHHLLQTLHGGAAVGGGLVPQNHQIHFGSSLGIVNALRNSKYAKPAQELLEEFCSVGRNHQLKNQKTGKLINAAATQNPNSPSSSKDPPQLSPSDRLEHQRRKVKLLSMLDEVDRRYSHYCEQMQMVVNSFDLVMGFGAAVPYTSLAQRAMSRHFRCLKDAIAAQLKHSCELLGDKDAGTSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAQEEDEDGEKIQASPNSGNNNTAQTSPPNSSNSPPPPPPSATASSASITPPITAPTAKRSEFNDRESDPSLLAINTHRSKSAAAAAMSATAAIPAAQSFPAVLTSSFGAPSDMGPSTLIRFGTSGPAATASGGDVSLTLGLRHDGNLPEKTHFFD >itb01g10820.t1 pep chromosome:ASM357664v1:1:9412935:9415148:1 gene:itb01g10820 transcript:itb01g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFEVVAVDSGDGKPCRRKRSNLYRRPQNDSHSPPDYCGSSSMSSTLPSDSQSKASSGDNVDHGAVSGASYSNVNEPEYYPNTGVKETHEFSAKNDSQGMHPIRLIKDNQATPTVSGVETGGMITSDYHSGQSGVVSHGVESNSKVKKVKLKVGGVVRTIHTNTGAGPSLTKSSSSSSVPHQRHKDSSDKGIGLQGIPWKDFSKSGFSFGRSNSSGDIPLMQSAKYKPARKGKHVSKTSRLGELYNTEDDEDDNDDEIRYLEKLKTSKFAASYGGENDEDEEGSKKLQRISKVLNQSANVYDVKPRDCNSKARKERRNSKPVRAFEDNADYVEEEESLSDAELRPKKKHCKDLNDILGSARNEMAMTTRRRALQTGKEVSSLSSMSAVEFPHGLPPPPKKQREKLSEVEQQLKKVEAAQRRRMQAEKAARESEAEAIRKILGQDSSRKKREEKLKKRQEESAQERNARAAAHPSNAIRWVLGPSGTVVTFPNEIGLPSIFEPKSCSYPPPREKCAAPSCTNAYKYRDSKSKLPLCSLQCYKAIHKKLEPLGAC >itb15g14850.t2 pep chromosome:ASM357664v1:15:13290474:13296990:-1 gene:itb15g14850 transcript:itb15g14850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLPPTTGARESSGHTIGVDRLPEEMNDMKIRDDKDMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERIVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVHRVIKHYNKLNQRIPLIFVKLYTYQIFRALSYIHCSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLIGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALTHPFFDELRDPNIRLPNGRFLPPLFNFKPHELKGVPTETIVKLIPEHARKQCPFLGL >itb15g14850.t1 pep chromosome:ASM357664v1:15:13290295:13297052:-1 gene:itb15g14850 transcript:itb15g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLPPTTGARESSGHTIGVDRLPEEMNDMKIRDDKDMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERIVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVHRVIKHYNKLNQRIPLIFVKLYTYQIFRALSYIHCSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLIGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALTHPFFDELRDPNIRLPNGRFLPPLFNFKPHELKGVPTETIVKLIPEHARKQCPFLGL >itb10g12400.t1 pep chromosome:ASM357664v1:10:18395077:18402553:1 gene:itb10g12400 transcript:itb10g12400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDVDLLDDGDGGGGAGKVSAPESCSICLETVNRNGDRSWAKLQCGHEFHLDCIGSAFNIKGAMQCPNCRKVEKGQWLYASGHRSLPEFSMDDWAHDEDLYDLSYSEMSFGVHWCPFSGLTRLPSSFDEGDFSSSYHDLIRQHAILAEHAAVSSATHQCPYIAYVGIHPSSSNSSGGVSDGPTFNNHWSSPSIPHEVPTYAFPGVDVHYHSWDHHSSAFPTTSSRIGNADQPSIPPVPHRAARTNSDIPRQGSFVHPFLVSHSSAARAGSSVASSMIPPYPGSVARARDRVQALQAYFQQPSNSPGVRAPVIVGTRRSSNHRGMAQVSPMASSSDQAGGFYFYPSASSGRAFQEAENPMSNRFPIWEREHMGAASSLTQVDRDPIWGSFHHGAGGSDPGIRFSSFRQRQHGSERMPSQHHRS >itb15g19330.t1 pep chromosome:ASM357664v1:15:21641865:21646066:-1 gene:itb15g19330 transcript:itb15g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVCSPYFDPDFDSLPERIHGPECRITIDNDSLEDCTIVKIDSVNKQGLLLEVVQVLTDLNLTILKGYISSDAGWFMDVFHVKDELGNKITDPRVINYIQQAAGASKDGVHRNQECRTGGIVFNCGSPGEPTAIELTGTDRPGLFSEISAALADLQCNIVEAHAWSHNERLACVAYISEASTDSPIDDHRLAAIEGHLTTVLRATTVEETLNEQETAKTAYGLITEEGEAMVTDVERRLHQLMLSAGDFEAPSSSSKVSEGSEGSEEEEKSHSVCIESCDEKKYSIVSIWSKDRRRLMFDTVCTLTDMEYVIFHASIDSHKGFAFQEYFIRHVDGCALSTESEKERVIKCLEAAMERRVCDGVRLELCANNRVGLLSDITRVLRENGLSVVRADVATRGSKAVNIFYVRDISGNDVDIEFVKSIKREMGAIDLAVMNETTTTSSTISPLSPRSHGRMFCYNRLIK >itb03g04330.t1 pep chromosome:ASM357664v1:3:2700954:2703140:1 gene:itb03g04330 transcript:itb03g04330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSYETQHPVKAFGWAARETSGVLSPFKFSRRETGEKDVQFKVLYCGVCHSDLHQLKNEWGNTTYPIVPGHEIAGVVTEVGSKVEKFKVGDKVGVGCLVGSCRNCDNCADDLENYCPGNIQTYNANGVITYGGYSDIMVADEHYVLRWPENLPMEAAPLLCAGITTYSPLKYFGLDKPGMNIGVVGLGGLGHMAVKFAKAFGAKVTVISTSASKKQEAIERLGADSFLISRDPEQMQAAMNTLDGIIDTVSAVHPVLPLLCLLKTNGKLVMVGAPEKPLDLPVFPLLMGRKLVAGSCIGGIKETQEMLDFSAKHNITPDVEIVPMDYINTALERLVKADVKYRFVLDIGNTLKSA >itb03g04330.t2 pep chromosome:ASM357664v1:3:2700954:2703139:1 gene:itb03g04330 transcript:itb03g04330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSYETQHPVKAFGWAARETSGVLSPFKFSRRETGEKDVQFKVLYCGVCHSDLHQLKNEWGNTTYPIVPGHEIAGVVTEVGSKVEKFKVGDKVGVGCLVGSCRNCDNCADDLENYCPGNIQTYNANGVITYGGYSDIMVADEHYVLRWPENLPMEAAPLLCAGITTYSPLKYFGLDKPGMNIGVVGLGGLGHMAVKFAKAFGAKVTVISTSASKKQEAIERLGADSFLISRDPEQMQAAMNTLDGIIDTVSAVHPVLPLLCLLKTNGKLVMVGAPEKPLDLPVFPLLMGKLILLYLA >itb03g04330.t3 pep chromosome:ASM357664v1:3:2700954:2703139:1 gene:itb03g04330 transcript:itb03g04330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSYETQHPVKAFGWAARETSGVLSPFKFSRRETGEKDVQFKVLYCGVCHSDLHQLKNEWGNTTYPIVPGHEIAGVVTEVGSKVEKFKVGDKVGVGCLVGSCRNCDNCADDLENYCPGNIQTYNANGVITYGGYSDIMVADEHYVLRWPENLPMEAAPLLCAGITTYSPLKYFGLDKPGMNIGVVGLGGLGHMAVKFAKAFGAKVTVISTSASKKQEAIERLGADSFLISRDPEQMQVINNPFW >itb14g07420.t1 pep chromosome:ASM357664v1:14:6818661:6821981:1 gene:itb14g07420 transcript:itb14g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTSRLRQALYSSSSAAAAASYLPTSFAPRGGISRALTTCIHSSPSLHSLNNSESLLKPHRFLAFPWSATQLRGVKLRGADVKPGNVIERKGKIYQVVKTQHTTQGRGGAIIQVELRDVDSGNKVNERFRTDEAVERVFAEEKPFTYLYTDDETGNIVLMEPNTYAQLDVPKHLFGDSYVFLQDDMRVCVQLYDERPMSASIPTRVTCTVAETPIQVKGASVTPQYKKAVLDNGVTVQVPGHILPGDKIIINTTDNSYMSKA >itb02g25280.t1 pep chromosome:ASM357664v1:2:26057410:26059107:1 gene:itb02g25280 transcript:itb02g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKVYCVPVFALILLFIPIIISEARSNSILSWPHPAPPSFAVNTTTLNQQNGKCSFTVDIRTSCYSTSTTRDQISLSFGDAYGNQVYAPRLDDPYSRTFERCSTDTFQIWGPCTYQICYVYVYRSGNDGWIPYDITIKGQGHYSRPVTFYYNVGVPRDMWYGFDYCSRATKAYAKWGWNLVSAFASTLYAIAGLERD >itb14g05540.t1 pep chromosome:ASM357664v1:14:4837485:4838541:-1 gene:itb14g05540 transcript:itb14g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative 60S ribosomal protein L27a-1 [Source:Projected from Arabidopsis thaliana (AT1G12960) UniProtKB/Swiss-Prot;Acc:Q9LPV3] MRPWQAVAVQTETPNYVFTPIPEFLNEPSKGQIRKIPPHITILCSPFKPSPEPHIHCSAAALQQSPASPPETMTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSMVPQEVKEKASKDDVPLIDVTQLGFFKVLGKGVLPSNQPVVVKAKLVSKIAEKKIKEAGGAVLLTA >itb06g20500.t1 pep chromosome:ASM357664v1:6:23461824:23465610:-1 gene:itb06g20500 transcript:itb06g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVEPPEGIIVPGKHYFSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKRIHNAFENRVDALRTLRELKLLRHLKHENVIALKDVMMPIQRSSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSSSKSQFMTEYVVTRWYRAPELLLCCDRYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQREEDIEFIDNPKARNYIKALPYSPGNPFSLLYPQAHPMAIDLLQKMLVFDPTKRISVTEALHHPYMSPLYDPNHDPPAQVPINLDIDEDMGEDMIRDMMWTEIIQYHPEAAAATASMELVM >itb11g13260.t1 pep chromosome:ASM357664v1:11:10265676:10266406:1 gene:itb11g13260 transcript:itb11g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSLAACIYFLNDKTKSLARAAIIGFGALVVGWISGSILVPTIPSFLLQPTWTLELLTSLVAYVFLFLACTFLK >itb02g06810.t1 pep chromosome:ASM357664v1:2:4268917:4271676:1 gene:itb02g06810 transcript:itb02g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLADSNYDDGKDNGSRESDIEMGTRLPRSQSDSGLESFNKQIQEVEKQVDKLSYLLKSLKDANEESKAVTKASAMKAIRKRMEKDVNEVGKTARNVKGKIEAINKDNMANRQKPGCGKGTSVDRSRINLTNSLAKKFKDVMTEFQALRQRIDDEYREVVERRVITVTGTRPDEETINKLIETGNSEQIFQKAIQETGKGQVLNTLEEIQERHDAVKEIERKLLDLHQIYLDIAVVVESQGDFLDNIESQVRNAVDHVHMGTDALQTAKNLQRKSRKCMMIAIILLLIIAAIIVLSILKPWKK >itb09g30560.t1 pep chromosome:ASM357664v1:9:31190673:31193124:-1 gene:itb09g30560 transcript:itb09g30560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSKTTMADLVGGYYDADENVKFGLPMAFTITLLWAVLEYNQEIAGTGEYCHALKTIKWGTGFFIKAHTHHRVLWVQKNTLKRRPWNANDAIDRGTQAKNNQTKPWTESESSGNRSRAKATSLSGDRGTLTMPLHPSRPPSRQGMLRG >itb13g15300.t1 pep chromosome:ASM357664v1:13:22087327:22091964:-1 gene:itb13g15300 transcript:itb13g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITSEGRRITKLDQILLNGNNIAILVPGGSPDTE >itb13g15300.t2 pep chromosome:ASM357664v1:13:22087327:22091964:-1 gene:itb13g15300 transcript:itb13g15300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITSEGRRITKLDQILLNGNNIAIVSNNIELI >itb01g21190.t1 pep chromosome:ASM357664v1:1:27377932:27379582:1 gene:itb01g21190 transcript:itb01g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMERSSRQGSLLLWATMAALLSHNLVLIPAISAASLNDQKNYYSPPDPNIGTPSTPSGSHGSGGHHGGSSSHHHGGSSSHHGGGGSYGGGSPPSNCGSPPHHGGGHHGGGGGYYSPPTTPSTPTYTPTPTTPTIPDIPTPTTPDTPTPIVDSPPTPIVPSPPFGLEPSTPPFGFDPNSPPFTCDYWKSHPGLIWGLVGFWGTVGGVFGVASVPAGGFGTNLNLLQALSNTRNDGFGELYREGTASLLNSMLSTNRFPYTTQHVRDSFGAALSSNTAAAAQARLFKLANEGRLKPRSL >itb10g18160.t1 pep chromosome:ASM357664v1:10:24181317:24183291:-1 gene:itb10g18160 transcript:itb10g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MLHPLPSSTLAISVQQNPKPYILKKCIALLLSCASSNHKLRQVHAFAIRRGIPLSSPDMGRYLIFTLVSLSGPMSYAQTIFNGIQTPNIFTWNTMIRGYAESENPGPAIGVHTQMCVNSVAPDTHTYPFLLKAIAKLMAVREGEKVHSIAVRNGLESLVFVQNALVHFYGACGQAESAHYLFEGMSEKNLVAWNSVINGYAVNSRPNETLTLYRKMELEGVQPDGFTLVSLLTASAELGALALGRRAHLYMVKVGLDRNLHAANSLLDLYAKCGNIKEARQVFDELEEESVVSWTSLIVGLAVNGFGKMALELFKEMERRGFVSTEITFVGVLYACSHCGMVDEGFAYFERMQKEFGIKPKIEHYGCMVDLLGRAGLVKRAYEYIKNMPLEPNAVIWRTLLGACSIHGHLELGEVARSKLMELEPTHSGDFVLLSNLYASERRWSDVHTVRKTMLQEGVKKVPGHSLVELGNCVHEFIMGDRTHPQTEAIYAKLAEMTNLLRIEGYVPHTSNVLADIEEEEKETALSYHSEKIAIAFVLINTPPGTPIRIVKNLRVCADCHVAIKLISKIYDREIVVRDRSRFHHFSNGTCSCRDYW >itb06g16740.t1 pep chromosome:ASM357664v1:6:20781292:20793583:1 gene:itb06g16740 transcript:itb06g16740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MEVEMETSPSSFDPEDLSIRQRFRRYGKRHSPSSLSPHREKSADRLAEVRSNSALFLENIKQEVESLHSDHVTTPLKMQNDTKWRSSLESHVISGTDFSVDATRQGGSISLITCKEEHDAVVDDEGTTFSLFASLLDSALKGLMNIPELVLQFENSCRNVSESIRFGFSGSHRIVEDKLMKQKARFLLDEAASWSLLWYLYGKGNEELPKDLMMFPTTSHLEACQFVNGDLTAQLCLRIVQWLEGLASKALDFDKEVRGSHIGTYLPNSGVWQQTQRFIKKGSLNSKTVSHLDFDAPTREQAQLLPDDKKQDESLLEDVWILIRAGRLEEAFNLCRSAGQPWRAATLSPFGRFDQSPSIDALVKNGKTRTLQSIELETGIGHQRRLWKWACYCASERIAEQDGGKYETAVYAAQCSNLKRILPICTDWESACWAMAKSWLDVLVDIELTRLQPGGRNQFKSFEEALDQSLEQENGASQPIMGSDGWPLQVVNQQPRHITALLQKLHSSDTVHEVVAQSCKDQQRQIEMNLMLGDIPHLLDLIWSWISPAEYDETVFRPHGDPQMMRFGAHLVLVIRYLLADQINDVFREKIMTVGDLIIHIYAMFLFTNHHEELVGIYASQLAAHRCINLFLHMMELRLNSSVHVRYKIFLSAIEYLPFTPEDDSKGSFEEIIERVLSRSREIRDEKYDSTNNVAEQHRLQSLQKAMVVQWLCFTPPSTINNAKDVSAKLLLRALRHSNVLFREFALISMWRVPAMPIGAHTLLSLLAEPLKHPTEGLSTDDYDASANLREFQDWNVYYSCDATYRNWLKIELENAEISPLELSDEEKNRAVTAARETLQTSLLLLKREENPWLVPTQDHIIYETEEPIFLELHAVAMLCLSSGECMTPDATLCATLMSALYSSVSEEQVLNRQLMVNVSISSKDNYCIEVVLRCLAVEGDGLGSPELHDGGILATVLAAGFKGEMLRFQAGVTMEISRLDAWYSRNDGTIESPATYVVRGLCRRCCIPELILRCMQVSAMLMESGKPPQNHDELIELVTNPETGYLNLFSQHQLQEFLLFEREYEIYKMELEESSNFLSCIY >itb01g31480.t1 pep chromosome:ASM357664v1:1:35213760:35215306:-1 gene:itb01g31480 transcript:itb01g31480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLKPSFLFVQLAIFVSLFQYSLGARQLAESTQDQSFLFQYHNGALLTGKVTVNLIWYGKFKPSQRAIVSDFITSLSSTSKPAAAAAGGQPSVATWWKATEKYYGALKSKKASPLVLSLGTQILDEKYSLGKSLKNHQIQELAAKGDQQNAINVVLTASDVVVEGFCTSRCGTHGSSMSSKITPAKGKNYKFAYIWVGNSETACPGKCAWPFHQPIYGPQAQPLVAPNNDVGLDGMVINLASLMAGTVTNPFGNGFYQGPADAPLEAASACTGVYGSGAFPGYAGNLLVDPTSGASYNAHGANGRKYLLPALFDPSTSSCSTLV >itb09g27560.t1 pep chromosome:ASM357664v1:9:27791401:27792257:1 gene:itb09g27560 transcript:itb09g27560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHIFRAKQVLRRSSSITNRECEVPKGHFAVYVGESEKRRFVIPVSYLKDPSFQDLLSQAEEEFGFDHPTGGLTIPCMEDTFVEVISSLRS >itb02g01380.t1 pep chromosome:ASM357664v1:2:758499:761028:-1 gene:itb02g01380 transcript:itb02g01380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTKLYMNKPKKSQLKQKASSTQTPSSSSSSMASSTSTAATKPAPPSPPAKEPFLRRYRFMLPMLLAVNLSIGAYLYMRTKNKDPIIEMEEVPSAPVVTATESTKIAEKLVTPPVVQPVMEPISDNDKREIFKWILEEKRKLKPKDPEEKRRIDEEKAILKQVIRAKSIPSL >itb10g22080.t1 pep chromosome:ASM357664v1:10:27038615:27041232:-1 gene:itb10g22080 transcript:itb10g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGNDTHEVVQEQIQQGMFTVNLVNPHLSQTIDFGFGTSIPSKIDPADPFMKVCQTSMQHTPYLPGWYANCASKTFMHAGLLDKSTYKLVEAIQILRAKHLLLSFVMLFLRRTDDAEILKFLVDLVPLFSCHHF >itb09g19750.t1 pep chromosome:ASM357664v1:9:16027299:16028175:-1 gene:itb09g19750 transcript:itb09g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTATLWSTCMVSTQRLSSLMKISGGVKSGNGGAQPGKVNSQRLGAELRRASGHGVKSKAWRDLPHAESDLRSGSFCVIDERGNDNTPEHVSDDVPLLSFSSDSGRGDDRGVPERHPAATNFLTMVADDVKLKGGGRHSGKINFQKCSDPLLAATETWRSNVLRRPYSVLSFFDDGGGCSMSSDDSEDPNKGGRHFEKSIFEKLRSSVHEVR >itb11g15050.t1 pep chromosome:ASM357664v1:11:12388838:12389257:1 gene:itb11g15050 transcript:itb11g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKVLYVRRFGSTSGDDVRSGDRRSRRRNSFPSSLLLLLHTCDFRRNGVWLVRQQWRRRCINDGLICSEDHRRRPWAGDGRERCRQWVLFTTDGALFLVSDGEAPTFFCRRWTSTVRHCGVDFAFVFPFSFLRLAAGE >itb03g13710.t2 pep chromosome:ASM357664v1:3:13742239:13747944:1 gene:itb03g13710 transcript:itb03g13710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAIACALCVILLSFHLTSCIGDEGKTLMYIKESFSNVANVLLDWDDVHNQDFCSWRGVSCGNFSMSVVSLNLSNLNLGGEISPAIGDLRNLQSIDLQGNQLTGQIPDEIGNCISLILLDLSDNLLYGDIPFSISKLKQLELLNLKNNQLIGPIPSTLTQIPNLKTLDLARNKLSGEIPRLIYWNEVLQYLGLRGNYLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPDNIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLSGVIPEVIGLMQALAVLDLSENELEGPIPHILGNLSYTGKLYLHGNKLTGSIPPELGNMSKLSYLQLNDNELVGRIPSELGKLEQLFELNLANNNLEGPIPENISSCRALNQLNVHGNNLNGSIPSGFRDLESLTYLNLSSNKFKGTIPFELGRIINLDTLDLSGNEFSGSIPASVGDLEHLLTFDMSCNKLSGAIPKDLGQLQNLGSLSLTNNNLSGQIPEQLTNCLSLVSLNVSYNNLSGVIPISRNFYRFSPDSFVGNPLLCGNWCGSICDPCASKSKAVFSRTAVVCITLGFIALLSMVIVAVYKSNQAPHFMKGPTSTIGSPKLVVLHMDMAIHTYEDIMRFTENLSEKYVIGCGASSTVYKCHLKNSRPVAIKRIYTQHPYSLREFETELNTIGSIRHRNVVSLHGYSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCISSAKTHTSTYVLGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKPVDNDLNLHQLILSKADNNTVMEAVDSEVSVTCTDLSHVSKTFQLALLCTKRLPSERPTMHEIVRVLTSLLPPPPLKPCLPPPKSINYAQFLVEKGQTENQQDGNSSDAQWLVRFREAISKNTL >itb03g13710.t1 pep chromosome:ASM357664v1:3:13740869:13748295:1 gene:itb03g13710 transcript:itb03g13710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKESFSNVANVLLDWDDVHNQDFCSWRGVSCGNFSMSVVSLNLSNLNLGGEISPAIGDLRNLQSIDLQGNQLTGQIPDEIGNCISLILLDLSDNLLYGDIPFSISKLKQLELLNLKNNQLIGPIPSTLTQIPNLKTLDLARNKLSGEIPRLIYWNEVLQYLGLRGNYLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPDNIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLSGVIPEVIGLMQALAVLDLSENELEGPIPHILGNLSYTGKLYLHGNKLTGSIPPELGNMSKLSYLQLNDNELVGRIPSELGKLEQLFELNLANNNLEGPIPENISSCRALNQLNVHGNNLNGSIPSGFRDLESLTYLNLSSNKFKGTIPFELGRIINLDTLDLSGNEFSGSIPASVGDLEHLLTFDMSCNKLSGAIPKDLGQLQNLGSLSLTNNNLSGQIPEQLTNCLSLVSLNVSYNNLSGVIPISRNFYRFSPDSFVGNPLLCGNWCGSICDPCASKSKAVFSRTAVVCITLGFIALLSMVIVAVYKSNQAPHFMKGPTSTIGSPKLVVLHMDMAIHTYEDIMRFTENLSEKYVIGCGASSTVYKCHLKNSRPVAIKRIYTQHPYSLREFETELNTIGSIRHRNVVSLHGYSLSPHGNLLFYDYMENGSLWDLLHAGPSKKVKLDWETRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCISSAKTHTSTYVLGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKPVDNDLNLHQLILSKADNNTVMEAVDSEVSVTCTDLSHVSKTFQLALLCTKRLPSERPTMHEIVRVLTSLLPPPPLKPCLPPPKSINYAQFLVEKGQTENQQDGNSSDAQWLVRFREAISKNTL >itb09g19400.t2 pep chromosome:ASM357664v1:9:15622509:15624844:1 gene:itb09g19400 transcript:itb09g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFESLDVKKIGHGCTGFGAVLIVAGLLFFEKHFLIKGNGSVSFAIGLFLVGIGWPIIGMVLECYGFVVIFSSWPGLLAYLRKLPVLGWIFWQPAVASFFQPYKLGGRRIPI >itb09g19400.t1 pep chromosome:ASM357664v1:9:15622509:15624825:1 gene:itb09g19400 transcript:itb09g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFESLDVKKIGHGCTGFGAVLIVAGLLFFEKHFLIKGNILIFLGVALTIGVKSTLQLFFKRQNFKGSVSFAIGLFLVGIGWPIIGMVLECYGFVVIFSSWPGLLAYLRKLPVLGWIFWQPAVASFFQPYKLGGRRIPI >itb01g09820.t1 pep chromosome:ASM357664v1:1:8131084:8131827:-1 gene:itb01g09820 transcript:itb01g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTYRPSIRVSFESRLRSGRDTMRMMVIEIAVKHTRTVFLRDRRLGQIVDFYERNTESCVSFPFPVDSLGLSSYNYFRAQFCVWLPGLAPLISSEISQQMFLYARRVSLARQDLNDGVMVVTARVEIDEPEVEEVHIEGSGDDGGGVPSNFGDNTSIEDEGDDELSSSLPRGLSLSEINRLKQEGFKSSGGAEEESRCSICLEGFLEGVNTTPLPCFHRFHHSCIATWLERQASCPLCRFHITPP >itb13g17530.t1 pep chromosome:ASM357664v1:13:24525122:24527202:-1 gene:itb13g17530 transcript:itb13g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSSKRRHKSTKEIEEEVPITVTVMNVTVNCKLSLGICRRNKYKLKSIILCTKPTREEAITDVYKSLCPETISIADLGCSSGPNTFLVVFDTIRAVEKLRKMTGNPSPEYVVHFSDLPSNDFNSIFRSLPRCVEDFKKEMGDGFGHCFFAGVGGSFYGRLFPSKSLHFVHSSNSLHWLSQIPKGSEENKDNICITASTPPNVIKAYCEQFESDFSIFLKSRSEELVTGGRMVLYFMGRKSESPKPTHGALKFMGLVEEEKLNSFNLPIYAPSLKEVKMIVEKEGLFSINVLEGFTHDYSEPLKDAKTITNTMRAGAESLVVSHFGGGIVDQVFNKYEEMIGECMTEFVKEDFFIIVSLTKI >itb15g20260.t1 pep chromosome:ASM357664v1:15:22850294:22853940:1 gene:itb15g20260 transcript:itb15g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHCSSDEPLQERQKPVHSNCLISLPFYSPPLFHPSFFRHHSKPNLQLLRMAEDSSRAVRRFHSSVVSAADNCLKSLHSLLSQNPFFKKLLSLSSHLQDIRQIERRARQNFNTLSSHNFAAIIPGDSVAGIVVTNGILNFLNIYNSLLVVRLVLTWFPNAPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPPPTGVSRDDPPHTVEPHLTTSQRKWMRRRSAGNRPKTAGGDK >itb15g20260.t2 pep chromosome:ASM357664v1:15:22850294:22853940:1 gene:itb15g20260 transcript:itb15g20260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHCSSDEPLQERQKPVHSNCLISLPFYSPPLFHPSFFRHHSKPNLQLLRMAEDSSRAVRRFHSSVVSAADNCLKSLHSLLSQNPFFKKLLSLSSHLQDIRQFQIERRARQNFNTLSSHNFAAIIPGDSVAGIVVTNGILNFLNIYNSLLVVRLVLTWFPNAPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPPPTGVSRDDPPHTVEPHLTTSQRKWMRRRSAGNRPKTAGGDK >itb01g29130.t1 pep chromosome:ASM357664v1:1:33411930:33414892:1 gene:itb01g29130 transcript:itb01g29130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASQRSNASKRDESVVVAIDKDKGSQYALKWAIDKFSLGKGKSVTLLNVKHKPNSSAGSNHLDDGYPVVHKCQIDSHAKELFLPFRCFCTRKNIHVNEVVIEGADITRALCDYVAANLIENIVLGACSRNSFVRRFKALDVPSGVVKNAPEFCNVYIIAKGKLSSSRNASIPTPSAPTRQGYGNSNAGSGFSDPRIMQSSDSIGNVTQSPFSRRSTEENDFIRSPFTRSQFSNRSYGELSMPESDISFVSSGRPSTERLFPMLSDSQEMNYPCRLSNGSDTESRLSFGSVFSGSRASDVNNALNMVSPFSQETGGSWSSSSQNLDEVEAEMRRLKQELKQTMDMYSTACKEALSAKQKAMELHRWKVEEQQRLEDARSAEEAALALAEKEKAKCKAAIEAAEAAQRLAELEAQKRINAEMKAMKEADEKMKVLDKIAKNDFRYRKYAIEDIEAATENFSGSRKIGEGGYGPVYRSTLDHTEVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPDYGCLVYEYMANGSLEDCLLRRNNTPPLPWQLRFRIAAEIGTALLFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPNVADSVTQYRMTSAAGTFCYIDPEYQQTGMLGIKSDVYSLGVMLLQIITAKPPMGLTHHMERSIEKGTFADMLDPAVTDWPVEEALKYAKMALRCAELRRKDRPDLGSEVLPELNRLRALSEESMPSLHRY >itb07g07270.t1 pep chromosome:ASM357664v1:7:5547783:5548847:-1 gene:itb07g07270 transcript:itb07g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGPIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADMMPEKNDKKRWVKRTFCDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb09g03970.t1 pep chromosome:ASM357664v1:9:2184319:2189968:-1 gene:itb09g03970 transcript:itb09g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKLGIMDRRVPLIWVSASAALLFLFLPFLQLSLVSGNSEGDALNALKTNLVDPNNVLQSWDPTLVNPCTWFHVTCNSDNSVTRVDLGNANLTGQLVPQLGQLPNLQYLELYSNNISGRVPTELGNLTNLVSLDLYLNTLSGPIPDTLGKLQKLRFLRLNNNSLTGLIPMSLTTVTTLQVLDLSNNHLRGPTPVNGSFSLFTPISFANNPELQTPPVSPPPPLSPTPPSSPVGNSATGAIAGGVAAGAALLFAAPAILLAWWRRRKPQDHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPDSQPPLDWPIRKCIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKYETLVDGDLQGNYIEEEVEQLIQVALLCTQSSPGERPKMSEVVRMLEGDGLAERWEEWQEEEMFRSDVNHMHHPNTDWIIADSTSNLRPDELSGPR >itb15g04580.t1 pep chromosome:ASM357664v1:15:2900089:2902768:-1 gene:itb15g04580 transcript:itb15g04580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAADPQFHVLAVDDSLLDRKLIERLFRTSSCQVTAVDSGSKALEFLGLLEHGQDCQTQPSVLPNHNQEVEVNLIITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVRLSDVDKLKPHMMKTKGNKHQKAGTDDDTQEHKETSSEESSSVESGVTDVQSQLPQLPLEQPQSETQQHQPPPPDNTNNCNNKRKAMEEGLSPDRSRTRYNGLTSL >itb04g09550.t1 pep chromosome:ASM357664v1:4:8821616:8824242:1 gene:itb04g09550 transcript:itb04g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTKKPFQLLEVTIISAQDLEPKAKKNMRTYTTAWINPARKLSTIIDTEGGSNPTWNDKFVFRVDEAFLRQDGSAVEFEIYKLSWLGDSLVGTVRLLLGNLIPPPGAPNQHQNLGMRFAAIQVRRPSGRPQGVLNIGVAVLDSSKRSTPLDRSEDYHHRNNNNPVLSRSRSDRSEFLGLDSEAVEIPKKKRGNKKVVDDDKESSILSMSWFPPPPPPSITKETNAKKKPNKKVDDDDKDSALSASWYARPPPPPPAVTTETNLSKKGNKVIDDDKDSVFSASWYARPPPPPPAVTTETNLNKKGKKVIDDDKDSVLSSSWYARPPPPPPTSTTETNLNKKGNKVIDDDKDSVLSSSWYARPPPPPPTSTTETNVNKRGYKFDDDKGSSILSMSWFQPQPPPPITTETDLNKKGNKVDDDKDSSILSMSRYQLPPPPAAAITTETNAMKGKASSVINTADLKSYEKGKAISVVSDSIMIKDSSPSGKIGNKIEARQAENKEIVGDNKNGPQFDQSPTNNSVDGRPKIGGKPNIPRIPGFDYGSVKGTGSKLVIGGGPYKANSIMSDSEVGPSPSEVAAAMAERPRYPLEDSVLDGWSCDESVEGLRSRLERWRTELPPLYDRGAYAPTSSSFQSSSQHTAPRKRGANGNGGGPFSCFGNIFGYECQCVCGQPKPKRNAAKTRVRSPSPFR >itb15g00250.t2 pep chromosome:ASM357664v1:15:160639:164030:1 gene:itb15g00250 transcript:itb15g00250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRRLFSSPSPFPHKANIRNCSSSSSSVLMLHEQIAPALASAPSTRINSRLSLRFAKEEHTSLETLDRMQREAASSVFEEEDHTNFSEEYIKDAQERLLRLPGICYLFPSLLAKGEVSAYMTTKSLPLPSKAVDLINVEPCSVVALAQEALLASREAMSLAEDSKLLGSFFDESPQPILTNDPTEEEKIIRSTRLLERRSKRRGVKPKVKAPDIHHFKKPDVQRKVTGTFDQNDPLRLFLWGPETKQLLTAKQESELILNIQDLVKLEEVKVRFQREFAREPTLVEWAKAVGLSQLALQSKLHFGNSSREKLINANLRMVVHIAKQYQGRGLSFQDMLQEGSLGLMKSVEKFKPQVGCRFATYAYWWIRQAIKKAIFQHSRAVRLPVSIYALLSKVIEARKSCIEEGNHQPSKEEVARHAGITVEKMERLLFTARMPVSLQQPVWADDRTTYQEITADNSIEATESSVSKRVMRQHVRGLLKVLSPKERKVIRLRYGMEDGQTRSLSEICDDFGLTKERIRQIQSRALYKLKQNLSDHGLDAYREMLI >itb15g00250.t1 pep chromosome:ASM357664v1:15:160639:164030:1 gene:itb15g00250 transcript:itb15g00250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRRLFSSPSPFPHKANIRNCSSSSSSAPALASAPSTRINSRLSLRFAKEEHTSLETLDRMQREAASSVFEEEDHTNFSEEYIKDAQERLLRLPGICYLFPSLLAKGEVSAYMTTKSLPLPSKAVDLINVEPCSVVALAQEALLASREAMSLAEDSKLLGSFFDESPQPILTNDPTEEEKIIRSTRLLERRSKRRGVKPKVKAPDIHHFKKPDVQRKVTGTFDQNDPLRLFLWGPETKQLLTAKQESELILNIQDLVKLEEVKVRFQREFAREPTLVEWAKAVGLSQLALQSKLHFGNSSREKLINANLRMVVHIAKQYQGRGLSFQDMLQEGSLGLMKSVEKFKPQVGCRFATYAYWWIRQAIKKAIFQHSRAVRLPVSIYALLSKVIEARKSCIEEGNHQPSKEEVARHAGITVEKMERLLFTARMPVSLQQPVWADDRTTYQEITADNSIEATESSVSKRVMRQHVRGLLKVLSPKERKVIRLRYGMEDGQTRSLSEICDDFGLTKERIRQIQSRALYKLKQNLSDHGLDAYREMLI >itb02g04610.t1 pep chromosome:ASM357664v1:2:2750374:2752473:-1 gene:itb02g04610 transcript:itb02g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGVGVLALLLLIAASSVAPSAEARAFFVFGDSLVDNGNNNYLATTSRADAPPYGIDSPTHRPAGRFSNGLNIPDIISERLGLAVAEPYLSPMLKGDRLLGGANFASGGAGILNDTGSEFLNIIHIGKQLEYFEQYQMRVSQLIGAEGTRQLVNDALVLITLGANDFLNNYYLVPYSARSRQFSLPDYIRYILSEYRKVLQKVYDLGGRRVLVTGTGPIGCVPGELALRSPTGACALELQRAANLYNPQLRQLIVELNNELGADVFIDTNTFKMNMDIIYNPKHTGS >itb13g19170.t1 pep chromosome:ASM357664v1:13:26170328:26173553:-1 gene:itb13g19170 transcript:itb13g19170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLPIISCTNNNISFSTKSSPPNFSRPSQIFLNPSRAAARRGDVKIRCAASSEGRENPESLSSAKLDRRNVLLGLGGLYGAYNLGGGSNPFALADPVPIPDVSACHLATISFSQCKVPYSCCPPITDTTNVEYYKIPSFSKLNVRPAAHAVDDEYLNKYLYFFERIMQSMIDDPTFTLPYWNWDNPQGMTFPEIFDDESSPLYDQYRNQEHLKGKVIDLAYSGDEIDASDFQKVKNNLAVMYRQMVTNAPCPLLFFGNPIRGETGFTGSGMGTIENIPHNSVHRWTGDPRNANNEDMGNFYSAANDPVFYCLHSNVDRMWTLWKTLGGNRKDIADKDWLQTEFLFYDETNTPVKVKVSDCVDNEKLGYTFQDMPTPWKNFKPTRKRRAKLRSTASVSASTDALPAKLNKTITFYVTRSAAEKEGEVELLNLDIDYYDTEFIRFDVFLNEDEDVNTVELDRIEYAGSFSNLPHVHNNQGGSTTNTSKSLKTTFSLAISELLQDLGLEGEDKVLVTLVPKVGGPCVTVNTANITTEDC >itb10g20100.t2 pep chromosome:ASM357664v1:10:25762061:25765116:1 gene:itb10g20100 transcript:itb10g20100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCLRILTRHYSSFLLSPNPALKTLNPAFFDSLRNLTFSQDCLHISTKSHTQYPFISRNFCSKHNDGSEDESGDEGEEEFDDFSDDDEDAEVREANSGPKSPEDKIKEAAEIGYKVIGPLEKSDRAFKPYEPVFAVVQIGSHQFKVSNGDSIFVERLKYCDVNDKLILNKVLMLGSQTQTIIGRPILPEALDAKVLIFKKKRRKNYRRTRGHRQELTKLRITDIQGIEKPEVMPSLKTGKSGAKPADKVAVAA >itb10g20100.t1 pep chromosome:ASM357664v1:10:25762061:25765116:1 gene:itb10g20100 transcript:itb10g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCLRILTRHYSSFLLSPNPALKTLNPAFFDSLRNLTFSQDCLHISTKSHTQYPFISRNFCSKHNDGSEDESGDEGEEEFDDFSDDDEDAEVREANSGPKSPEDKIKEAAEIGYKVIGPLEKSDRAFKPYEPVFAVVQIGSHQFKVSNGDSIFVERLKYCDVNDKLILNKVLMLGSQTQTIIGRPILPEVAVHAVVEEHALDAKVLIFKKKRRKNYRRTRGHRQELTKLRITDIQGIEKPEVMPSLKTGKSGAKPADKVAVAA >itb08g16460.t1 pep chromosome:ASM357664v1:8:18575559:18580163:-1 gene:itb08g16460 transcript:itb08g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLPTLKRLLLRNASNPLYPFLLGRRSLHAGEGANHAVTLIPGDGIGPLVTGAVEQVFEAMHAPIHFERFQVHGDMNSVPPEVIASIRNNKVCLKGGLKTPVGGGVNSLNVQLRKELDLYASRVHCFNLHGLPTRHNNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAHLNNRKKVTAVHKANIMKLADGLFLESCREIANKYPDIEYDEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGSVGAECAIFEQGASAGNVGNEKIVALEKANPVALLLSSAIMLRHMQLPSFADRLDASVKYVIAEGEHRTKDIGGSSTTQQVVDAVIANLDRRVE >itb03g05990.t2 pep chromosome:ASM357664v1:3:4235434:4236557:1 gene:itb03g05990 transcript:itb03g05990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCPCGSKTADTNSSTTSSDVSSACSSAPETPKSKSSRTNVSSSTVGYSNFTIVGSTGESNKTCVNGGILPAPNLKIYSFADMKAATNKFRSNMILGAGGFGAVFQGWINHETLAPSNSACRMKVAIKKLNSDGSQGFEQWQVLSSRRCSYFL >itb03g05990.t1 pep chromosome:ASM357664v1:3:4235434:4243682:1 gene:itb03g05990 transcript:itb03g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCPCGSKTADTNSSTTSSDVSSACSSAPETPKSKSSRTNVSSSTVGYSNFTIVGSTGESNKTCVNGGILPAPNLKIYSFADMKAATNKFRSNMILGAGGFGAVFQGWINHETLAPSNSACRMKVAIKKLNSDGSQGFEQWQEDEELLLVYEFMQKGSLENHLFRSSATILSWDLRLKILKGAAQGLAFLHTTEREVIYRDFKASNILLDASDVYGFGVVLLEMLTGLRAIDEKRPNGQQNLLDWKKPMLSQKKMLKSLMDARMEGQYCTKAALQAAQLALRCLENEPGKRPSMREVVEILEEIEAMEGKKSKHSK >itb04g26570.t1 pep chromosome:ASM357664v1:4:30862952:30866396:1 gene:itb04g26570 transcript:itb04g26570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQVNYGLLSELEFMGFSESRAKKALQSSGNSSIEAAINWLIDHENDPDDDQKSPDDDQDDPTEVPVIIDIEGPSISEEVESRSQGLSSPDRDRARSKMEEEAKKLEREREKERIQSGKELLMAKRRAEETERERFIAQRKKDKEEERRARDRVRQKLLQDKAERMGIHGASLMNEEKRQNPLVTKSAPLPDYSAQRTELMRECLRSLRRQYKEDDTKVKRAFQTLLIYCRNVVNNPNEEKFRKIRLRNPAFQARVGFCREGIQFLELCGFERVGGDQFLFLPREKLDMAVLKSAGIVLHSAITNPFFGLLSK >itb04g26570.t2 pep chromosome:ASM357664v1:4:30862952:30866378:1 gene:itb04g26570 transcript:itb04g26570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSESRAKKALQSSGNSSIEAAINWLIDHENDPDDDQKSPDDDQDDPTEVPVIIDIEGPSISEEVESRSQGLSSPDRDRARSKMEEEAKKLEREREKERIQSGKELLMAKRRAEETERERFIAQRKKDKEEERRARDRVRQKLLQDKAERMGIHGASLMNEEKRQNPLVTKSAPLPDYSAQRTELMRECLRSLRRQYKEDDTKVKRAFQTLLIYCRNVVNNPNEEKFRKIRLRNPAFQARVGFCREGIQFLELCGFERVGGDQFLFLPREKLDMAVLKSAGIVLHSAITNPFFGLLSK >itb11g21800.t1 pep chromosome:ASM357664v1:11:23543503:23546770:1 gene:itb11g21800 transcript:itb11g21800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQYAYFNSEAAAIVQIKIPTTLNTPFEPEVKLVFSNPSKPPLPSGMGVFSHNHILYMVGGYNTRKFKGTGNNRREVKDDDDAYGCIFGYEYEYDDRVHMFDPTKCHQIPVENIETLQNLGCAHTVLPKVIRAEDRIYLLSRKDDHFGYRIWSSKEHLDENVPLDFQYFDLNKKLFETLPSPPIRINLEMHLSVIGVKGYFFLRGYIYVFITDTTTCFETFKFSTKDSKWEDCKSFVDRFEERNIPFPFLHAGDMGVSDEFDDNTWILVSLHGKLPTAYRVRLSDTGDIDPISHRVLAEFKFSDADMPYSVHDWKQLADMGGERFCVMHTTSSGDFFIYVFEINFRLEHAIQTFESGDRSSNIIFSMKFNPYDTLPKGHVLTGFCIASAPLPASPDNEDQDKTPPRASPDNADQEDQNSSSCATELAVKTTII >itb06g13600.t1 pep chromosome:ASM357664v1:6:18271177:18273057:-1 gene:itb06g13600 transcript:itb06g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLATAEVCDSNASHLASGDLRVLHPVFQIYGQCRAFSGPVVTLKVFEDNVLVRELLESRGEGRVLVIDGAGSMRCALVGGNLAQLAQNMNWAGIIVNGCIRDVDEINGCDIGVRALATHPQKSGKKGIGEKHVPVYVGGTLIRDGEWLYADSDGVLISKSELSI >itb06g13600.t2 pep chromosome:ASM357664v1:6:18271177:18273535:-1 gene:itb06g13600 transcript:itb06g13600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDDRSTVRSERINHADVYTSSIYTRASAHISSSIISKFEALISSDNSGEFMAFLATAEVCDSNASHLASGDLRVLHPVFQIYGQCRAFSGPVVTLKVFEDNVLVRELLESRGEGRVLVIDGAGSMRCALVGGNLAQLAQNMNWAGIIVNGCIRDVDEINGCDIGVRALATHPQKSGKKGIGEKHVPVYVGGTLIRDGEWLYADSDGVLISKSELSI >itb06g02720.t1 pep chromosome:ASM357664v1:6:4673506:4682234:1 gene:itb06g02720 transcript:itb06g02720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSKFKASASQPALTLTSTLVDDDDDFQDPSPSQLCSRNATSISGSWKPLKPSGSLHRPRKKPKRRSESSGKENLVPRVDAPDIGCSLPLQQKPELELEDMDLNIGLDSIPSTIDCSYPGCLDPKEEDKCFNVIEQNEKVGAIIEADSDTKNESFSCASEKSVLESKKGYFVNSIESRLLKSSAGLEEGKGEELGESSELDMLLKLCSEGSEGDGDSMEAYQEDDCVSEGNDDSSLICCPLCGRDITDFNEEQRQIHTNECIDKEDAPAEAVLSHRDTSFQCLGQVLDASPARTPQKLVAMPPVVEWLRKLGLAKYEELFIQQEIDWDTLQWLTEEDLCKIGVSALGPRKKIVRALSEVRKENTKEVEFQKNAKKAVVDDTSKVKLSKLITDYFQCSAAGTKNVHATSCGQNEVGRSLMDSSNKSVKKNPAKSTKYKDIPVWCSIPGTPFRVDAFKYLRRDCSHWFLTHFHADHYQGLTKSFCHGKIYCSSVTAKLVNMKIGIPWDNIKILPLNQRINIAGIDVTCFDANHCPGAIIILFEPSNGKAVLHTGDFRFCDEMMEIPSFQPCKIHTLILDTTYCNPQYDFPKQDAVIQFVIEAIQAEAFNPRTLFLIGSYTIGKERLFVEIARALRKKIYVTAAKLRILQCLGFPDEDMQFFTLNEQESHIHVVPLWTLANFKRLNHISNQYMGRYSLIVAFSPTGWSLGKGKKKTPGKRWQQGTIIRYEVPYSEHSSFSELKKFVKFISPANIIPSVNNHGPESTRKMLSLLSD >itb01g33380.t1 pep chromosome:ASM357664v1:1:36526137:36530091:1 gene:itb01g33380 transcript:itb01g33380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLNDELSKRTSIFGLRLWVVLGICVGAAIVLVLFLASLWFTSRRNSSKKSTTTLLAHKNSKIPNASKEIQEIRIDPSRTHPEKPKLLPAPVPDPVPEPELLDDESHSSGGQQRINIEIGKGHRISYPERVAPGSGSRHGSGESRSGDQAPAITVPEVSHLGWGHWYTLRELEIATNGFTVENVIGEGGYGIVYSGVLEDNTKVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGCAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLGSEKSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIITGRNPVDYSRPPGEVNLVDWLKTMVSNRNSEGVLDPKLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADDFPFRDDQRAGRDNARSHHDGVKERVMQKRMIESGDSSGYESSVQTNNTSLLRRQETDDEQ >itb03g04490.t1 pep chromosome:ASM357664v1:3:2839879:2841651:-1 gene:itb03g04490 transcript:itb03g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLITFILNNGQCCWRAVPKLAGLLRCGKSCRLRWINYLRPDLKRGLLSEFEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLSATDHEEEDEDDDQKTNKTKPQTENGTSADQESPVPGTSNDQSSITDLMVEEDNKSMETSQQSPVFESSIMEVSNGFCTDEVPLIEPHEILVPTCSSSSSDNYTAAGGDFVSSNNVNINNNNNNNINNVVEDMEFLPSLDWQCDSIDDMGFWGDDFITTTLDSLFNSDSNNFIINNNNNNLNQVPLMVTDEESWKLDQLL >itb13g15160.t1 pep chromosome:ASM357664v1:13:21874515:21875383:-1 gene:itb13g15160 transcript:itb13g15160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVLEPERRTNPLIWCAALICTVLTIAVIITGFAVFVGYLVLRPKVPQMSVKSARLESIDYDMAGILAVKVSIVIAGQNDNARAHASFYKTSYALSFGGVKLAYLNAEAFDVPKNSSRELYYLVESTPIPLSPEQGETVEAALRQSHVAFDLKGTSRTRWRIWMLGSVKFWLHLDCQLKLPLDRTTLYPKCSTKSR >itb01g31970.t1 pep chromosome:ASM357664v1:1:35583592:35585001:-1 gene:itb01g31970 transcript:itb01g31970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGEYVEEKRDHHSNTMFAKLHQTQKFHPHHHHPYHQPPPPPPPQPTFHHPFQVGAARECQTSEDTDSPPSTTGKQPITPQPISAVVGARTPSSGNDGASIEVVRRPRGRPPGSKNKPKPPVVITRDAEPAMSPYILEIPGGVDIIECTTRFCRKRNMGLCILNGSGTVSNVTLRQPSTTPGATVTFHGRFDILSISATLVGPNATFPSLIANGFTISLAGPQGQVVGGAVAGPLLSAGTVYLIAATFTNPSYQRLPAAAEEDGRSSGGAGNDGPDLQHSPPHTDVSGGGDSGAAAAADSCGISMYSCHMPSDVIWAPTARQPPPPY >itb07g00280.t1 pep chromosome:ASM357664v1:7:223266:224181:1 gene:itb07g00280 transcript:itb07g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHSTIHGGVKSSNQPSSTPPPNDSSLIGGFDSDFVVILAALLCALICVLGLAAVARCAWIRRISAGAADPPPPPANKGLKKKILKSFPKLSFAPELASKFSECAICLTEFASGDEIRVLPHCGHGFHVGCIDTWLGSHSSCPSCRQILVSSRCHKCGEFPAPTSSSTAAAAAPVADTRFAPSAYHVNPFLP >itb02g05870.t1 pep chromosome:ASM357664v1:2:3647575:3654987:1 gene:itb02g05870 transcript:itb02g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSGKRGEEEVESSGVKNQEEEEGELMECSAQSGQLQLPADPSMVQSPPHTPRAYYPPVIFTPQAPVVPLQWPDEIMQNRSSDEAKEKTREYGVPTMITWSHGGNEVAVEGSWDDWKTREHLQRTDKDVFAIMKVLPAGVYHFRFIVDGQWRCAADFPRECDNLGNVFNVLDLQDTIPEVPNNSNWPDSPPSPESSYNSAPFTAQDFSDKVPDLPPLLQQSPLDQSPSSSTRECSSLEKPSAAVLNHLFIQRGRRAHSTVALTSTHRFRAKYVTVVLYKSLHNLKNAGLPKLLCGDGGLKVVSGYDDLRVVSCDSDDCVGTWNWRWSRNHLAILEASIPIFHTKLTWNSAPINLSASAFSTPQNSFKSFQLLASTSPSFFHTYVHSKSSREIPARVFHPWVWIRLGQRLVVLRFSCSGFGVFSGVQQCRFAEPRISLPGLGS >itb12g21120.t1 pep chromosome:ASM357664v1:12:23516206:23517138:-1 gene:itb12g21120 transcript:itb12g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMHSEMTDEMFRIFHKIDRNLYSMLVFELGHDPFESVWVIALWIWLERIGFNNVVQTILSLPKSLISGLAGEATVCLKCIRDMLGVLAPAPSEILLTQRIVGKQLSLRFFLEHRVTASHGIKEVVAEVCVKALTDLMEAAIHRNTEQRFSDRMVMIPPAAQVPRTPSTQMGRPRGEASQVRPQPRTGTRGETSQARPPSNILPPEERTMFATFSKGYPVGEWEVMAFFTKLLGNCIEAIHMQAVKPHEQALYARVVFFNPEVINVILNGQQKAKFTINGKHIWMRRFIPRGTPAPLPQYPSMNPGPYL >itb03g01180.t1 pep chromosome:ASM357664v1:3:627919:631150:-1 gene:itb03g01180 transcript:itb03g01180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLGCLQVDQSTVAVMEQFGKFDDVLEPGCHCVPWCLGYQSAGTLSLRVQQIDVRCETKTKDNVFVTVVASIQYRALAEKASDAFYKLSNTKAQIQSYVFDVIRATVPRLNLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDIHVKRAMNEINAAARLRVAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGSSSKSSSVFIPHGPVIRATVPRLNLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDIHVKRAMNEINAAARLRVAANEKAEAEKILQIKKAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGSSSKSSSVFIPHGPGAVKDISSQIREGLLQASSIKP >itb04g14610.t1 pep chromosome:ASM357664v1:4:15271157:15278921:1 gene:itb04g14610 transcript:itb04g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKNRLPSSGHSSPSTPSSPRSRSPRVRRGGKPGGRFTPGQPAPRTLPQRFAWILLSVLLRRQGIFLFAPLLYISGMLFYMGTVSFDAVPIIKHRATPGSIYRSPQLYLKLQPEMDSDNSSADAISTIWKHSYKGDEWRPCTNKSSGGLPESNGYIYIEANGGLNQQRTSICNAVAVAGYLNATLVIPHFHFHSIWRDPSKFGDIYDEEFFVQTLEHDVRVVNTLPDYIMGRFDYNMSNVYNFRIKAWSSINYYRDTVLPKLLEEKIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILSLGEALVARMKERSANNSGKYISVHLRFEEDMVAFSCCIYDGGDSEKKDMDAARERGWKGKFTKRGRVIRPGAIRLNGKCPLTPLEVGLMLRGMGFDKTTSIYLASGKIYDSERYMKPLLEMFPLLQTKEMLASPEELAPFQNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSRTIRPDKRKLALLFDNPNIGWKSFKRQMLNMRAHSDSKGIELKRPNDSIYSFPCPDCMCRLNKTKDSRLSSGT >itb04g14610.t3 pep chromosome:ASM357664v1:4:15271157:15278921:1 gene:itb04g14610 transcript:itb04g14610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKNRLPSSGHSSPSTPSSPRSRSPRVRRGGKPGGRFTPGQPAPRTLPQRFAWILLSVLLRRQGIFLFAPLLYISGMLFYMGTVSFDAVPIIKHRATPGSIYRSPQLYLKLQPEMDSDNSSADAISTIWKHSYKGDEWRPCTNKSSGGLPESNGYIYIEANGGLNQQRTSICNAVAVAGYLNATLVIPHFHFHSIWRDPSKFGDIYDEEFFVQTLEHDVRVVNTLPDYIMGRFDYNMSNVYNFRIKAWSSINYYRDTVLPKLLEEKIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILSLGEALVARMKERSANNSGKYISVHLRFEEDMVAFSCCIYDGGDSEKKDMDAARERGWKGKFTKRGRVIRPGAIRLNGKCPLTPLEVGLMLRGMGFDKTTSIYLASGKIYDSERYMKPLLEMFPLLQTKEMLASPEELAPFQV >itb04g14610.t2 pep chromosome:ASM357664v1:4:15271198:15276775:1 gene:itb04g14610 transcript:itb04g14610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKNRLPSSGHSSPSTPSSPRSRSPRVRRGGKPGGRFTPGQPAPRTLPQRFAWILLSVLLRRQGIFLFAPLLYISGMLFYMGTVSFDAVPIIKHRATPGSIYRSPQLYLKLQPEMDSDNSSADAISTIWKHSYKGDEWRPCTNKSSGGLPESNGYIYIEANGGLNQQRTSICNAVAVAGYLNATLVIPHFHFHSIWRDPSKFGDIYDEEFFVQTLEHDVRVVNTLPDYIMGRFDYNMSNVYNFRIKAWSSINYYRDTVLPKLLEEKIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILSLGEALVARMKERSANNSGKYISVHLRFEEDMVAFSCCIYDGGDSEKKDMDAARERGWKGKFTKRGRVIRPGAIRLNGKCPLTPLEVISIAY >itb05g06090.t1 pep chromosome:ASM357664v1:5:6144099:6146651:1 gene:itb05g06090 transcript:itb05g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSERGGGVASLPPPQPRSPPLCRRELAKVQVLEREVGFLEVTLRRPQDPLISGNGSAAVQHHVPTFHGFAVIDVPKSKCHDVSSVVTAICAAAAPRASSAVQYLTVTAVVAPARILVAALRSPRARARATVALQIVVTRNALPVDVDAAVVLDVQR >itb01g27400.t1 pep chromosome:ASM357664v1:1:32220513:32225920:-1 gene:itb01g27400 transcript:itb01g27400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDRGGKQWKCGKGGTVNFQRVSSIVRDIGEPCLHQSPIKIKKMLKPDRWQATFDSDGKIHNFQKVLKLIIFGGVDPSIRPEVWEFLLGCYALSSTTEYRMQLRTARRERYRDLEKLCQKMHSSIGTGTLAFVVGSKVMDMRTCSRGDGRRETEVENAQAFSVDTNKLVSYSDQDNNFTNTSNAGEIFSDPGDLVSVRRSTDGAACDSFSSLPTPGPYSCSSPIVDSEAHGSEYVTGNDFDFPPLPVTDLFEKNSKNKKLYRSHDSRYTRRKLRYGDERMHSFSIKNNADLVIESNVTQSYDSLPSLKSEIEIVCPDVTDSISQSKKVEHKMEIYDRIRISDAPDTADTNVTTSEGGAFDEERVSEWLWTLHRIVVDVVRTDSHLEFYGDTKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRTRDNFQMEGPTGVMKQLQTLWHILEFTDREMFSHLSNIGAESLHFAFRMLLVLFRRELSFDESLCLWEMMWAADFKKSMPCNLKNCPELLVIQIPKELDVELGEESVDNKSKVSNESSSKHGNVEHTISENTGMKSPLAHPFCGLTRNFWSKTEHIQNSAAVSSMWSGDDELPVFCVAAILVMNRQKIIKETRSIDDMIKIFNDNAIKIRVKRCVRTAIKLRKKYYFKLIKSRSSPVAQNGD >itb08g13860.t1 pep chromosome:ASM357664v1:8:15245361:15251166:-1 gene:itb08g13860 transcript:itb08g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEMEKFIVTIIIGVAFGFFLGVSYPSLTSNKQIITSPIPAQEYVVSTEEEICNSTENQAQNQNVMDFTKIWVPSNPRGAERLPQDIVVSESDFYPRRLWGKPSEDLTSKPRYLVTFTVGYNQKKNIDAAMKKFSDNFTIILFHYDGRTSEWDDEFEWSRKAIHVSVNKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEQFDAEEYITLVKKHGLEVSQPGLEPHKEVGITWQMTKQIKGNSEVHKETTERPGWCWDPHQPPCAAFVEIMAPVFSRNAWRCTWYMIQNDLVHGWGLDFALQRCIEPPAHEKIGVVDAQPIVHQGIPSLSGKNSENGKSKRLEPGPSESLRIHSPTMTLHSPALL >itb08g13860.t2 pep chromosome:ASM357664v1:8:15243698:15251166:-1 gene:itb08g13860 transcript:itb08g13860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEMEKFIVTIIIGVAFGFFLGVSYPSLTSNKQIITSPIPAQEYVVSTEEEICNSTENQAQNQNVMDFTKIWVPSNPRGAERLPQDIVVSESDFYPRRLWGKPSEDLTSKPRYLVTFTVGYNQKKNIDAAMKKFSDNFTIILFHYDGRTSEWDDEFEWSRKAIHVSVNKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEQFDAEEYITLVKKHGLEVSQPGLEPHKEVGITWQMTKQIKGNSEVHKETTERPGWCWDPHQPPCAAFVEIMAPVFSRNAWRCTWYMIQNDLVHGWGLDFALQRCIEPPAHEKIGVVDAQPIVHQGIPSLSGKNSENGKSKRLEVKQRSRREWQMFQERIGNAEKAYLKSIGV >itb06g23300.t1 pep chromosome:ASM357664v1:6:25318036:25320657:1 gene:itb06g23300 transcript:itb06g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIECEIEKPHAVCVPFPAQSHINAMLKVAKILHSKGFHITFVNTEFNHRRLQRSRGPESLRGLPSFRFETIPDGLPPSDVDATQEATSLCLSTARTCLGPFKGLLARLNDTAAPNVPPVSCIVSDGIMSFTLAAAEELGIPNVLFWPTSASGVLGFMHYSQLVDKGYTPLKDESYLTNGYLETELDWITGIKGIRLRDLPSFIRTTDPNDILLNFLIQEIERSKKATAIILNTFDALDHEVLMALQSMLPPVYAIGPLPLLQTHFDDDNVRAIASNLWKEDSTCLAWLDTKEPNSVVYVNFGSVAVMTRNHLVEFAWGLANSKKPFLWIVRPDIVIGEAAMLPPEFLAETKDRGMLCSWCPQEQVLGHHAVGGFLTHCGWNSTLESICNGVPMLCWPFFAEQQTNCWYSCTKWGIGMEIDNNVKRDEVEKLVRELMDSEKGKEMKRKAMELKKLAKEAADTIPIGLSHMNIEKFINHLLLSHRK >itb11g02080.t1 pep chromosome:ASM357664v1:11:1043701:1049513:1 gene:itb11g02080 transcript:itb11g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNAARLASRLARASFSSHTSSVYDSVCARGYRQFSASICDQQRVLSNSISGNGANGRGRLKIGLSKANFGATRCIHATAHMSARDYYEVLGISKNATASEIKKAYLGLAKKLHPDVNKDDPEAATKFQEVQKAYEVLKDDEQRQTYDQLGHEAYNSMNENSGGGGGPGFSGFPGFEELFRNSDIFNFMNQRMGGEDIKISVELSFMEAVQGCTKSVSFQTELPCDACGGSGVPPGTKPETCRRCRGAGMVIQQNGFFTLQTTCPQCKGAGKIVSSFCKTCKGERVVRGLKTVKLDVMPGVDNDETLKVYRSGGADPEGNRPGDLYVTIKVREDPVFRREGSDIHVNAHLNMTQAILGGTVQVPTLTGDVVVKVRPGTQPGQKVVLKKKGIRARNSYSVGDQYVHFIVSIPTNLTHRQRQLIEEFAREEQGDHEKDAAAGASG >itb01g12160.t1 pep chromosome:ASM357664v1:1:11577415:11580229:1 gene:itb01g12160 transcript:itb01g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLNCTRPFSSIQNPNFPSIHCSKDPILLPHFSNSSSKTLHSNRIQFNTPKSTHFQRSKSGSLSFLTHSSKRTQESDKETKNEVDSGGGGGGGDGGGEGDDGQVEKRDGFLPEWLNLTSDDAKTVFAALAISLAFRSFVAEPRFIPSLSMYPTFDVGDRIVAEKVSFYFRKPCPNDIVIFKSPPVLQEVGYTDDDVFIKRIVAKEGDTVEVHEGKLIVNGVVRNEDFILEKPNYEMTPIRVPENSVFVMGDNRNNSYDSHVWGPLPSKNIIGRSVLRYWPPTRIGGTVYPQGCAVDKPDTSLAGEDTSLAAQ >itb13g23030.t2 pep chromosome:ASM357664v1:13:29148318:29151376:1 gene:itb13g23030 transcript:itb13g23030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCVLKVNIHCDGCKHKVKKILQKIEGVYKIGIDSESGKVTVSGNVDPATLIKKLTKHGKHAELWGAPKGNNAQNQMNNQMKNMQIEGKVGNNGKGQGQKGNCTPGQGQGHGGKSGNPVMPTPQQIQQLQQLQQLKALQDMKLPPQFKDLKIPMNGMPPGIVGKGAPNVKAGIPGKQSLPPEEDDLSDDEYDDDDEFDDDDFDELDDMPPALLPQKMKPIMGGGGGGGGGQMMPNMMHIGNGGGGGGGGGGGNGKKPGGGGGGGGNVPVQVNGGGGGKKGGGGNGNGGGGGGGSAQKGGKNGGGGNPGKPQDGKNNGGGGGGQNKGAGGDGVGGGGGNHNGNGNGGGKKGGGMNDGLMGMPKMMPMNAGGAGVGPMGSMPMGQMGHQMGSMGSLSMGQMGQLPAVQGLPAAAMGGGGGGGYFHQGAATGPDHPAGNPYHQQQLAAMMMNQQRANGNERFQPMMYARPPPAVNYMPPPYPYYPYHPPPPSDNYSTFFSDENTSSCNVM >itb13g23030.t1 pep chromosome:ASM357664v1:13:29148318:29151376:1 gene:itb13g23030 transcript:itb13g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQTCVLKVNIHCDGCKHKVKKILQKIEGVYKIGIDSESGKVTVSGNVDPATLIKKLTKHGKHAELWGAPKGNNAQNQMNNQMKNMQIEGKVGNNGKGQGQKGNCTPGQGQGHGGKSGNPVMPTPQQIQQLQQLQQLKALQDMKLPPQFKDLKIPMNGMPPGIVGKGAPNVKAGIPGKQSLPPEEDDLSDDEYDDDDEFDDDDFDELDDMPPALLPQKMKPIMGGGGGGGGGQMMPNMMHIGNGGGGGGGGGGGNGKKPGGGGGGGGNVPVQVNGGGGGKKGGGGNGNGGGGGGGSAQKGGKNGGGGNPGKPQDGKNNGGGGGGQNKGAGGDGVGGGGGNHNGNGNGGGKKGGGMNDGLMGMPKMMPMNAGGAGVGPMGSMPMGQMGHQMGSMGSLSMGQMGQLPAVQGLPAAAMGGGGGGGYFHQGAATGPDHPAGNPYHQQQLAAMMMNQQRANGNERFQPMMYARPPPAVNYMPPPYPYYPYHPPPPSDNYSTFFSDENTSSCNVM >itb05g07870.t2 pep chromosome:ASM357664v1:5:10348405:10353451:-1 gene:itb05g07870 transcript:itb05g07870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGLTTAFPEPSCHGDPVVVTSPGKDRKTHVEEATSNMPANHESSITLWRGGSEDRIQRRKSPVARSSRSSSEYTKMIKIHIGNMELPVSPGVLDDLMERSKNGEGDMEILLSKHVMGEISKAIKRKTGTMIDRCKLETEFAKEVETRIEELSKPLEAENQENVIVEQEKGVESESKLKEKVESKVDGVMKPKEYRDYVIPEKESKEYAKEGMKHVTVMKGGDPKASVATGMETVCEKVPKKTERLPPQKGISKESDNINKKAGEGAGGNVNRLNNQNVGRKDAKQGQPGSSYASLFSKDTAKAGVSVLTGLAQPEIKENMTEMHRGLPAISFEETEIKQLNIIENHLLIGKFSWGRPSLEDIRRYFDANFLLKGSITIGWIDPRHITLAFSNEEDFLEILMKDQVLFEGKYPMRIFRWTLGFSTEKESSLAAVWVKLPMLSANLFNLAALKQICRPIGKFLTADHATLNFSRPSYARVRVEINFLKPLIKEVFIGFSRESGKEDVGIGNPSVIGAGTGTRNPTWGRNTNLVNGETIKNKGGQPPSHKGADDHHWKQENKQGNQVIQPDKGKGVAKESQPESSRQAEERGKQEGVKIGDTRGKINEGKSEILKENTWIRQGYDHGRGGGRNGRGGRGGRGQGGGKGWSVKERDVEEEKEEGDYMNPFQPLRKIKEEGEVGEEEDDPGPLRDKDDEKDEDYVVSDSEISEQIEKEKEDKEGEIDMEDERRCKELNVTPKAQKGKQKKAKASAGKGKGSSKKKR >itb05g07870.t1 pep chromosome:ASM357664v1:5:10348405:10353451:-1 gene:itb05g07870 transcript:itb05g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGLTTAFPEPSCHGDPVVVTSPGKDRKTHVEEATSNMPANHESSITLWRGGSEDRIQRRKSPVARSSRSSSEYTKMIKIHIGNMELPVSPGVLDDLMERSKNGEGDMEILLSKHVMGEISKAIKRKTGTMIDRCKLETEFAKEVETRIEELSKPLEAENQENVIVEQEKGVESESKLKEKVESKVDGVMKPKEYRDYVIPEKESKEYAKEGMKHVTVMKGGDPKASVATGMETVCEKVPKKTERLPPQKGISKESDNINKKAGEGAGGNVNRLNNQNVGRKDAKQGQPGSSYASLFSKDTAKAGVSVLTGLAQPEIKENMTEMHRGLPAISFEETEIKQLNIIENHLLIGKFSWGRPSLEDIRRYFDANFLLKGSITIGWIDPRHITLAFSNEEDFLEILMKDQVLFEGKYPMRIFRWTLGFSTEKESSLAAVWVKLPMLSANLFNLAALKQICRPIGKFLTADHATLNFSRPSYARVRVEINFLKPLIKEVFIGFSRESGKEDVGIGNPSVIGAGTGTRNPTWGRNTNLVNGETIKNKGGQPPSHKGADDHHWKQENKQGNQVIQPDKGKGVAKESQPESSRQAEERGKQEGVKIGDTRGKINEGKSEILKENTWIRQGYDHGRGGGRNGRGGRGGRGQGGGKGWSVKERDVEEEKEEGDYMNPFQPLRKIKEEGEVGEEEDDPGPLRDKDDEKDEDYVVSDSEISEQIEKEKEDKEGEIDMEDERRCKELNVTPKAQKGKQKKAKASAGKGKGSSKKKR >itb03g00770.t1 pep chromosome:ASM357664v1:3:406592:415281:-1 gene:itb03g00770 transcript:itb03g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MMDSMELGDSPRSNSETTGSDVAIDHNGVFTASASTDENMNMAISAEKLVRSFHTSTKLPSGAPAELPRKLNGSACEACGRPEAEGCVVVCDGCERGFHVGCLGMLVGEAIELEEWICGKCSGCGVRSNRWNLGWRNKRRRVDTDSVVDITGTPRSEGEGQGCKDSLLFRKHIPGDNPFGGNLFGLPVEVTNLQHFNNSFGSQEATDTVKLQFVPPSLGAVDSCLKGLKGENNSTTMGSSTKDLSEMYFLALKEYLKEEHVLVEGWHVEFEHNSATRELCPVYVAPDGKRLGSVSEVASYLKSITTNMPTQCGTGKYDDGLPIQYGDFFVLSVGEVDARHSYHCRNQIWPVGYSSCWHDKVTGSLFMCHVQDGGDSGPLFKVKRSPCSALHIPNPLQVLYQAKHGHSNGQNERSDVLASQSMDYEDLSIMSMLAEPPAPLENDILSCLVHSSDDYLDMQGSHDMLTEKSPSKRNQSLLSDCSPFGHDADIFSVEESSSSLAWRVMSRKILKLFREIYTQTGVVKFFCKHTLDKSESFCCDVTNEGAAEKYAPLARFYGLPTIVNIPYIIQADMQLEVVAQELLKWLDQDRFGLDAEFVQEIIEQKQDVRDSFGYVPLNQRISFSSFITVGNSLLEGRAVEVESEDGRCPSHGLRSSNKVNTMTEEHLSDTRSLPPGIPFSTKMPSLLLGDTIQVLQFFWRFHEVLDLKKLLTFEDIEEELMNPRCHGVDLLEKVGGEIHEKPFVIPNNTDGAKMHISSYKSGPEVDLENLHAFVQMERKSMEEASPMKLEFINSSKCSGSALTKAIISTLHVLLSELQTKVAVVGNLNFDIGDSRKRGRKKDFDYVSIAKRNTLSMLPYNELTWPELARRYALALLSMNGYFESTEITTHDNSAQVIRCLQGDGGALCGSLTGVAGIEVDASLLAEAKKRIFGSLDGDERDNLAVDDEDSDRKSLDESVTVSESIPDWIQVLEPVRKLPTNRAVLSVLAQAQDENVPHKPVNEKKKKKFISISSILMKQCRLVLRHAIAADHRKVFCNLLGKRTMNFNSDGGIIGTPGMAPRPLDFRTIDQRLAVNAYGGVHKAFYEDACEVWSNVFIAFKHQPDLLQLAESLANNFKSLYDKKVATLSQKLVKCRKLNSVDASLQKEIENILTCSEIPKAPWEDGVCKVCGIDNDDKSVLLCDACDAEYHTYCLNPPLARIPSGNWYCPSCVTNKQMVSDAYTETAVVCPQKKKDHSKLTLAYIEAVAHLATVLEIKEYWEFSVAERTNLLKFLCDELLNSALLHGHLEQSAEDSVELQQKWRSLLKELKVLKLRENFLARKADDGSLTVGEHDVEVQESNETVTGNEKCSGYLHTTSHSGNHISVSNDDVPSSGDGQKCVDPNAFFKHLPADCLENSDSVDCQSFEPMDADDAEGVSPIAGDVQLTESSFVNTTSSFGQNMDLLSCEETKGLKGEATYEDNFEKHTGRNMNIRNSEGVDGHHASVDKAATDLNAHLFTTSTGTAQAFNLSLKSVRGEILLMQNSITSLQKQLQKVSLRSEFLGFDSAGRLYWVVSMSDDKPCVIVNENVELHQGEKITSGSNASSPPFWCKAYDGNSVQSSWISYGSDSEIELVLEFLKDDDPLETQLKQSILHWQKQRYNLPQLSELSLPHEVLDANLATRATSLLEAKCGSSIEAEATEFFKKQEKGGIAFVRDGIIYRCKCLEPIFSFERHYKYCQQTLSAPRELRFHGQDTCKRREKPVEVDNISKQKGMAKPTSKDEYKCEVGSVDQTDGLECPFNLEDICSKFVIKETMKEEAEKIGLLGSSNGLPTFVPSLPPYLTDPASMLLQVQDNTSSLDHNAADTLTSSSKQAPKPTVHDASQNLPTTNALEERDTLKHDNCLEHPLVSQSALKPLVGEASCILRRLKINLLDMDAALPEQALRPSMSQIERRLAWRAFLKSAETIYQMVQALIVFEEMLKSDYLSNTWGYWSSISGAAKICTLSSLALRIYSLDAAIKYEEEVAIEKTKVGRKVSKKASSSFAQ >itb04g01030.t1 pep chromosome:ASM357664v1:4:555281:561700:-1 gene:itb04g01030 transcript:itb04g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGASTPLIPRSPIRYPDVDMSGLNLGGGGSSEIVELSPSPSTATPARVSDDTLYVAVGKEVKESEYTIAWALHNSGGRRLCILHVHTPAQKIPMMGTKFSIDQLEEHQVKAYHDKERQDTYKILDKYLLICGRAGVDRLYIETDSIEKGIVDLISKHGIRKLVMGAAANKCYSKRMTEVKSKKAIYVREQAPPFCQIRFICKGNLIYTRESRLEGINSAIASPPLNTSPAGDTGQLSSLRRSATEGHTNEVNLVGSLPEFRRVQSDSIGINLSGLPSSTGTGRVSFSNSSNVEGSTDGWNGIPRRSFSVASHFSSCSSGDMGDDSPSISLSRSEGRDNVLDYYALYDHRHTSPPSTAVGSVDDELYDRLEQYVAEAENSRREAFGESQKRMKAEKEAIEARRRANASETMYAEEFRRRSAIEEALARSKDEVEQMKRQINEVMEDLQAAQEQKSSLESQIANSDKMVQELEQKIFSAVELLQKYKKEREELEVERDNALKVVEDMKRKLDKEASSSSTTQFFAEFSFSEIEEATSDFDPNLKIGEGGYGSIYRGVLRQTPVAIKILHPDSSQGPSEFQQEVNILSKLRHPNLVTLIGACPESFTLVYEYLPNGSLEDRISCKGNTPPLTWQTRIRIATELCSALIFLHSCNGQGIVHGDLKPANILLDLNFVSKLSDFGICRVLSQNEFSDNNTSLCWRTDPKGTFVYMDPEFLSTGELTPKSDVYSFGIILLRLLTGKPALGITREVQYALDKGNLKDLLDPTAGDWPFVQAKQLAHLAMSCCEMNRRQRPDLASEVWKVLEPMRASCGASSSFQLSSEERCQIPHYFICPIFQEIMEDPVVAADGFTYEAEALRGWLDSGHDTSPMTNLQLSHTNLVANRALRSAIQEWLQQH >itb04g01030.t2 pep chromosome:ASM357664v1:4:555281:561698:-1 gene:itb04g01030 transcript:itb04g01030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGASTPLIPRSPIRYPDVDMSGLNLGGGGSSEIVELSPSPSTATPARVSDDTLYVAVGKEVKESEYTIAWALHNSGGRRLCILHVHTPAQKIPMMGTKFSIDQLEEHQVKAYHDKERQDTYKILDKYLLICGRAGAQVDRLYIETDSIEKGIVDLISKHGIRKLVMGAAANKCYSKRMTEVKSKKAIYVREQAPPFCQIRFICKGNLIYTRESRLEGINSAIASPPLNTSPAGDTGQLSSLRRSATEGHTNEVNLVGSLPEFRRVQSDSIGINLSGLPSSTGTGRVSFSNSSNVEGSTDGWNGIPRRSFSVASHFSSCSSGDMGDDSPSISLSRSEGRDNVLDYYALYDHRHTSPPSTAVGSVDDELYDRLEQYVAEAENSRREAFGESQKRMKAEKEAIEARRRANASETMYAEEFRRRSAIEEALARSKDEVEQMKRQINEVMEDLQAAQEQKSSLESQIANSDKMVQELEQKIFSAVELLQKYKKEREELEVERDNALKVVEDMKRKLDKEASSSSTTQFFAEFSFSEIEEATSDFDPNLKIGEGGYGSIYRGVLRQTPVAIKILHPDSSQGPSEFQQEVNILSKLRHPNLVTLIGACPESFTLVYEYLPNGSLEDRISCKGNTPPLTWQTRIRIATELCSALIFLHSCNGQGIVHGDLKPANILLDLNFVSKLSDFGICRVLSQNEFSDNNTSLCWRTDPKGTFVYMDPEFLSTGELTPKSDVYSFGIILLRLLTGKPALGITREVQYALDKGNLKDLLDPTAGDWPFVQAKQLAHLAMSCCEMNRRQRPDLASEVWKVLEPMRASCGASSSFQLSSEERCQIPHYFICPIFQEIMEDPVVAADGFTYEAEALRGWLDSGHDTSPMTNLQLSHTNLVANRALRSAIQEWLQQH >itb12g14980.t1 pep chromosome:ASM357664v1:12:15358083:15359138:-1 gene:itb12g14980 transcript:itb12g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGALSEGVLKKILLSYSYVAIWIFLSFTVIIYNKYILDRKMYNWPYPISLTLIHMAFCSSLAYLLVRVFKLVDPVSMSWDLYFKSVVPIGLLYSLSLWFSNSAYIFLSVSFIQMLKALMPVAVYSIGVLFKKDPFNTETMVNMVSISVGVAIAAYGEAKFDTWGVILQLGAIAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLVFLIVPWVIVEYPVLKENSGFHLDYIVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKPLQSDEEEVGRLLEEREGDNNVAKKNESQA >itb12g21900.t2 pep chromosome:ASM357664v1:12:24115670:24128195:1 gene:itb12g21900 transcript:itb12g21900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTPPPMDEDDDMLVPNSDFPVEGPQPMEVAPAEATNTVDAQAVDDPPTARFTWTIENFSRLNAKKMYSEIFYVGGYKWRILIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVINQIHNKFTVRKDTQHQFTGRESDWGFTSFMPLSELYDPSRGYLVNDTVIVEADVAVRRVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDNPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFARDTMVKINDRYEFPLQLDLDRENGKYLSPEANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRECDKDKIICNVDENDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDEDLRNQIGRDIFFDLVDHDKVRSFRVQKQMPFNVFKEEVAKEFGIPMQYQRFWLWAKRQNHTYRPNRSLTALEETQSVGQLREVSNKANNAELKLFLEVELGLDLTPIPPPEKTKDDILLFFKFYDPLKEEIRYVGCLFVKASGKPLEILTKLNELAGFSLDEEIELFEEIKFDPNVMVEHIDKKVSFRASQVVRFRSLEKPKEDEFSLELSKQNNYDEVVESVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLTDMLIHYNQTSDILYYEVLDIPLPELQCLKTLKVAFYSAAKDEVTIHTIRLPKQSTVGDVLNDLKTKVELSHQDAELRLLEVFYHKIYKIFPPQERIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFMKDAAQNQAHVQNFGEPFFLVIHERETLADVKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVANRFQRRDVYGAWEQYLGLEHTDNTPKRSYAANQNRHIFEKPVRIYN >itb12g21900.t1 pep chromosome:ASM357664v1:12:24115571:24128540:1 gene:itb12g21900 transcript:itb12g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTPPPMDQEDDDMLVPNSDFPVEGPQPMEVAPAEATNTVDAQAVDDPPTARFTWTIENFSRLNAKKMYSEIFYVGGYKWRILIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVINQIHNKFTVRKDTQHQFTGRESDWGFTSFMPLSELYDPSRGYLVNDTVIVEADVAVRRVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDNPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFARDTMVKINDRYEFPLQLDLDRENGKYLSPEANRSIRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRECDKDKIICNVDENDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDEDLRNQIGRDIFFDLVDHDKVRSFRVQKQMPFNVFKEEVAKEFGIPMQYQRFWLWAKRQNHTYRPNRSLTALEETQSVGQLREVSNKANNAELKLFLEVELGLDLTPIPPPEKTKDDILLFFKFYDPLKEEIRYVGCLFVKASGKPLEILTKLNELAGFSLDEEIELFEEIKFDPNVMVEHIDKKVSFRASQLEDGDIICFQKSFHSQSSEQCRFPDVPSFLDYMHNRQVVRFRSLEKPKEDEFSLELSKQNNYDEVVESVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLTDMLIHYNQTSDILYYEVLDIPLPELQCLKTLKVAFYSAAKDEVTIHTIRLPKQSTVGDVLNDLKTKVELSHQDAELRLLEVFYHKIYKIFPPQERIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFMKDAAQNQAHVQNFGEPFFLVIHERETLADVKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVANRFQRRDVYGAWEQYLGLEHTDNTPKRSYAANQNRHIFEKPVRIYN >itb01g05270.t2 pep chromosome:ASM357664v1:1:3611454:3624183:1 gene:itb01g05270 transcript:itb01g05270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPTTPPTAAESESAAATKAEGESSFDTSNAAFEALEKAQAAENDAVNTEKEMAAAVAAAEDPIEEDPAGPATVFCVRLKQPSSNLRHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNAKPPFWIPIHIVIPERPTECTVFNAVADSPRDSVQFIEWSPTSCPRALLVANFHGRVTIWSQPSQGPANVVRDASCWEKEYEWRQDIAVVTKWLSGVSPYRWLSSRSGSATKSTFEEKFLMQQPESPAGWPNFLCVCSVFSTGSLQLHWSHWPPNQCGVPSKWFCTSKGLLGAGRSGIMAADAIVTESGSLHVAGVPIGNPSTVVVWEVSPGPGYGFQANPKTSVRNGVPPSLSPPSWCGFASLPAYLFSWQEYLLQEAKQGKTQTEQDYSEMIVLNCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVLIVEGQYMSPYDPDEGPSITGWRIQRWESSVEDVVLHQIFGNPTSNFGDQAPKQTVWVSKVNKCIPASSGFKKPKATGTMPASDGWNVSDTGVEMAMSVFFDPFDLPSDIRTLARIVYSVHGGEVAVAFLRGGVHIFSGPSFTPIDKYQINVGSAIAAPAFSSTSCCSASVWHDNGKDCTILKIIRVLPPAASINQVKAHSATWERAITERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQNRQQYGPSLDRIKCRLLEGTHAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVTEPWQASSDTLFGIDAEAMAVEPALVPNVQAYIDGILDLASHFITRLRRYASFCRQLATHAVTSGTGGNRNVVTSPVQNSAPSATNPATTQSVQGGSASTQMQAWAPGVLLKSSNTADSVSSSAPNPINGPSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFIFRRTQLARYNGPNPPNKVEEASSAVKPIAGGQVGAGGKGSEEGPSNRSRIGSGNAGQGYTYDEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSSIQVRLHYIDGNYTVLPEVVEASLGPYMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGEHWSDPEDIDPVDDNSRLSHTTDLLKCSSSDHSDDCCVARSSWPRKRRMSERNAAFGLNNSVGLGAYLGIMGSRRDVVTAIWKSGLEGVWYKCVRCLRQTSAFAPPGVNPTNQNEKEQWWISRWAYGCPMCGGTWVRVI >itb01g05270.t1 pep chromosome:ASM357664v1:1:3611454:3624183:1 gene:itb01g05270 transcript:itb01g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPTTPPTAAESESAAATKAEGESSFDTSNAAFEALEKAQAAENDAVNTEKEMAAAVAAAEDPIEEDPAGPATVFCVRLKQPSSNLRHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNAKPPFWIPIHIVIPERPTECTVFNAVADSPRDSVQFIEWSPTSCPRALLVANFHGRVTIWSQPSQGPANVVRDASCWEKEYEWRQDIAVVTKWLSGVSPYRWLSSRSGSATKSTFEEKFLMQQPESPAGWPNFLCVCSVFSTGSLQLHWSHWPPNQCGVPSKWFCTSKGLLGAGRSGIMAADAIVTESGSLHVAGVPIGNPSTVVVWEVSPGPGYGFQANPKTSVRNGVPPSLSPPSWCGFASLPAYLFSWQEYLLQEAKQGKTQTEQDYSEMIVLNCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVLIVEGQYMSPYDPDEGPSITGWRIQRWESSVEDVVLHQIFGNPTSNFGDQAPKQTVWVSKVNKCIPASSGFKKPKATGTMPASDGWNVSDTGVEMAMSVFFDPFDLPSDIRTLARIVYSVHGGEVAVAFLRGGVHIFSGPSFTPIDKYQINVGSAIAAPAFSSTSCCSASVWHDNGKDCTILKIIRVLPPAASINQVKAHSATWERAITERFWWSLLVGIDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQNRQQYGPSLDRIKCRLLEGTHAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVTEPWQASSDTLFGIDAEAMAVEPALVPNVQAYIDGILDLASHFITRLRRYASFCRQLATHAVTSGTGGNRNVVTSPVQNSAPSATNPATTQSVQGGSASTQMQAWAPGVLLKSSNTADSVSSSAPNPINGPSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFIFRRTQLARYNGPNPPNKVEEASSAVKPIAGGQVGAGGKGSEEGPSNRSRIGSGNAGQGYTYDEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSSIQVRLHYIDGNYTVLPEVVEASLGPYMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGEHWSDPEDIDPVDDNSRLSHTTDLLKCSSSDHSDDCCVARSSWPRKRRMSERNAAFGLNNSVGLGAYLGIMGSRRDVVTAIWKSGLEGVWYKCVRCLRQTSAFAPPGVNPTNQNEKEQWWISRWAYGCPMCGGTWVRVI >itb05g25330.t2 pep chromosome:ASM357664v1:5:29780445:29782487:-1 gene:itb05g25330 transcript:itb05g25330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNIDSRPKLVAGSTEGYSGHVDGKLRDARMNHPKGFAVDDGGNIYVADTMNMAIRKISDTGVVTIAGGGKWARGGGHIDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHEDDCSSYNQDDDSNIHLGTALLVAAGFFGYMLALLQRRIGAMFSSDRDPRTPPRGMPPAPYQLNAMPVRPPLIPPEDEYEKPEENLFVSIGRLFAYTSSSVFEIFGGLFSGFRKKPYPHHHNYPYPHKQPNPWSMQESYVIPREDEPPPLEPRDPAPRKPYPYVPKDLERTRHFKQSRSNNYGGWTGEFQQPPPQLQQLHHQKHHSSSPQTFIEQSCETNEIVFGAVQEQDGRREAMVIKAVDYADPAYNHYNIRSRYNYSHGY >itb05g25330.t1 pep chromosome:ASM357664v1:5:29780445:29784845:-1 gene:itb05g25330 transcript:itb05g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPSVFLLITLIMLLVCSSASAAPAAKIVGGVVTNVASALFKWLWSLKSTTTKPAVSTRSMMKFESGYTVETVFDGSKLGIEPFSVEVSPAGEVLILDYENSNIYKTSMPLSRYSRPKLVAGSTEGYSGHVDGKLRDARMNHPKGFAVDDGGNIYVADTMNMAIRKISDTGVVTIAGGGKWARGGGHIDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHEDDCSSYNQDDDSNIHLGTALLVAAGFFGYMLALLQRRIGAMFSSDRDPRTPPRGMPPAPYQLNAMPVRPPLIPPEDEYEKPEENLFVSIGRLFAYTSSSVFEIFGGLFSGFRKKPYPHHHNYPYPHKQPNPWSMQESYVIPREDEPPPLEPRDPAPRKPYPYVPKDLERTRHFKQSRSNNYGGWTGEFQQPPPQLQQLHHQKHHSSSPQTFIEQSCETNEIVFGAVQEQDGRREAMVIKAVDYADPAYNHYNIRSRYNYSHGY >itb09g31190.t1 pep chromosome:ASM357664v1:9:31676909:31681572:1 gene:itb09g31190 transcript:itb09g31190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKEKSVNVSGKPKHSLDGNRDSSGSKGGRSAATVRRLKMYNTRPKRDTRGKVVKHDLQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQNRMSSSYNVILKDRKLPLSLLNDHQKQARAHLLDTEPFADAFGPKRRRKRPKLMASDYESLVKKADVSQDAFEEKFGANNFGEGNEDSFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCFHLEKHLKENCKHKHMILLLNKCDLVPAWVTKGWLRVLSKEYPTLAFHASVTKSFGKGSLLSVLRQFSRLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSNDSETDIVLKGVVRVTNLKDAAEHIGEVLTRVKKEHIERAYKIKEWEDENDFLLQLCQGTGKLLKGGEPDTMTAAKMVLHDWQRGRIPFFVPPPKIDDDSKEDNALGGEEEDAAAARRAIADVISSQQLNDVPVQTDLYSESELLGEETSQKLPENES >itb04g00470.t1 pep chromosome:ASM357664v1:4:279123:281600:-1 gene:itb04g00470 transcript:itb04g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEEGKSSKPEKSSSTTPDQGNAQMYLDWAAIQAYYGPRVAIPPYFNSAVPPGHAPHPYMWGPPQPMMPPYGAPYAAIYAHGGVYAHPGVPIGSHSHGHEIPPSGAINEATAAASMGTDTSGKSSGNADRGLMNKLKGFDGLGMSIGNGNSDGGKGGTDHTHSQSMETEGSSDGSNADTVGENTKKRSRETTPTNADKTTNGYPTSAGEFNGASKKVTPMTIPPILPGQVMGTVVSPNMTTSLDLRSPPSANLKASPTNVPQLSPPMATETWAQNERELKREKRKQSNRESARRSRLRKQAETEELAKRVQSLTSENINLKSEINKLTENSEKLKLENVALMERLKAEKLGQMEEISLGKIDEKKRLQPVATADLLARVNNSDSVDRNGEEGEVYDSNSSRTKLHQLLDTSPRTDAVAAR >itb13g17770.t2 pep chromosome:ASM357664v1:13:24688724:24689986:1 gene:itb13g17770 transcript:itb13g17770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METLGSLDASNEYPPTRIRVRPNRKPRIVIEDYMPALEAYLPREAEPIDMNLIREINERLTSMVEDVRVMKRRLTRIMILQLLCLLVAISGLVVKLFRK >itb13g17770.t1 pep chromosome:ASM357664v1:13:24688724:24689925:1 gene:itb13g17770 transcript:itb13g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGSLDASNEYPPTRIRVRPNRKPRIVIEDYMPALEAYLPREAEPIDMNLIREINERLTSMVEDVRVMKRRLTRIMILQLLCLLVAISGLVVKLFRK >itb06g20920.t1 pep chromosome:ASM357664v1:6:23694439:23697764:-1 gene:itb06g20920 transcript:itb06g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCCHVYDEVDTDEDDKMTLKQSKDRHKKHVDDEEVGSDEDDKMPLTLLKDRRKKHGNDEEVGSDEDDKMPLTLLKDRCKKHGDDEVDSDADDKMTLGKLKDTCKSKKRELISHPDTSRKQEDVNFQSDEDDDLNAHLSFSKSKLTKSSKAKMRCIKRHLFGFPKTVMSVKTEQVRVSDVPIQLKEDSPLFSNIKAEIPEPEFFGSQISNLLVDSSSSEVSMLLKEHSLPLVEVKTETFESESFGQKSSKTFIGRSPVCCHEGSSSAKVISSEVAEIVERKLKTSIQVEEQQYCMLNAMSYEHLKYLDPESSPKSHGDMHPNNLKKSFDTEVDMQSHPHGGFLETLSFPRDKNLDVHSHQHEFAEQRNCLESHISDTIIANKDGFTNNYDSDDICISEDEVACTNLGSHVSDTVLANKVGCTNHSTVSPFKKCIASGDSHSCSNTDNNLESVEGTTTYEDQLSNCCSADPTNGCLDPGNAYTGKFPTACKLKRKHTESKHPAEHPQMASSSIDKNSKLSTQVCQAKVTIDPNETAKRLKKRRKGSPPHCNLECHNLSQSLPKFSTGCTSVQHFSASAIAFSERQMHDIESLAVKLMKELNSMKDIVEGQLQFQACSSASLKNEVDKVRVAIHNANKAEEKAKRLLYMMNRDCIRFCKLMEISQNDAAPSKDTAHKARKITFADEAGGVLCHVNYISDAMISKQSDDGKQEN >itb09g16420.t1 pep chromosome:ASM357664v1:9:11632526:11637317:1 gene:itb09g16420 transcript:itb09g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MRSLSTAIAGATNSSSQHSAPATTTCGSLLRELQEIWDEIGENESERDKMLLQLEQECLDIYRTKVEKTRKYKADLHQSLAESEAEIAKIASALGERVPWYEDKGNIKVKISNIQPVLENMRSKKQERMKEFLDTEMQIAQICAEIAGNDQALRPAKIQVNELDLTTKKGKELKLRLQDLQSEKRLRLQKVNGYISAIHDLSVVMSVEFVAILGDIHPSLHDDLNAQPKSISNETLARLTSEVSSLKEMKKQRLQKLQDLGRTLKELWNLMDTPIEEQQRFDHVICLISSSVDDVLSKGSLAIEVIELTEVEVERLNALKSSKLKELIFKRQNELEEIYRSAHMDIDSETAHQILMRLVESGNLPDLLSSMDQQIAKAKEQALSRRDILDKFEKWNHASQEENWLDEYERDENRYSAGRGAHINLKRAEKARVLVTKIPSLVENLIGKIKAWEVENGMPFLYDKAPLVRTLEEYTVLRQEKQEEKRRNREQKRLQEQFAAEQEALYGSRSNSKKPLGQSNIAMGTPTPINRRGTISGRHGISAAKERRESAKAGNIIPPNYVALSKDEHISRGS >itb09g16420.t2 pep chromosome:ASM357664v1:9:11632526:11637292:1 gene:itb09g16420 transcript:itb09g16420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MRSLSTAIAGATNSSSQHSAPATTTCGSLLRELQEIWDEIGENESERDKMLLQLEQECLDIYRTKVEKTRKYKADLHQSLAESEAEIAKIASALGERVPWYEDKGNIKVKISNIQPVLENMRSKKQERMKEFLDTEMQIAQICAEIAGNDQALRPAKIQVNELDLTTKKGKELKLRLQDLQSEKRLRLQKVNGYISAIHDLSVVMSVEFVAILGDIHPSLHDDLNAQPKSISNETLARLTSEVSSLKEMKKQRLQKLQDLGRTLKELWNLMDTPIEEQQRFDHVICLISSSVDDVLSKGSLAIEVIELTEVEVERLNALKSSKLKELIFKRQNELEEIYRSAHMDIDSETAHQILMRLVESGNLPDLLSSMDQQIAKAKEQALSRRDILDKFEKWNHASQEENWLDEYERDENRYSAGRGAHINLKRAEKARVLVTKIPSLVENLIGKIKAWEVENGMPFLYDKASPCLFHSQTVVVAV >itb12g10890.t1 pep chromosome:ASM357664v1:12:8965236:8970496:-1 gene:itb12g10890 transcript:itb12g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLYVNPSFSSPFCSQSTSSSSASVSSSCSLSIPTHSLKLSCLSASRAKPFCIKSGSLKSRQRPFFVAASSNMTITEYREEEEESPPPVIESEVTSKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPQEFYGAKLIGSRSFPCPWYRKVPLSLALSPRIISEVAQFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPVYIPRYTFSWLVQPMWLVLKFLHRAADLTLVPSAAIAKDLEAYRVTAANRIRLWNKGVDSESFNPRFRSHEMRLRLSNNEPDKPLIVHVGRIGVEKSLDFLKRVMDRLPEARIAFVGDGPYREELEELFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGLPVVAARAGGIPDIIPDDQDGKISYLFNPGDLDDCMSKLEPLLRDQELRETMGKAARQEMEKYDWRAATKKIRNEQYNAAIWFWRKKRAQLLRPFQWVFKRMFQPPQIEYR >itb09g06320.t1 pep chromosome:ASM357664v1:9:3628557:3631562:-1 gene:itb09g06320 transcript:itb09g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCRKVRFLTLCQQLFLIISVLFPKFGISRDTITADQFLEGSETILSNSQDFKMGFFSPENSTKYYIGIMFNVPSMAVVWVANRYKPMNDSRGSMGISANGNLIVLDGEKRVVWSSSISSSPANTTAQLLDTGNLVLKDSSSGSLLWESFGENSDAFLEKMKVGSGVMSLDMMNELRSWKSPWDPSPGSFSCRLQPQNIPQVVIQKNSKIYWRTGPWNKQIFIGLPHMISFYDYGFQIINDNPRGITYITYTNMKQYDKVHYVLNSTGCLLERYWDEEKSQWLVTWESCGSGQCDMYGKCGPFGVCDPSASKSCSCLQGYKPRNEMEWGKGNWSSGCIRNAALQCHRNNSDEATSKKDGFLKLQMVKVPDFALWVSSLNDSCETDCLSNCSCLAFSYYTGIGCLHWSEDLIDVQQFSSGGADLYIRLAYSELDPNKEVFLDWTKRFMIIEGIGRGLLYLHRDSRLRIIHRDLKTSNILLDEQLNPKISDFGLARIFGGNQNQANTQRVVGTYGYMAPEYAMKGRFSEKSDVYSFGVLLLEIVSGRKNYAFYHDDFAISLVAHAWKLWNSEKIEEVADPKVYEMHFKMSIRRFVHVGLLCVQEYADDRPNVSTVLSMLSSEIVELPHPKQPAFIGMQSYLHNKPNNSVNGVTISDIEGR >itb11g08300.t1 pep chromosome:ASM357664v1:11:5436497:5439087:-1 gene:itb11g08300 transcript:itb11g08300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVDLSSCFLALFVLLILKRVSAETEPLSSSSPAVLFVFGDSTVDAGNNNYIDTVPDYQANFQPYGQNGFFDHPTGRFSDGRVIVDFIAEYAKLPLLPPYLQPNADYSGGANFASGGGGVLSSTHEGLVIDLGTQFKHFEELERSLIKKLGPENVTKIISKAVYFISIGSNDYIGGYFGNPKMQELHGPEEYVGMVIGNLTEAIQKLYEKGARKFGFLNLSPLGCLPVLRALHPKGPDHGGCFEEASALALAHNNALNVVLSSLEQILNGFKYCNSNFYDWLSHRIHNPTLHGYKEGVNACCGSGPYRGIPSCGGRRKVSEEYAQCDNAEDYIWFDSFHPIERIHQDYAKTLWAGPPSTVGPYRLKDLFFPDNKLTIADVVDDPENEQVITA >itb10g07570.t1 pep chromosome:ASM357664v1:10:8924672:8926224:1 gene:itb10g07570 transcript:itb10g07570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEATHLNLFPPQQLMPNRELVNTTAQGNGFGFDNGHPMGSGVLPLAGALPESVFPFHPSLVCDSVQAKTSMNTDSGLTYNLQQVPCRKRPRDSFNHFIASPPQKTAQIPSFFGDDVLPIIQQYQIEIDRIVSHHTKKMKMEFEERQKQQGRILVGAIGERVMKKLKEKDDQIERMGKLNLALQERVKSLYVENQLWRDLAQTNEATANSLRSNLEQVLAHVGDEQISGGLVGRGAVEGDAESCCGSNHVEEEEESPEIGTAPPPAPENSGVRRMCRRCGERESSVLLLPCRHLCLCSACGSTMFHNCPVCNSNMNATVHVNMSS >itb07g02930.t6 pep chromosome:ASM357664v1:7:1959517:1961475:-1 gene:itb07g02930 transcript:itb07g02930.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDAAEAKYQMDGQILQGRQLTVVFAEENRKKPNEMRAREHRGGRNYDRRRSPPRYSYSPRYSRSPPSRYARSRSREYYSPKRKGYSRSASPEERRYSRERSFSRSPVRERSPPYNGSRSRSQTPVREQSPPYSRSRSRSPVRRGHSPQSRSRSPNNGGRGRHFDQQDYPRAGPERDGSPRN >itb07g02930.t2 pep chromosome:ASM357664v1:7:1959415:1963441:-1 gene:itb07g02930 transcript:itb07g02930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYTPSPPRGGYGRRGRSPSPRGRYGGRARDGPTSLLVRNLRRDCRPEDLRRPFGQFGPLKDIYLPRDYYTGDPRGFGFVQYMEPDDAAEAKYQMDGQILQGRQLTVVFAEENRKKPNEMRAREHRGGRNYDRRRSPPRYSYSPRYSRSPPSRYARSRSREYYSPKRKGYSRSASPEERRYSRERSFSRSPVRERSPPYNGSRSRSQTPVREQSPPYSRSRSRSPVRRGHSPQSRSRSPNNGGRGRHFDQQDYPRAGPERDGSPRN >itb07g02930.t1 pep chromosome:ASM357664v1:7:1959415:1963441:-1 gene:itb07g02930 transcript:itb07g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYTPSPPRGGYGRRGRSPSPRGRYGGRARDGPTSLLVRNLRRDCRPEDLRRPFGQFGPLKDIYLPRDYYTGDPRGFGFVQYMEPDDAAEAKYQMDGQILQGRQLTVVFAEENRKKPNEMRAREHRYARSRSREYYSPKRKGYSRSASPEERRYSRERSFSRSPVRERSPPYNGSRSRSQTPVREQSPPYSRSRSRSPVRRGHSPQSRSRSPNNGGRGRHFDQQDYPRAGPERDGSPRN >itb07g02930.t5 pep chromosome:ASM357664v1:7:1959517:1961475:-1 gene:itb07g02930 transcript:itb07g02930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDAAEAKYQMDGQILQGRQLTVVFAEENRKKPNEMRAREHRYARSRSREYYSPKRKGYSRSASPEERRYSRERSFSRSPVRERSPPYNGSRSRSQTPVREQSPPYSRSRSRSPVRRGHSPQSRSRSPNNGGRGRHFDQQDYPRAGPERDGSPRN >itb07g02930.t4 pep chromosome:ASM357664v1:7:1959481:1961592:-1 gene:itb07g02930 transcript:itb07g02930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDAAEAKYQMDGQILQGRQLTVVFAEENRKKPNEMRAREHRGGRNYDRRRSPPRYSYSPRYSRSPPSRYARSRSREYYSPKRKGYSRSASPEERRYSRERSFSRSPVRERSPPYNGSRSRSQTPVREQSPPYSRSRSRSPVRRGHSPQSRSRSPNNGGRGRHFDQQDYPRAGPERDGSPRN >itb07g02930.t3 pep chromosome:ASM357664v1:7:1959481:1961592:-1 gene:itb07g02930 transcript:itb07g02930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDAAEAKYQMDGQILQGRQLTVVFAEENRKKPNEMRAREHRYARSRSREYYSPKRKGYSRSASPEERRYSRERSFSRSPVRERSPPYNGSRSRSQTPVREQSPPYSRSRSRSPVRRGHSPQSRSRSPNNGGRGRHFDQQDYPRAGPERDGSPRN >itb03g13570.t1 pep chromosome:ASM357664v1:3:13640665:13642947:1 gene:itb03g13570 transcript:itb03g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSLFAFFFFFFFIASCFSEKAPYTSFAKNATFAPATEFFDYIVIGGGTAGCALAATLSAGAKVLLLERGGLPYGNPNITSINGFARTLADTSPDSASQLFVSSDGVFNHRARVLGGGSCLNAGFYTRASKAYVDNVGWDEALVNESYDWVERKVAFKPPMLAWQSAVRDGLIEAGVLPYNGFTYEHLYGTKVGGTIFDENGYRHTAADLLEYADPTKITVYLYATVHKIFFQKEEEPKAYAVLYKDSGGNRHIAYLNDGPKNEIILSAGALGSPQLLMLSGIGAAQQLKAHKIPVVMNQAMVGQGMSDNPMNAVFIPSPHPVEVSLIQVVGITKFDSYIEAASGSLELAWMHRMVSDFERLANQSSRHFLGGGNLSFFADAAVKRKSIKTYLGGGASVQAGIILEKVAGPYSTGNLELRSKDPSENPKVTFNYFKDPRDLQRCVEGIEIIAKVIESRPFSKFRYPLAHARALIDAMLTLPLNLRPKHVSATFSLEQFCKDTVMTIWHYHGGCQVNRVVDKDYKVIGVKALRVVDGSTFINSPGTNPQATVMMLGRYVGRKIMVDRAPLG >itb02g07370.t1 pep chromosome:ASM357664v1:2:4572471:4575132:-1 gene:itb02g07370 transcript:itb02g07370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20800) UniProtKB/Swiss-Prot;Acc:Q9SKT7] MNAGSRFFQRASEAFRRYPFLPKALVIVTISGGGLAASTQTNLLGTTAYADAGEVKRKKVVVLGTGWAGMSFLKTLKDPSYDVQIVSPRNFFVFTPLLPSVTVGTVEARSVVEPIRKIVRGKKFDVQFKEAECYKIDTEKKKVFCRSSQESSMGRSAEEFSVDYDYLVIAMGARSNTFNTPGVVEHAHFLKEIEDAKKIRRNVIDCFERANLPSVPEEEKKRLLNFVVVGGGPAGVEFAAELHDLVTEDLFKLYPHLKEYVKITLLEAGGHILNMFDKRITAFAEEKFRRDGINLKTGSMVVKVSDREITTKEFSTGKTASIPYGMVVWSTGIGTRPVVTDFMKQIGQTNRRVLATDEWLRVEGCTDIYALGDCATINQRTVMEDIAAIFSKADKNKSGTLKMGDFKEVINDISERYPQIEIYLKKNKMKGFADLLGSEEDGTEINIDKFKTLLSEVDLQMKTLPATAQVAAQQGAYLADCFNRMEICEQSPEGPIRFRGTGQHRFRPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGQSTQWLWYSVYASKLVSWRTRISVVTDWVRRFTFGRDSSRI >itb01g25550.t1 pep chromosome:ASM357664v1:1:30972665:30975222:1 gene:itb01g25550 transcript:itb01g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGASHEQKVQPARKIALTSLSAAAAESATFPIDLIKTRLQLHGESLSTARPTSAVRVVSEIVLKNGFSGLYNGLSPAIIRHLFYTPIRIVGYEYLRNVLVPSDRPLSLPSKAVIGGISGVIAQVVASPADLVKVRMQADSRMVSQGLQPRYHGPFDAFNKIIRTEGFGGLWKGVVPNAQRAFLVNMGELACYDHAKRFVIQNHIADDNIYAHTLSSIVSGLAATTLSCPADVIKTRMMNQGTEGEGKSKYKNSYHCLVKTVRVEGLGTLWKGFFPTWARLGPWQFVFWVSYEKLRQIAGLSSF >itb06g19490.t1 pep chromosome:ASM357664v1:6:22813958:22816037:1 gene:itb06g19490 transcript:itb06g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGVDGMDTPTGNITWIEENGDSAEIQVVSSPMCNRGSPTTSPVIHLRRRKTLRMLLSRGGSGRRYNGSPDQLDDYSDSQWEGRSWWLAIS >itb05g14100.t1 pep chromosome:ASM357664v1:5:21155602:21158590:-1 gene:itb05g14100 transcript:itb05g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSMTLERMRPPTLSEASSRTKWTFSASHAPIIMKPILFWSDDEVSLDNDASRETCEDALVGLNFERVLGKGGFGTVYHGCLGDIQVAVKILSQSSIQGYREFQTEAELLTRVHHRYLTSLVGYCDKDEHKALVYEYMANGNLRDFLLEKSSHVLSWIERLQIAVDAAQGLDYLHNGCKPPIIHRDVKTTNILLNEKLQAKLSDFGLSRVFTIEDGSYVSTRVVGTPGYLDPEYYESHRLTEKSDVYNFGIVILELISGRPVIVVNDEKSHILQWVSLFLETGDIKKIIDPRLNEEFDVNCVWKALELAMACASPIPIGRPNMDYVVMELKECLATEKVRRERQAQTFYSEEVIEVTPIIAQENSTIGPR >itb04g02490.t1 pep chromosome:ASM357664v1:4:1477609:1478208:1 gene:itb04g02490 transcript:itb04g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSLLLLVSISLFPFIIHGAKENDHKHKHYTLIQKTCKLMSAKKDYDFCISALQARPARECAMLMRIGWTCIQLVISNVTDTRRHIGDVLKSGKVQPWVRRCVRECYALYDSAVVQAKRLLWDYKHKEYLGAEYQVGGIDNPAGICGLLFEEGSQDMPIPGCGGGVSPFSARNNNAIQLSGMVMFVLRLLQGTLH >itb10g05350.t1 pep chromosome:ASM357664v1:10:5462988:5463359:-1 gene:itb10g05350 transcript:itb10g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADRFSSVISSAHLRPKNTLPPPNTPAAVAAAAISPPPRTTPFSAVAPNRAFLLRPKLQLRVTLQTIQEEEEEEKESIEILNVHIEQSLFCNSPTLFLSRRSACYVGSTEPTLPCLYKFRCA >itb03g29490.t1 pep chromosome:ASM357664v1:3:30365820:30366706:1 gene:itb03g29490 transcript:itb03g29490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCELLCEILLAIFLPPLGVCLRHGCCTVEFFICLVLTLLGYIPGVIYALYAIVFVDRDRFDHYYSLA >itb04g05890.t1 pep chromosome:ASM357664v1:4:3834005:3841179:1 gene:itb04g05890 transcript:itb04g05890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVINQMVVPPNSSNYKPWEDPSFFRWKKRDAHVPLRCHESVEGSLKYWRERNKADVVVANSAVWDDGAVPEALDCAARWVEGLPFVKSLSGYWNFFLAPSPPAVPSNFYDSSFQDSTWSKIPVPSNWQCHGFDKPIYTNIQYPFHFDPPKVPDENPTGCYRTLFFLPKEWEGRRIFLHFEAVDSAFYTWINGVPVGYSQDSRLPAEFEITSFCKPCGSDNCNCLAVQVMRWSDGSYLEDQDHWWLSGIHRDVHLLSKPKIFIADYFFKSNLTEDFSYADIEVEVEIDNSYADLSLSDFTVEAAIYENGSLCDKAKDSDLLSADATHLQFTSKSDYCIGFKGYSLVGKVQAPKLWSAEQPNLYTLVITIKDASGHVIDCESCQVGIRKISEAPKELLVNGQPVMIRGVNRHENHPRIGKTNLESCMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFMEHPKHKHPALLSYWAAPMLDRAVGMVERDKNYACIIAWSLGNESSYGPNHTAIAGWIREKDPSRVLHYEGGGARTLSTDIVCPMYARVWNIVEIANDPTELRPVILCEYSHAMGNSNGNLHEYWEAIDSTFGLQGGFIWDWADQAILKEGSNGKKRWAYGGDFGDTPNDLNFCLNGVVWPDRTPHPALHEVKFVYQPIKVSFDQGIIEIKNTHFFDTTQELEFNWLLHGDGCELGSGNLGVPVIEPQGTHRILWESGPWYSLWSSSGASEFFLTITAKILHPTRWVNAGHLISSTQILLPGKQESVPHTIKGTKATLVISNHEDTIKLGHDGSWEMVFNKKSGAIECWKVGEITVMNKGIIPCFWRAPTDNDKGGEQMSYLSRWKAANLHNLIFLSEKFSVESETEHVVKIQITYVGIPASEANTFSTSGASSNLLFKVHMLYSIYGSGDVILECNVEPCSDLPPLPRVGVEFQLEKSLDQISWYGRGPFECYPDRKAAAHVGIYKHNVGDLHVPYIVPGECSGRADVRWVTFQSKEGTGIYASMYGGSPPMQMNASYYTTAELDRATHNEDLVESDSIEVHLDHKHMGLGGDDSWSPCVHEPYLLPAAPYSFSIRFHPLTAATSGTDVYKSQL >itb05g15810.t1 pep chromosome:ASM357664v1:5:23173485:23176917:1 gene:itb05g15810 transcript:itb05g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDGDNSSAVNDSTGSGEASVSSSGNQAAPPKATSKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSNEVKKKVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDLKAHSKICGTKEYKCDCGTLFSRKDSFITHRAFCDALAVESAKAQTDMAAPSADEEPVPPSSSPPPQPPPQPAIAPPPPPPVIPQSTPAVPSVLTDQKPALPENPGTNPAGSTPKQVVEETTVITSLTGSCSCSSSSSSNGSSSSSVFASLFASATASGTARSQASGFADMFQSMAPEKALEIAPQPSSKETISLCLAMNHGSSIFGPPGQDRRQFAPSPQPAMSATALLQKAAQMGAAATNSSFLRGLGIVSSSSPSSGQQEWSGRQIETDAGLGLGLPCDGGSGLKELMLGTPSVFGPKHPTLDLLGLGMAAGGGPSPGLSALMTSMGGNIDVAAAAGSFGTTDFSGKDIGRSS >itb10g17270.t1 pep chromosome:ASM357664v1:10:23474184:23481129:1 gene:itb10g17270 transcript:itb10g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQVTDTTSVASPPPQALLERLKDYGQEDAFALWDELSADERDLLVKDIENIDLPRIDRIIQCSFRFQGLPAAAIEPVPESCVSTVEERTVEDRDRWWNMGMKAIAEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQIQAERMLRVQRLAARSANEGAGIVPIHWYIMTSPFTDEPTRKFFESHKYFGLDEDQVTFFQQGTIPCVSKDGRFIMETPYSVAKSPDGNGGVYTALKYSKLLEDMATRGIKYVDCYGVDNALVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVRRGKGGPLSVVEYSELDPELSSAINQETGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGYKLEQFIFDAFPYSPSTALFEVLREEEFAPVKNANGANFDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISF >itb15g19740.t1 pep chromosome:ASM357664v1:15:22247859:22249111:-1 gene:itb15g19740 transcript:itb15g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPTLKSIWGVKGPSSSSSPNPHTLRTKTSPFSFSPHQYVTIKKTLSRTASGLHLRGTLTSKTKPFHNGYSQSQFVSKNGSDMSLGMMSMSMGLSWMRDAAFDERCSAPVNASRISGCGGAGGYNGSYDGPGENEWVQSLDEYYHKIIQDNLDNPLFLGNYAKFLKEVKGDFVKAEEYCERAILANADEGNIFALYAALIWYLHKDAARAESYYIQAVKASPDDCDVLASYAHFLWQTEEEDEEEEDQQILR >itb08g09320.t1 pep chromosome:ASM357664v1:8:8569214:8573679:-1 gene:itb08g09320 transcript:itb08g09320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MASSIQAVGSGRFRVLKPAAGAPSAVTGPVVYWMFRDQRVRDNWALIHAVDQANKANVPVAVAFNLFDQFLGAKARQLGFMLRGLEKLAHYLQDSLSVPFFLFQGEAIDTIPNFLRECGASLLVIDFSPLREVRRWKERICERVGDSVTVHEVDAHNVVPVWVASNKLEYGARTIRAKITKLLPEYLVEFPIIGPPKNKWAVNPKHSVDWGQLIADVVRKGAEVPELEWCEPGEDAAMEVLMGSKNGFLTTRLKSYATDRNNPLKPKALSGLSPYLHFGQISAQRCCLQARQIQKSHPKAVETFLEELIVRRELADNFCYYQPQYDSLQGAWEWARKTLMEHSNDKREHIYTREQLEKAQTADPLWNASQLEMVHYGKMHGFMRMYWAKKILEWTSGPEEALATAIYLNDKYEIDGRDPNGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCKRKFDVDGYIAYVKRLVGGTKKRKAEAIAE >itb07g07410.t1 pep chromosome:ASM357664v1:7:5615151:5620602:-1 gene:itb07g07410 transcript:itb07g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGTIPEEIGGLSFLSTFNISTNSFHGHIPESIGFLTKLQSLDLSYNHLTGNIPATIYNISSLRFVDLRNNVLSGTLPESICDNCRQLQSLFLRANRLSGEIPSSLHKCTELRFLNLVENEFHGSIPPEIGNFSKLEWLLLYGNNLTGDLPRTIFNISSLVVLDIARNEISGTLPNDFCYQIPKLEYLDISKNQINGEMPEALSSCRRLQVLSMSNNQLSGRFPTQICNMSSLQELYLVGMNLTGLRHWMSPSLVVLILNQNQFTGTIPSSISNATQLIDLELGENMFSGHVPLVFENLQQLEYFNIQYNQITNEPSANELSLLTSLSKCKNLKMVGLSGNPFNTVLPSLIDIGGNKSVSFESLDASEYHLKGSIPSGISSFINLISLDLSDNMLSGSFPETLGQGLLRLQGLSLDNNKIEGSIPNTLCYLKDLSELNLGDNKLSGSIPSCFGNISSFRKIYLGSNLFTSTVPLGFWNNKDVLELDLSSNLLNGTLSSEIGNMHNMVYLNLSGNQFSGEIPDTIGQLQNLLNLSLSSNRLHGPIPQSFDSLISLQALDLSNNSLSGGIPKSMEKLKDLVYLNLSFNDLSGKIPNGGPFANFSMESFMGNEELCGASRFHVMECKEEESGEEIGQCFLMVMGLALECTADFPEERITMKDTIVAAGKDDVSERDSVSI >itb09g24910.t1 pep chromosome:ASM357664v1:9:24772207:24773511:1 gene:itb09g24910 transcript:itb09g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTATLRPYNTLHATMMITNRCTTTSQHSSQPLLDLKITTSTLKITTSSANSHNKEKGIVGICNKWMEYQGIKDWEGLLDPLDDQLRMHILSYGHFVEAAYRSFDFDVASPMYATCRYEEDSMLARCGLGKSGYKVVRNLYATSAVCIPRWMKRVPNLVSPRSSWIGYVAVCDDVEEISQLGRRNVVIAFRGTATLSEWLENLRATLTCLPDDMAPADCTRPPMVQSGLLSLYTSSYECCHSLRDSIREEMHKILEMFSNDNESLSITITGHSLGAALAILTAYDITTEFCHAPPVTVVSFGGPRVGNHHFRSQLEANGTKILRIVNSDDPITKVPGFVFDDMAVSGSGMPRWLRKWVEDTRYAYAEVGKELRLSSKDCPEVIGSGNVATCHDLKTYLHLINNFVSSNCPLRATAKRVIKMEQSKTTASAPV >itb08g07910.t1 pep chromosome:ASM357664v1:8:6813402:6816104:-1 gene:itb08g07910 transcript:itb08g07910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYEAARATNAPPPATPTPILTSVRIDSPPCDRNPVPRCKCSALDVAPSPKIPLARKVGAEFVGTFILIFTATAAPIVNQKYNGAETLIGNAACAGLAVMSIIVSTGHISGAHLNPSLTIALAAFRHFPWSQVPAYIVAQVSASICASLALKAIFHPFMSGGVTAPSVAAGQAFALEFLITFNLLFVVTAIATDSRAVGKLAGVAVGATVMLNILIAGPSSGGSMNPVRSIGPAVATGNYKSIWIYLIAPTLGALAGASIYTLVKVPGVENDSPHRPKNFHR >itb02g11540.t1 pep chromosome:ASM357664v1:2:7698845:7701055:1 gene:itb02g11540 transcript:itb02g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPGVLDTARRNQPPENLSYTESGHCYRPRHPYQHQWNSQFNNRRDRLPGPPKTQPRFVIQFRSSSRTLKRSELDELIEKLPSPPQSSYVFDRGSVLGTLFYEQWSEAVEVVVKLWRMRLDGGLPMTPSLVENVEVSLKKEELKDRLRGVFLEKLEGLMEGELVQKCRQKIELLEAEIEKVSKSLGRPKRIALANELLNKKEAFVAERDLIAKRIAEFKNGVSCIVRQLEGKYSGEDVHGDSTTVFNFETEFNWDRILHLIKRECRRLEDGLPIFASREEILKQIDSQQATVLIGETGSGKSTQLVQFLADSGIAGNGAVVCTQPRKLAAISLADRVKEESVGCYKEKSIACYPSYSSIHQFESKVIFMTDHCLLQHYMRDKSLCKISCIIIDEAHERSLNTDLLLALLRNLLHQRHDLRLIIMSATADADQLTDYFFGCRTFYVSGRTFPVDIEYVPSECEGSFVSGSGMVPSYVSDVVRTVTDIHKAEGEGTILAFLTSQMEVEWAIETFQAPSAIALPLHGKLSYEDQHRVFLNFPGKRKVIFTTNVAETSLTIPGVKYVVDSGRVKESRFEPGTGMNVLKVCPVSKSSANQ >itb10g22310.t1 pep chromosome:ASM357664v1:10:27208438:27211203:-1 gene:itb10g22310 transcript:itb10g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MVGSAAVPSNPKFPAPIPRRLDLTTAHLITNAAALRLGNSLCRRLRLSCLLRSSFKNICHHAGASTFTALQLDGFDLLDWSRDVLSTACMSFSFISGGGSRKDSKGFGKSYSNSSRHFISNDRKWTNLLLAINILAYIAQIGTKGQLLFLGAKINSLIDKGQIWRLVTCSFLHANVGHLLVNCYSLNSVGPAIEKISGPRRYIAVYLISAIASSTFSYWHSKAPAVGASGAIFGLVGSFAVFVLRHRGTVKGTEGDLVYIARIIALNMAIGFLSKGIDNWGHLGGLVGGAATSWLVGPAWKLESVSEGRRVFADKAPIFSLIKSRKTKP >itb01g03640.t1 pep chromosome:ASM357664v1:1:2375814:2379110:1 gene:itb01g03640 transcript:itb01g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSRFLGHKPLHTLKLVMKLLHDSGPFKDDLELNQRNSQAIKLPPFLACPHCTILFPSPSNLPMATVEVESSPATIAENVAPEVEVKPAPAAEETTPEVAEPAAEKPAVEEEAETPAAAAVATPTEEAVTVVFEAGEEEEEVAKAVAEEETPAAETKPEEDAAVASPTEDDSVV >itb15g11320.t1 pep chromosome:ASM357664v1:15:9118270:9120013:-1 gene:itb15g11320 transcript:itb15g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGETGVQVADFSSSSANNKAAAQNLATCIYQCRLRGKSWLLNVTWSKNLMGQGLSVGIDDNTNQCLCKVDIKPWLFSRKKGSKTLEAYSCKIDVYWDLSSAKFGSGPEPLQGFYVCIVFERQMLLLLGDMIKEALKKTGASPAASGAVFIAKREHIFGKKIFGTKAQFCDNGQIHDLIIECDTSGSSDPYLVIRVDSKPMMKVKRLHWKFRGNHTILIDGLEVEVFWDVHNWLFGTTFGNAVFMFKTSHSAEKLWASQPLCDPQTQHWSWSQRFRESQSPSLDFSLFLYAWKNE >itb04g11650.t1 pep chromosome:ASM357664v1:4:11337122:11340134:1 gene:itb04g11650 transcript:itb04g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAQTNMVPPSTIGPPLSKPSFVFRLLLLINLLTVSFCDDAAVMSNLLAALSPAPSGWSASKDPCTWTNVNCDKSTGNVITINLDSQSISGNLPSDLTQLSSLRTLSVQKNSLSGTLPSFANMSSLEGLYLDSNQFSSIPQDFLLGLPNLQTFSISDNGELSPWQIPSYLAESTNLGSFYASNASITGVIPNFFDSFPNLQNLRLSYNNLTGSLPGSFGSSEIQNLWLNNQQQGLSGTIDVLSSMTQLTQVWLHANAFTGPIPDLSKCVNLFDLQLRDNQLTGVVPVSITALPKLVNITLQNNNLQGPMPEFGKNVKNNVGNTFCKDTPGPCDPQVTALLAVAGGLGYPITFAQSWEGNNACNSWKFISCEQGNVITVNLEMDDFSGTISPALANLTSLRYLYLDNNNLSGPIPENLNTLPNLQVLDVSNNHLSGPIPVFPPSVTFSHSGNLFLGKNVSTGGGSPGSGQNSDVPGDNPSSHSSNGSSISAGMIVGVVIAVVVFVVVVLFVSYKCYMKRQHKMKVSVKGTAIPTEIKKWQIVDCGRTFPAHEDGNIAIPIQVLEKATNFFSEENVLGSGGYGVVYLGKLDDGTKVAVKKMKDGATHTKGINAFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPHGTLGRHLFEWEKHGFHPLTWKQRVTIALDVAKGMEYLHSLAHQSFIHRDIKSSNILLCDDMSAKVADFGLVRKAPNDKYSFETRIAGTFGYLAPEYATTGRATNKVDVYAFGVVLMEIITGKKAVDETLPDETCHLVTWFHKIIRKGHNIQNAIDPTLDLDDQTFESISKVAELAAHCTANKYFRRPNMEHVVNVLGPFAQKWKPLRLEEIEEKYGGLNLQMSLPLAFDDSSIESLSFTEAQLNGNRLNQSAQF >itb10g15840.t2 pep chromosome:ASM357664v1:10:22070546:22074294:-1 gene:itb10g15840 transcript:itb10g15840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVALSSESPLLRPLTATHVPLQNFSPKFLRFFNFRFHTKSSRPNNSLVISGCSRQLCGCKYDGLRGNFVLKEVKTSKRKCCCRARLPHAGDECNLTRKSTLLNRPRKNEMGSAFEVLNISHDKFLKFVSMSGLLALLGAQEAIAASDIATGLQATSIFGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSSIVTFFGTFGALGVMTIISVVLGRTFHYVDDILPFRIGGTDLPIDDIAAVILLLYFGVSTLLDASSSDGGKAEEEQKEAELAVSELSGNGAGILAAANTVISTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLLAVLGGSLLGSFLSEKVIAYIGGTLFLVFAAVTLVEIVT >itb10g15840.t1 pep chromosome:ASM357664v1:10:22070546:22074246:-1 gene:itb10g15840 transcript:itb10g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCVEVKTSKRKCCCRARLPHAGDECNLTRKSTLLNRPRKNEMGSAFEVLNISHDKFLKFVSMSGLLALLGAQEAIAASDIATGLQATSIFGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSSIVTFFGTFGALGVMTIISVVLGRTFHYVDDILPFRIGGTDLPIDDIAAVILLLYFGVSTLLDASSSDGGKAEEEQKEAELAVSELSGNGAGILAAANTVISTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLLAVLGGSLLGSFLSEKVIAYIGGTLFLVFAAVTLVEIVT >itb10g15840.t3 pep chromosome:ASM357664v1:10:22070546:22074077:-1 gene:itb10g15840 transcript:itb10g15840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFEVLNISHDKFLKFVSMSGLLALLGAQEAIAASDIATGLQATSIFGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSSIVTFFGTFGALGVMTIISVVLGRTFHYVDDILPFRIGGTDLPIDDIAAVILLLYFGVSTLLDASSSDGGKAEEEQKEAELAVSELSGNGAGILAAANTVISTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLLAVLGGSLLGSFLSEKVIAYIGGTLFLVFAAVTLVEIVT >itb03g15110.t1 pep chromosome:ASM357664v1:3:14548718:14549767:1 gene:itb03g15110 transcript:itb03g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLPHVLHLWLSVSAPLSQLHRRQQCLLLHHWQLHRECPILWQLNNRRRVHFLFSFTEFTSYSPKPKVQSPPQPLPFKDYYNPPPKLTSPVRAPPPSPNVLHLWLSVSAPLSQLHRRQQCLLLHHWQLHRECPILWQLNNRRRVHFLFSFTEFTSYSPNVLHLWLSVSAPLSQLHRRQQCLLLHHWQLHRECPILWQLNNRRRVHFLFSFTEFTSYSPIGE >itb13g12620.t1 pep chromosome:ASM357664v1:13:18828671:18829321:1 gene:itb13g12620 transcript:itb13g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDDVLRRMGYSTVSKCSCCVSPGCETIQHVFGMGDTTKHVWDYFAKSMGTEIQVRSERHVCYEWWNLKFKNRMMKYITERLPTLILWELWINFTQCKFGREKTSVKRIIYKVTRDVVDCIQRKWPSWDPLPPNWNFIIKKAEGFGCGRIVQKSCWCRPLPGSVKINWTVGRDGLSCGFFGRNSKGMFCVAGVYTSHDRTEIKSLIVRMLHDCTD >itb13g09250.t1 pep chromosome:ASM357664v1:13:12662838:12663392:-1 gene:itb13g09250 transcript:itb13g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFALLESVRRQLLDDFDAPPVFCRRGSLGESGWLSSVDETPTVAVEMGFYEFPVILDFTAVSPPPPPPPAVKRNYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDREAFRMRGARALLNFPHRINSGEAEPVRITTNKRSASTDCSSSSSTTSENVSYSHLRGGRRLLKHQ >itb03g05230.t1 pep chromosome:ASM357664v1:3:3568023:3571875:-1 gene:itb03g05230 transcript:itb03g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISFSSPPACCCSLTAAINGGKATSLASPLRYNRLLIQRRSLSSYGSKNLRCYIKAKTTGSNLEEKSQSSDSEVECVGTGLDVECRVTPSDGVSENSELKSRDLLGEIWEWALLVSPFFFWGTAMVAMKEVLPKTGPFFVSAFRLIPAGMLVVGFAASRGRNFPSGFNAWFSITLFALIDAACFQGFLAEGLEKTSAGLGSVIIDSQPLTVAILAALLFGESIGFVGAAGLVLGVIGLLLLELPALTFDERSFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMVIGGLPLVAFSVLNHDPALNGSLKELTSSDVLALLYTSVFGSALSYGVYFYNATRGSLTKLCSLTFLTPMFASIFGFIYLGETFTPVQLVGALVIIDSQPLTVAILAALLFGESIGFVGAAGLVLGVIGLLLLELPALTFDERSFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPIMATGWHMVIGGLPLVAFSVLNHDPALNGSLKELTSSDVLALLYTSVFGSALSYGVYFYNATRGSLTKLCSLTFLTPMFASIFGFIYLGETFTPVQLVGALVTVGAIYMVNYKSDEA >itb14g16050.t1 pep chromosome:ASM357664v1:14:19307355:19309499:1 gene:itb14g16050 transcript:itb14g16050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVLKWQIRRGSLMRRLILKMLMFGAGLIVISFVQMGHEVQFSEPWMLNESECPLNFGHLSFNFTHLFGVLPVPCKENEVLAKNVFQELMVNSFLDSDVKALCVGEGSDAAVLALRELGFSDVSGVNRHPFFSLLKRRFVYELDFGDSSFDFVFSRALDRVSVPALLVLEIERVLRAGGTGAMLLGANGFTSGNLVRSATPVSSFLKSSDVVHVCPVGPYVLVLFKKRFQAVALFDQFKLPDHCPSVMNNNPFMPYIEPIGNKNPAKFDSKISYLPNLMNISSRNKLVYINVGAGELVDAAITKMFSAHYPIPQHALDVYVIDHNTTALSLYVKTPGITFVYDPKLAGEETASSSANYTDDYLGDDKDFDFVHWFNETVNDGEFVVLMMNARAAELQILDDLFRTGLICRVDELFLRCSDAAVWKPARCGDCTSLFKGLRNRGVFAHWLGE >itb15g13170.t1 pep chromosome:ASM357664v1:15:11131328:11136349:1 gene:itb15g13170 transcript:itb15g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRTDRKPPGVGRGRGRGRDDGAAGRQGKGIGRGMDDGGAKGRGKGGPGVKAGGKGGGRGRG >itb01g09700.t2 pep chromosome:ASM357664v1:1:7940174:7943675:1 gene:itb01g09700 transcript:itb01g09700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVSRELCAYGGDKIKPLFYAQMNAFLHSGQTRYAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPHKHVSFKQRTRPFMEPFTLDVFISKRFVSASITHRVTCKQVAVAGTNSKDIKAMLKSRSDIPACLAVGQILGDRAREADVYTAAYTPRDRDKFEGKIRAVVQSLIDSGIDIKVYLD >itb01g09700.t1 pep chromosome:ASM357664v1:1:7940174:7943675:1 gene:itb01g09700 transcript:itb01g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVSRELCAYGGDKIKPLFYAQMNAFLHSGQTRYAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPHKHVSFKQRTRPFMEPFTLDVFISKRFVSASITHRVTCKQVAVAGTNSKDIKAMLKSRSDIPACLAVGQILGDRAREADVYTAAYTPRDRDKFEGKIRAVVQSLIDSGIDIKVYLD >itb12g01450.t1 pep chromosome:ASM357664v1:12:996848:998470:1 gene:itb12g01450 transcript:itb12g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERVNRPPSLPEYPEMILEALDALKQKEGANKSAISKYIESKYGGSDAHSKLLTFHLERMKQSGDLIFVKNNYIKPDPDAPPKRGRGRPPKPKSPVAPGTIFAPPRPRGRPRKDPNAPPTPKKAKTAAPAPSKTGRPRGRPRKVKAQNGAEGS >itb11g01600.t1 pep chromosome:ASM357664v1:11:784498:785094:1 gene:itb11g01600 transcript:itb11g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRIHVKDGKNTRFWRDAWIQEQALDQSDSTHAAPDFNDDMVRDYWDQAKGWKWEKLVSLPDTIRSQLRSVTLCEDEGNDEVYWRREASGKFSVTSAFELIHDYTHTMQGTVWSKLWKIKVPNKYKTFLWTIIHDRIMGNAERKRRGLTENDSCGACSGMTESTEHILRSCTNAKEIWRVFTSTTTRRRWRQLDFR >itb06g15870.t1 pep chromosome:ASM357664v1:6:20117926:20121366:-1 gene:itb06g15870 transcript:itb06g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEMELMEPQLQPQVEEKEEEEAGTAAFPRKARSKGGLVTMPFVIANEALEKVSSYGLAPNMILYLMKNYGMGVTKGQNLLFFWSAATNFLPLLGAFLADSYLGRFLTIAIGSVFSFLGMVVLWLTAMIRQLRPPACTQLGQPCKTASPAQYFVLISSFVLMSIGAGGIRPCSLAFGADQLDKKDNPQNQRVLESFFGWYYASAAISVVIALTGIVYIQDHLGWKVGFGVPAILMLVSVVLFIVASPLYVKRKSGRNLFGSFARVLVAAYKNRKLSYPSKVSDYHCDKGSEYIVPSDKLRFLNKACIIKNPEDVKPDGVPTNPWGLCTVEQVEELKALIRVIPLWSTGIMISINISQSSFPLLQASSMNRHVTKSFQIPAGSFGMFTIISLTIWVVLYDRVILPLASKIRGKQVRLSTKSRMGIGIFLSSLGMLTSGIVEHVRRGKAIQQGFLNNPQAVVEMSAMWLVPQHCLNGFAEAFNAIGQTEFYYSELPKSMSSIASSLFGLGMAVANLLASVILSTVDRSTGGGEGKESWVSSNINKGHYEYYYWLLAILTTINLLYFFACSWLYGPCVQNFSSNEKIEGDNNAPKERLSGPGTPLRVMG >itb15g04300.t1 pep chromosome:ASM357664v1:15:2710391:2710651:-1 gene:itb15g04300 transcript:itb15g04300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYYFFPTDFYYPKPPKPAATNLPPPPQVTPPVAAEPVNPAESAALVRRNWSENKIILRASAPAVTCYPVERKNNPRRFIDED >itb04g24120.t1 pep chromosome:ASM357664v1:4:28904558:28912195:-1 gene:itb04g24120 transcript:itb04g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MENQLQLVVSSPATSFHDLLASQRELFRSQVDHLENIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAVKYMQSVFSIKDVISKKETREISALFGVTATQVRDFFTTQRTRVRKLVRLSREKARKPSASDEPCGGIPLSSDASLPVDPVPLDSVAPTNVEGPSCSTQDEALSGVEESDKDFIDNIFILMRQEETFSGQVKLMDWILEIQNPSVLQWFLSKGGVMILATWLSQAATEEQTSVLHHILKVLCHLPLHKALPAHMSAILQSVNKLRFYRTSDISNRARILLFRWSKKLANQSSKKPNGLKSASDTQDEMLLKHSIGEVMAEVDGFEESLSQFDTSENLRKLGSTQPLKLLTSSAEESNKKTGRGALSAQTRERRKVQLVEQPGQRMPGRNPQVARTASATQGRPLSADDIQKAKLRAQFLQSKYGKSKTSTDHSPQTKPEGPNKNAAPQDGVFHSTTKTYDLPTINEEKSKINEVKPKIDVEKPAIDEEKPKFDEQKKIADIKSNISNQQETPLNQKRSLDAEEPPPGKKCKAIQIPWHTPPEMVISEKWKICTGGNSKEVEVQKNRIHRERETIYRTLHEIPLNPKEPWDREMDYDDTLTPQIPTEQLPDGDGVETMVSQAENVETIAAPSASSLPQNVNSSMPEPDLELLAVLLKNPELVFALTSGQAGNLSSEDTVKLLDMIKANGLKSMSDPNGLGRRAEDKVEVSLPSPTPSSNPGTSGLKSDEYEKNPFSRRDATVTPAQQPHYPAMAAQQLLTPQFAQQRESAMLQATGRNYHEHHPSPLIPSLNQAAPSISMNASELLVNRNGAMFSSASPSPMGILKPSSMHVIDAPMPSRVQTQPQAYYVPEPLPPQSWGGARQGLHSHSTPTNSNNYNPHVGATTQPGPWRRNDYGFESFSPENSPARSHEYASRWNHSEPRMNNYPTERMTPRNPSTYHDPNWNVNRRWSDRRR >itb03g05180.t1 pep chromosome:ASM357664v1:3:3533236:3536865:-1 gene:itb03g05180 transcript:itb03g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIAKKKGGKKRGGQGLIDIVFSWSLEDVMNKNLYKDKVKEIPDTFLSEEHYLNSFMHPLLEETRADLYSNMTDSLRNAPSCEVLDLGTGKGFNPPNDLFYEIRLKRTRNGYGESKTPYEPQGGELIALSDVRPKRIEDLNRPKISYLIAVVGSRDDDDDSDWFPILSSKPIIFQKNNEEKKKGDELFIVYLTSLVTNIRIWNSLKMDLESANLNIIRTVLQVHQDDAANSAPEEIEEKHVQDDRNCDLCTQQETESTISLNARAAIHSFGLDDSQEMAILSCVTTTQCVHRNSVKLIWGPPGTGKTKTVASMLSVLFNMKCRSLTCAPTNIAVIGVAKRFMVMVRESLQYGSYGLGDIVLVGNKERMKVVDHEDLWDVFLAYRVNALASCVSPSVGWQVGLISMISLLEEPEVLYKKYLETVKEKDEDSDEEPGSGEVNAAEKDGLITKKDLKKTRISNPLNKFIIQTIKENKKKKSISKKSSRNNAKCHKGKGCTSREVKIWTFDEFILKKYESLVEQLEFCMKTLYTHLPTSYISLEAVMNMVRAINLLPTLGALLKTLSETHGGLRDGFKGTDAGGHLYAFRTVKSECVALLTLLRGSIRLPNFFEKYEIQSFCLKSAVLIFSTASSSSKLHGSAPIEVVVIDEAAQLKECESTIPLHLPGVRHAVLIGDEKQLPAMVQSKICEKAKFGRSLFERLVKLGHKKHLLNIQYRMHPSISLFPNKKFYEEKVTNGPNVTNIRYEKRFLKGNMYGPYSFINISKGREEFDDNCSCRNMAEACAVAKIVAMLCRESRASKQRVRVGCISPYKAQVFAIQEMLGKKYSTDVESDFSVNVRSVDGFQGGEEDVIIISTVRSNGRGAVGFLSNFQRTNVALTRARYCLWVLGNGATLINSGTVWRDIVVDSIARGCYYDACNDKDLGIALAYVSDELTTKFSAMSLGHKPAFG >itb07g14160.t1 pep chromosome:ASM357664v1:7:16647083:16652732:-1 gene:itb07g14160 transcript:itb07g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRVVIQCLFLLILFSKTSLSLTPHKISRLTPFYRSILRRQYRSSSSSDRTTSATSDTQFKTYYYNQTLDHFNYGPQSYATFKHRYIVNSNFWGGAQSNSPIFAWLGAESSIDSDPLGIGFLTDNAPRFKALLVYIEHRYYGESIPFGTMEEAMDDDTTRGYFNSAQALADYAEVLLYIKKEYSAQDCPVIVFGGSYGGMLASWFRLKYPHVSLGALASSAPVLYFDDITPQNGYYSIVTKDFREVSESCYQTIKKSWSIIDKIASKPYGLSILRRKFKLCRTTSATSDTQFKTYYYNQTLDHFNYGPQSYATFKHRYIVNSNFWGGAQSNSPIFAWLGAESSIDSDPLGIGFLTDNAPRFKALLVYIEHRYYGESIPFGTMEEAMDDDTTRGYFNSAQALADYAEVLLYIKKEYSAQDCPVIVFGGSYGGMLASWFRLKYPHVSLGALASSAPVLYFDDITPQNGYYSIVTKDFREVSESCYQTIKKSWSIIDKIASKPYGLSILRRKFKLCRDLNSSWELKDYLDEIYCEAAQYNLPPEYPVTMVCGAIDGAPKGAHILDRIHAGVVASEGNQPCYDVSAGETPPASPGEDDEIWGWNWQTCSELVIPIAKGNDSMFDPAPFNLQRYSQVLENISDTILAVYTQNGSHCLDLDGERATDPNWLTEQRNKEIEIIQGWITKYYAELQALKK >itb02g05710.t6 pep chromosome:ASM357664v1:2:3531474:3536137:-1 gene:itb02g05710 transcript:itb02g05710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSSSDSNSGVAGGAEVCKGSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETTQKLIFQDTFHEVKRKRDRRKENVNNKSGELNWKAGTQGQGNKGGFGNYSSCYASHDAGGGRKLISGKVNGISQILEKSISPTSIPSSQTENNNTSGVAYAPTTGAVAGKLEGQPEHLIDAKKSPKVEEVTSDMHGQQMQNPSNSTATNASFPSSGVNFSASDPVPFPSQGAQPDGMGAIQHEVGNRHAPDEHLPSNSNDNEVTSATSEVGSSDVQGKMPNKSQGPGEDLPIESSQPVSTLDGCSVGRLSSNYNNRLLVTGPQKAGTVKEWKPKATSANPDLVYVTAVSSEVPTVSVEPNAEVQPIPVALGAEEAGFDLQKKFEESHILDVQHVIIPNHLHVPEAEKLGFCFGSFDAIHGLNTTSNSGTKNDKNPSLSETSDAIEETAKEQLPRSLSLHLSKIQFL >itb02g05710.t3 pep chromosome:ASM357664v1:2:3522905:3536123:-1 gene:itb02g05710 transcript:itb02g05710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSSSDSNSGVAGGAEVCKGSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETTQKLIFQDTFHEVKRKRDRRKENVNNKSGELNWKAGTQGQGNKGGFGNYSSCYASHDAGGGRKLISGKVNGISQILEKSISPTSIPSSQTENNNTSLSIASPNEPSGVAYAPTTGAVAGKLEGQPEHLIDAKKSPKVEEVTSDMHGQQMQNPSNSTATNASFPSSGVNFSASDPVPFPSQGAQPDGMGAIQHEVGNRHAPDEHLPSNSNDNEVTSATSEVGSSDVQGKMPNKSQGPGEDLPIESSQPVSTLDGCSVGRLSSNYNNRLLVTGPQKEEAGFDLQKKFEESHILDVQHVIIPNHLHVPEAEKLGFCFGSFDAIHGLNTTSNSGTKNDKNPSLSETSDAIEETAKEQLPSDGSALAIADMADYPDPPPSSSSEGQENLLSKAKGDELSSSIVESNESKQENVHGDHQYSIVQTSPNHSGIMPPILGSQLGPLENSESQACDIPQLPNVVVVGSGKVIGSAEVCGGLYILKNTHENKQVPKSGRQPSGLNYSSCCITCDSNCWASSKFYSCTSTPSCSIPTTIWGAPYPLPAKLFSLWSLFFTIFCSTTSDASISEQWCISSATPGWQCLSTSSSSCQVFTFTI >itb02g05710.t1 pep chromosome:ASM357664v1:2:3522757:3536137:-1 gene:itb02g05710 transcript:itb02g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSSSDSNSGVAGGAEVCKGSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETTQKLIFQDTFHEVKRKRDRRKENVNNKSGELNWKAGTQGQGNKGGFGNYSSCYASHDAGGGRKLISGKVNGISQILEKSISPTSIPSSQTENNNTSGVAYAPTTGAVAGKLEGQPEHLIDAKKSPKVEEVTSDMHGQQMQNPSNSTATNASFPSSGVNFSASDPVPFPSQGAQPDGMGAIQHEVGNRHAPDEHLPSNSNDNEVTSATSEVGSSDVQGKMPNKSQGPGEDLPIESSQPVSTLDGCSVGRLSSNYNNRLLVTGPQKAGTVKEWKPKATSANPDLVYVTAVSSEVPTVSVEPNAEVQPIPVALGAEEAGFDLQKKFEESHILDVQHVIIPNHLHVPEAEKLGFCFGSFDAIHGLNTTSNSGTKNDKNPSLSETSDAIEETAKEQLPSDGSALAIADMADYPDPPPSSSSEGQENLLSKAKGDELSSSIVESNESKQENVHGDHQYSIVQTSPNHSGIMPPILGSQLGPLENSESQACDIPQLPNVVVVGSGKVIGSAEVCGGLYILKNTHENKQVPKSGSTTI >itb02g05710.t5 pep chromosome:ASM357664v1:2:3530550:3536123:-1 gene:itb02g05710 transcript:itb02g05710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSSSDSNSGVAGGAEVCKGSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETTQKLIFQDTFHEVKRKRDRRKENVNNKSGELNWKAGTQGQGNKGGFGNYSSCYASHDAGGGRKLISGKVNGISQILEKSISPTSIPSSQTENNNTSLSIASPNEPSGVAYAPTTGAVAGKLEGQPEHLIDAKKSPKVEEVTSDMHGQQMQNPSNSTATNASFPSSGVNFSASDPVPFPSQGAQPDGMGAIQHEVGNRHAPDEHLPSNSNDNEVTSATSEVGSSDVQGKMPNKSQGPGEDLPIESSQPVSTLDGCSVGRLSSNYNNRLLVTGPQKAGTVKEWKPKATSANPDLVYVTAVSSEVPTVSVEPNAEVQPIPVALGAEEAGFDLQKKFEESHILDVQHVIIPNHLHVPEAEKLGFCFGSFDAIHGLNTTSNSGTKNDKNPSLSETSDAIEETAKEQLPSDGSALAIADMADYPDPPPSSSSEGQENLLSKAKGDELSSSIVESNESKQENVHGDHQYSIVQTSPNHSGIMPPILGSQLGPLENSESQACDIPQLPNVVVSLLSLVFTICSLVIVEILNISALGSPHL >itb02g05710.t2 pep chromosome:ASM357664v1:2:3522757:3536137:-1 gene:itb02g05710 transcript:itb02g05710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSSSDSNSGVAGGAEVCKGSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETTQKLIFQDTFHEVKRKRDRRKENVNNKSGELNWKAGTQGQGNKGGFGNYSSCYASHDAGGGRKLISGKVNGISQILEKSISPTSIPSSQTENNNTSLSIASPNEPSGVAYAPTTGAVAGKLEGQPEHLIDAKKSPKVEEVTSDMHGQQMQNPSNSTATNASFPSSGVNFSASDPVPFPSQGAQPDGMGAIQHEVGNRHAPDEHLPSNSNDNEVTSATSEVGSSDVQGKMPNKSQGPGEDLPIESSQPVSTLDGCSVGRLSSNYNNRLLVTGPQKAGTVKEWKPKATSANPDLVYVTAVSSEVPTVSVEPNAEVQPIPVALGAEEAGFDLQKKFEESHILDVQHVIIPNHLHVPEAEKLGFCFGSFDAIHGLNTTSNSGTKNDKNPSLSETSDAIEETAKEQLPSDGSALAIADMADYPDPPPSSSSEGQENLLSKAKGDELSSSIVESNESKQENVHGDHQYSIVQTSPNHSGIMPPILGSQLGPLENSESQACDIPQLPNVVVVGSGKVIGSAEVCGGLYILKNTHENKQVPKSGSTTI >itb12g02970.t1 pep chromosome:ASM357664v1:12:1945402:1948473:-1 gene:itb12g02970 transcript:itb12g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEATGHIVTVEMKSGELYRGSMVECEDNWNCQLESITYTAKDGRVSQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEAKIKGKGTSLGVGRGRAIAMRAKAQAAGRGAAPGRGVVPPVRR >itb01g07210.t1 pep chromosome:ASM357664v1:1:5440445:5442339:1 gene:itb01g07210 transcript:itb01g07210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELRDFYYHQPFQDDRHGGGFLYSAAQASSMADSSLLHHHHNLDPTSPYMSFTDYLQGSSDFEASAGVGFSSSPPLDERRPVNVTTTVGGGSTETPVVMTPNSSISSSSTEAGGGDNDDSKHKREKLAKETEGEDDGEDNNSSKKENKGKKKGEKKQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCPVKKRVERSYQDPSVVITTYEGQHDHHIPTNLRGSLAGMLPPSMLATSSLLGGPPPQGATLPPELLMAQINPLAHHFYGGHNAASMMFQPHQNNLTQMQQLHPDFGLLQDMVPSMIFKQEP >itb15g07340.t1 pep chromosome:ASM357664v1:15:4992935:5002576:1 gene:itb15g07340 transcript:itb15g07340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAC1 [Source:Projected from Arabidopsis thaliana (AT2G38280) UniProtKB/TrEMBL;Acc:A0A178VY66] MDAYAMHLAMAALVGASVVAVSAYYMHRKTLNQLLEFAKTMERDREEAEEDGADSPQLLKKYGSLERRRSYARRKGNGYYRRASASLPDVTVISGSGGVEVDSIPVGLPRLHTLPEGKAGHVGSTKRAGLLLRPTSPKSPVASAFESVEESDEEDNMTENTKLDTSYLHTNGNVGPECEGMYQNLPDHVNVSGEQVPLPAPSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFVRLKISPMETPSPDEIDVYRNLQVCLEMRHSYVFREAVLPWEKEIISDPSTPKPNPNPFDYMSEGKSDHHFKMEEGVIHVYANKDSNEKLFPVADATTFFTDLHHILKVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFTDLAASKYQMAEYRISIYGRKMSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFLPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNAFNPAYSYYVYYCYANLYTLNKLRESKGMTVIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYFWRGPNGNDIHRTNVPHIRLEFREMIWREEMRQVYLGKAVFPEYIDP >itb15g07340.t2 pep chromosome:ASM357664v1:15:4993194:5002477:1 gene:itb15g07340 transcript:itb15g07340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAC1 [Source:Projected from Arabidopsis thaliana (AT2G38280) UniProtKB/TrEMBL;Acc:A0A178VY66] MTYLNSYLFHFFPPNYVPHPTLYEQGPECEGMYQNLPDHVNVSGEQVPLPAPSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFVRLKISPMETPSPDEIDVYRNLQVCLEMRHSYVFREAVLPWEKEIISDPSTPKPNPNPFDYMSEGKSDHHFKMEEGVIHVYANKDSNEKLFPVADATTFFTDLHHILKVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFTDLAASKYQMAEYRISIYGRKMSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFLPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNAFNPAYSYYVYYCYANLYTLNKLRESKGMTVIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYFWRGPNGNDIHRTNVPHIRLEFREMIWREEMRQVYLGKAVFPEYIDP >itb07g18820.t1 pep chromosome:ASM357664v1:7:23263605:23269588:-1 gene:itb07g18820 transcript:itb07g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIETQQMKAREHQQQLQMQQLQLMQQRNVQLRRDPNHPPLGSPINAINSEGMVGQPSASVLAMKIFEERAKHPHSMDSESSSALIDPNRMALLKSATNQGQLVQGTSGSVSAALQQMQGRPQLATDIKAEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLNQGVTGLPLKGWPLTGIDQLRPSMGLQVPKSNLQTQTQFLLSSQQQQVLAQAQTQNNLGNSPNYGFGGLPRGNFNPKDGQPPRNDGSICSPVQSNSPKMKMPQMQQSSSQQQDQLQQQQQLQQTNRKRKQHSSSGPANSTGTGNTVGPSPNSPPSTHTPGDAMATASSMQHVNSVSKSMIMYGAEGTGGIASSTNQLDDLENFGDIGSLEDNVESFLSHDGGDGNIYGTLKQSLTEHKPEPKGFSFGEVGCIRTRNKVTCCHFSSDGKLLASAGHDKKAVIWNMDTLQTEMTPEEHQYLITDVRFRPNSTQLATASFDKSVRLWDAANADYCLHAYTGHSSHQGGSAQVRFQPITGHLLAAASDKVVSIFDVETDRQVHSFQGHSGVVNYLCWDLNGELLASVSEDCVKVWSLSTGDAIHEFTANGNQFHSCVFHPSYSALLVIGGKRSLELWNMVENKSMTVPAHENIIAALAQSPLTGMVASASHDSSVKLWK >itb12g10730.t1 pep chromosome:ASM357664v1:12:8848474:8851213:1 gene:itb12g10730 transcript:itb12g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MAMAAIHFLPSSLSRHSHSLALNSLSHFKVSTFLPKHRHVCHPNPPKSSADDHTTTQEPSKTSRRGPKPSSTTSTRKPRGRPKKEPQTETIESVATKRSRGRPRKETQSDTEEFDSFIEPPVNADEVDDYDDGMDIPYESPPLICCFGAAQKEFVPTVRVAPEQMDPDIYSEWKMLQWNPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGDDEFGEEMVLLMNNEKVQTRAVRFDGNARTGCSYMKIKFENGKMKVEKVKDCAEDSLLSSELNLAVLKEARIFHFNSEVLTSPTMHSTLFKAISWSKKFGGLIFFDLNLPLPLWKSQDETLEMIRGAWEQADIIEVSRTELEFLLDEDHYERKRNYKPQYFAEDFQQTRKIRNYYHYSREEIAPLWHDRLKFLFVTDGTLRLHYYTPSFEGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTQPEMFENQDVLERQLRFAISAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >itb12g06900.t1 pep chromosome:ASM357664v1:12:5115417:5116130:-1 gene:itb12g06900 transcript:itb12g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHKVVVKREQSSQSSANSSFAVSTIRYGECQKNHAASVGGYAVDGCREFMPSGEEGSGAAALTCAACGCHRNFHRREVETEVLNDVSSSNAA >itb12g08280.t1 pep chromosome:ASM357664v1:12:6478027:6479466:1 gene:itb12g08280 transcript:itb12g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKSPLPGNFRRLVSFRTEVSSPLPPAKPPRLSLLADHCTSMDQLKQIHAQMIITGRIHDNYAASRIFSFAALSLAGDLTYALELFGSIRQPNTFMWNTLIRAQASSSKPQEALFLYVKMRRLCVVPGKHTFPFLLKACSTLKRFDVIQQVHTHVFKFGLDLDLHVVNGLMRAFSVSAAMNNARKLFDEFPERNLTIWTTMVCGYAQNDSPDEAIKLFERMIADGVEPNSATLASVLSACAQASCLELGQQIHLYIEEKEIGMGVILGTALVNMYAKNGAILEATKCFSSMKEKNTATWNAIIGGLAAHGHAKEAIHLFNKLGELEGNVKPNDVTLLGVLSACSHAGFLDYGRQVFDSMKDLYGIEPKIEHYGCMVDLLGRCGKLLEAEQLIRGMVWKADVAIWGSLLRSCQSQGNIDIAERVVKEILVLDPNNHGVYVGLSNVYAELGRWEDVLQLRKEMKQGSLKKTPGWSYVNR >itb05g17830.t1 pep chromosome:ASM357664v1:5:24724851:24725716:1 gene:itb05g17830 transcript:itb05g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAVEKSRQEDENREKRSEKESNDEIRRFFFFSLPCFCPPLSLFLFFLSLTVQRCVGAEFQEEERGRVEGSKRKDDFEVFVATTNNTGGGEVDYYYYDRDFFLQPQTDATVTAF >itb02g01670.t1 pep chromosome:ASM357664v1:2:933777:938569:1 gene:itb02g01670 transcript:itb02g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCASQVFRHSRKIRNTSGLVKHDCAALVRWFASDTRAFTNKGDDILMVRQPGYGLSISNYPQGSKSATVGLGNSYRAICTAMVSSVGKPKGTVPAAGMFLNRESSCQLMHLRRRLSSKADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKILRGDGSSGIKVGEVIAITVEEQEDIAKFKDYQPSTSDAAPAPKAPPPPPQPKEEVAKESLPSSQPKASKPSAPPADRIFASPLARKLAEDHNVPLSNIKGTGPEGRILKADIEDYLASHGREASAAAAPKAESGLDYTDIPHSQIRKVTASRLLLSKQTIPHYYLTVDSCVDKLMELRSQLNLLQEASGGKKISVNDLVIKAAALALRKVPQCNSSWTNDYIRQFHNVNISVAVQTDKGLYVPVVRDADKKGLSKIAEEVKYLAQKAKENSLKPEDYEGGTFTVTNLGGPFGVKQFCAIVNPPQAAILAIGSAERRVVPSQGPDQFKFSSLMSATLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >itb10g21560.t2 pep chromosome:ASM357664v1:10:26740194:26745503:-1 gene:itb10g21560 transcript:itb10g21560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFILLLKPAIVFTITVAVTGFFVVLPRPRVPVYGRPDAMARSFIMWLHGLGDSGPANEHIKNLFNAPEFKTTKWSFPSAPNTPVTCNNGAAMPSWFDIQEIPVTASSPKDESGVLKAVQNVHTMIDKVVTAGTNPKDIFVCGFSQGGALTLASVLLYPRTLGGGAVFSGWVPFNDSILEQLAPESKMTPILWSHGMADTTVLFEAGQAGPPFLERAGMSCEFKAYPGLGHSITQEELRHLESWIKTRLQSSS >itb10g21560.t1 pep chromosome:ASM357664v1:10:26740194:26745503:-1 gene:itb10g21560 transcript:itb10g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFILLLKPAIVFTITVAVTGFFVVLPRPRVPVYGRPDAMARSFIMWLHGLGDSGPANEHIKNLFNAPEFKTTKWSFPSAPNTPVTCNNGAAMPSWFDIQEIPVTASSPKDESGVLKAVQNVHTMIDKVVTAGTNPKDIFVCGFSQGGALTLASVLLYPRTLGGGAVFSGWVPFNDSILEQLAPESKMTPILWSHGMADTTVLFEAGQAGPPFLERAGMSCLPGTRPFNNPGRVAPLGILDQDSAAELFLNAPP >itb14g13470.t1 pep chromosome:ASM357664v1:14:15494145:15502014:1 gene:itb14g13470 transcript:itb14g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPKVFIFGSFTEDEIRTLQGHPMKNDVEITFGSLDSATLRSVGLLNSNLTKVDYSRGAQPSKVFSKENSKNTSGSTEKASIPVIFSQENGSIGGSDLGYHSTAQEVKPCNKAHQLLSSEGASVTPKESSIKQESTVNVTNLSTSLDGVSLDESKDFSVGNCRQNVVKASNGPVNTIRYLQPRGLVNLGNLCFLNATLQALLSCAPFVQLLQELRTREIPESGYSTLRAFVEFISDFDMPLKSNLKRKDLAFMETGKPFRPLMFESVLKSFTPDVPNSLTGRPRQEDAQEFLSFIMHQMHDELLKLEGELPNGVGRNSSLVSSVHVDDDENWETVGPRNISQIFGGQLKSIVKARGNKASATVQPFLLLHLNICPDPVCTIEDALRLFSAPETLEGYRTSADGKAEVVSASKSVKILELSDIMVLHLMRFTYGSEGSAKLHKPVHFPLELVFGRDLLVSPHSEGWRYELVATITHHGMDPFKGHYTADARHPSGKWMRYDDAAVTPIPTSKVLHEQAYILFYKQL >itb13g26850.t1 pep chromosome:ASM357664v1:13:31960986:31963112:-1 gene:itb13g26850 transcript:itb13g26850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAKSKLRYVVKIMYHCVVSYIIVFILVPKLLPILIHLRPSDLLKPCGTAFTPIQLLFPATFLLLMIYVASLYLMCRRRTIYLVDYACFKPPSMCRVPFSRALEHGHLLMPTQPKSIRFQMKILERSGLGEETCLPIPLHCLPPNPTMKLAREEAELVIFSAMDQLLAKTQLNPKDIDILVVNCSLFSPTPSLSAMVVNKYRLRSNVKSFNLSGMGCSAGLISIDLARDLLQTYPNSNAVIVSTEILTPNSYMGKERSMLLPNCLFRMGGAAILLSNRRSDRRRAKYRLLHVVRTHMGNKDDSYKCVFQQEDPEGFVGINLCINLMQVAGEALKSNITTIGPLVLPASEQILFVLAFLARKLSKSKSFIKPYVPNFKRAFEHFCIHAGGRAVIDELQKNLDLSDEHVEASRMTLHRFGNTSSSSLWYEMSYIEAKGRMKRGDRVWQIAFGSGFKCNSAVWKCNRSIKAPVPDSPWADCIDQYPVHIPELQKL >itb13g03860.t1 pep chromosome:ASM357664v1:13:3871214:3878447:-1 gene:itb13g03860 transcript:itb13g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRFTQIATSDDEGEAAPPPSRQNSQKRKKMLLGEDYEEEEGEELQNREGEESGGEKRKVSKRKAVEDVEEREPGEEECEDNNRRNRKKKKAVEEVEPETEPSAGEEEEQDEPQEDAKPVGDVVRVSGKGRGRRKHFDAFEYDGFRYDLEDPVLLVPAEPNQKPYVAIIKDISQTSKGSMMVTGQWFYRPEEAESRTGANWPSRDTRELFYSFHRDEVPAESVMHKCVVHFIPLNKQIPNRKQHPGFFVQRVYDTEQMNLFKLTDKDYEDNKQHEIDLLVQKTFSRLGDLPDIVLEDAAAVQEDHFFKSKRLLRKKSIPSLDVSRKDDAPSRNGQFLKAETPGSCVDTASEYFKILSDFNALTGEKHRDKWLEKLLQAIQFMSNPGGRGKDDAKEKGVSDVTDLTSKTSSSNLVNESDENKSNGDTNICWPDTAVPAVVAVEKAAHEALLSDFQKYNQKMRQLSFNFKNNVQLAQRLLNGELEAYQILNMSPNELKEGLTAEEIARRKPDEQELIQMTDARCNRCTEKQVRLLEIIQTGHGDRYQLECGPCGNTWYASRDEVATLTIQEQTARKNVGTEPLVAPKFEDREKLANAHTDEEEGNDILKKTTEVGLDSQKSPDEARPKDNSAANNAE >itb13g03860.t2 pep chromosome:ASM357664v1:13:3871214:3878447:-1 gene:itb13g03860 transcript:itb13g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRFTQIATSDDEGEAAPPPSRQNSQKRKKMLLGEDYEEEEGEELQNREGEESGGEKRKVSKRKAVEDVEEREPGEEECEDNNRRNRKKKKAVEEVEPETEPSAGEEEEQDEPQEDAKPVGDVVRVSGKGRGRRKHFDAFEYDGFRYDLEDPVLLVPAEPNQKPYVAIIKDISQTSKGSMMVTGQWFYRPEEAESRTGANWPSRDTRELFYSFHRDEVPAESVMHKCVVHFIPLNKQIPNRKQHPGFFVQRVYDTEQMNLFKLTDKDYEDNKQHEIDLLVQKTFSRLGDLPDIVLEDAAAVQEDHFFKSKRLLRKKSIPSLDVSRKDDAPSRNGQFLKAETPGSCVDTASEYFKILSDFNALTGEKHRDKWLEKLLQAIQFMSNPGGRGKDDAKEKGVSDVTDLTSKTSSSNLVNESDENKSNGDTNICWPDTAVPAVVAVEKAAHEALLSDFQKYNQKMRQLSFNFKNNVQLAQRLLNGELEAYQILNMSPNELKEGLTAEEIARRKPDEQELIQVLFFVLFESLILFS >itb13g25980.t1 pep chromosome:ASM357664v1:13:31339927:31352442:-1 gene:itb13g25980 transcript:itb13g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSRGKEGAKGDRGKRRKFSRGKGSNKDDRGKRRHGPGLPNVLRKQIALQDASDSDAADSDVAVGDLYEYEQGFAEEESKKNKRYDPVENYLSELHELPEDFKDENVTSEDEDYEDDENNQDRDDFEEDADERHSRMLQEITGLPGDAFVGKKKRSEAIVSEAYPESEYNPSRDILEGDGRISIQDLLDPLQGKSGYSKLRKNIQRMEQKSMSINAPLPRPDQERLERKAAYEQSKKDITKWEPLVKRNREASTIYFDEDIDLGFSTVGAIAAEFEPRTEFEKKIASFVGDSEIVDAHRKDGARLLELNELSIDDVKDRQNQLAKMRSLLFRHEMKAKRLKNIKSKTYHRLLKKDRLKATTFAETDPEAAKELAMKQEFKRAEERMTLKHKNKSKWAQRILKRGLDVQDEGTRAALAEQLNQHALLTRKMNSMKEGNSSDESSDEDDIDEISADSDGDVATKLLNKAKDKTLKVLGEDEELPTTGVLSLPFMARSLKKRKEEADEEAKVAVQQYEESLNELKDKNVAETQEKNVLSGRRVFGASKKQVQQSSDKTKSDNYYGDSDSEGVDADEAKDEGFGKNRTSQVEVSFDPNVLREESEIDHYSIFKSFEDIAKDPGPKTTYEVAIMAPKEKKKKMLMKHLSDDNEKRITESASVGDSKGITQSAVHDSDAEEMDDSDSDSEGQMIDGMLSSGVKSSYELPSQEELIRRAFAGDDVEEVFEKEKQDILNEENPEPEKPALLPGWGQWTNIQKKKGPPSWMLEQHENARIKREEALKKRKDARLNHVIISEKWDRKAEKLHTKTLPYPYTSTEVFEHSIRMPIGPEFNPVTAIGALNRPEMNSMKEGNSSDESSDEDDIDEISADSDGDVATKLLNKAKDKTLKVLGEDEELPTTGVLSLPFMARSLKKRKEEADEEAKVAVQQYEESLNELKDKNVAETQEKNVLSGRRVFGASKKQVQQSSDKTKSDNYYGDSDSEGVDADEAKDEGFGKNRTSQVEVSFDPNVLREESEIDHYSIFKLMKHLSDDNEKRITESASVGDSKGITQSAVHDSDAEEMDDSDSDSEGQMIDGMLSSGVKSSYELPSQEELIRRAFAGDDVEEVFEKEKQDILNEENPEPEKPALLPGWGQWTNIQKKKGPPSWMLEQHENARIKREEALKKRKDARLNHVIISEKWDRKAEKLHTKTLPYPYTSTEVFEHSIRMPIGPEFNPVTAIGALNRPETVEKPIEESMEADQKSTEVENEGLRQSDGSNSAPCSTGHPMSGEAANMDAITDSHVTSSFSPPTANVEADRSPHAEVPAKSFDNNPVVDEAVNMDIGIGANPTDKRVPHAQSHIPAVSSSIAPERRRANRHVPSSGAATVVPAEGRIRRCNSNLAGRNRKF >itb15g12160.t1 pep chromosome:ASM357664v1:15:10104407:10112102:-1 gene:itb15g12160 transcript:itb15g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTAVDTFYLTDEQLKNSPSRRDGIDEATERTLRIYGCDLIQESGILLKLHQAVMATGQVLFHRFYCKKSFAHFNVKIVAASCVWLASRLEQCPRKAGQVLVVFHRMECRRENLPIEHLDTSSKKYVDLKADLFRTKRSLQKEMGFICHVEHPHKFISIYITILEMPHELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYATARRFKVPLPENPPWWKAFDTDKTGIDEVCRVLAHLYSLPKAQYIHVCREGVSFSTSNRSWDLPSKPMPKIKNKRHRSCQPKKFSAAAAAAWQQSFGNDSSLAERYIGMPYASQSTLQPTLKSVSQPKARGPTICKKLKKKKLDEELSIEFDKHSRPIGQYGREFKSFLGTLVRSHVDINISNWHIVDKDIKDTIWEEIKREFNIPNDEKKQTVLRVAGLRWKDFKTRLVRDFIFKKHPRYESPTQLYGFLTDAQWEKFVANRETEEFKEKSQLARERSSLNKHPHFLGSGGYAGKKSEWLISDPLSSQSSCASVNSIIASDDRSLDWIRARSKKGTDGNYYIPNEKTKEVFQKIVEKCEEVSQGSFQPKRHHDILSAVTGKEDHSGFVRGIGVYVTIRDVFGKPDPEERKARFASVENLREIIKKEVYTDIAEKVKQETISAMQPAIDILNDQLKYLMKNAPQQFPVDQRVPFDPPLSRSNCHSVDLNSFSMIKNPTRCRLALCPIGDTPLVVARGIAHPISSDTIVQNQPLLPNHVKVLVDEVVKGAEAFILPVKTQHYTNLGEIVRNFTQWPMHLVLLEDENIIINSKEQNEGMNEQSDEHDEHDEQDEHDGLSTTKAQPLLVDDDVLQHLGNECKQLVTLLKSVPLNLDYFELELEYAIFHHRNVNAIYVMMGDIKDLLTMKWLDVSIIQVFILCLNRLCKQSGVNSIGFMCPTQISKANVDLNIDVVTTYIAHVMLQLKSHRFILAPYHQEHHWLLLVISINPKMVYVLDPIECDRTLEIKPALNMAFRSFSNQRGQRARNMVDWKKVHCPQQSGGVECGYYVMRYMYEICTKYSDYTCLDKAFQEANAYSNKEINEIRNMWVKYFMEECI >itb11g04000.t1 pep chromosome:ASM357664v1:11:2131858:2132505:-1 gene:itb11g04000 transcript:itb11g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAAAIVEHDNKENIPPLSEEKFIPKPSKTKLSKKRILRRPLRDITHLFNTPIQSNSPVSSPLLPPAASSNTRKRKLAETSENDCEFLPQSSSKMLRMHFR >itb11g03180.t2 pep chromosome:ASM357664v1:11:1633659:1636589:-1 gene:itb11g03180 transcript:itb11g03180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVCVETAMVEVENSSPAPNTGKISDAGSELSVNNAKSESPADGQKDSGSNSSPESEFQMRDIVNMLKTLKLNPLAKEFFPSSYGRDEGLNNFVAANKALGGDGFRNNGRRGNNYNQGRSNRMNNRASRAQREDSIRRTVYVSDIDHNVTEEQLAALFSAYGQVVDCRVCGDPHSHLRFAFVEFADEYSARAALCLSGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVSQADVKTFFETRCGEVGIVFEVFYT >itb11g03180.t1 pep chromosome:ASM357664v1:11:1632531:1636589:-1 gene:itb11g03180 transcript:itb11g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVCVETAMVEVENSSPAPNTGKISDAGSELSVNNAKSESPADGQKDSGSNSSPESEFQMRDIVNMLKTLKLNPLAKEFFPSSYGRDEGLNNFVAANKALGGDGFRNNGRRGNNYNQGRSNRMNNRASRAQREDSIRRTVYVSDIDHNVTEEQLAALFSAYGQVVDCRVCGDPHSHLRFAFVEFADEYSARAALCLSGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVSQADVKTFFETRCGEVSRLRLLGDQVHSTRIAFVEFVMAESAILALDCCGEVLGSQRIRVSPSKTPVRPRVARAGMH >itb09g07620.t1 pep chromosome:ASM357664v1:9:4477885:4479024:-1 gene:itb09g07620 transcript:itb09g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSDEDYDYLFKVVLIGDSAVGKSNLLSRFTHNEFNQDSKSTIGVEFATRTIEVDKKVIKAQIWDTAGQERYRAITSAYYRGALGALLVYDMTRRVTFESLVRWLKELREHTDQHIVLMLVGNKADLRHLRAVTTDDSKVFAERENTFFMETSALEAINVENAFSEVLSQIYRMISRRALDAGGSNPANLPKGQIINVGNKDDVSAVKRGRCCA >itb03g06790.t1 pep chromosome:ASM357664v1:3:4910946:4913122:-1 gene:itb03g06790 transcript:itb03g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMWNGPPGFRPTKSAPASPAKPLGVARTRSDSFHVAPKVPVGDSPYVRAKNVQLVEKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRNRCSDQAQEALDNILLDLYKRCGRLDDQIALLRHKLFMIQQGLAFNGKRTKTARSQGKKFQVSVDQEATRLLGNLGWALMQQNNYIEAEDAYRRALAIAADNNKMCNLGICLMQQGRVREAKDTLRRVKPAVSDGPRGVDSHLKAYERAQQMLQDLESVTMVKVVGDSDNLNHLEQRKAFQSFLGSSAIWQPQPCSTTTFPANPVQEDQFPDENNIVSSNRAAQKPPLNSLNINAPPFYSSFKEPLKRTRSGNGAAAAPENKMRRQSISPEEEKWADLKLPDNKDFEDAIIAAVLDSSSAETTSSKLEQQHCPRKIEKRLKVFQDITLSLSPKA >itb07g11290.t1 pep chromosome:ASM357664v1:7:12742952:12743710:-1 gene:itb07g11290 transcript:itb07g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGMNSTAAHGHAHGHMMHMTFFWGKNAEVLFSGWPGYDHFGMYILSLLVVFALAFLVEWVSNRGGVEDSESQVAAGLLETAVYAVRIGLAYIVMLAVMSFNGGVFLAAIAGHTLGFFFFGSVAFKKSPLTSYVKRSDLSPNEL >itb04g29010.t1 pep chromosome:ASM357664v1:4:32550345:32552495:-1 gene:itb04g29010 transcript:itb04g29010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRYAIPLLLQDTYWKQIQWNAGKLAVMLMACFLFQREKEQALVKIFRLERKLDQMQALELEIEKLKGLIKVRRHMMEGDLAPINKFTSLIEELKERNGELDYLENMYFELMIKNQLVNDQVYGVCTEMINGLRDLYGPRANICVKRMGELDAKPFICAARQKYPQEEAHDKASELCSLWEEYLKDPGWHPIKIVNGEKLVGIFLNMLLFYLSLAHTLVLAIDEEDQKLVGLKNEYGDEVYNAVTAVLMELNEYNPIGKYPVSELWNTKEKRRAELIKY >itb09g02180.t1 pep chromosome:ASM357664v1:9:1307328:1308329:1 gene:itb09g02180 transcript:itb09g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHVFFFPMMAYGHMIPILDMAKLFASRGVKVTIITTPLNQPVFSKAVDKYTQLGFQMEIRLLQFPALEVGLPESCERDDQIPAADDLPRFFKACAMLQPSLEDLLQELRPNCLVADMLFPWATNAAAKFDIPRLIFHGIGYLPLCALQSLRVYKPFNGVTSDLELFNIPNLPHELKHTKLQVSPYERGEVDNSTLEFMRGLRESEETSYGAIFNSFYELEPDYAEHYKNVLGRRAWSVGSLSLYNRDVEDKTQRGKKSAIDENECLEWLDSKNPHSVVYICFGSVANFAPSQLHEMAMGIEASGMDFSVGDKKQERRRQRIREMDAGRIR >itb14g17590.t1 pep chromosome:ASM357664v1:14:20831295:20832208:-1 gene:itb14g17590 transcript:itb14g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFAFPSAVLLFRSPRRTTFSSSPILLGARRFSGFARRSDARRHDGPPASLYSLAPDAPQPITLSKHAALYCRHPIFVFTL >itb06g04700.t1 pep chromosome:ASM357664v1:6:7512095:7515106:1 gene:itb06g04700 transcript:itb06g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGSSGVNGRRRSNSSRRRHPPPPPPPQQQPQPEISANQYVFAAATPYPSQYPNPNVPPYYQYPPYYPPPPPPSRPLPMSAPFDHPHRLDPSHADWISGRYPCRPMIPQPAPYVEHQKAVTIRNDVNLKKETLRLEPDNANPGKSLVAFTFDATVAGSMTVIFFAKEGEDCCLTPMKESVLPPVTVHFQKGLAQKFRQPPGTGIDLSAFEEAELSEGETDVYPLAIKAEASVDTQNESVDGNAVSGSMNSQITQAVFEKEKGKYHIRVVKQILWVNGMRYELQEIYGIGNSIEGEVDGNDPGKDCVICLSEPRDTTVLPCRHMCMCSGCAKLLRYQTNRCPICRQPVERLLEIKVNNDDAEE >itb13g01270.t1 pep chromosome:ASM357664v1:13:1210251:1212935:-1 gene:itb13g01270 transcript:itb13g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLHSAQKLLPLLNLAIKDIKAMDFGSILSILRLLPEHFMLPVSRMPAHMKKRIKSFYKQHPFLFYNNYRNDEWESKDIQRLWFYTFYKAESLISQELRAAYLQKYMKQRIGARQRIRQIFTLGIKLTSYLKKELLLNIKNTFDQSKTSKIKNTVSLRGLDHPVGDSLQHTSKSTIGMVGCDDEFNTIMDKLNHQSMKREIVSIVGMGGIGKTTLARRIYGDASFISRFDCRAWVTISQEYNPRQVFRGLLRSLAPGGDENNEASNNELAERVYKCLKGQRYLIVIDDIWSRDAWDDLMRCFQDDNNGSRILLTTRLNYMADYVGSEDYTIDEGMIVDVWISEGFLKVVSSKSLEDVARECLQDLVDRNLVLCGERDGKLSKVYLMHDVLRELALREARKENLSCFNEDFDLSLGFRRSQPINSSPISQWWSSLSRMWSYNCSTHTSSTFSSTVGILSLEDFLPNLKRMELLGTRLKWKDMDIVGTLSKLEALILKTGAVNGKRWEPKDGGFHRLKFLEIFDCDLQHWEATSDHFPILECLTLRCRSLKEIPSDFAYITTLKSIKLYGDLDHLKSSAMHIQEEQQEYGNDAFVADNFG >itb15g13360.t1 pep chromosome:ASM357664v1:15:11360246:11362622:-1 gene:itb15g13360 transcript:itb15g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFIVGIIGNVISILMFLAPVKTFKRIVQKKSTENFKGIPYITTLLSTSLWSFYGLLKPGGLLVVTVNGTGVVLHIIYVSLFLIYAPKNTKIRSLKMVGIINVAFLGAVVAVTLSALHGNTKLMSVGLLCTGLTIGMYASPLSAMRTVIKMKSVEYMPFWLSFFQVLNGGIWASYAMLVRDLYIGIPNGIGFLLGSAQIILYFIYYQSTPAKEEVGPAHLAKGGIEMPNHDAT >itb03g05920.t1 pep chromosome:ASM357664v1:3:4182915:4193539:1 gene:itb03g05920 transcript:itb03g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLERLVEKASFNKKPVGNVDGLKPDDVNPRLVYHYGVPPGALLLSYDSIQKILAISTKDGRIKVFGKDGAQALLESPHIVPSKFMQFMENQGFLININVDNHIEVWDVDQKSLSNVHEFKRDITSFKIIQHTPYMYIGDSLGYVWVLKLEKEPCNVVKMKYHIPFSASHGNSNEDGDNAVVEILPQPTAESKRVLIIYRDGFIILWAVQESKTIFTTGGNALQSVNHEAKKTTAACWACPNGTKLVVGYSNGEIFIWSIPNASNLKSEQESIKESSFASPNAPICKLNIGYKLDKIPIAKLRWAYADGKASRLYVMGFSDNLMNLSQVVLLNEHTESRTIKLGLHPPESCLDMEIISSSKAPSKQKYDAFLLLGKSGVVYAYDDSLVERYLLQCQTKSPPSLPKEISVKLPFVDSSITIAKLITDNPYMLWSGNQDYNSLTKDIFPLFPFERKQKDGTISNSGSSAEFSKAKNLYITGHSNGAINFWDVSCPLLLPIVSLTQQSEDNFSLSGVPVTALCLVFDLHILISGDQNGMIRIYKFKTEAFAPDNSFLSFQGSKKGSNQIIRSLKLLKVNGAVLSITMNPNSKSFSVGSDQGYVSLIDIESLSVLYERHIATELCTGIISSQFETCSLHGFEKNVLVLATRDSSVLALEGETGNILSPSMVHPKKPSRALFMQIIGVQENSNRGSSISDCMNTDKGNSDASRLPLVLLCSEKAVYVYSLVHVVQGVKKVHYKKKFHSSSCCWASTFDGPQAGVTLLFSNGKVEIRSLPELSLLKETSVRGLTVSTPKANSISDTSICSSHSGELIVVDRDQEMFFISVSVLKDSYRFLDLASQVYDREILVEQGPISAPIIHKEKKKGIFGSVIKGNKAKNPPEQAAEDPQESIEELSAIFSVPNFPLDAENDEKLSMDEDDILDIDDIDIEDPVVKPKGNPMVAALNKQNLTNSFEAIRGKLKSLKVKNDKVQVTESPQDEKAGAVDQIKKKYGYTSSETSAAEAAKSKLSENLRKLQGINLRTAEMQDTARSFSAMAKEVLKFAGNEKGNDKASS >itb03g29720.t1 pep chromosome:ASM357664v1:3:30703951:30708032:1 gene:itb03g29720 transcript:itb03g29720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGRGGGGGGFRGGRGDGGRGGRGGRGGPGGGRGGGSAMKRGGGRGGGRGGGGRGRGGMKGGCKVVVEPHRHEGVFIAKGKEDALVTKNMVPGESVYNEKRIAVQNEDGTKVEYRVWNPFRSKLAAAILGGVDEIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKTEGHFVISIKANCIDSTVPAEAVFAQEVKKLQAEQFKPSEQVTLEPFERDHACVVGGYRMPKKQKPAA >itb09g03770.t1 pep chromosome:ASM357664v1:9:2069768:2074415:1 gene:itb09g03770 transcript:itb09g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATTNPPQLCGSGADAIAHVDLNRLAQAELLALSHCSASAFDARRTQDLVLPQIDRSLFNESAGSKRQTYSRLGRHHHRTHLPGRLPSGSRTKPNSSSDPDNHAILHYLKYFFQNPNSDSPPPPPPKQPALAVDQKLVSGLPQTTGLPVHAGDGKRKQGAKADGNGNRRLQENLELELVNKNGVVVDFAALENNGDEVYSEELRRRTVGLESEDEVLGFVRSLEGQWCSRRRKRKYVDASDFGDALPVGWKLLLALRRRDGHVWVYCRRYISPTGQQFLSCKEVAAFLRSHFMSLDANRFVDQRSSSIIQLNGPNSENNVGSFHGDDTGSRDLVVHSSLPSSTTETQGNELCLMGIDNLPDVQVKDLFECYKCNLTFHDKGAYLEHLFSFHQQTTKRIRVGPSVGEGVIIKDGKYECQFCHKVFDERRSYNGHVGVHVRNNSKGSEGLFAVAAVQKSIDTPSQNVLPSTTSKMDALIEIAQNSISETATAKPPDISTINPLPGTVNSKEVVTANTDQEMDPRTDPKEVDLVDSKAESTLDCDLNQHDKENMQTEDDGIVKSGETQVVEINLTEAPKDNSEHTEASNIQRDDTAEQDVGLGNRQTEPNNSNAIAETVELTLEKNDFQEGVTDSSMPILEPLNYFSTFAAESNKEQDEFSVLKLGNETGFEELTLDDIEPFKYDFSEGQVSPSLPGVSVGLDNGSGMEETFNSSLGFGSEEAVLGMANAHQLITVCVWCRSEFILEAFESETQSDSIGYMCPECKAKISGHLDSGLSMGSHGF >itb14g02050.t1 pep chromosome:ASM357664v1:14:1653703:1654232:1 gene:itb14g02050 transcript:itb14g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAVGRIAQGTKILTEGGYEKVFRQTFETVPEEKLLKAYACYLSTSAGPVVLPIHQLKAVNPSASKVNPAEKFIQIISVDNYEFWFMGFANYDNAVKSLQGVLRGDS >itb01g32300.t1 pep chromosome:ASM357664v1:1:35819699:35823357:-1 gene:itb01g32300 transcript:itb01g32300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKRDLEAPQNEAITEEEDEDSPVEQVRLTVSTHDDPSLPVWTFRMWSLGLLSCALLSFVNTFFGYRTEPLIITMISIQVVTLPIGRFMAKVLPERTFRLGSWEFSLNPGPFNMKEHVLISIFANAGSDFGNGTAYAVSIVDIIKAFYMRNISFLTGWILVTCTQLLGYGWAGMMRKYVIDPAEMWWPTSLVQVSLFRALHEKDENRNAISRSKFFFVALVCSMVWYVVPGFLFPTISNLSLLCLLYPKSVLVQQIGSGMKGLGILSFTFDWSVVASYLFSPLITPFFAIANIFVGYVAIVYVLIPISYWGFNLYDAKNFPLFSSHLFTSQGQPYNVSAIVNDRFELDTVAYAQQGRVNLSTFFALSCYGLSFASVVSILTHVALFNGKDIYQTFRSSRKGKEDIHTRLMKKYKDIPGWWFYLILALSLALSLFLCIFMKHEVQLPWWALLLATAIACIFSLPISIITATTNQQPGLNVITEYIIGLIMPGKPIANVCFKTFGYISMSQAVSFLTDFKLGHYMKIPPRSMFIVQIVGTFLAGTVNMAVAWWLLTTIPNICQDTLLPPDSPWTCPGDRVFYDASVIWGLLGPRRIFGSMGRYSAINWFFLGGALAPVLVWLAHRAFPKQRWIRLINLPVLLGATSTMPPATTLNFNSWLLVGTIFNFFIFRYRKNWWRRYNYVLSAALEAGLAFMAVILYFGFGMRNVSVDWWGSRGEHCDLASCPTAPGIQVDGCPVF >itb02g00520.t1 pep chromosome:ASM357664v1:2:347470:348589:1 gene:itb02g00520 transcript:itb02g00520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLLLLFVTFCVVVPLAFSSRLLTDSSSDVVVDVQGKELKMGEPYYALSQGTIHVEGLCLKDLKNETSSSCPHDVIQCTVFDQPLVGLPIIFSNSSSAANLTADSGGNSTEDASVTENTFYTIKFKVEDNNNSSCDGDTTWGLGKGSDDNSKIVTTDPADKAVEFQISREGLGYKISYCITIPLPHIPICYPIGFIQDGFNSRLGVGVGIQPVEFVFATKPGRVKKHATS >itb13g24170.t1 pep chromosome:ASM357664v1:13:29916697:29918606:1 gene:itb13g24170 transcript:itb13g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSQINLWLKKGCTHGFLLAKKSLLLHRFMEGSSFLGLKCIFQLPRTLTTTLHGFENAAEDQNPTERRLADSWEQIHGKNNWVGLLEPFDSLLRTELIRYGDMAQACYDAYEEDPSSRFGYRIKIEPHMFFKSLGLTKYGYDVTKYIYSSYNLDVPNFFNKPLLSDDWTEGASWIGYVAVSNDEYTKHLGRRDITIAWRGTVTGLEVFADIQDFKTSIEDHNLPTCDPAIKVEDGFLDVYTKKDESNTFCNKSARTQATEEVQRLVHEYSGEKLSISVTGHSLGSALATINAYDIAEMRQDNGEIPICVFSFSGPRVGNIRFKQRMEELGVKVLRVANIHDAVPKVPGVLLNERLPGFMQKVVEFLPWSYFHVGEKLMLDHTKSPFIKKWIDLAEVHNLELLLHLVDGYQGEGREFLSATGRNLALVNKSKDLLNDDLQIPPKWREALRKKADNITD >itb04g21520.t1 pep chromosome:ASM357664v1:4:26616309:26617763:-1 gene:itb04g21520 transcript:itb04g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKFGSKPVLVASSPETAKLFLKTHDAVFASRPPTAAGDHTFNRADLTWSPYGSPWRHGRKILLSEVFTPKKLESFEYVRVEERTRLISRLYDAVGTKKPVLLRDHLLKFTLSTMTRLVSGHKYYSNDRELRKQNAGYTITLEKLQGILDEWFLLSGVINLGDWVPWLSGFDLQGYVKKMKALRDEYEEFLNHVIEDHRAVMKAEENFVPKDMVDIFLQIADDPNLEPDVELNRDRIMGLIHNMLAGGTDTSAALVEWAFQELLRKPLIIEKATEELDRVIGRERWVEERDFSQLPYMEAIIKETFRLHPLCTLLPPHYSMEDCNVAGYEVPSGTTVLVNVWSIGRNSKYWDKADEFFPERFLGKDININGQDFTLLPFGSGRRRCPGYSFGMKVVRTTLANLLHGFNWKLAEDMRPEDISMEEVYGLTTHPKFPLSFNIEPRLPTHLY >itb02g10500.t1 pep chromosome:ASM357664v1:2:6776075:6778974:1 gene:itb02g10500 transcript:itb02g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MFSPAIYSLKSTLFQVRLSVLFDTQPSKPTRHRKRLAKSKIHGPDLFCSSLNHSSFLTDSSSSVKLEAQFVESNGLCSETLLPSQLRYLCEENGSCSDTLATNQLTESYVLGYLLQSCCNVKELRKVHAMAVKCAKESNFYVENNLISMYVKLGYLAEAQRVFDNMLERNVVSWTAMINGYMRFGLYKEAMRLLAEFVHEGFQWNSKTFVCVLNLIARRFDFELGKQVHACVLKSGFKGLILESSILHFYSQCGDLEGAFRVFDKMKERDVVSWTTLITACSQHGQGKKALILFSTMVSDGFDANEFTVCSVLNACGDEKELRFGTQLLGAIVKRIYKMDVFVRTSIVDMFAKCGQIANARKIFDGTRKRNIVTWTSIIAGYARNGLAEEAISLFRIMTRRKIFANNLTMVSVLRACGLLRALQTGKEVHARIIKNFPQSNIYIGSSLVWLYCKCGENSMANKVLQGMPFRDVVSWTAMISGCAHLGHEHEALEYLKKMLGEGVVPNSFTYSSALKACTKLEDIGRGKLIHSSINKTPAISNVFVGSALINMYAKCGHLPEAIQVFDSMPERNLVSWKAMVIAYAKHGFCGEALKLLYRMQAEDIEVDDYILLTVLTACGDFEESVELATDCHLDMNKSSLNSC >itb02g10500.t2 pep chromosome:ASM357664v1:2:6776075:6778974:1 gene:itb02g10500 transcript:itb02g10500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MFSPAIYSLKSTLFQVRLSVLFDTQPSKPTRHRKRLAKSKIHGPDLFCSSLNHSSFLTDSSSSVKLEAQFVESNGLCSETLLPSQLRYLCEENGSCSDTLATNQLTESYVLGYLLQSCCNVKELRKVHAMAVKCAKESNFYVENNLISMYVKLGYLAEAQRVFDNMLERNVVSWTAMINGYMRFGLYKEAMRLLAEFVHEGFQWNSKTFVCVLNLIARRFDFELGKQVHACVLKSGFKGLILESSILHFYSQCGDLEGAFRVFDKMKERDVVSWTTLITACSQHGQGKKALILFSTMVSDGFDANEFTVCSVLNACGDEKELRFGTQLLGAIVKRIYKMDVFVRTSIVDMFAKCGQIANARKIFDGTRKRNIVTWTSIIAGYARNGLAEEAISLFRIMTRRKIFANNLTMVSVLRACGLLRALQTGKEVHARIIKNFPQSNIYIGSSLVWLYCKCGENSMANKVLQGMPFRDVVSWTAMISGCAHLGHEHEALEYLKKMLGEGVVPNSFTYSSALKACTKLEDIGRGKLIHSSINKTPAISNVFVGSALINMYAKCGHLPEAIQVFDSMPERNLVSWKAMVIAYAKHGFCGEALKLLYRMQAEDIEVDDYILLTVLTACGDFEESVELATDCHLDMNKSSLNSC >itb01g29280.t1 pep chromosome:ASM357664v1:1:33554158:33555593:-1 gene:itb01g29280 transcript:itb01g29280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATRLSHAKTRPKWQRYGLNQQSQVIDSLFLGKKTDTTYCSMAVSSPELLRFRRKSQSPSHELHATADHCLNLRPPAASLRYFLRRHHAPPPPRLSFSLDQQRSFGDYVAVKVSLPLSLAKEEGRRNKRHHPVITRSLPTNVAVEVLRSPLPPSLARTPNHRTRNTFPFLLFPFTWHEEEVQKEAHLLCYHFDVITATLTPETSGRYRCSSCRDHPPPELHHRHHRRSPIKAAVAPRYRRNSTLPRRSSPPVPISRRTSWRQSQHLHRFHPSFPFNDQQHNHHLQRALPGLIVDRSPGHCTTTIFITASLCLFS >itb09g14980.t1 pep chromosome:ASM357664v1:9:10266102:10269823:1 gene:itb09g14980 transcript:itb09g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A15 [Source:Projected from Arabidopsis thaliana (AT3G53000) UniProtKB/Swiss-Prot;Acc:Q9LF92] MGASLSGLTENGSANGGPGLGDIPESCVACVFLHLTPPEICNLARLNRAFRGAASSDAVWEAKLPANYQQLLDLLPEDRYQGLCKKDIFALLSRSVPFDDANKVVWLDRVTGRICMSISAKAMTITGIEDRRYWNWLPTEESRFHVVAYLQQIWWFEVDGVVRFPFPPDIYTLSFRIHLGRFSKRLGRRVCNFEHTHGWHIKPVRFEFSTSDGQHAMSEFCLDDAVQDDVNGNHKRGYWIEYKVGEFIVSGSDLETEVRFSMKQIDCTHSKGGLCVDSVSIIPSNLKGHRRKGVLK >itb12g19440.t1 pep chromosome:ASM357664v1:12:21848232:21848543:1 gene:itb12g19440 transcript:itb12g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLIEDDLVATHPADGTTGIHIMGTDEEGHGRHIIGGMMTMVPIEGTGTNTNHLEARAAREAVGSACVFTISWMHFCGLVVLSNILDIPSTIMLLFSDSLQL >itb10g22590.t1 pep chromosome:ASM357664v1:10:27376093:27378096:1 gene:itb10g22590 transcript:itb10g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQSQSSETRSQRSSRNKRVNPFWEEAIFHSLLPHRVRPSTSYFCLSPFDMSDEEVVDQKKSYEESCKPKCVRQLKEYQACAKRAEADESGHKHCTGQYFDYWHCIDKCVAPKLFTKLK >itb10g22590.t3 pep chromosome:ASM357664v1:10:27376433:27378096:1 gene:itb10g22590 transcript:itb10g22590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSLLISCEFTVFCRPSTSYFCLSPFDMSDEEVVDQKKSYEESCKPKCVRQLKEYQACAKRAEADESGHKHCTGQYFDYWHCIDKCVAPKLFTKLK >itb10g22590.t2 pep chromosome:ASM357664v1:10:27376429:27378096:1 gene:itb10g22590 transcript:itb10g22590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEVVDQKKSYEESCKPKCVRQLKEYQACAKRAEADESGHKHCTGQYFDYWHCIDKCVAPKLFTKLK >itb07g22270.t1 pep chromosome:ASM357664v1:7:26759765:26762184:1 gene:itb07g22270 transcript:itb07g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVARTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDSLLTQIKDGFNEGKDLVVSVMSAMGEEQICALKDIGPKN >itb13g17730.t2 pep chromosome:ASM357664v1:13:24667269:24676249:-1 gene:itb13g17730 transcript:itb13g17730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSDSKKKQKKPKKRIREDSELLEHLDSLPWNSSLPETDGAFSHLFGDDELGGGFLSLEEVDETTYGLEIPKSSGGNEEPKSKGKPKTKKQKVSENHDDSNGEEGDDKADEEIKQKKKKRSKKKKGSLVNKTEDNTELTAVSNNKNDDDEDSVDETEFYAWNEMRLHPLLMKSIYRLNFKEPTPIQRACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEEKEKADRLNAEDGEVDEKIAPRGLLRALIVTPTRELALQVTDHIREVAKHTNIRVIAIVGGMSTEKQERLLKRRPEIVVGTPGRLWELMSGGEVHLVELHSLSFFVLDEADRMIETGHFQELQSIVDMLPMASRSTDGHSMETQNCITVSSVQRKKRQTFVFSATISLSADFRKKLKRGSQISKSNDELNSIETLSERAGMRADAAIVDLTNASIMANKLMESFIECREEDKDGYLYYILSVHGKGRTIVFCTSVAALRHLSSMLRILGLNVSTLHAQMQQRARLKGIDRFRSNENGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARAFSDGCSIALISSNDASKFASLCKSFAKDKANKTWLERHAESVELELDDDDSEEERVKSFKQKRATSSQLKNLQQELKSLLSLPLQPKTFSNRFLAGAGVSPLLQNQLEELAKLKLGKISNTGDSQRRKLVVIGQDCVEPLQALRSAGPEAHLDLKGIAEKRKNMDNIRRKRKEAKKRQREQRRKQRKKSQGAND >itb13g17730.t1 pep chromosome:ASM357664v1:13:24667223:24677175:-1 gene:itb13g17730 transcript:itb13g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPSDSKKKQKKPKKRIREDSELLEHLDSLPWNSSLPETDGAFSHLFGDDELGGGFLSLEEVDETTYGLEIPKSSGGNEEPKSKGKPKTKKQKVSENHDDSNGEEGDDKADEEIKQKKKKRSKKKKGSLVNKTEDNTELTAVSNNKNDDDEDSVDETEFYAWNEMRLHPLLMKSIYRLNFKEPTPIQRACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEEKEKADRLNAEDGEVDEKIAPRGLLRALIVTPTRELALQVTDHIREVAKHTNIRVIAIVGGMSTEKQERLLKRRPEIVVGTPGRLWELMSGGEVHLVELHSLSFFVLDEADRMIETGHFQELQSIVDMLPMASRSTDGHSMETQNCITVSSVQRKKRQTFVFSATISLSADFRKKLKRGSQISKSNDELNSIETLSERAGMRADAAIVDLTNASIMANKLMESFIECREEDKDGYLYYILSVHGKGRTIVFCTSVAALRHLSSMLRILGLNVSTLHAQMQQRARLKGIDRFRSNENGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARAFSDGCSIALISSNDASKFASLCKSFAKKSFQRFPVEISYMPEIMKRLSLARQIDKILRKDSQDKANKTWLERHAESVELELDDDDSEEERVKSFKQKRATSSQLKNLQQELKSLLSLPLQPKTFSNRFLAGAGVSPLLQNQLEELAKLKLGKISNTGDSQRRKLVVIGQDCVEPLQALRSAGPEAHLDLKGIAEKRKNMDNIRRKRKEAKKRQREQRRKQRKKSQGAND >itb01g27600.t1 pep chromosome:ASM357664v1:1:32333354:32334841:1 gene:itb01g27600 transcript:itb01g27600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIELVFVPAPAMGHLGSAVGTAKLLLERQPQLCITVLLMKNPLLPGSKINSFIDSLIADEKDVNPRLKLILLPDDLDALKRHTDMLSIFHAYYDYHKTKAREYLNEIHKSSTASVRRPRLAGFVVDFMFIHMTDVAEEFGVPTYVFYAPGAAMLGLHFYINALKEDHGKDPAEFKDSDPDLNVPAYSVPFPVKLLPSFEWDTKGEFLSYAGRIRHKVKGILVNTFFDLESHAIESLSKDSTLPPVYPVGPILNLHGHPKYQESGKQILKWLDDQPVSSVVFLCFGSGTTFPEPQLKEIAYALERSGQRFLWALRRPPSLSSPVPTEYTNPEEVLPEGFLERTKSIGKLIGWAPQSAVLAHPAVGGFVSHCGWNSSLESIWFGVPVATWPMNAEQQANAFQLVSEIGIAVEIKMDYKLERRDPKMNIEIVNAKDIEMGIRRLMDHSTSNSIRAKAKEVKEKSRKALEEGGSSLNFIESFFENVMNNLNLVIKE >itb03g21830.t3 pep chromosome:ASM357664v1:3:19766397:19775138:-1 gene:itb03g21830 transcript:itb03g21830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G20280) UniProtKB/Swiss-Prot;Acc:Q94BT0] MAGNDWINSYLEAILDVGPGIDDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVRAQATRSPQERNTRLENMCWRIWNLARQKKQLEGEQAQRLAKRRQERERGRREAVADMSEDLSEGEKGDAISDISAHGESIKGRLPRISSVETMESWANQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPEVDWSYGEPTEMLTPINSEGLMTETGESSGAYIIRIPFGPRDKYIPKEDLWPYIPEFVDGALNHILQVSKVLGGQIGSGRDVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSKDEINSTYKIMRRIEAEELSLDASEIVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMVVIPPGMEFHHIVPHEGDMDFETEGSEDGKAPDPHIWTEIMRFFSNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTNASVLLSILKMIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKHLWAKCRANGLKNIHLFSWPEHCKTYLSRIAGCKPRQPCWLRNADDDENSESESPSDSLRDIQDISLNLKFSLDGDKNEDSDNLLDPDDRKNKLENAVLAWSKGVKGTHKTSIDKIDQSSSAGKFPALRRRKQIFVIAVDCDSSTGLFENVRKIFAAVEAERMEGSIGFILATSFNISEVRSFLISEGMNPTDFDAFICNSGGDLYYSSSHSEDNPFVVDLYYHSHIEYRWGGEGLRKTLVRWAASISDKKGEKEEHIVVEDEKNSADYCYTFKVQKSGGDPSVKDLRKLMRIQALRCHVVYCQNGSRINVIPVLSSRSQALRYLYLRWGMDLSKLVVFVGESGDTDYEGLLGGLRKAVILKGVCSVSSSQLLSNRNYPLTDVVPYNSPNVIQTTEECSSSELHASLEKLGVLKG >itb03g21830.t2 pep chromosome:ASM357664v1:3:19765795:19775107:-1 gene:itb03g21830 transcript:itb03g21830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G20280) UniProtKB/Swiss-Prot;Acc:Q94BT0] MAGNDWINSYLEAILDVGPGIDDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVRAQATRSPQERNTRLENMCWRIWNLARQKKQLEGEQAQRLAKRRQERERGRREAVADMSEDLSEGEKGDAISDISAHGESIKGRLPRISSVETMESWANQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPEVDWSYGEPTEMLTPINSEGLMTETGESSGAYIIRIPFGPRDKYIPKEDLWPYIPEFVDGALNHILQVSKVLGGQIGSGRDVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSKDEINSTYKIMRRIEAEELSLDASEIVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMVVIPPGMEFHHIVPHEGDMDFETEGSEDGKAPDPHIWTEIMRFFSNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTNASVLLSILKMIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKHLWAKCRANGLKNIHLFSWPEHCKTYLSRIAGCKPRQPCWLRNADDDENSESESPSDSLRDIQDISLNLKFSLDGDKNEDSDNLLDPDDRKNKLENAVLAWSKGVKGTHKTSIDKIDQSSSAGKFPALRRRKQIFVIAVDCDSSTGLFENVRKIFAAVEAERMEGSIGFILATSFNISEVRSFLISEGMNPTDFDAFICNSGGDLYYSSSHSEDNPFVVDLYYHSHIEYRWGGEGLRKTLVRWAASISDKKGEKEEHIVVEDEKNSADYCYTFKVQKSGGDPSVKDLRKLMRIQALRCHVVYCQNGSRINVIPVLSSRSQALRYLYLRWGMDLSKLVVFVGESGDTDYEGLLGGLRKAVILKGVCSVSSSQLLSNRNYPLTDVVPYNSPNVIQTTEECSSSELHASLEKLGVLKG >itb03g21830.t1 pep chromosome:ASM357664v1:3:19765795:19775138:-1 gene:itb03g21830 transcript:itb03g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G20280) UniProtKB/Swiss-Prot;Acc:Q94BT0] MAGNDWINSYLEAILDVGPGIDDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVRAQATRSPQERNTRLENMCWRIWNLARQKKQLEGEQAQRLAKRRQERERGRREAVADMSEDLSEGEKGDAISDISAHGESIKGRLPRISSVETMESWANQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPEVDWSYGEPTEMLTPINSEGLMTETGESSGAYIIRIPFGPRDKYIPKEDLWPYIPEFVDGALNHILQVSKVLGGQIGSGRDVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSKDEINSTYKIMRRIEAEELSLDASEIVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMVVIPPGMEFHHIVPHEGDMDFETEGSEDGKAPDPHIWTEIMRFFSNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTNASVLLSILKMIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHAIADALLKLVADKHLWAKCRANGLKNIHLFSWPEHCKTYLSRIAGCKPRQPCWLRNADDDENSESESPSDSLRDIQDISLNLKFSLDGDKNEDSDNLLDPDDRKNKLENAVLAWSKGVKGTHKTSIDKIDQSSSAGKFPALRRRKQIFVIAVDCDSSTGLFENVRKIFAAVEAERMEGSIGFILATSFNISEVRSFLISEGMNPTDFDAFICNSGGDLYYSSSHSEDNPFVVDLYYHSHIEYRWGGEGLRKTLVRWAASISDKKGEKEEHIVVEDEKNSADYCYTFKVQKSGGDPSVKDLRKLMRIQALRCHVVYCQNGSRINVIPVLSSRSQALRYLYLRWGMDLSKLVVFVGESGDTDYEGLLGGLRKAVILKGVCSVSSSQLLSNRNYPLTDVVPYNSPNVIQTTEECSSSELHASLEKLGVLKG >itb01g25790.t1 pep chromosome:ASM357664v1:1:31113307:31116820:1 gene:itb01g25790 transcript:itb01g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLIFFSLFVNLFSFFASSSFGEVSISVTPKTVPKSGESITIRWSGIDSPSNLDWLGIYSPPDSSNRYFIGYFFLSSSPEWESGSGSISFPLINLRSKFQFRIFRWTESEINPKHQDHDQNPLPGTKHLLARSEEIGFEPGRGPEQVHLAVTGREGEMRVMFVTADGKENSVRYGLTRGNLDHVVATEVGRYEKEHMCDAPANNSVGWRDPGYIHDGVMTNLTKGKRYYYMVGSDAGGWSLTYSFVSPDGESNETVAFLFGDMGTATPYTTYVRTQNESLSTIKWIGRDIEALGDKPALISHIGDISYARGYSWLWDNFFAQIEPVASRVPYHVCIGNHEYDWLLQPWKPDWSSYGKDGGGECGVPYGLRFHMPGNSSEPTGMLAPATRNLYYSFDFGLVHFVYMSTETNFLKGSSQYNFLKNDLESVDRKKTPYVVFQGHRPMYTTSNENRDAPIRHKMLEHLEPLLVNNNVTLALWGHVHRYERFCPLNNFTCGSLGLNGEEWQAFPVHVVIGMAGQEWQPIWEPRPNHPDLPIFPQPARSLYRGGELGYTKLVATKEKLVFSYIGNHDGEVHDTVEILASGQVLNGDGGGENNDVRELAEPKARGEARKQTDPTLTWLAKDAGLLVLGVVIGYVIGYILPARRDAAVGNNWTPIKNEEL >itb07g03020.t1 pep chromosome:ASM357664v1:7:2058050:2061138:1 gene:itb07g03020 transcript:itb07g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCMKVKESGSNGKSDGGLVKRKITSGVDNNKETHTPPVIASPHRSHRELATTRSSAHESRKATTSSPEKEDRYYTTRGSVGLDDHSKLLANSKEEKKKFVWPKLLVTLSSKEKEEDFLAMKGTKLPQRPKKRAKLIQRTILLVSPGTWLSDLCQERYEVREKKTSKKKPRGLKAMGSMESDSE >itb01g25070.t1 pep chromosome:ASM357664v1:1:30581111:30584266:1 gene:itb01g25070 transcript:itb01g25070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MVRNTHNLRHLLSLYTRTARKPDVRKHSLIPRIIGRAEHKHTLSSEEGKTKMAAPVQIEVWNPNGKYRVVSTKSMPGTRWIDLLVQQDCRVEICTQKKTILSVEDILAVIGDKCDGVIGQLTEDWGETLFSALSKAGGKAFSNMAVGYNNVDVNAATKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRNGKYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLENFVTAYGQFLKSNGEQPVTWKRASSMDEVLREADVISLHPVLDKTTFHLVNKERLAMMKKEAILVNCSRGPVVDEEALVQHLRENPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGQIKGYPVWHDPNKVDVFLNENASPPPACPSIVNSKALGLPVSKI >itb08g15780.t3 pep chromosome:ASM357664v1:8:17987233:17990570:1 gene:itb08g15780 transcript:itb08g15780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLKLSQFKLQLHALISDVNELKERERNASDQLQLMAQKQKQTEEEFNRKLAGLSTELSLSNELRQKLDREVRCLQNENDLLEIQQKELKGTINIILESRESFIKAYEDSTCEMRRAIEVRDRKIEVLSEKLKAHSMLFDSIEKEASSIKQIMEDTRNVLSAREEVVAELKSKVDEVSTFEKQFVERINNLERELRNKELELRKRDMTILGLKLKVEAADVKMEFQPKIEELQQDLSVKELIIQNLTSENKELHFEVASLGVVMKKIQDALSRMNEEDRKTFTIVLECQEEYVASEKGEALRAEDEVQNGEENLHDKSFVTKDNTTSPEFDEGLKNNSLKEDGMSDSYVSEASRY >itb08g15780.t1 pep chromosome:ASM357664v1:8:17987233:17990921:1 gene:itb08g15780 transcript:itb08g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLKLSQFKLQLHALISDVNELKERERNASDQLQLMAQKQKQTEEEFNRKLAGLSTELSLSNELRQKLDREVRCLQNENDLLEIQQKELKGTINIILESRESFIKAYEDSTCEMRRAIEVRDRKIEVLSEKLKAHSMLFDSIEKEASSIKQIMEDTRNVLSAREEVVAELKSKVDEVSTFEKQFVERINNLERELRNKELELRKRDMTILGLKLKVEAADVKMEFQPKIEELQQDLSVKELIIQNLTSENKELHFEVASLGVVMKKIQDALSRMNEEDRKTFTIVLECQEEYVASEKGEALRAEDEVQNGEENLHDKSFVTKDNTTSPEFDEGLKNNSLKEDGMSDSYVSESASLVHQFENCAPSPSGTEAKP >itb08g15780.t2 pep chromosome:ASM357664v1:8:17987233:17991096:1 gene:itb08g15780 transcript:itb08g15780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLKLSQFKLQLHALISDVNELKERERNASDQLQLMAQKQKQTEEEFNRKLAGLSTELSLSNELRQKLDREVRCLQNENDLLEIQQKELKGTINIILESRESFIKAYEDSTCEMRRAIEVRDRKIEVLSEKLKAHSMLFDSIEKEASSIKQIMEDTRNVLSAREEVVAELKSKVDEVSTFEKQFVERINNLERELRNKELELRKRDMTILGLKLKVEAADVKMEFQPKIEELQQDLSVKELIIQNLTSENKELHFEVASLGVVMKKIQDALSRMNEEDRKTFTIVLECQEEYVASEKGEALRAEDEVQNGEENLHDKSFVTKDNTTSPEFDEGLKNNSLKEDGMSDSYVSESASLVHQFENCAPSPSGTEAKP >itb11g09360.t4 pep chromosome:ASM357664v1:11:6220132:6224511:-1 gene:itb11g09360 transcript:itb11g09360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGKIPQKVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEGVEEATQVMNALQGSSLPSSDRGGMHIEYARSKMRKP >itb11g09360.t6 pep chromosome:ASM357664v1:11:6220709:6225455:-1 gene:itb11g09360 transcript:itb11g09360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQPYDPYFRPQPLPLPDYAYTVGNKNERDMIRTLFVSGLPDDVKAREIHNLFCRRPGFESCQLKYTGRGDQVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEGVEEATQVMNALQGSSLPSSDRGGMHIEYLSQLL >itb11g09360.t2 pep chromosome:ASM357664v1:11:6219604:6224511:-1 gene:itb11g09360 transcript:itb11g09360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGKIPQKVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEGVEEATQVMNALQGSSLPSSDRGGMHIEYARSKMRKP >itb11g09360.t7 pep chromosome:ASM357664v1:11:6219526:6225452:-1 gene:itb11g09360 transcript:itb11g09360.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQPYDPYFRPQPLPLPDYAYTVGNKNERDMIRTLFVSGLPDDVKAREIHNLFCRRPGFESCQLKYTGRGDQVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEKRLRS >itb11g09360.t5 pep chromosome:ASM357664v1:11:6220132:6225455:-1 gene:itb11g09360 transcript:itb11g09360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQPYDPYFRPQPLPLPDYAYTVGNKNERDMIRTLFVSGLPDDVKAREIHNLFCRRPGFESCQLKYTGRGDQVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEGVEEATQVMNALQGSSLPSSDRGGMHIEYARSKMRKP >itb11g09360.t3 pep chromosome:ASM357664v1:11:6219604:6225452:-1 gene:itb11g09360 transcript:itb11g09360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQPYDPYFRPQPLPLPDYAYTVGNKNERDMIRTLFVSGLPDDVKAREIHNLFCRRPGFESCQLKYTGRGDQVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEGVEEATQVMNALQGSSLPSSDRGGMHIEYARSKMRKP >itb11g09360.t1 pep chromosome:ASM357664v1:11:6219526:6225455:-1 gene:itb11g09360 transcript:itb11g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQPYDPYFRPQPLPLPDYAYTVGNKNERDMIRTLFVSGLPDDVKAREIHNLFCRRPGFESCQLKYTGRGDQVVAFATFVDHPTAMAALHSLNGVKFDPQTGSTLHIELARSNSRRTKRPGSGPYVVIDNRSKSNTDAKGTSSDEDSNDSDSDDPSRSNDPDSGNNDDSSEKSGEKVGDGDNVLAAQSEQTEKIGDGTHPCSTLFIANLGPNCTEDELRQILSQYPRFNTLKVRARGGMPVAFADFEGVEEATQVMNALQGSSLPSSDRGGMHIEYARSKMRKP >itb01g02860.t1 pep chromosome:ASM357664v1:1:1851707:1854005:1 gene:itb01g02860 transcript:itb01g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNSQSNNIPNNSSPTSIATAPQPFHRHQHGGARSSAAPFITSITAAAAAAAHQATHASTLPSTSSAGASTSSATAAPPSRLVDASLAIATRSEAVAEASEEPPPQQAVKRPTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLHQAEPAIIAATGTGTIPANFSTLNVSLRGSGAALAAPLSKSAPYPFHSTLALATASAHPYEESFSHMLGFHHQHAPPQLLTPSQIAETISAAAACGGGGGDGGGGETTTEDYLRKRYREDLFKDEGASSNPQGEPSGNSTSPSNKQFKGGASASAAAAAGAFPSILRQTTAPMPATTMWAVAPTPSSGGTLWMLPFAAAGGGGESGQALHAAAESTSGDAPSETQMWPFSNHNSNQTLQSHLQFAPRFNLPANLDFHGSGRDALPPPSHHLGLGMSDGNLGVLAAFNNAYSRTRNGLNMNSDEQQQQQQHQAQDESDEDDDDNDPNNSCT >itb05g03050.t1 pep chromosome:ASM357664v1:5:2452482:2453139:1 gene:itb05g03050 transcript:itb05g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFSGRRSNVFDPFSLEIWDPFYDFPFSNAEVSAPEVSGEAARFASATIDWKETPEAHVFTADLPGLKKEEVKVEVEDGRVLQISGERSREQEEKTDTWHRMERSSGRFLRRFRLPENVKMDGIKASMENGVLSVTVPKEEAKKADVKAIDISG >itb06g00720.t1 pep chromosome:ASM357664v1:6:1658140:1658699:1 gene:itb06g00720 transcript:itb06g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDSGGLEALTESARGEFGDGRDCVARPAGRLRGETGWQTASVEAEAVVKADCIGRGGLENAERKVAEGRRERRCQEWRWRLSCGCGGGDGVGGGVGGGCGEGPKVFTDDDWRMAREGLELCG >itb01g17880.t1 pep chromosome:ASM357664v1:1:22689713:22693382:-1 gene:itb01g17880 transcript:itb01g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQEFHNSHPDWHSVDHHVLLKFPCLHCFPSSISVFCSELRPATTNNADCHSTWPEEGDQAHCRLQHLLPNAYAGGEGQMSARRTATPGRSFAAVPRCRLLLMTPSDLLRSLVCSFTRDEKERRSRWSAPPPLLRRRRRHCHRCLDCLAAGAAPCRRR >itb12g22070.t1 pep chromosome:ASM357664v1:12:24285802:24287154:-1 gene:itb12g22070 transcript:itb12g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTLNTTPKPPSLSTAFLPSFHAPPSSSVIHKPIPSPRPISALIIPPSSSGQKVSNQKQVYQPFRPPPSPLPSKFRNLDTSSKLDVLTNRLGLWFEYAPLITSFIREGFTHSTLEEITGISGVEQNRIVVAAQVRDSLVQSEIDAETLSFFDRGGAELLYEIRILSAAQRATAADFLVGNNFDAKQAETLARAMKDFPMRLGDRGWAAFNANSPGDCLAFSYFRLAQEYGAAGLEELRRQSLQKGLEFVVSEAAKLLLEAELEGKAEVKEAIVDEVVTVPLVRMQLGELAESTVVVVLPVSKAEATQVEAAPWNCEGTGNFGIVEAEKEWRRWVVLPGWQPIAALERGGVAVTFKDGSTLPWRESQKNKSEPILVVTDRQRKEVAVDDGFYLVAGGGGGNGSVMEEGLRVVGGSKLKEKGVTESLGMVVLIVRPPREENDELSDEDWE >itb10g03620.t1 pep chromosome:ASM357664v1:10:3386764:3388963:-1 gene:itb10g03620 transcript:itb10g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNISNTVICFLNLLTLLASIPIIGAGLWMARSSTTCKKFLQTPLLVIGFVILIVSLAGFIGRVSVSRGRSGKLCNQGSINHSRPPIGGKLCNSFWSFSFCGGRRLEKGIMFRVLCITSHYVCCTRTIALSENDTVVTGVEQHGDMFLEPPNAVSVHSDHRRRAMDGEEQHHLQEVPPNAASRHRIRDPHRLAGRIHRACFRVTWALWKALQSGIDQPLQTTHRRKALQFLLEL >itb02g19750.t1 pep chromosome:ASM357664v1:2:17021232:17021702:-1 gene:itb02g19750 transcript:itb02g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLRAAVVHIGERERLDVVNNSDKKPFVEDEDSNPAAACPWALGTRQAGERNEKVVNLAQLQKSKFSIALSGEDIEADFTAITGAKPPRKPKNRPRAIQKEIDVIKSLNQNILIFTISHLNSNFLHTGFLQDIFPGSWLMEITLDKHKIPENPDH >itb05g02610.t3 pep chromosome:ASM357664v1:5:2091402:2093644:-1 gene:itb05g02610 transcript:itb05g02610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVVHSPVFSPSPVFSKSSASSPIGRSLYASSSLSPSTVTPPPSTLCPLTLRRPIQTRNIILNGINEEPICSTSSTTTTTTPVLKRKRPARINIPMAALSFEPSLQTPRDGDRLDEVEVEGEGYSVYCKRGKRGAMEDRYSAFVDHQQGSKQAFFGVFDGHGGVKAAEFAARNMGRNIMNKVGVRSEEDIEAAVKEGYLTTDEEFLKQNVRGGASCVTALIHKGKLVVSNAGDCRAVMSRGGIAEVLTLDHRPSRESEKERIEALVSCLDLL >itb05g02610.t2 pep chromosome:ASM357664v1:5:2091402:2093644:-1 gene:itb05g02610 transcript:itb05g02610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVVHSPVFSPSPVFSKSSASSPIGRSLYASSSLSPSTVTPPPSTLCPLTLRRPIQTRNIILNGINEEPICSTSSTTTTTTPVLKRKRPARINIPMAALSFEPSLQTPRDGDRLDEVEVEGEGYSVYCKRGKRGAMEDRYSAFVDHQQGSKQAFFGVFDGHGGVKAAEFAARNMGRNIMNKVGVRSEEDIEAAVKEGYLTTDEEFLKQNVRGGASCVTALIHKGKLVVSNAGDCRAVMSRGGIAEVLTLDHRPSRESEKERIEALGGYVDCCRGVWRIQGSLAVSRGIGDAQLKKWVIAEPETKTVFTEPDFEFLILASDGVWDKVNLQAKNTSKF >itb05g02610.t1 pep chromosome:ASM357664v1:5:2091402:2093644:-1 gene:itb05g02610 transcript:itb05g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAVVHSPVFSPSPVFSKSSASSPIGRSLYASSSLSPSTVTPPPSTLCPLTLRRPIQTRNIILNGINEEPICSTSSTTTTTTPVLKRKRPARINIPMAALSFEPSLQTPRDGDRLDEVEVEGEGYSVYCKRGKRGAMEDRYSAFVDHQQGSKQAFFGVFDGHGGVKAAEFAARNMGRNIMNKVGVRSEEDIEAAVKEGYLTTDEEFLKQNVRGGASCVTALIHKGKLVVSNAGDCRAVMSRGGIAEVLTLDHRPSRESEKERIEALGGYVDCCRGVWRIQGSLAVSRGIGDAQLKKWVIAEPETKTVFTEPDFEFLILASDGVWDKVSNQEAVDTVRPLCIGVDKPHPLSACKELVNLALTRGSFDDISVMVIQLSHFVQ >itb14g00510.t1 pep chromosome:ASM357664v1:14:347223:350119:-1 gene:itb14g00510 transcript:itb14g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHNNFNRALVAFLAPLPSIFFYLSFLRHYHSPQSHNAPLWHWCYHHPLLLSHLFFFFNVNLLFWIIGLLQSSHWMIDLYWTVIPVLLLHYYRSHPAGEYDAWRSAAVVVLTWIWSIRLTHNYFRREKWQWGAREDWRFTDLSEQYGKNWWWMSFFAVYVSQQVFLMGICMPLYVVHSENMPLNVWDFVAIGICLSGIIIAYYADTQLHTFVSRNKKLKELSQPMVPNLDTGLWRYSRHPNYFGEQLWWWGLAIFAWRLGHAWSFVGPLINSLCLAYVTVLVEKKMLKQAYRVEAYKLYQKTTSAWIPWFKSSTGKDKNT >itb02g20570.t1 pep chromosome:ASM357664v1:2:18583876:18584151:-1 gene:itb02g20570 transcript:itb02g20570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNLMIVAVLMLLLAEAQFSSAACDVQQLTPCLSALTSNTNPSQLCCQRLNQQKPCFCQYLKNPSLKMYLNSPAAKKAAKTCKVSIPKC >itb06g21540.t2 pep chromosome:ASM357664v1:6:24150458:24153637:1 gene:itb06g21540 transcript:itb06g21540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNFGNEPPGSGGGSGGGGRFPGNFGLTRQPSVYSLTFDEFQSSMGGIGKDFGSMNMDELLKNIWSAEENQTMVPSSGAQEGGVPGPGGYLQRQGSLTLPRTLSQKTVDEVWRDMSKVYDIGKDGSALGASNIPQRQPTLGEITLEEFLVRAGVVREEAQLAAGKAAESAALFGDLTHTLNNSGLGFGFQQAGQNTGLMVNRTNESKSVIEIQSPSMPLNVNGARSAQPQLGTAHLQQKQHQQPLFPKQPVLPYAVPMAIPNTSPLGSNGIRGVAMGTSDPAMNGSNNAVMNGSFVQSPALHGGGMGMVGLGAGGVSVATRSPAVSSDGFAKSNGDTSSVSPVPFVFNGSLRGRKSSAIEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELQKKQAEIMEMQKNMV >itb06g21540.t3 pep chromosome:ASM357664v1:6:24150812:24154618:1 gene:itb06g21540 transcript:itb06g21540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNFGNEPPGSGGGSGGGGRFPGNFGLTRQPSVYSLTFDEFQSSMGGIGKDFGSMNMDELLKNIWSAEENQTMVPSSGAQEGGVPGPGGYLQRQGSLTLPRTLSQKTVDEVWRDMSKVYDIGKDGSALGASNIPQRQPTLGEITLEEFLVRAGVVREEAQLAAGKAAESAALFGDLTHTLNNSGLGFGFQQAGQNTGLMVNRTNESKSVIEIQSPSMPLNVNGARSAQPQLGTAHLQQKQHQQPLFPKQPVLPYAVPMAIPNTSPLGSNGIRGVAMGTSDPAMNGSNNAVMNGSFVQSPALHGGGMGMVGLGAGGVSVATRSPAVSSDGFAKSNGDTSSVSPVPFVFNGSLRGRKSSAIEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELQKKQAEIMEMQKNMALEMMNNIQQGAKRRCLRRTQTGLW >itb06g21540.t1 pep chromosome:ASM357664v1:6:24150458:24154618:1 gene:itb06g21540 transcript:itb06g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNFGNEPPGSGGGSGGGGRFPGNFGLTRQPSVYSLTFDEFQSSMGGIGKDFGSMNMDELLKNIWSAEENQTMVPSSGAQEGGVPGPGGYLQRQGSLTLPRTLSQKTVDEVWRDMSKVYDIGKDGSALGASNIPQRQPTLGEITLEEFLVRAGVVREEAQLAAGKAAESAALFGDLTHTLNNSGLGFGFQQAGQNTGLMVNRTNESKSVIEIQSPSMPLNVNGARSAQPQLGTAHLQQKQHQQPLFPKQPVLPYAVPMAIPNTSPLGSNGIRGVAMGTSDPAMNGSNNAVMNGSFVQSPALHGGGMGMVGLGAGGVSVATRSPAVSSDGFAKSNGDTSSVSPVPFVFNGSLRGRKSSAIEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELQKKQAEIMEMQKNMALEMMNNIQQGAKRRCLRRTQTGLW >itb03g12870.t1 pep chromosome:ASM357664v1:3:12686683:12690423:1 gene:itb03g12870 transcript:itb03g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFDEGEEEEVFFDSIECLSLEESVLEQGEFGYDLWLKEPQSVKERRERFLRKIGTSEYKFVSGSEPMPMVSERIHECSSGAECSSSSASSPVVRREEALSCAGRDCSSDARCSVDDCNNQACLDDDVVAESAPALKTKKRRWWKTLLHKMKCRNGADVSEASKQFCNVAAGKGALLKVHHSRKKFMEFTAIYAGQEIGAHCGIIRTMKFSPDGLYLASGGDDGVVRIWHVTAIDASYKLVRHTVCSPHHQSKKSSHTTAKLPEKIFQIEETPMHEFHGHKAGVLDLTWSTTNCLLSASKDNTVRLWKLGSDKCLGVFHHSNYVTCIQFNPVDENFFISGSIDGKVRIWRVTEKRVADWADVEDIVTAICYQPNGKGFIVGSISGICRFYEKGSELSLDAEIHIGGRKRSNSNMITGIQFLLNDSQRVMITSGDSKIRILDGHEVVCKYRGLSKSGSQMSAAFTSTRKHVISVGEDSRIYLWNYEDMSFQESKQVKSERSCEHFMSKSVSLAIPWTAGQGARKNDLISCGSSKSCSQSQMQEQQQDAMPKIRDSERFSLGSWFSMDISSRGSVTWPEEVLPLSSSDVPTAENEDNQRQRVQDYKTQIQNSALQSPAWGLVIVTAGWDGKIRTFHNYGLPVRI >itb05g08830.t1 pep chromosome:ASM357664v1:5:12592799:12593707:1 gene:itb05g08830 transcript:itb05g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGNEVIDGVAPNLVSNDMHKSRLLSLEEIDVGITSQNVGMHNVMNCNEIVGVDNVILGGKFSGVNIEYTLIVGIVLTNMTLVVRVAVEPYCFNDNTGMSKYSNFYVNPKFLRCSGFPFDPGETKSNFSLSARNNSSVQCVFECGFLESRWLKPEECLLITNEGLSIFRLLLDQFMDTLVGILLVAVVILLMVVWYDKDEGGEMWSLIREDETVSKTAKVVPEEVDIQECMVLASTIVVNGHCICLVTQTGMCVEKGKVHSQTQEASQSEEVTPLEKKLKEFEESLNAIIGVICVLVWLPS >itb10g23390.t1 pep chromosome:ASM357664v1:10:27776653:27778920:-1 gene:itb10g23390 transcript:itb10g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLDFSVFLFLVLPSLVSSLSFDLPSISPKYTNVHINVEGDASITNQGIQLTPYEREEVLNGKAGRATYVESLHLWDKASRELADFTTNFTFNIDSDGNSSFADGLAFFLANFSSPFNGTSIQGGGLGLMNETLPISPDPFVAVVFDTFSHQENRPRTNVSINVKSMLNPGSIKPWFNNITQGMDNNASITYSASSQVLQVVFTGFWKGQKTTESLSYKVDLREILPEFVSVGFSAATGWFFEKNSVSSWQFNSTSLRSGSVLLSPASSPPPKGKNKKQLVIGLSICVSIIVALLLALAINTCHKKVPDLGQDMDSEFQRVSSGTKKFSYTELQTATNNFAEGQKLGEGGFGGVYRGFLRSLNLDVAVKRVSSGSNQGIKEYVAEVKIISQLRHRNLVPLYGWCHDINRGELLLVYEYMPQGSLDSHLFKAKSPPLNWELRYRIAQGLASALLYLHGGWKQCVLHRDIKSSNVLLDSSFNAKLGDFGLASLVDHENAPRKTLDGGTLGYIAPECSSTLQTTKESDVYSFGIVALEIATGQRAILVNEPEGVKITLVEWVWNLYGMEKLIEAADPKLCGNFERPKMEKLMMIGLWCAHPNSTCRLKISEALHCLTSSEQLPPLPQKMPKPVYPTSFSSQHWQILEGHTSSSNNSCPSRFTSSSIMDTSSTSHSHTH >itb03g05330.t1 pep chromosome:ASM357664v1:3:3667971:3668357:1 gene:itb03g05330 transcript:itb03g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHASCQLRGERTVVMIPYGGVNGCDWCFPKPPSLIEHGLRKGRIKQRKNEPLKLHSIHSTLYTLYTTPFSFIGHRTFSLYCYQVELGRVGYKNRGALSSTLPFLLSLSLSLLKSVVSQTAIAHEKL >itb05g06700.t1 pep chromosome:ASM357664v1:5:7374266:7375203:-1 gene:itb05g06700 transcript:itb05g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTALPSILLILALAFTVATTVVANHPSPPPSAEAILMKKCSEVLGSRRPLVVRFCAHDFLGHRAALLATCDRRKTVAVVIKEVHNKAKAVEALEKKINSDKSLSIKDAQDLKSCWAITNKVVSSLANVFINSSVEKPSTESIMMLKRALPGDVAKTKEKCDYTVPGRQKGLWLELRIKELESISADIVASAFVDHLYSTTH >itb14g05530.t1 pep chromosome:ASM357664v1:14:4827952:4837634:1 gene:itb14g05530 transcript:itb14g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYSFDADAGDIQEIKKVREFIREFYSESKKLWYLAAPAIFTIVSQYSIGAITQVFAGHVGNIQLAAFAVQNNLIGGFAFGIMYGMGSALETLCGQAVGAKKLEMLGVYLQRSWVILNATAIPLTTIYIFSAPILKLLHQNPQISKAAGTFALWMIPQFFAYVFTFPIQRFLQSQTKIIVMASVSGVAFVGHVLFSWLFMLKLRWGLPGGAVVLNTTWWFMAVAQFVYVLSGACGDAWKGFSRRAFENLTGFVRLSLASGVMFCLEMWYFVGLILMVGYTKNAEVSVDAISICLSISGWTLMFGVGFSAAISKIFCAGHPRTAKFSVVVATATSLFTGIILGIIPILLRSRYPPLFSKSSSVQKLVNDLTPLLGFTITLNSLQPTLSGVAIGAGWQSYVAYVNIVCYYLVGIPIGLVLGFVFKKGVKGIWYGMMAGTVVQTIVLIVMVLRTNWIKEASIAGERIRKWGGDPDSQPLELH >itb11g14100.t1 pep chromosome:ASM357664v1:11:11159537:11162299:-1 gene:itb11g14100 transcript:itb11g14100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMDRSMDMSHRIPSSVFELDHSSDPIQWSTASSESLFSIHLGNTSISGDYGLRCSDERGTTGEASIDRPVPTRQVSDRPSVKLRAVEASLEEEGSRESEDHNHEKSFPEGRGSNASVKSFAFPILAGEDSTGKLGCSARFPEPQPAPSPMQEIEPSPQSEPEAAQKKWFSCFPCCP >itb09g10520.t1 pep chromosome:ASM357664v1:9:6517299:6517984:1 gene:itb09g10520 transcript:itb09g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSRRKRTRKPHSTKPADFAEPAAPPNKPPAEPQIVMMETEFHHYYYSLVESIPVPKLTWSTTAPEVLCPAPPEDALLEFEWAAENEGQAWWVGFLKSLDCKSGKGAQENTMAAVESCKEATAPAPAMDPSGTFPEDWLCFPAAEDHGDQPAA >itb09g24320.t1 pep chromosome:ASM357664v1:9:23960361:23965359:1 gene:itb09g24320 transcript:itb09g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLAFVGLPLLLLLIPIIAFLIKIYSGKSINNPKYPPVLGTVFHQLLYFNRLYDHQTEAARKHSTFRLLAPGQSQIYTTDLQNIEHVLKTNFDKYAKGECNQDVFRDLFGTGIFAVDGDKWRQQRKVASFEFSTRVLRDFSCTVFRRNAIKLVRKVEEYSQANQVFDMQDLLMRSSLESIFKVGFGVDLNCIEGEGQGSSKEKSIMFMKAFDDSNELTYWRYVDPFWTLKRYFNIGSEASLRKNIKIIHDFVDKLITARRKQLDSDVKSEKEDILSRFIRESKKDPETMTDEYLRDIILNFVLAGKDSSANTLSWFFYMLCKNPLVREKLDREIEEVFGNLKEKASSSVEDCIASITDEVLQKMFYLHATLTETLRLYPAVPIDGRVAETDDVLPDGFKVKKGDGVYYMSYAMGRMPYIWGDDAEEFRPERWLKDGIFTPESPFKFTAFHAGPRICLGKDFAYRQMKIVSIALLHFFRFKLSDDSKKVTYKIMFTLHISGGLHLTAVSRRN >itb12g24580.t1 pep chromosome:ASM357664v1:12:26057084:26060323:1 gene:itb12g24580 transcript:itb12g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATTRNFRQAARILGLDSKIEKSLLIPFREIKVECTIPKDDGTLVSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKSAVADIPYGGAKGGIGCTPKDLSKSELERLTRVFTQKIHDLIGVNTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGREAATGRGVVFATEALLAEHGKAIKDLTFAIQGFGNVGSWAAKLIHERGGKVVAVSDITGAVRNPNGIDIPALLKHKETTGVITNFSGGDALDSNELLTHECDVLIPCALGGVLNRENAADVKAKYIIEAANHPTDPEADEILSNKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNMELKKYMSKAFQNIKGMCQSHNCNLRMGAFTLGVNRVARASVLRGWEA >itb12g02210.t1 pep chromosome:ASM357664v1:12:1463661:1465302:-1 gene:itb12g02210 transcript:itb12g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLINTIAPFQPHPRTLSEQVLMSLTFLLFLLNLAMAATKELRPGYYSKTCPQAELLVRNVIKKSMAREPRSAASVMRLQFHDCFVNGCDASLLLDDTPNMLGEKLSLSNINSLRSYEVIDKAKKAVEKVCPGVVSCADILIMAARDATVLSGGPDWEVKLGRLDSLTASQQDSDSIMPSPRANSSYLIDLFSKFNLSVKDLVALSGSHSIGKGRCFSIVFRLYNQSGSGKPDPAMDPKFRAKLQKQCPRGGDGNITGDLDATTVVFDNQYFKDLVHQRGFLNSDQTLFTFHQTRGYVRQFSKDQNKFFKAFVEGMIKMGDLQSGRPGEIRRNCRVVNSQHPVKLLES >itb10g13310.t1 pep chromosome:ASM357664v1:10:19502328:19506431:1 gene:itb10g13310 transcript:itb10g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYKRRKKGLADKNPAAAAGAGGADDPQPGPLATFADGNSGSAKIAPEAAARNRSDEKDVFYERLQKLNEPSGLSLIINLRETSLDLYLLYKEVTIRGCSADSPNGLRGTKRQYERSSPSLLLCSNIQDTPDNYYQLSKGPKTPELKPILQTSTNDKELKKERCGLEAPVKARTAYQLYLKLECDRFKNAHGDNSVNQKVRDEIIDTWRHLSESDRKPYVDASNKDKERYNLQMAAYKEHKSKSSNQSLSHVSTQSLSLFHISSQSETKSHQSWFSSSAPSVINFGSSSSSSQTYDDYYVTLQGDDGGNELAPPDKSLVDSTIEMLKGAHPGDPIFQVPPYRKEPNGIYWSPSLVRMPSRLQLTSDPSDMLL >itb14g05020.t1 pep chromosome:ASM357664v1:14:4349707:4351078:1 gene:itb14g05020 transcript:itb14g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWARCFSPGREEDLFPPLPTTSLKKFTYKKLRKATGKFNAGNRIGEDTYKGTLRRGLHINPPVADRDAPKTMEVIVRNLSRGLQPGDLPKCLNEIKKLEKISHPNLVHLVGYCAEPDRRRENRAYCFLVFDLVKNGSAHDRLLDYEKPHKPLAWERRIRIAIQTGLALEHLHEHGVMYRTFGSRCVLLDEELNVKLSYAVFPKLNVYKTIADLHKEADPTLTPESITMFLSRAPELFTSYSTTKENDVWGFGIFLCELLMGKPLSSAPDQETPVLDVLMKCFSDRSIMETSMDPRLIRGEQRFYDPATVLKMVTIAGMCLQKRPEARPSMKQINKLLDKISESKVEYVDEELESFDH >itb01g23350.t1 pep chromosome:ASM357664v1:1:29399044:29403854:1 gene:itb01g23350 transcript:itb01g23350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRIVSIVLFVFIRLQHSFGTAAVSSNVHIIYLGERRHDMPEHVEDSHHRILSDILGSKEAAVKSILYSYKHGFSGFAAVLSPIQAKRIADIPGVVCVFPQRILNLHTTRSWDFLQVKSHLKNGILRRAHFGAASIIGVIDSGIWPESESFNDDGFHSVPSYWKGMCQEGEEFNRSNCNRKLVGARWYIKGYEAEFGKLNPNDGIEFLSPRDAAGHGTHTSSTAAGASIEGASFAELAKGLARGGAPLARIAAYKVCWSFGGCSSADILAAFDDAISDGVDLISVSLGSLPPLSSYVEDPVAIGSFHAVTKGIPVVCSAGNIGPSPETVVNTAPWVITVAATTIDRAFPSVITLGSNQIFGQTLFAGKEYDRFFPIAYGEDIKSADADENEARSCETGSLNATLVKGKVLLCFESRYQRSAVAAARTVQEGQGVGLIFARFLTKEVTVCLDVPCVHVDFTTGTSLLTYIASTSNPIVKFSLPRTIVGQQISPQVALFSSRGPNSLSPTVLKPDIAAPGVDILASWSPASSDQSLDSNKTQLPNLNFKIVSGTSMACPHISAIVALLRAIHPTWSPAAIKSALVTTASLTDQYGQNAVAEGAPPKQADPFDYGGGHVDANKALDPGLIYDMDRNDYAQFLCSMGYNKTAISWLTRSLLPCHAPSNFLVNLNLPSITIPALQRSLQVSRTVTNVGPVVSIYTARIQAPPGIKVRVEPSLLLFNSSVNKAMFKVTLCSKLSIQGSHSFGNLFWEDGHHVVRIPLIVRTIIPEFQV >itb01g23350.t2 pep chromosome:ASM357664v1:1:29399233:29403854:1 gene:itb01g23350 transcript:itb01g23350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTRIVSIVLFVFIRLQHSFGTAAVSSNVHIIYLGERRHDMPEHVEDSHHRILSDILGSKEAAVKSILYSYKHGFSGFAAVLSPIQAKRIADIPGVVCVFPQRILNLHTTRSWDFLQVKSHLKNGILRRAHFGAASIIGVIDSGIWPESESFNDDGFHSVPSYWKGMCQEGEEFNRSNCNRKLVGARWYIKGYEAEFGKLNPNDGIEFLSPRDAAGHGTHTSSTAAGASIEGASFAELAKGLARGGAPLARIAAYKVCWSFGGCSSADILAAFDDAISDGVDLISVSLGSLPPLSSYVEDPVAIGSFHAVTKGIPVVCSAGNIGPSPETVVNTAPWVITVAATTIDRAFPSVITLGSNQIFGQTLFAGKEYDRFFPIAYGEDIKSADADENEARSCETGSLNATLVKGKVLLCFESRYQRSAVAAARTVQEGQGVGLIFARFLTKEVTVCLDVPCVHVDFTTGTSLLTYIASTSNPIVKFSLPRTIVGQQISPQVALFSSRGPNSLSPTVLKPDIAAPGVDILASWSPASSDQSLDSNKTQLPNLNFKIVSGTSMACPHISAIVALLRAIHPTWSPAAIKSALVTTASLTDQYGQNAVAEGAPPKQADPFDYGGGHVDANKALDPGLIYDMDRNDYAQFLCSMGYNKTAISWLTRSLLPCHAPSNFLVNLNLPSITIPALQRSLQVSRTVTNVGPVVSIYTARIQAPPGIKVRVEPSLLLFNSSVNKAMFKVTLCSKLSIQGSHSFGNLFWEDGHHVVRIPLIVRTIIPEFQV >itb08g01220.t1 pep chromosome:ASM357664v1:8:898842:899219:-1 gene:itb08g01220 transcript:itb08g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEQITSLEYHGRLMNLWEYHSRLDTRWPVKSEIKPNTNSINPHTAIHEIGFFVATTTKCFCRCCTTATLANAPPLYLQALATPISSSQSLCPHCFHIAIKPHTPHFSTQCRFEKNPDERIEV >itb01g29940.t1 pep chromosome:ASM357664v1:1:34010233:34013577:-1 gene:itb01g29940 transcript:itb01g29940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic [Source:Projected from Arabidopsis thaliana (AT1G43670) UniProtKB/Swiss-Prot;Acc:Q9MA79] MDHAADAHRTDLMTITRYVLNEQTKHPESRGDFTILLNHIVLGCKFVCTAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTSILVSEEDDEATFVKPPLRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMIKDDHEPALSDVLQPGKNMLAAGYCMYGSSCTFVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKFVENCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKLRALDLVPEKIHERSPIFLGSYDDVEAIKALYAAEE >itb11g12460.t1 pep chromosome:ASM357664v1:11:9334115:9335769:1 gene:itb11g12460 transcript:itb11g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQERKATLRDFYAVIYPSLKQLEGNLAGDRSRCSGNLSRVVDEGEDDECGICMESGANMVLPNCAHSMCINCFHHWYIRSQSCPFCRGSLKRVESGDLWVLTANSDVVDTITLAHHNLKHFYLYIDKLPGVVSETNASFYDYLIRLVRNAAERVCNAEVGGRRRVVASALHERRRQWRSWAFPATLLSSPPSGDVVIHALLSCCSSVHLLR >itb05g28260.t1 pep chromosome:ASM357664v1:5:31385964:31391056:-1 gene:itb05g28260 transcript:itb05g28260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMGFVVYLLAASCVAVLSVFYLQSHHANGSYGPPAYISSTIKLASSSQFPPPLRSESTVWPELKFSWRSVLATMIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPCRDVPIIDYDLALIFQPMLMLGITIGVALSVVFPYWLITVLIIILFIGTSSRSAFRGIEMWKEETKMKRAEAERQAIANSHGELSINTEYEPLVPKEERTGLTLMMFNLNLKKVSILFLAWISFLLLQILKNDIVACSPSYWVLNLLQFPVALVLFGYECAKLYKESKSRRLAGNQELICEAAIDWTVTNLAFCALCGIIGGTVGGLLGSGGGFILGPLLLEFGVIPQVASATATFVMMYSSSLSVVEFYLLKRFPMPYALYLTSVSVLAGFWGQFLVRKIVAILKRASIIVFILSGVIFASALTMGVIGIEKSVSMIQNHEFMGFLDFCTSQQ >itb05g28260.t2 pep chromosome:ASM357664v1:5:31387209:31391034:-1 gene:itb05g28260 transcript:itb05g28260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMGFVVYLLAASCVAVLSVFYLQSHHANGSYGPPAYISSTIKLASSSQFPPPLRSESTVWPELKFSWRSVLATMIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPCRDVPIIDYDLALIFQPMLMLGITIGVALSVVFPYWLITVLIIILFIGTSSRSAFRGIEMWKEETKMKRAEAERQAIANSHGELSINTEYEPLVPKEERTGLTLMMFNLNLKKVSILFLAWISFLLLQILKNDIVACSPSYWVLNLLQFPVALVLFGYECAKLYKESKSRRLAGNQELICEAAIDWTVTNLAFCALCGIIGGTVGGLLGSGGGFILGPLLLEFGVIPQVASATATFVMMYSSSLSVVEFYLLKRFPMPYGTHC >itb10g20600.t1 pep chromosome:ASM357664v1:10:26110206:26111936:1 gene:itb10g20600 transcript:itb10g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEIAHASSKEYPGKLTGYVIVTCMVAAMGGLIFGYDIGISGGVTSMDSFLERFFPDVYRKQALDSSTNQYCKFNSETLTMFTSSLYLAALVSCMVASYVTRKLGRRISMLAGGVIFCAGAFLNGFAQALWMLIVGRVLLGFGIGFANQSVPLYLSEMAPSKYRGALNIGFQLFITVGILIANVANYFFAKIQWGWRLSLAGAVVPALIITIGSIFLPDTPNSMIERGNHGEAKSMLRRIRGVDNVDEEFNDLVAASEASNQVKHPWKNLVQRKYRPQLVMSILIPSFQQLTGINVIMFYAPVLFKTIGFGSNASLISSVITGLVNVLATLVSVAFVDRAGRRFFFLEGGIQMLICQIIVTVCIGVKFGTSGNPGNLPMWFALVVVIFICTYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSFNMIFTFAVAQVFLNMLCHLKFGLFIFFACFVLVMTVFIYFFLPETKNIPIEDMTVVWEEHWFWKKFTSQVDYPIGEIVIV >itb05g25010.t4 pep chromosome:ASM357664v1:5:29624838:29628807:-1 gene:itb05g25010 transcript:itb05g25010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIGMFLSVVAMAIAALTENKRLQIAGRQPPEQETVAMSIFWLLPQYILLGVSDIFTVVGMQEFFYAQVPEDMKTLGIALNTSVFGVGNFLGSFIISMIEHFTSSTGKQHGWFSDGIREGRLDKYYWLLALSSSVSLVAFIIFCKFLRVR >itb05g25010.t8 pep chromosome:ASM357664v1:5:29625695:29628562:-1 gene:itb05g25010 transcript:itb05g25010.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIGKKE >itb05g25010.t7 pep chromosome:ASM357664v1:5:29624838:29628391:-1 gene:itb05g25010 transcript:itb05g25010.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIGMFLSVVAMAIAALTENKRLQIAGRQPPEQETVAMSIFWLLPQYILLGVSDIFTVVGMQEFFYAQVPEDMKTLGIALNTSVFGVGNFLGSFIISMIEHFTSSTGKQHGWFSDGIREGRLDKYYWLLALSSSVSLVAFIIFCKFLRVR >itb05g25010.t1 pep chromosome:ASM357664v1:5:29624838:29628807:-1 gene:itb05g25010 transcript:itb05g25010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIAMAIAALTENKRLQIAGRQPPEQETVAMSIFWLLPQYILLGVSDIFTVVGMQEFFYAQVPEDMKTLGIALNTSVFGVGNFLGSFIISMIEHFTSSTGKQHGWFSDGIREGRLDKYYWLLALSSSVSLVAFIIFCKFLRVR >itb05g25010.t2 pep chromosome:ASM357664v1:5:29624838:29628807:-1 gene:itb05g25010 transcript:itb05g25010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIAMAIAALTENKRLQIAGRQPPEQETVAMSIFWLLPQYILLGVSDIFTVVGMQEFFYAQVPEDMKTLGIALNTSVFGVGNFLGSFIISMIEHFTSSTGKQHGWFSDGIREGRLDKYYWLLALSSSVSLVAFIIFCKFLRVR >itb05g25010.t9 pep chromosome:ASM357664v1:5:29624838:29628391:-1 gene:itb05g25010 transcript:itb05g25010.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIGKKE >itb05g25010.t6 pep chromosome:ASM357664v1:5:29624838:29628391:-1 gene:itb05g25010 transcript:itb05g25010.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIAMAIAALTENKRLQIAGRQPPEQETVAMSIFWLLPQYILLGVSDIFTVVGMQEFFYAQVPEDMKTLGIALNTSVFGVGNFLGSFIISMIEHFTSSTGKQHGWFSDGIREGRLDKYYWLLALSSSVSLVAFIIFCKFLRVR >itb05g25010.t3 pep chromosome:ASM357664v1:5:29624838:29628807:-1 gene:itb05g25010 transcript:itb05g25010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIGMFLSVVAMAIAALTENKRLQIAGRQPPEQETVAMSIFWLLPQYILLGVSDIFTVVGMQEFFYAQVPEDMKTLGIALNTSVFGVGNFLGSFIISMIEHFTSSTGKQHGWFSDGIREGRLDKYYWLLALSSSVSLVAFIIFCKFLRVR >itb05g25010.t5 pep chromosome:ASM357664v1:5:29624838:29628807:-1 gene:itb05g25010 transcript:itb05g25010.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGIKRLPCVVLLIVVAGMERFTFKGVASNMVTYLTEVVKMSNSAAAKMVNNWCGFTSLVPIVVAPVAESYLDRYTTILCSSFIYVLGLLALTSRALGWPWRRATNNTGIGSSLLMWSLPLISLGLGGFNASLQAFGADQLGEHEDEELPRTNDDVAGGGQSADSKSSFFFQWWYFGVCCGCLLGVSVMSYIQDTIGWGLGFAVPTAVMLAALALFLCGSRFYAVKNAREISVAAAIKTTVVSTFKNCGRPQEKPNNVELQLQDHQNPLCDQDSDGAEKGINVSKMARVVLGLLPVWAMLLMFAVIFQQPATFFTRQGMTMKRNIGSKFMVPPAALQSAITISIILLMPLYDKLFIPFIRAFTRNERGVTVTQRMGIGKKE >itb14g06130.t2 pep chromosome:ASM357664v1:14:5306868:5312677:1 gene:itb14g06130 transcript:itb14g06130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKHPRLILRNFLSLQLCKELEFIHKSCCTVGYRPNVFSTTLFHLIATNCAHLIMPLIPIRERLKEKVEEYFGCEYELFVEFTGLISWCKGSSIGWHSDNNRPYLKQRDFAAVCYLNSYNVDFKGGVFHFQDGEPTDILPMAGDAILYTADDQNIHCVDEVTDGERVTLTLWFSRDASHDEESKLISLLSQISLDDFDNKRDSFLPIPSPINMYWFPPDEASKFSLGFDIRCGRLHVLGFELYSSQEISCGLPSDSSSNLLELLKEPLQLAQVDELSANKFANVTHALQVVLFYCWKFLDLKTELKAVSAKVAPTSETQRAHINQLKCLFVKDPREAETLFGYETTVNEKQYKFDWASFSAAVSEWEAYTCRLHKELLLSLPHWRTHQSIFFCPHEKPE >itb14g06130.t1 pep chromosome:ASM357664v1:14:5306833:5312677:1 gene:itb14g06130 transcript:itb14g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIPIRERLKEKVEEYFGCEYELFVEFTGLISWCKGSSIGWHSDNNRPYLKQRDFAAVCYLNSYNVDFKGGVFHFQDGEPTDILPMAGDAILYTADDQNIHCVDEVTDGERVTLTLWFSRDASHDEESKLISLLSQISLDDFDNKRDSFLPIPSPINMYWFPPDEASKFSLGFDIRCGRLHVLGFELYSSQEISCGLPSDSSSNLLELLKEPLQLAQVDELSANKFANVTHALQVVLFYCWKFLDLKTELKAVSAKVAPTSETQRAHINQLKCLFVKDPREAETLFGYETTVNEKQYKFDWASFSAAVSEWEAYTCRLHKELLLSLPHWRTHQSIFFCPHEKPE >itb04g00060.t1 pep chromosome:ASM357664v1:4:63456:65336:1 gene:itb04g00060 transcript:itb04g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVNLSWSDEDKAMVAAVLGTKAFDYLISSSVSAQCSLMAVGNDENLQTKLSDLVEHPNASNFSWNYAIFWQLSRSKSGELVLGWGDGCCREPSEGEESEGTRILNLRLEDETQQRMRKRVIQKLHMMFGEADEENYAFGLDRVTDTEMFFLASMYFSFPKGEGGPGKCFGSGKHFWLYDALKSPIEYCVRSFLAKLAGMQTIVLIPTDVGVVELGSVRSIQESLDMLQSIKACFSSFSSLSLSRAAKQSSPIPVIVDDRKDRNNNTAFSTPMVNDHRPDGIQKIFGQDLNSGCCPQFNKEKQHAVRKAEDRPWNAYINGNGVAFLNTPPPPPPRNGVHSASWPQFSNLKPGKAVEIYSPQNQELVVNGGAARDDFRLNSFQPQKPAAAQMQIDFSGATSRPIVSHSSPTVESENSDIEAAASCKEDHPGLLDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITELQKKLKDLELDRERVGGVSSSRETSPNSEIQNHRVPNINIEAGKNEVIVRVSCPLETHPVSRIIQAFKEAQIKVVESKVAAGTDTVLHTFVVKSSGSEPLTKDKLIAAFSCESSSSLQQPRLPSVVGL >itb10g22070.t2 pep chromosome:ASM357664v1:10:27033142:27036998:-1 gene:itb10g22070 transcript:itb10g22070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKFTLRRRKKGAAPVIDNGAANLIASSAATSKAVKKKAGGTRLWMRFDRAGQSELIECDKGAIIKRASVPARDLRILGPIFSHSSNILAREKAIVVNLEFIKAIVTAEEVLLLDPLCQEVLPFVAQLRQQLPLSNHSLTAEASVVQPDDFQFPVLEAVEGLQAELPFEFHVLEIALEIVCTYLDSSVVELERDAYPVLDELAKSVSTRNLEHVRTLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWIQNQQSDAVLSGTTGSNSIVPIVPNLRRVSSTRSGSFRSNLNDHDVEDLEMLLEAYFVQLDGTRNKILSGLFSSWNLRCVST >itb10g22070.t1 pep chromosome:ASM357664v1:10:27033043:27037039:-1 gene:itb10g22070 transcript:itb10g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKFTLRRRKKGAAPVIDNGAANLIASSAATSKAVKKKAGGTRLWMRFDRAGQSELIECDKGAIIKRASVPARDLRILGPIFSHSSNILAREKAIVVNLEFIKAIVTAEEVLLLDPLCQEVLPFVAQLRQQLPLSNHSLTAEASVVQPDDFQFPVLEAVEGLQAELPFEFHVLEIALEIVCTYLDSSVVELERDAYPVLDELAKSVSTRNLEHVRTLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWIQNQQSDAVLSGTTGSNSIVPIVPNLRRVSSTRSGSFRSNLNDHDVEDLEMLLEAYFVQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAIAVETLIAGWFGMNIQCTLYNIHGIFWPFVAGITVGCTIVFFVILGYARWKKLLS >itb01g00190.t1 pep chromosome:ASM357664v1:1:111890:117626:1 gene:itb01g00190 transcript:itb01g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFGLARELSDLQKLRSQYRPELPPCLQGTAVRVEFGDATTAADPSGGHTISRLFPHTFGQPLAHFLRASAKVPDAQIITEHPAIRVGIVFCGRQSPGGHNAIWGLYDAIKVHNPNSTLLGFLGGSEGLFAQKTLEITDDILATYKNQGGYDLLGRTKDQIRSTEQVNAALTACTSLKLDGLVIVGGVTSNTDAAYLAEAFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILAEEVAASKLTLFDITKQICDAVQARAEQDKYHGVILLPEGLIESIPEVYALLKEIHGLLRQGVSADNIFSQLSPWASALFEFMPPFIRKQLLLHPESDDSAQLSQIETEKLLAFLVEEEMNKRTKEGKYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHILAAGLNGYLATLTNLKNPVNKWRCGAAPITAMMTVRRYGHGPAADSFGKPALHPATVDLRGKAYELLRQNATKFLLDDVYRNPGPLQFDGPGADAKALTLCVEDQDYMGRIKELQEYLDKVRTIVKPGCSQDVLKAALSAMASVTNILSVMSNGGNTNF >itb01g19320.t1 pep chromosome:ASM357664v1:1:25291719:25292151:-1 gene:itb01g19320 transcript:itb01g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPLSLAFTYLSAPLISMEGEQQWQAGNPAHLRQRRPHLVATPASFLRRKASSSLKNRSIDAPSLRSSDQCLECSQRRTHQHHPLSPMNQLSPSS >itb13g10300.t1 pep chromosome:ASM357664v1:13:14872226:14875333:1 gene:itb13g10300 transcript:itb13g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTRQFKQLRNVPGNIDGGNQNGMVDSPSSDDFVSLPVVLPPRAQAEPLGNDSDDGLGGEEVDLFHPMKIRGTLKHLVSSMKLFNACQKRAMRELTMSHPHIQLCLYV >itb09g13600.t1 pep chromosome:ASM357664v1:9:8889899:8890734:-1 gene:itb09g13600 transcript:itb09g13600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFLSAVLAGYFAWRTVRSSSELDEFSVSDDSSSTFQHKAAAEDKQERDLLAMVQNWLCVFVDMASGKYLWRNIRAMKSGDKVGAE >itb13g02170.t1 pep chromosome:ASM357664v1:13:1990610:1991458:1 gene:itb13g02170 transcript:itb13g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIMKRKHSSKPSTRVSLQTREDKGLAQRSTTKMVADVFYIPKYNPAKPLGEDSHFIFSEAQTIGVADGVGGWAKKGIDAGAYARELMYNAIQSVRHQRNTVGSVDPMTALDEAYADTDVDGSSTACILTLVDDCAIAVNVGDSGFAVLRGGRTVFRSPPQQTRFNCPVQLGKTRGDPTAAEKFEVKVKPGDIIVMATDGLFDNVYEFELLDLVYGADDKKSPLKKPPMNLARKIARYALKNSLNADFLSPFSEGYRIAGINEHAIGGKYDDITVIVAYIQ >itb11g06470.t1 pep chromosome:ASM357664v1:11:3871109:3874810:1 gene:itb11g06470 transcript:itb11g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-1 [Source:Projected from Arabidopsis thaliana (AT3G48530) UniProtKB/Swiss-Prot;Acc:Q8LBB2] MQMIRESEEVIEEVSQVVTPRSPEAKLGMQVEDLWDVQEPQLSPTEKLNACFESIPVSDFPPAASNQVVEIKSDASLGEAVKLLSQHKLLSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSEKMEGFTKWDEAMAQSDLPPAVAAAASGICSPRFRSLHPESPTATSGSFFEALTSSDLYKNTKVLDIAGSFRWAPFLALQKSNSFLTMLLLLSKYRMKSVPVVDLGEGKIDNIITQSSVLHMIEECADLHWFESWGSKKISELGLPLMKASSIVKVNEDEPVLQAFKLMRQKGIGGLPVVESGGTKAIGNISIRDIQFLLNAPEIYRDFRSITAKNFLTAIRRYLAENSKESPMLSGMVTCRRDDSLKSVITKLDSLKIHRIYVVDNAGNLEGIITLRDIISKLVHEPRGYFGDFFDGVLPLPPNTRV >itb02g03450.t1 pep chromosome:ASM357664v1:2:1993835:1996216:-1 gene:itb02g03450 transcript:itb02g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLDGGRAGRAPEKSNFSQTCSLLGQFLKGKGSRGDLGLEIRGKLEAAGKSDMSNAATTIDFLANMEKSSQIPELDLNSTDPLPEQDDAMGSVRTMEENANEASTSKEAPREPKTAPLTIFYSGKIMVFDDFPADKARAVMLLASKVSHQGTLGISQTAGAEFQPLAANSVNPPRRTASPAPPSSPQVSSPAGSNVSDLPIARRSSLHRFLEKRKDRATARAPYQLHNPAAAPSAKNEGSSSKNEASSSKAEGSSSRTEDELDLNFKL >itb02g03450.t2 pep chromosome:ASM357664v1:2:1993907:1995215:-1 gene:itb02g03450 transcript:itb02g03450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTFIVSYKQCSVLRDGSDIMCYSIYSKEAPREPKTAPLTIFYSGKIMVFDDFPADKARAVMLLASKVSHQGTLGISQTAGAEFQPLAANSVNPPRRTASPAPPSSPQVSSPAGSNVSDLPIARRSSLHRFLEKRKDRATARAPYQLHNPAAAPSAKNEGSSSKNEASSSKAEGSSSRTEDELDLNFKL >itb02g03450.t3 pep chromosome:ASM357664v1:2:1993835:1996216:-1 gene:itb02g03450 transcript:itb02g03450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLDGGRAGRAPEKSNFSQTCSLLGQFLKGKGSRGDLGLEIRGKLEAAGKSDMSNAATTIDFLANMEKSSQIPELDLNSTDPLPEQDDAMGSVRTMEENANEASTSKEAPREPKTAPLTIFYSGKIMVFDDFPADKARAVMLLASKVSHQGTLGISQTAGAEFQPLAANSVNPPRRTASPAPPSSPQVSSPAGSNVSGNFPANR >itb06g21890.t1 pep chromosome:ASM357664v1:6:24396060:24399986:1 gene:itb06g21890 transcript:itb06g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLEESTAPLNSSEDEEYRSLLSSSPPSTLPLAEGKAEKDDDDEAGIEYESREEKIAAIDIESETADGVDCSTVPPFSWRQLWRFTGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATVMGLLIQLLSARVGVATGRHLAELCREEYPYWAGLLLWFMAELALIGADIQEVIGSAIAIKILSRGVIPLWAGVLITASDCFFILVLENYGVRKLEAVFAVLISTMALSFAWMFGDARPSGKELLTGLLIPRLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSREINPKKKGQVQEALNYYTIESSVALLVSFLINLFVTTVFAKGFYGSKQANNIGLVNAGQYLQEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWLRALITRSCAILPTIVVALIFHKTESSLDVLNEWLNVLQSIQIPFALIPLLTLVSKEEVMGTFRIRPIIEKIAWTVAILVMVINGYLLLDFFVLEVNGLLFAFLVFAGTAGYIAFILYLILHGGGPVANWFSLWLNKGYNYAGNGI >itb02g16230.t1 pep chromosome:ASM357664v1:2:12074429:12078342:1 gene:itb02g16230 transcript:itb02g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPPTTLPVLSTAAHHPSPTAAHRPSPIADNTAGAAARLSDRRSLLCRTHCPSPALPPPLLAWPTAVSFSAEPTVVAVAFFAYRRRFPHRAALVVVGYASLPLSTPASCSVSFFSSG >itb02g16230.t3 pep chromosome:ASM357664v1:2:12074429:12078342:1 gene:itb02g16230 transcript:itb02g16230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPPTTLPVLSTAAHHPSPTAAHRPSPIADNTAGAAARLSDRRSLLCRTHCPSPALPPPLLAWPTAVSFSAEPTVVAVAFFAYRRRFPHRAALVVVGYASLPLSTPASCSVKDVFLPWYNAYRFLVQNVKRFEVDGLGAFTPFDKKKLFNLL >itb02g16230.t4 pep chromosome:ASM357664v1:2:12074429:12078342:1 gene:itb02g16230 transcript:itb02g16230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPPTTLPVLSTAAHHPSPTAAHRPSPIADNTAGAAARLSDRRSLLCRTHCPSPALPPPLLAWPTAVSFSAEPTVVAVAFFAYRRRFPHRAALVVVGYASLPLSTPASCSVKDVFLPWYNAYRFLVQNVKRFEVDGLGAFTPFDKKKLFNLL >itb02g16230.t2 pep chromosome:ASM357664v1:2:12074429:12078342:1 gene:itb02g16230 transcript:itb02g16230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPPTTLPVLSTAAHHPSPTAAHRPSPIADNTAGAAARLSDRRSLLCRTHCPSPALPPPLLAWPTAVSFSAEPTVVAVAFFAYRRRFPHRAALVVVGYASLPLSTPASCSVSFFSSG >itb13g18130.t1 pep chromosome:ASM357664v1:13:25139355:25140932:-1 gene:itb13g18130 transcript:itb13g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDELQVLNALDVAKAQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAPKPGTLPPNVSAAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGITLMIMVICSLASGLSCGHTPKAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVAIVFSAAFKSAFPAPTYHENASLSTVPQADFLWRIVLMFGALPAALTYYSRMKMPETARYTALVAKNAKRAASDMSKVLQVEIEAEPEKIGNPGNEFGLFSMEFLRRHGFHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNAIEEVYRISRAQTLIALCSTVPGYWFTVALIDKIGRFAIQLMGFFFMTVFMFALAIPYNHWTQKEHRIGGFVAMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYGAQSTNPKKTEAGYPPGIGVKNSLIVLGCINFLGMLFTLLVPESKGKSLEELSKENLGEERDSTEK >itb01g10410.t2 pep chromosome:ASM357664v1:1:8681834:8686623:1 gene:itb01g10410 transcript:itb01g10410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHGKLFVGGISWDTTEERLRAYFQTFGEVVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPREDQHTNRNNGIIQSPQSSIRTKKIFVGGLASSVTESDFKQYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLAGYNYGLNRVSNFLNAYSQGYNLGSMGSYGVRMDRFSPTTLGRSGYSSLNASNYNIGPTLDPALSSNYGGSGSFSSNVGFGHDLNSFYSGNSNRYISPMGYALGRVGSGSMLTSAGRGMLDNESAHFSTNTTNASEFVGSVGGNTGLSAALGGLGTIWGSSPISGQGGRNGSSASGNINYNNGAGSFAGVGGYGQNSVNSPAATSLFPARKDVHTGDFGNLYGTGSSSLYEDPTWRSLSPELDHSTSFSYGLGSMPSDVIPNNSLGYVGSL >itb01g10410.t1 pep chromosome:ASM357664v1:1:8681834:8686623:1 gene:itb01g10410 transcript:itb01g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHGKLFVGGISWDTTEERLRAYFQTFGEVVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPREDQHTNRNNGIIQSPQSSIRTKKIFVGGLASSVTESDFKQYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLAGYNYGLNRVSNFLNAYSQGYNLGSMGSYGVRMDRFSPTTLGRSGYSSLNASNYNIGPTLDPALSSNYGGSGSFSSNVGFGHDLNSFYSGNSNRYISPMGYALGRVGSGSMLTSAGRGMLDNESAHFSTNTTNASEFVGSVGGNTGLSAALGGLGTIWGSSPISGQGGRNGSSASGNINYNNGAGSFAGVGGYGQNSVNSPAATSLFPARKDVHTGDFGNLYGTGSSSLYEDPTWRSLSPELDHSTSFSYGLGSMPSDVIPNNSLGYVGSL >itb01g10410.t4 pep chromosome:ASM357664v1:1:8682127:8686623:1 gene:itb01g10410 transcript:itb01g10410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHGKLFVGGISWDTTEERLRAYFQTFGEVVEAVIMKDRTTGRARGFGFVVFADPSVAERVVKEKHMIDGRTVEAKKAVPREDQHTNRNNGIIQSPQSSIRTKKIFVGGLASSVTESDFKQYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLAGYNYGLNRVSNFLNAYSQGYNLGSMGSYGVRMDRFSPTTLGRSGYSSLNASNYNIGPTLDPALSSNYGGSGSFSSNVGFGHDLNSFYSGNSNRYISPMGYALGRVGSGSMLTSAGRGMLDNESAHFSTNTTNASEFVGSVGGNTGLSAALGGLGTIWGSSPISGQGGRNGSSASGNINYNNGAGSFAGVGGYGQNSVNSPAATSLFPARKDVHTGDFGNLYGTGSSSLYEDPTWRSLSPELDHSTSFSYGLGSMPSDVIPNNSLGYVGSL >itb01g10410.t3 pep chromosome:ASM357664v1:1:8681912:8686426:1 gene:itb01g10410 transcript:itb01g10410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLAGYNYGLNRVSNFLNAYSQGYNLGSMGSYGVRMDRFSPTTLGRSGYSSLNASNYNIGPTLDPALSSNYGGSGSFSSNVGFGHDLNSFYSGNSNRYISPMGYALGRVGSGSMLTSAGRGMLDNESAHFSTNTTNASEFVGSVGGNTGLSAALGGLGTIWGSSPISGQGGRNGSSASGNINYNNGAGSFAGVGGYGQNSVNSPAATSLFPARKDVHTGDFGNLYGTGSSSLYEDPTWRSLSPELDHSTSFSYGLGSMPSDVIPNNSLGYVGSL >itb10g00100.t2 pep chromosome:ASM357664v1:10:65506:69245:1 gene:itb10g00100 transcript:itb10g00100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPFLLESNLKWNHHLLQPPSPIRFLYGVPSLSLSSSTVTVRRLHRPSSKFNLKCLFANSKSSTQEDHNSAKLKLLNNNNNNGDPFEVVARGIVNALKALRKPAVAALLVGLFLMYDPNSALAASGGRVGGRSFSSSSSSSSRSYSTRIAGPSFSYSVPYYAPSPFGFGGGGGVYVGPAVGVGSSFFLIMMSFAAFILVSGFLSDRSEGSVLTATDKTTVLKLQVGLLGLGRSLQRDLNRIAQVADTSTPEGLSYVLTETTLALLRHPDYCISAYSSVPDSPVLRGSLRA >itb10g00100.t1 pep chromosome:ASM357664v1:10:65506:71749:1 gene:itb10g00100 transcript:itb10g00100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPFLLESNLKWNHHLLQPPSPIRFLYGVPSLSLSSSTVTVRRLHRPSSKFNLKCLFANSKSSTQEDHNSAKLKLLNNNNNNGDPFEVVARGIVNALKALRKPAVAALLVGLFLMYDPNSALAASGGRVGGRSFSSSSSSSSRSYSTRIAGPSFSYSVPYYAPSPFGFGGGGGVYVGPAVGVGSSFFLIMMSFAAFILVSGFLSDRSEGSVLTATDKTTVLKLQVGLLGLGRSLQRDLNRIAQVADTSTPEGLSYVLTETTLALLRHPDYCISAYSSVDVKKSMEEGEKRFNQLSIEERGKFDEETLVNVNNIKRQSSMSQRANAFSNEYIVVTILVAAEGAYKLPTINGSGDLKEALQNVASIPSSRTLAVEVLWTPQNENDTLSERQLLEDYPLLRPL >itb10g00100.t3 pep chromosome:ASM357664v1:10:65506:70974:1 gene:itb10g00100 transcript:itb10g00100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPFLLESNLKWNHHLLQPPSPIRFLYGVPSLSLSSSTVTVRRLHRPSSKFNLKCLFANSKSSTQEDHNSAKLKLLNNNNNNGDPFEVVARGIVNALKALRKPAVAALLVGLFLMYDPNSALAASGGRVGGRSFSSSSSSSSRSYSTRIAGPSFSYSVPYYAPSPFGFGGGGGVYVGPAVGVGSSFFLIMMSFAAFILVSGFLSDRSEGSVLTATDKTTVLKLQVGLLGLGRSLQRDLNRIAQVADTSTPEGLSYVLTETTLALLRHPDYCISAYSSVDVKKSMEEGEKRFNQLSIEERGKFDEETLVNVNNIKRQSSMSQRANAFSNEYIVVRIKILNILFNCREGLLEGK >itb15g09500.t1 pep chromosome:ASM357664v1:15:6768065:6770412:-1 gene:itb15g09500 transcript:itb15g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFTYFSSIFALVALLLISLSWRRARKGSRSAPEAGGAWPIVGHLHLLRAAVPLVRTLSALADKYGPVFMIRLGKPRALVVSNWEAVKDCFATNDKLLAARPTTSQGKYLGYDYAVFSFSTYNSYWRKIRKLVVVELLSNRRLEKLKHVWVTELQANIKELYTTCSISVGSNINPSKNKVINMSQWFEHLTLNLIVKVVAGRRYEYRSDGLXLHYL >itb09g06140.t3 pep chromosome:ASM357664v1:9:3525713:3529398:1 gene:itb09g06140 transcript:itb09g06140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHIIFNLQVKYQQKQLEMSHLLEQVQEGSLKEVVIIDSMQRFGMDHYFEDQITQTLTQQYKHSQTLLYSSDHDLYAASLRFQLLRQHGFHVPADVFNRFKGENLKFKNALSEDIRGLMSLHEASHLCIEDDKDILDEAFLFSTNFLTARLPHLDDFHASMVQNTLNYPYHKSLARFTKINYLKTHNLEKDWEKLLADLTRMDFNVMQCIYREEIHQVFKWWKELGLSEELKLARNQPLKWYLWSVAMVTNPTLSRQRIEITKPISLVYIVDDIFDVYGTLDELILFTEAINRWEVADKLPSYMKMCFKVIQDTTHEISNVVYQEFGWNPIDHLKKAWASLCNAFLTEAKWFASGHSPRAEEYLKNGITSSGIPMVLTNLFFLLGYGESTGTTDVEGIISSVAAILRLLDDLGTAEDEEQEGNDGSYMEYYMKEQQGWSLSDGRQHVLDKVSKEWKLLNKHCLSPTTIPTSFKTACLNVARLVPMMYAYNDNHRLPVLEEHVKFMFSHNKEDLMW >itb09g06140.t1 pep chromosome:ASM357664v1:9:3525462:3529459:1 gene:itb09g06140 transcript:itb09g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHVLSNIIKPAQNPVAVPRESTAPNYPLNAPNMVKYQQKQLEMSHLLEQVQEGSLKEVVIIDSMQRFGMDHYFEDQITQTLTQQYKHSQTLLYSSDHDLYAASLRFQLLRQHGFHVPADVFNRFKGENLKFKNALSEDIRGLMSLHEASHLCIEDDKDILDEAFLFSTNFLTARLPHLDDFHASMVQNTLNYPYHKSLARFTKINYLKTHNLEKDWEKLLADLTRMDFNVMQCIYREEIHQVFKWWKELGLSEELKLARNQPLKWYLWSVAMVTNPTLSRQRIEITKPISLVYIVDDIFDVYGTLDELILFTEAINRWEVADKLPSYMKMCFKVIQDTTHEISNVVYQEFGWNPIDHLKKAWASLCNAFLTEAKWFASGHSPRAEEYLKNGITSSGIPMVLTNLFFLLGYGESTGTTDVEGIISSVAAILRLLDDLGTAEDEEQEGNDGSYMEYYMKEQQGWSLSDGRQHVLDKVSKEWKLLNKHCLSPTTIPTSFKTACLNVARLVPMMYAYNDNHRLPVLEEHVKFMFSHNKEDLMW >itb09g06140.t2 pep chromosome:ASM357664v1:9:3525472:3528820:1 gene:itb09g06140 transcript:itb09g06140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHVLSNIIKPAQNPVAVPRESTAPNYPLNAPNMVKYQQKQLEMSHLLEQVQEGSLKEVVIIDSMQRFGMDHYFEDQITQTLTQQYKHSQTLLYSSDHDLYAASLRFQLLRQHGFHVPADVFNRFKGENLKFKNALSEDIRGLMSLHEASHLCIEDDKDILDEAFLFSTNFLTARLPHLDDFHASMVQNTLNYPYHKSLARFTKINYLKTHNLEKDWEKLLADLTRMDFNVMQCIYREEIHQVFKWWKELGLSEELKLARNQPLKWYLWSVAMVTNPTLSRQRIEITKPISLVYIVDDIFDVYGTLDELILFTEAINRWEVADKLPSYMKMCFKVIQDTTHEISNVVYQEFGWNPIDHLKKAWASLCNAFLTEAKWFASGHSPRAEEYLKNGITSSGIPMVLTNLFFLLGYGESTGTTDVEGIISSVAAILRLLDDLGTAEVH >itb13g13320.t1 pep chromosome:ASM357664v1:13:19814059:19816252:-1 gene:itb13g13320 transcript:itb13g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPWAEPQAEVELGLNLGGQGSRTGLHPGASGLNEPVLLRSTRYPCCKDSEEVKKGPWTPEEDQKLSDYVSKNGHGNWQMLPKKAGLNRCGKSCRLRWTNYLRPDIKRGGFSQEEEQIIINLHSSLGNNIYTQEPPNLPSPQKGGVGCNNLDCAGPRSWALAWYRRPRPMPRPWAEPQAEVELGLNLGGQGSRTGLHPGASGLNEPVLLRSTRSFVPGPSPIYPLV >itb04g31110.t1 pep chromosome:ASM357664v1:4:34065571:34066429:-1 gene:itb04g31110 transcript:itb04g31110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSAAAVRRPRRFKLLTCIAIFLLGVIVVVGLTILIAWLVIRPRRLVYAVENATIQNYTLTSNNRLTATFNFTLEAFNPNTHAAVYYDDIEAQLFYDDQLIADHGAEPFFQPRQNVTHLDLSLPAKEVQVSANVARDFKVERTNGNVELELRVYSKVRLKVGVWKSGHRKLKVICSLVVFFSSKGFDNSYCDVYM >itb14g02710.t1 pep chromosome:ASM357664v1:14:2331624:2333814:-1 gene:itb14g02710 transcript:itb14g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MNQAVQKNTLYVGGLAEEVNEAILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDASAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHRAAMQTAEELHRKKMAEEREGEKEDGGDIKDDPMAQAEAEVLRENESS >itb14g18670.t1 pep chromosome:ASM357664v1:14:21617571:21619087:-1 gene:itb14g18670 transcript:itb14g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPCSNTDLLFSFYLPILSAIFLCLKRSEGMEEVSLELLKKKMEDFAKERDWEKYHIPRNLLLAMVGEVGELSEIFQWKGEVAKGLPDWDEAEKVHLGEELSDVLLYLIRLSDMCGIDLGKAALRKVQLNALKYPAPTHHSTTKADHTAA >itb08g04420.t1 pep chromosome:ASM357664v1:8:3500313:3503427:1 gene:itb08g04420 transcript:itb08g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSSSSEFMAEVRCRCGYLAPIKMSWSYANPGKRYRACPRYGGLGSCRYFEWMDYDVSERVSNVLRGLLKKADKYEKEIEKLQFTIEKKDHELKKKIWESNLKFLYGFGIGMIDIEDSKLRSRKVMSSNNSSSSEFMAEVRCRCGYLAPIKMSWSYANPGKRYRACPRYGGLGSCRYFEWMDYDVSERVSNVLRGLLKKADKYEKEIEKLQFTIEKKDHELKKKIWESNLKFLYGFGIGMVIGIRHMGIWFWYTFGSWFLYLNGISIATIYGFSTTRSIFLFFSDLLGCGLYFGGNGDGIPVLCQNS >itb06g10540.t1 pep chromosome:ASM357664v1:6:14995620:14997576:1 gene:itb06g10540 transcript:itb06g10540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIAAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSAGGGKKAFTGI >itb14g00820.t2 pep chromosome:ASM357664v1:14:583201:584569:1 gene:itb14g00820 transcript:itb14g00820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGSDYRDKRARENSDYFPFECSKPKYKRRNVSARRDFPKGIVQFVSEPEELEAMESGNPVGFYRGSSVKSNHVVKGKSESKPEELGAVESGVLSEPINELPEKIVVARRKVYARRDFPEAFYANSSRFVKVDREESESEPEELEVIGSYHDPKAFCANSSHFVKVDREDSESKPQELEAIDSDGDDDPEPFYANSSHFVKVDREDSEAEPEELEAIDSDDDPEGFFARCSQFMNVDREETQSDPEELEAINSDDDPKAFRANVDREEIESDPEELEAIDSDDDDLATTNPNHVAEVEKTEIPCELEEIDGSSNAKPICSADKTEPEIPKDTQNQEPVNQVVGSTEPLGSSSTQEKRGDYLQHLLASLDQLAATLMQMKQQGAFKKKSADV >itb14g00820.t1 pep chromosome:ASM357664v1:14:582866:584584:1 gene:itb14g00820 transcript:itb14g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGSDYRDKRARENSDYFPFECSKPKYKRRNVSARRDFPKGIVQFVSEPEELEAMESGNPVGFYRGSSVKSNHVVKGKSESKPEELGAVESGVLSEPINELPEKIVVARRKVYARRDFPEAFYANSSRFVKVDREESESEPEELEVIGSYHDPKAFCANSSHFVKVDREDSESKPQELEAIDSDGDDDPEPFYANSSHFVKVDREDSEAEPEELEAIDSDDDPEGFFARCSQFMNVDREETQSDPEELEAINSDDDPKAFRANVDREEIESDPEELEAIDSDDDDLATTNPNHVAEVEKTEIPCELEEIDGSSNAKPICSADKTEPEIPKDTQNQEPVNQVVGSTEPLGSSSTQEKRGDYLQHLLASLDQLAATLMQMKQQGAFKKKSADV >itb06g19650.t1 pep chromosome:ASM357664v1:6:22879634:22888531:1 gene:itb06g19650 transcript:itb06g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFCLNSGQPLLLYTLLLCILVEVQPLSPDGQALVNFKTAIISSDDVLHQWRPEDPDPCRWKGVKCDPKSKRVTSLSLPNHKLSGSISPDIGKLQQLQFLSLHDNNFYGEIPPQLGNCTMLKSLFLQGNYLSGLIPDQLGNFPDLENMDLSSNSLSGNVPQSLGKLDKLISFNVSNNFLTGQIPSDGRLANFPSDSFLGNRKLCGQQINALCKSSAGGSMPFSQPPISAQNQNKKKYSERMLISASATVGALLLVALMCFWGCFLYKRLGKNDGRSLAMDVGAGASIVMFHGDLPYSSKDIIKKLETLNEEHIIGSGGFGMVYKLAMDDGKVFALKRIVKMNEGLDRFFERELEILGSIKHRHLVNLRGYCYSPTSKLLIYDFLPGGSLDEVLHEKSEQLDWDTRVTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFAACVSDFGLAKLLQDEESHKTTIVAGTFGYLAPEYMQSGRATEKSDVYSFGVLVLEILSGSRPTDASFIEKGLNIVGWLNFLVTENRPQDIVDPLCEGMQPESLDALLSIAIQCVSSNPEDRPTMHRVLQKLESEVMTPCPSDFYDSNSD >itb07g01090.t2 pep chromosome:ASM357664v1:7:684541:688861:-1 gene:itb07g01090 transcript:itb07g01090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSKIEEDKALQLCRERKKYVRQALDGRCFLAATHIAYIEALKIAGTDLRKFVEPEASIYTSTNVIPQPLALPGGKSPSQFSFSSPSISQRVDASQNIFPSPSPPTSARFHANHMKFRGTFSRKVEEIPVVPVTVSVTSNNPQSPGKPEASLSETPPVSLEAPEWDFFGLDHGHASGNHFSPKETRENATETGHYGENHGASLLEDEEQNFSSPRMVESHESDDEFDEPPTETLVRSFENVNRTTENAASEVSEAHSLKGESNLPNLSPLRRQSSGVVGNGVTTTPGRENNIENKVAPKDFLSSMKDIELLFIKASDSGREVPRMLEANKFHFRPIFPGRESGLISKTLLKSCFSCSEDPSQVPEEPPHASVKYLTWHRTTSSRSSSSRNPLGVNSADDAEDISNNLFDNFCMNSGSHASTLDRLFAWEKKLYDEVKACEMVRSIYDSRRKVLRQLESKGESSRKIDKVRAVVKDLHSRIGVAIHRINSISRKIEELRDKELQPQLEELIEGLRRMWETMLDCHKLQLHVVSIAHSPGNIKVSINSDSHRQTIINLENELISLSSSFMKWIGAQKTYVEAINTWLLKCVFFEDKSSKKKRSLLIPARKAVGPPIYVICSVWMDMFESLPTKDVVDATKSLAAEVTRFLPRHEKNQAKGANPPHHENGGDPGITPSREDASGDFIAGYDRFQTSLATFLAQLTNFAESSVKMFTHLQKATQDHKSLYARAKVMSHENSIPSHENHEMASNA >itb07g01090.t1 pep chromosome:ASM357664v1:7:684538:689278:-1 gene:itb07g01090 transcript:itb07g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSKIEEDKALQLCRERKKYVRQALDGRCFLAATHIAYIEALKIAGTDLRKFVEPEASIYTSTNVIPQPLALPGGKSPSQFSFSSPSISQRVDASQNIFPSPSPPTSARFHANHMKFRGTFSRKVEEIPVVPVTVSVTSNNPQSPGKPEASLSETPPVSLEAPEWDFFGLDHGHASGNHFSPKETRENATETGHYGENHGASLLEDEEQNFSSPRMVESHESDDEFDEPPTETLVRSFENVNRTTENAASEVSEAHSLKGESNLPNLSPLRRQSSGVVGNGVTTTPGRENNIENKVAPKDFLSSMKDIELLFIKASDSGREVPRMLEANKFHFRPIFPGRESGLISKTLLKSCFSCSEDPSQVPEEPPHASVKYLTWHRTTSSRSSSSRNPLGVNSADDAEDISNNLFDNFCMNSGSHASTLDRLFAWEKKLYDEVKACEMVRSIYDSRRKVLRQLESKGESSRKIDKVRAVVKDLHSRIGVAIHRINSISRKIEELRDKELQPQLEELIEGLRRMWETMLDCHKLQLHVVSIAHSPGNIKVSINSDSHRQTIINLENELISLSSSFMKWIGAQKTYVEAINTWLLKCVFFEDKSSKKKRSLLIPARKAVGPPIYVICSVWMDMFESLPTKDVVDATKSLAAEVTRFLPRHEKNQAKGANPPHHENGGDPGITPSREDASGDFIAGYDRFQTSLATFLAQLTNFAESSVKMFTHLQKATQDHKSLYARAKVMSHENSIPSHENHEMASNA >itb10g15650.t1 pep chromosome:ASM357664v1:10:21862389:21865787:-1 gene:itb10g15650 transcript:itb10g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSSASSNATNIRGVPTHGGRYVRYNLYGNLFEVSSKYVPPIRPIGRGAYGLVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENIVAIKDIIRPPKKDAFNDVYIVYELMDTDLHQIIRSDQPLTNDHCQYFMYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDVWSVGCIFGEIMTREPLFPGKDYVHQLRLITELLGSPDDASLRFLRSDNARRYVKQLPQYPRQQFKARFPNMSPLAIDLLEKMLVFDPNRRITVDEALSHPFLSSLHDINDEPVCPRPFWFDFEEPSFNEDNIKELIWKESVKFNPHPVE >itb06g24340.t1 pep chromosome:ASM357664v1:6:25947255:25950677:1 gene:itb06g24340 transcript:itb06g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASAQLSKPIFSKKPFSQKPLFSLPATSNVRPRQSAIRCSVAVAPTTAAAPAAAKVKSVKARQIIDSRGNPTVEVDLVTEGGNDALYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLNAVKNINEILGPKLVGVDIRNQADVDALMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGAATFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGKYDLDFKNQPNDGAHVLTPESLCELYKQFVKDFPIVSIEDPFDQDDWSSWAALQSAVDIQLVGDDLLVTNPKRIAEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRAP >itb09g20040.t1 pep chromosome:ASM357664v1:9:16664452:16668643:-1 gene:itb09g20040 transcript:itb09g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYRGRGRGRGGHRHPQPQPQPQPQPPLPPPAPKNKKFKLKLAIFLCFLLGVALKSFFLSVPSLETPEDFSSPFLRNDDEFRRENAKFYTLRSVKLKLGLVFTHLIVDPYQDLMINFALSLKEIGHEFQVLSLEDGPVSSVWRNEGISVSTIDTSGSLKVDWLNYDAVFLNSFEAMDILSCLMPEPFKSVPVLWTVSETALAGRLKKYNSTGQKMLVDSWRKAFRRANAVVFPNYDLAIAYSICDTGNYFVIPEFPIQAEEDDYSVSNSKIYAKMGCGPQHLIIAIVGSQRQYKYLWVEQALVLQALMPVVQAIENLKNPRPLKIIVFENQTSFSWEADFTFLLNQTEVVKQVAVSDELDAERILRLSDIVIYASVHEEQSFPYTLLKAMLFGKPIVAPDLLIIKKHVVDGVDAFLYPKEDIKVLTDVMARVVSNGKLSRLAQDVAFSGEHIALNLMVSKSIEGYALILENIIPPGVEAKSLAVRVPKFLVPKWKWLLSETVNEYVIRSFKTHSFLNKFEKQFNPIQTESSMDEMDHIIMNHFWDEEKDLQIANSIKEAEEEELEGRNVQRKRGTWEEISKLLKKAERFRKDLHEREYGELERKGQPLCIYEPYYGEGTWPFLHNSSLYRGLGLSTKGRRPEFDDIDAPSRLPLLRDPYYRDVLGEYGGFFAIANRTGRIHKHPWIGFQSWRATARKESLSKIAETSLLEAIEALRYGDALFFWACMDRDPRNPQRQDFWSFCDAINAGNCQDAFSKAMKQMYGINLQNFSAVPPMPAVGGNWSVRHNWVLPTRSFLEFAMFSRMFVDALDSQLYDQHHQSGYCYLSVRKEDKHCYSRMLELVINVWAYHSARRMVYVEPKRGRMREEHKLETRRGKMWVKWFHYSTLKQMDEELAEVADIDPPGKRWLWPSTGEVVWQGILEREKLQMEKERELRKKQKEDKRSRRRKRGHREHFQEPLGGKYVKPPPETKFNT >itb15g03060.t1 pep chromosome:ASM357664v1:15:1930089:1933322:1 gene:itb15g03060 transcript:itb15g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVMNLHAPFSRYTSSFLPPSPFIVSARVFPGNYNLRNSKKNSGICRAMVQQTVQGGASASFAKEMERLSAKESLLLAFKDAGGFEALVTGKVTDMQLIDVNERIIGLERLNPTPRPTTSPYLEGRWNFEWRFPSSLANLSKLDVLIKDGYANVTAYLKYLNSIESNFILSAKLSVEGPLRMKEEYVEGILESPKVNEETVPQQLRGAFGQAVNTVQQLPLPIRDAVSSGLKIPLGGSFQRLFMVSYLDDEILIIRDTTGIPEVLTRLASAPEPEPITEYES >itb07g00530.t1 pep chromosome:ASM357664v1:7:369030:371755:1 gene:itb07g00530 transcript:itb07g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPTAKSIHGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTFGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNEDYKYVRVLGAFYLRLTGTDIDIYRYLEPLYNDYRKLRLKSSEGKFTLTHVDEYIDELLTSDYSCDIALPRIKKRWTLESLAQLEPRRSALEDDFEEEEEKDEDEQLTTGLDDGHDKDYYHGHSPTRERDRDRRRDRDSHRYRDRDYNRDRDYDRDYDRDRDYDRERGRGRDRDRDRDRDRDRDRERDRERHRLREDKDYGRDRDRERDREGRERDRRDRGRRRSHSRSRSRDRKDRDRDDERRKRHARSSASPTRDEPKKKKKAEKETREDGTDHPDPEIAEANRLRASLGLKPLK >itb05g00800.t1 pep chromosome:ASM357664v1:5:684992:686795:1 gene:itb05g00800 transcript:itb05g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIQTLQISFLSLLCFTFLLHTALSYAPFTAVLLRHHLPFCLSCAACALICLVHHLRSRPYPVFLLNYACYKPPPHRKCSYQVAESFVRRNERLSDETIEFMRNIYVKSGLGDETYAPPFIFDGDCAPTLKCARQEAEEGIVSSVDCLLAKTLIDPQCIDAVIVTCGSFSPSPSLSSFIVNRYNIKPDVKTYDLSGMGCSSGVISVDFAARILRSRRKVQNALVVATESISLNWYTGANRSMLVTNCIFRVGCTAAVITNDPAQRRVAKMELVDSLRTHHGADDDAYRAAFQEEDGDGLTGVSLTKDLIRVAGEGLRKHITILAPRVLPLNQLGRYLVAALTTALSPGGESKRAVPDLTTAFEHMCIHTGGKAVIEQVARVLRLSEDVTEPARMSLNRFGNTSSSLVFYELAYFEAKKRVKKGDKMWMIAFGTGFKVGSLVWKWIQDSTQESDNPWNDCIQSYPLKAW >itb02g13330.t1 pep chromosome:ASM357664v1:2:9342460:9347217:1 gene:itb02g13330 transcript:itb02g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQPSTLSSFIDQPVVPGDVILDLSSLANQTIKLGGGLRQDCDTVSVMKAGILRYTKPNKYWVESSQKRYVPCAGDSVLGIVVDTKPDNFLVDIKGSMLAFLPVLAFEGGTRRNIPKFEAGTLIYARVVKANTGMNPELSCMDASGKAAEFGPLKEGYMFESSTGLSRILLSSPTCPVLEALGKKLSFEIAIGLNGRNWVNAPSPATTILVSNAIMNSESLSAVQQKIMVEKLLHRVQ >itb05g01170.t1 pep chromosome:ASM357664v1:5:979784:987055:1 gene:itb05g01170 transcript:itb05g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDINCSQNQELIGSYFLELWRLNSKAAAARFESAGEAMEAVQSSAVEEGDEEEREEPPTELDTLNSSGGFSVVGPDKLSVQYPNVNLHGHDVGVVQANRPAPSKRLVYYFEIYVKNAGAKGQIAIGFTTSGFKMCRQPGWEANSYGYHGDDGLLYRGHGKGEVFGPTYTTGDTVGGGINYAAQELFFTKNGAVVGTVCKDIKGPLFPTIAVHSQNEEVTVNFGKDPFVFDLKAYEAQERAKQQTTIEKISIPQNASYGIVRSYLQHYGYEDTLKMFDIASKSTVPPISFVQENGSEEDNMYSLNHRKILRQLIRSGQVDDALAKLRDWYPHIVQDGSSAICFLLQCQKFIELARVGKLEEAVNHGRSEFHKFNRFTEFEDLVKDCATLLAYEQPLKCSVGYLLGDSQREIVADAVNAVILSQNPNVKDANVCLHSCLERLLRQLTACFLERRALNGDQGEAFRLRSILYSGKKG >itb04g07510.t1 pep chromosome:ASM357664v1:4:5125485:5128505:-1 gene:itb04g07510 transcript:itb04g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALEVDSKPLLSPRKRWRRAFATLYSTRVLKQIVSQNQSEVLHSLIDIPKEFSGVTPSILAKLVQEKNVEHLDQLGGVNGLISTLETDAEKGIRGGVEDIGRRRNAFGSNTFQRPSMKIIHILLETFKDPIMIVLLLCATFTIGIGMRKHGLHGCLDGGIILAAVFLVIAVSSLSKYWLQRQLYKLSKSNDHGMNTTVIRNGKRHTISISDVVVGDVLCLGVGDRVPADGLYIEGHSLLVDESHIKNDNNPVQVQSSNNPFLHCGSKVIKGDARMLVIAVGKNTSWGQTSMSSTSWGSNERTPLQIKIHRLTLIIAAIGLAVAVLVLTVLLIRYFLGSLHDNDGSIRFASRKTSRNKVWRSMIGLLVSSIAIASAAIPEGLLLAVAITIAYTTQRMADDNVLVRNLSACEVISSATVICTNKRGILKMNELKVSQCWIGSTYIGEDGVSLIAPEVLDLLHEGIALKDAYVPLAYPLEITNQEIQHAIEVWGEQKMKVDLAQIYAKISSSEHQDLFMIQRAGNPIHVHKKGDPEVILPMCSQYYEKNGISKNISDDERANLEKIIEEMRLNGFHCVAFACKDLPAEQLIDGDGNFHPKFKEDCWTLLAFVGLKPTCQPEAQQAVLDCQNAGVTIKMITEDDIHTARASAIECGIIKQNEGMNSEEVMEAAKLRNCSTEERLEKVGKIRVLARASTIDKLEMVKCLKQKGHIVAVTGNSEGGARELREADLRLSLGIQGADDAVEQRSDTNVLILDDNFASVARVIRWSRVMYNNIQVFTQFQLTASIASLMVDFVTTISTSEPPTINIVAAISAGEVPFATLQMLWVKLIMGTLAALALTADKHAEKLMQQPPVDHNKPFITNIMWRNIVGQATYQIIVLLTIQFTGESTFNLSSKEKDTMIFNIFVLCQLFSIINARNYERSIFSGLHKNRLFWGIIVTIILLQVMMIEILKRFANTERLSWEQWKACIGIAFLSWPIGWLIKCTPLPRIAQTFDYLPFYQLSP >itb10g17390.t1 pep chromosome:ASM357664v1:10:23604712:23610144:1 gene:itb10g17390 transcript:itb10g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLLSTAMSPLRFSHRPITILPCNRSNFPFLNANLQPLRYGFDRILSLRRSICAKAVMSEVESKKEYLRIGADSTGSIPSDQLLEIVQAAAKTGAEVVMDAVNKPRNINYKGFTDLVTDTDKKSELAILDVVRKNFPDHLVLGEEGGFTGDPSSDYLWCIDPLDGTTNFTHSYASFAVSVAILFRGKPAASTVVEFVGGPMCWSTRTYTAAVGKGAYANGQKLSVTDTDKVEQSLLVTGYGYDRDDSWATNMELFKEFTGISRGVRRLGAASVDFCHVALGIVEAYWEYRLRPWDNAAGVLIVEEAGGLVSRTDGEKYCVFDRSVLASNGALHDKLLEKIGPATEKLKNKGVDFSLWYKPEAYKTDF >itb10g17390.t2 pep chromosome:ASM357664v1:10:23604712:23610144:1 gene:itb10g17390 transcript:itb10g17390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLLSTAMSPLRFSHRPITILPCNRSNFPFLNANLQPLRYGFDRILSLRRSICAKAVMSEVESKKEYLRIGADSTGSIPSDQLLEIVQAAAKTGAEVVMDAVNKPRNINYKGFTDLVTDTDKKSELAILDVVRKNFPDHLVLGEEGGFTGDPSSDYLWCIDPLDGTTNFTHSYASFAVSVAILFRGKPAASTVVEFVGGPMCWSTRTYTAAVGKGAYANGQKLSVTDTDKVEQSLLVTGYGYDRDDSWATNMELFKEFTGISRVATFSHLSLSLSLLLNYYLHSNFPFFFIFSYGSCIVVLDI >itb08g03180.t1 pep chromosome:ASM357664v1:8:2650177:2652312:-1 gene:itb08g03180 transcript:itb08g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFTFATTVAIILAIIEGVVGASLTFINRCDYTVWPGILANAGSERLDSTGFELGPGGSREFHAPASWSGRIWGRTGCSFDVSTGQGSCATGDCGSSQVECNGAGATPPATLAEFTIGSGAQDFYDVSLVDGYNLPMQVEAVGGSGACGSTGCAKDLNRMCPDELRAADGQACKSACRAFGTPEYCCSGAYGSPATCKPSMYSEMFKTACPRSYSYAYDDATSTFTCSGADYKITFCPSLTSRKSSLLPSFSTGVAGNEDSGPEDSMFSGRSWLPGFLTGDSSRMTSQFGLHSKSPITSAIAFFILLLIHLLQLFLL >itb11g10290.t4 pep chromosome:ASM357664v1:11:7063578:7066717:1 gene:itb11g10290 transcript:itb11g10290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGVLPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGLENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLKSQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb11g10290.t1 pep chromosome:ASM357664v1:11:7063519:7066724:1 gene:itb11g10290 transcript:itb11g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVSLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGVLPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGLENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLKSQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb11g10290.t3 pep chromosome:ASM357664v1:11:7063578:7066717:1 gene:itb11g10290 transcript:itb11g10290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRDAKTCFQTSKFNTINSDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGVLPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGLENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKLCSASSCIS >itb11g10290.t2 pep chromosome:ASM357664v1:11:7063584:7066709:1 gene:itb11g10290 transcript:itb11g10290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRDSEWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGVLPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGLENDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLKSQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb07g22910.t1 pep chromosome:ASM357664v1:7:27403267:27405919:-1 gene:itb07g22910 transcript:itb07g22910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTRCFSSLNPIIPSIPATSSSANEKIAPKMAPILRQTHKDSQESNGFCFCCSRRRFLGAGGAALLPIRASGASDSTSNDPKEMLNRVHPPRADWYEEFYAWAMNTFSKAYEAEIAAYKSELFANLRGQAKSVLEIGIGTGPNLKYYSGDMDFVYGIDPNRKMEKYAQAAAEAAGLPPDNFKFMQAVSEALPLGDASVDAVVGTLMLCSVKDVDLTLQGTR >itb07g22910.t2 pep chromosome:ASM357664v1:7:27403483:27406744:-1 gene:itb07g22910 transcript:itb07g22910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTRCFSSLNPIIPSIPATSSSANEKIAPKMAPILRQTHKDSQESNGFCFCCSRRRFLGAGGAALLPIRASGASDSTSNDPKEMLNRVHPPRADWYEEFYAWAMNTFSKAYEAEIAAYKSELFANLRGQAKSVLEIGIGTGPNLKYYSGDMDFVYGIDPNRKMEKYAQAAAEAAGLPPDNFKFMQAVSEALPLGDASVDAVVGTLMLCSVKDVDLTLQEVRRVLKPGGIFVFVEHVAAQDGTLHRFVQGLLDPLQQFVADGCHLTRKTGKSIADAGFSGVDIHQVSLSSASLINPHVYGVAHK >itb07g22910.t3 pep chromosome:ASM357664v1:7:27403267:27405933:-1 gene:itb07g22910 transcript:itb07g22910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTRCFSSLNPIIPSIPATSSSANEKIAPKMAPILRQTHKDSQESNGFCFCCSRRRFLGAGGAALLPIRASGASDSTSNDPKEMLNRVHPPRADWYEEFYAWAMNTFSKAYEAEIAAYKSELFANLRGQAKSVLEIGIGTGPNLKYYSGDMDFVYGIDPNRKMEKYAQAAAEAAGLPPDNFKFMQAVSEALPLGDASVDAVVGTLMLCSVKDVDLTLQEVRRVLKPGGIFVFVEHVAAQDGTLHRFVQGLLDPLQQFVADGCHLTRKTGKSIADAGFSGVDIHQVSLSSASLINPHVYGVAHK >itb01g21170.t1 pep chromosome:ASM357664v1:1:27363757:27365260:1 gene:itb01g21170 transcript:itb01g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESATNGDVFIGDAEIGANDDSQSESKTSVLSQKLAASEKENRELVRENEVVKEIEEKLKKTIEKLESERAELRKKVEKSGTENRALGSVAARASELEGEVVRLQHDLITATNGLAEANSEVSQLKAALDGLKTSEEEKRLKLEAVEGERNLLIEKLTKLESSENDHRAEMEAKEQVNRVLTKKIQDLVNASLLAKGLDKEKEELRKRLDELEKMKTELEEKLEEKERLITEKTVHGSVNGIKDCHPGLKMEWPVIAGSAVATIAAVSVVFYLHQRKA >itb01g21170.t2 pep chromosome:ASM357664v1:1:27363909:27365121:1 gene:itb01g21170 transcript:itb01g21170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESATNGDVFIGDAEIGANDDSQSESKTSVLSQKLAASEKENRELVRENEVVKEIEEKLKKTIEKLESERAELRKKVEKSGTENRALGSVAARASELEGEVVRLQHDLITATNGLAEANSEVSQLKAALDGLKTSEEEKRLKLEAVEGERNLLIEKLTKLESSENDHRAEMEAKEQVNRVLTKKIQDLVNASLLAKGLDKEKEELRKRLDELEKMKTELEEKLEEKERLITEKTVHGSVNGIKDCHPGLKMEWPVIAGSAVATIAAVSVVFYLHQRKA >itb06g20550.t1 pep chromosome:ASM357664v1:6:23503335:23504154:-1 gene:itb06g20550 transcript:itb06g20550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSNAFLQRSLPLPQVSLKKLSGPGEGFNKARWTCRKKDIHPKFYEDAKVYCNGELVMTTGGSKEEYVVDVWSGNHPFYLGSRSQLLVDAGQVEKFRKKFAGLTSIMEIPVLKGEIVLPPRKKSAGKGKKK >itb05g02100.t1 pep chromosome:ASM357664v1:5:1632209:1634469:1 gene:itb05g02100 transcript:itb05g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNMEVENCCSWDGVSCDPATGYVIGLDLSYSMLSTEIFPIFNLHHLQTLNLACNDFGDETPFPSEFEKLRNLTHLNLSNTGFSGQIPVGISRLTRLVYLDLSWTLASVELRKPNLESFIMNLNSLKEVYLDAVDLSAQASNWSQLLSSALPHLQVLSLSSCQLNGPIHPSFATLKSLSYLQLQDNNLSSDFPENVFLLPKLKTIDISYNYLLSGQFPEFPKHTSLQTISLYETNFHGELPESIGNLQSLKFFYIPYCNLSGLIPSSLANLTSIIELDISENRFSGSLPPFHSTSVPNLSYLDMSSNLLTGGIRSSLFTLPSLEYLHLDDNKFSGELEEFSNTSSSVLMRLDLSGNELSGVVPKSIFQLPNIFDLSLGSNNFNGGVKIEMLQNLKNLAFLDLSDISLTVEENDGTSFDLPQLHILTLHKCNLSAFPIFLNSQIDLKYLNLSDNHIRGYVPSWLGNNTLEILDLSGNPLDFLEPSSGHGNNSFGSLNHLVMHSCNISKFPKFLKGLDALSYLDLSDNKIEGEIPSWIWRDQLQFVNISHNLLSVVDEFPSNISLNVFYLYLHGNRIKGSLPSGICNMRLLKVLDASDNNLSGLIPECLVKLAEFECNGDGWGKQITVQPHWRA >itb03g07660.t2 pep chromosome:ASM357664v1:3:5688119:5695744:1 gene:itb03g07660 transcript:itb03g07660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDSFVLLNDCPTKIDAVASYGSNLLIVCSDGSLRVYVPESTGPDRSTSELRKERYVLERTVKGFSKKAMLAMEVIGSRELLVSLSESIAFHRLPNLETLAVITKAKGANVYSWDDRRGYLCFARQKRVCIFRHDGGRGFVEVKEFGVPDTVKSMAWCGDNICLGIRREYMILNTTNGAMSEVFPSGRSAPPLVVSLPSGELLLGKDNIGVFVDQNGKLLQEGRICWSEAPAVVVIQKPYAIGLQPRHVEIRSLRAPYPLIQTAVLRNVRRLVQSNNAVIVALENSIFGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRAAKEQSIHIRYAHYLFENGSYEEAMEHFLASQVEITYVLSLYPSIIFPKSAVIPEPEKFVDITGDYPNLSRGSSGLSDDMESNTHVLESVETDIESKKTSHNTLMALIKFLQKKRYGIIEKATAEGTEEVVSDAVGDSFVSYGTSRSKKTIKGRTNTPIGSVARDMAAILDTALLQALILTGQASAALDLLRGVNYCDVKICEEFLLKRSQYFCLIELYKTSGMHREALKLLHQLVEESTSDQAPAELSQKFKPELIIEYLKPLCETDPMLVLEFSMVVLESCPMQTIELFLSGNIPADLVNSYLKQNAPNLQATYLELMLAMNENSISGNLQNEMVQIYLSEVLDWYNELNSQKNWDEKTYSPTRKKLLSALESVSGYNPDILLKRLPPDALYEEHAMMLGKMNQHELALSIYVHKLHVPDLALSYCDRVYESGVQQHSVKSHGNIYLTLLQIYLNPRKTTKNYENRINNLVSLQSPGMPRLGPGPTTKLIRGNRSKKIAEIEGAEDIRISPSGTDSNKSDGDVDDTGEEGVSTIMLDQVLDLLGRRWDRINGAQALKLLPRETKLENLLPFLGPLLRKSSEAHRNFSVIRSLRESENLQVLFHIWNYHYGLALLDA >itb03g07660.t1 pep chromosome:ASM357664v1:3:5688119:5695744:1 gene:itb03g07660 transcript:itb03g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDSFVLLNDCPTKIDAVASYGSNLLIVCSDGSLRVYVPESTGPDRSTSELRKERYVLERTVKGFSKKAMLAMEVIGSRELLVSLSESIAFHRLPNLETLAVITKAKGANVYSWDDRRGYLCFARQKRVCIFRHDGGRGFVEVKEFGVPDTVKSMAWCGDNICLGIRREYMILNTTNGAMSEVFPSGRSAPPLVVSLPSGELLLGKDNIGVFVDQNGKLLQEGRICWSEAPAVVVIQKPYAIGLQPRHVEIRSLRAPYPLIQTAVLRNVRRLVQSNNAVIVALENSIFGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRAAKEQSIHIRYAHYLFENGSYEEAMEHFLASQVEITYVLSLYPSIIFPKSAVIPEPEKFVDITGDYPNLSRGSSGLSDDMESNTHVLESVETDIESKKTSHNTLMALIKFLQKKRYGIIEKATAEGTEEVVSDAVGDSFVSYGTSRSKKTIKGRTNTPIGSVARDMAAILDTALLQALILTGQASAALDLLRGVNYCDVKICEEFLLKRSQYFCLIELYKTSGMHREALKLLHQLVEESTSDQAPAELSQKFKPELIIEYLKPLCETDPMLVLEFSMVVLESCPMQTIELFLSGNIPADLVNSYLKQNAPNLQATYLELMLAMNENSISGNLQNEMVQIYLSEVLDWYNELNSQKNWDEKTYSPTRKKLLSALESVSGYNPDILLKRLPPDALYEEHAMMLGKMNQHELALSIYVHKLHVPDLALSYCDRVYESGVQQHSVKSHGNIYLTLLQIYLNPRKTTKNYENRINNLVSLQSPGMPRLGPGPTTKLIRGNRSKKIAEIEGAEDIRISPSGTDSNKSDGDVDDTGEEGVSTIMLDQVLDLLGRRWDRINGAQALKLLPRETKLENLLPFLGPLLRKSSEAHRNFSVIRSLRESENLQVKDKLYAQRKAVVKITGDSMCSLCNKKIGTSVFAVYPNGKTIVHFVCFKDSQNMKAVTRGSQSRKR >itb03g14050.t2 pep chromosome:ASM357664v1:3:13941134:13944166:-1 gene:itb03g14050 transcript:itb03g14050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPNPTDDTIVFIRSMQKPRKRWQKAYKAICFLVFLTKKRIVAAKESSGFSRLLSSRSFVAVAIEDKDHKPSFDIDKNMLSEMVSKRDMEGLSRLGGVKAMAAVLGADENCGIDGNAAGIENRIDFFGSNTYKKAPAKSFFTFVLEAFKDTTIIILLICAVLSLGFGIKEHGPEDGWYDGGSIIVAIFLVLAVSSISNYKQSRQFLKLSNESSNIKVEVVRDGRRQAISIFEIVVGDVVCLKTGDQIPADGLFLDGFSMRVDESSMTGESNHIEVDEARNPFMVSGTKVTDGYGHMLVTSVGMNTSWGQMMSSITAHEMNDETPLQQRLNNLTSCIGKVGLSVAVLVLVVMMVRYFTGNTQDESGHKEFIGSKTKASDIVNSILLIIAAAVTIVVVAIPEGLPLAVTLNLAVSMKRMMKDNAMVRKLSACETMGSATTICTDKTGTLTLNQMQVTEFWLGKEQIEKGKSLEIIASDLIELIQKGVALNTSGEVYMSNSATLPEISGSPTESAILLWAVSDLALDFNGLKQGHEILQVEAFNSQKKRSGALVRKNHRTGVQTHWKGAAEMILDMCSKYHVKSGEIKALDDEERANFQLIIRDLACKSLRCVAFAYKVAREQSEKLEESELTLLGLVGIKDPCRPGAKEAVDACREAGVKIKMITGDNIFTAKAIAIECGILKEGDNIDSAVIDGTTFRNYSDQERMAKIDSICIMARSSPFDKLLMVKCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFKSVVTVLKWGRCVYNNIQKFIQFQLTVNVAALTINFVAALSSGQVPLTAVQLLWVNLIMDSLGALALATEQPTDDLMTKKPVGRTEPLITAVMWRNLSAQALYQIIVLLVLQFRGSSIFGVSKKVKDTLIFNTFVFCQVFNEFNARKLENKNIFKGILKNKLFLGIIGGTIILQVVMVEALNKFAYTQRLNSWQWAACIGIAALSWPIGWLAKCIPVTHKRLSREDNA >itb03g14050.t1 pep chromosome:ASM357664v1:3:13941134:13943857:-1 gene:itb03g14050 transcript:itb03g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGADENCGIDGNAAGIENRIDFFGSNTYKKAPAKSFFTFVLEAFKDTTIIILLICAVLSLGFGIKEHGPEDGWYDGGSIIVAIFLVLAVSSISNYKQSRQFLKLSNESSNIKVEVVRDGRRQAISIFEIVVGDVVCLKTGDQIPADGLFLDGFSMRVDESSMTGESNHIEVDEARNPFMVSGTKVTDGYGHMLVTSVGMNTSWGQMMSSITAHEMNDETPLQQRLNNLTSCIGKVGLSVAVLVLVVMMVRYFTGNTQDESGHKEFIGSKTKASDIVNSILLIIAAAVTIVVVAIPEGLPLAVTLNLAVSMKRMMKDNAMVRKLSACETMGSATTICTDKTGTLTLNQMQVTEFWLGKEQIEKGKSLEIIASDLIELIQKGVALNTSGEVYMSNSATLPEISGSPTESAILLWAVSDLALDFNGLKQGHEILQVEAFNSQKKRSGALVRKNHRTGVQTHWKGAAEMILDMCSKYHVKSGEIKALDDEERANFQLIIRDLACKSLRCVAFAYKVAREQSEKLEESELTLLGLVGIKDPCRPGAKEAVDACREAGVKIKMITGDNIFTAKAIAIECGILKEGDNIDSAVIDGTTFRNYSDQERMAKIDSICIMARSSPFDKLLMVKCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFKSVVTVLKWGRCVYNNIQKFIQFQLTVNVAALTINFVAALSSGQVPLTAVQLLWVNLIMDSLGALALATEQPTDDLMTKKPVGRTEPLITAVMWRNLSAQALYQIIVLLVLQFRGSSIFGVSKKVKDTLIFNTFVFCQVFNEFNARKLENKNIFKGILKNKLFLGIIGGTIILQVVMVEALNKFAYTQRLNSWQWAACIGIAALSWPIGWLAKCIPVTHKRLSREDNA >itb13g16490.t1 pep chromosome:ASM357664v1:13:23463251:23465350:-1 gene:itb13g16490 transcript:itb13g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQIQRRLLSLLAARQPQFQTPGRRFRESSWPFLSSTSSFLSRDDIHSRRLGTLAEASKQDGETGGEELESCSVSANSAPSPINSNTMIKQMTSNLKISPRHDLLMFFTCKRCETRSMKSVCRESYYKGVVVARCDGCNNLHLIADRLGWFGEPSSVEDFLAARGEEVKKGSPETLGLTLEDLAGKSNLEKC >itb12g17460.t1 pep chromosome:ASM357664v1:12:19519958:19521215:1 gene:itb12g17460 transcript:itb12g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAPYGVYWRTLRKIADNHLFSQRKIRASETPRREISDQMVSAIANFDGREFRVRDILKLASLNNEATGGARRRRRKVRDGDRIRHCIASLPAYSGEGSVETTPTGSTSIWARLAVSDTTVDGYHMPAGTMAMVNMWAITRDLDIWADPLRFMPDRFMKTTMEEVSVMGSDLRLAPFRSGRRSCPGKTLGLTTVVFWVASLLQEFD >itb03g25580.t1 pep chromosome:ASM357664v1:3:24729529:24733624:1 gene:itb03g25580 transcript:itb03g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMTWLSAVLLGAGCLALGYLIGARHSARIFLSTRASGEANIVVDGKKKKGAKQPLEVEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLYNRAPKALGRWEMCGQVKVVVKIESEDDMLVLQERAKSINIPTHITIDAGRTQIAPNSRTVMAILGPAEMVDDVTGGLKLL >itb06g23920.t1 pep chromosome:ASM357664v1:6:25667439:25671129:-1 gene:itb06g23920 transcript:itb06g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAYQVLGAIQSGGCISHCSFQQDSRNAASLKLISKGVRVDIGFGARGSHCLKKRSFSVIQATAFPTAMFDVISSPSSTTTNDSKKKTKEAALILIRHGESMWNEKNLFTGCVDVPLTKKGVDEAIEAGRRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIITHNESEQARSWSQIFSEETNKQCIPVVTAWQLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKENIVPQLDSGKNVMIAAHGNSLRSIIMYLDKLTSEEVISLELSTGIPMLYIFKEGRFLRRGSPAGPTEAGVYAYTKTLAQYRQKLDDMFVQ >itb03g01100.t1 pep chromosome:ASM357664v1:3:581384:582590:-1 gene:itb03g01100 transcript:itb03g01100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB35 [Source:Projected from Arabidopsis thaliana (AT3G28470) UniProtKB/Swiss-Prot;Acc:Q9LSI7] MMGRPPCCDKANVKRGPWTPEEDAKILAYVASHGIGNWTLVPQKAGLNRCGKSCRLRWTNYLRPDLKHDNFTPEEEACILELHKTIGSRWSLIAKHLPGRTDNDVKNYWNTKLKKKLKNMGIDPLTHKPFAQVFAEFGKLSGLPSPSNQNALLKNTIKNEAVFEPEPRSFPTNVQNSRFVSPEMNKHLQIQNSPLVHNFPREPIQPPHSSPDTSFPHFASSPYCSSSYEQPLSQFLTSSSSTPWNEFILQDPDTELPRQDSKFPGTFSLDDPMTPSVQGEAGPICGFTNEGVNNLDEDITEGTRGEASSSMAEDSFVENILARDRQMQLEYPQLLDGYFD >itb02g12690.t2 pep chromosome:ASM357664v1:2:8740593:8742370:1 gene:itb02g12690 transcript:itb02g12690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCKLPRDLLIEILVRLSVKILLRFKCVFRFFYDLIKNDHRFMDKHYEFSRAKNNCALLEFNASYFPFNDVLYYLLYKESESQTRCIYLEDMPIRYAKSCHGVLCLILSQSYFSLDGDETERIRSYGMIFDAYALNPSTREMKALPSIKVPTKPPSEYMLRIGFGFGLSKNKAWKIIMLLRFEDMDSESEYSHQIVMVCSQVEDLWKWRQIDAVHGLEHIPYLNYTRDCYLKGKYYWLCSTDHLIWFDMDDETFGKIKIPSGLDTHFITVMNDTIAVISLPHMFVDDEDFINIWLMDENNNNINWQKHSSIHFDCSITSYWTPVGIWNLGGQLLVFSSDMELQGDVASFKDGCGPDLISIDLVTQEKKIICTSEVKKSAFNIGFNSGGNAQIFNERNIYKDEEWHDKNIAWYCHGLNPYARDFHESLKFL >itb02g12690.t1 pep chromosome:ASM357664v1:2:8740593:8742370:1 gene:itb02g12690 transcript:itb02g12690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYAKSCHGVLCLILSQSYFSLDGDETERIRSYGMIFDAYALNPSTREMKALPSIKVPTKPPSEYMLRIGFGFGLSKNKAWKIIMLLRFEDMDSESEYSHQIVMVCSQVEDLWKWRQIDAVHGLEHIPYLNYTRDCYLKGKYYWLCSTDHLIWFDMDDETFGKIKIPSGLDTHFITVMNDTIAVISLPHMFVDDEDFINIWLMDENNNNINWQKHSSIHFDCSITSYWTPVGIWNLGGQLLVFSSDMELQGDVASFKDGCGPDLISIDLVTQEKKIICTSEVKKSAFNIGFNSGGNAQIFNERNIYKDEEWHDKNIAWYCHGLNPYARDFHESLKFL >itb08g16020.t1 pep chromosome:ASM357664v1:8:18175485:18181175:-1 gene:itb08g16020 transcript:itb08g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANFQQNKSPSRPPRSTYKSLLVSSPRSSPPPPENGRHGEASPDGKAATTTTQRKPGGWRAMPYVLGNETFERLASMGLLANFSVFLLTVYHLDQVGAANMMGIWAGVTSFIPLLGAFISDSYLGRFWTIAFASIFEILGMLTLTIIAMVPNLRPPPCNATQPQDCKDPTNSQMGYLFLALGFLAIGSGGIRPCSIPFGVDQFDATTEEGRKGISSFFNWYYTSLTVVLIFSMTVVVYIQDSVSWALGFGIPTGLMLLAIVLFFLGRRVYIYVKPEGSVFAGIAHVCVAAFNKRKVKLPDEASGYYDPPVTSPAEKKLPLTKDCRFLNKAAVITEGDLNPEGTPYSSWRLVSIHQMEEVKCLVRIIPIWAAGIVCLIAVTQQGTFTVSQALKMDRHLGPHFQIPAGSLFVVSMITIAIWIPLYDRLLVPLIRKRTKIEGGITMLQRIGIGLVISIIGMIVSAVVERKRRAAAVSHGGADGVAPITVMWLAPQLIVMGFAEAFNIIGQIEFFNKEFPDSMTSVANSLYSITFAGASYLSVVLVNVVHKTTASGSRPDWLTKNVNAGKLENYYLLIAGLGVVNLVYFVYVSRGYHYKSKVRIDEDDNQKPFINDIELNRKG >itb08g05950.t1 pep chromosome:ASM357664v1:8:5076177:5079631:-1 gene:itb08g05950 transcript:itb08g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFNSHLYISSLLSLQQLTITCSSIFIIIHTQKTILFPHKMALHQISFLLLLLLYSVSSSNQARLDEQSEFFSVMKKSLSGNFMGRWDGKAVCEYRGVVCDDEGYVVKIDVSGWSISGEFPGDVCYYLPKLRVLRLGHNKFHGGFPGSITSCSFLEELNMTATNLTGTLPDLSPLQALRALDLSYNLFTGDFPMSFTNLTNLEALVFNENGGFNPWELPEGISRLTKVKSLILTTCMLRGRIPAAIGNMSSLVDLELSGNSLVGRIPGELGRLKNLELLELYYNQLEGEIPEELGNLTKLRDFDVSVNRLTGKIPDSICLLPNLEVLQVYNNTLSGEFPAALANSTTLRILSLYTNYLSGEVPQNFGASSALEALDLSENQFSGKLPPKLCMGGKLIYILLLQNKFSGELPESYGRCLTLIRFRVSNNLLEGKIPEGIFGLPHASIIDVSYNRLNGSIPRTIGSAKNLSELFIQGNSISGMLPSEITGAINLVKVDLSSNLLSGPIPSEIGNLKRLNVLLLQGNEFTASIPDSLSSLKSLNYLDLSSNLLTGGIPESLGELLPNSMNFSNNMLSGAIPISFIMGGVLESFSGNPSLCVPAYLNSSDSSFPLCSESCDGKKVNLIWVVGISVGIVIVGIVLFLKRWCSQQREMTVHEDSLSSSVFSYDLKSFHRLSFEQREIFEGMVEKNIVGYGGSGTVYKVELGNGEAIAVKRLWSRKGKDSVSDEGEIVIDKELKTEVETLGSIRHKNIVKLYCYFSSLDCNLLVYEYMPNGNLWDALHKGKMVLDWPTRYQICLGIAQGLAYLHHDLLRPIIHRDIKSTNILLDIDYHPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLLEVITGKKPVEAEFGENKNIVYWVSTKVETKEEAYEALDKRVSGWFKEDMMKVLRIAIRCTCRTPALRPTMNEVVQQLIEADPCRFDCCKLSSSNKTKEKDNVMIMKPN >itb15g01790.t1 pep chromosome:ASM357664v1:15:1069805:1073559:1 gene:itb15g01790 transcript:itb15g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHDYAAAMAFAQQQHQAANMQQQQQFGFHPQHQQFPPSGHGPPFLPPQSSLQQFPYPRPSQIHPHAPPPPHLLHLQQQQQHPPPAFPPHMPPHLAPSPFQGPYDSPPPPAPPPSDPELVKRIDKVIEYAVKNGPEFEAMIREKQQDNPGYSFLFGGEGHYYYRYKHWMATRPAGGPFNSPFPSSSMQMVHPPNPMLSPPLTAPQYNASPAGGASAPVLGPPHLHQPPFQPFYDQQHPQSFGRPDYDNSYRSFKSHSRPLPPDVDMELNTVLNSLTGTKESIKGAKNWFMQRSPFIPALAEALRDRVFSLDDSERQLHIIYLANDILFDSLQRRINPRELDTEALAFKPVLASMLARIYHNPQNKEENQSRLQKIVQFWGSKEVFDQDTIRVFENEMIGGLPANSFGGPQRDLPTLAADPSAATGGADQNVLQWKPDGQSPIPNLPDQDKQVPHIPSMAPQQFHSTSVPHAFPGTLPMPSSVPPSNLPQTTHLMPALTPSVGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVSQSEILERVSKFFREIGEVNPSEGPMKPADSPDEYDDYEREREPPIRKGGACIPPPPNLQDSDGSADPEPNSSGRLGLGASANPNEASQYDDVYSSYRKQRSTNYHTSMSARAPSTR >itb15g08890.t1 pep chromosome:ASM357664v1:15:6310447:6313643:-1 gene:itb15g08890 transcript:itb15g08890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRELQAQPGNRICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMEMSGNDKFNSFISQYGVPKSTDIVTKYNTKAASVYRDKIQALAEGKPWRDPPVVKETLTSNSNSNSNSNSNANSNGKPPLSGGRKATSDFGADSGWDSWDNYDDVGYNNTNNTNNNDNNSNNNNNNNIRRNQTVGDFRRGGDGGGAPSRSRSTEDINRAQLEASAANKEGFFARKMAENETRPEGLPPSQGGKYVGFGSSPSQMPRNNNYAQGGDVLSTVSQGLGKLSMVAASAAQSAANVVQAGTKEITTKVIEGGYDSKVNETVNVVTTKTTEIGHMTWGIMKGVLALASQKVEEYTKEGGNDNCKNDNWQQNDNQQNGYHPEFNQDSKGWSSSGAGQSSSVNENSVSSDSWDDWGSKDTWTEEPKKDAPASNNDEWAGWDDAKDDGFDNFYPSASDDKHVASNGKSDSKWTDGGFL >itb13g16190.t1 pep chromosome:ASM357664v1:13:23092473:23098917:1 gene:itb13g16190 transcript:itb13g16190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISEEATQSLSSSFDHICDEFKNAIAEIQTLNSNYNAEVKKRDALQFTIKNLQSENERLRKIYTNVFNKMGDQIESHTSCQVLKDELKKANDELSQKENEFRIAVESLKQEHAMRIQHLESQIRELQTEKVASEAIRNQLHQDLTLHKNHIEALARRLEQVSSDVESRYYYEIQGLRDCLLVEQEEKNEVNKKLHDLEKESAEIEEEEEEEEEEEKSKFLLTWGWGSEIEAIDVFEEISVRERGKGGGETRGAGSVSVLRRKSGGSGCGSRRFLLRSPSLLHLQSQVSLYSLF >itb05g25830.t1 pep chromosome:ASM357664v1:5:30019320:30020469:-1 gene:itb05g25830 transcript:itb05g25830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRSIKFCRNNSSTKLASGGGAAVGSSNKGGEIKWEVRPGGMLVQKRECAENGEEIITLRISTVSQCHHISIQATSTFGELKMLMSLMTGLDPKEQRLLYKGKEREDYEYLHMVGVSDKDKVLLLEDPAVKERKLLIDSPAADQVAVVGASYRTIRV >itb04g33730.t1 pep chromosome:ASM357664v1:4:35890327:35893198:-1 gene:itb04g33730 transcript:itb04g33730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLPQINHFLLTFLAIVVTGLCICSGSNTTGICKEEEKQALLCFKEESKFPGNWVDRADCCTEWEGVVCDNVTGHVIELHLSTYEDNLQRGKFSSCLLELKQLRYLDFSRLNFSKSHIPNFIGSFVNLQYIDLSYTRFEGIIPHQLGNLSHLHTLCLGDNHLKADSLDWLSSLSNLKVLDLSFANLSMVHNLAEVINMLPSLRELYLTDCHISKSSHPLGHNKSSLQVLDLRSNEFNTVIFRWIFNLDSLISLQLSGCGFQSPFPDDSWNLTCLSILDISGNKFGGHLPNSLWNLTSLSYLDLSENMFTGEIPKPIGKLNKLQTLRLFSNKLYGPLPENLGYSFPMLETLHIGDNMLEGIVTENHFVNLTMLGYLQASGGNRLTLNVSLNWIPPFQLLVLHLSGWKLGPQFPTWLRYQHSIGELDISNAGMQSEVPSWFWRSFQFILLNLSHNELQGKIQSISVSKSFGFSLVYLGSNQFSGPLPHISSHIIELDLSNNSFSGDISDFLCHAQNMSNNLQILHLGGNALSGEIPDCWMHWPKLRVLYMKENKLVGSIPNSIGLLNMLESLDVHKNKLSGHIPPSLQNCTSLFKIDMGDNGFTGKIPRWLGTSLSYLTILSLRSNKFYGELPLEFCHLTSLRILDLSNNHFSGLIPRCLKNFTAMENFPTAEFEISYSFYPNAFGESALVTTKGLEYEYDSMPLSLFAEMDLSSNNFFGDIPIELTNLSRLRSLNLSRNNLTGNIPTEMGNMKLLESLDLSRNQISGKIPSSFSSLCSLGVLDLSYNNLFGKIPSGTQLQSFNASCYVGNNLCGPPVSQNCSVGDGKIPKNKNKGDDDNSGVDWFYVSMAIGFAVGFWGICGSLFLVEPWRIAYFKFLDNKWKSFLAWAHALRT >itb01g06610.t2 pep chromosome:ASM357664v1:1:4806928:4809132:-1 gene:itb01g06610 transcript:itb01g06610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLNLVLTFLCIASLHFSAIFAIESEIYIVHVELPDGLISRDSHYQSFMRSAVETSTDSSNIIYSYQHVISGFAAELSPDAVKAMEKMDGFVYARPQRVLKLQTTHTPDFLGLHLNSGFWKSSNYGEGVIIGVLDTGVFHEHPSFNDDGMPPPPAKWKGKCDNFECNNKLIGAKSFRSGNQSTSFDYVGHGTHTSSTAAGNFVGGANVYGSDNGIATGIAPRAHLAMYKVCGGGCPESDILAGMDAAIEDGVDVVSISLGGSSGPFYDDVIALATYRAMEKGIFVSCAAGNSGPDVSTLSNEAPWILTVGAATVDRNVVATARLGNGEEVDGQSAYQPDDFSKELLPLVYPGMNASDFTAKYCGNSSLDNYDVKGKVVVCDVGGLVPAFIKGTVVKEAGGAAMILVNQDFMGYTTFALPNALPATHLGFADGEKVKSYLNSTSNPTATIQFKGTVIGDPHAPAVSFFSSRGPSNASPGILKPDIVGPGVNIIAAWPVSVENRTDSKPTFNIISGTSMSCPHLSGVAALLKSEHPNWSPAAIKSAIITTSDTTNLGNNKIEDERRLPANIFTLGAGQVNPSRANDPGLVYDVAPEDYVLYLCGLGYTDKQVGLLLQRNVTCSATIPEAELNYPSFSLILLSTSGSQTYTRTVTNVGEASSSYTVKIAPPDGVSVTVEPPTLNFSELNQKASYRVTFTRSTSPTNATVVEGYLKWCSSRYVVRSPIAAILNLVV >itb01g06610.t1 pep chromosome:ASM357664v1:1:4806928:4808679:-1 gene:itb01g06610 transcript:itb01g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAKWKGKCDNFECNNKLIGAKSFRSGNQSTSFDYVGHGTHTSSTAAGNFVGGANVYGSDNGIATGIAPRAHLAMYKVCGGGCPESDILAGMDAAIEDGVDVVSISLGGSSGPFYDDVIALATYRAMEKGIFVSCAAGNSGPDVSTLSNEAPWILTVGAATVDRNVVATARLGNGEEVDGQSAYQPDDFSKELLPLVYPGMNASDFTAKYCGNSSLDNYDVKGKVVVCDVGGLVPAFIKGTVVKEAGGAAMILVNQDFMGYTTFALPNALPATHLGFADGEKVKSYLNSTSNPTATIQFKGTVIGDPHAPAVSFFSSRGPSNASPGILKPDIVGPGVNIIAAWPVSVENRTDSKPTFNIISGTSMSCPHLSGVAALLKSEHPNWSPAAIKSAIITTSDTTNLGNNKIEDERRLPANIFTLGAGQVNPSRANDPGLVYDVAPEDYVLYLCGLGYTDKQVGLLLQRNVTCSATIPEAELNYPSFSLILLSTSGSQTYTRTVTNVGEASSSYTVKIAPPDGVSVTVEPPTLNFSELNQKASYRVTFTRSTSPTNATVVEGYLKWCSSRYVVRSPIAAILNLVV >itb01g16040.t1 pep chromosome:ASM357664v1:1:19819167:19820015:-1 gene:itb01g16040 transcript:itb01g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESELIHEILPHPPPWTPPYFFPPIYTPLPRPALQLSSSTSSVLYSLLLHPENSSASIILAKGQVGWAEMEKINSKLYMENCYIMAENERLRKKAEVLNKENEALLKELKKKNCSSRLARGPSPPTANKLPDLNIATPNSPNN >itb04g07200.t2 pep chromosome:ASM357664v1:4:4811744:4815427:-1 gene:itb04g07200 transcript:itb04g07200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVSPCNLLHQTQAFLEGMFYWSWLDILTSLKTCEPFFLYADSCGLIPKLINALLAKIAQNSDINVLFGSSSSSSSSPDTGKPGGLIRASPNSKNTPELVKPSKAWWFDDMTVLSPVTIEQFVKTLGAFGSENNSLVLTKFLLHYLKTVGQGKGVNSRSSLEYSGLADTAVYGVILMGTTAFSCRNLFWVLRILSGFGVSKDCRAGLESLIGGMLEQATLDDLLVCGHNGSVYDVSLVLRLIRLFVHHHNTKTSPHKMMKVGRLIDRYLGEISPDQSLKISRFLAIAESLPDEARDCFDGVYRAIDIYLESHPTLTFEERSRLCRCLNYEKLSLEACKDLAKNPRIPPRIAVQALASQCPNVPPAMEFVNETSSSATTQMVLYNKCESPTSGSFSEDQKSEELRLNLQRMQWRVVELEKVCKEMKGQMSKMVKTGVIIPPANGRPLPRLC >itb04g07200.t1 pep chromosome:ASM357664v1:4:4811744:4815722:-1 gene:itb04g07200 transcript:itb04g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAEVCDLQIHINGQQRFFLHEKVLSRFSGKLRKIIKQERRRTQAKNSRIDIDDFPGGAEGFELVSRFCYSSGEGTRITVSNVSILHCCAVFLGMTEKVSPCNLLHQTQAFLEGMFYWSWLDILTSLKTCEPFFLYADSCGLIPKLINALLAKIAQNSDINVLFGSSSSSSSSPDTGKPGGLIRASPNSKNTPELVKPSKAWWFDDMTVLSPVTIEQFVKTLGAFGSENNSLVLTKFLLHYLKTVGQGKGVNSRSSLEYSGLADTAVYGVILMGTTAFSCRNLFWVLRILSGFGVSKDCRAGLESLIGGMLEQATLDDLLVCGHNGSVYDVSLVLRLIRLFVHHHNTKTSPHKMMKVGRLIDRYLGEISPDQSLKISRFLAIAESLPDEARDCFDGVYRAIDIYLESHPTLTFEERSRLCRCLNYEKLSLEACKDLAKNPRIPPRIAVQALASQCPNVPPAMEFVNETSSSATTQMVLYNKCESPTSGSFSEDQKSEELRLNLQRMQWRVVELEKVCKEMKGQMSKMVKTGVIIPPANGRPLPRLC >itb04g08690.t1 pep chromosome:ASM357664v1:4:7414010:7420318:1 gene:itb04g08690 transcript:itb04g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATQRIVADLETQTSISEATLKDLQSLLDYTLSTQDSIDLETLYHELSSRNLSPTTLSNSISSTMDSAPPQVSLLASTVYLSLLLSPNCPVFTLFTPMAFMSLLRSIRRALKNTSSPSGGSSGLRQPGRKRQGSGRGGPGKNRGKNVDEGEDRVFDVRVFFCVLERLELVMGLVHLDRFPDCLKSLVQTVADIPVMASEFSGDSRVYMRLCELCSRVLSEVLKAEHGDLRVSTAELLKSLTPLILLVKSQAKTFALEFVVNRMVNMAKDSPVIKEAVVNFPKYLAQKSPEKAEPRASAVVSIIQIVKVLHFEDQIGFADFVVKMTQGKGHLRLLAVDLIPALIMSLKDPLGWDSDSVNPWGLRCLDALIQRCSDATAGIRARALTVLAQLVVHFSGEDRSRSMLKELMGFKNDGSKLAQDGINYILKSRCMDEKAAVRKAALLLISKLTALLGSALDEDLLKTVSIACCDPLVSIRKVAISALSEAFRLTLGGTVTKEWLHSVPRLITDNETSIQEECENLFLELVLDRISLAGSSSCQHQIFSLPESNGSSKSSDMEIEQLYHGGVLLLLREICNGEVTPWVKKICVSLGKKKKVKPKIAIALQNIIRASESLCLSHSLPIEKWTAPLGAWFLLSEVSAFLPQAVDWEFLHHHWQLLDKYKPNNNVENQFVQRNLNSEEFDVESNSVTWAGDRVFLLQTISNVSVELPAEPAADLAHNLLTRLEQFNMHSTEVDAHVKALRTLCKRKALSPEEADSLVTKWVHQLLSKVSKILDLYISKNKETNEDSAFLTPPSFINGKGKRTASSSALLSQTMTAVHTVGCLIIVCPSAVLKSIIPVLHTIITSDGTDPRAKKLPGPSVSIKQTAPTLYVQAWLTMGKICLADGKLAKRYIPLFVQELEKSDCAALRNNIVVMMADFCVRHTSLVDCYLSKITKCLRDSCELVRRQTFILLARLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKAPLLAYNSFVEAIFALNDCNAHTGSSNSQLSRNESRLFSIRGNDAKSRSSRMHIYATLLKQMAPEHHLATFAKVCAEILGAASDGMLNLGDTTAQSVLQDAFQILSSKDIRISTSRGMPSDSPDIDEEAAGDSGGASASASAAAVRGNAITQAVKKGLIQTTIPIFIELKRLLESKNSPLVGSLMECLRILLKDYKNEIEEILVADKQLQKELIYDMQKYESMKAKSTAAEAVATLQRSDSYQTPADPASNIMKSKLKAKLHSNSQVASAMADATAAAVLREVNQGAATPPLSAMRAPKLKSCSVRTIAASRGGERSAAVIESLRRRQSFDSDDEN >itb06g20940.t1 pep chromosome:ASM357664v1:6:23704304:23708736:1 gene:itb06g20940 transcript:itb06g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDYEFSPSEEPSPVVQNRGFKRLKKPGRAPENPPVSSIDDLISLPEVDFAKLEALEASKTLEDSDDSEGPLSSSQGFDDDESELESGFEEKRNESRRALDFDEEENRLNFNSEAPRSGGENEEVEGDLELESPADEILGKKGEESEGIGEGLEVLKVEKSGQKRNSGDLNLNESHKSKSKKIKNMEDNADDLMPKEPALNKRREQKERKQHLKELHVETQRLLRESRDATFKPIPVVHKPISSVLQKIRQRKLEVSKKCMALKNSSTVHRNNVLTELMVDEDFDNEFVEDIIVEKSEEKAVAHEDLKSGADGPSVSEVDAKPENVPSQMVLDEVAEPTFRAPVDTQDLFGDSQESDGTNERPKFNASSPLEEVMAPSILAMNLKFDSVPPDECSSDEEDNHKENIDPHLHSAREECPPKGDPVKAFVDEEAEEEDDSDNDLHRFSENEDEDIEDSEELKDIIATNYEERPMDNERRNELHRKWLEQQDADGTENLLKKLKFNSKHRETTLLDDEQVDSECEEFNDDAEEEAQPKNPVQVNKRKAKQIITQMFIDKDDVFLSDDEEETEKRRVKQHVLVRAEERTALVPPTEDESSRQVFGLIKKLNVVPDQKKKAKPLSFFDNMVGGTKDDSSLKSSFLGRASKHHLPVSHKKSSSLVRSFIFERGDSNSHNSISMSEDSSQTIMKQNHPTRNNAARFSSSQAKSSTQSKSSGAETSSGVSLFEILKQSSTPSCSGDPKHEIDFSQALHAAFKIPKKPFLEDLRLN >itb12g04630.t1 pep chromosome:ASM357664v1:12:3069856:3070716:-1 gene:itb12g04630 transcript:itb12g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKRVVLVTGCAKGGIGYEYCKAFAEHDCHVFASDISQRMSDLSDLRSHNNNNSIETLELDVTSDESVAGAVDTIMSKFGQIDVVVNNAGVGITGPLAEIPTDQFLKAYEVNTVGALRVAQHVVPHMAARRRGRIVNIGSVVGNVATPWAGPYCASKAAVHAMTDTLRLELRPFNIDVILVIPGSVRSNFGANASDKLRNFEWKMYKEFTEAITERATASQGGKATDPRVFARHVASEVLSAKAPKRVEFGHMSGLFRLLSWCPVWGRDAFMSTRFKLNRKVFI >itb09g22310.t1 pep chromosome:ASM357664v1:9:20638957:20639295:-1 gene:itb09g22310 transcript:itb09g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSFEKGGDGSSPSECDGKYHSDDTPVVAVSTGWYSGGGRCHHNISISGNGRRVNNVVVVDECDSTMGCDEEHHHQPPCSNNIVVASKAVWKALGVPLNEWGTLDITWSDA >itb01g26590.t2 pep chromosome:ASM357664v1:1:31701244:31712003:1 gene:itb01g26590 transcript:itb01g26590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPDDVFRDEEDDPDGEFYQERDATKELLVYIVDASPKMFSTTSPAEDEKEASHFEIAVNCIAQSLRTQIINRSYDEVAICFYNTREKKNLQDLNCVYVYNVPERDDLDRPTARLIKEFDHIEERFSKEIGSKYGIEPGSRDNSLYSALWVAQALLRKGSAKTADKRILLFTNEDDPFGCVKGVIKADMMRTTFQRAKDAQDLGISIELLPLSRPDEDFNVSIFYAMLGLEDDELDQFKALTGERFEDLKDQLRKRLFKKRKVRRIQFIITNGLSIELNTYALIRPTNPGTITWLDSVSNLPIKAEKALFCGDTGTVIQEPPKRVQPYKNENIMFSVDEISEIKRVSTGHLRLLGFKPLSCLKDYHNLRPSTFVFPSDEEMIGSARIFIALHRSMTRLKRFAVAFYGNSTQSRLVALVAQDEITTSRGQVEPPGMHLIYLPYSDDIRHIEEFHADSNDLPHATDDQIKAASAVVRRIDLKDFSVCQFSNPALQRHYAVLQALALDEDEMPEMKDETVPDEEGMARPGIVKALEEFKLSVYGENYNEESDLASKGKANEASKKRKAIAENAAKEYTNYNWSDLADNGQLKELAVTELKYYLAAHNLPVSGKKELLISRILSHMGK >itb01g26590.t1 pep chromosome:ASM357664v1:1:31701244:31712003:1 gene:itb01g26590 transcript:itb01g26590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPDDVFRDEEDDPDGEFYQERDATKELLVYIVDASPKMFSTTSPAEDEKEASHFEIAVNCIAQSLRTQIINRSYDEVAICFYNTREKKNLQDLNCVYVYNVPERDDLDRPTARLIKEFDHIEERFSKEIGSKYGIEPGSRDNSLYSALWVAQALLRKGSAKTADKRILLFTNEDDPFGCVKGVIKADMMRTTFQRAKDAQDLGISIELLPLSRPDEDFNVSIFYAEMLGLEDDELDQFKALTGERFEDLKDQLRKRLFKKRKVRRIQFIITNGLSIELNTYALIRPTNPGTITWLDSVSNLPIKAEKALFCGDTGTVIQEPPKRVQPYKNENIMFSVDEISEIKRVSTGHLRLLGFKPLSCLKDYHNLRPSTFVFPSDEEMIGSARIFIALHRSMTRLKRFAVAFYGNSTQSRLVALVAQDEITTSRGQVEPPGMHLIYLPYSDDIRHIEEFHADSNDLPHATDDQIKAASAVVRRIDLKDFSVCQFSNPALQRHYAVLQALALDEDEMPEMKDETVPDEEGMARPGIVKALEEFKLSVYGENYNEESDLASKGKANEASKKRKAIAENAAKEYTNYNWSDLADNGQLKELAVTELKYYLAAHNLPVSGKKELLISRILSHMGK >itb08g04070.t1 pep chromosome:ASM357664v1:8:3247983:3249768:1 gene:itb08g04070 transcript:itb08g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMNLVNSWARITAPPHECFAGGFVGNPVKPKRFLKFRRRPVSGFAVSAVLLKQEEGLMVSVDGSFDFTAYMTEKACAVNKALDEAVSVKNPTTIHEAMRYSLLAGGKRVRPMLCIAACELVGGEQTDAMAAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVALLAGDALLSFAFEFLSTTAGAGASPSRILLAVGELAKSIGTEGLVAGQVVDIQCTGKPNVDLDELEFIHVHKTAALLEAAVVLGAILGGGTHEEVEKLRKFARCIGLLFQVVDDILDVTKSSEELGKTAGKDLVADKMTYPKLLGLEKAREFAEKLNAEAKEQLVEFDQQKAAPLIALADYIAYRQN >itb01g20190.t1 pep chromosome:ASM357664v1:1:26464740:26468382:1 gene:itb01g20190 transcript:itb01g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAAAFSLLLLLLSFLSVGGTFALKNQQKTWCVAKPSSDETTLKNNINYACSNVDCKILQKGCSCFYPDNFINHASIAMNLYYQANGRNYWNCHFGGSGLIVLTDPSGTFALKNQQKTWCVAKPSSDETTLKNNINYACSNVDCKILQKGCSCFYPDNFINHASIAMNLYYQANGRNYWNCHFGGSGLIVLTDPSYGSCYYA >itb10g20760.t1 pep chromosome:ASM357664v1:10:26200731:26205186:1 gene:itb10g20760 transcript:itb10g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPIKLHGYLFCYLLLIPTLTIADTITPTQPLTENQTLVSAGELFELGFFRPDNNSGKLYVGIWYKRIAVRTIVWVANRDKPVRNSSGILGIGEDGNIQLVDTTGNPMWSSSLNQSTARNTVAQLLDSGNFVLRRENDENPENYLWQSFDYPTDTLLPGMKLGWDAKTGRNRYISSWKTSTDPSEGDYTFKLDINGSSPEAYLRNRDKIIHRSGAWNGIRFSGAPGIGTEESITFSFVNIKQEIFYTFEIQNRTLSSRLLVNYTGYLERSTWIEANRIWNVFWYGPRDQCDYYKVCGTFGICDTNDSPVCECLVGFKPRNQQAWDLRDGSEGCLRVHETVCETDGFLTVEYMKLPETTTAFVDAAMSLDECREMCVRDCSCTAYTNSNISGGGSGCIIWTAELVDMRRFSAGSGQPFYVRVAASDADENGAVARTGDDSSGKRKKIILACGITFGIGLFLFGLFQLKRRKSRRVNRRTTEFRDKQKSSLLDWKTRFNIICGIARGLLYLHQDSSGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVTGKHNRGFYKQNNEENLLGLAWRLWRERRGLELRDSTMTSEAYSERDDDQVMRSIQVGLLCVQEKAEDRPNMATVMSMLSSEGALLPQPKQPGFCLGNNAAITSSSSSNHNHQSLSLNQLTVTALQGR >itb15g17530.t1 pep chromosome:ASM357664v1:15:18195770:18200924:-1 gene:itb15g17530 transcript:itb15g17530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIIELVEKYDPTKWPSLNATFLARGRTGRRCALIHWRKLLGGKTSKNEPPPWATVGLPRTSPINRHLRISTLTFCHQSYPEIRASRDEPKALAIYIAKYSHSFNVFTLGKFFAFSPLKNRPHSLGRRPYQIAEPPSLRLNRNFRMSDSDSWNVSGRDYDEGEEMRNDSFTPSTGGSTFDQIDEVEHVSADSDEHEGPSEASTSGQGKSPRVVITALFPHLSPHSVEDVAASLPRGAAQPTSKGSRQTPAAPGEASSSAPNPVEAGPAAISKQSEQKTFIR >itb13g22210.t1 pep chromosome:ASM357664v1:13:28549803:28552108:1 gene:itb13g22210 transcript:itb13g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVRLVVGALFLASPKYQTGGNIHAGGQIIKITQLTGNNHPIWSGSAWSSQPLGDSYVLPSSSPAVENLIGPSTTKVSPREDPSPNHGKGFCFLFYPSDLSPKTGFNSILNEYLMFVRFISGIAIGIYWFPDSIELD >itb09g26720.t1 pep chromosome:ASM357664v1:9:27424842:27428772:1 gene:itb09g26720 transcript:itb09g26720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVIGVKGNQRPKDGEANIERKKDGKRNRETHAEHKDGKQNLLGYSTEEESSNEVEKPFVGMVFESEEAARKYYDAYARHGGFSTHVGQYSRAKPDGPIVSWDFSCSREVFKRKNVESCNAVLRIERKNTENWIVTKFVEDHNHSVAGPSKVHYLRPRRHFAGASKNVVEIPDSQADAMVSMDGNHDALKYAEEGAVAVETYDAASSALREGSRKIAIMKKHVAKVRPPTALASGNPNDDSNKKTPSTTSDTGWPWQDAMPYNINLNDVGVPIGDLNQPTMTSVAINRDASFADNTVVYTCFKSMTWMIESKNPANKVAVINLKLQDYGKSPAGETEVQFRLTRVTLEPMLKSMAYINQQLSMPANRVAVINLKLQDTKTTSGETEVKFQVSRDALGSMLRSMAYIHEQL >itb15g09730.t1 pep chromosome:ASM357664v1:15:6999790:7002554:-1 gene:itb15g09730 transcript:itb15g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTFDHWSDNKDAVTVEMRQWFGSLVMDMSVSMLFGEDEVGDEGKFQRASRRLFELFGEPVVGDFIPWLRWLDIGGHEKAMRQTAMEIDSFAERWIEEHKRKRKDKCKEEEDFMDTMLSLFETASSHQSLPAGYDTDQIIKSTCLAMLLAATDTTSVTLTWALSLVLNNYKVLERIQDELNTHVGNKRCVEESDLKDLIYLQAVIKETMRLYPAVPLSVPHEAMENCIIDNYHIQKGTRLITNFVKIHRDPKVWTKPDEFIPERFLTNHKDVDVKGNNFELIPFGSGRRMCPGISLALEIVQLTLATLVHGFDMRRLSNEPIDLTESCGLTNFKATPLQALLIPRLESNLYG >itb02g05210.t1 pep chromosome:ASM357664v1:2:3125882:3128347:1 gene:itb02g05210 transcript:itb02g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEVVFIPGHGMGHLVPAVEMGKLLTSRAEWISVTFLIIGIPFETGVDSYTQSLSHGAPRLHFLSLPQPPPQRRENAAASSVSQDANALDHISAHKAAVRDVISDFCRSGRVIAGVVVDMFSTSMIDVADEFGVPSYVFYTSGAGFLGLKFYAQTLKDEHGRDISEYQDSEAELSVPSFARPVPAKVLPTPMLDKYGVLMVQHSGRMIRRTKGILINTFLELETHAIASLSDGNFPPVYPVGPLINRRGGGDEEVKRWLDEQPLSSVVFLCFGSYGSFSADQVKEIAAALERGGWRFLWSLRRPKVKGEFGAPGDYSDPKEILPETFLERTEARGKVIGWAPQMMVLSHPSIGGFVSHCGWNSILESVWCGVPVATWPMYAEQQINAFELVVELEMGVDIKTEYRSGTLMSPEKEDKNIQRPIIVSADEIECGIRKLMADHGRIIRKKMKDMKEKSRLALLEGGSSYNFLGHFINDLAHQAKYSRPRSSLQDSEKFPGR >itb02g05210.t2 pep chromosome:ASM357664v1:2:3125882:3127893:1 gene:itb02g05210 transcript:itb02g05210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEVVFIPGHGMGHLVPAVEMGKLLTSRAEWISVTFLIIGIPFETGVDSYTQSLSHGAPRLHFLSLPQPPPQRRENAAASSVSQDANALDHISAHKAAVRDVISDFCRSGRVIAGVVVDMFSTSMIDVADEFGVPSYVFYTSGAGFLGLKFYAQTLKDEHGRDISEYQDSEAELSVPSFARPVPAKVLPTPMLDKYGVLMVQHSGRMIRRTKGILINTFLELETHAIASLSDGNFPPVYPVGPLINRRGGGDEEVKRWLDEQPLSSVVFLCFGSYGSFSADQVKEIAAALERGGWRFLWSLRRPKVKGEFGAPGDYSDPKEILPETFLERTEARGKVIGWAPQMMVLSHPSIGGFVSHCGWNSILESVWCGVPVATWPMYAEQQINAFELVVELEMGVDIKTEYRSGTLMSPEKEDKNIQRPIIVSADEIECGIRKLMADHGRIIRKKMKDMKEKSRLALLEGGSSYNFLGHFINDLAHQAKYSRPRSSLQDSEKVKS >itb10g15800.t1 pep chromosome:ASM357664v1:10:22026807:22028949:-1 gene:itb10g15800 transcript:itb10g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLKFWRGGGSAAGTAAASAARSTDSSAATTTILTAVAPHFSDSDSETASDSDGPFFDLEFTAVPDEEDGESKSGGNDGGGGGGGDQINGHEHAGEDEGSEADDSDNEEEEEGELNLTFSTSSASSVDRTDPDVSLSPSDDLFFKGRLVPVESSSLLLNETEANSKFPVSLVKTATKFRVLMLKLKKPKANAEQKPEKSEPDGVNPAKPSPKGKAENEKDESQSRPEKKFFTVRFKVEEVNIKSLFTRDNSSKNAYNGKAAQKTNAEDSHSNVDSNSSSSSLAEEKKFSKEMMQKYLKMVKPLYIRVSKRYGEKLRFSGQLSLSGAGAKAALVASPPPPPPQPTAEKGEAEAAEVAEKTAVLSNVKSLKQGNLPAGLRVVRKHLRKSRSAPSPVVSSSGELSSRRRDDSLLQQQDGIQSAILHCKRSFNTTRDSNLSILSRTTSDASHEKPINLLAVADDSSSPKEVKPQSGKLSI >itb01g34740.t1 pep chromosome:ASM357664v1:1:37280978:37284626:1 gene:itb01g34740 transcript:itb01g34740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVDPPNGVMTPGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINKETNERVAIKKIHNAFENRIDALRTLREIKLLRHLRHANVISLKDVMLPIHKRSFKDVYLVYELMDTDLHQIIKSSQALTGDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTNSGKDQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQSEEDLEFIDNPKAKKYIKSLPYSPKTPFSRIYPNAHPMAIDLLQKMLVFDPSKRISVTEALQHPYMSSLYDPNSDPPAQVPINLDIDEDLGEEMIREMMWTEILHYHPEAAAAITNMELDLAAARA >itb08g00540.t1 pep chromosome:ASM357664v1:8:428830:432856:-1 gene:itb08g00540 transcript:itb08g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHTSPSIDAHQPSSSSTQLNFNPKTPSRTNLVVSGINSASPSRTIYGDRFIPSRSSSKFELFNVSLPPHSSSEDSSNAYTTLLRAALFGPDSGAVLPPLTPESSGRNLHSNSLNCNIFRYKTETRKSVHSLFPFGFDDQVPGVSPSPVKAPRKVPRSPFKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWHASSSKVVKLCDLGIDDSVSSVGWAQRGTHLAVGTSNGKVQIWDASRCKRIRTMEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRAQDDYVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNNHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTATNTHLNCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKIATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGSSCLGRTQIR >itb08g00540.t2 pep chromosome:ASM357664v1:8:429110:432856:-1 gene:itb08g00540 transcript:itb08g00540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHTSPSIDAHQPSSSSTQLNFNPKTPSRTNLVVSGINSASPSRTIYGDRFIPSRSSSKFELFNVSLPPHSSSEDSSNAYTTLLRAALFGPDSGAVLPPLTPESSGRNLHSNSLNCNIFRYKTETRKSVHSLFPFGFDDQVPGVSPSPVKAPRKVPRSPFKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWHASSSKVVKLCDLGIDDSVSSVGWAQRGTHLAVGTSNGKVQIWDASRCKRIRTMEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRAQDDYVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNNHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTATNTHLNCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKTIVTGAGDETLRFWNVFPSPKSQNTESEIGSSCLGRTQIR >itb11g13020.t1 pep chromosome:ASM357664v1:11:9941417:9941902:-1 gene:itb11g13020 transcript:itb11g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLAYRVTEGKSIPPVVMLIVFGFPGIVVALMEARTKGSVVEFPEQICDSALRLHKLIRRFAKKERGVCKNVGTDIVMETLFMVPLSVVLKTPRTLNKGPHTNATVEFATGGGPVELPQSRAPVRFSLIGLRRLNPWAVDAGVTAMIATHTNTKRVAEE >itb14g14820.t1 pep chromosome:ASM357664v1:14:17792855:17795953:-1 gene:itb14g14820 transcript:itb14g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTLKIRLFFLHWIHCRSHPSSVSLVKHFSYKDIKRATDGFRRILDTSSNGGVAYRARFQNGQVAFVKEIKILDDQDDDVFFGEVRLLARLHHRHIVALRGFSSGPKRFLVFESTENGSLKDHLSDPLKTPLNWRTRLQIAIGIAAALEYLHFFCDPPLYLATISSSTIMLDENFTAKLADISLLCPVGSDTMLPESSCSKVCRGERCKNLVFQLGVLILELITGQSAEDGGGDLVKWVQESRFRTSIYKMLDPDLGDEYDSQELKGLLTVARLCIKSANKPAVKTSQILWYLQNKIRITQDVVQ >itb14g14820.t2 pep chromosome:ASM357664v1:14:17793131:17795696:-1 gene:itb14g14820 transcript:itb14g14820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTLKIRLFFLHWIHCRSHPSSVSLVKHFSYKDIKRATDGFRRILDTSSNGGVAYRARFQNGQVAFVKEIKILDDQDDDVFFGEVRLLARLHHRHIVALRGFSSGPKRYVVSFFRLEYSSIHFSDSLLRFSNNFRFLVFESTENGSLKDHLSDPLKTPLNWRTRLQIAIGIAAALEYLHFFCDPPLYLATISSSTIMLDENFTAKLADISLLCPVGSDTMLPESSCSKVCRGERCKNLVFQLGVLILELITGQSAEDGGGDLVKWVQESRFRTSIYKMLDPDLGDEYDSQELKGLLTVARLCIKSANKPAVKTSQILWYLQNKIRITQDVVQ >itb13g23600.t1 pep chromosome:ASM357664v1:13:29532938:29535908:-1 gene:itb13g23600 transcript:itb13g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XRI1 [Source:Projected from Arabidopsis thaliana (AT5G48720) UniProtKB/TrEMBL;Acc:A0A178UAQ4] MDLSNTWEWRGGDFSLGDDANIDIPDYLLSQDEDLSFMFDDEGATPVKSYGDLPYQFSSCGNNDKEPSSQVKRRRMLEFGSEGLGSLCNEEPSSASMNSKEDENFKSEISQWVSGFADMPASGNENLDQSPERWISDCFNDVEMQFSPENPGVSADAASDVQLDTAGFCNKALGSGSNVIQQHHPVKTRRNIIIKGRKSHMQSPKVTSSVVYPFDFVKPCGVHGDVTLNDINQRISTPPLPKKNESASVLYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >itb01g14980.t1 pep chromosome:ASM357664v1:1:17078082:17079580:1 gene:itb01g14980 transcript:itb01g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFNKLRNLDAYPKINEDFYNRTLSGGVITLVSSIVMLLLFFSEFSMFRSSPSSIYPLRYFWLRSLYRSMVADWSIMRHTVVHALEQKCQMMIVVIRVKKFVKHT >itb13g21970.t1 pep chromosome:ASM357664v1:13:28419454:28420310:-1 gene:itb13g21970 transcript:itb13g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYTKKELTNIGLDAFALIDQFYGARKGGRNQQLPNYGGAHARPLPPPPAAAAAPIVARNNHYSTASSSGYSRRVQYQGYNYRYSPSESHVGWAPVVAVTAETTTVIRGGYEAGDAHILMDKYKY >itb13g02570.t1 pep chromosome:ASM357664v1:13:2445997:2464917:-1 gene:itb13g02570 transcript:itb13g02570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKHLLTLIESSLLGPTPPPPSQKVELLHAIRHSLPSLRSLVSYPPPKPSDRAQVQSKEVRLPDSGPISLDDQDVQIALKLSDDLHLNEIECVRLLISANQEWGLLGRDPLEILRLAAGLWYTERRDLITALYMLLRAVVLDQGLDADLVTDLQRYLEDLINAGVRQRLITLIKELNREEPAGLGGPNCELYILDSRGALVERRAVVSRERLILTHCLILSVLIVRASPKDIKDVFSALKDSAVELNSNTDTLKQQITHGLLFSLVVALVSDALSAVPDKASILTRDASFRHEFQESVMVTVEDPVVKGYVSCVRHAWLVHLMLIHDGVDAQETGPIVSSHDLRHINTCLDVIFSDNVFQFWMKNILQTPAYQNDDEDMVYMYNAYLHKMMTCFLSHPLARDKVKEVKDKAMTELSPYRMASSHDHMVDRSMHAQKTEPAPQAFISLLEFVSEIYQREPELLSGNDVLWTFVYFAGEDHTNFHTLVAFLKMLSTLASSAEGSSKVFELLQGKTFRSIGWSTLFSCLSIYEEKFKQAIQSPGAMLPEIEEGDAKALVAYLNVLQKVVEKANPIERKNWFADIEPLFKLLGYENVPPYLKGALRNTIASFINISPVMKDTIWRYLEQYDLPVVVGHLAGSTGQPMATQVYDMRFELNEIEARREQYPSTISFINLLNTLIAEEKDVTDRGRRFIGIFRFIYDQVFGPFPQRAYADPSEKWQLVIACLNHFRMMLSMYDIRDEDIDSIADDSRLSDVGQSAPLQMQLPVIELMKDFMSGKSVFRNVMSILSPGVNFLINERTSQSHGQLLEKAVLLSLEIIVLILEKDLIVSDFWRPVYQPLDVILSQDHNQVLALLEYVRYDMQPHIQLCSIKIMSVLSSRMVGLVQLLLKSNAAGCLIEDYAACLELRSEECQFIEDSNEDPGILILQLLIDNISRPAPNITHLLLKFDLDSPIERTMLQPKFHYSCLKVILDQLEKLFKPDANALLHEFGFQLLYELCIDPLTCGPIMDLLSTKRYQFFIKHVDTIGVAPLPKRNNSQALRISSLHQRAWLLKLLAVELHAADMSSSTHREACQSIISELFGLGNSDDSIAPGSPKIAGAKMISKNKVLELLDIVQFKTPDTSSKSSQIVSSVKYGFLAEDILSNPATTEKGGLYYYSERGDRLIDMTAFRDKLWQLSSFGTDAELNEIRETVQQLLRWGWKYNKNLEEQAAQLHVLTGWSHVVEICASRRLSSLQSRTEILFQLLDASLNASGSPDCSLKMAQILTQVGLTCMAKLRDVRFLCPGGLQSETVTYLDIIMTKQLSNGSCHSILFKLIMAILRQESSEALRRRQYTLLLSYFQYCQHMLDPSIPNSVMQFFPMDEQDNEDSDLEKIVKDQAELSHANFSILRKDAQAILDLVIKDATHGSESGKTVSLYVLDALISIDHEKFFLNQLQSRGFLRSCLISINNFSYQDGFPLESMQRVCTLEAELALLLRISYKYRKSGAQVLFSMDALEHISSCKALKMQIKGSHHRFETKFGRELSVDVDKQRMVIAPILRLVFSLTSLVDTSEFFQVSNKVVRGVLEFIRGHALLFDQILREDLSGADELTMEQVNLVVSILTKIWPYEASDDYGFVQGLFTMMRVIFSLDLDSFISNKSMCYIENRRKAEVNTSCLCFSLSSYLYFLVTKKSLRLQVSDGPMDYRASAGQQQPTLALLGFLLNSLTTALEKAAEERYLLFNKIQDINELSRQEVDEIINMCARQGSVSSSENIQKRRYIAMMEMCRIVGDRNKLITILLLVSENVMNIILFHFQDSSYECNSSESVKRLTYGSKPDTNEGFSLFCGKLISTLERLGLLSEDKIGHDLKVFHRLASSLKETAIQKLAL >itb13g02570.t2 pep chromosome:ASM357664v1:13:2445997:2464917:-1 gene:itb13g02570 transcript:itb13g02570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKHLLTLIESSLLGPTPPPPSQKVELLHAIRHSLPSLRSLVSYPPPKPSDRAQVQSKEVRLPDSGPISLDDQDVQIALKLSDDLHLNEIECVRLLISANQEWGLLGRDPLEILRLAAGLWYTERRDLITALYMLLRAVVLDQGLDADLVTDLQRYLEDLINAGVRQRLITLIKELNREEPAGLGGPNCELYILDSRGALVERRAVVSRERLILTHCLILSVLIVRASPKDIKDVFSALKDSAVELNSNTDTLKQQITHGLLFSLVVALVSDALSAVPDKASILTRDASFRHEFQESVMVTVEDPVVKGYVSCVRHAWLVHLMLIHDGVDAQETGPIVSSHDLRHINTCLDVIFSDNVFQFWMKNILQTPAYQNDDEDMVYMYNAYLHKMMTCFLSHPLARDKVKEVKDKAMTELSPYRMASSHDHMVDRSMHAQKTEPAPQAFISLLEFVSEIYQREPELLSGNDVLWTFVYFAGEDHTNFHTLVAFLKMLSTLASSAEGSSKVFELLQGKTFRSIGWSTLFSCLSIYEEKFKQAIQSPGAMLPEIEEGDAKALVAYLNVLQKVVEKANPIERKNWFADIEPLFKLLGYENVPPYLKGALRNTIASFINISPVMKDTIWRYLEQYDLPVVVGHLAGSTGQPMATQVYDMRFELNEIEARREQYPSTISFINLLNTLIAEEKDVTDRGRRFIGIFRFIYDQVFGPFPQRAYADPSEKWQLVIACLNHFRMMLSMYDIRDEDIDSIADDSRLSDVGQSAPLQMQLPVIELMKDFMSGKSVFRNVMSILSPGVNFLINERTSQSHGQLLEKAVLLSLEIIVLILEKDLIVSDFWRPVYQPLDVILSQDHNQVLALLEYVRYDMQPHIQLCSIKIMSVLSSRMVGLVQLLLKSNAAGCLIEDYAACLELRSEECQFIEDSNEDPGILILQLLIDNISRPAPNITHLLLKFDLDSPIERTMLQPKFHYSCLKVILDQLEKLFKPDANALLHEFGFQLLYELCIDPLTCGPIMDLLSTKRYQFFIKHVDTIGVAPLPKRNNSQALRISSLHQRAWLLKLLAVELHAADMSSSTHREACQSIISELFGLGNSDDSIAPGSPKIAGAKMISKNKVLELLDIVQFKTPDTSSKSSQIVSSVKYGFLAEDILSNPATTEKGGLYYYSERGDRLIDMTAFRDKLWQKYNLYNPQLSSFGTDAELNEIRETVQQLLRWGWKYNKNLEEQAAQLHVLTGWSHVVEICASRRLSSLQSRTEILFQLLDASLNASGSPDCSLKMAQILTQVGLTCMAKLRDVRFLCPGGLQSETVTYLDIIMTKQLSNGSCHSILFKLIMAILRQESSEALRRRQYTLLLSYFQYCQHMLDPSIPNSVMQFFPMDEQDNEDSDLEKIVKDQAELSHANFSILRKDAQAILDLVIKDATHGSESGKTVSLYVLDALISIDHEKFFLNQLQSRGFLRSCLISINNFSYQDGFPLESMQRVCTLEAELALLLRISYKYRKSGAQVLFSMDALEHISSCKALKMQIKGSHHRFETKFGRELSVDVDKQRMVIAPILRLVFSLTSLVDTSEFFQVSNKVVRGVLEFIRGHALLFDQILREDLSGADELTMEQVNLVVSILTKIWPYEASDDYGFVQGLFTMMRVIFSLDLDSFISNKSMCYIENRRKAEVNTSCLCFSLSSYLYFLVTKKSLRLQVSDGPMDYRASAGQQQPTLALLGFLLNSLTTALEKAAEERYLLFNKIQDINELSRQEVDEIINMCARQGSVSSSENIQKRRYIAMMEMCRIVGDRNKLITILLLVSENVMNIILFHFQDSSYECNSSESVKRLTYGSKPDTNEGFSLFCGKLISTLERLGLLSEDKIGHDLKVFHRLASSLKETAIQKLAL >itb14g01590.t1 pep chromosome:ASM357664v1:14:1199640:1201581:1 gene:itb14g01590 transcript:itb14g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPERSRPLHNFTLPCELKWGNQKFLRCVKLDSNGEIAAVHRRSNGSSEPPSTAARRRLATAGAGVHRSGSNGEMGAGKKASPEKFDDGIASVREKLMFDLQTAADKMKDAILREGLKEEEEHGEEPAAAAAMEDDNPHSPPPPTTVPPPESAAADPNKPWSLRTRRSAVKPPNGFAAADGGGGGSRPENNSPLPIHRSGVAAAAPPSVPSGEKRERAKFAVPLARREIEEDFMAIVGHRPARRPKKRAKLVQKNLDTLFPGLWLTEITADLYKVPDDQ >itb12g05470.t1 pep chromosome:ASM357664v1:12:4036817:4037146:1 gene:itb12g05470 transcript:itb12g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGTSHHGWPLGLLDRLDPCLVVFSNYLPILCCQVTILKLGKLTQDPANIPLKSSYGQKTIQGNGNMIIHLGTVTNTIEGFEASFHENNLHRDQAFRLLEQKCKQQV >itb14g00550.t1 pep chromosome:ASM357664v1:14:387153:396125:1 gene:itb14g00550 transcript:itb14g00550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEALSVIPAAVLRNLSDKLYEKRKNAALELEGIVKQLAAAGDHDKITAVINLLTEEYTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPVSVDYGRMAEILVQRAGSPDEFTRLTAITWINELVKLGGDQLVPYYADILGAILPCIADKEEKIRVVARETNDELRSIKADPAEGFDVEALLTISRRQLSSEFEATRIEALHWMFTLLNRHRNEVLVFLNDVFDTLLKALSDPSDEVVLLVLEVHACIAKEKQHFRQLIVFLVHSFRVDNSLLEKRGALIVRRLCVLLDAERVYRELSTILEGESDLDFASVMVQALNLILLTSSELSDLRDLLKQSLVNTAGKDLFLSLYASWCHSPMAVISLCFLAQAYQHASSVIQSLVEEDVNVKFLVQLDKLINLLETPTFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKVLRTRLKTVPKYSFSGEHLRRTPSGNPYSQISYLRGGSHISEDGDTSEDSHDMHNAINFSSRLQQFEQIQQQHRVHSKSQAHLSHNSMSSTKFVQEVQRPEDSKRPNQAQDLSRPPSRSSRKGPGQLQL >itb14g00550.t2 pep chromosome:ASM357664v1:14:387153:394760:1 gene:itb14g00550 transcript:itb14g00550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEALSVIPAAVLRNLSDKLYEKRKNAALELEGIVKQLAAAGDHDKITAVINLLTEEYTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPVSVDYGRMAEILVQRAGSPDEFTRLTAITWINELVKLGGDQLVPYYADILGAILPCIADKEEKIRVVARETNDELRSIKADPAEGFDVEALLTISRRQLSSEFEATRIEALHWMFTLLNRHRNEVLVFLNDVFDTLLKALSDPSDEVVLLVLEVHACIAKEKQHFRQLIVFLVHSFRVDNSLLEKRGALIVRRLCVLLDAERVYRELSTILEGESDLDFASVMVQALNLILLTSSELSDLRDLLKQSLVNTAGKDLFLSLYASWCHSPMAVISLCFLAQAYQHASSVIQSLVEEDVNVKFLVQLDKLINLLETPTFAYLRLQLLEPGRYIWLLKALYGLLMLLPQVCCAS >itb15g13630.t1 pep chromosome:ASM357664v1:15:11650602:11656259:1 gene:itb15g13630 transcript:itb15g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQISQQVGLVQTEQHLINTGVQTLNTRVDYLEGAVTRIEDYQAWHRSQFPPSRPPYCPYDPSAGPTAQTFAPLFIERPPPLRLLRLPQPPLLQSLKLPLLLRLLRPRLQPLLHLQPQSRANTPESAPSASMPVSSPPAATPVKSLPAAAAVQSPPSTKPEAATPAAIFPLPQRSAYISDSCSC >itb01g04430.t1 pep chromosome:ASM357664v1:1:2989749:2992836:1 gene:itb01g04430 transcript:itb01g04430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQLGYILCFLLEFLLISLSTGTTFQNLGNYCWNTPTYTPNITYQSQNNSSTVASGDGTNATVYELFTCRDDASAGSCGRCVSDDLNTTQLGLCPKEQTDIIWYDYCILRYSNGSADQLVRLTSQNGSRTTGDVSFPQVVGNTLEQMTTQVTNERFATLEANSTASNPRIYTLGLCTPDLSDDNDCKTCLTNAIQERISSFGGNKSSITPICNVKYELFSSYNRTPASAPPPPPPPPPPNSTTTPVTTSENRGSSSSKVIVAVVVPITGIILLTAVFCFLRIRKAKKRHTRLLKTDMNGVSAEESSQYDLAMIKAITSDFSLKCKIGQGGYGSVYKGMLSNGQEVAIKRLSKSSKQGAQEFKNEVEVVVKLQHRNLVRLLGFCSEGEEKILIYEFVPNKSLDYFLFDVDKQYLLDWPRRYKIIRGIARGLLYLHEDSRLKIIHRDLKAGNILLDANMDPKIADFGLARIVEVDQIEGNTNRIVGTYGYMSPEYAMHGEFSVKSDVFSLGVILLEIITGKKNRNISKGNRTVDLLGYAWEYWRDDTPLEILDPVLAESYNVNEVIQCIHISLLCVQEVAVERPTMAEVMLMLSSYSSNRWSAPREPAFYHGGREGILKESESEKSASINEVSISELHPR >itb09g21760.t1 pep chromosome:ASM357664v1:9:19866238:19867123:-1 gene:itb09g21760 transcript:itb09g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKLHCFNPLHNCKRLIRKLQTLASSTSRGSHESGLIDKNRSLGGLSKSGSVDEARVVFDKMPERDEFTWNAMISTYAETGRLAEARQLFDKAPNKSSITWSTMISGYCKYGAESEGFELFWAMQNGGHRPSQSTLGSILRICSIKCLLLRGEQIHGLAIKTGFDHNLFVTIGLVDMYAKCMRVVEAEIVFKMMPSGKNHVTWTAMIIGCTVVFSVVADQQQAGGENSDNGHGYYRETGRSAEGW >itb10g16230.t1 pep chromosome:ASM357664v1:10:22490505:22496680:1 gene:itb10g16230 transcript:itb10g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVFLLLGFLLLLPCVVMGGHDYAQALSKSFLFYEAQRSGYLPHTQRVQWRGNSGLLDGKASGVDLIGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGRQMGASGELSHAMDAVKWGTDYLIKAHPQPDVLYGEVGDGNTDHYCWQRPEDMTTSRTAYKIDPSHPGSDLAGETAAAMAAASIVFRRYNPSYSNELLKHAYQLFEFADKYRGKYDSSITVAQKYYRSVSGYMDELLWAAAWLYEASNNEYYLNYLGENGAALGGTGWAMTEFGWDVKYAGVQTLVAKILMRGNAGRHAAVLEKYQQKAEYFMCSCLGKGSRNVQRTPGGLIFRQRWNNMQFVTSASFLLTAYSDYLSSAGKSLKCSSGYVPPSALLSFAQSQVDYILGDNPRATSYMVGYGNNYPKQIHHRASSIVSIKVDPTFVTCRGGYATWYGRKASDPNLLVGALVGGPDAYDNFADQRDNYEQTEPATYNNAPFIGVLARLHAGHSGYNQLLAAPVPHPQPKPAPEAKPSPISPPIVTPAPVSSSAEIGVAQRVTKSWVSKGKTYHRYSAIVTNKSAKSLKDLKLSISKLYGPLWGLSKYGNSYALPKWQKSLAAGKSLEFVYIHAASPAQVSVSAYNLV >itb06g10230.t1 pep chromosome:ASM357664v1:6:14558407:14561654:-1 gene:itb06g10230 transcript:itb06g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G11160) UniProtKB/Swiss-Prot;Acc:Q9LFP0] MFAAENGLRGDPRLQGISEAIRVVPHFPKPGIMFQDITTLLLNHKVFKDTVDIFVDRYKDMDISVVAGIEARGFMFGPSIALAIGAKFVPLRKPGKLPGELISESYELEYGQDCLEMHVGAVQKGDRAVVIDDLVATGGTLSAAIKLLERMGAEVVECGCVIGLPDVKGQSRLKGKPLYILVEPRELGDCF >itb14g20820.t1 pep chromosome:ASM357664v1:14:23101532:23102624:1 gene:itb14g20820 transcript:itb14g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVKADVTELNLIFTPPQKSSATFKLSNLMHAMPVAVSLTSTNSLLFSFSQSFAVLKPLSTASFTVFLTRPVDRNPLSSPSDSVLVRSVLFPAGKATQDDLRQVFSRPGPHIFRDAKIPVKSFCGWVTGNGDLNQNKTPFDLARERNQSSLYGALSSGEELQRAARSGDVAAVKKCLAEGADVNGRDQNGWTALHRAAFKGRMECVKVLVSHGAEVDAVDDSGYAPLHRAGEVGHRAVALYLIAHGAKANLKGIKAKTLVSKDFDCYLKNDSTSLLVNFKNLS >itb07g11780.t1 pep chromosome:ASM357664v1:7:13295692:13296456:-1 gene:itb07g11780 transcript:itb07g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQPRTLEQICYHWWGRKVKNRLEKFMIERLPIVIIWELWVGFTQCRYGKGKSSASRIKFKVAKGIAECITRKWPRWDPFPPNWEAILRRTKGFGVQKVVTKDGWCKPPRGWIKVNMAEGVGGKSCSFFIRNTKGQFCLAGVLSVQEGQSLNEVRGLMVQEIWAWCRRKKLVNVEFESDEPRLLREKAMIQGGKTVWNRCKASVNCLASCLVERCEGQSLIILREGGLPDGFWRLVSLEGIPHFAVTPGQDYA >itb06g19710.t1 pep chromosome:ASM357664v1:6:22929718:22931197:1 gene:itb06g19710 transcript:itb06g19710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYGGLGLAFMLGLLHFLGLFINANAFTASGWQRAHATFYGGSDASGTMGGACGYGNLYTTRYGTRTAALSTALFNDGASCGQCYKIICDFKVEPRWCRKGVSVTITATNFCPPNYALPSDNGGWCNPPRPHFDMAQPAWEKIGIYSGGIVPVIYQRVPCKKRGGVRFTINGHDYFELVLVTNVGGAGSIRSVQIKGSKTNWMTMSRNWGVNWQSNAYLTGQSLSLRVTSSDGVTMTFLNVAPSNWRFGQTFASPLQFS >itb15g04020.t1 pep chromosome:ASM357664v1:15:2517732:2523549:-1 gene:itb15g04020 transcript:itb15g04020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSISSPSKRQALFICTLILLWYSSNIGVLLLNKFLLSNYGFAFPVFLTMCHMAACAVLSYVSIVFLKVVPFQRIKSRSQFLRISTLSVVFCGSVVGGNISLRFLPVSFNQAVGATTPFFTALFAFLMTRKREAWVTYAALVPVVGGVVIASGGEPSFHWYGFIMCVSATAARAFKSVLQGVLLSSEGEKLNSMNLLLYMSPIAVLVLLPATLVLEPNVIDVTLTLATKHRYLWLLLLVNSSMAYGANLLNFLVTKNTSALTLQVLGNAKGAVAVVISILLFRNPVTFIGIAGYTMTVMGVVGYGEAKRRFNFGINAAYKEPPTQEVIEFAERLSYYGIASSLIIYLTKIIHQDLKTAAKSVNYWIGVTTLMPLFGGFLADAFLGRFSTVLASSIVYLLGLLLLTLSRVIPSLKPCEGDVCGGRGKVHETIFFVAIYLISVGTGGHKPSLESFGADQFDDDHTEERKKKMSFFNWWNFGLCSGLLIGVTLIVYVQDHVSWALADIILSAVMGFSIVIFCVGRPVYRFRKAAGSPLTPLLQVLVVAIRKRNLDCPSNPAFSYMKSLNQNMRGGFSVTPENSTAIVEEKAGNSSNPWRLATVTKVEEMKLLINMVPIWLTTLPFGTCVAQGTTFFIKQERQGTSGGSPSSRESDSDPDPDPDSLEDGEPPLVPCRSSQYGYEDSVIDCDGNDHSDGSQRVDRRRRDLKAIDDLPVQYRTLLDEERRALSHARTKRQSG >itb01g14450.t1 pep chromosome:ASM357664v1:1:16246820:16257504:1 gene:itb01g14450 transcript:itb01g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAFKGFGKNSGPTEPPRAQTLFGNFPRTPSPPPASFEEFQAAESLHSLPLAFDRNHAITVPSHPSVGVRNSESRPNWTYGQKSVYKDLDAPTDEGSSPHLPPITSNSSGIGVSHTASQVQDLKRIRSPPSLPVDERILRNSRMTRGSHSELIFNDPGHLTAQQMQSPPPTFQNNLGYLVTQRPQSPPLGFRNSPPIGNQTPPFGEVQRPSLSSPLKGNQSQSPRNFAIPLAQQKIPTISTYLDTYDSAKNMPTKPTDQVSKRSRSPPILPSNGDSFQNSVYGVHNSKRPSSSPPKLRQNFPSSAPGSQTHQQSLTSGHHNSADIGLMKPMNLPVAKRTKLPFVRTFDHVLEDESSTVQDDSERESLAKAKRLARFKNDLHQPVQSDPGPQDQKVVAKRQHELVVERQKIIGESSASTTGDFSNGNMISDYEGPESSGIIIGSCLDMCPESERAERERKGDLDRYERLDGERNQTSKSLAVKKYTRTAERDAELIRPMPILQQTMDYLLNLLNQPYDDKFLGLYNFLWDRMRAVRMDLRMQHIFNLEAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQLYDDHRKKGIDVPTEREFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPDMRQTPEIVFARDVARACRTGNFIAFFRLARKASYLQACLMHAHFAKLRTQALASLHCGLQNNQGIPVSQVAKWLGMEEEDIESLLVYHGLTIKEFKEPYMVKEGSFLNVDNDYLVRCSRLVYGKKSRAIVEDVFCTHLAETISSIKEIEPQLDKVEENPASVQFLESDSFNQAIDEDMPDYETMSSPKDKVKIMPVFKMPIHKKGQDESVVIPTSPKVSAAHGPPESPKDIFRSSGKLKYATVFGSSLDKVEQIEATETPFQLTASRVEQERLPVGQTDFVEKSSVPQHLPVEVMEDEEQLISCQQAETDVAEAGYYDEEVAEAKLKLIIRIWRRHSSKKRELREQKKLAAKAALSSLSLGPPIWHYKTQPNLLGDFNIDGVMLKRYEIQQKSWSRLNVSDAVVSKLSGKNNAANCLCWKVILCCHDDMQYLNKPSQRNEVDKLAAGSWLLSKLIPANDGIDDELVLSSPRLSIWKKCIPNVSGGELKSCFSVIKKTEFDNLSETVAGASAIVFLVSECFPWEIQKKRLRELLMALPYGSCLPLLILSSSCKNFLDPSTITEKLGLHDIDKSQVNAFCIVFLKDDPTEQLSGFFSDEQLRQGLEWLADESPPQPVLHHVKTRELVLYHLNPLLEALDKINAQNTNPNALISAFNEALDQSAREVAAAAQATPTCWPCPEIALLEQCGSQNSYFLQYLPSIGWSSAARIEPHVHAIVGCKLPAFEEDASWLYKGSDKNSEIEYQMSQLENCLFKYFTETSKLMGRSIAAKEVNIMLQKYTRLQLHNCNFYLMPNWVMVFRRAFNWQLMNLAHGRFSSVYVLKQPELSVSPQAVSVSVSNLNIEDGSVLPFVLVQPSLDEMVEVGCTPFASESDILNTQGCFETRWPMALDDHNIEKAVEPLGDEMNVDQDGTFATSYNHATTQVNNKGGESLPATKASKGANKLSELLEKCNLVQNMIDKKLSIYF >itb01g30450.t1 pep chromosome:ASM357664v1:1:34487939:34491328:1 gene:itb01g30450 transcript:itb01g30450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTPHHFNTSFSHFFLFFLFFLFVSLGYFRAVEGLSPDGEALLSLLKSADPYSKSSSSVLSSWNPSTPTPCSWKGITCSPQERVISLSIPNTFLNLSSLPSHLSSLSSLQLLNLSSTNISGSIPPSFGDLTHLRLLDLSSNSLMGAIPSELGKLTSLQFLFLNSNRLTGQIPYQLSNLSSLQILCFQDNLLNGSIPSQLGSLVSLQQFRIGGNPYLTGEIPPQLGMLTNLTMFGAAATGLSGQIPPTFGNLKSLQTLALYDSEVFGSIPPELGMCSELTNLYLHMNKLSGSIPPQLGRLQKLTSLLLWGNSLTGPIPAELSNCSSLVVLDVSANDLSGQILGDLGKLVVLEQLHLSDNALIGAIPWQLSNCSSLTTLQLDKNQLSGPIPWHVGKLKYLQSFFLWGNSVSGTIPASFGNCSELYALDLSRNKLTGSIPEEIFSLNKLSKLLLLGNSLTGRLPRSVARCQSLVRLRLGENQLSGQIPKELGQLQNLVFLDLYMNHFSGGLPAEISNITVLELLDVHNNYLTGAIPSQLGELVNLEQLDLSRNSFTGEIPWSFGNLSYLNKLILNNNLFTGSVPKSIRNLQKLTLLDLSFNSFSGPIPPEIGYVTSLTISLDLSSNHFTGEIPETFSGLTQLQSLDLSHNTLSGRITILSLLTSLTSLNVSYNNFLGPIPVTPFFRTLTPSSFLENPKLCESIDGDSCSSHLNGRSGLKSAQTIVLVIVVLTSVALAVAATWILVTRNHRYIFEKSSGLSGSSDGEDDFSYPWTFIPFQKLNFSIDNILDCLKDENIIGKGFSGVVYRAEMPNGELIAVKKLWKTKRDEEPVDSFAAEIQILGHIRHRNIVKLLGYCSNKSIKLLLYNYISNGNLQQLLQSNRNLDWETRYKVAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSRYEAYLADFGLAKLMSSPNYHHAISKVAEYGYTVNITEKSDVYSYGVVLLEILSGRSAVESQVGDGLHIVEWVKKKMGSFEPAVTILDSKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEIGKTSQPLIKQQPSSTQS >itb11g16750.t3 pep chromosome:ASM357664v1:11:15270109:15271993:-1 gene:itb11g16750 transcript:itb11g16750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSFSKLSTMGPMFPRRFIATIGTPLDTVSQDASTSDSLVAPRIKFKRLDKTAKHIMQILDKEAVEEVRTQKEIPDIRPGYILQLKVEIPENKRRVSIIKGIVIARRNAGLNTTFRLRRLVAGVGIESLFHLYSPNIKEVKVVDKKKVRRAKLYYLRDRMNALKKQ >itb11g16750.t1 pep chromosome:ASM357664v1:11:15270022:15274904:-1 gene:itb11g16750 transcript:itb11g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRFSTQLRTLYPPPSSAFKPNHIAAASTTTHPSTASGNVDSGKRRALGHNIGTREGFPVDSKKNGVASVSSCYMDPRSSFSKLSTMGPMFPRRFIATIGTPLDTVSQDASTSDSLVAPRIKFKRLDKTAKHIMQILDKEAVEEVRTQKEIPDIRPGYILQLKVEIPENKRRVSIIKGIVIARRNAGLNTTFRLRRLVAGVGIESLFHLYSPNIKEVKVVDKKKVRRAKLYYLRDRMNALKKQ >itb11g16750.t2 pep chromosome:ASM357664v1:11:15270109:15274904:-1 gene:itb11g16750 transcript:itb11g16750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRFSTQLRTLYPPPSSAFKPNHIAAASTTTHPSTASGNVDSGKRRALGHNIGTREGFPVDSKKNGVASVSSCYMDPRSSFSKLSTMGPMFPRRFIATIGTPLDTVSQDASTSDSLVAPRIKFKRLDKTAKHIMQILDKEAVEEVRTQKEIPDIRPGYILQLKVEIPENKRRVSIIKGIVIARRNAGLNTTFRLRRLVAGILSQYKGGEGGGQEEGEEGQAVLSQG >itb11g23330.t1 pep chromosome:ASM357664v1:11:25296415:25298281:1 gene:itb11g23330 transcript:itb11g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH14 [Source:Projected from Arabidopsis thaliana (AT4G00870) UniProtKB/Swiss-Prot;Acc:O23090] MDELIGTSSSSSSITASLTQPNHSTLQQRLQFILKTQTDSWAYAIFWQTSNDDDGRLFLAWGDGFFQGTKGGGRGGVQTSHSTQQSERKKVISGIQALIGTQNSENGDLITDAEWFYVMSLARSFSAGDGVPGKALSMGSLVWLTGAHRLQMYNCERAKEAQIHGIQTLVCIPTGAGVVELGSLEIIKENWTLVQQVKSLFPFPQETTLSFADIGLVSTHELQQGRPGDAEKQDAPKRGADPESEHSDSDCLFLKEHPSVDRQQQNAPKKRGRKPGAGRDTPVNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLSDAVSYINSLKAKVEELECQLQLRESKKVKIEAVGDNQSSTSAASSAASPVDVEVKIVGPDAMIRVQSENANYPSAKLMNGLRELELAVHHASISSVNDIMLQDIVVKVPEGLRSEDGLRAALLRRLDQH >itb07g20280.t1 pep chromosome:ASM357664v1:7:24669422:24671306:-1 gene:itb07g20280 transcript:itb07g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILADDPEPENVQDSKQHSPSPSSSSQKEENREETEHVDAPDPSTTASGASRWSFGGLIQTLASRSESVLETYRRDLEEFGTGLKKETVLFREVASRAVKELPASIEVGASVAQGSLGSVGKTIDGVFKSTADIISQGKDTLLASSDAESETPDTNRSLDSRRYSRFDAQLTAIQNDPASFTEEPEDLEDYRKWKSEFNLNDQRTEFEDLIGENGGLEGVYKRLVPSVVDEDTFWCRYFYRVHKLKQQESVRASLVMRAISVDDEEELTWDVDDEDDTDDDDEPNSSAKSKGQEQGNNQAGNQDSGKITEPVIAKKDELQNVSSDISDEKGISEANADKSSVTGSSENKDKEVEGATLVKSIEKGVPSGKQNDVCEQQTEQEEEDFGWDEIGDIDSGDEKKAAPRGESANIADVRKRLAEDDDDENLSWDIEDDDEPIKA >itb01g15260.t1 pep chromosome:ASM357664v1:1:17619512:17624819:-1 gene:itb01g15260 transcript:itb01g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHFFNGITCCTRTYSTTPPSAPPPPEFPPVSCRSFPGEAAMYGALNFAATPVAGPLSTRKAAVSNSRSSRVFLVRASSENPTVSTSVSTKSGASFSAPPNFKAPQPKPFNVRGDKLLDIFGASLALLFRLGTGALVSGYSASFVDKKEIPPDQYALEIAGFAVKETSKLGPRPEKPIEIYEFESCPFCRKVREIVAILDLDVLFYPCPRNGPNFRPKVAQMGGKQQFPYMVDPNTGVAMYESDEIIKYLVGKYGDGQVPLLLSLGLLTTLTEGFAMIGRMGKGSSYTPSKLPPKPLEIWAYEPSPFCKIVREVLVELELPHILHSCARGSPKRQSLYQRVGHFQVPYLEDPNTGVQMFESAEIVEYLRATYAL >itb01g15260.t2 pep chromosome:ASM357664v1:1:17619512:17624819:-1 gene:itb01g15260 transcript:itb01g15260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHFFNGITCCTRTYSTTPPSAPPPPEFPPVSCRSFPGEAAMYGALNFAATPVAGPLSTRKAAVSNSRSSRVFLVRASSENPTVSTSVSTKSGASFSAPPNFKAPQPKPFNVRGDKLLDIFGASLALLFRLGTGALVSGYSASFVDKKEIPPDQYALEIAGFAVKETSKLGPRPEKPIEIYEFESCPFCRKVREIVAILDLDVLFYPCPRNGPNFRPKVAQMGGKQQFPYMVDPNTGVAMYESDEIIKYLVGKYGDGQVPLLLSLGLLTTLTEGFAMIGRMGKGSSYTPSKLPPKPLEIWAYEPSPFCKIVREVLVELELPHILHSYCCSFYEVEFHDHVIQTVVTNMPRMVDVWVHEMEKHYCKSGSQVVVGLDIEWRPNRDTGITNVIATLQLCIANNCLIFQLIHTPEIPESLRDFLKNDSNIFVGVGVSDDAAKLERDYGLEVVNTADLRHLAMKANGGESWPRHAGLARLTEEILGLTLVKPKEITLSMWDNELLSEKQIEYACIDAFVSCDIGKHLI >itb01g03880.t1 pep chromosome:ASM357664v1:1:2547387:2550626:-1 gene:itb01g03880 transcript:itb01g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAIFRSLKALISRTRKPGDPSRTFFTTTFSPSFVPPLYIDPSVYLRRRHFLARPNFFSPAFTGATTIVANSCPLFLSSPPWKLSQSATPLHLQPNLVLLRVRALNLKLPYKLGLQATSSAPRLLLNGPQEKQQFAESQLVAEIRDSFVNFPNFISFSRLLSGPLLAWMITQEMYLPAFIGLAVSGASDWLDGYVARKMGINSVVGSYLDPLADKVLIGCVALAMVERDLLHPGLVALVVLRDVALVGGAVYKRASSLGWKWTSWIDFFNLDGMRPQKVEPLMISKINTVFQLVLVAAALLQPEFGNEETLLYIKYISWLVMLTTVASTAAYGAQHLRTGSLPIKKS >itb01g03880.t4 pep chromosome:ASM357664v1:1:2548630:2550626:-1 gene:itb01g03880 transcript:itb01g03880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAIFRSLKALISRTRKPGDPSRTFFTTTFSPSFVPPLYIDPSVYLRRRHFLARPNFFSPAFTGATTIVANSCPLFLSSPPWKLSQSATPLHLQPNLVLLRVRALNLKLPYKLGLQATSSAPRLLLNGPQEKQQFAESQLVAEIRDSFVNFPNFISFSRLLSGPLLAWMITQEMYLPAFIGLAVSGASDWLDGYVARKMGINSVVGSYLDPLADKVLIGCVALAMVERDLLHREWMFQFLSQYFLNSFSAMSCRL >itb01g03880.t3 pep chromosome:ASM357664v1:1:2547532:2550626:-1 gene:itb01g03880 transcript:itb01g03880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAIFRSLKALISRTRKPGDPSRTFFTTTFSPSFVPPLYIDPSVYLRRRHFLARPNFFSPAFTGATTIVANSCPLFLSSPPWKLSQSATPLHLQPNLVLLRVRALNLKLPYKLGLQATSSAPRLLLNGPQEKQQFAESQLVAEIRDSFVNFPNFISFSRLLSGPLLAWMITQEMYLPAFIGLAVSGASDWLDGYVARKMGINSVVGSYLDPLADKVLIGCVALAMVERDLLHPGLVALVVLRDVALVGGAVYKRASSLGWKISLPVDELDRLLQPGWNASPES >itb01g03880.t2 pep chromosome:ASM357664v1:1:2547387:2550634:-1 gene:itb01g03880 transcript:itb01g03880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAIFRSLKALISRTRKPGDPSRTFFTTTFSPSFVPPLYIDPSVYLRRRHFLARPNFFSPAFTGATTIVANSCPLFLSSPPWKLSQSATPLHLQPNLVLLRVRALNLKLPYKLGLQATSSAPRLLLNGPQEKQQFAESQLVAEIRDSFVNFPNFISFSRLLSGPLLAWMITQEMYLPAFIGLAVSGASDWLDGYVARKMGINSVVGSYLDPLADKVLIGCVALAMVERDLLHPGLVALVVLRDVALVGGAVYKRASSLGWKWTSWIDFFNLDGMRPQKVEPLMISKINTVFQLVLVAAALLQPEFGNEETLLYIKYISWLVMLTTVASTAAYGAQHLRTGSLPIKKS >itb03g13230.t3 pep chromosome:ASM357664v1:3:13450212:13455260:1 gene:itb03g13230 transcript:itb03g13230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKTLRQLSGFIDINKIHPDAWPALVADLASAEQGIIALAFGYTRLFQPDKPVTKAQAAIALATGEASDIVGEELARIEAESMAEKAVTAHNALIAEVEKDVNASYEKELSLEREKIDAVEKLAEEARRELERLKAEREEENLALLKEHAAVDSEMEVLSRLRREVEEQLQTLMSEKLEISYDKERLNKLRKDAELENQGIARLQYELEVERKALSMARAWAEDEAKRAREQAKALEEARERWEKHGIKVVVDNDLQEEANTGVTWLSAGKQLSVEGSISRAENLVDRLRGMADAVRGKSKDTIHKIMEKILLYISVLREWAIVLKDTATSKISNSFESVKHSSAELGSTVRERVKRFSVDCREGVEKISQKFRT >itb03g13230.t4 pep chromosome:ASM357664v1:3:13450175:13455356:1 gene:itb03g13230 transcript:itb03g13230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEVNDNTLFATEAIFQSPDTGVSAESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKTLRQLSGFIDINKIHPDAWPALVADLASAEQGIIALAFVSFQGIQDCSSQINL >itb03g13230.t7 pep chromosome:ASM357664v1:3:13450161:13453635:1 gene:itb03g13230 transcript:itb03g13230.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEGIDNDPFLHPTKCEYVGNKLNNSSSPRNTFSNNYTIGNASTKHGFHNETVNDNTLFATEAIFQSPDTGVSAESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKVAFAHIHKFIPFIVPGHL >itb03g13230.t2 pep chromosome:ASM357664v1:3:13450161:13455356:1 gene:itb03g13230 transcript:itb03g13230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEGIDNDPFLHPTKCEYVGNKLNNSSSPRNTFSNNYTIGNASTKHGFHNETVNDNTLFATEAIFQSPDTGVSAESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKTLRQLSGFIDINKIHPDAWPALVADLASAEQGIIALAFGYTRLFQPDKPVTKAQAAIALATGEASDIVGEELARIEAESMAEKAVTAHNALIAEVEKDVNASYEKELSLEREKIDAVEKLAEEARRELERLKAEREEENLALLKEHAAVDSEMEVLSRLRREVEEQLQTLMSEKLEISYDKERLNKLRKDAELENQGIARLQYELEVERKALSMARAWAEDEAKRAREQAKALEEARERWEKHGIKVVVDNDLQEEANTGVTWLSAGKQLSVEGSISRAENLVDRLRGMADAVRGKSKDTIHKIMEKILLYISVLREWAIVLKDTATSKISNSFESVKHSSAELGSTVRERVKRFSVDCREGVEKISQKFRT >itb03g13230.t6 pep chromosome:ASM357664v1:3:13450197:13455356:1 gene:itb03g13230 transcript:itb03g13230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEGIDNDPFLHPTKCEYVGNKLNNSSSPRNTFSNNYTIGNASTKHGFHNETVNDNTLFATEAIFQSPDTGVSAESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKTLRQLSGFIDINKIHPDAWPALVADLASAEQGIIALAFVSFQGIQDCSSQINL >itb03g13230.t1 pep chromosome:ASM357664v1:3:13450161:13455356:1 gene:itb03g13230 transcript:itb03g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEVNDNTLFATEAIFQSPDTGVSAESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKTLRQLSGFIDINKIHPDAWPALVADLASAEQGIIALAFGYTRLFQPDKPVTKAQAAIALATGEASDIVGEELARIEAESMAEKAVTAHNALIAEVEKDVNASYEKELSLEREKIDAVEKLAEEARRELERLKAEREEENLALLKEHAAVDSEMEVLSRLRREVEEQLQTLMSEKLEISYDKERLNKLRKDAELENQGIARLQYELEVERKALSMARAWAEDEAKRAREQAKALEEARERWEKHGIKVVVDNDLQEEANTGVTWLSAGKQLSVEGSISRAENLVDRLRGMADAVRGKSKDTIHKIMEKILLYISVLREWAIVLKDTATSKISNSFESVKHSSAELGSTVRERVKRFSVDCREGVEKISQKFRT >itb03g13230.t5 pep chromosome:ASM357664v1:3:13450161:13455146:1 gene:itb03g13230 transcript:itb03g13230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTATLSPSSFQLRLAFNCRKPSTVLVRVRVGKKDCNRLHLLSIGGHTHTRNRNWLERRSVLVNSISPVDGFAGWSGTDGAETSSEAPQKQSVAGLVGAGVASIILVAGIIFAALSISKRSMSRLKQHMDPLTTQQEMSVIGDNDHNDRVESEKDALKNEVQDSDNQNSEEGIDNDPFLHPTKCEYVGNKLNNSSSPRNTFSNNYTIGNASTKHGFHNETVNDNTLFATEAIFQSPDTGVSAESFTHSTFDSKEVSFAAEKSLLINEQYGENFDDTNTTSVPAPSPSSTDQSMDHMEGVSDLGETRDSVSSFDSPTAESVTFNLSVNAESDTTLEPINTSDQYLEAKSSVSAENVDTSNMLEFPAEGDELFLEVHSANRDELSGTVLEGTGVDLSVKKQNENAHKDINGSRSSYESVNSEAIFISAGIPAPSSVPAALQALPGKVLVPAVIDQVHGQALAALQVLKVIEADVQPGDLCTRREYARWLVASSSALSRTTVSKVYPAMYIENVTELAFDDITTEDPDFPCIQGLAEAGLISSKLSRHDTQSSSDGDQSPLFFSPDSPLSRQDLVSWKIALDKRQLPLVDQKTLRQLSGFIDINKIHPDAWPALVADLASAEQGIIALAFGYTRLFQPDKPVTKAQAAIALATGEASDIVGEELARIEAESMAEKAVTAHNALIAEVEKDVNASYEKELSLEREKIDAVEKLAEEARRELERLKAEREEENLALLKEHAAVDSEMEVLSRLRREVEEQLQTLMSEKLEISYDKERLNKLRKDAELENQGIARLQYELEVERKALSMARAWAEDEAKRAREQAKALEEARERWEKHGIKVVVDNDLQEEANTGVTWLSAGKQLSVEGSISRAENLVDRLRGMADAVRGKSKDTIHKIMEKILLYISVLREWAIVLKDTATSKISNSFESVKHSSAELGSTVRERVKRFSVDCREGVEKISQKFRT >itb15g15870.t5 pep chromosome:ASM357664v1:15:15029910:15031701:1 gene:itb15g15870 transcript:itb15g15870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGCGGGDSNKLLLYSHWMSSCTCRVRFALNLKGIEYEQKTPFILGDRFGPEYLKINPMGLVPALVDGDFVISDSFAILMYLEDKFPQHPLLPIDLQRKAINFQVTTTFYLAFYFAFA >itb15g15870.t3 pep chromosome:ASM357664v1:15:15030064:15033668:1 gene:itb15g15870 transcript:itb15g15870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTCRVRFALNLKGIEYEQKTPFILGDRFGPEYLKINPMGLVPALVDGDFVISDSFAILMYLEDKFPQHPLLPIDLQRKAINFQAANIISANIQPLQNISVSRYIAEKFGPDEKLRWTQAHILKGFDALESLLKDYAGKYATGDELYLADVFLAPQIHAAVKRFSVDMNEYPLLLKMYEAYKELIAFQDAMPENQPGAPAEA >itb15g15870.t1 pep chromosome:ASM357664v1:15:15029910:15033668:1 gene:itb15g15870 transcript:itb15g15870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGCGGGDSNKLLLYSHWMSSCTCRVRFALNLKGIEYEQKTPFILGDRFGPEYLKINPMGLVPALVDGDFVISDSFAILMYLEDKFPQHPLLPIDLQRKAINFQAANIISANIQPLQNISVSRYIAEKFGPDEKLRWTQAHILKGFDALESLLKDYAGKYATGDELYLADVFLAPQIHAAVKRFSVDMNEYPLLLKMYEAYKELIAFQDAMPENQPGAPAEA >itb15g15870.t4 pep chromosome:ASM357664v1:15:15029918:15033668:1 gene:itb15g15870 transcript:itb15g15870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGCGGGDSNKLLLYSHWMSSCTCRVRFALNLKGIEYEQKTPFILGDRFGPEYLKINPMGLVPALVDGDFVISDSFAILMYLEDKFPQHPLLPIDLQRKAINFQAANIISANIQPLQNISVSRYIAEKFGPDEKLRWTQAHILKGFDGKLCSSDPL >itb15g15870.t2 pep chromosome:ASM357664v1:15:15029928:15033622:1 gene:itb15g15870 transcript:itb15g15870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGCGGGDSNKLLLYSHWMSSCTCRVRFALNLKGIEYEQKTPFILGDRFGPEYLKINPMGLVPALVDGDFVISDSFAILMYLEDKFPQHPLLPIDLQRKAINFQAANIISANIQPLQNISRYIAEKFGPDEKLRWTQAHILKGFDALESLLKDYAGKYATGDELYLADVFLAPQIHAAVKRFSVDMNEYPLLLKMYEAYKELIAFQDAMPENQPGAPAEA >itb07g20220.t1 pep chromosome:ASM357664v1:7:24627547:24629570:1 gene:itb07g20220 transcript:itb07g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSGSLASRCLLVMAMKNPLPATDPSGSCVAGSTGKFCAKFTNFRHVIPKIFRAKRKKIIAHVSPSSLPTSRCLRVHVLDSINIVVVAVSQSRRHTFPSFVRRQLIMESWCYTCPLEWMGKWNVSGDGLVIIYRQSPRRSSAIHTRRKMNQSQRRRVDQTGDSSENPGILDERLLVLVFGSMRWDIHALCQTASLNTKSRALAKRLLWRELCVYRAPRMIASLTNGAANARIGGGWSAMAKLLFYCCGCQSSRHFELGDPSPGHLAKETRFSKTSGRSFLVNKCRGDVLYVSDPCEHPSGDRGDDLGIYRGVFGGFMKSRTRACLIRRQVGLEERVKCPYCGARVWSMTAARLIPKSAARRLGSLDGGSEYFVCVNGHLHGACWLVPLSSEEGSEGEGGDRDEEEEVATGNAIANGS >itb01g08650.t1 pep chromosome:ASM357664v1:1:6948546:6948986:1 gene:itb01g08650 transcript:itb01g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNTNRRAGRGRRMIPLSRIESDTYRNVTFSKRRSGLINEISTVCGAEILMVIFSPSGKPHTFSNSSMDAILTKYFGANPTAQPNYAEQNFRVHREVVMQILSSQITYFEMKIEEEMKVNQTLRETEKVRSPISELPLSELQST >itb11g02470.t1 pep chromosome:ASM357664v1:11:1235379:1236102:-1 gene:itb11g02470 transcript:itb11g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCSDLGKFHVLAVDDSIIDRKLIERLLRTCSYQGAEEFFLKPVRQADVNRLSSHLLRPKSPEPSPCRKRKAAPAEAQPTRPIRRRRLI >itb01g17810.t2 pep chromosome:ASM357664v1:1:22564816:22572045:-1 gene:itb01g17810 transcript:itb01g17810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMISFRHFSAAPWSSSSLSTSKSSRIFLAKLFLGFCLVISQITTTACDGDETHPTLDTIRSSLIRQEDTIVFGLIERAKYPTNTPLYNNTSSRFPGTLFKYFVKRSEALQSKVGRYLSPEEHPFFPDDLPPPLLEPKSQSIEQFLHPISLNVSHEIWDIYLEKLLPLLAKKGDDENYAVTASSDLQLLQDRDALMKLVTFEAVEEKVKKRVAKKARVFGRQVTLDHTDNATETYKVDPPLVSRVYEDWVMPLTKKVEVEYLLRRLDD >itb01g17810.t1 pep chromosome:ASM357664v1:1:22564816:22572045:-1 gene:itb01g17810 transcript:itb01g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMISFRHFSAAPWSSSSLSTSKSSRIFLAKLFLGFCLVISQITTTACDGDETHPTLDTIRSSLIRQEDTIVFGLIERAKYPTNTPLYNNTSSRFPGTLFKYFVKRSEALQSKVGRYLSPEEHPFFPDDLPPPLLEPKSQSIEQFLHPISLNVSHEIWDIYLEKLLPLLAKKGDDENYAVTASSDLQLLQALSRRIHCGKIVAEVKFRDNPDKYKEAIRGQDRDALMKLVTFEAVEEKVKKRVAKKARVFGRQVTLDHTDNATETYKVDPPLVSRVYEDWVMPLTKKVEVEYLLRRLDD >itb15g23580.t1 pep chromosome:ASM357664v1:15:26409801:26410511:-1 gene:itb15g23580 transcript:itb15g23580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLHSLIFLLFIILVLLSPPSCADIGTTSQYSPPYTPTACFGSGASQFPSSNYFAAAGEGIWDNGASCGRQYLVSCISSVLPKACKAGQTIQIRIVDRAQSSVSKSTRPGTTMVLSNAAFAAIADPSAPSLNIEFQQ >itb03g13260.t1 pep chromosome:ASM357664v1:3:13464657:13466706:-1 gene:itb03g13260 transcript:itb03g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKVRGWWLPSLFFFFVFLFTGQFFVINIHWLHPTFPSSLWWSVSDEKSSATCPDYFRWINEDLWAWKETGITREMVMRGRRTAAFRLVIVNGSAYVDSYFKAFQSRDTLTVWGILQLLRRYPGKIPDLELMFDCEDEPAIHVEHFRGPNATAPPPLFTFCADDSTFDIPFPDWSFWGWPELHIRPWEVLSKEFQEGNERIKWVDREPYAFWKGNHFTSTNRMILAFKCNVSEYQDWGARLYNQNWTSVEQEGFNHSNLANQCTHRYKIYIEGRSWSVSEKYILACNSPTLLVNPRYFDFFSRGLMPMKHYWPISDEDKCRSIKHAVEWGNNHPKHAQQIGKAGSKFMEKELKMEYVYDYMFHLLSEYSKLLKYKPSVPPQLLPLTSHQMLSFSQGLEKQFMMESLVEAPSHTPPCTMPSSPAPALHSFLKTKQNSIHKVKSWEDDYWQHANTIGED >itb14g01200.t1 pep chromosome:ASM357664v1:14:942970:945684:-1 gene:itb14g01200 transcript:itb14g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSAGTSNDDHVTVEIIPAENNLLSSINSKMESLSISNCICRLPQHVSEGNEHNYFPKRVSIGPFHRGIASLRAMEVQKWRYLNALLSRGGQDAERSLDACIERLRRLESRARKCYGEAIGMGRDEFVEMMLLDGCFIIELLLKFAFRGLRRKDDPCFSSREAFYGVRSDLILAENQIPFFVVEHLYKAVPLPKDCGSFSLAYLFLLFVRKLIDRPPEGLAATQDQKFGLFVYHLLDLIRHCYLPATPQLQSTGEQKYMYSATQLHAAGIKFRKKALSSTDQSILDITYDDRGVLAIPPIKVQNHTEILFRNIIALEEYTPDQTNHVASYVYLMKCLIRCKQDVSFLRRKGIIMQSGTMENDEEILRVFDGLHVKINVEEFYYSGLCEKVNRFSNVGMEKKKKKEVLWHKMGNVYHRTPWGAAAFVVAILALVSSLSAALFFAITFLFHHA >itb12g17880.t1 pep chromosome:ASM357664v1:12:20118572:20122168:1 gene:itb12g17880 transcript:itb12g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVSVKTPPESTPLRITVPEESQGQIGANSSRSENVNTKPSSPAPRRPPSPSPSTSRAKPSPDRGSGKKKSPPEKVVIDESSLDNPDLGPFLLKLARDTIASGEGPNKALDYALRAAKSFERCAVDGQPSLDLAMSLHVLAAIYCSLGRFEEALPVLERAITVPDTSRGADHALAAFSGHMQLGDTHSMLGQLDRSIECYNEGLKVQMEALGDTDPRVAETCRYLAEAHVQAMQFDEAENLCKRTLEIHRVHSPPASLEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQENEVAAIDVSIGNIYLSLSRFDEAVFSYQKALTVFKSSKGDNHPSVASVFVRLADLYYKTGKLRESRSYCENALRIYAKPVPGTTSEEIASGMTEISAIYELFNEPEEALKLLVKALKLLEDKPGQQSTIAGIEARMGVMFYMVGRYDEARSSFESAVAKLRASGERKSAFFGVVLNQMGLSCVQLFKIDEAAELFEEAREILEHECGPCHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGTANPDFDDEKKRLAELLKEAGKSRNKKAKSLENLIDPNSKRTKKESSKKWSAFGFRS >itb13g20770.t2 pep chromosome:ASM357664v1:13:27508783:27511328:-1 gene:itb13g20770 transcript:itb13g20770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAAVGLASLPNLAEAASSPSIPQNLGCGESQHLHPTDLHFPTLALPSYKWRLLISYDGTRFSGWQYQPSIPTVQCVLEQALTKITKLERKDLNLVGAGRTDAGVHALGQVAHFITPFNYDTLDGIHKALNGLLPKDIRVREIGPAAPEFHARFSVVSKIYEYKVYNDAIMDPFQRLHAYHSIYKLNADAVRDAAKHFIGKHDFSAFANAARNERVRNPVKTISRFDVIETGPLLQLEVEGSGFLYRQVRNMVALLLQVGREAVPPDLVPKILESRDRKQLAKYTLSVPPHGLCLKAISYKEEHLHLPVGSPVTSFGMHHSIRTCKLPCF >itb13g20770.t1 pep chromosome:ASM357664v1:13:27508766:27511329:-1 gene:itb13g20770 transcript:itb13g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAAVGLASLPNLAEAASSPSIPNLGCGESQHLHPTDLHFPTLALPSYKWRLLISYDGTRFSGWQYQPSIPTVQCVLEQALTKITKLERKDLNLVGAGRTDAGVHALGQVAHFITPFNYDTLDGIHKALNGLLPKDIRVREIGPAAPEFHARFSVVSKIYEYKVYNDAIMDPFQRLHAYHSIYKLNADAVRDAAKHFIGKHDFSAFANAARNERVRNPVKTISRFDVIETGPLLQLEVEGSGFLYRQVRNMVALLLQVGREAVPPDLVPKILESRDRKQLAKYTLSVPPHGLCLKAISYKEEHLHLPVGSPVTSFGMHHSIRTCKLPCF >itb15g18310.t1 pep chromosome:ASM357664v1:15:19761695:19766982:-1 gene:itb15g18310 transcript:itb15g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDAKQEASSNDENRPVNVSPNTVTSSGFNGRNSVDDRGRCDPLDIAKTTPKLNSEDSQPNCSMKPVPTEIVSKKPCEVEMHGCGKANVPNSSAEDNSNQRLMSLQEYLQKSAEDADGKEGNQRMSMKRKRPLDNEKGLGWGDIYMLHFTSLICKGLIGSVCQVLENREIAYDGSLVGSKVKVWCPLNSVFYEGVIESFDDVKKKHKVLYTRGDEEELNLKKEQWEIISVAENTEMAFECTIRAIDAARANKQQVVETEHLMKALLEQKDGLAQRIFTKAGLDNTSVLQATDTFISQQPKVTGGTSGPIIGPHLYSLLENAEKHKKEMGDSYVSVKHLLLALYSDTRFGQQLFRTLQLSEKSLKEAIEVVRGNQRVTDQNPGGKFQVLEKYGIDMTELARHGKLDPVIGRDDEIQCCIQILSQRTKNNPVIIGEPGVGKTAIARGLAQRIIHGDVPEPLVNRKLISLDISSLLAGSKFHGEFEERLKAVLNNVIASNGQIIIFIDEIHTVLCAGATGGAMNAGNLLKSMLGCGELRCIGATTLNEYRKCIEKDPALERRFQKVYCGQPSVQDTISILRGLREQYELHHGVKISDSALVSAAVFAERYVTKNFLPEKAIDLVDEAAAKLKMEINSKPSELDELDRRVLKLEMGKLSLENNADEASKEMLGKLESDLSSLKQKQKELTSHWEHERNLMTRRQSIKAEINRVNREMEAAEREHDLNRAAQLKYGTLASLQLQLEEATRKKSGSSLLQDEVTDTDIVKIVSKRTGIPLSNLQQTEREKLVLLEVELQRRVVGQEMAVQNVADAIRRSRAGLSDPNKPIASFMFIGPTGVGKTELAKCSRAD >itb08g08240.t1 pep chromosome:ASM357664v1:8:7161755:7163839:1 gene:itb08g08240 transcript:itb08g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKEGLRKGPWSAKEDLLLTNHIQEHGEGQWRSLPKKAGLLRCGKSCRLRWMNYLRPGIKRGNFSQEEEDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLLKKLKSAGIEPKPRQAKKKPAKPRPDSQNLNPNKKKKQKARNSEQPLRQADQTTPPEKTQNRTKVYAPKPIRLSPPGISRINSLEDVAGSASSSSGEVDNKAAVTADPPPFIPWHLYELGGDVDFCDQILDGCDLSSPKCSGPTTDGLLEKVYDEYLHLLSENCFESLTDDYLCDYPFVDDNVAPTTSSNNSDLN >itb04g31740.t1 pep chromosome:ASM357664v1:4:34479865:34482068:1 gene:itb04g31740 transcript:itb04g31740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQSAIENGRNGGNCCKVRKRGGSSSSSSTVRSYRLKRAVLVGKRGGSSSPAPEFKTTSAIPQSDDAKSYNYSEKEKELLVSARKLAASLWQINGLKGENNNVEEERGRKERVLKPNSKAWQSDNDDRSQGIDKILQTDYPFESDCFMKSRNRLKDVRHGLSTSKQLLEVVSHVWSLEEQQSKSTCLTLFSALKSELDRACIHVSKLIKEQKHSHGAIDFLVEQFEKEKTAWKIREEYRIQSAITAISGDLETEKKLRRQTERLNKKLGRELADTKASLLKATKELESEKRVNEILEEKVCDDDCDEESKRSYAEWSCGFYEGDSKAWKRDYCKDESERYNRIINLKKEQIVSSSRTSSQDFTFI >itb04g24840.t1 pep chromosome:ASM357664v1:4:29581212:29587323:-1 gene:itb04g24840 transcript:itb04g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MSFYMTYLARWPDYFHVAEAPGNRIMGYIMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLMNLLEDISDKIDKGYFVDLFVRASNTPAIKMYEKLGYIIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVIPLKRPITPDELEYD >itb04g24840.t2 pep chromosome:ASM357664v1:4:29581581:29585909:-1 gene:itb04g24840 transcript:itb04g24840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MTTIRRFCCSDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEAPGNRIMGYIMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLMNLLEDISDKIDKGYFVDLFVRASNTPAIKMYEKLGYIIYRRVLRYYSGEEDGLVMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLMNLLEDISDKIDKGYFVDLFVRASNTPAIKMYEKLGYIIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVIPLKRPITPDELEYD >itb01g26020.t2 pep chromosome:ASM357664v1:1:31252681:31255612:1 gene:itb01g26020 transcript:itb01g26020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSALQSSKPPLSSSLSGSSSHDCSQLYPKATAISFSFYEAAGNDSNDMNGKVLNASTSSAPKVSDVTGESTVQNWSPPIQVMEKPGDFNFNRISSTSDPPTRDSFSFETWSHNKGTNGTHSKLEGVLNASFRSDACASKTSDVTHESELQNESPTIQIMEMPGDFETNENPSSISMSKSTAWSNTSNGSLFSIDIGPHSIDIGPHSFSTDVIPMTDGDSYKSGELDTHEDLVRYRQMPLAPKGAEYNEETPANREGAGNTPRITSISVGPIKNGANQHAVPLRTGANASSHLVGTRPSNKSVDLQMTKKSEPTGCKCCCAGFTRDSCFCSSPSQCIISSGAWLRGRSCCCKWFSGLSFSCECLRCFCKQPKCKWCCSGWPSLNCCCFCKSITCPCSYFKCPSWKICHFRCSFCCGWNCFAKNSSIDNLAKDGGRITRVAA >itb01g26020.t1 pep chromosome:ASM357664v1:1:31252676:31255619:1 gene:itb01g26020 transcript:itb01g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSALQSSKPPLSSSLSGSSSHDCSQLYPKATAISFSFYEAAGNDSNDMNGKVLNASTSSAPKVSDVTGESTVQNWSPPIQVMEKPGDFNFNRISSTSDPPTRDSFSFETWSHNKGTNGTHSKLEGVLNASFRSDACASKTSDVTHESELQNESPTIQIMEMPGDFETNENPSSISMSKSTAWSNTSNGSLFSIDIGPHSIDIGPHSFSTDVIPMTDGDSYKSGELDTHEDLVRYRQMPLAPKGAEYNEETPANREGAGNTPRITSISVGPIKNGANQHAVPLRTGANASSHLVGTRPSNKSVDLQMTKKSEPTGCKCCCAGFTRDSCFCSSPSQCIISSGAWLRGRSCCCKWFSGLSFSCECLRCFCKQPKCKWCCSGWPSLNCCCFCKSITCPCSYFKCPSWKICHFRCSFCCGWNCFAKNSSIDNLAKDGGRITRVAA >itb04g22230.t1 pep chromosome:ASM357664v1:4:27411377:27415972:-1 gene:itb04g22230 transcript:itb04g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLQCMKTLRSQMNDVEDQATKISVEEQMQLTTVQTLEGDLNSVKRQTIQLKEDIDKMVKAKGEICSLILEKRRKISSLEGNSSTLYQTLELSQQERTNLSTKLLEKRKYYSKVAEDITTHLKEQQNWIDDHRCGPRGSFIGVNSQAMDTIFKKTGETEVYQDNAVESLSKNFEAANTKLSQLKQLKFELDLENTKLMQSAEFMKKKINDFKPELREMDVKFLEDEHQALSGDKVELTEYVQSLQFQIVKLKGISHTINCCCGEEYKIEMNCSA >itb12g27020.t1 pep chromosome:ASM357664v1:12:27638959:27641684:1 gene:itb12g27020 transcript:itb12g27020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEANGGDGGAGTELEVQETEQIEVIEPKQGKNDGAEPPPPPPAAALRWERFLPKMVLRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLKAWEVLKERPSNVDLILTEVELPSISGYALLTLIMEHEICKNIPVIMMSSNDSVSMVYKCMLRGAADFLVKPVRKNELRNLWQHVWRRQAANRIDNLNGPVSPTRNEDCNEKGSDDENSCVKLEMEIGGENTEHVEESEQRFRGSSLPIHLQKQEQEDHNRVGDSADKVESLPPLDLSLTRYPSGSMNRLNHSDASAFTRYINKSVQPRNSMSPKTCNRHEDCGTDSDKHLSVHNLDGPTMKFHTLMQQARAEPGSNEIGLPIPVRGVGFKGLGNAHSSMMSSPMQSPGSAGCPDSQFQTPLFHLLNHQGVSFQQSCGLVDQNTDNDTSQSKKKEENHSEPADDQGHFSSFTDQSANSRTSVPLIKSTAECEKAPLGQDGSYQQSQREAALTKFRLKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRQQPK >itb04g30400.t1 pep chromosome:ASM357664v1:4:33517979:33519614:-1 gene:itb04g30400 transcript:itb04g30400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVALISLCIFAIFVDFSLQGNALSSCNGPCRTLNDCSGQLICIGGKCNDDPDVRTHICGGGGGSTPSPPTSGDCKPVGSMTCNGETKPTYRCSPPVKSSTPAILTLNDFSEGGDGGAPSECDEKYHDNNERVVAMSTGWYAGGSRCGKMIRITANNGRSTTAKVVDECDSMHGCDKEHAGQVPCDNNIVDGSAAVWNALGLNQDLGRVPVKWTMA >itb12g06740.t1 pep chromosome:ASM357664v1:12:4992520:4993474:1 gene:itb12g06740 transcript:itb12g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSASGVMARNVGAVWLPLVFGSLLLTSALGDRIKVGGNVGWTPNVMNYTEWAAKQHFYVGDWLYFVFDKHYYNVLEVNSSNYETCNDGEFITNITRGGRDVFQLNETRPYYFISSGGYCWNKMKMAINVQQHPTPAPSPSQSNSPPVMSPQSVSLSVVLVMALSAAFMFL >itb10g01310.t1 pep chromosome:ASM357664v1:10:953615:960138:1 gene:itb10g01310 transcript:itb10g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPTFLFWHQLNVVAITVYFLLSVAFYAFFAAFLGKDIYEYIAIGVYSFLAFSVLVLYVRCTAIDPADPGILIEPDEMGTPGDLSGNDDPSKEELRNGGRYTRNDSGFCATLGQFLCCCIVKEDCRKDDDHLQQQNGDEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRRNYVTFICLMATSLFWLVFEFGVGIAVLVRCFTDRRATENHIRDRLGDGFSLPPFATVVALCTAVSFLAIVPLAELFFFHIILIRKGITTYEYVVAIRNQSEPPGPSVDGGDQQSLPSSPTSSAVTGISGRSSVGLGLPYKGAWCTPPRIFMDHQDEIIPHLEPGRVPSTVDPDTVQAEKDKKTSHRPVKISAWKLAKLDSSEAIRAGAKARASSSVLRPIGSRPHPYDGDTLSSGMSGKSSPTSSRGYYEHNARAGTSRLSPSKSSYPPSRASRDDIESCGRSVSNFSSPLPPHLTPSPLGQHSSTRALSPVSSQATDVSGAPLPSWKNSGISETSGSSSIFWDHDAGHFVSAATRGTASSSQHPGTELTYTGQSIFFGGPLLNERVTRGTTAGSISSVGSQRSRPPRGGQLPVFVPSDSQQNQFSSKPQ >itb10g01310.t2 pep chromosome:ASM357664v1:10:953628:960138:1 gene:itb10g01310 transcript:itb10g01310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHGWQLPAHSFQVVAITVYFLLSVAFYAFFAAFLGKDIYEYIAIGVYSFLAFSVLVLYVRCTAIDPADPGILIEPDEMGTPGDLSGNDDPSKEELRNGGRYTRNDSGFCATLGQFLCCCIVKEDCRKDDDHLQQQNGDEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRRNYVTFICLMATSLFWLVFEFGVGIAVLVRCFTDRRATENHIRDRLGDGFSLPPFATVVALCTAVSFLAIVPLAELFFFHIILIRKGITTYEYVVAIRNQSEPPGPSVDGGDQQSLPSSPTSSAVTGISGRSSVGLGLPYKGAWCTPPRIFMDHQDEIIPHLEPGRVPSTVDPDTVQAEKDKKTSHRPVKISAWKLAKLDSSEAIRAGAKARASSSVLRPIGSRPHPYDGDTLSSGMSGKSSPTSSRGYYEHNARAGTSRLSPSKSSYPPSRASRDDIESCGRSVSNFSSPLPPHLTPSPLGQHSSTRALSPVSSQATDVSGAPLPSWKNSGISETSGSSSIFWDHDAGHFVSAATRGTASSSQHPGTELTYTGQSIFFGGPLLNERVTRGTTAGSISSVGSQRSRPPRGGQLPVFVPSDSQQNQFSSKPQ >itb01g22230.t1 pep chromosome:ASM357664v1:1:28163309:28166948:1 gene:itb01g22230 transcript:itb01g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGGGGRIFWVERAEQKVKGIVVLFAWVSIQESHLKNYTNLYSSLGWNSLVCFADFLNPYIHERATSLAFSVACELVEELKCRPCPVVLAAFSGGSKACMYKFFQIIEGACEAQLNLEESRMVANCIAGQMFDSGPVDFTADFGARFAVPPTLLKVPGSAKLVSMVARGFTSGMDALFLTRFGSQNTEYWQTLYSTVSFEAPFLILCSENDDLAPFQMVCEFAQRLQDTGGSVRIVKWKSSPHVGHFKSDPIQYRAAVTELLASAVSVFNHKIQKLGDRMEGIHDDVSDLICDLQNAAVDSNESLRRVALGPNDHFFLPSSAEYRNSRDYGCQQEQKEKENMPHRPNLSINAHSVLGQILFDACVPKNVEGWDIKFSSSSKGHPFTSRRKHSPQNAKKCLIRSRL >itb05g05940.t1 pep chromosome:ASM357664v1:5:5908988:5915891:-1 gene:itb05g05940 transcript:itb05g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKQQGSRASVVLRMLGLMLFVVQVIPSLGIPQQRLRSLSIIRKMNQKGPYIGLVTVYPPEENAFFATGAFQPHPQHPFVDLSGRRFRIGTVMGKKVIYVRCGVGLVNAAATTQLMLDYFHLSGIIHFGIAGNANSSMSIGDVVIPKQFANTGLWDWMKPNGTVPTNDVTQMDFKNYNVPKGGDNELGHLGYSTEQFYSTSGDPTDPERVFWFNVTKDWLNLASSLEGMELEQCLNSSLCLPQKPKLVVGLKGSTANLFLDNAAYREFLFQSFEVTSLDMESEAVVMTSLSNGFPVIAIRGLSDLAGAQTGNNTIDLFGPLAASNTANAVIQFINTLPRSGNTYRYY >itb08g16710.t4 pep chromosome:ASM357664v1:8:18747853:18758290:1 gene:itb08g16710 transcript:itb08g16710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSGAKYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPNVESILSGIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVDDDCALPPPRGIAGRRGLAGTILVHKVAGAAAASGLSLADVVSEAKHASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGNRVVLMINGLGATPAMELMIAAGKAVPRLQLEHGLAVDRVYTGSFMTSLDMAGFSISVMKSDQAILDRLDAPTKAPYWPVGVDGNHPPAKIPVPLPPRLSKSDETLSRPLQLTPQGHILESAIEAAASAVVNLRDYLNELDSKVGDGDCGSTMYRGAMAILEDMKK >itb08g16710.t3 pep chromosome:ASM357664v1:8:18747862:18759174:1 gene:itb08g16710 transcript:itb08g16710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSGAKYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPNVESILSGIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVDDDCALPPPRGIAGRRGLAGTILVHKVAGAAAASGLSLADVVSEAKHASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGNRVVLMINGLGATPAMELMIAAGKAVPRLQLEHGLAVDRVYTGSFMTSLDMAGFSISVMKSDQAILDRLDAPTKAPYWPVGVDGNHPPAKIPVPLPPRLSKSDETLSRPLQLTPQGHILESAIEAAASAVVNLRDYLNELDSKVGDGDCGSTMYRGAMAILEDMKKYYSILCKAAFAELKAKRQTRSSAVHWVDALEAGIAAVSKYGGASAGYRTLLDALIPAASTLKERLNGGDNSIGAFIASADAAVAGAESTKDMQAQAGRSTYIPADILASVPDPGAMAAAAWYRAAILAIVEKV >itb08g16710.t1 pep chromosome:ASM357664v1:8:18747853:18759191:1 gene:itb08g16710 transcript:itb08g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSGAKYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPNVESILSGIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVDDDCALPPPRGIAGRRGLAGTILVHKVAGAAAASGLSLADVVSEAKHASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGNRVVLMINGLGATPAMELMIAAGKAVPRLQLEHGLAVDRVYTGSFMTSLDMAGFSISVMKSDQAILDRLDAPTKAPYWPVGVDGNHPPAKIPVPLPPRLSKSDETLSRPLQLTPQGHILESAIEAAASAVVNLRDYLNELDSKVGDGDCGSTMYRGAMAILEDMKKYYPLNDPAETVNEMGSSVKRVMGGTSGIIILCKAAFAELKAKRQTRSSAVHWVDALEAGIAAVSKYGGASAGYRTLLDALIPAASTLKERLNGGDNSIGAFIASADAAVAGAESTKDMQAQAGRSTYIPADILASVPDPGAMAAAAWYRAAILAIVEKV >itb08g16710.t2 pep chromosome:ASM357664v1:8:18747857:18759218:1 gene:itb08g16710 transcript:itb08g16710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSGAKYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPNVESILSGIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVDDDCALPPPRGIAGRRGLAGTILVHKVAGAAAASGLSLADVVSEAKHASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGNRVVLMINGLGATPAMELMIAAGKAVPRLQLEHGLAVDRVYTGSFMTSLDMAGFSISVMKSDQAILDRLDAPTKAPYWPVGVDGNHPPAKIPVPLPPRLSKSDETLSRPLQLTPQGHILESAIEAAASAVVNLRDYLNELDSKVGDGDCGSTMYRGAMAILEDMKKYYPLNDPAETVNEMGSSVKRVMGGTSGIIYSILCKAAFAELKAKRQTRSSAVHWVDALEAGIAAVSKYGGASAGYRTLLDALIPAASTLKERLNGGDNSIGAFIASADAAVAGAESTKDMQAQAGRSTYIPADILASVPDPGAMAAAAWYRAAILAIVEKV >itb08g16710.t5 pep chromosome:ASM357664v1:8:18747857:18756338:1 gene:itb08g16710 transcript:itb08g16710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSGAKYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPNVESILSGIRAVTGPKGCLLIVKNYTGDRLNFGLAAEQAKSEGYKIEMVIVDDDCALPPPRGIAGRRGLAGTILVHKVAGAAAASGLSLADVVSEAKHASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGNRVVLMINGLGATPAMELMIAAGKAVPRLQLEHGLAVDRVYTGSFMTSLDMAGFSISVMKSDQAILDRLDAPTKAPYWPVGVDGNHPPAKIPVPLPPRLSKSDETLSRPLQLTPQGHILESAIEAAASAVVNLRDYLNELDSKVGDGDCGSTMYRGAMAILEDMKK >itb10g11030.t1 pep chromosome:ASM357664v1:10:16245058:16250652:1 gene:itb10g11030 transcript:itb10g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSISKSWRSGSGTQSTIECSQCCPDGHKHVEPASSDCFEDQTAVKDLESLESSEECVEQAEKNNSSFMHSVINMIGMLIGLGQLSTPYALEKGGWISAFLLIGLGVICAYTTHLLGKCLGKDPKSKDYKDIGQQAFGTKGRILAASFIYLEIFMALVSYTISLHDNLATVFLGTHLKLTGIQLSTSQLLTVMAVLLALPSLWFRDLSSISFLSSAGILMSLLIFVTVACTAVFGVVNPNHHIPPLHLPNIPSVSGLYAFSYAGHIVFPNIYTAMKDPSKFTKVSIVSFSIVTILYTALAFMGAKMFGSGVSSQITLSMPRHVVFTKVALWATVLTPMTKYALEFAPFAIELDHSLPPSMKNHVKTIIRGGTGSILILIILVLALCVPYFEHVLSLTGSLVSIGISIVLPCGFYTKIFWPKISKPLLIFNIVLIVFGTVLAVSGTISSFKLLLISLQRASST >itb05g25760.t3 pep chromosome:ASM357664v1:5:29995340:29998704:-1 gene:itb05g25760 transcript:itb05g25760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDASSGWNNLQPDYFGFYKCEIAELLSEDEDFLPSSLQTPVSAENLNGSKTSHYRKEYNSASSSSLFSNCIGPEVSGIKMGLLKSLLRQGVFALSEEVDEKVDVVFNICRLQSFLRFNESLITSSSAGSDFDQGNPCKKLKPSTLSSPASECQSVSASRGEISNNAVNRCTESHSEAPQLRSSPEGTKPFHNACKLDGNKGTESLLMNERNRDCLLDINVGAAKEDQDVDHLPFLLQNDSSTVEEVLKKHSDDLSVMLEQMEQKLEELLDIVMSKCRLMTLSEKQQLQRMIKNLPPRNLDSVVKIIQRHRQSNVNENSRREIHIDLEKEVNKKYKM >itb05g25760.t1 pep chromosome:ASM357664v1:5:29994803:29998704:-1 gene:itb05g25760 transcript:itb05g25760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDASSGWNNLQPDYFGFYKCEIAELLSEDEDFLPSSLQTPVSAENLNGSKTSHYRKEYNSASSSSLFSNCIGPEVSGIKMGLLKSLLRQGVFALSEEVDEKVDVVFNICRLQSFLRFNESLITSSSAGSDFDQGNPCKKLKPSTLSSPASECQSVSASRGEISNNAVNRCTESHSEAPQLRSSPEGTKPFHNACKLDGNKGTESLLMNERNRDCLLDINVGAAKEDQDVDHLPFLLQNDSSTVEEVLKKHSDDLSVMLEQMEQKLEELLDIVMSKCRLMTLSEKQQLQRMIKNLPPRNLDSVVKIIQRHRQSNVNENSRREIHIDLEKEDNVTLWRLYFYIEAVENAKKLRNG >itb05g25760.t2 pep chromosome:ASM357664v1:5:29994803:29998603:-1 gene:itb05g25760 transcript:itb05g25760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDASSGWNNLQPDYFGFYKCEIAELLSEDEDFLPSSLQTPVSAENLNGSKTSHYRKEYNSASSSSLFSNCIGPEVSGIKMGLLKSLLRQGVFALSEEVDEKVDVVFNICRLQSFLRFNESLITSSSAGSDFDQGNPCKKLKPSTLSSPASECQSVSASRGEISNNAVNRCTESHSEAPQLRSSPEGTKPFHNACKLDGNKGTESLLMNERNRDCLLDINVGAAKEDQDVDHLPFLLQNDSSTVEEVLKKHSDDLSVMLEQMEQKLEELLDIVMSKCRLMTLSEKQQLQRMIKNLPPRNLDSVVKIIQRHRQSNVNENSRREIHIDLEKEDNVTLWRLYFYIEAVENAKKLRNG >itb15g04490.t1 pep chromosome:ASM357664v1:15:2836079:2836696:-1 gene:itb15g04490 transcript:itb15g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKTEIACIRLGGTYVISVTSPELAREFLKKQDSIFSSRPLCMSARIISNGFLTSMFLPYGEKWTKMRRILNTHVLSPAAIHLHHDKRVEEANHLLRYIYNQCSPSKGAVVNVRTATQHYCGNVTKKMLFNKRYFGSGTEDGGPGAVDEELVEALFTILRYLYGFGVGDCIGWLSVFDIDASRSRECGKGGGYEIITERMGEN >itb01g09410.t1 pep chromosome:ASM357664v1:1:7681810:7685582:1 gene:itb01g09410 transcript:itb01g09410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIVWFLVGAALGVGMIACFARFQNMRSIKRIELLKWLNHHLKKLWPYIDQAASEVIREKVEPTLEKYRSPIIDDLKFSKLTLGTVAPQFTGVSIIEGDPEEIIMELELQWDGNPHIVLDITTLVGVSLPIEVKDIGFTGVFRIIFKPLVEEFPCFGAICYSLRNKKKLDFTLKVCGDISSIPGVLDALKSTILDAIEDSMMWPVRNIIPILPGDYSDLQLKPVGTLEVKLIQGKELINKDLVGKSDPFAEVFIRPQRERIKKSKVINNTLNPIWNENFEFEVEDASTQHLTVKVYDDEGIQASELIGCARVQLKELEPGKVKSVWLALVKDLEIQRDTKNRGQIHLELLYCPFGTQNIFMKFVDPDFRLTDLEKALQHGVKPATQKTRNLLFRGVLSATVISAEDLPATDLSGKCDPYVVLILKKSEQREKTRVMTNLLNPVWNQTFDFVVEDGLHELLILEVWDHDMCGKDKIGRCIMTLTRVIQEGEFTDTFELDGAESGKLHLNLMWTPQPIIQD >itb06g24890.t1 pep chromosome:ASM357664v1:6:26255425:26259134:1 gene:itb06g24890 transcript:itb06g24890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYKIWSDHHHQNKTAAAGNCKRGLPLLLPAGILVALLMNLTGLLLFLFTIPKEPKLFYGFSGVANAGSHKNGVPKLDRLLGGLLHSGFDERSCLSRLRSYEALHRRCGPHTETYNKTVERFVSENHHEFSDVDGGAECKYVVWIPFSGLGNRILTMTSAFLYAILTGRVLLVDPLDHTPDLFCEPFPGTSWFLPENNPITEDYVSRFGENSPFSYGSMVKNNLIDRFNVVSEKPPFIHLSLTYVMDEYDELFFKDEDQIFLQKIPWLTMTSDEYFIPSLFLMPLFQKDLEKMFPEKDAVFHLLGRYLFHPTNPVWGLITRYHKAYLAGADEKIGIQVRVFEGGPFRHVLDQILTCTKEEKILPPLINQENHPNNDDKNNKKTKVVLITSLSSWYADVIRDMYLLYPTLTGEVIRVLQPSHEEYQKSRDGIHYIKSLAEMYLLGMSDRLVTSGWSTFGYVAQGLGGLRSWVLYKPENFSAPDPPCQPVISMEPCFHLPPLDHTMTVVPYEEKILPPLINQENHPNNDDKNNKKTKVVLITSLSSWYADVIRDMYLLYPTLTGEVIRVLQPSHEEYQKSRDGIHYIKSLAEMYLLGMSDRLVTSGWSTFGYVAQGLGGLRSWVLYKPENFSAPDPPCQPVISMEPCFHLPPLDHTMTVVPYVRPCEDRIRGLKLYPDNQTILKNILNS >itb11g06530.t1 pep chromosome:ASM357664v1:11:3918496:3924927:-1 gene:itb11g06530 transcript:itb11g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSQGVGSPRRSMTMSTVSSPSYARRRSLAASDNGGDTASRKSLTSTRSMGLTGERTVKRLRLSKALTVPETTTIHEACRRMAARRVDALLLTDSNALLCGIMTDKDIATRVIAREINIQETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARLERAVEKGKAIAAAVEGVEKHWGASLYGSNTFVETIRERMFRPSLSTIVPENSKIDTVQPSDTVLFAAKKMLDSRTNSTIVTVENKPCGILTSKDILMRVIAQDLSPESTLVDKVMTPNPECASVETPILDALHRMHDGKFLHLPISDKDGTVVAVVDVIHITRAAISTVGNTAGVNEETASTMMQKFWDSAMAISPHDDEEIRSEASLKLAFKGQETGISLPFPSSALPNTFAFKIEDRKGRMHRFTSDTRSMTDLITAILQRLGGDISWNNLPQILYEDEDHDQVVLSSDSDLITAVEHARSAGWKGLRLHLDYSRMPHRRLGSGSSTVEYAQTDAWTAAYSAVATGAAVMAALGILAFLRRNNN >itb02g25920.t1 pep chromosome:ASM357664v1:2:26722421:26723188:1 gene:itb02g25920 transcript:itb02g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRLMVVVLVGMGMLIGESNASFKDCYAKCFIFCMIEPSQTLCSCTTNCLKQCIFNSDANSTKAEESQDDELNTHNFCKLGCALSMCSSLSSKHQPNKEKMDGCVGSCENRCTNNYSSSP >itb10g09640.t1 pep chromosome:ASM357664v1:10:13005722:13012826:1 gene:itb10g09640 transcript:itb10g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHQVFRLLLLLFTLHSAVSEIFFEERFEDGWRDRWVISDWKRSEGKAGSFKHSAGKWHGDPDDRGIQTYPDAKHYAISAKIPELNNKNRTLVIQYSIRFEQEIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDICGTQTKKLHAIVSYQGQNYPIKKELECETDKLTHFYTFIIRPDATYSILIDNRERESGSLYSDWDILPPRKIKAVNAKRPADWDDREYIEDPNDVKPEGYDKIPAEIPDPKAKKPADWDDEEDGIWKPAKVPNPAFKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDIYVLKPIKYVGIEVWQVKAGSVFDNILICDDPQYAKQVVDEIWAHREAEKEAFEEAEKVRRAQEEEEARRAREEGEKRRKDRDHRYRRRRRYDRHDYDDHDEL >itb12g12500.t1 pep chromosome:ASM357664v1:12:11190709:11195265:-1 gene:itb12g12500 transcript:itb12g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKFLNTRLGFPTFGSISSSYTSSSNEQLDNPSLDSKNGDTRYEYCSDSDEDNGNPVVHGSQVYPNESLETIMGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb12g12500.t7 pep chromosome:ASM357664v1:12:11190709:11195253:-1 gene:itb12g12500 transcript:itb12g12500.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVQKSQTLAIHLIRKPHTVKYGSPLFLHNRRDTVEELLDRHIFKEKKSLNNDDNEILSHRRTHCEALSLCRDIIRATRFFMWRDNSKPSPILRLGFPTFGSISSSYTSSSNEQLDNPSLDSKNGDTRYEYCSDSDEDNGNPVVHGSQVYPNESLETIMGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb12g12500.t4 pep chromosome:ASM357664v1:12:11190709:11195253:-1 gene:itb12g12500 transcript:itb12g12500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVQKSQTLAIHLIRKPHTVKYGSPLFLHNRRDTVEELLDRHIFKEKKSLNNDDNEILSHRRTHCEALSLCRDIIRATRFFMWRDNSKPSPILRLGFPTFGSISSSYTSSSNEQLDNPSLDSKNGDTRYEYCSDSDEDNGNPVVHGSQVYPNESLETIMGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb12g12500.t6 pep chromosome:ASM357664v1:12:11190709:11195253:-1 gene:itb12g12500 transcript:itb12g12500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVQKSQTLAIHLIRKPHTVKYGSPLFLHNRRDTVEELLDRHIFKEKKSLNNDDNEILSHRRTHCEALSLCRDIIRATRFFMWRDNSKPSPILRLGFPTFGSISSSYTSSSNEQLDNPSLDSKNGDTRYEYCSDSDEDNGNPVVHGSQVYPNESLETIMGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb12g12500.t2 pep chromosome:ASM357664v1:12:11190709:11195265:-1 gene:itb12g12500 transcript:itb12g12500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKFLNTRLGFPTFGSISSSYTSSSNEQLDNPSLDSKNGDTRYEYCSDSDEDNGNPVVHGSQVYPNESLETIMGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb12g12500.t3 pep chromosome:ASM357664v1:12:11190889:11194231:-1 gene:itb12g12500 transcript:itb12g12500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb12g12500.t5 pep chromosome:ASM357664v1:12:11190709:11194231:-1 gene:itb12g12500 transcript:itb12g12500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKFLNTRLGFPTFGSISSSYTSSSNEQLDNPSLDSKNGDTRYEYCSDSDEDNGNPVVHGSQVYPNESLETIMGILRVPGASASQVNRQLEQCHVKILPELVSDVLSRVRNDWELAFTFFLWAGKQSGYAHSVREYHSMIAILGKMRKFDTAWALIDEMRGGRNGPSFVSPNTLLIMIRKYCAAHDVGKAISTFHAHRRFNFEIGMEEFQKLLSALCRYKNVKAAESLMFSNKKVFPLNTKSFNVILNGWCNVVGELREGKRIWRLMKEMGVPRDVYSYSSIMSSYSKSTNLNAVLRLFDQMKEYGIAPDRKVYNAVIHALAKERLMKEAQNVMKTMEEKGISPDVVTYNSIIMPICKRRLLNEAKWIFNEMTKRGITPTVRTYHAFFRILRTEEEVFELLHNMNVMGCHPTHETYIMLIRKFCRWQQLDLVFKLWADMIQNGLDPDRSSYIVLIHGLFLNGKLEDAHKYYLEMKEKDLLPEPKIEEMLQAWIAGKQAAGPMMTNSIETIGIFSSPEKNKVSIKPKKMGRERDFFRHPENRVVTKESGFSFWD >itb00g00860.t1 pep chromosome:ASM357664v1:16:5256704:5263134:1 gene:itb00g00860 transcript:itb00g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDFVECCAHLNLTDAPSSGNLFTWTNGRVKAKLDRVLINIPWLISGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGCIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEEFQAFIQDFKVESARDEDRERLKHLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHNLIRKKHQDGTVNFVLDHNVKDPEEKQGGQIPTSYMTRDFVECCAHLNLTDAPSSGNLFTWTNGRVKAKLDRVLINIPWLISGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWITHPNFQSILEERWGCIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEEFQAFIQDFKVESARDEDRERLKHLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHNLIRKKHQDGTVNFVLDHNGIRDDRLDFSGMPKGQLPVRYLGIPLDGQRLKVAQFSPLITAITRLIEKWKGCTLSYAGRLELIISVIQGIRDDRLDFSGMPKGQLPVRYLGIPLDGQRLKVAQFSPLITAITRLIEKWKGCTLSYAGRLELIISVIQGTISFWIQNFPLPANVIDHVAFLCGKFLWGRRVSLITWDKICFPKEEGGLGIHDFKVWNTSFFSKVLWDIHSKRDSLWIRWVNSVYLNGNDVWDFCPNKRDSALFKKIFEARDKISLAKGGLQNAKEFLHNSVNNNKFQVSQVYDLLREKSQPAFAWRFVWRSYIPRKFSFITWLAIHQRLPTKDRLPFLDINTDCSMCVGTKETAQHLFFKCPFSLQVWNEVRMHFGFHKCTNAIRSSIKWINRLHGGARPRSKAITIALICTIYHLWRNRNRVHHDEDRLPINGLVKNIAKDVYRVIFYLYPTT >itb02g24020.t1 pep chromosome:ASM357664v1:2:24346620:24349348:1 gene:itb02g24020 transcript:itb02g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCFALAIFLILTLDSDSDYSIGTASAASEGVQITYGSAIKLMHEKTKVRLHSHDVPYGSGSGQQSVTGFPAVDDANSYWVVKPAPDSSAKQGDAIKSGSIIRLQHMKTRKWLHSHLHASPITGNLEVSCFGDDNESDTGDVWRLEIEGNGKTWRQDQRVRLLHADTGGYLHSHNKKYTRIAGGQQEVCGIKEKRPDNIWLAIEGVYLPVSESKASA >itb12g05120.t1 pep chromosome:ASM357664v1:12:3421131:3421490:-1 gene:itb12g05120 transcript:itb12g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQAAENREVVHPSLRDKMSYLRALENMQFETEKNVAAQQGDSGSELSLKAIIEAHAQQNGLLFKPKPGRTHYGYQIYGFGSLSIIIDSLNEKVFAQIEDKWSPMCLELLLELQNRRQ >itb09g11170.t1 pep chromosome:ASM357664v1:9:6985702:6986733:-1 gene:itb09g11170 transcript:itb09g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEEKLHMGGHKEEEKHHDKAEGHHSGEHKAEHCGGGEHKAEHKGEHKEEHKEGLVEKVKDKIHGEGGEHHDKEEKKKKKKEKKKHGEHGHDSSSSSDSD >itb05g15680.t1 pep chromosome:ASM357664v1:5:23067116:23072223:1 gene:itb05g15680 transcript:itb05g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAVIAWGSGEDGQLGLGDNEEKERVCSIKALQNDKVSSVVAGSRNSLAICENGQLFTWGWNQRGTLGHAPTSKTENIPSQVKALANVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDDTGKPVRRDIGTPQRCVPKLSVRQVAAGGTHSVVLTKEGHVWTWGQPWPPGDIKQISTPVRVQGLASVRLIAVGAFHNLALLDDGALMAWGNNEYGQLGTGDTQPRSQPIPVQGLLGLSLVDVAAGGWHSAALTEEGEVYGWGRGEHGRLGFGDDKSSKMVPQKVQLLSGENIVQISCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGHPSEVPINIPPPKDLSDTEGRWCATLVACGGRHTLALVNWQTLEPEQPL >itb04g11220.t1 pep chromosome:ASM357664v1:4:10772225:10779026:1 gene:itb04g11220 transcript:itb04g11220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNSNSAAVTAAAKPIWVKHAEEAKLKSEAEKAAAAKAAFEATFKNVDPSTVAAAAASDSDDEEEEDEERLAKKPIGPLDPNKCTAAGPGIAGGTACAPSTFTVVTKDADGRKLLHGGAQVKVKVAPGVGVGGSEQEGIVKDMNDGTYSVTYVVPSRGNYMVYVECNGKPIMGSPFPVFFSTGTSTGGLLGVAPSTTFPNMVNQTMPNMPNYSGSVSGAVPGLLGMIPGITPGASGGVILPGVGLSLGEVCREYLYGQCAKTDCKFNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAQAQTHSAKDSSAEKETKANSLKKTVQVSNLSPLLTVDQLKQLFGFSGTIVECSITDSKHFAYIEYSKPEEATAALALNNMEVGGRPLNVEMAKQLPPKPAILNSSTTSSSLPLMMQQAVAMQQMQFQQALLMQQAYTAQQAASRAATMKTATELAAARAAEISKKLKADGLVTESKEEDDKSKSQSDTQARSRSRSRSRSKSKSKSRSPISYRRRRRSRSFSPLPRRLRGNRSRSPLRSRHYSSYEDERLSYRDSRHAIDRSRRRDSGRSYDLRSPVSRRNRSRSLTPRARKSYRDDSNSPKRHQESPTHRRRSSRAESRSPERRRNKSSLKSDGNKSRHRRRSRSNSVEVNHPSSDKVDDEKQGKSKNKNERHSRSVSPQVEPDGQNRLSPKIYNESKPKKRRDSRSRSPEGKHPSNRNREEKARARYNDDRRFSRSRSRSPEGKHYTSEKFDRNKEEKPKHHSRKHSRSRSRSPTEKHHSSSKLDRSREEKSKRHDRRRSRSRSTEAKRQRSSRKSPRHSDERRSRHRKRSRSKSLESKHGSENNDLNGGKDELTRHANEKPGKLDGILEANLHSSDSMDLDDDNLHHGDHKKSVKPEGSDNEGSEFSNREQYHP >itb04g11220.t2 pep chromosome:ASM357664v1:4:10772199:10778753:1 gene:itb04g11220 transcript:itb04g11220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNSNSAAVTAAAKPIWVKHAEEAKLKSEAEKAAAAKAAFEATFKNVDPSTVAAAAASDSDDEEEEDEERLAKKPIGPLDPNKCTAAGPGIAGGTACAPSTFTVVTKDADGRKLLHGGAQVKVKVAPGVGVGGSEQEGIVKDMNDGTYSVTYVVPSRGNYMVYVECNGKPIMGSPFPVFFSTGTSTGGLLGVAPSTTFPNMVNQTMPNMPNYSGSVSGAVPGLLGMIPGITPGASGGVILPGVGLSLGEVCREYLYGQCAKTDCKFNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAQAQTHSAKDSSAEKETKANSLKKTVQVSNLSPLLTVDQLKQLFGFSGTIVECSITDSKHFAYIEYSKPEEATAALALNNMEVGGRPLNVEMAKQLPPKPAILNSSTTSSSLPLMMQQAVAMQQMQFQQALLMQQAYTAQQAASRAATMKTATELAAARAAEISKKLKADGLVTESKEEDDKSKSQSDTQARSRSRSRSRSKSKSKSRSPISYRRRRRSRSFSPLPRRLRGNRSRSPLRSRHYSSYEDERLSYRDSRHAIDRSRRRDSGRSYDLRSPVSRRNRSRSLTPRARKSYRDDSNSPKRHQESPTHRRRSSRAESRSPERRRNKSSLKSDGNKSRHRRRSRSNSVEVNHPSSDKVDDEKQGKSKNKNERHSRSVSPQVEPDGQNRLSPKIYNESKPKKRRDSRSRSPEGKHPSNRNREEKARARYNDDRRFSRSRSRSPEGKHYTSEKFDRNKEEKPKHHSRKHSRSRSRSPTEKHHSSSKLDRSREEKSKRHDRRRSRSRSTEAKRQRSSRKSPRHSDERRSRHRKRSRSKSLESKHGSENNDLNGGKDELTRHANEKPGKLDGILEANLHSSDSMDLDDDNLHHGDHKKSVKPEGSDNEGSEFSNREQYHP >itb04g11220.t3 pep chromosome:ASM357664v1:4:10772225:10779026:1 gene:itb04g11220 transcript:itb04g11220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNSNSAAVTAAAKPIWVKHAEEAKLKSEAEKAAAAKAAFEATFKNVDPSTVAAAAASDSDDEEEEDEERLAKKPIGPLDPNKCTAAGPGIAGGTACAPSTFTVVTKDADGRKLLHGGAQVKVKVAPGVGVGGSEQEGIVKDMNDGTYSVTYVVPSRGNYMVYVECNGKPIMGSPFPVFFSTGTSTGGLLGVAPSTTFPNMVNQTMPNMPNYSGSVSGAVPGLLGMIPGITPGASGGVILPGVGLSLGEVCREYLYGQCAKTDCKFNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAQAQTHSAKDSSAEKETKANSLKKTVQVSNLSPLLTVDQLKQLFGFSGTIVECSITDSKHFAYIEYSKPEEATAALALNNMEVGGRPLNVEMAKQLPPKPAILNSSTTSSSLPLMMQQAVAMQQMQFQQALLMQQAYTAQQAASRAATMKTATELAAARAAEISKKLKADGLVTESKEEDDKSKSQSDTQARSRSRSRSRSKSKSKSRSPISYRRRRRSRSFSPLPRRLRGNRSRSPLRSRHYSSYEDERLSYRDSRHAIDRSRRRDSGRSYDLRSPVSRRNRSRSLTPRARKSYRDDSNSPKRHQESPTHRRRSSRAESRSPERRRNKSSLKSDGNKSRHRRRSRSNSVEVNHPSSDKVDDEKQGKSKNKNERHSRSVSPQVEPDGQNRLSPKIYNESKPKKRRDSRSRSPEGKHPSNRNREEKARARYNDDRRFSRSRSRSPEGKHYTSEKFDRNKEEKPKHHSRKHSRSRSRSPTEKHHSSSKLDRSREEKSKRHDRRRSRSRSTEAKRQRSSRKSPRHSDERRSRHRKRSRSKSLESKHGSENNDLNGGKDELTRHANEKPGKLDGILEANLHSSDSMDLDDDNLHHGDHKKSVKPEGSDNEGSEFSNREQYHP >itb04g11220.t4 pep chromosome:ASM357664v1:4:10772225:10779026:1 gene:itb04g11220 transcript:itb04g11220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNSNSAAVTAAAKPIWVKHAEEAKLKSEAEKAAAAKAAFEATFKNVDPSTVAAAAASDSDDEEEEDEERLAKKPIGPLDPNKCTAAGPGIAGGTACAPSTFTVVTKDADGRKLLHGGAQVKVKVAPGVGVGGSEQEGIVKDMNDGTYSVTYVVPSRGNYMVYVECNGKPIMGSPFPVFFSTGTSTGGLLGVAPSTTFPNMVNQTMPNMPNYSGSVSGAVPGLLGMIPGITPGASGGVILPGVGLSLGEVCREYLYGQCAKTDCKFNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAQAQTHSAKDSSAEKETKANSLKKTVQVSNLSPLLTVDQLKQLFGFSGTIVECSITDSKHFAYIEYSKPEEATAALALNNMEVGGRPLNVEMAKQLPPKPAILNSSTTSSSLPLMMQQAVAMQQMQFQQALLMQQAYTAQQAASRAATMKTATELAAARAAEISKKLKADGLVTESKEEDDKSKSQSDTQARSRSRSRSRSKSKSKSRSPISYRRRRRSRSFSPLPRRLRGNRSRSPLRSRHYSSYEDERLSYRDSRHAIDRSRRRDSGRSYDLRSPVSRRNRSRSLTPRARKSYRDDSNSPKRHQESPTHRRRSSRAESRSPERRRNKSSLKSDGNKSRHRRRSRSNSVEVNHPSSDKVDDEKQGKSKNKNERHSRSVSPQVEPDGQNRLSPKIYNESKPKKRRDSRSRSPEGKHPSNRNREEKARARYNDDRRFSRSRSRSPEGKHYTSEKFDRNKEEKPKHHSRKHSRSRSRSPTEKHHSSSKLDRSREEKSKRHDRRRSRSRSTEAKRQRSSRKSPRHSDERRSRHRKRSRSKSLESKHGSENNDLNGGKDELTRHANEKPGKLDGILEANLHSSDSMDLDDDNLHHGDHKKSVKPEGSDNEGSEFSNREQYHP >itb13g07290.t1 pep chromosome:ASM357664v1:13:9059690:9060196:1 gene:itb13g07290 transcript:itb13g07290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQKQALFNVANLKLPSFQVVVVNANLGCAHCRRRISQVVLNITGLREYTMDVLKRQVIVKGGIKNNFQNRNNTKLKDERCRVKFFTRLFCF >itb10g14360.t2 pep chromosome:ASM357664v1:10:20710251:20711542:-1 gene:itb10g14360 transcript:itb10g14360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLGKPNKIPSFLILLFASSLLFPLIESRSEPTTDAEEPVPAPWPLQFHSILFINTSKGALQKVDLWYDWPNGRNFNIIQYQLGKLLYDLEWDNGTSFVYTLDQNRECKVLHFSVGILRPNWLDGANYLGQKYMDGFLCNVWEKVDFIWYYEDVATKRPVYWAFFSGMVAHVMTFEVGKVLEDPGWQAPVYCFKENSTLQYLASPISGARFLTGGALDAPNLL >itb10g14360.t1 pep chromosome:ASM357664v1:10:20709576:20711542:-1 gene:itb10g14360 transcript:itb10g14360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLGKPNKIPSFLILLFASSLLFPLIESRSEPTTDAEEPVPAPWPLQFHSILFINTSKGALQKVDLWYDWPNGRNFNIIQYQLGKLLYDLEWDNGTSFVYTLDQNRECKVLHFSVGILRPNWLDGANYLGQKYMDGFLCNVWEKVDFIWYYEDVATKRPVYWAFFSGMVAHVMTFEVGKVLEDPGWQAPVYCFKENSTLQYLASPISGARFLTGGALDAPNLL >itb14g21140.t1 pep chromosome:ASM357664v1:14:23290588:23293744:-1 gene:itb14g21140 transcript:itb14g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLHLLLLSCLFSSSPLVSGVGNFTRDDFPSGFIFGSGTSAYQVEGAALEDGRTPSIWDTYAYEGMYGGGNGDVACDQYHKYKEDVRLMSETNLEAYRFSISWSRLIPNGRGPVNEKGLQYYNNLIDELISHGIEPHVTLSHSDLPQALEDEYAGWLNRKIVEDFTAYADVCFKAFGDRVLHWTTLNEPNVFIIGGYDEGFLPPRHCSIPFICRPGNSSTEPYIAGHNMLLAHASAVSLYRTKYKPTQHGTIGFNTYTFGLSPFSNSEEDLAAVQRLLTFYVGWLIDPLIFGDYPDIMKNIVGTRLPAFTEDEANLVKGSADFIGINHYTTIIVKNKDNNSKSPFMSFSNDIGVTVFFPDSAPKGQFPVMPEGLYKILEHFKQFYGNPPIYIHENGQQMPRNGTLNDTPRVEYFEAFIGTVLDSIRNGSNIIGYFAWSLLDGLELLSGYKGGFGLYYVDLDDKERSRYPKYSQQWYAKFLQKSSSKDRAVI >itb03g05350.t1 pep chromosome:ASM357664v1:3:3681245:3691178:1 gene:itb03g05350 transcript:itb03g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDMPPVMAQSMRSGRSSFGSSNGYETPHHSSATSREEDYDSDGSNFAPFTPTALSSDLSRELSGAIPYIDKFQVEVFLKAMQKQIHSAGKRGFFSKKSVGPQVREKFTFEDMLSFQRETIPTSVLKINGDLVTRAVKIFQIILKYMGVDSSDRVIAISLDEQIELIGKLYKLALKRPELRDELFAQISKQTRKNPDRQYLIKAWELMYLCASCMPASKEIGGYLSEYIHTVAHGATTDSEVQVFALNTLNALTRSVKAGPRRTIPGREEIEALLTGKKLTTIVFFLDETFEEITYDMATTVTDAVEELAGIIKLSTFSGFSLFECRKVVTGSKGPDPGNEEYIGLDDNMYIGDLLADFKASKDKSKGEIMHCKLVFKKKLFRESDEAVTDQMFMQLSYVQLQHDYILGNYPVGRDDAAKLSALQILVEIGFVNGPESCVDWRTLLERFLPRQIAITRAKREWDLDIISRYQSMENLTKDDARQQFLRILRTLPYGNSVFFAVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNAAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKTRSASNGSVNGDLSNNSKTPNMDVYEKRIQDLTKALEESKKKVNELLEDSNERENQELKIHEELESLKDCLRSEKQNLAAVASDCDKFKSLFEEKDSELQATLAEKQSMEMRLAKLSPLGLENNIRKELVEANNQVLRKIQDELKARLMELHAAEETKRKLLNEKVSLEEKISRLEKKKSDEKNFEHECKALTVQVSELQNKLEEVKQDLAVAQSTLAAKDRELEVLQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAEMEGLYREEQLLRKRYFNMIEDMKGKIRVCCRLRPLSDKEIGAKERNVVTSADEFTVEHLWKDERKQHIYDRVFDENSTQEDVFEDTKFLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDRNPGLTPRTISELFKIIKQDSNKFSFSLKTYMVELYQDSLVDLLLPKSANRLKLEIKKDSKGMVVVENVSVVAVSTYEELKAIIQRGSEQRHTTGTLMNEQSSRSHLILSIIIESTNLQTQTIARGKLSFVDLAGSERVKKSGSSGKQLKEAQSINKSLSALGDVISALSSGVQHIPYRNHKLTMLMSDSLGGNAKTLMFVNISPAESNLDESHNSLTYASRVRSIINDPSKNVSSKEVERLKRLVAYWKEQAGQKGEDEDLEEIREERPTKDKTDGRVSM >itb03g14310.t1 pep chromosome:ASM357664v1:3:14078391:14079716:1 gene:itb03g14310 transcript:itb03g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEEVENVMEEIEPSKGNGEQQMVEASPKLSYSAALAEFTTTVGCQESHAQSEPELKEQYGPWMLAAKAPRRGKFSNSKGTSFGKGDNFIENHIVSNTSPPKVNNSNTKSRFAILDNEEVEVEEECKSMRSKFLMIMKEEISTVILQLSIARLSKEQGRPADEETKQQPKWNTR >itb03g14310.t2 pep chromosome:ASM357664v1:3:14078391:14082637:1 gene:itb03g14310 transcript:itb03g14310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEEVENVMEEIEPSKGNGEQQMVEASPKLSYSAALAEFTTTVGCQESHAQSEPELKEQYGPWMLAAKAPRRGKFSNSKGTSFGKGDNFIENHIVSNTSPPKVNNSNTKSRFAILDNEEVEVEEVNVAVEEVNNVSSNNKSPLSSKGKRPGVQINEKQILNDHERRNINCDTTTINRASIQGAGETSRRRNQAAAQVEHTVVREHHSDPPDPLEDTMDEDPSPGVSQNPTCSSPEDAMEV >itb13g02610.t1 pep chromosome:ASM357664v1:13:2532494:2538795:-1 gene:itb13g02610 transcript:itb13g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMSWTVADAVDYKGFPADKSKTGGWVPAALILGIEIVERLSTMGIAVNLVTYLGGTMHLPSSTSANIVSDFMGTSFLLCLLGGFLADSFLGRYKTIAIFSTVQMLGTGTLALATKLPQLRPPACHPHQPCQEANGFQMGILYLALYLIALGTGGLKSSVSGFGTDQFDDKDEKEKAQMAYFFNRFFFFISIGTLTAVTVLVYIQDEVGRSLGYGICSIAMVVAIVIFLSGTTRYRYKKSSGSPIVHIFQVIVGAVRKRNLDLPYDVGMLYETTPEDSRIHHTDQFLCLDKAAIVAEGDFEENINGGSSSSPNPWKLSPVTRVEEVKMMARLLPIWATTIIFWTTYAQMITYSVIQATTMDRSMGSFKIPAGSLTVFFVAAILITLALYDRLVMPLWKKWKGTPGFTNLQRIALGLVLSTVGMAVSALIERKRLGAAKSEGRHNSTLTMSVFYLIPQFFLVGSGEAFMYTGQLDFFLTQSPKSMKTMSTGLFLTTLSLGFFVSSFLVSVIKKVTSASHGGQDWLADNINYGRLDLFYGLLAVLGVVNFVVYLICSIWFKPRKNKSAVEMETVMNGNGEEKC >itb08g15490.t4 pep chromosome:ASM357664v1:8:17629389:17634909:-1 gene:itb08g15490 transcript:itb08g15490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESAASSRALEVSVSFGKFESDSLSWEKWSSFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKKIAARKVDQLEEPEKLVEVEPDNLRSEESSKQEARFEVVENGFRPSNGDERSKAEVQLEPTIICPLDSEIANESNDAAIAIAIDIVCNEGSLVEEAAKEDLICNEGSPEISNGDEIARVEEGQETSQKNPRDDVMVEQKKVDNDSSKSSGKKDKNLKGHMKASDRKIHPSMNDSTSAWTKKKLASPALKSSKVTTSRVSPAPSSKLGSPSLPPTKKANGSSLQEIKNTPVTKTKKLAPPRSVVSPQPSTRIQNGSSLHRSKNSPVTDNKRVARTSLHMSLSLSPANSAASQNMMRRSVITETGPAKPVESRIAMGRSLIMDRMGDKEIVKRAFKTFRNSFNEMKSSGDKSYSGSGQMTSKGPEQKISTSLTPSGENGRLGKPNQRVTTQKGQSGTKSSSVSSRAPMDAGVERRKMITNKPSIGSTNDGTNEILKKEGAKPRTQRPIK >itb08g15490.t2 pep chromosome:ASM357664v1:8:17629449:17634812:-1 gene:itb08g15490 transcript:itb08g15490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESAASSRALEVSVSFGKFESDSLSWEKWSSFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKKIAARKVDQLEEPEKLVEVEPDNLRSEESSKQEARFEVVENGFRPSNGDERSKAEVQLEPTIICPLDSEIANESNDAAIAIAIDIVCNEGSLVEEAAKEDLICNEGSPEISNGDEIARVEEGQETSQKNPRDDVMVEQKKVDNDSSKSSGKKDKNLKGHMKASDRKIHPSMNDSTSAWTKKKLASPALKSSKVTTSRVSPAPSSKLGSPSLPPTKKANGSSLQEIKNTPVTKTKKLAPPRSVVSPQPSTRIQNGSSLHRSKNSPVTDNKRVARTSLHMSLSLSPANSAASQNMMRRSVITETGPAKPVESRIAMGRSLIMDRMGDKEIVKRAFKTFRNSFNEMKSSGDKSYSGSGQMTSKGPEQKISTSLTPSGENGRLGKPNQRVTTQKGQSGTKSSSVSSRAPMDAGVERRKMITNKPSIGSTNDGTNEILKKEGAKPRTQRPIK >itb08g15490.t1 pep chromosome:ASM357664v1:8:17629316:17634909:-1 gene:itb08g15490 transcript:itb08g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESAASSRALEVSVSFGKFESDSLSWEKWSSFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKKIAARKVDQLEEPEKLVEVEPDNLRSEESSKQEARFEVVENGFRPSNGDERSKAEVQLEPTIICPLDSEIANESNDAAIAIAIDIVCNEGSLVEEAAKEDLICNEGSPEISNGDEIARVEEGQETSQKNPRDDVMVEQKKVDNDSSKSSGKKDKNLKGHMKASDRKIHPSMNDSTSAWTKKKLASPALKSSKVTTSRVSPAPSSKLGSPSLPPTKKANGSSLQEIKNTPVTKTKKLAPPRSVVSPQPSTRIQNGSSLHRSKNSPVTDNKRVARTSLHMSLSLSPANSAASQNMMRRSVITETGPAKPVESRIAMGRSLIMDRMGDKEIVKRAFKTFRNSFNEMKSSGDKSYSGSGQMTSKGPEQKISTSLTPSGENGRLGKPNQRVTTQKGQSGTKSSSVSSRAPMDAGVERRKMITNKPSIGSTNDGTNEILKKEGAKPRTQRPIK >itb08g15490.t3 pep chromosome:ASM357664v1:8:17629316:17634885:-1 gene:itb08g15490 transcript:itb08g15490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESAASSRALEVSVSFGKFESDSLSWEKWSSFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKKIAARKVDQLEEPEKLVEVEPDNLRSEESSKQEARFEVVENGFRPSNGDERSKAEVQLEPTIICPLDSEIANESNDAAIAIAIDIVCNEGSLVEEAAKEDLICNEGSPEISNGDEIARVEEGQETSQKNPRDDVMVEQKKVDNDSSKSSGKKDKNLKGHMKASDRKIHPSMNDSTSAWTKKKLASPALKSSKVTTSRVSPAPSSKLGSPSLPPTKKANGSSLQEIKNTPVTKTKKLAPPRSVVSPQPSTRIQNGSSLHRSKNSPVTDNKRVARTSLHMSLSLSPANSAASQNMMRRSVITETGPAKPVESRIAMGRSLIMDRMGDKEIVKRAFKTFRNSFNEMKSSGDKSYSGSGQMTSKGPEQKISTSLTPSGENGRLGKPNQRVTTQKGQSGTKSSSVSSRAPMDAGVERRKMITNKPSIGSTNDGTNEILKKEGAKPRTQRPIK >itb05g24280.t1 pep chromosome:ASM357664v1:5:29113254:29115400:1 gene:itb05g24280 transcript:itb05g24280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHKTNPLLICIILFHAWLLAGAQPKPCAFIFGDSLIDVGTNNYLPGALATANQPWYGVDFPNNTATGRFSNAYNLADFIVNLTGIGTKSPPPFLKLVRNQADFRTKIQQGVSFASGGAGILNDTGFKSFIRVIPLGQQFQQFQTVSRNLTQALGAAKAAALINTSLYLISIGSNDLTEYFKFPSPNTSAHQFIQKLMAAYAKRLKSLYDAGARKFGIIGIPPIGSWPMSRSMNGGVCNETMNEAARSFQASTLTLLQNFSSTNPGVHYSLGDFYGITMSAINNPSAHGFKNVEDACCGVGPNNGLMLCRKPLSNLCTRRDEYLYFDFVHPTQKAAKIAAQFLVNTGNNFAKPIHFGELVKM >itb01g33410.t1 pep chromosome:ASM357664v1:1:36548380:36551038:1 gene:itb01g33410 transcript:itb01g33410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRALFFHLAFIFLQIFSIDGQSETYIVHMDLSLMPRAFTSHQSWFLATLSSISNTGLVYAYTNAIHGFSAVLSPAELEAIKKSPGYLYSIKDASVKVDTSHTPAFLGLSSDHGAWPVTDYGRDVIIGVIDTGVWPESKSFGDDGMGEIPARWKGECESGTQFSPSMCNKKLIGARYFNKGLLARNPNLTISMNSARDTDGHGTHTSSTAAGGRVEGAGFFGYATGVATGIAPKARVAMYKALWDEGVQLSDIVAAIDQALADGVDVLSLSLGVDGLALYEDPIAIAAFAAMEKNVFVSTSAGNQGPYLASLHNGTPWLLTVAAGTVDREFRGTLTLDSGLSVSGLSLYPGNSTSTLSAVFLGQCTDTKQLKNAGDKIVVCLDKNGTVGDQVFNLQNGKVGGGVFITNVTDLEFLLQNQIPSVFLTIEDGEKILHYIKTNPAPKAKLEFKMTFLGTKPAPKVTSYSSRGPSPNCPKILKPDIMAPGSLILASWPSNSPVTQLPSGNLFSNFNLISGTSMACPHATGVAALLKAAHPDWTPAAIRSAMMTTADATDNTAAPIQDIGLDNAAASPLAMGAGHINPNRALDPGLIYDVSTQDYINLICALKFSPNHTQTIIGTSSYNCSNPSSDLNYPSFIAFFPVNATISDPNRVQEFRRTLTNLGDGMSVYSAKLTPIDGVNVTVVPDKLVFTKKCDKQSYKLRIEAPPTMDNFLVFGSLSWVENAGKHVVRSPIVATTLNLDD >itb08g10800.t1 pep chromosome:ASM357664v1:8:10422142:10422961:-1 gene:itb08g10800 transcript:itb08g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSASKRVGRYLNKSAEFNSACDSVYDDCLSLAQHAFDGVKPYQLVSATERLHSKLSDSIPLVAKWVKSAPTRDQVDKAFKAIRSDSSDSEAVLGNSEFREFAAEVFADAIASGAGQAVRTRVPIGVVGIAGIGILVKPGREFIAAAIGAYTLGVTTSIYLSLDV >itb04g01520.t1 pep chromosome:ASM357664v1:4:852652:855002:-1 gene:itb04g01520 transcript:itb04g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGHAEGLPRLGSSPEFLLSDPVSEKDEEADTPTEILYMASFEELASKNVMYDTIMWVFISLMLVLAWGIGIFMLLYLPIRRYVLQREISSRKLFVTPDKIVYKVSRPSFIPCCGGVKFERQVPLSRVIDIIIEQGCLQSMFGLHTFRIESVVRGKHAPVDELLVQGLYKPRLLRKVIITEASKGRQEVGGSWKPASEGTEVENMVASPSKARKMMGSPCRITAEHRGVAPSELLLHKLDEVSESVKKLEFLLEKPHASPGNS >itb08g15660.t1 pep chromosome:ASM357664v1:8:17815674:17820650:1 gene:itb08g15660 transcript:itb08g15660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLIGNVDETVEVKELSFPESLQLFSYHAFGREEPSKNFKDISEKIVSLTNGLPLGLEVFGSFLFYKKRLRDWEDALQKLEQIRPGEVQDVLEISFNALDEQEKRIFLDLSCLFVDKNFKREDAIDIFNGCGFSAETAITDLTAKSLVKIINGNALWMHDQLRDMGRQIVHRESYRDPSEHSRLWDYHEITNILKNLKGTKMIEGITIDFEKKDDLASQKVLQVNLQKRYRSASAIAHLKQSYNRHFGHAAGEEDGILNTRAFKAMTNLRLLRINYAELLGDFKFFPAEMKWLQWKGCPLKCIPSEFWHRGIAVLDLSDSDITHVWNNKRLDSFRYKMAEKLHVLNLSNCYFLEELPDLTGIPLEKLNLENCKKLVKIHPSIGNLSTLVYLNLHGCENLMLFPNDVSGLKHLEKLIFSDCSSLSELPEDLSGLKSLKELLVNGTLIVTLPKSVFRLKMLEIFNLDNCSRLRVLPESIGSLNSLRQLSLNRSALREMPESVRMLTNLETLSLRYCGSLSSIPNTVGDLKSLLELYLDGTSINGLPESVGSLNHLKHLRVSCCKNLTELPNSIGRLSSLIWLCINETSICEVPDELGSLKNLEKLEMRNCTSIKSLPDSIGNLLSLTSLALDYTSIEELPESIGSLERLWALNLNNCSNLQRLPSSIGNLKNLCYFYMVNTAVTELPNEIGMLSSLKILKMQKNPQPSQANDKREITLPESFSNLSSLEDLDASAWKISGKIFDHFEKMSSLDTLNLGHNNFWSLSCSMKGLTVLKKLILPDCKELKSLPPLPSSLTDLNVANCSSLEQISDLSNLGSLRELRLSNCKKITDIPGLESLKSLRWLYTVGCNACLPSLKRRISKDTLRHMRYLGVPGSEIPEWLVQELPSFSPRKNRNLKGVLIGVVVSLDQEVQDSFRDKVSVIMDVQAKIIRQGQAILTTTLNLSGVPDTNDDQLYLCRFHETNNVVFMLQEGDKLQVAMREPPRFRGLELKKYGMCLVYEYEDDLDERDEELFDESHQSVSKKLANFLNAL >itb12g05760.t1 pep chromosome:ASM357664v1:12:4296387:4299823:1 gene:itb12g05760 transcript:itb12g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKGKKRDGSNNSGEEVKRKLQEHKLREALEEASEDGSLVKSQVIDSEAMEINQDEGLGRSRSLARLHAQKEFLRATALAAEHIFESEDSIPEQNEAFSKFLTMYPKYQSSERIDELRSDEYSHLSGPKVCLDYCGFGLFSFLQTVHFWDSSTFSLSEITANLSNHALYGGAERGSVEHDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLSMFDHESQSVSWMAQCAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGLTGSGIVKITPVYPLYLSDSIDGFPGLAEDDEDEDGENGEVSTETRAGSQLPAFSGAFTSAQVRDVFETEMEHENSSDRDGASTIFEETESISVGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDCAGQSNKEKTASPIPPFWFAGRKNNKRLSPKPSKMSNSPMYDDKEVNSRCHDDHHVLSFDAAVRSVSQELDHFQDIPEEEQFTGRTEHLCEIEEEAETSKGDLMSKGYDLNNMTSTSQFSGLENGSTSAICHEVKESAIRRETEGEFRLLERRGRNRYAGGRFFGIDESDQHVGRGRRVSFSTEEHRKVHLGYALEPGELSAPSLDDDEYISEGDYDGQESDRREPEIICKHLDHINMLGLNKTTLRLRFLINWLVTSLLQLRLPGSNGEDSLPLVYIYGPKIKYERGAAVAFNVRDRSKGLIKPEIIQKLAELHGIYLGIGILSHIRILDSPKQQRGTSNLEDTSLCKPMENGRQDSKSGFIRVEVITASLGFLTNFEDVYKLWAFVAKFLNPDFIRDGGLSTVAEETNA >itb12g05760.t2 pep chromosome:ASM357664v1:12:4296387:4299823:1 gene:itb12g05760 transcript:itb12g05760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKGKKRDGSNNSGEEVKRKLQEHKLREALEEASEDGSLVKSQVIDSEAMEINQDEGLGRSRSLARLHAQKEFLRATALAAEHIFESEDSIPEQNEAFSKFLTMYPKYQSSERIDELRSDEYSHLSGPKVCLDYCGFGLFSFLQTVHFWDSSTFSLSEITANLSNHALYGGAERGSVEHDIKSRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLSMFDHESQSVSWMAQCAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGLTGSGIVKITPVYPLYLSDSIDGFPGLAEDDEDEDGENGEVSTETRAGSQLPAFSGAFTSAQVRDVFETEMEHENSSDRDGASTIFEETESISVGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDCAGQSNKEKTASPIPPFWFAGRKNNKRLSPKPSKMSNSPMYDDKEVNSRCHDDHHVLSFDAAVRSVSQELDHFQDIPEEEQFTGRTEHLCEIEEEAETSKGDLMSKGYDLNNMTSTSQFSGLENGSTSAICHEVKESAIRRETEGEFRLLERRGRNRYAGGRFFGIDESDQHVGRGRRVSFSTEEHRKVHLGYALEPGELSAPSLDDDEYISEGDYDGQESDRREPEIICKHLDHINMLGLNKTTLRLRFLINWLVTSLLQLRLPGSNGEDSLPLVYIYGPKIKYERGAAVAFNVRDRSKGLIKPEIIQKLAELHGIYLGIGILSHIRILDSPKQQRGTSNLEDTSLCKPMENGRQDSKSGFIRVEVITASLGFLTNFEDVYKLWAFVAKFLNPDFIRDGGLSTVAEETNA >itb05g17190.t1 pep chromosome:ASM357664v1:5:24217798:24218172:1 gene:itb05g17190 transcript:itb05g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEDAKLMKFSDPSFELLFSNLGESLFVEILARLPSRAAIRLKLVCKSWCSLISSHYFITLFNHRRHDPSLPPILHHPHSSSPSPACFIFQNMFNRNFPIVCDGYPHPDANSCGFRRLRVLI >itb11g08790.t1 pep chromosome:ASM357664v1:11:5754185:5755390:-1 gene:itb11g08790 transcript:itb11g08790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVEAMAQLLNIPEVLERMVSEYRAPDGGESRGVGSIPADILDAPKEYVFYLDVPGLSKSDIQVGVEDERTLVIKSNGKRKREDGEEEGCKYLRLERKAPQKLARKFRLPENCNVSAITAKCENGVLTVVVEKHPPPPKSMTIEVAIS >itb11g08790.t2 pep chromosome:ASM357664v1:11:5754147:5755434:-1 gene:itb11g08790 transcript:itb11g08790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVEAMAQLLNIPEVLERMVSEYRAPDGGESRGVGSIPADILDAPKEYVFYLDVPGLSKSDIQVGVEDERTLVIKSNGKRKREDGEEEGCKYLRLERKAPQKLARKFRLPENCNVSAITAKCENGVLTVVVEKHPPPPKSMTIEVAIS >itb07g20460.t1 pep chromosome:ASM357664v1:7:24825430:24826461:-1 gene:itb07g20460 transcript:itb07g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVDISRFFLFEVTGDSSEVVSSSVTEDFWADDDAQSCSCDSSDAQSIRLFSGEEERLGSSCCVENGGEEEEDEEDEEDGDEVNQSENRGGVGPLQKQNESSSKVQGGGSVELVNEKDRDRLFWEACLAS >itb10g13370.t1 pep chromosome:ASM357664v1:10:19571425:19572272:-1 gene:itb10g13370 transcript:itb10g13370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRSWSSTSAFRWINASFSLPTSLMRWPSLFSSFFTQSWCDRDGEASPSAFRISLSFSPRSWWWAVNYLDFSLSFVETVIWSLISAVESVALVSMLCFFFLCCGCTL >itb08g17110.t1 pep chromosome:ASM357664v1:8:19253335:19260583:-1 gene:itb08g17110 transcript:itb08g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASMLSVFVWSLLFIFSVSPACSTAAHGLRPPNNQTFRSGYEARKMRMVRAHLNTINKPAVKTIQSPDGDTIDCVLTHKQPAFDHPLLKGQKPLDPPELPKGHNYSDQEFEDFQVWKLSRESCPEGTIPIRRTSEQDMLRASSIRRFGRKRAIRPPIRRDTSTYHEHAVGYVNGDKYYGAKASINVWAPNVANRQEFSLSQMWIISGSFGRDLNSIEAGWQVSPEIYGDSRPRFFTYWTSDAYHTTGCYNLLCSGFVQTNRRIAIGAAISPTSSYNGRQFDISILIWKDPKHGHWWLQFGSRVLVGYWPSFLFTHLRGAGSMVQYGGEVVNKRPSGFHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNSLIPLSNLKLTADHSNCYDIRGGINRAWGNYFFYGGPGRNSRCP >itb02g09130.t1 pep chromosome:ASM357664v1:2:5816517:5817750:-1 gene:itb02g09130 transcript:itb02g09130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVSLFLQLLMAACLVAAAMAANFNQDVQMYFGNGRGKVMQGGTMAALTLDRESGSGFQSTNEYLFGRFDMQLKLISDNSAGTVTTFYLSSLGDRHDEIDFEFLGNVSGQPYTIHTNVYSQGKGGREQQFHLWFDPTTAFHTYSIVWNSQRIIFLVDNIPIRVYRNHESMGVPFPKNQPMRVYCSLWNADDWATQGGLVKTDWTKAPFTVYYRNFNIDACVVSGGRSSCDSKSSADPVSNKQAWQTQDVDARGRNRLRWVQSKHMVYNYCADSKRFPGGTFPAECKNSRF >itb13g14070.t1 pep chromosome:ASM357664v1:13:20605565:20606258:-1 gene:itb13g14070 transcript:itb13g14070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKRKLEKLSLATLNEDCFAILLNKIPKKLKIRGVLLYLVLLMIWVSINLIPYSLFHKLGLGELKPTHMSIQLVDRPVKYPRGIIENVLVKIDKFISLNFVILDMDKDTKTPSHLRKTLFGHCES >itb01g10780.t3 pep chromosome:ASM357664v1:1:9389860:9391503:1 gene:itb01g10780 transcript:itb01g10780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSASAKPNKYTYPPLLKACTREQAFQEGMQFHAHAVKYGLGADGHITSAGIQMYSSFGLCNEARNMFDAIGALSDVVCSNAMLDGYMKCGDVGAAVVLFECMSDKNCGSWNAMISGFCRNGMTDEARKLFDEMPEKDEISWSAMIDGYNKEGRCKEALEVFNQMQREKTELKKFVLSSVLAACAKLGALDQGMWVHSYIRKNSIPLDAVLGTSLVDMYANCGRLDLAWDAFERTKQKEIFTWNSMIGGLAMHGRAEDALELFWKMQREEFRPNEVTLVAVLSACVHAGFVDTGLEYMITMKEAYGFEPTMEHYGCAVDLLGRAGLLNDAEDLINSMPIVPNAAVWGALLGGCRIHGNVELGERVGNILLKMEPKNSGRYTLLSNIYGKAGKFDEVSRLRKLMKQRGVKTSAGFSTICIDGGVHEFKMGDGSHPEMEDINPMLQKMMERIRLEGYRPNTSEVVFDICEEEKETVLSYHSEKLAMAYGILKTAPGTTIRIVKNLRVCEDCHTATKLISKVYKRDIIVRDRMRYHHFRNGHCSCKDFW >itb01g10780.t1 pep chromosome:ASM357664v1:1:9389488:9391624:1 gene:itb01g10780 transcript:itb01g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATELPYHLANEIRQTKEALPNFNYSQKAILNLLNTKCAASFKHLTQVHGLALKTGHFQDHYVAGTLVKCYANPQFGSLVCAMAVFHQTPNPNVFVWNCLIKGCVDNKDTRAAISVYYEMVVSASAKPNKYTYPPLLKACTREQAFQEGMQFHAHAVKYGLGADGHITSAGIQMYSSFGLCNEARNMFDAIGALSDVVCSNAMLDGYMKCGDVGAAVVLFECMSDKNCGSWNAMISGFCRNGMTDEARKLFDEMPEKDEISWSAMIDGYNKEGRCKEALEVFNQMQREKTELKKFVLSSVLAACAKLGALDQGMWVHSYIRKNSIPLDAVLGTSLVDMYANCGRLDLAWDAFERTKQKEIFTWNSMIGGLAMHGRAEDALELFWKMQREEFRPNEVTLVAVLSACVHAGFVDTGLEYMITMKEAYGFEPTMEHYGCAVDLLGRAGLLNDAEDLINSMPIVPNAAVWGALLGGCRIHGNVELGERVGNILLKMEPKNSGRYTLLSNIYGKAGKFDEVSRLRKLMKQRGVKTSAGFSTICIDGGVHEFKMGDGSHPEMEDINPMLQKMMERIRLEGYRPNTSEVVFDICEEEKETVLSYHSEKLAMAYGILKTAPGTTIRIVKNLRVCEDCHTATKLISKVYKRDIIVRDRMRYHHFRNGHCSCKDFW >itb01g10780.t2 pep chromosome:ASM357664v1:1:9389749:9391503:1 gene:itb01g10780 transcript:itb01g10780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFHQTPNPNVFVWNCLIKGCVDNKDTRAAISVYYEMVVSASAKPNKYTYPPLLKACTREQAFQEGMQFHAHAVKYGLGADGHITSAGIQMYSSFGLCNEARNMFDAIGALSDVVCSNAMLDGYMKCGDVGAAVVLFECMSDKNCGSWNAMISGFCRNGMTDEARKLFDEMPEKDEISWSAMIDGYNKEGRCKEALEVFNQMQREKTELKKFVLSSVLAACAKLGALDQGMWVHSYIRKNSIPLDAVLGTSLVDMYANCGRLDLAWDAFERTKQKEIFTWNSMIGGLAMHGRAEDALELFWKMQREEFRPNEVTLVAVLSACVHAGFVDTGLEYMITMKEAYGFEPTMEHYGCAVDLLGRAGLLNDAEDLINSMPIVPNAAVWGALLGGCRIHGNVELGERVGNILLKMEPKNSGRYTLLSNIYGKAGKFDEVSRLRKLMKQRGVKTSAGFSTICIDGGVHEFKMGDGSHPEMEDINPMLQKMMERIRLEGYRPNTSEVVFDICEEEKETVLSYHSEKLAMAYGILKTAPGTTIRIVKNLRVCEDCHTATKLISKVYKRDIIVRDRMRYHHFRNGHCSCKDFW >itb05g27840.t1 pep chromosome:ASM357664v1:5:31020174:31022200:1 gene:itb05g27840 transcript:itb05g27840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable BOI-related E3 ubiquitin-protein ligase 3 [Source:Projected from Arabidopsis thaliana (AT3G12920) UniProtKB/Swiss-Prot;Acc:Q9LDD1] MAVEAQRLNVFPAQLLTRETMDGIQGNGNLYAGSAVGYGTVPLSGNQIAEPLFPMYCSSPIESFPAKNAMKSDSGLTYGLPPSRKRSREEANPLISYPAFQNNTSNRCGSFSFLGEDISLQIQQQQLEVDRFIAQHMEKVRMEIEDRRKRYARSILAVVEEGIRKRLRAKEEEIENIGKLNWALEERVKSLCVENQIWRELAQTNEATANALRSNLEQVLAHVEQRNGNVNGGVRVGQAAELMDDAQSCCSSNHGGGCGVDAQTEGCSLAETGAEVGMVVKDRGNLQDDGGVCGRKSQSCENNGSGSNSSSSSRLCRNCGKAESCVLLLPCRHLCLCTACGSSILTCPICKSTKNASLRVNFSS >itb03g08990.t1 pep chromosome:ASM357664v1:3:6824913:6826894:1 gene:itb03g08990 transcript:itb03g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSLRNSVLVFTLYALAFSLSVSARPATFLQDFKVFYADYHVKQAEGGRAIQLVLDQSSGSGFGSRYKYLNGRFSMKIKLVPGDSAGTVTAFYLNSDTGNVRDELDFEFLGNRSGQPYTVQTNVYVHGKGDREERINLWFDPSLDYHTYTIMWNHCHCVFYVDEIPIRVFKNNEAKGLPYLKYQPMVIYSTLWEADDWATRGGLEKIDWSKAPFNAYYKDFDIEGCPVPGPTGCSSNPKNWWEGAAYQQLSADEARRYRWVRMNHMVYDYCTDKARYPVPPPECRDGI >itb09g06990.t1 pep chromosome:ASM357664v1:9:4060340:4062762:1 gene:itb09g06990 transcript:itb09g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASICKDEFELKWEGGIEEGQSLLYQCQEDGLLVLPMIDVMQRLGIDYHFQELIEAILHRQYHKTKFLFDETADLHDISLRFRLFRQEGYPISSDVFTKFTDEEGKFKEELISKDIKGLMALHEASHLAIQGEDILEEAERFSRESLMANMTCLDDCQAILVQKTLQYPHHKSLPRWWKGLRVMDELAKNQQLKWYIWTLALTLDPSMSRERVVLTKPISLVYLIDDIIDVYGTFDQTIQFVDAIKRWEISVAEELPDYMKICLGVLFDTTNDICNFVLDKYGWNPIDHLKKAWTSLCDAYITEAKWFVTGNSPTADEYLQIGIITTGVPMVLIMCFFLQGHGASTGTTDIEDIITSVGAILRLLDDLDATQGEKQDGNDASYVEYYIKENQGVSLSDGKQHVINMVSEQWKLLNKQCLSPTSIPASFRKACLNITRMVPMMYNYSDTHCLPILQKQIMSMFSTINVDSAQLL >itb10g01980.t1 pep chromosome:ASM357664v1:10:1622917:1624820:-1 gene:itb10g01980 transcript:itb10g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKLFCILLPLLFIAAMQTAMAADPLFYNCSRFGNIPPKFGQESNLKRLLDNLTSTTPINGGFSSASAGQINYIIYGLALCRGDVSTTDCRSCLADARTRIRQLCGYADGAIIWYDNCLIKYAEYNFFGKIDNVNKFYLSNTEPVSNPNVFIPTRNQLMRQLAAKAAGQNSFYAAGDANVGSAGSMKVYGLVQCTRDLSGEDCRNCLNGAIAELPQCCGPQQGGRVVGGSCSVRYEIYGFYN >itb03g05960.t1 pep chromosome:ASM357664v1:3:4213848:4218550:1 gene:itb03g05960 transcript:itb03g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MAMDDPTSYPPIEPSNFDLIVIGTGLPESIVAAAASAAGKSVLHLDSNSFYGSHYASLPLDDFTSFLQSHSNSASIPAPSQPHDESDYDLVPLCTRQVYSSIDVSAHSTEPLEHSRKFCIDLAGPRVLLCADDMINLILKTDINQYMEFKSVDASFICDGDGNLANVPDSRSAIFKDRSLSFPEKNQLMRFFKLVQGHLGGDDTESNKISEEDLECPFYEFLNRMGLSSKLKSIILYAITMATYDQENVDACKDVLKTRDGINHLALYHSSVGRFSNAPGAMIYPIYGQGELSQAFCRRAAVKGCIYVLRMPVHFLLKDKGSGNYKGVKLSSGQELFSHNLILAPSFVIPSAMVDSSAHCLQDGSFDFGREDVKEKLVKGICITKCSLKPDVANCLVFFPPGSLFPDQVTSIRVFQLSSNVAVCPSGMFVTYLSVICDDAVQGKKLLEAAINALFSIPVSGVPESNSADNPNSNTKPDLLWSALYVQELIKDSFDSFISVSMPDWKLHYSDLLSASVKIFQKIYPNEEFFPKAAPTKEHKDDGVSELEPSAEDVPELEPSAEDVPELEPSAEDVPELKPKPSVEDVPELKPSADGVPELEPSAEGVPVDGGVAEPKPSADGVPELEPSAVGVPELKSSAEGDPVGT >itb07g21460.t1 pep chromosome:ASM357664v1:7:25846864:25848570:1 gene:itb07g21460 transcript:itb07g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYENEHPVKAFGWAARDTSGVLSPFKFSRRETGEKDVQFKVLYCGVCHTDLHQLKNEWGNTTYPIVPGHEIVGEVTEVGCKVEKFKVGDKVGVGCMVGSCRNCKYCATDLENYCPRATPTYNANKTMTFGGYSDIMVADEHFVLRWPENLPLEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASNKEEAIQRLGADSFLISRDLQQMQAAMNTLDGIIDTVSAVHPIMPLLFLLKTNGKLVIVGGLEKPLELPVYPLSMGRKLVAGSSIGGIKETQEMLDFSSKHNITPDVEIIPMDYVNTALERLLKADVKYRFVLDIVKTLKSE >itb07g21460.t2 pep chromosome:ASM357664v1:7:25847604:25848570:1 gene:itb07g21460 transcript:itb07g21460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGGYSDIMVADEHFVLRWPENLPLEAAPLLCAGITTYSPLRYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASNKEEAIQRLGADSFLISRDLQQMQAAMNTLDGIIDTVSAVHPIMPLLFLLKTNGKLVIVGGLEKPLELPVYPLSMGRKLVAGSSIGGIKETQEMLDFSSKHNITPDVEIIPMDYVNTALERLLKADVKYRFVLDIVKTLKSE >itb15g22660.t1 pep chromosome:ASM357664v1:15:25325884:25330823:-1 gene:itb15g22660 transcript:itb15g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDRMLPDLYKAAVKGKPDDYYEVLRRMESYKTSGEGYESDDYYEVLRQMEEVGVGRQVTPKGNTVLHVAALHGQKHFVERLLLDREDTYPMLLAKNHKNRTVLHCAAEKGHAEIVSVIINNVTKKAVESGVGVREMIQMTDIVGDTALHKAVRMGHVEVVKLLIQEDCEFEYPPNDAGETPIYIAAESNREDPLLEKYGECLVEMVQRFEKPSYGGPLGRNALHAAVLSGGMSGLAFLNTDTECMSLLLEKEICLCEMKDDFGWTPLHYAARINNREGIDTILKAKSSAAYIRAGREDDWTTVFHIAAREGHDRIMEHISNRCPDCWEMVNSKGQNVLHEAIQSGEMDVFKYIERCNQLDSLASEGDEDGNTPIHLLPIYEDYWSEEFVQNLLRKDNKKQLVFNKQHQNPTDRYMEHVGNLFNFNDTTDEHYFELYGRYGQRADLTKNSRKKISSTKKGFDINEMVDFGVRKSETNIIVATLIATITFAAGFTVPGGYNSNVDSEEAGKAILIRNAAFKAFVVTDVVAFICSMLAVYIFGSVVEYAATPYIERYRIVIKLSILGTNAMGLAYLGVGIAFLCGMYAALEPSLPLAIAVLALGAAIPLLACCRYKKIYLPQAAIMKMFNI >itb06g05120.t1 pep chromosome:ASM357664v1:6:7857760:7861688:-1 gene:itb06g05120 transcript:itb06g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPLLHHPSSYSLYLHRTQQLKLLPRSREFPFRSLHSSPLVGFHKDVLATFVSKSSLSSSFSSSSSVSLVGDDFEVELGRLLALLPEEMRRGVGEHPELHHLIEVVMDLGRKPLARFPSGDFVLSDHPITMQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKGRIIGLTCRVGRALSGSANSLQDLVKLGASLLLIGPPGVGKTTIIRDIARMLANDYGKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPHTDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLVMNPALEMLVGGVQSVTLGDEEASRRGVQKSVLERKGPSAFSCGVEIISKTELRVHPNLEATVDAILSGRHPIFEVRKINQRSQEEVEEELTIQELHDRSDSVIVGISQMNGNIPGHGDFISEMNQGTEENCCKNEDSLCLFLYGISEASVVQGIKQLKIDHAIEFTDNISEADALLALQSKLKKNSGIQAAARSRGIPIYVSKTSSLSQLTKAVEALVADCADGFEFFESEAKINVLERMDALEEARIAIEQVVIPKGEPVDLLPRPSNILILQKDLISKYKLKSVRIGTEPDARLRIIPFQSPLEENSHSSEGDNDGSGDEFDDLLRPDPDSNGSSYTVDRLPLLPD >itb05g24340.t1 pep chromosome:ASM357664v1:5:29150092:29156490:-1 gene:itb05g24340 transcript:itb05g24340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGEEIVYLHGDLELRIIEARKLPNMDLTSERLRRCFTCDACRRPADEFNGEEEPAEEEVKTYRRGIITSDPYVTVSAPQTTLARTRVIPNSQNPMWDEKFDIALAHPMANLEFRVKDNDVFGAQVMGKVLIPAKRIASGEEISGWFPVIGSSGKPPKPDTALRIEISFTPFESSHYYKHGIAGDPQHLGVRRTYFPLRKGSSVQLYQDAHISHDVKFPPIELDEGKMYKQGTCWEDICYAISEAHHLIYIVGWSVFHKIKLVREPTRELPRGGDLTLGELLKYKSEEGVRVLLLPWDDKTSHDKFFINTPGLMATHDEETKKFFKRSSVICVLSPRYPSSKLSYMKQHVVGSMFTHHQKCVLVDTQASGNNRKITAFLGGLDLCDGRYDTPEHRLFRDLDTVYKDDFHQPNYPPGTKAPREPWHDLHCRIDGPAAYDVLINFAQRWRRATKWKEFALLKKKISQWHDDAMLKIERISWILSPAFTISNEDTSIPEDDPKLYVSKEGQPENWHVQIFRSIDSGSVKGFPKKVEIASAQNLFCGKNLVVDRSIERAYIDAIRSAKHFIYIENQYFLGSSYAWPSYKDAGADHLIPMELALKVVSKIRAKEQFAVYVVMPMWPEGDPKSATMQEILYWQSQTMQMMYQVIAQEIKSMQLDAHPSDYLNFYCLGNREEVPSSVAEFFSATDKVTDSFKFQRFMIYVHAKGMIVDDEYVIIGSANINQRSMAGSKDTEIAMGAYQPHHTWATKQGHPRGQIYGYRMSLWAEHLGTIEKCFNEPGKLKCVKKVNEVAQENWRKYTDENFNRLQGHLLKYPLEVKEDGTVCPLPGNENFPDIGGKVIGTPSAALPDVLTT >itb05g24340.t2 pep chromosome:ASM357664v1:5:29150092:29156490:-1 gene:itb05g24340 transcript:itb05g24340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLGEEIVYLHGDLELRIIEARKLPNMDLTSERLRRCFTCDACRRPADEFNGEEEPAEEEVKTYRRGIITSDPYVTVSAPQTTLARTRVIPNSQNPMWDEKFDIALAHPMANLEFRVKDNDVFGAQVMGKVLIPAKRIASGEEISGWFPVIGSSGKPPKPDTALRIEISFTPFESSHYYKHGIAGDPQHLGVRRTYFPLRKGSSVQLYQDAHISHDVKFPPIELDEGKMYKQGTCWEDICYAISEAHHLIYIVGWSVFHKIKLVREPTRELPRGGDLTLGELLKYKSEEGVRVLLLPWDDKTSHDKFFINTPGLMATHDEETKKFFKRSSVICVLSPRYPSSKLSYMKQHVVGSMFTHHQKCVLVDTQASGNNRKITAFLGGLDLCDGRYDTPEHRLFRDLDTVYKDDFHQPNYPPGTKAPREPWHDLHCRIDGPAAYDVLINFAQRWRRATKWKEFALLKKKISQWHDDAMLKIERISWILSPAFTISNEDTSIPEDDPKLYVSKEGQPENWHVQIFRSIDSGSVKGFPKKVEIASAQNLFCGKNLVVDRSIERAYIDAIRSAKHFIYIENQYFLGSSYAWPSYKDAGADHLIPMELALKVVSKIRAKEQFAVYVVMPMWPEGDPKSATMQEILYWQSQTMQMMYQVIAQEIKSMQLDAHPSDYLNFYCLGNREEVPSSVAEFFSATDKVVFH >itb06g22080.t4 pep chromosome:ASM357664v1:6:24507702:24511176:1 gene:itb06g22080 transcript:itb06g22080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYYSVIGSVVFKKKKKDSDVSVLGFLPSSDAARECKAILDIVDSAFPNGMSEGVGEDCKLQEMFHKALELLPKLWVQEGLIDEAVNSYRRALVKPWNLDAKRLASMQKDLAIALLFAGVEVDLSPRFKIWCSTPPKNNMEEAILLLFVLMWKIQQGEIEWDSEVMDHLTFALTVVGEFESLADNVEQILPGVKTRAERWYFLALCYSAAGQNETALNLLRKVSGSSEAEHEPHIPSLLLGAKLCSQDPCKSREGISFARRAIDSTMNQNKHLLSQARKFLGVCYGNAAKISVSDSERNSYQTQSLNSLTQAAMLCKEDAETVFSLGLEYATQRNLTPAFDNALRYSEMTSGSTAKGWKLLALIVSAEQRFSDAEAIVDLALDETGQIDQLQFLKLKAVLQIARQHPNQAMETYRILLAMIQAQRQSGMDHEVLSDTKLEVEAWLDLARIYIDQDSWPDAQVCIDKAKSIDIYLPQSWHTTGL >itb06g22080.t1 pep chromosome:ASM357664v1:6:24507475:24511195:1 gene:itb06g22080 transcript:itb06g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEPEPAPQSPESLATRDFSASGLSSRTGTADWESRFEEAQVDEVESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKTLSPRMSKAITERTRPRKPRGKGEIVVSGVMSLHSVSLLLEAILLKSKSLAGLGRIRDAARECKAILDIVDSAFPNGMSEGVGEDCKLQEMFHKALELLPKLWVQEGLIDEAVNSYRRALVKPWNLDAKRLASMQKDLAIALLFAGVEVDLSPRFKIWCSTPPKNNMEEAILLLFVLMWKIQQGEIEWDSEVMDHLTFALTVVGEFESLADNVEQILPGVKTRAERWYFLALCYSAAGQNETALNLLRKVSGSSEAEHEPHIPSLLLGAKLCSQDPCKSREGISFARRAIDSTMNQNKHLLSQARKFLGVCYGNAAKISVSDSERNSYQTQSLNSLTQAAMLCKEDAETVFSLGLEYATQRNLTPAFDNALRYSEMTSGSTAKGWKLLALIVSAEQRFSDAEAIVDLALDETGQIDQLQFLKLKAVLQIARQHPNQAMETYRILLAMIQAQRQSGMDHEVLSDTKLEVEAWLDLARIYIDQDSWPDAQVCIDKAKSIDIYLPQSWHTTGALFEAQERYKEALVAFSFSLSIDPDYVPSIVSTAELLMKMASSQAFPIARSLLMNALRIEPTCHDAWFSLGKLSKMEGSAQQAADFFQAAHELKLSAPVQSFV >itb06g22080.t2 pep chromosome:ASM357664v1:6:24507702:24511195:1 gene:itb06g22080 transcript:itb06g22080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEPEPAPQSPESLATRDFSASGLSSRTGTADWESRFEEAQVDEVESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIKTLSPRMSKAITERTRPRKPRGKGEIVVSGVMSLHSVSLLLEAILLKSKSLAGLGRIRDAARECKAILDIVDSAFPNGMSEGVGEDCKLQEMFHKALELLPKLWVQEGLIDEAVNSYRRALVKPWNLDAKRLASMQKDLAIALLFAGVEVDLSPRFKIWCSTPPKNNMEEAILLLFVLMWKIQQGEIEWDSEVMDHLTFALTVVGEFESLADNVEQILPGVKTRAERWYFLALCYSAAGQNETALNLLRKVSGSSEAEHEPHIPSLLLGAKLCSQDPCKSREGISFARRAIDSTMNQNKHLLSQARKFLGVCYGNAAKISVSDSERNSYQTQSLNSLTQAAMLCKEDAETVFSLGLEYATQRNLTPAFDNALRYSEMTSGSTAKGWKLLALIVSAEQRFSDAEAIVDLALDETGQIDQLQFLKLKAVLQIARQHPNQAMETYRILLAMIQAQRQSGMDHEVLSDTKLEVEAWLDLARIYIDQDSWPDAQVCIDKAKSIDIYLPQSWHTTGL >itb06g22080.t3 pep chromosome:ASM357664v1:6:24507702:24511195:1 gene:itb06g22080 transcript:itb06g22080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYYSVIGSVVFKKKKKDSDVSVLGFLPSSDAARECKAILDIVDSAFPNGMSEGVGEDCKLQEMFHKALELLPKLWVQEGLIDEAVNSYRRALVKPWNLDAKRLASMQKDLAIALLFAGVEVDLSPRFKIWCSTPPKNNMEEAILLLFVLMWKIQQGEIEWDSEVMDHLTFALTVVGEFESLADNVEQILPGVKTRAERWYFLALCYSAAGQNETALNLLRKVSGSSEAEHEPHIPSLLLGAKLCSQDPCKSREGISFARRAIDSTMNQNKHLLSQARKFLGVCYGNAAKISVSDSERNSYQTQSLNSLTQAAMLCKEDAETVFSLGLEYATQRNLTPAFDNALRYSEMTSGSTAKGWKLLALIVSAEQRFSDAEAIVDLALDETGQIDQLQFLKLKAVLQIARQHPNQAMETYRILLAMIQAQRQSGMDHEVLSDTKLEVEAWLDLARIYIDQDSWPDAQVCIDKAKSIDIYLPQSWHTTGALFEAQERYKEALVAFSFSLSIDPDYVPSIVSTAELLMKMASSQAFPIARSLLMNALRIEPTCHDAWFSLGKLSKMEGSAQQAADFFQAAHELKLSAPVQSFV >itb06g24780.t2 pep chromosome:ASM357664v1:6:26203477:26206230:1 gene:itb06g24780 transcript:itb06g24780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHKKSPLHGNAKSHSIVPGSNSISNPFDSDDECDKKQNVKQSRTSSEPTQCTLNTSTNPFDDDEAKGKSSSSSTYSFTTERNRYKNDFRDSGGLENQSVQELENYAVYKAEETTKAVNGCLKIAEDMREDATKTLVTLHHQGEQITRTHMTAADIDHDLSRGEKLLGSLGGIFSKTWKPKKTRPISGPVITRDDPVQRRGNHLEQREKLGLNSAPKGRSSSRTPPPEPTNALQKVEAEKAKQDDGLSDLSNILGELKGMALDMGAEIERQNHAMDHLQDDIIELDSRVKGANQRGRRLLGK >itb06g24780.t1 pep chromosome:ASM357664v1:6:26203461:26206244:1 gene:itb06g24780 transcript:itb06g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHKKSPLHGNAKSHSIVPGSNSISNPFDSDDECDKKQNVKQSRTSSEPTQCTLNTSTNPFDDDEAKGKSSSSSTYSFTTERNRYKNDFRDSGGLENQSVQELENYAVYKAEETTKAVNGCLKIAEDMREDATKTLVTLHHQGEQITRTHMTAADIDHDLSRGEKLLGSLGGIFSKTWKPKKTRPISGPVITRDDPVQRRGNHLEQREKLGLNSAPKGRSSSRTPPPEPTNALQKVEAEKAKQDDGLSDLSNILGELKGMALDMGAEIERQNHAMDHLQDDIIELDSRVKGANQRGRRLLGK >itb06g24780.t3 pep chromosome:ASM357664v1:6:26203562:26206244:1 gene:itb06g24780 transcript:itb06g24780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHKKSPLHGNAKSHSIVPGSNSISNPFDSDDECDKKQNVKQSRTSSEPTQCTLNTSTNPFDDDEAKGKSSSSSTYSFTTERNRYKNDFRDSGGLENQSVQELENYAVYKAEETTKAVNGCLKIAEDMREDATKTLVTLHHQGEQITRTHMTAADIDHDLSRGEKLLGSLGGIFSKTWKPKKTRPISGPVITRDDPVQRRGNHLEQREKLGLNSAPKGRSSSRTPPPEPTNALQKVEVVCLCNLEKVSKSVSSSLFSFIASQIHSSKR >itb06g24780.t4 pep chromosome:ASM357664v1:6:26203562:26206244:1 gene:itb06g24780 transcript:itb06g24780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHKKSPLHGNAKSHSIVPGSNSISNPFDSDDECDKKQNVKQSRTSSEPTQCTLNTSTNPFDDDEAKGKSSSSSTYSFTTERNRYKNDFRDSGGLENQSVQELENYAVYKAEETTKAVNGCLKIAEDMREDATKTLVTLHHQGEQITRTHMTAADIDHDLSRGEKLLGSLGGIFSKTWKPKKTRPISGPVITRDDPVQRRGNHLEQREKLGLNSAPKGRSSSRTPPPEPTNALQKVEVVCLCNLEKVSKSVSSSLFSFIASQIHSSKR >itb10g23710.t1 pep chromosome:ASM357664v1:10:27921893:27923752:-1 gene:itb10g23710 transcript:itb10g23710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRINIGNLALTFPAEAKPSSSASFYCKIRLTGRFSTQYSTVPVIQKADGVIESRIHACYNLKKAELERILERLSAKGKSCVLSVEVYAENNGVSCLKGGKRLGSVVVALDLKGLATSVSASRGFVFQSGWVSVPGSAAMLHLNVKAEPDPRFVFQFDGEPECSPQVFQVNGNVKQPVFSCKFSFRNCGDRALRSRSSFSESSTSRKCFSGSEGKEKAVKERKGWSITIHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPGDGTWKPWGRLEAWRECSAGDSIGFRFELIPDGATDTITLVKSSICLKNGGKFSIDIANGATPLTSPNSSFDFSLGSGSDLGSAPGSGSWACPFYGGFVMSSTVGGDGKCCKPEVEIGVQHVTCAEDAAAFVALSAAMDLSVDACRSFSQKLRKELRQSDQE >itb12g19190.t1 pep chromosome:ASM357664v1:12:21566795:21569299:1 gene:itb12g19190 transcript:itb12g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSMRQTQILSKIATNDGHGENSAYFDGWKAYDNNPFHPKHNPQGVIQMGLAENQLCFDLIKNWVLKNPKASICTPQGAEEFKDIAIYQDYHGLPEFRSAVARFMEKVRGDTVKFDPNRIVMSGGATGAHEILAFCLVDPGEAFLVPTPYYPGFDRDLRWRTGVELYPVVCESSNDFKVTRAALESAYKKAQQENIRVKGLLINNPSNPLGTVLDRETLHDIVRFVNDKNIHLVCDEIYAATVFSKPEFISIAEIIQEVPSNPDLIHIVYSLSKDLGFPGFRVGIIYSYNDAVVSCARKMSSFGLVSTQTQHLIAEMLSDKEFVENFTVESSERLRKRHETFTRGLAQVGIETLKSNGGLFVWMNLRNLLKEPTFAAELELWKMIINEMKLNVSPGCSFHCHEAGWFRVCFANMDDETIRVALMRIRTSVLQWKGMEAAAAAAASAKKQKQCRRNLKVSLSFRRLDDLISSPHSPMNSPLVRATN >itb12g19190.t2 pep chromosome:ASM357664v1:12:21566959:21569272:1 gene:itb12g19190 transcript:itb12g19190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLQAVARFMEKVRGDTVKFDPNRIVMSGGATGAHEILAFCLVDPGEAFLVPTPYYPGFDRDLRWRTGVELYPVVCESSNDFKVTRAALESAYKKAQQENIRVKGLLINNPSNPLGTVLDRETLHDIVRFVNDKNIHLVCDEIYAATVFSKPEFISIAEIIQEVPSNPDLIHIVYSLSKDLGFPGFRVGIIYSYNDAVVSCARKMSSFGLVSTQTQHLIAEMLSDKEFVENFTVESSERLRKRHETFTRGLAQVGIETLKSNGGLFVWMNLRNLLKEPTFAAELELWKMIINEMKLNVSPGCSFHCHEAGWFRVCFANMDDETIRVALMRIRTSVLQWKGMEAAAAAAASAKKQKQCRRNLKVSLSFRRLDDLISSPHSPMNSPLVRATN >itb12g01580.t1 pep chromosome:ASM357664v1:12:1071325:1076158:1 gene:itb12g01580 transcript:itb12g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase cytosolic isozyme 1-5 [Source:Projected from Arabidopsis thaliana (AT1G48470) UniProtKB/Swiss-Prot;Acc:Q8GXW5] MSLLSDLINLNLSDHTNKIIAEYIWIGGSGMDLRSKARTLPGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFSNPEVAKEEPWYGIEQEYTLLQKDVKWPLGWPTGGYPGPQGPYYCGIGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEIAGVVVSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGYEIIKKAIAKLQVRHKEHIAAYGEGNERRLTGKHETADINTFKWGVADRGASVRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTILNKD >itb01g28730.t1 pep chromosome:ASM357664v1:1:33100504:33106816:-1 gene:itb01g28730 transcript:itb01g28730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKRFRGSDKPPVELGSSRDYNVDMIPKFIMANGALVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYNESDPKTHDGMDLTRVTARELIGKFGLDDNTVDFIGHALALHRDDHYLDEPALDTVKRIKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVAGVTSEGETAKCKKVVCDPSYLPGKVRKVGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYLFCCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFETYDRFEPVNEPSLDNCFISTSYDSTTHFESTVDDVLSMYTLITGKVLDLNVDLSAASAAEE >itb01g28730.t2 pep chromosome:ASM357664v1:1:33100504:33106816:-1 gene:itb01g28730 transcript:itb01g28730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKRFRGSDKPPVELGSSRDYNVDMIPKFIMANGALVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYNESDPKTHDGMDLTRVTARELIGKFGLDDNTVDFIGHALALHRDDHYLDEPALDTVKRIKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVAGVTSEGETAKCKKVVCDPSYLPGKVRKVGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYLFCCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFETYDRFEPVNEPSLDNCFISTSYDSTTHFESTVDDVLSMYTLITGKVLDLNVDLSAASAAEE >itb11g02640.t1 pep chromosome:ASM357664v1:11:1348416:1351612:1 gene:itb11g02640 transcript:itb11g02640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVAEVLPPNLDSNSEPPQLFDGTTRLYTNFQCPYAQRVWITRNYKGLQDKIKFVPIDLQNRPAWYKEKVYPENKVPALEHNNKIIGESLDLVKYIDSNFEGPSLLPNDPEKQKFAEELIAYTDTFNKQVFGSFKGNPEIDAAGAFDHIEKALGKYDGPFFLGEFSQVDIAYAPFIERLRPFILEVFKYDVTSGRPKLAAWIEEVEKIDAYTVTKCDLPKTIEFFKARFMA >itb11g02640.t2 pep chromosome:ASM357664v1:11:1348425:1351575:1 gene:itb11g02640 transcript:itb11g02640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVAEVLPPNLDSNSEPPQLFDGTTRLYTNFQCPYAQRVWITRNYKGLQDKIKFVPIDLQNRPAWYKEKVYPENKVPALEHNNKIIGESLDLVKYIDSNFEGPSLLPNDPEKQKFAEELIAYTDTFNKQVFGSFKGNPEIDAAGAFDHIEKALGKYDGPFFLGEFSQVDIAYAPFIERLRPFILEVFKYDVTSGRPKLAAWIEVFHLIKL >itb05g25500.t1 pep chromosome:ASM357664v1:5:29870581:29874245:-1 gene:itb05g25500 transcript:itb05g25500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVIVGGGVAAGHAAHEFVKRAVSPGELCIISEEPVAPYERPALSKGYLLPEDPARLPSFHCCVGTNEERLTPKWYKEHGIELVLGTRVKSADVKRKTLLTASGETITYKILIVATGARALKLEEFSVSGSDAENVCYLRDLDDANKMVNMIQSCSGKNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMARLFTPKIASYYEEFFISKGVKFIKGTTLASFDFDADNKVDTVNLRDGTKLPADMVVVGIGIRPNTSLFEGQLTIEKGGIKVNGEFQSSNSSVYAVGDVAAFPLKIFGETRRLEHVDSARKSAQHAVAAILGKETKDFNYLPLFYSRVFTLSWQFYGDNVGEVVHFGDFSGHSFGAYWVNKGHLVGSFLESGAKEEYEAISIATRLRPAIEDLTELESQGLAFAMELSRDSPQLQPVDGAGSSALVVEKPLYPWHATAGVILAASIAAFGYWYGRRRRRW >itb04g04890.t1 pep chromosome:ASM357664v1:4:3038290:3042260:1 gene:itb04g04890 transcript:itb04g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHNYADNDDDDDFAPLLKKLKLPFLITFNDVRHVFRLDDLGMEILRIAVPATLALAADPIASLIDTAFIGHLGSVEVAAVGVAISIINQANKVTIFPLVNITTSFVAEEGTAKKISESSRSEGSGKGSAKGYELIELKPEEDSDLENKENAFAPENVVSSNSKTTVPQSPIATWIGGGKGKVKREKQQIPSASTALIMGCVLGLLQTVILIVLAKPLLSLMGVKSESPMLNPARKYLTLRALGAPAVLLSLAMQGIFRGLKDTTTPLYATAAGNSTNIILDPIFIFACRLGVSGAAVAHVISQYLLALILFCKLMQEVELLPPSSKDLQFSKFLKNGFWLLARVIAATFCVTLAASLAARQGSTPMAAFQVCLQVWLTSSLLADGLAIAGQAILASSFAEKDYKKAETVAVRVLQMGFVLGLLLAVVVGLGLCFGSGVFSKDKNVIGFITIAIPFVAGTQPINSFAFVLDGINFGASDFLYSAYSMVLVSAMTIASEFFLSENNGFIGIWIALSIFMLLRTIAGLLRMGTGTGPWHFLRARTIGEANL >itb04g04890.t2 pep chromosome:ASM357664v1:4:3038290:3042260:1 gene:itb04g04890 transcript:itb04g04890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHNYADNDDDDDFAPLLKKLKLPFLITFNDVRHVFRLDDLGMEILRIAVPATLALAADPIASLIDTAFIGHLGSVEVAAVGVAISIINQANKVTIFPLVNITTSFVAEEGTAKKISESSRSEGSGKGSAKGYELIELKPEEDSDLENKENAFAPENVVSSNSKTTVPQSPIATWIGGGKGKVKREKQQIPSASTALIMGCVLGLLQTVILIVLAKPLLSLMGVKSESPMLNPARKYLTLRALGAPAVLLSLAMQGIFRGLKDTTTPLYATAAGNSTNIILDPIFIFACRLGVSGAAVAHVISQYLLALILFCKLMQEVELLPPSSKDLQFSKFLKNGFWLLARVIAATFCVTLAASLAARQGSTPMAAFQVCLQVWLTSSLLADGLAIAGQAILASSFAEKDYKKAETVAVRVLQMGFVLGLLLAVVVGLGLCFGSGVFSKDKNVIGFITIAIPVSPTTQTKHYFFFLLTRNHCFCSIYQFVAGTQPINSFAFVLDGINFGASDFLYSAYSMVLVSAMTIASEFFLSENNGFIGIWIALSIFMLLRTIAGLLRMGTGTGPWHFLRARTIGEANL >itb05g27370.t1 pep chromosome:ASM357664v1:5:30779243:30779891:1 gene:itb05g27370 transcript:itb05g27370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSASLWMDNSSPNWTRHEDKMFEVGLVRYGEERIDRWVKIAELLPLKTARDVEQHYQILLADVADIDAGLIEVPNYLDNPVLSDEKKGKEPRKQAHQWTAEEHKRFLEGLNRYGKGDWKSIARQCVKTRSATQVASHAQKYFIHLEKDAKNRTKKRSSIYDVSLND >itb08g01510.t1 pep chromosome:ASM357664v1:8:1114374:1115945:-1 gene:itb08g01510 transcript:itb08g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRIYTTLAIAALFFFSTAFADDVVVLTQDNFEKEVGQDRDILVEFYAPWCGHCEKLAPEYVKLTASFKKAKSVLIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKNMKVHELQMPFLTVGAFFREPPSRDLPAITDDEGETRLCGVGRRRRHDLKERRVLQIFAGLVRDAVEAGGRRLVLVLRGGQTAMAELGCPGDDATALSFFRRRRGLLLQFAAASLNVVDLQGVQAAALL >itb02g06140.t1 pep chromosome:ASM357664v1:2:3815844:3817963:-1 gene:itb02g06140 transcript:itb02g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNFVFVIASLLIFSCSMPAVNAQSISLSPAPAPAPDFVNLTDLLSVAGPFHTFLNYIETTKVAETFQNQANNTEEGLTLFVPKDDAFSKTTKQSLSNLTADQLKSVFLYHALPHYYSLSDFRNLSQVGPISTFAGGQLSALNFTDVSGTVHLNSGWTSTKISSAVHATYPVAIYQVDKVLLPEAIFGTDIPPTPAPAPSPDIAPAADAPASDKGISGDSTSPTSSPPSSSHKIMSLSPLNLILAMVGGSAAFFL >itb08g02550.t1 pep chromosome:ASM357664v1:8:1994477:1999677:-1 gene:itb08g02550 transcript:itb08g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFTVMLTLALEFEIPWDDERSYEDDKENDEISMVPKGCEDKCGNVKIYYPFGIGPSKHCYLNQWFLINCTISSSDGVEKPFLSSFSDEKDGVREILGISYDKPSIAVKESFSPICQTTNGSAVGSNNLSIISNTKLSGSPFFYSSTENRFIFYGCGSAVLTTPGQEFTLDGCKLTCSSTTVAPKSEDDCNGINCCDLSFRSNDVNAYQINITTFIVNACNYAFFLPTSSQFSLQRISSLLPGEKLVAPVVWSWSVTKDDFTSLPPHYCYPYQNIYPPQLRGTYWNCQCKYQEQGNAYLPNGCQVMAWKRSEAEGRPMVPKGCQDKCGTVNIYYPFGIGNENGNGGCYLNKWFLINCTKSSDGSEILGGVEKPYLSSISGGVEIVGMSYDSQTITIKESISPSCQPAKGSNNFSIIQNSKLSETPFSYSFGNNFMLFGCGNAFITMPGEELEKHSYKLNCSSKNTTAPKTAHDCQGINCNHLTFDYDVNTYQVNFTHDSSINAACNYAFFLSDSSSLPPTLQSLPSRQQEVVVVPVELRWTITQEDVPSSYYAAEYCALIPTYINPQLQRHNYLHCFCENGYGNAYFSGGCEPTYSPDKNHKISIKKLSAIIGVTASFGLVSLIWACVILYKAIKKRKMKKLRQKFFKHNGGLLLQQQLLAKEGTIEKTKIFTASELDKATDHFNADRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENRLEPFINEVVILSQINHRNVVKLMGCCLETEVPILVYEFIPNGTLFDLIHNNFEEELIPLSWDIRLRIASEVASALAYLHSATSIPIYHRDIKSTNILLDEKFRAKISDFGTSRSISIDQTHLTTVVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGQKPISFELDDDEDRSLVSRFLLCMEENRVMEIIDVKVIEQGKKEDVVAMAWLAQRCLNLNGKKRPTMKEVAAELDTIKASHSHLPSAMETLEIESDFIA >itb07g04930.t1 pep chromosome:ASM357664v1:7:3330862:3332064:1 gene:itb07g04930 transcript:itb07g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLEDQLAQLRFCEEEEEKEEVEEEEEEEEGEEENNGGESDEESDGEDFSFVCDAALLSPVAAEDAFYKGEIRPVFPLFKRDLLFTGAGGDLEDFTAERLPVTPPVKKVFVETTNDESPGSDEIAGPYCQWSNRPAQEETGPEVCKKSNSTGFSKLWRFKDFLHRSNSDGRDAFVFFNPPSPAATSGEKKSPETKKGGEVKVKAPQKKKKKSESVLAHERYMRSKAKDDARRRSYLPYRPELVGLFTNVNGGLTRNVHPF >itb05g21610.t1 pep chromosome:ASM357664v1:5:27290507:27292209:1 gene:itb05g21610 transcript:itb05g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSGVGIQNNRRPELDFLPPGFRFHPTDDELVLHYLCRKCASQPISVPVIAEIDLYKFAPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGLGYWKATGADKPVGKAQGIKKALVFYAGKAPTGIKTNWIMHEYRLANVDRSAGKGHTLRLDDWVLCRIYNKKGILEKYDNVVAADDQKPKLTGFSPNAMLAPPQSNQSEYLHWHTDSSSSSDQMLSSPELAPEKEVQSSSGWDDLDFQLNNFMAAFPTDDPFNEHFNSFPDILFPLQ >itb03g17300.t1 pep chromosome:ASM357664v1:3:15948737:15950421:-1 gene:itb03g17300 transcript:itb03g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGMKKGPWTPEEDQILTSFIQRYGHENWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFTKDEEETIIQLHQTLGNRWSAIASRLPGRTDNEIKNFWNTHLKKRLQHHGSPYSPNNINVVGNITPIQIGDSSIHLRFPAPMTVNCNSVGRQNRMYSSSLMTTKMEEEESMQESYQNLGTTSNDDSGIVYLPSSSSVLPMELGGCETSSSISNDAVFWYNLLINAGNTS >itb01g10500.t1 pep chromosome:ASM357664v1:1:9098321:9103487:-1 gene:itb01g10500 transcript:itb01g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVNQWRAALKEVADLGGMVSHNQADGLATTTTELGKLESLTTLHADEIAFGHQHTQWRSWLSRLKKAPYSESFVLSSLSRSLVSLSLVKCSLTDEALSTGITGLPSLHFLNLSGNLICSIPQSIIDLGVLKELWLDDCVNLQTLPELPLSLTKLKAAGCTSLQGIRNLPNLWTELFLLVMDCEKLDEVQGLFKLAPISNFDAQLVKTLGYLDIEAIRDAEVELFNELTETRSKYLVQGLYEFGIFSTYFPGSEVPRWFSHKQDVENSVTLKLASYTDTNITGLTISMVYSRCKNPRKFKFFGEGKFGGSFSFFIKVSNISSGLKWIYNPTYIGIPGPNEDLVFLCHWKFGKYLASGDDINVSVVGQSHTLRIKELGVSPVYDIIHQETQTSSSSTIERYMSICQLAVNHYFFSPSKYFVLKGRSDGDPNVRGLLYDHLFEDHRVIFGVSSDDDSDVDFDEFECDEEEAEEELEELLRWSSRSFGQ >itb03g13500.t1 pep chromosome:ASM357664v1:3:13614535:13616126:-1 gene:itb03g13500 transcript:itb03g13500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGWKKGQNKDCLERVGSSFCLIRRTKNLAAHAMAKAVGASLSHVEWYDVSPYKLTYYTREYQTKDIDILEAFQVTPQPEVPLEEAGTAVAAKSSNGTVWTD >itb07g23440.t1 pep chromosome:ASM357664v1:7:27827683:27833209:-1 gene:itb07g23440 transcript:itb07g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPCGLTLGSHVTVVGTPRWAHSEKDSRIAAAKDGEETVMVSQFMMELQGLKTVDGEDPPRILHLNPRLKGDWSGRPVIEQNTCYRMQWGAAMRCDGLKSKSDEETVDGQVKCEKWIRDDDNHSEESKATWWLKRLIGRTKKKVSIDWPYPFAENKLFVLTVSAGLEGYHIHVDGRHISSFPYRTGFTLEDATGLSLKGDVDVHSIFAASLPSTHPSYAPQRHLEMLPRWRAPPLPIEPVELFIGILSAGNHFAERMAVRKSWMQHGSIRSLKVVARFFVAMHGRKEINAELMKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVASKYIMKCDDDTFVRIDAVMNEVKKIRHGRSLYIGNINYYHKPLRNGKWAVTYEEWPEEDYPPYANGPGYVISSDVAESIVSDFEQHKLRLFKMEDVSMGMWVEKFNNSRSVEYVHSLKFCQFGCIEDYYTAHYQSPKQMICLWGKLQSQGKAHCCNVR >itb07g23440.t3 pep chromosome:ASM357664v1:7:27829549:27833123:-1 gene:itb07g23440 transcript:itb07g23440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKLDSLISVSRLRSIQVLMGLLFLYLVLMSFEIPLVFRSGVGLDSPELPSRSLSSLPVHLRRENSVSRLPTRATRTQEVTPRRLGEYRKVSGLVFDESSFDSIDKDEFSELHKVVRDAFVAGKKLFEEIESGKVRSELENRTQSVNESCPNSVVLTGQEFVERNRLMVIPCGLTLGSHVTVVGTPRWAHSEKDSRIAAAKDGEETVMVSQFMMELQGLKTVDGEDPPRILHLNPRLKGDWSGRPVIEQNTCYRMQWGAAMRCDGLKSKSDEETVDGQVKCEKWIRDDDNHSEESKATWWLKRLIGRTKKKVSIDWPYPFAENKLFVLTVSAGLEGYHIHVDGRHISSFPYRTGFTLEDATGLSLKGDVDVHSIFAASLPSTHPSYAPQRHLEMLPRWRAPPLPIEPVELFIGILSAGNHFAERMAVRKSWMQHGSIRSLKVVARFFVAMHGRKEINAELMKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVASKYIMKCDDDTFVRIDAVMNEVKKIRHGRSLYIGNINYYHKPLRNGKWAVTYEVCTYSFSIFDPLDDWPTSMCACVFFLIFKKVYSPSKFDSHREHSIGLLVLNWVSYGRPRLVYFLVVLCWLGLQSGIYLMHTLG >itb07g23440.t2 pep chromosome:ASM357664v1:7:27827683:27833209:-1 gene:itb07g23440 transcript:itb07g23440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKLDSLISVSRLRSIQVLMGLLFLYLVLMSFEIPLVFRSGVGLDSPELPSRSLSSLPVHLRRENSVSRLPTRATRTQEVTPRRLGEYRKVSGLVFDESSFDSIDKDEFSELHKVVRDAFVAGKKLFEEIESGKVRSELENRTQSVNESCPNSVVLTGQEFVERNRLMVIPCGLTLGSHVTVVGTPRWAHSEKDSRIAAAKDGEETVMVSQFMMELQGLKTVDGEDPPRILHLNPRLKGDWSGRPVIEQNTCYRMQWGAAMRCDGLKSKSDEETVDGQVKCEKWIRDDDNHSEESKATWWLKRLIGRTKKKVSIDWPYPFAENKLFVLTVSAGLEGYHIHVDGRHISSFPYRTGFTLEDATGLSLKGDVDVHSIFAASLPSTHPSYAPQRHLEMLPRWRAPPLPIEPVELFIGILSAGNHFAERMAVRKSWMQHGSIRSLKVVARFFVAMHGRKEINAELMKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVASKYIMKCDDDTFVRIDAVMNEVKKIRHGRSLYIGNINYYHKPLRNGKWAVTYEEWPEEDYPPYANGPGYVISSDVAESIVSDFEQHKLRLFKMEDVSMGMWVEKFNNSRSVEYVHSLKFCQFGCIEDYYTAHYQSPKQMICLWGKLQSQGKAHCCNVR >itb04g04140.t4 pep chromosome:ASM357664v1:4:2554822:2557316:1 gene:itb04g04140 transcript:itb04g04140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYAQPNNMPLYGWVLVGKDVTGNTTGGSLKKPTDYDLVWSSEGNAKAKQDSPGYIWVPKPAEGYEAVGYVVTASSQKPSVDRIRCVRSDFTNVSEIQDWIWGADAFNVYSARPKDRGIQAPGVPTGAFVAQTNPNSSVLLPCLKNSNKNLSAMPSSSQIEALMKAYGPMVYFHPNEAFFPSSVAWFFQNGALLYTKGNESRGVAVDPSGSNLPQGGSNDGGYWLDLPTSDPERVKKGNIQNASAYIHVKPMLGGTFTDMAVWLFYPFNGAAKAKLEFVTLPLGKIGEHVGDWEHVTLRISNFNGELKSVYFSEHSRGIWVSASQLEYLNGTNKPVVYSSLHGHAAYSSPGQNLQGSGDIGIRNDTAKGGMSMDTGLKFSVVSAEYLGKDIVEPPWLNYAREWGPKISYDIGKELKKIARFMPGKVKSALEKLVKDLPSEVLGEEGPTGPKWKDNWSGDERS >itb04g04140.t3 pep chromosome:ASM357664v1:4:2554822:2557316:1 gene:itb04g04140 transcript:itb04g04140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNTSSSLSAFDSSVKNIISLLINNAFKLPSPLPPWPKGVDFAKGIIDLGGLQVAQVSNFTKVWSTGEGGPGDSGATFFEPSAIPDGFFMLGSYAQPNNMPLYGWVLVGKDVTGNTTGGSLKKPTDYDLVWSSEGNAKAKQDSPGYIWVPKPAEGYEAVGYVVTASSQKPSVDRIRCVRSDFTNVSEIQDWIWGADAFNVYSARPKDRGIQAPGVPTGAFVAQTNPNSSVLLPCLKNSNKNLSAMPSSSQIEALMKAYGPMVYFHPNEAFFPSSVAWFFQNGALLYTKGNESRGVAVDPSGSNLPQGGSNDGGYWLDLPTSDPERVKKGNIQNASAYIHVKPMLGGTFTDMAVWLFYPFNGAAKAKLEFVTLPLGKIGEHVGDWEHVTLRISNFNGELKSVYFSEHSRGIWVSASQLEYLNGTNKPVVYSSLHGHAAYSSPGQNLQGSGDIGIRNDTAKGGMSMDTGLKFSVVSAEYLGKDIVEPPWLNYAREWGPKISYDIGKELKKIARFMPGKVKSALEKLVKDLPSEVLGEEGPTGPKWKDNWSGDERS >itb04g04140.t2 pep chromosome:ASM357664v1:4:2553286:2557316:1 gene:itb04g04140 transcript:itb04g04140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYAQPNNMPLYGWVLVGKDVTGNTTGGSLKKPTDYDLVWSSEGNAKAKQDSPGYIWVPKPAEGYEAVGYVVTASSQKPSVDRIRCVRSDFTNVSEIQDWIWGADAFNVYSARPKDRGIQAPGVPTGAFVAQTNPNSSVLLPCLKNSNKNLSAMPSSSQIEALMKAYGPMVYFHPNEAFFPSSVAWFFQNGALLYTKGNESRGVAVDPSGSNLPQGGSNDGGYWLDLPTSDPERVKKGNIQNASAYIHVKPMLGGTFTDMAVWLFYPFNGAAKAKLEFVTLPLGKIGEHVGDWEHVTLRISNFNGELKSVYFSEHSRGIWVSASQLEYLNGTNKPVVYSSLHGHAAYSSPGQNLQGSGDIGIRNDTAKGGMSMDTGLKFSVVSAEYLGKDIVEPPWLNYAREWGPKISYDIGKELKKIARFMPGKVKSALEKLVKDLPSEVLGEEGPTGPKWKDNWSGDERS >itb04g04140.t1 pep chromosome:ASM357664v1:4:2553284:2557306:1 gene:itb04g04140 transcript:itb04g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISDRPFLLKNVLFACLILFPALAIQSGGTSSDCSKDSTMGNCLNTSSSLSAFDSSVKNIISLLINNAFKLPSPLPPWPKGVDFAKGIIDLGGLQVAQVSNFTKVWSTGEGGPGDSGATFFEPSAIPDGFFMLGSYAQPNNMPLYGWVLVGKDVTGNTTGGSLKKPTDYDLVWSSEGNAKAKQDSPGYIWVPKPAEGYEAVGYVVTASSQKPSVDRIRCVRSDFTNVSEIQDWIWGADAFNVYSARPKDRGIQAPGVPTGAFVAQTNPNSSVLLPCLKNSNKNLSAMPSSSQIEALMKAYGPMVYFHPNEAFFPSSVAWFFQNGALLYTKGNESRGVAVDPSGSNLPQGGSNDGGYWLDLPTSDPERVKKGNIQNASAYIHVKPMLGGTFTDMAVWLFYPFNGAAKAKLEFVTLPLGKIGEHVGDWEHVTLRISNFNGELKSVYFSEHSRGIWVSASQLEYLNGTNKPVVYSSLHGHAAYSSPGQNLQGSGDIGIRNDTAKGGMSMDTGLKFSVVSAEYLGKDIVEPPWLNYAREWGPKISYDIGKELKKIARFMPGKVKSALEKLVKDLPSEVLGEEGPTGPKWKDNWSGDERS >itb09g29700.t1 pep chromosome:ASM357664v1:9:30440132:30442764:-1 gene:itb09g29700 transcript:itb09g29700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMIFILVSIIQFINRYIEVNKKRATMSADDVRLKAEIKRLLREAAALSQPSTFAQAAKLRRIAAAKEKELAKSFETHGKEISMSFDMYTKGLFFLKICTYLLLVLCFWRVPLGFISQQLVHPFGMVLSWLSGGDAPNYTTIGIIPWIFVSSKASRFLLKRVIK >itb09g29700.t2 pep chromosome:ASM357664v1:9:30440132:30442615:-1 gene:itb09g29700 transcript:itb09g29700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDVRLKAEIKRLLREAAALSQPSTFAQAAKLRRIAAAKEKELAKSFETHGKEISMSFDMYTKGLFFLKICTYLLLVLCFWRVPLGFISQQLVHPFGMVLSWLSGGDAPNYTTIGIIPWIFVSSKASRFLLKRVIK >itb04g19840.t1 pep chromosome:ASM357664v1:4:24295602:24296186:1 gene:itb04g19840 transcript:itb04g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNPINNWAVLLNQSSTKWALPDSAPTQFHLNPPSKVRNGAVRLRPNITDTVKGKLILGAKLLQAGGVQKVFKKNFSVKEGEKLLKASQCYLSTTSGPMHGLLFVSTHKLAFLSDRSIKILSSTGKSMRMHYKVSIPIANIKRANESENLKNPSEKYIQVVTEDHFEFWFMWFQHHQRTLKYLQNVISQAQYL >itb06g19300.t1 pep chromosome:ASM357664v1:6:22660509:22663441:1 gene:itb06g19300 transcript:itb06g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHCVAPTLENCSPQMMAAPPNLSEPHDKACLAYPLGTNSHSFLQRTLYPIILKFEDIAYKVGGEKKGKCSGGKSSTGEKTILNGATGMVCPGEILAMLGPSGSGKTTLLTALGGRLYGNLSGRISYNGQPFSGSIRRLTGFVSQDDVLYPHLTVKETLLFTALLRLPQTLSREKKLQHVEHVITELGLTNCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILTTIKRLASRGRTVVTTIHQPSSRLYHMFDKVALLSEGCPIYYGPASNALEYFSSIGFSTSVTVNPADLMLDLANGIAPDSRHEIEQCNNMEQDQQSIREILLSAYDKNISARIKIELCSSDANDYSHSKDVFRRTHTKAEQWCTSWWHQFKILLMRGLRQRRYDAFNRLRIFQVLTVAFLAGLLWWQTPTSHIADRITMLFFFAVFWGFYPLYNAVFTFPQERAMLIKERSSGMYRLSSYFLAKTAGDLPLELALPIAFTFILYWMGGLKAEPLTFILSLLIVLYSVLVSQSLGLAFGAILMDVKQATTLASVTTLVFLIAGGYYVQRIPPFIVWLKYLSYSYYCFKLLLGVQYSENDYYECSKGAYCRVVDFPAVSSVGLNHLWIDVSIMAMMLVGYRLIAYLALYRVQ >itb01g26300.t1 pep chromosome:ASM357664v1:1:31510694:31511781:1 gene:itb01g26300 transcript:itb01g26300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEVLRMNGGIGDTSYANNSLLQQKVILMTKPITDRAITSLYTSLNNPKTISIADLGCSSGPNTFLAVSDLVKAVDNHRKKLQRRHSPEFQIYLNDLPTNDFNTIFKSLPKHEEDFRREIGEGCGLRFFNGVPGSFYGRLFPSDTLHFVHSSSSLHWLSQVPKGVEENRGNICMATASPPSVIKAYYDQFEQDFSTFLKCRSKELVKGVAPAEVG >itb06g06620.t1 pep chromosome:ASM357664v1:6:9227079:9232454:1 gene:itb06g06620 transcript:itb06g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFARGDIKILICTNIVESGLDIQNANTIIVQDVQQFGLAQLYQLRGRVGRADKEAHAYLFYPDKSLLSQQALERLAALEECCHLGQGFQLAERDMAIRGFGNIFGEQQTGDVGNVGIDLFFEMLFESLSKVDEHRVISVPYHSVKLDIDVNLHLPSEYINHLENPMEIISEAEKGAEKDMWNLMQFTENLRRQYGKEPYSMEILLKKLYVRRMAADLGITRIYALGKIVGMKTNMTKNVFKLITESVASDVHRNSLTFEDGEIKAELLLELPREQLLNWVFQCLAEFSTSDTKTKIKWKEGEEMGSFIYHVFSSSALFSLGLYHLISSTYTHLKSPREYSAKPFYHLSLFPSSSPRLRYLPLYLIILSLLIASAHQAFISFDSDPLLKGRTPVHRFTSLHSSALLLCFLLLSLSLLLSDSTSLLPLPPEIFFVIASALFFLCFSASSSSASFQTSDLQAKCDSVSARISAISSLLSLTLACNPRLFVAELLFAASICLHGLWVLQTGLSLYVDAFIPEGCHKLLDVVSGVEGSTKCDLEDSKLRAAALLDLVFVVYVFFVLLILMVAYAVLARALGRRFGSYEALPTSASPVDSNHIQMKALAGTQA >itb05g11650.t1 pep chromosome:ASM357664v1:5:17786207:17796661:1 gene:itb05g11650 transcript:itb05g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVDKDDAVAKQPRATAEQPPPQQRVNSSSIPSTAALGNPASAAPEPPRPSPASAEPSPSSAAAEPAQRLASTVMLPSRESNAMFSGGGISFLTGNRNARFSYGYASFKGKRPSMEDFYETTISEVGGQMVAFFGVFDGHGGSRTAEYLKNNLFKNLSSHPDFINDTKSAIVESFKQTDDDYLNEEKGQQRDAGSTASTAVLLGDRLLVANVGDSRVVACRNGSAIPLSIDHKPDRSDERERIEQAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIKEEEIEGVDFIIIASDGLWNVLSNKEAVAIVDEIKDAEAASRKLIEEAFARGSSDNITCVVVRFENP >itb10g04310.t1 pep chromosome:ASM357664v1:10:4113116:4114377:1 gene:itb10g04310 transcript:itb10g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPSFAGKAVKLSPSTPEITGNAKFSMRKGAAKTVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >itb09g29790.t2 pep chromosome:ASM357664v1:9:30536301:30541280:-1 gene:itb09g29790 transcript:itb09g29790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARENARRQPPRRRTRKAEINDPVPEPEPHEGPDMEVEKARLISLALEFGFDEDSARKCLDGLIQLYGEDGKEFITVEHFGDDFLTALADSLEDTEDWEQAVELEACGTLADILDKNYEAENDGNTPCYILEDSPEGKGRMNPVLLVSSSDSEDMDFEKPSKSNTPLTPAFGMNARPSSSAFGRDRSDEGVASSSHRHPPRSTECASTVTQSSISSLSSKMRPSWASKSEDVTLTYEELQRLDDIELANVVVFGNQSFRPLQHQACQASLQKRDCFVLMPTGGGKSLCYQLPAILQPGVTVVVSPLLSLIQDQIITLTQKFGIPATFLNSQQSSSQSAAVLQELRKDKPSCKLLYVTPERIAGNLTFQEVLSSLHRKGQLAGFVVDEAHCVSQWGHDFRPDYRVLGCLKRNFPSVPVMALTATATRTVREDVLSALNIPHALVLETSFDRSNLKYEVIAKSKEPLKQLGELLVNRFKNLSGIVYCLSKSECVDVSKFLNDKYKIKTTYYHAGMSARQRVTAQRRWHSGEIDVVCATIAFGMGIDKPDVRFVVHNTMSKSIESYYQEAGRAGRDNLPATCVVLYQKKDFSRVVCMLRSGQGYKKQSLKLAMDQARKMQKYCELKDECRRCALVGHFGELINRSSCRNGPSPCDNCLKFSS >itb09g29790.t1 pep chromosome:ASM357664v1:9:30536301:30541280:-1 gene:itb09g29790 transcript:itb09g29790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHPLHLAVIGVMKVSLQVHIDILHDLRKMRPSWASKSEDVTLTYEELQRLDDIELANVVVFGNQSFRPLQHQACQASLQKRDCFVLMPTGGGKSLCYQLPAILQPGVTVVVSPLLSLIQDQIITLTQKFGIPATFLNSQQSSSQSAAVLQELRKDKPSCKLLYVTPERIAGNLTFQEVLSSLHRKGQLAGFVVDEAHCVSQWGHDFRPDYRVLGCLKRNFPSVPVMALTATATRTVREDVLSALNIPHALVLETSFDRSNLKYEVIAKSKEPLKQLGELLVNRFKNLSGIVYCLSKSECVDVSKFLNDKYKIKTTYYHAGMSARQRVTAQRRWHSGEIDVVCATIAFGMGIDKPDVRFVVHNTMSKSIESYYQEAGRAGRDNLPATCVVLYQKKDFSRVVCMLRSGQGYKKQSLKLAMDQARKMQKYCELKDECRRCALVGHFGELINRSSCRNGPSPCDNCLKFSS >itb01g28200.t1 pep chromosome:ASM357664v1:1:32712346:32728711:-1 gene:itb01g28200 transcript:itb01g28200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKVACTGELPPNARSGHSFVHDPKVAGETETKGQIKLRFKTAAAKDVVCIRSFQLTQKATKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKSYKLKLENLQTLKDAAYKLRESIAQDQEKTEKVKNQMQELEGEIQNLDSKIHHVEGTLKDLRKLQDLIAAKTVERSTLFKEQERKYAALATENEDTDEELLEWKTKFDERLARLESKISKLEREKDDTITKRFHLQEEIKDCIRDISKLQTEAEAHMSNKSQRDATIKELFERFNLGPVSNTPFSDEVAEDLMNRISSRLMGYEKDLEDKKKSNLAITTTAWRQYENANSRWKDMGASIQAKAEIKSSILKRIEQKKNELDELELEISNVNLVHLDERERRMQAECERKNQQIEEREFGQTIQQKQSEIYSLVQKIKALNHERDLLAADSEDRVKLSLKKSELETQKKKHKKIVDEFKDKIKGVMKGRLPPDKDLKKEVTQAQRALHKEFDDLSAKAREAEKEVNMLQMKIEEVNNNLYKLNKDMESRKRFVESKLQFFDQQSASVDYYSKLLDSVKEKRDVQKSKYNIADGMRQMFEPFERVARAHHICPCCERPFSAEEEDDFVKKQRVKAASSAEHMKVLAVESSHADSQFQQLDKLRMVYEEYTKIGKESIPLAEKNLNELNEELDQKNQALDDVLGVLAQIKADKDAVDVLIPPVENAERLLHEIQVLQKQVDDLEYKLDFRGQGVKSMEEIQSELNILETKKDNLHNEVEKLREEQIYMENDRTSAQLRLGNIREEKFKATSTLQNVEKAQKELEWLTEEKSQVELDEKHFGEALGPLSKEKDKLLRDYNDLKEKFEHEQEKQLQVCSNFRQEMKALQGLASKIKEYYTLKKGDRLKELLEKQNCSESELRNCESRLQELSEQLTKSKDSKMNEDNLRRNIEDNLDYRKTKADVDRLTREIESLEEEVLKIGRLSSVEAEIVRLSQERERLLSELNRSRGTISVFESNISKNKIDLKQAQYKDIDKRHFDQLILLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYIRIHSDSESAGTRSYSYKLVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDCPNSESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRISKDDHQHSIIEAQEIFD >itb08g16380.t1 pep chromosome:ASM357664v1:8:18533300:18533905:1 gene:itb08g16380 transcript:itb08g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSELPREVIELIAKRLTSEIDFLRFRSVCSAWRSSLPAIPYNTYPSLSPPCYPRWDDGVTPTTWGFELSIRSIYLIASPKLHNQSSPSGAWIIELDRESPNRVCLLNPLSRAQLLTPFASDFPKLVDISRYRIHELGFGCTLQYIQYQPSEKVAFCWEKGKAGFRLLTIHFSGKLVFFIDLVIPNGLSSTTQPRVTMM >itb14g20470.t1 pep chromosome:ASM357664v1:14:22824246:22825811:1 gene:itb14g20470 transcript:itb14g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSSVCVGLPSCLEPRILMHKMEEISAESKECINGDLGSGWSFIQAMGSPERNQTAPAEEVYVHPMVRRSASTLSTKSLEMCTESLGSETGSDISESVEEFSSLLPEKEDSTPPQAAASQREKSYRYPAEVFCSFKKSNRTGVFPPPLTSISGGDGVQVRPRREGGRLVITAVAVTLYSSFFRAERSDGRLRLSLRKTENEVLETDEELDDDNDGDNNEEEGHTAGAGLADAGDEVVPMNIPASRCKDSENRNKEIQSWYCVAIS >itb04g33800.t1 pep chromosome:ASM357664v1:4:35934307:35937588:-1 gene:itb04g33800 transcript:itb04g33800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTCVHQIGYFFIILFTFVLMDLCNCSRSNNMGVCKEREMQALLCFKNELEDPMNRLLSSWVDGTNCCSKWEGVVCHNVTGHVVQLRLTNPNFDFEFDHLPKGTLSPCLLELKQLNHLDLSGLYGYFSGSRIPNFIGSFANLQYLDLSHLGFQGMIPPQLGNLTSLHTLILQRNFDYTNDGSSPIKTDSLDWLSNLSNLRLLDLSYVNLSMAHNWLEAINMLPSLHELHLSSCDLPKLSQSLRLLNNSLLEVLDLSYNEFNTVVPKWIFNLHNLVSLDLTSSSFLSPLPDGPWNLTSIITLNISENGMNGSLPSQLFRLSGLVSLNLRNNQFQDPLPNCPWNLTSLSVLDISINNLNGSLPNQLFGLSHLVSLDISSNQLQDPLPNGFSNLTFLKNLDASGNSMNCRIPNRIYDWTNLESLRLSDNQLQGTISNSISNLTSLSALDLFGNMLTGEIPKQIGNLNKLQVLSLSENMLTGEIPKQIGNLSKLQVLSLSANMLTGEIPKQFGILNKLQVLILGTNRLCGSLPENLGYSFPMIERLYIYDNKLEGTVTENHFVNLTKLVTLSAFRNRLTMRVNPNWTPPFQLDGLYLSGWNLGPELPLWLQSQHQISAVDISNAGIEGELPTWLWNFSSQIQLVNVSHNELRGQIQNISFHPIREKDLPLSICLSSNQFSGPLPRISIHIIVLDLTNNSFSENISNFLCHAQKVQYDLRILRLGGNDLFGEIPDCWMHWSYLEVLNMKENKLTGSIPNSIRLLSRLESLDMHNNMLSGPIPSLQNCSSLFKVDLAENGFTGKIPRWLGTSLSYLTILRLRSNNLNGELSPEFCHLTSLRILDISNNNLTGVIPKCLKNLTAMINDEVESSVIVFESGYSSSVSGSFGESALVTTKGHEYEYFTVILSLFVSMDFSCNNFNGDIPIELTNLVKLKSLNLSRNNLTGNIPVEMGNMKLLESIDLSRNQLSGTIPSSFSDLSSLAVLDLSYNNLSGKIPSGTQLQGFNASCYIGNNFCGPPVSKSCSSIDDGKIPKYENKRDDGCEVDWFYVSMVIGFAVGFGCIYGSLLLVKSWRIAYFQFLDKKLKSFLVWAHALSA >itb08g09490.t1 pep chromosome:ASM357664v1:8:8724551:8726368:-1 gene:itb08g09490 transcript:itb08g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPQSFFTNILLRQNPSPNPTILNRSLSILGTNAGSQGSVSLNSDHVSDSKQHRFSEISKDVLRIIRTRPRWEQTLLSEFPSVNFADPNLYCEVLKRQKNVMVALRFYRWVSSQNGFLPDPVSSDVVFNGLVKAKAGSLAKSFLESTKYAPEPSSLEGYIECLCDNGLIEEALMVFDELKGVGHCPSLNAWNSALSGSVKVGKTDAVWKLYEDMMGCGVAGDVDTIGYLIYAFCLDRNYSKGYELLQQLLEGGHVPSNIVFNRLIYESCKNNEFFRMTALLFAMIAKNCSPDIYTYQEVIHGVRDCKNRDKLEVFRIFEYIKDKGYAPDRVMYSTVVHSLAKSNMLGKAQKLWSEMIKKRFVPDEYAYNALIHGYFKTGNLKEAERLHKEMLEKGFVDSTVTFNILIGGYCANGMFKRAYRLFEHMGRKGVVRDAITYNSLIQGFCEGGNASYGLKIFYDLLGHGLQASTTLYKALIERLCEEGRVEEAKCFLKDMVDQGLEPAICNHNSIIVGLTKQGKFSKGIEWLGTMIKSRLRPQGRTLERLIYSLSRADKWDDALLILTYMHKLGLQPSGTLYSVLVKKLFEEGRVEEAKLFLKDMVA >itb02g13840.t1 pep chromosome:ASM357664v1:2:10109427:10109889:1 gene:itb02g13840 transcript:itb02g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINCTELSRIDLQACNLGGQLPKYIGNFSKLEVFAIGMNVISGEIPKEIGNLLDLQILMLGQNQLSSAIPDSIGKLQHLYRVELDNNRLSGEIPSSLGNISNLGRLSLQKNNLQVATCPKSCFLPLQF >itb05g05910.t1 pep chromosome:ASM357664v1:5:5848788:5852086:1 gene:itb05g05910 transcript:itb05g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRNIWKTKKKKHDSASEKQLIGVLAFEVASLMSKLVHLWQSLGDKQVARLRDEVMNSVGIKKLVSEDDIYIARLICREINENLRNVAMVVTRLAKKCSDPLLKSFELAFNELLKTGADPYGWQLTWKKMDRKVKKMEWFMEINANLYQEMETLSDLEQTLRRMKGNGDADNIALVEYEKNVSRKQQEVKYLKEVSLWNRSYDYTVCLLARSVFTIFGRVGNVFGINPAGDQEVKKLKLLESDHIHRSQSVAHSQSSIHPTESSLSRFSSEPISSLLTKSGPIPKTTNTNAFCSGPPRNPTSTAHPISGGNKSVNFYSGPLGMSTEKSRTIARPKKSGIKWWQSHEHSGSLQGKTQISKANKLGTIGPLKGCVMGGNGSPVSDCYLNPVDSSSGALHLNQGVSMDIPVQSNSVFSNAFKFDSKRRLINAPPDSLGAAALALHYANVIIVIEKFVASPHLIGQDAREDLYNMLPASIRAALRAKLKPYAKSLNSSGYDTALAEEWNEAMLGILEWLAPLAHHMIRWQSERSFEHQSFVSRANVLLVQTLYYANQAKTEATITELLVGLNYVWRYSRELNAKAIEECTSIRTFDDYLDD >itb05g05910.t2 pep chromosome:ASM357664v1:5:5849537:5852068:1 gene:itb05g05910 transcript:itb05g05910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRNIWKTKKKKHDSASEKQLIGVLAFEVASLMSKLVHLWQSLGDKQVARLRDEVMNSVGIKKLVSEDDIYIARLICREINENLRNVAMVVTRLAKKCSDPLLKSFELAFNELLKTGADPYGWQLTWKKMDRKVKKMEWFMEINANLYQEMETLSDLEQTLRRMKGNGDADNIALVEYEKNVSRKQQEVKYLKEVSLWNRSYDYTVCLLARSVFTIFGRVGNVFGINPAGDQEVKKLKLLESDHIHRSQSVAHSQSSIHPTESSLSRFSSEPISSLLTKSGPIPKTTNTNAFCSGPPRNPTSTAHPISGGNKSVNFYSGPLGMSTEKSRTIARPKKSGIKWWQSHEHSGSLQGKTQISKANKLGTIGPLKGCVMGGNGSPVSDCYLNPVDSSSGALHLNQGVSMDIPVQSNSVFSNAFKFDSKRRLINAPPDSLGAAALALHYANVIIVIEKFVASPHLIGQDAREDLYNMLPASIRAALRAKLKPYAKSLNSSGYDTALAEEWNEAMLGILEWLAPLAHHMIRWQSERSFEHQSFVSRANVLLVQTLYYANQAKTEATITELLVGLNYVWRYSRELNAKAIEECTSIRTFDDYLDD >itb11g23280.t1 pep chromosome:ASM357664v1:11:25225636:25230935:-1 gene:itb11g23280 transcript:itb11g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISIEDVRREVKILKALSGHRHLVKFYDACEDANNVYIVMELCEGGELLDRILSRGGRYSEDDAKLIVVQILSVVAFCHLQGVVHRDLKPENFLFTSRNEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLDADIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFEDLPWPSASQEAKDFVKRLLNKDYRKRMTAAQALMHPWLRSESHPIPLDILIYKLVKSYLNATPLKRAALKALSKALTEDELVYLRAQFMLLEPNKDGRVSIENFRMALSRNATDAMRESRVPDILNAMAPLSYRKMDFEEFCAAAISTYQLEALEGWEQIASTAFEFFEQEGNRVISVEELARELNVGPTAHSILRDWIRSDGKLSLLGYTKFLHGVTLRSTNTRHH >itb11g06950.t1 pep chromosome:ASM357664v1:11:4200253:4204188:1 gene:itb11g06950 transcript:itb11g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALQSHFLANQAIHSRIGSLVLSDNKCSWTSGDCCESLVIVKFLPNRWRSRHLKFLKAPKICGALGSRCPDSPLHRKQNSSLTSKNNMDMYKEICKNWKALPLIIGRKWSHVAQTAIVAAFVLMVIPKADAVDALKTCTCLLKECRLELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSVLVKSFDMKDFNGKWFITRGLNPTFDVFDCQLHEFHVEENKLVGNISWRIRTPDGGFFTRSTEQKFVQDPQYPGILYNHGNEYLHYEDDWYILSSKVENMPDDYIFVYYRGKNDAWDGYGGAVLYTRSAVLPESIVPEVQRAAQSVGRNFDELIKTDNTCGPEPPLVERLEKTVEEGEKTIIREVEEIEGEVEKVTSQEISLFGKLLEGFKELQKDEEYFLKELSKEEKEVLDGLKMEASEVEKLFGRALPLRKLR >itb04g05500.t2 pep chromosome:ASM357664v1:4:3574600:3583653:-1 gene:itb04g05500 transcript:itb04g05500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MADQKKKQQHLSLNQRHNRVLLDFSASHSKPPPSSRFHQEKEKIGAQGHSGDEKQKYDVPSFSFDFDSPSDSHSLEEKDITAGKNLQDENDEIPNFGIGFDSPTASHPLEEKEKIGAQGHSGDEKQNYDVPSFRFDFDSPSDSHSLEEKEITAGKNLQDENDDIPNFGIGFDSPTASPPLEEPTEESDSLEDNFAPQCSEVAALDTRPKSCFDHSKSGIEDTLTEDFSHISEVAHIDSNLPGEKEKVVKTRIKGRRRLCKISDDIDRKEKNLDANEGANGLEISDFDSPPQVKNAVESEYAGGGSEIRDILNDLSSRLEILSIDRKGVKKHIDRTETKDELPEYQSADSSFSLSSDSGHSANGSGVGDAIKEQSPLDIGSRNDLEIQSLGGRDCMIRSLSEELKTNEAKRVVGKSEHVKKTSFSYNAQEDDSDDDCVLMSDKNDFKRAGRPNKNFAQEPRDCDDGDDFVSVEEHSFTLDGPNYSYKLPEKVAKILYPHQCDGLKWLWSIHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRAMIVAPKTLMPHWIKELSVVGLSEQIREYFGTSMKARDYELQYILQDKGVLLTTYDIVRNNVKSLCGDYYRYGDGSEDDIIWDYMILDEGHLIKNPSTQRAKSLHEIPCAHRIIISGTPIQNNLKELWALFNFCSPGLLGDNKWFKEKYEHYILRGNEKNATDREKRIGSAVAKELRERIQPYFLRRLKSEVFSGDASTSTKLSKKNEMCVWLKLTSCQRQLYVAFLKSEIVLSACDNSPLAALTILKKICDHPLLLTKRAAEEVLEGMESMSVPGQEDHAMAERLVMQMADAAEKFDIEENQNISCKITFIMQLLEFLIPNGHHVLIFSQTRRMLDQIQASLNCSGFKFLRIDGTTKAADRLKIVNDFQEGCSAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQVFKGGLFKTATEHREQVRYFSKGDLQELFSIPQQGFDVSLTQQQLDEEHDHHHIMEGSAKAEMEFLETLDIAGVSQHSLLFSKTAPVTVDLDDEDVRSVRGAAAYVGNAPSRSSVEPAVIGGAQFAFNPKDVKSQAPAPKKSYNVVSHPTESEIRDRIKRLSYIFAEKGMRLPDRGEKLQKQIAELYAELDLVRNQGKANEVIDLDDISGKFERVVNV >itb04g05500.t1 pep chromosome:ASM357664v1:4:3574600:3583653:-1 gene:itb04g05500 transcript:itb04g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MADQKKKQQHLSLNQRHNRVLLDFSASHSKPPPSSRFHQEKEKIGAQGHSGDEKQNYDVPSFRFDFDSPSDSHSLEEKEITAGKNLQDENDDIPNFGIGFDSPTASPPLEEPTEESDSLEDNFAPQCSEVAALDTRPKSCFDHSKSGIEDTLTEDFSHISEVAHIDSNLPGEKEKVVKTRIKGRRRLCKISDDIDRKEKNLDANEGANGLEISDFDSPPQVKNAVESEYAGGGSEIRDILNDLSSRLEILSIDRKGVKKHIDRTETKDELPEYQSADSSFSLSSDSGHSANGSGVGDAIKEQSPLDIGSRNDLEIQSLGGRDCMIRSLSEELKTNEAKRVVGKSEHVKKTSFSYNAQEDDSDDDCVLMSDKNDFKRAGRPNKNFAQEPRDCDDGDDFVSVEEHSFTLDGPNYSYKLPEKVAKILYPHQCDGLKWLWSIHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRAMIVAPKTLMPHWIKELSVVGLSEQIREYFGTSMKARDYELQYILQDKGVLLTTYDIVRNNVKSLCGDYYRYGDGSEDDIIWDYMILDEGHLIKNPSTQRAKSLHEIPCAHRIIISGTPIQNNLKELWALFNFCSPGLLGDNKWFKEKYEHYILRGNEKNATDREKRIGSAVAKELRERIQPYFLRRLKSEVFSGDASTSTKLSKKNEMCVWLKLTSCQRQLYVAFLKSEIVLSACDNSPLAALTILKKICDHPLLLTKRAAEEVLEGMESMSVPGQEDHAMAERLVMQMADAAEKFDIEENQNISCKITFIMQLLEFLIPNGHHVLIFSQTRRMLDQIQASLNCSGFKFLRIDGTTKAADRLKIVNDFQEGCSAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQVFKGGLFKTATEHREQVRYFSKGDLQELFSIPQQGFDVSLTQQQLDEEHDHHHIMEGSAKAEMEFLETLDIAGVSQHSLLFSKTAPVTVDLDDEDVRSVRGAAAYVGNAPSRSSVEPAVIGGAQFAFNPKDVKSQAPAPKKSYNVVSHPTESEIRDRIKRLSYIFAEKGMRLPDRGEKLQKQIAELYAELDLVRNQGKANEVIDLDDISGKFERVVNV >itb10g24200.t2 pep chromosome:ASM357664v1:10:28158396:28165695:-1 gene:itb10g24200 transcript:itb10g24200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKHKPDSSSRASSMSISGIQGQLLEVTVVSCHKLKDTEWISRQDPYVCLEYASTKFRTRTCTDGGKNPTFQEKFVFSMIEGLRELNVVVWNSNTITYDDFIGSGKVILHRVLSEGYDDSSWPLQTKTGRNAGEIRLIMHYANAKKPATSYAPSAPPYIPAPAPQASLHSAPPPHMASYPTPSPYPTPSPYSTHPYPAPSPYSSYPPQPATYHPPPQPAAYPPPYTTPSVYPPPAYPPHTYDSSYYPPGPYPGTYPPPPHY >itb10g24200.t1 pep chromosome:ASM357664v1:10:28158396:28165695:-1 gene:itb10g24200 transcript:itb10g24200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKHKPDSSSRASSMSISGIQGQLLEVTVVSCHKLKDTEWISRQDPYVCLEYASTKFRTRTCTDGGKNPTFQEKFVFSMIEGLRELNVVVWNSNTITYDDFIGSGKVILHRVLSEGYDDSSWPLQTKTGRNAGEIRLIMHYANAKKPATSYAPSAPPYIPAPAPQASLHSAPPPHMASYPTPSPYPTPSPYSTHPYPAPSPYSSYPPQPATYHPPPQPAAYPPPYTTPSVYPPPAYPPHTYDSSYYPPGPYPGTYPPPPHY >itb13g21810.t1 pep chromosome:ASM357664v1:13:28328134:28331104:1 gene:itb13g21810 transcript:itb13g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLSLSDEDQSEHPKTAAWWWRTLPEFDDSGQFKEDISAAAGGLSPRLKLLREMQRLAFISMEGLDLLRLKLTSYKAGDLWVPVGGIKKTDMDIPPVITLLLIGLTGSGKSSLVNFMYSVLGRSGLIPFAQTSSQSTKFTTMLLEEHNVLRSMKSGFCVYDTRGLDCDKMDEGMEDVWSWMSDGVRHNQPCCRGSDDRGIGEYGEMMESIGRCANKYCKRRVNCVMVVADMEEACKDFSSGDLKHVEAINMIFHSPSIRRSNENPILILTHGDMLTAEERVETRLKLCESLGISETTGAYDIACLSESGILPEEGDPVSAFALTEAVYRSLLQSDRTHLPKRKFKDWVLAFASWVLCILSSFFAMLANFFSRFGDKRRRLKIS >itb04g31460.t1 pep chromosome:ASM357664v1:4:34336862:34338423:1 gene:itb04g31460 transcript:itb04g31460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRFRLLMLPWLAHGHLVPFLELANELHDRNFDIYLCSTPVVISSAKSSSSFNSDKIHTVEIHLRPTGDFPELLSPSRHTTKDLPRHLIFPLCKAFRMASPSFCDILDQIKPDLLIYDSFQPWAAEAAATRDIPAVFYAITGAASYAFVYHFLHKRSIDGFPFPAVCMKPHELKNLSKSAENIDPVDRTAIPRALEMSTKLCLINTSREIEGKYIDYISEKLGKTALPIGSLIRVQENKGRGKDSDEDDEIMRWLDGKEKHSTLYLSFGSEYYLSREEIQEIAKGLELISSANFIWVIRSPAGEETPLVEALPKGFLERVKGRGIIVEKWAPQIKILSHPSVGGFVMQCGWNSFLESIHFGVPIIAIPMHSEQFISARMAVELGIATEVMRDDDGRLYGEDIGKAVKSVVAEKAGEEMRAKVREVNAQMKMKGKQGIDNTAALLSELCLSKNSS >itb05g04010.t1 pep chromosome:ASM357664v1:5:3489342:3493267:1 gene:itb05g04010 transcript:itb05g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAKSALNDGMSPFTYAVYRSFFAAAFMAPFALAFERKIWPKMTVPIFLKIMVLALLEPVVDINLNYIGLKYTTATFTKALSNLVPAITFILAWILRLEKVKMRKLHSQAKILGIIATFGGAMIMTLVKGPNIGLPWTKHTHHIHTQTLHSQQDFIKGALMIAAGCICWASFYILQANTLKSYPAGLSLTCLICTMGALQASVVALVAERGNPAAWALHWDIKLLAYVYSGVIGSGVTYYLSGVIIKEKGPVFVTAFNPLNMIIVAILGSFMLAEQLDFGKALGAGVIIIGLYMVLWGKKQDQDSLELINDKIAPADKSPSTLVKFPTKQEPIDTPKTIAGDDEVV >itb14g12960.t1 pep chromosome:ASM357664v1:14:14788873:14792625:-1 gene:itb14g12960 transcript:itb14g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSARAWAVDGRCSDHLPLFLQLHSLGRKSFVRGLRYENSWGKLDECKGIVEGFWSRTSSVPIGTQLGQCGRAVWSWGRGRRKNESESLQYCQRHLTTLRNLSDEESVREFGDAQRQYMTLVQNQSDRYRQRAKEMWYVGGDSNTRFFHNSVNCRRKKNHIHSLRNSRGDVVTDESAKGEIMVDYILRSLQTDITSVEVRAALYAMHPNKSSGPDGLSPAFFQTHWDVVGDEGNGRRTGHPLNCGLWSPPPVGYLRVNIDDALDFAHRRTSLGWVMRTAEGVVIGVVMKRIEGLLAVREAEAMGAREALSWLKGKGWNQVIIESDAQVVTDGVSRGGNGSPYGAVLQEIRVLMDQFESASLWFVRRELNMPAHVVAKKSLYNSSDVLAEYCDFIPH >itb14g12960.t2 pep chromosome:ASM357664v1:14:14791243:14792625:-1 gene:itb14g12960 transcript:itb14g12960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSARAWAVDGRCSDHLPLFLQLHSLGRKSFVRGLRYENSWGKLDECKGIVEGFWSRTSSVPIGTQLGQCGRAVWSWGRGRRKNESESLQYCQRHLTTLRNLSDEESVREFGDAQRQYMTLVQNQSDRYRQRAKEMWYVGGDSNTRFFHNSVNCRRKKNHIHSLRNSRGDVVTDESAKGEIMVDYILRSLQTVGMIG >itb10g23180.t1 pep chromosome:ASM357664v1:10:27665455:27667303:-1 gene:itb10g23180 transcript:itb10g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPGFSFARMLLSKGNGCKERQFFFFFYSPSLRSSAGNSYSSTAKVAAEKAGDRMADHFLVNYLTDSLNFSRDDAISAAAKVSQFKSQTKPAIVVKYLQQIGMEPTHIRAAVSRFPKLLFSDPEKTLNPKIQCLQELGMSGPNLVSFITRDASFLSRGLETHLRPALHFLKEAAGSNHNALKALKRSGWLLSYGSYKTMEENVQVLRNLGFPDEKIQQFVMARPSYLTNKSGWIVKILNRVEKEFGIPRSAPMFYSGVFVATALSKSTVDRKLEILRSFGWSNSEISTMVQKQPHSLTVSEVRLKRVLNFLMKELGYSSHYLAFRPMFLMSSLEKKTIPRSQVLKILKENQLRACSLFTAISLTESKFSNDYLLPHKDKLPEMYQRYITSMEM >itb06g22660.t1 pep chromosome:ASM357664v1:6:24907693:24909627:-1 gene:itb06g22660 transcript:itb06g22660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYYENGFLEELLALRNESWEGNNIAMGMGMEINDELLVNYNDWPSNDCTVFDSFGENAMPVPPPGTAASASAFCFDELSHYLPLDQSQSYFLSEFCSPPAVFGDELSPQLAESSSTKLESLPFPGSQEDLSSILDPVQSSSVETPASAFNIGFCPEKKANKSKKLHGQPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYIKELLERINNLQEETEMGTNELNLMSIFKNEKPNEMVVRNSPKFDVESRGEMDTRIEICCGAKPGLLLSTVATLENLGLEIQQCVISCFNDFAMQASCTKELEQREVLSSEDIRQALYRNAGYGGKCF >itb13g15580.t1 pep chromosome:ASM357664v1:13:22455534:22462304:1 gene:itb13g15580 transcript:itb13g15580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDSTLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLFGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQRLGAPFPGNFKEVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIAPY >itb02g05410.t1 pep chromosome:ASM357664v1:2:3253994:3256731:-1 gene:itb02g05410 transcript:itb02g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHRNGEIWDFENEMRGVAPGDDGGYGFGEVILGLDGGTTSTVCVCMPLRPSPTTISRADPPPILARAVAGCSNHNSVGEMAARETLEQVMADALSKAGSTRSAVRAVCLAVSGVNHQTDQERIVDWLREIFPKNASLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGRDARAAGAGPILGDWGSGYGIAAQALSAIIREHDGRGPYTALTSSILKALSLSSADELIGWTYSDPSWARIAALVPFVVSCAEGGDQVANNILQDAVHELASSVKAVVQRLGLCGKDGNDHFPLVMVGGVLEANKRWDIGQEVIKCISKDFPGAFPIRPTVEPAVGAALLAWNYSVRHSQNMFAEVQQIH >itb07g06430.t1 pep chromosome:ASM357664v1:7:4575214:4578189:1 gene:itb07g06430 transcript:itb07g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTNVLWMLLSLWFMEGLHLASSATCIKTERSALIKFKNTITDESNRLASWEGEDCCTWKGVICNNKTGRVEQLHLHNPAPFDYDRVNYDTSYAANYSRTCLGGEISESLLDLEHLFYLDLSMNNFSGAQIPMFLGSMISLRYLDLSVARFGGNVPHHLGNLSGLQYLDLGDFWTNRLAADSLGWVSTTLSSLEHLDLSGVQLGEAKDWLSAINMLPSLSLLNLSNCNVGTIPALPRPNFTSLVSLDLRYNIINSALPLWLFNVSSLKHLRLDGNGFSGRIPDVLGRLTSLTALGLSSNFFNTSMPDSIFNLTNLKYLDLSQNKFQGKIPTAINRLCKLQFFDLSDNRFTGEMLELETTPFLCFHDLEYLRLSYNSMQGPIPTSFGTLSSLRELDISNNRFNGSIPSTLGQLLKLEKLDVSNNALTGVVSELHFSELARLTELSMSLNSLAFNMSSQWIPPFQLKTIKLASCVLGPRFPPWLQTQRLVEELRISNTGIDDNLPGWFQKLYSRVHYLDLSNNNISGKLPTFEEGNVPYRRLILHSNKFDGPLTPIPTDVLLWDVSDNFLAGSIPLQNGVNLTLEVLILSNNQLDGEMPMFLCEIKGITVIDLSSNELSGTLPRCLGDLQGLAMLDLTSNNLHGEIPSSLGSITGLLSLHLGDNKFSGKLPPLQNITYLSILDVGKNELSDPIPAWIGENLSNLQYLSLFSNKFYGHIPPQLCQLPELQLLDLAGNNLTGGIPPCLGNLTGMIVEHSAIEYLIMDVDYGADVYAIVQGMERRYTKTLPFLTSIDLSDNKLTGQIPEELMDLKGLLNLNLSGNYLKGKIPGKIGHLKELVSLDLSRNQLSGSIPPTLSSLTFLSHLNLSFNNLSGRIPTGNQLQTLNDESIYMGNDGLCGTPLLKPCPGDKRGNDSDTPNQVNENYDTDDSFFPWFYIGMGPGFLIGLVGFCSVLLFKDSWRIAYFHYIERACKAILGITAAQAHRQRTRFH >itb07g07240.t1 pep chromosome:ASM357664v1:7:5511054:5515039:-1 gene:itb07g07240 transcript:itb07g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLLARHHNQLSTQTRRLSVAAAAAVLPETAIWTPAPLLTVSPAARSLFHVAIDVSDSPELAVSHTRAGQYLQLRVPRVAKPSFLAIASPPSLAAARGVFEFLVKSVPGSTAELLCDLRRGDIVELSHIMGDGFDIDRISPPEKFQTVLIFAAGSGISPIRSLIEAGFGADQRSDVRLYYGAENLERMAYQDRFKNWEASGVDIVPVLSQPDDTWTGDCGFVQAAFSKAKRTFSPRSTGAVLCGRRRMTEEVTSVLLANGVPAEKILKNF >itb07g21000.t2 pep chromosome:ASM357664v1:7:25392364:25396058:1 gene:itb07g21000 transcript:itb07g21000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFVIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAKGPGEREVPAPSV >itb07g21000.t1 pep chromosome:ASM357664v1:7:25392364:25394732:1 gene:itb07g21000 transcript:itb07g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFVIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAKVRKSPEISFLNIRSCIL >itb07g13870.t1 pep chromosome:ASM357664v1:7:16200125:16202350:-1 gene:itb07g13870 transcript:itb07g13870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHQIAFGSHEELRQPGNLKAALAEFICTLIFVFAGQGSGMAFSKLTNDGPSTPSGLIAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITFFRGVLYVIAQLLGSTAACFLLEFATGGMSTGAFGLSGVSVWSALIFEIVMTFGLVYTVYATAVDPKKGDLGTIAPIAIGFIVGANILAGGAFTGASMNPAVSFGPAVVSWTWTHHWVYWAGPIIGGGIAGVVYELFFITHHTHEPLPRGEF >itb12g02110.t1 pep chromosome:ASM357664v1:12:1413701:1418595:1 gene:itb12g02110 transcript:itb12g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKQKCQSSKCSIRMCSGCLPKFLNAFRKARNLIPLATTAIAAAAITVGPEISAMDSEQTLKTNVCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTSEVENFPGFPQGIGGGELMEQCRAQSVRFGTKIFTETVNKVDFSASPFKVFSDERTVIADAVIIATGAVAKRLEFAGSGSGENGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSKVYIIHRRDEFRASKIMQNRALTNPKIEVLWNSTVVEAYGERTLGGLKLKNLVTGQVSDLQVNGLFFAIGHEPATKFLDGQLELDSDGYVVTKPGTTLTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEGKND >itb15g22880.t1 pep chromosome:ASM357664v1:15:25622393:25624923:1 gene:itb15g22880 transcript:itb15g22880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEQAVRDIEEIESRILAENANDFSYVGSSTNDFKEENAMVGGSLQYAFDTENEIIVGFEEDVEEIVHMLIQSESIERDIISIVGEGGIGKTTLAEVVFQDQRITACFETRAWVVVSKEYNLKEMLIGLLRCIMPITREISNMDEDQLEEQLRRSLMGKRYLIFLDDVWTTKVWLVYSCLKVLTVLDLSLVKYLHGMPSGITDLIHLRYLALNTIGSLHKFRLLKLQNLQTLIVCSWIEDYPLQLQSNILDLPLLRHLRLEKRCSQYLPSMVRENLQTLYWLKVSSSNQNPNFRMVPNLKELGIYIEGKLVPSCLKRFVNLHQLEKLKFEIGRVERFYLPTAFPSNLKKLTFRRTYLPWKKLGVIGKLQKLEVLKLKDFAFHGPKWKPIDGEFLVLKKALKKKVLKAPKKKVLKAPIMKKG >itb12g23960.t1 pep chromosome:ASM357664v1:12:25599824:25605440:-1 gene:itb12g23960 transcript:itb12g23960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MAATMHAKLNRKKLSKLNIIKICEEILNPSVPMALRLSGILMDDVNRLLMELNEAWKVKAVTLDPTLHPKNKAQAKYESVTLPENPEDDFGPIEHQIRHSNTINMMDFQHTSYAAVSLDNVENPYININLEEDPSHDFHQVDADNITLFDRFDSYREDTDLNNHFERFDIEADEETLRNLADHDNTQIPDTLIPSPPKHCRHEQQNVLAADEILEQYPEELVNQKSNEGKGDIQPTDHIRPVPPRRRARKPRAFTMDNDQIIIPGHIYQTWLQNCSDIVSRRGRKRKKLDAFSTMKVARLMELPPLVLIERLLTKWSREVHYPKPLLNLWMKSTQPPHDSPSGRNSGIQPPEPSSSSPLERNPFPDLSGNPFEDFQSGVDPQIIASREKQVDDFNEIPDNFEKDLRNNVPNIDTQLSGARGVSKTTVNDPMATPGGSGYGIRSIPSSESGHGFPSSNSDLNLGRSNRKRPYSSSRHSSSSLEPVAENGPEVNLKMKRLSELSETGFTPDLIETGPTQTQNPTVAQPCDKITDSIRMSNRKRPYSSSRHSSSSLEPVAENGPEVNLKMKRLSELSETGFTPDLIETGPTQTQNPTVAQPCDKITDSIRM >itb08g15190.t1 pep chromosome:ASM357664v1:8:17226732:17228918:-1 gene:itb08g15190 transcript:itb08g15190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMYIVVREIKKNKTTAAIKLRAVRTYDVPQSRFNQDIKSRECVLHDEEHVEKVMPYLRKDFAEPVIVLIQMGRVKVSENSAEVKITSSYDATQLLFNGDSTEFVEFRQSLSLQQTPLKSLTSNSAYSYGNSAYSYGNSSGGDLSSSKMRIITISDIFQNREVGEFWVPAQIIGIESDVDDWFYQSCKDPGCNKKVDFLDGMFQCSKCSSRYDDCIYRYKIKVRVVDVKGTAPFLLWDREALDLVSVRADELIAKQPKVMIKIPKELKTMLGRGLFFKVNVQKNQLDNLSHAIPVMSVKHFPEMFNTYCPGLLADKDDGLSQVSDYETDSDEVSNLYDIQALQVLFHVFLFKVLLFN >itb01g07560.t1 pep chromosome:ASM357664v1:1:5964568:5966042:1 gene:itb01g07560 transcript:itb01g07560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTWKEEHLDILLVPAGLLIMFGYHLYLLYRYLRCPGETVIGYENHNKKAWVERMMLVEGKDRTVAVNVINSNLSVSTTMCSMSLALCSLIGAWFGSSFKNILTSSVIYGNTSSTIVSIKYIALLSCFLVAFGAFVQAARYYVHASFLITTPKSDLPVKYIVRAVIRGGNFFSAGTRALYFATNLLMWIFGPIPMLCASMAMVTLLYNLDKNSCELHEYCPLPKQDSFKKIGEQVKTYQEVITVAQNNGDQGRRYEN >itb06g11010.t1 pep chromosome:ASM357664v1:6:15482017:15483056:-1 gene:itb06g11010 transcript:itb06g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRIQFHLKHPNFKSPSSNHAFLIQTKPKSHLKHPSNEEPSFSAIQNVTDLPSVKKTRLLQTTFKKTHLQITPKSQKLSRNGVSLSETGSNDEKNSRILILGAVSIGLVMFLMGVGDEKALALGPEGPLMEEFWDNMRRYAIYALTVSTGVLYTVFQPIYELLKNPISAILVLTIIGGSIFIVSQVLSAMVGVSDFSYEYSY >itb10g10860.t1 pep chromosome:ASM357664v1:10:15914187:15916728:1 gene:itb10g10860 transcript:itb10g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGICLWYVCWLCYPVSTPPPTTAVQQCNRQAVGSTVANSMPPPTTVAQQRNRQAVGSTVASNNINVEAQVVPEVRLNIKSFEEGSIKGEEREEECVICIAGFEKGDITTVLCSCNHKFHSDCIAICTPPRAAAAQPRNRQAVGSTAAGNNGNVEAQVVPEVRLNIKSFEEGSIKGEEREKECAICIAAFEKGDITTVLCSCNHKFHSDCIDIWLVLHQTCPICRATTVKPVS >itb05g28560.t1 pep chromosome:ASM357664v1:5:32246903:32248712:-1 gene:itb05g28560 transcript:itb05g28560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGKASVDAKALTTTKHMRRRNKISMAWAKEDLLREQNKELQTYRYLSNEKTSSEASSATRSLLLSSASFLSESEELFGTDAWQPAAASFKHDCSHNGTVETKLLLDCACELLNNKKAQCTLFFDPLSSKLVRRSRVSVSLDMLVFEIYEVIECLRRYNDPAGAIISVDTLCSLTRKDQWCKGVVSGTWDLGWSKGFTSNEVEKIISRHREAAIV >itb13g23810.t1 pep chromosome:ASM357664v1:13:29655243:29655668:-1 gene:itb13g23810 transcript:itb13g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRYVAISISLLLCILNASFSIPTPTSVFIVQIQNLMYDPLFAGCHLNGRSLPEKKLKIGKQANFTVHLGSTEIETMTCDLRSGEYHGSFLMFDSLNWNVSSYCDPDSLCQWKVVPEGICLAHALNCSVLHFWPKPTAKC >itb04g27550.t1 pep chromosome:ASM357664v1:4:31535636:31537280:1 gene:itb04g27550 transcript:itb04g27550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDEIIGRKLKTEMEAAASLSSPEMVMGRRQVLGTTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKVFGASNVSKMLMEVPESQRSDAANSLVYEANVRLRDPVYGCMGAISALQQQIQFLQAELNAVRAELLRHKYREAAAAAAANSIITSAPALAAGAVSVVELPQAPPTPTPPPSPPPPSVLVVSSSSSSSSTSPPSSAPSSLYTPASTVASFGTIQTINMPFFD >itb05g23000.t1 pep chromosome:ASM357664v1:5:28232435:28233051:-1 gene:itb05g23000 transcript:itb05g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKNSFLLSMMITLLCLAISINTTSATRRLLQIPSAPPLPAMPTIPSLPQPTLPQMPSIPNMPTTTLPPLPAFNLPNMPLPTLPSAPKLTLPPMPANIPLPTSIPNFPAIPTLSPPPSN >itb01g03630.t1 pep chromosome:ASM357664v1:1:2370398:2371689:-1 gene:itb01g03630 transcript:itb01g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVESSPATIVENVTPEVEVKPAPEEPTAAAAEPEAVVQEAEPEVTKPEEEKPAAEEEAEAPAAEVAVETKEAEPEAPALEPAEEKAEEPAAAAVLEEAAEVKEPVAAEEETPAAETKTEEAAAPAPPAEAVTEETVAAEAAAEEPAAAAIIIIKKHNSSKYK >itb01g11490.t2 pep chromosome:ASM357664v1:1:10413895:10422133:-1 gene:itb01g11490 transcript:itb01g11490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRESNVEELKQEKNKILNEINGLQEKIDPTAQRLLSLLETLKALEKQHSEFQLHCTLHNSKLQSKINELEEVEQNTKEGKLSNSLDHSLSDSNERLDSAKKELASKLRAIMSLKRSLDSVPSQAELIQYELRLSELNTHIQGKHCQTQKYYATFNTLLEIKELMLKETSLLNSISSQVGRNLFLPWREFCRELNRNWKKYNLHWNQRWKFVKFARPSMFQLFLNKESAIPF >itb01g11490.t3 pep chromosome:ASM357664v1:1:10413901:10421117:-1 gene:itb01g11490 transcript:itb01g11490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLECNVALEKQHSEFQLHCTLHNSKLQSKINELEEVEQNTKEGKLSNSLDHSLSDSNERLDSAKKELASKLRAIMSLKRSLDSVPSQAELIQYELRLSELNTHIQGKHCQTQKYYATFNTLLEIKELMLKETSLLNSISSQFQNAITSPAGREKLISSMEGILQGTQQKLEKVQLALESEMEVREIRKAKYVSTISEQRKCNSLLKTLQVERAKNERLCQQTSESAPSGMREKL >itb01g11490.t1 pep chromosome:ASM357664v1:1:10413884:10422133:-1 gene:itb01g11490 transcript:itb01g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRESNVEELKQEKNKILNEINGLQEKIDPTAQRLLSLLETLKALEKQHSEFQLHCTLHNSKLQSKINELEEVEQNTKEGKLSNSLDHSLSDSNERLDSAKKELASKLRAIMSLKRSLDSVPSQAELIQYELRLSELNTHIQGKHCQTQKYYATFNTLLEIKELMLKETSLLNSISSQFQNAITSPAGREKLISSMEGILQGTQQKLEKVQLALESEMEVREIRKAKYVSTISEQRKCNSLLKTLQVERAKNERLCQQTSESAPSGMREKL >itb12g23940.t1 pep chromosome:ASM357664v1:12:25581782:25584242:-1 gene:itb12g23940 transcript:itb12g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGISCSPEDFSNKSPETQIEEDLKEEEEEDEYEEEEEEEEEEEEEGISEKGKGNNGSSSSNSTVEENGKKAGNSSGSVRQYVRSKTPRLRWTPDLHLCFVHAVETLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKMDDLNQAVTSSNGRLLLDNGDHHHFFNFGHLQRLQGFNQTTNPTVRFQDALRSHQANAIYNPYINGGASSMIRHGGLHGIFGGNIYGSHNNNNNVNSNHSHHNNPLSSDYFRTAAAISRQSPACKNMENEKLHQPHFFPAQRVLASHQFGIGSSPANHQILLKKLQLERGRDPPPAPAPPPKTRNILEESVGVSRPDGAAAAAEETNTLKRKSLPDSSCDHHQVNLDLSLSLRTRNENDQKRLKLDEEDAAGEYDVGGVDTTDLSLSLFSSSEKHHGTTKQPTRIENSGLHLSL >itb01g16160.t1 pep chromosome:ASM357664v1:1:20020744:20021211:-1 gene:itb01g16160 transcript:itb01g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVARHFSFPVDSLCLLLRSPGTMENGDGRRWHQPTPLSAAWKAAVFVHERWRNFGLRRLLYTFPPALVATPQDLGGARAWLGSTTASENRGERGALRRGDAAAAKPDSPAMSLRHSQSPLLFLFHGVAVTVLALRRWAATCSEVARRWLPA >itb14g16020.t1 pep chromosome:ASM357664v1:14:19301549:19302278:-1 gene:itb14g16020 transcript:itb14g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRLFGGRRNGNVSDPFSLDVWDPFRDLSFPFPASGETSAFVNTRVDWKETPEAHVFKADVPGLKKEEVKVEIEDDWILQISGERNVEKEEKNDAWHRMERSSGKFMRRFRLPENAKMEEIKASMENGVLTVTIPKAEVKKPDVKSIEISG >itb05g03930.t1 pep chromosome:ASM357664v1:5:3361840:3362703:-1 gene:itb05g03930 transcript:itb05g03930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLGLRFKPFDYDVLRFLFDFVTGRSSVDDEALIHEEDVFAEKEPWELIPSGKKMAYFLTRLKKKGSRVQRTVGKKMKGKKSGTWHGQDTGKAVADENGKFVMGYKRSFVYKNKSEPEQDGQWLMKEFYLPETIVRRARAQFRIVKEREDFVLCRIHPKKYDDDDDGDEEDDDENTLEEGGTNSNDSEAVPVETTTLQSNDDPINSYLVGVPIETAIPVETLLDNMNSYIVSAPVETMAEGVPVETLLAPPAVDGVPVETLPEMDSFMDLLLNIEDVTTLIDFDA >itb03g27750.t2 pep chromosome:ASM357664v1:3:27977708:27980801:1 gene:itb03g27750 transcript:itb03g27750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNICQSSDVIRRSTAPITSEESSNSVSCHNLDKEANLATCRVCQCTESDKRGDAALEFLGITPPSSDTQDRNWEVKPYQNKETKDDENDCSARIEPKGSKFVEFISPDGEVFVCNADIEMGFDPNQGSLVELGCACKNDLALVHYACALKWFVNHGSTTCEICGCLASHIRIADFKKVMSSLKEYNVLRERTVSGEPIPSQMQENSSVDPDAVAAIRRQRLSEISLWFNPHNNTTAVSHVIEQPSTSNMVEDEVPPTENSATKWAVEGTGILLATGLLTVTLAWLIAPHVGKKTAKNGLHILLGGVCALTIVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHAHST >itb03g27750.t1 pep chromosome:ASM357664v1:3:27973149:27981131:1 gene:itb03g27750 transcript:itb03g27750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNICQSSDVIRRSTAPITSEESSNSVSCHNLDKEANLATCRVCQCTESDKRGDAALEFLGITPPSSDTQDRNWEVKPYQNKETKDDENDCSARIEPKGSKFVEFISPDGEVFVCNADIEMGFDPNQGSLVELGCACKNDLALVHYACALKWFVNHGSTTCEICGCLASHIRIADFKKVMSSLKEYNVLRERTVSGEPIPSQMQENSSVDPDAVAAIRRQRLSEISLWFNPHNNTTAVSHVIEQPSTSNMVEDEVPPTENSATKWAVEGTGILLATGLLTVTLAWLIAPHVGKKTAKNGLHILLGGVCALTIVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHAHST >itb03g27750.t3 pep chromosome:ASM357664v1:3:27973149:27980534:1 gene:itb03g27750 transcript:itb03g27750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNICQSSDVIRRSTAPITSEESSNSVSCHNLDKEANLATCRVCQCTESDKRGDAALEFLGITPPSSDTQDRNWEVKPYQNKETKDDENDCSARIEPKGSKFVEFISPDGEVFVCNADIEMGFDPNQGSLVELGCACKNDLALVHYACALKWFVNHGSTTCEICGCLASHIRIADFKKVMSSLKEYNVLRERTVSGEPIPSQMQENSSVDPDAVAAIRRQRLSEISLWFNPHNNTTAVSHVIEQPSTSNMVEDEVPPTENSATKWAVEGTGILLATGLLTVTLAWLIAPHVGKKTAKNGLHILLGGVCALTIVVFFRFVSVSISSSL >itb07g08330.t2 pep chromosome:ASM357664v1:7:6524499:6525864:-1 gene:itb07g08330 transcript:itb07g08330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHLQIFTIWVLLIFNVGALGILLVFTYLFSTGERRVKIVGWSCSTFSICVFAAPLSIMRKVIRTKSVEYMPFLLSFFLTLCAVVWFFYGVLIHDYYVATPNVLGFALGIAQMILYAIYRKRKTQALPVAALDARIQDITATEMPERPTKQEAVTVPAAMHDGRNGSATHDDKNNNHEIMDI >itb07g08330.t1 pep chromosome:ASM357664v1:7:6524499:6524944:-1 gene:itb07g08330 transcript:itb07g08330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLSFFLTLCAVVWFFYGVLIHDYYVATPNVLGFALGIAQMILYAIYRKRKTQALPVAALDARIQDITATEMPERPTKQEAVTVPAAMHDGRNGSATHDDKNNNHEIMDI >itb15g22150.t1 pep chromosome:ASM357664v1:15:24854167:24860852:-1 gene:itb15g22150 transcript:itb15g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFLSAFLFLFLVMQTLEEGANGSLLDDGKTRMLIEKANKKGPYLGLVIPNLFEMNPLLQHPSYKPSTLVIDYAGRRFRFGTVKGKPVILVMTGLAMLNAGITTQLLLSVFDVYGVVHYGIAGNANPSLNIGDVAIPQYWSHTALWNWQRYGDGPENELPLEVNGDYTREIGFIKFAKYATNVDQCNSQDNLLNNVWYQPEEIFPVDATPEERQHIFWVPVDSDYFQIAQSLEGLELEGCINATTCLTHTPKVTRVERGTSASIYLDNAAYRSFIYDKFNVSPVEMESAAVALVCHQQKVPYIIIRALSDLAGGGSAESNEAATFINLAADNSVKVAVEFIKKVPSPSSSAGLISSS >itb01g20210.t1 pep chromosome:ASM357664v1:1:26476006:26478792:1 gene:itb01g20210 transcript:itb01g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRFFRHINVNQWGLKAKEGFQQSLLFVKFLCILHVADRYVCSPILVYGPSMLPTLNLTGDVLLVEHVSPLLGKVGPGDVVLVRATDNPRKTITKRIMGMEGDYVTYLAEPGRSDRSITVKVPKGHVWIQGDNIYASKDSRQLGPIPYGLILGKVFYRVTRKIRDLIHYFSWCGSPTIISAYTSAVCSCRYGHPKALGLWHKNYKCSNGYIEM >itb01g20210.t2 pep chromosome:ASM357664v1:1:26475860:26479043:1 gene:itb01g20210 transcript:itb01g20210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRFFRHINVNQWGLKAKEGFQQSLLFVKFLCILHVADRYVCSPILVYGPSMLPTLNLTGDVLLVEHVSPLLGKVGPGDVVLVRATDNPRKTITKRIMGMEGDYVTYLAEPGRSDRSITVKVPKGHVWIQGDNIYASKDSRQLGPIPYGLILGKVFYRVWPPEGFGSLAQEL >itb10g07780.t1 pep chromosome:ASM357664v1:10:9319532:9323368:1 gene:itb10g07780 transcript:itb10g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEEIVKSLELWLGLIKETQTFVDPTLDPVLLVPGIAGSIINAVNNKTGKSERIWVRILGADHEFCDKLWSRFDSSTGKTVTLDPDTSIEVPEDRYGLYAIDSLDPDMIIGCDSVYYFHDMIAEMLTWGYQEGTTLFGFGYDFRQSNRFHETLERLVAKLKSIYTASGGKKINIISHSMGGLLVKCFMNLHSDIFEKYVKNWIAIAAPFQGAPGYITSTFFNGMSFLEGGFATYSQIKVNSMELIGITSPISSNPMNQNTP >itb13g00760.t1 pep chromosome:ASM357664v1:13:689137:691384:1 gene:itb13g00760 transcript:itb13g00760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTNLKAETMKLMELRSAMELEMNAIIESLCSPGGPGLSGNLLDSEGFPREDVDIMRVRANRQRLAVLRNDHKDVTEKISQNIQVLHSARLASGPSQDSGNLTSSSSSNFIATTTPSAMDVDIVSKPFALVDEITEASPAAEDGVQLGDQVVKFGDVESGDNLVQRLAAEAQRNQGRAISVVVMRQGTLVNLSVTPRAWEGRGLLGCHFRIYDGRLHH >itb09g28720.t2 pep chromosome:ASM357664v1:9:29309752:29311305:-1 gene:itb09g28720 transcript:itb09g28720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLEKPLDNKEQSLSHDELMIRRLKNRERQRRYRARKRLQADMNKAPNSGSNQYTPQHAEVPVKVAPEEYATPLNIKRVPDFPENQCSPVLLEVPVTVTQHYEMPLNAIAQECSRPVNDTCQVPVTRVYCSRDWKRDARKARALKQQEVRPEATPMPESTSSNGSQTSLPQGNEADMVRNDRIPLDVSAQVNNETPRFTSSRRHWKAEARNKKS >itb09g28720.t1 pep chromosome:ASM357664v1:9:29309698:29315317:-1 gene:itb09g28720 transcript:itb09g28720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLEKPLDNKEQSLSHDELMIRRLKNRERQRRYRARKRLQADMNKAPNSGSNQYTPQHAEVPVKVAPEEYATPLNIKRVPDFPENQCSPVLLEVPVTVTQHYEMPLNAIAQECSRPVNDTCQVPVTRVYCSRDWKRDARKARALKQQEVRPEATPMPESTSSNGSQTSLPQGNEADMVRNDRIPLDVSAQVNNETPRFTSSRRHWKAEARNKKS >itb08g15820.t1 pep chromosome:ASM357664v1:8:18036331:18042682:-1 gene:itb08g15820 transcript:itb08g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASEFWGLSVMDKAKPGSFLCSSSALVNLENRVHANRLRLKRGVRTADPMASVSVEQRVVKIVPEKAVKFKVRAVVTVRNKEAGGFKEALIKRLDAFTADDQLGRNVVLELISTEIDPKTKGPMKSEPAVLKDWAVKTGMKTETVNYSAEFEVDTNFGCPGAITVVNKHQQEFFLENITLEGFSRGPVYFPCSSWVQSKKNYPRKRIFFSNKPYLPHETPEGVKALREEELRDLRGDGNGVRQLHDRIFDFDVYNDLGNPDKGVDFVRPTLGGEAIPYPRRCRTGRPPCVTDPSCESRLEKPAQMYVPRDEQFDDPKRDAFLSARLRGTMHNLLPGLQAKLSEKNMDFQGFQDLDSIYSETLFNKLGIKEDVVEKLPLPKIVQRFKDADVFKFNIPTILGSLTLFCHFSEDKLAWLRDGEFARQMLAGISPVHIERLRVFPPVSKLDPAAYGPLKSALKEEHILAYLNGMSVQEALDSNKLYIVDYHDVYFPFVDRINALDGRAIYATRTIFFLTDNETLKPIAIELCLPANGHVSRSKRVVTPDGNATSFWMWQIAKAHVSSNDASVHQLIHHWLRTHSSMEPFILSAHRQLSAMHPIYKLLDPHMRYTLEINALARQTLINNEGVLESCFTHGRYAMQISAAAYKSFWQFNLENLPADLIRRGMAVADPMQPHGLKLLIEDYPYAADGLLIWEAIQKWVTTYVNHYYPDFDGVCNDRELQAWYSEAVNVGHADLRNAEWWPTLESPADLASILTTIIWLCSAQHAALNFGQYPYGGYLPNRPTLMRRLIPDENDPEYAVFVSDPQKYFFKALPSKPDATKLIAVVDTLSTHSPDEEYLGERPHPSTWTSDAAMVEAFFEFSADIGQIEEEIDSRNRNPSLNNRCGAGVIPYELLAPSSGPGVTCRGIPNSVTI >itb08g15820.t2 pep chromosome:ASM357664v1:8:18036331:18042682:-1 gene:itb08g15820 transcript:itb08g15820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASEFWGLSVMDKAKPGSFLCSSSALVNLENRVHANRLRLKRGVRTADPMASVSVEQRVVKIVPEKAVKFKVRAVVTVRNKEAGGFKEALIKRLDAFTADDQLGRNVVLELISTEIDPKTKGPMKSEPAVLKDWAVKTGMKTETVNYSAEFEVDTNFGCPGAITVVNKHQQEFFLENITLEGFSRGPVYFPCSSWVQSKKNYPRKRIFFSNKPYLPHETPEGVKALREEELRDLRGDGNGVRQLHDRIFDFDVYNDLGNPDKGVDFVRPTLGGEAIPYPRRCRTGRPPCVTDPSCESRLEKPAQMYVPRDEQFDDPKRDAFLSARLRGTMHNLLPGLQAKLSEKNMDFQGFQDLDSIYSETLFNKLGIKEDVVEKLPLPKIVQRFKDADVFKFNIPTILGKDKLAWLRDGEFARQMLAGISPVHIERLRVFPPVSKLDPAAYGPLKSALKEEHILAYLNGMSVQEALDSNKLYIVDYHDVYFPFVDRINALDGRAIYATRTIFFLTDNETLKPIAIELCLPANGHVSRSKRVVTPDGNATSFWMWQIAKAHVSSNDASVHQLIHHWLRTHSSMEPFILSAHRQLSAMHPIYKLLDPHMRYTLEINALARQTLINNEGVLESCFTHGRYAMQISAAAYKSFWQFNLENLPADLIRRGMAVADPMQPHGLKLLIEDYPYAADGLLIWEAIQKWVTTYVNHYYPDFDGVCNDRELQAWYSEAVNVGHADLRNAEWWPTLESPADLASILTTIIWLCSAQHAALNFGQYPYGGYLPNRPTLMRRLIPDENDPEYAVFVSDPQKYFFKALPSKPDATKLIAVVDTLSTHSPDEEYLGERPHPSTWTSDAAMVEAFFEFSADIGQIEEEIDSRNRNPSLNNRCGAGVIPYELLAPSSGPGVTCRGIPNSVTI >itb09g27580.t1 pep chromosome:ASM357664v1:9:27793973:27794746:1 gene:itb09g27580 transcript:itb09g27580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRILRAKQGLRRSSSRTNRESEVPKGHFAVCVGESEKKRFVIPISYLKDTSFQDLLSQAEEDFGFDHLMGGLTIPCPEDIFLNAISSSSRS >itb08g07860.t1 pep chromosome:ASM357664v1:8:6787537:6792792:-1 gene:itb08g07860 transcript:itb08g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTAILSSTHSSSASAFPPAKLHSSSSYGAPSILSLPTISKNSGASRLRLRAQVSSSSPRMIEKETVESHRPDTFLRDSDNGSFSGAGNESNSVRARFEKMIREVQDSVCSALEAADGEGKFKEDVWSRPGGGGGISRVLQDGAVFEKAGVNVSVVYGNMPPEAYRAAKPSGSAADNGNVKAGHVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIFDEDVKHFHSVQKSACDKFDPSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNNYDQEMLLSFATECANSVIPAYIPIVEKRKDTPFTDSHKEWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYTHQPEEGSEEWRLLDACINPKDWI >itb01g01220.t1 pep chromosome:ASM357664v1:1:642590:647719:-1 gene:itb01g01220 transcript:itb01g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCALSRITAEILPLTAFSDTIASRSQQSPFRLCSLTVVAQTSLAFPDTGFSIDGDSASPPLQHAGGKSDSVKEFLAGHNAARASVGVPPLRWNATVAAYAEAYAAQRSADCALEHSEGPYGENIAEGYGELSAVDSVKMWVGEKPNYDYASNSCVSGECLHYTQVVWRNTMSIGCARAACHNGWMFVTCNYYPPATITQTSFAQPACESDCTKEFLTVHNAAREIVGVPPVKWNSTLAEFAESYAAERSVDCALKHSQGPYGENIALAGLKSSVADSVKGWMDEKPNFDQASNSCPGGECRHYTQVVWRDTTSIGCARAACTTWMFVICNYYPPGNYVGERPY >itb09g19350.t1 pep chromosome:ASM357664v1:9:15567555:15568136:1 gene:itb09g19350 transcript:itb09g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLRSSSVARALVVLLILAISTCCIEAKPAGCKPSGKLKGIKPPPGKCNKKNHSDCCKEGKSYTTYKCSPPLSSTTKAVLTINSFEKGGDGGGPSECDNNYHSDDTPVVALSTGWYSGGDRCFRNISIHGNGRSVRAMVVDECDSSQGCDETHDYQPPCPNNIVDASKAVWKALGVPQDQWGWLDVTWSLA >itb13g21580.t1 pep chromosome:ASM357664v1:13:28165822:28166361:-1 gene:itb13g21580 transcript:itb13g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLITLASHSLFPLLALPALFWALNRLKPRQTKLVFYVHDYLSGENTSAISVAGRDGPKTSVLQFGTIIAVDDPVTVGPDPKSKEIGRAQGLYINSQLDGKGLHLVFSVIFTEGEYKGSSLEIQGADIFSMKEREFSVVSGTGFFRFVKGFGIMTTEFMDIPNLKAILKLDITVRHY >itb09g01760.t1 pep chromosome:ASM357664v1:9:1061358:1063082:1 gene:itb09g01760 transcript:itb09g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAIKVVTLTMAIIISCVYASSDTNPVFDPCSDAAVQRWDGFTFGLVFSSKDSFFSNQTQLSPCDRRLPLFGSPAQLAVFRPMVDEISLLTINNTLFKPVFSGGHMVAFAGRKYAARSFPAFVADVSYTVTSHTLVLEFQKGILQNLYWKKFGCVSCIGDSLVCLNQTACAVKSNKCHGHGGDTDCSISIQLAFSGTDKNDDVLNSWYEVKNLRQYSLYALYSEFSNSVTSSPFRSLF >itb10g02180.t1 pep chromosome:ASM357664v1:10:1794100:1802042:-1 gene:itb10g02180 transcript:itb10g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPIAPPRSGSLTAYAFSPATTSNANSKKASSSFTNISLRSPFHRSSPLKIDCSSQWSTSDMPNSHVAIASAEKTQLPKGDSWSVHKFGGTCVGSSERIQNVADVISKDTSERKLIVVSAMSKVTDMMYDLIYKAQSRDDLYTSALDAVHEKHKLTAVDLLDGSDLAAFLSKLHDDINNLKAMLHAIYIAGHATESFSDFVVGHGELWSAHLLSLVVKKNGLDCNWMDTREVLIVNPTSSNQVDPDYQASEERLDQWYSKNPSKTIIATGFIASTPHNISTTLKRDGSDFSAAIMGSLVRARQVTIWTDVDGVYSADPRKVSEAVILKTLTYQEAWEMSYFGANVLHPRTIVPVMQYNIPIVIKNIFNLSAPGTMICQSPDSEHEEGQGSESLVKGFATIDNLALVNVEGTGMAGVPGTASAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVADVLESRFSQALGAGRLSQIAVIPNCSILAAVGQRMASTPGVSATLFSALAKANINVRAIAQGCSEYNITVVVKREDCVRALRAVHSRFYLTKTTIAVGIIGPGLIGSTLLDQLKDQAAFLKEKFNIDLRVMGITGSKKMLLSEKGVDLTRWKALLSEKGDIADMNKFVQHVRENHFIPNTVLVDCTADSYVASHYYDWLHRGIHVITPNKKANSGPLNQYLKLRALQRQSYTHFFYEATVGAGLPIISTLRGLLETGDKILKIEGIFSGTLSYIFNNFMGSRPFSEVVKEAKEAGYTEPDPRDDLSGTDVARKVIILARECGLKLELSDILVKNLVPEPLRACASAEDFMQQLPQFDEDLAKQRREAEAAGEVLRYVGVVDVANQEGTVKLHRYEKGHPFTQLSGSDNIIAFTTERYEKQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >itb12g05160.t1 pep chromosome:ASM357664v1:12:3431545:3432165:-1 gene:itb12g05160 transcript:itb12g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHYAILGLPSGEEGARLSDKDIAKAYRVKALELHPDKRPNDPNANIFFQKLKASYEILRDRKARREYDDVLRARRNRTTKRNCMNDGKREKMMSDLERAEKEEEKRRDEKEGEERICKKLWEEIAEFRAKHKRKQEEELASRNAKRRAAAATPPPPGWREENEDGPWKSLERFQAYEDLVLGNMIRAAERQKMAQRGTSGNGN >itb04g14900.t1 pep chromosome:ASM357664v1:4:15636746:15642097:1 gene:itb04g14900 transcript:itb04g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEANLESENAERKSSGSEVGGSEEGGPAVMDTPDRTKINQIVTPLSKIEESPVFNFLNSLSPIEPVKSVHITQTFNPLSFSSPSSVFTSPHMSMLKESRFLRRHQLPDPSKPEFSSDNDHKVNSSQVHNLDVSDNTSEHPESYLGSCTIEASIRASYEFSKLAVELVKSLDYDCSSPNSSPVTNCDIKHKSFSALAGSSDTIVPVVHDVAGKKSSLRTQVNMEGASQIDRNEEDAGCDFEGLISGTGDTLIFDSPNDTGTIKKEVDPISRTYSFVRNEIQNIKTFSAVGSGENVEEIIETENQSTQSGEGSELNQYAEVQDINPDSSVCIKSLVGCTSEKMEADMVSGLNRGIRRRCLVFDMTGIRKKHLDENTGSDSGSSLWTQSDGNTTSTDKHLVGTKSRCEPSRCVLPGIGLHLNALAVAAKDGHAVKHEALASGQQLLVAPGSTANYRSLTLISKARGALEDGIHLNEDANQSPGYSVNEELNQSSSPRKKKRRMESGESEGCKRCNCKKSKCLKLYCECFAAGVYCVEPCSCQDCFNKPIYEDTTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGTEAELEEEETDVHEKSGIDESSQKSAFHTEIEQIPDSFLPATPLRSKRPPMQPPISLKNKPTRLPSILGVGSSSGGTYAASQGLGRPRFFRPLPKFHKQFESIEEDEIPSTLQGNTSPTSGIVKSTSPNSKRVSPPHTEFETSPGRRSSSRKLILQSIPSFPSLTPNN >itb12g16430.t1 pep chromosome:ASM357664v1:12:17325160:17330108:1 gene:itb12g16430 transcript:itb12g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPETGTPTASAPATPGTPTPLFTSLHVDSMSYDRKSMPRCKCFPLDAPTWGAPHTCLTDFPAPDISLTRKVGAEFVGTFILIFAATAGPIVNQKYNGAESLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAQVPAYIAAQVSASICASFALKGVFHPFLSGGVTVPSVSTGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYKSIWIYLVAPTLGAVAGAAIYTVVKLREEVEPTSRPRSFRR >itb02g04400.t1 pep chromosome:ASM357664v1:2:2617082:2620759:-1 gene:itb02g04400 transcript:itb02g04400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR4 [Source:Projected from Arabidopsis thaliana (AT3G04380) UniProtKB/Swiss-Prot;Acc:Q8W595] MPVDPKVAGAFEAMRSLGIEPDKVKPVLRKLLKLYNKKWEFIEEDNYRTLADAIFEADDEKPTANEPSCKKQKRGHASSMENGNNNLNLGEGELRETGESKPETECGYQAMDRRKNPILIEQSDQVLPNITSPASNASPALPNSADNFPNKPIPASQCPKNMNIKQEGSSFDYSTNLQSIFDIVSSSCGTVKLALIHDGVSAQSNNCCSIINAALKLVDDNCLMSYKIAGFQFSLKNSMKEVCERYLEVVNAPTEPTEGSLEKKNTASCLQNNLCSNGEPLRVDHSERNSMRKGLRSASSSRCLVAQEQPVNRDKKKPLKICDITNGTEEMRISLLDEIGNGRRPKFSYIRENTIYQSAYVQFSLARIADDDCCSKCKGDCLSSSVPCACARETGGEFAYTSEGLLREEFLRDSISMYQEPLKHYHFYCEDCPLERAKNIHRPEKCKGHLVRKFIKECWRKCGCKMSCGNRVVQRGITRKLQVFMTADGKGWGVRTLEELPKGAFVCEYVGEILTNIELYERNKRRGGEKHTYPVLLDADWSSEGVLKDEDALCLDATYYGNVARCSDGNLIEIPVQIETPDHHYYHV >itb13g25900.t1 pep chromosome:ASM357664v1:13:31303641:31304291:-1 gene:itb13g25900 transcript:itb13g25900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFPSDFYRLVSPEISPTDYPPPDSSVLEFTEFAENYLASLPKDLEWPSESKLCPTPCSQYKTSIDIVRAEEPEQYKTIVDICKLALTHYQPEKSYEFDSVLEDEEVLVTVVGYIQYILKFRAKNMMWMVIL >itb04g14730.t1 pep chromosome:ASM357664v1:4:15421274:15424262:1 gene:itb04g14730 transcript:itb04g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSGKLVSQIEIKSDGDVFHEIFRYRPNHISTMSSDKIHGCDLHEGEWGTVGSVICWTFTHDGKKKIAKERIEAIDEEHKSVKYKVIGGDLLEHYKSVSLSVHVDTRGINNLVTWTIEFEKQNESIPEPHTLMKFVLDVTKDIETHHLDQVMPN >itb05g18240.t1 pep chromosome:ASM357664v1:5:25043343:25043888:-1 gene:itb05g18240 transcript:itb05g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHHTHFCILFLVVSVCNHVQGIRQLKEISPPNYSSPHSWHTQDEVKDHSPDIGHSLQTKDEATGHSPSIGHSLQAKDKVTDHSPGIGHSSQDDKVKDHNLGIGHPLYTENKVTDHSPGIGHSFQVEDEVMDHSPSIGHPVDNQPTDHSPSIGHWFPQEEDHSPGIGHSFQPKQTSERN >itb05g25040.t1 pep chromosome:ASM357664v1:5:29640503:29642165:-1 gene:itb05g25040 transcript:itb05g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVSRWIRPEVFPLFAAVGVAVGICGMQLVRNITTNPEVRVTKENRAAGVLDNFAEGEKYAEHGLRKFVRNRSPEIMPSINGFFTDPK >itb06g18990.t1 pep chromosome:ASM357664v1:6:22489649:22491974:-1 gene:itb06g18990 transcript:itb06g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGVFRRYERWNPVHPTYGAFWGIGIGIGCGVGWGPGFGPEVIGYVGAGCGAGFSVGITLAGIGIGLPANDFFTAPYNAFMATRSGALDVARSSGLLSIRNDGREGWFNLDPQISGLQQKIGGAFSTLTVKGSLKNAIDFSDLKTQSVLLTKGFLDQMKTISKHESKGSEDE >itb11g01500.t1 pep chromosome:ASM357664v1:11:735238:740104:1 gene:itb11g01500 transcript:itb11g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSENFFKLYGYDLLLASIAAFYVFAVPYTKVEESFNVQAMHDILYHKHHLEQYDHFDFPGVVPRTFVGAFFVSILASPIVLAMNLVHLPKLYSLYVVRLVLGCITLFTLRFFRVQIRKKFGYQVEAFFVILTASQFHVLFYCSRPLPNVLAFCLVNLAYGYWLRGSFYAALRCLIFATIIFRCDILLLLAPLGLELLLTKSISLWKAVISCFGTALCCIGLTIVVDSVMWRRLLWPEFEVFWFNSVLNRSSEWGTHPFHWYFTSALPRSLLASYPLFVLGVLLDRRISFYVLPVLSFVLLYSKLPHKELRFIISSIPIFNLSAAIAASRLYNNRRKSFWNLLYIGMLGLILGSLGCTLIFFMASYENYPSGYALKALHSRGNMSNYVDELQVHIDTFSAINGISRFCENDYPWRYSKEEGIHLEEFQNRNFTFLLNEHSDIEGFKCLFTVNGFSGVRLQKGFPPISLVKEPKVFVHGNIRSADIMHRSWPQCTAIS >itb09g05110.t1 pep chromosome:ASM357664v1:9:2896569:2898845:-1 gene:itb09g05110 transcript:itb09g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDEETEILLRLSKSALISSSFHEISNAREVKRLMSALAWLFLDQSNLWRAGLSWSLFFILTIGVPLVSYLVFSCDACDSKHQRPFDAIVQLSLSVFATISFISLSCFARRYGVRKFLFLDRLCEENDKVQQGYTLQIHSSLKILASFVLPTFLADCAYKIWWFGTGGAQIPYFYNMFLSNVVVCILLLCSWLYRIAILFLVCVLFRLICHLQILRLDGFAQVFEKESEVSAILIEHLRIRRNLRVISHRFRLFILSTLIVVTASQFVSLLVTTESNSHANIFAAAELGLCSMTMVTGLFICLRSAAKITHKAQSVASLAAKWHACATLSSFDDQFGDETPVDRTGTPPAIHPVDADWENDDDEEGDGDDELDNTNMVPVHARTISYHKRQALVTYFEHNKAGITVYGFMLDRTWLHTIFVIQLSLTLWILNKTIGIS >itb07g06950.t1 pep chromosome:ASM357664v1:7:5253260:5254090:-1 gene:itb07g06950 transcript:itb07g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKVLGQVEISFHGDVFHEIFRERPHHVPSMCSTILGVEGQWGTVGSVIIWKISHDGKTKTVEDVIEAIDDEKKLVKFRVIGGDLKESYKSFIITCHVDSNGDDNFVTWALEYEKLKEEITEPLTYLELLLNMTKDMDDHHAKLKP >itb08g06370.t3 pep chromosome:ASM357664v1:8:5434725:5438220:-1 gene:itb08g06370 transcript:itb08g06370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFTTKNRCFSSLSALSSSSFQCISHFQHTEVTSRKPDASTETSVTINEVPKKWKFISHEVAIRLIKREKDPQHALEIFNMVSAQKGFNHNSSTYAIILHKLAACRRFQMVDAVLHQMTYETCKFHEGIFINLMKHFSKFSLHEKVLEMFDAIEPIVREKPSLKAISTCLNLLVEVNQIDMAKAFLLHVQKNLHLEPNTCIFNILVKHHCMNADLEAAFEVVKEMRKSQVSYPNLITYSTLMDGLCRCGRLQEAIDLFEEMVSKDQILPDALTYNILIKWFSHGGEVDKGRKIMDFMRKNGCQPNAINYTTLMDGYRKQGRLKEAEEVFGEMKDAFKLDTVGYTAYISCLCKGGRIDEAIKLLDKMKENSCIADDVAIKVILTALCRECRLDEALSLLGKLSNDGVYLNKECYRIVLNILCKEGELDKAMELLGRMLSKGFWPHHATSNELLTRFCEAGKAADAAIALFGLVNVGFKPEPHTWGLLIDSSCRERKLLPAFQLLDELAISTETPTSLSSLH >itb08g06370.t1 pep chromosome:ASM357664v1:8:5434725:5438202:-1 gene:itb08g06370 transcript:itb08g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFTTKNRCFSSLSALSSSSFQCISHFQHTEVTSRKPDASTETSVTINEVPKKWKFISHEVAIRLIKREKDPQHALEIFNMVSAQKGFNHNSSTYAIILHKLAACRRFQMVDAVLHQMTYETCKFHEGIFINLMKHFSKFSLHEKVLEMFDAIEPIVREKPSLKAISTCLNLLVEVNQIDMAKAFLLHVQKNLHLEPNTCIFNILVKHHCMNADLEAAFEVVKEMRKSQVSYPNLITYSTLMDGLCRCGRLQEAIDLFEEMVSKDQILPDALTYNILIKWFSHGGEVDKGRKIMDFMRKNGCQPNAINYTTLMDGYRKQGRLKEAEEVFGEMKDAFKLDTVGYTAYISCLCKGGRIDEAIKLLDKMKENSCIADDVAIKVILTALCRECRLDEALSLLGKLSNDGVYLNKECYRIVLNILCKEGELDKAMELLGRMLSKGFWPHHATSNELLTRFCEAGKAADAAIALFGLVNVGFKPEPHTWGLLIDSSCRERKLLPAFQLLDELAISTETPTSLSSLH >itb08g06370.t2 pep chromosome:ASM357664v1:8:5434725:5438220:-1 gene:itb08g06370 transcript:itb08g06370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFTTKNRCFSSLSALSSSSFQCISHFQHTEVTSRKPDASTETSVTINEVPKKWKFISHEVAIRLIKREKDPQHALEIFNMVSAQKGFNHNSSTYAIILHKLAACRRFQMVDAVLHQMTYETCKFHEGIFINLMKHFSKFSLHEKVLEMFDAIEPIVREKPSLKAISTCLNLLVEVNQIDMAKAFLLHVQKNLHLEPNTCIFNILVKHHCMNADLEAAFEVVKEMRKSQVSYPNLITYSTLMDGLCRCGRLQEAIDLFEEMVSKDQILPDALTYNILIKWFSHGGEVDKGRKIMDFMRKNGCQPNAINYTTLMDGYRKQGRLKEAEEVFGEMKDAFKLDTVGYTAYISCLCKGGRIDEAIKLLDKMKENSCIADDVAIKVILTALCRECRLDEALSLLGKLSNDGVYLNKECYRIVLNILCKEGELDKAMELLGRMLSKGFWPHHATSNELLTRFCEAGKAADAAIALFGLVNVGFKPEPHTWGLLIDSSCRERKLLPAFQLLDELAISTETPTSLSSLH >itb02g05440.t2 pep chromosome:ASM357664v1:2:3270480:3271148:-1 gene:itb02g05440 transcript:itb02g05440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRNTFTLKVDTNSLFGAINIQKAVEKMEGVEKVETNPQQRRVVITGTVRPDKVIATLNNNLGIKAELSPPTSIRPPPSASTFTLKVDTNSLFAAINIQKVVEKMEGVEKVETNPQQRRVVITGTVRPDEVIATLHNNLGIKAELSPPTSIRPPPSATVPSNGSQIVVGQSSNAVNPLLGDLNKVAQDVGQLRRMVPGLAGVKISNQIVSESIEFKFNSN >itb02g05440.t1 pep chromosome:ASM357664v1:2:3266993:3271148:-1 gene:itb02g05440 transcript:itb02g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRNTFTLKVDTNSLFGAINIQKAVEKMEGVEKVETNPQQRRVVITGTVRPDKVIATLNNNLGIKAELSPPTSIRPPPSASTFTLKVDTNSLFAAINIQKVVEKMEGVEKVETNPQQRRVVITGTVRPDEVIATLHNNLGIKAELSPPTSIRPPPSATVPSNGSQIVVGQSSNAVNPLLGDLNKVAQDVGQLRRMVPGLAGVKISNQIAIGSEDIASLHTLIIAERLTFDDDDLRQLLRGIEFPVTSNKGVITLYFTSLSMTTFVLKVGIHCNGCARDVKKNVEKMEGVESVKVNSEQQTAVITGTVHPDKVIAKLYKKFKKRAELLPNKPAIKQGTGRRVRFEV >itb02g08510.t2 pep chromosome:ASM357664v1:2:5345709:5348526:-1 gene:itb02g08510 transcript:itb02g08510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAKSWAGNMYNKFEDLCSEVEQVMSQDAVKYVENQVQTVGVRVKKFCSEVMQDIRPESSSIHSVKDAAADFSLEICAHEVMEKKPKSSLKEHARGIYEKLMEDAQVIKSGGKSKHGGVYKRRNVGVTSNSLGIDPPVKMARTSSPPSGMRNRTPLVCETQGGYGIMASRDRTERICDHISEKTNIPTVGKDVAASENRISDEFSEQKPQDSGFTPSFRCLSSRSSTGTRMDDDDDAISQADSGTSSNTIGNEEPVDREQNFESDKRKKTDGVQQEVETVEHIKGSHLMETCVMVGEDKVHVPQEPVKQNSYKNKIWSAFSSRRREYQQLAALYADQPLNAEAEEKAISDLRMKSNAKLSATEFPDPEWELL >itb02g08510.t1 pep chromosome:ASM357664v1:2:5345709:5348526:-1 gene:itb02g08510 transcript:itb02g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAKSWAGNMYNKFEDLCSEVEQVMSQDAVKYVENQVQTVGVRVKKFCSEVMEKKPKSSLKEHARGIYEKLMEDAQVIKSGGKSKHGGVYKRRNVGVTSNSLGIDPPVKMARTSSPPSGMRNRTPLVCETQGGYGIMASRDRTERICDHISEKTNIPTVGKDVAASENRISDEFSEQKPQDSGFTPSFRCLSSRSSTGTRMDDDDDAISQADSGTSSNTIGNEEPVDREQNFESDKRKKTDGVQQEVETVEHIKGSHLMETCVMVGEDKVHVPQEPVKQNSYKNKIWSAFSSRRREYQQLAALYADQPLNAEAEEKAISDLRMKSNAKLSATEFPDPEWELL >itb05g07580.t1 pep chromosome:ASM357664v1:5:9873207:9877481:-1 gene:itb05g07580 transcript:itb05g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVLTTPPPFIRFRRNRCSLQPSPPLLVHQTLVAAPESSVQPSPVACSPFVFATSRVQDIFTMGGLPIESSSCAIALPKPTEDKSPGTSVGPDSKPKKKICCACPETKKLRDECIVEHGEFACKKWIEAHLKCLRTEGFNV >itb01g23780.t1 pep chromosome:ASM357664v1:1:29670891:29674395:-1 gene:itb01g23780 transcript:itb01g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAGWQGLFLGGLASWVVISSIFNVTQKIRSLTQPWVSRQVVSGTPISLQIQKYQHGYLDGFFSALSCSVSVPFYTAFLPFLFWSGHCKLARQMTLLMAFCDYMGNCIKDVVSAPRPTSPPVRRITATEDEKENALEYGLPSSHTLNTLCLSGYLLHYVLSHTKNEDLSTEIAGIALVCLLVGLIGLGRIYLGMHSLIDIIGGLAFGLAILAFWLSVHNYIDDFVVSGQNVTSFWSALSFLLLFAYPTPEQPTPSFEYHTAFDGVALGIVAGIQQTYHQFHHEDVPKVFTELPIPAFVGRMLVGIPTILLVKFCSKALAKWMLPMLANALSIPVRSTSYVPGLSSSAITKKSDETKPAAGYLQKLFFFSQQDSFDVDTGIRLLQYTGLGWAVVDLVPSLFTYLRL >itb03g08150.t1 pep chromosome:ASM357664v1:3:6143737:6145014:1 gene:itb03g08150 transcript:itb03g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLSSTAIATRATAAQASMVAPFTGLKSTASFPVTKKSADFTSISTNGSRVQCMQVWPPYGKMKFETLSYLPPLSTEQLIKQVEYLLNKGWVPCLEFETEKGFVYRECHRSPGYYDGRYWTMWKLPMFGCTDATQVVAELQECKKAYPEAWVRILGFDNVRQVQCIMFLAAMPN >itb01g24780.t2 pep chromosome:ASM357664v1:1:30328349:30329851:-1 gene:itb01g24780 transcript:itb01g24780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGKFRCVECGLAINSLYIQYSPGNIRLMKCENCKAVADEYIECEIMILMIDLILHKREAYMHLFYNMFTREKLKFEGLLWKSLLGFLLMDSYRVMVLSTSPKERIWPASFNSFLSIYGKVLYGVLFGNLIFLGMLLFGTRRFLNATSRESGFRSVLLAILVSSYLKIFLVAMMVCFLP >itb01g24780.t1 pep chromosome:ASM357664v1:1:30328038:30329851:-1 gene:itb01g24780 transcript:itb01g24780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGKFRCVECGLAINSLYIQYSPGNIRLMKCENCKAVADEYIECEIMILMIDLILHKREAYMHLFYNMFTREKLKFEGLLWKSLLGFLLMDSYRVMVLSTSPKERIWPASFNSFLSIYGKVLYGVLFGNLIFLGMLLFGTRRFLNATSRESGFRSVLLAILVSSYLKIFLVAMMVWEFPRPVIFIIDMFVFSSNTLALKGNNFEPSFITYLP >itb01g17110.t1 pep chromosome:ASM357664v1:1:21784286:21785378:-1 gene:itb01g17110 transcript:itb01g17110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYSHNNLPTVMVTNDDGVDAPGLRALVNVLVSTNRFNVLVCAPDSEKSAVSHSITWRHAIRAKRVHDIPGATAFAVAGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNGVPSVSLSYDWVHGKSNLNDFTLAAQAFVPIISAILGDIKNQTYPLNCFLNITVPTDVVNHKGYRLTKQGKSFIRTGWKQVTSEADGGKMLSTMTMDMTPTQTAQQSVVSTQEEQFLFTREVRSKQVDKDGTDYSSLQEGYITITLISALFNADIDGVTFFNKWLSSLDEHSNFACKLQASLQKEGETRHLDSSTPSGLLC >itb08g10100.t1 pep chromosome:ASM357664v1:8:9517837:9518175:1 gene:itb08g10100 transcript:itb08g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWAQRRGSDVLRRQEPWWHKWRRGVDGPARQASGGGVGHHRCGGWNRPRPASVPQAWGPVGFLQPRRVPRQRGTLWRCLGSPPAWGGYQACVGEVVCGLCVGRSQGTRGW >itb05g23530.t1 pep chromosome:ASM357664v1:5:28610901:28615519:1 gene:itb05g23530 transcript:itb05g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRTRNLFEEDDPTPCYDLINNLFSQDKAVVDPALSFFKDAVNQFPGSVCRKFAKILDTSRLPLTRIRCSTLLARFLATSWPTIPPIPQAEIKAVYLKLLHNETNRPALEIHCACVSRIGAILLPKNEWPEFLSFLFESLSSSSAPRKLATVILLRELIPKCREVFAPSVNLLCVAFLQLMSQGNDERVRAATHGAAVKMVLHLPTPSNYYDLLPEMISVLMDSVFRDYLTSDILEEIIALATRKPGFFVAEFKSLVQFMLEIISVELMKPKTRQLAIQFLVVMAEDKKEGCGMIQNLPGDVIEEILTQLLILLVRVDDEESWEYADDDDTEVGKLSLAFDAEDAWKRLAIALRGEIIVGNPPDLLAEYIKDDDWRKRYAAVTAVGLITPGCSKMLIQYLDVSMETIMELVTDLHPRVRWAAIHTIGEFSIYLCPHLQEQYHHQIIPALLQAIGDLEHTRLQTHGATALMLFSRNCRSDILKLYMKEIVNKLLMLLQQREIMLEDAALGALGSLAESAMDEFRPFYGIVMPYLKFAVVTGKANSDYLHVANSLKCIAVIAVAVGKSMFYADVEDVVNDLILLQESIYSRKDGTVRSDLLQAWGGVCRCLGVDFLAYLSDSVPQLIQSAKQTDYLTDDVGSDDKRRSIILKEKFLACNTIGCFAAHIKGGLHMWIKEVVDAVLPLVNFKLDERVRIAAATAMPLLLQSVAIAVESQLPIPDFSDSPIITISETIMSTLIEALQEPSIKFRVIILEALNQCIQIPHTCIHKDMATLFVKGISKLLFACINKKIVRELRLSSSKNLRTAELLDEEVQDEDNIYRQVHICLGTLTERLKASFLPFLDELLPFVNHLWKNNKARKERRLGLSVFHDIAENCGEETFRHYDMCIPFLLKTCKSRETTNPAQEEIAACAIAICAEFGGEVFKPHLPDALMSLIAIISQTGNLTLEPLMAKEAAVSAYGKLCSLLTEDASIYMHTWLWLLNLPLRCNLDEAKAAHSLLCSMIDQPETKITGPYDGYISRIIVVLTEIVWAGKHLATPEILDKMILQLKMLGKKITEANFVDINGTLPPYMQGMLQGILS >itb05g23530.t3 pep chromosome:ASM357664v1:5:28610901:28614470:1 gene:itb05g23530 transcript:itb05g23530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRTRNLFEEDDPTPCYDLINNLFSQDKAVVDPALSFFKDAVNQFPGSVCRKFAKILDTSRLPLTRIRCSTLLARFLATSWPTIPPIPQAEIKAVYLKLLHNETNRPALEIHCACVSRIGAILLPKNEWPEFLSFLFESLSSSSAPRKLATVILLRELIPKCREVFAPSVNLLCVAFLQLMSQGNDERVRAATHGAAVKMVLHLPTPSNYYDLLPEMISVLMDSVFRDYLTSDILEEIIALATRKPGFFVAEFKSLVQFMLEIISVELMKPKTRQLAIQFLVVMAEDKKEGCGMIQNLPGDVIEEILTQLLILLVRVDDEESWEYADDDDTEVGKLSLAFDAEDAWKRLAIALRGEIIVGNPPDLLAEYIKDDDWRKRYAAVTAVGLITPGCSKMLIQYLDVSMETIMELVTDLHPRVRWAAIHTIGEFSIYLCPHLQEQYHHQIIPALLQAIGDLEHTRLQTHGATALMLFSRNCRSDILKLYMKEIVNKLLMLLQQREIMLEDAALGALGSLAESAMDEFRPFYGIVMPYLKFAVVTGKANSDYLHVANSLKCIAVIAVAVGKSMFYADVEDVVNDLILLQESIYSRKDGTVRSDLLQAWGGVCRCLGVDFLAYLSDSVPQLIQSAKQTDYLTDDVGSDDKRRSIILKEKFLACNTIGCFAAHIKGGLHMWIKEVVDAVLPLVNFKLDERVRIAAATAMPLLLQSVAIAVESQLPIPDFSDSPIITISETIMSTLIEALQEPSIKFRVIILEALNQCIQIPHTCIHKDMATLFVKGISKLLFACINKKIVRELRLSSSKNLRTAELLDEEVQDEDNIYRQVHICLGTLTERLKASFLPFLDELLPFVNHLWVCDFST >itb05g23530.t2 pep chromosome:ASM357664v1:5:28610901:28615863:1 gene:itb05g23530 transcript:itb05g23530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRTRNLFEEDDPTPCYDLINNLFSQDKAVVDPALSFFKDAVNQFPGSVCRKFAKILDTSRLPLTRIRCSTLLARFLATSWPTIPPIPQAEIKAVYLKLLHNETNRPALEIHCACVSRIGAILLPKNEWPEFLSFLFESLSSSSAPRKLATVILLRELIPKCREVFAPSVNLLCVAFLQLMSQGNDERVRAATHGAAVKMVLHLPTPSNYYDLLPEMISVLMDSVFRDYLTSDILEEIIALATRKPGFFVAEFKSLVQFMLEIISVELMKPKTRQLAIQFLVVMAEDKKEGCGMIQNLPGDVIEEILTQLLILLVRVDDEESWEYADDDDTEVGKLSLAFDAEDAWKRLAIALRGEIIVGNPPDLLAEYIKDDDWRKRYAAVTAVGLITPGCSKMLIQYLDVSMETIMELVTDLHPRVRWAAIHTIGEFSIYLCPHLQEQYHHQIIPALLQAIGDLEHTRLQTHGATALMLFSRNCRSDILKLYMKEIVNKLLMLLQQREIMLEDAALGALGSLAESAMDEFRPFYGIVMPYLKFAVVTGKANSDYLHVANSLKCIAVIAVAVGKSMFYADVEDVVNDLILLQESIYSRKDGTVRSDLLQAWGGVCRCLGVDFLAYLSDSVPQLIQSAKQTDYLTDDVGSDDKRRSIILKEKFLACNTIGCFAAHIKGGLHMWIKEVVDAVLPLVNFKLDERVRIAAATAMPLLLQSVAIAVESQLPIPDFSDSPIITISETIMSTLIEALQEPSIKFRVIILEALNQCIQIPHTCIHKDMATLFVKGISKLLFACINKKIVRELRLSSSKNLRTAELLDEEVQDEDNIYRQVHICLGTLTERLKASFLPFLDELLPFVNHLWKNNKARKERRLGLSVFHDIAENCGEETFRHYDMCIPFLLKTCKSRETTNPAQEEIAACAIAICAEFGGEVFKPHLPDALMSLIAIISQTGNLTLEPLMAKEAAVSAYGKLCSLLTEDASIYMHTWLWLLNLPLRCNLDEAKAAHSLLCSMIDQPETKITGPYDGYISRIIVVLTEIVWAGKHLATPEILDKMILQLKMLGQLGFR >itb01g05760.t1 pep chromosome:ASM357664v1:1:3990819:3992502:1 gene:itb01g05760 transcript:itb01g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQINFSSNPILSLLAYLQNKQRKNTQNLISLTPAKMKHGEVIIVVYDVVLVVLSIALIILGVLLFLCCKKKRPAVEDDGNGCHKAAKLSAAAYTLTEMDAATDGFNPRRIIGKGRLGVVYSGVLPEGRGELVAVKRFHPRLVLSNAGAGFGFSSLLRWLSLADHPNLVPILGFSEAPGERIVLMEYGGMLSLEFYLHQNPDAAALLHWPRRVKVAAGVARGLEFLHEGMAPHIVHGCVKGSNVLIDVEFCARLCDYGLYFLASNERQEVVGYVDEEYWGGGGGGSKESDVYGFGVVLLELLSGRKSQEGLLVKWALPLLKEMKFGEVLDPRLEIPCDINPLVRLAKVASACVGNSRKSRPTIVQVAAILNNLEIEFNL >itb01g23370.t1 pep chromosome:ASM357664v1:1:29411805:29416920:-1 gene:itb01g23370 transcript:itb01g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIITPFLVIKLSSSLKVGKQVIAFMLNLGDSPGVRLNTSDSTMYAFQASSSSPSSNTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLQGTQTMTNFEPLHKLQAHDGYILKCLLSPELSEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHERWVWDCVFSVDGAFLITASSDTTARLWSMSTGKDIKVPGGRVVLLLPSAHQLSILVFRSLLNF >itb02g13000.t1 pep chromosome:ASM357664v1:2:8984526:8985918:-1 gene:itb02g13000 transcript:itb02g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDNATLLIQHPGGGRVDKLYWPVPASDIMKMNPGHYVALLLTTTTFNPAAAAAGATNDNKNNIPVRITRIKLLKPTDTLLLGHVYRLITTQEVMKGLWAKKNGKMKQQAEPIEKQQKMRPKPTSDSKTGLIARSENKEHCNQIKHRSGGNSSAAGAVKCKAWQPSLNSISEAAS >itb12g24350.t1 pep chromosome:ASM357664v1:12:25915412:25916656:1 gene:itb12g24350 transcript:itb12g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVHSGAATFVHGNRALIRPKSNPVRLNPNQISQKNQIHRVFASYSSPPCDRPLVRRDPAPIAVPDGGEDVGGDKIAAEKLDRWMRGSVAEIVKNLTRAPLLVQVYSDGGGEEDNAEVRAERAVVEEWPAVKGEWESGERRSPDGLIFVEELRDDGVNDEEQEESFNGVNSEEGNETVTRAWGIVVQGRGAECGPVCYLLKTSKVGAGIGMGAFCTHFCLVRVKSFRESAFCQFKNCWLLQ >itb07g22240.t1 pep chromosome:ASM357664v1:7:26731987:26732938:1 gene:itb07g22240 transcript:itb07g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHYEISKGKTDCVVLEVGCFDREYYLLYKESEYNEIGCIYLDIVPGTPTSRVESWFKCCKGMLCLISIKDIRLNPDDYLIYDILIWNPSIRKVEALPSVTVPYRAPCHAYVDNHFGFGISNNMTWKVVMLLDISSSEDCSTIHQITMVYSKDQSGSWSLRQINSVISCKNISGDNDFYLKGRHYWRAKRSKRRYYDNYFINDEYLIWFDMNDEVFGTIELPSNLFIASVTIMNENIALLEENSENSECIDIWLMIENDNNTNWRKQTVIRRSWNRSMLEYHTSHL >itb01g07640.t1 pep chromosome:ASM357664v1:1:6037482:6038974:1 gene:itb01g07640 transcript:itb01g07640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLSLWTPNAKSSLPFSPQIFPLRRKTQTGILLRNYPTICPTKPGQNPFTYRNGEIQHTLSTKLSPIVRAAATPGSNDDGEYMPPAPAPGSPARMLKFLEAAPASPTGAVLVASTHLLCLFAPFCFSWDAAGVAVELAVVTGALGVTLSYHRNLCHRSFKLPKWLEYLFAYCGAHALQGDPIGWVRTHRQHHQHCDSEKDPHSPIQGFWYSHLGWLLDFNSTNERVIFIMLISSLKFSTFCI >itb01g33930.t3 pep chromosome:ASM357664v1:1:36838681:36840661:-1 gene:itb01g33930 transcript:itb01g33930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKCNSLNFEHHNTEGWVDPKAFSSPASNNIVKCLQDNTRIDPLIRVCAASILGIISQFPIRETSTRAFGRLIYLQMLLHIWKFLDLKVAAERCALHASQLTKSADKAAQKFITGLDPILTFPQCSFL >itb04g08500.t1 pep chromosome:ASM357664v1:4:6775869:6779461:-1 gene:itb04g08500 transcript:itb04g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYMKKSKAAGEVALLEVTHAQSFSGVRTRAKTLALQRLRRSADEICCGGDSGSYLQLRSRRLQKPPIGLDSRRQKPSSKDSNPSLGHNSKQNQSTRASSRVRHGYSAISGSVNKEENECLDGVIKEENILPEKCGAFEENENKVNNTAGDAGDEASFGENFPEFEGRERTTRESTPCSLIRDSDAIQTPGSSTRPASAREANSRMHNSLRRHIPTTHEINEFFAGAEEEQHRKFIEKYNFDPVNEKPLPGRFEWEKVNHP >itb04g08500.t2 pep chromosome:ASM357664v1:4:6775869:6779461:-1 gene:itb04g08500 transcript:itb04g08500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYMKKSKAAGEVALLEVTHAQSFSGVRTRAKTLALQRLRRSADEICCGGDSGSYLQLRSRRLQKPPIGLDSRRQKPSSKDSNPSLGHNSKQNQSTRASSRVRHGYSAISGSVNKEENECLDGVIKEENILPEKCGAFEENENKVNNTAGDAGDEASFGENFPEFEGRERTTRESTPCSLIRDSDAIQTPGSSTRPASAREANSRMHNSLRRHIPTTHEINEFFAGAEEEQHRKFIEKYHFIYLPFYFDTMFFFLSSTVCQ >itb06g21430.t1 pep chromosome:ASM357664v1:6:24005576:24009722:1 gene:itb06g21430 transcript:itb06g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGETIPLSVLLRRELASEKIEKPEISHGEASQSKKGEDFLFVKTGCERVLGDGVTSFSAFALFDGHNGSMAAIYTKENLLNNVLSAIPPELNRDEWLSALPRALVAGFVKTDKDFQGEARTSGTTVTIAIIEGWVVTVASVGDSRCILESAEGGIYYLSADHRLECNEEERERITSSGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRIIIASDGVWDSVSAEAAFECARGMQPDAAATQIVKEAVQPKGIRDDTTCIVIDVHLPEKPPPPHPKKTAKGVFKAMFRKKSSESSSYIDKEYCEPDFVEELFEEGSALLSDRLDTRYPICNMFKLFMCAVCQVEIKPGEGISVHAGSADSKKSRPWDGPFLCSSCQEKREAMEGTRPSGNGRYSSGSDE >itb03g06540.t1 pep chromosome:ASM357664v1:3:4764256:4765520:1 gene:itb03g06540 transcript:itb03g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYNCKECETNLNLHTIHLYPPDFYFEAGNKGTLSFSALDSSKFRFEKEDKIIPFFETINYWGIQRKRTKIKCVNCGKLVGYVYDDGPPMTDSPGQFHFGPSQVIPRAPRWTIRSLAYTSHLSNDPGLE >itb06g19190.t2 pep chromosome:ASM357664v1:6:22595660:22605103:1 gene:itb06g19190 transcript:itb06g19190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYRRPPDGLLELDDRVYVLDSCFSTEVLPEEIYQLYLHEIITELHEEFPESSFLAFNFRDGEKRSQFAKILCEYDVTVMDYPKQYEGCPLLPLSLIHHFLRGCENWLSLGNHQNVILLHCERGGWPVLAFVLASFLVFKKLHSGERKTLEMVYREAPKGLLQLLSPLNPFPSQLRYLQYLSRRNISPEWPPPERALSLDCLILRAIPRFDSQKGCRPIVRIFGRNLLSKDGLSTQMLYSMPKKGRGVRHYRQKDSDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLSSDNLDILWDSKGRYPKGFRAEVLFGDVESIPPPRAPTSVLNGEEKGGLPIEAFSMVQELFGGVEWVDPSDDAALWFLKQLSVLNDVKDLSLLQNRMSEYSSPFDSEEENTAHSIADSLDFLDSEKASNLTYANSPDVNFIDDPFSEDFASDATSMAKTSEDPTKVSTEPFCSHPESNNQSDLSMTSDQLEVGQDHDRQSCSPPSTSPPPSSVSNTITPRPLAPPPPPPPPPLFGFPSKELSLSPPIPPNHINYSKAPPPPPPPPPPSYTSIQGTIPVTQPPVPSSINSANGPPPPSSASPPPPVPILSTTSCPTPPPPPPPLLPSVSSKCCTSAPPTPPPPPPSFLSAASSKVILPPPPPPPPSISSKQPPSPPPPPPVPLKSPLPPPPPPPPLPPVSSKGPLPPPPPPPPPASSKGTLPAPPPPPPPVSSKGTLPPPPPPPLPVSSKGTLPPPPPPPPPVSSKGTLPPPPPPPPPVSSKGPPPPPPPPISSKGPPPPPPPPKSTPLPPAPPPPPLGGPRQGSNPPAPPPPPKLHGAPPPPPPPKHSSGPPPPPPLGRGLTPVPPPPLPSTDRGRGSLGSTTHGKSRPTGGSTIPPKKASLKPLHWVKVTRAMQGSLWADTQKQESQSRAPEIDITELETLFSVASATDSINKAGGRRGSKINKPEKVQLVEHRRAYNCEIMLTKIKIPLPDMINAILALDSSALDIDQVENLIKFCPTKEEMETLRNYTGNKEMLGKCEQFFSELMKVPRVESKLRVFAFTITFSNQVNDLRNNLNTINDAAREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFGKDLVHLEAASKIQLKSLAEEMQAVTKGLEKVEQELAASDNDGAISSGFHKVLKSFLDTAEADVRSLITLYSEVGRNADSLSLYFGEDPARCPFEQVTQTLAVFTKMFNKSRDENAQLADAERKKLEKEALKEQTASNSSAKKEADADKDLLRQINNRIPRSAS >itb06g19190.t1 pep chromosome:ASM357664v1:6:22595660:22603459:1 gene:itb06g19190 transcript:itb06g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYRRPPDGLLELDDRVYVLDSCFSTEVLPEEIYQLYLHEIITELHEEFPESSFLAFNFRDGEKRSQFAKILCEYDVTVMDYPKQYEGCPLLPLSLIHHFLRGCENWLSLGNHQNVILLHCERGGWPVLAFVLASFLVFKKLHSGERKTLEMVYREAPKGLLQLLSPLNPFPSQLRYLQYLSRRNISPEWPPPERALSLDCLILRAIPRFDSQKGCRPIVRIFGRNLLSKDGLSTQMLYSMPKKGRGVRHYRQKDSDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLSSDNLDILWDSKGRYPKGFRAEVLFGDVESIPPPRAPTSVLNGEEKGGLPIEAFSMVQELFGGVEWVDPSDDAALWFLKQLSVLNDVKDLSLLQNRMSEYSSPFDSEEENTAHSIADSLDFLDSEKASNLTYANSPDVNFIDDPFSEDFASDATSMAKTSEDPTKVSTEPFCSHPESNNQSDLSMTSDQLEVGQDHDRQSCSPPSTSPPPSSVSNTITPRPLAPPPPPPPPPLFGFPSKELSLSPPIPPNHINYSKAPPPPPPPPPPSYTSIQGTIPVTQPPVPSSINSANGPPPPSSASPPPPVPILSTTSCPTPPPPPPPLLPSVSSKCCTSAPPTPPPPPPSFLSAASSKVILPPPPPPPPSISSKQPPSPPPPPPVPLKSPLPPPPPPPPLPPVSSKGPLPPPPPPPPPASSKGTLPAPPPPPPPVSSKGTLPPPPPPPLPVSSKGTLPPPPPPPPPVSSKGTLPPPPPPPPPVSSKGPPPPPPPPISSKGPPPPPPPPKSTPLPPAPPPPPLGGPRQGSNPPAPPPPPKLHGAPPPPPPPKHSSGPPPPPPLGRGLTPVPPPPLPSTDRGRGSLGSTTHGKSRPTGGSTIPPKKASLKPLHWVKVTRAMQGSLWADTQKQESQSRAPEIDITELETLFSVASATDSINKAGGRRGSKINKPEKVQLVEHRRAYNCEIMLTKIKIPLPDMINAILALDSSALDIDQVENLIKFCPTKEEMETLRNYTGNKEMLGKCEQFFSELMKVPRVESKLRVFAFTITFSNQVNDLRNNLNTINDAAREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFGKDLVHLEAASKIQLKSLAEEMQAVTKGLEKVEQELAASDNDGAISSGFHKVRHDNTFLLIFFYVLGITR >itb11g02810.t1 pep chromosome:ASM357664v1:11:1434235:1439080:-1 gene:itb11g02810 transcript:itb11g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADEGAASHKRDPIKSSVGNVAAQRRRESAVTVGKERREALMRTKRLCRAGVTGDSDVAPEADMMIDEEQSILEVQTSSAVEELKLAVAHQGKGAVHKRVSALRELRRLLSRSEFPPVEAALEAGALPLLVKCLSFGSPDEQLLEAAWCLTNIAAGRPDETKALLPALPLLIAHLGEKSSSPVAEQCAWALGNVAGEGDELRSVLLSQGALPPLARMMFPNKGSTVRTAAWALSNLIKGPDPKAATELIRIDGVLDAILRHLKKADVELATEVAWVVVYLSALSNFATSILAKSDLLQILIERLNETNSLQLLIPVLRSLGNLVAGETRITNVVLVAGNEITDAFIQAQVKCLKSEHRILKKEAAWVLSNLAAGSAEHKKLIHSSDALPVLLHLLSTAPFDIKKEVAYVLGNLCVAPDDGSGMPKLILEHLVSLVGRGCLSGFVDLVRSVDAEAARLGMQFLELVLRGIPNREGPKLVEKEDGIEALERYQFHENEELRGMANELLDKYYGDEYGLEE >itb03g07520.t1 pep chromosome:ASM357664v1:3:5557514:5563750:-1 gene:itb03g07520 transcript:itb03g07520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSHSFSKRSYHGNGFTTKTSKSLYDDVFGGPPKLGVPTLSPRLEDYTEIFGGFHSSRTSSIPILDLPAVDDHDEELSLDVRSSQFDYSEIFGGFNVVDFALSYEDLVRQSSAGYNSSDEAWSPAQSESLSDDSDPSAFSERSQSMPYADIHYSINDDKQFNVSYHGPNERTDVDGSDVMTDMSRLQAASGYTYVIPENQSSRNTEDGKAPIEATIDVSQGMSFGGSISEENRFKKSASHFTNTSFGVCGDDPEPTKLPFCSVTDISLRTKPSQLPPPSRPPPAFAETSQSNRPNSRLHTSKNYACERIPGEAPLPFFAAEVDASSSTEMIKDAMAKAQAKVRSAKESMEKKKQDLKSCKQRLQSNTMEGITSRTFDEPAHHEDNRVPGKCEKEAGQHEPFSEESKIVKKTNKVELDLSEGGNSINFAGKSSENKQEEGYSQGAHKTDRTVAWREAMEFFEVTETFLPQDASQKLKYEMKHMDSHEHQQPIRAAADAFGQHENCKTFRPAKGAPEWEEDRKQLKMTKVAFELGEECGRSGKVDQDPFELDLNLGESQQQGQISGLNNNGYKHDVVPSESEQNMDKFRAKPKPNHDCDRFDNEKSVMDADARKVNGKEPKMDLEKEEYEGRHNASVDKISNENILKHGLEQEKRDEQQEGDSGGKEKNVEQEALEINKNGNSIKESLWEEDVEQEIKWESKMNDKILKMDLEQEDNKGHKEVASNREDHDKIRDAFKWEHGCKQFPTVFPKNEPEEKNQDHEREECRVGPCNSCEGNGGGVRITKFQEQEHDELRTATTSEVEVDNISEEADELDDIDWIIEDHCKLEELSEQAKNNEAIWMDGKNAATFDRAYESSFDEKVDVSQMSEKLDRSFKKLEETEAAFMHDNEKIKAEHEDGEEEAEVGVNNLPVEERFISSSEKQDNSQRKDVRNPPSLDGHLTNSQEIGIGIGKLHVEKENLASQMACHSENVKATTFEGQKGNSNGGLQFPINKEVTKEKFSRQVVRNWSDNGRKIGEALSAVLEDGETPSQTDQRSTNKSTGREEIMSNEGRIPKELKVERQAREQELEGEYLKKIEEEREREREREKDRMAVTGDALEKLYAQARERSERAAVDRAATEVGQKATVDNRGRLEKPFMDAREKYLAEKASAEARLRAERAAVERATAEARQRAFEKAMAGKAANDAREQVERSYSDRFSGSTEMRTRSFSSNIPDQQNPQPSKLRYSYSSANAGIEGESPQRCKARIERYQRTAERAAKALAEKNMRDLLAQREQAERNRLAEALDGEVKRWSSGKEGNLRALLSTLQYILGPDSGWQPVPLTEVITSAAVKKAYRKATLCVHPDKLQQRGATIQQKYICEKVFDLLKEAWNKFNSEER >itb13g12940.t1 pep chromosome:ASM357664v1:13:19417164:19418207:-1 gene:itb13g12940 transcript:itb13g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYMEEEEVWKCPKHPSKRRRNGICPVCLKDRLVTLCPDCANVRPCACCAAAAAATSSSSSASSSFSLFSSSSSRGDGEPTFQRSRSVGIPFLRSRGGGGYALSGSVRWNPPETARSSKTPSFWSILRSSSSTTKNKSRKESEEKENQNHEFKSNFYPGNGYGDVRIEEFTRTMMRSRSVSVAMTSGPGDAKKAGKGWHFPSPMKVFRQSKAAKVVQERSPLYRG >itb04g29050.t1 pep chromosome:ASM357664v1:4:32564666:32567064:1 gene:itb04g29050 transcript:itb04g29050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHEPRAAVAVSGGARGGGGGLDWTAKNWLLDRSAAGCFSHESEPDLAALVSDFLESSSAGAESWLSSDGDSCYYDLADKILMYKHSCDQFESDLTMVVHSLILSMTDTCQSCKSETCNASCIRFCLMKLLQSSGYDAAICTAKWQGYGKIPGGEHEYIDVISDQNGKSERYIIDIDFRSHFEIARAVKSYAIALDSLPAVYVGTTARLKLFLSTMVEAAKDSLKQNSMPLPPWRSLAYLETKWESPSQRAVNFNGESSTGNPSCSHLHCSELLRRIKLSIGCNSRWKE >itb04g32000.t2 pep chromosome:ASM357664v1:4:34676078:34683156:1 gene:itb04g32000 transcript:itb04g32000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRSLIGLKRPEKSLATEKGENKSAGTRKSRHRRKHSVEIDSDLIQSEFSQNDNASTSVEDFKVSSAPVAVGSPSCSHQLEDTVQIQQSMREEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQTAHQKLQQQLEHDARVREIEEGWCDSIGSVEQIQAKLLKRQEAAAKRERAMAYALANQWQAGSKQHATPAGFEPDKSSWGWNWLERWMAVRPWENRFLDMNIRDGVKIHDNGSSGDPKNGGNNQMKSINKKSISSIVSNEKMVPPQPHSSTNSKLSNGKMVGSQSNGSSSSPNRSVNTQETTLCGPPNSKPNMEGLVEEASSRPSLGSSRSHSNPKERTTLSDKQGKRRLSLPNSGQFFYPH >itb04g32000.t1 pep chromosome:ASM357664v1:4:34676078:34683156:1 gene:itb04g32000 transcript:itb04g32000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRSLIGLKRPEKSLATEKGENKSAGTRKSRHRRKHSVEIDSDLIQSEFSQNDNASTSVEDFKVSSAPVAVGSPSCSHQLEDTVQIQQSMREEWAAIRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQTAHQKLQQQLEHDARVREIEEGWCDSIGSVEQIQAKLLKRQEAAAKRERAMAYALANQWQAGSKQHATPAGFEPDKSSWGWNWLERWMAVRPWENRFLDMNIRDGVKIHDNGSSGDPKNGGNNQMKSINKKSISSIVSNEKMVPPQPHSSTNSKLSNGKMVGSQSNGSSSSPNRSVNTQETTLCGPPNSKPNMEGLVEEASSRPSLGSSRSHSNPKERTTLSDKQGKRRLSLPNSGPALGAEATRQSSRTAFKKTSSAQKQAKDKPKLNVNDPKTTAKSDSQQS >itb08g06080.t1 pep chromosome:ASM357664v1:8:5219221:5222624:-1 gene:itb08g06080 transcript:itb08g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKPQNSRFHSYIHHYVQFCSINTKCESRFHFSKYPLVYNASFAFFSSQTFKPRPYLEGSHWKYAESSHFGDNRNTDVASEEVGCREYGNLSFSDTCYSDRECRESCKFDDLDEFEESGKEDGGESDGDDNNGEDFKILDSFDRNQKQSNWCKVKTEEGVEDEMRHPLVKEICRLIDSRASWTPKLEGELRRLLKSLKPLQVCAVLRSQSDERVALMFFYWADRQWRYRHDPVVYYEMLRVLSKTKLCQGAKRVLRLMARRRIELQPEAFGFLMVSFSRAGNMRKALQVLTLMQKAGIGLDLGICNTAIYVLVKGNKLEKALRFLERMQLVGITPNVVSYNCLIKGYCDVHRVEDALELIAQMPYKGCPPDKVSYYTVMGFLCKEKRVDEVKELMEKMTKDNDLYVDQVTYNTLVHMLSKHGHADEALIFLREGEARGFCIDKVGYSTVINSFCKAGRMDRAKELVNEMIANGCIPDVVTYTAIVNGFCSIGEVDQGKKLLQQMYKQGCKPNTVSYTALLNGLCRTGRSSEAREMMNVSEDGWWRPNAVTYSVVMHGFRREGKLLEACNVVREMIGKGFFPSPVEINLLIQALCREERAVEAKKFLEECRRKGCAVNVVNFTTLIHGFCSKDDLGSALSVLDDMYLINKHPDVVTYTTLIGALGKNGRIEEAIEMTKKLLHKGLVPTQVTYRTVIHHFCQQGRVEDLLKLLEKMLLRQDCRTVYNQVIEKLCSFGNPNEAYKLLGKILRTASRTDANTCHILIESYLKNGNPLFSYKVACRMLKRNLVPDLKLCEIVKEKLMLDGKACEADKLMMLLVERGHILPKHKQRLT >itb01g32700.t1 pep chromosome:ASM357664v1:1:36105936:36108698:-1 gene:itb01g32700 transcript:itb01g32700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNNNGDMGGLQHRGNVSISMAMSSESTFRSPTGMDSLPFCGSGWDPMVPVNQSLHYPVAIDNQPMSSTSHLVHHYPSGSDVVDMVPKVPAFGNEGFSDMVSSFGLPDCRLNYMHNIGVGTNRAFSRGQHSQEDCLNLEEAAIGASPLGKKRRSSEALSPSIASKNVEREQPKDPLGDNSECSKEDEKKQKTEQNNSSSLRSKQAGKQVKDTSSSGEPPKENYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDIERILSKDILHAQGSNGALLGLGPGLNSPHPFPGAPLGTFNNVPNTTPFHSLPQVNFISVSLYALLFENVEILKPVFCPECVGKRSPEYSPDGIRF >itb06g16490.t1 pep chromosome:ASM357664v1:6:20671555:20673075:1 gene:itb06g16490 transcript:itb06g16490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRIRARIRKSNLYTFAFNRYRAAKQEGPHQLGPGYSRIVHCNQPQVHEVEPLKYCSNYITTTKYNFLTFLPKAVFEQFRRVANLYFLLAAILSLTPVAPFSAISMIAPLVFVVGLSMAKEALEDWQRFLQDMSINLHKACYHKGDGVFGHKPWMKILVGDIVKIEKNEFFPADLLLLSSSYEDGICYVETMNLDGETNLKAKRALEVTLPLEDNMTFKEFTATIKCEDPNPNLYTFVGNLEYAHQVYPLDPGQILLRGSKLRNTDYVYGVTIFTGHDSKVMQNSTKSPSKRSCIERKMDSIIYILFTVLVLISLISSVGFAVKTKFEMPEWWYLYPHDKNNVLYDTERPALSGIFHLITALILYGYLIPISLYVSIELVKVIQALFINSDMHMYDEETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGKRASDVELAAQKNLAMEPDSLDPESKPDGKVYRESEIELEAVITLKDEKRPKTSNKGV >itb03g10350.t1 pep chromosome:ASM357664v1:3:8177990:8181226:-1 gene:itb03g10350 transcript:itb03g10350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLFNSKKNSREGSEEDLASKETEEDDIDSDRKIAAIRKKTNFETDPRLRKERHSSVRIEGLNLSTFDQSMPATTIQDFRIFVGSWNVGGRAPNPGLNLEDFLQVEGSADIYVLGFQEIVPLSAGNVLVSEDNEPAARWLAVISHALNKAYHESVAEHNNSNSPSSSKQSKDGGGKSNVFNKPSLKLLKSNLKANSALVKACNCHLDSPSRLRKLSDHSSSPRAAANPNSRDSSGGSGDIVDLLSIPEIPEYNKLNYNIIASKQMVGLFLSIWARKDLVPHIGHLRVCSVGRGIMGCLGNKGCISISMSVHKTTFCFVCSHLASGEKEGDELRRNADVAEILKSVQFPRICKNTDRHSPERITDHDRMLWLGDLNYRLSLSYEETRQRLEDNDWDSLLQKDQLNMERAAGRVFSEWNEGKILFAPTYKYTHNSDSYAGETGKSKKKRRTPAWSLSLPPPSLSFLPIKIA >itb15g07990.t1 pep chromosome:ASM357664v1:15:5609136:5609722:-1 gene:itb15g07990 transcript:itb15g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSVIFVLTLGKGVFAFTLDPMYGEFVLTQENIQIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHKRILDITPVEIHQRVPLYIGSVEEVDKLEKYLA >itb05g27100.t1 pep chromosome:ASM357664v1:5:30654620:30658829:1 gene:itb05g27100 transcript:itb05g27100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSIPFAGINALAVSVSNAAVRAIFKSKPRRPKSPAETVAQTISLLTALHSFDDQNGTKRFDKMGEIDTLMHEMKSILYGNAESVPAAEACSQLTQEFFKHDTLRLIINCLPKLNLEARKDAAQVVANLQSQPVNSCLIASDYLAENLDILDNLIIGYEDSILALHYGSMLKKCIRHQVVARYVLKPEHVKRFFGYVQVPEFDVAADATSTFEELLTRHKSTVAEFLSENYDWMGEIDTLMHEMKSILYGNAESVPAAEACSQLTQEFFKHDTLRLIINCLPKLNLEARKDAAQVVANLQSQPVNSCLIASDYLAENLDILDNLIIGYEDSILALHYGSMLKKCIRHQVVARYVLKPEHVKRFFGYVQVPEFDVAADATSTFEELLTRHKSTVAEFLSENYDWFFAEFNAQLLESPNYITRRQAVKLLGEILLDRSNCSVMVRYVSSLDNMMILMNLLRETSKSIQLDAFHVFKLFVANKNRPAEIASVLANNKDKLLRLLEGLKTDKEDEIFETDKAEIMKEITQLDSPGQSGVGGDLFSTPFSSSEALQGIPSSFEQE >itb02g26240.t1 pep chromosome:ASM357664v1:2:27016100:27019981:1 gene:itb02g26240 transcript:itb02g26240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSGRLVLGSSCSSVVVFITTIILWSCCLNISSISGRMMKMKNETNYSSLSSSHPVELLHWRRSLISNGLGRTPQMGWSSWNHFACNIEEKLVRETVDAIVSSGLSALGYEYVNLDDCWAELNRDSQGNFVPKASKFPSGMKALADYVHSKGLKLGIYSSAGTQTCSQQMPGSLGYEDQDAKTFASWGIDYLKYDNCYNNNIPPKERYPKMSKALLDSGRPIFYSLCEWGDQDPATWAPSIGNSWRTTGDIKDSWESMTTLADINDKWAAYAGPGAWNDPDMLEVGNGGMSTEEYRSHFSIWALAKAPLIIGCDVRSIDNTTLEILSNKEVIAVNQDALGVQGKKVKKEGDLEVWAGPLSNNRVAVILWNRGSSQATITAYWSDLGLQQSAVVEARDLWDHSTQAQVQQKISATVYAHGCKFYILTPQ >itb10g09150.t1 pep chromosome:ASM357664v1:10:12084958:12089158:-1 gene:itb10g09150 transcript:itb10g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRSLNFALLFLFAFISLNFYVIPTRALNIGVDAGAGLSLAKECSRTCESKFCGVPPFLRYGKYCGILYTGCPGEKPCDGLDACCMQHDVCILNNGNDYLSEHCNKNFLACVTKFKKSKAKTFKGNTCKVDEVVNIITDVMDAAIIAGRIFKKP >itb03g02050.t1 pep chromosome:ASM357664v1:3:1154632:1154994:1 gene:itb03g02050 transcript:itb03g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVYQRSLLLQVFFTFSVIIMTNMVVAEGASAANLRIVSNASSLIADYMEVDGGEESSTDWGIGRMLLPNNDPTTGHIVYHVEKDSGAFCNAYRYQSCLPPGSPSKPINCKNPRDRTC >itb01g02540.t1 pep chromosome:ASM357664v1:1:1665334:1668062:1 gene:itb01g02540 transcript:itb01g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRPVTLSKTKKKGREHKESIVNSIRESAEKFNSAYVFGFENMRNLKFKELREQLKSSSRFFLGSNKVMQIALGRSVADEIRPGLYKISKLLRGDSGLLFTNLPKEEVERLFNQYEEYDFARTGSLATEKVELQEGPLDQFTHEMEPFLRKQGMPVRLNKGVVELVSDYVVCEGGQPLSPEAARILRLLGIKMATFKLQLISRWSPEQFELYREGLEDSDVESS >itb15g03480.t1 pep chromosome:ASM357664v1:15:2202315:2206887:-1 gene:itb15g03480 transcript:itb15g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKNCLNVFNLLMADVKSNGAHSGEPPPATPLLPAPADVGPPKKPKRNKYALACSLLASMTSILLGYDTGVMSGASIYIKQDLKISDVQVEFLIGTINIYSLVGSAVAGRTSDLIGRRYTIVVAGCVFFVGALLMGFATNYAFLMVGRFVAGIGVGYALMIAPVYTAEVAPESIRGFLTSFPEVFINFGVLLGFVANYSFAKLPLTLGWRLMLGIGVVPSALLALGVLAMPESPRWLVMQGKLGEAKKVLEKTSDSMEESRRRLAGIKEAAGIPEDCEDDVVEVQKESGGGKGVWRELFLRPTPKVRHILLTGVGIHLFQQLSAIDAVVMYSPRIFQKAGIETDREKLLASMGVGFSKTVFCLVATFLLDRVGRRVLLLTSVGGLIISMLGLATGLTIIDHTAEKLPWAIAWCIIMSLLCVAFFSIGLGPIPWVYCSEVFPLRLRAQGCAIGVAVNRAVSGSLLMSFISLYKAITIGGAFFMYSGVSVIAWVFFFTLMPETQGRTLEETESLFGTFFRWRSTMKELDGKKSGGNNNGVGDNGQP >itb01g28160.t1 pep chromosome:ASM357664v1:1:32695925:32697921:1 gene:itb01g28160 transcript:itb01g28160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLSSPCLAFSSSSSSSSSHSSTSPLFFSAPSQLLAHKKRNQTRLRVSCKSNNSPNNNNSSDDAASASAASRIIDRRNVLLGLGGLYGVTNLAGSPFASAADVDVAIHYPNVSKCHYPSDVPPNADITGTCCPPVPTDDVQDYVIPTGDPMRKRVRAQFASEEYIKKYEAALTIMKNLDPTHPHSFPQQANIHCAYCNGGYQQFGFPSQEIQIHNSWLFFPFHRWYLYFFEKILGKLIDDPTFALPYWNWDNQSGMTTPSMFLPTTSPLYDVNRNPNNYSTSVVDLNYKGQDRGLTNDELIAENLVAVYRSMVTHAGTAESFMGAKYVTGTAPSPGQGSVENIPHTPVHRWVGDSVNQPNGEDMGNFYSAGRDPLFYAHHANVDRMWAIWQKVLGGQEFTDDSWLNASFLFYDENGQAVRVYVKDCIDNTAMGYDYDYSSLPWLRSKPSPVNTAGAAAASNAPFVTDVFPVKLDKVVQVKVSRPKTSRTKAEKEKEVEELVIDGIEVATDQYSTFDVYLNDADQPDGGKDKAQYAGGFAHLPHKQESSSTMKTSLTLGLNDLLEDLGAEDDDTILVTLAPQLGGPVVNVDSIRIQYTAR >itb04g05670.t1 pep chromosome:ASM357664v1:4:3685145:3686977:-1 gene:itb04g05670 transcript:itb04g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRYFVVIDDIWSIDAWDSIKACFPDNANGSRVLLTTRFAKVATSISSNNDFSHQAQCLDESESWNLFREKASKSSYAEFEAIGRPIVEKYKGLPLAIVVAADLVDCINNLAYAHQLQTLHLLGTYVGFPSEITNINRLVCLKNLMSLRFEHLLFEWKAINILSELPKLKVLRLNSCLYCIGEEWELLEKENFDQLIYLEISLTYLKHWEASACHFPNLRHLVLQGCLELVEIPADFADIAELKSIKLDCCLPSAVDSAKEIQKEQHGYGNDNMVVIEENTIVDGYHLVFFPYCLFLFHSPPFSPNLI >itb13g22100.t1 pep chromosome:ASM357664v1:13:28474966:28476270:1 gene:itb13g22100 transcript:itb13g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKITHKIVSVNGINMHVAELGKGPLVLFLHGFPELWYSWRHQILFLAAHGYRAVVPDLRGYGDTTGAPNDDPSKFTVIHLVGDLIELLQSIAPEEDKVFVVGHDWGAIIAWYLCMFRPEKVKALVNLSVHFLPRHPHLDLVECLRAVYGNDYYMCRFQEPGDIEAELAPIGVKTCIKNIFAFRRAGPLYFPKGKGFSANTDGSTSPASWLSEEDLDYFVSRFEKTGFTGGVNYYRAMKLDWEVTAPWSWAKVMVPTKFIVGDLDLVYHMPGAKKYIHNGGFKNDVPLLEDVVVLQGVAHFINQEAPEEVNNHIINFLKKF >itb06g04180.t1 pep chromosome:ASM357664v1:6:6746484:6748309:1 gene:itb06g04180 transcript:itb06g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVPNFYMVDDPPSVDPTVIRVLKEKFLINFQEGPPIVVAVGPSGRVVHPNALPMIWTWGSKAFPLTSQNEESLRNTETSKKVELLIKDLDDKLLKMVQEGKKSICLYGGDNLEWIIQFASKAKEVVKYTSVYVELEMFFLGKSTDSVSKMEMIIPYIYSNRLVSESPKLSGAKVLSFWDNLQRMLLSRAQYLSKKNSTSVYDDEVLQGLGKLLDKSGREGWAMFIKGNHIALIGDKGLSMTTLNDFTKWNRDVVELRGFEGILKYLWESLEAVSPSDRPCCHLHFQHELSDEMVENIKCPECHRRLGKSTAFLCHNSPMSKIAMYMPRYI >itb10g19240.t1 pep chromosome:ASM357664v1:10:25093399:25095942:-1 gene:itb10g19240 transcript:itb10g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVSSLLSFFILAMAFILSALATNITTDQSSLLSLKSSTSLSHDHTLANNWSISASVCNWIGVVCGSKHHRVVALDISNMGIVGTLPPQLGNLSFLVSLNISHNSFYGNLPRELANLRRLQYLDFGYNNFSGKIPEEIGNLENAKWLILKVNQLDGPIPFTIFNISTLQNLVLSNNSLSSSLPMKLCQHATRLKVLNLSCNKLRGDIPKNLSGCSELENLQLDYNNFVGTIPRQIESLNMLQILRLDSNKLEGIIPETIFNISTLIVISMGNNSLSGILPPNMCSHFQNLEELKLYTNKLYGNIPRGIGECSKLKYIYMWENQLNGLLPREIENLTMLKRVDFGDNSFSGEIPRELGNLDKLELLVLNDNGLSGSIPWGIFNISTLEVLVLSFNEFSGTLPTSGYWLPNLKQLYLCKTYIGGVIPPQISNASNLALIELGDNQFKGFIPNSLGNLAQLNYLSLVENNLTTDLQFSLMTSLANSRYIRYLDISSNPLNVVLPNAIGNLSTTLQVFRVANSNIRGRIPPEIGNLTGLYKLSLWSNDIIGFLPTTIQALQSLQAFIISGNRLIGSFPDVICELKNLFWIELGRNKFSGPISNCLGNISFLGRIFLYENEFTVFPPTLWSIKHLLILDLHSNNLSSSLPQEIGNAKMAITIDLSNNKLFGEIPSSIGGLTELMNFSLAHNTIQGSIPDTFGKLLDLHLLDLSDNKISGMIPKSLEGLVSMNYFNVSYNKLTN >itb04g03020.t1 pep chromosome:ASM357664v1:4:1866498:1871246:1 gene:itb04g03020 transcript:itb04g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLDNEDTVRRCKERRRLMKEAVYARHHLAAAHSDYCRSLKLTGSALISFAAGETLSVSEETPAVFIRTLSSASTFKPPPPPPPPPEAAAAARIPSPSPSIYQPPPPHYSVASQQRQPQVHRKKPVKLPHILSESSFPATPRNHNFFENENYTYDAKANSTYSSTPSQASSVWNWENFYPPSPPSSEYFEQLHKNNASSRDHHLTDDNDEFDDKSSSYSQFSTAIPRGKDRNASFKRFDFFDNQSVNDDKASVYSSHSHYSNANLRNQTQSRQNHDLHRRSNWDSEAEREEVQCSEWEDHDHYSTTSSSSSDVDEEHEDKGELRSEAGESQSNFRSGSVKNVEAAAAAATKLRSDSKNYSVPKLDKLSEGGSSSMSWGNGTAKEDLVSDRRIVVRHKDLAEIVAAIKEYFDKAAAAGEQVSEMLETGRAQLDRSFKQMKKTVYHSSGILSNLSSSWTSKPPLAVKYKFEPSSIHEPGGPKSLCSTLERLLAWEKKLYQEVKAREGVKIEHEKKLTTLQSQEYRGGEEAKLDKTKAAINRLQSLIVVTSQAVTTTSSAIIGLRESDLIPQLVELCHGFMYMWRSMNQFHDVQADIVQQVRGLVNRATKGQSTSDLHRQATRDLESAVSAWHSSFCRLIKFQRDFIRSLHGWFKLTLLPIDTVPNNGTKDPSEAFSFCDEWKLALERVPDTVASEAIKSFINVVHSVFCKQTEELKIKKRTESASKELEKKASSIRSLEKKYYNSYSTVGISLPDTDTGHALDARDPLAEKKAELASCQRRVEDEMLKHSKAVEVTRAMTLNNIQTGLPGVFQAMTSFSALFTEALEAVCTRSYSIK >itb08g08700.t1 pep chromosome:ASM357664v1:8:7689374:7691807:-1 gene:itb08g08700 transcript:itb08g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRKLGLIWWLLVLSEGNATIVEDDKQALLEFVKELPHSRALNWDERVPVCKNWTGVSCNGDGSRVIALRLPGVGFNGPIPNNTLSRLSALQILSLRSNGISGNFPPDFGNLKNLSCLYLQHNNLSGPLPSDFSVWKNLTSVNLSNNGFNGSIPYSISGLTRLASLNLANNSISGEIPDLHLPRLQNLNLSNNDLTGFVPKSLQRFPKSVFLGNDVSFLDFSVSSPPIVSLPPQHNTGKLSEKALLGIVASGSVIAILGFGFVFLVCCLRRKRDVGFLGKLEKGRIMSPEKAISRGQGANNSLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMVYKAILEDATTVVVKRLKEVGVGMKEFEQQMEVVGSIKHENVIELRAYYYSKEEKLIVCDFFSQGSVAAMLHGNRGENMVPLDWETRVKIGIGAARGIAGIHGANGGKMVHGNIKSSNIFLNSRRYGCVADVGLSAIMGSLPPPLARAAGYRAPEVMDTRKATQASDVFSFGVLLLELLTGKSPVHTTSGDEIIHLVRWVHSVVREEWTAEVFDVELLRSPNIEEEMVEMLQIALSCVVRMPDQRPKIGEVVKMIEGVRLIDGDNSHQLCEAKSETPTSRTSPSLLDSDY >itb07g03400.t1 pep chromosome:ASM357664v1:7:2280919:2284881:1 gene:itb07g03400 transcript:itb07g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSAPSPIAGMVRNEEETLKFDGFLSRMRNPAAFDLHRSIKGFTVSFPYYMDNSESDGERLQDFLLTMESKIRSHPLWAGATDNEIDCAVEGLEKFVTTKLYSQAFASSPDDAKIDEEITEKICLLQQFMKPKHLDIPVVLQDEALCMLAANELKKIDDFKAPREKLLCILKCCKVINNLLLNVSATHDTLHGADNFLPSLIYATIKANPPRLHSNLKFIQLYRRQAKLVSEAAYYFMSLASTKSFIFELDEKSISMDETEFLENMKTAKLSTRQSRMELCCPWDDSTSFQLLARESGKQIGEAVALECQTDLWKSDSSGESRYPFMETEAGELAIEDVEKLLQAYKDVVKQNAALRRAVRDLSALKKEHL >itb07g02540.t1 pep chromosome:ASM357664v1:7:1649639:1650232:1 gene:itb07g02540 transcript:itb07g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCLCYCVPWDDMSLGQVVGIVIFYDSSAIYSLRFIYVNDNIYKMSTHGEIRENCQMIILDYPTEFLTSVNGCRTDISVVKCITFVTNKATYGPFGDKFVHNSDRKFGCHQGGKEKHWIRGFYGTLCESSIGSLGVIIQTQKTVTQPESSQPVTVKSEGQQK >itb04g11810.t1 pep chromosome:ASM357664v1:4:11430313:11435742:-1 gene:itb04g11810 transcript:itb04g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMLMIKQTNRHLLFISFTLLLLPLACFSRSHVRRVLLSHEADSYAVIFDAGSTGSRVHVFRFNQNLDLLPIGDDIEFYLKTTPGLSSYADDPEAAAKSLEPLLLEAEGVVPTELQPDTPLELGATAGLRMLNGNAADEILQAVRDMLKNESNFKYKAEWVSILNGTQEGSYFWVALNYLLGNLGKDYQKTIATIDLGGGSVQMSYAISRDTFVDAPIPDNGEEPYVQEKYLLGANYYLYVHSYLNYGLLAGRVEVLKRSTNSTNACILEGYDGYYTYNGVSYKAKAPPSGTSLKNCRKLARKVVDFRAPCKYQNCTFNGVWSGGGGAGMKNVYISSYFYDIASQVGIVDPSTTPSKIVKPSAYLEAAKVVCATNYNDMKSTFPNAIEDFYPYYCLDLVYQYTLLVDGFGVHPRKEITVITEVEYKNYMVGAAWPLGCAIDVISSSANKLLSKY >itb07g02210.t1 pep chromosome:ASM357664v1:7:1359325:1362074:1 gene:itb07g02210 transcript:itb07g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMYQHPTVAQKVAYQLHLSSNVFQDAQTRYGGMQRPVLNQRHFAYGNYSAAGQYPMTQSCKATQDLSLITANASPVFVQAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFSRTIKDEGFVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGLYDSVKPVVLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFAQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >itb12g21510.t1 pep chromosome:ASM357664v1:12:23845535:23848565:-1 gene:itb12g21510 transcript:itb12g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPCFVYFLCLIIISLSTNFPEVVDGARRHKHTPTKLFVFGDSYVDTGNWPRQDCSSWKDPYGITFPGEPTGRFSDGRVLTDYIASYLGIRSPVPYRWRKFGGKIEGDGMNFAYGGTGVFSTMVSKPNMTTQINFFQQMVEENVYTKRDLSSSIALVSVAGNDYATVAKSGNIQDIEAFTEKIIQQLTLDLRRLHGLGVRKVGVTAIEPLGCLPQFTASTSYQNCSEVENSLSRFHNQMLKQSIDKLNNETAGRSLQPFVVLDLYTAFMSALNIHENHPGNSSFENPLTPCCVGKSNQFGCGGVDKNGRKDYVLCDDAKQSFFWDVIHPSQQGWFSVHLALNSSLHKLV >itb09g15140.t1 pep chromosome:ASM357664v1:9:10385805:10387576:1 gene:itb09g15140 transcript:itb09g15140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTKMEAMKPVLLKAGIPLAVTVAGFIIARITQRKGSVSQASCSQRHENSPENEPAGICRDEGGLPGLDSETESGNHALADSQSKNTGKLQEEMTSLKGRIEDSQGRERELETRFDYYNLLKEQEMLLMEQENRSLMEITKIEILEREISVLQGESQRFEKMVAEYLKTIELLECSRSENVLLHRRVKRLQKKTKHLSHAVNPKTLQLKAKEAEISRNQSELKNKDDTINKIEEDFRQNDAEMVTMDDYKELVHELEKLHESKAAEEKELVYLRWCNACLRHELTRTNQEDENQSEMNNNGDSGEIADFASDSELRSSSVGHHESCLGFPIGGHSHHDHSKRKKLVQKFKKWSEKMKHKLDEKEKHDTKCSANHSYSATAGDLILPARNSFSSA >itb09g15140.t2 pep chromosome:ASM357664v1:9:10385805:10387576:1 gene:itb09g15140 transcript:itb09g15140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSTKMEAMKPVLLKAGIPLAVTVAGFIIARITQRKGSVSQASCSQRHENSPENEPAGICRDEGGLPGLDSETESGNHALADSQSKNTGKLQEEMTSLKGRIEDSQGRERELETRFDYYNLLKEQEMLLMEQENRSLMEITKIEILEREISVLQGESQRFEKMVAEYLKTIELLECSRSENVLLHRRVKRLQKKTKHLSHAVNPKTLQLKAKEAEISRNQSELKNKDDTINKIEEDFRQVKTALEKLQHERDELLNKLEMIRKTDSYKNDAEMVTMDDYKELVHELEKLHESKAAEEKELVYLRWCNACLRHELTRTNQEDENQSEMNNNGDSGEIADFASDSELRSSSVGHHESCLGFPIGGHSHHDHSKRKKLVQKFKKWSEKMKHKLDEKEKHDTKCSANHSYSATAGDLILPARNSFSSA >itb10g19150.t1 pep chromosome:ASM357664v1:10:24900825:24901592:-1 gene:itb10g19150 transcript:itb10g19150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLRRRVASRRKLNILQTLSKSKSVKKSNIIMHVLTYILKMKLHIQAITSQYHCKNIQKVKVDAVGSNRLVVKVRCLKGEGLLVRILEAMEEMNLRVLQARASSQLSFFAMDAILEAHQNHFSADAITQAILKAIDDQKSYETHK >itb04g20200.t1 pep chromosome:ASM357664v1:4:24827378:24828470:1 gene:itb04g20200 transcript:itb04g20200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLTSPTSVPYPAFLSPPLSICQPSTVHRNTPPCPLSLNPFKKPLQFWVCSNSFCLVFEMEVPVPIIKICLLASLVILSAASGDEWAGSKYQIECTMCSACDNPCTTPSPPPPSPPPPSTLNCPPPPSGGTNYYSPPPPPPSTTNYPPYTPPSGGGVGYYFPPPYKNYPSGGPTPPPPNPIVPYFPFYFYNPPPSSPSSSAATQSETSVPFLITLLLSFFFFF >itb09g20190.t1 pep chromosome:ASM357664v1:9:16890093:16892156:-1 gene:itb09g20190 transcript:itb09g20190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALVQSRPPFKPSTTFPVAVLESSDGGGRLATRDSTPKSPTPATNDDEPLATLTKTARFVGGIFDTAALSVAAWELEVAAFGIGTTGLRCGGGVHILDSRLRRIGHGSLHSCFALCVCEAEAFSGGAPVAACGSDGMAMEVDAVRQVGGAVATVWAAMTRTGFSVSAAESTSSSGSFQGTSEQFFAKTKSNLDSFTVKSSIKEGLRAKVRPILAQSDGLKSEIP >itb04g32230.t1 pep chromosome:ASM357664v1:4:34880731:34892830:-1 gene:itb04g32230 transcript:itb04g32230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDASIASLLEKLKVEDPYFPPRPWESIPSESGLPSHSSLHSQHSSTHIFSTSGVSESSLVRLALNALQGVESALISIGELSYLFCSESADRSFHCIPSLWTRSSSTLALGNLLKSIGRFGCIIFLIHKFVSYFTSSSADCKSGPDEILGNNSNVAGSCQLKNHTLVNQAFAVAVGKVLEGYISALNSLLSSVSLRRSSKATDGGCLTSVGNSEITVLEVYLHTMGLRAQMEALGNICNLCDLALSFPQLPFKDLMAKADLEIHNFPRSGALITFLYLQLKAADPAHCALLKFLFLRSWEPYCGFIRSWIYEGRINDPFKEFVVELFVDLPDHAVDVTGTCNEFPIASVKVRDGVAVPIFLEDFLVPLFRAGQQLQVIMKLLELSNNIGAINGSYEEFLPGCNGFSSKYPSFSSSLTFDKGTIEAIVLARNSFYLQLLEKIDNIFSKFEFRTQGILLYGVQAIHANCGRSQKSSELIALDDNLIMPPSEIKTQELSDGASEVSITDDEFSYAEDVMELSESSSLENFEEQSEPEDLTHITDKRLEPSYLSALSFFTNDSLQKLPQDEISCNSKPFLGEKCERKDPTVEEQSLLLAPEAKGTEIGCQLSDHILKNPFNIDSRNNGNFSFLTIIHKLKVSGDSQMTEILDCGESILHKSASVQKADSKHQYQDDTFQNSSSFSSWRMYHSNFFNMNPSLTKSSLFKPKSSTGERWHQKEPLSCFDFTSVRDPCKLYTEKLDYRTTQHFGAELSVLTDSTGTTAISTSDLFDQESHDHKNSEKEGKLSYASPVSSKTNAREVSSLANTNGGSGWVSLLGCSNITTNAAARYHGSSLVTVNEIPLDYILKKCLLDEILLQYKYLSKLTIKLFEKGFELQEHLLSLRRYHLMEVADWADLFITSLLRHKWYVLEAEKRISDIQGVLELSVQRSSCEGDLNRDRLYVYMKDAMATGPFHGIHSFDFLGLGYRVDWPISIILTPGALKIYSEIFSFLIQVKLALISLSDAWSSLKNHTELCKKNCHFEAQDMKSDQISILTKTRHQLNHFVSAVQQYVQSQLSHVSWCRFMHSLKHKVRDMMDFELVHMSYLNESRHICFLSEETQPIAHNIQQILQSAVDFRSSLAGNIFKSGLNEKEGRVDLHQTDMSQVLSIRNTFMKSVNDLYQCYLKSPKHDDFGLSHLWGYINYNEYYSDVIGQPMGLGSFPI >itb00g00540.t1 pep chromosome:ASM357664v1:16:4272367:4273246:-1 gene:itb00g00540 transcript:itb00g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRGRLEPKKTWFHTYETGRRYGTSSFLKSEFDPIVSSSLFDKFTVERDASRLGEVVAPRNQIQSYSSPILSGAQWSARDLRPQAKKEGQEQEVVLSQPSSSATTTVVVANGDPPFRKVTRPAVRSKVVYHCYGADRFTTSAPLSDIAG >itb04g13250.t1 pep chromosome:ASM357664v1:4:13304345:13311646:1 gene:itb04g13250 transcript:itb04g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNSVSSNKTQSRFPLQEEYLQRKNSSENLDRFIPNRSAMDFDYAHYMVTKGKENPATSSPSREAYRKQLAEALNMNRTRILAFKNKPPTPVKGIPNEFSSAAYQAKPTKPKRHISQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELFTIDDENGPVTSVKWAPDGRHIAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIVETYRGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTKWLHRFEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACVNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb13g12580.t1 pep chromosome:ASM357664v1:13:18818861:18819655:1 gene:itb13g12580 transcript:itb13g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVMPVAILKRRCSGRFGYRPLSEDAFCSSPVTVVVGKERREFLVDPFGWEESPLRMMIEMLKKADGEKVHGSNHNKLIFVDVDAILFEHMLWLMQNDCSSLFQLNLKEIIDFYADQES >itb11g09800.t1 pep chromosome:ASM357664v1:11:6650155:6653821:-1 gene:itb11g09800 transcript:itb11g09800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSGKRVSSSRSHEPSAAPKPSLSGAGETNENVLTQDQMNSKLHNHKKPLNRHFRSPPMSEASKTAVRGKNILGERNGADSNSGRSSLGISSQSCASGSNDDEQNSSFVADSSSNQYDPLTNYLSPRPKYLRYKPNRRREILLHLENRDGEGNDGLGVERIISVETKEFVGDEGSMGHAKESSPLTPREVNVQPENDEILKKGDGGDDSCLPSQEGNAEPENDKIPENEDADDDNDEAVDSEEEDEDDVEEEEEYFEDNGRNFNWLLKLLLLMVIVFLSPLFASLMDYPLSSPTELGVVPLDVNRSISDSHNKIEASTSSFWELDQGDEVEFVEDEEIFSGTSIELVEVAGTANGLSEGSDQELEKEHTETDMVDDKEAEAFDHLAILEPIENKLEAVSTVENEDFGLLKKHKAFDKTDDSREDHENLEISESLAIPNAPSEDENFATVIQEFDQMNDENEVLLVEPTSKASLGETVPETQMERDEDTDDKISVPGCMAAAYSEESDSSNSDGAEKSIYLKLQQIGPTPAALAIASILSLILAYAISIYSTRKARSSRKHSNPVVEPPQQSNRAEILTAVIPNVEIAHVEDDDVDGKRESIAKVSSSSFYPIEETPKDHHPRCTPKLFPQLEISSRLEMAAHDTTQLHNVYSTEASYFSRSVRDSSVEFSHTKSLGVELLGELVIGEVSSSLRTHARKTRLPETEESSSNSFSQVSGSRLPPLQPSSAFWDSQTSESSYGKKLVKKEGGKDGEAKKIITTPVRRSSRIRSRGTVMSP >itb11g09800.t2 pep chromosome:ASM357664v1:11:6650155:6653821:-1 gene:itb11g09800 transcript:itb11g09800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSGKRVSSSRSHEPSAAPKPSLSGAGETNENVLTQDQMNSKLHNHKKPLNRHFRSPPMSEASKTAVRGKNILGERNGADSNSGRSSLGISSQSCASGSNDDEQNSSFVADSSSNQYDPLTNYLSPRPKYLRYKPNRRREILLHLENRDGEGNDGLGVERIISVETKEFVGDEGSMGHAKESSPLTPREVNVQPENDEILKKGDGGDDSCLPSQEGNAEPENDKIPENEDADDDNDEAVDSEEEDEDDVEEEEEYFEDNGRNFNWLLKLLLLMVIVFLSPLFASLMDYPLSSPTELGVVPLDVNRSISDSHNKIEASTSSFWELDQGDEVEFVEDEEIFSGTSIELVEVAGTANGLSEGSDQELEKEHTETDMVDDKEAEAFDHLAILEPIENKLEAVSTVENEDFGLLKKHKAFDKTDDSREDHENLEISESLAIPNAPSEDENFATVIQEFDQMNDENEVLLVEPTSKASLGETVPETQMERDEDTDDKISVPGCMAAAYSEESDSSNSDGAEKSIYLKLQQIGPTPAALAIASILSLILAYAISIYSTRKARSSRKHSNPVVEPPQQSNRAEILTAVIPNVEIAHVEDDDVDGKRESIAKVSSSSFYPIEETPKDHHPRCTPKLFPQLEISSRLEMAAHDTTQLHNVYSTEASYFSRSVRDSSVEFSHTKSLGVELLGELVIGEVSSSLRTHARKTRLPETEESSSNSFSQVSGSRLPPLQPSSAFWDSQTSESSYGKKLVKKEVNFMSEVHTFSSQFSLLNFYFGF >itb05g18580.t1 pep chromosome:ASM357664v1:5:25326717:25328517:-1 gene:itb05g18580 transcript:itb05g18580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g37300/C7A10_60 [Source:Projected from Arabidopsis thaliana (AT4G37300) UniProtKB/TrEMBL;Acc:O23157] MVGRRVVSKPSRSDEILDPDQQLQIAGQVRAQFDALAPKRPAKPNRSEPDSTLPMPAGDIPIPELEKLQSLQSQGVFSETDKAEQEEFVETHYYDELVSIDKLHHTTGTGFIKVVREGDENGFGFQLNNGQENGSNGFKAAVFKSNPATNDWIPSVEDQVQFSSSKPNRSEGD >itb09g29740.t2 pep chromosome:ASM357664v1:9:30476711:30479744:-1 gene:itb09g29740 transcript:itb09g29740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRMIIANHPNVCVPLISTCENLFINARSHYSSTCHFQRHLHNSSKSVSLPAKECFKAFVLNFMPVSFYSSDAIQRLRGPPSRSLRRRMRKRALAAAKPVLDESRVQRAISRLPPRFTPEELCNVMNVEEDPLVCLELFNWASTQHRFRHDASTFHITIQRLGAVKMYQEMDDVVNQVLAVPSIGSEALYNTMIYYFTEARKLTRAVNIFKHMQNSKKLDCRPSIRTYNILFAALLSKGKNSYISHLYMETIRSLFKQMISDGVEPDIFSLNSMIKGYVLSLHVNDALRIFHQMGVVYKCHPNSFSYDYLIHGLCAQGRTKNATELCDEMKRKGFIPSSKSYNSLVNSLALGGEVENAVKLLWEMNDNHQSVDFITYKTVADEICRQQSVHNAMSFLKELHDKDLIDGHTYKKLSYELEDNYPNSQDKRGRGKLL >itb09g29740.t1 pep chromosome:ASM357664v1:9:30475264:30479744:-1 gene:itb09g29740 transcript:itb09g29740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRMIIANHPNVCVPLISTCENLFINARSHYSSTCHFQRHLHNSSKSVSLPAKECFKAFVLNFMPVSFYSSDAIQRLRGPPSRSLRRRMRKRALAAAKPVLDESRVQRAISRLPPRFTPEELCNVMNVEEDPLVCLELFNWASTQHRFRHDASTFHITIQRLGAVKMYQEMDDVVNQVLAVPSIGSEALYNTMIYYFTEARKLTRAVNIFKHMQNSKKLDCRPSIRTYNILFAALLSKGKNSYISHLYMETIRSLFKQMISDGVEPDIFSLNSMIKGYVLSLHVNDALRIFHQMGVVYKCHPNSFSYDYLIHGLCAQGRTKNATELCDEMKRKGFIPSSKSYNSLVNSLALGGEVENAVKLLWEMNDNHQSVDFITYKTVADEICRQQSVHNAMSFLKELHDKDLIDGHTYKKLSYELEDNYPNSQDKRGRGKLL >itb01g06820.t4 pep chromosome:ASM357664v1:1:5043567:5055697:1 gene:itb01g06820 transcript:itb01g06820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMFIILIPIILSFNPLFVEAQSGHLPPDELNALKEIAKQLGKNDWDFSLNPCDNNSNWMTSNNTYMPPLYNNTLICNCSFPGGICHVQTIILKGQDLQGVLPPSLVKLPFLKTIILSANNLTGELPKQFYALNLTELLSHNNFTGKLPSFQTFKSLKQLEVQASGFEGPIPQNISGLTSLTELRISELNGGVSHFPILNDMTKMEMLMLRRCNISGKIPDLAHMAGLKHLDLSFNNLEGEIDGLQALGDQSTGLGHLQYMYLTNNSFSGQIPQWTINRDSRYFTDLSYNNFEGSSVPHACSSETVNLFKSYNGGGENEKFVKCLMNCTKDWYSFHINCGGSNVLIGDTTYDADQDSSGPAKLLSNKENWVTSNTGYFWDRNVILSDYTTTNTSVIKGKDSEIYQTARLSPLSLTYYGRCLANGKYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGQRKLKDFDIKTEAQGVDKALIKQFQAIVKDKTLEVRFEYADKGTTAAPHRGVYGPLISAISVESDFKPPRNRKTLITAVAVASFLFLIFSILCIASWKIYIRKKTSQEKDGTTIAVKQLSSKSKQGYREFLNEIGMVACLQHPNLVKLYGCCVERKPLLLIYEYLENNSLAHALFGPEDCQLKTDWSIRYRICVGIAKGLAFLHEESEIKIIHRDIKANNVLLDKDLNPKISDFGLAKPDDENTHITTRVAGTVGYMAPEYALWGYLTFKADVYSFGIVTLEILAGKNNVKRHSNENYFCLLDWALVLQRKGNLMELIDSRLGSNFDKDQALRMVKVALLCTNTSHVLRPSMSAVVSMLEGRDDILEYDPNLDEFNFQAMKDHYDEMAIRSMPNSEKLGEIIYSIPTGNKFLSPFLSFSSSPQSSVPERREAETLSSSSFTLCTKEAVATWIREAEATAPTAWLSSDAARLRRNSAPLRRGSPPTELYPPPAWLSFDAACLRRNSAPSDAALLRRNFALLRRGSPPTVIRRSVHSQDGFTKVVCWIVPITSI >itb01g06820.t3 pep chromosome:ASM357664v1:1:5043567:5055697:1 gene:itb01g06820 transcript:itb01g06820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMFIILIPIILSFNPLFVEAQSGHLPPDELNALKEIAKQLGKNDWDFSLNPCDNNSNWMTSNNTYMPPLYNNTLICNCSFPGGICHVQTIILKGQDLQGVLPPSLVKLPFLKTIILSANNLTGELPKQFYALNLTELRLSHNNFTGKLPSFQTFKSLKQLEVQASGFEGPIPQNISGLTSLTELRISELNGGVSHFPILNDMTKMEMLMLRRCNISGKIPDLAHMAGLKHLDLSFNNLEGEIDGLQALGDQSTGLGHLQYMYLTNNSFSGQIPQWTINRDSRYFTDLSYNNFEGSSVPHACSSETVNLFKSYNGGGENEKFVKCLMNCTKDWYSFHINCGGSNVLIGDTTYDADQDSSGPAKLLSNKENWVTSNTGYFWDRNVILSDYTTTNTSVIKGKDSEIYQTARLSPLSLTYYGRCLANGKYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGQRKLKDFDIKTEAQGVDKALIKQFQAIVKDKTLEVRFEYADKGTTAAPHRGVYGPLISAISVESDFKPPRNRKTLITAVAVASFLFLIFSILCIASWKIYIRKKTSQEKDGTTIAVKQLSSKSKQGYREFLNEIGMVACLQHPNLVKLYGCCVERKPLLLIYEYLENNSLAHALFGPEDCQLKTDWSIRYRICVGIAKGLAFLHEESEIKIIHRDIKANNVLLDKDLNPKISDFGLAKPDDENTHITTRVAGTVGYMAPEYALWGYLTFKADVYSFGIVTLEILAGKNNVKRHSNENYFCLLDWALVLQRKGNLMELIDSRLGSNFDKDQALRMVKVALLCTNTSHVLRPSMSAVVSMLEGRDDILEYDPNLDEFNFQAMKDHYDEMAIRSMPNSEKLGEIIYSIPTGNKFLSPFLSFSSSPQSSVPERREAETLSSSSFTLCTKEAVATWIREAEATAPTAWLSSDAARLRRNSAPLRRGSPPTELYPPPAWLSFDAACLRRNSAPSDAALLRRNFALLRRGSPPTVIRRSVHSQDGFTKVVCWIVPITSI >itb01g06820.t2 pep chromosome:ASM357664v1:1:5043567:5052489:1 gene:itb01g06820 transcript:itb01g06820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMFIILIPIILSFNPLFVEAQSGHLPPDELNALKEIAKQLGKNDWDFSLNPCDNNSNWMTSNNTYMPPLYNNTLICNCSFPGGICHVQTIILKGQDLQGVLPPSLVKLPFLKTIILSANNLTGELPKQFYALNLTELRLSHNNFTGKLPSFQTFKSLKQLEVQASGFEGPIPQNISGLTSLTELRISELNGGVSHFPILNDMTKMEMLMLRRCNISGKIPDLAHMAGLKHLDLSFNNLEGEIDGLQALGDQSTGLGHLQYMYLTNNSFSGQIPQWTINRDSRYFTDLSYNNFEGSSVPHACSSETVNLFKSYNGGGENEKFVKCLMNCTKDWYSFHINCGGSNVLIGDTTYDADQDSSGPAKLLSNKENWVTSNTGYFWDRNVILSDYTTTNTSVIKGKDSEIYQTARLSPLSLTYYGRCLANGKYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGQRKLKDFDIKTEAQGVDKALIKQFQAIVKDKTLEVRFEYADKGTTAAPHRGVYGPLISAISVESDFKPPRNRKTLITAVAVASFLFLIFSILCIASWKIYIRKKTSQEKELRGLDLQISLFTFREIKEATNNFDAANKIGEGGFGPVYKGTLLDGTTIAVKQLSSKSKQGYREFLNEIGMVACLQHPNLVKLYGCCVERKPLLLIYEYLENNSLAHALFGKFEIILIIRLATTSSHN >itb01g06820.t1 pep chromosome:ASM357664v1:1:5043567:5054286:1 gene:itb01g06820 transcript:itb01g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMFIILIPIILSFNPLFVEAQSGHLPPDELNALKEIAKQLGKNDWDFSLNPCDNNSNWMTSNNTYMPPLYNNTLICNCSFPGGICHVQTIILKGQDLQGVLPPSLVKLPFLKTIILSANNLTGELPKQFYALNLTELRLSHNNFTGKLPSFQTFKSLKQLEVQASGFEGPIPQNISGLTSLTELRISELNGGVSHFPILNDMTKMEMLMLRRCNISGKIPDLAHMAGLKHLDLSFNNLEGEIDGLQALGDQSTGLGHLQYMYLTNNSFSGQIPQWTINRDSRYFTDLSYNNFEGSSVPHACSSETVNLFKSYNGGGENEKFVKCLMNCTKDWYSFHINCGGSNVLIGDTTYDADQDSSGPAKLLSNKENWVTSNTGYFWDRNVILSDYTTTNTSVIKGKDSEIYQTARLSPLSLTYYGRCLANGKYTVKLHFAEIVLRENRSFQSLGRRIFDVYIQGQRKLKDFDIKTEAQGVDKALIKQFQAIVKDKTLEVRFEYADKGTTAAPHRGVYGPLISAISVESDFKPPRNRKTLITAVAVASFLFLIFSILCIASWKIYIRKKTSQEKELRGLDLQISLFTFREIKEATNNFDAANKIGEGGFGPVYKGTLLDGTTIAVKQLSSKSKQGYREFLNEIGMVACLQHPNLVKLYGCCVERKPLLLIYEYLENNSLAHALFGPEDCQLKTDWSIRYRICVGIAKGLAFLHEESEIKIIHRDIKANNVLLDKDLNPKISDFGLAKPDDENTHITTRVAGTVGYMAPEYALWGYLTFKADVYSFGIVTLEILAGKNNVKRHSNENYFCLLDWALVLQRKGNLMELIDSRLGSNFDKDQALRMVKVALLCTNTSHVLRPSMSAVVSMLEGRDDILEYDPNLDEFNFQAMKDHYDEMAIRSSDSPNKVDFSS >itb02g07200.t1 pep chromosome:ASM357664v1:2:4464894:4465894:1 gene:itb02g07200 transcript:itb02g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICELCKGVARMYCESDQANLCWSCDSKVHSANFLVERHSRRLLCHVCQSPTAWSASGSRLGSMVSTCERCFGSGARSGHEAEVSRQENRAEDEFEDEDEDEDDDEVEEEDDDDEIDSEDIQVVPWSSTPPPPPASSSSDDSLLTEREVSGKRLRENDTDLQYEDNRRNLSSQLSLRTPSESSAAFRGLHVLNDETCMLDSGRPAKLRRTTDPIGTGRVERSESRSSLIVDAKGRFHREEVQSSRDLAEFCRLSDDPTALDLDCSETS >itb01g02030.t1 pep chromosome:ASM357664v1:1:1272052:1272874:-1 gene:itb01g02030 transcript:itb01g02030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALFFLLSLYLLPNPAHSKFNPIRLRPAHETASSETPVLDINGDEVRAGENYYMVSAIWGAGGGGLRLVRLDSSSNECASDVIVSRSDFDNGDPITITPAADPEATVVMPSTYQTFRFNIATNKLCVNNVNWGIKHDSESGQYFVKAGEFVSDNSNQFKIEVVNDNLNAYKISYCQFGTEKCFNVGRYYDPLTRATRLALSNTPFVFVIKPTDM >itb09g25470.t1 pep chromosome:ASM357664v1:9:25508519:25515200:-1 gene:itb09g25470 transcript:itb09g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWQIHSDAGNTFRWQMSDQQPSSSGVLAPMPPLDSHCLPSMADLLRRQGCAKLMENSNGNSASEKVSIFLDGSEKPMAVKQSSIAKALSILRDEEGENGREESGTGFQNLMLPTCSDNAINQSKPAFQNSSGKAANMSNSMFQTGSGKSVTISPAGLVRARKLLNLEETETFQDLEQANKLSASADDPFGWQNSFPLRRTEESNSGGVNPNVFHFSPKPHAIKFQTAGGRSISVSSDALQRAKSLLGDTEHSNFLDEKDAASPLFSFSDNRKSNSNLSINKSKPCTPSYQCSANGKSSSSRFTSPLKSTLQKRPLSASLENMHPANNLIKHFDAVADDSAIQSYNGIPRHRHHLQQKSNMLPISFTEKDIASSPTPLERSSIRVLTDISNTIAFGHTDGKQNFGGARRLGRMNSVSPFKRPRVAKFTPPLNKNMSVIPNAGCTLIGMSNLAPKESSFKQRVSDRYPFEVVRLYMKEYVGEPPCLQTKLVNVGDQVRTMNPATAETYVFHDESGRGVLGIEAFYRMLSHFGASEQYFSKVWAANHYKWIVWKLGCYERCFPAKFSGKLLTFSNVLEELKYRYEREVNYGHRSAIKRILEGDAPPSSMMVLCISSIDPVCDSKVRPQDHPILPVGVERTSKIELTDGWYSITALLDGILSKMLAAKKLFLGQKLRIWGASLCGWVGPVSPLEVSKETSLVLHINGTYRAHWADRLGFCKGRGVPLAFNSIKDSGGAVPITLVGISRIYPVLYRERLSNGGFIVRNERMEAKAINQYNQRRSIVAEGIASEIQNTNIDILIGNDYESEEGAKLLKILETAAEPDILMAEMTFEQLNSFSSYKAKLEAKKQSHTQKSLDRALEAAGLKTRDVTPFMRVRVVGLTSKSTRQGHSTRDGLITIWNPTEEQKVELTEGQAYAVSGLVALSSDSNTLHLQTRGSTTKWQPLSSSGIQHFWPFFSPRRPNSLSSLGEVPLSSEFDIVALVIYVGELHTVARQKKQWVFVTDGTQTALDNSLLAISFSSVNTENESFAPEHSYPEGTVVSFCNLIKRDRDRTNHLWVAEGTETSTYHLSYDHPHCSHLKRSVSFLKNWVKTSGSRIEQLKGKVISIISNSVA >itb09g25470.t3 pep chromosome:ASM357664v1:9:25508519:25515200:-1 gene:itb09g25470 transcript:itb09g25470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWQIHSDAGNTFRWQMSDQQPSSSGVLAPMPPLDSHCLPSMADLLRRQGCAKLMENSNGNSASEKVSIFLDGSEKPMAVKQSSIAKALSILRDEEGENGREESGTGFQNLMLPTCSDNAINQSKPAFQNSSGKAANMSNSMFQTGSGKSVTISPAGLVRARKLLNLEETETFQDLEQANKLSASADDPFGWQNSFPLRRTEESNSGGVNPNVFHFSPKPHAIKFQTAGGRSISVSSDALQRAKSLLGDTEHSNFLDEKDAASPLFSFSDNRKSNSNLSINKSKPCTPSYQCSANGKSSSSRFTSPLKSTLQKRPLSASLENMHPANNLIKHFDAVADDSAIQSYNGIPRHRHHLQQKSNMLPISFTEKDIASSPTPLERSSIRVLTDISNTIAFGHTDGKQNFGGARRLGRMNSVSPFKRPRVAKFTPPLNKNMSVIPNGMSNLAPKESSFKQRVSDRYPFEVVRLYMKEYVGEPPCLQTKLVNVGDQVRTMNPATAETYVFHDESGRGVLGIEAFYRMLSHFGASEQYFSKVWAANHYKWIVWKLGCYERCFPAKFSGKLLTFSNVLEELKYRYEREVNYGHRSAIKRILEGDAPPSSMMVLCISSIDPVCDSKVRPQDHPILPVGVERTSKIELTDGWYSITALLDGILSKMLAAKKLFLGQKLRIWGASLCGWVGPVSPLEVSKETSLVLHINGTYRAHWADRLGFCKGRGVPLAFNSIKDSGGAVPITLVGISRIYPVLYRERLSNGGFIVRNERMEAKAINQYNQRRSIVAEGIASEIQNTNIDILIGNDYESEEGAKLLKILETAAEPDILMAEMTFEQLNSFSSYKAKLEAKKQSHTQKSLDRALEAAGLKTRDVTPFMRVRVVGLTSKSTRQGHSTRDGLITIWNPTEEQKVELTEGQAYAVSGLVALSSDSNTLHLQTRGSTTKWQPLSSSGIQHFWPFFSPRRPNSLSSLGEVPLSSEFDIVALVIYVGELHTVARQKKQWVFVTDGTQTALDNSLLAISFSSVNTENESFAPEHSYPEGTVVSFCNLIKRDRDRTNHLWVAEGTETSTYHLSYDHPHCSHLKRSVSFLKNWVKTSGSRIEQLKGKVISIISNSVA >itb09g25470.t2 pep chromosome:ASM357664v1:9:25508519:25515200:-1 gene:itb09g25470 transcript:itb09g25470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWQIHSDAGNTFRWQMSDQQPSSSGVLAPMPPLDSHCLPSMADLLRRQGCAKLMENSNGNSASEKVSIFLDGSEKPMAVKQSSIAKALSILRDEEGENGREESGTGFQNLMLPTCSDNAINQSKPAFQNSSGKAANMSNSMFQTGSGKSVTISPAGLVRARKLLNLEETETFQDLEQANKLSASADDPFGWQNSFPLRRTEESNSGGVNPNVFHFSPKPHAIKFQTAGGRSISVSSDALQRAKSLLGDTEHSNFLDEKDAASPLFSFSDNRKSNSNLSINKSKPCTPSYQCSANGKSSSSRFTSPLKSTLQKRPLSASLENMHPANNLIKHFDAVADDSAIQSYNGIPRHRHHLQQKSNMLPISFTEKDIASSPTPLERSSIRVLTDISNTIAFGHTDGKQNFGGARRLGRMNSVSPFKRPRVAKFTPPLNKNMSVIPNGMSNLAPKESSFKQRVSDRYPFEVVRLYMKEYVGEPPCLQTKLVNVGDQVRTMNPATAETYVFHDESGRGVLGIEAFYRMLSHFGASEQYFSKVWAANHYKWIVWKLGCYERCFPAKFSGKLLTFSNVLEELKYRYEREVNYGHRSAIKRILEGDAPPSSMMVLCISSIDPVCDSKVRPQDHPILPVGVERTSKIELTDGWYSITALLDGILSKMLAAKKLFLGQKLRIWGASLCGWVGPVSPLEVSKETSLVLHINGTYRAHWADRLGFCKGRGVPLAFNSIKDSGGAVPITLVGISRIYPVLYRERLSNGGFIVRNERMEAKAINQYNQRRSIVAEGIASEIQNTNIDILIGNDYESEEGAKLLKILETAAEPDILMAEMTFEQLNSFSSYKAKLEAKKQSHTQKSLDRALEAAGLKTRDVTPFMRVRVVGLTSKSTRQGHSTRDGLITIWNPTEEQKVELTEGQAYAVSGLVALSSDSNTLHLQTRGSTTKWQPLSSSGIQHFWPFFSPRRPNSLSSLGEVPLSSEFDIVALVIYVGELHTVARQKKQWVFVTDGTQTALDNSLLAISFSSVNTENESFAPEHSYPEGTVVSFCNLIKRDRDRTNHLWVAEGTETSTYHLSYDHPHCSHLKRSVSFLKNWVKTSGSRIEQLKGKVISIISNSVA >itb02g26110.t1 pep chromosome:ASM357664v1:2:26913868:26914599:1 gene:itb02g26110 transcript:itb02g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQRIRIHPEVEAPPPPPTRPLVPRGSFRSEKGDPSTQPWHPQKGRRRSCCCKCMCWTVSVIVILFIIIAACAGILYLVFQPKIPKYSVDNLRISDLRVNPLDMSLYAKFNVRITAQNPNKKIGIYYERGSRLSVWYKTTKLCQGSLPKLYQGHQNTTVLGVALSGQSQYGNTLLSALQEAQQTGRVPLDLKIDVPVRIKVGRLKLMKVRILGDCMLIVNSLSTNSLISIKASTCKFKLKP >itb14g04820.t1 pep chromosome:ASM357664v1:14:4194113:4196611:-1 gene:itb14g04820 transcript:itb14g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGSQGMGKAAGKGESSKKGIFPGPKPGSVKPKERKHVSTMMGEKIAHSQLPSHKETTHSTIKYILQRETETETETERGAKMADKGSQGMGKAAKNYGGESSKGTFPGPKPGSVMPKDKKHVSTMIGEKISKKVTSILKNDKSKIKPQGQ >itb04g30850.t1 pep chromosome:ASM357664v1:4:33861062:33864757:-1 gene:itb04g30850 transcript:itb04g30850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSAAALSWSSSSLLGNYKLSKNAPLGTAKLLERTSNFTIVCQKKTKKIRKIILKEDVQELGKKGQLMNVRAGYYRNYLLPMGMAQIVTPQLLKEMKIEEERIEAEKKRVKEEAQQLALIFETVGGFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQREVDKRIVSLPEIRETGAYTAELKLHPEVTARVQVIVSAN >itb04g30850.t2 pep chromosome:ASM357664v1:4:33861873:33864751:-1 gene:itb04g30850 transcript:itb04g30850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSAAALSWSSSSLLGNYKLSKNAPLGTAKLLERTSNFTIVCQKKTKKIRKIILKEDVQELGKKGQLMNVRAGYYRNYLLPMGMAQIVTPQLLKEMKIEEERIEAEKKRVKEEAQQLALIFETVGGFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQREVDKRIVSLPEIRETGAYTAELKLHPEVTARVQVIVSAN >itb07g10860.t3 pep chromosome:ASM357664v1:7:12133639:12136411:-1 gene:itb07g10860 transcript:itb07g10860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTFWRDIWFSLTQLHFDWRFFIHIRKKYSHARSDIKTQNIQEMKKNINMDLFAALYVVNKVLIQHNGLIREFVFDFHYLNRFHSKKTFRSRSFEFDQWLLFVTCKGVQEIHLSLMPKDEYSLPNCIFSCPTLRRLYLCGVSIGPINDPYILPNVTSLCFKKVNFSPIDHPVDVPMLENLSFENCYTLPNFNITAQKLHSLRIGSCFCYDLPINLDLRSIRTLDLDCCSLKVFVNAFTEKGLQQQPIALDVEYLMLSTKDHDHDKEDVYSAFIHLLQICPKLCKLDIDLKFTHTMPKLSDEFHTVAQRHTMLHTLKLNSYNGSYAYDMFIKGLLTCFPALEKVFIDEESCNYEEAKEFFCIIMPPKKQKVLRKSISLSIL >itb07g10860.t1 pep chromosome:ASM357664v1:7:12133639:12136411:-1 gene:itb07g10860 transcript:itb07g10860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTFWRDIWFSLTQLHFDWRFFIHIRKKYSHARSDIKTQNIQEMKKNINMDLFAALYVVNKVLIQHNGLIREFVFDFHYLNRFHSKKTFRSRSFEFDQWLLFVTCKGVQEIHLSLMPKDEYSLPNCIFSCPTLRRLYLCGVSIGPINDPYILPNVTSLCFKKVNFSPIDHPVDVPMLENLSFENCYTLPNFNITAQKLHSLRIGSCFCYDLPINLDLRSIRTLDLDCCSLKVFVNAFTEKGLQQQPIALDVEYLMLSTKDHDHDKEDVYSAFIHLLQICPKLCKLDIDLKFTHTMPKLSDEFHTVAQRHTMLHTLKLNSYNGSYAYDMFIKGLLTCFPALEKVFIDEESCNYEEAKEFFCIIMPPKKQKVLRKSISLSIL >itb07g10860.t2 pep chromosome:ASM357664v1:7:12133639:12136411:-1 gene:itb07g10860 transcript:itb07g10860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKDHDHDKEDVYSAFIHLLQICPKLCKLDIDLKFTHTMPKLSDEFHTVAQRHTMLHTLKLNSYNGSYAYDMFIKGLLTCFPALEKVFIDEESCNYEEAKEFFCIIMPPKKQKVLRKSISLSIL >itb07g10860.t4 pep chromosome:ASM357664v1:7:12133639:12136411:-1 gene:itb07g10860 transcript:itb07g10860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKDHDHDKEDVYSAFIHLLQICPKLCKLDIDLKFTHTMPKLSDEFHTVAQRHTMLHTLKLNSYNGSYAYDMFIKGLLTCFPALEKVFIDEESCNYEEAKEFFCIIMPPKKQKVLRKSISLSIL >itb07g10860.t5 pep chromosome:ASM357664v1:7:12133675:12136385:-1 gene:itb07g10860 transcript:itb07g10860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTFWRDIWFSLTQLHFDWRFFIHIRKKYSHARSDIKTQNIQEMKKNINMDLFAALYVVNKVLIQHNGLIREFVFDFHYLNRFHSKKTFRSRSFEFDQWLLFVTCKGVQEIHLSLMPKDEYSLPNCIFSCPTLRRLYLCGVSIGPINDPYILPNVTSLCFKKVNFSPIDHPVDVPMLENLSFENCYTLPNFNITAQKLHSLRIGSCFCYDLPINLDLRSIRTLDLDCCSLKVFVNAFTEKGLQQQPIALDVEYLMLSTKDHDHDKEDVYSAFIHLLQICPKLCKLDIDLKFTHTMPKLSDEFHTVAQRHTMLHTLKLNSYNGSYAYDMFIKGLLTCFPALEKVFIDEESCNYEEAKEFFCIIMPPKKQKVLRKSISLSIL >itb07g10860.t6 pep chromosome:ASM357664v1:7:12133675:12136385:-1 gene:itb07g10860 transcript:itb07g10860.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTFWRDIWFSLTQLHFDWRFFIHIRKKYSHARSDIKTQNIQEMKKNINMDLFAALYVVNKVLIQHNGLIREFVFDFHYLNRFHSKKTFRSRSFEFDQWLLFVTCKGVQEIHLSLMPKDEYSLPNCIFSCPTLRRLYLCGVSIGPINDPYILPNVTSLCFKKVNFSPIDHPVDVPMLENLSFENCYTLPNFNITAQKLHSLRIGSCFCYDLPINLDLRSIRTLDLDCCSLKVYSLILIFPNIYLFKLFISNPCNSISIWFQRFLLMHLLKRDYNNNQLR >itb07g10860.t7 pep chromosome:ASM357664v1:7:12133675:12136411:-1 gene:itb07g10860 transcript:itb07g10860.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSTFWRDIWFSLTQLHFDWRFFIHIRKKYSHARSDIKTQNIQEMKKNINMDLFAALYVVNKVLIQHNGLIREFVFDFHYLNRFHSKKTFRSRSFEFDQWLLFVTCKGVQEIHLSLMPKDEYSLPNCIFSCPTLRRLYLCGVSIGPINDPYILPNVTSLCFKKVNFSPIDHPVDVPMLENLSFENCYTLPNFNITAQKLHSLRIGSCFCYDLPINLDLRSIRTLDLDCCSLKVYSLILIFPNIYLFKLFISNPCNSISIWFQRFLLMHLLKRDYNNNQLR >itb13g19590.t2 pep chromosome:ASM357664v1:13:26561578:26566593:1 gene:itb13g19590 transcript:itb13g19590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNIELQPLGILSIFVFLFLFFVPSRSSSLNVETQALLEFKRQIIDPLNHLESWKDTESPCRFYGITCDRNTGLVTGISLDSKNLSGVISPSIFLLKSLASIVLPSNLLSGALPGELANFTGLRVLNVTGNSLSGTIPDLSKLTGLEILDLSINYFSGDFPTWPGNLTGLIGLGLGDNSYNEGMIPESLGNLKNLTWLYLAGSNLSGEIPESIFGLEKLQTLDICRNQVSGNIPKLIGKMRNLLQIELYENDLTGELPLEIAELTLLQQFDVSNNKMYGALPPGIGKLRFLTVFHVFKNNFSGELPPGFGDMEHLFAFSIYMNSFTGEIPENLGRFSPLNAIDLSENKFSGSFPKYLCQNGNLQQLVAVENSFSGEFPDTYGSCKGLVRLRVTKNQLSGKIPDGVWALPSLNMMDFSYNSFTGGISPRIGAATTLEQLILSNNKFSSELPGELGKLTLLQRLHLDNNDFSGPLPSELGLLNQISSLHFEKNSFTGSIPAEFGQCSRLADLNLASNHLSGSIPESITTMASLNSLNLSSNKLTGLIPRGLDNLKLSLIDLSNNQLSGEVSNDFLTMHGDKAFLGNKELCVDHTIKAQFNTELSSCDGKGSHHETMKKRLAVLLIVLFALAVLLCGLLVASYWSHKYSETDTEKRCGESKGLDRKWKLESFHQIEFDADEICNVDEDSLIGSGGTGKVYKLDLKKGCGTVAIKKLWKGNGVKLLSREMEILGSIRHRNIVKLYASLIKEGSNFLVFEYMPNGNLFQALHHEIKSGKPELDWDLRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDESYEAKVSDFGVAKISEVSPRGSEFSCFAGTHGYMAPEMAYTLRVSEKSDVYSFGVVLLELVTGRHAIEDAYGEGKDIVYWVATQLENRENVITVLDTKVGVEDAVQDEMIKVLRIATLCTTKLPNLRPSMKEVVNMLVDAEPSTFKSGRNFEKTGKVFL >itb13g19590.t1 pep chromosome:ASM357664v1:13:26561184:26566593:1 gene:itb13g19590 transcript:itb13g19590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNIELQPLGILSIFVFLFLFFVPSRSSSLNVETQALLEFKRQIIDPLNHLESWKDTESPCRFYGITCDRNTGLVTGISLDSKNLSGVISPSIFLLKSLASIVLPSNLLSGALPGELANFTGLRVLNVTGNSLSGTIPDLSKLTGLEILDLSINYFSGDFPTWPGNLTGLIGLGLGDNSYNEGMIPESLGNLKNLTWLYLAGSNLSGEIPESIFGLEKLQTLDICRNQVSGNIPKLIGKMRNLLQIELYENDLTGELPLEIAELTLLQQFDVSNNKMYGALPPGIGKLRFLTVFHVFKNNFSGELPPGFGDMEHLFAFSIYMNSFTGEIPENLGRFSPLNAIDLSENKFSGSFPKYLCQNGNLQQLVAVENSFSGEFPDTYGSCKGLVRLRVTKNQLSGKIPDGVWALPSLNMMDFSYNSFTGGISPRIGAATTLEQLILSNNKFSSELPGELGKLTLLQRLHLDNNDFSGPLPSELGLLNQISSLHFEKNSFTGSIPAEFGQCSRLADLNLASNHLSGSIPESITTMASLNSLNLSSNKLTGLIPRGLDNLKLSLIDLSNNQLSGEVSNDFLTMHGDKAFLGNKELCVDHTIKAQFNTELSSCDGKGSHHETMKKRLAVLLIVLFALAVLLCGLLVASYWSHKYSETDTEKRCGESKGLDRKWKLESFHQIEFDADEICNVDEDSLIGSGGTGKVYKLDLKKGCGTVAIKKLWKGNGVKLLSREMEILGSIRHRNIVKLYASLIKEGSNFLVFEYMPNGNLFQALHHEIKSGKPELDWDLRYKIALGAAKGIAYLHHDCSPPIIHRDIKSTNILLDESYEAKVSDFGVAKISEVSPRGSEFSCFAGTHGYMAPEMAYTLRVSEKSDVYSFGVVLLELVTGRHAIEDAYGEGKDIVYWVATQLENRENVITVLDTKVGVEDAVQDEMIKVLRIATLCTTKLPNLRPSMKEVVNMLVDAEPSTFKSGRNFEKTGKVFL >itb01g29610.t1 pep chromosome:ASM357664v1:1:33832422:33834056:-1 gene:itb01g29610 transcript:itb01g29610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGALLKTKSGTVLVDIINKNGLQMLHNIMKRYRREFNKTPILRKLLKVLEYLALREILTIDHINGVPSRPGVESFRDLILALTEHIDKQVHQIARNF >itb12g12350.t1 pep chromosome:ASM357664v1:12:11065402:11066772:1 gene:itb12g12350 transcript:itb12g12350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSCENSNSQLHSLKEINHLSIHWVDFRQGFQSMELEDCKKACLRNCTCTRVFYAPEFYSRKEPKDEPQIGIAGSAGVLLIAAFFFAWIAHMIKRMQVEDEEEFPDEVPGLPIFISEPQCHDREFQLWIVKAGSKVVTKMKGTPGYIAPEWMSLVITEKIDVYSFGIVMLEIVCGQKNVDWNQEEEEVHLLSVFKKKLEEDKIGEMSDMYNKDLEVEKDEAIEMMRVAAWCLQSDYIKRPSMSMVVKAYKVRRLLKRIWSTTLVIHRFKETS >itb05g25560.t1 pep chromosome:ASM357664v1:5:29908334:29910132:-1 gene:itb05g25560 transcript:itb05g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQIEQEKRLSFNRSIKDGDLVIVYERHDVMKAVKVSENGVFHNRFGAFKHSDWIGKPFGSKVFSLKGRFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFERTGLNGLVTVGVRDIQGEGFPEEFCGRADSVFLDLPQPWLAIPSVGKMLKEDGVICSFSPCIEQVQRSCEALRSCFTDIRTFEVLLRTYEVRQRILESHPSKDGDSVDYQPCKRRLGCEESERIECSASPSVMIRPCTEARGHTGYLTFARLKCVV >itb07g24110.t1 pep chromosome:ASM357664v1:7:28385193:28393034:-1 gene:itb07g24110 transcript:itb07g24110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIQGHEERKTVKDLTGQVCEICGDEVGLTVDGDLFVACNECGFPACRPCYEYERREGTQLCPQCKTRYKRLKGSPRVEGDDDEEDDDDIEQEFKIDDEKNRNRNIVETILHGKMSYGRGPDIEDSAASQYPPVIAGVRSRPVSGEFPISNGDQMVGHKRIHPYPVMEPRWDNDDNKKDNWKERMDDWKMHQQGHLGQEHDDFADTDMAIVDEARQPLSRKVPIASSKINPYRMVIIARLVILALFLRYRILNPVHDAIGLWLTSIVCEIWFAFSWILDQFPKWFPIDRETYLDRLSFRYEKEGEPNMLAPVDIFVSTVDPFKEPPLVTANTILSILAMDYPVDKISCYISDDGASNCTFEALSETAEFARKWVPFCKKFAIEPRAPEMYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINAMVAKASKVPPGGWIMKDGTPWPGNNTKDHPGMIQVFLGQSGGTDVEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVAGVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDQTTGRKICYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYDPPKRAKRPKMVTCDCCPCFGRKRKLDKYAKHGDGANVEGFDDDKEALMSEMNFEKKFGQSAIFVTSTLMVDGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKGGKLKFLERFSYVNTTVYPFTSLPLLAYCTLPAICLLTGKFIMPEISTLASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKAVDDEEFGELYTFKWTTLLIPPTTILIINLVGVVAGISDAINNGSQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDVKQCGINC >itb14g12670.t2 pep chromosome:ASM357664v1:14:14362890:14369408:-1 gene:itb14g12670 transcript:itb14g12670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKALLNNISSFFHISSLENISSLLVLKYYWKAEDILKLLKPILYGIVDSEIVSDELFQKAFAGFGHSIDQLRELFETWQLLSSKFYFVLQAESLIVKVQSCSLEILELLVSSDCSLPAEASLTSLEHCIMKIKSIDNELLSPIIRKAIKNQVEGSGASSDSMSKIADSLSLKSNQELLIELVALEKLKDNAEQAEKSDDVEYIEQISALVTHMHDSLVMMKHSESYTSVPIPADFCCPLSLELMTDPVIVASGQTYERAFIRNWIDLGLNVCPKTRQTLAHPNLIPNYTVKALIANWCESNDVKLPDPVKPFSLNQTTPVIAQMESGTPRENHIFPHSRANNSTSPESTHSFDSPRKNSISSTAIQIETTSQSHLRSSSEESLPEIESNRLTVERMSLESSEDGLANSGKQSMDQVSRYSMPLSMNCVSSADEDFHHGHNRTTSAPSTLSDSKFSHVSADDGNEMSSSASADTSMKVAPESKPAATMAASRRESEFLSPLGTRPRPPSFWRRSSEAFVPRIVSSTAAGTRADIAEVEEQVKKLVEDLKSTSIDLQREATAELRLLAKHNMDNRIVIANCGAISLLVNLLYSVDPQVQENAVTALLNLSINDNNKSAIGNANAIEPLIHVLKTGSPEAKENSAATLFSLSVIEDNKIKIGKSGAIQPLVDLLGNGTPRGKKDAATALFNLSIHPENKTRIVDAGAVKYLVDLMDPAAGMVDKAVAVLSNLSTIHEGRVAIGQEEGIPVLVEVVELGSARGKENAAAALLQLCTNSGRHCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRPGNAGRN >itb14g12670.t1 pep chromosome:ASM357664v1:14:14362890:14369408:-1 gene:itb14g12670 transcript:itb14g12670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKALLNNISSFFHISSLENISSLLVLKYYWKAEDILKLLKPILYGIVDSEIVSDELFQKAFAGFGHSIDQLRELFETWQLLSSKFYFVLQAESLIVKVQSCSLEILELLVSSDCSLPAEASLTSLEHCIMKIKSIDNELLSPIIRKAIKNQVEGSGASSDSMSKIADSLSLKSNQELLIELVALEKLKDNAEQAEKSDDVEYIEQISALVTHMHDSLVMMKHSESYTSVPIPADFCCPLSLELMTDPVIVASGQTYERAFIRNWIDLGLNVCPKTRQTLAHPNLIPNYTVKALIANWCESNDVKLPDPVKPFSLNQTTPVIAQMESGTPRENHIFPHSRANNSTSPESTHSFDSPRKNSISSTAIQIETTSQSHLRSSSEESLPEIESNRLTVERMSLESSEDGLANSGKQSMDQVSRYSMPLSMNCVSSADEDFHHGHNRTTSAPSTLSDSKFSHVSADDGNEMSSSASADTSMKVAPESKPAATMAASRRESEFLSPLGTRPRPPSFWRRSSEAFVPRIVSSTAAGTRADIAEVEEQVKKLVEDLKSTSIDLQREATAELRLLAKHNMDNRIVIANCGAISLLVNLLYSVDPQVQENAVTALLNLSINDNNKSAIGNANAIEPLIHVLKTGSPEAKENSAATLFSLSVIEDNKIKIGKSGAIQPLVDLLGNGTPRGKKDAATALFNLSIHPENKTRIVDAGAVKYLVDLMDPAAGMVDKAVAVLSNLSTIHEGRVAIGQEEGIPVLVEVVELGSARGKENAAAALLQLCTNSGRHCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRPGNAGRN >itb04g29210.t1 pep chromosome:ASM357664v1:4:32675611:32676345:1 gene:itb04g29210 transcript:itb04g29210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGQRANVFDPLSLDLWDPFEGLFSSSPARETSAISAVRIDWKETPEAHIFKADLPGVKKEEVKVEVEDGRVLHISGERSKEKEDKNDKWHRIERSIGKFFRRFRLPENAKMDEVKAGMENGVLTVTVPKTEEKKPEVKAIDIVG >itb15g10590.t1 pep chromosome:ASM357664v1:15:8323361:8328297:-1 gene:itb15g10590 transcript:itb15g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGHDFDRDKDLAKDFLSNFPDSDGEAKYLNILQDVANRKFKAIQIVLEDLASYKDLDEEFFQRVRENTRRYIAIFASAIDELMPEPTEVFHDEDHDILMTQRSEEGTENTDGSDARQRMPPEIKRFYEVYISDITTSSKVRPLTIREVKASYIGQLVKIAGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSSRCKINRTKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLIADTYLEAMSVTHFKKKYEEYEFREDEEEQISRLAEDGDIYNKLARSLAPEIFGHEDIKKALLLLLVGAPHRKLKDGMKIRGDIHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDTDLELARHVVYVHQKKESPALGFTPLDPSVLRAYISTARKLSPCVPRELEEYIASAYSSIRQEEAKSNTPHSYTTVRTLLSILRISAGLARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARINRMDVSYTQALNWISRKGYSEAQLKECLEEYAALNVWQIHPNTFDIRFIDA >itb02g14840.t1 pep chromosome:ASM357664v1:2:10767904:10771361:1 gene:itb02g14840 transcript:itb02g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRNFFCKNAIQLHCRLILTPSLQKSISTSCSKCSRHYNASIPEKYIPKKPLNSEESKSASLSAKNLVDVGKYNSVNRNSKRAELHHGMEKEIDGDFRFDNCEFLEEPEEIISDFNDHHASRQNVERVAIQLLAARALTAVELKKKLQGKKFPVHTIDSVITDLCTRGLINDCLYAETYTRSRWSSLSWSPRRIKQALIKKGVNEMDADGAIKLVFKESETGEEQESGIGMSKLSLDHLLVQASKQWLRSRDAPRETRKSRVIRWLQYRGFNWSVINFVLKKLESKHPP >itb09g00180.t1 pep chromosome:ASM357664v1:9:234355:235202:-1 gene:itb09g00180 transcript:itb09g00180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLRSKTKKHTRSSSFPSRPQPVVPQFNEHLTILRGSEVASSSLTNRMNSIGSLYDCIDDLLQLPYVQHAMSQECQEKWVDNLLDVYLRILNACATTKDILVSEKQNLQNLLSAIRRRRDTDDISGYLTSTRKAEKIIHKSLKALSSIKNKENVVILENHETVAVINMQKQRSWSMISKLLQSKTTSSEAETNKFEKIIVLLHTLVTYNNGKVEEVQNQLGEMESSIQVLEQELECFFRRLIKTRVSLLNIFSH >itb09g01160.t1 pep chromosome:ASM357664v1:9:696495:697919:-1 gene:itb09g01160 transcript:itb09g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFLVNVTTEHFPLKFKPKGTVAFVNAIEFVSAPNPLIGEYATLLFPVSQKFELSTHSFQTVYRLNVGGPEILTDQNSLQRIWHPDTPYLDPMQMGKNVAVSPAIISYPEVGGSSLIAPPLVYASALELADSKSVKPNFNITWRMDVTVHFWYLIRLHFCDIVSKSLNELYFNVYINDKIAISGLDLSTLTRLLATAYYKDIVVNSTMVSSPLEIKISPVDDEAQGTRNAILNGVEVLKMNDSVGSLDGEFGVDGTRASDRTNGDRQTVAAVGFAMMFGSFVGLGAMAVKWRKRPQDWRRRSSFSSWLLPVHAKDATFTNPNRTPLGSRQSLFFSSTTEFGRYFSFLELQEATNNSETTKIIGIGGFGSVYLRLVDEKTKIAVKRGNPQLKQGFHEFQTEIHMLSKLRHRHLVSLIGYCDENNEMCLVYEYMSNGPLRDHLYGKNLPSLSWKQRLEICIRAARGLHYLHTGAA >itb07g13570.t1 pep chromosome:ASM357664v1:7:15849988:15850508:1 gene:itb07g13570 transcript:itb07g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAKSSSKANGNKKNGMKFKIVVEKLQKSFLLRKRSPVNHLDDFDVPNDVKEGHFAVRAVDDDNKVKRFIVPLSCLRNPCFLRLLENAAEEYGFEHEGAIMLPCRPSELEKILGKQCNGRVYWSSTSQEI >itb04g18200.t1 pep chromosome:ASM357664v1:4:21566712:21567776:-1 gene:itb04g18200 transcript:itb04g18200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKRTTKKRRALRTQPGSQGSKRRLTTPTNDLERTRNDDDDFVSPPRAFLKRLEADPAWMPQTMN >itb11g04410.t1 pep chromosome:ASM357664v1:11:2306140:2306969:-1 gene:itb11g04410 transcript:itb11g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSSYFLLLCLSMFLAVSSLGNPISNDFDYSGFGSYDDDEPDFDYPRSPAPESEDGGDYGYVYDNDYPQSPAPESDAGGDYGYVYDNDYPQSPAPESDGGADYGYVYDTHYPQAPESDDCGDYGYVYVNDFPQSPAPATGDGSDYDSDTDSGDIPDNYLSLEADYCPDVVEGISTCQDFLNGHEDSPSAACCDRLYDLSFIGVEVGLRDMCDCFKDLQASFHQSRAEDEIFKSCGFDYSLFPISQQECSKY >itb01g14700.t1 pep chromosome:ASM357664v1:1:16811987:16815373:1 gene:itb01g14700 transcript:itb01g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCFGQSSTTTNNHLRPSALDGYSVENTPQSGVQISPTVNLKRAYTLAVQTPSFGEIWTKIHQEIPSEQNVDVSEVNVIEEPLQLEEVLKPSREDIEEALCDIIIEDTFTQQVVNFFNHSEETARKCLLLSESVHRARHLYAPLHKLVDILPSECETVADSLSQDQCDSAFKVFLQFDKLDNPFPGIDSDSSFNDMHTSYFQLKEQLDLRIEHSRSKVHLLRHATKGSAICLLVAAVGVVTCAILIATPALIVLVATPAACPPCLPLKITKKESAHLVQLDDASRETFVLDNHLETLDCLVDPLHNSVEGFKRDVRFVLERSKDSYSIQEVAANKEEKEGHGSQANAVLALVAANDSDAVESVGGRAAY >itb08g09930.t3 pep chromosome:ASM357664v1:8:9328554:9340262:1 gene:itb08g09930 transcript:itb08g09930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDSVPQSSTTTSAGSDGGRDWFFPSHAFTHSSHLTRTPARRFSSPYPRTTSLPNSSSPSSYTSPNPSPLAVSRKYAGIRRRLYPHGDSRNDKSTATTTNHLSNGSDNAIEQEKCQAPEVKLKSHGKKFAVLFGRRVNIRWQTAFSIIILMTAFSLLLHKSFSLHHQVIALQDQISNLNIKLRDCSLMDTVDSNNFVLEDIDIPNKRWKNAALVVSLMLVLLPLVFFKYIDYISNSRRSIDNVTEEMCLSKQLAYRVDVFLSFIPYAKPLALLVATILVICLGALALFGVTKDSLADSFWLSWTYVADSGNHANSEGTGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMEVDISKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWAQLDGMPFEDVLISFPEAIPCGVKVASRGGKIILNPVDSYVLQEGDEVLVIAEDDDSYAPGPLPMVQRGNLPKNLIIQRSPERILFCGWRRDMEDMIMVLEAFLAPGSELWMFNEVSEKERERKLTDGGLDVTRLENISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSQIHRGSFSQGSWIGEMQQASDKSVIISEILDPRTKNMLSMSKISDYVLSNELVSMALAMVAEDRQINEVLEELFAEEGNEMQIRGADLYISEGEELSFYEVLLRARQRREIVIGYRLANAEKAVINPPNKTAKQRWSVKDVFVVITEKE >itb08g09930.t1 pep chromosome:ASM357664v1:8:9328554:9340262:1 gene:itb08g09930 transcript:itb08g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDSVPQSSTTTSAGSDGGRDWFFPSHAFTHSSHLTRTPARRFSSPYPRTTSLPNSSSPSSYTSPNPSPLAVSRKYAGIRRRLYPHGDSRNDKSTATTTNHLSNGSDNAIEQEKCQAPEVKLKSHGKKFAVLFGRRVNIRWQTAFSIIILMTAFSLLLHKSFSLHHQVIALQDQISNLNIKLRDCSLMDTVDSNNFVLEDIDIPNKRWKNAALVVSLMLVLLPLVFFKYIDYISNSRRSIDNVTEEMCLSKQLAYRVDVFLSFIPYAKPLALLVATILVICLGALALFGVTKDSLADSFWLSWTYVADSGNHANSEGTGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMEVDISKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWAQLDGMPFEDVLISFPEAIPCGVKVASRGGKIILNPVDSYVLQEGDEVLVIAEDDDSYAPGPLPMVQRGNLPKNLIIQRSPERILFCGWRRDMEDMIMVLEAFLAPGSELWMFNEVSEKERERKLTDGGLDVTRLENISLVNREGNAVIRRHLESLPLESFDSWKTLQFRLIQDLLPHCC >itb08g09930.t2 pep chromosome:ASM357664v1:8:9328567:9340262:1 gene:itb08g09930 transcript:itb08g09930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDSVPQSSTTTSAGSDGGRDWFFPSHAFTHSSHLTRTPARRFSSPYPRTTSLPNSSSPSSYTSPNPSPLAVSRKYAGIRRRLYPHGDSRNDKSTATTTNHLSNGSDNAIEQEKCQAPEVKLKSHGKKFAVLFGRRVNIRWQTAFSIIILMTAFSLLLHKSFSLHHQVIALQDQISNLNIKLRDCSLMDTVDSNNFVLEDIDIPNKRWKNAALVVSLMLVLLPLVFFKYIDYISNSRRSIDNVTEEMCLSKQLAYRVDVFLSFIPYAKPLALLVATILVICLGALALFGVTKDSLADSFWLSWTYVADSGNHANSEGTGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGTVVVMAERDKEEMEVDISKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWAQLDGMPFEDVLISFPEAIPCGVKVASRGGKIILNPVDSYVLQEGDEVLVIAEDDDSYAPGPLPMVKEVTSIHIARSTKKPQKILLCGWRRDIDDMIVVLEAFLAPGSELWMFNEVSEKERERKLTDGGLDVTRLENISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVSQIHRGSFSQGSWIGEMQQASDKSVIISEILDPRTKNMLSMSKISDYVLSNELVSMALAMVAEDRQINEVLEELFAEEGNEMQIRGADLYISEGEELSFYEVLLRARQRREIVIGYRLANAEKAVINPPNKTAKQRWSVKDVFVVITEKE >itb02g14020.t1 pep chromosome:ASM357664v1:2:10253168:10260186:-1 gene:itb02g14020 transcript:itb02g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MQSLQTPSSFFFFTFSSPKPKTLPKPHLRLQLFPTPLAAKPILTSANCYKSDEFPVGEDDEAFLQSFRPKEKESEDDARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQSPEAIEAFKMLNPNYRKKKIEEMGLTEDEYLAKQFEIKGEIPEPLTTSWAGPLVVRHVPPRDWPPRGWEVDRKELEFIREAHKMMAQRVDYSEVEEMVLTETDDMNLERYSVFLKQYKEWVAANKDRLEKESYKYDQDYYPGRRKRGKDYEEGMYELPFFYPGQICAGKVTAVHLYQGAFVDIGGVHDGWVPIKRNDWFWIRHHIKVGMPVIVEILAKRDPYRFRFPIEMRFVDPNIDHLIFNRFDSPPIFHRDEDTNPDELRRDCGRPPIPRKDPGIKVEEQPLLSNHPYVQKLWQIHNAEQLILDDMESNPDKYEGKKLTELTDSEEYSKENSVEYTKTYYQNSLLPKMIVKVSVKDLDLEAALIERQHHIKMSKEAKERGERYQITKLRRNVEMDEYDFIHWRRSLEEREALLRDISCRKALGLPVDEPGRYIDPSILGKDEYDPESPLYRYDYWGEPKNSERSKQERATDAYNKSIVGNGTVWYEMSYEEAIKQQMQREAQGLGQKMEDEANEETYEDDSDDDDFDYSILGGPGDDFSTQPHVNGTESSRLSDEGMFED >itb02g14020.t2 pep chromosome:ASM357664v1:2:10254068:10260186:-1 gene:itb02g14020 transcript:itb02g14020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MQSLQTPSSFFFFTFSSPKPKTLPKPHLRLQLFPTPLAAKPILTSANCYKSDEFPVGEDDEAFLQSFRPKEKESEDDARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQSPEAIEAFKMLNPNYRKKKIEEMGLTEDEYLAKQFEIKGEIPEPLTTSWAGPLVVRHVPPRDWPPRGWEVDRKELEFIREAHKMMAQRVDYSEVEEMVLTETDDMNLERYSVFLKQYKEWVAANKDRLEKESYKYDQDYYPGRRKRGKDYEEGMYELPFFYPGQICAGKVTAVHLYQGAFVDIGGVHDGWVPIKRNDWFWIRHHIKVGMPVIVEILAKRDPYRFRFPIEMRFVDPNIDHLIFNRFDSPPIFHRDEDTNPDELRRDCGRPPIPRKDPGIKVEEQPLLSNHPYVQKLWQIHNAEQLILDDMESNPDKYEGKKLTELTDSEEYSKENSVEYTKTYYQNSLLPKMIVKVSVKDLDLEAALIERQHHIKMSKEAKERGERYQITKLRRNVEMDEYDFIHWRRSLEEREALLRDISWYYLLFSCRPNLTLIGRKEK >itb10g17330.t1 pep chromosome:ASM357664v1:10:23561558:23563119:-1 gene:itb10g17330 transcript:itb10g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPEELQFLTIPDVVKESISIPKRSAKTFYLITLTLIFPLSFAILAHSLFTHPIFVQLRENPHADHADQWAKLLLFQFCYLFFLFAFSLLSTAAVVFTVASLYTAKPVSFASTLSAIPSVFRRLFITFVWVSLLMLVYNVVFVGFLVLLIIAVDTESVVLFLFSVVVLFLLFLVVHVYITALWHLASVISVLEPVYGLAAMKKSYELLRGRARMAFVLVFSYLTICGVISGFFGLIVVNGGDYYSILSRILVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHNQGIDKTALYDHLGGYLGEYVPLKSSIQMENLDDET >itb05g21570.t1 pep chromosome:ASM357664v1:5:27259572:27263494:-1 gene:itb05g21570 transcript:itb05g21570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLAYSFFFFFHLLILTSESQRSPPAVCILGSGIGGSSVAHFLRTYSNPSQPEIGSIRIFERHSAVGGRMATVTIAGDTFEAGASILHPKNYHARNFTSLLNLTVKTSSSESDSSFGIWDGNKFVLKTLSSNSKLPILQKLVSFANSVLIFLRYGFSLFRMENFVEGAVNSFLKYYEGFESRPAFEDVEEMLKWSGLYNLTTRTLEEELTDAGLSTTLIQELVTVITRINYGQSVSMNALAGAVSLAGSGGGLWSVEGGNWQMAAGLINRSNVELHLGEEIESVSYLGERYELNTTKGTSYLCEVVVVATPLDELNIRFAPEISIPERKLQHTHTTFIRGLLNPSYFGLKSVSYIPELVGTIETSEVPFSSISILKQYSEQDMAYKVFSRSYMEDDLLDLIFSVRNKTLKIDWAAYPHFNAPEVFAPFILDDQHLYYVNAYENAASTMETSAVSAENIARLILSRLADQAHATSDLESAGDDTSKMHSEL >itb09g05830.t1 pep chromosome:ASM357664v1:9:3307577:3310315:1 gene:itb09g05830 transcript:itb09g05830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMINWKMLHYLSFLYFALPDSLYVYLPYRLCDVPGNFAENSSLQFNINTSFLALSSNASYHNFFNTSIGNGSDTVYSMFLCYNYTTPETCKHCIESATNDVQGDDCSYKKEAIVWEEDCQLRYSTQMFLGTLNSSGNFALDNKQNNSDPELFRSTVNQTLNNLTKLAAFNHSTMYATGTAPFVDGDMIYALVQCSLDLSQQDCQKCLEIATAEILESFYFSRGARLLSRSCYLRYELYPFYNGDKEGTNHHSKSGLSKKWTITVVAAAVTLVLAAVGFTAYYLAHCYRRGISNTELAQGSYPDDDMSQLKQKFPGINNQELPLIDIQTIIEATDNFSDLNKLGEGGFGPVFKGKLPDGKEIAVKRLLTSSEQGSEQFINEVELILKLQHKNLVTLLGFCIHEDERLLMYEYMPNGSLDAFFSDENKLAQLDWSQRLNIINGIARGMLYLHVDSRLRIIHRDLKLSNVLLDADMTPKISDFGMARIFAGNDDRSNTSMIVGTFGYMAPEFAMEGLYSIKSDVFSFGVVLLEIITAEKNSAFHRTQTAPSLVVYAWNLWNEGRGLELMDPLLKNCCCADEFLRFMQIGLLCVQEDPYERPNMASVGFMLEGESYALSQPKRPAFCVGRFTDHYESFYTDRTENGLTVSAVIPR >itb09g20480.t1 pep chromosome:ASM357664v1:9:17288993:17289421:-1 gene:itb09g20480 transcript:itb09g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGREAITVGAAITGRRHDRSLHHRSSSRPELSHCRAGLCHQHRQPGAIASHRRTLLERDLPEFAFLHRRRPATRGSFRCLTDECSDERSFATTQHRHLSTREKMPPRPGLMSLSAKTSPVCRRANRARPTTTATDCCHQR >itb05g19470.t1 pep chromosome:ASM357664v1:5:25969540:25971707:1 gene:itb05g19470 transcript:itb05g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPMDKPKQKHKKGLWSPDEDQKLRNHILKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGTFSLQEEETILALHGILGNKWSQIAQHLPGRTDNEIKNFWHSYLKKKVAKMAEAERSIENGECSVHSMKSTSQSSGLESFGQMEGSLADTDESIPNNLDFPKTAQKSNLPKVLFAEWLSLDQFNNGQDFQTANHAVLPRNKFGYNGSELQDPFMHGFLLNEDTYGHGIQPVLNNGTVDDLFQPELKFEDQITVSGFAEFFSGGFNVSSNDLMYDELYLRRNFREE >itb04g09150.t2 pep chromosome:ASM357664v1:4:8473342:8482361:1 gene:itb04g09150 transcript:itb04g09150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRRWFSKFSPREKPKPHKKETTSTGKEGLNSPANDAAPSNATKQKVAVAKQYIENHYKEQMKSLQERRERRNMLEKKLADAEVSEEEQNNILKYLEKKETEIMRRQRHKMGTDDFEPLTMIGKGAFGEVRVCKEKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRDGHMKLSDFGLCKPLDCSNLHEKDFTVGNNLSGALQSDGRPTAPKRTQQEQLQNWQKNRRMLAYSTVGTPDYIAPEVLLRKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEQRLGTKGAHEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDNQVPTATKSGPWRKMLPSKDVNFMGYTYKNYEIVNESEVPGIAELKKKSTKPKRPSVKALFSEDSDSGSTQSAKGSFLNLLPPPLEVSKSGESEPH >itb04g09150.t1 pep chromosome:ASM357664v1:4:8473342:8482361:1 gene:itb04g09150 transcript:itb04g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRRWFSKFSPREKPKPHKKETTSTGKEGLNSPANDAAPSNATKQKVAVAKQYIENHYKEQMKSLQERRERRNMLEKKLADAEVSEEEQNNILKYLEKKETEIMRRQRHKMGTDDFEPLTMIGKGAFGEVRVCKEKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRDGHMKLSDFGLCKPLDCSNLHEKDFTVGNNLSGALQSDGRPTAPKRTQQEQLQNWQKNRRMLAYSTVGTPDYIAPEVLLRKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEQRLGTKGAHEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDNQVPTATKSGPWRKMLPSKDVNFMGYTYKNYEIVNESEVPGIAELKKKSTKPKRPSVKALFSEDSDSGSTQSAKGSFLNLLPPPLEVSKSGESEPH >itb04g34090.t1 pep chromosome:ASM357664v1:4:36170221:36171775:1 gene:itb04g34090 transcript:itb04g34090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSCSFSSSYCCWVLSVVLILFAVASDGQLTTGFYANSCPNLFRVVRKEVQNAIKNEMRMAASLLRLHFHDCFVNGCDGSVLLDGNSTTSEKFAVPNLNSARGFEVIDSIKNAVESACSGVVSCADILAIAARDSVVLSGGPTWRVLLGRRDGFSPNFTAANASVLPGPFDSLDQIIAKFSAVGLNLTDMVALSGAHTIGLAKCAVFSNRLSNFQQTGSPDPTLDASLIPELQTTCPSNGDGNNTAPLDRNSTDLFDNHYFKNLLIGRGLLQSDQILFSSDAAQNTTNTVVQTYSNDSNRFFTDFVTSILKMGNISPLTGSDGEIRKNCRTRN >itb12g18150.t1 pep chromosome:ASM357664v1:12:20395827:20398316:-1 gene:itb12g18150 transcript:itb12g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEREVFDLSGPLHLTTVDWNNVEHRRSVAACLVQGVYILERDRQEKREGDGALAPPWWKSFHFKIYRELVDAVDSSIFGVVYEFTPLEGNSDNVTNKHPRPRYVIAFRGTLTKGDAFSQDLELDIRIIKNDLHLSSRFETAIQAVQSMVSTFGSSNIWLTGHSLGSAMAMLAGKHLAKTGVFLDAFLFNQPFFSAPIESITDKKVKHGIRVATSVITAGLAFAATMKKGNNNNNNNQSGDSFAALSKWVPYLYVNPGDHICSEYIGYFEHRETMEEFGVGAIERIATQHSLGGLVMNVMGKREYSEPLHLIPSAMLIVNLIPPQDFIEAHGIHQWWRPDLRLNSKTYI >itb01g32450.t1 pep chromosome:ASM357664v1:1:35943285:35946907:1 gene:itb01g32450 transcript:itb01g32450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRAVIFFLLLFLAVGDGYERTTVEKQGEFFEVLKKSLTGDFLAGWDGKPFCNYSGIGCDNKGYVVKIDISRWGLSGRFPEDVCGYLPELRVLNLGQNHFHGSYFPASITNCSFLEELNMSATYLAGTLPDLTPLRALRSLDLSYNLFSGEFPTSVANLTELRVLNFNENCGFNPWELPEAISGLKKLKTLILSTCKLHGVIPAAIGNMTALVDLELSGNSLEGKIPAELGRLKNLQDLELYYNNLEGEIPEELGNLTNLVDLDLSVNKLVGKIPESICRLPRLQVLQAYNNTLSGEIPAVLANSTTLRILSLYTNFLSGEVPENLGMLSALVALDLSENQFSGKLPSRLCSRGKLMYILLLQNMFSGELPESYGKCQTLIRFRVSNNRLEGMIPETVFGLPHASIVDVSYNRLMGQIPRTIENAKNLSELFVQGNRISGELPGEISRVFNLVKVDASNNLLSGPIPHEIGNLKRLNILLLQGNRLNSSIPDSLSWLTSLNYLDLSSNLLTGNIPERLGELLPNPMNFSNNLLSGSIPVAFIKGGVLESFTGNPGLCVLADYSNSSSTKFALCSSSRDRKKTNMIWVMGFSVGFVIIGIVLFLRRRMSKQRDIAKQQDDLEMSSSFFTYDVKSFHRLRFDEREIFEGVVEKNEVGKGGSGTVYKVELSNGEAIAVKKMWRRKGKGGVVIDRELKTEVETLGSIRHKNIVKLYCYFSGLECSLLVYEYMPNGNLGDALHGGKALLDWPIRHQIALGIARGLAYLHHDLQPPIIHRDIKSTNILLDVDYHPKVADFGIAKVLQARGAKDFSTTVIAGTYGYLAPEYAYSAKATTKCDVYSYGVVLMELITGKKPVEAEFGESKNIVYWVSSKVETKEGAYEVLDKRVSDSFKEYMMKALRIAIRCTYRTPTLRPTMNEVVQHLIEAQPPSQFDCCNKLSHKTKSIDP >itb09g05160.t1 pep chromosome:ASM357664v1:9:2932188:2933519:1 gene:itb09g05160 transcript:itb09g05160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSFTQFHCNPVGLIAPQSPSTSKPSVFPVKSTIFASKMAALRSFPNRGLANPKKKFVVRAVSDDEWGEEKKEPLSAGVAVVEDEPAVLTETDVLKKQLVDSFYGTNRGLGASSETRAEVVELITQLEAKNPTPAPTEALTLLNGKWVLAYTSFIGLFPLLSRGTLPLVKVEEICQTIDSESFTVQNSVVFSGPLATTSFSTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPENVEFLGQKIDLTPFKGLITSLQDTASSVVKSISSQPPLKFSLANSNAESWLLTTYLDSELRISRGEAGSIFVLIKEGSPLLKP >itb07g05500.t1 pep chromosome:ASM357664v1:7:3802508:3806271:1 gene:itb07g05500 transcript:itb07g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCHEILLEEVDPIKGIIDYVNRVGIEILILGAAAKGGLLRFKVKDIPGSVLKGVPDFCTVYVISKTGKISSTRSASRQVSFTHPLRHHLVRQHSANSTSSERLFPSAVNSKTAELSGNSRLLSEPSPGGLQNDIKSPFTHRRGPNGIPYEISKPDTDISFVSSGRSSVDSIFPSYTDSFEDGPNPNRLSGFSDFDTSSFDSMNFGRRSLDNLTSSEYSFASESDRMSVSGMDDVEAEMRRLKQELKQTMEMYSTACKEALTARQKAMELQRWKLEEQKRLDDALLAEEAALAIAEREKARSKVALEHAEAAQKMAESEAQKRISAEIKAMKEAEEKNKMISQFSQTDFRYRRYTIEEIEAATEYFSKSLKIGEGGYGPVYKCFMDHTAVAVKVLRPDAAHGRQQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEHMSNGSLEDCLFETGKTPPLSWQQRFRIAAEICSGLLFLHQTKPEPIVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIIYLQILTAKSPMGLTHIVERAIEAGTFAEILDPAVRDWPVEDALSLAKLSLQCSELRRKDRPDLGSAVMPELDRLRTLAEENTQPFFYYPGYSPNHSQVSVSQENLSFPTLTGHSGYESSRSSPGL >itb07g10390.t1 pep chromosome:ASM357664v1:7:11412205:11414789:1 gene:itb07g10390 transcript:itb07g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHLTVVFLAQALRFTEATRARIEKSMDDCLTFDQQLSELPLARTRSTPLSFINLHGEIRSDDIDLITGMISQCLGMRQHQDSHTQNLKALQAGKIICCSSSSDPDDDS >itb03g15090.t2 pep chromosome:ASM357664v1:3:14529727:14533763:-1 gene:itb03g15090 transcript:itb03g15090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGSSLHLNLSLLRLLRLLRLLHLIFTLAILDGLGISSVSASCDYSFGQNNKLYTYNLTSPISNFPHGVLSEDGFYRVAANGTVIWFQLCETMLFNHDPPMCVDCVDCGGGSRCGMGCSALASSIVGGYPVCSTLGRPSTTSISLIDNNSPLRGIVVKTYHSALKNCSLAVSVVCDETATGPQTLEKVGTCDFTTELRHPSGCGRVISSKGKGLGWFGTLLIIILCLFGAYFLGGMIYRYFFLHIRGIDIIPNLEFWSSLPHRVQSWFQSISQRLRGPSQHHRSTYSPVEF >itb03g15090.t1 pep chromosome:ASM357664v1:3:14529727:14533763:-1 gene:itb03g15090 transcript:itb03g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGSSLHLNLSLLRLLRLLRLLHLIFTLAILDGLGISSVSASCDYSFGQNNKLYTYNLTSPISNFPHGVLSEDGFYRVAANGTVIWFQLCETMLFNHDPPMCVDCVDCGGGSRCGMGCSALASSIVGGYPVCSTLGRPSTTSISLIVARDAAIKAVFYPSTSELVMLIFFLGSLDGTDNNSPLRGIVVKTYHSALKNCSLAVSVVCDETATGPQTLEKVGTCDFTTELRHPSGCGRVISSKGKGLGWFGTLLIIILCLFGAYFLGGMIYRYFFLHIRGIDIIPNLEFWSSLPHRVQSWFQSISQRLRGPSQHHRSTYSPVEF >itb01g08190.t1 pep chromosome:ASM357664v1:1:6585737:6586853:-1 gene:itb01g08190 transcript:itb01g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGRDCAQIYAIYGVDDWQTTVFLVLHAVFFSALSVVFLVYFEPICVFFERLVPGSSGAARFAAGFTGSVTALSAVCLFYAAGNMFYSSVSLRWEMAQRMVGAVGDWAWVKHALDLGCDDRGILLNAVALQLKKAGSSGRVVGLHPAGTRSLAALRTACMEGVQEYVTCRAGDPRRVPFGDGAFDVVVSAAFVHKVGKEFGPRTAAAAAERMRVVGEVVRVLRPGGVGVVWDLVHVPEYVKRLHELKMEEIRVSERVTAFMVSSHMVSFRKPNHPILPSNQVTLDWRFNDIC >itb10g02960.t1 pep chromosome:ASM357664v1:10:2598819:2600663:-1 gene:itb10g02960 transcript:itb10g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKVGQRRRRNQLRRQRKLVIVCCSRTAGDRGCYIVCCSRTAGDRGCYRHVRRYLAAGREVEERRFMDREDTTFVATNHRSGCVGGVRHGAFRRWWLRRSSPEYDMDLLLFFIFVFGREKWWRGGCYRHVRRYLAAGREVEERRFMDREDTTFVATNHRSGCVGGVRHGAFRRWWLRRSSPEYDMDLLLFFIFVFGREKWWRGFGFCRKK >itb05g22520.t1 pep chromosome:ASM357664v1:5:27925813:27927394:-1 gene:itb05g22520 transcript:itb05g22520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIENRSGGDGRWSLKGMNARGGDGRWSLKGMNALVTGGTRGIGYAIVEELASFGATVYTCSRKQEDLDKCFQEWKSKGYKVSGSVCDLSSKPQRQELMEKVGDYFNGELHILVNNAATSIPKKTTDVSDEDYSVTMSTNFEAPYHLSQLSHPLLKATGNGSIVFISSTAGLLASPYLSLYSGSKGAINQVTRSLACEWAKDDIRVNAVAPWFIETPLKDEVVKFYQPGEVEGAIKRTPISRQGKPNEISSVVAFLCLPAASWLTGQVICVDGGITATSF >itb09g08070.t1 pep chromosome:ASM357664v1:9:4855944:4856264:1 gene:itb09g08070 transcript:itb09g08070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEKPMEELYNIRVERNVSKERLQELGVSRWSMWKTGECKLPWDWHVDQLVYIEEGEVKVVPDGSERHMCFVAGDLVRYPKWFEADLYFNGFYQERYSFRAYGDD >itb09g08070.t2 pep chromosome:ASM357664v1:9:4855842:4856264:1 gene:itb09g08070 transcript:itb09g08070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVINPTLSSFNTINCRNPNHKSSRRSLGVRAMRIEKPMEELYNIRVERNVSKERLQELGVSRWSMWKTGECKLPWDWHVDQLVYIEEGEVKVVPDGSERHMCFVAGDLVRYPKWFEADLYFNGFYQERYSFRAYGDD >itb05g26710.t1 pep chromosome:ASM357664v1:5:30441346:30443250:1 gene:itb05g26710 transcript:itb05g26710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRNGGCNLKILDFGLRFFVIPVTVASIWLTIRNQQSNSDYGVVLSLSDFKGLQYTVAVSGASCGYALFTAVALWVRSLVSKAWFFFVSDQVIAYLMVTSMGTLAEMLYLVYHGDRRVTWSEACTSYGKFCDRLKLVFVLHAIALCCFIVLAAISAFRVFSRYDLPSDPSKEFEEEQKC >itb01g28490.t1 pep chromosome:ASM357664v1:1:32912345:32913526:1 gene:itb01g28490 transcript:itb01g28490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESFLYSFVARGTAVLAESHTEFAGNFRQIALQRIQELPSSADRFTYNYHRHTFTFLVEEDYTYCVVVNESLGTQSSIAILENVKTDFKRRYGGGRADTATANGLDKEFGAIMKEQMQHMMDKEIEEVLNVSGVKCVMYDSTVKAQVFRVTTNQIHRKIWRQNMKIKLVAIGIIFLMLLLIIWLSI >itb07g10810.t1 pep chromosome:ASM357664v1:7:12103983:12104312:1 gene:itb07g10810 transcript:itb07g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENKKEAKVSGIKSSSPTRLQKKAPVALKLDKEEMVPYNPFISLEDGSYSSSSSTQIAIPLLSPIVPPPPPPVLNVEGQSCSQIGLWCHPALEPFPVLAAFNTKCTL >itb09g28510.t1 pep chromosome:ASM357664v1:9:29099035:29099976:-1 gene:itb09g28510 transcript:itb09g28510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 10 [Source:Projected from Arabidopsis thaliana (AT1G32320) UniProtKB/Swiss-Prot;Acc:Q9LQM8] MTLVRERRQKQPLSLSLPHISPADTSLYFLSSPGTGSLSEFEKLQVLGHGSGGVVYKVRHRQTREIYAVKILRRGESGMREVDVVRRVECEFVVKCHGINAEVGNDCFVPVGDVFLVMEYMGEGSVREVLEKIGGLSEGVIGYLARRVLKGLKYLHGMNIVHGDIKPSNLLINSEWEVKIADFGVSRVSDEEFESSSMGTCAYMSPERLDPGRWPEGSSAGFSGDVWAVGVVVMECFLGHFPLLDEGHKPDWASMVCAVSFGGDTMRPPENASPELQGFIIRCLVKDWSRRATVEELLDHPFVTKYCDVWKMN >itb12g27920.t1 pep chromosome:ASM357664v1:12:28083685:28085417:-1 gene:itb12g27920 transcript:itb12g27920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKANGQILAINEIFEKNPTKINNYGVWLRYQSRTGYHNMYKEFRDTTLNGAVEHMYNEMASRHRVRHHCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKASRPNLFV >itb04g03940.t1 pep chromosome:ASM357664v1:4:2452014:2455855:-1 gene:itb04g03940 transcript:itb04g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQRKLAMLNYPRANAPAQALLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDPADRDEETSRIQYLAEIAKFLGITTTVDTEAIQGRGSYEDRTEMLRLIVDLVEASLYADNHEWSVDEQVAKDIQLIDAIAEKQAQIFSEECKLFPADVQIQSIYPLPDIADLEKQLSDQSNRLLSLQEMVDDLASKHPYNPDEDYVEVEAKLRAHLETFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLWKFLGNLKNLRDSHAAVAVGSSETVAGEPSSVTRIISECETALTLLNRDLAILSASIAREQGEDATS >itb05g05700.t1 pep chromosome:ASM357664v1:5:5507001:5510500:-1 gene:itb05g05700 transcript:itb05g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKKNSRDEAPDFLPLEGGPVRKVPVTELRDTKATVLYIGRIPHGFYENEMEGFFKQFGTIKRLRIARNKKTGKSKHFGFIEFESPEVAKIVSETMHNYLLFEHVLQVHLVPPERVHPKLWNGVNRWYKPLDWVNIERKNHNKERTLEEQKKLVEGIKKRDRKRRKKIEAAGIDYECPEIVGYVESAPKKIKFNAD >itb04g27290.t1 pep chromosome:ASM357664v1:4:31318226:31321209:-1 gene:itb04g27290 transcript:itb04g27290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLILNVTLRRHFFVSVSSSSPRAIQLIRITFPNRPTSDHRFCTTRVLSSLSNHSRRKMEAEHYQFGPYEINPKEVFYSSKLSYALVNLRPLVPDLTADETSDLWCVAQKIGCQLESYHNASSLTFAIQDGPQAGQTVPHVHVHIIPRKSGDFEKNDEIYDALDEKEKELKQSLDLDKERKDRSMEEMAQEADEYRKLFL >itb02g03060.t1 pep chromosome:ASM357664v1:2:1757016:1759107:-1 gene:itb02g03060 transcript:itb02g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLITLPLLQLLLFLIVAVLISVPKCFSQQSPSYLGFVVNATQLPPEEFYDYIVVGGGTAGCPLAATLSERFKVLVLERGGVPYGNPNLMTQEGYLTALTNIDAYDSPVQAFTSQEGVPNARGRVLGGSSTINAGFYSRADREFYRRSGIGWDLRMVNQSYEWVERLIVFRPELKNWQVAVRDGLLEAGIDPYNGFSLDHVLGTKIGGSTFDSSGRRHISADLLIYANPSNIRVAVHASVERILLNSGSGSGFPAKHSATGVVFRDQTGGFHHAMVRGKGEVLLSAGALGSPQLLLLSGIGPRHYLSSLGIPVSLHSPYVGEFLFDNPRNGISIVPPLPLEHSLVQVVGITSSGAYLEAVSNVIPLAAANPALPFFVRTPSPPVFHTVATILEKVVGPSSAGSLRLASTDVRVNPVVRFNYFSDIGDLQRCVNGTRKIGDVLRTSSMEGFKFSQWFGGRDFIYVGSALPADLSNDVLMEEFCRQTVTTIWHYHGGCVVGKVVDTKLRVMGVKALRVVDGSIFTVSPGTNPQATLLMMGRYIGLQMLRERFD >itb08g03300.t1 pep chromosome:ASM357664v1:8:2714864:2715545:1 gene:itb08g03300 transcript:itb08g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLWGGRRGVSWRDQTVDSITAPPLPLMVLFGLVAFFMCLSTYLDFKAQVARTKSSLRMFLFLVPLLVVLLVYIRLVSYRFFYPYGRPVYRSGGGGDGGIAPWGLAVLVVLLLVMVHYQVSIQSSWFPLM >itb14g03560.t2 pep chromosome:ASM357664v1:14:3138727:3143121:-1 gene:itb14g03560 transcript:itb14g03560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVKRLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCTEGENRLLVYEFMPKGSLENHLFRRGPQPLNWATRIKVAIGAARGLAFLHDAKEQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLSAKSDVYSFGVVLLELLSGRRAVDNTNVGVEQNLVDWARPYLGDKRRLFRIMDTKLGGQYPQKAAYTAASLAVQCLSPEPKLRPCMSDVLAALEELQAPKGSSKLLQPEQQQRTPNHPRMSPLKQPRSPMTPTPSASPLLKSPRAR >itb14g03560.t1 pep chromosome:ASM357664v1:14:3138727:3143121:-1 gene:itb14g03560 transcript:itb14g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVGSSAKVDATLSSHTPSAAEVARVASKTSSYSVPSSLSIPSNGRKSSVDSLPTPRSESEILLSPHVKAFQFNELRNATRNFRPDSLLGEGGFGYVFKGWIDEHTLSAAKPGFGMVVAVKRLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCTEGENRLLVYEFMPKGSLENHLFRRGPQPLNWATRIKVAIGAARGLAFLHDAKEQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLSAKSDVYSFGVVLLELLSGRRAVDNTNVGVEQNLVDWARPYLGDKRRLFRIMDTKLGGQYPQKAAYTAASLAVQCLSPEPKLRPCMSDVLAALEELQAPKGSSKLLQPEQQQRTPNHPRMSPLKQPRSPMTPTPSASPLLKSPRAR >itb03g20840.t1 pep chromosome:ASM357664v1:3:18675127:18679914:1 gene:itb03g20840 transcript:itb03g20840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVSSLGAFFFFFFFICHALLHADLISRDTLGPGETLLQGLFFNSENDLESSNTCYRRALPPSAGWYLCIQYTRYDFQRPPEEITIWVACQGQGQSDDNSPNLLMSEEGQLKINAADKDFIVNIEQPTYVKNTTATLLDNGNLVLRSPRGRTLWQSFDYPTNNWVQGMKLGWFGLKTPRPHQRFLTSWTSHQNPSPGAFTFGVLYPNNTKPPQLVLMRRGIVYWQNGVWNGKYFPFLRDSPGVYNFSYFSDDNERYFILNNQVVDDISSNILIYASGEVSVITDNYRESSMFTAIDCYNNKRADSDTEGCVRVKKSNCGSVGDNGWFNSTTGFIEQWEQYLYNFTFGITDCNQMCAQNCSCNAYASIIAEAGTGCKFSSSPAYNYSFDGDALYIRHNAKPDERKKDCLDWRKRISIIDGIAQGLLYLHKYSRMRIIHRDLKTSNILLDIHMHPKISDFGTARIFKDNVYQASTKSIIGTYFGVMVLEIVSGKRNNDFYNPNHVSNLLGYAWDVWIEGRILDLIDPALDKPVSINEATRCIQIGLLCVQDSAADRPTMSDVISVLGNESTVLPTPKQPGFSTVIGLKCDDVGNNPKVHSANMVTISDIEGR >itb14g17160.t2 pep chromosome:ASM357664v1:14:20485906:20495467:1 gene:itb14g17160 transcript:itb14g17160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMRKVKMDVMRPWIAKRVTELVGIEDEVLINFIYSLLEGKDVNGKEVQIQLTGFMERNTGKFMKELWSLLLSAQNNASGVPQQFLDAKEEETKKKKAETDRITNEIQRRKEREYQELEQEKKKADGDVDISRDTHAALEPSTKHHARGSPPSAEKVYADKNGSRGRNRSSRSPNSADHSPLPRDRRSRSISRSFSNSRSYSGERRRSRSRSSPEQRGRSISSERARPSPVKRSITPRHKYSPRRSVSVSPPRRRRRSVSRSRRRSPSPTRYRRHSPLRRRSRSPKRRRSRSPIWRRSRSPIRRSPMRHRLRSPLRPRSPLRRRSPSPVRRRTPSHIRHRSPSPFMRRSRHSPLSPRRGSPTTVRRPISGRRVSRSPVRRRSRSPIQRRSASPEYSNSASPVHHRALSPIKKRTPKRERMSPVHSPPERMRSHGKYSPSRSSSEDGSQPTVVRKESNIVGRRQPISSRSPRSDLYDRKPSHHKRSPSPRQSPSFSESPPRRSPPSISESLARRSPSVSDSRQRKPPSISESPPAARERSPSEERSRSPNKRTLNERRGKIIRTGSPSPLRKQRDHKLHHDAYERTPDERDVNSLSEKTSGRMDHIKDFDDRQRSPVTHRGSHVAKKDRESLDLESPKADERNLSRLNAIQGEDQPVDAKHLHETSRKVEDVEQIIYKNSGSEECDKRRSRVKEKRKHKRSVRHESTSDDDSSYDSGVDERKEAKRRKKEEKKLRKEEKRRRRDERRRKKEERRAGKQKLKSDNAISSPSDAEKTNDGNVSDEELARKEFHGREIEGTDSAKKLEIELREKALESLRAKKGIGH >itb14g17160.t1 pep chromosome:ASM357664v1:14:20485906:20495467:1 gene:itb14g17160 transcript:itb14g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMRKVKMDVMRPWIAKRVTELVGIEDEVLINFIYSLLEGKDVNGKEVQIQLTGFMERNTGKFMKELWSLLLSAQNNASGVPQQFLDAKEEETKKKKAETDRITNEIQRRKEREYQELEQEKKKADGDVDISRDTHAALEPSTKHHARGSPPSAEKVYADKNGSRGRNRSSRSPNSADHSPLPRDRRSRSISRSFSNSRSYSGERRRSRSRSSPEQRGRSISSERARPSPVKRSITPRHKYSPRRSVSVSPPRRRRRSVSRSRRRSPSPTRYRRHSPLRRRSRSPKRRRSRSPIWRRSRSPIRRSPMRHRLRSPLRPRSPLRRRSPSPVRRRTPSHIRHRSPSPFMRRSRHSPLSPRRGSPTTVRRPISGRRVSRSPVRRRSRSPIQRRSASPEYSNSASPVHHRALSPIKKRTPKRERMSPVHSPPERMRSHGKYSPSRSSSEDGSQPTVVRKESNIVGRRQPISSRSPRSDLYDRKPSHHKRSPSPRQSPSFSESPPRRSPPSISESLARRSPSVSDSRQRKPPSISESPPAARERSPSEERSRSPNKRTLNERRGKIIRTGSPSPLRKQRDHKLHHDAYERTPDERDVNSLRGPVETRSHSNGSGSRKPKEAMRGEKTSGRMDHIKDFDDRQRSPVTHRGSHVAKKDRESLDLESPKADERNLSRLNAIQGEDQPVDAKHLHETSRKVEDVEQIIYKNSGSEECDKRRSRVKEKRKHKRSVRHESTSDDDSSYDSGVDERKEAKRRKKEEKKLRKEEKRRRRDERRRKKEERRAGKQKLKSDNAISSPSDAEKTNDGNVSDEELARKEFHGREIEGTDSAKKLEIELREKALESLRAKKGIGH >itb14g17160.t3 pep chromosome:ASM357664v1:14:20485906:20494901:1 gene:itb14g17160 transcript:itb14g17160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMRKVKMDVMRPWIAKRVTELVGIEDEVLINFIYSLLEGKDVNGKEVQIQLTGFMERNTGKFMKELWSLLLSAQNNASGVPQQFLDAKEEETKKKKAETDRITNEIQRRKEREYQELEQEKKKADGDVDISRDTHAALEPSTKHHARGSPPSAEKVYADKNGSRGRNRSSRSPNSADHSPLPRDRRSRSISRSFSNSRSYSGERRRSRSRSSPEQRGRSISSERARPSPVKRSITPRHKYSPRRSVSVSPPRRRRRSVSRSRRRSPSPTRYRRHSPLRRRSRSPKRRRSRSPIWRRSRSPIRRSPMRHRLRSPLRPRSPLRRRSPSPVRRRTPSHIRHRSPSPFMRRSRHSPLSPRRGSPTTVRRPISGRRVSRSPVRRRSRSPIQRRSASPEYSNSASPVHHRALSPIKKRTPKRERMSPVHSPPERMRSHGKYSPSRSSSEDGSQPTVVRKESNIVGRRQPISSRSPRSDLYDRKPSHHKRSPSPRQSPSFSESPPRRSPPSISESLARRSPSVSDSRQRKPPSISESPPAARERSPSEERSRSPNKRTLNERRGKIIRTGSPSPLRKQRDHKLHHDAYERTPDERDVNSLRGPVETRSHSNGSGSRKPKEAMRGEKTSGRMDHIKDFDDRQRSPVTHRGSHVAKKDRESLDLESPKADERNLSRLNAIQGEDQPVDAKHLHETSRKVEDVEQIIYKNSGSEECDKRRSRVKEKRKHKRSVRHESTSDDDSSYDSGVDERKEAKRRKKEEKKLRKEEKRRRRDERRRKKEERRAGKQKLKSDNAISSPSDAEKTNDGNVSDEELARKEFHGREIEGTDSAKKLEIELREKALESLRAKKGIGH >itb14g17160.t4 pep chromosome:ASM357664v1:14:20485906:20494901:1 gene:itb14g17160 transcript:itb14g17160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMRKVKMDVMRPWIAKRVTELVGIEDEVLINFIYSLLEGKDVNGKEVQIQLTGFMERNTGKFMKELWSLLLSAQNNASGVPQQFLDAKEEETKKKKAETDRITNEIQRRKEREYQELEQEKKKADGDVDISRDTHAALEPSTKHHARGSPPSAEKVYADKNGSRGRNRSSRSPNSADHSPLPRDRRSRSISRSFSNSRSYSGERRRSRSRSSPEQRGRSISSERARPSPVKRSITPRHKYSPRRSVSVSPPRRRRRSVSRSRRRSPSPTRYRRHSPLRRRSRSPKRRRSRSPIWRRSRSPIRRSPMRHRLRSPLRPRSPLRRRSPSPVRRRTPSHIRHRSPSPFMRRSRHSPLSPRRGSPTTVRRPISGRRVSRSPVRRRSRSPIQRRSASPEYSNSASPVHHRALSPIKKRTPKRERMSPVHSPPERMRSHGKYSPSRSSSEDGSQPTVVRKESNIVGRRQPISSRSPRSDLYDRKPSHHKRSPSPRQSPSFSESPPRRSPPSISESLARRSPSVSDSRQRKPPSISESPPAARERSPSEERSRSPNKRTLNERRGKIIRTGSPSPLRKQRDHKLHHDAYERTPDERDVNSLSEKTSGRMDHIKDFDDRQRSPVTHRGSHVAKKDRESLDLESPKADERNLSRLNAIQGEDQPVDAKHLHETSRKVEDVEQIIYKNSGSEECDKRRSRVKEKRKHKRSVRHESTSDDDSSYDSGVDERKEAKRRKKEEKKLRKEEKRRRRDERRRKKEERRAGKQKLKSDNAISSPSDAEKTNDGNVSDEELARKEFHGREIEGTDSAKKLEIELREKALESLRAKKGIGH >itb11g01610.t1 pep chromosome:ASM357664v1:11:786030:793859:1 gene:itb11g01610 transcript:itb11g01610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNQSRSESRESYQNRKPGRSGSFNQYRGSKGGGGGGGSGSAPAPSPSSSYNRSFNKKYNNAQGGYRAASDPSGSSARGVQNGAHQEQPLSEVLDAAPITTAGVPPLGTPVNVSNAPTQKINRAVPRPPPSNSSAGPDPNAPGTSKAPGDGSKSFPLQFGSLNPSFMNGMQVPARTSSAPPNLDEQKRSQARLNSLKPGPALPNPSTSVQPPAKKDAGKVDQFKKDSGKVDQYSNFEGPVHSRSKRDMPSSGPPPLTQTLKPSPHPLTGMHMQMPFHPAQPQVPVPYGGHGQIQSQTMSASSLPIQMSMPLLGNPSLQQPMFVTGLPPHPMSSQGIMHQGQGLSFAPGINPQMPPQLGNMGMNIPPQFPQQQAGKFSSLRKTVKITHPDTHEELRLDGSPGPRSHPSMPPQSQPLSSFPPTPINYYPNSYNNSSVYFQPPSSLPPKNSQSPQATRFYNQVTIKPAPGTPGEKDQVTVKPAPGIPGERDQLALVSSPTSKDSQKHAKSHVVASVHPQKDSQASTQSSMLQPKQGYGSTHASSIPEASMHSTVVLGSSFEAPSLTPVITTSDKGSTDVPVGSSEALSPDAIKVQQKKPGDIDPSSVQDQVARLSTSVLGLPSQPPETGDESAGGSTVDDIDAKAVDAHIEESSKPLDTESEENNTVPETTSKNEKEVKLHDVVQDSNNSKTQSESIRSESSEFINQTKEPCLQRAISINEGSSVKITQGKVNESATCSSEVDNEADSSLSSSLDINDMNSKGTPLVIGVSTQDLHMGTKEITSPTTSVVDEESGSESHVCPSEATSKHKDENDDTSLTTSIAKEKSLVYQDLPKSTLARKKKKKEIYKKADAAGATSDLYVAYKGTEEKKENCTYVESNQSIEGNIVDSSKPFTAEVVQDIVQVKEGDQVKVEPDDWEDAVDVSSPKLESPENGKQVVRAFNNYAEGCDEMTTKKYSRDFLFKFADLYTDLPEGFAIASDIAEVLMGSTVDVTNEPYPSPGRIIDRPSGGSRPERRGSVMGDEEKWSKLPGPLVTGRESRDMRLDMAYGSNAMGFRPNQGGNFGVLRNPHAPTSLQYAGGILAGPLHSVGSQGMQRNGVDADRWQRGTAFQKGLMPSPHSPLQVMHKAEKKYEVGRVTDEEQAKQRQLKAILNKLTPQNFEKLFQQVKDVKIDNVTTLNGVISQIFDKALMEPTFCEMYANFCSHLSAELPDLSIDNEKITFKRLLLNKCQEEFERGKREEQEANVTDGEGETKLSDEEREEKRLKARRRMLGNIRLIGELYKKKMLTERIMHECIKNLLGETENQNPDEENIEALCKLMSTIGEMIDHVKAKVHMDAYFAWMANLSINMKLSSRVRFMLKDAIDLRKNNWQQRRKVEGPKKIEEVHRDAAQERQAQASRISRAPGLSSSVRRGQQIDFSPRGPSILPSPSSQMSGFRPMSPQMRDYGGQDSRLDDRNSFENRALSLPLTQRSHGDDITLGPQGGLARSFRGQTTAPITPSANIPSPGDPHRVASGMNGFSPMPDRITHGSREDSMQRYIPERVSSQYDHTGKQEWNMQYGNRDRGFDTALTSPPVRGGGTSSMQNVHQDKGLSEERLQDLSMSAIKEFYSARDEKEVALCMKDLNAPSFYPSMIALWITDSFERKEMERDLLGKLLLNLTKSRDVILSPDQMIQGFERVLTTLEDAVNDAPRAAEFLGRIFANMVLENVVPLNEIGRLLQEGGEEKGCLVETGLAADVLGSTLEIIKSEKGDSLLNDICKRSNLLLENFRPPGSNKQSKLDKFLFS >itb04g12540.t1 pep chromosome:ASM357664v1:4:12270799:12271299:-1 gene:itb04g12540 transcript:itb04g12540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPITRKHNPKPNIIFCSSQEIDLCKPCPCCSSQEIDLCKPCPCRIPELHQTFFQFISHSSVVKICAQASGMILRSHYIFLDDGYEETRSQGEDEESDEYEEANEEWKAFELLKTHVFASSIWVLCFE >itb09g00450.t1 pep chromosome:ASM357664v1:9:357738:361522:1 gene:itb09g00450 transcript:itb09g00450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKISQNCYPNSSSFPPTLSLPHLNFAAARGRSGSCLRSSSASQTTTWKFVDHHSSQPADGWVSKPHPHFFTQLIQIISNRPKVITVTPSAIVLLSAFCLFFIHPLSAFASSFQTAAKSGGGGLLVHAEILNSAWTGFLAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQLLFGLLFLLLKDRLSLHIGLLETWGTRVVGFTLLVIGAMGIREAYENENGEFDVSLQGGFETAAAAIGKKNKVGFATFATGIVHGLQPDALMMVVPALALPSRFAGAAFLFMFLAGTVIAMGSYTAFLASCSQALKDRVPRITEKLTWASSLVAIALGFAILAGPYFGFSLY >itb13g01360.t1 pep chromosome:ASM357664v1:13:1301266:1304151:-1 gene:itb13g01360 transcript:itb13g01360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDKMRVLMFTRILTVVLLFSNLFRINVMCALGQCLLDQKTSLLQIRSNLTFDPLASTKLVQWDERDDCCKWPGVGCNGAGYVTSLDLSNEPITGGFNVSLLLKLRLVSVIRLDGINLSVPFPDFFSDFTNLTVLSLASCNFSGTVDRKVFQVRTLQTVDLSFNEMVGGSLPDFPENGSLQSLSLYSTKFSGNLPESIGNLRMLSHIDLSDCSFSGSIPASFGKLTNLVHVSLARNQFSGSIPSFNLSKNLTVLDLSSNKFTGEIPSFKLSKNLTVLDLSSNKFSGEIPSSHWDGLDNLEALYLNNNSFSGPIPASLFSLPSLQTLYLFMNKFSGRIIDLQKNVSSPLTDLDLGSNNLEGPIPSFLFQLRDLSSLSLSWNKFSGTVQLTKFKNLESTYNLDLSYNNLAIETNISEAELPFFPQFQFLSLASCNLQQIPDFLKNQSRLAMLDLSSNAISGEIPSWIWGINDGFVRYLNLSHNHLTHIQEPMEYGRHDYLDLNSNLLSGKVPPLPRAAVHLDLSNNNFSSTMPPDIGDQLPNIRFFSIANNRLVSLTFLSFLNLSHNRLVGRIPQGNQFKTFGESSFVENKGLCGFPLHVSCSGNKEPASPVLPELEEEESSDNAEIYTGIGLGFVGGLGGIFVPLLLSRKWRSYYNKKIDGILSKILFQRDCGGTRFRSKSI >itb06g20990.t2 pep chromosome:ASM357664v1:6:23746801:23751576:-1 gene:itb06g20990 transcript:itb06g20990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPIQNQSPSDSGMGSELQNIPPEAIRPVRPKSADDATTVKDDRPFLKPGRADSAAPEAHTPPPPSPSMEELEKKYAPFVRHDVYGIMGRGELPRREKLLLAIGLVALLPLRVVLATAILVVYYLICRVCTAFLAPTEEGEQEEDYAHLGGWRRKVITQSGRFCSRAMLFAFGFYWIRKTHRDNDINGKLNNEGQVSEPERPGAIVSNHVSHLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESRSPEFKGVSGVVNERIKEAHQDKLAPKMMLFPEGTTTNGDFLLPFKTGAFLSKVPVLPVILRYPYQRFSPAWDSISGARHVMLLLCQFINYMEVIWLPVYCPSQQEKDDPKLYAENVRRLMAREGNMIMSDIGLAEKRVYHAALNGNNSMSTVYHQKDE >itb06g20990.t1 pep chromosome:ASM357664v1:6:23746801:23751576:-1 gene:itb06g20990 transcript:itb06g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPIQNQSPSDSGMGSELQNIPPEAIRPVRPKSADDATTVKDDRPFLKPGRADSAAPEAHTPPPPSPSMEELEKKYAPFVRHDVYGIMGRGELPRREKLLLAIGLVALLPLRVVLATAILVVYYLICRVCTAFLAPTEEGEQEEDYAHLGGWRRKVITQSGRFCSRAMLFAFGFYWIRKTHRDNDINGKLNNEGQVSEPERPGAIVSNHVSHLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESRSPEFKGVSGVVNERIKEAHQDKLAPKMMLFPEGTTTNGDFLLPFKTGAFLSKVPVLPVILRYPYQRFSPAWDSISGARHVMLLLCQFINYMEVIWLPVYCPSQQEKDDPKLYAENVRRLMAREGNMIMSDIGLAEKRVYHAALNGLFIQQ >itb13g08910.t1 pep chromosome:ASM357664v1:13:11790073:11792176:-1 gene:itb13g08910 transcript:itb13g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIFTFFFFISFFSISPSTTTASNCPPLKCNNSSSSEDLTIQHPFHIQNQQPQECGVPGFGLNCKHNMTTLHFPSYGDLVVKSISYDVQRVDLLDPKNCVHQVFLNLNLSHTPFTYYYTLKEYKYLKCLAELPAPYLQVPCLSVSGSHFYIVETCMSVPTSCQHVKTLPIPFSYSPYLNDNSFGLRLTWKMSGAEEAGHHGGMFIKIAAYQVLVVAMLILVAMVVLYYVRTSKSKKLISDEEMKMENGDDEVVKVEALLGEYEAVSSSPA >itb05g16730.t1 pep chromosome:ASM357664v1:5:23867489:23870993:-1 gene:itb05g16730 transcript:itb05g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAISSMLVPPTPLNSPLIPFKSRTPSLHFRNDKLFIREISPSRGRVTAKPPSCSASGATAVEESIGIDALQRFIDLNTGNWTGSFHQFDSHGNLLHSISTKLAVGSYGEDELISLIQTLYIRQPQSTTSFSGEDYETEWYEYKIKETNLFTVDKYQQIGFFPKEKAFALRYQTAGMLETVLRQGVLGEDDVEEESPRNLKIPSKRPSIVCENCLYSLEKDMRVRAFHIMDPKGIPEMLLVFLEERGGGDLTPPTFDKSKDEVNRISPHLGKWNGYSITKRTGVYGATVEQADTIALFEMNKDGQLIQDITSTSSRNNVTTNLRWTGTISGNLVSFNDGAFQFILLPGGMYMGCPTDLAKSVHESKSFHLEFCWLESPDKRQRLVRTFDMEGLAVSSTYFFETKL >itb05g16730.t2 pep chromosome:ASM357664v1:5:23867489:23870993:-1 gene:itb05g16730 transcript:itb05g16730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAISSMLVPPTPLNSPLIPFKSRTPSLHFRNDKLFIREISPSRGRVTAKPPSCSASGATAVEESIGIDALQRFIDLNTGNWTGSFHQFDSHGNLLHSISTKLAVGSYGEDELISLIQTLYIRQPQSTTSFSGEDYETEWYEYKIKETNLFTVDKYQQIGFFPKEKAFALRYQTAGMLETVLRQGVLGEDDVEEESPRNLKIPSKRPSIVCENCLYSLEKDMRVRAFHIMDPKGIPEMLLVFLEERGGGDLTPPTFDKSKDEVNRISPHLGKWNGYSITKRTGVYGATVEQADTIALFEMNKDGQLIQKNCHWGCSKVCFICFMAQELALCGGYYFHIF >itb14g00400.t1 pep chromosome:ASM357664v1:14:280177:280927:-1 gene:itb14g00400 transcript:itb14g00400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVISVVAEAKKKWVHIAGTADLGKLLHTIEKKGRKVEVIYYCKMNLSNYQPTKEAKHDDKTPKHGPQKHILLPPLVPNPRKKNSFRRKKEDEKAEDHHFACGDSDDNGNDYEYEDAHARYKSRVHKRESHSAAAAAPRVARPPSPPWEKAHVRGFGDDFMDADVAYHLRKMRSTVPQAMSQGGYMHVQPPPPPQYGGYYGGGSSYPAAYEAAPPPAYQYGYSPY >itb08g14040.t1 pep chromosome:ASM357664v1:8:15635146:15639976:-1 gene:itb08g14040 transcript:itb08g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] MAESIPFKNLHTREYQGHKKKVHSVAWNCTGTKLASGSVDQTARIWHIEPHGHGKAKDIELKGHTDSVDQLCWDPKHAELIATASGDKTVRLWDARSGKCSQQVELSGENINITYKPDGTHIAVGNREDELTILDVRKFKAMHKRKFNYEVNEIAWNMTGDMFFLTTGNGTVEVLSYPSLRPVDTLMAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDIKEMLCVRTFTKLEWPVRTISFNHTGEYIASASEDLFIDISNVQTGRSVHQIPCRAAMNSVEWNPKLNLLAHAGDDKNKYQADEGVFRIFGFESA >itb12g28230.t1 pep chromosome:ASM357664v1:12:28228554:28231233:1 gene:itb12g28230 transcript:itb12g28230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRVILSNSKVDVWTLIHTAIDVASSDYITDFKDRRGGIVEKLFSVPCPNCNTHFIPNQLTNYNINTNVETERENSKSPLTPDPNYHTNDNPDGRGEEDKHEDADPYGGLFDDEQTKILEIKEQLEDPEQSEDSVVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNEVRRLVKQLVRKWKETVDKWVKLNQSEQTSSNLIADGDSPQQNLLKNQQNGHPQVPDFGYSPNPQNGSSCSDPEQKPKSVPRNEAPPRSLQSAPPPSRPPRESTIDMEKLNSARRRLQENYQEAQNAKKQRTIQVMDIHEIPKPKNGFIAKNKGGGYQGRNHR >itb12g28230.t4 pep chromosome:ASM357664v1:12:28228554:28231233:1 gene:itb12g28230 transcript:itb12g28230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRVILSNSKVDVWTLIHTAIDVASSDYITDFKDRRGGIVEKLFSVPCPNCNTHFIPNQLTNYNINTNVETERENSKSPLTPDPNYHTNDNPDGRGEEDKHEDADPYGGLFDDEQTKILEIKEQLEDPEQSEDSVVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNEVRRLVKQLVRKWKETVDKWVKLNQSEQTSSNLIADGDSPQQNLLKNQQNGHPQVPDFGYSPNPQSKNQGRIRSWLVNYFCCIIQF >itb12g28230.t3 pep chromosome:ASM357664v1:12:28228554:28231051:1 gene:itb12g28230 transcript:itb12g28230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRVILSNSKVDVWTLIHTAIDVASSDYITDFKDRRGGIVEKLFSVPCPNCNTHFIPNQLTNYNINTNVETERENSKSPLTPDPNYHTNDNPDGRGEEDKHEDADPYGGLFDDEQTKILEIKEQLEDPEQSEDSVVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNEVRRLVKQLVRKWKETVDKWVKLNQSEQTSSNLIDGDSPQQNLLKNQQNGHPQVPDFGYSPNPQNGSSCSDPEQKPKSVPRNEAPPRSLQSAPPPSRPPRESTIDMEKLNSARRRLQENYQEAQNAKKQRTIQVMDIHEIPKPKNGFIAKNKGGGYQGRNHR >itb12g28230.t2 pep chromosome:ASM357664v1:12:28228554:28231095:1 gene:itb12g28230 transcript:itb12g28230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRVILSNSKVDVWTLIHTAIDVASSDYITDFKDRRGGIVEKLFSVPCPNCNTHFIPNQLTNYNINTNVETERENSKSPLTPDPNYHTNDNPDGRGEEDKHEDADPYGGLFDDEQTKILEIKEQLEDPEQSEDSVVELLQSLADMDITFQALKETDIGRHVNRLRKHPSNEVRRLVKQLVRKWKETVDKWVKLNQSEQTSSNLIADGDSPQQNLLKNQQNGHPQVPDFGYSPNPQNGSSCSDPEQKPKSVPRNEAPPRSLQSAPPPSRPPRESTIDMEKLNSARRRLQENYQEAQNGLILNFGMYCCIASPV >itb03g04560.t1 pep chromosome:ASM357664v1:3:2890135:2899075:-1 gene:itb03g04560 transcript:itb03g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MPALLNHGGGGGEEEAEQQLELTQKIARVLDEVRSSHATHLRKLKELSALRSRSSVSSEKFFAAFCTALTPLFDFQRRTSSAERIIRFVAIFAGERDSKNASHSDAFLEQFLRFLLVAAVAANRTARIRACQIISEIIMRLPDDTEVSNELWDEVIEGMKLRVGDKVPAVRTFAIRALSRFVNDSENSDVLELFMQTLLLEQNVDVRKTIVLSLPPSHSTATTVIDCTLDVSESVRKAAYCVLASKFPLQSLSIKLRTTILQRGLADRSLSVMKECIKLMKDEWLAKCCNEDPIKLLKFLDVETYELVGESVMSTLLKAGLVKLQDGQSIRQFFTSTSDSAEGQCNCTVQVMEAEVAFFWRTFCKHLQVEAQVKGSDAAMKTGTESAVYAAEASDSNDLLDQVLPATVSDYVELVKAHIDAGPNYRFASRQLLLLGAMLDFSDASNRRVAGEFLQELLHKPLDYELDEHENEVVIGDGINLGGDKDWAAAVSELARKVHATSGEFEEVVVGVIEELARPCRERTANCMQWLHCLAVISLLLENAQSFHWMQGKAIEPVEILHSVLLPGVKHVHLDVQRAATRCLGLFGLLERRPSDDLVKHLRRSFVKGPSPVTIMASKALIDLAMWHGPNEVDKAMNQPLSSQLRDHSMVVTPIELCDGREDFEIELLDLLYNGLQKNDWDDCIESNDNESVKSILGEGFAKILLLSEKYSGLPALSHPVLFAKLIGLYFFSESKEFQRLKQCLSVFFEHYPSLSVNHKMCLSKAFVPVMRSMWPGINGNATGSTAMVSNMRKRAVQASLFMVQMMQAPIYKKGTAPSNEDGMKNLDDSVDPSSEYESGEEGLAIRIGAEVTSFRGKKTAAEKSYTSALCKTLVLLRFRSSEQEAVKLMRQLLNLIFESVAAEKELLKDLKQMAERLQALDRLPDQKLSSDQANLILGKLEVEVTFDEDISMEVPPTPAPRSTRPSRGRRRARNVEESSSDEELSPSSVVPANPGATSTRSQRASKTVALTKMTAKRTLKIDEEDEDEGSEVTSDDDDSDAFD >itb03g27810.t1 pep chromosome:ASM357664v1:3:28029297:28030757:1 gene:itb03g27810 transcript:itb03g27810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLAPIKDKLLSLCADSAHRVNSQSISETLQISPGHTRLVLKTVATVLHSESDPLATANPAEVDSVGVNVFDLVVFLYIQSYKRPLPKGHKDSAAVADVWPSTSAFDGFLSALSPLQYVVFGHSMLNLWTDQGSLLGIDALLGCPLHLPISKVSI >itb14g03800.t1 pep chromosome:ASM357664v1:14:3423811:3428149:1 gene:itb14g03800 transcript:itb14g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLVCSLFLVLLIPVSSAQLLSSEKRILFQVQQLLEYPQPLQGWNNWTNFCFLPQTPSLVITCSGNHITELTILGNKGSHLSQNFSLDSFFTLLTKLSSLTRLSLVNLGLWGSLPAKITRFGGLEVLNLSSNRIQGSIPQSIAKFRNLKSLDLSQNLFNGRIPDLKGLSGLKVVDLSGNNLGPSFPSLGNSIVKLVLANNSLRSQVPQELRKLNLLTTLDLSSNKFVGPIPSFLFSLPSLEYINLARNQLSGALQPSVSCSKGLSFVDISNNLLIGKLPVCLLGSNSRNRTVISLWNCLANTGSKYQHQHSFCAKQALAVNPNPRARKGKEEESTIKLGIVLGVIGGIVAVVGGIGLLVLVIYRKVSRRRDEKYRCESFVFDKNLSRHTQMTDGGHGRRPMRMVSLGLPPYNVFTLEEMEDATSQFDPSNLVGEGSQGQLYRGKLRDGSVVLVNCQKLKQKHSNQILQQHMETISKLRHRHLMSVLGHCIATYQDNTTPTTVFIVLENIATGSLKDHLTDWRKRDVLKWPQRMGMAMGIAKGIQYLHTGGVTGNTLKLENVLLDETLTARITNYNISLPSKIVSDSPLHAQDHHISAKSGEQDDIYQLGVILIEIVIGRPITSQAEAEDLKLQIETCLAESPGKLRDLTDPCIRATFAYESLKTTVQIALNCLCVEPSMRPSIEDVLWHLQYSIQVQEGWGNSGNLSGKLSGRLSGNLSGKLSGNLKLSGNVGTKS >itb14g03800.t2 pep chromosome:ASM357664v1:14:3423811:3428149:1 gene:itb14g03800 transcript:itb14g03800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLVCSLFLVLLIPVSSAQLLSSEKRILFQVQQLLEYPQPLQGWNNWTNFCFLPQTPSLVITCSGNHITELTILGNKGSHLSQNFSLDSFFTLLTKLSSLTRLSLVNLGLWGSLPAKITRFGGLEVLNLSSNRIQGSIPQSIAKFRNLKSLDLSQNLFNGRIPDLKGLSGLKVVDLSGNNLGPSFPSLGNSIVKLVLANNSLRSQVPQELRKLNLLTTLDLSSNKFVGPIPSFLFSLPSLEYINLARNQLSGALQPSVSCSKGLSFVDISNNLLIGKLPVCLLGSNSRNRTVISLWNCLANTGSKYQHQHSFCAKQALAVNPNPRARKGKEEESTIKLGIVLGVIGGIVAVVGGIGLLVLVIYRKVSRRRDEKYRCESFVFDKNLSRHTQMTDGGHGRRPMRMVSLGLPPYNVFTLEEMEDATSQFDPSNLVGEGSQGQLYRGKLRDGSVVLVNCQKLKQKHSNQILQQHMETISKLRHRHLMSVLGHCIATYQDNTTPTTVFIVLENIATGSLKDHLTDWRKRDVLKWPQRMGMAMGIAKGIQYLHTGGVTGNTLKLENVLLDETLTARITNYNISLPSKVLILKQISTLEETNLVLALKI >itb03g05570.t1 pep chromosome:ASM357664v1:3:3930834:3934352:-1 gene:itb03g05570 transcript:itb03g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKMASPVVGGRICEEFSLGYYGVCAIGGMLSAGTTHLAMTPLDVLKVNMQVHPLKYNSIPTCFSTLLREQGPSVFWRGWGGKFFGYGAQGACRFGLYEYFKKVYSNLLVDQKKSLVFFLSSASSEMIANLALCPFEAIKVRVQAQPHFGKGLADGFPKLYASEGVRGLYKGLIPLLGRNLPFSMVMFSTFEHTVDFLYQKIIQKRKDDCSRSQQLGVTCLAGYAAGSVGSIVSNPADNIVASLYNKKAKSLKLAVKNIGLLNLFTRSLPIRIMLVGPSLTLQWLFYDTIKVLNGLPTSGHVSRGIEGDESCK >itb14g08990.t1 pep chromosome:ASM357664v1:14:8945579:8957575:1 gene:itb14g08990 transcript:itb14g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSALQFLDNTTILVTGAAGFLAKIFVEKILRVQPNVKKLYLLLRAADTEAALQRFNTEIMGKEIFQILKEKCENSVILEKVTVVAGDVSCENLGVKDSHLLTRMLSEVDAVVNLAATTKFDERYDVALGINTMGPKHVLDFAKKCPKLRILLHVSTAYVSGIKEGLIAETPYKMGEALNGKSGLDIDEEKRVIEEALRDLTRDNASQESITSAMKDLGIQRARMYGWPNTYVFTKALGEMVLGEFKEDLPLVIIRPTMVTGTYKEPFPGWVEGIRTIDSLGLGYGKGKLTCFLGDPKTITDVIPADMVVNVMIVAMVAHADERGGGESIYHVGSSVSNPIEFSRIQDFGYRYFTQHPWIGKDGKAVRVGKVTVLNSMASFERYMTIRYLLPLKGLGIVNTAFCKYFQTTYNEMNLKIKFVMRLVDLYGPYLFFKGVFDDMNTEKLWRAAKRGGIETDIFYFDPKVINWDDYFLKDHIPGVIKRNYK >itb01g34800.t1 pep chromosome:ASM357664v1:1:37307590:37309755:-1 gene:itb01g34800 transcript:itb01g34800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSASSRKALSKIAANRLQKELMEWQVNPPAGFKHKVTDNLQRWVIEVTGAPGTLYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLGLFHYPLLHFFCFQLTCSLSCAF >itb05g24360.t2 pep chromosome:ASM357664v1:5:29187982:29190553:1 gene:itb05g24360 transcript:itb05g24360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHTYLNPYIYLYIRMCVQSCVLGALNFLVLVSRGQFLNCREREERGRQESMEFYTYPSHALPSSSAFSSFSFETLAEKAKGFFTFVVSSFIGNVFSLIFIFFFALVGTLLGALTGALIGQETESGFIRGAAVGAISGAVFSLEVFESSLILWQSDESGIGCLLYLIDVLASLLSGRLVRERIGPAMLSAVQSQMGAVESAYDEASNIFDLGGSKGLPGDSVERIPKIVITSDNNVDASGERVSCSVCLQVKLPHSFSLFYCSPILHSFTESSFKRRLLCVCVIRTFSWARLSGVCRSAITCFTYRALISGW >itb05g24360.t1 pep chromosome:ASM357664v1:5:29187982:29190561:1 gene:itb05g24360 transcript:itb05g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHTYLNPYIYLYIRMCVQSCVLGALNFLVLVSRGQFLNCREREERGRQESMEFYTYPSHALPSSSAFSSFSFETLAEKAKGFFTFVVSSFIGNVFSLIFIFFFALVGTLLGALTGALIGQETESGFIRGAAVGAISGAVFSLEVFESSLILWQSDESGIGCLLYLIDVLASLLSGRLVRERIGPAMLSAVQSQMGAVESAYDEASNIFDLGGSKGLPGDSVERIPKIVITSDNNVDASGERVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLVRHGSCPLCRRDL >itb01g11090.t1 pep chromosome:ASM357664v1:1:9740871:9744184:1 gene:itb01g11090 transcript:itb01g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSRLDNEESVSRCKERRNLMKEAVSVRNAFASVHSAYATALKNTGAALNDYALGEAPSAQPPLLVNQPSSELPPPPPLPNFSPMPPLQRAMTTPELSKPPGKMAGDKETTEAALPPPPPESKGEAWDYFFMVENMAGGSLEEEEEDDGSVEETEEENGGVEELKGVEQTPAKEKHFIMHSNTVAPEIRKSGAAVGNIEDFFKVLGEIDDRFLKASECAQEVSTMLEATRLHYHSNLPQNPGHIDHAARVMRVITWNKSINGLPNGNGDDTKDELGSDEYEETHASVLDKLLAWEKKLYEEVKAGELIKHEYQKKVRLLNKLKKGNNATTSEALEKTKAGLSHLHTRYVVDMQSLDSTLTEVNTIRDKQLYPILVDLVHGMGRMWESMCTHHENQLNIATHLKSIDISITTPIETSKHHLEHTIQLAKVVADWGQQFDHLVNNQKSYIQALNAWLKLNLIPMESSSLKDKTISSPPTPPPPIQPLLQAWHELLEKLPDEPAKSAIASFEAVIRTIIAHQEEEVRLKEKCEETRKEYVRKRQAFEEWYQKYTQRRTMEAITETTNPKDPIAEKQFAVLSLKKRLEDEIEAHQKQCIQVREKSLGNLKVRLPELFHSITDYSHACLDAYQRLGLLVVQQSQNRPA >itb15g14840.t1 pep chromosome:ASM357664v1:15:13276822:13280424:-1 gene:itb15g14840 transcript:itb15g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSLVLLISFVNGGLFGYSDGDIIISQISQPPFSAPAPAPAPQSGAIFNVMSYGGVGDGVADDTLAFKRAWDEACQSDLTPILLAPKHHSFFIQSTIFTGPCKNPLLFQIEGTIMPPDGPDSWPKNMTKRQWLVFYRVNGMSMQGGGLIDGRGEKWWNLPCKPHRGVNGTTLPGPCDSPVAIRFFTSSNLTVQDLKIKNSPLFHFRFDNCHDVHIDSLYIKAPPNSPNTDGIHIENTYDVTIHNSIVSNGDDCISIGAGCYNVDIRNMTCGPSHGISIGSLGIKQSRACVSNIKVRDSTIRNSDNGVRIKTWQGGFGAVSKVTFDNIRMDNVRNPIIVDQYYCSNKACTNQTSAVYISDVLYSNIKGTFDARSPPMRLACSDSVPCTNLTLSEVELYPSQGRRILDPFCWNAYGELKTVTIPPVFCLLEGNPQSLPNVDIDQC >itb12g01270.t1 pep chromosome:ASM357664v1:12:923564:925527:-1 gene:itb12g01270 transcript:itb12g01270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSRAFLSVAGRSAAKASRLYARAPTEPPPLQIDDAIATAVYNSFLKPQTWESLAQQFNSVQFTHPLVNKILLNLKDTSNAKQALKFFHWSAKLGSFHHGVSTYCITVHILVKARLIKDAKVLLESVLIKPSLGNSDVFAVIESLLQSYRITDSVPFVFDLFVQVCSKLRLVDSALDVCKFLDENGFLLSVVSYNTLLHVLQKSEKHGMVWGIYGDMIQRRINPNQTTVKIMVSALCKEGRLQWFVDVVGRIHGRRCEPGVVVNTCLIHGMIEDGRVEDGLMLLKRMLQKNMIIDTVSYSLIVFGKVKMGDLDSAWKVYDEMVKRGFEGNAFVYDAFISAYCEEGRVEAAIQLVQEMERMNMKPFLETFNSLIKGCSNAERLKEGLDFCEKMTQMGLLPSCLAFNVLAERLCENGNAKEGDEMLTVLLDKGFVPDENTYSQLLAGYAKEGEIEAALKLYYEMEYRSISPNSSSFSWLIIGLCKHGRLSEADKYLGLMKAQCSTPSSDVYKQLISSHLEKGNLSRADQLYREMVVKD >itb09g25360.t1 pep chromosome:ASM357664v1:9:25337533:25340500:-1 gene:itb09g25360 transcript:itb09g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLRNFFGVINVMDAGLRNSVSSNNVMDAGLRNSVSSNKTQSRFPRNSVSSNKTQSRFPLQEEYLQRKNSRENLDRFIPNRSAMDFDYAHYMVTNGKENPDNSSPSREAYRKQLVEALNMNRTRILAFKNKPPTPVKGIPNEFSSAAYQAKPTKPKRHIPQTSERTLDAPDIVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGSTSELVTIDDENGPVTSVKWAPDGRHVAIGLNNSDVQLWDTTANRLLRTLRGGHQSRVGALDWNNHILTTGGMDGLINNNDVRVRAPIIETYKGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSSTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNSHTGACVNSVDTGSQVCALLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKQAPKSNPEPFAHINRIR >itb14g00940.t1 pep chromosome:ASM357664v1:14:708926:711695:-1 gene:itb14g00940 transcript:itb14g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSTLKPVVGSLPSSSAPHGSRVNAPPATMLRVNPKISTSLALQERGKEERLQAQETRAAVSRRDVMGIAAAGLGISLAKPAEAVEVASSGNPISQFLDLLKDLVGTPKPKPEVDEPKTESKPELPKPKTVGDEEPPVDNKAEPSDPKTKGEVKAETEAVGEN >itb10g09870.t1 pep chromosome:ASM357664v1:10:13388734:13390393:1 gene:itb10g09870 transcript:itb10g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSHQQDLANCEAFKSISEKIQNVKQLSSEACLFKVHEELRKTNRDAYTPLIISIGPYHHGDTKLSKMESLKALYLQSFLKRAHEKGFGVADCLKKLKDLKDRAERYYGDDHDLKVSGDKFVEMLLLDGCFIVEFLIKGAYEREGAEKYDPIFKIFGTENSVVRDMLLLENQLPFFVLRELYDMISIPSKLEFSEMVKTTLGYVLPKMNVISIRSTNVNIQEIKHFLEVVHILCQPRLTNGLVQQERGSTCFCCWFWKQPQAGVDIESHYSFLCKFGNCTCLLGKFGKQPSTGDLDSESSHIPTASELREAGVDFKKVGKIDSINSNETTSLFDINFNHSVLEIPSFGLYDGSESFFRNLIAYELYSPDLHPKYFVSFAIFMDDLINTDKDVILLRKKGIFVSGLGDDGTVSDLFNNLCRGVAYDQADFYYRNVYKKLIHHCNIPWNVLKAKLRHDYFHSPWAGISTIAAIWLLSLTTAQTVIAFTELFK >itb14g04070.t1 pep chromosome:ASM357664v1:14:3631375:3631782:-1 gene:itb14g04070 transcript:itb14g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNQKAGMDSSPLREPLRLLPSEAGWFSLQLAMNLLTDERAAIATGGDVSMPVVSLALLESFAVTESPSSVVWGL >itb13g02360.t2 pep chromosome:ASM357664v1:13:2216857:2219465:1 gene:itb13g02360 transcript:itb13g02360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVKRAWHSDMHQPIRGSIIQKIFRAVHERHSAVTKKNTEWQIKLPIVVFKAEEIMYSKANSEAEYMDPDTLWDRANEAIDVIIRRDESTETGQLLPPCVEAALVLGCVAERTSRSQRNCKPRNYLSPRAQEPCSVPPKVFNPSSNEHNPNSLSPHSLSQPTFRRPAHLNLVTLASESNKSIAPNINSFSASSVMNQILHAEGNTPVSMGSVYPLYNGTGLEPKVTQTGFREPQSNVIVGKPIYPSIMEPAEVNCFQSLFPKYIDNHAQDERAVLSGGPQEECDLSLRLGQSLDHGLHLGMASASGGPNLRPSDDSNERGKSKVISTINDRDFCFFRAESTNDPSRLPTSWRNPEGEGQDMESILRKRKMPFHNAVDNSQFFSQEGRTFNHFTGQMKRPGL >itb13g02360.t1 pep chromosome:ASM357664v1:13:2216857:2219465:1 gene:itb13g02360 transcript:itb13g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVKRAWHSDMHQPIRGSIIQKIFRAVHERHSAVTKKNTEWQIKLPIVVFKAEEIMYSKANSEAEYMDPDTLWDRANEAIDVIIRRDESTETGQLLPPCVEAALVLGCVAERTSRSQRNCKPRNYLSPRAQEPCSVPPKVFNPSSNEHNPNSLSPHSLSQPTFRRPAHLNLVTLASESNKSIAPNINSFSASSVMNQILHAEGNTPVSMGSVYPLYNGTGLEPKVTQTGFREPQSNVIVGKPIYPSIMEPAEVNCFQSLFPKYIDNHAQDERAVLSGGPQEECDLSLRLGQSLDHGLHLGMASASGGPNLRPSDDSNERGKSKVISTINDRDFCFFRAESTNDPSRLPTSWRNPEGEGQDMESILRKRKMPFHNAVDNSQFFSQEGRTFNHFTGQMKRPGL >itb13g02360.t3 pep chromosome:ASM357664v1:13:2216955:2219430:1 gene:itb13g02360 transcript:itb13g02360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTLWDRANEAIDVIIRRDESTETGQLLPPCVEAALVLGCVAERTSRSQRNCKPRNYLSPRAQEPCSVPPKVFNPSSNEHNPNSLSPHSLSQPTFRRPAHLNLVTLASESNKSIAPNINSFSASSVMNQILHAEGNTPVSMGSVYPLYNGTGLEPKVTQTGFREPQSNVIVGKPIYPSIMEPAEVNCFQSLFPKYIDNHAQDERAVLSGGPQEECDLSLRLGQSLDHGLHLGMASASGGPNLRPSDDSNERGKSKVISTINDRDFCFFRAESTNDPSRLPTSWRNPEGEGQDMESILRKRKMPFHNAVDNSQFFSQEGRTFNHFTGQMKRPGL >itb02g25220.t1 pep chromosome:ASM357664v1:2:25939785:25941768:-1 gene:itb02g25220 transcript:itb02g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLSPTNVHPALPETATSTTSAAAGSVLRPCRFPPLFISSFCYTNKTFLSFTPHIHRSIPRSAANRNGWPFRCSSGIPDPPNTENPPPSSSGVRENMSKAQDTVRIFFAVLFWMSLFFWYSVWDGKNDGRPTKGSRFRR >itb04g08600.t1 pep chromosome:ASM357664v1:4:7017903:7018430:-1 gene:itb04g08600 transcript:itb04g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIGKTTLAKKIYEDPSITFYFDKRAWTVASQHHNKRQMLLDLLGSKDDANKGSDEDLALRLYQSFKCERYLVVMDDVWSVEAWDALKTCFPDDGYGSRVLLTTRLVEVANHVCTQNDFSHQMQLLEQSESWKLFNEKAFKSRGAEFEMIGIPVVEKCKGLPLAIIVVAGLNLK >itb06g09290.t3 pep chromosome:ASM357664v1:6:13495314:13497936:1 gene:itb06g09290 transcript:itb06g09290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLRFSLSPNQKLTPSFHYSNVRNFQFNPLLRRQRRTDPHLLRNGVFAKAVEVNPVSTKPPVQKQAKPDDSTVLLDVTGMMCGACVSRVKSILSADDRVESAVVNMLTETAAVKLKPTAAAVEEGSAAEELAKRLTDCGFPTKKRASGLGIEDKVKKWKEMVAKKEALLVESRNRVAFAWSLVALCCGAHGSHILHSLGIHVGHGSIMELLHNSYLKGGLALGALLGPGRDLLFDGLQAFMKGSPNMNSLVGFGSLAAFAISAVSLLNPELQWDAAFFDEPVCFVSCLSLYFSSRTFCFSSHLLKVIFKLQLMHRKVLHIFPFQYSVQSIDFSCFLAIHLTSNTTNRCHI >itb06g09290.t1 pep chromosome:ASM357664v1:6:13495355:13501611:1 gene:itb06g09290 transcript:itb06g09290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLRFSLSPNQKLTPSFHYSNVRNFQFNPLLRRQRRTDPHLLRNGVFAKAVEVNPVSTKPPVQKQAKPDDSTVLLDVTGMMCGACVSRVKSILSADDRVESAVVNMLTETAAVKLKPTAAAVEEGSAAEELAKRLTDCGFPTKKRASGLGIEDKVKKWKEMVAKKEALLVESRNRVAFAWSLVALCCGAHGSHILHSLGIHVGHGSIMELLHNSYLKGGLALGALLGPGRDLLFDGLQAFMKGSPNMNSLVGFGSLAAFAISAVSLLNPELQWDAAFFDEPHAGHASWLCSVGPFSGGKGQAESF >itb06g09290.t2 pep chromosome:ASM357664v1:6:13495314:13498330:1 gene:itb06g09290 transcript:itb06g09290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLRFSLSPNQKLTPSFHYSNVRNFQFNPLLRRQRRTDPHLLRNGVFAKAVEVNPVSTKPPVQKQAKPDDSTVLLDVTGMMCGACVSRVKSILSADDRVESAVVNMLTETAAVKLKPTAAAVEEGSAAEELAKRLTDCGFPTKKRASGLGIEDKVKKWKEMVAKKEALLVESRNRVAFAWSLVALCCGAHGSHILHSLGIHVGHGSIMELLHNSYLKGGLALGALLGPGRDLLFDGLQAFMKGSPNMNSLVGFGSLAAFAISAVSLLNPELQWDAAFFDEPHAGHASWLCSVGPFSGGKGQAESF >itb15g18160.t1 pep chromosome:ASM357664v1:15:19593922:19598440:1 gene:itb15g18160 transcript:itb15g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKPVLFLLLVTVLSPIVLYTHTLATYFASSSSRNEFDEEVSTFTLGGEIRPLNVLPQESDTILKEPLGIVYSENSSLSLLNGSDGKSNENARKARQLAEDFLENETANASTRGSEKGNVENPIRQVTLEGHVTRQENEVLVKPESNGATGSTSRANAREIKHASDSSILNVPSGGRAASRGRPVRNNPKSQNDQVVPPDTLIHQLKDQLIRGRVYLSLSATRNNAHFIRELRLRMKEVQRALGDATKDSDLSRNAYEKLKAMEQTLTKGKQIQDDCAAYVKKLRAMLHSTEEQLRVHKKQTLFLTQLTAKTLPKGLHCLPLRLTTEYFMLNPSQQHFPNQEKLEDPNLYHYAIFSDNILAAAVVVNSTVIHLKDSSSHVFHIVTDKLNFAAMRMWFLANPPKNATIDVQNVEDFTWLNSSYSPVLKQLSSPSMIDYYFKARRANSDPNMKFRNPKYLSIMNHLRFYMPEIFPKLNKVLFLDDDVVVQKDLTPLWSVNLKGKVIGVVETCGESFHRFDRYLNFSNPLISKKFNPRACGWAFGMNIFDLNQWRRQNITEVYHTWQNLNHDRQLWKLGTLPPGLITFWNYTYALDRSWHVLGLGYNPGVSQKDIAQAAVIHYNGNLKPWLEISIPKFRNYWSKFIDYDQMYLRECNINSLSDS >itb15g14590.t1 pep chromosome:ASM357664v1:15:12898284:12898655:1 gene:itb15g14590 transcript:itb15g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDGNETDIWYTAYPGKRYEDEIDEVIKGLTLQYTKNLRFLRSIDLSGNHIDGKIPVEIMSLHALQNLNVSRNNLSGTIPKTIGNLSKIESLDLSRNELFGHIPPSLSSLNFLSHLNLSFNH >itb12g00040.t1 pep chromosome:ASM357664v1:12:13740:20066:-1 gene:itb12g00040 transcript:itb12g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSQSLTRKWVPSTLSQRLLTHFRRSKSNSSGGGGDDASHPHIIEIDLDSSTHSSHLDPDSTTTSELISGGIHRLEDVIHTVIVRRSAPDWLPFLPGYSYWVPPRHLTVHHPHNIVEVIGKISAAKTSPSLLSDDELMAVTSARGWPSSNYFFPGSAPTYPVPLQVMELENQKEKNESDDLGSSDFRQLKEGFTEELWREMRGLCDVYYEQGGSKITKGDADQLMLEVIRGMKDKRIRELKGKMVEEGEIGEPEREKEGESERKEGRKIVENISEVVRGVIEESCCRETMERHFPTLNAKVSFAPSPVETKARSYADAITGKSGNIQFVNLDVGDGNAHLSNMDHRGTPTICENAPVNCENANKPVSVGLQSDVRNAPPKSPGKTGINIAQGAVADSSRANQGRGNFGPGLRNSRWGNALGTQGVARGPGGNFNMLRGENSKAVKGVFSDLP >itb01g35280.t1 pep chromosome:ASM357664v1:1:37560700:37563871:-1 gene:itb01g35280 transcript:itb01g35280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKINLFSFFFLFSMIHGYYSDLDVLLKMKESMVGRGSSGLGDWAAGNSSSGAVHCSFSGVACDSDSRVVSLNISNVPLYGTLPPEIGLLDRLVNLTLVSDNLTGPLPLEMAKLSAVRFVNLSANAFGGDFPREVLLGMVELEVFDVYNNDFTGNLPVEFVKLRKLKVLKLGGNFFTGKIPEAYSEIKSLRSLGLQGNSLTGIIPPSLAKLPNLEELCLGYFNTYEGGIPPELGSVTTLRLLDLGGCNLSGEIPPELGNLKLLHTLFLQMNNLTGRIPAELSGLESLMSLDLSINALTGEIPEGFAQLKNMTLINLFRNKFHGAIPAFIGDYPNLEVLQVWGNNFTFELPENLGRNGRLKMMDLASNRFTGRIPKDLCMGGRLKTLILMENFFFGPVPEELGNCKSLTRVRMMKNFLNGTIPPGFFALPSLDLLELRDNYFSGELPTEFSAKNLTSLSLSNNWLAGKIPPALGNLRNLVTLSLGSNRFVGEIPVQISELQRLVTLDLSGNNLSGEIPASITQCKELYSVDLSGNNLTGEIPKQISKLRSLNALNLSQNQLGGAIPSELGVMQSLTVLDLSYNDFSGRRPINGQLKFFNDRLFAGNPRLCSLHATYCPSASSPGSHKNHTSKMLIAMVILVTVALFLAVVWVIIRTERMKRSKTFKLTAFQKLDFKAKDVLECLKEENVIGKGGAGTVYRGSMVNGMDVAIKKIVGRGTGNHQDRGFTAEIQTLGRIRHRNIVRLLGYVMNNETNLLLYEYMSNGSLGEMLHGSKGGHLQWETRYRIAVEAAKGLCYLHHDCSPSIIHRDVKSNNILLDSDYEAHVADFGLAKFLHDADASECMSSVAGSYGYIAPEYAYTLKVDQKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKTISELSQPSDAAAVLAVVDSRLKGYPLQGVINLFKVAMLCVEDESRDRPTMREVVHMLTNPPDSTATILPL >itb10g00320.t1 pep chromosome:ASM357664v1:10:214836:219673:-1 gene:itb10g00320 transcript:itb10g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLIVVSLTVIWVASLCKVLHESLSGSKATFLDDGRGSLKRNVLLVIAHPDDESMFFAPTINYLTSKGHNVHILCMSTGNADGMGDTRKQELYLAAATLKVSPQQVNILDHPDLQDGFGKIWNSDLLAGIVKEEVDGHSIDVVISFDNYGVSGHCNHCDVHRGVRKLLQDTSHKNVEAWELVSTNILRKYIGPVDIWLSILYAKLHPNRQVHFFINERPRKSYAAMAQHRSQWVWFRKLFVSFSSYTFVNSLKKINN >itb10g00320.t3 pep chromosome:ASM357664v1:10:214836:219673:-1 gene:itb10g00320 transcript:itb10g00320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNADGMGDTRKQELYLAAATLKVSPQQVNILDHPDLQDGFGKIWNSDLLAGIVKEEVDGHSIDVVISFDNYGVSGHCNHCDVHRGVRKLLQDTSHKNVEAWELVSTNILRKYIGPVDIWLSILYAKLHPNRQVHFFINERPRKSYAAMAQHRSQWVWFRKLFVSFSSYTFVNSLKKINN >itb10g00320.t2 pep chromosome:ASM357664v1:10:214836:219673:-1 gene:itb10g00320 transcript:itb10g00320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLLIVVSLTVIWVASLCKVLHESLSGSKATFLDDGRGSLKRNVLLVIAHPDDESMFFAPTINYLTSKGHNVHILCMSTGNADGMGDTRKQELYLAAATLKVSPQQVNILDHPDLQDGFGKIWNSDLLAGIVKEEVDGHSIDVVISFDNYGVSGHCNHCDVHRGVRKLLQDTSHKNVEAWELVSTNILRKYIGPVDIWLSILYAKLHPNRQVHFFINERPRKSYAAMAQHRSQWVCVLSCRFRKLFVSFSSYTFVNSLKKINN >itb05g12640.t1 pep chromosome:ASM357664v1:5:19286306:19293088:-1 gene:itb05g12640 transcript:itb05g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYAALILACFFSLVSPDAQGDALFELKWSLNASSGQLPDWNENQVNPCTWSKITCDDSYNVIMVSLSNMRFSGTLSPKIGALKALNTLSLQGNGITGKIPEEVGNLTNLTMLDLDHNQLSGEIPPSLGNLKKLQFLFLSQNNLTGTIPQSLSSLPSLINLQLDSNGLTGQIPERLFEVPKYNFTGNHLNCGFNSSHRCESDSGGTPSKSKTGMVVGIVVGFLGFVLIVGLLLFFFRGRHKGYRREVFVDVAGEVDRRIEFGQLKRFLWTELQIATDNFSEKNVLGQGGFGKVYKGVLPDNTKIAVKRLTDYESPGGDAAFHREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEAVLDWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREKKLHAIVDRNLNKNYNILEVEMMIQVALLCTQASPEERPAMSVVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSIYNQDAIELSGGR >itb01g34780.t2 pep chromosome:ASM357664v1:1:37303508:37305411:-1 gene:itb01g34780 transcript:itb01g34780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFSSAAAAAVPVFTPFPRLCSRYPLPVFTKFLAAVNRSSSIICTASRSVTFALYCTEPSSTAPYEPSESKQKPLKPGLYLVGTPIGNLEDITLRALRVLNSANVILSEDTRHSGKLLHHYNIKTPLLSYHKFNESTREQAVLKRLQEGQIVALISDAGTPGISDPGAELAKLCVDKNIPVIPIPGPSALITALSASGLPTDEFTFVGFLPKHAGSRRERLTVSANEAATQIFFVPPHKLCQFLEETSSIFGDSRQCVMAREMTKLHEEFWHGTIGQAIEAFSARQPKGEITILLEGKLRSTEEIPSESQLESELRELISKGHTLSMVLCFS >itb01g34780.t1 pep chromosome:ASM357664v1:1:37302569:37305448:-1 gene:itb01g34780 transcript:itb01g34780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFSSAAAAAVPVFTPFPRLCSRYPLPVFTKFLAAVNRSSSIICTASRSVTFALYCTEPSSTAPYEPSESKQKPLKPGLYLVGTPIGNLEDITLRALRVLNSANVILSEDTRHSGKLLHHYNIKTPLLSYHKFNESTREQAVLKRLQEGQIVALISDAGTPGISDPGAELAKLCVDKNIPVIPIPGPSALITALSASGLPTDEFTFVGFLPKHAGSRRERLTVSANEAATQIFFVPPHKLCQFLEETSSIFGDSRQCVMAREMTKLHEEFWHGTIGQAIEAFSARQPKGEITILLEGKLRSTEEIPSESQLESELRELISKGHTLSMAVKLVATGKSLKRKAIYSLALRKFARPIESDDD >itb05g01430.t1 pep chromosome:ASM357664v1:5:1218144:1222018:1 gene:itb05g01430 transcript:itb05g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDLSKISAYRDRRFQGSQEEFEQALLKSTTVYVGNMSFYTTEEQVYELFSRAGEIKKIVMGLDKNSRTPCGFCFVMYYSREDTEDSVKYISGTILDDRPIRVDFDWGFQEGRQWGRGKSGGQVRDEYRTDYDPDILLKVSKFSRRGGYGKLVQKELEAQRQLVDYGTGSLGNFPPVMAPQYGRQGGHGGSYRHGRDYYRKRHREDDRHRLDYSKRVYRREPVRDSDHEARPEKNPRFRESGDSDEEDDDDQKQSH >itb05g23340.t1 pep chromosome:ASM357664v1:5:28480206:28482309:1 gene:itb05g23340 transcript:itb05g23340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHGWVCTKPHIGFWVITGSDEFRSGGPIKQDLTSHVGPTSLSTFFSGHYAGDDFGLRLRNGEAWKKVFGPVFLYLNRDSSDNSPRALWEDAKQQMLAETKRWPYNFPKSKDYVAGNLRGTITGQLIIRDRYMNKEVMPTKSAHIGLAPPGEAGSWQHDVKGYQFWTQTDSDGHFEINAIRPGNYSLYAWIPGILGDYKYNVNLNIKPGDNINVGDLVYNPPRNGPTLWEIGIPDRKAAEFFIPEPAPDLMNYAFNNQTQNFRQYGLWDRYTDLYPNKDLVYKVGKSDYTKDWFFAHVNRRVGKKTYVPTTWRISFPLENVNRTGTYTLRIALASSSYATIQVWINSENNIQPRFTINGLGRDNAIARHGIHGLYSEHSFDIPGSQLVKGENTIYLRQPRGGNPFFGVMYDYIRLEGPNN >itb05g23340.t3 pep chromosome:ASM357664v1:5:28476994:28482309:1 gene:itb05g23340 transcript:itb05g23340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYINGGIDQYDELESLLACKNSYPAACVYTLIPSNFNNDDVEEAKPFSFMVVWNSFSASGATILLFCNGRSKVVMDNGLIKVTLSNPTGAIAGIQYNGIDNVLESSFRETNRGYWDIVWSKPDMPSSLFNMLPATNFTVIAQDESQIEVSFTLTFNPDDDTSVPMNFDKRYVMLPGCSGFYSYAIYDHPQGWPDVNIDETRLAIKLSQNMFHYMAISDDIQRVMPSANDRSSGQTLEYKEAVLLTDPTNPKLKGQVDDKYQYSLESKDMDVHGWVCTKPHIGFWVITGSDEFRSGGPIKQDLTSHVGPTSLSTFFSGHYAGDDFGLRLRNGEAWKKVFGPVFLYLNRDSSDNSPRALWEDAKQQMLAETKRWPYNFPKSKDYVAGNLRGTITGQLIIRDRYMNKEVMPTKSAHIGLAPPGEAGSWQHDVKGYQFWTQTDSDGHFEINAIRPGNYSLYAWIPGILGDYKYNVNLNIKPGDNINVGDLVYNPPRNGPTLWEIGIPDRKAAEFFIPEPAPDLMNYAFNNQTQNFRQYGLWDRYTDLYPNKDLVYKVGKSDYTKDWFFAHVNRRVGKKTYVPTTWRISFPLENVNRTGTYTLRIALASSSYATIQVWINSENNIQPRFTINGLGRDNAIARHGIHGLYSEHSFDIPGSQLVKGENTIYLRQPRGGNPFFGVMYDYIRLEGPNN >itb05g23340.t2 pep chromosome:ASM357664v1:5:28472949:28482309:1 gene:itb05g23340 transcript:itb05g23340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAVENVVVRYTNVKCGEERRGDCEALVLRHLTSSDPKDSLVSCPVVHGKYRPATSFDNQDDDVEEAKPFSFMVVWNSFSASGATILLFCNGRSKVVMDNGLIKVTLSNPTGAIAGIQYNGIDNVLESSFRETNRGYWDIVWSKPDMPSSLFNMLPATNFTVIAQDESQIEVSFTLTFNPDDDTSVPMNFDKRYVMLPGCSGFYSYAIYDHPQGWPDVNIDETRLAIKLSQNMFHYMAISDDIQRVMPSANDRSSGQTLEYKEAVLLTDPTNPKLKGQVDDKYQYSLESKDMDVHGWVCTKPHIGFWVITGSDEFRSGGPIKQDLTSHVGPTSLSTFFSGHYAGDDFGLRLRNGEAWKKVFGPVFLYLNRDSSDNSPRALWEDAKQQMLAETKRWPYNFPKSKDYVAGNLRGTITGQLIIRDRYMNKEVMPTKSAHIGLAPPGEAGSWQHDVKGYQFWTQTDSDGHFEINAIRPGNYSLYAWIPGILGDYKYNVNLNIKPGDNINVGDLVYNPPRNGPTLWEIGIPDRKAAEFFIPEPAPDLMNYAFNNQTQNFRQYGLWDRYTDLYPNKDLVYKVGKSDYTKDWFFAHVNRRVGKKTYVPTTWRISFPLENVNRTGTYTLRIALASSSYATIQVWINSENNIQPRFTINGLGRDNAIARHGIHGLYSEHSFDIPGSQLVKGENTIYLRQPRGGNPFFGVMYDYIRLEGPNN >itb15g21770.t1 pep chromosome:ASM357664v1:15:24438126:24440522:-1 gene:itb15g21770 transcript:itb15g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAERREGRALVKWKNTLLLNTHHVLHSWSIANLDSVCSNWTGITCDNVGAVCKIKLDNFSLSGTLESLDFISLPNLTHFSLHNNSFFGSIPSAIANISHLVFLNLGGNNLNGTIPSQISHLQHLTYLSLSMNSLTGQIPEGIFSNLSTLQTFDCGRNLLQGPFPSSSIKLSNLKLRDLSENYFYGSIPPTIGNLTSLKSLYLFVNMFHGNIPSALCTLQSLESLNLSNNTLSGFIPHCLGNVTSLRYLNLRSNVLRGNILRALCNLQSLEYLNLSNNTLSGLIPQCLGNVTSLRYLHLISNLLQGNIPDTFCNLPSLEHLTLCNNSLSGKGEGKTQDVVEDYSQHFHIGFSWRSVVIGYGCGIPFGIAIEYLIFKYGKPRWLVRLVHGGSGSVIVKKDQSDE >itb09g03200.t4 pep chromosome:ASM357664v1:9:1788088:1794847:-1 gene:itb09g03200 transcript:itb09g03200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRTMLPASEIDLAEVKYTPENIIAPHVTGFWLKLLVKLIEAPMIGSFIINQMRKNNRVPEILTNTVIPENPMFKPEFPPQEPELGVICLDEDGKPEDRVELAMKYFPRYIPDSTWIPDLTASFRYWKIRDYAYAYRSKITTPSMVAERFISAMEEFSNANLSAPMLISFDPDEVRRQAAASTQRFSEGAPLSVLDGIFVAIKDDIDCYPHPSKGASKWVHEVRQVNSDAVCVSRLRSCGVILVGKANMHELGMGATGNNPNYGTTRNPHAPERYTGGSSSGSAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTQGRTSLAGSLWEASTVTIIGPITATVEDAILVYAAILGSSLVDRIPLQPSLPCLPNLSSSHETSNALGSLRLGKYTEWFNDVSSVEISEKCDDVLNRLSQTYGCKMVEVVIPDLREMRIAHIVTFGSEGLCSFNPDLEDGKGVRLTYDTRTKMALFRSFTASEYVAAQRIRRRLFYYYMEIFKKVDVIVTPTTGMTAPIIPQSALQVGETNLPVLGRLMQFVTSANLLGLPAISIPVGYDNQGLPIGLQLIGRPWGEATIMQLAAAVEVQQPKIPFLNAIKKFHIARDCAI >itb09g03200.t3 pep chromosome:ASM357664v1:9:1788088:1794847:-1 gene:itb09g03200 transcript:itb09g03200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFIINQMRKNNRVPEILTNTVIPENPMFKPEFPPQEPELGVICLDEDGKPEDRVELAMKYFPRYIPDSTWIPDLTASFRYWKIRDYAYAYRSKITTPSMVAERFISAMEEFSNANLSAPMLISFDPDEVRRQAAASTQRFSEGAPLSVLDGIFVAIKDDIDCYPHPSKGASKWVHEVRQVNSDAVCVSRLRSCGVILVGKANMHELGMGATGNNPNYGTTRNPHAPERYTGGSSSGSAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTQGRTSLAGSLWEASTVTIIGPITATVEDAILVYAAILGSSLVDRIPLQPSLPCLPNLSSSHETSNALGSLRLGKYTEWFNDVSSVEISEKCDDVLNRLSQTYGCKMVEVVIPDLREMRIAHIVTFGSEGLCSFNPDLEDGKGVRLTYDTRTKMALFRSFTASEYVAAQRIRRRLFYYYMEIFKKVDVIVTPTTGMTAPIIPQSALQVGETNLPVLGRLMQFVTSANLLGLPAISIPVGYDNQGLPIGLQLIGRPWGEATIMQLAAAVEEICPETKKKPAIFYDILKGN >itb09g03200.t1 pep chromosome:ASM357664v1:9:1788078:1794898:-1 gene:itb09g03200 transcript:itb09g03200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRTMLPASEIDLAEVKYTPENIIAPHVTGFWLKLLVKLIEAPMIGSFIINQMRKNNRVPEILTNTVIPENPMFKPEFPPQEPELGVICLDEDGKPEDRVELAMKYFPRYIPDSTWIPDLTASFRYWKIRDYAYAYRSKITTPSMVAERFISAMEEFSNANLSAPMLISFDPDEVRRQAAASTQRFSEGAPLSVLDGIFVAIKDDIDCYPHPSKGASKWVHEVRQVNSDAVCVSRLRSCGVILVGKANMHELGMGATGNNPNYGTTRNPHAPERYTGGSSSGSAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTQGRTSLAGSLWEASTVTIIGPITATVEDAILVYAAILGSSLVDRIPLQPSLPCLPNLSSSHETSNALGSLRLGKYTEWFNDVSSVEISEKCDDVLNRLSQTYGCKMVEVVIPDLREMRIAHIVTFGSEGLCSFNPDLEDGKGVRLTYDTRTKMALFRSFTASEYVAAQRIRRRLFYYYMEIFKKVDVIVTPTTGMTAPIIPQSALQVGETNLPVLGRLMQFVTSANLLGLPAISIPVGYDNQGLPIGLQLIGRPWGEATIMQLAAAVEEICPETKKKPAIFYDILKGN >itb09g03200.t2 pep chromosome:ASM357664v1:9:1788078:1794898:-1 gene:itb09g03200 transcript:itb09g03200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRTMLPASEIDLAEVKYTPENIIAPHVTGFWLKLLVKLIEAPMIGSFIINQMRKNNRVPEILTNTVIPENPMFKPEFPPQEPELGVICLDEDGKPEDRVELAMKYFPRYIPDSTWIPDLTASFRYWKIRDYAYAYRSKITTPSMVAERFISAMEEFSNANLSAPMLISFDPDEVRRQAAASTQRFSEGAPLSVLDGIFVAIKDDIDCYPHPSKGASKWVHEVRQVNSDAVCVSRLRSCGVILVGKANMHELGMGATGNNPNYGTTRNPHAPERYTGGSSSGSAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTQGRTSLAGSLWEASTVTIIGPITATVEDAILVYAAILGSSLVDRIPLQPSLPCLPNLSSSHETSNALGSLRLGKYTEWFNDVSSVEISEKCDDVLNRLSQTYGCKMVEVVIPDLREMRIAHIVTFGSEGLCSFNPDLEDGKGVRLTYDTRTKMALFRSFTASEYVAAQRIRRRLFYYYMEIFKKVDVIVTPTTGMTAPIIPQSALQVGETNLPVLGRLMQFVTSANLLGLPAISIPVGYDNQGLPIGLQLIGRPWGEATIMQLAAAVEEICPETKKKPAIFYDILKGN >itb03g08690.t1 pep chromosome:ASM357664v1:3:6517004:6521289:-1 gene:itb03g08690 transcript:itb03g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHSSTLCFSAVSVSSGRRSFGWCQLSGSSAFPRCRAPPPTFPSLRLQGRAQPSDNGAVEKDESELVVCFGEMLIDFVPTTSGLSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGEDEFGYMLADILKENNVNSEGMRFDPGARTALAFVTLRKDGEREFMFYRNPSADMLLKPSELDLELIKKAKIFHYGSISLITEPCKSAHVAAAKAAKDAGVILSYDPNLRLPLWPSAESAREGIFSIWETADIIKISEEEISFLTQGEDPFNDDVVRKLYHPNLKLLLVTEGPAGCRYYTKEFSGRVTGLMVEAVDTTGAGDAFVAGLLTQLSSDVTLLKDEERLRDALRFANACGALTVTERGAIPALPTREAVLSAMLKSVA >itb13g13680.t1 pep chromosome:ASM357664v1:13:20195825:20201097:1 gene:itb13g13680 transcript:itb13g13680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCTLPLWALLLSIATAYAAAPFNLSTITYGEGYSPLFSDFNIERSRDDKIVRLLLNRKSGSGIISTEYYSHGYFSASIKLPSEYTAGIVVAFYTSNVDMFEKNHDELDIEFLGNIRGKPWRFQTNMYGNGSLSRGREERYRLWFDPSKEVHQYSILWTPKNIIFYLDEMPIREVVRNPAIGGDFPSKPMSLYVTIWDASNWATNGGRNRVNYRYQPFVAEFRDLVLEGCAVDPIEQIPSTNCTDRHAALMAQRYATLTPEQHQSMKWFREKYMYYSYCYDVVRYAAPPPECVFVQSERDMFKSSGRLRERMKFHGSHRKHRRCRTSRRRTHAGIAQI >itb13g24710.t1 pep chromosome:ASM357664v1:13:30318445:30329604:1 gene:itb13g24710 transcript:itb13g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 [Source:Projected from Arabidopsis thaliana (AT3G23430) UniProtKB/Swiss-Prot;Acc:Q8S403] MVKFSKELEAQLIPEWKDAFVNYWQLKKQVKKIKLSRRTKPVHDGNDFGLSFFDRVRGFVSTIADKLHDSATAGKSETNAQVNSQIKGDDSNGEEQEEEEMYETENELVQLFSEEDEVNVFFENLDEELKKVNEFYKAKESEFLERGEILSKQLQILLDLKQVLHDRRRKTLGRSRSASGFFSRSNSSSRRNSDYCSDNRSEYCGSPTGKSETSETADEVMAALERNGINFVNAASRAAKTTAAKNGKPKVAIRIDIPATTPTRAISAVTSMVWEDFLNNNGDSVKEGGGREYVNSKKIQCAEKMIRGAYVELYRGLGLLKTYSSLNMVAFVKILKKFDKVSNQQASANYLKQVKRSHFISSDKVVRLMDEVESLFTQHFATNDRKKAMKFLRPQQQKESHMITFFAGLFTGCFVSLFVVYGILAHLSGMFSPGTDAGYVETVYPVFSMFALLSLHVFLYGCNLFLWKSTRINYNFIFEFQPKTALKYRDAFLICTSLMTAVVGAMVVHLILLSKGFSSNQVDDIPGVLILCFLVLLICPLNILYRPTRICFLRVIRNIVCSPFYKVLMVDFFMADQLTSQIPLLRHMESTACYFLAGSFKTHRFETCKSGRMYRELAYVISFAPYYWRAAQCARRWFDENDMNHLANFGKYLSAMVAAGARLTYAREPESKLWLAMVLVTSITATVYQLYWDFVKDWGFFNLKCKNALLRDELILKNKIIYYVSIALNFVLRLAWVETVMRFNVGIFESRLLDFSLASLEVIRRGHWNFYRLEHEHLSNVGKFRAVKAVPLPFRETDSDG >itb07g22800.t1 pep chromosome:ASM357664v1:7:27320704:27321033:-1 gene:itb07g22800 transcript:itb07g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIISTNKAFLLLFIYIGLLLAVHHVEEASAIRVLRSSDRQEDCLQMMFKSWHRSLLKQEVNDQALMTGLKNTGSKKQQKEPANRTSFDPNRASERRVRKGSDPIHNKS >itb02g03170.t1 pep chromosome:ASM357664v1:2:1826151:1830159:1 gene:itb02g03170 transcript:itb02g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASHISGKLADDSSVVELTGKIMVAAIICLFLVVIIVFFLHLYAKWFWYRRSESNGGTTRRRRRFDFAAGYQEVTAAAALRRGLDPSVLKTIPVVEVSPKEFKDGLECAVCLCEVSEGEKARLLPKCNHGFHVECIDMWFQSHSTCPLCRNPISNPTTTAESTLETILRPPPEEGSVSGETPNFPTNVLFWGDETRVSTLGTGLEEPQQGPISAQSSCPSSSSSSSAATARGAASLPEGMLVIDIPRQIVGEDDQEQKSPMTTRLRSLKRLLSREKRVMLCSL >itb02g03170.t5 pep chromosome:ASM357664v1:2:1826133:1828491:1 gene:itb02g03170 transcript:itb02g03170.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASHISGKLADDSSVVELTGKIMVAAIICLFLVVIIVFFLHLYAKWFWYRRSESNGGTTRRRRRFDFAAGYQEVTAAAALRRGLDPSVLKTIPVVEVSPKEFKDGLECAVCLCEVSEGEKARLLPKCNHGFHVECIDMWFQSHSTCPLCRNPISNPTTTAESTLETILRPPPEEGSVSGETPNFPTNVLFWGDETRVSTLGTGLEEPQQGPISAQSSCPSSSSSSSAATARGAASLPEGMLVIDIPRQIVGEDDQEQKSPMTTRLRSLKRLLSREKRVSPRSPCANVDVEQGARSQS >itb02g03170.t3 pep chromosome:ASM357664v1:2:1826133:1828337:1 gene:itb02g03170 transcript:itb02g03170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASHISGKLADDSSVVELTGKIMVAAIICLFLVVIIVFFLHLYAKWFWYRRSESNGGTTRRRRRFDFAAGYQEVTAAAALRRGLDPSVLKTIPVVEVSPKEFKDGLECAVCLCEVSEGEKARLLPKCNHGFHVECIDMWFQSHSTCPLCRNPISNPTTTAESTLETILRPPPEEGSVSGETPNFPTNVLFWGDETRVSTLGTGLEEPQQGPISAQSSCPSSSSSSSAATARGAASLPEGMLVIDIPRQIVGEDDQEQKSPMTTRLRSLKRLLSREKRVSPRSPCANVDVEQGARSQS >itb02g03170.t4 pep chromosome:ASM357664v1:2:1826133:1828337:1 gene:itb02g03170 transcript:itb02g03170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASHISGKLADDSSVVELTGKIMVAAIICLFLVVIIVFFLHLYAKWFWYRRSESNGGTTRRRRRFDFAAGYQEVTAAAALRRGLDPSVLKTIPVVEVSPKEFKDGLECAVCLCEVSEGEKARLLPKCNHGFHVECIDMWFQSHSTCPLCRNPISNPTTTAESTLETILRPPPEEGSVSGETPNFPTNVLFWGDETRVSTLGTGLEEPQQGPISAQSSCPSSSSSSSAATARGAASLPEGMLVIDIPRQIVGEDDQEQKSPMTTRLRSLKRLLSREKRVSPRSPCANVDVEQGARSQS >itb02g03170.t2 pep chromosome:ASM357664v1:2:1826133:1828710:1 gene:itb02g03170 transcript:itb02g03170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASHISGKLADDSSVVELTGKIMVAAIICLFLVVIIVFFLHLYAKWFWYRRSESNGGTTRRRRRFDFAAGYQEVTAAAALRRGLDPSVLKTIPVVEVSPKEFKDGLECAVCLCEVSEGEKARLLPKCNHGFHVECIDMWFQSHSTCPLCRNPISNPTTTAESTLETILRPPPEEGSVSGETPNFPTNVLFWGDETRVSTLGTGLEEPQQGPISAQSSCPSSSSSSSAATARGAASLPEGMLVIDIPRQIVGEDDQEQKSPMTTRLRSLKRLLSREKRVSPRSPCANVDVEQGARSQS >itb11g03760.t1 pep chromosome:ASM357664v1:11:2028537:2028821:1 gene:itb11g03760 transcript:itb11g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLGSAPVVLITQLFNRRRARKSGLRSVPVVPTRKAMDALKLISLHISLNLIWHIVIIMATPTHVWGSSRRKQIKNLVGALRHKLKPLFIRF >itb04g24070.t1 pep chromosome:ASM357664v1:4:28879020:28879364:-1 gene:itb04g24070 transcript:itb04g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPVKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSXLSLAERHVFPRCSFFQL >itb05g19740.t1 pep chromosome:ASM357664v1:5:26098565:26102322:-1 gene:itb05g19740 transcript:itb05g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MGTASLDFDVGGDDPNVSIPRGGPIYVPDMVSALTRIPDFESSVFRELQNLKEELCCESLEMYDDEISVEELKIIKEEDLVNRAFEEAFMGDELRIDSSYPILDNSVSNAAASERSERELCSSTPHDSSTGVCNRACHNKKSKKNHFSKRKQNPVEESYIAKVEQLARIKHKQEEDKAAARLHSFNGSCGTMNSAPKSSEKSERITSLKSISSSRKVCQVKSSSSRAHVPIQFPEVVLCVEVYRSRKPLMKSQEFLVLGQQSLTEVRDQIYCIMDEIMRKADKDDPSGYFLIEDLFCNDLRDPHATDYSKPILDWLENSTEAVEKWECINSGELLQKQKAVLGNKSGLRLPRFRAVEMKNMRFCDLRFRVGAGYLYCHQGDCKHLIVIRDMRLIHPEDVQNQSAYPLLTFQLRVRLQKCSVCKIYKAEKMTVDDKWAPKNPCYFCDLCYYMLHYVNGSLLYDDFSVYDYLQD >itb00g00140.t1 pep chromosome:ASM357664v1:16:1091848:1094252:1 gene:itb00g00140 transcript:itb00g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHISKLPKKKSQNYKKLKSTHLLFHGRHASAEVRRAFRLGKFFCPPPLQAFLVVSSVLPRSMYPWLNELITWPAVAWRRRNHAAHRLVLHLGPCEQVDFLLAERKIYGVLSSLEEKVARLPPIEEVRTVLGYSLRGVLSTFSQKHEKYPSGSMVDFACDAYGSPILATNSLAVHSKDPLANPKCSLLVAKDPDDRTDLVIIVTGAADPREVLALRVKDTLNAVKELIVALIVGGGCSRSLRVWPQF >itb01g06690.t1 pep chromosome:ASM357664v1:1:4903359:4905437:-1 gene:itb01g06690 transcript:itb01g06690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNHVDHSVALDISDDHHQTADASESSCNNNNFIFTLPFIQKVIAEIFGTYFLMFAGCGAAAVNADKGTVTFPGVAIVWGLAAVAMIYSVGHISGAHFNPAVTVAFATCRRFPCVQVPAYILAQMIGATAASGTLRLIFNGEHDHFVGTAPAGSDLQSLIIEFIITFYLMFVISSVTTDTRAVGELAGLVIGATILLNVMFTGPITGASMNPARSLGPAIVSNRYRGIWIYMLGPTVGAIAGAWVYNILRHKNKP >itb15g01760.t1 pep chromosome:ASM357664v1:15:1047001:1050577:-1 gene:itb15g01760 transcript:itb15g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHPSPPPPPSSSSSSSSSSSSSSSSSAHKHPKFLLFNFPLFSTHKQISHALFFFFFSLLLITSLIFYRLIAPIHPQTLLGISLFSPSLGQSYAPQACDYSYGEWVWDEGYPGEKYTENCPFLDPGFRCHRSGRRDLEYQKWRWKPHGCHLPRFNASDLLRRSRNGRIVFAGDSILRNQWESMLCMLAQGVANQSSIHEQFGNPITKHKGYLSMRFEEFNLTVEYYRIPFLVPVRRPPANASKEVKGVLRLDQLHWFFRKLVGADVLVFSGGHWWNEDKTHKMNGTWNSGGSCHTSTAPERDQAGLGPGPINNVYISKIVEEMAMMGRNVSFLNVTYLSEFRRDGHPSNHREPGTSVEAPQDCSHWCLPGVPDTWNELLYNDLLLKGFRGESKQM >itb03g16480.t1 pep chromosome:ASM357664v1:3:15416659:15421463:1 gene:itb03g16480 transcript:itb03g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSAESHSHPTQMSNARILSSKNKLPRSYLVATASEHFTAKALNCITSVKKCVRSSGAVGNVVEFLITTAALEIVRRFSRSKCPLIWQGLQALQVICYPPFKWLQRWFPFKPLAKEMRKISGPMLCLSIATVFSDDSSPEEDPSYNSNVSQTPESQGPDACQSERWLLDLHNKLRMEDITLPERLNDDEIRRFYASTKGDFQRTLSLVKKTVQWRQSYTFLSPQQLQAWSHLVFWHGYDLKKRPCLIIRLGLACSNLRASERDFFAKVIVSQIEHGVVSFIDDEHPQIVVLMDCEGLSPFGFPVQMMRSCATLLQDHYPNCLGLLIVVRIPHVAQVVMQTLFQVLRPSTRQKVMILGRNYQDYLSNNLESVPSFLGGNCSCSKCSSHSNTIEEEMPLAPSTAHQTNDNTPEIHHYSLSIMNPTKKPLQNRFILSSLTASNYYQLNITFTLQLHLDLGKFTPNFLFLLRCFLDLSLIEGCPQRFSPPGGDQQELTPSTEYPFGKLFSSYLGLIVWLLQQMEECFGGKATANQRTKLTCRVADWRWSEDMRLTSSGVRSQLEVDWYSLFNWVATGSRWPEVATGCGCSYGYGL >itb07g11880.t1 pep chromosome:ASM357664v1:7:13429643:13432189:-1 gene:itb07g11880 transcript:itb07g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVAIFMECVIGFKHPLLPRSIFFTSRSCLQSLVTFQNAQNKNCRASRSACWAAGNSFDDQMPLESSENGSLPLFETLSAEITPETTDFFVSDAEGDPDCPSEGFSSVGEALTSLRQGKTVIVVNDENDDVEGNLVMAASHASAQAIAFMIKNGSGIVSVGMKGEDLERLKLPLMSPENEDDASAPSFAITVDAKIGTTTGVSAADRAKTVLALSSSSSRADDFRRPGHVFPLKYRNGGVLRRPGHTEASVDLVMLAGRQPVSVLSAIIDGDDGSMAKMPILRKLSFDYGIPIISITDLIRYRRKREKLVERTAISRLPTKWGLFQAHCYRSKLDTTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSRRCDCGNQLDLAMQLIEEAGRGVVVYLRGHEGRGIGLGHKLQAYNLQDLGHDTVEANLELGFAADAREYGIGAQILRDLGVRTMRLMTNNPDKFTGLKGFGLAVVGRVPVLTPYTEENRRYLETKRTKMGHVYGSDIQTPQFIKPNEKKQDPPHQTQEY >itb07g11880.t2 pep chromosome:ASM357664v1:7:13429679:13432178:-1 gene:itb07g11880 transcript:itb07g11880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTVIVVNDENDDVEGNLVMAASHASAQAIAFMIKNGSGIVSVGMKGEDLERLKLPLMSPENEDDASAPSFAITVDAKIGTTTGVSAADRAKTVLALSSSSSRADDFRRPGHVFPLKYRNGGVLRRPGHTEASVDLVMLAGRQPVSVLSAIIDGDDGSMAKMPILRKLSFDYGIPIISITDLIRYRRKREKLVERTAISRLPTKWGLFQAHCYRSKLDTTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSRRCDCGNQLDLAMQLIEEAGRGVVVYLRGHEGRGIGLGHKLQAYNLQDLGHDTVEANLELGFAADAREYGIGAQILRDLGVRTMRLMTNNPDKFTGLKGFGLAVVGRVPVLTPYTEENRRYLETKRTKMGHVYGSDIQTPQFIKPNEKKQDPPHQTQEY >itb09g12940.t1 pep chromosome:ASM357664v1:9:8251367:8253166:1 gene:itb09g12940 transcript:itb09g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNMGVPVLVVVTVFAVVMLCVVGSSSSDREAVALAEVDVISDDSNKVVKESKIDQYFTPLSKGFYSELERRKLEICSCTPCCYICPFGMLCVVGSSSSEREAVALAEVNVISEENKVVKESKIDQYFTPLSKSFSSELERRKLEICRCLPCCSCPCGTT >itb06g15030.t1 pep chromosome:ASM357664v1:6:19374479:19377300:-1 gene:itb06g15030 transcript:itb06g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNLFVAQSSPLLLPSHHPSKPRGVSGKMIVRCTLEQTAPSKARAKHLVSGLAASIVFLTQVNPVVAADLSYQNNNMCQLASAADNLPSLPLEGGNDNDGMLMMMRGMTAKNFDPTRYAGRWFEVASLKRGFAGQGQEDCHCTQGIYTFDVNAAAIQVDTFCVHGGPDGYITGIRGKVQCLSEDETLKTATELEKQEMIKGKCYLRFPTLPFIPKEPYDVIATDYDNFAIVSGAKDKSFVQIYSRTPDPGPEFIEKYKAYLADFGYDPSKIKDTPQDCEVMSNSQLSAMMSMAGMQQALNNQFPELELKAPVAFNPFTSVFDTLKKLVELYFK >itb06g15070.t1 pep chromosome:ASM357664v1:6:19403922:19407144:1 gene:itb06g15070 transcript:itb06g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEQEPLWVFDTEKSMEFLDEAEYDRRFVPLDATLDEIIKLVSGGEPIDEVLSLNEASTFKNEASKSIGIVHGSALSIVNIFMNLEQWSGAFINIVSEATTLGVLYPGEVETHNGALQVVTWVEHNLVPNGPVHDMFKTLLTSNYAFNAKRWMSTLQRYCDQTKGMDGEGLAVENKNMLKLSQRMVNCYARNLIACKENPWVPLPISDDDKEIFVRMNTNLDDVGLPRGVTITIYTSVLLPIPQITLFEFLRNGSNRSKSPATNKAATLYLQESFSDSVGLYVVYAPVDVATAQYLSSENVPIVPCGFAIMPDKPNFMPVGLSDGGSILTICFQLVDEQLSSTDYLPPKSVLTVHRIVSKTVSLIKDALPCN >itb02g02690.t1 pep chromosome:ASM357664v1:2:1563287:1563990:1 gene:itb02g02690 transcript:itb02g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKFGNSTAAAESNAGGGQGNDKKKRIKKMPGPKEMISHYESQGMGTEEASLKVIEDLQKVLFRMAVTSRENGGATGSAAGTTSRKLESINSRLISLDMKMDSKPSYPQTLAIGVASGALVQLFPHVAGAAVNVWNAVRSATKSNP >itb08g15170.t3 pep chromosome:ASM357664v1:8:17212144:17216379:-1 gene:itb08g15170 transcript:itb08g15170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLVTMLRAAWIAAIIPILIALIPSSKLGCFHEFLLGFAKRGKIMQSSNNKFTVPQKFFCQFYILATLWTTLLLVAMSLYAYMTTTVISESSLFSSIVSDLTGGSHILSLHTSNSSKENRRKVLLSVFLLLLMETQALRRLYESIYVFKYSPSARMHILGYFAGLFFYTAAPLSLCCNFALEVLKFIVSLVQEFIVEGNDRMQVTEFDWWGFVSPLMQLRWYAWVGAAIFFWGWIHQHCCHAILGKLRKNREQFDDYMIPHGDWFEYVSSPHYLAEIVNPFPLALLYSLLVYIPTA >itb08g15170.t2 pep chromosome:ASM357664v1:8:17211316:17216376:-1 gene:itb08g15170 transcript:itb08g15170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLVTMLRAAWIAAIIPILIALIPSSKLGCFHEFLLGFAKRGKIMQSSNNKFTVPQKFFCQFYILATLWTTLLLVAMSLYAYMTTTVISESSLFSSIVSDLTGGSHILSLHTSNSSKENRRKVLLSVFLLLLMETQALRRLYESIYVFKYSPSARMHILGYFAGLFFYTAAPLSLCCNFALEVLKFIVSLVQEFIVEGNDRMQVTEFDWWGFVSPLMQLRWYAWVGAAIFFWGWIHQHCCHAILGKLRKNREQFDDYMIPHGDWFEYVSSPHYLAEIVIYGGLVVASGCLDLTVWLLFSFVVVNLVLAATETHRWYLRKFDNYPKNRFAILPFLY >itb08g15170.t1 pep chromosome:ASM357664v1:8:17211109:17216405:-1 gene:itb08g15170 transcript:itb08g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLVTMLRAAWIAAIIPILIALIPSSKLGCFHEFLLGFAKRGKIMQSSNNKFTVPQKFFCQFYILATLWTTLLLVAMSLYAYMTTTVISESSLFSSIVSDLTGGSHILSLHTSNSSKENRRKVLLSVFLLLLMETQALRRLYESIYVFKYSPSARMHILGYFAGLFFYTAAPLSLCCNFALEVLKFIVSLVQEFIVEGNDRMQVTEFDWWGFVSPLMQLRWYAWVGAAIFFWGWIHQHCCHAILGKLRKNREQFDDYMIPHGDWFEYVSSPHYLAEIVIYGGLVVASGCLDLTVWLLFSFVVVNLVLAATETHRWYLRKFDNYPKNRFAILPFLY >itb12g09640.t1 pep chromosome:ASM357664v1:12:7573809:7574102:-1 gene:itb12g09640 transcript:itb12g09640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAEGKKIVVFHDYDGTLTPIVDDPDTAVMSQEMRRTVKDLASHFPTAIVSGRAIDKLYSFVSHGMDIRSWTVTFPHSHCQWGNAGRPTYYASHPH >itb04g10610.t1 pep chromosome:ASM357664v1:4:10167252:10171265:1 gene:itb04g10610 transcript:itb04g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKWLEVVILTCLFAFSTGRELNDKANNKYRSPVYNHTLATILVEYASAVYMNDVTELFTWTCSRCDGLTEGFQMIELIVDVQHCLQAFVGVAENLNAIVVAFRGTQENSIQNWVQDLYWKQLDINYPGMDDAMVHHGFYSAYHNTSLRPEVIYAVKEALELNGDYQIMVTGHSMGGAMAAFCGLDLTVNYGFENVQVMTFGQPRIGNAAFVSYYSQLVPNTIRVTHDHDIVPHLPPYYHYFPKKTYHHFPREVWLYNICLGSLVYPVEKVCDGSGEDSSCSRSVSGNSISDHLTYYGVQLGCEESASCKFFMDPRVSSYGTQDPNGNIVLHRDISASMLMMNSKSTKQSASL >itb04g10610.t2 pep chromosome:ASM357664v1:4:10167253:10170544:1 gene:itb04g10610 transcript:itb04g10610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKWLEVVILTCLFAFSTGRELNDKANNKYRSPVYNHTLATILVEYASAVYMNDVTELFTWTCSRCDGLTEGFQMIELIVDVQHCLQAFVGVAENLNAIVVAFRGTQENSIQNWVQDLYWKQLDINYPGMDDAMVHHGFYSAYHNTSLRPEVIYAVKEALELNGDYQIMVTGHSMGGAMAAFCGLDLTVNYGFENVQVMTFGQPRIGNAAFVSYYSQLVPNTIRVTHDHDIVPHLPPYYHYFPKKTYHHFPREVMGLLELLT >itb07g07850.t1 pep chromosome:ASM357664v1:7:6112165:6114702:1 gene:itb07g07850 transcript:itb07g07850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFPVQQSAFVACEEKRSSISDKRNAVVCPKPRRLGLNAVVNDPIRSLRWHISHQQDVCDSRSGSDLLNIILTKGGYGAEQASAAQAASSPPFFCGSPPSRVSNPLVQDTRFGDEKVAPVSPRAIPIPSGVVASSPSSTRKSEGCARVNFGNKPAVRIEGFDCLDRDRRNCSIPALA >itb02g15820.t1 pep chromosome:ASM357664v1:2:11504360:11513396:-1 gene:itb02g15820 transcript:itb02g15820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIRHCVAQFIEPESLNCSLPSPSLFPKQSARFSIQRYHHHQACSLSMNGCQGDPRAPIGTVETRTFPAVSTLALAVESLNAAISNLINSHPPPFHSGIIRLEVPIKEKIEALAWLHAQNHLPLLIPRCFFSGRSLDSDPSSIAHSSNANSIISSSAEKHRVVSVAGVGSAVFFRHLHPFSFNDWLAIKRFLSKKCPLIRAYGAIRFDATASIASEWRGFGSFYFMVPQVEFDELEESSMIAATIAWDDALSWTYGKAIDELQATMSKVCSIVKQSLKIVPNTYILQNTHIPNKTSWDQAVKRALQMINRDDSTLIKVVLARSSRVVTATDVDPLMWLTCLKFEGENAYQFCLQPPESPAFIGNTPEQLFHRNRLRICSEALAGTRARGGTELLDLKIGHDLLSSVLIEPKKALRKLPRVQHLYAQLTGRLQSEDDEFKILSSLHPTPAVCGYPTEVARVLIAETEMFDRGMYAALLVGLEGERVIWGKRVXXXXXXXXXIAATIAWDDALSWTYGKAIDELQATMSKVCSIVKQSLKIVPNTYILQNTHIPNKTSWDQAVKRALQMINRDDSTLIKVVLARSSRVVTATDVDPLMWLTCLKFEGENAYQFCLQPPESPAFIGNTPEQLFHRNRLRICSEALAGTRARGGTELLDLKIGHDLLSSPKEHNEFAIVRECIRRKLEAVCFSVLIEPKKALRKLPRVQHLYAQLTGRLQSEDDEFKILSSLHPTPAVCGYPTEVARVLIAETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGAVIYAGTGIVEGSNSSLEWDELELKTSQFTKLMKLEVPMLTSSGESRKINQRGW >itb01g10250.t1 pep chromosome:ASM357664v1:1:8540207:8540473:1 gene:itb01g10250 transcript:itb01g10250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRRRLLLADSRVRAGGGVVGCCLQIRGVGRGALASSSAAAGPRFAGRAGSLGVVVRCCCSSPALASSSDIRIRGFGVGEVTESRF >itb05g09490.t1 pep chromosome:ASM357664v1:5:13882336:13884059:1 gene:itb05g09490 transcript:itb05g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFDLKHMWKSPNGTIRNILHMWKSPNGTIRNILNGTVFREPILCKNIPHLVPSWNKPICIGRHAFGDQYRATDTVIQGAGKLKLVFVNRQELVILKLEVR >itb04g11690.t1 pep chromosome:ASM357664v1:4:11360880:11362101:1 gene:itb04g11690 transcript:itb04g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDGTSHTKGMNEFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQDVARAIEYLYSLAHQSFIHRDIKSSNILLSDDMRAKVANFGLIRKVPNDKSCFETQVAGTFGYLAPEYAIDETLPDETCHLVTWFNKIIRKGHNLKNTIDPTLDLDDQIFESISKVAELVAHCTANKYFRRPNMEHVVNVLGPFAQKWKPLRPEEIEEKYGGFDLHMSLPLAFEDSSIESLSFTEAQLNRYRLNQSAQF >itb02g00240.t1 pep chromosome:ASM357664v1:2:203016:205686:-1 gene:itb02g00240 transcript:itb02g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELIKSIAAAAGNEENGGQPFYVMDLGALERVMDKWKHCLPQVKPFYAVKCNSEPAFLAALARMGANFDCASQGEIQTVLALGVRPDRIIYANPCKAAAHIRYAATVGVNLTTFDSMAELEKIKKWHPKCKLLLRIKAPNDGGGSLRPLGKKFGVLPEEVEGLLRYAALAGLHVAGVSFHVGSIAQDPTIYLSAIAAARTVFNKAAELEMPPMHVLNIGGGFRATQPLFQRISDTIKEAIEDYFPKEMAVTVIAEPGRYFAETPFTLAAHVIGIRARGEKREYWIDDGIYGSFRPTLYNSSFVTIKPAFCTSEESSVIWPSVIYGPSCDSLDQVTAEIKLPELQLHDLLVFSNMGAYSSSAGTKFNGFDMISIPTYIAYTY >itb04g25250.t2 pep chromosome:ASM357664v1:4:29872590:29876949:1 gene:itb04g25250 transcript:itb04g25250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRSKSIARKPLRGSTFSIEKLINKEEGSAEDQPENSSPETLDKFTQNVRQNVTNAEPDNHPTPNKETENDAEQWKGKVDMWRPLNCLVEAANRSKSSRFMPQGSAVKSEPSHVADIDGHARKTKVKEHGHKLKVQDEKTNSGSTPLESEKPKKLRRIRKKAPSFGDFNIFPNAVIDNASAKFERRINPIWFSLVASQDQEGDAPLPQISANYLRIKDGSIPVSFIQKYLVRKLDLMSDDEVEIRCMGQSIVPTLQLNNLVDLWLQTASSDRLPAKIGTSAKEFVMVLVYARRVPAS >itb04g25250.t1 pep chromosome:ASM357664v1:4:29871634:29876949:1 gene:itb04g25250 transcript:itb04g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQVVKVRRETIAACMTCPLCNKLFRDATTISECLHTFCRKCIYKKLSDEESECCPICNIDLGCVPVEKLRPDHNLQDVRAKVFPYKRQKVKAPEVVPSVTLPVRRKERSLSSLVVSTPRVSAQSGMTGRRSKSIARKPLRGSTFSIEKLINKEEGSAEDQPENSSPETLDKFTQNVRQNVTNAEPDNHPTPNKETENDAEQWKGKVDMWRPLNCLVEAANRSKSSRFMPQGSAVKSEPSHVADIDGHARKTKVKEHGHKLKVQDEKTNSGSTPLESEKPKKLRRIRKKAPSFGDFNIFPNAVIDNASAKFERRINPIWFSLVASQDQEGDAPLPQISANYLRIKDGSIPVSFIQKYLVRKLDLMSDDEVEIRCMGQSIVPTLQLNNLVDLWLQTASSDRLPAKIGTSAKEFVMVLVYARRVPAS >itb02g22170.t1 pep chromosome:ASM357664v1:2:21389337:21391968:1 gene:itb02g22170 transcript:itb02g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNFSMGMIFGSKQEVKEAIVNYVFNAGFDFTLAVIGGPLPEPPQPAAAECFLATSGSPGTAKMDERSPGCVLFPEIGVEDHFLPEGDRERGVAIVNGAPTVTISTGEWETLVDTERNSSVVGRFGLNSPPLEVIREELSANLRLIGEVHIDGHRTGDHGKTRHWYRYGYISLISQFILVNFSILSRIYDPIGKALALDIAIERRSRPNVATVRVEIDLRKPILNRIWIAIGGEGEGFWQRVDYEYMPSYCTSCNRIGHSLLNCRRMVNVRVEERTPTACNNGPLNSGAGEIEIEQSRGLQVHDEECLSSKMAGEEGEGALTNLAPVGTRTEKLIQSAQEVENE >itb06g19240.t1 pep chromosome:ASM357664v1:6:22622135:22624114:-1 gene:itb06g19240 transcript:itb06g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSPPFSLHRYPTPANPTSAAAEYVAATFFPANLNRFHWPRKPFLPTSSVQSVFRSFPSSLSSRSPNLLRPFAAAANHNGDNNNNGGGRNDGGGGGDWWDDFFNFDEKHLFLLPFSCMFTNENNTFASVTSCKPLLLLLVSVSSSVTCGLLLASLVQAKTNGEENDTETLYEIRGGKRVELVADHFKDEFIVPRTVCFWSPRGSDSKPSSFRDFTVDLWMQCRNLAMSLMLPEGFPESVTSDYLEYSLWRAVQGVAAQISGVLATQALLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKILLSNYGRHFDVHPKGWRLFADLLENAAYGLEILTPAFPHLFVHIGAVAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKGIGIMLGIALANYTSSSTPLALASFGVITWIHMFCNLKSYQSIQLRTLNPYRASKIFFLYFYCV >itb02g17880.t1 pep chromosome:ASM357664v1:2:14155677:14158424:1 gene:itb02g17880 transcript:itb02g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGEGEESEVGKQRERRKWVIGAMGMKTASKFGDSRVEKLKSSVISRSRMKLWMIRATTSVLLWTCLVQLTTLGETWGPRVLKGWPSCFSQDSASAMHLSALRDVPARVLPPKRLYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVELGIIYTMPPISWSDISYYQNQILPLVRKYKVVHLNRTDSRLANNGQPMEIQKLRCRVNFSALKFTPQIEELGRKVISLLRNKGPFIVLHLRYEMDMLAFSGCTQGCNKEEAEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALALRALDIDSNFQVYIAAGEIYGGERRMASLIGSYPNVVRKETLLEPSDLRFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRHLGYRKTILLDRKVLVELIDRYDAGSFTWHEFSNAVKEAHADRMGNPTQRLVIPDRPKEEDYFYANPWECLEASSNEDVPARTSI >itb02g04230.t1 pep chromosome:ASM357664v1:2:2523627:2527092:-1 gene:itb02g04230 transcript:itb02g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAAVKGKAEDWFILQQKAWEFKSNVTPKGNTVLHIAARHGHTYLVQKILEVCDDALLCAQNKKNETALHIAAKEGHTHVVSALIRYAKTRPELESGIAVREMLRMSNSDGDTALHMAALGGHRGVVKQRGVVKELATEDDEFEFPGNKMGETPLYLAALLNFHDCLSDMLNIGRKPAYGGPLGRTALHAAVISKSTDCVKALLAKESGLGEVGDIYGWTPLHYAAKFCDDEGIIVGEIVGVKSSLAYLPAPCKDHSGWTTAFHIAAATGGIKAMEAILKQCPDAWDMVDSKGRNALHEAVANNRKDVTDYILLNMDHLIDEKDDDGNTPLQYAAGATNNNYNYYERWYGLGFHLHPRAEPLFRLGFSKLLTTPWEEESSVIKNGSRGVVHQKRKKAAADDANAIIGGMAKSAKSEIIVAALIATVTFAAALTVPSGYDDDNGPGSGGGGGSGMAVLRRKAGFWTFVIADTVAFACAFAALCGYVLLLQSRALGWWRWIPILMHNACGVAVVVAFVSGLYTVLVPSTTLKSIKVGASTNGATDKYADIAIGK >itb03g25610.t1 pep chromosome:ASM357664v1:3:24788734:24791196:-1 gene:itb03g25610 transcript:itb03g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVNYHHCGAPKTWYGVPGDAALAFEKVAEQHVYKPDILSRDGEDGAFEILAEKTTMFSPGILLQHDVPVFKAVQMPGEFVITFPRAYHAGFSHGFNCGEAVNIASWDWIPFGAAACHRYAVLKRMPIIPYEQLLCKQAMLLAQYESATAPSSSSTVCVKSSFACLLRFYDCACWCLNRLLNPSSSLTILPKSPQQLVLCALCKRECYVAHLILSSTTAPICVFHADNEIYKCKCESSDDTLLYLYLREDMSELVLAANKFEVADDAIHQQVEQQMNAHSCLQTILCLTMDKYVPYSEVYHIENENKKKKKEELRLMI >itb03g23610.t1 pep chromosome:ASM357664v1:3:21822530:21826411:-1 gene:itb03g23610 transcript:itb03g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVELLDGKDAMRRVCVQSEYEYCRQCLHLEDSRKEAWNRCAALELEIEGKKNEVELLQHKLVALEGEKIAVENELQLLKRNSKEIEAQETHTKNVTNSVMGEGLKPMGAVVDLTEEEIEGEDEVFRLMTENKVLECEKRKAESDIEVWKGKCKEMQLLITELEKKLVSKDGNTAVHNKEEMNEHRFLQNASLASSTLDGLQAVEEVTKHDGTIHPKAGMETSGTESKHENGEKDVDHIRKRIRFVEEEHPNKKIAPCTPSGVKSAVNIVIDIDGSDEDTNGLCMPSLSGSKVVGSLPDYGIGNSMSENKLYSNNNIKISPMIHSDDDYDDLIGPNSGVPCISTPKRKRAYNIVVSDSDSDDDNLPLCTLRIRHSDEQPRDYHPKKDSMESTVSEDEVKGSGSRRRLVKLKSYEDKGDGVKRSLNYENNCGAKWHHGISASGDEDDVGDDESDNEGESLDSFIVKDDDDGVSDSNDGSNNNDASAADDSSFDPEIASDNDETFGEIISRIRRKKTHKLEWDYEADMLAAFAEVPELCMKAVCALYRMQTDDEKNCKSTMYMNERGFSQCDAYRGSQLAQFLTDGNPKGDVIKSVKELQEHDPKGLEKCWSLAQRYSKQLFEIYKNKEDPLFLPS >itb02g03620.t1 pep chromosome:ASM357664v1:2:2130698:2135171:1 gene:itb02g03620 transcript:itb02g03620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANEFWGLSVMDKAKAKPVLFLSSSSALVNLENRVHANRLRLRRGGVRIGPMASVSVEQRVFKMVPEKAVKFKVRAVVTVRNKKEAGFKEALIKRLDAFTDQLGRNVVLELISTEIDPKTKGPRKSEPAVLKDWAVKTGMKTETVNYSAEFEVDTNFGCPGAITVVNKHQQEFFLENITVEGFSSGPVHFPCSSWVQSKKNYPRKRIFFSNKPYLPHETPEGLKALREEELRDLRGDGSGVRQLHDRIFDFDVYNDLGNPDKGVDFVRPTLGGEAIPYPRRCRTGRPPCITDPSCESRLEKPAQMYVPRDEQFDDPKRDAFLSARLRGTMHNLLPGLQAKLSEKNMDFQGFQDLDSIYSETLFNKLGIKEDVVEKLPLPKIVQKFKDADVLKFNIPTILGKDKLAWLRDGEFARQMLAGISPVHIERLRVFPPVSKLDPAVYGPLESALKEDHILPYLNGMSVQEALDSNKLYIVDYHDVYLPFVDRINALDGRAIYATRTILFLTDNGTLKPIAIELCLPANGPVSRSKRVVTPDGNATSFWMWQIAKAHVWANDASVHQLIHHWLRTHASMEPFILSAHRQLSAMHPIYKLLDPHMRYTLEINALARQTLINNEGVVESCFTPGRYAMQISAAAYKSFWRFDLENLPADLIRRGMAVTDPTQPHGLKLLIEDYPYATDGLLIWEAIQKWVTTYVNHYYPDSDRVCNDRELQAWYSEAVNVGHVDLRNAEWWPTLESPADLASILTTIIWLCSAQHAALNFGQYAYGGYLPNRPTLMRRLIPDENDPEYAVFVSDPQKYFFKALPSKPDATKLIAVVDTLSTHSPDEEYLGERPHPSTWTSDAAMVEAFYEFSADIGQIEEEIDSRNHNPSLKNRCGAGVIPYELLTPSSGPGVTCRGIPNSVTI >itb13g13310.t1 pep chromosome:ASM357664v1:13:19811211:19813252:-1 gene:itb13g13310 transcript:itb13g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPCCKDSEEVKKGPWTPEEDQKLSDYVSKNGHGNWQMLPKKAGLNRCGKSCRLRWTNYLRPDIKRGGFSQEEEQIIINLHSSLGNKWSRIAAHLPGRTDNEIKNFWNTNLRKKLLRMGIDPKTHQPITDINLLLNLSHQIMLSNTNNPLGSALRLQNILQFLNSYPILFPTNKQENFPMGLDYNNTINTINNYVSTATQNSSDFIGSDFNPHMKMLTDNAGENNNDHSEYSLPSLVQSSSEYIPTLDQTIMPSPGLEANNNEFLAWEEFLKNEEDYSSPLWNDILQAKQM >itb10g08580.t1 pep chromosome:ASM357664v1:10:10889686:10899592:1 gene:itb10g08580 transcript:itb10g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFFCCFIGLGSALHSHISVGKNGHILGEQPLSKIAIHKAVLALRDSASIQAKPDLLGLKGEDTEWVTVNLQNPQPSNDDWVGVFSPAKFNESICYPEGAMEEYGYQTPFICTAPIKFKFANYSNDNYIETGKTAIKFQLINQRADFSFALFSGGLDNPKLISVSNFLSFVNPKAPLWPRLALGKSWDQMTVTWTSGYNIDEAYPLVQWGWKGQAQKRTPAETTTYHRTSMCGPPARTVGWRDPGFIHTGFLKELWPNVIYTYKVGHLLLNGTYVWGKKYSFRAPPSPGQESLQRIIIFGDMGKHERDGSNEYADYQPGSLLTTDQLVNDLDNFDIVFLIGDLPYANGYVSQWDQFTAQVAPITSTVPFMIASGNHERTFTNSGSIYDGQDSGGECGVPAETTYYVPAENRAKFWYQTEYGLFHFCIADTEHDWRIGSEQYKFIEKCLASANRHKTPWLIFAAHRVLGYSSNDWYAKEGSFEEPMGREHLQGLWQKYKVDMAFFGHVHNYERTCPIYQNQCVNDETSNYSGTVNGTIHVVVGGGGSHLSQFTSINTTWSLYKDYDWGFVKLTAFNQSSLLFEYKKSRDGKVYDSFTISRDYRDVLACVHDGCEPTTLAN >itb13g00710.t1 pep chromosome:ASM357664v1:13:655774:657827:-1 gene:itb13g00710 transcript:itb13g00710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELEDGSESGLGSYWGELTQVCLVNILSRLTLEERWRGPMLVCKPWLNACKDPSLNSVIDLESHFGSVTELPRYWTPEFERRIDSMLRSIALWSDGSLKEIRLRHCSDRSLSLVAQSCANLQVLSIRSCAHVTDQVMAKIASGCPLLREVDISYCYEISHESLALIGRNCTNLQVLRRNLMNWLDPSQHSRIVPKEYLNACPQDGDSEAAAIAKYMPHLVTLELQFSKLTAKGLDLISQGCPNLEYLNLSGCANLNSRDIIKASCHLKKMKSLKKPNFYIPRSVYAAERYGHWQLYDERFQTDVFRI >itb13g18590.t1 pep chromosome:ASM357664v1:13:25554623:25557445:1 gene:itb13g18590 transcript:itb13g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKFQHFDTNCFYIRSSTKSTPRIGISVKPPRKDHYQRKIELNPDGRSVESKNVGVKLGPNVGLGDVKKNLQNQKSTSGDERNGVLKSQTGLFTKRDGRVESRKKSGGETRRGNLHEKVQTKCSTKWGRYGGCIPAILEALESCGDLDEALKPWQMTLTNKERSIILKEQLGWERAYEIFNWFKKKGCYEVNVIHYNIMLKTLGRAHRWSEVEKLCDEMRERSIKPVNSTYGTLIDVYSKGGRREEAMKWLDLMNQRGMEPDEVTMGTVVQMYKKAGEFKKAEEFFKKWSLNMQQVEQPVNGKPRRNISSAVSSICLSSHTYNNLIDTYGKAGQVKEASETFERMVKEGIAPTTVTFNTMIHLYGNNGQLEEVGSLMQKMEELKCCPDTRTYNILIFLHAKHDNIEMAANYFKLMKSASLEPDTVSYRTLLYAFSIRQMVCEADMLILEMDERGLEIDEFTQSALTRMYLVAGLLERAWSWFWRFHLGGKMTSDCYSANIDAFGEHGHILEAEKVFNCCQEVKRLTVLEFNVMIKAYGISKKYDEASCLFDSMQKHDISPDRCSYSSLIQMLAGGDLPVKAKSYVSKMQEAGLVDDCVPYSVVISSFIKLGQLEMAVSLYKEMIRFGIQPDVVVYAVLINAFADIGSVKDVNCYFDDMIKAGLQMNSVICNSLIKIYSKVGYLKEAEEIYKTLLSFEDGPDIYSSNCMIDLYSLRSMVGQAEEVFEHLKRKGIANEFSYAMMLCMYKRNGRFNEAIRIANAMRDQGLLTDLLSYNNVLGLYAVVGRFKDAMATFKEMLTSSTQPDDFTFKSLGIILVKCGVPKDTVRRLKMMRKKDPQKGLLAWTSILSSVTGLYDTDHAACMDL >itb12g16520.t1 pep chromosome:ASM357664v1:12:17786138:17789200:-1 gene:itb12g16520 transcript:itb12g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLWSIRAHVISPTLIGIFPGVEKSSTSGSGVTSCSSSSTLLVWNRGNRRGERIALKAQFNSTRVYNRRLPKNLRYPRRPKLPPEFDVGFRTVFENDHQSPPEPIPGETSSDKIAADDNSQETLTKNDDDELKEVGEEQEGNEVLVYNDGIEWEPEEIEAISSLFKGRIPQKPGNLDRKRPLPLPLPHKLRPLGLPTPKRFTATNDVPFARKSISKRLYKEPSFLLGLAKEIKGLSPEEPVSTVLSKWAPFLRKGSLSLTIRELGHFGLPSRALQTFCWAQKHPHLFPDDRILASTIEVLARSHELKVSFDLDKFISLSSRSVFEAMLRGCIKGGSLKLALKLLSAAKDVKGMVDAGLYAKLILKFGKNSDKETIVLKLLEELAERDDLDLTQQDCTAIMKVCTRLRKFELVEGLYDWYKMSGRDPGVVMYTTLIHSRYMANRYREALAVVWEMEAANCLFDLPAYSVVIKLFVALNDISRAARYFSKLKEAGFSPTFDIYRDLMKIYSSSGRLAKCQEISREAEMAGYKWNYEMSIKQIS >itb12g16520.t2 pep chromosome:ASM357664v1:12:17786170:17788399:-1 gene:itb12g16520 transcript:itb12g16520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLWSIRAHVISPTLIGIFPGVEKSSTSGSGVTSCSSSSTLLVWNRGNRRGERIALKAQFNSTRVYNRRLPKNLRYPRRPKLPPEFDVGFRTVFENDHQSPPEPIPGETSSDKIAADDNSQETLTKNDDDELKEVGEEQEGNEVLVYNDGIEWEPEEIEAISSLFKGRIPQKPGNLDRKRPLPLPLPHKLRPLGLPTPKRFTATNDVPFARKSISKRLYKEPSFLLGLAKEIKGLSPEEPVSTVLSKWAPFLRKGSLSLTIRELGHFGLPSRALQTFCWAQKHPHLFPDDRILASTIEVLARSHELKVSFDLDKFISLSSRSVFEAMLRGCIKGGSLKLALKLLSAAKDVKGMVDAGLYAKLILKFGKNSDKETIVLKLLEELAERDDLDLTQQDCTAIMKVCTRLRKFELVEGLYDWYKMSGRDPGVVMYTTLIHSRYMANRYREALAVVWEMEAANCLFDLPAYSVVIKLFVALNDISRAARYFSKLKEAGFSPTFDIYRDLMKIYSSSGRLAKCQEISREAEMAGYKWNYEMSIKQIS >itb03g02300.t1 pep chromosome:ASM357664v1:3:1262557:1264105:1 gene:itb03g02300 transcript:itb03g02300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISRVFCLWVVFFLGSILSYGVSEARHHRPSAVVVGTVFCHTCFRQSFSDANRFISGAVVAVECGGEGRQSFRSEVKTDGRGEFKVNLPFSVARGVKKIRGCSVEVISSGEPSCAVASAAAATSSIRFKEMKEGSLVFSAGVFTFRPLKQPEELCNQKTEKLPQILDPPASILPPIPGVPGVPQLPVPPLGPGIPLVPPVSNLPVTPIPVIPPIGPGIIPLIPPAAPRKVKEQQVVEPSIFPPFGILPPNPLLPPPSLLPPNPFFPPPSIIPPVIPSPPPSIFPPLFPSPPPSIFPPILPSPPSPPPSIFPPLFPSPPSPPPSIFPPLFPRPIFPPIPGLTPSPPPPPPPSPFFPFPLPPFPFQPSPGTPPPEFISSAQKNP >itb13g24640.t1 pep chromosome:ASM357664v1:13:30267248:30268805:1 gene:itb13g24640 transcript:itb13g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGLKVVGFWVSPFVVRVKWALRMKGLEYEYIEEDIFNKTPLLSQLNPVHAKVPVLVHNGKPLAESLIILEYIDETWKHNPLLPADPYERARARFWAGFAEFKILNPSWEALWSKGKQQESAVNSAMEAIEKLEAELNGKQFFGGEKIGYLDLVVGWVAHNLPIFEEVASVKIFDSQKFPAIAEWKNRFLNHPIIKADLPDTEKAYAYFDKRSKELAASFYSAN >itb08g11240.t1 pep chromosome:ASM357664v1:8:11159420:11160842:1 gene:itb08g11240 transcript:itb08g11240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRALEDRPSFSLGLTQTQDGQEWHGFEDHAADRPSFSLGLTQTQGGQEWHGFEDHAADCTTNQASTGVYGATGEQAEDIHQVNPQGMEHDAEMRTPPQQNINPPTYVLSGAEGAVLGMAPVKDAAHEKRPQQTDMVSANLMNRFRGVSGSMKMHELPMPSQLVLCRWVFNHNEYTNHDCVCFLFFVQLNTVVNATASRAKWLKWFTDSLDEVLAQSPHINCATVDMFFFPILDANHCYVMCINTRTRRFDIIDNSSLLTTKKAKYKEIPDDLASSTSIYMFIAW >itb15g03010.t1 pep chromosome:ASM357664v1:15:1903507:1905960:-1 gene:itb15g03010 transcript:itb15g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSAVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb15g03010.t2 pep chromosome:ASM357664v1:15:1903507:1905960:-1 gene:itb15g03010 transcript:itb15g03010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSAVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb10g10260.t1 pep chromosome:ASM357664v1:10:14496244:14498431:1 gene:itb10g10260 transcript:itb10g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFCNNILVNQVENGNSAQQPSPILAESVSLTSNSILDASNSESPPTAIKSEDSLARTLSDEGLEDELHLISSIEMSRKNPPKQSGIQQSSNIIMKNQVVGSISTIDMRVKLHLCKVRFLLLTRNLKAAKREVKMAMNTAHGKDYSLALYLKSQLEYARGNHRKAIKLLMASSNRTEVGMSSMYYNNLGCIYHQFGKHHTSAVFYSKALSSCSSLRKEKPLKLSIISQDKSLLIVYNSGVQYLTCGKPLQAAQCFYKASVIFYNKPLIWLRIAECCIMAFEKGLITYDSLVSSNKSDVMVHVVGKGKWRHLVIEDGLSRIRQENSVGREDLAFGDRQPIPSMSLARQCLLNALHLLNCSESKHGVSSMSNATVTEDQLGGAVSSKVASHNIVPGGVLKAPVVAMSGQVNENGEVKELKGGNSQNTSLLSFISDYDDICRKENHMIKQALLADLAYVELELGDPLRALSAARYLLKLPGCSRIYMFLGNLYAAEALCMLSRPKEAVEHLSRYVSGGKGVDIPYSQEDLESCQVKTQDEESNGGSRSVNSLSPDGSQWLAFINPEEARGILFANLAAVSAVQGSLELAKEFAVQALSIMPKRPQAILTAVYVDLLRGNTQEALTKLKLCSSVRFLPTNLAMTGSL >itb03g21290.t1 pep chromosome:ASM357664v1:3:19131729:19139066:-1 gene:itb03g21290 transcript:itb03g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MKRSLDYYELSDDEEDEEHSFQLSRVLKTDSAPPPPPIESFAYSKTDTSSKRSNSDIIEIGDSSSEEIEDKVENLDDDDDEELKAVNKIRSRRRFVIADEDEDDCDEMKSAKKRREVSGFDDEDEELELELNSEFEDDDEEEQEEEKDEIDVVAKALHKCGKISSDLKRELYGSAAAACDRFSEVEEASSLRMVTQDDVNEACAAGDSDFKPVLKPYQLVGVNFLFLLYRKKIGGAILADEMGLGKTIQAITYLTLLKHLEDDPGPHLIVCPASLLENWERELKKWCPDFTVLQYHGASRSAYSKDLSYQAKAGLPPKFNVILVCYSLFERHSAQQKDDRKVLKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSMLEFMMPDLFETGDVDLKKLLNAEDKELIARIKSILGPFILRRLKSDVMKQLVPKIQKIHYVYMGKQQEGLYKEAIENYRAASQARMPKLSGTGLNNAVGILPQRQISNYFLEFRKIANHPLLVRRFYTDDDAIQIAKMLHPRGVFGFECTLDRVIEELKGYNDFSIHKLLLYYGDNDTKGSLSDEHVMSSAKCQALADLLPSLKLSGHRVLIFSQWTSMLDILEWTLDVIGITYRRLDGSTAVTERQTIVDTFNKDTSIDACLLSTRAGGQGLNLTGADTVIIHDMDFNPQMDRQAEDRCHRIGQTKPVTIFRLVTKGSVDENVYEIAQRKLTLDAAVLESGVEVENEGHMSVKTMGEILSSMLLG >itb15g11740.t1 pep chromosome:ASM357664v1:15:9581050:9583534:1 gene:itb15g11740 transcript:itb15g11740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIGDNPQEQTSTNEKEDMEGANCEPLRIVSEKLKNVKKLSPEACIFKVHERLRKTNPEAYTPLTISIGPYHHGKPELRKMEMLKELYTESLLNEAEGVGVEECWKKLKELEGRAERYYGDEIEKEVSGDEFVKMLLLDGCFIVEFVSRSFLRVLGGGQEYKDDPIFMIKGMVGNIVRDMLLLENQLPFFVLQALYDMLIKPVNLEFSEMVKIAFRSQITKGMKIISLLNTELNPQEIKHLLQIVHILCQPQPQQSTEDHEVELCSIRTASELQEAGVHFKKVGKISNTSSDETISLFDIKFNHGGVLEIPSFALYDSTETFLRNLIAYEQHSQDVYPMYFTDYAKFMDDLINTEKDVNLLRLKDVFINGLGDDKEVTCLFNNLCKEVTYHSGDFYYSDVYKELDRHCKKSWNAAMAKLRRDYFNSPWAGISTFAAILLLSLAIAQTVLSALQLHK >itb11g04440.t1 pep chromosome:ASM357664v1:11:2324047:2325020:-1 gene:itb11g04440 transcript:itb11g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGAMEEDLLRDEDNKKRRGGSHHGRKGGENSYGGGGSMRCCQVEKCSADLSDAKQYHRRHKVCEHHAKAQVVIIAGLRQRFCQQCSRFHEVGEFDDAKRSCRRRLAGHNERRRKNSAVDSHAAEEGTSQKVDEHGRI >itb13g12300.t1 pep chromosome:ASM357664v1:13:18456349:18456864:-1 gene:itb13g12300 transcript:itb13g12300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEVEKRKQSPWRLCLITQVEKVKCILRLLPIWLCTIIYSMIFTQIASLFVEQGDAMETKMANFIISAACMLSFDICSVVVCIFLYIRVLDPLVGRIKGSSMGETEPNNEADGGITHPLSTTQLPFVYTPAAICLQPHAPYIHVHARRAHSPCTCSSSHTTNSPIVSAS >itb14g00830.t1 pep chromosome:ASM357664v1:14:585144:586663:-1 gene:itb14g00830 transcript:itb14g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIWKLEVETQIKSASKKFFDIYLNNSRLLPKICPAKIRSVQVLEGDGKSVGSVRLWTYILGGNVIAKDKIDAVDEENRSVTFALIGGEVTNYFKSFRATLQACGNSVKWTLEYEKANDNVPTPHSHVEFLMNVARDVDEYLLKT >itb06g13960.t1 pep chromosome:ASM357664v1:6:18598196:18601290:1 gene:itb06g13960 transcript:itb06g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQAQEHGNNFFCIPSSSSSHFSSECYSVLLCSRNKYITQNTTISSPSGRFELGYFKSAQSSNYYVGIWYKNISPITIVWVANRVAAIHSSKLRILDGDLVLLDGAQNLVWSTNVSAAEKLSSVLATLRDDGNLVLSGVNSKTPLWQSVEHPTDTFLPGSKFGYNKRTKTKQVLTSWKTPDDPSPGLYSLDNDGNAAFQLWNGTDEYWNSGPKFGFGNLRSLKPSPAFNFTIVDNENESYFTYSIIESVISRGLLDVNGQQKCQTWLEATKEWADIILLPPQQCDVYAYCGPFSICNQNSSPLCACLYGFSEKHRGLNQLFQGCVRKTRLRCDEMEERFKMYTNMRLPGHPQNTTAGSEAECESICQKNCSCTAYAYSNSSGYCSIWVGELFNLKQLGKDDSNGNTIYVRLAPSEFPNIQDTNSQHLSGKLKSIMLPSVAVAAAALITCTIFYICFKRMRTALKTTEHAVEAMDQGMLPEGQEIAVKRLSSQSGQDINEFKNEVILIAKLQHRNLVKLVGYCSQLGEKILLYEYMPNKSLDTFIFDQTCRLLLDWSKRFDIILGIARGLLYLHQDSRLRIIHRDMKTSNVLLDEEMTPKISDFGLARMVIGIAFEANTKKVVGT >itb14g07920.t1 pep chromosome:ASM357664v1:14:7310813:7316072:-1 gene:itb14g07920 transcript:itb14g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNATNNWWPSGLKLNLAFYSQFPFLALRLSFSHRRRPPLRLCIDAGHRPHSLAIRLWLSVYLAVVTPFGSSAVVAGRLQHCGSASLSPVVCVGGYWLDREGGIDPFKRLVFRSNHNKLLQSPSSAGMFPETEFFPSFKMARLDKWPSEDETLWHGAWLLLQLLPHSDANFNSNHHELLDVDIITIDFSEKLLFRLKQTMVKAAIQRGVNFEITNTSFLIDAQARRQMISIAKLLVDWTRGKNIRK >itb08g03370.t1 pep chromosome:ASM357664v1:8:2768961:2769760:1 gene:itb08g03370 transcript:itb08g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKSNKSSQKAMIKQMVKRCSSLGKKHHGYVDDEDEVVGLPLDVPKGHFVVYVGANRTRYIVPISFLTRPEFQGLLRRAEEEFGFDHDMGLTIPCDEHVFESLTSMLR >itb07g03940.t1 pep chromosome:ASM357664v1:7:2643035:2650643:-1 gene:itb07g03940 transcript:itb07g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSRRTARSRAKPASEDDDDREVRNATPNPKVRRRGREQSSLRILDVNLKFLLGFGVISFSIALIVIYRLVNPAEVPQIPRVITPLPAPKLADLPMFQGEHKESLYWGTYRPQVYFGIRARTPQSVVFGLMWIGAKDGRFFMRHVCQDSDDLKTYGWTRHNGRDYGHQLLVDQTMTLTTSFLKSKANGSGYGGDWVTRIEAQVDESVVDEEMLKNMHLFFYMADEGGNALTLGGGAIGSNHDSAIALGSRNDVGGWQLHIKSEDNFDMHYSGFKTPYIHNLSDLVQADLGVKARNFGRLELSDTLDDSSNILVFQISARISFKADIVFVSGTGLQDSRVEERLTNLAGASLSSLLNEKQKEFDDKFKRCYNLSDQLDSGSVTVGRDALASTLGGIGYFYGQSKISLPKASKLDTGDNFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLIIWHWDTYISLDIIGHWLDLMNIDGWIPREQILGAEAVSKVPQEFVPQHPSNGNPPTLFLVLRDLICGIKKNKFDAAERRDISIFLDRALIRLETWFNWFNTTQSGKDFSSYFWHGRDNITTRELNPKTLSSGLDDYPRASHPDEEERHLDLRCWMFLASDCMHSISKLLKTESEHQEYRSTAELLSDFELLNKMHFDSSYGAYFDYGNHTEKVRLSWKIIGAGSNNPKRELLREVLEKPVLRLVPHVGYVSLFPLMAKLIPPESWILEKQLDLVSNRSTLWTDFGLRSLAKTSSIYMKRNTEHDPPYWRGPIWMQFNYMTLGALHHYSREPGPYREKARTIYQELRSNLIRNVVENYHKTGYLWEQYDQKNGKGKGARFTGWTALVLLIMSESYNEC >itb04g33230.t1 pep chromosome:ASM357664v1:4:35542534:35550840:1 gene:itb04g33230 transcript:itb04g33230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDCVAGLFRAIMISGVVFYLAAIFLYKDPISSPPLGVLISPEGAAELSGKNESPTNISHLLFGLIGSEKTWHYRKAYTESWWRPGVTRGYLYLDVAPTGDLLPWSPYSPPYRVSDDINRMVKETTHVAPVMARMVHGIMEVFRERHEGVRWVVMGDDDSVFFVENMVDVLARYDHTKYYYMGAPSEFVNSNHWLSFNQAFGGAGIILSYPLAEALSNDMDSCLRRYAHIVDIRGDLSGFLSAHPKVPLLSLHHLDNVEPICPAMDRFESVKHLVRAANYDQTRTLQQNICYRRQSNWSVSVSWGYSVHIYEKILSRSYLQLPIETFKPWGVEPLHLPIYMFNTRLPSKDPCEVPHVFFLKTVKRTEDDGIVMTYLRSSERGLPACSFSGNHSADYVSRIEVYSPLTKRPELQSVIFFIDRASGNPKTSKKIGSWFKAILISGVVLYLASIAIFLFINPLFSLPRGAFLSKSSRNNESPTNVSHLLFGLIGSENAWHNRKAYIESWWRPGVTRGFLYLDVAPTGDLLPWSPLSPPYRVSDDINEMVRETEYAVPFMARVVRGIMERHEGVRWVVMGDDDSVFFVENMVDVLARYDHTKYYYFGEQSEFVLSNYWFSFNQAFGGAGFILSYPLAEALSNDMDSCLRRYAHIRSADHITMLCISDLGVNFSPLKGIHQVDIRGDLSGFLSAHPKFPLLSLHHLDEVEPIFPAMDRFESVKHLLRTANYDQTRMLQQNICYRRQSNWSISVSWGYSVHIYEKIHRRSYLQLPIETFNPWFGDPNYLNLPIYMFNTSLPSMDPCEAPHVFFLKTVNRTEDDGIVMTYLRSSERGLPACSFSGNHSAAYVSRIEVYSPSTKRPEMDRCECCDVIHSDGEEGLKVKYRECLLTEVIA >itb09g06560.t1 pep chromosome:ASM357664v1:9:3773560:3774597:-1 gene:itb09g06560 transcript:itb09g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANVRRGGNVRLGADTWRHMRWSVQITPLPHHWRVRCLRLLPCLSSSSKVGWGWMGSAWLGLLFGSSSSSSSSAAAACFLQAFPQQRYTVFAARFKA >itb07g06310.t2 pep chromosome:ASM357664v1:7:4447764:4450938:1 gene:itb07g06310 transcript:itb07g06310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGEAPSPSFGRMSRRIPRIMTVAGIMSDLDDDASESVCSDRSSSSAQRDRIIIVANQLPIRAQKKGGNEGKGWIFSWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQQVLSLPETEAKVAELMKQFNGQGKTMLLGVDDMDIFKGISLKLLAMEQLLLQHPEKQGKVVLVQIANPARGKGKDVKEVQDETYTTVKRINENFGKPGYEPVILIDQPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYVISRQGNEKLEKVLGLESPAAKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDAALVMAEPEKQLRHDKHYKYVSTHDVGYWARSFLTDLERTCKDHVRRRCWGIGFGLSFRVVALDPNFRKLAMDYIVSAYKRTRTRAILLDYDGTLMPQNTIDKKPSAKTLEILNTLSRDKNNMVFIVSARSREKLDDWFSSCEKLGIAAEHGYFLRVKKEEEWETRVPVVECGWKQIAEPVMQLYTETTDGSAIEDKETSMVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNTVEVKPQVCIVYYLVKRITVVSRWILITMLTLVSS >itb07g06310.t1 pep chromosome:ASM357664v1:7:4447764:4452736:1 gene:itb07g06310 transcript:itb07g06310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGEAPSPSFGRMSRRIPRIMTVAGIMSDLDDDASESVCSDRSSSSAQRDRIIIVANQLPIRAQKKGGNEGKGWIFSWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQQVLSLPETEAKVAELMKQFNGQGKTMLLGVDDMDIFKGISLKLLAMEQLLLQHPEKQGKVVLVQIANPARGKGKDVKEVQDETYTTVKRINENFGKPGYEPVILIDQPLKFYERVAYYVVAECCLVTAVRDGMNLIPYEYVISRQGNEKLEKVLGLESPAAKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDAALVMAEPEKQLRHDKHYKYVSTHDVGYWARSFLTDLERTCKDHVRRRCWGIGFGLSFRVVALDPNFRKLAMDYIVSAYKRTRTRAILLDYDGTLMPQNTIDKKPSAKTLEILNTLSRDKNNMVFIVSARSREKLDDWFSSCEKLGIAAEHGYFLRVKKEEEWETRVPVVECGWKQIAEPVMQLYTETTDGSAIEDKETSMVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNTVEVKPQGVSKGLVAKRLLSLMQEREMSPDFVLCIGDDRSDEDMFEVIMNSVASPSMAPSAEVFACTVGKKPSKAKYYLDDTVEIVRLMQGLASVAEQQQTALL >itb04g29230.t1 pep chromosome:ASM357664v1:4:32684693:32685092:1 gene:itb04g29230 transcript:itb04g29230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVKLKRPHRPPFLKYLTASSLSSLPFSGDSVKTPPKVLSFLHFSFPLLWYGVCNGGGDDRLVRSAVISLQSDLAAERSREQ >itb08g01370.t1 pep chromosome:ASM357664v1:8:1009516:1010398:1 gene:itb08g01370 transcript:itb08g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHTPCTKPPEDGGGGDGPGPSAGDPGAAEGPVGAGGESIDGPGLMLALGGVAAVGAIGGGAIGGAAMGAAGVIGGAAIGATVIGGGAVAIGGAAFGAAGVIFGGDAATDGGIVTPPPPGGDAMGD >itb06g11910.t2 pep chromosome:ASM357664v1:6:16451930:16456318:-1 gene:itb06g11910 transcript:itb06g11910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH-like ubiquitin thioesterase 1 [Source:Projected from Arabidopsis thaliana (AT1G48790) UniProtKB/Swiss-Prot;Acc:Q8VYB5] MITRSPSSSGRINIASSAKKLDVDHRFSLRVYFRIADNILRQADIFREEGNIIDLYVMLLRFSSLVSETIPCHRDYRVSPQSNKIYLRKKLLNAVAELEELKPGVQKKIEELNRRSTYQANKWSNHHQNNLTGPPQDLHLVKKQNFSSYGTKAPPYATQEYLCQGPRSQQLTLAKPVDEQFRRLSISMPRPKEETLTRHSILGPNGLRGQWQPPLSNMGVTYPTNIDLTPVEIPRGNGLQQIEGDGALIKKDDSISLERPKPESTIPINNDNSMPRVEEPPSLISFETEESPKNTQVTQQPSPSPILAEVQDLIPPPQVVRQPSPPPVLAEVQDLIPKSSPQVPETEGGLDNPMPDNLVRSEEPLQLHISTALMDSFMKLAKSNTNKNLETCGVLAGSLVCAINLNTSYLFLSLN >itb06g11910.t1 pep chromosome:ASM357664v1:6:16449065:16456434:-1 gene:itb06g11910 transcript:itb06g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH-like ubiquitin thioesterase 1 [Source:Projected from Arabidopsis thaliana (AT1G48790) UniProtKB/Swiss-Prot;Acc:Q8VYB5] MITRSPSSSGRINIASSAKKLDVDHRFSLRVYFRIADNILRQADIFREEGNIIDLYVMLLRFSSLVSETIPCHRDYRVSPQSNKIYLRKKLLNAVAELEELKPGVQKKIEELNRRSTYQANKWSNHHQNNLTGPPQDLHLVKKQNFSSYGTKAPPYATQEYLCQGPRSQQLTLAKPVDEQFRRLSISMPRPKEETLTRHSILGPNGLRGQWQPPLSNMGVTYPTNIDLTPVEIPRGNGLQQIEGDGALIKKDDSISLERPKPESTIPINNDNSMPRVEEPPSLISFETEESPKNTQVTQQPSPSPILAEVQDLIPPPQVVRQPSPPPVLAEVQDLIPKSSPQVPETEGGLDNPMPDNLVRSEEPLQLHISTALMDSFMKLAKSNTNKNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDVHTQYSYQVMLPEAVAIVMAPKDCSRNHGIFRLTNPGGMTVIRQCPRRGFHAHDPPADGSPIYKQCTDVYMSSTLKFDVIDLR >itb14g03440.t2 pep chromosome:ASM357664v1:14:3050136:3053511:1 gene:itb14g03440 transcript:itb14g03440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDGSTTLIKLLVLQCLVVLCASQEFDFFYFVQQWPASYCDTRRSCCYPTTGKPAEDFSIHGLWPNYLSGKWPQNCDRQSSLDQSRVSDLISRMEKDWPSLACPSSDGVRFWSHEWEKHGTCSGLNQHDYFQKALQFKTKANLLQNLKNAGIYVISILIYIPINIISIIISYFPCH >itb14g03440.t1 pep chromosome:ASM357664v1:14:3050136:3054460:1 gene:itb14g03440 transcript:itb14g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDGSTTLIKLLVLQCLVVLCASQEFDFFYFVQQWPASYCDTRRSCCYPTTGKPAEDFSIHGLWPNYLSGKWPQNCDRQSSLDQSRVSDLISRMEKDWPSLACPSSDGVRFWSHEWEKHGTCSGLNQHDYFQKALQFKTKANLLQNLKNAGIEPREGEHYTIEEIKSAIEEGVGFSPFIECNVDPMGNHQVYQVYLCVDTSAQNFIDCPILPRGGRCGSKIEFPPFSSPSSHDEF >itb11g12140.t1 pep chromosome:ASM357664v1:11:9020271:9024818:1 gene:itb11g12140 transcript:itb11g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCMKTELKETYACVPSTERGRGILISGDPKSNSVLFCNGRSVILWRLDRPLQVAVYAEHAYNVTVARYSPNSEWIASGDISGIVRIWGTRNEFVLKNEYRVLSGRIDDLQWSPDGMRIVACGDGKGKSFVRAFMWDSGSNVGEFDGHSRRVLSCSFKPTRPFRIASCGEDFLVNFYEGPPFKFKQSLRHHSNFVNCLRFSPDGNKFISVSSDKKGILYDAKTGEKMGELSSEDGHQGSIYAVTWSPDSKQVLTVSADKFAKIWDISEDGNGKVNKTLSCPGSGGVEDMLVGCLWQNDYLVIVSLGGTISIYSASDLDKDPMSFSGHLKNANSLVVLKSDPKLILTSSFDNLIVKWIQGTGYCGKLEKKVNSQIKCLVAAGGQLASFGFNNKIWRASLEGGQCCNENEVDVGNQPKDVSLALDSPELAIVTIETGVVLLRGTNVLSTTNLGFTVTACAISPDGTEAIIGAQDGKLRVYSVTGNTLKEQAILEKHRGPITVIRYSPDVSMFASADANREAVVWDRATREMKLKDMLYHTARINCLAWSPDSTKVATGALDTHVIIYELSKPPSDRTTIKGAHLGGVYGLSFTDDHSVVSSGEDACVRVWTLTPP >itb13g01340.t1 pep chromosome:ASM357664v1:13:1296512:1297961:-1 gene:itb13g01340 transcript:itb13g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDLSINNLEGPIPLFLFQLPELSELDLSSNKFSGPLIELQNVTSPLERLDLTSNNLEGPIPSSFFQLQKLSFLGLGSNRFNVQLLNIKSLSFLNLSYNHLVGRIPQGYQFTFGASSFIGNGELCGIIPLNVSCSGIKEPASVQPKSEEKQSSDRGDIYASIALGFVVGLGGIFVPLLLSSKWRSYYNKKIDEILSKIFFQKGQGRRKKSR >itb12g23240.t1 pep chromosome:ASM357664v1:12:25063627:25063908:-1 gene:itb12g23240 transcript:itb12g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISFRERKRERENLKSVGGKEILGLGREREMENLLSRIEMEKGNGVKKVRLQSGFFWTAQNCWIGGFDGEISAVLCKHSRIKNFFLAMAV >itb11g20100.t1 pep chromosome:ASM357664v1:11:21038835:21039440:-1 gene:itb11g20100 transcript:itb11g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIGEKLQVPINYWAVLLNQSSTKQPLPHSAPTQCHLSSGSKLRFGAVRLRPKITDTVKGKLILGAKLLQAGGVQKVFNKKFSAKEGEKLLKASQCYLSATSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSMRMHYKVSIPIAKIKRANESENLENPSEKYIQVVTEDHFEFWFMWFPHRQRTLKYLQNAISRAQYS >itb11g04280.t1 pep chromosome:ASM357664v1:11:2258101:2258511:-1 gene:itb11g04280 transcript:itb11g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIQKLRADYKIKAESSEKFKRAYNKQLTKIRDASSNVDKLTLELSMKEDELSVAKQAYEELKSNLKDKEAAIKSLSSANGRLRADYDSKLKKFEEENRSMAVALDESNAANMEQEQKIQTLRNEIHKLNTNLES >itb05g03390.t1 pep chromosome:ASM357664v1:5:2874216:2876921:-1 gene:itb05g03390 transcript:itb05g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGTPDFFYREAQRLGYVARSAFKLLQMQKQYKLITPGSSILDLGCAPGAWLQVACQSLGPLKNNGAVVGIDLKKVKVPAMHCDSRVQTVCADVMKLQRDQIRDLSPQQKGFSVVLSDMCPLVSGITTRDAVLSAELGLRALNLAVGGGALPHSDDNVQLDGQSDDSVSALGNNGALQPGGHLVIKLLESEEIKEIGQTCKSLFRKTSWLRPKATRSCSREIYLICQGLRH >itb11g00150.t1 pep chromosome:ASM357664v1:11:68951:71956:-1 gene:itb11g00150 transcript:itb11g00150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETSWVSHCFDDIARDTIEFDSSSELNDESNRAITPVLVDLILPDDLLERILACLPIASIFRAGCVCKRWHEIVNSKRFLWNFSQIPPQKPWYFMFTSSDEPIGYAYDSILRKWYNFELPCIETSNCFIASSCGLVCFMDNDSRSQLCVCNPITKCSKKLEEPPGLKFSDYSALAISVERKPRRHTVTYSVTIVKSKQVPGNFFQWDLSIHIYDSETMMWVTPFTETLEGWRGGDESVICDGVLYFLIYSTGGGPPYNRHGLVTYNLMSRSTHGLLMRSFIPVPCSLTCGRLMNLKERLIMVGGIGKPDRPDIIKGIGIWALNGKEWQEIARMPHKYFQGFGEFDDVFASSGSDELLYIQSYGAPALLVFDVNQKHWRWSVKCPVSKRFPLQLFTGFCFEPRLEVMPLLDDVMD >itb13g15630.t1 pep chromosome:ASM357664v1:13:22519530:22523800:1 gene:itb13g15630 transcript:itb13g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGKMGKSQVLIVGGTGYIGRRIVKASLAQGHTTHVLLRPQIGLDIDKLQMLLSFKEQGARLVEASFDDHQSLVDAVKEVDVVICTMSGVHFRSHNILLQRKLVDAIKEAGNIKRFLPSEFGMDPARMGHALEPGRVTFDEKMEIRKAIEEANIPYTYISANCFAGYFCPNLSQLGTLLPPKHKVCIYGDGNAKVAYMDEDDVATYTIKTIDDPRALNKTIYLRPQENILTQRELVATWEKLTGKQLEKITISADEFLASMKDMDYAGQVGVGHFYHIFYEGCLTNFDIGEDAEEASQLYPEVQYTRMHEYLKCYL >itb11g16520.t1 pep chromosome:ASM357664v1:11:14749301:14750080:1 gene:itb11g16520 transcript:itb11g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQQEESEENEREFWFRPCWTKEVPWKMSFLAWRVFKRKIPSDDNLRRFGYHLASRCYCCSKPGMDNLQHIFYTGLTASHVWSFFARSLGFNIQIRGVKQLCYEWWRKKPKNRMIRFLAYRLPIVILWELWVHYNQCKYGRESPSGARIIFKITRDMVDCIMRKWPTWDPFPPNWNYILKRAEMFKCNKIVKEASWCKPPKGWMKINIALQEGGCSFIIRNSKGELGMAGVYLGEGRMDRIVIEDCLDWCKKRGLGKI >itb09g30670.t1 pep chromosome:ASM357664v1:9:31284905:31286683:1 gene:itb09g30670 transcript:itb09g30670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEGGKKKGEEGCGWEEEKMKYMKPLNLFQELVKSRGRLLGLDVGNKYVGLAVSDVQNKLASPLSVLIRKKSNIDLMATDFQSLISELSLKGFIFGYPFDRQRNNPDAVQVKLLIDDLCETGKLVDLKYTFWDESFSSKSVEMLLKPLNLHPVQSKTIVDKFAAVEILQGYLDYVNRNEKMKLSARSDATKDSEEATDEDGIKPSVA >itb12g02560.t2 pep chromosome:ASM357664v1:12:1671802:1675251:1 gene:itb12g02560 transcript:itb12g02560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDDKDSCESRAVVEPSSAKQSRLHWQRYEVFTQVLSRLQELNLDDVKSPGFEDQLWLHFSRLPARYASDVNVERAEDVLMHKRLLSSAEDPENRPAFEVRPVQVHPNYNGASADLVDVSSSLKDEAQSFHPPPTFGLSPNLQTLELNDNKTHANDGDNESNLPSRIYRPMHEVTFSTIDKPKVLSQLTSILSEIGLNIEEAHVFSTADGFSLDVFVVDGWPYEKTELLRSEIQKEIFKTKVQPELILTPNNEQNQTVPEPNSECVKIPTDGTDDWEIDSQFLKFENKIASGTFGDLYKGTYCSQEVAIKVLKPENLNADMLKEFTQEVYIMRNFLYKQGNIAERFARIL >itb12g02560.t1 pep chromosome:ASM357664v1:12:1671802:1677317:1 gene:itb12g02560 transcript:itb12g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDDKDSCESRAVVEPSSAKQSRLHWQRYEVFTQVLSRLQELNLDDVKSPGFEDQLWLHFSRLPARYASDVNVERAEDVLMHKRLLSSAEDPENRPAFEVRPVQVHPNYNGASADLVDVSSSLKDEAQSFHPPPTFGLSPNLQTLELNDNKTHANDGDNESNLPSRIYRPMHEVTFSTIDKPKVLSQLTSILSEIGLNIEEAHVFSTADGFSLDVFVVDGWPYEKTELLRSEIQKEIFKTKVQPELILTPNNEQNQTVPEPNSECVKIPTDGTDDWEIDSQFLKFENKIASGTFGDLYKGTYCSQEVAIKVLKPENLNADMLKEFTQEVYIMRKIRHKNVIQFIGARTRPPNMCIVTEFMSRGSVYNFLHKLRGAFKLPNLLKVATDVSKGMYYLHQNSIIHRDLKTANLLMDEHGVVKVADFGVARVQAETGVMTSETGTYRWMAPEVIEHKPYNHKVDVFSFGIVLWELLTGEIPYVFLTPLQAAIGVVQQGLRPNIPKHTHPKLAELLENCWHQDPTKRPEFSEILEILKQISDEVGDDGDYKKKRIPNQSLTGHQ >itb03g17540.t1 pep chromosome:ASM357664v1:3:16128431:16129600:-1 gene:itb03g17540 transcript:itb03g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVVSPLVSLVVRVFTIILIVASLIVLATDNITYELGFYGSSYQLKFTDIYAYRYVLSVMVIGLAWILLQSAFTIFHFSSGNPLGGEAFAHIEFYGDKVISYMLGTGVAAGFGVTVDAKRALKLDSIQNDFFNKANVAASLLLIAFLLSAISSVFSSFNLPRAVTTVTTATTPATPATA >itb08g03270.t1 pep chromosome:ASM357664v1:8:2703842:2705883:-1 gene:itb08g03270 transcript:itb08g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKGSNVSPTLLYDDEEIEVANILLDLRKLIRQSDFRVKLRGLSLSWGCKRRRSKINRSAAVSPPPTTAAVKSRSPSPPPPPKDSRSEPEIPAGLRFKTEVLSPATPLSFSPSESDEKPVISSRKHSKKRTREEWMKNVDELTQCKEVLIGQLENVRAYYNNQLAYNLKLKAMRQEILSTSQKVEEPSGPDTRAGQGYPQYESPIIIDQLGQRYQYQGLVSAQNGMGYLLGPIGIPDLNVSVEETLVMGSSQPFDVQRMEGGDKKTRYAEARRYRMVRNKSKIKGGPRSTTLPSFPCRT >itb04g21500.t1 pep chromosome:ASM357664v1:4:26598542:26601565:-1 gene:itb04g21500 transcript:itb04g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKFGSKPVLVASSPETAKLFLKTHDAVFASRPPTAAGDHTFNRSNLTWSPYGSPWRHARKILISEVFTPKKLESFEYVRVEERTTLISRLYDAVGTKKPVFLRDHLLRFTLSTMTRLVSGHKYYDSNDCSELRKPIGYKITLEKLQGILDEWFLLSGVINLGDWVPWLSGFDLQGYVKKMKALSDEYEEFLNHVIEDHRAVMKAEKNFVPKDMVDIFLQIADDPNLEPDVELNHDRIMGLIHDMLAGGTDTAAASVEWAFQELLRKPLIIKRATEELDRAIGRERWVEERDFWQLPYMEAIIKETFRLHPLCTLLPPHYSMEDCNVAGYEVPSGTTVLVNLWSIGRNPKYWDKADEFFPERFLDRDVDINGQDFALLPFGSGRRRCPGYSLGMKVVRTTLANLLHGFNWKLAENMRPEDISMEEVYGLTTLPKFPLSINIEPRLPTHLY >itb03g06730.t1 pep chromosome:ASM357664v1:3:4876220:4877189:-1 gene:itb03g06730 transcript:itb03g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRILKELRDLQRDPPTSCSAGPVAQDMFHWQATIIGPNDSPYSGGVFQVTIHFPPDYPFKPPKVAFRTKVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRSKYETMARSWTQKYAMN >itb09g09790.t1 pep chromosome:ASM357664v1:9:6005917:6010041:-1 gene:itb09g09790 transcript:itb09g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNFSALFSTPRISRIQLFYGGSNFNVRNHMTLSGSANRIQTMHCFPSDLQKQCNANFPGKLENRPMYLPAHGIIKSSRHASRSYFLRPCQVKSEDSEGTLSGESILLNEQSLEQELQLAIQEENYSQAAKIRDSLRLLHEDTKASVLAANARFYNSFRSGDLAAMQALWSKGENVCVVHPGVSGISGYDLVMGSWEFVWADYEFPLEIEIKDVQVHVRGDLGYVTCIEMVKTKGSSWGKQFATNVFEKIDGQWYICIHHASYIDL >itb04g32450.t1 pep chromosome:ASM357664v1:4:35011470:35013154:1 gene:itb04g32450 transcript:itb04g32450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSCNSRHFSWLMKSCFPNPQHHHHPHGPHPLTPTSAAPLRRQTTISSLPDDLLLECLSRVPHSFLPSLPLVCRRWSELLDSPTFHSLRRRHNLLRVSLFAISISDHSSLSAASLCLNYDSAWKVSEFLHHGSFLPLFSHSRLVAIGRRIYVIGRTAMLRYDAWTGAAVSLPGPVASRKKFASAVVAGKIYVAGGCARSEAVEEYEPETETWRVVSTAPRKRYGCFGASVDGVFYVIGGLKIGSSGNEGLVVPGSRAAKGSDAAHVYASSMDLYDVAASQWLRSRAVPGGGCVVAACAAAGFVFVLSSHAVELSFWKFNGARKSGGFGEWYRIRAPPLPAQVRLDSTVRFSCVAVGEKVVLIQVTGCIDDLLRRSGRTERGLKEGLVLVYDCATGDWSRGVDLPEAIRRAACVSVEY >itb11g08730.t1 pep chromosome:ASM357664v1:11:5730487:5735682:1 gene:itb11g08730 transcript:itb11g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVQGTSKNCCSLLGVVCGRATGNKHQQDVAVDGVTYPFPEIASSGRLEVHLLKNPSRDEFRTALDTLQPNIVFLQGEQLPNDEVGSLVWEGLDLSSEEAISGLFGAKLPTTVYLELANGEKLATALHSMGIPYVIYWKNTFSRFAACHFRHALFSVVQSSSCVTWDAFQLAQASFRLYCVQNNLVSSDNSRKVEAKIGPQLLGDPPKIDAPPPEPVAGDDEESPSDDLPAINIYDDDTNIRFLICGLPCSLDTCLLESLEDGLNALLSIEIRGSKLHNRVSALPPPLQASAFSRGVVTMRCDVSTSSSAHISLLVSGSAQTCFDDQLLENHIKSEIIEKSQLVHGQPSPKEIRPPLSDPWRSASIACGATVFEVCMRAPMWALQVLRQLASDFSFRSFVALGIASIQGLAVASFEKEDAERLLFFCKMQGKDGNSNDVKIASPPAWLRPPAPSRKRSRTSHETDLSPRNGLNSGYRAYIRREEENGSAPANGYAMPLVPARRKLKAAALKPIPHVRHQKMFPFSGISEVEGHDGNQVKANLPVVPSTKAASNIGVAAATQRKAVASSQQAKQIIPLNPLPLKKHGCERSPIHVCSEEEFLKDVMQFLILRGHTRLIPQGGLPEFPDAVLNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGMCGEWAHFGCDRRPGLGAFKDYAKTDGLEYLCPQCSVAKFKKKIQKIANGYS >itb02g03900.t2 pep chromosome:ASM357664v1:2:2314960:2320362:1 gene:itb02g03900 transcript:itb02g03900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAIQERNMEGWLYLIRSNRFGLQYSRKRYFILEDNCLKSFKSIPSSGKEEPVRSAIIDSCIRVIDNGRESYHRKVFFVFTLYNASNHNDQLKLGASSPEEAGRWIHNIQDAAVNPEKNSMSSRRKWQPFRLSVLKRRKHKKPVDLISVSSIHEDEFTSDVIAPSPWKIFGCQNGLRLFKEAKDKDSNGKHRRDHSSIMAVGVIEGTSEAVFRALMTLGPLRSEGMNRRDLLLRRYWRREDDGTYVILYHSVVHQKCPPQKDYIRACLKSGGYVITPIKQGKASVVKHMLSIDWKFWKSHLKQASSRSITIRMLGVVAALREMFRAKEGNHSSNLSFAEMTIDIEEPIGEEERREGALDPTRCEDGMEDLDSEHSSLVGLGSACDEFYDVLEPSDDEEEDQGWSSSMIPEFCVDANQQKISSAATFMKKFHDLSVQKKGYVDLQEVDSEESEPCCHGGTLQRDSNYSTPCSWARGDPSSFLIRGKKYPKDHEKIKAKNTLMQMVAADWLKSDRRQDDLAGRPGGIVQKYAADDRPEFFLIVNIQVPGSTTYSLALYYMLKAPLEDTPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEVNYFKGKNYLELDVDVGSSTVARGVVNLVLGYLNNLVIEMAFLIQGNTPEELPEFLLGTIRLNHLDASKSVSTDELGTR >itb02g03900.t1 pep chromosome:ASM357664v1:2:2314618:2320663:1 gene:itb02g03900 transcript:itb02g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAIQERNMEGWLYLIRSNRFGLQYSRKRYFILEDNCLKSFKSIPSSGKEEPVRSAIIDSCIRVIDNGRESYHRKVFFVFTLYNASNHNDQLKLGASSPEEAGRWIHNIQDAAVNPEKNSMSSRRKWQPFRLSVLKRRKHKKPVDLISVSSIHEDEFTSDVIAPSPWKIFGCQNGLRLFKEAKDKDSNGKHRRDHSSIMAVGVIEGTSEAVFRALMTLGPLRSEWDFCFDRGSVIEPLDGHTDIIHLQLYNHWLPWGMNRRDLLLRRYWRREDDGTYVILYHSVVHQKCPPQKDYIRACLKSGGYVITPIKQGKASVVKHMLSIDWKFWKSHLKQASSRSITIRMLGVVAALREMFRAKEGNHSSNLSFAEMTIDIEEPIGEEERREGALDPTRCEDGMEDLDSEHSSLVGLGSACDEFYDVLEPSDDEEEDQGWSSSMIPEFCVDANQQKISSAATFMKKFHDLSVQKKGYVDLQEVDSEESEPCCHGGTLQRDSNYSTPCSWARGDPSSFLIRGKKYPKDHEKIKAKNTLMQMVAADWLKSDRRQDDLAGRPGGIVQKYAADDRPEFFLIVNIQVPGSTTYSLALYYMLKAPLEDTPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEVNYFKGKNYLELDVDVGSSTVARGVVNLVLGYLNNLVIEMAFLIQGNTPEELPEFLLGTIRLNHLDASKSVSTDELGTR >itb02g03900.t3 pep chromosome:ASM357664v1:2:2314618:2319157:1 gene:itb02g03900 transcript:itb02g03900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAIQERNMEGWLYLIRSNRFGLQYSRKRYFILEDNCLKSFKSIPSSGKEEPVRSAIIDSCIRVIDNGRESYHRKVFFVFTLYNASNHNDQLKLGASSPEEAGRWIHNIQDAAVNPEKNSMSSRRKWQPFRLSVLKRRKHKKPVDLISVSSIHEDEFTSDVIAPSPWKIFGCQNGLRLFKEAKDKDSNGKHRRDHSSIMAVGVIEGTSEAVFRALMTLGPLRSEWDFCFDRGSVIEPLDGHTDIIHLQLYNHWLPWGMNRRDLLLRRYWRREDDGTYVILYHSVVHQKCPPQKDYIRACLKSGGYVITPIKQGKASVVKHMLSIDWKFWKSHLKQASSRSITIRMLGVVAALREMFRAKEGNHSSNLSFAEMTIDIEEPIGEEERREGALDPTRCEDGMEDLDSEHSSLVGLGSACDEFYDVLEPSDDEEEDQGWSSSMIPEFCVDANQQKISSAATFMKKFHDLSVQKKGYVDLQEVDSEESEPCCHGGTLQRDSNYSTPCSWARGDPSSFLIRGKKYPKDHEKVLYCLKKY >itb01g31270.t1 pep chromosome:ASM357664v1:1:35071602:35073550:1 gene:itb01g31270 transcript:itb01g31270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVIYPQDSLVYGCKEFFGGGGWGGAYDFSSQGDVDKAFLGMLEDNTTTSMDNQSLHGYWDPNSSPEACTGGADHHPALLAVDGSLPPAAAVEQAAATLSAAAGRRKRRRTRTCKNKEELENQRMTHIAVERNRRKQMNEYLAVIRSMMPPSYVQRGDQASIIGGAINFVKELEQHLQTLEAHNRVSSNNNNQPDNGGVSSPPLFADFFTFPQYSKTQGGTNGVGSSAAAPEAALAAVPPAENRSALADIEVTMVESHANLKILSKKRPRQLLKIVANLQYLWLTVLHLNVTTVDQMVLYSLSVKLEDGCQLTTVDEIADAVHQLLARIQEEAACTS >itb01g04730.t1 pep chromosome:ASM357664v1:1:3221477:3221935:1 gene:itb01g04730 transcript:itb01g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKELSVRVKKKEVVEAAAPPIPQHWVPQSNLDLLLPPVTFGVFFCYQKTPKLTFGAICSDLKAALAETLVSYYALAGEVVMKNAGGEAEIVCNNRGVEFAEALADVELSEVNLYNPDESIEKKLVPNVKHGGVLAIQVNTANGCIYIIL >itb15g12870.t1 pep chromosome:ASM357664v1:15:10802730:10803077:-1 gene:itb15g12870 transcript:itb15g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEHEKLLPIANVGRIMKQILPEKAKISKEAKERMQESASEFISFVTCEASDKCHKENRKTVNGDDIVWALSSLGLDNYAEAMTRYLHKFREYEMLRASQTRGPAGEEDETDRY >itb12g24140.t1 pep chromosome:ASM357664v1:12:25770384:25771302:-1 gene:itb12g24140 transcript:itb12g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVELKVNLHCDECIRKILKAIKKIEDIETYDVDKNLNKVMVTGKVTNEEVVKALHKIGKQASSWGEE >itb07g02100.t2 pep chromosome:ASM357664v1:7:1255714:1266135:1 gene:itb07g02100 transcript:itb07g02100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLLDLLLDNISDFFLLASLPSLNLELFHKYYQETEDILKPLKTVLNGVVDNEISSERLQKAYVELSHAIDELQELFETWQILNSKVYFVLQAESLIAKVRTCSLAVIELLVSSNGCLRESSLASVERCVLKIESMDYELLSTRISKAVKDVESPGVTLDSLAKIADYMRLNSNQELLIELVSLEKLKENAEQADRFDEVEYIEQIVALVTRMHNHLVTMKQSEKCSPVPIPADFCCSLSLELMTDPVIVASGQTYERAFIRQWIDLGLVVCPKTRQALAHTNLIPNYTVKALIENWCVNNNVKLPDPVQSLNSNQPSSVVALLKCGAPRDNHISPDPMENNVSSPDSARSAGSPRKSLLSSSGVQSEAPSSSHSCSSSEECLPGIVGNAVGSDVERMPLKSYEDKLASSGARSMNSGGHFSMLLSSKALAEKDSRQGHNRTTSAPSTLSHSSFSVTSSDGNETSSGTNDSSDASVEVASGSQPASALAASRIESELSSTPVTRPHCIPKWSRQCERFFPRIVSSSATETRADLVEVEEKARKLVEDLKTPSIDVQRNATAELRFLAKLDMDNRVVIANFGAISALVSLLHSEDTIVQENAVTAILNLSINDNNKYAIAKADAIEPLVHVLETGSPEAKENAAATLFSISVIEDCKMKIGRSGAINALVNLLGNGTPRGKKDAATALFNLSILHENKARIVQAGAVKHLIELMDPAAALIDKAVAVLSNLSSIHPGRIAIGQEGGISVLVDAVELGSARVKEHAAAALMQLCTNSVRHCNMALRAGAVPPLVSLSRCGTPRAREKAQGILGCFRNQRNVNVFFGFEAQLKHNMSGGDGNGGRGGLFFAVKKLLHHRSFFRSRGENHLSTSSPRKNSSPSSSIQRGTFSPSQTSLLSEESLPRNAGNELRFDIERISLKSSEDGLPNSVSSADEDSQQGHNQTIYASPVSSFTPCVTRNSSISSGSFQGEGSSPSHPHLCDGELFPTIAGDEQGFSDEIMSVKSSEGALENSDSHFSMLSLMSNMSSADEDSQHGHNQTTTASPASSCTPCVSRIGSISSAAHLGEGSSLSHPHPCYGESLPIISGDAQGFDTERMLLKRFEDGLAISVSQFSTFPLMNHASSANENSQQGLNQTTTASPASSCTPRVSRTSSISFGALMNNVSSAAEDSQQGHNQTTSASPDSSCALHVSRNSSISSSAYQGEGSSLSRPHSCSGEFLPIIACNELWFDDEIMSLESSQDRYKSMSSVHTQGYISVSPLSTISTDLRQSSFHYSAIQRESSPSRPRFSSDESLYGIPRYMLSFDMERNSRKSYEEWLTGSGKGSISSGNSSILRAAIGDVNQVYYFVSPVGLQSHLQSIFRRACESLVPRMISSSATEARAYRVEVENHIKKLVEDLQVTSTDVLRNAAAELQLLASLNTDNQILIANHGAISLLINLLHSVDTKLQESAVIALLHLSANDNNKCRIVDADAIEPLIRVLSTGSPEAQETSVATLFNLSDIEGFKVRICRAIKAVVELLANGTPRGKKDAATALYYLSILDEHKYRIVQAGAVKYLIELMHPDVGMVDEVVCILSNLSSISEGSSEICQEGGIPILVEVLKVGSSRAKEDAAVALLQLCTDNRQCCNMVLQLGAVSPLIVLSHSSNPRAQQLAQRLLNYLREYCNREGDGQHVNLGRMSLQTSTDRLALSRDISKKSVSRKRRKSSMLPEVEKLCDNSYNQLYKATNGFSNTNLIGYGCFSTVYRGKLEKGESFGTVYSILRQHEEQTFAVKVLDLLKNGASMIFHSECEILRNIRHRNLVRNLACCSSYDFEGDLGTLLKQLD >itb07g02100.t3 pep chromosome:ASM357664v1:7:1255714:1266135:1 gene:itb07g02100 transcript:itb07g02100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLLDLLLDNISDFFLLASLPSLNLELFHKYYQETEDILKPLKTVLNGVVDNEISSERLQKAYVELSHAIDELQELFETWQILNSKVYFVLQAESLIAKVRTCSLAVIELLVSSNGCLRESSLASVERCVLKIESMDYELLSTRISKAVKDVESPGVTLDSLAKIADYMRLNSNQELLIELVSLEKLKENAEQADRFDEVEYIEQIVALVTRMHNHLVTMKQSEKCSPVPIPADFCCSLSLELMTDPVIVASGQTYERAFIRQWIDLGLVVCPKTRQALAHTNLIPNYTVKALIENWCVNNNVKLPDPVQSLNSNQPSSVVALLKCGAPRDNHISPDPMENNVSSPDSARSAGSPRKSLLSSSGVQSEAPSSSHSCSSSEECLPGIVGNAVGSDVERMPLKSYEDKLASSGARSMNSGGHFSMLLSSKALAEKDSRQGHNRTTSAPSTLSHSSFSVTSSDGNETSSGTNDSSDASVEVASGSQPASALAASRIESELSSTPVTRPHCIPKWSRQCERFFPRIVSSSATETRADLVEVEEKARKLVEDLKTPSIDVQRNATAELRFLAKLDMDNRVVIANFGAISALVSLLHSEDTIVQENAVTAILNLSINDNNKYAIAKADAIEPLVHVLETGSPEAKENAAATLFSISVIEDCKMKIGRSGAINALVNLLGNGTPRGKKDAATALFNLSILHENKARIVQAGAVKHLIELMDPAAALIDKAVAVLSNLSSIHPGRIAIGQEGGISVLVDAVELGSARVKEHAAAALMQLCTNSVRHCNMALRAGAVPPLVSLSRCGTPRAREKAQGILGCFRNQRNVNVFFGFEAQLKHNMSGGDGNGGRGGLFFAVKKLLHHRSFFRSRGENHLSTSSPRKNSSPSSSIQRGTFSPSQTSLLSEESLPRNAGNELRFDIERISLKSSEDGLPNSVSSADEDSQQGHNQTIYASPVSSFTPCVTRNSSISSGSFQGEGSSPSHPHLCDGELFPTIAGDEQGFSDEIMSVKSSEGALENSDSHFSMLSLMSNMSSADEDSQHGHNQTTTASPASSCTPCVSRIGSISSAAHLGEGSSLSHPHPCYGESLPIISGDAQGFDTERMLLKRFEDGLAISVSQFSTFPLMNHASSANENSQQGLNQTTTASPASSCTPRVSRTSSISFGALMNNVSSAAEDSQQGHNQTTSASPDSSCALHVSRNSSISSSAYQGEGSSLSRPHSCSGEFLPIIACNELWFDDEIMSLESSQDRYKSMSSVHTQGYISVSPLSTISTDLRQSSFHYSAIQRESSPSRPRFSSDESLYGIPRYMLSFDMERNSRKSYEEWLTGSGKGSISSGNSSILRAAIGDVNQVYYFVSPVGLQSHLQSIFRRACESLVPRMISSSATEARAYRVEVENHIKKLVEDLQVTSTDVLRNAAAELQLLASLNTDNQILIANHGAISLLINLLHSVDTKLQESAVIALLHLSANDNNKCRIVDADAIEPLIRVLSTGSPEAQETSVATLFNLSDIEGFKVRICRAIKAVVELLANGTPRGKKDAATALYYLSILDEHKYRIVQAGAVKYLIELMHPDVGMVDEVVCILSNLSSISEGSSEICQEGGIPILVEVLKVGSSRAKEDAAVALLQLCTDNRQCCNMVLQLGAVSPLIVLSHSSNPRAQQLAQRLLNYLREYCNREGDGQHVNLGRMSLQTSTDRLALSRDISKKSVSRKRRKSSMLPEVEKLCDNSYNQLYKATNGFSNTNLIGYGCFSTVYRGKLEKGESFGTVYSILRQHEEQTFAVKVLDLLKNGASMIFHSECEILRNIRHRNLVRNLACCSSYDFEGNKFKALVYEFMENGNLDSWLHTHFAARTNVLSVIQRLNIAIDVASALHYLHHDHKPPVIHCNLKPSNIFLDEDLTAHVGDFGLSMFYSPTMEDLSGEQTRIVEIKGSIGYIPPEYGVGAKASTFGDVYNYGILLLEMFTAKRPNDKCRSLYEYVATALPERVMEIVDPLLLAYLESIHEKDRLLDTYGNLVKIEEDNMHSFFLSIFKIGLSCASTSPLDRMHMEDVVKELHKIKKMFPESYMR >itb07g02100.t1 pep chromosome:ASM357664v1:7:1255714:1266135:1 gene:itb07g02100 transcript:itb07g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLLDLLLDNISDFFLLASLPSLNLELFHKYYQETEDILKPLKTVLNGVVDNEISSERLQKAYVELSHAIDELQELFETWQILNSKVYFVLQAESLIAKVRTCSLAVIELLVSSNGCLRESSLASVERCVLKIESMDYELLSTRISKAVKDVESPGVTLDSLAKIADYMRLNSNQELLIELVSLEKLKENAEQADRFDEVEYIEQIVALVTRMHNHLVTMKQSEKCSPVPIPADFCCSLSLELMTDPVIVASGQTYERAFIRQWIDLGLVVCPKTRQALAHTNLIPNYTVKALIENWCVNNNVKLPDPVQSLNSNQPSSVVALLKCGAPRDNHISPDPMENNVSSPDSARSAGSPRKSLLSSSGVQSEAPSSSHSCSSSEECLPGIVGNAVGSDVERMPLKSYEDKLASSGARSMNSGGHFSMLLSSKALAEKDSRQGHNRTTSAPSTLSHSSFSVTSSDGNETSSGTNDSSDASVEVASGSQPASALAASRIESELSSTPVTRPHCIPKWSRQCERFFPRIVSSSATETRADLVEVEEKARKLVEDLKTPSIDVQRNATAELRFLAKLDMDNRVVIANFGAISALVSLLHSEDTIVQENAVTAILNLSINDNNKYAIAKADAIEPLVHVLETGSPEAKENAAATLFSISVIEDCKMKIGRSGAINALVNLLGNGTPRGKKDAATALFNLSILHENKARIVQAGAVKHLIELMDPAAALIDKAVAVLSNLSSIHPGRIAIGQEGGISVLVDAVELGSARVKEHAAAALMQLCTNSVRHCNMALRAGAVPPLVSLSRCGTPRAREKAQGILGCFRNQRNVNVFFGFEAQLKHNMSGGDGNGGRGGLFFAVKKLLHHRSFFRSRGENHLSTSSPRKNSSPSSSIQRGTFSPSQTSLLSEESLPRNAGNELRFDIERISLKSSEDGLPNSVSSADEDSQQGHNQTIYASPVSSFTPCVTRNSSISSGSFQGEGSSPSHPHLCDGELFPTIAGDEQGFSDEIMSVKSSEGALENSDSHFSMLSLMSNMSSADEDSQHGHNQTTTASPASSCTPCVSRIGSISSAAHLGEGSSLSHPHPCYGESLPIISGDAQGFDTERMLLKRFEDGLAISVSQFSTFPLMNHASSANENSQQGLNQTTTASPASSCTPRVSRTSSISFGALMNNVSSAAEDSQQGHNQTTSASPDSSCALHVSRNSSISSSAYQGEGSSLSRPHSCSGEFLPIIACNELWFDDEIMSLESSQDRYKSMSSVHTQGYISVSPLSTISTDLRQSSFHYSAIQRESSPSRPRFSSDESLYGIPRYMLSFDMERNSRKSYEEWLTGSGKGSISSGNSSILRAAIGDVNQVYYFVSPVGLQSHLQSIFRRACESLVPRMISSSATEARAYRVEVENHIKKLVEDLQVTSTDVLRNAAAELQLLASLNTDNQILIANHGAISLLINLLHSVDTKLQESAVIALLHLSANDNNKCRIVDADAIEPLIRVLSTGSPEAQETSVATLFNLSDIEGFKVRICRAIKAVVELLANGTPRGKKDAATALYYLSILDEHKYRIVQAGAVKYLIELMHPDVGMVDEVVCILSNLSSISEGSSEICQEGGIPILVEVLKVGSSRAKEDAAVALLQLCTDNRQCCNMVLQLGAVSPLIVLSHSSNPRAQQLAQRLLNYLREYCNREGDGQHVNLGRMSLQTSTDRLALSRDISKKSVSRKRRKSSMLPEVEKLCDNSYNQLYKATNGFSNTNLIGYGCFSTVYRGKLEKGESFGTVYSILRQHEEQTFAVKVLDLLKNGASMIFHSECEILRNIRHRNLVRNLACCSSYDFEDLTAHVGDFGLSMFYSPTMEDLSGEQTRIVEIKGSIGYIPPEYGVGAKASTFGDVYNYGILLLEMFTAKRPNDKCRSLYEYVATALPERVMEIVDPLLLAYLESIHEKDRLLDTYGNLVKIEEDNMHSFFLSIFKIGLSCASTSPLDRMHMEDVVKELHKIKKMFPESYMR >itb07g02100.t4 pep chromosome:ASM357664v1:7:1255714:1266135:1 gene:itb07g02100 transcript:itb07g02100.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLLDLLLDNISDFFLLASLPSLNLELFHKYYQETEDILKPLKTVLNGVVDNEISSERLQKAYVELSHAIDELQELFETWQILNSKVYFVLQAESLIAKVRTCSLAVIELLVSSNGCLRESSLASVERCVLKIESMDYELLSTRISKAVKDVESPGVTLDSLAKIADYMRLNSNQELLIELVSLEKLKENAEQADRFDEVEYIEQIVALVTRMHNHLVTMKQSEKCSPVPIPADFCCSLSLELMTDPVIVASGQTYERAFIRQWIDLGLVVCPKTRQALAHTNLIPNYTVKALIENWCVNNNVKLPDPVQSLNSNQPSSVVALLKCGAPRDNHISPDPMENNVSSPDSARSAGSPRKSLLSSSGVQSEAPSSSHSCSSSEECLPGIVGNAVGSDVERMPLKSYEDKLASSGARSMNSGGHFSMLLSSKALAEKDSRQGHNRTTSAPSTLSHSSFSVTSSDGNETSSGTNDSSDASVEVASGSQPASALAASRIESELSSTPVTRPHCIPKWSRQCERFFPRIVSSSATETRADLVEVEEKARKLVEDLKTPSIDVQRNATAELRFLAKLDMDNRVVIANFGAISALVSLLHSEDTIVQENAVTAILNLSINDNNKYAIAKADAIEPLVHVLETGSPEAKENAAATLFSISVIEDCKMKIGRSGAINALVNLLGNGTPRGKKDAATALFNLSILHENKARIVQAGAVKHLIELMDPAAALIDKAVAVLSNLSSIHPGRIAIGQEGGISVLVDAVELGSARVKEHAAAALMQLCTNSVRHCNMALRAGAVPPLVSLSRCGTPRAREKAQGILGCFRNQRNVNVFFGFEAQLKHNMSGGDGNGGRGGLFFAVKKLLHHRSFFRSRGENHLSTSSPRKNSSPSSSIQRGTFSPSQTSLLSEESLPRNAGNELRFDIERISLKSSEDGLPNSVSSADEDSQQGHNQTIYASPVSSFTPCVTRNSSISSGSFQGEGSSPSHPHLCDGELFPTIAGDEQGFSDEIMSVKSSEGALENSDSHFSMLSLMSNMSSADEDSQHGHNQTTTASPASSCTPCVSRIGSISSAAHLGEGSSLSHPHPCYGESLPIISGDAQGFDTERMLLKRFEDGLAISVSQFSTFPLMNHASSANENSQQGLNQTTTASPASSCTPRVSRTSSISFGALMNNVSSAAEDSQQGHNQTTSASPDSSCALHVSRNSSISSSAYQGEGSSLSRPHSCSGEFLPIIACNELWFDDEIMSLESSQDRYKSMSSVHTQGYISVSPLSTISTDLRQSSFHYSAIQRESSPSRPRFSSDESLYGIPRYMLSFDMERNSRKSYEEWLTGSGKGSISSGNSSILRAAIGDVNQVYYFVSPVGLQSHLQSIFRRACESLVPRMISSSATEARAYRVEVENHIKKLVEDLQVTSTDVLRNAAAELQLLASLNTDNQILIANHGAISLLINLLHSVDTKLQESAVIALLHLSANDNNKCRIVDADAIEPLIRVLSTGSPEAQETSVATLFNLSDIEGFKVRICRAIKAVVELLANGTPRGKKDAATALYYLSILDEHKYRIVQAGAVKYLIELMHPDVGMVDEVVCILSNLSSISEGSSEICQEGGIPILVEVLKVGSSRAKEDAAVALLQLCTDNRQCCNMVLQLGAVSPLIVLSHSSNPRAQQLAQRLLNYLREYCNREGDGQHVNLGRMSLQTSTDRLALSRDISKKSVSRKRRKSSMLPEVEKLCDNSYNQLYKATNGFSNTNLIGYGCFSTVYRGKLEKGESFGTVYSILRQHEEQTFAVKVLDLLKNGASMIFHSECEILRNIRHRNLVRNLACCSSYDFEGNKFKALVYEFMENGNLDSWLHTHFAARTNVLSVIQRLNIAIDVASALHYLHHDHKPPVIHCNLKPSNIFLDEDLTAHVGDFGLSMFYSPTMEDLSGEQTRIVEIKGSIGYIPPGDLGTLLKQLD >itb05g27500.t1 pep chromosome:ASM357664v1:5:30830491:30831346:1 gene:itb05g27500 transcript:itb05g27500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLSEHSEESWSEESETVELDQENISSPTSSYFPNHYLQEEKWAKHYSSCHEILLVGEGDFSFSASLAAAFGSAANMTATSLDSEEFLKSNYCLATVHLKELKRRGCKVIHGVDATSMANHPYLIGSTFDRIIFNFPHSGIFKSQGHRRISQIRHQALVREFLGNAKQLLGENGEIHITHKSNGFHLQWNIVSLAIEQGLELADSVDFDVSDYPGYSNKYGFGGDRTFDFLPSKSYMFRHPGTLHIPWDC >itb12g05150.t1 pep chromosome:ASM357664v1:12:3426816:3427418:-1 gene:itb12g05150 transcript:itb12g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHYVVLGLPSGEEGARLSDKEIAKAYRVKALELHPDKRPNDPNANIFFQKLKASYEILRDRKTRKEYDYRLRARRDRTVKRSCMKDVKREKMMSDLERAEKEEEERIWNAKRRAAAATPPPMPPPGWREENEDGPWKSLERFQAYEDLVLGNMRRAAERQRMAQRGTNGNGN >itb15g00890.t1 pep chromosome:ASM357664v1:15:510719:512426:1 gene:itb15g00890 transcript:itb15g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRADAQVFLFANSKCKRYFHNRLKPSKLTWTAVYRKQHKKDLAQEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKARKAEVMAKSQKAGKGNVPKGGASKGPKLGGGGGKR >itb09g03300.t1 pep chromosome:ASM357664v1:9:1854131:1855279:-1 gene:itb09g03300 transcript:itb09g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKKDLLPSKQGLCSEQWPNLPQQLLNFIGRKPHLKLIQNVGSAGVTISWKIGTNRCNVPSFKAPLLELYHADHQCHDKYTLSISFYQGFYQWWWRSRRPSQESWDQFLGCSHGLILTPFYFLDPTKAFLRTTCLPSWDSQVPIRSATLSSFPMDPNGCNVMAITGCASPAFAVSRIECFTEKRWCYFKALEWSKKDITLIDPNDSEQKLMKFTNVVGYTGKFYALTLQGTLAVIEEVNNSQFHITNLCRRRAVPSMPSKRFTEYLLESSGEILLVFLIRRKSVMSVDHVEVLKLQLGDELSWIKMESLGDRTLFAGINSCMSVTATQVGCRRNSIYITHLSKDSWRLYDMETDRILPCYDYNGSELKSPKWEEPTDVQ >itb05g18730.t5 pep chromosome:ASM357664v1:5:25433179:25438124:1 gene:itb05g18730 transcript:itb05g18730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYESHRLMEREMEVVEWLKWYRYAINDIVSEKREVVGSGYFDVLERDFYSAIHAAFYGPEIESMDLLPECFEAEERSISVTPDILHLVSGRDLFGMVRVANRNPELSHTYTEKLTSFESDLCFSINNVSTEVNSTVTVNGSCQKQSTSVNYFERDAYKDLELHVYGKVVAIASRVRPKSTSNQAQDKDAEDLIHVYLTNHEDATYKSDCVYAPVGSRILLGTINGMGTSPEEGSCDVYDHNGVNTHVIMKHRTLLVKHMHWYQVGDEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYVETFGRDKKGRNVPSQRYWDGLDANDHFDKRLHPAMYLLALAYRTLDIEDARLQKRRMKDIVEDKMHRILSWCKTLVSGM >itb05g18730.t2 pep chromosome:ASM357664v1:5:25433179:25436195:1 gene:itb05g18730 transcript:itb05g18730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGYTALHRLNRYFRPIFSIPAHTDRVPGLFCVLCPSCNGNRRLSANAQSAPSEFTGPNAYELLGVSETSSFSEIKASFRKLAKETHPDLVHSQTDSSASKRFIEILVAYEILSNSERRAHYDQYLFSRRAVVQKQARQGSVMYKYESHRLMEREMEVVEWLKWYRYAINDIVSEKREVVGSGYFDVLERDFYSAIHAAFYGPEIESMDLLPECFEAEERSISVTPDILHLVSGRDLFGMVRVANRNPELSHTYTEKLTSFESDLCFSINNVSTEVNSTVTVNGSCQKQSTSVNYFERDAYKDLELHVYGKVVAIASRVRPKSTSNQAQDKDAEDLIHVYLTNHEDATYKSDCVYAPVGSRILLGTINGMGTSPEEGSCDVYDHNGVNTHVIMKHRTLLVKHMHWYQVGDEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYVETFGRDKKGRNVPSQRYWDGLDANDHFDK >itb05g18730.t3 pep chromosome:ASM357664v1:5:25433739:25438124:1 gene:itb05g18730 transcript:itb05g18730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYESHRLMEREMEVVEWLKWYRYAINDIVSEKREVVGSGYFDVLERDFYSAIHAAFYGPEIESMDLLPECFEAEERSISVTPDILHLVSGRDLFGMVRVANRNPELSHTYTEKLTSFESDLCFSINNVSTEVNSTVTVNGSCQKQSTSVNYFERDAYKDLELHVYGKVVAIASRVRPKSTSNQAQDKDAEDLIHVYLTNHEDATYKSDCVYAPVGSRILLGTINGMGTSPEEGSCDVYDHNGVNTHVIMKHRTLLVKHMHWYQVGDEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYVETFGRDKKGRNVPSQRYWDGLDANDHFDKRLHPAMYLLALAYRTLDIEDARLQKRRMKDIVEDKMHRILSWCKTLVSGM >itb05g18730.t4 pep chromosome:ASM357664v1:5:25433739:25436195:1 gene:itb05g18730 transcript:itb05g18730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYESHRLMEREMEVVEWLKWYRYAINDIVSEKREVVGSGYFDVLERDFYSAIHAAFYGPEIESMDLLPECFEAEERSISVTPDILHLVSGRDLFGMVRVANRNPELSHTYTEKLTSFESDLCFSINNVSTEVNSTVTVNGSCQKQSTSVNYFERDAYKDLELHVYGKVVAIASRVRPKSTSNQAQDKDAEDLIHVYLTNHEDATYKSDCVYAPVGSRILLGTINGMGTSPEEGSCDVYDHNGVNTHVIMKHRTLLVKHMHWYQVGDEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYVETFGRDKKGRNVPSQRYWDGLDANDHFDK >itb05g18730.t1 pep chromosome:ASM357664v1:5:25433179:25438124:1 gene:itb05g18730 transcript:itb05g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGYTALHRLNRYFRPIFSIPAHTDRVPGLFCVLCPSCNGNRRLSANAQSAPSEFTGPNAYELLGVSETSSFSEIKASFRKLAKETHPDLVHSQTDSSASKRFIEILVAYEILSNSERRAHYDQYLFSRRAVVQKQARQGSVMYKYESHRLMEREMEVVEWLKWYRYAINDIVSEKREVVGSGYFDVLERDFYSAIHAAFYGPEIESMDLLPECFEAEERSISVTPDILHLVSGRDLFGMVRVANRNPELSHTYTEKLTSFESDLCFSINNVSTEVNSTVTVNGSCQKQSTSVNYFERDAYKDLELHVYGKVVAIASRVRPKSTSNQAQDKDAEDLIHVYLTNHEDATYKSDCVYAPVGSRILLGTINGMGTSPEEGSCDVYDHNGVNTHVIMKHRTLLVKHMHWYQVGDEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYVETFGRDKKGRNVPSQRYWDGLDANDHFDKRLHPAMYLLALAYRTLDIEDARLQKRRMKDIVEDKMHRILSWCKTLVSGM >itb01g31660.t2 pep chromosome:ASM357664v1:1:35301762:35307416:1 gene:itb01g31660 transcript:itb01g31660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVDTPSLPAAAADCPLQLDSIPTVDLRLLSQSELYSLSLCSPAAFDPRRLDDVVSPKLDRSVFNESAGSRKQTYSRLRLAPAASSTALRSRTPHLRATNHNPNQPSMETDPESFQIVSLLKQLFKAEANPSDLIPIPVDYSVSITPVTQLPNAASAGFKRKRGRPRKDKSDIVNSNFSHATHSNGDLAMTNVVVYDNSVDRDNEIVNADGVPVDMVALSALEDPFSMELRRRTEGLEGEEKLLGFLQGLNGQWGSRRKKRRIVDASQFGTTLPKGWKLLLSLKRKAGRVWVYCRRYISPNGRHFVSCKEVSIYLLSLRGEQGTVPFNSVESSKSILDGDKQASTDTNKMLQIRDTVVPDVTKKENVASRVSSPPVSNTSVNDQIQDVLKLGDLQEVHVGEIAHYENCNLDFGNKDDLLQHQSSCQRRKRSKNGQSISDGVIIKDGKFVCQFCHKSFDERHRYNGHVGTHVRNQVKNAIESLQVNSSQTDSTLQEPVVANRDFSEISGLDTKTKMNSSPKGNFGNLDGEHLETFDKSTSADAPVDLFSNKSTRCSTSEAILSNDENTACCPPAAEDASEKANNSYDQQGRNSSSSSPFPLNDKLDIVKNSATGGTSIIVEFEKGPISGSDLHSSDGNVEACDVDEMQLDKDRHAVNKESISGLCINSSGLDGNTQISVQQPCDAESCREEMNGVSVSSIPTGSAQKSGSEDCLKTLSGDVEQRAGNLNLVFSSCVDEQRFDDMSSVEKNYSGGCAKSNNDNVSNLEMEDHFGCAPSWKKDIDSAEKYESQFPDCFEEEPREQKTLESGLLSLSGYEKNDAIENYVDNVSMRKVDNLDVNILQDVNVNDDELIFPFSSSHGALNADASTDLKQDRSLNFSLFSDGNENKACKSNVEMYEQEPSETAMLPPAFVQEASNQAFSRARSYTNSLDGPKLNGLHGSVHQDLNLSFGNSHVEVSANANTMQLQQQGYPAGRSGFQFGVGQTYGAQTSLHNIDHRRVENQKQGGVLGVDLQNSSFNSNVVDFGNNYNTVFSNSGWGEQRMDEVGKFGKNIMTSARSNVVQPNKAIMDGNIWRTSVGNVLNHDGSAANANSLVQSSNCFQTYDIMSDKGEGLFKLNQNIQKYDRSGSFEGLRAERSEPVEYSFMGTQSLSCGQQDSKVFSYGVDMGEGFNSPFWLGKDTGVAPNLSGRNMVPTVCIWCSSAFYQDAIQSGTHAAAGSMCPTCSARI >itb01g31660.t1 pep chromosome:ASM357664v1:1:35301759:35307416:1 gene:itb01g31660 transcript:itb01g31660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVDTPSLPAAAADCPLQLDSIPTVDLRLLSQSELYSLSLCSPAAFDPRRLDDVVSPKLDRSVFNESAGSRKQTYSRLRLAPAASSTALRSRTPHLRATNHNPNQPSMETDPESFQIVSLLKQLFKAEANPSDLIPIPVDYSVSITPVTQLPNAASAGFKRKRGRPRKDKSDIVNSNFSHATHSNGDLAMTNVVVYDNSVDRDNEIVNADGVPVDMVALSALEDPFSMELRRRTEGLEGEEKLLGFLQGLNGQWGSRRKKRRIVDASQFGTTLPKGWKLLLSLKRKAGRVWVYCRRYISPNGRHFVSCKEVSIYLLSLRGEQGTVPFNSVESSKSILDGDKQASTDTNKMLQIRDTVVPDVTKKENVASRVSSPPVSNTSVNDQIQDVLKLGDLQEVHVGEIAHYENCNLDFGNKDDLLQHQSSCQRRKRSKNGQSISDGVIIKDGKFVCQFCHKSFDERHRYNGHVGTHVRNQVKNAIESLQVNSSQTDSTLQEPVVANRDFSEISGLDTKTKMNSSPKGNFGNLDGEHLETFDKSTSADAPVDLFSNKSTRCSTSEAILSNDENTACCPPAAEDASEKANNSYDQQGRNSSSSSPFPLNDKLDIVKNSATGGTSIIVEFEKGPISGSDLHSSDGNVEACDVDEMQLDKDRHAVNKESISGLCINSSGLDGNTQISVQQPCDAESCREEMNGVSVSSIPTGSAQKSGSEDCLKTLSGDVEQRAGNLNLVFSSCVDEQRFDDMSSVEKNYSGGCAKSNNDNVSNLEMEDHFGCAPSWKKDIDSAEKYESQFPDCFEEEPREQKTLESGLLSLSGYEKNDAIENYVDNVSMRKVDNLDVNILQDVNVNDDELIFPFSSSHGALNADASTDLKQDRSLNFSLFSDGNENKACKSNVEMYEQEPSETAMLPPAFVQEASNQAFSRARSYTNSLDGPKLNGLHGSVHQDLNLSFGNSHVEVSANANTMQLQQQGYPAGRSGFQFGVGQTYGAQTSLHNIDHRRVENQKQGGVLGVDLQNSSFNSNVVDFGNNYNTVFSNSGWGEQRMDEVGKFGKNIMTSARSNVVQPNKAIMDGNIWRTSVGNVLNHDGSAANANSLVQSSNCFQTYDIMSDKGEGLFKLNQNIQKYDRSGSFEGLRAERSEPVEYSFMGTQSLSCGQQDSKVFSYGVDMGEGFNSPFWLGKDTGVAPNLSGRNMVPTVCIWCSSAFYQDAIQSGTHAAAGSMCPTCSARI >itb08g00230.t1 pep chromosome:ASM357664v1:8:196955:198628:-1 gene:itb08g00230 transcript:itb08g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAVKKKSRLLISKKSKPQQEEFINPPEIKTPTTSSSSVFLLRRLPSAAILILILIFLWSSSTTIISGNLLHLCISSRKLHNVYCISAGTKPNLDLPLHPDLNERDENNNVLMMIEKPSKNSTTTTFGAGNGELEGAYRNVEELITEQRSWSSSVQMSESTSTCRGGVYVYELPAKFNKDLAAQCGDMMPWENLCKYFNNGSGAMGEPIEKLGKGWYQTHQYSLELIFHSLILNHPCRVYNPNEAKLFYVPFYGGLDILRWHFKNNVSHALKDSLGLELVRWLESQPAWFKKSGKDHVFVLGKISWDFRRQDGYNWGSRFLELDEMQNPIKLLIERQPWHVNDIGIPHPTYFHPQSDHDIISWQQKTISSNRRNLVSFAGAARPDSKKNIRSILIKQCTGNHEKCRFLDCGSGGCDQPESIINLFMESEFCLQPPGDSPTRKSVFDSLVAGCIPVLFNPFTAYYQYPWHLPQDHQKYSVLIDQGDVRDMKVNVVERLAEIGVKERENMRRYIVYELLPGLVYRDPNSAKLHKFKDAFSITMNNLFERVNRLDV >itb11g12010.t1 pep chromosome:ASM357664v1:11:8902065:8904401:-1 gene:itb11g12010 transcript:itb11g12010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLSSYISDSSSSSDGENSNGNVSSSTLVQDFSKVNSYGAAEMLYKLRNEPNSALSYFRQIKECGFQYDLDTYVAIIRILCHWGMDMRLDSILLEVIKSEKESLGFDVSDLLEALMEGLHAECPNSIIRTLDALVKAYVSTGMFDKSIDILFTTRRRGFGPSLLSCNFLMNRLVDCGKLDMAVAVYKQLKRLGFNPNVYTYGIVIKALCRNGNLEEAASIFGEMEEMGVTPNEFTYSAYLEGLCLHGLSSLGYEVLREWKGANIPLDVYPYTVVVCGFVNERKFSEAEAVFLDMEEQGLVPDDYTYGALIQAYCEIGNIIKALNVHNEMTAKGIKTNCVILSSILQSLCQNGMASEVVEQFNSFKELGIFLDEVVFNIAIHALCKLGKLEEAMKLLDEMKGKRMTPDIVHYTTLIDGYCLHGKVSDAWVLFKEIKKNGLKPDVVAYNVLLGGFSRNGFARETLHLLDYMKGQGLIPTTVTYNVIIEGLCMGHNVEEAEKFLDNLNSKSEENYAAMINGYCQSGNTSKAYKLFLKLSKQGISIKRSSCLKLLASLCSEREYDRAMKLFEIVLCSDDGPCTSMYSRIISALCRDGDMKRARWIFDNMLWRGITPDVIIYTMMLNGYCRVNCLREAHSLFADMKSRGISPDVITYTVMLDGHSKGLKRTQSSSCAGGNKGGSKIASDLWCEMKEMKLTADVICYTVLIDSHCKSDNLDDAIQLFNEMIDRGVEPDNVTYTALLCGFFKHGHIGRAVSLVHEMKGKGIEPDSLLMKAIRV >itb08g02680.t4 pep chromosome:ASM357664v1:8:2280181:2282293:1 gene:itb08g02680 transcript:itb08g02680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLFEDIFTVTNIDPDGKKFDKVNRIEARSEQFEMFMQLDINTEVYPIHREEKFMMVLASTLNLDGAPDSGYFLQGNRKSLADKFEYVMQGKLYRISEEGSGRLAKA >itb08g02680.t2 pep chromosome:ASM357664v1:8:2280181:2282427:1 gene:itb08g02680 transcript:itb08g02680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLFEDIFTVTNIDPDGKKFDKVNRIEARSEQFEMFMQLDINTEVYPIHREEKFMMVLASTLNLDGAPDSGYFLQGNRKSLADKFEYVMQGKLYRISEEGSGRLAKADIYVSFGGLLMQLRGDPSIAAKFELDQRLFILIRKV >itb08g02680.t1 pep chromosome:ASM357664v1:8:2280181:2282427:1 gene:itb08g02680 transcript:itb08g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLFEDIFTVTNIDPDGKKFDKVNRIEARSEQFEMFMQLDINTEVYPIHREEKFMMVLASTLNLDGAPDSGYFLQGNRKSLADKFEYVMQGKLYRISEEGSGRLAKADIYVSFGGLLMQLRGDPSIAAKFELDQRLFILIRKV >itb08g02680.t3 pep chromosome:ASM357664v1:8:2280181:2282293:1 gene:itb08g02680 transcript:itb08g02680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLFEDIFTVTNIDPDGKKFDKVNRIEARSEQFEMFMQLDINTEVYPIHREEKFMMVLASTLNLDGAPDSGYFLQGNRKSLADKFEYVMQGKLYRISEEGSGRLAKADIYVSFGGLLMQLRGDPSIAAKFELDQRLFILIRKV >itb12g27960.t1 pep chromosome:ASM357664v1:12:28102197:28105895:-1 gene:itb12g27960 transcript:itb12g27960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGNVIRFWGRQFTLTLVALLFTTIVLWYCEKNPRLTSLLSAQDQFIIQSSEFLVDEPADSSLSLKSEEDNTSNEYSNNGIAEVTNTENRANDFGGFETNVTDTFLPRRKEENHILASGFQKEACNYAKGKWVPDSKRPLYSGLGCKQWLSDMWACRLTQRTDFSYEGYRWQPEKCEMPDYEGSNFLKRMQDKTIAFIGDSLGRQQFQSLMCMVSGGEGRPEVEDVGWKYGLVIPRGAIRPDGWAFRFPNTNTTILYYWSATLCGIEPLNVTDPATEFAMHLDQPPAFLITYLDQFDVVILNTGHHWNRGKINANKWVMHVNGMPVRDRKLAALGNAKNFTAYSIARWFDRRIKLRPQLQVFFRTISPRHFSNGEWNTGGRCENTIPLTKGSEIWQDESSDPVVGGAVKGTNVRILDITAISELRDEAHISHYSLKASVGVNDCLHWCLPGIPDTWNEILAAQL >itb09g04670.t1 pep chromosome:ASM357664v1:9:2607350:2611026:-1 gene:itb09g04670 transcript:itb09g04670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTAQIHALGATQFATGRSRTVFFGQRVSCRTVPFGLKLKRSRGNAGGTRLRIVAEKVVGIDLGTTNSAVAVMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYNVIRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVSKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTVTRAKFEELCSDLLDRLKTPVQNSLRDAKLSFSDIDEVILVGGSTRIPAVQEFVKKLTGKDPNVSVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDCKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPNDEVDRMVKEAERFAQEDKEKRDAIDTKNQADSVVYQTEKQLKDLGDKIPGSVKEKVEAKLGELKDAISGGSTQAMKDAMAALNQEVMQIGQSLYNQPGAAPGAGPAPGGSSEPSESSTGKGPDGDVIDADFTDSN >itb09g04010.t3 pep chromosome:ASM357664v1:9:2224009:2225049:1 gene:itb09g04010 transcript:itb09g04010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSVNLPPGFRFYPTDEELVVHFLHRKAALLPCHPDVIPDLDLYPYDPWDLEGRAMGEGNKWYFYSRRTASRITSNGYWKALGGDEPIFPSSGGHRVGVKRCYAFYIGEPPQGDKTSWVMQEYRLSASPDSASASTTSAKSSSRRRSHSKNVSICMYIYLVI >itb09g04010.t2 pep chromosome:ASM357664v1:9:2224535:2225891:1 gene:itb09g04010 transcript:itb09g04010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNKWYFYSRRTASRITSNGYWKALGGDEPIFPSSGGHRVGVKRCYAFYIGEPPQGDKTSWVMQEYRLSASPDSASASTTSAKSSSRRRSHSKNDYSKWVICRVYDSNCDDDGDDGNELSCLDEVFLSLDDLDEISLPH >itb09g04010.t1 pep chromosome:ASM357664v1:9:2224009:2225891:1 gene:itb09g04010 transcript:itb09g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSVNLPPGFRFYPTDEELVVHFLHRKAALLPCHPDVIPDLDLYPYDPWDLEGRAMGEGNKWYFYSRRTASRITSNGYWKALGGDEPIFPSSGGHRVGVKRCYAFYIGEPPQGDKTSWVMQEYRLSASPDSASASTTSAKSSSRRRSHSKNDYSKWVICRVYDSNCDDDGDDGNELSCLDEVFLSLDDLDEISLPH >itb04g28180.t1 pep chromosome:ASM357664v1:4:31999401:31999706:1 gene:itb04g28180 transcript:itb04g28180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >itb11g10330.t1 pep chromosome:ASM357664v1:11:7105058:7106303:-1 gene:itb11g10330 transcript:itb11g10330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGYFHKREVCVDRPKVVDVEGFQYFDLVYMFDPTKFDEIPLENIKPLQNLNLNCDRMVVYPNVIRAEDRIYLLSLRDYFCHHFDMIGHAFHFQYFDPNKNLFKTLPPPPVLHDSKLMDMSTLDARCHFFLRGYIYVFITDAKTCFQTSKFNTINSDSQWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLESQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb07g21230.t1 pep chromosome:ASM357664v1:7:25632204:25632650:-1 gene:itb07g21230 transcript:itb07g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIVDKVGSLAVSAKVTMTTKTIADTPSFVSTLPPWTVAGKVRSSLSLGYAGLRQWELNKDKDCELYNHKQIWRLSELFLGLALNGVE >itb01g33520.t1 pep chromosome:ASM357664v1:1:36619302:36621482:1 gene:itb01g33520 transcript:itb01g33520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIGLGSNLGWPDNAAFDSLFAGWAVDVEELAANDEDRGADGEDFCESPQVIVEGEAALETIRNLRERGKGEAMGSNQPAAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDNERVVDCTTPNFTGIISVMDPSRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEDERVPYVPPKRI >itb04g26210.t1 pep chromosome:ASM357664v1:4:30583023:30585239:1 gene:itb04g26210 transcript:itb04g26210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAIFHRVLCSTSPSCLHPKGYQGTQALSSQFLSSNSLLKLKKQTAFSTVRFRKLNSRRFQAQPSFIYAVQSNFLKVIQTVWRVGKDGIDAGTNLVPDSIPRPLARISVTVVAVTLALFLLKSFLSTAFFALATMGVIYFAFIALNKDESPRVDGKTTSVDESLEEARRIMDKYK >itb04g26210.t2 pep chromosome:ASM357664v1:4:30582984:30585348:1 gene:itb04g26210 transcript:itb04g26210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAIFHRVLCSTSPSCLHPKGYQGTQALSSQFLSSNSLLKLKKQTAFSTVRFRKLNSRRFQAQPSFIYAVQSNFLKVIQTVWRVGKDGIDAGTNLVPDSIPRPLARISVTVVAVTLALFLLKSFLSTAFFALATMGVIYFAFIALNKDESPRVDGKTTSVDESLEEARRIMDKYK >itb05g19990.t2 pep chromosome:ASM357664v1:5:26245058:26246668:1 gene:itb05g19990 transcript:itb05g19990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEILSDFFEDSELAGDDIFSILEALDGASKTTDLVSPKSVSSGAAQESCESAAAAASPRTSKKRKTTCAAAASDGSEDGQQQPRTCHITVERNRRKQMNDHLSVLRSLMPAFYVKRGDQASIIEGVVDYINELQQILQSLETKKQRKAYTSEVLSPRILSPSPRKPPLSPRLSLPPISPRTPQPTSPYKPTNPTTSTRLLQPPSLAGGGGYLLPAAATAISEPAASSSSTSAIDSANELAANSTSAIADVEVKFSGSNVILKTVSPRIPGQAVKIISALEDLALEILHVSITTINDDTSLNSYTIKVRNYFFLF >itb05g19990.t1 pep chromosome:ASM357664v1:5:26245058:26247789:1 gene:itb05g19990 transcript:itb05g19990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEILSDFFEDSELAGDDIFSILEALDGASKTTDLVSPKSVSSGAAQESCESAAAAASPRTSKKRKTTCAAAASDGSEDGQQQPRTCHITVERNRRKQMNDHLSVLRSLMPAFYVKRGDQASIIEGVVDYINELQQILQSLETKKQRKAYTSEVLSPRILSPSPRKPPLSPRLSLPPISPRTPQPTSPYKPTNPTTSTRLLQPPSLAGGGGYLLPAAATAISEPAASSSSTSAIDSANELAANSTSAIADVEVKFSGSNVILKTVSPRIPGQAVKIISALEDLALEILHVSITTINDDTSLNSYTIKIGIECQLSAEELAQQIQQTFC >itb10g11530.t1 pep chromosome:ASM357664v1:10:17133406:17135078:-1 gene:itb10g11530 transcript:itb10g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHVSSTDISPNAQSTVECVSKLQNLSFLSSLAEPSCSVSTPNMSESVIMHTVSNPSLPISQTDKSQSLSAEPVSSTVIEPISTLASTPSGSSSFPVPPLADVSDLSVSLVVCGLRARVLDSQASLSLIKTSISTLQVVATSMEQTLVAYMRELIHLELIRTSHLVVHEEPVDASDGSASSSVH >itb12g01840.t1 pep chromosome:ASM357664v1:12:1239201:1244108:-1 gene:itb12g01840 transcript:itb12g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLSPADTEKLSKLTSAVAGLTQISENEKSGFINLVTRYLSGEAQHIEWGKIQTPTDEVVVPYDNLAALSEDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVKQIESLNSKYGCSVPLLLMNSFNTHDDTQKIIEKYANSNIEIHTFNQSQYPRLVVEDFLPLPCKGNAGKDGWYPPGHGDVFPALMNSGKLDALLSKGKEYVFVANSDNLGALVDLKILNHLINNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVQADALKMEIIPNPKEVDGVKVLQLETAAGAAIKFFDHAIGVNVPRSRFLPVKATSDLLLVQSDLYTLTDDGYVVRNPARSNPSNPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVWFGSGITLKGKVTITAKSAKLEIPDGTVIADKDME >itb07g08200.t1 pep chromosome:ASM357664v1:7:6410607:6414151:1 gene:itb07g08200 transcript:itb07g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHKRGPVSLDHSSMTPLTPKRLKADMPISSKEKKEKFGERIAALQQLVSPFGKTDTASVLYEAMGYINFLHEQVKVLSAPYLHSTPLSNTQVSVKSVYRDIFPYALLNKRRPNCTKPLKDFEI >itb13g21790.t1 pep chromosome:ASM357664v1:13:28312950:28313480:1 gene:itb13g21790 transcript:itb13g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSSSGERMFIGIPVTNRGQLPPTVLPPGPAGGGGTKLRFECHYCRRGFASSQALGGHQNAHRRERQRAKLAQLSAAGEHHRRHGLAAPTLSTPSSFVVLDGVSAWNPQICSAVVPLGYPAAGFHVGMSPVAAAFRASDCRGNNMDDGPENFATSSEVDGGVGIDLHLRLAPP >itb10g24510.t1 pep chromosome:ASM357664v1:10:28291068:28292679:-1 gene:itb10g24510 transcript:itb10g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVGKRLTAMRQEKTAKEKELKEASRALEQIEAKAQRSYQKDVARVREGAKDSTISEDWEYDKSSGYYYNQNNGCYYDPKSGFYYTDALGRWVTQEEALAATQAPSEPVSKKPILKSPVPETKSESLGDKTHQSGPPPGRVVSTPLDPTRSVKGAPSSLTVNNKRKRETVKPKPKAVSEAESAALKAREAARKRVEQREKSLLGLYKH >itb11g01210.t1 pep chromosome:ASM357664v1:11:547847:549409:-1 gene:itb11g01210 transcript:itb11g01210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIDQDGQQFKHYCRVCKKGFMCGRALGGHMRAHGIGDDSATMEDEDQASDWDEKMNDNNGGERTSFKRMYQLRANPNRLKSVRVCENCGKEFFSWKSFLEHGKCSSDDAESLVSDDGDEDFGRKGAGWSKRKRSFRAAKVGNFASNSSEDEDIILAKCLIDLANARAEQEAESSAAAAAVFLAPLAAATRPRVDYKAKGTASSSSKGLFECKACKKVFTSHQALGGHRASHKKVKGCYAAKQDQIDDVIGGGDDDVTTHDDFFPPSKTSSYLHQFEPSRRKSKDHECSICHRVFSTGQALGGHKRCHWITSAANSNSNNSYSPETSAPKFPFHHQEQPKSLDLNLPAGDDIAAAGGIIRRDPRNPFSFEVSTDIHLRPFEVSPNNDDNNDYYNNDNNIIIDEEHQKIAAANVDDVYEDDEADSNNKVELAKLSGMKEMSSINKGNASPWLQVGIGSTAEVRADS >itb01g12190.t1 pep chromosome:ASM357664v1:1:11608868:11615855:-1 gene:itb01g12190 transcript:itb01g12190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESLAQGIYICQRDNGKLASRYAAHLQLCSSNIHSPATSSLARNIHANMITSGFKPRGHILNRLIDIYCKSSNLLYARHLFDEIPQPDIVARTTMIAAYSASGDPKLAAEIFDRTPLCMRDTVFYNARITGYSHQNDGHAAIQVFNDMKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERISPDSISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISPGEDHYSRLIDLLSRAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADKLFDLVPQHDGSYILMANMFSSAGRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb01g12190.t4 pep chromosome:ASM357664v1:1:11608868:11615798:-1 gene:itb01g12190 transcript:itb01g12190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERISPDSISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISPGEDHYSRLIDLLSRAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADKLFDLVPQHDGSYILMANMFSSAGRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb01g12190.t2 pep chromosome:ASM357664v1:1:11608868:11615855:-1 gene:itb01g12190 transcript:itb01g12190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKNVAARSVESLAQGIYICQRDNGKLASRYAAHLQLCSSNIHSPATSSLARNIHANMITSGFKPRGHILNRLIDIYCKSSNLLYARHLFDEIPQPDIVARTTMIAAYSASGDPKLAAEIFDRTPLCMRDTVFYNARITGYSHQNDGHAAIQVFNDMKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERISPDSISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISPGEDHYSRLIDLLSRAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADKLFDLVPQHDGSYILMANMFSSAGRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb01g12190.t6 pep chromosome:ASM357664v1:1:11608868:11615855:-1 gene:itb01g12190 transcript:itb01g12190.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVESLAQGIYICQRDNGKLASRYAAHLQLCSSNIHSPATSSLARNIHANMITSGFKPRGHILNRLIDIYCKSSNLLYARHLFDEIPQPDIVARTTMIAAYSASGDPKLAAEIFDRTPLCMRDTVFYNARITGYSHQNDGHAAIQVFNDMKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERISPDSISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISPGEDHYSRLIDLLSRAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADKLFDLVPQHDGSYILMANMFSSAGRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb01g12190.t7 pep chromosome:ASM357664v1:1:11608868:11615798:-1 gene:itb01g12190 transcript:itb01g12190.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERISPDSISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISPGEDHYSRLIDLLSRAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADKLFDLVPQHDGSYILMANMFSSAGRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb01g12190.t5 pep chromosome:ASM357664v1:1:11608868:11612696:-1 gene:itb01g12190 transcript:itb01g12190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERISPDSISFLTVLSACSHAGLVKEGQHYFDLMHRVYGISPGEDHYSRLIDLLSRAGRFSEATNVIQTMPYKPGAPIWEALLSGCRLHGNIELAVQAADKLFDLVPQHDGSYILMANMFSSAGRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb01g12190.t3 pep chromosome:ASM357664v1:1:11608868:11615798:-1 gene:itb01g12190 transcript:itb01g12190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNFKPDQYTYTSVLAALALIADCELHCQQLHCAVIKSGTGTITSVQNALLSVYARCASSPLVSSLLLMESARKVFDEMTKRDELSWTTIIVGYIRNDNVEAARQVFDGMDEKLVVAWNAMISGYVHKGLIFEAFELFRQMYLLGIKLDGFTYSNVLSACADAGLFLHGKQVHGYIVRTETTPMEHAHVSVNNALITLYWKCNRVDDARKIFDQMFTKDLISWNTILSAYVSAGRINEAKLFFSWMPEKNSLTWTVMISGCSQNGFGEEGLRLFNEMKLNGFEPCDYAFAGAIASCSVLAALETGRQFHAQIIRCGFDSSLSVGNALITFYGRCGDIDAAQCLFLTMPFFDSVSWNAMIAALGQHGHGAHAIKLFEEMLEERRWNDAANVRKLMRERGVKKEPGCSWIEVENKVHVFLVDDTRHPEIQAVYNYLEELALKMRKAGYIPDTKYVLQDMETEQKEYALSTHSEKLAVVFGLLKLPRSATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGKRFHHFRDGECSCGNYW >itb13g25430.t1 pep chromosome:ASM357664v1:13:30813660:30815007:-1 gene:itb13g25430 transcript:itb13g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSYARQNSPPDFPTMNNGLMKLMSTHLPLELLQKSVGESKCKLIYLCRNPKDTFVSFWHFMNKLRGELRGLGAMLFPEAFDKYCRGASHYGPFWDHMLGYWKESLESPSKVLFLKYEDIKEEPDVHLRRMAAFLECPFSEEEEECDVVGGISRLCSFESLSSLEVNKTGKSYDRPAGNNVFFRKGKVGDWRNHLTDEMASRLDQIVEEKFKGTGLKL >itb09g29680.t1 pep chromosome:ASM357664v1:9:30395795:30400283:1 gene:itb09g29680 transcript:itb09g29680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTAQKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAATIKDRMASQPASNARPPTVQIRGQPVNQKSGCCSS >itb03g15290.t1 pep chromosome:ASM357664v1:3:14654348:14657137:-1 gene:itb03g15290 transcript:itb03g15290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFSGEWPEKGHGGAGSASVSFPWSFPGDYSSAAAFLSWPAQPFEEAGDDRALSASKSHSEAEKRRRDRINAQLATLRKLIPKSEKMDKAALLSSVVDHVKDLKRKATEITEALADVPTDTDEVTIDDQHSSTAAINDDQTSTSSNYLKASVCCDDRPELFAEINRALKDLRLTTVQADVTSLGGRVKSVFVLQLCPPDMDAVESSNHNMMNSVKHSLKVALCKIAIPASTTSYRIKSKRQRFFLPAHYH >itb08g02540.t1 pep chromosome:ASM357664v1:8:1990800:1993765:-1 gene:itb08g02540 transcript:itb08g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKEIAAAMVMMSVMIFTSALDYDISSEAKLYKQEGISMVPKGCPDMCGNVTIYYPFGIGPNKDCYLNEQFIINCTKSSDGIWKPYLSSSGAGRVREILGISFDRQIISTQESIPPSLCRPGGSSEGNQSFPLTQTTNFSRTQVFSYAPGYNKFTLLGCGDALLTSPGYGTVGGCTATCIANTQLERPLNGINMCELFLDGSLETFEFHVNFTNPAVNACNYALFVDRDWYAASFPGGRREQLVVRVVRSWAAPNLHPSDPSHYCVNGSSYWGCFCQYPKKGNPYIANGCQGSHRKLTVKELSAIIGVSASFGFVVLVSTCFILYKVIKKRKMKKLRQKFFKHNGGLLLEQQLLAKEGSIEKAKIFTISELDKATDNFDANRIVGRGGQGTVYKGMLIDGQIIAVKKSQAMDENQLVPFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFIPNGTLFSLIHNNFGDDLIPLSWDIRLRITSEVASALAYLHSATSVPIYHRDIKSSNILLDEKFRAKISDFGTSRSISIDQTHLTTIVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGQKPIIFDINDDEERSLITRFLLCMEENNLSKILDVEVLEKGKDEDVVAVAWLAQRCLNLNGKKRPTMKEVAAELDAIRASCHPHNLPLAMETLEAESDFMP >itb07g01980.t1 pep chromosome:ASM357664v1:7:1190041:1190689:-1 gene:itb07g01980 transcript:itb07g01980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCREERPSKTETTAVSPAKTKRDRGDDEHENVEACKTPKGERFRIPEITTCPPAPKKRRLMSPLQKTPITFFLPPPDVELFFATPAVCKMLV >itb08g06760.t2 pep chromosome:ASM357664v1:8:5794670:5799568:-1 gene:itb08g06760 transcript:itb08g06760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSNKRRLRDLLVQSDNRVCADCGGHDPKWASANIGVFICLKCCGVHRSLGAHISKVLSVTMDEWTDDEIDGMIDIGGNASANSIYEAYFPNGISKPGPDASHDQRAKFIRAKYERQEFLKPSLRIKSAPKSSLQTSLSRKAMDTFRSSSSHMEGTVEFIGMLKVIVLKGTNLAVRDMLSSDPYVILTLGNEKVQTTSMRSNLNPVWNEVLTLSVPQNYGSIKLEVYDYDTFSADDIMGEAEIDIQPLITSAMAFGDTSMFSDMQIGKWLKSDNNALIEDSAVNITDGKVKQEVSLKLQNVESGEIYLDIEWIPE >itb08g06760.t1 pep chromosome:ASM357664v1:8:5794670:5799568:-1 gene:itb08g06760 transcript:itb08g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSNKRRLRDLLVQSDNRVCADCGGHDPKWASANIGVFICLKCCGVHRSLGAHISKVLSVTMDEWTDDEIDGMIDIGGNASANSIYEAYFPNGISKPGPDASHDQRAKFIRAKYERQEFLKPSLRIKSAPKSSLQTSLSRKAMDTFRSSSSHMEGTVEFIGMLKVIVLKGTNLAVRDMLSSDPYVILTLGNEKVQTTSMRSNLNPVWNEVLTLSVPQNYGSIKLEVYDYDTFSADDIMGEAEIDIQPLITSAMAFGDTSMFSDMQIGKWLKSDNNALIEDSAVNITDGKVKQEVSLKLQNVESGEIYLDIEWIPE >itb01g03050.t1 pep chromosome:ASM357664v1:1:1981732:1985588:1 gene:itb01g03050 transcript:itb01g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVPPGSFFHFSPAVVRQDPLHRSSPPLTDRERYLTELLAERNKLGPFMGVFPVCNRLLNQEIMHVLGLLSNQIADHERIGHDNLYRSFGQHLNGVLVNMDAWNASIQLEENKLLQKMATFHPTPLDWAVAPGTTTTIVKRVIRLDVPVEKFPNYNFVGRILGPRGNSLKRVEAMTECRIYIRGRGSVKDSIKEEKLKDKPGYEHLQEPLHILVEAEFSEDIINARIDYAVKILEDLLKPVDEPMDQYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFKATAIKRAKTGI >itb13g21620.t1 pep chromosome:ASM357664v1:13:28195605:28197705:-1 gene:itb13g21620 transcript:itb13g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASTILVAFLLCLSIARAEDPYLFYTWKLTYGTISPMGIPQQGILINGQFPGPKINCTSNNNVIVNVFNELDEPLLFTWSGIQQRKNSWVDGTLGTMCPILPGTNFTYKFQVKDQIGSYFYFPTTGMQRAVGGYGAINVHSRELIPIPFDRPAEEFNVFVSDWYNKGHKTLKRILDNGQTIARPDGLVINGKHGKVGEKLNPLFTMEPSKTYRYRVCNVGLRTSVNFRFQGHPMTLVEMEGSHTVQNVYDSLDLHVGQCLSVLVTANKEAKDYYLVATSRFFKQEFSTVVVISYSNGKGITASPELPPAPPVNTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQINITRTLKLVSSKTTKGGKLRYAINGVSHTDSDTPLKLVEYFEIPEKVFKYDIMGDNPPDNLEKVTVAPNVVNATFRNFIEIVFENREKTIQTYHLDGHSFFAVAIEPGRWSPEKRKNYNLVDAISRHTIQVYPNSWAAIMTTLDNAGMWNLRSEMWEKTYLGQQMYFSVLSPECSLRDEYNIPDNQLLCGLVKGMSMPHPYTI >itb01g29290.t2 pep chromosome:ASM357664v1:1:33558777:33560967:1 gene:itb01g29290 transcript:itb01g29290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHRRRSSTPSMEFGDVDEDEEEEEEEESPESTRMKCVSCKEEYCSRDAGTCRECYEEASETEEELKREIEDLKAKVNFLRFWALPDPLHLSFPHRPQSSTPCFSDVVLVASNDQSAKNAPHSVPVPAHRAVLASRSPVFRAMLENEMEESLSGTIKISDVSYDALRAFVNYLYTAEACLDENMACDLLVLAEKYQVKHLKTYCEKFLISKLNWENSLVNFAFAHQHNAKNLLDAALALIMDNMDKLSKREEYKELVEKDPRLVVELYEAYLSKQVNTAVRKDPTTKA >itb01g29290.t1 pep chromosome:ASM357664v1:1:33557248:33560967:1 gene:itb01g29290 transcript:itb01g29290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHRRRSSTPSMEFGDVDEDEEEEEEEESPESTRMKCVSCKEEYCSRDAGTCRECYEEASETEEELKREIEDLKAKVNFLRFWALPDPLHLSFPHRPQSSTPCFSDVVLVASNDQSAKNAPHSVPVPAHRAVLASRSPVFRAMLENEMEESLSGTIKISDVSYDALRAFVNYLYTAEACLDENMACDLLVLAEKYQVKHLKTYCEKFLISKLNWENSLVNFAFAHQHNAKNLLDAALALIMDNMDKLSKREEYKELVEKDPRLVVELYEAYLSKQVNTAVRKDPTTKA >itb12g28000.t1 pep chromosome:ASM357664v1:12:28122761:28124503:-1 gene:itb12g28000 transcript:itb12g28000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKFKHFQHFWRLQSREALKFCRNNVYYGEGNTILTNTLCTVTGMSEVDKLPKMTESPELPGWVKLPGKSKQDTDMSEDDDFIIPSLWSWIENEKFQRQEVGADSVKSDIVDVDKIGETLKNHFKSPDAVVQALDACNIDVSEDLVEKILKRFSCEWIKSYGFLKWVKQQSKFKFSPDLYNLMIDNLGKARNFVVMWELVKEMSHLQGYVTLDTVTLVIRRLAKAGYYEDAVEAMSRMEQFGIPKDTSMVNILIDALVKGGSVERAYDVYLEEKVHIAPNLRTFNMLIHGWCKIRQIEKAKRTIQEMVRHGFAPDPVTYTCLIEAYCREKDFRKVDATLDEMRKKGCTPTVVTYTIVIKSLGHAKEVNKALEIYEKMRESGCVLDANGYGVLINILYNSGRLNDSSDVFEDMSKQGITPDLPTFNTMIRYAAKNLKEEEALTLLKKMEECQCKPDLNTYAPLLKMCCKLKRMKVLSFLLNHMYKNDLSMDLGTYALLIRGLCRIGKHEQACSFFEQLVLKGILPTDTMYTKLVQEFEKKGLHKEKERINQLMIEAKQHTSEDKQHGSSFLGIQSSTRIK >itb09g01130.t1 pep chromosome:ASM357664v1:9:689018:691229:1 gene:itb09g01130 transcript:itb09g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDNRNEMLMMHHPMGGALDVLQTAHSMQGQGLSLSLGTQMPAGIQVASSQCQNPSQGFTSFLSPPSIPGEGNGGNRSFGDIDDSHAKQSRAMEYLLPGFPSSYESMKMDCSPYGMPSIGRAFPDSKFLKAAQQLLDEVVNVKKAVKERGSTKVEANGTNEVDADSNCKSSDPPVTGSSSNPQEPNELSANDKQELQNKLAKLFSMQDEIDRRYRQYYHQMHMVVSSFDVVAGEGASKPYTALALLTISRHFRCLRDAISGQIRVLQKNLGDQDVADNNKGVGISRLCYVDQQLRQQRALQQLGMMQPHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKAMLARQTGLTRGQVSNWFINARVQLWKPMVEEIYKEEFADAEFESNSSSEIAPKATESDTKAFDEQEKGFQPPATSPEDEPCISGKLFQPKSNHAPNIEMVESNIGITFRDGDHVETDSQYEMGDRGGVAIAKPTTGDSSLLPDTVLQSSGAHNRFMYPASAYHMPELERFGNGNGNGVSLTLGLQQCEGSHNFLSTREDDVYIPSASSVEPEPADFNYIDPENRQHQFGSSHLFHDFVA >itb05g26070.t1 pep chromosome:ASM357664v1:5:30152319:30155949:-1 gene:itb05g26070 transcript:itb05g26070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRTEIWVPLILGVAIVLGLLGEGSYGAFTPVDNYLLACGSSQNVTFLGQTYIPDSVRSSVYLKNGGNPVVATANSSAPFPVYQSARIFSSTSSYKFDIKQEGHHWLRLYFYPLPGHNLTSASITVVTENFVLLNNFSFARYNGSYLFKEFVINIASDSLVLTFIPSNNSIAFVNAIEVVSVPDEFIPDGPPIVYPSGNFNGLSELALETVYRLNMGGPLITPQNDTLGRTWENDMKYLHVNSSAVNASVSPSTVKYPPGVTPEIAPNWVYATAETMGDANVADGNFNITWVFPVDPDFMYFVRVHFCDIVSKSMNSLVFNLYINDHIALAELELSNQAGNLDVPYYRDFVSNSSADSGTLTVSVGPDLDSDDAIMNGLEIMRISNEARSLDGASPVESLLVLPRKKNKTAIIVGSAVGASAALLLIGLCCCCVIPRMSKAPEQGQGHPWLPLPLYGNSLTLTKMSMTSQKSGTASCISLASSNLGRFFSFQEIMEATNKFDESLLLGVGGFGRVYKGTLEDGTRVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKLRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLKGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEEASSALTEPDDNSTNHISGIPLTPLEPFDNSVSMIEGINSATDDDAEDAATSAVFSQLVNPRGR >itb05g26070.t2 pep chromosome:ASM357664v1:5:30152319:30155902:-1 gene:itb05g26070 transcript:itb05g26070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLITPQNDTLGRTWENDMKYLHVNSSAVNASVSPSTVKYPPGVTPEIAPNWVYATAETMGDANVADGNFNITWVFPVDPDFMYFVRVHFCDIVSKSMNSLVFNLYINDHIALAELELSNQAGNLDVPYYRDFVSNSSADSGTLTVSVGPDLDSDDAIMNGLEIMRISNEARSLDGASPVESLLVLPRKKNKTAIIVGSAVGASAALLLIGLCCCCVIPRMSKAPEQGQGHPWLPLPLYGNSLTLTKMSMTSQKSGTASCISLASSNLGRFFSFQEIMEATNKFDESLLLGVGGFGRVYKGTLEDGTRVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKLRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDDNFVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLKGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEEASSALTEPDDNSTNHISGIPLTPLEPFDNSVSMIEGINSATDDDAEDAATSAVFSQLVNPRGR >itb04g04930.t1 pep chromosome:ASM357664v1:4:3073894:3077123:1 gene:itb04g04930 transcript:itb04g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRSIRQAIYDREARKQQYQAHIQGLNAYDRHKKFLSDYVAYYGKDRSTQEVLPVKTDQDTLREGYRFIRTEEDDMNPSWEQRLVKRYYDKLFKEYCIADMTHYKSGKIGLRWRTEKEVISGKGQFICGNKHCNEKDGLASYEVNFSYVEAGENKQALVKLVTCERCAEKLLYKKRKEEQLKEKQRRKRERSESDNHEDEHDRRERKKGSKASTSAEDRNKTDEDDENFDEYLEGMFP >itb04g01310.t1 pep chromosome:ASM357664v1:4:733461:735749:1 gene:itb04g01310 transcript:itb04g01310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIDDDFDDDFESIQMILIPTLFIRRCNIVAISGVGGIGKTTLARRIYEDPKIASHFYKRAWVVASKYHNERQMLLGILNSIGYANSSTHYDDLERLEIKLYEWFKCERCLIVIDDVWSVEACDAIRRCFPEDEDENDSRVLLTTRLPETYFSSNSVFFNQMHPLHPSDSWDIFCLKAGTSHCANFETIARPIVEKCRGLPLAVVTVASVVSNLNVDPGEWENIAMTNIVEVCSTIISLDYSRLPYNLKACFLYLGIFPEGKVIYVKDLVRLWASEGLIKEFANQSLDEVAERCLQDLLDRNLILESKRSYCGRKIRAFKLNDLVHAFCVREAQKEKLLHVVPGNGFQKGSRWLSIQSTDFDDDARTLLHSCRSIFCFSEVKSLHLKSFNLLRVFYFTDASMCKKIVDLVHLRYLPQVVRDFRMIKLLKAWNLQTLDVYADEKLKKFGQQQYSQSPSKLELKPCDCTIELFLRSPHLKEVVITGERRNCNDCIDTLVFLGQLRRLYINGSVCDYRTPPRISINNQIAGLKSLVELSFRSMNFEWNGINVLCQLPRLKVLRLLSSSIGKEWEFDYDHVFYSLVYFEIFSTDLKYWEARDIHFPKLERLLLRDCFRLRELPCGFDGIKTLKSIELTRCIPSAVNSAKQIQEMQHKCGNKDLVLIEKETIIQPSSDEDVSTEDESDEDEAEES >itb14g08920.t1 pep chromosome:ASM357664v1:14:8881483:8884778:1 gene:itb14g08920 transcript:itb14g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPHQARKLAERDSVVSVFESRTRRSHTTRSWRFLGVGYGEEHNRVQMNISTDIIVGIIDSGIWPDSKSFNDYGLGPVPKRFTGECITGQNFTSSNCNRKIVGARFYSAGYEAENGPLESFNLTFFRSARDNDGHGTHIASTIAGAMVSNASFFGMGEGTARGGAPGARLAIYKACWFDLCSDADILAAMDDAIHDGVDIFSLSFGADPPQPIYFEDVVSIGTFHAFQKGVFISASAGNSFLPSTAANVAPWILTVAASTIDRDLHTYLQLGNSIVIKGISANPSTLERYYSLIAGSAAAAPGISPENASFCKPSTLDPKLITGKVVVCKLEVVSDSRRAKGAIVKQGGGVGLILIDPFANDVAFEFEIPGVVISQEAKELQSYMTKEKNPVAKIFRTTTVLFTKPAPKIASFSSMGPNSITPDIIKPDIAAPGVNILAAWSPVATDISAGKSVDYNIISGTSMACPHASAIAAIIKSHHPSWSPAAIKSAIMTTATSLDNTQSQIIRNPKGTLASPFDYGSGQINPVAAIDPGLVYDFDQNDIIAFLCSIGGSPAQLKNVTGEVVHCNSTLAAPYDLNYPSIGVSKMNGAISVQRRVTYYGNGPTVYNAEVNYPDGVNVSVTPKMLSFARTGEIKAFTVTFTPYRTSNGNFVFGALVWSNGIHKVKSPIGLNVLSL >itb14g08920.t2 pep chromosome:ASM357664v1:14:8881772:8884778:1 gene:itb14g08920 transcript:itb14g08920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTDIIVGIIDSGIWPDSKSFNDYGLGPVPKRFTGECITGQNFTSSNCNRKIVGARFYSAGYEAENGPLESFNLTFFRSARDNDGHGTHIASTIAGAMVSNASFFGMGEGTARGGAPGARLAIYKACWFDLCSDADILAAMDDAIHDGVDIFSLSFGADPPQPIYFEDVVSIGTFHAFQKGVFISASAGNSFLPSTAANVAPWILTVAASTIDRDLHTYLQLGNSIVIKGISANPSTLERYYSLIAGSAAAAPGISPENASFCKPSTLDPKLITGKVVVCKLEVVSDSRRAKGAIVKQGGGVGLILIDPFANDVAFEFEIPGVVISQEAKELQSYMTKEKNPVAKIFRTTTVLFTKPAPKIASFSSMGPNSITPDIIKPDIAAPGVNILAAWSPVATDISAGKSVDYNIISGTSMACPHASAIAAIIKSHHPSWSPAAIKSAIMTTATSLDNTQSQIIRNPKGTLASPFDYGSGQINPVAAIDPGLVYDFDQNDIIAFLCSIGGSPAQLKNVTGEVVHCNSTLAAPYDLNYPSIGVSKMNGAISVQRRVTYYGNGPTVYNAEVNYPDGVNVSVTPKMLSFARTGEIKAFTVTFTPYRTSNGNFVFGALVWSNGIHKVKSPIGLNVLSL >itb04g06920.t2 pep chromosome:ASM357664v1:4:4606343:4614511:1 gene:itb04g06920 transcript:itb04g06920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEALTGDHQTSTYQRFLSAVVPVLFITIGYVDPGKWAAVVEGGGRFGFDLTMVLLLFNLGAILCQYLSARIAVVTGRDLAQICSEEYDKITCIFLGLQAEISIVALELTMVLGTAHGLNVIFGIDLFSCVFLTATNAVLFPLISSLVDNGRAKLLCIGWTSFILLAYVLGVLISQPENPFTIGGMLTKLNGESAFALMSLLGASIMPHNFYLHSSIVQQDQVSKRISKGALCQDHFFAILSVFSGIFLVNYVLLNLAASAFYSTGLVLLTFHEALSLLDQVFGSSMTPFVILLVLLSSNQITALTWDLGKQVVVHDLFGMDLPGWLHHVTVRIIAIVPALYCVWNSGAEGLYQLLIYTQVGVALVLPSAVIPLFRVASSRSIMGIHKISHILELLALGTFFAMLGLKIIFVTEMVFGNSDWVNNLKWNTGSSPYAILLIAASSSLCLMLWLAATPLKSASSRFDPQALHWDIHPPMPEPSLVGDEPDANESISNLGIPMHSQEPALQFDKSFGCRLDLPTPELDSNLPESLLNFERGPQLTTIDENKSEITFASNSTCHPQVTTPTEDADPVSKAHDKVSIGEPTDAETLPAEPFDVVEKTLQIEGDIQNDKDDDRDSWEPEEEATKEISTNNQSLTSDSPGSFKSISGKTDDVGSGTGSLSRLAGLGRAARRQLTTILDEFWGQLFDFHGQATSEAKSNKLDALLGVDSKIDPKPPSGSLKLDSIRKDVNAYLTMGAPGSDSMINSDIYSPRRIGQTGRESPYVGQEPSSWSGQMRMLDAYRQSSNHNSVEIGEKRYSSMRFPASSASFDQQPATIHGYEFASYLNRITKERCGDYVNGQMESPIPKSTAPITSNYVEPYAGGAYRPKPQTISSTRAPPGFANVSVSRNNSLQSGQNLNDLYSTGNGQSAATTKKFYSLPDISGLYVPHRNSSLSQRTAQLDNSMGYGPSVGHTLYAPAHSRASQMAYRPSGFDQLSPSKVCRDAFSLKLNPNPGTGGSLWSTQPFEQFGVDKSVSVGTDSFGAMQSSSTQETLEAKLLQSFRSCILKLLKLEGSDWLFKLDDGADEDLISRVAARERFLYDAETREVNRFSNIVESQSNMKPGSAAKSAEADFTKFLVMSVPHCGEGCVWRVDLIVSFGIWCIHRILELSLMESRPELWGKYTYVLNRLQGIIDLGFFKPHSPMIPCFCLQIPVGQQPRSSMPISNGSLPPPAAKQNRGKCTTAAMLLEMIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVASQEGGPGSQKAPSSTALYAL >itb04g06920.t1 pep chromosome:ASM357664v1:4:4606243:4614514:1 gene:itb04g06920 transcript:itb04g06920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEALTGDHQTSTYQRFLSAVVPVLFITIGYVDPGKWAAVVEGGGRFGFDLTMVLLLFNLGAILCQYLSARIAVVTGRDLAQICSEEYDKITCIFLGLQAEISIVALELTMVLGTAHGLNVIFGIDLFSCVFLTATNAVLFPLISSLVDNGRAKLLCIGWTSFILLAYVLGVLISQPENPFTIGGMLTKLNGESAFALMSLLGASIMPHNFYLHSSIVQQDQVSKRISKGALCQDHFFAILSVFSGIFLVNYVLLNLAASAFYSTGLVLLTFHEALSLLDQVFGSSMTPFVILLVLLSSNQITALTWDLGKQVVVHDLFGMDLPGWLHHVTVRIIAIVPALYCVWNSGAEGLYQLLIYTQVGVALVLPSAVIPLFRVASSRSIMGIHKISHILELLALGTFFAMLGLKIIFVTEMVFGNSDWVNNLKWNTGSSPYAILLIAASSSLCLMLWLAATPLKSASSRFDPQALHWDIHPPMPEPSLVGDEPDANESISNLGIPMHSQEPALQFDKSFGCRLDLPTPELDSNLPESLLNFERGPQLTTIDENKSEITFASNSTCHPQVTTPTEDADPVSKAHDKVSIGEPTDAETLPAEPFDVVEKTLQIEGDIQNDKDDDRDSWEPEEEATKEISTNNQSLTSDSPGSFKSISGKTDDVGSGTGSLSRLAGLGRAARRQLTTILDEFWGQLFDFHGQATSEAKSNKLDALLGVDSKIDPKPPSGSLKLDSIRKDVNAYLTMGAPGSDSMINSDIYSPRRIGQTGRESPYVGQEPSSWSGQMRMLDAYRQSSNHNSVEIGEKRYSSMRFPASSASFDQQPATIHGYEFASYLNRITKERCGDYVNGQMESPIPKSTAPITSNYVEPYAGGAYRPKPQTISSTRAPPGFANVSVSRNNSLQSGQNLNDLYSTGNGQSAATTKKFYSLPDISGLYVPHRNSSLSQRTAQLDNSMGYGPSVGHTLYAPAHSRASQMAYRPSGFDQLSPSKVCRDAFSLKLNPNPGTGGSLWSTQPFEQFGVDKSVSVGTDSFGAMQSSSTQETLEAKLLQSFRSCILKLLKLEGSDWLFKLDDGADEDLISRVAARERFLYDAETREVNRFSNIVESQSNMKPGSAAKSAEADFTKFLVMSVPHCGEGCVWRVDLIVSFGIWCIHRILELSLMESRPELWGKYTYVLNRLQGIIDLGFFKPHSPMIPCFCLQIPVGQQPRSSMPISNGSLPPPAAKQNRGKCTTAAMLLEMIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVASQEGGPGSQKAPSSTALYAL >itb04g29090.t1 pep chromosome:ASM357664v1:4:32587024:32588070:-1 gene:itb04g29090 transcript:itb04g29090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCNGCGMYADVEKPTTVSSLIQGVAPVKNFEGGAEKATEGGHACKCGENCKCDPCNC >itb04g29090.t2 pep chromosome:ASM357664v1:4:32587024:32588070:-1 gene:itb04g29090 transcript:itb04g29090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCNGCGMYADVEKPTTVSSLIQGVAPVKNSFEGGAEKATEGGHACKCGENCKCDPCNC >itb09g24020.t1 pep chromosome:ASM357664v1:9:23670665:23672428:1 gene:itb09g24020 transcript:itb09g24020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSRYLQLRGSVEDGEMEKGKSEKRRPKEPWKGEFVRSIVFAGLDAIVTSFSLISSISAGRLSSGDVLVLGFANLVADGISMGFGDFVSTSTERDVVAKEKTVAEWDVMHTPIPLQQELLQHYQSLGMELNDATTVVTIFAKYMDILVAEKMAAEKGLSSPLEKGKKPKPWKNGLITFAAFVVFGCAPILAFIVLIPFTHNVTHKFMAACAFSAFALALLGIAKAKIASQSYTVSVAITLSNGAIAGASAYAIGWTLRNLAGLED >itb03g08910.t1 pep chromosome:ASM357664v1:3:6758706:6763088:1 gene:itb03g08910 transcript:itb03g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLILFVILHLHFRHCAVAGKGWRIPEYRALLAVKAAFADESQSALASWNVSTSHCTWLGVTCDSSRRVTALDISGLNLSGVLSPDVGHLRFLRNLSAAANQLSGPIPPGISVISTLRYLNLSNNIFNETFPPQLARLRNLQVLDLYNNNMTGDLPVEVYQLQNLRHLHLGGNYFGGAIPREYGRFQNLEYLAVSGNELVGVIPPEIGNLTKLQQLYIGYYNSFSGGIPPEMGNLSHLIRLDAANCGLDGEIPPEIGKLQNLDTLFLQVNGLTGSLTAELGFLKSLKSMDLSNNMFSGEIPSTFAELKNLTLLNLFRNKLHGSIPDFIGDLPQLEVLQLWENNFTGSIPQELGKNGKLQLLDLSSNKLTGNLPPNLCTGNNLQTLITLGNFLFGPIPESLGQCRSLNRIRMGENYLNGSIPKGLLSLPELSQVELQDNLLTGTFPETHSVSSSLGQISLSNNHLAGPLPPSIGNFAGVQKLLLDGNKFSGHIPAEIGKLQQLSKMDLSHNDLSGAIAPEISQCKLLTFVDLSRNQLSGEIPTEITGMRILNYLNLSRNHLVGSIPAPIASMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGIVDGSHQPRAKGGFSSSLKLLLVIGLLVCSIVFAVAAIIKARSLKKASEARAWKLTAFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGAMPNGEQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNYEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELISGKKPVGEFGDGVDIVQWVRKITDGKKEAVLKILDPRLSTVPLHEAMHVFYVAMLCVEEQAVERPKMREVVQMLTELPKPPNNKQDDSTVTESPPPSASVVESPSTTSRDLKDQMQSPPPDLLSI >itb05g01560.t2 pep chromosome:ASM357664v1:5:1273808:1279207:-1 gene:itb05g01560 transcript:itb05g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKAFKGTKQNDPLVH >itb05g01560.t1 pep chromosome:ASM357664v1:5:1273808:1279207:-1 gene:itb05g01560 transcript:itb05g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKATRSFFSLSAFKGTKQNDPLVH >itb05g01560.t7 pep chromosome:ASM357664v1:5:1273960:1278422:-1 gene:itb05g01560 transcript:itb05g01560.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKAFKGTKQNDPLVH >itb05g01560.t10 pep chromosome:ASM357664v1:5:1273960:1278422:-1 gene:itb05g01560 transcript:itb05g01560.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMQRLMILTSHPMLPSMSENSLALITNTLLESSVIFMMNRNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKATRSFFSLSAFKGTKQNDPLVH >itb05g01560.t5 pep chromosome:ASM357664v1:5:1273824:1278670:-1 gene:itb05g01560 transcript:itb05g01560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKATRSFFSLSAFKGTKQNDPLVH >itb05g01560.t8 pep chromosome:ASM357664v1:5:1273973:1277911:-1 gene:itb05g01560 transcript:itb05g01560.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHVGRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKATRSFFSLSAFKGTKQNDPLVH >itb05g01560.t3 pep chromosome:ASM357664v1:5:1273808:1279207:-1 gene:itb05g01560 transcript:itb05g01560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKATRSFFSLSAFKGTKQNDPLVH >itb05g01560.t4 pep chromosome:ASM357664v1:5:1273808:1279207:-1 gene:itb05g01560 transcript:itb05g01560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKAFKGTKQNDPLVH >itb05g01560.t9 pep chromosome:ASM357664v1:5:1273960:1278422:-1 gene:itb05g01560 transcript:itb05g01560.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMQRLMILTSHPMLPSMSENSLALITNTLLESSVIFMMNRNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKAFKGTKQNDPLVH >itb05g01560.t6 pep chromosome:ASM357664v1:5:1273960:1278422:-1 gene:itb05g01560 transcript:itb05g01560.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIEFRVFPSQNRYEACICYHNKIETVASGVLEPLAMQSPKIKALCSRGSDETFKLQPPEKLNDAKWFTRSALMRFVHIVSSSEVLDKVKAMENEISQLEESLKFHASIYAKADDTYVAPDASKNELLRAMELRRTTLRGDLESAWYQAAGSSEEISDILKFCHHFGAVDLSNSLQKFLGLSQEDMPANVAEVKDSVPETCPTQSETPVIYGASPAKAAQIERQSSSEGEESSCISEEDQPSVERSRPLTRSASPRRSASPMRRIQIGRSGTRRSTALTIKSLSYFPAREKLVCQEKSDEEESEQTSRTSENNVRRMSVQDRISLFEGKQKTQTDDTQKMKSVLNAAPVMAANKAVLRRWSAGMGDSSSPASDNPHSMESGQEIPSASEAILGPDSCTSGLPPEAAEIGEKPDVCEERVPSHEGMEEDDLPVQREEGSEMEAASAERTCQKDEEVSPPQIAMTNAQPAESEGLNEQTVRPSNHRYREKRNEKLQGETDRKRVERKNPRSNLDEGIARKTSGNSSTVKKTQRTLKNSLQSANPKDETSKPGVVKKASSAKASPLPATRKSWPSTPSPRAIGASQVKTPSPRAITGVIPATRRSKPTPSVPQKFERSQQPETTSVKASPSDTRKSVKNSIRKQQQEVIGASKLTKTKVKANEVSKKSSMAPLELKEPAKKPRPFLHKESRTGPGISPGAKSKISPPVDPLRDSVDSGRAKENEMASVVYEQVHQNEDRGLTEVKVHADLETETQLNIPQKYEEAGSSNPVTSNNEVDFQSKHMSAVKDDSEDEPNISPGAWTKIEEHEDEQIPSNTTATHSKPLATEPESAAIPQVHPSFSQPFQDESSDSGDVAWGNADNPPTMVYQKDAPKGLKKLLKFARKSKTDANTTRLSSQSVISKRGDVIEETIALSKKDGDNLLKKATSHTKNYGNKIALSSESRTKDPTTHGVSAQAGKGKLVSQSKSQKLPEGAKATRSFFSLSAFKGTKQNDPLVH >itb08g01910.t2 pep chromosome:ASM357664v1:8:1537304:1542158:1 gene:itb08g01910 transcript:itb08g01910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFTDSSPESTGMGNGEGDFKEVMDELGSDSLPAVRSSSRYSSWSGWSFGSELGHTEPKLYGGLDPQRSEPDWTDSWSAGYDSKHELPFSAYGISGLDRKSLSLASVSKWEAPPTISSWSDIKEQVEMGFETKFFPSEAERKQEEEEPVAVEDNIFANSIWEAPPTYSDWSYVKEQVEMGLETESFIPGEAERKQEEEEPVAVEDNIFASSFFWDDNSLLVGGGLANLGNTCFLNAVLQCFMHIVPLIQSIQACDHPSPCEACNEGFCVLCALKELINISLASKGSTICPKKIVNNLSYFSSTFQRFQQEDAHEFLQCFLERLESCCNYPKLNGQVSSKHENVVKQTFGGHLISKLQCCNCGHRSDTYEPLVDLSLEIEDVDSLSLALDSFTKVERIEDPETKFTCEKCKVQVSIEKQLLLDEAPLVATFHLKRFKNDGSLVEKIDKHVAFPLELDLLPYVERNEKVIEESKYNLFAVVVHIGVSLSSGHYYCFVHASPSEWYKFDDSKVTLVQEEFVMSQEAYILFYVKQGTPWFSEIIETQHLILDASISSSSPHPQSVLYSVDQIFNLSAKTETDRPCDVNEIGNAADEASSNLDGPKDNGIDRSDGKENSNVASALIHEGGMNNSSDICSAEAEKTPPPSVLKEKNCKQEFRIGKRYADLSTETPSISPSLEIYSEDTADNAYSPPRALLRPADEASCKNQLQNHPEGEKLVKKKIPSARGSASKGSHNESCMKKRRRRTEASPTRERSSSTRRSPRLVGERSSSTSRSSRLRPLTATSFR >itb08g01910.t1 pep chromosome:ASM357664v1:8:1537304:1542158:1 gene:itb08g01910 transcript:itb08g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFTDSSPESTGMGNGEGDFKEVMDELGSDSLPAVRSSSRYSSWSGWSFGSELGHTEPKLYGGLDPQRSEPDWTDSWSAGYDSKHELPFSAYGISGLDRKSLSLASVSKWEAPPTISSWSDIKEQVEMGFETKFFPSEAERKQEEEEPVAVEDNIFANSIWEAPPTYSDWSYVKEQVEMGLETESFIPGEAERKQEEEEPVAVEDNIFASSFFWDDNSLLVGGGLANLGNTCFLNAVLQCFMHIVPLIQSIQACDHPSPCEACNEGFCVLCALKELINISLASKGSTICPKKIVNNLSYFSSTFQRFQQEDAHEFLQCFLERLESCCNYPKLNGQVSSKHENVVKQTFGGHLISKLQCCNCGHRSDTYEPLVDLSLEIEDVDSLSLALDSFTKVERIEDPETKFTCEKCKVQVSIEKQLLLDEAPLVATFHLKRFKNDGSLVEKIDKHVAFPLELDLLPYVERNEKVIEESKYNLFAVVVHIGVSLSSGHYYCFVHASPSEWYKFDDSKVTLVQEEFVMSQEAYILFYVKQGTPWFSEIIETQHLILDASISSSSPHPQSVLYSVDQIFNLSAKTETDRPCDVNEIGNAADEASSNLDGPKDNGIDRSDGKENSNVASALIHEGGMNNSSDICSAEAEKTPPPSVLKEKNCKQEFRIGKRYADLSTETPSISPSLEIYSEDTADNAYSPPRALLRPADEASCKNQLQNHPEGEKLVKKKIPSARGSASKGSHNESCMKKRRRRTEASPTRERSSSTRRSPRLVGERSSSTSRSSRLRPLTATSFR >itb08g00350.t1 pep chromosome:ASM357664v1:8:312788:320900:1 gene:itb08g00350 transcript:itb08g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKSPEPLPPGWIEHVQVKNGRTTKYYTNGENGKKFYSRKAVINLVKAGDVNHGQNQGINNGETQSQGIGNGDGQNQGTNNGDVPNQGTPKPENRRSKRSTTSPSPSSKLASKTDQSSDWLPPGWTVELKTRSTGLNNGSTYKCYVSPSGRKLYSKTQVSQFLNSAANINTPISNENKNNIEESSSNLEVPQNQTPKENQTRASRKRKSGMDNQTPKTVSPAVAAQDISADGLPAGWIKELRERTCPSGIIRKDPYYIDPVSGYEFRSKRDVMRYLETGDIKKCVIKPKKRDESTPKDIHHNIEESSSKLEVSQNHKSVEDNSHISKRRKSVVDKSPAKIVSPVAVQNDSMGELPPGWIKEYKTRRLAHGIRKDPYYTDPVSGYVFRSKKDAFRYIETGDISKCAMKPTKRELGATSTDISYNTGKPSPKLKVSKNKKSMKVKRHVAKKQKSGIGKRPAKVVSNAEVQTGSVDGLPEGWSRELRPRRCGTKSDPYYIDPVSGFEFRSKRDALRYLETGDIAKCIMKPTKREPGSAFNDKPLNIEESASKLEEPQNNTSGEDLSNISKKRQSHPTKIAVQNSVDGLPAGWIKEIRTRTHASGGMREDPFYIDPVSGYVFRSKKDALRYLETGDIGKCVMKPSKRVPGSTVNDISTPDTDTKKEESGSWTRRQLFAGEELKGKEGADPTDAAPTQAEGSNKRRRSITQDFLNASPEAEVNTVKTPRRRASKGSRAKPTPNALNGDASAPQSMTKTRQPRKTKAPSASVPGRTSKRLAQNKQEVETNLGLNDEGALQAGIVNSSGIEVNTSISPPSSDPAPKVVANTGFVEQAFQSAIPNSGGIDINTSWGPNDPVQKVVADLGLGEEILQGSVMNTSGIDVNTSLAPTPSNPAPKVPQYFDANKPTTEVYHDCLGDEPLFPAENRGIPGKQVVGPHDDDVDMNLPLPVPNPPQPQYRSGECWSDPCLDFALKTLTGGMSAENPQDHPRGAPHQQQHQQQQQQFDPPYTQGDRCFKLPVFDSSRLHPNDPSPHSGSLDKQVSAGSQSPMNSPLLPPGFGIPTYSSIGSQQSGLDARKDYHPPNL >itb04g09730.t1 pep chromosome:ASM357664v1:4:8991708:9000369:-1 gene:itb04g09730 transcript:itb04g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSSLWPENSRVSPSSSVPIPSPRNLAPQPQPGAPPPQNPNHKNSCGNVFQLLARREVSPQSKRSSKRFWRQETTCGGLKGGVARDAKRGLISWVEAESLRHLSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIECQTGKCLKVLSGHRRTPWVVRFHPLHPDILASGSLDHEVRLWDAKTAECIGSRDFYRPIASIAFHAQGEILAVASGHKLYIWHYNRRGESSSPAIILKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTLATSPGNLRYPPPTVYLTDAHSTYQSASANELPIMSIPFMIWPSIGRDSRMPSQQSNADSGSDNVQQRGDTSSSVRLLTYSTPSGQYELLLSPIEPSNPPAQGAQAGSSMREHDNTLSHPLVDAMETDLQQEERNNQFFPFSDPAYWDLPFLQGWLVGQSQAGQRAMHSQNGGNNLPIYDELDNASTVPPAASNIVQPRVSGRPGSRHRSSRSRVIPTTGSGDGAASYNIVHDDMDTQPSMSHIQSEIATSLAAAAAAELPCTVKLRIWPHDLKAPCAPLDAERCRLIIPHAVLCSEMGAHFSPCGRFLAACVACVLPNMDIDPGFHGHIHHDTMGAGTSPTRHPISAHRIMYELRIYSLEESTFGSVLASRAIRAAHCLTSIQFSPSSEHLLLAYGRRHSSLLKSVVIDGDTTVPIYTILEVYRVSDMELVRVLPSSEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDNSNGLDRTLNSFPDENMLELPTYALEG >itb04g09730.t2 pep chromosome:ASM357664v1:4:8991729:9000369:-1 gene:itb04g09730 transcript:itb04g09730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSSLWPENSRVSPSSSVPIPSPRNLAPQPQPGAPPPQNPNHKNSCGNVFQLLARREVSPQSKRSSKRFWRQETTCGGLKGGVARDAKRGLISWVEAESLRHLSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIECQTGKCLKVLSGHRRTPWVVRFHPLHPDILASGSLDHEVRLWDAKTAECIGSRDFYRPIASIAFHAQGEILAVASGHKLYIWHYNRRGESSSPAIILKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTLATSPGNLRYPPPTVYLTDAHSTYQSASANELPIMSIPFMIWPSIGRDSRMPSQQSNADSGSDNVQQRGDTSSSVRLLTYSTPSGQYELLLSPIEPSNPPAQGAQAGSSMREHDNTLSHPLVDAMETDLQQEERNNQFFPFSDPAYWDLPFLQGWLVGQSQAGQRAMHSQNGGNNLPIYDELDNASTVPPAASNIVQPRVSGRPGSRHRSSRSRVIPTTGSGDGAASYNIVHDDMDTQPSMSHIQSEIATSLAAAAAAELPCTVKLRIWPHDLKAPCAPLDAERCRLIIPHAVLCSEMGAHFSPCGRFLAACVACVLPNMDIDPGFHGHIHHDTMGAGTSPTRHPISAHRIMYELRIYSLEESTFGSVLASRAIRAAHCLTSIQFSPSSEHLLLAYGRRHSSLLKSVVIDGDTTVPIYTILEVYRVSDMELVRVLPSSEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDNSNGLDRTLNSFPDENMLELPTYALEG >itb03g08920.t1 pep chromosome:ASM357664v1:3:6781798:6782170:1 gene:itb03g08920 transcript:itb03g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESEHSEFFIGKNGSKLQKKHSCIFMAATAAVAAVEEAKLSSISCRHSSNLIHVFQITPSGLQE >itb08g16930.t1 pep chromosome:ASM357664v1:8:18921361:18924663:-1 gene:itb08g16930 transcript:itb08g16930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPHKAGGVADFIKNNKISVCALLETKLSLERARRFSKNRLDDWNFTTNFHETSGGRILVCWDNRYVDCIVLDTNPQCIHCSVTCKFSQKRIICTFVYGLLTVPARRPLWDKLIEYGSTISEPWLISGDFNTVLSDLERRGGATPTRYELWDFEYCCMNLGITDAVSTGKEFTWSNGHKEAKLDRCMVNDCWIQNNIACQVEFRDMDSMSDHTPVFIQIFEQQRAGKRPFKFMNMWQKHPKFKSVVEEVWADPVRGKKQFILANYLKALKSPLKALNKLEFSHISERVKRANEEFSAAHEAMDVMNSDLNEREMVRKLREKALFLMEAERQFFSQKLNTKHLIESDRGSSYFHGLINKRNSAKVITSILDSNGEPTTSLEQVGRLLVEYYTEMLGKAKEREKTQDILSRIRDILIEKSGGVPECIRVLSKCDEPAGFSSAEVYELIRPRAQKGADFKFIWKGFIPPKFSVTSWMCLKGRLPTKDRLKKFLEMDETCSFCGKEQENSNHLFFSCDFSKQVWEEVRAKLGITRKTCSLKGAIKWVYRDTRGSRVHSKIGPVAILCTVYHIWRTRNALLYDGIQAEVPKTIIIILQQVFKIAFKLAPRCIQLYE >itb01g09140.t1 pep chromosome:ASM357664v1:1:7353453:7353809:1 gene:itb01g09140 transcript:itb01g09140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFITLSLLLQETNVVLGDIGTATSYNPPYTPTRCNGNRQDQFPAGNLFVAVSEGLWDNGAACGRRYRLSCLSGNNRPCKGDTISTLR >itb03g21360.t1 pep chromosome:ASM357664v1:3:19237416:19241013:1 gene:itb03g21360 transcript:itb03g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIIRLISVGNLEGAVSLLLSTPPESSYFYANALRAVALSSAVSTSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRFQEACSQLQDAGCWTDAATLAASHLKGSDYARVLQRWAENVLHSEHNIWRALILYVAAGALQEALAALRESQPDTAAMFILACREIHGEFLASPELDDESRSSVEDKLMNLGVLNPENEDVIAVVDYYGQYQRKLVHLCMDTNPFYD >itb13g01170.t1 pep chromosome:ASM357664v1:13:1126720:1130311:1 gene:itb13g01170 transcript:itb13g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVPMGILLQPLQITTTILFVFLAVFRLIHPVLALDPFTESLLSLKSEVIDGSNTLSDWVLPPGVNSSAQSSQIHACSWAGVRCNENSSMVVGLDLSMKNLGGVLSGRQFGVFVDLLDLNLSYNSFSEKLPSGIFNLSSLRSLDMSRNNFSGEFPKGISNLGSLVILDAFSNSFSGPLPSDVSKLQSLKTLNFAGSYFSGPIPSEYGSLENLEFIHLAGNLLSGKLPLELGKLRAVTHMEIGYNSYEGTIPWQFGNMSELQYLDIAGANLSGPIPRELSNLTKLQSLFLFRNLLDGWIPHEFSRISSLTSLDLSDNLLSGPIPESFSELKNLRLLSLMYNELSGTVPQGLAKLPALETLLIWNNYFNGSLPEDLGRHSNLKYLDVSTNNFVGAIPPGICSGGMLSRLILFSNSFTGGLNPSISSCPSLIRLRLEDNTFSGEISLNFSNLPDITYVDLSMNQFIGGIPNDLAQASKLQYFNVSGNPELGGIIPEKTWSMLSLQNFSASNCRILGNVPSFQNCKSVSVIELSVNNLAGFIPDSVSNCKGLVKMDLAFNNLTGQIPAELASLPVIDVLDLSHNGFNGPIPSEFGSSSSLKLLNVSFNDLSGSIPKEKKFWAMDSSAFTGNPKLCGAPIRACHHGPGGLELGSRRTQKLAWVLITCGVIVLFITGAIFGVLHFRRGGKGQWKMVSFSGIPQFTANDVLRSFDSNDAMEMVPPMPGSVCKVVLPTGITVSVKRINWRPERVTIMSEFINRLGNARHKNLTRLLGFCYNKHMAYLLYDYLPNGNLSEKIRVKRDWATKYKIVTGIARGLCFLHHNCYPAIPHGDLKASNIVFDENMEPHLIDFGIKSLTQLDNSSSPTRARRETGELEIWTKEEVYMDIYNFGKLVMEILTNGRAEGVEAVNMQTKPKDALLREILNENDIPPSNAIRDEVKVVLEVGLLCTRSRALDRPSMEDAVKLLSGLKVEGK >itb05g06250.t1 pep chromosome:ASM357664v1:5:6508188:6509142:1 gene:itb05g06250 transcript:itb05g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKLRNSCSFPNLLLSFLNFILFILSSASVIPIILLRNPPTSLGFAFLLVSAISLLSSFIAFYSHLTRFCFITHVSLLLASSLAQILAVLALFTKEKPSLAMLKSPRDAREAKLLIRLECGAMMAMLVLQLVVSAATCLVHSCWVRDFEDLEAEREAWARKRSRRIAQVQEEAMANAVKIAEVKGRDFDEKMVKGKYVQWVKTDFEG >itb01g13060.t1 pep chromosome:ASM357664v1:1:12863404:12866646:1 gene:itb01g13060 transcript:itb01g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKIGGDLEEDDREDTIASNWKLFEYRRVGQCCPLEDLERVGSSRPLLSLLIDGEDEKQQSSSGPGFFVFSSGVDSNSSVYGGQQVSRR >itb05g25230.t1 pep chromosome:ASM357664v1:5:29736446:29740295:1 gene:itb05g25230 transcript:itb05g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIARGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVEEYNHMLCQATEPLSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIASLAVAQNMRDLYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYIFCLKLGGATAEVMSGLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAVCEDIDQIRAVMEKYPPYQSIFAKLSYGESQMLDKAFYEEEAKRLCLAFEQQFHYGIFFAYVRLREQEIRNLMWISECVAQNQKSRVLDSVVFIF >itb11g06610.t3 pep chromosome:ASM357664v1:11:3982936:3989573:1 gene:itb11g06610 transcript:itb11g06610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGEQQSMVYCQSDAACLCFSCDCRVHSANALSQRHSRTLVCESCNSQPAFARCIEESVSLCQNCDWETHASSSTSSAHTRQPVSHYLGCPSAAELPSLWSFLLGIPAIGDSTCEGGMSLMSLTNNDPRDSQGSEGKSAQDVSTVVEGSDSRSVDKSTICTESSKATPLNKLENVELLTESTNSTSKVCYSGTKGQTLYEDEPTYGDINMDELDLSFENYEQFTASLDNSNKLFKDEGIDDFFGTNNVPGADNDSQDANDVEGSSINWINTIQAELSNAAFADSTTSKTESINCFARQDKYGLSLSNLSGESAGLQHSGLSSMFLMGESPWGTSGPENLLPSSSRSDAILRYKEKKKIRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPKCQTRTY >itb11g06610.t1 pep chromosome:ASM357664v1:11:3982348:3989577:1 gene:itb11g06610 transcript:itb11g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGEQQSMVYCQSDAACLCFSCDCRVHSANALSQRHSRTLVCESCNSQPAFARCIEESVSLCQNCDWETHASSSTSSAHTRQPVSHYLGCPSAAELPSLWSFLLGIPAIGDSTCEGGMSLMSLTNNDPRDSQGSEGKSAQDVSTVVEGSDSRSVDKSTICTESSKATPLNKLENVELLTESTNSTSKVCYSGTKGQTLYEDEPTYGDINMDELDLSFENYEQFTASLDNSNKLFKDEGIDDFFGTNNVPGADNDSQDANDVEGSSINWINTIQAELSNAAFADSTTSKTESINCFARQDKYGLSLSNLSGESAGLQHSGLSSMFLMGESPWGTSGPENLLPSSSRSDAILRYKEKKKIRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPKCQTRTY >itb11g06610.t2 pep chromosome:ASM357664v1:11:3982936:3989573:1 gene:itb11g06610 transcript:itb11g06610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLCDFCGEQQSMVYCQSDAACLCFSCDCRVHSANALSQRHSRTLVCESCNSQPAFARCIEESVSLCQNCDWETHASSSTSSAHTRQPVSHYLGCPSAAELPSLWSFLLGIPAIGDSTCEGGMSLMSLTNNDPRDSQGSEGKSAQDVSTVVEGSDSRSVDKSTICTESSKATPLNKLENVELLTESTNSTSKVCYSGTKGQTLYEDEPTYGDINMDELDLSFENYEQFTASLDNSNKLFKDEGIDDFFGTNNVPGADNDSQDANDVEGSSINWINTIQAELSNAAFADSTTSKTESINCFARQDKYGLSLSNLSGESAGLQHSGLSSMFLMGESPWGTSGPENLLPSSSRSDAILRYKEKKKIRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPKCQTRTY >itb03g14320.t2 pep chromosome:ASM357664v1:3:14087258:14095940:-1 gene:itb03g14320 transcript:itb03g14320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLTPRGTSYSRAADQMCRHDTHGHLSAEEETAAEESLSIYCKPVELYNILQRRAAKNPIFIQRCLRYKLQAKHKKRIQMAISLPATKNDGSQIQSLFPLCILLARQVQIPVASKDPEVYSFKRASIISSCTGVDRVNQAYAKFILPEMNKLSAVEASSLGILFISCAELAKDNMDMSYFPSNVEGHCLFGRLSMETVHLSLEGSPNLSLGERTEMWRNVDLCTRFMKLSYLDKHGYISFQFPQQFGAVDTSLAIGVYVAAEEVGAQERTPYDSYSYNDIPNTSLQHIMRLRTGNVVFNYRYYNNKCQRTEVTEDFTCPFCLVKCASFKGLRYHLSTCHDLFNFEFWVTEDYQAVNVSVKTDAWRSEIIADGVDPKQQTFFLCSRPCRRRKSQSVVQNAKHVHPLVFDSDFPTTINELQVKNDAGIMDCDASSPNATGVSSATGHSYPDPDCMQSLPGSSLAPPAMLQFAKTRKLSVERSDPRNRTLLQKRQFFHSHRAQPMAMEQVLSDRDSEDEVDDDVADLEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSKMHGQDFCRTPAMLWCWRLFMIKLWNHGLLDARTMNNCNVILEQFQNQEADRTRS >itb03g14320.t1 pep chromosome:ASM357664v1:3:14087258:14095940:-1 gene:itb03g14320 transcript:itb03g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLTPRGTSYSRAADQMCRHDTHGHLSAEEETAAEESLSIYCKPVELYNILQRRAAKNPIFIQRCLRYKLQAKHKKRIQMAISLPATKNDGSQIQSLFPLCILLARQVQIPVASKDPEVYSFKRASIISSCTGVDRVNQAYAKFILPEMNKLSAVEASSLGILFISCAELAKDNMDMSYFPSNVEGHCLFGRLSMETVHLSLEGSPNLSLGERTEMWRNVDLCTRFMKLSYLDKHGYISFQFPQQFGAVDTSLAIGVYVAAEEVGAQERTPYDSYSYNDIPNTSLQHIMRLRTGNVVFNYRYYNNKCQRTEVTEDFTCPFCLVKCASFKGLRYHLSTCHDLFNFEFWVTEDYQAVNVSVKTDAWRSEIIADGVDPKQQTFFLCSRPCRRRKSQSVVQNAKHVHPLVFDSDFPTTINELQVKNDGIMDCDASSPNATGVSSATGHSYPDPDCMQSLPGSSLAPPAMLQFAKTRKLSVERSDPRNRTLLQKRQFFHSHRAQPMAMEQVLSDRDSEDEVDDDVADLEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSKMHGQDFCRTPAMLWCWRLFMIKLWNHGLLDARTMNNCNVILEQFQNQEADRTRS >itb05g11350.t1 pep chromosome:ASM357664v1:5:17377640:17379774:1 gene:itb05g11350 transcript:itb05g11350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLDGNRKAKGKMEKEYMNMETTNSGTEKTEKNKGSGKIFKRNKEKSTEKSMKPKRKCRTCNQLSHHNSRNYPEACHPIAFGKPTVEKLSYHR >itb06g02280.t1 pep chromosome:ASM357664v1:6:4030055:4032068:1 gene:itb06g02280 transcript:itb06g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKCEAERRGVALSRKAGSGVCEATATQRVRAARKARKPTATAERRGLALSRKAGSDWRAFSDGDGESQICEPARVRRSRRPQPTAQRGLRGAKEESRPMSEETSTNRRGFRLVAARQRSTSEEAAVV >itb01g07790.t1 pep chromosome:ASM357664v1:1:6164937:6165215:-1 gene:itb01g07790 transcript:itb01g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELSENNMMGEIPQSLAELKSLALINLISNNFQRTIPAFIGDLPKLEVLQLWNNKFTSELPVNLGRNGRLMILDVSSNQISGKICVWEGS >itb12g03520.t1 pep chromosome:ASM357664v1:12:2319358:2321141:-1 gene:itb12g03520 transcript:itb12g03520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCSSGCHGNMVGTCSCGLFHRHGQNSDGSSTAFSMFFSSAPINHRPGVNGYDYEDAQNMYSFASSSSVDCTLSLGTPSTRLTTENEKRGADNHHRKSGFCWDIFQSNKQPPSSTAGNKSGRGGAAAPDVARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRASAAAATANGGAPVMDSQFINNSWVNHHHYSQTPQKLSCYPSPYGNEFRFIEEDDRDSDSGAAGISFLSWRLNVADRPSLVHDFTR >itb04g29600.t1 pep chromosome:ASM357664v1:4:32924069:32925143:-1 gene:itb04g29600 transcript:itb04g29600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVCISNCVKDARVPVRATYVNLYKWPESDAEFIRSMSAGAGTGVPHPRVVDSISCRQLYLRSYTFSREESKKEETVKCYGRGRDGVGEKGTRRRRKSRGRESGSDDGDRRRRKKCAGLGRVKEVSSAVVVSVFRRLLSCTTKVDVVG >itb12g20740.t1 pep chromosome:ASM357664v1:12:23219911:23224532:1 gene:itb12g20740 transcript:itb12g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVSLSLLIFLYILSLCMSNFGKLKPWNSSSLPYEILSLDIAGRFRVDSWATTAASTDFGGIVQQTPAAVLYPSSIDDVINLVLFSYNFPSPFAVAARGHGHSVRGQATAKDGVVVEMGTLRGGQIRVSWSESLGYFADVGGHELWVDVLHACLAHGVTPASWTDYLHLTVGGTLSNAGISGQMFRCGPQITNVLELDVITGKGEFITCSKDMNSELFFAVLGGLGQFGIITRARIALEKAPTRVKWVRMLYDDFSKFTRDQEHLISVHGLNYLEGSLMMNHTSPNNWRSSFFSLSDQSKIASLLTQHGLLYCLEVVKHYDDQTGITVDQELKDLLKDLSFVPGMKFKKDVSYFEFLNRVRSEELEPQENGLWEATHPWLNLFVPKSRILDFNSAVFENILLKHKTSGPMLVYPTTRKMYPSNLHYSSLKLGKILTRKKIENIDWADGNVLT >itb03g11360.t1 pep chromosome:ASM357664v1:3:9449043:9462412:1 gene:itb03g11360 transcript:itb03g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLAPSSKGGGGGAGEGFDVTKSGDSRVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYRGAKLQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRRKDKGGINLTSTATNTNLDLETVKAICSEYRIHNADIHLRYDATADDLIDVIEGSRVYIPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKIWEYLNLTRIYTKPKGMNPDYDDPVILSSKKRTVEDFCFRIHKDMVKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >itb15g15810.t1 pep chromosome:ASM357664v1:15:14903902:14909612:-1 gene:itb15g15810 transcript:itb15g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSGYYEPLLKERDEFNGNKKTPLWVSSAKLALKVVMCVIFILWAAFIFLRPAEFMNNLSNTLTNATKGTVFGITGSIFLWYSAPILIIAFLAIPHLILSGEDEVQVKKAGKGASFRLWTFPVLVDGPFGVVTAAEMIGIVLFAVFTIWAVVMYTIQNNKILAEFDVALKEKCIFLLELTGLRFGFIGLICLAFLFLPVARGSILLRLIDIPFEHATRYHVWLGHLTMALFTLHGLFYVIGWAMQGRLVEELLAWKHIGIANLPGVISLAAGLVMWATSMPGVRRINFELFFYTHQLYIVFVVFLALHVGDFVFSISAAGIFLFMLDRFLRFCQSRRIVDVLSAKCFPCGTVELVLSKPENLRYNALGWVFLQVRELSWLQWHPFSVSSSPLDGKNHLAILIKVLGGWTEKLKEQISSLSNENDQSETQTLLKHYQKITASVEGPYGHESAYHLTYENLILVAGGIGISPFLAILSDILHRINDGLPSLPINILLVWAIKNSDELPLLQTVDMEGICPLFSDKLNLEIKTYITRETEPPLEEGIASKPVTSSCVFPSLTGRTMSGLVGTGHVVWSGLYLVASTIGMAICVALLNVLYINPFSVTYWWYKGLLFMGCMAAGIVIFGGLVICLWQLWERKTTSPKEDFNGADYFGIKQQREPRMDKISGQEQYVSTVRYGQRPDFGEIFGSMSERWGNVDIGVILCGPPALQTSVAKECRTQNLKRRSNQSIFHFNSHSFDL >itb12g25580.t2 pep chromosome:ASM357664v1:12:26787085:26793323:1 gene:itb12g25580 transcript:itb12g25580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t1 pep chromosome:ASM357664v1:12:26787085:26793323:1 gene:itb12g25580 transcript:itb12g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t10 pep chromosome:ASM357664v1:12:26788531:26793323:1 gene:itb12g25580 transcript:itb12g25580.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSRPYSSMTTQPQMVAPPMVQPPRSMFNTPPLSLALKPKMEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t8 pep chromosome:ASM357664v1:12:26788531:26793323:1 gene:itb12g25580 transcript:itb12g25580.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKMEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t5 pep chromosome:ASM357664v1:12:26788565:26793323:1 gene:itb12g25580 transcript:itb12g25580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPQMVAPPMVQPPRSMFNTPPLSLALKPKMEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t7 pep chromosome:ASM357664v1:12:26787088:26793318:1 gene:itb12g25580 transcript:itb12g25580.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t11 pep chromosome:ASM357664v1:12:26788531:26793323:1 gene:itb12g25580 transcript:itb12g25580.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKMEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t3 pep chromosome:ASM357664v1:12:26787085:26793323:1 gene:itb12g25580 transcript:itb12g25580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t6 pep chromosome:ASM357664v1:12:26788531:26793323:1 gene:itb12g25580 transcript:itb12g25580.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSRPYSSMTTQPQMVAPPMVQPPRSMFNTPPLSLALKPKMEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t4 pep chromosome:ASM357664v1:12:26787088:26793318:1 gene:itb12g25580 transcript:itb12g25580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb12g25580.t9 pep chromosome:ASM357664v1:12:26788531:26793323:1 gene:itb12g25580 transcript:itb12g25580.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKMEGLGELGMIGENFDPGSMGRAREDEYESRSGSENFEGASGDDQDNTPGDKSSKRKKYHRHTPYQIQELESAFKENPHPDEKSRLELGRRLNLESKQVKFWFQNRRTQMKTQLERHENAILKQENDKLRIENIAMKEAMSTPICNQCGGQAILGEVHVEEHHLRIENARLRDELNRICVLANKFLGKPFSSFPGAMAPPAMANSGLELAVGRNSFGGLNPVDSSLPLGLDFGNGISSGMPLMPSRATPNMSGVEATYDKSVFMELAFTAMNELLKLAEIGEPLWYRSLDGFAEALNLEEYDRTFHSCLGMRPANFITEATRASGTVIFNSMALVETLMDANRWAEMFTGLVGRASIIDVISSNSSGSRNGFLQLMHGELQILSPLVPLHHVKFLRFCKQHAEGVWAIVDVSVDMIREGTQPHEFPSCRILPSGCIVQDMPNGYSKVIWIQHMEYDDSTVHNFFRPLIRSGMGFGAQRWLATLQRQCECLAVIMSSSMPSTDNSGVSHAGRRSIASLAQRMTRSFCAVVCGNIYKWEPIQTGNGDDAARLNMRKSIGEPGEPPGVVLSATKTIWLPISRQHLFDFLRSEETRNQWDVLSNGTLQQMIHISKGQDTANQISLYRNTASASMNQNSMLILQESCNDISGSLIAYASVGAPEMTMVMNGGDSSCVALLPSGFSIVPDCFNNSGWPDDPKGTLPKEGGLGNNSTGALLTVGFQMLVSSLPGAKLTMESVETVNALISRMVMDIKAAFHCN >itb04g25270.t1 pep chromosome:ASM357664v1:4:29878201:29878719:-1 gene:itb04g25270 transcript:itb04g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNTTERGTVEGVSPAGRSAKESHFRGVRKRPWGRYAAEIRDPWKKTRRWLGTFDTAEEAARAYDEAARSLRGPKAKTNFDYLDALSCFSPVHAEPAASVVPDFISSATVPQWLPPPWFPSAAEDTPRRSEYTGYKLETVVGVVTSEREKQLRTEKKPLSFDLNSPPPLL >itb08g13280.t1 pep chromosome:ASM357664v1:8:14021299:14026004:1 gene:itb08g13280 transcript:itb08g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSEKPQPIDFYKAEASASAPADHHHHHHHHHQMMEVAAANAPLQPHHRQPLLLPGGGNGGDANSSGGEDNTAINPSPDLRAPKKRAETWVQEETLALISLRREIDSLFNTSKSNKHLWDRISMKMREKGFDRSPTMCTDKWRNLLKEFKKAKQNQERNGSAKMSYYKEIEEILNERNKNGSGGHKSPSAPKVDSFMHFSEKGLDDTNITFGPVEDSGRPTLNLERRLDHDGHPLAITAADAVTASGVSPWNWRGTPGNGDQGNVFEGRVISVRWGDYTKRFGIDGSPEAIKEAIKSTFGLRTKRAFWLEDEDNIVRALDRDMPLGNYTLHVDEGLTVKICLYEEAEDVAFPSEEKTFYSEDDFRDFLSRRGWTCLRDNYRNIDSMDELCPGAIYRGVR >itb08g13280.t3 pep chromosome:ASM357664v1:8:14021299:14025999:1 gene:itb08g13280 transcript:itb08g13280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSEKPQPIDFYKAEASASAPADHHHHHHHHHQMMEVAAANAPLQPHHRQPLLLPGGGNGGDANSSGGEDNTAINPSPDLRAPKKRAETWVQEETLALISLRREIDSLFNTSKSNKHLWDRISMKMREKGFDRSPTMCTDKWRNLLKEFKKAKQNQERNGSAKMSYYKEIEEILNERNKNGSGGHKSPSAPKVDSFMHFSEKGLDDTNITFGPVEDSGRPTLNLERRLDHDGHPLAITAADAVTASGVSPWNWRGTPGNGDQGNVFEGRVISVRWGDYTKRFGIDGSPEAIKEAIKSTFGLRTKRAFWLEDEDNIVRALDRDMPLGNYTLHVDEEDVAFPSEEKTFYSEDDFRDFLSRRGWTCLRDNYRNIDSMDELCPGAIYRGVR >itb08g13280.t2 pep chromosome:ASM357664v1:8:14021299:14026004:1 gene:itb08g13280 transcript:itb08g13280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSEKPQPIDFYKAEASASAPADHHHHHHHHHQMMEVAAANAPLQPHHRQPLLLPGGGNGGDANSSGGEDNTAINPSPDLRAPKKRAETWVQEETLALISLRREIDSLFNTSKSNKHLWDRISMKMREKGFDRSPTMCTDKWRNLLKEFKKAKQNQERNGSAKMSYYKEIEEILNERNKNGSGGHKSPSAPKVDSFMHFSEKGLDDTNITFGPVEDSGRPTLNLERRLDHDGHPLAITAADAVTASGVSPWNWRGTPGNGDQGNVFEGRVISVRWGDYTKRFGIDGSPEAIKEAIKSTFGLRTKRAFWLEDEDNIVRALDRDMPLGNYTLHVDEGNVVEFKYMDMLSMSTPAPAPSSQRLQTTRGVTPSLDGKRSTLVTTRSQI >itb13g07200.t2 pep chromosome:ASM357664v1:13:8921771:8925677:-1 gene:itb13g07200 transcript:itb13g07200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSFKQEHDLVKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDHIPV >itb13g07200.t1 pep chromosome:ASM357664v1:13:8921544:8925909:-1 gene:itb13g07200 transcript:itb13g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDYGINYIYLLRSSKKIQTHCSINLNLLVVPFLYLSFFPFPTVHYFQEGGMARSSFKQEHDLVKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYDEKKDEDGFLYVTYSGENTFGDHIPV >itb10g17870.t1 pep chromosome:ASM357664v1:10:23954610:23958669:-1 gene:itb10g17870 transcript:itb10g17870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCKWERVNCDQSNHHVIQLSLADIRQSSSDDFFLNASLFLSFRELQNLSLDLNRMRGFHGVLNLSKLQVLDLSFNWFDEIPSLGLLRSLRILHMELNGRINTWSHFEGICKLRNLQELDLGSNSFEGRIPLCLGNLTSLRVLNLQSNYLTGTLPSAIFSTLNLLDYLSLSYNYFEGSFSFSSLGNNSKLEIFQLENLKNTMVVNTENPPWMPQSHIKIFLLPNCKLNEPRGNLPSFLLKQRELRILDLRNTGVREIFPTWLLINNTNMEFLSLARNSLAGPFTYNNHQTKNEYLRWLDVSMNQIQGVIPYSIGVSCPSLNFLNMSMNAIQGGIPPSIGELKQLQFLDLSNNKLSGELPEEFVQGCISLQFLKLESNNLQGQVLPTNSNLSSLEYLRLENNRFSGELSRGLLNSMSLKLLDLSNNSITGEIPYWIGYLSQLRSIILPNNYLQGPIPMSFCKVKKLSILDLSKNKLIKTIPVCLNVSSLRYLHLHGNGFTGYLPKLLSEASSLVTLDMRDNNLSGRLPNWISSLSNLRFLLLGGNQFEGSIPSQLCDLRNVSLLDLSSNHLSSILPSCLHKVLFGRKGTFKATLEPNVYGWGTYLDLKTHSYESQLQIDLFLENNYIASDEEEEVEFVTKSRSESYKGNILKYMSGLDLSFNNFTGPIPQEIGLLSDIHSLNLSHNQFTGSIPTTFSNLKQIECLDLSHNRLNGQIPQDLIELNFLSKFSVAFNNLSGRIPDKKQFLTFDNSSYEGNPLLCGQLLGESRNNSSSIKPSSQPIIENDDPFKETFLWSFIASYSVAFIASVVVFLCCSDYSERILEYVRAKFVVFSF >itb04g11440.t1 pep chromosome:ASM357664v1:4:11068423:11072049:1 gene:itb04g11440 transcript:itb04g11440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMHPSIHPMALKVEVYQKEKVRPCSPTPQILRNYKLSLIDIILSIFYVPMVFFYDSRAGGHDYDELKDSLMKTLSVLYPLAGRMKDGSTTIECNDEGADFVRANVTNYDLGEFLRHPKLEDIRQLLPLHPYVNAIDPTQPMLAVQLNRFRCGGTAVAFCIWHGLVDASAMMGLFNTLAAINRGEGPINPGGLIVDTSAIFRPGNLVRSPLMPFSLNNRGKYSSKRFVFGKQDIERLRNNYYHPSEHRRRPSRVEALSAFIWAAVLVVSVGWTSYLYDYHKSDNSPAVSCDGQAADDLDYMSSGYNVLANGNQEVSSHAEPNSQNAFPSPTQSVSSMHLDPQTNQEQSPGQNDVGSHLNCLPSQEQSSRQHALNSQSNCCPLLL >itb03g00350.t1 pep chromosome:ASM357664v1:3:174610:176877:-1 gene:itb03g00350 transcript:itb03g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCKKGSSLSSIPVKPCNFFICSTLLLILLFFSGFAFSTSRLFFLGFCPRLVTTWSASAMGVIPGDAPENSVELIPEAVISPDEALFFLKYPSSTRLFTKEELSCVYTSPDSSSPSSSEAPPKSVDDQYSGRQIVRCQPPPRGTQVSLAVKKTRDNQLPALAGPTYKWDSLAYEAIIDWDNTTVVFVKGFNLRGGKVSDPTKFRCVYGWDFKNPKLMLHSYVVSIAQEIVRCKTPLSVLNMNSPLRFGNDPIKVSVRKVGKGPLDSIARPQVRLKPSPTDRKEHRMCVCTMLKNQARFLREWIMYHAEIGVQRWFIYDNNSDDNIEDILNSLGEHYNISRHVWPWIKTQEAGFAHCALRARDLCEWVGFIDVDEFLYLSKNTSIQEVLTRQTSGIAELRVPCHSFGPSGLKEVPIEGVTVGYTCRLAYPERHKSIVRPEALDPTLMNVVHHFRLSRGYKSGNIDRNVMVVNHYKYQVWQVFKEKFHRRVATYVSDWQQDRNAGSKDRAPGLGTRAVEPADWHTRFCEVTDKSLRDQVLRTFTDSNTHRLPWQEDEQQD >itb09g02810.t1 pep chromosome:ASM357664v1:9:1584123:1584684:1 gene:itb09g02810 transcript:itb09g02810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKFSLVLLLTCIISLQGILADMICEKMPINLCAFSISSSGQRCLLENLIKDDGKVEYQCKTSEVMVESSMSEYIETDKCVKACGVDRKSVGMSSDSLLEPTFTSNLCSPACFNNCPNLIELHFNLFAGEGFFLPNLCEVQLTNFDNDLNKYTKIISHILLKNINNS >itb05g22430.t1 pep chromosome:ASM357664v1:5:27854584:27863735:1 gene:itb05g22430 transcript:itb05g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSRDRSSMEDPDGTLASVAQCIEQLRQNSSSMQEKENSLKQLLVLIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQISAAKTIYAVSQGGVKDHVGSKIFSTEGVVPVLWEQLQKGLKAGNIVDDLITGALKNLATSTEGFWSATIQAGGLDILVKLLATGQSSTQANVCFLLACMMMEDSSVCSKVLASEATKQLLKLIGPGNEDSVRAEAAGALKSLSAQCKEARREIASSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSFVISSLGQSLDSCTSPAQVADTLGALASALMIYDIKAENSRASDPLEVEQTLLKQFKPRLPFLVQERTIEALASLYGNAVLSSRLANSDAKRLLVGLITMATNEVQDELIRSLLVLCKNEGSLWHALEGREGIQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLHHLIHKSDTATISQLTALLTSDLPESKVYVLDALKSLLSVAPLNEILREGSAANDAIETMIKILNSTKEETQAKSASALAGIFGLRKDLRESSLAIRTLSPVMKLLNVESENILAEASHCLAAIFLSIRENREVASVARDAFPSLLALANYSALQVVEQATCALANLLLDCEVLEKVVPEEIILPATRVLCEGTTDGQTHAAAAIARLLQSRQVDSALTDCANRAGTVLALVSFLESTSSGSNAMSEALGALCYLSRLEGDSQHIKPAWAVLAEYPNNITPVVSCISDAAPLLQDKAIEILACLCQAQPTVLGDKIACASRCISSIAKRVIDSTNARAKTGGTALLVCTAKVNHQRVVEDLNESRSRVLLIQTLVGMLSSLESSQLTDQGNKVAINISRSAGDESVKERTTSVIYGTNIAIWLLSALASHDDKNKTEIVETGAIEVLTDKISEALSQFTQIDFNEDGSIWVCALLLAILFQDRDITRAHATMKAVPVLANLLRSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAAGLISLLGCADDDMRDLIELSEEFTLLRNPDQVALERLFRVDDIRTGATSRKAIPALVDLLKPIPDRPGAPFLALGLMMQLARDCPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGLLFSTPEIRRHESSYGAVGQLIAVLRLGGRGARYSAAKALENLFSADHIRNAESARQAVQPLVEIIDTGLEKEQHAAIAALVRLLSENPSRAFTVSDVELNAVDVMCKILSSNCSMELKGDAAELCSVLFGNTRIRSTVAAARCVEPLVSLLVMEFSPAHHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNYLLHEAISRALVKLGKDRPSCKMEMVKAGVIECVLDILHEAPDFLCAAFAELLRILTNNANIAKGPSAAKVVEPLFSLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLKSQQAVEPLIPLLDSPASAVQQLAAELLSHLLSEEHLQKDPVTQQVIGPLVRVVGSGIPILQQRAVKALVCLSVTWPNEIAKEGGVAEISKVLLYADPSVPHSLWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSETTVLGALNALLVLESDDSTSAQAMAESGAIEALLELLRCHLCEETAARLLEVLLNNVKIRDTKATKSAIVPLSQYLLDPQTQGQQARLLATLALGDLFQNEALARTADAVSACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAEAGGVQVLLDLIGSSDPDTSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNEEYLKALNALFGNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFYLTQLWSACPPEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLAVIIKRGNNMRQSVGNPSVYCKLTLGNTQPRQTKIVSTGPNPEWDESFTWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPSRNLEIEFQWSNKSEQ >itb11g00070.t1 pep chromosome:ASM357664v1:11:33915:35083:1 gene:itb11g00070 transcript:itb11g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQQSMILVDINSPGIKIERPLTVFGFDDAPHGHAEILFENVCVSEKNIVLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRASERRAFGKFIAEHGSFRSDVARCRIEVEKTRLLILEAADQLDRLGNKKARGTIAMAKVAAPDMALKVVDMAMQVHGAAGLSGDTVLAHLWATARTLRIADGPDEVHLGTIAKLELRRAKL >itb13g03260.t1 pep chromosome:ASM357664v1:13:3185108:3188620:1 gene:itb13g03260 transcript:itb13g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPTVGGGYMRQRYSQGYFSSGDDLEDDACSRICTESPSFPTTRTWVEIVENFLWISSALFIIYYGDRHYNFIYILWHDNRIRRIPLYVGMFAVGLNSLFFLYTSTLAWGIRKSSEKWEISSAAALPFVTVNGLVSFCLFVYALWPIWSFLTLPLVVCPMF >itb14g18460.t2 pep chromosome:ASM357664v1:14:21476509:21478994:-1 gene:itb14g18460 transcript:itb14g18460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASQSAFNALISIPFDSNALFCDSVQSDIDCLQAIKNSLKDPLKYLSSSWDFNNNTEGFICKFTGIDCWHPDENKVLNIRLPDMGLKGEFPLGLANCTALTGLDLSSNDIYGPIPPNISNIIKYVTTLDLSSNHLSGEIPGGLANCSYLNVLRLDSNQLTGQIPREIGQLDRMKTFSVSNNTLLTGPVPRFGVNVSIPAESYSNTGLCGGPLPGCQGSSNKPRPLVIAGAAVAGATVAAIAMAVGTFYYMRRVSRKKKKEDDPDGNKWAKSIKDAKAIKLSMFEKSVSKMRLSDLMKATKNFHKDNIIGSGRTGTFYKAVLGNGTSLMIKRLQNTQHSEKEFVAEMDTLGSATFCR >itb14g18460.t1 pep chromosome:ASM357664v1:14:21475827:21478994:-1 gene:itb14g18460 transcript:itb14g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPASQSAFNALISIPFDSNALFCDSVQSDIDCLQAIKNSLKDPLKYLSSSWDFNNNTEGFICKFTGIDCWHPDENKVLNIRLPDMGLKGEFPLGLANCTALTGLDLSSNDIYGPIPPNISNIIKYVTTLDLSSNHLSGEIPGGLANCSYLNVLRLDSNQLTGQIPREIGQLDRMKTFSVSNNTLLTGPVPRFGVNVSIPAESYSNTGLCGGPLPGCQGSSNKPRPLVIAGAAVAGATVAAIAMAVGTFYYMRRVSRKKKKEDDPDGNKWAKSIKDAKAIKLSMFEKSVSKMRLSDLMKATKNFHKDNIIGSGRTGTFYKAVLGNGTSLMIKRLQNTQHSEKEFVAEMDTLGSVKHQNLVPLLGFCMAKQERLLVYKYMENGSLYDRLHFVDDGEKALEWAARLKISIGAAKGFAWLHHSCNPRIIHRNVSSKCVLLDADLNPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTSEMPTDVSRAPESFKGNLVEWISHLSIQSRIPDSIDKSLLGRGYDSEVLQVLKIACRCVLLAPKDRPTMYEVYQLLRAIGERYHFTTYDEFLMPTENGGDVELEELIVAREMVEIH >itb04g26130.t3 pep chromosome:ASM357664v1:4:30525953:30537334:1 gene:itb04g26130 transcript:itb04g26130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELTRAKDAKERMAGVERLHQHLEVSTKSLSSSEVTVLVDVCLDLLKDSNFRVSQGALQALASAAVLSVEQLKLHFNALLPAVVEKLGDAKQPVREAARRLLLTLMEVSSPTIIVERAGSYAWSHKSFKVREEFARTVTSAIGLFASTELPLQRAILPFILQLLNDPNPGVTEAALVCIEEMHKNVGPQFRDELQRHDLPLMMLKDIYARLERIEPKTKTANGRTSNYPAHDIGSLNHNPKKSSPKAKNSTRDASLFGGDGDMIEKPVDPIKVYSEKELIKEFEKITSTLSPEKDWSIRIAAMQRVEGLVIGGAADYACFLGLLKQLVGPLSTQLSDRRSSIVKQACHLLNFLSKELLGDFEPCAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRSAVLRARCCNYALLILEHWPDAPEIQRLADLYEDLIRCCVADAMSEVRSTARTLYRMFAKTWPDRSRRLFLSFDPVIQRIINDEDGGIHKRHASPFRDRSLRTVTPQTSASSHRPGSGTSAIVAMDRTASLPTATSLSSGLLLSQMKPVATGTTRSLESVLHASKQKVSAIESLLKGRDASGKIRSSSLDLGVDPPSSRDPPFPPAVPASNSLANALLIDTPSGISKGNNRNGGLGLSDIFSQIQVSKDSAKFSYLSNVGNEPISTVSSHLSRRASEKLQERGFLEANADVRETRRYMKPHVDRQYLDTYRDTNLRDSHSNYVPTFQRPLSRKTTGRVSASRRSLDDSQLPDMPGYADGPTSLGDALSEGLSSTSDWNARVAAFNYVHSLLQQGSRGVQEIIQSFEKVMKLFFQHLDDPHHKVAQAALSTLAELIPACRKPFESYMERILPHVFSRLIDPKELVRQPCCTTLNTVSKIYGIDSLLPALLRSLDEQRSPKAKLEVINFAIGAFNKHPSNSEGAGNTGILKLWLTKLTPLVHDKNTKLKEVAITCIISVYTHFDATAVLNFIISLSVEEQNSLRRALKQNTPRIEVDLMSFLQNRKEKQRSKSSYDLSDFVGTSSEEGFVGASKKSQLFGRYSGGSVDSEGGRRWNTLLDSTYTTGSVGHAVSNDTKDLYHSVEIGSNNTIPTSKSKDLKYETNATSENIEACARQLESKDNGSDIEPIFSLHQAINGHTDHQCITTVVEADEDPSPDQSLSHIKLAAQEENSAPETGHSIPQILQVYFNQILTVALEVLHDSEPSIRELDLSLIVELLKNQKDYMEDSIEIIIEKLLNVTKDGASKVASEAEHCLTIVLSQYDPFRCLSVITPLLATEDEKTLVTCINCLTKLVGRLSQEELLSQLPSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTPIDATQN >itb04g26130.t2 pep chromosome:ASM357664v1:4:30525953:30537353:1 gene:itb04g26130 transcript:itb04g26130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELTRAKDAKERMAGVERLHQHLEVSTKSLSSSEVTVLVDVCLDLLKDSNFRVSQGALQALASAAVLSVEQLKLHFNALLPAVVEKLGDAKQPVREAARRLLLTLMEVSSPTIIVERAGSYAWSHKSFKVREEFARTVTSAIGLFASTELPLQRAILPFEMHKNVGPQFRDELQRHDLPLMMLKDIYARLERIEPKTKTANGRTSNYPAHDIGSLNHNPKKSSPKAKNSTRDASLFGGDGDMIEKPVDPIKVYSEKELIKEFEKITSTLSPEKDWSIRIAAMQRVEGLVIGGAADYACFLGLLKQLVGPLSTQLSDRRSSIVKQACHLLNFLSKELLGDFEPCAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRSAVLRARCCNYALLILEHWPDAPEIQRLADLYEDLIRCCVADAMSEVRSTARTLYRMFAKTWPDRSRRLFLSFDPVIQRIINDEDGGIHKRHASPFRDRSLRTVTPQTSASSHRPGSGTSAIVAMDRTASLPTATSLSSGLLLSQMKPVATGTTRSLESVLHASKQKVSAIESLLKGRDASGKIRSSSLDLGVDPPSSRDPPFPPAVPASNSLANALLIDTPSGISKGNNRNGGLGLSDIFSQIQVSKDSAKFSYLSNVGNEPISTVSSHLSRRASEKLQERGFLEANADVRETRRYMKPHVDRQYLDTYRDTNLRDSHSNYVPTFQRPLSRKTTGRVSASRRSLDDSQLPDMPGYADGPTSLGDALSEGLSSTSDWNARVAAFNYVHSLLQQGSRGVQEIIQSFEKVMKLFFQHLDDPHHKVAQAALSTLAELIPACRKPFESYMERILPHVFSRLIDPKELVRQPCCTTLNTVSKIYGIDSLLPALLRSLDEQRSPKAKLEVINFAIGAFNKHPSNSEGAGNTGILKLWLTKLTPLVHDKNTKLKEVAITCIISVYTHFDATAVLNFIISLSVEEQNSLRRALKQNTPRIEVDLMSFLQNRKEKQRSKSSYDLSDFVGTSSEEGFVGASKKSQLFGRYSGGSVDSEGGRRWNTLLDSTYTTGSVGHAVSNDTKDLYHSVEIGSNNTIPTSKSKDLKYETNATSENIEACARQLESKDNGSDIEPIFSLHQAINGHTDHQCITTVVEADEDPSPDQSLSHIKLAAQEENSAPETGHSIPQILQVICNGNDGSPAENNHVALQQLVEVSVANDQSMWNKYFNQILTVALEVLHDSEPSIRELDLSLIVELLKNQKDYMEDSIEIIIEKLLNVTKDGASKVASEAEHCLTIVLSQYDPFRCLSVITPLLATEDEKTLVTCINCLTKLVGRLSQEELLSQLPSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTPIDATQN >itb04g26130.t1 pep chromosome:ASM357664v1:4:30525953:30537353:1 gene:itb04g26130 transcript:itb04g26130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELTRAKDAKERMAGVERLHQHLEVSTKSLSSSEVTVLVDVCLDLLKDSNFRVSQGALQALASAAVLSVEQLKLHFNALLPAVVEKLGDAKQPVREAARRLLLTLMEVSSPTIIVERAGSYAWSHKSFKVREEFARTVTSAIGLFASTELPLQRAILPFILQLLNDPNPGVTEAALVCIEEMHKNVGPQFRDELQRHDLPLMMLKDIYARLERIEPKTKTANGRTSNYPAHDIGSLNHNPKKSSPKAKNSTRDASLFGGDGDMIEKPVDPIKVYSEKELIKEFEKITSTLSPEKDWSIRIAAMQRVEGLVIGGAADYACFLGLLKQLVGPLSTQLSDRRSSIVKQACHLLNFLSKELLGDFEPCAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRSAVLRARCCNYALLILEHWPDAPEIQRLADLYEDLIRCCVADAMSEVRSTARTLYRMFAKTWPDRSRRLFLSFDPVIQRIINDEDGGIHKRHASPFRDRSLRTVTPQTSASSHRPGSGTSAIVAMDRTASLPTATSLSSGLLLSQMKPVATGTTRSLESVLHASKQKVSAIESLLKGRDASGKIRSSSLDLGVDPPSSRDPPFPPAVPASNSLANALLIDTPSGISKGNNRNGGLGLSDIFSQIQVSKDSAKFSYLSNVGNEPISTVSSHLSRRASEKLQERGFLEANADVRETRRYMKPHVDRQYLDTYRDTNLRDSHSNYVPTFQRPLSRKTTGRVSASRRSLDDSQLPDMPGYADGPTSLGDALSEGLSSTSDWNARVAAFNYVHSLLQQGSRGVQEIIQSFEKVMKLFFQHLDDPHHKVAQAALSTLAELIPACRKPFESYMERILPHVFSRLIDPKELVRQPCCTTLNTVSKIYGIDSLLPALLRSLDEQRSPKAKLEVINFAIGAFNKHPSNSEGAGNTGILKLWLTKLTPLVHDKNTKLKEVAITCIISVYTHFDATAVLNFIISLSVEEQNSLRRALKQNTPRIEVDLMSFLQNRKEKQRSKSSYDLSDFVGTSSEEGFVGASKKSQLFGRYSGGSVDSEGGRRWNTLLDSTYTTGSVGHAVSNDTKDLYHSVEIGSNNTIPTSKSKDLKYETNATSENIEACARQLESKDNGSDIEPIFSLHQAINGHTDHQCITTVVEADEDPSPDQSLSHIKLAAQEENSAPETGHSIPQILQVICNGNDGSPAENNHVALQQLVEVSVANDQSMWNKYFNQILTVALEVLHDSEPSIRELDLSLIVELLKNQKDYMEDSIEIIIEKLLNVTKDGASKVASEAEHCLTIVLSQYDPFRCLSVITPLLATEDEKTLVTCINCLTKLVGRLSQEELLSQLPSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTPIDATQN >itb07g10230.t1 pep chromosome:ASM357664v1:7:11029292:11041502:-1 gene:itb07g10230 transcript:itb07g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLAAAAPPPRRNSLVQKNRHSSTGKKSSTPSENGTATSNGTNAKPSSPAHQPFSGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDTNALLSGIVTDKDIATRVIAEELRPEQTIVSKVMTRNPIFVTSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGNNFSGAPSAFIEILRERMFKPALSTIISENAKVAMVSPSDPVQVAAKKMRELRVNSVIVITGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECATLETPILEALHIMHDGKFLHLPVLDREGSVVASVDVLQITHAAISMVENSSGAVNDVANTVMQKFWDSALNVEPTDDYDTQSEMSMSAVMTSDWTEPGKSSYPSLGLGNSFTFKFEDLKGRVHRFNFGTENLAELVSTVIQRIGITDLHDHLQILYEDDEGDKVLLTTDTDLIGAVSHARSVGLKVLRLHLDLPDSHKKRTQPPPTTTTVEKTKGVSLLPGIFAGAVVLTSIGVLTYLKRSKT >itb13g22370.t1 pep chromosome:ASM357664v1:13:28656198:28657431:1 gene:itb13g22370 transcript:itb13g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF062 [Source:Projected from Arabidopsis thaliana (AT4G13620) UniProtKB/Swiss-Prot;Acc:Q9SVQ0] MAASGSPFSGVFCSPNETAHSSPERFVSSSDSNSSADETSNNFSDFATISDHQVAMNEHDHTRSLPHGGLSFSDQAAAGIPLNFLESFPSSVTNAPSSSSLSQSNFPNLGLFLQQPSIVETPKKPSSSPSSSFTNFNNPSSSSSSSSSSYTNFNNFLFPVSQLRPSAESWARMNQTLTSYSSSSKGFSDFWLSNTRTQPMKHTGRRTPAETQASPPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAFAYDTAAYMLRGDYAHLNFPDLKHRLRDNCLNGNTAALLEAKLRSISQGTAAGATKKANTNTNTNNNNEKMIKDSPANVKPLLVNESSSVVTWTQNAVTPAGEIAAEKKKEEAAAAENEGGFQLSRMPSLDMDTIWAELLVSDS >itb01g21310.t1 pep chromosome:ASM357664v1:1:27454597:27457825:1 gene:itb01g21310 transcript:itb01g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLSSGIEGGQDPKVDDDGHPKRTGTLITTTAHIITAVIGSGVLSLAWATAQLGWIAGPATLLAFALISCYAASLLADCYRSPDGKRNQTYMEVVRAHLGRGCAKTSLTGRPIGPNFSTTEKMWNIFAALGDIGFAYIFSNDTVKASPRENKVMKQATLCGICISSIFYMLCGVLGYAAFGNDAPSNILTTFGFYDPFWLIDFANACIVVHLLGAYQVFAQPVFAFVEKRCENRWPDSRFINGKSFKISANKTWNVSMFKLTWRTAYVALTTIVAMLFPFFNDFVGLLGAISYWPLTIYLPFQMYIKRTNIRRLSFTWIWLTVLCVACLLLSILAAAGSIRGLIKAVRRFEPFQAES >itb06g10610.t1 pep chromosome:ASM357664v1:6:15043496:15043942:-1 gene:itb06g10610 transcript:itb06g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVLHLKYGNFVVESSLSTWKNSVSSSNALHKNSKMEKAIAAEATSAPERYARASFFTPLHYPLKFSSFVVESFLSTSKNSVSSSNPLHKNSKMEKAIAAEATSAPERYAGAAFFTSPPPTSLPIPAFLTKNAAAGNNHDSTSAL >itb01g28640.t1 pep chromosome:ASM357664v1:1:33037083:33037898:-1 gene:itb01g28640 transcript:itb01g28640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSRMAAERPVVMFSKSSCCMSHSIRSLFNDMGVNPAVYELDVIPRGREIEQALSRLGCNPTVPAVFIGGELVGGENEIMSLHLQQALKPKLKRAGALWV >itb07g03960.t2 pep chromosome:ASM357664v1:7:2671931:2676650:1 gene:itb07g03960 transcript:itb07g03960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSLSSIYAKVLGIFPELEAARPRSTTGIQALCALHIALEKTKTILQHCAECSKLYLAITGDSIVLKFERARCALQDGLNRVEDIVPQTIGCQISSILSELQGIEFSIDPIEKQVGDDIIALLQQGRKFNRNESDNSELETFHQAASRLGITSSRAALRERRALRKLIERAQAEEEKRKESIVAYLMHLLRKYSKLFRSELSDDNDSQGSTPCSPTVQGSLEDGIGPGGHVHAFDRQLSKLSSFNFKPNFGRSDHMPIPPEELRCPISLQIMFDPVIIASGQTYERVCIEKWFSDGHNTCPKTQQELPHLGLTSNYCVKGLVASWCEHNGVPIPDGPPESLDLNYWRLAFSQSESANSQSIESIGSCKLKGIKVVPLEDSGIIEEAEGNEVDSSALDDEFQSNAIERYDDLLAILDQEVDLRKKCKVVEQIRHLVKDDEEVRMYMGANGFIEALMLFLESAVQARNEMAQEIGAMALFNLGVDNNRNKETMLAAGVLPFLEKMIANSSSIAAATALYLNLSGHEEAKPIIGTSEAVPFLIGVLQQETDPQCKLDALHALFNLSTLPTNIPHLMSAGIIDGLLAVMTHSDDHAWAEKCVAVLINLASSKPAKDEIISAPGLISRLSSILDLGEPPEQEQAAACLVLLCNGNEKCSQMVLQEGVIPSLVSMSVNGTMRGKQKAQKLLMLFREQRQKEPSPAAKTTQPEDSDSATPAENPKPLTKSTSKRKLGKALSFLWKNKSFSVYQC >itb07g03960.t1 pep chromosome:ASM357664v1:7:2671904:2676650:1 gene:itb07g03960 transcript:itb07g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSEVEENLCSIGEPKLHGGMCKSLSSIYAKVLGIFPELEAARPRSTTGIQALCALHIALEKTKTILQHCAECSKLYLAITGDSIVLKFERARCALQDGLNRVEDIVPQTIGCQISSILSELQGIEFSIDPIEKQVGDDIIALLQQGRKFNRNESDNSELETFHQAASRLGITSSRAALRERRALRKLIERAQAEEEKRKESIVAYLMHLLRKYSKLFRSELSDDNDSQGSTPCSPTVQGSLEDGIGPGGHVHAFDRQLSKLSSFNFKPNFGRSDHMPIPPEELRCPISLQIMFDPVIIASGQTYERVCIEKWFSDGHNTCPKTQQELPHLGLTSNYCVKGLVASWCEHNGVPIPDGPPESLDLNYWRLAFSQSESANSQSIESIGSCKLKGIKVVPLEDSGIIEEAEGNEVDSSALDDEFQSNAIERYDDLLAILDQEVDLRKKCKVVEQIRHLVKDDEEVRMYMGANGFIEALMLFLESAVQARNEMAQEIGAMALFNLGVDNNRNKETMLAAGVLPFLEKMIANSSSIAAATALYLNLSGHEEAKPIIGTSEAVPFLIGVLQQETDPQCKLDALHALFNLSTLPTNIPHLMSAGIIDGLLAVMTHSDDHAWAEKCVAVLINLASSKPAKDEIISAPGLISRLSSILDLGEPPEQEQAAACLVLLCNGNEKCSQMVLQEGVIPSLVSMSVNGTMRGKQKAQKLLMLFREQRQKEPSPAAKTTQPEDSDSATPAENPKPLTKSTSKRKLGKALSFLWKNKSFSVYQC >itb07g03960.t3 pep chromosome:ASM357664v1:7:2671978:2676560:1 gene:itb07g03960 transcript:itb07g03960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSLSSIYAKVLGIFPELEAARPRSTTGIQALCALHIALEKTKTILQHCAECSKLYLAITGDSIVLKFERARCALQDGLNRVEDIVPQTIGCQISSILSELQGIEFSIDPIEKQVGDDIIALLQQGRKFNRNESDNSELETFHQAASRLGITSSRAALRERRALRKLIERAQAEEEKRKESIVAYLMHLLRKYSKLFRSELSDDNDSQGSTPCSPTVQGSLEDGIGPGGHVHAFDRQLSKLSSFNFKPNFGRSDHMPIPPEELRCPISLQIMFDPVIIASGQTYERVCIEKWFSDGHNTCPKTQQELPHLGLTSNYCVKGLVASWCEHNGVPIPDGPPESLDLNYWRLAFSQSESANSQSIESIGSCKLKGIKVVPLEDSGIIEEAEGNEVDSSALDDEFQSNAIERYDDLLAILDQEVDLRKKCKVVEQIRHLVKDDEEVRMYMGANGFIEALMLFLESAVQARNEMAQEIGAMALFNLGVDNNRNKETMLAAGVLPFLEKMIANSSSIAAATALYLNLSGHEEAKPIIGTSEAVPFLIGVLQQETDPQCKLDALHALFNLSTLPTNIPHLMSAGIIDGLLAVMTHSDDHAWAEKCVAVLINLASSKPAKDEIISAPGLISRLSSILDLGEPPEQEQAAACLVLLCNGNEKCSQMVLQEGVIPSLVSMSVNGTMRGKQKAQKLLMLFREQRQKEPSPAAKTTQPEDSDSATPAENPKPLTKSTSKRKLGKALSFLWKNKSFSVYQC >itb12g12580.t1 pep chromosome:ASM357664v1:12:11308058:11310359:1 gene:itb12g12580 transcript:itb12g12580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDHLFTVKHGALRSPLTLLVAAARYRCSDEGRELRRGKENAASLFRSPKLVEKRLQLLRIQFLRHEDSMVADQNINPPNFLHGLLNGTSALKAATSRSVASRISLLAFSRSRMG >itb13g15170.t1 pep chromosome:ASM357664v1:13:21875892:21876664:-1 gene:itb13g15170 transcript:itb13g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSDRYLSRLSQNPPPKKIKTDNSRGNKKKSQVAADEEVTLSPPILASTPANYARQKVLHTQHQGLFRKIIEDNKRIRELLLVREGSLNTMEGELGVLTTSHEALTASLKQEQEDHGRTLATSVALMKSHVDAICEAEEAYKCSTKYKEDVMSYMSGTI >itb09g16530.t3 pep chromosome:ASM357664v1:9:11715650:11717787:1 gene:itb09g16530 transcript:itb09g16530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFSGIVRAWELTLRKSQAAAKRRANGIFSTMSVSHVDDDLDVPGDVYHVEKVFSHGDMYTGQWSDNCPNGQGKYLWSDGCMYIGDWVGGKTMGKGKFSWPSGTTYDGQFKNGYIDGEGLFTACSNDTYKGSWAFNLKHGRGSRTFPNGDYYSGEWRRGLPDGQGRYQWSNGNQYVGQWKNGKMNGSGAMMWANGNKFDGCWEDGEPKGNGTFRWVDGSFYMGVWSRDPNEQSGTFYTLASQPGSSDWDPRELYSVHLEGCKLATGEEITVFPSEKMINWPCEGEFLQKQFIGKVHKTKSKPRRKSVDGRPSDGEGFNVDTDCDTRSDITFGQYHFGSLRSEGLGSFNGNDPDAPRGLRSHCIKIQPAKTQGETISKDHKNYELMLNLQLGIRYSSQTKFLLQLSGS >itb09g16530.t2 pep chromosome:ASM357664v1:9:11715650:11717787:1 gene:itb09g16530 transcript:itb09g16530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFSGIVRAWELTLRKSQAAAKRRANGIFSTMSVSHVDDDLDVPGDVYHVEKVFSHGDMYTGQWSDNCPNGQGKYLWSDGCMYIGDWVGGKTMGKGKFSWPSGTTYDGQFKNGYIDGEGLFTACSNDTYKGSWAFNLKHGRGSRTFPNGDYYSGEWRRGLPDGQGRYQWSNGNQYVGQWKNGKMNGSGAMMWANGNKFDGCWEDGEPKGNGTFRWVDGSFYMGVWSRDPNEQSGTFYTLASQPGSSDWDPRELYSVHLEGCKLATGEEITVFPSEKMINWPCEGEFLQKQFIGKVHKTKSKPRRKSVDGRPSDGEGFNVDTDCDTRSDITFGQYHFGSLRSEGLGSFNGNDPDAPRGLRSHCIKIQPAKTQGETISKDHKNYELMLNLQLGIRYSSQTKFLLQLSGS >itb09g16530.t1 pep chromosome:ASM357664v1:9:11715553:11717787:1 gene:itb09g16530 transcript:itb09g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFSGIVRAWELTLRKSQAAAKRRANGIFSTMSVSHVDDDLDVPGDVYHVEKVFSHGDMYTGQWSDNCPNGQGKYLWSDGCMYIGDWVGGKTMGKGKFSWPSGTTYDGQFKNGYIDGEGLFTACSNDTYKGSWAFNLKHGRGSRTFPNGDYYSGEWRRGLPDGQGRYQWSNGNQYVGQWKNGKMNGSGAMMWANGNKFDGCWEDGEPKGNGTFRWVDGSFYMGVWSRDPNEQSGTFYTLASQPGSSDWDPRELYSVHLEGCKLATGEEITVFPSEKMINWPCEGEFLQKQFIGKVHKTKSKPRRKSVDGRPSDGEGFNVDTDCDTRSDITFGQYHFGSLRSEGLGSFNGNDPDAPRGLRSHCIKIQPAKTQGETISKDHKNYELMLNLQLGIRYSSQTKFLLQLSGS >itb07g21810.t1 pep chromosome:ASM357664v1:7:26343634:26344812:1 gene:itb07g21810 transcript:itb07g21810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYSEGDRVKISLDEVIHLSVALCQRFRGAFVLVTAMELVSLPEAKPVVPQIGLEMNAVWRRRRSMEELILRWHCGSNEQNGWNTWEKIDTEDIAAAFGSLS >itb15g23150.t1 pep chromosome:ASM357664v1:15:25917056:25918084:-1 gene:itb15g23150 transcript:itb15g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVGVIFNIPQPFTVRSRRLSQVIRISHHHFKQLLQPLTEDGKKILSNFSQHLKGLKKEELDEIPIVADLLGDPNENEVSAEAEVPESNNGGQNQQENRNHTTFASTGTILTRVIIHGHHPNDDELKDGSRGKLIHLPNSIEELFEYSRKQVWEERK >itb08g02070.t3 pep chromosome:ASM357664v1:8:1650878:1653995:-1 gene:itb08g02070 transcript:itb08g02070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRAAGPRRGYVSVKQDPLQAQVFQINTAVSRFQMLINSLGTAKDTSELRDKLHKTRVEIGHLVKDTASKLKVASETDHGAGISANKKISDAKLAKDFQAILNVFQKAQRLAAEKETAYKPIAPPPQTVVSSSYTSTEIDASADRISEQQALLVESKRQEVVLLDNEIAFNEAIIEERDQGIQEIQEQIGEVSEIFKDLAVLVHDQGAMIVDIDTNIENSHAATAQAKSQLSKAAKSQRSNSSLVGFV >itb08g02070.t1 pep chromosome:ASM357664v1:8:1650878:1653997:-1 gene:itb08g02070 transcript:itb08g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRAAGPRRGYVSVKQDPLQAQVFQINTAVSRFQMLINSLGTAKDTSELRDKLHKTRVEIGHLVKDTASKLKVASETDHGAGISANKKISDAKLAKDFQAILNVFQKAQRLAAEKETAYKPIAPPPQTVVSSSYTSTEIDASADRISEQQALLVESKRQEVVLLDNEIAFNEAIIEERDQGIQEIQEQIGEVSEIFKDLAVLVHDQGAMIVDIDTNIENSHAATAQAKSQLSKAAKSQRSNSSLHEHSASRVETVE >itb08g02070.t2 pep chromosome:ASM357664v1:8:1650878:1653997:-1 gene:itb08g02070 transcript:itb08g02070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRAAGPRRGYVSVKQDPLQAQVFQINTAVSRFQMLINSLGTAKDTSELRDKLHKTRVEIGHLVKDTASKLKVASETDHGAGISANKKISDAKLAKDFQAILNVFQKAQRLAAEKETAYKPIAPPPQTVVSSSYTSTEIDASADRISEQQALLVESKRQEVVLLDNEIAFNEAIIEERDQGIQEIQEQIGEVSEIFKDLAVLVHDQGAMIVDIDTNIENSHAATAQAKSQLSKAAKSQRSNSSLMCLLLVIFAVILIIVIIVLAA >itb10g20250.t1 pep chromosome:ASM357664v1:10:25889642:25892378:-1 gene:itb10g20250 transcript:itb10g20250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYSIFSVLLSFLFIVGAMGLWKRYSSSGRRAKLPPGPRKLPLIGNLHQLSGSTPHRRLRQLAEKYGPIMHVQLGEVPAVVISSPEAAKAVMKTHDLVFATRPEILMSKIVGYDSSHIAFCPYGDLWRQLRRICVLELLSARRVQSFEPIRAGEADALISRISSAFSGGKITAVNLSQIIYSFTNSVTALAAFGEKSKHQERFMATMKRITELAGGFELPDIFPSLTLLHSLGGAKKEMIKIHREVDGLLDAIIDEHRARRRNDGTGGSNAIEDLVDVLLRVQQSGDLEVPITLNTIKATILEMFTAGTDTSSGVVEWAMSELMKNPHVMERAQAEVRQSLLGKPEIKESDIQQLHYLKLVIKETLRLHPPIPLLLPRQSREDCEINGYDIPAKTRVIVNAWAIGRDSEHWENAESFQPERFLRDSSADFRGKHFEFIPFGAGRRMCPGMLFGLVNVEVPLARLLFHFDWKLPDGIEAGELDMTEGFGVTMRRLNNLHLIAIPCT >itb07g09860.t1 pep chromosome:ASM357664v1:7:9641323:9650201:1 gene:itb07g09860 transcript:itb07g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDVTFSSDDTVKKSPNDQRLYRYIELQNGLCALLVHDPEIYAPGPVKASGNSQGTEDDEEEESDYEDEEEDEEGDDEGEEGDGDDEDESEGEEGNEDKKDSSHKKAAAAMCVGMGSFLDPYEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTETEHTCYHFEVKREFLYGALKRFSQFFVSPLVKAEAMEREVLAVDSEFNQVLQNDSCRLQQLQCHTSAPGHPFNRFFWGNKKSLSDATERGVNLREQIFKLYHDNYYGKKMKLVIIGGETLDVLESWVLELFSTVKKGDMVKSSSRLETPIWKAGKLYWLEAVKDVHILELSWTLPSLRKDYLRKAEDYLAHLLGHEGRGSLLFFLKTKGWVTSISAGVGDEGMHRSSIAYIFGMSIHLTDSGLTKIFEIIGFVYQYLKLLREVSPQEWIFKELQDIGLMEFRFIEEEPQDEYAAELAENLLVYPPEDVVYGDYAYEIWDEQLIKYILGFFRADNMRVDIVTKSLNNSSDVQCEPWFGSQYVEEYIPSSLLELWKDPPEIDVSLHLPAKNDFIPSDFSIRADTISCDGGNAPKCILDEPLMKFWYKLDKTFKLPRANTYFRVTLKGAYSNLRNALLTELFVLLLKDELNEIVYQASVAKLETSVSLYGDKLELKVYGFNDKLPVLLSKVLAVTKSFSPKDDRFLVIKEDMERTLKNTNMKPLNHASYLRLQVLCKSFWDVEEKLYLLNNLSLADLKAFLPDLLSQLYIEGLCHGNMLEEEAVNISSIFTSYFSVPPLPAEMRHEEYVMCLPSGADLVRDVRVKNKLDTNSVVELYFQIEPEVGSELIKLKALVDLFDEIVEEPLFDRLRTKEQLGYVVDCSPRVTYRILGFCFRVQSSEYDPIYLQGRIDNFINDAKEILDNLDDDSFESFKSGLMAKLLEKDPSLSYETNRLWGQIVDKRYMFDLSEKEAEELKSIRKSDLIEWYHTYLKQPFPKCRRLAVRVWGCNTQRKDTDAGVEPAKVIKDPTAFKKSSEFYPSFC >itb08g17090.t1 pep chromosome:ASM357664v1:8:19239701:19243609:-1 gene:itb08g17090 transcript:itb08g17090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWWITCLQLAELFVSSMVHLGYGFYIFSTAVAGDLSQILTDYFVLGKTGLRVEDSKGTTSAINLPPIVLVHGIFGFGKGRMGGLSYFGGAEKKDGSILVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHAQFGRIYEKGHYTEWDEDHPIPFVGHSAGAQVVRVLQQMLAEKAFKGYENTSEDWVSSLTSLSGALNGTTRAYLDGIQPEDGRSLKPISLLQICRIGVIIYDWLDIPWLKAYYNFGFDHFNISWKKIGVRGLLDCLLDNAGPFASGDWILPDLTIQGSVKLNTHLSTFPNTYYFSYATNCTRKIIDVTIPSSLLGIHPLLFIRVLQMTQWQHPSHVPLPCKGYRDEDWWDNDGALNTISMTRPFLPVEHLSQSVAEGSECQHLQPGIWYVNSMAKSPDS >itb02g01580.t1 pep chromosome:ASM357664v1:2:883473:885362:-1 gene:itb02g01580 transcript:itb02g01580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYISTYGHGCWSSVPRLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHLILGNRWAQIAKYLPGRTDNEVKNFWNSSIKKKIISRACFSDHLSAAISSNIPADPNTAPFDHQTLYSLNPNYNNLILHTTHHHQVLQDQAAALISTAGTTSSSSPTSYLQAAAHQMIDQNGNLVLPMMPSDPAWFLGQQPQNLEHNFSIFSAAANDHIAPDFDMMVFPAMPKLCEMIKAGGEGENIPISSSSSSSSSAVVAAAGCGGSDLVVPGSSLSCYPSGYNARDLHVPAGYEMEQTDTIILPSFPLPPPPPPSLSSLSPPSSFSGQSGNQLITYQS >itb05g04080.t1 pep chromosome:ASM357664v1:5:3569477:3572747:-1 gene:itb05g04080 transcript:itb05g04080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKLKEKLRAAKAELLAEQQVSGGDPNPKTEESLKTILKSVAQKPKLSKREKRREKAQSLLEISASIAGVGGESGSGSGDVKGEKEGGGSEERNKRKRKRGDNDGLESGQEVKTVEKKTKKKKKKKKKVQKKSKGEKEEGNEELKEGVKNGEEGVSQAIQASECKENADISTKVYVGGIPYYSNEDDIRSFFEGCGTITEVDCMTFPDTGKFRGIAIINFKTEAAAKRALALDGSDMGGLFLKIKPHNSSRVNKGVNKVSNFSPAVVEGYNRIYAGNLSWEITEDDLRKLFSDCHITAIRFGEDKETGEFKCYAHVDFADSPSLNTALKLDQTIVCGRPVRISCAVAKKGAVTKSRSTQSDTQAKNVIDGSKATPQSTRVDTPQITPVDTPQSNQVETPQSNQVDTAAMNALNAKIRRRTCYECGERGHLSSSCPKKQAAEQGNQAAS >itb03g05870.t1 pep chromosome:ASM357664v1:3:4146026:4148070:-1 gene:itb03g05870 transcript:itb03g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEVSKSYKASAVIFHTFDELEPETCKALSSMFNRIYTIGPIPMLLKALPKSEIDKIECNLWKEDSTCTQWLDTKNPKSVVYVNFGSLAITNPEKLVELAMGLSKSMQNFLWIIRPELIFGNWSTILPPEFTDAVKDRGYIADWCDQEKVLNHPSVGGFLTHCGWNSMVESMSAGVAMVCWSFFADQPLNRYCCCSEWRLGLEIENDVKRENVESVVRELMEGEKGREVKKKALFWKERAEVATSVGGSSFLNLDKLIGEVLLSGGQHFPNGV >itb03g24190.t1 pep chromosome:ASM357664v1:3:22807208:22809781:1 gene:itb03g24190 transcript:itb03g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLEPRVPPLPAKASPPPRPIESKTTRLPFQRPELTQRVKRFQQPISHSALTRTHAHLSPLDDPLNSSAYASVLASCDCPELGKQVHAQALKNGFHGHEFVETKLLQMYGKCECLYDALMLFDKMPSRNLYSWTAILNVYINNGLFQEAFYCFQQLRFEDLDLEFFVFPLALKICSGYGGMELGRQLHALVIKIGFLSNIYVGNAVIDMYGKCGSLNDAKKALWSVTKRDCVSWNSFLSACAINGALDDAFDAFDQMSAVDNLAPNFVSWSALVGGFSQNGYDEAAIEMLYKMQAAGFEPNAQTLASVLPACGRLQMLYLGKEIHGYLTRNGFMCNSFVVNGLIDVYRRCGDMEYAFRVFSIYSVRNEVSFNTMIVGYFENGDISRAEELFHQMELEEKQNDIISWNSMISGYVNNSMPDEALSMFRNIILNQQIKADSFTLGSALAACADMGSLRCGMEIHSYAVVTGFQSDPFVGGALVEMYCKCLDINAAQKAFNEVAQRDIVSWNALISGYAHSGQLENVGYILQKMKEDGFYPNICTWNVIIAGHVERDQNESALELFKELQLANLTPDIYTIGIVLPACSRLATLDRGKQIHGYAVRCGYQSDPYIGAALVDMYAKCGSIKHAVLAHNMIRNYNLVTQNALLTAYAMHGCGEEGITFFRRILENGFEPDSITFLSVLLSCVHAGSVEAGKQFFDLMASYSVTPTLKHYTCMVDLLSRTGRLNEAYDIICKTPMAPDPVIWNAFLRGCVIHGNIELGETAAEKLLELEPLNSGNYVLLANLYASVGRWDDFAITRKVINERKMHKSPGCSWIEDKGEKHVFVACDRSHEKTAEIYDILDKLTTQMRLEQE >itb02g02740.t1 pep chromosome:ASM357664v1:2:1602864:1603961:-1 gene:itb02g02740 transcript:itb02g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVYFPSNLRFHSFLHRPSPPLLQLSCVHSGGRAAEYGSVAIRKAIPARDRVIDFGKFKGKMLGTLPSSYLKWVSKNLRARDFEEWAELADEVLYDPVYNDRIEWEFAQNVLNGDVLLSATAPSAVSELLEISQRFGWDNDDEAGWSKIDFSLLGTSKGGRIPRVGDSDSDGRNDRTGMESKLRRLKKEGGEEEADRGRRERRRERLRATRTSDSSTAESLPRERVAGLEIRETLKGNVGNADGNRVRVDGSPSGRPSPFPGREALLKKVLSRRRLS >itb01g34640.t1 pep chromosome:ASM357664v1:1:37224999:37227154:1 gene:itb01g34640 transcript:itb01g34640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKPAFRFRLPWLQFGSAPRPTSRPASQPARTAASTPFLSAISESKAGNPPVASRGSSSTSQTQAKPSSPARATELPVTSQPASPSSPTELLVTSQPTSPFRGIELPISSRPTSPVPATQPASPPRASVTEPHVTSQAIEPSAIEQRVASQSSSLGATKPQVTSQPASPPRAIESRVTSQPASSPRATEPQVTSQPESPPRAIEPEVTSQPASPPRAIEPEVTSQPASPPRAIESEVTSQLASPPRAIEPEVTSQPASPPRAIEPEVTSQPASPPRAIEPEVTSQPASPPRAIEPEVTSQPASPPHAIEPEVTSQPASPPRTTEPSQPASPPHTTESNVTSQDSQPTSPPHATKSHVMSQPTSPSHTIEPHITSQPKSPLLTTKPHVTSQPASQYSSPSRPATPSPPIATSSPTTSNASQPTSQPQSPSSLSSPTAGQTSSTSTTETTSKMQPTTEVSTQPTSPSKIAEETLKPSPKPTETPEPSKPLGELKSLETKEEMQKTRHRDHSKAKQIDKQMLSAKEQPTKGQTDKLKPSAKTSQPRNKRVISDSHFKPETPHEHHLPLQKEIKDSILNLVNKKTAAGGSKCRMDGRPVNMITLAGRNTGASMQRASDPSKDEGSLHIRRGYRTNPEASSEATTDSAESSRGRRKSKDTKTVDDQSMQVYANSNVQCINNSVLSDSSTVERSPGVHLQIPRFPNDQ >itb12g16580.t1 pep chromosome:ASM357664v1:12:17921980:17923207:1 gene:itb12g16580 transcript:itb12g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGAAPPKQPPLPSSDSHRRQESNKFLLHFAGGEKTSTDPMHEVGDRRRGSKRYLLLLGINYMFLFVGSVSSSLLSKFYFIHKGSSRWVSTLVQSAGFPLLLPAVFLPYYVFQSTQRRPFSRFTSQIFVVSVIIGFLLGLNNLLFSWGNSYLPVSTNSLLLSTQLVFTLITSMIIVKQKLTFANLNCVILLTLSSVLLALSSGHDKPEGLTRAKFFFGFFSTVGAGLLFALYLPVMERVYAKVYCYAMVVEMQLVMEVAATAFSVVGMAASGGFGEMKAEMEREFDLGPRAYCLTVAFNLVTWQLCFMGTAGMVFLTTSLTGGICMTALMTINVLGGVLVYHDHFGGSKAVSTALCVWGFCSYVYGMYMKSKEDAGEEKAAAQSSESSMEMGEIVTHNG >itb02g17370.t3 pep chromosome:ASM357664v1:2:13301329:13304945:1 gene:itb02g17370 transcript:itb02g17370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQNVSSQSAAIAMEPQNGISNGEKSSTTEQSISSPDTPLNSPFPENDKSTPEAVSSDAANSSSSISSSVTNESPSTQVMERPTKESYRIPSSVFTKPESGKETEWSVASNESLFSIHLDNTSFSREQFLWNSEENGEASERRMHDLSPVASSRQLAKGGFDGAETSMREFLRDSKDQNHGVKCNVEVRPGRFSYESTTSAKSFAFPILKFE >itb02g17370.t2 pep chromosome:ASM357664v1:2:13301329:13304163:1 gene:itb02g17370 transcript:itb02g17370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQNVSSQSAAIAMEPQNGISNGEKSSTTEQSISSPDTPLNSPFPENDKSTPEAVSSDAANSSSSISSSVTNESPSTQVMERPTKESYRIPSSVFTKPESGKETEWSVASNESLFSIHLDNTSFSREQFLWNSEENGEASERRMHDLSPVASSRQLAKGGFDGAETSMREFLRDSKDQNHGVKCNVEVRPGRFSYESTTSAKSFAFPM >itb02g17370.t1 pep chromosome:ASM357664v1:2:13301329:13304945:1 gene:itb02g17370 transcript:itb02g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSQNVSSQSAAIAMEPQNGISNGEKSSTTEQSISSPDTPLNSPFPENDKSTPEAVSSDAANSSSSISSSVTNESPSTQVMERPTKESYRIPSSVFTKPESGKETEWSVASNESLFSIHLDNTSFSREQFLWNSEENGEASERRMHDLSPVASSRQLAKGGFDGAETSMREFLRDSKDQNHGVKCNVEVRPGRFSYESTTSAKSFAFPILTGDVDKQPSKKLRPEKKQPQPLPLSLPSPQEERESLETPQAEQKVAAPRKWFSCFLCCSSCS >itb07g03500.t1 pep chromosome:ASM357664v1:7:2344526:2347057:1 gene:itb07g03500 transcript:itb07g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEPTKKHPKLPCSTKLLISAHTLATSICFRSDGTANRRLLRLLYPRVSAPVTTVVDGTPVSSSDVQVEASRDVWFRLFVPNVDKNASEEEQKSLPLIVYFHGGGFTSLSPDLKAYHNLCCRFAAAVPAIVASVNYRLAPENRYPCAYDDCFDALKFIDAQNYAVFPRNTDFTRSFLAGDSAGGNIAHHITVRALNSATDFQTLNLAGHLSLQPFFGGEDRTESELRLRKATMLTLEDTDRMWRTFLPDGSNRDHVAAHVFEEELPEKFPRTLLIVGGFDLLQDWDRKYGERLRKFGVEVKVVEYPNAIHGFYSFPELPESAMVLKEIKDFMQSKT >itb05g21370.t1 pep chromosome:ASM357664v1:5:27162012:27162805:1 gene:itb05g21370 transcript:itb05g21370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLLVTIAIMALASSFANASDPSPLQDFCVAFDDPKDALFVNGKFCKNPNDVNADDFLFRGLNKPGNTSNSLGSKVTPVNVDNLAGLNTLGISLARIDFAPYGLNPPHTHPRATEVLAVLDGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNVGKTNAIAFAGLSSQNPGVITIANAVFGSDPPINPDVLTKAFQVDDKVIKYLQSQFWYNNN >itb14g06080.t1 pep chromosome:ASM357664v1:14:5275608:5277091:-1 gene:itb14g06080 transcript:itb14g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVEANAGALIDPQQLPSSGNGSLAVVKKPPAKDRHSKVDGRGRRIRMPIVCAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTIPASFSTISASLRTSSSTAPSLSASLDHKPMAPAPFILGKRLRAEEDGLDDGGAGNKEVVGSAAAGFWAALPARPDFGQVWSFAAAAAAPHEMVVPTPAAAAALNSRFFQQQMGEASAARVGNYLPMAQGHLNLLASLSGTPPPSSGRRDDDAR >itb01g07770.t1 pep chromosome:ASM357664v1:1:6146115:6149757:-1 gene:itb01g07770 transcript:itb01g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNWVFLACLFWSSLGLQSPSQNCHPNDFQALKQFAGNLTGGSILSTWSEPSSCCKWDGVGCEDDNSTSPARRVVKLNLSRKGLQGPVSVSLQNLDKLQVLDLSHNHLGGEFPLDLSKLKQLRVLDLSHNSFNGAVVRALNGLELESLHTLNISSNLFTGDLTGFGEFPNLVVLNVSNNSFSGALDSHICSTSKKIRILDFSANRFAGGLEGLNNCSSSLKGLHLDSNHLSGQLPESLFLISSLEQLSVSANNLSGQLSPELSKLSNLKSLILFSNHFYGVLPNVFGNLTKLEFFDANSNKFSGKLPSTLALCSSLQVLDLRNNSLSGNISLDFTGLPNLDTLDLATNHFSGPLPGSLSSCLGLKTLSLAKNKLSGPVPESYASLSSLVVFTLSNNSFENLSGALSVLQHCRNLSTLVLTRNFNGEEIPKNLSGFESLMIFALGNCHLRGQIPMWLSNCHKLQVLDLSWNHLDGSIPSWIGKMDSLFYLDLSNNSLTGEIPKSLTEMKSLMSPTSYLSSLNSSTGIPFYIKRNLTGNGLQYNHISSFPPSIYLSNNRINGTILPEIGRLRQLHVLDLSRNNISGMIPSSISNMLNLEILDLSYNELYGSIPSSLNELTFLSKFSVAHNHLQGAIPTGGQFFSFPNSSFEGNPGLCGKIVSPCAVNNIGLRPALPSSSPHRLGRGSIVGITISIAVGVAMFLSFVLIKMSRRDVSDQIQDLEEEASRSSRLSDAFGPSKLVLFKNSDCKDLTVADLLKSTNNFNQSNIIGCGGFGLVYKADLPNGTKAAIKRLSGDCGQMDREFQSEVEALSRAQHKNLVALQGYCRHRNDRLLIYSYMENGSLDYWLHERVEGSSFLKWNTRLKIALGAAQGLAYLHKEPNIIHRDIKTSNILLDERFEAHLADFGLARLLCPYDTHVTTDLVGTLGYIPPEYSQSLTATFRGDVYSYGVVLLELITGKRPVEVCKGKNCRDLVSWVFQMKSEKREEEIFDPSIRDNECEKQLLEVLGIACKCIDQVPRQRPTIDQVVSWLEAIEPGKAR >itb13g25560.t1 pep chromosome:ASM357664v1:13:30901868:30902350:1 gene:itb13g25560 transcript:itb13g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAKRVYEKKAVVNYFDKRAWCTISQEYDCKDLLCKIYNQVCGKETEIDSVAEELRKKLMGMRYLIVLDDIWSVEAWEELNRVFPECDNGSRIVLTGRQESVISNAKHICLPFFTIDESWELLQVKLFKGKECPKELESIGKEISKKCGGYRW >itb06g16350.t1 pep chromosome:ASM357664v1:6:20511522:20512198:-1 gene:itb06g16350 transcript:itb06g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEILEVKLEITKADKVFFEVALLKSWAAVGNHVSNVTEINGLRGFWAFFSYHLWCGCFAVHTFDPVSTRQGCERDGLVLCSYTTDRHLSVSFGVLVPGPTVRRWLRF >itb12g09470.t1 pep chromosome:ASM357664v1:12:7426173:7426634:1 gene:itb12g09470 transcript:itb12g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNTWERQGREQGRGGGRNGRGRRGGGRNRGGRGGFATEKEVVEEKETGDCKNPFQLLREVKEEGEIGMEEEDPGLEKDRDDEKDEDYVVSESEMSEQMEKECEDKEEEIKAEERRRCQELNLSPKALGGNQTKAKASVGKGTGSSKKKKK >itb06g07170.t1 pep chromosome:ASM357664v1:6:10523064:10524513:-1 gene:itb06g07170 transcript:itb06g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSGPVHGQLRLHRVCFAPRLSGAGAIEPAITQQIRWTRSEKHFINDGGRFLVISSEPRSARFQAFSRPSRAAGIFPDLCSSPTAALRATIMNPIPNINYSPLNQLGCRVQSQISPIDAVGLIILPSRASQIPVHVDARDQNVTISQCVDGD >itb14g18950.t1 pep chromosome:ASM357664v1:14:21773768:21777005:1 gene:itb14g18950 transcript:itb14g18950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAHSVAWLLVFVLLSTLRKKSQRSSNNNGQLPPEVPGAWPIIGHMHLLLADQIPLQRILAAIADKLGPIFTLRLGMHRTVVITDRKALRDCFTTYDKALAGRPESSAGEILGYNNAGFGFVSYGPYWRRIRKIVLSELLSCRRLEKFGDARAAEVEASIGELYSTVTGEGRRNRRNNSPVMINVGNWIEKLALNLMVKMIAGKRYRTTDDWEDDDDEAKRSRKAIVEFLTSSGQSVVSDVIPIPLLRWIDIGGSIKSMKRIAAEMDAIISGWIDEHAERISISESDRDFIDVLLSSVTDDLLEYGHAKDTIIKATIATIIVAGSDSPSLTLTWALSLLINNKDALERAREEIDTIVGVERWVQESDIKNLIYLQAIIKETLRLYPPAPLAVPHAATEDCAAVAGYRIHKGTRVILNLWKLHRDPEVWAGAEEFRPDRFLPGGGASEVDFLGQHFEYIPFGSGRRICPGIGFATQVCHLVLGRLIQGFEFGAPPCAAVDMAEGVSFSLPRAKPLEVLVAPRLASALYEQKRIAS >itb05g19060.t1 pep chromosome:ASM357664v1:5:25665980:25666831:-1 gene:itb05g19060 transcript:itb05g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSAKAKTESKFSRRIKAPIRVLMRARDFYIRSLSDCSGKFGSGAVDVVGGPAPHIYSLPRSFSVASSASSVEEDMRELIRIASTKSLGGKVEAEILRRRQQPSLRSGSSAAGGGGMRNVLPRSHSVAVGRIDEDKPCDFGDIKVVPVAYPRSRSCAVSGRNRIP >itb11g14470.t1 pep chromosome:ASM357664v1:11:11564883:11568010:1 gene:itb11g14470 transcript:itb11g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSASSPPSLSRPEREERQRFFDSLAKKHCWAKADTVPGRHPERWRKDAAGNIVCKRFCNCQGCLCFEYDHILPFSKGGESVAENCQILQTRVNRLKSDKSEIDITQLKGYSCDIKFTDKELDIIEMAVYGDIVRPGKQCRCRTIDEVLGKHKPKDHTAPCTLP >itb11g14470.t2 pep chromosome:ASM357664v1:11:11564883:11568010:1 gene:itb11g14470 transcript:itb11g14470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSASSPPSLSRPEREERQRFFDSLAKKHCWAKADTVPGRHPERWRKDAAGNIVCKRFCNCQGCLCFEYDHILPFSKGGESVAENCQILQTRVNRLKSDKSEIDITQLKGYSCDIKFTDKELDIIEMAVYGDIVRPGKQCRCRTIDEVLGKHKPKDHTAPCTLP >itb06g25630.t2 pep chromosome:ASM357664v1:6:26595508:26596884:-1 gene:itb06g25630 transcript:itb06g25630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDDNASLVIQHPGGRAEKHYWPLSATEIMNTNPGHYVALLLTTTATTLNSSSAAAASTNNVPPVQRITRIKLLKPTDTLVLGHVYRLVTAQEVMKGLWAKRHSQMKQQQQQQSSSSSGPVKKHEKLIAQKSTTSDSSNRRRVNIGRSEQHERRHRSSSSAAGGRCKAWQPSLLSISEAAGVST >itb06g25630.t1 pep chromosome:ASM357664v1:6:26595508:26596884:-1 gene:itb06g25630 transcript:itb06g25630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDDNASLVIQHPGGRAEKHYWPLSATEIMNTNPGHYVALLLTTTATTLNSSSAAAASTNNVPPVQRITRIKLLKPTDTLVLGHVYRLVTAQEVMKGLWAKRHSQMKQQQQQQSSSSSGPVKKHEKLIAQKSTTSDSSNRRRVNIGRSEHERRHRSSSSAAGGRCKAWQPSLLSISEAAGVST >itb03g00690.t2 pep chromosome:ASM357664v1:3:362835:366356:-1 gene:itb03g00690 transcript:itb03g00690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWWSATKEPPPLVVLVPPLFDFPPLAARTRMLESSYNLLFGKLALRCLFEDYFEEARHFSTRIMLRPIDDPHVDLIASVAGPLDYKPKDHHNIVGNAQFRWQSDVEKPHTFVDLYVSNSEPILLMRSCAYCTQYGIGAFGIFPVLLKKRVSPEDYGVMGLRYGSSNLSCGATISPFPSAEEIPKTAWLVSQIGRLTAGVQYEPQFESKDVPKYKNLKNWSCAIGYGVGSGSPLSPSFNFGLELSRNSQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQSRVDDEKASNSIQDSTFQVAASWQANKNLLLKGKVGPLSSSMALAFKSWWKPSFTVSLSAIRDRTKGQTSFGFGICVDGIREGSYQRADPNFVMLTPNKEHLAEGIQWKIGKRPVFQSDVSSGNFEGVPRELRPLEKIL >itb03g00690.t1 pep chromosome:ASM357664v1:3:362835:366356:-1 gene:itb03g00690 transcript:itb03g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWWSATKEPPPLVVLVPPLFDFPPLAARTRMLESSYNLLFGKLALRCLFEDYFEEARHFSTRIMLRPIDDPHVDLIASVAGPLDYKPKDHHNIVGNAQFRWQSDVEKPHTFVDLYVSNSEPILLMRSCAYCTQYGIGAFGIFPVLLKKRVSPEDYGVMGLRYGSSNLSCGATISPFPSAEEIPKTAWLVSQIGRLTAGVQYEPQFESKDVPKYKNLKNWSCAIGYGVGSGSPLSPSFNFGLELSRNSQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQSRVDDEKASNSIQDSTFQVAASWQANKNLLLKGKVGPLSSSMALAFKSWWKPSFTVSLSAIRDRTKGQTSFGFGICVDGIREGSYQRADPNFVMLTPNKEHLAEGIQWKIGKRPVFQSDVSSGNFEGVPRELRPLEKIL >itb09g00940.t1 pep chromosome:ASM357664v1:9:579383:581016:-1 gene:itb09g00940 transcript:itb09g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIEARAFKSSALKKIAMKSNQQGFFDDVWCLTGLNNVGCDDFSVDDLLDFSDKDFSDSRSCLDSEEVEGRQSGFSLVSPHHRNYNSQTFSGTEDFGSLSTGQFLLPVDDMENLEWLSRIVDDSSSELSLFCPNPSFQPATGAFLESRHEPVIIQAAQNIKPPLPVPVQRKPRSKRSRLSGKVWSYVASESSSPSSSYGSSSLPGANPVHDGDLFSSVEKPPAKKLKRKPSVEAGLGSGVTQTLRRCSHCQVQKTPQWRTGPMGPKTLCNACGVRYKSGRLFPEYRPACSPTFSLEMHSNSHRKVLEMRRKKEDTEVIDAGSITPALVENFQ >itb09g09980.t1 pep chromosome:ASM357664v1:9:6163067:6165525:-1 gene:itb09g09980 transcript:itb09g09980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPHFEPISKCKTEGRSTQTVAADLDGTLLLSRSAFPYFLLVALEAGSVFRAIFLLTSVPFVYFFYIFVSESMAIQTFIFIAFAGLKIKDIEIVSRAVLPKFYSEDVNPRTWKVFNSCRKRYIITANPRIMVEHFAKNFLGADKVLGTELDVKSGRATGLVKKPGVLVGDNKKAAIIKEFETNVPDLGLGDRETDHDFMSICKEGYMVPRTKSEPLPTKHLLSPVIFHDGRLVQRPTPLVALLTFLWMPVGIILSIIRVYLNIPLPERIVRYTYMLLGINLIVKGTPPPPPKQGQPGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFSELISPIKAVALSREREKDAAHIKQLLQEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKLLDPYFVFMNPRPTYEITFLDQLPPELTCRAGKSAIEVANYIQKVLGGTLGFECTSLTRKDKYMIMAGTDGRVQNKVVDNDKEKK >itb04g29140.t1 pep chromosome:ASM357664v1:4:32627274:32631179:1 gene:itb04g29140 transcript:itb04g29140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGKLSVCFTGGGGAAMEARRRKDFQVVISDPLDDLGHSFCYVPEVARLSSSKVHSEETTTFRSISGASISANTSTPLSTAFVDVYSYNSIDRSSTFESSTSFASIPLQPIPRNSIHSGPLLGSGFIQSSGSVERGFMSGPIERGYLSGPLDRGIFSGPLEKGSSTDQFQRSFSHGGFALRSRSRKGKLIRVLQQAISKTISRGQNSIVAPIKGSVSIKEPDWVVGSEKQNELTISSVNLSSECSLEDDDSLENQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYAAVHRELKGLLWDDKDKSDSSNANNPCVNNLRSSSSESEDMNQAVNEQVSRDRTKDGCSRCVEQEVYPELSSEAKLRKNNNKGKNSKKRYRGVAKKWEENQRKWRCEWDRERLELDRRLKEQLNRNGWNDSGAINHADVLKALSQALKKTEEAFLDLADKMLMENPELALMGSCVMVMLMKGEDVYVMNVGDSRAVLAQKKEPDLWSQDLERINEETLHDLEGFDSEGFDVVSNLTAFQLTTDHSTSVAEEVERIRNEHQDDPSAVINDRVKGLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGTSPYLTCLPSLYHHRLGPRDRFLILSSDGLYQYFTNEEAVLEVEHFISWSPEGDPAQHLVEKVLFRAAKKAGLEFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >itb04g21930.t1 pep chromosome:ASM357664v1:4:27053803:27058190:1 gene:itb04g21930 transcript:itb04g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVATLSSSVSALGFSSSAGTTQRKKTFATSNLRSNFIGDASGIRFTNLTIGRNRFVRKTVECKESRIGKQPIPVPSNVTINMEGQDFKVKGPLGELAITYPREVKLEKEESGVLRVRKAVETRRANEMHGLFRTLTDNMIVGVSKGFDKKLQLVGVGYRAVVEGKDIVLNLGFSHPVRMAIPDGLQVKVEENTRITVSGYDKSAIGQFAASIRKWRPPEPYKGKGVKYADEVIRRKEGKAGKKK >itb06g09400.t1 pep chromosome:ASM357664v1:6:13694504:13699226:1 gene:itb06g09400 transcript:itb06g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGKGIPTGDKDHSSAKATTLNPNAAEFVPFALRSPSGNTSNTDASKFADVNTSTLGKSVLDRSESSVSNNSDDEVRRYWRCRLPDDISTDFEVMGEDDDHGINSLPFSNLSLTDITNTSRFPTSTGSAFMLKEQQGLSPNQINGTSFDEKTSYLASRFAEDASSTSFHLLPGNHWEKQHLNDNEFLANVREAPPYDVNSRHEFLTDIINEQQFVEDIGINPVEYLASKFPGFAAESLAEVYYANGGDLNLTVEMLSQLEQQVDCELNQNLNSKAVSAPNLSALDFPALSVADNENNLLTYSGDDLQQEFRPYRESGKDSTLAFKSGSSLPYRGSLDFASAVKKMASQDSSMWKYDKHGSNDARVGSSRNSHVLASPYNGSQSRAVYGDRLQSRGSTRAAPVWLETGEAVANMYSEMREEARDHARLRNAYFEQARLAYLSGQKALAKELSEKGQLHNMKMKDAHGRAQESIFRVRNQEMSTNGRGQERIIDLHGLHVSEGIHVLKRELAILRNAARSADQRLQVFICVGTGHHTKGSRTPARLPTAIQRYLLEEEGLDYTEPQPGLLRVVVY >itb06g09400.t2 pep chromosome:ASM357664v1:6:13694524:13699226:1 gene:itb06g09400 transcript:itb06g09400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGKGIPTGDKDHSSAKATTLNPNAAEFVPFALRSPSGNTSNTDASKFADVNTSTLGKSVLDRSESSVSNNSDDEVRRYWRCRLPDDISTDFEVMGEDDDHGINSLPFSNLSLTDITNTSRFPTSTGSAFMLKEQQGLSPNQINGTSFDEKTSYLASRFAEDASSTSFHLLPGNHWEKQHLNDNEFLANVREAPPYDVNSRHEFLTDIINEQQFVEDIGINPVEYLASKFPGFAAESLAEVYYANGGDLNLTVEMLSQLEQQVDCELNQNLNSKAVSAPNLSALDFPALSVADNENNLLTYSGDDLQQEFRPYRESGKDSTLAFKSGSSLPYRGSLDFASAVKKMASQDSSMWKYDKHGSNDARVGSSRNSHVLASPYNGSQSRAVYGDRLQSRGSTRAAPVWLETGEAVANMYSEMREEARDHARLRNAYFEQVLF >itb13g21840.t4 pep chromosome:ASM357664v1:13:28346174:28350824:-1 gene:itb13g21840 transcript:itb13g21840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGGGQVGKGMSATCSNASWKSGDAVSDKFPAGLRVLVVDDDPTCLKILEKMLRTCLYEVTKCNRAELALSYLRENKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKHEWKDKDPEQSGSADEGDRPQKPSDDADYSSSVNEENWKNSKKRKDEEDEAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGQGGLGNSFMGHPESPFGSMSSLNGLDLQALAASGQISAQSLATFQAAALGSSVTKSAISMPLVDQRNLFSFENPKSRFGGDGPPQLGNSSKQIGLLHGIPTTMEPKQLASLHQSSPTFGGMSMQLNSQVHQNNPLLMQMSQPQPRAQMVSDPNGSQASRLPLSVPQPILSSAMAGGVLGGNSIVDNSCSAIHSSVSHAPSTVAFSVNQGTELQTNSYTTSNSGVSSLTSRGMLREQANPDVKGSRGFVPSYDIFNDLHQHKAQDWGLQNVGSTFDPPPHHSNLQGILDPPPSVMAQHGFSSNQKSGQNRNAPINKDVFLSGEQTGHGNNPMLGPQFNSLLGGNPVTIKTERLPDTSFQNTLFSDQYGQEDLMSALLKQQDSLGPVENEFGFDGYQLDNLPV >itb13g21840.t3 pep chromosome:ASM357664v1:13:28346141:28350954:-1 gene:itb13g21840 transcript:itb13g21840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGGGQVGKGMSATCSNASWKSGDAVSDKFPAGLRVLVVDDDPTCLKILEKMLRTCLYEVTKCNRAELALSYLRENKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKHEWKDKDPEQSGSADEGDRPQKPSDDADYSSSVNEENWKNSKKRKDEEDEAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGQGGLGNSFMGHPESPFGSMSSLNGLDLQALAASGQISAQSLATFQAAALGSSVTKSAISMPLVDQRNLFSFENPKSRFGGDGPPQLGNSSKQIGLLHGIPTTMEPKQLASLHQSSPTFGGMSMQLNSQVHQNNPLLMQMSQPQPRAQMVSDPNGSQASRLPLSVPQPILSSAMAGGVLGGNSIVDNSCSAIHSSVSHAPSTVAFSVNQGTELQTNSYTTSNSGVSSLTSRGMLREQANPDVKGSRGFVPSYDIFNDLHQHKAQDWGLQNVGSTFDPPPHHSNLQGILDPPPSVMAQHGFSSNQKSGQNRNAPINKDVFLSGEQTGHGNNPMLGPQFNSLLGGNPVTIKTERLPDTSFQNTLFSDQYGQEDLMSALLKQQQDSLGPVENEFGFDGYQLDNLPV >itb13g21840.t2 pep chromosome:ASM357664v1:13:28346141:28350954:-1 gene:itb13g21840 transcript:itb13g21840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGGGQVGKGMSATCSNASWKSGDAVSDKFPAGLRVLVVDDDPTCLKILEKMLRTCLYEVTKCNRAELALSYLRENKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKHEWKDKDPEQSGSADEGDRPQKPSDDADYSSSVNEENWKNSKKRKDEEDEAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGQGGLGNSFMGHPESPFGSMSSLNGLDLQALAASGQISAQSLATFQAAALGSSVTKSAISMPLVDQRNLFSFENPKSRFGGDGPPQLGNSSKQIGLLHGIPTTMEPKQLASLHQSSPTFGGMSMQLNSQVHQNNPLLMQMSQPQPRAQMVSDPNGSQASRLPLSVPQPILSSAMAGGVLGGNSIVDNSCSAIHSSVSHAPSTVAFSVNQGTELQTNSYTTSNSGVSSLTSRGMLREQANPDVKGSRGFVPSYDIFNDLHQHKAQDWGLQNVGSTFDPPPHHSNLQGILDPPPSVMAQHGFSSNQKSGQNRNAPINKDVFLSGEQTGHGNNPMLGPQFNSLLGGNPVTIKTERLPDTSFQNTLFSDQYGQEDLMSALLKQQQDSLGPVENEFGFDGYQLDNLPVLHPTMPIHSRTNISLEPK >itb13g21840.t1 pep chromosome:ASM357664v1:13:28346141:28350954:-1 gene:itb13g21840 transcript:itb13g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGGGQVGKGMSATCSNASWKSGDAVSDKFPAGLRVLVVDDDPTCLKILEKMLRTCLYEVTKCNRAELALSYLRENKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKNVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKHEWKDKDPEQSGSADEGDRPQKPSDDADYSSSVNEENWKNSKKRKDEEDEAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGQGGLGNSFMGHPESPFGSMSSLNGLDLQALAASGQISAQSLATFQAAALGSSVTKSAISMPLVDQRNLFSFENPKSRFGGDGPPQLGNSSKQIGLLHGIPTTMEPKQLASLHQSSPTFGGMSMQLNSQVHQNNPLLMQMSQPQPRAQMVSDPNGSQASRLPLSVPQPILSSAMAGGVLGGNSIVDNSCSAIHSSVSHAPSTVAFSVNQGTELQTNSYTTSNSGVSSLTSRGMLREQANPDVKGSRGFVPSYDIFNDLHQHKAQDWGLQNVGSTFDPPPHHSNLQGILDPPPSVMAQHGFSSNQKSGQNRNAPINKDVFLSGEQTGHGNNPMLGPQFNSLLGGNPVTIKTERLPDTSFQNTLFSDQYGQEDLMSALLKQQDSLGPVENEFGFDGYQLDNLPVLHPTMPIHSRTNISLEPK >itb03g16900.t1 pep chromosome:ASM357664v1:3:15661699:15663343:1 gene:itb03g16900 transcript:itb03g16900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKNKSELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAVLREAYKNKKYLPLDLRPKKTRAIRRRLTKHQASLKTERQKKEMYFPLRKYAIKV >itb15g04290.t1 pep chromosome:ASM357664v1:15:2708806:2709081:-1 gene:itb15g04290 transcript:itb15g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYYFFPTDFFYPKPSKPAATALPPPPQVTLPVTVEPAMPESSVAAAAEGPDMAKSPRYWRGNKILRASAQRVRCYTVERKNNPRQLI >itb12g11140.t1 pep chromosome:ASM357664v1:12:9282427:9286311:-1 gene:itb12g11140 transcript:itb12g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVQSWPEPIVRVQSLSESGIRTIPDRYIKHLSDRPLFTGNSPAVNKVNIPTIDLNSLFSGDGAVRRETCELISGACREWGFFQVINHGVDLKLMGGMQEAWREFFHLPLEEKQRYANSPTTYEGYGSRLGVVKEAKLDWSDYFFLHFLPEPLRDPSKWPRLPVSCRELVAKYGEEVVKLCEKLTRILSVSLGLKEDALHQAFGGDENRGACLRVNFYPKCPQPDLTLGISPHSDPGGMTLLLPDADVAGLQVRHAGQWVTVKPVPNAFIVNMGDQMQVLTNGMYKSVEHRVIVNSGKERVSLALFYNPGGETVVKPLEELVTEERPAIYPAMTFNQYRSFIRTRGPCGKSQVESLKSPR >itb04g07360.t1 pep chromosome:ASM357664v1:4:4975184:4976472:-1 gene:itb04g07360 transcript:itb04g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHTTPCIVVLSCLLLLLSLSQCQGLLSPTFYNKTCPNALNIIRTGVNQAVSRERRMAASLIRLHFHDCFVQGCDASILLDETPTMESEKTALPNLGSARGYEVIDDIKHELETSCPGIVSCADVLSVAARDASVAVGGPSWTVKLGRRDSTKANHTIAETLPGPFDNLDTLISSFANKGLYARDLVALSGAHTIGQAQCFLFRDRIYDNGTDIDAGFASTRRRQCPKNTGNGQLAPLDVVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGEGTHSIVLEYARSPQLFEADFASAMIKMSEIQPLTGQNGIIRKVCGAALHSSI >itb01g29300.t1 pep chromosome:ASM357664v1:1:33562922:33569446:1 gene:itb01g29300 transcript:itb01g29300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLPNSGFCATLTRAPIDSCKKNRSTNSVTISRSTPFKIEAKRRCECYDKHKELVPYDEAWSLQKSIVEERKALVQRNEDFVDSLIVLQHHPVYTLGAAGSEEYLRFDQKNAPFDVYRTERGGEVTYHGPGQIIMYPIINLRYQKMDLHWYLRALEEVIIRALSSSFSIKASRVEGLTGVWVGDQKLAAIGIKVSQWITFHGLALNVTTDLAAFQQIVPCGIQDRRVGSIKELQSGVSSNSCNKIDDSQLMDIAYKSLLKEFCELFQVDLYYK >itb01g29300.t2 pep chromosome:ASM357664v1:1:33566535:33569177:1 gene:itb01g29300 transcript:itb01g29300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLPNSGFCATLTRAPIDSCKKNRSTNSVTISRSTPFKIEAKRRCECYDKHKELVPYDEAWSLQKSIVEERKALVQRNEDFVDSLIVLQHHPVYTLGAAGSEEYLRFDQKNAPFDVYRTERGGEVTYHGPGQIIMYPIINLRYQKMDLHWYLRALEEVIIRALSSSFSIKASRVEGLTGVWVGDQKLAAIGIKVSQWITFHGLALNVTTDLAAFQQIVPCGIQDRRVGSIKELQSGVSSNSCNKIDDSQLMDIAYKSLLKEFCELFQVDLYYK >itb04g27730.t5 pep chromosome:ASM357664v1:4:31675848:31684517:1 gene:itb04g27730 transcript:itb04g27730.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MSGMASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCTNLLSKHPNSPYALALKALILERMGKADEALSVCLNAKELLYTNDFALIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNMELMIGLFNCYVREYSYVKQQQIAIKMYKIGGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHITSHSLHEPEALILYISLLEQQSKYGDALEVLSGKLGSLIMIEVDRLRLQGRLHARAGDYDAASNIFQEVLRKCPDDWECFLHYLGCLMEDDSSLCSEANSEHINLSKSVDCKISHLTDEVFDSRIANASSFVHQLLAEANSDSIRCPYLANLEIERRKLLNGKGDSDKLVETLVHYFLRFGHLACFASDVEMFLQVLSHDNKCELLKKLMKECQSIETVPTKALGKFITVFKIQNIVGELFTLPIDELEKLAVQMTDMYCKNLTLSKDLDGQDSMYGEELLSMACNVLVQLFWRTRNLGYLLESVLVLEFGLTVRRFVWQYKILLLHLYSHWCSLPLAYEWYKSLDVKNILLETVSHLILPQMLASPLWADSSDILRDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLMARIEAPILQMKKNANSIEEEESILESLKSGVQILELSNETRSKPLTFNEDLQLRPWWTPTCDKNYLLGPSEGVSYCRRENMHKQIQQSDANVIKNIEKRSLLPRMVFLSMRCAASSVKENIEVNGSVFNPKLSSELKLLLDQYANTLGFSFQDALELAFGVSSGHKQLEELNVDLVGWMSFVVFLNAWNLQSHEVDKGSNTPNMWQIVNSLLKKCIEEKIRSIGPHASAPGSDLSTLVQLVTEPLAWHTLVIQSCARSVVPSGKRKKKGGAAAEQSNARLSQGIQESVQSVCNVIQEVLNWLKEQISKSDDAKLESVLSSLHGQSEGPGKVFRIINKLASSANDMELGDRISQAVQSWSPADVVRKITTSQVLALHDFSKICESKLKSLQALKAHL >itb04g27730.t4 pep chromosome:ASM357664v1:4:31675832:31683153:1 gene:itb04g27730 transcript:itb04g27730.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MSGMASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCTNLLSKHPNSPYALALKALILERMGKADEALSVCLNAKELLYTNDFALIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNMELMIGLFNCYVREYSYVKQQQIAIKMYKIGGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHITSHSLHEPEALILYISLLEQQSKYGDALEVLSGKLGSLIMIEVDRLRLQGRLHARAGDYDAASNIFQEVLRKCPDDWECFLHYLGCLMEDDSSLCSEANSEHINLSKSVDCKISHLTDEVFDSRIANASSFVHQLLAEANSDSIRCPYLANLEIERRKLLNGKGDSDKLVETLVHYFLRFGHLACFASDVEMFLQVLSHDNKCELLKKLMKECQSIETVPTKALGKFITVFKIQNIVGELFTLPIDELEKLAVQMTDMYCKNLTLSKDLDGQDSMYGEELLSMACNVLVQLFWRTRNLGYLLESVLVLEFGLTVRRFVWQYKILLLHLYSHWCSLPLAYEWYKSLDVKNILLETVSHLILPQMLASPLWADSSDILRDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLMARIEAPILQMKKNANSIEEEESILESLKSGVQILELSNETRSKPLTFNEDLQLRPWWTPTCDKNYLLGPSEGVSYCRRENMHKQIQQSDANVIKNIEKRSLLPRMVFLSMRCAASSVKENIEVNGSVFNPKLSSELKLLLDQYANTLGFSFQDALELAFGVSSGHKQLEVFMPPSD >itb04g27730.t1 pep chromosome:ASM357664v1:4:31675857:31685108:1 gene:itb04g27730 transcript:itb04g27730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MSGMASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCTNLLSKHPNSPYALALKALILERMGKADEALSVCLNAKELLYTNDFALIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNMELMIGLFNCYVREYSYVKQQQIAIKMYKIGGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHITSHSLHEPEALILYISLLEQQSKYGDALEVLSGKLGSLIMIEVDRLRLQGRLHARAGDYDAASNIFQEVLRKCPDDWECFLHYLGCLMEDDSSLCSEANSEHINLSKSVDCKISHLTDEVFDSRIANASSFVHQLLAEANSDSIRCPYLANLEIERRKLLNGKGDSDKLVETLVHYFLRFGHLACFASDVEMFLQVLSHDNKCELLKKLMKECQSIETVPTKALGKFITVFKIQNIVGELFTLPIDELEKLAVQMTDMYCKNLTLSKDLDGQDSMYGEELLSMACNVLVQLFWRTRNLGYLLESVLVLEFGLTVRRFVWQYKILLLHLYSHWCSLPLAYEWYKSLDVKNILLETVSHLILPQMLASPLWADSSDILRDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLMARIEAPILQMKKNANSIEEEESILESLKSGVQILELSNETRSKPLTFNEDLQLRPWWTPTCDKNYLLGPSEGVSYCRRENMHKQIQQSDANVIKNIEKRSLLPRMVFLSMRCAASSVKENIEVNGSVFNPKLSSELKLLLDQYANTLGFSFQDALELAFGVSSGHKQLEELNVDLVGWMSFVVFLNAWNLQSHEVDKGSNTPNMWQIVNSLLKKCIEEKIRSIGPHASAPGSDLSTLVQLVTEPLAWHTLVIQSCARSVVPSGKRKKKGGAAAEQSNARLSQGIQESVQSVCNVIQEVLNWLKEQISKSDDAKLESVLSSLHGQSEGPGKVFRIINKLASSANDMELGDRISQAVQSWSPADVVRKITTSQVLALHDFSKICESKLKSLQALKAHL >itb04g27730.t2 pep chromosome:ASM357664v1:4:31675848:31685108:1 gene:itb04g27730 transcript:itb04g27730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MSGMASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCTNLLSKHPNSPYALALKALILERMGKADEALSVCLNAKELLYTNDFALIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNMELMIGLFNCYVREYSYVKQQQIAIKMYKIGGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHITSHSLHEPEALILYISLLEQQSKYGDALEVLSGKLGSLIMIEVDRLRLQGRLHARAGDYDAASNIFQEVLRKCPDDWECFLHYLGCLMEDDSSLCSEANSEHINLSKSVDCKISHLTDEVFDSRIANASSFVHQLLAEANSDSIRCPYLANLEIERRKLLNGKGDSDKLVETLVHYFLRFGHLACFASDVEMFLQVLSHDNKCELLKKLMKECQSIETVPTKALGKFITVFKIQNIVGELFTLPIDELEKLAVQMTDMYCKNLTLSKDLDGQDSMYGEELLSMACNVLVQLFWRTRNLGYLLESVLVLEFGLTVRRFVWQYKILLLHLYSHWCSLPLAYEWYKSLDVKNILLETVSHLILPQMLASPLWADSSDILRDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLMARIEAPILQMKKNANSIEEEESILESLKSGVQILELSNETRSKPLTFNEDLQLRPWWTPTCDKNYLLGPSEGVSYCRRENMHKQIQQSDANVIKNIEKRSLLPRMVFLSMRCAASSVKENIEVNGSVFNPKLSSELKLLLDQYANTLGFSFQDALELAFGVSSGHKQLEELNVDLVGWMSFVVFLNAWNLQSHEVDKGSNTPNMWQIVNSLLKKCIEEKIRSIGPHASAPGSDLSTLVQLVTEPLAWHTLVIQSCARSVVPSGKRKKKGGAAAEQSNARLSQGIQESVQSVCNVIQEVLNWLKEQISKSDDAKLESVLSSLHGQSEGPGKVFRIINKLASSANDMELGDRISQAVQSWSPADVVRKITTSQVLALHDFSKICESKLKSLQALKAHL >itb04g27730.t6 pep chromosome:ASM357664v1:4:31675832:31683153:1 gene:itb04g27730 transcript:itb04g27730.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MSGMASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCTNLLSKHPNSPYALALKALILERMGKADEALSVCLNAKELLYTNDFALIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNMELMIGLFNCYVREYSYVKQQQIAIKMYKIGGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHITSHSLHEPEALILYISLLEQQSKYGDALEVLSGKLGSLIMIEVDRLRLQGRLHARAGDYDAASNIFQEVLRKCPDDWECFLHYLGCLMEDDSSLCSEANSEHINLSKSVDCKISHLTDEVFDSRIANASSFVHQLLAEANSDSIRCPYLANLEIERRKLLNGKGDSDKLVETLVHYFLRFGHLACFASDVEMFLQVLSHDNKCELLKKLMKECQSIETVPTKALGKFITVFKIQNIVGELFTLPIDELEKLAVQMTDMYCKNLTLSKDLDGQDSMYGEELLSMACNVLVQLFWRTRNLGYLLESVLVLEFGLTVRRFVWQYKILLLHLYSHWCSLPLAYEWYKSLDVKNILLETVSHLILPQMLASPLWADSSDILRDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLMARIEAPILQMKKNANSIEEEESILESLKSGVQILELSNETRSKPLTFNEDLQLRPWWTPTCDKNYLLGPSEGVSYCRRENMHKQIQQSDANVIKNIEKRSLLPRMVFLSMRCAASSVKENIEVNGSVFNPKLSSELKLLLDQYANTLGFSFQDALELAFGVSSGHKQLEVFMPPSD >itb04g27730.t3 pep chromosome:ASM357664v1:4:31675857:31684517:1 gene:itb04g27730 transcript:itb04g27730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MSGMASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHCTNLLSKHPNSPYALALKALILERMGKADEALSVCLNAKELLYTNDFALIDDLTLSTLQIVFQRLDHLDVATSCYEYACGKFPNNMELMIGLFNCYVREYSYVKQQQIAIKMYKIGGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHITSHSLHEPEALILYISLLEQQSKYGDALEVLSGKLGSLIMIEVDRLRLQGRLHARAGDYDAASNIFQEVLRKCPDDWECFLHYLGCLMEDDSSLCSEANSEHINLSKSVDCKISHLTDEVFDSRIANASSFVHQLLAEANSDSIRCPYLANLEIERRKLLNGKGDSDKLVETLVHYFLRFGHLACFASDVEMFLQVLSHDNKCELLKKLMKECQSIETVPTKALGKFITVFKIQNIVGELFTLPIDELEKLAVQMTDMYCKNLTLSKDLDGQDSMYGEELLSMACNVLVQLFWRTRNLGYLLESVLVLEFGLTVRRFVWQYKILLLHLYSHWCSLPLAYEWYKSLDVKNILLETVSHLILPQMLASPLWADSSDILRDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVQFKERLQRSSQYLMARIEAPILQMKKNANSIEEEESILESLKSGVQILELSNETRSKPLTFNEDLQLRPWWTPTCDKNYLLGPSEGVSYCRRENMHKQIQQSDANVIKNIEKRSLLPRMVFLSMRCAASSVKENIEVNGSVFNPKLSSELKLLLDQYANTLGFSFQDALELAFGVSSGHKQLEELNVDLVGWMSFVVFLNAWNLQSHEVDKGSNTPNMWQIVNSLLKKCIEEKIRSIGPHASAPGSDLSTLVQLVTEPLAWHTLVIQSCARSVVPSGKRKKKGGAAAEQSNARLSQGIQESVQSVCNVIQEVLNWLKEQISKSDDAKLESVLSSLHGQSEGPGKVFRIINKLASSANDMELGDRISQAVQSWSPADVVRKITTSQVLALHDFSKICESKLKSLQALKAHL >itb09g02400.t1 pep chromosome:ASM357664v1:9:1382307:1384551:1 gene:itb09g02400 transcript:itb09g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDIAMAILFAAGYVGIIFEESLAFNKSGIGLLMAVSLWVIRSIGAPSNDITLSELSCATSEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRNPRTLLWVVGFVTFWLSSILDNLTSTIIMVSLLRELVPSSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLFIPSAISLAVPLALMTLTSEVNGKEQSCPNVLASGEMAPRGQIVFSVGLGALVFVPIFKSLTGLPPYMGILLGLGILWILTDAIHYGESERQRLKVPQALSRIDTQGALFFLGILMSVKSLEAAGILRELANYLDAHIPNVELVASAIGVVSALIDNVPLVAATMGMYDLSSFPQDSEFWQLVAYCAGTGGSMLLVGSAAGVAYMGMEKVDFVWYLRKVSGFAFAGYAAGIAAYLAVNNLQSFLPSVLAHFPFLSGL >itb01g28610.t1 pep chromosome:ASM357664v1:1:33014130:33019372:1 gene:itb01g28610 transcript:itb01g28610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSGTQAMEGTSVDPVAEWMAPGGETGLEEPMWQLTLGGGSESYPERPNEADCIYYLRTGFCGYGDRCRFNHPRDRSLTVGAIRSSGGEYPERVGQPVCQYYMRTGMCKFGASCKYHHPRQGGGSPAPVALNIYGCPLRPGEKECSYYVKTGQCKFGMTCKFHHPQPAGIQVPAPSPGPGPGPLPAPTAVPPPAIYPIMQSPVQSSQQYGIMPGNWSVPRPVLFPGSYIPGTYSPMLLPPGLVPVPGGWTPYPAPLSPVASPNTQTTSGAGSVYGFTQLSSSAPAYSGTYVSVTSSVAPSAGSQKEHAFPQRPGEPECQHYMKTGDCKFGSSCRYHHPPDWSGPKTNFFLGPMGLPLRPGAPICSHYSVNGVCNFGPSCKFDHPMGTLSYSPSASSLADIPTAPYPVGSAMGNLALPSSGLRPDLISGSNTRTYSKTGPTHSGTQQPGQSFSSSGGSSTGHGGEVSTSS >itb01g11790.t1 pep chromosome:ASM357664v1:1:10924914:10926039:-1 gene:itb01g11790 transcript:itb01g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSKLPLLLYYLFLLLHLSLADIGTTSQYSPPYTPTACFGSDRSQFPSSNYFAAAGEGIWDNGASCGRQYLVSCISSVLPKACKKDQTIQIKIVDRAQTSVSRPTKPGTTMVLSNAAFAAIANPSATSLNIEFQQYVLYILLPKS >itb15g20030.t1 pep chromosome:ASM357664v1:15:22516706:22517340:-1 gene:itb15g20030 transcript:itb15g20030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGVSEKKRNRPIGKSDAKTEQNTVDDRHPKSLSEDVEESSDEASRSGGVGQWPATPLLLPSLPATSSPGASMSSLRRAAAATDPVRRSSGVDRRIPPPLPFSSRFIMLIKLWLSTYTD >itb11g00870.t1 pep chromosome:ASM357664v1:11:383947:387457:1 gene:itb11g00870 transcript:itb11g00870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPDDETANVNVNSGGEGNDGVDTTPLLGDQIFRSRRFMRRPPSLRGAARFLRRASSRRMMREPSMRVREAAAEQIEERQSDWAYSKPIVLLDLFWNLAFVVVSVSVLVMSRDESPMMPLRLWIVGYDFQCVLHIVCVCLEYRRRNQLPSSPENLNSRRSASGNWSGGNSSSGSDGESGDYGSDTRQNEDEDETSTAKHLESANTMFSFIWWIIGFYWVSAGGERLPQDSPQLYWLCITFLAFDVFFVVICVAVACVIGIAVCCCLPCIIAILYAVADQEGATKEDIERLPKYKFKKLGDFEKQNGEIQESFGGVMTECDTDSPIEHVLPPEDAECCICLCAYDDGVELRELPCRHHFHCACIDKWLYINATCPLCKFNILKNDNQSNSEDV >itb13g07500.t1 pep chromosome:ASM357664v1:13:9381424:9381965:1 gene:itb13g07500 transcript:itb13g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPIIALAKLKLFKLTSQSVSTASFWLLVPFLLKLGYRIKPIHHLCHEFIYSARLFFFQMGQITFNGEPPEPGMADSRWMRALRLVAERLSRARHPQFFETDEESLRALAMIAL >itb04g21440.t1 pep chromosome:ASM357664v1:4:26548826:26550127:1 gene:itb04g21440 transcript:itb04g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVDLAGKKLSHNALLRDIIMLENQIPLFVLRKMLELQCQSPEAYDDLLLTMLMGLSKDLSPFKMADDSAIVQIAEATHLLGYLFKSIVPKIEGGNFSQKEIIKIFIF >itb15g16380.t2 pep chromosome:ASM357664v1:15:15887662:15889582:1 gene:itb15g16380 transcript:itb15g16380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQNQDERCQKNIEGEEAADEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRGENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERLQKAEISLCRVYKRAGVDDHHPSLPRSLQTSRATATAAASSSSSRGTAAIKKLHESSFSPYQVAEEKISAETSGSSSTEVGTSLGLSSYQSSYAAPIVPAPPSPAFFSASSSAVAVAADDLHRLVNSQQQISLQHPQLFQSLSSHFPPPPMLQPLPPQNLALPPGSLHQAAAAFSDRLWDWSSMGDASRDYGAGNPFK >itb15g16380.t1 pep chromosome:ASM357664v1:15:15887377:15889728:1 gene:itb15g16380 transcript:itb15g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPEKMSQQNQDERCQKNIEGEEAADEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRGENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERLQKAEISLCRVYKRAGVDDHHPSLPRSLQTSRATATAAASSSSSRGTAAIKKLHESSFSPYQVAEEKISAETSGSSSTEVGTSLGLSSYQSSYAAPIVPAPPSPAFFSASSSAVAVAADDLHRLVNSQQQISLQHPQLFQSLSSHFPPPPMLQPLPPQNLALPPGSLHQAAAAFSDRLWDWSSMGDASRDYGAGNPFK >itb01g05230.t2 pep chromosome:ASM357664v1:1:3586511:3595155:1 gene:itb01g05230 transcript:itb01g05230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G21670) UniProtKB/Swiss-Prot;Acc:Q5YDB6] MYKSMEMVRVYEGETLLGEVELYPQNGVVWGGKEIRVSHYSQPSERCPPLAVLHTITSSSPSGLSFKLESKSPKTQTQDYSPLYVLHSTCLRENKTAVMSLGREELHLVAMQSREYGGVCPCFWGFIVSPGLYSSCLVMLNLRCLGIVFDLDETLIVANTLRSFEDRIENLQRKINAEADPQRVLGMVAEVKRYQEDKSILKQYAENDQVIDNGKVIKCQPEVFPALSDSHQPIVRPLIRLLDKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLISSNELLNRIVCVKSGLKKSLFNVFQDGNCHPKMSLVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEGNNSVPVLCVARNVACNVRGGFFKDFDEGLLQRISDVAYEDDIKEIPSAPDVSNYLISEDDTTTSNGNKDSLGFDGMSDTEVEKRLKEALSGSATVPLTVPSLDPRISPAFQYPTLSSSLSIPAPTMHNLGMPLPSQQLPQATPVLKSSLTQVGPQDTSLQSSPAREEGEVPESELDPDTRRRLLILQHGMDNREHAPDSMHMEKHRPHHPPYLHKMDGAVPSDRLVESQMLSKEAIPSDDRMRLNQSLPRFQSFSGEEVPLARSGNRDLDLEPGRFDSYAETEVGALHDIALKCGTKLEYRSTLVSSSDLQFNAEAWFAGEKIGVGIGRTRREAQHYAAERSLKNLADKYMSRLNPDSSSVPGDGIRNGFGNDNCVFGYQSIKEDVLPFSSTSEPLRGLDSKLEASKKSMGSVAALRELCTTEGLSLAFQTQPQLSAAPGQKNEIHAQVEIDGEVFGKGIGSTWDEAKAQAAERALGALKSTLGQFSHKRQGSPRSLQVFSNKRLKPEYPRFVQRVSSSSKYSKNASAVP >itb01g05230.t1 pep chromosome:ASM357664v1:1:3586511:3595155:1 gene:itb01g05230 transcript:itb01g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G21670) UniProtKB/Swiss-Prot;Acc:Q5YDB6] MYKSMEMVRVYEGETLLGEVELYPQNGVVWGGKEIRVSHYSQPSERCPPLAVLHTITSSSPSGLSFKLESKSPKTQTQDYSPLYVLHSTCLRENKTAVMSLGREELHLVAMQSREYGGVCPCFWGFIVSPGLYSSCLVMLNLRCLGIVFDLDETLIVANTLRSFEDRIENLQRKINAEADPQRVLGMVAEVKRYQEDKSILKQYAENDQVIDNGKVIKCQPEVFPALSDSHQPIVRPLIRLLDKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLISSNELLNRIVCVKSGLKKSLFNVFQDGNCHPKMSLVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEGNNSVPVLCVARNVACNVRGGFFKDFDEGLLQRISDVAYEDDIKEIPSAPDVSNYLISEDDTTTSNGNKDSLGFDGMSDTEVEKRLKEALSGSATVPLTVPSLDPRISPAFQYPTLSSSLSIPAPTMHNLGMPLPSQQLPQATPVLKSSLTQVGPQDTSLQSSPAREEGEVPESELDPDTRRRLLILQHGMDNREHAPGESQLPVRPSVPAPVPRVQPRSWFPTEEEMGPGQLNRVLPPKDFPLSADSMHMEKHRPHHPPYLHKMDGAVPSDRLVESQMLSKEAIPSDDRMRLNQSLPRFQSFSGEEVPLARSGNRDLDLEPGRFDSYAETEVGALHDIALKCGTKLEYRSTLVSSSDLQFNAEAWFAGEKIGVGIGRTRREAQHYAAERSLKNLADKYMSRLNPDSSSVPGDGIRNGFGNDNCVFGYQSIKEDVLPFSSTSEPLRGLDSKLEASKKSMGSVAALRELCTTEGLSLAFQTQPQLSAAPGQKNEIHAQVEIDGEVFGKGIGSTWDEAKAQAAERALGALKSTLGQFSHKRQGSPRSLQVFSNKRLKPEYPRFVQRVSSSSKYSKNASAVP >itb04g05330.t1 pep chromosome:ASM357664v1:4:3313915:3317928:1 gene:itb04g05330 transcript:itb04g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIDIKLIENVNSRQVTFSKRRSGLLKKAQELSILCDAEVAVIIFSSTDKLFEYSSTSMKQTLARYNKCLDSTETPKIVEKKPKVEHKEVEVLNNEISELKSKQLQLLGKDLTGMGLNELRQLEHKLDGALLAIKGRKEQLLLEQLENSRKQEERAMVENENLRRQIEELRGLVPSNVSMPMPTPYLEYYPALKNCSSGKDGAESLNTGCDDDLENEVSDTTLQLGPPTGSCRKRKTPDQETHSSNSENHLELK >itb12g11670.t1 pep chromosome:ASM357664v1:12:10046745:10048720:1 gene:itb12g11670 transcript:itb12g11670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLCFVVLLLAISCCCCSAKDLAAIHLKTESLGVVTILSDEETQREIISAINAVCFNFFPSQECNDEVDQLSNIFKIIDSYTPESLCQEIELCEKVVSISQYASNSSCDLCHRTVMEATEQVEVRQLLLKACDSATNFSTKCKKLVFKYAPLILVKAEQLAKTNDICSLLHLCDSPIPRMEESRHSAS >itb11g07510.t1 pep chromosome:ASM357664v1:11:4606465:4608905:1 gene:itb11g07510 transcript:itb11g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVFSIVVFHTFLPCLYPPLTNKPNRRIRKVSSLPPLLLVSPFSLQEREKERDKERFSVCVCEGSGWFGAKMRALPFGEAGGVVAAASSLSSSSSSSSSVTVNVIALNLGFNKIQFHSSPTFKASLPNPSPASLTPLCRSGLCRASQIAELFPTVSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRIDRLIGMLFGFSIPNGCERTCLVAVTGSSEEGSFLLGSEEFKIGGIDGRLSLVNKGYVAGILTVDTVADFLPRKGPLRQRRTGIAYISNVAVRESFRRKGIAKKLIAKAEAKARSWGCRAIALHCDISNPGATKLYTGQGFKVIKVAEGANWPQPRISPDVQFSFMMKLLNSKR >itb01g05570.t3 pep chromosome:ASM357664v1:1:3819827:3825951:-1 gene:itb01g05570 transcript:itb01g05570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVATSSTAVQSLIEASSGSIEHGWEVGWSLASYGSGHQSFMDSAQKQVEQASFPSQKYTVVAESSNPSLMGKSTTRVALLRVSSTEYEGLPKIGTSHFNKRGDLLLSIGSPFGILSPIHFFNSVAVGSVANIYPSSNSKRSLLMADIRCLPGMEGSPVFGKHAELIGILSRPLRQRTSAAEIQMVIPWEAIASACSDMLDQQPQNTWNGIRHDNGLLSDVGMGTEGGSIKDIHGYLCAGPPPSSSIEKATASICLITVDDGAWASGILLNKQGLILTNAHLLEPWRFGKASANGEVPKTKPKVVFTPYDQCKHPGDEKVSINSRDQGLPQTGMEPVDFSLKRRHKGFRVNPESSGNRNIRVRLDFMDPWIWTNAKVVHVSKGPLDVALLQLEFVPDQLCPIVMDFTCPSPGSKAYILGHGLFGPRCDFLPSACLGVIAKVVETKRSMHYQSIQETYFPAMLQTTAAVHPGGSGGAVVNSDGRMIGLVTSNAKHGGGTVIPHLNFSIPCAALEPIFKFSEDMQELSLLEYLDKPNDQLSSVWALAPPLSSKLGPSLLDLPLLPQDGGSKNIKGSRFAKFMADRDELLKNTPQPDKPEGSQTKFISSKL >itb01g05570.t2 pep chromosome:ASM357664v1:1:3819827:3825541:-1 gene:itb01g05570 transcript:itb01g05570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHVKTEFTNDYALYNNIRKVEQASFPSQKYTVVAESSNPSLMGKSTTRVALLRVSSTEYEGLPKIGTSHFNKRGDLLLSIGSPFGILSPIHFFNSVAVGSVANIYPSSNSKRSLLMADIRCLPGMEGSPVFGKHAELIGILSRPLRQRTSAAEIQMVIPWEAIASACSDMLDQQPQNTWNGIRHDNGLLSDVGMGTEGGSIKDIHGYLCAGPPPSSSIEKATASICLITVDDGAWASGILLNKQGLILTNAHLLEPWRFGKASANGEVPKTKPKVVFTPYDQCKHPGDEKVSINSRDQGLPQTGMEPVDFSLKRRHKGFRVNPESSGNRNIRVRLDFMDPWIWTNAKVVHVSKGPLDVALLQLEFVPDQLCPIVMDFTCPSPGSKAYILGHGLFGPRCDFLPSACLGVIAKVVETKRSMHYQSIQETYFPAMLQTTAAVHPGGSGGAVVNSDGRMIGLVTSNAKHGGGTVIPHLNFSIPCAALEPIFKFSEDMQELSLLEYLDKPNDQLSSVWALAPPLSSKLGPSLLDLPLLPQDGGSKNIKGSRFAKFMADRDELLKNTPQPDKPEGSQTKFISSKL >itb01g05570.t4 pep chromosome:ASM357664v1:1:3819839:3822898:-1 gene:itb01g05570 transcript:itb01g05570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPWEAIASACSDMLDQQPQNTWNGIRHDNGLLSDVGMGTEGGSIKDIHGYLCAGPPPSSSIEKATASICLITVDDGAWASGILLNKQGLILTNAHLLEPWRFGKASANGEVPKTKPKVVFTPYDQCKHPGDEKVSINSRDQGLPQTGMEPVDFSLKRRHKGFRVNPESSGNRNIRVRLDFMDPWIWTNAKVVHVSKGPLDVALLQLEFVPDQLCPIVMDFTCPSPGSKAYILGHGLFGPRCDFLPSACLGVIAKVVETKRSMHYQSIQETYFPAMLQTTAAVHPGGSGGAVVNSDGRMIGLVTSNAKHGGGTVIPHLNFSIPCAALEPIFKFSEDMQELSLLEYLDKPNDQLSSVWALAPPLSSKLGPSLLDLPLLPQDGGSKNIKGSRFAKFMADRDELLKNTPQPDKPEGSQTKFISSKL >itb01g05570.t1 pep chromosome:ASM357664v1:1:3819827:3827292:-1 gene:itb01g05570 transcript:itb01g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQEVIDVARNFAVMVRIQGPDPKGLKMRKHAFHLYNSGRTTLSASGMLLPSSLVNASVAKQNVGEGNLQSMGGYVLVMTVASVIEPFLSQQHRDSMSKLDKPTLIPGAQIDILTEGKEAYTTANKEALHWVPAELLRLVDVATSSTAVQSLIEASSGSIEHGWEVGWSLASYGSGHQSFMDSAQKQVEQASFPSQKYTVVAESSNPSLMGKSTTRVALLRVSSTEYEGLPKIGTSHFNKRGDLLLSIGSPFGILSPIHFFNSVAVGSVANIYPSSNSKRSLLMADIRCLPGMEGSPVFGKHAELIGILSRPLRQRTSAAEIQMVIPWEAIASACSDMLDQQPQNTWNGIRHDNGLLSDVGMGTEGGSIKDIHGYLCAGPPPSSSIEKATASICLITVDDGAWASGILLNKQGLILTNAHLLEPWRFGKASANGEVPKTKPKVVFTPYDQCKHPGDEKVSINSRDQGLPQTGMEPVDFSLKRRHKGFRVNPESSGNRNIRVRLDFMDPWIWTNAKVVHVSKGPLDVALLQLEFVPDQLCPIVMDFTCPSPGSKAYILGHGLFGPRCDFLPSACLGVIAKVVETKRSMHYQSIQETYFPAMLQTTAAVHPGGSGGAVVNSDGRMIGLVTSNAKHGGGTVIPHLNFSIPCAALEPIFKFSEDMQELSLLEYLDKPNDQLSSVWALAPPLSSKLGPSLLDLPLLPQDGGSKNIKGSRFAKFMADRDELLKNTPQPDKPEGSQTKFISSKL >itb01g12410.t1 pep chromosome:ASM357664v1:1:11922765:11923232:1 gene:itb01g12410 transcript:itb01g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGASDAYIVMRALEEYKQKHGREKFGYEHVWAIVKDLPSWQPQFVARQISLNTSSTNQTSSGSAAGTTSGSEEVFPRLMGKKVSKRKAKERYSNNDDDDDIHVSLEKQRELLERYKKLKMESDERKMKWKECKVLTRNTTGMTKEQLALHEE >itb01g12180.t2 pep chromosome:ASM357664v1:1:11588170:11593006:-1 gene:itb01g12180 transcript:itb01g12180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDRPRSVLYRSFLTCDDPRGVVECNRIRKSKTELPKMVDDDKLVKRAVREGGMSVSASKKEREERVYQGCADELNASCSVQLMEVSRGAQKLTRVIDSWSKGGGLDRKSKEVAKDLLKGALDLQESLEMLEKLQEASGFMAKMNKPTKERSGKGVERTKSERVVGDQKYKLEEFHRPRHSIDGASSWDCYDELREVIRDSFARQKLLPMPPNSGAEKASFGSRELDFSPDLPSTSSSQSSYEKRCFDRRQLLSSPDLPSTSSSQSSVFQSHEFSSFGSSLPKVQPLKPKAPNLIAKLMGLEEIIPSQPNKQMEKDRLSNQRRPAFEIDLPKAKKPPIVVENMDTKQRTLEEIIGAMQFKGLLKSSPFNESKQPVVSDFENEVDQYCEPIVIMKPQYALHSRTYPCEENSVNPKDMPTNWKRKEFTTEPTGQPKGAPNVAVKKNLRAEKTEVTKSTREGGSKQLTSSNDSGIRIMGKLSSNKTINPAKPRGQEKKEVIEKRVDKIQKIAPKTRKEEMKNVKFREAVKPHGLEKMTSVKLRKQETVPNISQKQVTQQKSTTSNLTLNHAAVSRNSRNNKYMKKTDKPERKSSVAIVENLGDNKAQIDLKSKKETDKTENEDEVSETLHLEEVSDVSQTLIIDDHSEAESLSCVSTVPTIHSESSSECKECDQHCVAPKSKPEANATKNLLLSNTSFLSHAEGLFDIYSWQPVELKPDSSHNETPETKLLLDCASELLESKKLQITLSVVDTLPKKPIRSQVHISLDKLVAEICCGIETLRSYKDLTATLSADTLFALVERDLQCNVAVSGGAWDLGWRKGFTYDEVEHVVADMEKLLLGGILEDALADFGWQ >itb01g12180.t1 pep chromosome:ASM357664v1:1:11588170:11593006:-1 gene:itb01g12180 transcript:itb01g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDRPRSVLYRSFLTCDDPRGVVECNRIRKSKTELPKMVDDDKLVKRAVREGGMSVSASKKEREERVYQGCADELNASCSVQLMEVSRGAQKLTRVIDSWSKGGGLDRKSKEVAKDLLKGALDLQESLEMLEKLQEASGFMAKMNKPTKERSGKGVERTKSERVVGDQKYKLEEFHRPRHSIDGASSWDCYDELREVIRDSFARQKLLPMPPNSGAEKASFGSRELDFSPDLPSTSSSQSSYEKRCFDRRQLLSSPDLPSTSSSQSSVFQSHEFSSFGSSLPKVQPLKPKAPNLIAKLMGLEEIIPSQPNKQMEKDRLSNQRRPAFEIDLPKAKKPPIVVENMDTKQRTLEEIIGAMQFKGLLKSSPFNESKQPVVSDFENEVDQYCEPIVIMKPQYALHSRTYPCEENSVNPKDMPTNWKRKEFTTEPTGQPKGAPNVAVKKNLRAEKTEVTKSTREGGSKQLTSSNDSGIRIMGKLSSNKTINPAKPRGQEKKEVIEKRVDKIQKIAPKTRKEEMKNVKFREAVKPHGLEKMTSVKLRKQETVPNISQKQVTQQKSTTSNLTLNHAAVSRNSRNNKYMKKTDKPERKSSVAIVENLGDNKAQIDLKSKKETDKTENEDEVSETLHLEEVSDVSQTLIIDDHSEAESLSCVSTVPTIHSESSSECKECDQHCVAPKSKPEANATKNLLLSNTSFLSHAEGLFDIYSWQPVELKPDSSHNETPETKLLLDCASELLESKKLQITLSVVDTLPKKPIRSQVHISLDKLVAEICCGIETLRSYKDLTATLSADTLFALVERDLQCNVAVSGGAWDLGWRKGFTYDEVEHVVADMEKLLLGGILEDALADFGWQ >itb01g12180.t3 pep chromosome:ASM357664v1:1:11588170:11592956:-1 gene:itb01g12180 transcript:itb01g12180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFKAEEELCFVCLHHQAFDSLEILQNMPQDRPRSVLYRSFLTCDDPRGVVECNRIRKSKTELPKMVDDDKLVKRAVREGGMSVSASKKEREERVYQGCADELNASCSVQLMEVSRGAQKLTRVIDSWSKGGGLDRKSKEVAKDLLKGALDLQESLEMLEKLQEASGFMAKMNKPTKERSGKGVERTKSERVVGDQKYKLEEFHRPRHSIDGASSWDCYDELREVIRDSFARQKLLPMPPNSGAEKASFGSRELDFSPDLPSTSSSQSSYEKRCFDRRQLLSSPDLPSTSSSQSSVFQSHEFSSFGSSLPKVQPLKPKAPNLIAKLMGLEEIIPSQPNKQMEKDRLSNQRRPAFEIDLPKAKKPPIVVENMDTKQRTLEEIIGAMQFKGLLKSSPFNESKQPVVSDFENEVDQYCEPIVIMKPQYALHSRTYPCEENSVNPKDMPTNWKRKEFTTEPTGQPKGAPNVAVKKNLRAEKTEVTKSTREGGSKQLTSSNDSGIRIMGKLSSNKTINPAKPRGQEKKEVIEKRVDKIQKIAPKTRKEEMKNVKFREAVKPHGLEKMTSVKLRKQETVPNISQKQVTQQKSTTSNLTLNHAAVSRNSRNNKYMKKTDKPERKSSVAIVENLGDNKAQIDLKSKKETDKTENEDEVSETLHLEEVSDVSQTLIIDDHSEAESLSCVSTVPTIHSESSSECKECDQHCVAPKSKPEANATKNLLLSNTSFLSHAEGLFDIYSWQPVELKPDSSHNETPETKLLLDCASELLESKKLQITLSVVDTLPKKPIRSQVHISLDKLVAEICCGIETLRSYKDLTATLSADTLFALVERDLQCNVAVSGGAWDLGWRKGFTYDEVEHVVADMEKLLLGGILEDALADFGWQ >itb01g12180.t4 pep chromosome:ASM357664v1:1:11588170:11592956:-1 gene:itb01g12180 transcript:itb01g12180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDRPRSVLYRSFLTCDDPRGVVECNRIRKSKTELPKMVDDDKLVKRAVREGGMSVSASKKEREERVYQGCADELNASCSVQLMEVSRGAQKLTRVIDSWSKGGGLDRKSKEVAKDLLKGALDLQESLEMLEKLQEASGFMAKMNKPTKERSGKGVERTKSERVVGDQKYKLEEFHRPRHSIDGASSWDCYDELREVIRDSFARQKLLPMPPNSGAEKASFGSRELDFSPDLPSTSSSQSSYEKRCFDRRQLLSSPDLPSTSSSQSSVFQSHEFSSFGSSLPKVQPLKPKAPNLIAKLMGLEEIIPSQPNKQMEKDRLSNQRRPAFEIDLPKAKKPPIVVENMDTKQRTLEEIIGAMQFKGLLKSSPFNESKQPVVSDFENEVDQYCEPIVIMKPQYALHSRTYPCEENSVNPKDMPTNWKRKEFTTEPTGQPKGAPNVAVKKNLRAEKTEVTKSTREGGSKQLTSSNDSGIRIMGKLSSNKTINPAKPRGQEKKEVIEKRVDKIQKIAPKTRKEEMKNVKFREAVKPHGLEKMTSVKLRKQETVPNISQKQVTQQKSTTSNLTLNHAAVSRNSRNNKYMKKTDKPERKSSVAIVENLGDNKAQIDLKSKKETDKTENEDEVSETLHLEEVSDVSQTLIIDDHSEAESLSCVSTVPTIHSESSSECKECDQHCVAPKSKPEANATKNLLLSNTSFLSHAEGLFDIYSWQPVELKPDSSHNETPETKLLLDCASELLESKKLQITLSVVDTLPKKPIRSQVHISLDKLVAEICCGIETLRSYKDLTATLSADTLFALVERDLQCNVAVSGGAWDLGWRKGFTYDEVEHVVADMEKLLLGGILEDALADFGWQ >itb06g17000.t1 pep chromosome:ASM357664v1:6:20960263:20960538:1 gene:itb06g17000 transcript:itb06g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRSSSEKLSGAGRSDEEDDDDIGAAAEDGAELGGRGSGTANIGSRCGSGRVRPSFFARLLLLAGDPDEDAADEEEDDGDDAETAGGSG >itb10g04680.t1 pep chromosome:ASM357664v1:10:4503475:4506863:-1 gene:itb10g04680 transcript:itb10g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRILRSNRPGSGWITGKLRLSKPQLFQSKILCLSLLYLFTTLFLAVFSSFSPKFKCLFRSSPYDPILSPLFSYNSSYGEHKHALSTSRSSCKSPILFSDYWGVVKEIKALSEDYSSSLGSWNLRYLQENADSFGGNFTARRRFSYFHHRDHRKAIPCGFFKHFPIRDSDRIAMEKCTGVVVVSAAFNDHDKIRQPKGLGSETPKMVCFFMFVDDVTMNSLHYHNLVSRKEATERRVGVWRLVEVRKESLYQSAAMNGVIPKFLAHRLFSNSKFSVWIDAKVQLVVDPLLLVHSLVIKENVDMAISRHPFFVHTMEEAMATARWNKWGDVYGLKMQMETYCDNGLQPWTHKKHYPSDVPDSAVIIRKHSTATNLFSCLVFNEAEAFNPRDQLAFAFVRDIMNPKLKLNMFDIEVFEQVTVEYRHNLKGGGGGASGPQTKVANSYWLDSKPSSGKCGRYLSKMWSESHN >itb11g21680.t1 pep chromosome:ASM357664v1:11:23355410:23356032:-1 gene:itb11g21680 transcript:itb11g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRVTKDVKDYPEGTPVLVSLDVTTKKHKVVRLDGVIRTVITLDCNRCGEPAAETIFSNFSLLLSEEPIKEPNTLDMGTMFGSKNFEALEEDDDDSLIDIEDQLYFPLENRTIDISKNIRDLVHIEITLDAICDPQQGFMFEMWYKSQYSQLQVSRTKRGGKRF >itb15g22850.t2 pep chromosome:ASM357664v1:15:25590275:25592541:1 gene:itb15g22850 transcript:itb15g22850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEISNKDETQLRDELRKRLMEHKYLIVLDDVWTTSAWDAIKVCIPDKDDGSKIMITTRIYEVARFVSERPHNMKSQTPYKSLELFSRKVFREGCLCTPELEKLGCEIVNCCGGLPLAILVIAGLLSTVKGSLEIWTDVVDALRGMDSSLYKFQLLKLKNLQTLIVCSWMEEYPLQLQSNILDLPQLRHLHLQKRCSQYLPSKDRKNLQTLYWLKVTSADRNPNFKMVPNLKELGIYVEGELLSGCLESLVHLPLLEKLKFRIGRVDRFCLPTGFPSKLKKLTLCSTYLPWEEMGVIGNLSNLEVLKLKDFAFCGPKWEPIEGEFKRLKVLLIARSDLKQWELNVYHFPILERLILSSKEESVKSSKKESLKSSKEESVKSSKEESGGSTKELSVGSTEELSFGSSDQERLKPRRSVRKYVKKKVSKLLNALK >itb15g22850.t1 pep chromosome:ASM357664v1:15:25587255:25592541:1 gene:itb15g22850 transcript:itb15g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGKLPNLEVLKLKDFAFCGPEWNPIGWEFQDLKVLLIAHTNLKRWNANFQHFPVLERLILRYCWDLKKVPIGFAKIRTLKLIELDSCYSSIVTSANQISSAKILSFHSGVEGSKKNVTVEFVNYELSGMSFMGLMTCFLVDLYEKNFVHWYPEPRRSKDPRVKETLEANIRDVAVEAEGEIESKLRKIYLAANRKECVEACQGLHKTLKGLRKTLKQIVKDIEQVQTGEWKVSTVSHVGSSPNDFEEENAMARGASENAVEIENEIIVGFTEDIVELVDRLKSQKDEVISLVGEGGIGKTTLAKIIFEHENIIAHFGIRASVVVSQELDIKKMLIGLLRFILPMTSEISNKDETQLRDELRKRLMEHKYLIVLDDVWTTSAWDAIKVCIPDKDDGSKIMITTRIYEVARFVSERPHNMKSQTPYKSLELFSRKVFREGCLCTPELEKLGCEIVNCCGGLPLAILVIAGLLSTVKGSLEIWTDVVDALRGMDSSLYKFQLLKLKNLQTLIVCSWMEEYPLQLQSNILDLPQLRHLHLQKRCSQYLPSKDRKNLQTLYWLKVTSADRNPNFKMVPNLKELGIYVEGELLSGCLESLVHLPLLEKLKFRIGRVDRFCLPTGFPSKLKKLTLCSTYLPWEEMGVIGNLSNLEVLKLKDFAFCGPKWEPIEGEFKRLKVLLIARSDLKQWELNVYHFPILERLILSSKEESVKSSKKESLKSSKEESVKSSKEESGGSTKELSVGSTEELSFGSSDQERLKPRRSVRKYVKKKVSKLLNALK >itb11g20560.t2 pep chromosome:ASM357664v1:11:21732431:21735902:1 gene:itb11g20560 transcript:itb11g20560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MVAFIICIPHQVSLSRICTLPLKQSSFLKGQYHIRHITGVKWARRHRKRAGAVVSPSCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTLTLKMGIETRLRDKIPEIEAVEQILDTETGLELNEENIEKLLAEIRPYLVGAGGGELELMQIEDYVVTVRLSGAAASVMTVRVALTQKLREAIPAIAAVRLVD >itb11g20560.t3 pep chromosome:ASM357664v1:11:21732431:21735902:1 gene:itb11g20560 transcript:itb11g20560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MDAISTIHLNGCLHHLYPSSRICTLPLKQSSFLKGQYHIRHITGVKWARRHRKRAGAVVSPSCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTLTLKMGIETRLRDKIPEIEAVEQILDTETGLELNEENIEKLLAEIRPYLVGAGGGELELMQIEDYVVTVRLSGAAASVMTVRVALTQKLREAIPAIAAVRLVD >itb11g20560.t1 pep chromosome:ASM357664v1:11:21732428:21735902:1 gene:itb11g20560 transcript:itb11g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25910) UniProtKB/Swiss-Prot;Acc:Q84RQ7] MDAISTIHLNGCLHHLYPSSRICTLPLKQSSFLKGQYHIRHITGVKWARRHRKRAGAVVSPSCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTLTLKMGIETRLRDKIPEIEAVEQILDTETGLELNEENIEKLLAEIRPYLVGAGGGELELMQIEDYVVTVRLSGAAASVMTVRVALTQKLREAIPAIAAVRLVD >itb07g00210.t1 pep chromosome:ASM357664v1:7:174819:182220:-1 gene:itb07g00210 transcript:itb07g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCWSLPVNSVPSNNALPKSKGDNGSGRTMEVGPAKAAVAVDMVMSLPGEKDGKSREGVDLPASGRIVTPNLKMFTFSELERATRNFKPATVLGEGGFGRVFKGWIHPKTLAPSKVGVGMAVAVKKSNPDSEQGLREWQAEVKFLGKFSHPNLVKLIGYCWEDNEFLLVYEYMQKGSLESHLFKKCAETLSWETRLKIAIGAARGLAFLHSTEKQVIYRDFKASNILLDGEFNAKLSDFGLAKLGPVNGESHVTTRIMGTYGYAAPEYMATG >itb07g00210.t2 pep chromosome:ASM357664v1:7:174819:182220:-1 gene:itb07g00210 transcript:itb07g00210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCWSLPVNSVPSNNALPKSKGDNGSGRTMEVGPAKAAVAVDMVMSLPGEKDGKSREGVDLPASGRIVTPNLKMFTFSELERATRNFKPATVLGEGGFGRVFKGWIHPKTLAPSKVGVGMAVAVKKSNPDSEQGLREWQAEVKFLGKFSHPNLVKLIGYCWEDNEFLLVYEYMQKGSLESHLFKKCAETLSWETRLKIAIGAARGLAFLHSTEKQVIYRDFKASNILLDGEFNAKLSDFGLAKLGPVNGESHVTTRIMGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEILTGLKVLDLNRPTREQNLVEWTKPMLVDKRKIKTIVDPRLEGQYPSRAATKIAELILKCFQAEPAKRPSMDEVLKSLQEINDVKTRRRDHRSNR >itb03g22210.t1 pep chromosome:ASM357664v1:3:20291341:20292090:-1 gene:itb03g22210 transcript:itb03g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKIIILKSSDGESFQVEEAVALESQMLKYMIVDNDDTTITIPVPEVTSKILGMVIDYCKRHIEAAKGTKDFYNLKAFDANFVKVNRETLFDLIRAANYLNIKSLLDLTCQTVADLIKGKTPEEIHKFFKARNAGEEEEEEVRRENARAFE >itb05g08860.t1 pep chromosome:ASM357664v1:5:12644111:12644970:-1 gene:itb05g08860 transcript:itb05g08860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWRSKSYNDSRMQDPNPNPGGLQDLRCYSASYASSNSNTQTKRNLKKGKSVNGWVLGDPELQRKKRVASYKAYAVEGKLKGSIKKGFRWIKDRYTQVVYGRR >itb09g24700.t1 pep chromosome:ASM357664v1:9:24508373:24509901:-1 gene:itb09g24700 transcript:itb09g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAMIKRASSAHQIHMGTAVVFVSLRPSIFLVSLLFFPASNDEKLRGSPASSFRRREAVSSGGSPMVAEVFSGLHLPWRRERQ >itb10g22670.t1 pep chromosome:ASM357664v1:10:27426320:27434148:-1 gene:itb10g22670 transcript:itb10g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGNSKSGSPSSDESSHNGSRSAHSSRAGKFGQRLQLQRSHFRRHGSGSGSGSNQSKLLKEEDFAGIASLRLISAEMKFKDKWLACITLGLQTFRTHVSDHTDKPTWNSVRKLLLERNGAHIARISVFETNKLSKNNLVGHCEIDLLEYLSQDSDSDVEAFDLLDPSSPSIVVGSISISCSIEDPVETEKSFTKRILSIVDYNEDGELSMAEFSDLIDAFGNQLAVEKKEELFRQADKNGDGVVSLDELAMLLTAYQGKEALMNCCPVCGEVLEGSDSLNGMIHLTLCFDEGTGNQIMTGGFLTDKQASSGWMFKLSEWAHFSTYEVGLRSGSSASHILVYDRRKKRLVEEIIDPKIVLSMRAIYQSKFGLGLMDTGAKEILQSISEKQGKKMDTLDSAKDIPTFVEFFKDQLSVDETKYPLDHFKTFNDFFIRELKSGARPIACPERNDIAVCAADSRTMAFNNVTDAARFWIKGRKFSIQGLLGDEACSSAFVDGSLVIFRLAPQDYHRFHLPVSGTIEKFIDIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTEDFGKVAFVAIGATMVGSITFSKKEHDYVNKGDEFGYFSFGGSTVICVFEKDSIRIDEDLLENSARSLETLVMVGMQLGVSIKKQADVKSKLENLSL >itb08g04450.t1 pep chromosome:ASM357664v1:8:3650105:3653926:-1 gene:itb08g04450 transcript:itb08g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFYPSLFCIAFFLLPLLSSSTVLFQGFTWTSKDQAGGWWNWLITKVPDLADAGITHVWLPPPSNAREGDDQGYMPKRMYDLDTSKYGNKKELKALNDAFHSRGIKSVVDIVLNHRAAERANSRGVINIFEGGTPDDKLDWGPSEICGDDSAFEGTGNPDTGDTWGNAPDIDHRSPKVQREISEWMNWLKSDLEFDGWRFDFVKGYDFVKGFAAVKIYMDNTKPEFAVGEFWNDLSPGIVPDPDYNQDAHRNELVRWVQNAGGAATAFDFTTKGILQAALNNTELWRLKDAAGKPSGMIGVLAQNAVTFIDNHDTWSQRLWPFTTSTDDAVNHDRVLQGYAYILTHPGYHPSFITISLNGD >itb13g11730.t1 pep chromosome:ASM357664v1:13:16622365:16623142:-1 gene:itb13g11730 transcript:itb13g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDIYTILRCEDSASDPVEMGFPSKLVDLEVHGWGLDALEGADLNNTCSFSFSRLDRNNEGLTTPLVGVVSPTLENLHLLKTMDNVGEKVMGVPVTTEPSSTIAPDLNV >itb13g01620.t1 pep chromosome:ASM357664v1:13:1520685:1522439:1 gene:itb13g01620 transcript:itb13g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSELQCCYWIYYYSKLRWLDLSNNTISGEIPNWIWGIGNGQLIGLNLSHNRLTHMKEPMEYGSLTFLDLNSNMLSGQIPRPPPEAQYLDFSNNNFSMIPLDIADQIPYRLYFFSIAKNRCPPDQKSVLLQIRTQITYNSSESTKLVLWDEGADCCRWPGLSCNAATGFITTLN >itb10g22120.t1 pep chromosome:ASM357664v1:10:27070601:27074966:1 gene:itb10g22120 transcript:itb10g22120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNVGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDHDDPKNFRKTIQRIMSVQYKIPDYVHISQDCRHLLSRIFVANPARRITIKDIKSHPWFLKNLPRELTEVAQAAYYRRENPTFSLQSVEEIMKIVDEAKTPPPASRSIGGFGWEDEEDEKKEGDVEEEEQQQEDEDEEEGEDGEDEYEKQLKRAHESGEVRVT >itb12g01260.t1 pep chromosome:ASM357664v1:12:921186:923868:1 gene:itb12g01260 transcript:itb12g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSTVKITPSAISLPVTIKQLAYLHRGPRIRTHFSANPTRLRGQGFRRGMVVTAMSPASEPVEVCAKASITIPNRLGDCPFTQRVLLTLEEKHLPYDLKLVDLSNKPEWLFKISPEGKVPVVKIDEKWVPDSDVITQALEEKFPDPSLKTPPEKASVGSKIFSKFIGFLKSKDPADGTEQALVEELTAFNDYLKESGPFINGNEVSAADLSLGPKLYHMEIALGHYKKWSVPDSLIYLKSYMKDIFSRDSFVKTRAVTEDVIEGWRPKVKG >itb04g03880.t1 pep chromosome:ASM357664v1:4:2437730:2439147:1 gene:itb04g03880 transcript:itb04g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNTSSKRPENQFYDDDEDDKTSSVVLIPGLPDHLAQQCLSAVPPSLLYGVCRSWRRFIYSPSFPPFYCLYALLSPSSSMASSSSSIAASTGKPEVLDLKYSIRIQNKIYEKPNLIVRNSGKSEVPSSSIEFLCLDPLSSKWARLPSPPPEPPLKILHRHPSFISRTLPVQSLAVSGKLLLVAGTTHNFVPALDRPLCFDPSSGEWFSGPPFTAPRRWCATGSVHGQVYVASGVGSGYQGDVARSLEKWDVSEKPADWKWEKLTSLKNGQFCREAVEGVGYKGKLCMVNIKGNAVKEGAVYDVTADRWEKMPRGMLEGWNGPAAVAEETTAGDGDNEIYMVDEAIGSLSRYKPEDDSWEEVIQSSEHLKGAERIAVERGKVCAVSGRGRWVTVVDVAAQPARIWVVNPPPEMEFIAVHILPRMKSVEN >itb09g06830.t1 pep chromosome:ASM357664v1:9:3924157:3929339:-1 gene:itb09g06830 transcript:itb09g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSCPNQTEAFGWYDECMIYYSNVSTLGSWTRFPEIYLRNVENSSSTEQFNKDLQELLESLRDRAANGFPPLRKFAAGNTSGPAFQTIYAAVQCSPDLSAQACSDCLVSAFADLARCDQCTGKIGGGVVRPSCNFRFKTQRFFNYTSIIGPPPTPAPDPVKRENFDWKTRYKIISGISRGLLYLHEDSRLRIIYRDLKASNVLRDAGMNPKISDFGMARLFEFDESEGNTSKIVGTYGYMVPEYVMHGQFSVKSDVFSFGVLVLEIVSGQKNNCFKNGESVQDLLSYAWRQWREGTALNLVDPFLRGNSGSSVREIVRCIHIALLCVQENVGDRPTMSTILLMLSSSSLSLPLPSAPAFFMHTTINPEAPLLLNETTYSSQNEAWRQWREGTALNLVDPFVRGNSGSVPEMMRCIHMALLCVQENVADRPTMSTVVLVLSSSSLSLPMPSAPAFFMHSAISPEAPLLRNEAAYSSQNEASITELYPR >itb09g18730.t1 pep chromosome:ASM357664v1:9:14709420:14709824:-1 gene:itb09g18730 transcript:itb09g18730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSGTTINDGDKWLRQKVKTMMRTTFNISRKPQNKRMRRSFNSLPSMKTNFFTNQKDLDIFLELLEVTHLTTTPESGIAFMKTSPSVHGKSHTQLPLRAALYLACSQQPTLKWQKRRARAKQRNRRSICVAGL >itb02g02500.t1 pep chromosome:ASM357664v1:2:1457615:1459874:1 gene:itb02g02500 transcript:itb02g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQTTIFLEIDSSIYMLEVSAGQLGWGTHPKIRSHLRTEASNGGIWQICGSRAYKCYFSVKCRLTGLTHICDKNCNQRILYDNHSSLCRVSKQIFPFTPAEEQAVKGIRRKFDADSSPSDSCAFKRRRDATFHPSPFERSFSAFAHHDHLRHNSPLSHSSLPHHEDAALLLTKHHNDHLQLPDCRQDLQVFPSDSRNKIAGINIYHLQNLMKVQGLSAAAI >itb05g22370.t4 pep chromosome:ASM357664v1:5:27789273:27794683:-1 gene:itb05g22370 transcript:itb05g22370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHQLGMWGDLKGNGFATPSAATMILEVEKSLDNPMPVIEKTLDNQMEDMSHGSLGPSNKYEHEASKPIEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARQQGLYVSGGLDSSLLGYSGSPNSAITAFEMAYGHWVEEQTRRINDLRNALHSQVGDIELGIHVESCKNHYIDLFHIKATAAKADVCYIISGVWKTPAERLFLWIGGFRPSEILRVLMPHLELLTEEQHQSVSTLAQSCQQAEDALTQGMLKLHQFLADTVSAGQLGEENYLQQMHSAIEKLEHLIRFVNQADNLRELTLNRMSHFLTTRQAARGLLALGEYLQRLRTLSSLWANSPREAA >itb05g22370.t2 pep chromosome:ASM357664v1:5:27789272:27794683:-1 gene:itb05g22370 transcript:itb05g22370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHQLGMWGDLKGNGFATPSAATMILEVEKSLDNPMPVIEKTLDNQMEDMSHGSLGPSNKYEHEASKPIEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARQQGLYVSGGLDSSLLGYSGSPNSDLSPSLTEPAAITAFEMAYGHWVEEQTRRINDLRNALHSQVGDIELGIHVESCKNHYIDLFHIKATAAKADVCYIISGVWKTPAERLFLWIGGFRPSEILRVLMPHLELLTEEQHQSVSTLAQSCQQAEDALTQGMLKLHQFLADTVSAGQLGEENYLQQMHSAIEKLEHLIRFVNQADNLRELTLNRMSHFLTTRQAARGLLALGEYLQRLRTLSSLWANSPREAA >itb05g22370.t5 pep chromosome:ASM357664v1:5:27789424:27794683:-1 gene:itb05g22370 transcript:itb05g22370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHQLGMWGDLKGNGFATPSAATMILEVEKSLDNPMPVIEKTLDNQMEDMSHGSLGPSNKYEHEASKPIEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARQQGLYVSGGLDSSLLGYSGSPNSAITAFEMAYGHWVEEQTRRINDLRNALHSQVGDIELGIHVESCKNHYIDLFHIKATAAKADVCYIISGVWKTPAERLFLWIGGFRPSEILRVLMPHLELLTEEQHQSVSTLAQSCQQAEDALTQGMLKLHQFLADTVSAGQLGEENYLQQMHSAIEKLEHLIRFVNQADNLRELTLNRMSHFLTTRQAARGLLALGEYLQRLRTLSSLWANSPREAA >itb05g22370.t3 pep chromosome:ASM357664v1:5:27789273:27794683:-1 gene:itb05g22370 transcript:itb05g22370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHQLGMWGDLKGNGFATPSAATMILEVEKSLDNPMPVIEKTLDNQMEDMSHGSLGPSNKYEHEASKPIEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARQQGLYVSGGLDSSLLGYSGSPNSAITAFEMAYGHWVEEQTRRINDLRNALHSQVGDIELGIHVESCKNHYIDLFHIKATAAKADVCYIISGVWKTPAERLFLWIGGFRPSEILRVLMPHLELLTEEQHQSVSTLAQSCQQAEDALTQGMLKLHQFLADTVSAGQLGEENYLQQMHSAIEKLEHLIRFVNQADNLRELTLNRMSHFLTTRQAARGLLALGEYLQRLRTLSSLWANSPREAA >itb05g22370.t1 pep chromosome:ASM357664v1:5:27789272:27794683:-1 gene:itb05g22370 transcript:itb05g22370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTQFVASKRMGICDPIHQLGMWGDLKGNGFATPSAATMILEVEKSLDNPMPVIEKTLDNQMEDMSHGSLGPSNKYEHEASKPIEKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLIQLEQELDRARQQGLYVSGGLDSSLLGYSGSPNSDLSPSLTEPAAITAFEMAYGHWVEEQTRRINDLRNALHSQVGDIELGIHVESCKNHYIDLFHIKATAAKADVCYIISGVWKTPAERLFLWIGGFRPSEILRVLMPHLELLTEEQHQSVSTLAQSCQQAEDALTQGMLKLHQFLADTVSAGQLGEENYLQQMHSAIEKLEHLIRFVNQADNLRELTLNRMSHFLTTRQAARGLLALGEYLQRLRTLSSLWANSPREAA >itb05g17760.t3 pep chromosome:ASM357664v1:5:24651289:24656067:-1 gene:itb05g17760 transcript:itb05g17760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSKIFEGPSYESNHGFWDGQFHGKYEDDTHAWQGTTASVDTQPDFDNEEIDRAIALSIAEEDHKGKKVVDTNPSVEEDEQLAKALQESLNLGSPPKYNDYGKFFSPYPYFDLPGYRICAGCNAEIGRERFLCCIGVLWHPECFRCHVCNLPISDHEFSVSENRPYHKSCYEKNSRPKCDVCNNFIPTNAAGLIEYRAHPFWLQKYCPSHRHDGTPRCCSCERMEPVDTRYLTLDDGRKLCLECLDSAILDTFECQPLYIEIQEFYEGLNMKVKQQIPLLLVERQALNEAMEGEKNGNHNMPETRGVCLSEERTVRTISKRPTIGGFRIIDMFTEPYRLIRQCEVTAILILYGLPRLMTGSILVHEMMHAWLRLQGYPNLSPEVEEGICQVLAHMWLDSEILAGSGSSSDSTSSSSSTSSSTSSKKGKRSQFEKKLGEFFKHQIETDGSVAYGDGFREGNKAVLKYGLRSTLEHIRLTGTFPC >itb05g17760.t1 pep chromosome:ASM357664v1:5:24651289:24656067:-1 gene:itb05g17760 transcript:itb05g17760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSKIFEGPSYESNHGFWDGQFHGKYEDDTHAWQGTTASVDTQPDFDNEEIDRAIALSIAEEDHKGKKVVDTNPSVEEDEQLAKALQESLNLGSPPKYNDYGKFFSPYPYFDLPGYRICAGCNAEIGRERFLCCIGVLWHPECFRCHVCNLPISDHEFSVSENRPYHKSCYEKNSRPKCDVCNNFIPTNAAGLIEYRAHPFWLQKYCPSHRHDGTPRCCSCERMEPVDTRYLTLDDGRKLCLECLDSAILDTFECQPLYIEIQEFYEGLNMKVKQQIPLLLVERQALNEAMEGEKNGNHNMPETRGVCLSEERTVRTISKRPTIGGFRIIDMFTEPYRLIRQCEVTAILILYGLPRLMTGSILVHEMMHAWLRLQGYPNLSPEVEEGICQVLAHMWLDSEILAGSGSSSDSTSSSSSTSSSTSSKKGKRSQFEKKLGEFFKHQIETDGSVAYGDGFREGNKAVLKYGLRSTLEHIRLTGTFPC >itb05g17760.t2 pep chromosome:ASM357664v1:5:24651289:24656067:-1 gene:itb05g17760 transcript:itb05g17760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSKIFEGPSYESNHGFWDGQFHGKYEDDTHAWQGTTASVDTQPDFDNEEIDRAIALSIAEEDHKGKKVVDTNPSVEEDEQLAKALQESLNLGSPPKYNDYGKFFSPYPYFDLPGYRICAGCNAEIGRERFLCCIGVLWHPECFRCHVCNLPISDHEFSVSENRPYHKSCYEKNSRPKCDVCNNFIPTNAAGLIEYRAHPFWLQKYCPSHRHDGTPRCCSCERMEPVDTRYLTLDDGRKLCLECLDSAILDTFECQPLYIEIQEFYEGLNMKVKQQIPLLLVERQALNEAMEGEKNGNHNMPETRGVCLSEERTVRTISKRPTIGGFRIIDMFTEPYRLIRQCEVTAILILYGLPRLMTGSILVHEMMHAWLRLQGYPNLSPEVEEGICQVLAHMWLDSEILAGSGSSSDSTSSSSSTSSSTSSKKGKRSQFEKKLGEFFKHQIETDGSVAYGDGFREGNKAVLKYGLRSTLEHIRLTGTFPC >itb09g14350.t1 pep chromosome:ASM357664v1:9:9638955:9645260:-1 gene:itb09g14350 transcript:itb09g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRHDKEKGVNVQVLLRCRPFSEDELRNNAPQVVTCNDYQREVSVSQNIAGKHIDRVFTFDKVFGPSAQQKDLYDQAIVPIVHEVLEGFNCTIFAYGQTGTGKTYTMEGDSKRSKGPHNGELPSGAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEDLSKVALEDRQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVISALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKSEVYAAREKSGVYIPKERYYQEESERKAMADQIEQMGVTLENQQKQFEDLQGKYDAEAQRCFDLTSKLDATQKDLNQTSKLLVNTEEDLRQCQYALKERDFIISEQKKAENALAHQACVLRADLEKALQDNASLFLKIAKEDQLNADNRSVVNGFQSELGNQLDCLSKTVATSISRQSEQLHCVENFCHSFLELHEKSILGLKKKVSGSKALYISHFEAMQNVVRLHKASSNAALDDINALVSSNSQSIDEFLAAEAVKANEVFNDLQITMSTHQSEMADFSRELRQRFKTSTEHLTNISKNIQELFDKFMDESNSLGEHATKFEENQMKSIAEFQKAFEEQSKSDTEKLIADMNSLVSNHMRRQRELVDARLLGLKETVTENKIFLDERVCSMEGINSDTKRKWQDFFVQAGDYTKDSADFSAAKHCRMEKLMQECVSNAETALKLWQTTRESINDMGSQHISTMDSLVSNIGDGNNHHAMDFDSTRDAAEEDVKGNSDSIIQLVDGLSEQEKGCISNILSTASAQSETLDELQKDHSMQSTSIQQKACETFQQKYMEYEPTGNTPIRSEPDVPSNGNIESLRAMPMEVLMEEFRENHSFDDSFKGKEQKPSLIPRSPFSHINQ >itb02g05800.t1 pep chromosome:ASM357664v1:2:3597415:3598079:1 gene:itb02g05800 transcript:itb02g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCILLFPVTFHDFFLNLSVFIKAKGLIRYSGCSRHRLKLLRSRDPTAQEAKRIPAWTKPKEEAIFVSLAPFCCHWIHLVVD >itb13g18880.t1 pep chromosome:ASM357664v1:13:25875636:25877491:-1 gene:itb13g18880 transcript:itb13g18880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVQAVANSLSKMTADTIPAEYIRSEKEQPAATTLRGVVLEVPVIDMGDPEEEKIVKMIAEASREWGIFQVVNHGVPNEVIRELQRVGKEFFELPQAEKEAVAKDPGGGSIEGYGTSLQKNVDGKRGWVDHLFHRVWPPSAVNYKFWPKNPPSYREANEEYAKILNEVGNRLFRSLSIGLGLEAHELKEAAGGEDIIHLLKINYYPPCPRPDLALGVVAHTDMSAITILVPNEVQGLQVFNDDHWYDVKYIPNALIIHIGDQLEILSNGKYKSVFHRTTVDKEKTRISWPVFLEPPPEFEVGPIPKLIDENNPPKYKTKKYKDYAYCKLNKLPQ >itb02g11570.t1 pep chromosome:ASM357664v1:2:7712438:7713394:-1 gene:itb02g11570 transcript:itb02g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGHGSGCLKCCFRFILTSGLTALFVWLSLRTTKPSCSISAVYFPALNTSDTSNATRSNHTLYFTINLKNKMKDKGVRYDAVQLRFYYGANTSVPIGNFTVPGFYQGHGNDADKSGNLTARGVPWDAAFGAVSNGSKAVFRMDLYTKVRYKIVLWYTKRHEFMVENATVEVDKSGKSYATRQHRSFHAPAMFSVTLFVLVLLL >itb09g12170.t1 pep chromosome:ASM357664v1:9:7664557:7666225:1 gene:itb09g12170 transcript:itb09g12170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIIFSSTTLPLMILIISVLLLLSCKWILCKQKANKQLPLPPGPMTWPIVGCFPQLLRNKPVFRWMLNLMEEMNTEIACFNLWGTNVIAVTSPEVAREVLKKQDSVFASRPTCMSAELMSSNYLISVVAPMGEQWKKMRRVLSSHVVSPNALNWLSHKRATEADHLVHYIYNQCMRNADAGVVVDVRAMGKHFCGNVMKQMVFSKRYFKAGTEDGGPGVEDEEHIDATFGVLDLLYSFGISDYFPWLRMFDLEGHRKAIQKAVEGVRKYQDPEVDERIKMWNDGAKTEQQDILDVLIKLKNVHAKPLLTSEEIKAQILELMITIVDNPSNVVEWVLAEMLNQPEILRRATEELDNVVGKERLVQESDLPGLNYLNACLKEAFRIHPLSAFVPPHLCSSDTTLSNYFIPKGSHVMISRHGLGRNPRIWEEPLKFNPERHLKNDGSEVSLADPEVKILSFSAGRRGCPGVQLGSLVSGMLLGRLLQGFEWSVPHGGRVDLKEAKDSILLANPLNALAKPRLPHHIYSS >itb12g00680.t1 pep chromosome:ASM357664v1:12:494239:498035:1 gene:itb12g00680 transcript:itb12g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MATASHSLQFFGSSSPGVAPRPATTCPDSQSFRSLSFSSRLNSITLRSLEPKKQTYPSRVNQVARVRCSMEVDISLSPRVNAVKPSKTVAITDQATALALAGVPVIRLAAGEPDFDTPAPIAEAGINAIREGYTRYTPNAGTMEIRQAICHKLKEENGLTYTPDQILVSNGAKQSILQAVLAVCSPGDEVLIPAPFWVSYPEMARLADANPVILPTSISENFIMDPKLLESKLTEKSRLLILCSPSNPTGSVYPRKVLEDIAKIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQSTSGASSISQKAGVAALGLGYAGGEAVATMVKAFRERRDFLVKSFGEMEGVKISEPQGAFYLFIDFSSYYGVEVAGFGAVTDSESLCRYLLDKAQVALVPGDAFGDDTCIRISYAASLSTLQAAVERIRKALLTIRPAVPV >itb13g11280.t1 pep chromosome:ASM357664v1:13:16073775:16074179:-1 gene:itb13g11280 transcript:itb13g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFLAVSPEIFIINATFILLIHGVVFSTSKKYDYPPLVSNVGWLGLLSVARLGGQRALGCGGAIIVQLPNLMRHRVWTAGNRSMGGRLILLPPQGWLIVRSRLEDPWFWKAHESERYVECATDTTLRRYLCR >itb14g04650.t1 pep chromosome:ASM357664v1:14:4108185:4110047:-1 gene:itb14g04650 transcript:itb14g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVSFKRRFTTSPSSLAASALGDTPVSWSLPHALAPQSSHPHTVRPHFINLAVSAASVRDSGTQRRPYGT >itb04g16370.t5 pep chromosome:ASM357664v1:4:18002804:18006089:1 gene:itb04g16370 transcript:itb04g16370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFLGLGPSMMANRIQNLKCLVGRFHHLFHTIIERAVFPQLFLFTLRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILNDPKLPEW >itb04g16370.t4 pep chromosome:ASM357664v1:4:18002804:18006089:1 gene:itb04g16370 transcript:itb04g16370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFLGLGPSMMANRIQNLKCLVGRFHHLFHTIIERAVFPQLFLFTLRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILNDPKLPE >itb04g16370.t3 pep chromosome:ASM357664v1:4:18002804:18004542:1 gene:itb04g16370 transcript:itb04g16370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFLGLGPSMMANRIQNLKCLVGRFHHLFHTIIERAVFPQLFLFTLRYTKYYGTSEKAAKDIVHDALTSK >itb04g16370.t2 pep chromosome:ASM357664v1:4:18002804:18006089:1 gene:itb04g16370 transcript:itb04g16370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFLGLGPSMMANRIQNLKCLVGRFHHLFHTIIERAVFPQLFLFTLRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILNDPKLPEW >itb04g16370.t1 pep chromosome:ASM357664v1:4:18002804:18006089:1 gene:itb04g16370 transcript:itb04g16370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFLGLGPSMMANRIQNLKCLVGRFHHLFHTIIERAVFPQLFLFTLRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILNDPKLPE >itb04g16370.t6 pep chromosome:ASM357664v1:4:18002804:18005238:1 gene:itb04g16370 transcript:itb04g16370.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDDVHPSIQANRIQNLKCLVGRFHHLFHTIIERAVFPQLFLFTLRYTKYYGTSEKAAKDIVHDALTNYKLWEEEIEKWQYPILNDPKLPEW >itb09g12650.t1 pep chromosome:ASM357664v1:9:8000318:8005005:-1 gene:itb09g12650 transcript:itb09g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEASVEFSKIGPSSIVGRTIAFRILFCKSFLQFRFRIYHFVLNYLSKAKNGYLASMISWFHPRNPQGILALVTLIAFLLRRYTNLKGKAEMAYRRKFWRNMMASALTYEEWAHAAKMLDRETVKVNEADLYDVELVRNKLHELQQRRQEGSLRDIIFCMRADLVRNLGNMCNPKLHKGRLHVPKLIKEYIDEVSTQLRMVCDSDSEELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHTGVVKTLVEHKLLPRIIAGSSVGSIICSIIATRSWPELQSFFEDSLHSLKFFDQMGGIFTVFKRVMTYRALHEIRQLQWMLRHLTNNMTFQEAYDMTGRILAITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRHGDIVPYHPPFHLGPDEASSARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAYGGHFAAKIAHLVEMEVKHRCNQILELGFPLGGLAKLFAQEWEGDVTVVMPATVAQYLKIIQNPSTVELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASSYGLASTLRSNGSRRIPSWNCIARENSTGSLEDYLHADVPPPRTRRSHRNLHDPSDSESESDLNSWTRSGGPLMRTTSADKFVEFVQSLDTGFRLNKGLTIDPNIISTQTAASRETFSPSPRVTTPDRNSDSEFNQRDFSHRFPTTSSSIVVAEGDLLHAERIQNGIVFNVVKKEDLTPSNRSHDSENNSSVHDDPVAECVHIDSPEKEMDTSSVSEDGDHDKDDCKQVSDE >itb07g14000.t1 pep chromosome:ASM357664v1:7:16346517:16350269:1 gene:itb07g14000 transcript:itb07g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWLLPLLLLFVSSTTCGGLSKTTPPPQHKIPRLTPLYESILQRSSSKHSPKTNSLPSHFKTFYYTQTLDHFNYGPQSYATFKQRYIVSSKYWGGAKSNSPIFAWLGAESPIDDDPKFVGFLTDNAPRFKALLVYIEHRYYGKSIPFGTMEEAMNNETTRGYFNSAQALADYAEVLLYLKKKYSAQHSPIIVFGGSYGGMLASWFRLKYPHVALGALASSAPILYFDNITPENGYYSLVTKDFKEVSENCYQTIRKSWSVIDKIASKPNGLSILSKKFKICKGLKSSGELKDYLDSTYCTAAQYDQPPKYPVTEVCGGIDGAPKGADILDRIHAGVVGLEGNQTCYDPHVYNPPTSETMIGWRWQTCSEMAMPIGRGARDTMFFPAPFSMQQFSQDCDDYYGVPPRPHWITTYYGGHDIKLVLHKFGSNIIFSNGLRDPYSSAGVLEDISDSLLAVYTRNGSHCLDLYGASKTDPDWLTEQRKKEVDIMQSWITKYYVELEALKR >itb13g09580.t1 pep chromosome:ASM357664v1:13:13718308:13719036:-1 gene:itb13g09580 transcript:itb13g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARCGQMSDARKMFDEMPERNYFTWNTLLEGYMKCGMISDSLRLFSSMPQKNDFSWNVAILGLVNAGELDTARRLLYEMPRKNAIAWNALIDGFARTGFLGVALRLFREFSCFGEKSFIDPFVLTTVVKACTNLGSLRLGKQIHAHIIVDQVEIDSTLASSLVNMYGKGGDLDSAHHILSRMLIPDDFSLSSMTLAYANCGRMKDARQIFDVKTDPCVVLWNSMITGYVSNGDTSEAFFYV >itb07g04800.t1 pep chromosome:ASM357664v1:7:3250315:3252172:1 gene:itb07g04800 transcript:itb07g04800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMFGFHSTPDYGDRALMSPENLMDCGYTYHGLISSSAPDRIPVFASDDLTATGSFPCSVVSEAASVAPDVYRRGGGGGRREDAAGEKEDDDDDGIGLIKAKIASHPSYPKLLEAYIDCQKVGAPPEIASFLDEIRRENDLFKHDSRVSTCFGDDPELDIFMETYCDILVKYKSDLSRPFDEAKTFLNKIETQLSNLCKGLVKEKQKILIYVSAVVVVKQWERRTTDGS >itb07g04800.t3 pep chromosome:ASM357664v1:7:3250315:3252172:1 gene:itb07g04800 transcript:itb07g04800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMFGFHSTPDYGDRALMSPENLMDCGYTYHGLISSSAPDRIPVFASDDLTATGSFPCSVVSEAASVAPDVYRRGGGGGRREDAAGEKEDDDDDGIGLIKAKIASHPSYPKLLEAYIDCQKVGAPPEIASFLDEIRRENDLFKHDSRVSTCFGDDPELDIFMVSLPLPLSLALSLSTSLHTQTARYVFMCLFDFSSMDFILKLFKQYSLFEYFSFYISDSFPLQETYCDILVKYKSDLSRPFDEAKTFLNKIETQLSNLCKGSPTHSLTLFLISFSFLFFSRDHEKMG >itb07g04800.t2 pep chromosome:ASM357664v1:7:3250315:3252172:1 gene:itb07g04800 transcript:itb07g04800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMFGFHSTPDYGDRALMSPENLMDCGYTYHGLISSSAPDRIPVFASDDLTATGSFPCSVVSEAASVAPDVYRRGGGGGRREDAAGEKEDDDDDGIGLIKAKIASHPSYPKLLEAYIDCQKVGAPPEIASFLDEIRRENDLFKHDSRVSTCFGDDPELDIFMETYCDILVKYKSDLSRPFDEAKTFLNKIETQLSNLCKGSPTHSLTLFLISFSFLFFSRDHEKMG >itb06g02100.t1 pep chromosome:ASM357664v1:6:3522467:3523203:-1 gene:itb06g02100 transcript:itb06g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGTGEQVDDNQLYYDVVGGHDKKRRIYGLGSYGCSIVNGSTSDNTSGNMTPTSRPPDNATFVSVQDEIQSLKATILLMQQRLVAIDGGRAGTSSSPPSQTD >itb01g22510.t1 pep chromosome:ASM357664v1:1:28385484:28388705:1 gene:itb01g22510 transcript:itb01g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLQFSRLPIRTDTSLPESRVFHLRKPFSVRCSAGETASSSAAVDSEFDPKTFRKNLTRSANYNRKGFGHKEATLELMNREYTSDIIKKLKENGYEYTWGNVTIKLAEAYGFCWGVERAVQIAYEARKQFPTERIWITNEIIHNPTVNKRLEEMEVKNIPIDQGEKNFNVVDKGDVVVLPAFGAAVNEMLTLSEKNVQIVDTTCPWVSKVWNVVEKHKKGEHTSVIHGKYSHEETVATASFAGKYIIVKNIAEATYVCDYILGGQLDGSSSTKEAFLEKFKRAVSEGFDPDADLCKVGIANQTTMLKGETEEIGKLIEKTMMRKYGVENVNNHFMSFNTICDATQERQDAMYKLVEDKLDLILVVGGWNSSNTSHLQEIAEERGIPSYWIDSEQRIGPGNKISYKLLHGELVEKENFLPEGPLTIGVTSGASTPDKVVEDALIKVFDIKREEAMQLA >itb06g25440.t1 pep chromosome:ASM357664v1:6:26492414:26494719:-1 gene:itb06g25440 transcript:itb06g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASIAPPTLSFKRFVEKKFPKCVHLFIYAVLEWMMIMMILLDGFLGFLSDEFARFFELSIPCLFCTRFDYVMSHRKQNFCYNDCICEDHKRDVSSLAYCHIHKKLSDIRNLCDNCLISFATSKDSDCERYKLLLGILHKDAGCCADDGARVSVKSMKTVTDNEEADNDNKRCSCCGDFIQRLKYKRTLSMNSSAPAPSPRAWRNEQVRGVESPRIRYTEIKLMSDNDSELPNEEDSSSTAVKDDTKSEGVQEEACRTPSFLRANRFSPLSDSAQASPRMSRASRLSMDISDSNDTNARQERLDDKSLMELKMELDEERNASAIAVNNAMAMITRLQEEKAALQMEAFQYQRMMEEEVEFEQEEVELMKDMLIKKDEEMKIMASELEAYRQKYGPLERVDGEAYEVHGDEDYQELRSHYLSSLGMSECASPTEVDHFEHSGTYTAGNLEESCADYEFENSHLSGSGWITNVENKTTGSSDEEFPSSELNEVNQEDDDRQGN >itb04g02270.t1 pep chromosome:ASM357664v1:4:1350661:1352784:-1 gene:itb04g02270 transcript:itb04g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPLSNIPNDIIRHILLQLPMKFVIRCQCLCKQWRSLIDDSDFKLSYRGQRRVVFLSHEYRLCSCNGFVLLVGERDIWLWNPTTRCLRKVLKSPYREKSNPTAITAAGLCYDSYTRDYKVVLLLHSLISIDPFVICASFIHKEWRPVQFPCYLDSAKGGIEFRNTFYWWAASDIKDCDWIRDHFSVVAGRNRIIYFDPAHDEFRILPTSDLWHQRKNRIVGLRVIDKCLCMASFMVQEEEEPKIKTIQVWIMKEYGRQESWMTAFTIQMPHLGDINGRYGITFYSQNKNAHEVLFLFLAGWEPAPSPETIATVGGSPNRRRRSACHCHELLRRKIGNSTGLLTGSAAALSEKLCLRRTPLTPLLLAIAKRTPETEDSPAMKTEEETQIVAALPKETKVEG >itb13g02420.t1 pep chromosome:ASM357664v1:13:2286073:2290661:1 gene:itb13g02420 transcript:itb13g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCNAAAAPEQLCYIPCNFCNIVLAVSVPCSSLYEIVTVRCGHCTNLWSVNMAAAFHHHSLSSSSPPSSPWQDHHAQVPNYTSPEYMGNGSSSKFNHKMPSRPPITTSIEERIVNRPPEKRARVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLDSNNNQPKLGVSEGTGKRLSKGLQY >itb01g22960.t1 pep chromosome:ASM357664v1:1:29066249:29067621:-1 gene:itb01g22960 transcript:itb01g22960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVEFRCFVGGLAWATTERTLDDAFGQYGEILESKIINDRETGRSRGFGFVTFKDEHAMRDAIEAMNGQSLDGRNITVNEAQSRGSGGGGFRGGRREGGGGGGYGRREGGYGGGYGGGRDRGYGGGDRGYGGGDRGYGGDRGYGGGYSRGGGASDGSWRN >itb01g22960.t2 pep chromosome:ASM357664v1:1:29066249:29067621:-1 gene:itb01g22960 transcript:itb01g22960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLLFSLCYFYVTVIPNRETIINDRETGRSRGFGFVTFKDEHAMRDAIEAMNGQSLDGRNITVNEAQSRGSGGGGFRGGRREGGGGGGYGRREGGYGGGYGGGRDRGYGGGDRGYGGGDRGYGGDRGYGGGYSRGGGASDGSWRN >itb01g21130.t1 pep chromosome:ASM357664v1:1:27327978:27328985:1 gene:itb01g21130 transcript:itb01g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYCSDCKKNTEVVFDHAAGDTVCSECGLVLESRSIDETSEWRTFADDSGDHDPNRVGGPVNPLLGDVGLSTVISKGPNSNGDASVARLQNRGGDPDRALVMAFKAIANMADRFAVEGVFAGGIKQKNMAADGRNRRVLGDIGNMVIFEGMKASSSFLRCLAPSQGLLVHSYWLMQQLTRTRLVAIISLCNSVK >itb09g10300.t3 pep chromosome:ASM357664v1:9:6404607:6409062:1 gene:itb09g10300 transcript:itb09g10300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1 [Source:Projected from Arabidopsis thaliana (AT3G51770) UniProtKB/TrEMBL;Acc:A0A384KPH2] MMDGCKSIQVCAMNHESTTAGGVSGVLQHLHDHLKGNMIKSKYTRNFQRLQHSNNTANPGVLADALAVYGLPQADLIEPQIEPYLKPVDFVQALAELYRKFEDCPQFEKSRVFLEQCVLFKALTDPKMFRGSLVSARKHAVDVHSKVVLSAWLRFERREDELIGVSAMDCCCTRSMECPRCSLVPGYNPESANDHCMCLQSRKEDEDAEYGMEGEEISTSPSHAIEEDDDYDMSFCIGGDEVRCSRFKIASLSVPFKTMLYGSFMESKREKIYFSLNGYSANAMKAAEVFSRTKSVDSVKPEVVLELLSLANRFCCEEMKSACDAYLSSLVSDIEDAVLLVEYGLEEGAYLLVAACLQVFLRELPMSMQNPNVSRLFCSSEGRERLAAVGHASFLIYYFLSEIAMEDDMKSNKTVMLLERLGECATEDWQRQLAFHQLGCVMHERKEYKDAQQWFKAAVDAGHVYSLVGFARSKYKRGHTYKAYKLMNSLINDHTPSGWMYQERSLYCSGIEKMMDLNLAIELDPTLSYPYKYKAVSMMEENKIGPAISEINKIIGFKVSPDCLELRAWFFIALKDFIGALRDVRALLTLDPHYMMFHGKLKGDLLVELICSTVQLLSQADCWMQLYDRWSRVDDIGSLAVVHHMLANDPGKSLLQFRQSLLLLRLNCHKAAMRSLRMARNQARFVHERLVYEGWILYDTGFHEEAIAKAEESISIKRSFEAFFLKAYILSETNHDTQSSMYVIELLEEALRCPSDGLRKGQVSAFCRKIGNT >itb09g10300.t2 pep chromosome:ASM357664v1:9:6404514:6409082:1 gene:itb09g10300 transcript:itb09g10300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1 [Source:Projected from Arabidopsis thaliana (AT3G51770) UniProtKB/TrEMBL;Acc:A0A384KPH2] MMDGCKSIQVCAMNHESTTAGGVSGVLQHLHDHLKGNMIKSKYTRNFQRLQHSNNTANPGVLADALAVYGLPQADLIEPQIEPYLKPVDFVQALAELYRKFEDCPQFEKSRVFLEQCVLFKALTDPKMFRGSLVSARKHAVDVHSKVVLSAWLRFERREDELIGVSAMDCCCTRSMECPRCSLVPGYNPESANDHCMCLQSRKEDEDAEYGMEGEEISTSPSHAIEEDDDYDMSFCIGGDEVRCSRFKIASLSVPFKTMLYGSFMESKREKIYFSLNGYSANAMKAAEVFSRTKSVDSVKPEVVLELLSLANRFCCEEMKSACDAYLSSLVSDIEDAVLLVEYGLEEGAYLLVAACLQVFLRELPMSMQNPNVSRLFCSSEGRERLAAVGHASFLIYYFLSEIAMEDDMKSNKTVMLLERLGECATEDWQRQLAFHQLGCVMHERKEYKDAQQWFKAAVDAGHVYSLVGFARSKYKRGHTYKAYKLMNSLINDHTPSGWMYQERSLYCSGIEKMMDLNLAIELDPTLSYPYKYKAVSMMEENKIGPAISEINKIIGFKVSPDCLELRAWFFIALKDFIGALRDVRALLTLDPHYMMFHGKLKGDLLVELICSTVQLLSQADCWMQLYDRWSRVDDIGSLAVVHHMLANDPGKSLLQFRQSLLLLRLNCHKAAMRSLRMARNQARFVHERLVYEGWILYDTGFHEEAIAKAEESISIKRSFEAFFLKAYILSETNHDTQSSMYVIELLEEALRCPSDGLRKGQALSNLASIYIDIDKLDNAIDCYLNALDIKHTRAHQGLARVYHLKNQRQAAYDEMTKLIEKARDNASAYEKRSEYCDRDMAKNDLIMATKLDPLRTYPYRYRAAVLMDDHKEAEAIAELNRAIAFRPDPQLLHLRAAFYESIGDHMSTIRDCEAVLCLDPSQADTLELFKRACQHLNEQQQT >itb09g10300.t1 pep chromosome:ASM357664v1:9:6404514:6409082:1 gene:itb09g10300 transcript:itb09g10300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1 [Source:Projected from Arabidopsis thaliana (AT3G51770) UniProtKB/TrEMBL;Acc:A0A384KPH2] MQHNIFTSMRSVKMMDGCKSIQVCAMNHESTTAGGVSGVLQHLHDHLKGNMIKSKYTRNFQRLQHSNNTANPGVLADALAVYGLPQADLIEPQIEPYLKPVDFVQALAELYRKFEDCPQFEKSRVFLEQCVLFKALTDPKMFRGSLVSARKHAVDVHSKVVLSAWLRFERREDELIGVSAMDCCCTRSMECPRCSLVPGYNPESANDHCMCLQSRKEDEDAEYGMEGEEISTSPSHAIEEDDDYDMSFCIGGDEVRCSRFKIASLSVPFKTMLYGSFMESKREKIYFSLNGYSANAMKAAEVFSRTKSVDSVKPEVVLELLSLANRFCCEEMKSACDAYLSSLVSDIEDAVLLVEYGLEEGAYLLVAACLQVFLRELPMSMQNPNVSRLFCSSEGRERLAAVGHASFLIYYFLSEIAMEDDMKSNKTVMLLERLGECATEDWQRQLAFHQLGCVMHERKEYKDAQQWFKAAVDAGHVYSLVGFARSKYKRGHTYKAYKLMNSLINDHTPSGWMYQERSLYCSGIEKMMDLNLAIELDPTLSYPYKYKAVSMMEENKIGPAISEINKIIGFKVSPDCLELRAWFFIALKDFIGALRDVRALLTLDPHYMMFHGKLKGDLLVELICSTVQLLSQADCWMQLYDRWSRVDDIGSLAVVHHMLANDPGKSLLQFRQSLLLLRLNCHKAAMRSLRMARNQARFVHERLVYEGWILYDTGFHEEAIAKAEESISIKRSFEAFFLKAYILSETNHDTQSSMYVIELLEEALRCPSDGLRKGQALSNLASIYIDIDKLDNAIDCYLNALDIKHTRAHQGLARVYHLKNQRQAAYDEMTKLIEKARDNASAYEKRSEYCDRDMAKNDLIMATKLDPLRTYPYRYRAAVLMDDHKEAEAIAELNRAIAFRPDPQLLHLRAAFYESIGDHMSTIRDCEAVLCLDPSQADTLELFKRACQHLNEQQQT >itb03g02690.t1 pep chromosome:ASM357664v1:3:1531685:1533874:1 gene:itb03g02690 transcript:itb03g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKKNLARQFLRACRIANPNLTDCRISTSRVAVKTRIPPGPERISHDKALDDGVFRRFMHRPSAYGSVGGLPAPAPASFGGGEKLLEKLRGMDISRDRIRLEGLIPPPVKPETEPECNMTTVEARKLLRLSQLERVKMILRGIEKNHISYSEFIGICRDSCSDSDQGLEFAKTLDDSGAVIVLGNVVFLKPHQVIKAIEGLIPRPKAQPDESRTKELEEMETQMAAIEKRAESMARRELRAGLGYLVVQTAVLMRLTFWELTWDVMEPICFYITSIYAMGAYGFFLRTSKEPSFEGFFQSRVDAKKKGLIRVHSFDVDRYNQLKKSCNPYSLMSVSKSSAS >itb04g29320.t1 pep chromosome:ASM357664v1:4:32745379:32746426:1 gene:itb04g29320 transcript:itb04g29320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPISLLSLSLLLTLCVLCKATAPGLILTLVNNCPYTVWPAIQPNAGHPVLERGGFALNTLTHRSFPAPNAHWSGRIWARTGCTYAHPHFICATGDCGGRLECNGAGGATPATLAQFVLHHGHADFSSYGVSLVDGFNVPMTVTPHEGKGKCPVVGCRANLLDTCPAGLQVRSHGGHGPVVACKSGCEAFKTDELCCTNHYNNPQTCKASTYSEFFKHACPATFTYAHDTPSLMHECSAPRELKVIFCH >itb01g09390.t1 pep chromosome:ASM357664v1:1:7646341:7649946:-1 gene:itb01g09390 transcript:itb01g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFCFYAIFAFLCKASSSLPGNVSDHTALLSLKANIIGDSLQSWNESTHFCNWVGITCGRKHQRVVSIDLSSSNLQGSLSPAIGNLSFLRELILYNNTLAGIIPKEMGRLTRLSGLDLTINVFSGEIPKNLSHCVSLTNFYLGNNNLTGTFPLEFRSLSKLEHLSVVTNHLTGEIPAYIGNFSSLKKLSFGVNNFKGKIPTSFGCLQKVFVIYVNNNYLTSTIPMSIFNISSLIEFHGEENQLQGYLPPNLGSTLPNLEFLNIFNNYLIGRLPISISNATRLWYFDVTHNGFIGGVPSFGGLKRLKYLSLDDNPLGNGKSTDLDFMSSLLNSTATLVALYLSNCNFGGVLPRFIANFSSLEGFLIPKNVISGTIPSEIRHLVNLQYLDLSENQLGGTIPSSWGSLQKLIGLNLQGNKLFGEIPISMGNLSILSDLYLQFNELQGTIPKSFEKFKYLLELNVSRNKLHGNFPKVIFTSLLHLDLSHNHFTGHLPVEIGGFKNLLSLNLSNNMFFGTLPSTIGALSSLIELNINHNLFHGFIPPSFTSLKSLEILDLSCNNLIGKIP >itb01g09390.t2 pep chromosome:ASM357664v1:1:7646341:7649946:-1 gene:itb01g09390 transcript:itb01g09390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFCFYAIFAFLCKASSSLPGNVSDHTALLSLKANIIGDSLQSWNESTHFCNWVGITCGRKHQRVVSIDLSSSNLQGSLSPAIGNLSFLRELILYNNTLAGIIPKEMGRLTRLSGLDLTINVFSGEIPKNLSHCVSLTNFYLGNNNLTGTFPLEFRSLSKLEHLSVVTNHLTGEIPAYIGNFSSLKKLSFGVNNFKGKIPTSFGCLQKVFVIYVNNNYLTSTIPMSIFNISSLIEFHGEENQLQGYLPPNLGSTLPNLEFLNIFNNYLIGRLPISISNATRLWYFDVTHNGFIGGVPSFGGLKRLKYLSLDDNPLGNGKSTDLDFMSSLLNSTATLVALYLSNCNFGGVLPRFIANFSSLEGFLIPKNVISGTIPSEIRHLVNLQYLDLSENQLGGTIPSSWGSLQKLIGLNLQGNKLFGEIPISMGNLSILSDLYLQFNELQGTIPKSFEKFKYLLELNVSRNKLHGNFPKVIFTSLLHLDLSHNHFTGHLPVEIGGFKNLLSLNLSNNMFFGTLPSTIGALSSLIELNINHNLFHGFIPPSFTSLKSLEILDLSCNNLIGKIP >itb01g09390.t3 pep chromosome:ASM357664v1:1:7646341:7649944:-1 gene:itb01g09390 transcript:itb01g09390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFCFYAIFAFLCKASSSLPGNVSDHTALLSLKANIIGDSLQSWNESTHFCNWVGITCGRKHQRVVSIDLSSSNLQGSLSPAIGNLSFLRELILYNNTLAGEIPKNLSHCVSLTNFYLGNNNLTGTFPLEFRSLSKLEHLSVVTNHLTGEIPAYIGNFSSLKKLSFGVNNFKGKIPTSFGCLQKVFVIYVNNNYLTSTIPMSIFNISSLIEFHGEENQLQGYLPPNLGSTLPNLEFLNIFNNYLIGRLPISISNATRLWYFDVTHNGFIGGVPSFGGLKRLKYLSLDDNPLGNGKSTDLDFMSSLLNSTATLVALYLSNCNFGGVLPRFIANFSSLEGFLIPKNVISGTIPSEIRHLVNLQYLDLSENQLGGTIPSSWGSLQKLIGLNLQGNKLFGEIPISMGNLSILSDLYLQFNELQGTIPKSFEKFKYLLELNVSRNKLHGNFPKVIFTSLLHLDLSHNHFTGHLPVEIGGFKNLLSLNLSNNMFFGTLPSTIGALSSLIELNINHNLFHGFIPPSFTSLKSLEILDLSCNNLIGKIP >itb01g09390.t4 pep chromosome:ASM357664v1:1:7646341:7649944:-1 gene:itb01g09390 transcript:itb01g09390.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLFCFYAIFAFLCKASSSLPGNVSDHTALLSLKANIIGDSLQSWNESTHFCNWVGITCGRKHQRVVSIDLSSSNLQGSLSPAIGNLSFLRELILYNNTLAGEIPKNLSHCVSLTNFYLGNNNLTGTFPLEFRSLSKLEHLSVVTNHLTGEIPAYIGNFSSLKKLSFGVNNFKGKIPTSFGCLQKVFVIYVNNNYLTSTIPMSIFNISSLIEFHGEENQLQGYLPPNLGSTLPNLEFLNIFNNYLIGRLPISISNATRLWYFDVTHNGFIGGVPSFGGLKRLKYLSLDDNPLGNGKSTDLDFMSSLLNSTATLVALYLSNCNFGGVLPRFIANFSSLEGFLIPKNVISGTIPSEIRHLVNLQYLDLSENQLGGTIPSSWGSLQKLIGLNLQGNKLFGEIPISMGNLSILSDLYLQFNELQGTIPKSFEKFKYLLELNVSRNKLHGNFPKVIFTSLLHLDLSHNHFTGHLPVEIGGFKNLLSLNLSNNMFFGTLPSTIGALSSLIELNINHNLFHGFIPPSFTSLKSLEILDLSCNNLIGKIP >itb04g11180.t1 pep chromosome:ASM357664v1:4:10737273:10749000:1 gene:itb04g11180 transcript:itb04g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASACEICKPSQNNGFLNCASTPSQTIQVKKKHHHCHRHKRHRGKLVVSCQDEAWLNTASIFESDSDGDDDDFSSVHGGTVKSPTLTKEKTREREKTGKRVRCAGPKRLSFNNLEGGFDDLQGLDKLQYLVAYKRTVAMAKTQEVGEGGEGSGGVVRGGRQWRERKQWRRRRYYTELEISNSLSDKGGGGCSVWSRCAGGARSRRCWAIRSWEEEAKEGECKGGGCGFTASWKRMKKRAVAEEMEG >itb10g02460.t1 pep chromosome:ASM357664v1:10:2070868:2071167:-1 gene:itb10g02460 transcript:itb10g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSYDFRLILAALFLAGAWASQEVSMLERHKEWMVRYGRSYKDDAEKAKRFKIFKENVKFIESFNKAGTHLTYKLGINQFTDLTNEEFSSTMCLKPV >itb02g07980.t1 pep chromosome:ASM357664v1:2:4998598:4999819:-1 gene:itb02g07980 transcript:itb02g07980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGGGVKDGSSGRHVSGVKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAARQFRGPKAKTNFPLPSDNHSPSHSSTVESSGSEGGAHAPRELDLTRRLGVGEVGSVNHGLPFARKPQPAVAILPNGQPVLLFDTVWRPGVVTQQQAYRFEPVPVLPKCAALVRAAHSDSESSSVVDESHFDAGLAKKGLNLDLNLAPPMES >itb13g23370.t1 pep chromosome:ASM357664v1:13:29394413:29397205:-1 gene:itb13g23370 transcript:itb13g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPVKMCSFNVFSSVAKCKDVTDYKVRPRCHVMLSEEEQSRRQLLVRCGVIPFVSFLTVNCRLALLPAWAGDQANAEEEKDEGVVGALKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLKEDPNDIAKFRRTADAAKESIREYLGGWRGDKTVVKEQSYVMLEKAIRSLAGFYSKAGPSAPLPEDIKTEILDDLNKAEEVL >itb13g23370.t2 pep chromosome:ASM357664v1:13:29395490:29397205:-1 gene:itb13g23370 transcript:itb13g23370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPVKMCSFNVFSSVAKCKDVTDYKVRPRCHVMLSEEEQSRRQLLVRCGVIPFVSFLTVNCRLALLPAWAGDQANAEEEKDEGVVGALKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLKEDPNDIAKFRRTADAAKESIREYLGGWRGDKTVVKEVKLYFLCPQLSSGKEHMDIEHV >itb13g23370.t3 pep chromosome:ASM357664v1:13:29395489:29397176:-1 gene:itb13g23370 transcript:itb13g23370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPVKMCSFNVFSSVAKCKDVTDYKVRPRCHVMLSEEEQSRRQLLVRCGVIPFVSFLTVNCRLALLPAWAGDQANAEEEKDEGVVGALKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLKEDPNDIAKFRRTADAAKESIREYLGGWRGDKTVVKEVKLYFLCPQLSSGKEHMDIEHV >itb09g14640.t1 pep chromosome:ASM357664v1:9:9862573:9863970:-1 gene:itb09g14640 transcript:itb09g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHGFQAPEGHRLCANNCGSPAAQNYCPKCYRDIFLKEEEKTKSVNSVDSISPSQVSLPATSSPAAANSAKKAETAAAEVQQLPANRCSSCRKKVGLTGFRCRCGVTFCGTHRYPEMHACTFNYKALGREAIAKANPLIKAQKLDKI >itb04g06540.t1 pep chromosome:ASM357664v1:4:4240743:4247191:1 gene:itb04g06540 transcript:itb04g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRFFLIFLSLGLLLLMLMDGIPAQRPGFVSLDCGGNDNFRDELGLEWTPDSQMVNGELANISVANETRLQYQTLRYFPADDRKYCYSLNVTVRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWATIVISDASTIEYQELIFLATDPRISVCLSNATTGQPFISTLELRQFNGSMYLTPSENSNFLSVSARINFGADSDAPIRYPDDPFDRLWASDTIKKANYLVDVADGTEKVSTTKPIYVGSTELPPQKVMQTAVVGRNGSLTYRLNLDGFPGFGWAYTYFAEIEDLGPRDTRKFRLVLPGYPDISKAVVDIQENAHGKYRVYEPGYDNLSFPFVLSFRFGKTSDSTMGPLLNAMEINKYLERNDGSLDGPVIAGFISRFASLLWAQEGGDPCLPVPWSWLQCDSSPRPRITSIKLSGKNLTGGIPSEFTRLSSLTELWLDGNSLTGPIPDFSGCPNLQIIHLENNQLTGELPSSIAVLPNLRDLYVQNNMLSGDIPSALLDKDLNFNYTGNINLRKGSSGRSRKKIIIGSSVGAAVLLIATIASCILIKKGKKSRPKQGKFEQVLPPQRLVSTLDGTATEAAHCFTLSEIEEATRNFEKKVGSGGFGVVYYGKLKDGREIAVKVLTNNSFQGKREFSNEVSLLSRIHHRNLVQFLGYCQEDGKSVLVYEFMHSGTLKEHLYGPQIRDRSINWIKRLEIAEDSAKGIEYLHTGCVPSIIHRDLKTSNILLDTNMRAKVSDFGLSKIAVDGTSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNEKFGVNCRNIVQWAKLHIESGDIQGIIDPALRNEYDIQSMWKIAEKALMCVQPHGSMRPSISEVIKEIQDALAIERGAEVVREGSSDDISKHSVHSSLNLGSIDLGVGEHYLSIDESIARPTPR >itb08g06980.t1 pep chromosome:ASM357664v1:8:5966895:5969009:1 gene:itb08g06980 transcript:itb08g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGCLKPMHQCYTNDEMCSLLNGYSTTVTNSNFLKSKLKSPVAASPEQSATPPLRLLPDHYSLTVGF >itb01g14230.t1 pep chromosome:ASM357664v1:1:15952063:15956571:-1 gene:itb01g14230 transcript:itb01g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAKACTSTTTTTTTQISQVMTVSEKGSRNKRKFRADPPLADTNKIIPTTQNECTSYEFSVDKFGIIPSHGNSTGCDMCSVKHDKSEGLKLDLGLSCAVGSSDVEPRRPREDVEATEDFRDADWSDLTESQLEELVLSNLDAIYKSAVKKIIACGYSEEVANKAVLRSGFCYGCKDIVSNIVDNALAFLNSGQVIDSSRGHYFEDLQQMEKYVLAELVCVLREVRPFFSTGNAMWCLLVCDMNVSHACALDTDPLSSFVGDGASNENSLASLQPPLKAEAKSIESNASISCKSTPSAFCAYCPSVTPDTVSTPYGHTFLSDTPAAAALPHVKPHSSLNGLMTEKECSNNLFNTAEKSFSAAGTSHGLHTEKFVGSRKISGTTKREYILRQKSIHLEKHYRTYGSKGSRSGKLSNFGGFLLDKKLKAVADSTGLNLKNATINMNKTKADQFHYVEGHNVSTSTGFSSTPMFGSENANATFALPKSTIPSLFPPVNTTPSLSVTDTENSLPVPAKCISIQMPINHSDEVPTCGSTAENEKHITQWATQDKKDEMILKLVPRVRELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKQTLEENTMKKLTEMASALGKASGQVDRANAAVRRLEVENAALRREMEAAKLHAAESAASCQEVSKREKKTLAKFHSWEKQKIMLQDELATEKRKLAQLQQDLELAKDLQNQIEAKWKQEKIAKDELLSQASSLRKEREQIETSFKSKEDMIKLKAEDNLQKYKEDIEKLEKEISQLRLKTDSSKIAALRRGIDGSYASKVTDLKTPPEPKESHTHHISRLIADFRDFCNTGGVKREHECVMCLSEEMSVVFLPCAHQVVCTTCNELHKNQGMKDCPSCRSQIQQRICVRFAHS >itb03g14580.t1 pep chromosome:ASM357664v1:3:14220686:14223230:-1 gene:itb03g14580 transcript:itb03g14580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MALVTHQLSSLKFSTSNSRLFTVSAIRPQRCRLLPARRRFLVVKSAVAVESPASSSTDRDDGHSNLLLEVKDLSAVISESKQPILKGVNLTVREGEVHAVMGKNGSGKSTFAKVLVGHPDYEITGGSVTFKGENLLEMEPEERSLAGLFLSFQSPVEIPGVSNIDFLNMAYNAKRRKLGQPELGPIEFYGYIAPKLELVNMKVDFLNRNVNEGFSGGEKKRNEILQLAVLGADLAMLDEIDSGLDVDALRDVAKAVNGLLSPTKSVLMITHYLRLLEFIKPNFIHIMEDGRIVKTGDISIAKVLEKEGYKAISGA >itb03g04960.t1 pep chromosome:ASM357664v1:3:3289177:3291730:1 gene:itb03g04960 transcript:itb03g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRWWWLAVVMLVVSVSEPAFSDPQTEIVDQGCGLYHVSDVPNYNRELNLSFADLRNQLSSANKHFATSTQKTVYAMAQCRKYLSTADCVACFDAAVLVTRNCSNAGVNSAILVFDGYNNGYFYDQSIDEIIGGTYRVCGNRTASKQNIFNATAAQQLNELLLATPRIHGFYAAAKLQEESPGGATTYAVAQCAETMSESNCKDCLSVAYNNIKDCLPNSADGRAGVDAGCFLRYSDTPFFADNQTTDITPFLGRGNSSGKKKPILAGVVGTVGIILVLAALFLWYLQSRKQNAWIRGNILGTKSYIYKDLKAATNDFSEENILGKGGFGDVYKGTLQSGDVVAVKKLIAISSRAKANFETEISLIANANHPNLIRLLGYSGNGKVLILVYEYMANASLDKYIYGEKRGMLNWKQRVDIILGMARGLEYLHEQFDVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENKTHLTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSSDLKVEPVTEYLLEQAWKLYENDEHLGLVDNNLDPNEYEAEEVKRMLEIALVCTQSPSKIRPSMSEVVVMLSSTDASIIQKPQNRPTTITDFNKRMPTATNTSTLTNATISFSRFSGR >itb09g22140.t1 pep chromosome:ASM357664v1:9:20435306:20438579:-1 gene:itb09g22140 transcript:itb09g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACCLPIIECVYCLGCARWAWKKFLYTAGRESENWGLATASEFEPVPRICRYILSVYEDDIRNPRWAPPGGYGVDPDWIVVRRDYDFTQGNVAPYMIYLNHENEEIVLAIRGLNLAKESDYLVLLDDKLGQAEFDGGFVHNGLLKSARWVLEKDSKILEELIGRYPNYTLTFAGHSLGAGVVTLLAMLAVKNPDQLGNVERRRIRCYAFAPARCVSLNLAVRYADVINSIVLQDDFLPRTTVGQENFFKSLFCFPCVFCVTCLKDTCTMEEKMLTDPRRLYAPGRLYHIIARKPFSLAEISPVVRTAIPVDGRFEHIVLSHNMMADHAIIRILKESQRTLDTMLEREHAMGIPTQQRMERQASLAKEHREEHKAALQRAVALDVPQAYSPSYGTFGEDEEGEKYRIPQESSFRTFKVRRENWDDLARRLFSKD >itb07g06670.t1 pep chromosome:ASM357664v1:7:4792146:4794191:-1 gene:itb07g06670 transcript:itb07g06670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASSEYRHKFTPSPYGDDVKTGVPVSSSSTTSHAYSSEGSHIAPNQVKPKIRGPWSTGLCDCFSDPRNCCVTFWCPCITFGQIAEIVDKGSNSCGVNGALYTILACVTGFPCCYSCFYRSKMREQYMLKESPCGDCLVHCFCESCALCQEYRELKNRGFDMSIGWHGNVERQNRGIAMAPLVEEGMSR >itb11g13300.t2 pep chromosome:ASM357664v1:11:10287801:10291896:1 gene:itb11g13300 transcript:itb11g13300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEIEKSAQKEREKKKVLALAPIAKPLAGKKLSKRTFKLVRRAAELKCLKRGVKEVVKSIRRGHKGFCVIAGNISPIDVITHVPILCEESDIPYVYVASKEDLANAGATKRPTCCVLVLTKPAKGELSPEDQEKLKGDYDQAVAEVRELTSSMF >itb11g13300.t1 pep chromosome:ASM357664v1:11:10287796:10291922:1 gene:itb11g13300 transcript:itb11g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEIEKSAQKEREKKKVLALAPIAKPLAGKKLSKRTFKLVRRAAELKCLKRGVKEVVKSIRRGHKGFCVIAGNISPIDVITHVPILCEESDIPYVYVASKEDLANAGATKRPTCCVLVLTKPAKGELSPEDQEKLKGDYDQAVAEVRELTSSMF >itb04g22210.t1 pep chromosome:ASM357664v1:4:27364592:27369566:1 gene:itb04g22210 transcript:itb04g22210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVFSLVMEVYALWKVPGLLPAFVLKGGEVSRFVIGGADLMFPGIYVPAEGLPLFSAGEAWAVLVPGNPAAIAVGTTTMSSTEALKAGLRGKALRINHYYRDTLWEATENPYVPNAGFLEDVVFEDPAFISTYEASGSREGDDSVEKVNSIDNEGAADAVEVEATSSSSGHAPKIQSDVTNEETEQLADDMVGLKVIENVSADELKSDDQHPLSAADIDALLDKCLLQALYTTVKEKDLPMPGSTLWSSHVLPCRPSGITLDIKKSSYKKLSKWLQAKASAGWITVKEDKHKKEVNLFSVNRNHPDYMSFKPEKKKPEKTGKSVNDASNEEQSSKPLEVAEIYKPTVYVNPIFAAVGVNLDKLFTASEASEIVFQYVEKEKLVKPTNRSVVILDATLCDALYKGAIKKGSTYPTEIHKKDLASTFINRMQAHHRVTRGNDSAVRKGALKPIQIMTERRQGNKKVTKLSGLESFLIDPEVLASELQKKFACSTSVTELPGKKGLEVLVQGGVIDDVARYLVEQCGILKRYIEVYDKTKK >itb09g27190.t1 pep chromosome:ASM357664v1:9:27625485:27625895:1 gene:itb09g27190 transcript:itb09g27190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKMAKKWQKFAATQRKKISFERLNNEANSCSKSPAVIKDNFVIYTVDQKRFVVPLAYLDNEIIMQLLEMSEEEFGLPSDGPIIVPCDALLLDYIISLLSRGVGRQIQNALSVSVASYRSTSREKSGSAC >itb08g02180.t1 pep chromosome:ASM357664v1:8:1755645:1758209:-1 gene:itb08g02180 transcript:itb08g02180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGEFKLPQFFNYPPYFTLQPVRDTREKQIQLWKELIIDYCKTQKIFVIGLEEDFPLFSNPAIERSLSHEAREAFLSTLVSDGRAEWIDKGHRKCLILWHRIQDWADLILHFVRENGLEDSVMTVEEIRSGTESRGTELHGMDRTILMRALKLLENKGKLALFKGTSADDEGVKFSL >itb10g07760.t1 pep chromosome:ASM357664v1:10:9269318:9269677:-1 gene:itb10g07760 transcript:itb10g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERNEGDRHHQPPPNTAAASPRTHAVAVDREKEDRREASLLELKTVAAALLRRRSALPETEDSHRVLLISPKSYWLELQPPIAHASFYRHCCSVSKLTKKGGRSCSGHLAARSRRAH >itb07g10210.t1 pep chromosome:ASM357664v1:7:11009535:11022292:1 gene:itb07g10210 transcript:itb07g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKLPLNFISKSTIHHKTPAISHYSSRRSSSCRTSRRRSAAPSCSTRSSAESIRWNGRGAENHHHHHHRVPKLNGAGGSINYGGVDQDGDNKMPRVVHCEVQVVSWRERRIKAEISVNADVDSVWDALTDYECLADFVPNLVSSKRIPCPHPGRIWLEQRGLQRALYWHIEAHVVLDLQEFINSENIRELHFSMVDGDFKKFEGKWSLKSGKRASTTMLCYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAESKFEGHQNTVAINAGASADSLASLVSSSKNVDVSIPEKNKISTGALKEKLGKATFGPVAPATSDLNSNWGIFGKTCKINKTCTVDEVHLRRFDGLLENGGVHRCVVASITVRAPVREVWNVLTSYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVILDLCEKIEEEISFEQVEGDFDSFQGKWILEQLGSHHTLLKYSVESKMHKNSFLSEAIMEEVIYEDLPSNLCAIRDYIETLEAENAQKTQEYVTYGEAEITPDKDLSADSISPDEENSDSVNSDSHRQRPKVPGLQRDIEILRAELLAFISEHGQEGFMPMRKQLRKHGRVDIEKAITRMGGFRRIAFLMNLSLAYKHRKPKGYWDNLENLQDEISRFQRSWGMDPSYMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPNRQQSFGKEKKFDVAPSDVNGEEKTPRAYVSQDAHKWLTKLKELDINWVE >itb07g10210.t2 pep chromosome:ASM357664v1:7:11009535:11022292:1 gene:itb07g10210 transcript:itb07g10210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKLPLNFISKSTIHHKTPAISHYSSRRSSSCRTSRRRSAAPSCSTRSSAESIRWNGRGAENHHHHHHRVPKLNGAGGSINYGGVDQDGDNKMPRVVHCEVQVVSWRERRIKAEISVNADVDSVWDALTDYECLADFVPNLVSSKRIPCPHPGRIWLEQRGLQRALYWHIEAHVVLDLQEFINSENIRELHFSMVDGDFKKFEGKWSLKSGKRASTTMLCYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAESKFEGHQNTVAINAGASADSLASLVSSSKNVDVSIPEKNKISTGALKEKLGKATFGPVAPATSDLNSNWGIFGKTCKINKTCTVDEVHLRRFDGLLENGGVHRCVVASITVRAPVREVWNVLTSYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVILDLCEKIEEEISFEQVEGDFDSFQGKWILEQLGSHHTLLKYSVESKMHKNSFLSEAIMEEVIYEDLPSNLCAIRDYIETLEAENAQKTQEYVTYGEAEITPDKDLSADSISPDEENSDSVNSDSHRQRPKVPGLQRDIEILRAELLAFISEHGQEGFMPMRKQLRKHGRVDIEKAITRMGGFRRIAFLMNLSLAYKHRKPKGYWDNLENLQDEVSFLPPSPTFGKTKCLDLCIIPFNGLYLFTFFSSLKTNLQCFRLVASRGAGEWIHLICPAENLLNEQVDTILLVHWKNGVGFMKFHVFCH >itb02g08900.t1 pep chromosome:ASM357664v1:2:5628454:5631313:1 gene:itb02g08900 transcript:itb02g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFSIAMFCLVVFWAYWAWKTLSWLWFKPKKLEKRLRQEGFNGNSYRPPFGDLKETAMLMAEANSKPISFTNEIFPRVLPSLHKTIKNYEGSELDVWPYLRTLTADGISRTAFGSNYEEGKKIFELQQEQAELAIQVARSLYNIPGLRFFPTKRNRRMKQIFNEVRSSILGMIDKRMRAIEAGESRNDDLLGIMLESNLTEIQQHGSKSYGMSINEIIEECKLFYFAGQEATSTLLIWTMILLGRHLEWQERAREEILQVIGTTEEPDSDKLNQLKIVTMILNEVLRLYPPGVPFGRVIEQDTKLGNITLPAGIQILIPLILLQQDEEMWGEDAKEFNPERFSEGISKATKGQFSFFPFGWGPRICIGQNFAMLEAKMALAIILRRYAFELSPSYAHAPQAGTALVPQYGAQLILRKLVHN >itb06g14670.t1 pep chromosome:ASM357664v1:6:19179016:19180255:1 gene:itb06g14670 transcript:itb06g14670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISIHSPILPRFPQPTSTFMHQLTNAATTLRFPNNASTAHFHGCKPPAAAATPSSLFLAAAVPPATSGDYTVLLQTGGLLFFMYLVTNFLVPTLVFKDVKDDGSDKDKEEQY >itb06g14670.t2 pep chromosome:ASM357664v1:6:19179003:19180014:1 gene:itb06g14670 transcript:itb06g14670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISIHSPILPRFPQPTSTFMHQLTNAATTLRFPNNASTAHFHGCKPPAAAATPSSLFLAAAVPPATSGDYTVLLQTGGLLFFMYLVTNFLVPTLVFKDVKDDGSDKDKEEQY >itb09g02490.t1 pep chromosome:ASM357664v1:9:1415093:1415398:1 gene:itb09g02490 transcript:itb09g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQPVAMEKKRNRNRYRHEKPLAPANTPKPWAPGFMSLLAQVPAPAVLFVALRRFQLPTFLSNGLSIQPVFILILGLLHRARVDNFQYLCLVGSLIEQL >itb00g01140.t1 pep chromosome:ASM357664v1:16:7144259:7144663:-1 gene:itb00g01140 transcript:itb00g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGLVSSALFLCVGVLYDRHKTRLVRYYGGSVSTMPNLPTIFFSSTLANMSSPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRAVSGNLKPDFLHKFSDPNGREVSIFIPFLVGGATVR >itb13g16640.t1 pep chromosome:ASM357664v1:13:23606793:23608383:1 gene:itb13g16640 transcript:itb13g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCHVLLVMYPAQGQINPCLQFAKRLAKLGVKITFMTSISAINRMQESIEGVDFATFSDGYDAGFKGSMEDEYPEFYASLKARGSKAVADLIAAKRENGSPFSRVIYTTVMPWVGLLAGILQVPSTLLWVQAATIFDIFYYYFTGDEERFRSCTGDNDGVVELGGLMALSPREFPSYLLAEASNATDFMIAQLMKDHVELIKKEGRPKVLVNTFDALEFEALRVIEKVGLVGIGPLAPPAAYLDGVDPYCDGREKGNDYVEWLDSQAKGSVIYVAFGSYSDVPNQMMEEIAQGLVQTGRPFLWVMREGGNGENPEEKLSCKEDVEKQGKIVRWCSQAEVLQHFSVGCFVSHCGWNSTLEALTYGVPIVGCPLWTDQGCNSKLIQDVWKTGVRVNVNVKGIVEANELKRCIECIMGEGEIAQGLRNNATKFKALAKEAMREDGSSNVNLKAYVEEVLLGLV >itb07g07390.t1 pep chromosome:ASM357664v1:7:5594634:5595606:-1 gene:itb07g07390 transcript:itb07g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLKTLYAADCHFKGSIPSGISNFSNMISLELAQNNLSGSLPGTLGHLLRLQGLFLHNNKIEGSIPNTLCYLKDLSEFYLRDNKLSGSIPSCFGNISSLRKIYLGSNLFTSTVPIGFWNNKDVLELDLSSNFLGDSLSPEIGSMHSMVKLYLSGNIFSGEIPNTIGQLQNLLILSLSSNRLHGPIPQSFDSLISLQELDLSNNSLSGVIPKSMEKLKDLVYLNLSFNDLSGKIPNGGGPFAKFSMESFMGNKELCGASRFHVMECKEGKGKPRNIAIFLKETNKGNLGLKIHMMLHX >itb12g04260.t1 pep chromosome:ASM357664v1:12:2781078:2781428:-1 gene:itb12g04260 transcript:itb12g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKRVPTVVSNYQDDAPEGSKTESLGCGRNCLGQCCLPVSCLPLFAFKNDEHKCDADWNYLSYVPRNTKTR >itb15g24140.t1 pep chromosome:ASM357664v1:15:26991410:26992771:-1 gene:itb15g24140 transcript:itb15g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MAPNLIPQMTNPITATTAEAITGASSAVKRRKNEHIHRDLIPGLPDHIAQTCLSSVHPSLLYSVCHSWRRLIYSPSFPPFLSIYAVFSSSDSVGFASFDPISSAWQFLPLPPPTAGLLLSHPSFISRRLPVQSVAVAGNLVLLAATADKFLPALSRPLIFNPLTRKWTCGPPLSTPRRWCAAGVSGGAVYVASGIGSHYNHKVARSVEKWDLKSNDHRYFRWEKMGCLRDGKFSRDAIDAVGWRGKLCMVNVTGDAAKEGIIYDVESDAWEEMPAGMLMGWRGPAAALDEETIYMVDESKGALKRYEPERDVWVEVTENQMLKGAQHITAGGGRVCVSCGGGEGIAVFDVVANPPSNMWVVDTPPGFQVLSVHILPRMSQNHFHPSD >itb11g23090.t1 pep chromosome:ASM357664v1:11:25030023:25031766:1 gene:itb11g23090 transcript:itb11g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQSLGRVGTAIASFLFMWDMIRRHLPPELRRVLERWTYKLRIFFNPYVQISINEYMSRNLKPHDAYAAVEAYLSVNSVKEAKRLKAEMITGADKLVLSMDENEKVNDEFHGAKVQWISGKFEVRQKEQYPEIDKRYYKLIFHKKHREMITGPYLDHVVRTGKQIQARYKKKKLYTNSHSKTTWSHIVFDHPASFENLAMERERKKEIVEDLIAFREGREFYERIGKAWKRGYLLYGPPGTGKSTMIASMANLLNYDIYDLELTSVRDNTDLRRLLAETTSKSIIVIEDIDCSLDLTGKRKKSLDKKAEGGTENSMNEAFSNETEETSSRVTLSGLLNFIDGLWSACSGERIIVFTTNYVHKLDPALTRRGRMDQHIELSYCSFEGFEVLARSYLGLESHPLFESIELLMKETRITPADVAENLMPKSRKEVAERCLRNLVQTLERAKEKQRSDKGKEELEDKLE >itb08g16030.t1 pep chromosome:ASM357664v1:8:18196040:18201187:1 gene:itb08g16030 transcript:itb08g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKQKIRKDSRRRTLDSASDSNYSRPLHKLLSAIKTPQGIKSPILKSLYCLLVHMSTDSLSKVDVNDLRVISDILFKELSLRFNILFSSLYDVCTSKDLGQGSIHMNCTVEVLVLLLRCCMAILQLHIYDHNVVLEKGGILLKILCKLCSLNLPKRKDRKDISLQNSVFHACTYDDDDFTTTSREELVASLHFYEPPNLQTSFAIAMLEVFLDELLVHGKLRAIFRLIDLFSSTSETLFMPQSTQKDISSKKRQSDIGILMELVSTCFLLSFSGENAIEDFLYRLFRAQRKDFKFFITPEVSLTGAISLLLNPIVFSAPKIVQAYVVSSVSEAMSGLMDVQNLKPNCKLLDCFLSVFEKSVNMYMKLISFVQINGDSPSKCASFVNSSTYRGNFPPPFESYILPSTQEKINSLIKRFEGSSDSFLGNHFFELKSDMTSSCIAYVKHCQCLLDQSCQDDVFSIISCLILRASVSFDETVKPAIKGMDLQAICLLVAVLKSMGTSLLHAISYLRNGESYGSFKTLKDYSLCKEYMSILGSISCFSESKIHFPVQEFLCSIMETNIIKHKDFMVMLLHFSGMLAFSFLKRIGCLAYGCLLTITALVNLFVLEEGYLDELRSLIVSGSDSDSSALPLVRIQEAVMDQRPSIMVASKFQNIQNLYLSKSKTMVKYCGSVEDGWPESSSSSASCLFDKEEEEMVSVEEETMNGEMFLRCMEPNAKKDSDFGELADFIECKQGKDYAEWLQDREKYRKWKCKRTAMLRWKRKRKTWKVWKGKRSSGLMVSEFP >itb04g12150.t5 pep chromosome:ASM357664v1:4:11921096:11924495:1 gene:itb04g12150 transcript:itb04g12150.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLELEFLQPEPRPILQQMEIIPFNKDLIQSLHAKLGFLIQLFDESRMDDVKAIKDLETKLRDVAFGVEDEIELHVVDLEAFRDLYESELFRGADEEMGEGDTEAKKTQHSHRLSHVLQQGIEDIDAIKEEVEKVMMEYKHVIAMQGSRETTLDDEVIPKSDDELVKMDGSGSSTHASHTEDIMVGKNNEFDTIMKMLIQHPSKQREVVSIQGMGGIGKTTLARRVYEDSSTVSHFDRRLWVVVSQHHNKRQMLLGLLGSRDNVNSSSYEDLALQLYQSLKGQRYLVVMDDMWSRESWNDVNSCFPDDINGSRVLLTTRVTEVATCIGSNNNYFSHQMKFLDPSESWELFHKKACKSQGVEFETIGRPIVEKCKGLPLTIVVVAGLFSKLNTLDEWKNTANALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYKIKANNLGRLWAAEGLVKAFKNESPEAVAERYINELMDRNLILVSKRSSCGRKIKAFRVHDLLHAFCVREGQNQSLLHVAGESDSNFPQKGVRWIRVSFEDFDISALHSLSKHCRSIFYFLEWHKHSINLEFFSLLRVLYVTHQFHLPSPIVHIHLRYLWLIGARHNPHDSLFSNAWNLQTYSVYGPYTVLLHLKFPQLQYIHCDEFFGAFPKSVHQNLQVISGLQASHCHQESLIKVPYLKK >itb04g12150.t2 pep chromosome:ASM357664v1:4:11921096:11924495:1 gene:itb04g12150 transcript:itb04g12150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLELEFLQPEPRPILQQMEIIPFNKDLIQSLHAKLGFLIQLFDESRMDDVKAIKDLETKLRDVAFGVEDEIELHVVDLEAFRDLYESELFRGADEEMGEGDTEAKKTQHSHRLSHVLQQGIEDIDAIKEEVEKVMMEYKHVIAMQGSRETTLDDEVIPKSDDELVKMDGSGSSTHASHTEDIMVGKNNEFDTIMKMLIQHPSKQREVVSIQGMGGIGKTTLARRVYEDSSTVSHFDRRLWVVVSQHHNKRQMLLGLLGSRDNVNSSSYEDLALQLYQSLKGQRYLVVMDDMWSRESWNDVNSCFPDDINGSRVLLTTRVTEVATCIGSNNNYFSHQMKFLDPSESWELFHKKACKSQGVEFETIGRPIVEKCKGLPLTIVVVAGLFSKLNTLDEWKNTANALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYKIKANNLGRLWAAEGLVKAFKNESPEAVAERYINELMDRNLILVSKRSSCGRKIKAFRVHDLLHAFCVREGQNQSLLHVAGESDSNFPQKGVRWIRVSFEDFDISALHSLSKHCRSIFYFLEWHKHSINLEFFSLLRVLYVTHQFHLPSPIVHIHLRYLWLIGARHNPHDSLFSNAWNLQTYSVSFLDCRLVIATKSP >itb04g12150.t1 pep chromosome:ASM357664v1:4:11921096:11924495:1 gene:itb04g12150 transcript:itb04g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLELEFLQPEPRPILQQMEIIPFNKDLIQSLHAKLGFLIQLFDESRMDDVKAIKDLETKLRDVAFGVEDEIELHVVDLEAFRDLYESELFRGADEEMGEGDTEAKKTQHSHRLSHVLQQGIEDIDAIKEEVEKVMMEYKHVIAMQGSRETTLDDEVIPKSDDELVKMDGSGSSTHASHTEDIMVGKNNEFDTIMKMLIQHPSKQREVVSIQGMGGIGKTTLARRVYEDSSTVSHFDRRLWVVVSQHHNKRQMLLGLLGSRDNVNSSSYEDLALQLYQSLKGQRYLVVMDDMWSRESWNDVNSCFPDDINGSRVLLTTRVTEVATCIGSNNNYFSHQMKFLDPSESWELFHKKACKSQGVEFETIGRPIVEKCKGLPLTIVVVAGLFSKLNTLDEWKNTANALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYKIKANNLGRLWAAEGLVKAFKNESPEAVAESDVSQANSG >itb04g12150.t3 pep chromosome:ASM357664v1:4:11921096:11924495:1 gene:itb04g12150 transcript:itb04g12150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLELEFLQPEPRPILQQMEIIPFNKDLIQSLHAKLGFLIQLFDESRMDDVKAIKDLETKLRDVAFGVEDEIELHVVDLEAFRDLYESELFRGADEEMGEGDTEAKKTQHSHRLSHVLQQGIEDIDAIKEEVEKVMMEYKHVIAMQGSRETTLDDEVIPKSDDELVKMDGSGSSTHASHTEDIMVGKNNEFDTIMKMLIQHPSKQREVVSIQGMGGIGKTTLARRVYEDSSTVSHFDRRLWVVVSQHHNKRQMLLGLLGSRDNVNSSSYEDLALQLYQSLKGQRYLVVMDDMWSRESWNDVNSCFPDDINGSRVLLTTRVTEVATCIGSNNNYFSHQMKFLDPSESWELFHKKACKSQGVEFETIGRPIVEKCKGLPLTIVVVAGLFSKLNTLDEWKNTANALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYKIKANNLGRLWAAEGLVKAFKNESPEAVAERYINELMDRNLILVSKRSSCGRKIKAFRVHDLLHAFCVREGQNQSLLHVAGESDSNFPQKGVRWIRVSFEDFDISALHSLSKHCRSIFYFLEWHKHSINLEFFSLLRVLYVTHQFHLPSPIVHIHLRYLWLIGARHNPHDSLFSNAWNLQTYSVYGPYTVLLHLKFPQLQYIHCDEFFGAFPKSVHQNLQVISGLQASHCHQESLIKVPYLKKVSIIMDRINDCTKNLVCLQQLESLSVDFFPRSSGILYMMPQIINNILLLKNIRKLRFDFMISKWKAIDVLSKLPRLEVLKLSFFDLPKKWEVPENVKFCQLICLKIWSGNLEHWEVGADNFPKLERLFLNTCSELRKIPNSFAEIPTLSLIQLERCLPFAVMSAKQIQAEQHDYGNENMIVIEKNTLQMTDIMATSMLMMRRRMQSYRL >itb04g12150.t4 pep chromosome:ASM357664v1:4:11921096:11924495:1 gene:itb04g12150 transcript:itb04g12150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLIRTLELEFLQPEPRPILQQMEIIPFNKDLIQSLHAKLGFLIQLFDESRMDDVKAIKDLETKLRDVAFGVEDEIELHVVDLEAFRDLYESELFRGADEEMGEGDTEAKKTQHSHRLSHVLQQGIEDIDAIKEEVEKVMMEYKHVIAMQGSRETTLDDEVIPKSDDELVKMDGSGSSTHASHTEDIMVGKNNEFDTIMKMLIQHPSKQREVVSIQGMGGIGKTTLARRVYEDSSTVSHFDRRLWVVVSQHHNKRQMLLGLLGSRDNVNSSSYEDLALQLYQSLKGQRYLVVMDDMWSRESWNDVNSCFPDDINGSRVLLTTRVTEVATCIGSNNNYFSHQMKFLDPSESWELFHKKACKSQGVEFETIGRPIVEKCKGLPLTIVVVAGLFSKLNTLDEWKNTANALISSSTSTLDDEECWRILSLSYSHLPHNLKACFLYLGVFPEDYKIKANNLGRLWAAEGLVKAFKNESPEAVAERYINELMDRNLILVSKRSSCGRKIKAFRVHDLLHAFCVREGQNQSLLHVAGESDSNFPQKGVRWIRVSFEDFDISALHSLSKHCRSIFYFLEWHKHSINLEFFSLLRVLYVTHQFHLPSPIVHIHLRYLWLIGARHNPHDSLFSNAWNLQTYSVYGPYTVLLHLKFPQLQYIHCDEFFGAFPKSVHQNLQVISGLQASHCHQESLIKVPYLKKVSIIMDRINDCTKNLVCLQQLESLSVDFFPRSSGILYMMPQIINNILLLKNIRKLRFDFMISKWKAIDVLSKLPRLEVLKLSFFDLPKKWEVPENVKFCQLICLKIWSGNLEHWEVGADNFPKLERLFLNTCSELRKIPNSFAEIPTLSLIQLERCLPFAVMSAKQIQAEQHDYGNENMIVIEKNTLQVSRSISISFSQLPLIFLPTSFSFITS >itb12g05230.t1 pep chromosome:ASM357664v1:12:3477872:3479363:-1 gene:itb12g05230 transcript:itb12g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGENGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYELGLPVIQKAGVAHKIEFKEGPALPVLDQMIQDGKYQGSYDFIFVDADKDNYLNYHKRLIDLVKVGGLIGYDNTLWNGSVVAPADAPLRKYVRYYRDFVLELNKELAADPRIEICQLPVGDGITLCRRVY >itb11g10090.t1 pep chromosome:ASM357664v1:11:6864357:6865760:1 gene:itb11g10090 transcript:itb11g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGVLMACPMNAYLEHQLHNRFNLFRFWNFPDTDAFFAQIDAASIRALVVNAFVGVDLLDALIDRLRNLEILSTYSVGLDKVDVAKCKEKGIRVTNTPDVLTDDVADIAIGLILAVLRKICEGDRFVKKGLCKMADFQLTSQFSGKSVGIIGLGRIGLAIAKRAEAFNCAISYTSRSQKLDTNYKYYPNVIELASNCEILVVACALTPETQHIINRQVIDSLGPNGVVINIARGGHVDEAELISALVEGRLGGAGLDVYENEPEVNEELLGLDNVVLLPHHGCATVETRNAMADLVVGNLEAHFMNKPLLTPVV >itb08g16470.t1 pep chromosome:ASM357664v1:8:18581506:18584521:-1 gene:itb08g16470 transcript:itb08g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTECITRMLNLNQPVNAGGTANEEVYKILIYDRFCQDILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPTHSNVQRIVADASKSLYDSFHLNFSSSIPRPLLEDLASGTISSDSIQRISKVHDQYLEFVTLEDNLFSLANKNCYVQLNDPSAGDKEIEEIIEKVVSGLFCVLATLSVVPIIRCPRGGPAEMVASLLDQRLRDHLLAKNNLFSEGGNFASSFQRPVLCLFDRNFELPVAIQHDFRYRPLVHDVLGLRLNRLSVQGEKSGMKSFELDRSDPFWMANGSLEFPEVALEIENQLNKYKKDVEEVNRRTGGNDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATALLGEIKERSLDTYAKKEDDLMVRGGIDRSEILGVLKGKGTKVDKLRFAIIYLISSENIPQSEVEMVEAALRESEVDTSAFQYVKKIKSLNVSLASASAASRGNIVDWAEKLYGQSISAVTAGVKNLLASDHQLALSRIVEALMEGKPNPEVDPYLIFDPRAPKSSAGSSSSHLKGPFREAIVFMIGGGNYVEYGSLQDLAHRQQPPKHIIYGTTEILTGGEFVEQLALLGKKMGLGSNGVAAPAH >itb04g01190.t1 pep chromosome:ASM357664v1:4:660580:663156:-1 gene:itb04g01190 transcript:itb04g01190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSASMPIQHPTNLSCASHHKPLNLHPPLFPSKLKKLSFRVLCSSQNNAHSSPTQISNLPWILRVIPDWADAIKENRMQQKRALYTHEDWVLHRSSLRHLRHLLSSLSSRVILSLVPPVIAFTSVAVVVASYNSAVSLHWLPEFFPVLRASTLPYQLTAPALALLLVFRTEASYSRLEQGRLAWTKVIAGASDFAVQVISGVESKSDKLLKNALLQYIMAFPVALKCHLVYGSDMASDLKNLLEADDLSVVLSSKHRPHCILEFISHGIRSLNIEETRLHLLESKISCFHEGISACEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCNMLHTSIREAVANEKTIQARINARRKRHFTEHSQNGWPTSQN >itb04g21690.t1 pep chromosome:ASM357664v1:4:26791731:26792454:1 gene:itb04g21690 transcript:itb04g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMGVYVGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAAAAYDVAAFHLRGCKARLNFPELVESFPKPATSQPEDVRLAAHQAAMGFKPPPEPPGAGLRPVRIGLSPNQIQAINETPMDSPNKMWMELLIREPPLMICEDMMELEDWEEIQDDSIWDF >itb02g13960.t1 pep chromosome:ASM357664v1:2:10193291:10198759:1 gene:itb02g13960 transcript:itb02g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPKDHIEDIRRRKFSIGGEPNSLREDLHQAVKNLSAELYSKDVHFFMELIQNAEDNEYESGVKPSLEFVITSKDITETGAKATLLIFNNEKGFSSRNVESICSVGLSTKKGQRKSGYIGEKGIGFKSVFLITARPYIFSNGYQIRFNEEPCEHCGIGFIVPEWVDGNPILARINQIYGSSRKHPTTTMVLPLKSDKVAPVKQQLSSIHPELLLFLSKIRELSVREENEDPKLNSVSAVSISSERDLVRKKNIDAESFLLVLSADEKAAGSNGQCSHHMWRQRFPVKKECRVDRRKEVDEWVITLAFPYGERLNRGNISPGIYAFLPTEMVTNFPFIIQADFLLSSSREAILLDNKWNKGILNCISVAFLAAFTSLVKANIDAPTSTLVHMFNFLPLKGSPYSSLNSIKDSIREKLLQEDIMPCQSYTTQKYFRKPNQVGRLKPAFWTLVDKARKQGVSFLNISSHGKYILNHAFDQEKYNDILKFLDVKYVEDEWYSRCIQSSDLVLGVSEDLYLELLLFVADYWGSFSKTSMVKIPLLKYLDSNLHVGLCSVNSASTRDHLKLFICTHSGDVSWLTSWHREFRCVGGYLIAESTQKELHSSASKWQKVFDWLCSKPSVKSVDVEKYAVMIRDSLSHDQKLILAYAHFLYHSFKQKYLSATQIGPLCLEMPLVDDYGQVATKRKRVVPANGSKWVQLLGSSNPWKRDGYIELGGEYLHDQRYACVYTKKEDLLQFLKSHARVLDIPSLPPPDVSLSSMASPLTRDNALLLLDWIGKMKVIPERFLSCIREGSWLRVRLCGNPGFRPPSQSFLPSSSWGDHLQNGSLPVDIPLVDKEFYGNVISEYKESLRTAGVMFELQDACEFIARHFMSLAASSAFTKFDVISMLNFIRYLRAKFLPPDSFINSVKDKRWLQTTQGNRTPGQSVFLDKEWAAASLISNIPFVDQNHYGHEILAYKEELKLLGVIFGFNQNFQLVVSNLKPSGSLTSLSAEAALLALNCIRHLRLGSSHSLCTALAGNRWLKTVRGYRSPTECFLPDPTWVSLLQVFDCFPCIDEKFYESKISWFKHELKMLGVVVSFENATKPFAEVFRQQASKSALSKSNALSFLECYKKLKANSLNLLKSDGDIGKVIQEVKWLRTRLGSASTPKKCILFGKEWKAISSVSLLPFLDEAYYDQGILGYKAELYSMGVTTTFRKGSKFVPAGLRLPKNPGEISPSVVCSLLLCLRNLQEDDDKNLISVLLEKLDHKWIKTQEAGYRSPKQCLLFGSSWNGCLKLEDGPFIDEKFYGSEILSYKKELQALGVVVEAKDGCSLVADYLDVHSNRDTINRIYTYLNNHGWVPTSDVSAKIWIHNGENSGKWVSPKDCVLHDKTNLFGSHLFVLEKYYSHELLVFFSRLGVNSNPTVEDYLKLWKEWECAERRLLPSECCAFWEFIVNHWSSKTQKFLAENLSKLPVCSVQDDGILLLDKHDVFIADDLYKKDLFEQSSVDPLFVWYPQPSLPSLPRTKLLNIYREIGVGALSESAQNMGLSSIDCAGLELANPDMIFIGKNLSTLILGFLAQPSLEIEAEKRHELVRQLVNTKFLKLKEPIAVDYRLSLSSGKIVIAKARRMMVWERERSKFFIADIEKLGGCQCVLEYATYYSEEVSKGILWEKEDAVRELAELIRLGFIVKFDKVAISFLMKINNLQIFKEDELFLSSVFPAE >itb10g21120.t1 pep chromosome:ASM357664v1:10:26455742:26457273:-1 gene:itb10g21120 transcript:itb10g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIRSKLRSMTLHHQPHFRRFATSILNPDSKTPFSSKDKSRAALSLLRTETNPERIIDICRAASLTPESHLDRIAYSKAIAKLRNSSYFGGIREFLEESKSQPGFRSERFISHFVVLYGQAGMLNDAIQTFEQMEEMGIQRSVKSLNSLLFSCLAAKNYGEMKRIFVEFPKKYGIIPNLDTYNTMIEGFCDSGSSSSVYSILDEMIRNKVKPNATTFGHCLSGFYMEEKFEDVGKILEMMKQHGVASGIGTYNIRIESLCKLKRSKEAKALLDGILSRGVKPNSVTYTHLIHGFCKEGEMEGAKSLFKEMVDSGCQPGSECYFTMVYFLCKGKDFEAAVNICKESMAKGWVPNFTTMKLLVDGLASTSKVEEAREIIGQLKEKFSRNADRWTEIEEGLAK >itb12g24030.t1 pep chromosome:ASM357664v1:12:25708516:25709866:1 gene:itb12g24030 transcript:itb12g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLSLSLLAKRGLSTSSSRDKIVASVLFERLPVVVPKIDPVVYAFQEFSFRWRQQYRREYPENFLKKADSRGKGEYQIDFTPAPRITEDRKSRKSLQRALDRKLYLLIYGATVSGGNPVWHFPEKAYESEESLRRCAESALESVIGDLSHTYFVGNAPMGHMVTQPGEDNEEVSSLKRFFFKSQVIAANKFDVSKCVDFVWVTKDELLEYFPQQAEFLDKMITS >itb01g07350.t1 pep chromosome:ASM357664v1:1:5687121:5687853:-1 gene:itb01g07350 transcript:itb01g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTTPEQYNSKFNYWKVEAKSPRPLSNGGDIGFGGSNRSVSLSGYVHLRSLSTRSVSATNSSSYGSHFSSIFSYFSLNSFASPNGITRSQGSSSKPPNLSKGRTNPTQNNGKNQTQSQGFDRKVGALKANHTTLLRSIFVVLLIGAPKVDPKTHRLKKPTGAPPVLPIYDSMAPGPSLAPAPVSPPTATTTTSTATAKSRTSSKPSSIWLQQTH >itb01g21230.t1 pep chromosome:ASM357664v1:1:27399712:27404773:1 gene:itb01g21230 transcript:itb01g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDCSTVARGRLAVLSAHLAASASINHDAPALEASVVSANALAPPPNLRGSLTIIDERTGQRYQVQVSEEGTVKAADLKKITTGKNDKGLKLYDPGYLNTAPVRSSISYIDGDAGILRYRGYPIEELAEKSSFLEVAYLLLYGNLPSQHQLADWEFAVSQHSAVPEGVLDIIQAMPHDAHPMGVLVSALSALSVFHPDANPALRGQDIYKSKLVRDKQIVRILGKAPTIAAAAYLRLAGRPPVLPSNTLSYAENFLYMLDSLGNRSYKPNPRLARALDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLGEIGSIDNIPEFLEGVKNRKRKMSGFGHRVYKNYDPRARVIKKLAEEVFSIVGRDPLIEVAVALEKAALADEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLSHWRESLDDPDTKIMRPAQAYTGVWLRHYMPLRERTPSSEAAVDKLGQVSVSNATKRRLAGSGA >itb01g30510.t1 pep chromosome:ASM357664v1:1:34509743:34511803:-1 gene:itb01g30510 transcript:itb01g30510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVWWSLIPLFFFLQAPHLCNGGKTSTYVRKGEPAEELSVESFPPPQGYNAPEQVHITQGDHEGRGVIISWVTPEEKHPNWVRYWKASVQGSNGKEAATPIKRRRSFAYSSSVYKFYTYTSGFIHHAVIKDLEFNTTYKYEVGNGNVNRQFSFTTPPKPGPDVPYTFGIIGDLGQTKASNQTLEHYVANPKGQTMLFIGDLSYADDHPNHNNVKWDTWGRFIEKSAAYQPWIWTAGNHELDYAPYLGETIPFKPYMERFHVPYTESQSTSPLWYSIKRASAYIIVLSSYSAYGKYTPQYSWLEQELPKVNRSETPWLFVLVHSPWYNSNNYHYMEGETMRVQFEPWFVKHKVDIVFAGHVHSYERSERVSNIAYNITNGKSYPKRDLSAPIYITIGDGGNIEGIADNFSIPQPDYSAYREASFGHAVLEIKNRSHAYYTWHRNQDDAAVAGDATWIYNRYWLPLDESRHH >itb11g06370.t1 pep chromosome:ASM357664v1:11:3788567:3792134:1 gene:itb11g06370 transcript:itb11g06370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFENFIQSGKGKGEMGYDVPEGVDVRGRFDPDFAKILNKDALRFVADLHREFRGHVKYAMSCREAAKSRYNGGALPGFDPATKYIREGEWLCAPVPPAVADRKVEITGPVERKMVINALNSGAKVFMADFEDALSPSWENLMRGQVNLRDAVNGTITFHDQARNKIYKLNNETAKLFVRPRGWHLPESHIFIDGEPAIGCLVDFGLYFFHNYNNFRKNQGQGYGPFFYLPKMEHSREARIWNNVFSRAEKWGGVEKGSIRATVLIETLPAVFQMDEILYELRDHSLGLNCGRWDYIFSYVKTFQAHPDRLLPDRVQVGMTQHFMRSYSDLLIRTCHRRGAHAMGGMAAQIPIRDDPAANEAALELVKKDKLREVKAGHDGTWAAHPGLIPACMQVFTDNMANNIPNQIHTAKRDDAAALTEEDLLQIPRGSRTMDGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLRYGAELDGDGAGVKVSKEVFGRVVEEEMGRIEKEVGKEKFKNGKYKEACKMFTRQCTATTLDDFLTLDAYSHIVIHHPNNNGPSRL >itb01g26880.t1 pep chromosome:ASM357664v1:1:31920417:31921662:-1 gene:itb01g26880 transcript:itb01g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSINTINPGHRALRRRHSMDCPRSSSFFLRPATTATRTITGGGAGLSYGTSGSFALPSATPATTASTSQPAISYDLLEQHLSGQNFRQADEETRRLLIALAGDAALKRGYVFFSEVQFIPAADLQLIDSLWRKHSNEKFGYSVQKKIWNKVGKDFTKLFLKLGWMKKLDTEVEQYNYRAFPDEFTWEISDGAPPDGHLPLTNALRGTQLFTSILTHPAFAGEDGEDEEEEISRGEEKGRGLKNITSSAFKPDYSF >itb11g21930.t1 pep chromosome:ASM357664v1:11:23728362:23732316:-1 gene:itb11g21930 transcript:itb11g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MESTVGATVRAQMEELRLKCAGEIPIMQRRIDSVTATFQKSLDSNKSKAQQTVQLHGKLAKLKAELREVEDGLVKALAVKTRKEAKRIAIADSLSVTKARIEELKGVVEDHRARRDEYAAIISEQSNALKACEEKRNQNSEHRDEIEEAILWYNRVLGFRVECGSGVKFIFTNINMKDPHEEYSFTVRHENDSYTLLDCDPHLNDIKELLTELNKSNGLFKFVRTMREKFQEAALYGNFPKVTSQDQDTSTISVSAPVCSVSTDCGSESPSKQRGPQAEEFNRNSKKLRHGKGGRSGLLSPGSASSLRRSPRFKVKK >itb11g16690.t3 pep chromosome:ASM357664v1:11:15162703:15171632:-1 gene:itb11g16690 transcript:itb11g16690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRASPNPPVITPPESKYTCKYLPIHTIATSFSKQLSCSISGASRRASFQSFSAAAVRCESSSPARRPEYIPNRIPDPNYVRIFDTTLRDGEQSPGATMTTKEKLAVARQLARLGVDIIEAGFPASSEADLEAVRLIAEEVGRGEGDHVPVICGLARCNKRDIDKAWEAVKHAKKPRIHTFIATSEIHMNYKLKMSREQVVEKATSMVAYARSLGCPDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYTIPNEFGQLISDIKANTPGIENVVISTHCQNDLGLSTANTLAGACAGARQLEVTINGIGERAGNASLEEVVMALKCRGEQVLGGLYTGINTQQIIMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKDTYEIISPEDIGLHRANESGIVLGKLSGRHALQAKMLELGYEIEGKELEDLFWRFKSVAERKKKVTDDDLIALVSDEVFQPQVFWRLGDVQVDMSIL >itb11g16690.t2 pep chromosome:ASM357664v1:11:15161519:15171632:-1 gene:itb11g16690 transcript:itb11g16690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRASPNPPVITPPESKYTCKYLPIHTIATSFSKQLSCSISGASRRASFQSFSAAAVRCESSSPARRPEYIPNRIPDPNYVRIFDTTLRDGEQSPGATMTTKEKLAVARQLARLGVDIIEAGFPASSEADLEAVRLIAEEVGRGEGDHVPVICGLARCNKRDIDKAWEAVKHAKKPRIHTFIATSEIHMNYKLKMSREQVVEKATSMVAYARSLGCPDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYTIPNEFGQLISDIKANTPGIENVVISTHCQNDLGLSTANTLAGACAGARQLEVTINGIGERAGNASLEEVVMALKCRGEQVLGGLYTGINTQQIIMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKDTYEIISPEDIGLHRANESGIVLGKLSGRHALQAKMLELGYEIEGKELEDLFWRFKSVAERKKKVTDDDLIALVSDEVFQPQVFWRLGDVQDVIHNAQVTCGSLGLSTATVKLIDADGEEHVACSVGTGPVDAAYKAVDLVTKVPVTLLEYSMNAVTEGIDAIASTRVLIREDQTSIHGSAGGSANRSFNGTGAAMDIVISSVRAYVGALNKLLGFQNLVSRYNKTDGTAVI >itb11g16690.t1 pep chromosome:ASM357664v1:11:15161519:15171632:-1 gene:itb11g16690 transcript:itb11g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRASPNPPVITPPESKYTCKYLPIHTIATSFSKQLSCSISGASRRASFQSFSAAAVRCESSSPARRPEYIPNRIPDPNYVRIFDTTLRDGEQSPGATMTTKEKLAVARQLARLGVDIIEAGFPASSEADLEAVRLIAEEVGRGEGDHVPVICGLARCNKRDIDKAWEAVKHAKKPRIHTFIATSEIHMNYKLKMSREQVVEKATSMVAYARSLGCPDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYTIPNEFGQLISDIKANTPGIENVVISTHCQNDLGLSTANTLAGACAGARQLEVTINGIGERAGNASLEEVVMALKCRGEQVLGGLYTGINTQQIIMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKDTYEIISPEDIGLHRANESGIVLGKLSGRHALQAKMLELGYEIEGKELEDLFWRFKSVAERKKKVTDDDLIALVSDEVFQPQVFWRLGDVQVTCGSLGLSTATVKLIDADGEEHVACSVGTGPVDAAYKAVDLVTKVPVTLLEYSMNAVTEGIDAIASTRVLIREDQTSIHGSAGGSANRSFNGTGAAMDIVISSVRAYVGALNKLLGFQNLVSRYNKTDGTAVI >itb02g14190.t1 pep chromosome:ASM357664v1:2:10391854:10394517:-1 gene:itb02g14190 transcript:itb02g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSLRLYPPNSNPFIFFSLKMVQFRGNAFFSTLRSSNSRFPSSVHPKPIRKPKPNGAVSVRPIPKPKPSGVVSVHPIPNGNLEPTGLVSIASSIPPPPLPGVEGVMMEYICGQRRATLVAHSVWKHIVRKGDTVIDATCGNGHDTLALLKMVADDTRKGRVYAMDVQKVALENTSLLLDQSVNPDEKELVELFSMCHTQMGDVIPDGVKVRLVAFNLGYLPGGDKKFITRSDTTLLAIEAAQKILMPGGLISIVAYVGHSGGREEFEKIEAFTSRLPFESWNCCKLQMLNRPLAPVLVFLFKR >itb05g19270.t1 pep chromosome:ASM357664v1:5:25866742:25873379:-1 gene:itb05g19270 transcript:itb05g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIKAPASRKTTTLTVAVKCRPLMEREQGRNIVEVNNDNEVLVLDPDLSKNYLDRIQNRTKERRYSFDHAFGPNSYNWDVYKRSIRPTVVGVIQGLNATIFAYGSTGSGKTYTMVGTQEDPGLMVLSLNSIFDLIKQDDSCDKFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGIVVAGLRCIKVNSTDKILELLNLGNSRRKTDSTEVNLTSSRSHAVLEITVTRKQSNKYPNQVMKGKLALVDLAGSERASETNSVGRKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSKTVMIATISPADSQYHHTVNTLKYADRAKEIRTHVQNEVSQLRKEVADKESQLNAKPTEKNMDDELSWLDMLSHETSENVHDRINLQKALFEVEEINIRNRTELQHLDDAIAREQAIEREGAVVLALRARRQIILDNIRDNDELGVNYQKEIEANEKHRCQVQAMIEEAINNNGNKTYLRILSQYRFLGMANTELQFEIAMRDEVIYNLREAQSNLWNLLLSFGLSKKQILDLAAKQGILIEDWRMTPQLKLSESIQCPSLGGRKYPPASSPSTSQHFIKSSCSFPLNQYFYARPNGCESWNSSKPSSHSGTPDKYLQDFGTSHLSPRSQFHPCSESNMPSSFSSTPTQQQMSSPRQQLNQVFMLD >itb03g11430.t1 pep chromosome:ASM357664v1:3:9634986:9635810:-1 gene:itb03g11430 transcript:itb03g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFDEHYVGWSRVKKFVGLEFENSSKMSKDSGEKKSGDHHQSKKGKGIVMYQRKKYSHNKKATALPSKQHAPPPEITVTDWADANSGHLLTCACRVCTGGAPTPLFRSNIMAPRRPERDCPAGFVPPPQPVPGHFRANKVSKAKVYPSVVIPPSSNEPQKTRREIPLPKMEDISFIELLASGSAPSQPAISFMDMLQNGKKVADGCNFRQRFVEKSNGLNYFMKMVMEEDGGVDDEQLFREVKQELMIREVDAYACLLRKENLDFEKVLMTTN >itb04g24990.t1 pep chromosome:ASM357664v1:4:29669645:29674797:1 gene:itb04g24990 transcript:itb04g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYEVLEQIGKGSFGSALLVRHKLEKKKYVLKKIRLARQTERTRRSAHQEMELIARARNPYIVEYKDSWVEKGCYVCIIIGYCEGGDMGEVIKKAKGALFSEEKLCKWLVQLLMALDYLHSNHILHRDVKCSNIFMTKDHNIRLGDFGLAKILSSGDLTTSVVGTPSYMCPELLADLPYGSKSDIWSLGCCMYEMTAHRPAFKAFDMQGLINKINKSIVAPLPTMYSGALRGLIKSMLRKNPELRPSAAELLRHSHLQPYVLKIHMKLESPRHHILPVQRTGLKYVTKTRFVEPETVPGHTDGERRSFYSDRALNPSISGAEFDTPRLSLGAQDIMSSLRINFSEPSVGSTVDDIGIRMSAITRFPAAGRTPRSSSRKTSATTRGQSNPLRISNPGSTRELLPVSHTPANKPSQSTRRASLPLSTTRTAKVEQPCKPSIGLLHSLDSLDVSVNAPRIDKMFEFPLASSEDTPFPVHRTQSTFTRSSTPSPHSRDCSITKDKCTVQTLDTAFRKPHAVDHSHEIPQNESEGSEYNQTTTGGASSRSSSDSRQRRFDTSSYKQRAEALEGLLEFSAQLLQQDRIDELSVLLKPFGPEKVSPRETAIWLTKSFKENTS >itb04g24990.t2 pep chromosome:ASM357664v1:4:29669645:29674656:1 gene:itb04g24990 transcript:itb04g24990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENYEVLEQIGKGSFGSALLVRHKLEKKKYVLKKIRLARQTERTRRSAHQEMELIARARNPYIVEYKDSWVEKGCYVCIIIGYCEGGDMGEVIKKAKGALFSEEKLCKWLVQLLMALDYLHSNHILHRDVKCSNIFMTKDHNIRLGDFGLAKILSSGDLTTSVVGTPSYMCPELLADLPYGSKSDIWSLGCCMYEMTAHRPAFKAFDMQGLINKINKSIVAPLPTMYSGALRGLIKSMLRKNPELRPSAAELLRHSHLQPYVLKIHMKLESPRHHILPVQRTGLKYVTKTRFVEPETVPGHTDGERRSFYSDRALNPSISGAEFDTPRLSLGAQDIMSSLRINFSEPSVGSTVDDIGIRMSAITRFPAAGRTPRSSSRKTSATTRGQSNPLRISNPGSTRELLPVSHTPANKPSQSTRRASLPLSTTRTAKVEQPCKPSIGLLHSLDSLDVSVNAPRIDKMFEFPLASSEDTPFPVHRTQSTFTRSSTPSPHSRDCSITKDKCTVQTLDTAFRKPHAVDHSHEIPQNESEGSEYNQTTTGGASSRSSSDSRQRRFDTSSYKQRAEALEGLLEFSAQLLQQDRIDELSVLLKPFGPEKVSPRETAIWLTKSFKENTS >itb04g24990.t3 pep chromosome:ASM357664v1:4:29669645:29674656:1 gene:itb04g24990 transcript:itb04g24990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELIARARNPYIVEYKDSWVEKGCYVCIIIGYCEGGDMGEVIKKAKGALFSEEKLCKWLVQLLMALDYLHSNHILHRDVKCSNIFMTKDHNIRLGDFGLAKILSSGDLTTSVVGTPSYMCPELLADLPYGSKSDIWSLGCCMYEMTAHRPAFKAFDMQGLINKINKSIVAPLPTMYSGALRGLIKSMLRKNPELRPSAAELLRHSHLQPYVLKIHMKLESPRHHILPVQRTGLKYVTKTRFVEPETVPGHTDGERRSFYSDRALNPSISGAEFDTPRLSLGAQDIMSSLRINFSEPSVGSTVDDIGIRMSAITRFPAAGRTPRSSSRKTSATTRGQSNPLRISNPGSTRELLPVSHTPANKPSQSTRRASLPLSTTRTAKVEQPCKPSIGLLHSLDSLDVSVNAPRIDKMFEFPLASSEDTPFPVHRTQSTFTRSSTPSPHSRDCSITKDKCTVQTLDTAFRKPHAVDHSHEIPQNESEGSEYNQTTTGGASSRSSSDSRQRRFDTSSYKQRAEALEGLLEFSAQLLQQDRIDELSVLLKPFGPEKVSPRETAIWLTKSFKENTS >itb01g04570.t1 pep chromosome:ASM357664v1:1:3078095:3080044:-1 gene:itb01g04570 transcript:itb01g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLHLLLLLRLSAAASNIHDLLKSRGLPAGLFPKNVVKSYDLDDDGHLQVYLETPCVAKFETRVFFDSVVRANLSYGGLIGVQGLSQEELFLWLPVKDIIVYDPSSGLILFDIGLAHKQLSLSLFEDPPVCSPQGVLMEKGGREETGFQIERFKSFPFSGAL >itb12g27720.t1 pep chromosome:ASM357664v1:12:27962111:27966342:-1 gene:itb12g27720 transcript:itb12g27720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVTCRLISPSTPHSVTYSPWLPSKTEQMLPTRSVSLCSSQRASSSCHCFRPKFQPHPRPKSSSLLARCSVVSAEQSLLTSTVQQVSTAPLVAGEKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRRITDAQAEALKEALWEKNVPANVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMADLIQKELENFEYPREVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKINNAYTLAYQSRVGPVEWLKPYTDETIIELGRKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWGRVPALGCEPSFILDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVVVWEWGWTKSAETWNGRAAMLAVLVLLVLEVTTGEGFLHQWGVLPFFH >itb05g13570.t1 pep chromosome:ASM357664v1:5:20566896:20570543:1 gene:itb05g13570 transcript:itb05g13570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MHSMANTHFFIHQNAATFSSSSSSSPCYSCHVKPSMTPALLSASRKPTYNALVFQAVRLLGPPARFEASKLKVAFTGEETDTYSRVVPRTYTLSHCDFTANLTLTISNIINLDQLKGWYNKDDVVAEWTQVNGNMCLDVHCYVSGPNLLQELAAEFRYLIFSKELPLVLEAVLYGDSVLFKENPELTNALVRVFFHSSSKKYNHVECWGPLKDAVKGRPGDHINGLSSAARQDSRPHNIWGSAKSIFQALATFLL >itb07g06960.t1 pep chromosome:ASM357664v1:7:5265107:5266067:-1 gene:itb07g06960 transcript:itb07g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGKVVGQVEISFHGDVYYEIYRERPHDVPSMCSAIHSIEGQGGTVGSTITLKFTHDGKTKMAEDVIEAIDDEKKLVKFRVVKGDILEAYKSFSLTCEVHSNDDDHFVTWTLEYEKLSEEIPLPLSYLQTILDITKEMEDHHHAKK >itb05g24320.t1 pep chromosome:ASM357664v1:5:29133595:29134341:1 gene:itb05g24320 transcript:itb05g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD20 [Source:Projected from Arabidopsis thaliana (AT3G51380) UniProtKB/TrEMBL;Acc:A0A384L5J3] MRLPRKNWFAIVRRKFRRSPPCHETVTILRNDASGESFSAAASYSQFLSKEDIAAITIQAHFRSHLARRAFRALRSLVKLQAVARGVCVRRQARIALHCMHALARLQVTVRARQLLTSCELKPKPHES >itb01g19470.t1 pep chromosome:ASM357664v1:1:25566715:25569539:1 gene:itb01g19470 transcript:itb01g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPEIDIGESTIKLWRNCSACIMKYDLFVSMLEVYNEKIRDIVVDNFSEPVKKCQWRFGMLLKKSF >itb02g24720.t1 pep chromosome:ASM357664v1:2:25362869:25376836:1 gene:itb02g24720 transcript:itb02g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQPIQCMFLGLCIVFVLQWSGNECCVEEERTALHEIKDFFINAVDDYPEFDSYILPSWVDDSDCCNWEQVVCNPITGRVRELTLYGLVDGPPQYCLNMSLFSPFKELINLVISGNAFSCCVHNYELNKLTHLKSLEALNLEGNYFIGNDILGCLSAVTELRSLILSANSFDSFSVLQELSNLTNLESLDLNGNLFTGSLTTQDQESLLKLKKLKHLDLSVNFLHKKGILKSLCNLVALTFLSLESNEIEGTLSNDDVGCLKNIKFLNLGNNQLNDTIPVQAFTSLKNLKVLDLSFNGFVGSIPQAIGNLSALVALSLRKNNLTGILHGQEFCKLKNLEELDLSDNSLEGFLPPCFCNMTSLRLVDLSSNQFRGDFSFIFPSLRSLEYIRLGDNHFHTKFSFSLLANHSKVKLIELLNNDKLEVDTEDSNWIPKFQLEILVLPDCNLNKQSQKVPTFLAYQSMLKVLDLSHNNLRGGFPNWVVKNNTELLVFNLRNNSLEGSMHLQPHLNSSIVRMDVSDNQLDGKLHEDVGRMFPQITYLNLTKNYFEGFVPSSFCNMSQLILLDLSINYFDGEIPKEMVSGCLHNLAILTLSSNRFRGQIFSTSFNMTRLKVLSLEDNQFSGHISNAINRNALIFLDASNNLFSGKVNNWVGNMTNLNILFIRNNSLKGQLPCLIVSHLYLLDISHNSLSGPLPSCPSLLQHVLIQSNIFTGPIPETLLNSSTLVTLDIRDNNLSGNLPGKIGAENLRILLLGGNQLSGPFPSQLCWLQKLNLIDLSHNRLSGQIPRCINNVTFGKSRLVDNFKEFGENIWDLDKVVIYGNILVKVYEISTNDSRYDEVIINFVTKSRSNSYKGDILNLMSGLDLSCNNFTSEIPFELGELTWIHTLNLSHNQIKEERMALLQIKEFFINGSKSSNDQILPFWPEKGDTNCCNWEGVTCNSIRDRVTDLTLNDVVFFPKYCLNVSLFRPFHELVNLQLSGFEELSHLKRLEVLDLSYNEFQDNILRPLGALTTLRSLNLNNNMLSASNVSLQALTSLKNLKVLDLSYNEFNGSIPPAIGALSALVSLSLQENSLGGTLPSEGFCKLKSLEELDLSYNSIGGVLPPCFSNLTSLKLLDLSTNQFKGEISFILPSLTSLEYIRLGSNDFEGKFSLSLLANNSKIKLVEILDNDKIEVETEDSNWIPKFQLEVLALPSCNLNKKSQKVPTFLAYQSMLKLLDLSHNNLRGGIPNWIIRNNSELQILNLRNNNLEGKLFHLNTSILEMDVSDNQISGKLQENMDMTLPQILYLNVSANNIEGIVPSSICNMSQLVYMDLSNNKFNGEIPNEMVSGCLSNLVILKLSANRFHGQIFSSYFNMTGLEVLHLEDNKFTGPISNAISRSQALEFLDGGNNQFSKLDSWISNMTQLKVLTVQNNSLNGHLPCEIPQFVLLDVSHNLFSGSLPSCPFEHIHALFGSNKFTGSIPETLLNSSSLLTLDVGENNLSGEFPNILGAENLRILLLGGNQLSGQLPNKLCKLEKLNLIDVSNNHLSGQIPRCIGKIAFGKLGSLEDNFDNGNAYRWATNTYLMYGKFLVKQYEIVTLDDTFAEKVNIEFVMKTRSNSYKGNILNYMCGLDLSFNNFTGEIPYELGDLDSIRALNLSHNLIKGPIPETFSRLRQLESLDLSYNNLSGKFPTELVNLNFLAFFSVAHNNLSGRIPMKGQFGTFDQSSYEGNPYLCGELLANKCSQIIDPLTPSPEFTSEIKWYEIDMFIFWIAFVVAFIIFFLGVRKPLLSPFTSSPPSSQLPHYHLGSPLCACERLVSNNTIDTLHIQNEQIDALIIGCPVQSFQAILVSNTWTAAMMVVRIRRESSGFLWV >itb02g11750.t1 pep chromosome:ASM357664v1:2:7843460:7845741:-1 gene:itb02g11750 transcript:itb02g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCFSCCTGEDTTDKALREGSIHDFRGMTLTSFANISLKSDSNRRKYIAEEVGKFGKGNISAQAFTFQELNDTTQKFNPDFLLGEGGFGKVYKGHLETKNIDIAVKQLNRNGFQGNREFLVEVLLLSLLHHPNLVNLIGYCCDGEERILVYEFLENGCLEDHLLDLKPGKPHLDWKTRMKIAEGAARGLEYLHETANPPVIYRDFKASNVLLDKNFDAKLSDFGLAKLGPTGDQTHVSTRVMGTYGYCAPEYASTGQLSTKSDVYSFGVVFLEMITGRRVIDNARPSEEQNLVLWAQPLFKDKQKFHLMVDPLLQGNYPTKGLYQALAVAAMCLQEEADTRPFISDVVTALEFLSGNRKAEGEGGQEEEGAAKSLPPGSESNVEKHESSEPAEVREKD >itb12g26920.t1 pep chromosome:ASM357664v1:12:27593800:27594150:1 gene:itb12g26920 transcript:itb12g26920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGENQQLHHQSPPGTPKGCVAVMVGLEEERRRFVVPVAYLKHPSFQPLLKDSAEEFGFVHDGPVNIPCCVEKFRRVQRSIEGETAGGGGGGGFHHHRAAGNHFCWSGCFKIKP >itb14g04270.t1 pep chromosome:ASM357664v1:14:3846735:3852175:1 gene:itb14g04270 transcript:itb14g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPRSANLLKPLSAAVSYIRHLSADSSAAITVETNLPFSAHKIDPPSRSVETSAKELMAFFREMALMRRMEIASDSLYKAKLIRGFCHLYDGQEAVCVGMESAITRKDCIITAYRDHCIYLARGGTLLEAFAELMGRKDGCSKGKGGSMHFYKKDSGFFGGHGIVGAQVPLGIGLAFAQKYSKEDYVTFALYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILSHDISTEKELKDIEKEVRKEVDEAITKAKECSMPDPSELFTNVYVKGLGTEAFGPDRKELRATLP >itb12g15910.t1 pep chromosome:ASM357664v1:12:16443879:16444487:1 gene:itb12g15910 transcript:itb12g15910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVLALRQTRVKYIADLGALAKTNPILAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRFPRVSRE >itb13g20520.t1 pep chromosome:ASM357664v1:13:27323749:27325977:-1 gene:itb13g20520 transcript:itb13g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAKPSLLKSFKTKKVLHSRSKPNPSPAKLPEEYELLGEFFNSLANSIRLLRRKGSNTTFTNISPKIEFLTDRRFSHGHLAQLKFILPEAIEVTKIIVHDERTSFMKPDLHITLNASAVGDNGSGILELGKVFRARLLDFFKSHPEGDDIPEEELPYPFNQSKPPVPSGSSFIPCGEARNEAVYEIDGVVVNLSKPPVSTSHLFRSFGRSFSQRSTLCRVEDTKQEQTLAVNLSPERKPCTDSSAGCSPNAVAVKKDLHLSKVEEGSFLTAEGTPSELASTPPPKRCYMTREDDKDSAKSPPNKLVRRSARGRLIFDTPVKNAGGSETERLSSAHDHIYDLPEDLLQSIREKERKALEEKDPAISQAKWRKQMLAGLPKLRSVIPKEELMHRIISSPLRTVDTREIEEQLRLLHELAPEWIYEKSASRGDLFICVNKIPGPESIRSRLADAK >itb12g18130.t1 pep chromosome:ASM357664v1:12:20391930:20393426:1 gene:itb12g18130 transcript:itb12g18130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILQEEFQIGLFRNSRKQSFFTDRVTSILCSPFFPVFDICIYCLRILDQMFKLFDSKNDGLIEFGEFIRALSVFHPDASLEEKADFLFKLYDVCHVGFIEHGGVKEMVMALLNESELTLPDHIIEAIINKTFKEADCNGDGKIDAEEWKDFVSTNPSLLKNMTIPYLKDITAAFPSFVMKPDMDTDEINVAI >itb12g18130.t2 pep chromosome:ASM357664v1:12:20391930:20393426:1 gene:itb12g18130 transcript:itb12g18130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVFKAKRQVYEDEANLAAETHFTVKEVKALFQLFRKLSSCIIDDGFISKEEFQIGLFRNSRKQSFFTDRMFKLFDSKNDGLIEFGEFIRALSVFHPDASLEEKADFLFKLYDVCHVGFIEHGGVKEMVMALLNESELTLPDHIIEAIINKTFKEADCNGDGKIDAEEWKDFVSTNPSLLKNMTIPYLKDITAAFPSFVMKPDMDTDEINVAI >itb05g10850.t1 pep chromosome:ASM357664v1:5:16756678:16757818:1 gene:itb05g10850 transcript:itb05g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSTTAGKLGNNVSEGTVRKAVNALLKWKKLQSKSTTIPREPTEGDEEDHDDLIYLVVTLNKIPPKDLSPVSNPIKIPLPHPLQSFSDICLIVGDKPTHVLCNTTKTTLASEAVQKKIKSLDIPITRVLKLSNLKSDFKSFSAKRKLYESHDLFLADKRVVHLLPGVLGKPFYKNKKRVPVPVELKADRNWKEEINAVANGKSTLLCFGTGTCSAVKVGNGKGVMGNQEIVDNVVAAIDAIASLVPKKWAGIRALHLKLLDSVALPIYDATMGNKGNA >itb11g23410.t1 pep chromosome:ASM357664v1:11:25416752:25429729:1 gene:itb11g23410 transcript:itb11g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELDLDSILHSHAHTFSDDDDDDDRFIPHRTVDEILLNHSSSSSASPSPPPSPSTSVRRQEQSDGTSVSPSSEALRLTHSHSLSSELNPSTSIITRIKSDDFSSLPPFLSGVIRSNAKPGAALAAAAAASRSIPTPHAAAIRRASSSSATVRKVFKHGQDLASSAANDAEVASSSSAGAVEGLVATEDIFTPEIDGSSNILDNFQSPKLQRTDQADSSGELSLPDITQESLSGTSGVLAKADADNDDDLVSKIGNSGQSSSTTPVETSIDSAIRSERCFDVDGNSVQSELLERKYDNGQHLPANEDNTVYAETITTVEDILPSSVSGCEVSFDKEISPTVPEMELENVVPDTGNHEVLSGGEDNSSKNEAADILEEQVMQEDDKRDSMKTGKKSLPSLRPIELAEELEKKQTFAGMHWEEGAAAQPMRLEGVRRGSTALGYFDISAENTLTHNFSTPTFRQDHGSPQVLTVHLNYIAVGMSKGLVIIVPSRYTPHQVDNMDAKMLMLSLHGERAHIPVTSLCFNHQGDLLFAGYGDGQYVVWDVQRASAAKVVTEHKAPVVHLFFLGQDSRQFNVISGDSKGIVKLIRFSIVPWLNRISFSKSMKLLDETTSTVVCASPLLSGDSLGTALTPSLDSNSVSGGSVGSMMGGMVGGDTGWKLFDGSSLSEEGVVVFVTHQSALVAKVSPNVEVYAQLPRPDGVREGCMPYAAWKCVPGMHNSSNEDVPVESSHKVSLLAIAWDHKIQVAKLVKSDLKVLWEWTLDNSAVGVAWLDDQMLVILTSTGQLCLFEKDGNLIHQTSFSTDGPRGDDLISYHTYFSNAHGNPEKAHHNCIAVRGANVYILGTLQLVVSRLLPWKERIEVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLSDVQKTVMPYLVELLLSYVEEVFSYLSVAFSNQSGKLCHSDESNDNETKEQYARVGGVAVEFCLHINRTDVLFDEIWKRFDNEKQQDTFLELLEPYILKDMLGSLPPEIMQVLVEHYSNKGWLQRVEQCVLHMDISSLDFNQVVRICREHMLYGALIYLFNKGLGDFKAPLEELFSIIRNSKGETAAPFGYKMLVYLKYCFQGLAFPPGHGTLSTKILPSLRKELVQFLLEDSCLPNSLAISSFPANGPHSNLLYLLQLDTEATLDILQYAFVEDVPQLNHISDDSTNSDTESAEVNGLSDSQNLVQELVDVLAAILDASFFQSSNSCSSDDDRSIDIWPSKRERDHILDFIAYYVSCERAKVSKSTLSQILEYLTSETDSSHNASENDETSKRRQKQLVTLLEVLPEHEWDAPYLLHLCEKAQFHQVCGLIHSIRHQYLAALDSYIKDVDEPIHAFSFIHDMLQQLGNKDSDAFRSAVISRIPDLAKLSREETFFMVISHFGEKFQHILSELRSHPKSLFLYLKTLIEVQSSGSLKFSSMRNENVLEFPSLRKGMHQSLKIQAYLESLSKFPKVMQNYPVHVTDEMMELYLELLCQYERNSVCKFLESLESYRVEHCLRLCLEHGITDAAAFLYERVGDVGSALSLLLSTLNDKFILLDASIEKELCGARLKHFNNLLETKEVNDILEMVHCSISLCQRNSPRLDPYESECLWFELLDSFCEPLIDSFNDKVEYEGDKSVGISVNSMGNLKDEGACRIKWKVSKSHQNAEVLRRLLSFFIKEIVEGMIGYVRLPTIMLKLLSENGSQEFGDFKLTIMGMLGTYDFERRILDTAKALIEDDTYYTMSLLKRGASHGYAPRSLTCCVCNCLLSRSSSIQIFSCGHAMHLHCELPENV >itb11g23410.t3 pep chromosome:ASM357664v1:11:25416752:25427154:1 gene:itb11g23410 transcript:itb11g23410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELDLDSILHSHAHTFSDDDDDDDRFIPHRTVDEILLNHSSSSSASPSPPPSPSTSVRRQEQSDGTSVSPSSEALRLTHSHSLSSELNPSTSIITRIKSDDFSSLPPFLSGVIRSNAKPGAALAAAAAASRSIPTPHAAAIRRASSSSATVRKVFKHGQDLASSAANDAEVASSSSAGAVEGLVATEDIFTPEIDGSSNILDNFQSPKLQRTDQADSSGELSLPDITQESLSGTSGVLAKADADNDDDLVSKIGNSGQSSSTTPVETSIDSAIRSERCFDVDGNSVQSELLERKYDNGQHLPANEDNTVYAETITTVEDILPSSVSGCEVSFDKEISPTVPEMELENVVPDTGNHEVLSGGEDNSSKNEAADILEEQVMQEDDKRDSMKTGKKSLPSLRPIELAEELEKKQTFAGMHWEEGAAAQPMRLEGVRRGSTALGYFDISAENTLTHNFSTPTFRQDHGSPQVLTVHLNYIAVGMSKGLVIIVPSRYTPHQVDNMDAKMLMLSLHGERAHIPVTSLCFNHQGDLLFAGYGDGQYVVWDVQRASAAKVVTEHKAPVVHLFFLGQDSRQFNVISGDSKGIVKLIRFSIVPWLNRISFSKSMKLLDETTSTVVCASPLLSGDSLGTALTPSLDSNSVSGGSVGSMMGGMVGGDTGWKLFDGSSLSEEGVVVFVTHQSALVAKVSPNVEVYAQLPRPDGVREGCMPYAAWKCVPGMHNSSNEDVPVESSHKVSLLAIAWDHKIQVAKLVKSDLKVLWEWTLDNSAVGVAWLDDQMLVILTSTGQLCLFEKDGNLIHQTSFSTDGPRGDDLISYHTYFSNAHGNPEKAHHNCIAVRGANVYILGTLQLVVSRLLPWKERIEVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLSDVQKTVMPYLVELLLSYVEEVFSYLSVAFSNQSGKLCHSDESNDNETKEQYARVGGVAVEFCLHINRTDVLFDEIWKRFDNEKQQDTFLELLEPYILKDMLGSLPPEIMQVLVEHYSNKGWLQRVEQCVLHMDISSLDFNQVVRICREHMLYGALIYLFNKGLGDFKAPLEELFSIIRNSKGETAAPFGYKMLVYLKYCFQGLAFPPGHGTLSTKILPSLRKELVQFLLEDSCLPNSLAISSFPANGPHSNLLYLLQLDTEATLDILQYAFVEDVPQLNHISDDSTNSDTESAEVNGLSDSQNLVQELVDVLAAILDASFFQSSNSCSSDDDRSIDIWPSKRERDHILDFIAYYVSCERAKVSKSTLSQILEYLTSETDSSHNASENDETSKRRQKQLVTLLEVLPEHEWDAPYLLHLCEKAQFHQVCGLIHSIRHQYLAALDSYIKDVDEPIHAFSFIHDMLQQLGNKDSDAFRSAVISRIPDLAKLSREETFFMVISHFGEKFQHILSELRSHPKSLFLYLKTLIEVQSSGSLKFSSMRNENVLEFPSLRKGMHQSLKIQAYLESLSKFPKVMQNYPVHVTDEMMELYLELLCQYERNSVCKFLESLESYRVEHCLRLCLEHGITDAAAFLYERVGDVGSALSLLLSTLNDKFILLDASIEKELCGARLKHFNNLLETKEVYFLPDILFFCYNI >itb11g23410.t2 pep chromosome:ASM357664v1:11:25416752:25429883:1 gene:itb11g23410 transcript:itb11g23410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELDLDSILHSHAHTFSDDDDDDDRFIPHRTVDEILLNHSSSSSASPSPPPSPSTSVRRQEQSDGTSVSPSSEALRLTHSHSLSSELNPSTSIITRIKSDDFSSLPPFLSGVIRSNAKPGAALAAAAAASRSIPTPHAAAIRRASSSSATVRKVFKHGQDLASSAANDAEVASSSSAGAVEGLVATEDIFTPEIDGSSNILDNFQSPKLQRTDQADSSGELSLPDITQESLSGTSGVLAKADADNDDDLVSKIGNSGQSSSTTPVETSIDSAIRSERCFDVDGNSVQSELLERKYDNGQHLPANEDNTVYAETITTVEDILPSSVSGCEVSFDKEISPTVPEMELENVVPDTGNHEVLSGGEDNSSKNEAADILEEQVMQEDDKRDSMKTGKKSLPSLRPIELAEELEKKQTFAGMHWEEGAAAQPMRLEGVRRGSTALGYFDISAENTLTHNFSTPTFRQDHGSPQVLTVHLNYIAVGMSKGLVIIVPSRYTPHQVDNMDAKMLMLSLHGERAHIPVTSLCFNHQGDLLFAGYGDGQYVVWDVQRASAAKVVTEHKAPVVHLFFLGQDSRQFNVISGDSKGIVKLIRFSIVPWLNRISFSKSMKLLDETTSTVVCASPLLSGDSLGTALTPSLDSNSVSGGSVGSMMGGMVGGDTGWKLFDGSSLSEEGVVVFVTHQSALVAKVSPNVEVYAQLPRPDGVREGCMPYAAWKCVPGMHNSSNEDVPVESSHKVSLLAIAWDHKIQVAKLVKSDLKVLWEWTLDNSAVGVAWLDDQMLVILTSTGQLCLFEKDGNLIHQTSFSTDGPRGDDLISYHTYFSNAHGNPEKAHHNCIAVRGANVYILGTLQLVVSRLLPWKERIEVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLSDVQKTVMPYLVELLLSYVEEVFSYLSVAFSNQSGKLCHSDESNDNETKEQYARVGGVAVEFCLHINRTDVLFDEIWKRFDNEKQQDTFLELLEPYILKDMLGSLPPEIMQVLVEHYSNKGWLQRVEQCVLHMDISSLDFNQVVRICREHMLYGALIYLFNKGLGDFKAPLEELFSIIRNSKGETAAPFGYKMLVYLKYCFQGLAFPPGHGTLSTKILPSLRKELVQFLLEDSCLPNSLAISSFPANGPHSNLLYLLQLDTEATLDILQYAFVEDVPQLNHISDDSTNSDTESAEVNGLSDSQNLVQELVDVLAAILDASFFQSSNSCSSDDDRSIDIWPSKRERDHILDFIAYYVSCERAKVSKSTLSQILEYLTSETDSSHNASENDETSKRRQKQLVTLLEVLPEHEWDAPYLLHLCEKAQFHQVCGLIHSIRHQYLAALDSYIKDVDEPIHAFSFIHDMLQQLGNKDSDAFRSAVISRIPDLAKLSREETFFMVISHFGEKFQHILSELRSHPKSLFLYLKTLIEVQSSGSLKFSSMRNENVLEFPSLRKGMHQSLKIQAYLESLSKFPKVMQNYPVHVTDEMMELYLELLCQYERNSVCKFLESLESYRVEHCLRLCLEHGITDAAAFLYERVGDVGSALSLLLSTLNDKFILLDASIEKELCGARLKHFNNLLETKEVNDILEMVHCSISLCQRNSPRLDPYESECLWFELLDSFCEPLIDSFNDKVEYEGDKSVGISVNSMGNLKDEGACRIKWKVSKSHQNAEVLRRLLSFFIKEIVEGMIGYVRLPTIMLKLLSENGSQEFGDFKLTIMGMLGTYDFERRILDTAKALIEDDTYYTMSLLKRGASHGYAPRSLTCCVCNCLLSRSSSIQIFSCGHAMHLHCELPENGTSSKGSSVGCPICMPRKNSQRSRSKSMSSENGLVSKTSKSQQGHGPANALHLHDNDFLDISFGHHPVSRFELLSNLQKEQRPAHVEQMPKLRLAPPALYHEKVNKRIEFQTGESSSKVEKPSRNRQLRDIKVKGSAIRFPLKSNIFGKEKIVKR >itb08g17420.t1 pep chromosome:ASM357664v1:8:19903515:19907185:-1 gene:itb08g17420 transcript:itb08g17420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSQCLSARNTLFLVHKSHIHIPEHRFCVIIPNETQLPRVVKPQSWNLSHVRLRNSSFIHPPFASLSSFAEAEGDRDEKFEAKGLHNQEGSSSEDDNDLPGMAQAFNISSSTASAISICIALAALTFPFFMKSLGPGLAFKHKILSYVTLLLGFYMAWNIGANDVANAMGTSVGSGALSLRQAVVTAGILEFSGALLMGTHVTSTMQKGILVANVFQGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGTGAVFWSSLARVTSSWVISPLLGAMVSFLVYKCIRRFVYSAKNPGQAAAASAPIAVFLGVTGISFAALPLSKTLPIALAQALAIGSIGAIVVDRIIRRQLGHLLAKPNSNGSEPEAETVQNIGFLSDIAGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALTILQGGLSGTQIVIPNDVLAWGGFGIVAGLTMWGYRVISTIGKKITELTPTRGFAAEFAAASVVLVASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVTSWVVTIPAGATFAVIYTWVLTKLLSCMF >itb15g01740.t1 pep chromosome:ASM357664v1:15:1033968:1035373:-1 gene:itb15g01740 transcript:itb15g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSPPLSTAEHGGETELFALHRDIIESHILTRLDGPALASTSAVSSALRRFCSETRLWTDVCRSAWPSTAADPRVRAVVSGFPGGGPRAFFAHSFPVPDSGWSCSKSARPAPAPEELISAVDVRYKDRLIFTKVQETETVTAWFRCSPFRIDLLDPKDVIPTPITHPDGDGACAALSDDMTLSWILIDPNGRRAVNLSSLKPVSVHRHWLSGEVQAKFASILAVDHHCRSHVQCGIVVTCGASEGGEMQVREVSMQMEDMDGTHLNGKDSLVILQRALEGKKGNGSRRVEEGKRRYAEFLEMKREKIERKLRIEGVLDKLCVAFGVSAFLVFCYLQIY >itb15g21850.t1 pep chromosome:ASM357664v1:15:24503019:24503664:-1 gene:itb15g21850 transcript:itb15g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSIIVFVIQILVLFSLSWETATSKTIEGRALVKWKNTLSNNTHDVLGSWSIANLDNICWNWTGINCTNAGAVNEINLESCNLSGTLESFDFTSFPNLTLLSLQNNNFVGSIPYAIANLSQLVFLDLSWNSFENFIPTEIGRLTKLESLSLAGNKLNVVREIYSKDHFHQI >itb04g05580.t1 pep chromosome:ASM357664v1:4:3624501:3630162:-1 gene:itb04g05580 transcript:itb04g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSVVAEAVVNVLAETLTGLLSENFQLISGVDDDVQKLLRSVNGFKSILKEYRKIRTENEIVKQLVKDIMDVVYRSENLIDQYVVDANIHAEKKLTNFVDFGKKKEVAKNIKTILDEIKVIQEKTEYQKVIENSVLPREHAPSVQQKAPKVEEEYVVGFDGEAKTIIQRLRDESSYEVISVLGMAGLGKTTLANKVFKSQEIEYHFMHRVWIYVSQLYKKKDVYLQILKKLQQSNDTDTRVTEEELALKIKQVLGLGKYLIVLDDVWTKEAFEDILHDAFPERAGCKVLVTTRKDEVAMMVNSLGEPHRLKFLEPGESWELLEKKVFKKETCPDDLKPYGEEVAKKCGGLPLAVVIIAGVLSGKPKILSEWRKVANSYDYFFSNEIQKSYEDLIRLSYDDLPDELKCCFLYLGAFPNGNDIPAAKLMQLWIAEGFIEEEGGLNMEGIACENLCGLVSRNLVMATKRKPDGEIKTCRVHDMLLQFCKKEAKGETLFNEINETNDMNQQQGSSCRLLSVHCAFEKFISSTKPKQKHRSLLCFAASNGVQVPPNTIISLRKAFPLLKVLHFAPDDSVTFTTCHKDIFRLFHLRYIAISTTVQILPKEIANLQNVQTLIVRTTRPTLEIKGDIWNMPRLRHFQTNASAKLPSLSASKTKRAPFANENLQTLSKVSPFSCTPAALAKASNIKKLGIRGTLAKLLEIDPTSGSSLFKNLGKLKKLVNLKLINDGEKLQRLPQKYEFPENLRKLTLSKTSLQWKELTVLGTLETLEILKLIERAAEGEYWEPTIGGFLYLQVLHIDRTDLRSWNASNKNFPRLRSLVLSHCEQLEMIPLALADISSLQEIELKHTKKAIKSAQDILKQKQNPTEKSNRRFKLTIYPPLPRDPSQAI >itb15g13580.t1 pep chromosome:ASM357664v1:15:11594075:11598978:1 gene:itb15g13580 transcript:itb15g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTILYKKYRDALKSVRVPTGSSSLSSGGGGGGGGPVIELSTTSLLNTNRSYAPLSTEDPGTSSSGPVTVGLPPAWVDVSEEIAANVQRVRTKMAELAKAHAKALMPSFGDGKEDQRRIESLTHEITDLLKTSEKKLQRLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKQLRQQKEAPDGVDLEMNLNESQSTRENDDLDDFGFNEHQMSKLKKSEAFTAEREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDHNIQNVAATVEEGLKQLQKAERTQKQGGMVMCATVLIIMCFVMLVLLILKTILF >itb15g13580.t2 pep chromosome:ASM357664v1:15:11594371:11598597:1 gene:itb15g13580 transcript:itb15g13580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTILYKKYRDALKSVRVPTGSSSLSSGGGGGGGGPVIELSTTSLLNTNRSYAPLSTEDPGTSSGPVTVGLPPAWVDVSEEIAANVQRVRTKMAELAKAHAKALMPSFGDGKEDQRRIESLTHEITDLLKTSEKKLQRLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKQLRQQKEAPDGVDLEMNLNESQSTRENDDLDDFGFNEHQMSKLKKSEAFTAEREREIEQVVESVNELAQIMKDLSVLVIDQGTIVDRIDHNIQNVAATVEEGLKQLQKAERTQKQGGMVMCATVLIIMCFVMLVLLILKTILF >itb12g05770.t1 pep chromosome:ASM357664v1:12:4302025:4303744:1 gene:itb12g05770 transcript:itb12g05770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNMQNQNPSFFKILSASDFPSVLRLPPLFAKIFGEKLSSTPSLVMAGSRKSWTVKLKKSSNRYLFADGWSVFVNDNQLEIGDFVVFWLMDNYSTFHVQLYDRTCCDKRLSSSRFSPGISGENSSNLDQVIKVKKESDTEDDKSEMLIGPCKTTTNPSFVTILSASTDDQMIIPKGFVEDTGIAGKRKITLLDAQGNEWEIKISKQDGEFVMCGGRWADFRIANRLSKGYVCFNQHCCTMELN >itb06g16100.t2 pep chromosome:ASM357664v1:6:20313521:20319014:-1 gene:itb06g16100 transcript:itb06g16100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWFQALFDYIAEKLANFVAEEEQKFNQPPGRQRELGFTFSFPVMQTSINSGNLIRWTKGFSIDDMVGKDVVAELSKALERKGVDMRVSALVNDTIGTLAGGRYSNKDVAVAVILGTGTNAAYVERAQAIPKWHGPLPKSGEMVINMEWGNFRASHLPLTKYDNALDAESLNPGEQIFEKITSGMYLGEILRRVLLKMAEEAAIFGDEVPPKLQCPFILRTPHMSAMHHDATPDLKVVGDKLKDILEIPNTSLKVRRVVVELCNIIATRGARLAAAGILGILKKMGRDTPRSGGSAKTVIAMDGGLYEHYTEYRNCLETTLNELVGEEMAAHVVFEHSVDGSGIGAALLAASHSLYLEDKS >itb06g16100.t1 pep chromosome:ASM357664v1:6:20313466:20319081:-1 gene:itb06g16100 transcript:itb06g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAVGAAVVGAAAVCAVAALVVRHRMRKSSKWARCVSILREFEEKCGTPDAKLKQLADAMVVEMHAGLASEGGSKLKMLISYVDNLPTGDEDGVFYALDLGGTNFRVLRVQLGGKAGGIVHQEFTEASIPPNLMVGTADALFDYIAEKLANFVAEEEQKFNQPPGRQRELGFTFSFPVMQTSINSGNLIRWTKGFSIDDMVGKDVVAELSKALERKGVDMRVSALVNDTIGTLAGGRYSNKDVAVAVILGTGTNAAYVERAQAIPKWHGPLPKSGEMVINMEWGNFRASHLPLTKYDNALDAESLNPGEQIFEKITSGMYLGEILRRVLLKMAEEAAIFGDEVPPKLQCPFILRTPHMSAMHHDATPDLKVVGDKLKDILEIPNTSLKVRRVVVELCNIIATRGARLAAAGILGILKKMGRDTPRSGGSAKTVIAMDGGLYEHYTEYRNCLETTLNELVGEEMAAHVVFEHSVDGSGIGAALLAASHSLYLEDKS >itb09g30420.t1 pep chromosome:ASM357664v1:9:31058536:31062373:1 gene:itb09g30420 transcript:itb09g30420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSMLLCISVLLLGLCSAEDPFAFYDFEVSYITASPLGVEQQVIAVNGKFPGPVINVTTNYNVVVNVRNKLDEELLMHWSGVQQRKSSWQDGVLGTNCPIPAKWNWTYQFQVKDQIGSFFYFPSLNFQRAAGGYGGFTINNRVIIPIPFDTPDGDITIMIGDWYTRNHTALRKTLEDGKELGMPDGVLINGKGPYRYNNSLVPDGIDYETITVHPGKTYRIRVSNVGISTSLNFRIQSHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARFENETLWQRVTGVAILKYTNSKGKAHGPLPDPPNDEYDKTFSMNQARSIRWNVTASGARPNPQGSFRYGSINVTELYVLRNKPPIKIDGKQRTTLNEISFSNPKTPIRLADQFKLKGVYKLDFPTKPLTESPKMGTSVINGTYRGFMEIILQNNHTKVQTYHLSGYAFFVVGMDYGEWTENSRGTYNKWDGIARSTIQVYPGAWTAILISLDNVGIWNLRTENLDSWYRGQETYIRVVNPEATNKTELPMPDNTLFCGALQKMQKPQDVTSLATSIKGNTSKLSFTVLLLLLISAMLSV >itb09g14500.t1 pep chromosome:ASM357664v1:9:9779001:9780213:1 gene:itb09g14500 transcript:itb09g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLEALVSACAGGGTDRKIACETLADGRVESDEKRDNDDSADDYEKRTAPDLLPESFLISKDAEFDWFDRNAFLERKESGKGFNPNLNSNSNSSSQRFVKSKASIIGLPRTQKNNYVDSMRRSCSKPANIRLFPPRRAESMGKPVGEPSSPKVSCIGRVRSKRGRRKSSPQKLEKSRSVGGREKSKTGFYSRLVSVFLSNRGKKPAEKIEKETPSRKKSVKETKSRQVPVVSIEPLAEPPGLGGMKRFASGRKSESWGANDINAAVSDAFGTERR >itb07g19680.t1 pep chromosome:ASM357664v1:7:24147497:24150810:-1 gene:itb07g19680 transcript:itb07g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEKTVFLSKPFTLTPNAPLRNSHTPSLSINFQPLSLSLSSSSSFNKNRGFVSSGPISYSNDYSNSSNPNGVVYEYDRFGAAQYPRPMEIQWKKELCNSVQLIGVVAKPVQIKHLPSGKVLAWSRLAVKKSQNETCWINLSFWDELAHVAFQHVEKGSQIYVSGRLVSDTIEGDDGKQQTYYKVIVQNLNFVERNFSSPVTLYDGESNSMAQGTKPKNYAANSTGSVEELWQAFFANPMEWWDNRKSKRSPNYPDFKHKATSESLWVEGWNNPPWVKSQLAILDSRMEGLRDQSDSMHVNFMTDPPV >itb06g24470.t1 pep chromosome:ASM357664v1:6:26015037:26015746:-1 gene:itb06g24470 transcript:itb06g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPMNGGGKESNGKLLQETEDADGDDTSWPHLEAEDYIVFCFTDGGDIRVVEDRKRGVSSGSDIEIYPENEAEMIISFEKAKEKWRDSDEIRETICTSSDESSSDCNQSFASKGSFAFPVLGLEWVGSPVHIPRQEDNSTCLKKHRGWSHLFLHCCRF >itb05g25440.t3 pep chromosome:ASM357664v1:5:29838507:29842004:-1 gene:itb05g25440 transcript:itb05g25440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MCSLQIPSFRGFDHSPFNRSFSSSTKRLGKSESCSSFWGKELCLSNELAVEKRLPRRRISRVIAMAASNVSSFKMNLNEYMVVLEKPLGIRFALSVDGKIFVHALKKGGNAEKSRIVMVGDTLKKAGESSSGSLTEIKDFGDIEKMMQDNSGSCSLVLERPFSPFPIHQLYLMDDVDILFNRGRVPVVTWNKNLLSSNLRTSCESSGNSGFASFSSKFLTSQGWKVLDSQNENREQNALNNTPPIPFSPLVNIFCESNTGDAEWAHGSFPLEEYIKALDRSKGELYYNHALGMRYSKGITAVLNFQGGIEAENWGIKPKEINESCQKFNILMINYPIRDGDSFDMRKKLPFSVGLLLRLLKKNHRVYVTCTNGFDRSPACVIAYLHWMTDTSLHAAYNFVTGLHSCKPDRPAIAWGTWDLIAMVESGRHDGPATHAVTFVWNGPEVNQLSAYLFH >itb05g25440.t2 pep chromosome:ASM357664v1:5:29837077:29842004:-1 gene:itb05g25440 transcript:itb05g25440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MCSLQIPSFRGFDHSPFNRSFSSSTKRLGKSESCSSFWGKELCLSNELAVEKRLPRRRISRVIAMAASNVSSFKMNLNEYMVVLEKPLGIRFALSVDGKIFVHALKKGGNAEKSRIVMVGDTLKKAGESSSGSLTEIKDFGDIEKMMQDNSGSCSLVLERPFSPFPIHQLYLMDDVDILFNRGRVPVVTWNKNLLSSNLRTSCESSGNSGFASFSSKFLTSQGWKVLDSQNENREQNALNNTPPIPFSPLVNIFCESNTGDAEWAHGSFPLEEYIKALDRSKGELYYNHALGMRYSKGITAVLNFQGGIEAENWGIKPKEINESCQKFNILMINYPIRDGDSFDMRKKLPFSVGLLLRLLKKNHRVYVTCTNGFDRSPACVIAYLHWMTDTSLHAAYNFVTGLHSCKPDRPAIAWGTWDLIAMVESGRHDGPATHAVTFVWNGPEGDQVWLVGDFTGNWKEPIRAVHKSGPRFEAEVRLPQGKYYYKYIINGNWRHSTISPTERDERNNVNNVIVVGDVASVRPSMQQQKKDVNIIKVIERPLTENERFMLAKAARCIAFSICPIRLAPK >itb05g25440.t1 pep chromosome:ASM357664v1:5:29837041:29841913:-1 gene:itb05g25440 transcript:itb05g25440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MCSLQIPSFRGFDHSPFNRSFSSSTKRLGKSESCSSFWGKELCLSNELAVEKRLPRRRISRVIAMAASNVSSFKMNLNEYMVVLEKPLGIRFALSVDGKIFVHALKKGGNAEKSRIVMVGDTLKKAGESSSGSLTEIKDFGDIEKMMQDNSGSCSLVLERPFSPFPIHQLYLMDDVDILFNRGRVPVVTWNKNLLSSNLRTSCESSGNSGFASFSSKFLTSQGWKVLDSQNENREQNALNNTPPIPFSPLVNIFCESNTGDAEWAHGSFPLEEYIKALDRSKGELYYNHALGMRYSKITEQIYVGSCIQREADVEMLSNVVGITAVLNFQGGIEAENWGIKPKEINESCQKFNILMINYPIRDGDSFDMRKKLPFSVGLLLRLLKKNHRVYVTCTNGFDRSPACVIAYLHWMTDTSLHAAYNFVTGLHSCKPDRPAIAWGTWDLIAMVESGRHDGPATHAVTFVWNGPEGDQVWLVGDFTGNWKEPIRAVHKSGPRFEAEVRLPQGKYYYKYIINGNWRHSTISPTERDERNNVNNVIVVGDVASVRPSMQQQKKDVNIIKVIERPLTENERFMLAKAARCIAFSICPIRLAPK >itb05g28120.t3 pep chromosome:ASM357664v1:5:31232089:31238100:-1 gene:itb05g28120 transcript:itb05g28120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKGKSNAVANLLAQDRIPGNNKIEKTKVGGRISLSTDSKKSAMLNSTAMQNRATSLKRNMEKNKSKNGSAVKVKVGRKVLADISNTKSSSSVKVKVGRKVLADISNTRGSFSRTELHEGSKQGKGNGKKLSYLQRSSVCTGTSSTNTSLRKPLMMAKMRVSLAEASGDHHILMKVSKELKAAGDDSRAKARCSVVATIGNRTTEKNLLLPSRKSLPVLKQANALINKENAKRSEKGIGKQGFSVSAKPKIGRKILSQENAKCSENGIGKQRLPAKPKAGRNVLPQPVLKTTVQMASRQKRMHCPISSKFQSVISSQKKEELVTSSLSKYIAPKSFKQPSEEVPSKNNHDLVTSESNILIRRASVRRKSFTSLLMTRPKQLKEQTGHTKQEFLPNIYDNSNHLDVSEYVDDIYQYYWVMEAQSHPLKSYMEFQTEITPQMRGILINWLIEVHLKFDLMQETLFLMVTILDQYLSRVCIKKNEMQLVGLTALLLASKYEDFWHPRIMDLISVSAESYTKEQMLGMEKAILKKLLFRLNVPTPYVFMLQFLKASQANKKLEHLAFFLVELCLVEFEALNYKPSLLCASAIYLARCTMQMTPAWTPLLERHACYEEFQLRDCAEMILRFHKAARTTLLKVTCEKYMRFEYSKVAAIKPLEAFPQ >itb05g28120.t1 pep chromosome:ASM357664v1:5:31231556:31238100:-1 gene:itb05g28120 transcript:itb05g28120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKGKSNAVANLLAQDRIPGNNKIEKTKVGGRISLSTDSKKSAMLNSTAMQNRATSLKRNMEKNKSKNGSAVKVKVGRKVLADISNTKSSSSVKVKVGRKVLADISNTRGSFSRTELHEGSKQGKGNGKKLSYLQRSSVCTGTSSTNTSLRKPLMMAKMRVSLAEASGDHHILMKVSKELKAAGDDSRAKARCSVVATIGNRTTEKNLLLPSRKSLPVLKQANALINKENAKRSEKGIGKQGFSVSAKPKIGRKILSQENAKCSENGIGKQRLPVSAKPKAGRNVLPQPVLKTTVQMASRQKRMHCPISSKFQSVISSQKKEELVTSSLSKYIAPKSFKQPSEEVPSKNNHDLVTSESNILIRRASVRRKSFTSLLMTRPKQLKEQTGHTKQEFLPNIYDNSNHLDVSEYVDDIYQYYWVMEAQSHPLKSYMEFQTEITPQMRGILINWLIEVHLKFDLMQETLFLMVTILDQYLSRVCIKKNEMQLVGLTALLLASKYEDFWHPRIMDLISVSAESYTKEQMLGMEKAILKKLLFRLNVPTPYVFMLQFLKASQANKKLEHLAFFLVELCLVEFEALNYKPSLLCASAIYLARCTMQMTPAWTPLLERHACYEEFQLRDCAEMILRFHKAARTTLLKVTCEKYMRFEYSKVAAIKPLEAFPQ >itb05g28120.t2 pep chromosome:ASM357664v1:5:31231556:31238100:-1 gene:itb05g28120 transcript:itb05g28120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKGKSNAVANLLAQDRIPGNNKIEKTKVGGRISLSTDSKKSAMLNSTAMQNRATSLKRNMEKNKSKNGSAVKVKVGRKVLADISNTKSSSSVKVKVGRKVLADISNTRGSFSRTELHEGSKQGSSVCTGTSSTNTSLRKPLMMAKMRVSLAEASGDHHILMKVSKELKAAGDDSRAKARCSVVATIGNRTTEKNLLLPSRKSLPVLKQANALINKENAKRSEKGIGKQGFSVSAKPKIGRKILSQENAKCSENGIGKQRLPVSAKPKAGRNVLPQPVLKTTVQMASRQKRMHCPISSKFQSVISSQKKEELVTSSLSKYIAPKSFKQPSEEVPSKNNHDLVTSESNILIRRASVRRKSFTSLLMTRPKQLKEQTGHTKQEFLPNIYDNSNHLDVSEYVDDIYQYYWVMEAQSHPLKSYMEFQTEITPQMRGILINWLIEVHLKFDLMQETLFLMVTILDQYLSRVCIKKNEMQLVGLTALLLASKYEDFWHPRIMDLISVSAESYTKEQMLGMEKAILKKLLFRLNVPTPYVFMLQFLKASQANKKLEHLAFFLVELCLVEFEALNYKPSLLCASAIYLARCTMQMTPAWTPLLERHACYEEFQLRDCAEMILRFHKAARTTLLKVTCEKYMRFEYSKVAAIKPLEAFPQ >itb08g04720.t1 pep chromosome:ASM357664v1:8:3877348:3879546:-1 gene:itb08g04720 transcript:itb08g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMGYGNDGFAVKIEETAVQEAATAGLKSVENLIRLLSNSPECGDGFSASVSETPPAEAEFQAAALANAAVTKFKKFISLLDRTRTGHARFRRGPVGNQAGLEGKKIDQEPEPEPAAPAADASEKPLSGSKIYCTTPIQRLPPLPHHHFVKNGSAANDRKESATTISFSGTGAAASPAGSFISSLTGDTDSLQPSLSSGFQITNLSQVSSAGGRPPLSTSSLKRKCNSMDDVTLKCGSAGGSASGRCHCPKKRKSKVKRIVRVPAISLKMADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPTMLIVTYEGEHHHSHSITDPPPAMILESS >itb04g06530.t1 pep chromosome:ASM357664v1:4:4229336:4231809:1 gene:itb04g06530 transcript:itb04g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLHHAFLFLILLFSQANSESSTTERAILLSLNQHWGNPFDEWNSTSWPCEWPYISCIRGSVTEISLPGMNIQGSFPSTIICQLKNLTSINLSTNSFSGTIPASFSSCLNLETLSLNTNSLTGKIPGELFSMKRLRRLSLRQNKLSEMPTSLVPNSLEYLDLSSNQLNGSIPDDIGNLYNLLSLDLSMNSFSGSIPARLLGLHQLIHLSLAFNSLTGEIPGKLDLFNLNDLDLSHNHLSGAIPRGFGELLQLSSMDVSHNQLSGDIWESVSHLMRATLKLSICMNNLSGKIPNKLVNERFTSCFDPVNKCSDVLTEGLQPCSSDRCSDYTIRQNMDCSSMPSKSKKKNYIIISCGAIAGVLIGIVILLLVFRHAGKRRKKNDGEEWSVIPFQRLEFNKWEILGGLTDENLIGNGGSGKVYRVITRKGLRVAVKSIRHEQKQGQGLMEKQFLAEVKILGRIKHNNIVKLLCCIRGKTTKVLVYEYMDKQCVHKWLHGKKKCLTTQVLQWERRLKIAIGAAQGLYYMHHSSNPPIVHRDIKSSNILMDSDFNVKIADFGLAKMLVSQGDPETASAVVGTFGYIAPEYGNTRRVNTKSDVYSFGVVLLELTTGREAVSANLEMNLAQWAHKHQREGNSIVDALDEEIKDPCHLEAMTTVFKLGLACTLSSPTSRPSMKNILQILQRQAVHEKHFADSSKMQRKQS >itb09g26430.t1 pep chromosome:ASM357664v1:9:27046792:27049345:1 gene:itb09g26430 transcript:itb09g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAVAVAVAPQPATNNTETQKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTVAEILKNNGFATEKKVLTSTVGMKDEAKGRMVQKARIEIVLGKTEKFDKLMAPNNNNAAAAEAAEQATNKNENNLVIKEENKLQPQ >itb06g12330.t1 pep chromosome:ASM357664v1:6:16963482:16968863:-1 gene:itb06g12330 transcript:itb06g12330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAIKAAADSCIGSLISLTTKSGMRYEGSMLHVDAQECTIALHNVKSFGTEGRRPVFVVSPSDKIYDYIVFDGNDIKDVRVISSPSSPPSVPSLLDDPAIIESRDSLPPPMHTGLLASTSAVKAPNQQFTKEAAASIIPGLLPVPSTSLMNKVSRKFVLPSDLLPNVAAAASLSLQSSLGQVATPQSGFSPVSGPLSPNYPHGVLSGVIMGSASKTADNVSAVPASNQWLKRSKIRPSNYQH >itb01g30600.t1 pep chromosome:ASM357664v1:1:34561508:34565529:1 gene:itb01g30600 transcript:itb01g30600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRIGRKSLIKFGPESRGHGVKQPRNPWSDGPEFITQCPIRPGTKFSQRIVLSDEEGTLWWHAHSDWNRATVHGALLVYPSKGSQFPFPKPSAEVPIILGEWWKSNISQVFSQFLSSGGDPARSDAYLINGQPGDLYPCSKNDTFELAVEHGKTYLLRMVNAVMNNIMFFSIANHQITVVGSDGSYVKPFKSNYITISPGQTIDFLLEANQPLNHYYMEAKPYAPGAALSNLNSTTGVVKYRGNFAPSPVRPNLPVFNDTNSSVNFTGKLRSLADSKHPVNVPMNVTTNLLYSVSLNTLPCPDNSTCTSPIRGSRFSASVNNISFVLPKIDILQAYYKNINGVFTREFPDFPPLNFDFTASNLSVELRRPDRRTEAKVLEYGTVVELVFQGTNLLGGMDHPMHLHGYSFYVVGWGFGNFNRTRDPQNYNLVDPPLMNTIAVPINGWTAIRFVADNPGVWFMHCHFERHAIWGMEMTFIVKDGKRSNERVLPPPPDMPKC >itb04g24270.t1 pep chromosome:ASM357664v1:4:28988642:28989007:1 gene:itb04g24270 transcript:itb04g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNKQIHKDMQKSAIVTMVNVPRKMRVTLTDTNTEIIVLNLYYFVVNKCLRLAQLEYKTNWHLNSIASPLYILQLQPPACPVPLSPHRTLQPWRQPSPPRSLQPQNVSLNVGMQDNNTGI >itb05g17080.t1 pep chromosome:ASM357664v1:5:24114443:24117173:1 gene:itb05g17080 transcript:itb05g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPEILDLVFIVSVLSFNVVTSACSGENCQVLEECSDCGAGMFCLSCPLGFSGAKCVRSTATDQFKLLNNSLPFNKYAFLTTHNAFSITGWSSRTGVPNVAPKNQEDSITQQLNNGVRGLMLDVYDFRGDVWLCHSFGGKCYDFTAFEPAGDTLKEIEAFLSANPSEIITIILEDYVSAPKGLTKVFTDAGLMKYWFPVSNMPKNGGDWPLVSDMVAKNQRLLVFTSVKSKEQSEGIAYQWNYMVENQYGDGGMKKGNCPNRGESPPLTDTTKSLVLVNFFGSVPLEPMACVQNSGPLIDMVGTCYLAAGNRWANFLAVDFYKRSEGGGTFQAVDKLNGELLCGKDDVHSCVGGSK >itb15g03460.t1 pep chromosome:ASM357664v1:15:2197115:2198294:-1 gene:itb15g03460 transcript:itb15g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYRRNPLKPWKKGPARGKGGPQNATCEYRGVRQRTWGKWVAEIREPKKRTRIWLGSFATAEEAAMAYDEAARRLYGPEAYLNLPHLRSNVNPLINKSQHKFKWFPSTNFISLFPSTGLLNLSAQPSVHVIHQRLQELKKTEASCHASSSSSSSSDPISEMLNMMEQQPGLVNQETTEKEPDFSSGEKLENREEKPQIDLNEFLQQLGILQKEDKSDMQDLSNCFPEPEPEPEPEPSLGDSEADVFADKTYNWDTLEAIPGTEDNQTVDASTLYLHDINDDLSFPPSIWNF >itb08g07450.t2 pep chromosome:ASM357664v1:8:6374450:6377835:1 gene:itb08g07450 transcript:itb08g07450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSNLCCLRCPDVEKQDEEERHKALFDATIKGNWTRAEKILNEYPECATHRITIRKETVVHIAAAGKNKEFVEKLVKIVVKMGDVRCSILAQPNTLGCSAFYLAVLSGVVENAKVMVEKNEDLLTIRIKDKPNDDMGKLPINHAAKRGRKKMVAYLFKETPFERLLEADRIELLQATIRYDMYDTALQIVEKDGLLATKEHDTQRNRTFLHLLARKDLAERSWRRLLGSTCAVLSTRLRDTIQEKTLARRLLKKIWDEYHDHLPEKLLVEKIKKTEILHYAAKEGNVEFLSMILNSKPDLLWEFNSKGQNIFHIAVLHRQKEVFDLIYKFGPFKDLISVQIDNQQNNILHSTAKLRINRSSKESTGDDNPLQPHGSEEDNKVEKDIIPKSLLKVSGAALRLQRELLWFKVSKQLQN >itb08g07450.t1 pep chromosome:ASM357664v1:8:6374450:6379071:1 gene:itb08g07450 transcript:itb08g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSNLCCLRCPDVEKQDEEERHKALFDATIKGNWTRAEKILNEYPECATHRITIRKETVVHIAAAGKNKEFVEKLVKIVVKMGDVRCSILAQPNTLGCSAFYLAVLSGVVENAKVMVEKNEDLLTIRIKDKPNDDMGKLPINHAAKRGRKKMVAYLFKETPFERLLEADRIELLQATIRYDMYDTALQIVEKDGLLATKEHDTQRNRTFLHLLARKDLAERSWRRLLGSTCAVLSTRLRDTIQEKTLARRLLKKIWDEYHDHLPEKLLVEKIKKTEILHYAAKEGNVEFLSMILNSKPDLLWEFNSKGQNIFHIAVLHRQKEVFDLIYKFGPFKDLISVQIDNQQNNILHSTAKLRINRSSKESTGDDNPLQPHGSEEDNKVEKDIIPKSLLKVSGAALRLQRELLWFKEVGNVVPPSQHKIRNKENKTPKQIFAEEHRELLKEGEKWMTDTATSCMLVATLIATMVFAAAFTVPGGNGDTGTPIFIKRSSFTVFMISDAVAMICSIISIIMFLSILISRFRQDDFLVSLPLKLLVGLTTLFVSIVGMLVAFAVAFSLIFDKAWQPKLIVAFIVAPFALFLLLNFNLWFDTIHSLFLVGIIKVGSLKKRFFG >itb08g07450.t3 pep chromosome:ASM357664v1:8:6374625:6379071:1 gene:itb08g07450 transcript:itb08g07450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRCSILAQPNTLGCSAFYLAVLSGVVENAKVMVEKNEDLLTIRIKDKPNDDMGKLPINHAAKRGRKKMVAYLFKETPFERLLEADRIELLQATIRYDMYDTALQIVEKDGLLATKEHDTQRNRTFLHLLARKDLAERSWRRLLGSTCAVLSTRLRDTIQEKTLARRLLKKIWDEYHDHLPEKLLVEKIKKTEILHYAAKEGNVEFLSMILNSKPDLLWEFNSKGQNIFHIAVLHRQKEVFDLIYKFGPFKDLISVQIDNQQNNILHSTAKLRINRSSKESTGDDNPLQPHGSEEDNKVEKDIIPKSLLKVSGAALRLQRELLWFKEVGNVVPPSQHKIRNKENKTPKQIFAEEHRELLKEGEKWMTDTATSCMLVATLIATMVFAAAFTVPGGNGDTGTPIFIKRSSFTVFMISDAVAMICSIISIIMFLSILISRFRQDDFLVSLPLKLLVGLTTLFVSIVGMLVAFAVAFSLIFDKAWQPKLIVAFIVAPFALFLLLNFNLWFDTIHSLFLVGIIKVGSLKKRFFG >itb01g09230.t1 pep chromosome:ASM357664v1:1:7433076:7436946:1 gene:itb01g09230 transcript:itb01g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIFEFSGHNEFFTGVPMYPSSKDSGPFLALHPHANAYFPPCKRARVSTPVVACEGLELKKKTTIEVLPDECLFEVFRRIHDGKERSACACTSKRWLMLLSTLRRDEIFSIKSIQPSEPKEMSDSSMKVDIKSDKNGLVQSTVAESKAAYQDAKVEGYLSRCLDGKKATDVRLAAIAVGTGNHGGLGKLSIRGNNSIRGVTDSGLKAIARGCPSLKALSLWNISSITDEGLCEIANECHLLEKLDLCHCPSITDKSLMAIAKSCPNLTSLTIESCSKIGNESLKAVGACCPNLKFVALKNCPLVGDQGIGSLFSTAGEVLTKVKLQTLNLSDMSLAVIGHYGVALTDLALTGLKNITERGFWVMGNGKGKGLQKLRCLSIIDCSGVSDLGIEAMGKGCPNLKQFSLRSCTFPSDNGLVAFAKAAGSLESLQLENCHRITQAGLFGILVHCGGKLKTLALENCMGIKDLAFECPLLSPCNSLRSLWIRNCPGFGDASLGILSKLCPKLVHVDFTGLHCITDDGLLNLVQSCEEGLVKVNLNGCVNLGDIAVSAIAKQHGETLEKLNLDGCGYVTDVSLEAIADHCSVLCELDVSKSRITNSGIVALANAVQLSLQILSLSGCAMVSNKTLPLLLKLGTSLVGLNIQNCPGISSSGVDSLVEKLWRCDVLS >itb12g23730.t1 pep chromosome:ASM357664v1:12:25353052:25356096:1 gene:itb12g23730 transcript:itb12g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLILLFFILLVQVIVSSSETHLCHNDQASALLQIKNALTIDESVSLEWLKFEPHSFKHLLQNLTQLRELDLSGIEISSVLPRNLSSSLRILSLGSTGLYGKFPDSVFDLPNLERLILTSNFDLQGHLPKTVWKSSISLKELDLSSTSFSGELQGSVGYLKSLTYLDLSSCKFSGAIPESLGNLTRIENLILSSNSFTGRVPLTLVSLEQLIRLDLSANNLTGEIPDFFGKFRKLKDLSLADNLFTGRFPVSVTNLTKLESLDLSNASISGPIPPIVSGFPALVLLFLGENMLTGEIPSWVFHLPLLKYIDMKSNNLSGRIEGFSSEEMVHIDLSDNKLQGSIPSSLSKLVNLTALYLSSNNFSGTLDVGTFSNLRQLRHLGLSYNSISLSAAHKEIAFPDSIGNLWLSSCDIRELDFLRAAKNLGQLDLSRNKIRGQIPDWALSNWGSSVYYLNLSFNSLTGEDVDGEDDSEFMQGFGWRSVMIGYGVGIVPGLVMGPLIFFPTGRPPKWLQGKSLFRKH >itb03g25140.t2 pep chromosome:ASM357664v1:3:24090935:24097455:-1 gene:itb03g25140 transcript:itb03g25140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRARRFRAAKDAADVASGTENLRGIHESKGEDSSDTKILDSNVITPGTEFMAMLSSALQYYVQTRMNEDPGWKGIKVILSDASVPGEGEHKIISYIRLQRNLHGFDPNTRHCLYGLDADLIMLALATHEVHFTVLREDVRKAHSKDRGPKLVKHVRVAKCGKELEKFISQQKFQLLRIWVLRDYLAYDLQIPDSAVKIDLERLIDDFVFMCLFVGNDFLPHMPSLEISEGAIDLLMNVYKKEFVQMGGYLTNSVEVNLKRVEHFIQAVGSCENRIFKKRIQARKEWERPMRHHSTKSDTKGNQTKSHANFKTALEDDKVKLGEEGWKDRYYAEKFGVESVEDCDRVRRDTVLKYAEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFHGFDQLDITFTLGKPFKPFDQLMGVLPAASAQALPLSYRKLMTDPLSPILDFYPPDFELDINGKRHSWQAVCKLPFIEESRLLSEIAKVENTLTDEEKKRNSLGLDMLFVHGSHPLGGKIFSFCERNKDNPKLTQTKVKRKINPKFSHGMNGYMYISDNPVCPIEIPSPINGMDMIRENNVICVFYKVPSFHPHITRLPEGVILPGKAIKKHDIKPPPMLWHEKTAITTGRVTVRPMPPKSISGSCLARLAHRLVLENLIVKGQGNGVNMALQAPLSDQTCPDDENLDKCSRKRKRNAEKRKAQHEQGNGVNMALQAPLSNQTCPDDENLDKFSRKRKRNAEKRKAQHERKRQKLKQLEDAIADPSQKSSIGPAVYACNNAPEQCTAISGKISGEISTCA >itb03g25140.t3 pep chromosome:ASM357664v1:3:24090935:24097455:-1 gene:itb03g25140 transcript:itb03g25140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSALQYYVQTRMNEDPGWKGIKVILSDASVPGEGEHKIISYIRLQRNLHGFDPNTRHCLYGLDADLIMLALATHEVHFTVLREDVRKAHSKDRGPKLVKHVRVAKCGKELEKFISQQKFQLLRIWVLRDYLAYDLQIPDSAVKIDLERLIDDFVFMCLFVGNDFLPHMPSLEISEGAIDLLMNVYKKEFVQMGGYLTNSVEVNLKRVEHFIQAVGSCENRIFKKRIQARKEWERPMRHHSTKSDTKGNQTKSHANFKTALEDDKVKLGEEGWKDRYYAEKFGVESVEDCDRVRRDTVLKYAEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFHGFDQLDITFTLGKPFKPFDQLMGVLPAASAQALPLSYRKLMTDPLSPILDFYPPDFELDINGKRHSWQAVCKLPFIEESRLLSEIAKVENTLTDEEKKRNSLGLDMLFVHGSHPLGGKIFSFCERNKDNPKLTQTKVKRKINPKFSHGMNGYMYISDNPVCPIEIPSPINGMDMIRENNVICVFYKVPSFHPHITRLPEGVILPGKAIKKHDIKPPPMLWHEKTAITTGRVTVRPMPPKSISGSCLARLAHRLVLENLIVKGQGNGVNMALQAPLSDQTCPDDENLDKCSRKRKRNAEKRKAQHEQGNGVNMALQAPLSNQTCPDDENLDKFSRKRKRNAEKRKAQHERKRQKLKQLEDAIADPSQKSSIGPAVYACNNAPEQCTAISGKISGEISTCA >itb03g25140.t1 pep chromosome:ASM357664v1:3:24090935:24097455:-1 gene:itb03g25140 transcript:itb03g25140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAFYRWLLERYPRSVEPAMEETPAVVNGVTVPIDTTGPNPNGYEFDNLYLDMNGIVHPCFHPEGLPPPKTYDDVFMAVFKYLDRIFSIIRPRKLLFMAIDGVAPRAKMNQQRARRFRAAKDAADVASGTENLRGIHESKGEDSSDTKILDSNVITPGTEFMAMLSSALQYYVQTRMNEDPGWKGIKVILSDASVPGEGEHKIISYIRLQRNLHGFDPNTRHCLYGLDADLIMLALATHEVHFTVLREDVRKAHSKDRGPKLVKHVRVAKCGKELEKFISQQKFQLLRIWVLRDYLAYDLQIPDSAVKIDLERLIDDFVFMCLFVGNDFLPHMPSLEISEGAIDLLMNVYKKEFVQMGGYLTNSVEVNLKRVEHFIQAVGSCENRIFKKRIQARKEWERPMRHHSTKSDTKGNQTKSHANFKTALEDDKVKLGEEGWKDRYYAEKFGVESVEDCDRVRRDTVLKYAEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFHGFDQLDITFTLGKPFKPFDQLMGVLPAASAQALPLSYRKLMTDPLSPILDFYPPDFELDINGKRHSWQAVCKLPFIEESRLLSEIAKVENTLTDEEKKRNSLGLDMLFVHGSHPLGGKIFSFCERNKDNPKLTQTKVKRKINPKFSHGMNGYMYISDNPVCPIEIPSPINGMDMIRENNVICVFYKVPSFHPHITRLPEGVILPGKAIKKHDIKPPPMLWHEKTAITTGRVTVRPMPPKSISGSCLARLAHRLVLENLIVKGQGNGVNMALQAPLSDQTCPDDENLDKCSRKRKRNAEKRKAQHEQGNGVNMALQAPLSNQTCPDDENLDKFSRKRKRNAEKRKAQHERKRQKLKQLEDAIADPSQKSSIGPAVYACNNAPEQCTAISGKISGEISTCA >itb15g05910.t3 pep chromosome:ASM357664v1:15:3868638:3874937:-1 gene:itb15g05910 transcript:itb15g05910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDKHQFPPLSDDVSRLCDEIRSVARDLLQGRTLSEGESLLVREAESTPPHKIDENMWKNREQIEEILFLLKRSNWPTMLQQSTAQDAELGAVLLRLKEKFESALKLLVTFQARNSEHVFNTVMTYLPQDFRGTLIRQQKERSERNKQAAVEALINSGGSIREQYALLWNQQMERRKQLAQLGSATGVYKTLVKYLVGVPQVLLDFVRQINDDEGPMEEQRHRYGPPLYSLTKMALNIRLYLSLLWRHLEAGKLQGNQIPILEKAVDVYASELERFIKFIGNVFVNAPFFITAEDAGAIEASKNEEYQEVSVAAGKTHEVSLTVEDINSYIAWDFSLIQGRLNLQDIGFSLEYEDSSGKKTVLVFHYLSCFIRTISFCL >itb15g05910.t1 pep chromosome:ASM357664v1:15:3868638:3874937:-1 gene:itb15g05910 transcript:itb15g05910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDKHQFPPLSDDVSRLCDEIRSVARDLLQGRTLSEGESLLVREAESTPPHKIDENMWKNREQIEEILFLLKRSNWPTMLQQSTAQDAELGAVLLRLKEKFESALKLLVTFQARNSEHVFNTVMTYLPQDFRGTLIRQQKERSERNKQAAVEALINSGGSIREQYALLWNQQMERRKQLAQLGSATGVYKTLVKYLVGVPQVLLDFVRQINDDEGPMEEQRHRYGPPLYSLTKMALNIRLYLSLLWRHLEAGKLQGNQIPILEKAVDVYASELERFIKFIGNVFVNAPFFITAEDAGAIEASKNEEYQEVSVAAGKTHEVSLTVEDINSYIAWDFSLIQGRLNLQDIGFSLEYEDSSGKKTPILPYKRYESDQGNFCACIAGNYKLVWDNSYSSFFSKVLRYKVDCIPPVVESSASANQVEG >itb15g05910.t2 pep chromosome:ASM357664v1:15:3868672:3874891:-1 gene:itb15g05910 transcript:itb15g05910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDKHQFPPLSDDVSRLCDEIRSVARDLLQGRTLSEGESLLVREAESTPPHKIDENMWKNREQIEEILFLLKRSNWPTMLQQSTAQDAELGAVLLRLKEKFESALKLLVTFQARNSEHVFNTVMTYLPQDFRGTLIRQQKERSERNKQAAVEALINSGGSIREQYALLWNQQMERRKQLAQLGSATGVYKTLVKYLVGVPQVLLDFVRQINDDEGPMEEQRHRYGPPLYSLTKMALNIRLYLSLLWRHLEAGKLQGNQIPILEKAVDVYASELERFIKFIGNVFVNAPFFITAEDAGAIEASKNEEYQEVSVAAGKTHEVSLTVEDINSYIAWDFSLIQGRLNLDIGFSLEYEDSSGKKTPILPYKRYESDQGNFCACIAGNYKLVWDNSYSSFFSKVLRYKVDCIPPVVESSASANQVEG >itb12g17600.t1 pep chromosome:ASM357664v1:12:19719553:19721017:1 gene:itb12g17600 transcript:itb12g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSSTFSLSTLKKSFISSSSPTPSFLPFTPSKPKSLSLTTLNSNLKRRFSLLQSSAAASTPVAPQTLKSRLRNGETLYGIFLLSFSPTLAEIAGLAGYDFAVVDMEHGHGGISDALPCLHALAATRTPAILRIPESSDVWAKKALDLGPQGIMFPMIDSPKDARKAVSYCRFPPNGVRGSAHTVVRASDYGIDEGYLSNYEEELLIMCQVECADGVKKIDEIACVEGVDCIQMGPLDLSASLGYLWDPGHKKVKEVLRAAEKAVLETNPGEGKGAYLSGFAMPHDKPENLKQRGYHMVSGAVDIGLFRSAAVEDVKKFNNCLMEDDEQREEDKDSEEKYWSE >itb07g20580.t1 pep chromosome:ASM357664v1:7:24968822:24969406:1 gene:itb07g20580 transcript:itb07g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEESQILIYIRLSLRGYAQKEDCVKQLLLLIIWERVAVCNALISGFIQGNKIEDAMRFFGELVNKNCLTNEVAYNILVHGLCNAEKFGEAYDIVKDILQKGWKPDIITYSLLINGLCKTGKLDMELNLWNQVLSRGIKPDTTMGNIMIHGVCSAGKLEQALHLYLNMSQWNCVPNLVTLNTLMEGFYKARDS >itb03g23130.t1 pep chromosome:ASM357664v1:3:21290621:21291722:1 gene:itb03g23130 transcript:itb03g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVCTIIAVALSCLVVVALAVPPLNFNNDVTMYFGNHLGQVQDNGNTMALNLDQQTGSGFNTKNEYIFGRFDMQLKLMKGFSAGTVTTFYLTSPIGQAHDEVDFEFLGNATFQPFTVHTNVFSEGKGNREQQFHLWFDPTAEFHTYTILWNSQRIIWMVDNIPIRVFENQDSIGVPFPKTKPQRIFCSLWEASAWATQGGNVKVDWSRAPFTAHYKNFVVDACVITNGASSCANNNNKQPWQTYTLDADAKAKLQNVQKKNMIYNYCTDTKRFAQGLPPECKPAQEHPSA >itb06g18530.t1 pep chromosome:ASM357664v1:6:22158145:22159734:-1 gene:itb06g18530 transcript:itb06g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSDEDEGDVLVPPPNFSAVEDFCIYRSGFPQPSNFPFLQSLNLRSIIYLCPEPYPEENKEFLRLNKVKLFQFGMDGTKEPSAIPTTAIQDALKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCLSSVLEEYKHFAGTKWRETDYRFLEAYDVSCIRYCLQSIIYRYHSSKKRRLVYGEESKENLGSFCLAGVIYIRLSN >itb07g19780.t1 pep chromosome:ASM357664v1:7:24230869:24231775:-1 gene:itb07g19780 transcript:itb07g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVFLSSQFSYGLLWMAQFASTAAGAQHHSHQKKIDSTAMVMPMQGTEKSIIFQSLNSGDHKQCSLVCRRWLQIEGQIRHRLLLRAQIELATAVPSIFFRDSIRIATSFPQVILTAASFHENRPLMIGREARAVYNGGQTLGLTFWTPICRDPSPGEENVDKVLEDVLPYLIADSGNVDAECLSRTTPFLSSFKILS >itb15g01170.t1 pep chromosome:ASM357664v1:15:688569:689180:1 gene:itb15g01170 transcript:itb15g01170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSLELQCVLFFMKPKKQRGNLRCSGVMSEGPSAAGGRSAGYRGVRRRKSTGKWVSEIREPRSPNRIWLGTFATPEMAAVAYDVAVRALRGPDAELNFPNSAASLPVPASNSARDIQAAAALAAAALGAAADALEGQKEGDKLDINPEEECKEFVDEDLIFDMPNVLVNMAEGMLLSPPRLDVAGDCDAAAADHNLWNYP >itb04g06100.t1 pep chromosome:ASM357664v1:4:3964093:3966303:1 gene:itb04g06100 transcript:itb04g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMGLRCKSSPNIRNPLHEPSSPSPSPSPRTPALVVSNSSKSLVGSNSAKSLLSLSNSSKAILMSSSWTKKYVKQVTGRHNDTELHLAAQRGDVASVRLILEEIDAQMLKTMSGAEFDAEVAEIRSAMVNEVNELGETALFTAAERGYIDVVKELLPYTTKEGITNKNRSGFDPLHIAANQGHQDIVKLLLDHDPGLSKTIGQSNATPIISAATRGHVAVVKELLKTDSCLLEITKSNGKNALHFAARQGHIEVVKALLEKDPQLTRRTDKKGQTALHMAVKGTSCKVVRYLLQKDAAAVMLPDKYGNTALHVATRKKRVEIVNELLQLRDTNVNALTRDNKTALDIAEGLPLSEEIAEIKESLGRIGAIRGNELKQPRDELRETVTEIKKDVHTQLEQARKTNQNMNGIAKELRKLHREGINNATNSVTVVAVLFATVAFSAIFTVPGGDDEEGRAVVAGTAAFKIFFISNAVALFTSLAVVVVQITVVRREIKSERRVVEVINKLMWLASVCTTLAFVSSAYIVVGKRNRFAAILITAIGGVIMASVLGAMTYYVVKYKRIRKVRKREKYSRSAAGNNSFRNSDFSDSEVNSIYAI >itb13g03460.t1 pep chromosome:ASM357664v1:13:3366372:3367416:-1 gene:itb13g03460 transcript:itb13g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSPVIKVVALCGSLRKASYNRGLIRAAMEICKESINGMEIEYVDISSLPILNTDLEGEDGTFPPEVEEFRQKILRADSALFASPENNFSVSAPLKNAIDWASRPPNVWGDKTAAIVSAGGFLGGGVGQTHLRQIGVFLDLHFINKPEFFLQAFEPPAKFDADGNLIHEATKERLEKILLSLQKFTLRHLTKIE >itb01g15050.t1 pep chromosome:ASM357664v1:1:17254569:17258453:1 gene:itb01g15050 transcript:itb01g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDGVAAAAKAAQPKSRPVGGTEYSWCRAVPGGTGITVLAILLSKPIDIPLLENALRKLQIAHPILNSKLHYHAATNSFSYVTPPTPRLQIQPYDHPSTAEILLRRAAPSSVSDFHLILEHELNRNTWHNPDPSSDSDSDADTFFATVYDLNGGKRALALRLHTSACDRATAAALLTELLPPLVGNGGQEEEGTQREIQSGGDVGLGIEDYIPNGKANKPFWARGLDMLGYSLNSFRLSNIGFHDTESPRASQVARLTLGKHDTRRILDGCEGRGIKLCGVLSAAGLIAARASKEVPDNRYEKYAVVTLMDCRSILDPVLSANHAGFYHSAILNTHDIKGGEDIWELAKRTYTSFANAKNNNKHFSDMADMNFLMGKAIENPGLTPYSSMRTSFLSVFEDPIAITDQSAHLRHEVGVEDFIGCSSVHGIGPTIAIFDTIRDGELDCACVYPSPLHSRQQMQGLIDEMKRILVEC >itb10g21520.t1 pep chromosome:ASM357664v1:10:26716074:26720324:1 gene:itb10g21520 transcript:itb10g21520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASGPFTSCSKEQQKLYQDWFNVVDSDGDGRVTGNDATKLFGMSNLSKPQLKQVWALADSKRQGFLGINEFTTAMQLISLAQEGEELNSDLLNLKTAAGVNPPTMKDLDALLVSANGSSQSSNGSSQNSNGSSQNSNGSLTESIPQTNGSKPDTNGTTQLRSIPSTIKMFSSRSRKKVQPTLTAVTSVIDGLKRLYNAKLKPLEVTYRFNDFVSPVLTDSDFDAKPMIMLLGQYSTGKTTFIKHLLKCSYPGAHIGPEPTTDRFIVVTSGPDERSIPGNTVAVHADMPFTGLSTFGGAFLSKFECSQMPHPLLEHFSFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVKEGTDYMGKDLFEKEQDDLLEDLIDIPKKACDRKINEFVKRARDAKIHAYIISHLKKEMPALMGKSKAQKRLVSNLETVFAEVQREFHLPAGDFPSVDHFREVLMRYSIEDFEKLRPKMIQGVDEMLGYDIPDLLKNLRNPYD >itb03g29400.t1 pep chromosome:ASM357664v1:3:30281220:30287891:-1 gene:itb03g29400 transcript:itb03g29400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASTFTFKPFLSKPNPLSLPYHHTTLFTLRLRSPTFFLSTSTSTAISARYGGRRPRDYDDDDDDEALDISSIRSDKVRLIDQQQNMVGIVSKSEAVQRAEDAELDLVILSPEADPPVVRIMDYNKYRYELQKKKKDQQKKSAASRMDLKELKMGYNIDVHDYSVRLKAAQKFLKEGDKVKVIVNLKGRENEFRSKAVELLKQFQNDVGELATLEGKNFNDRNMFIILVPNKAIAQKAQEQPKKKEKSATEVSAGV >itb03g29400.t3 pep chromosome:ASM357664v1:3:30283958:30287840:-1 gene:itb03g29400 transcript:itb03g29400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASTFTFKPFLSKPNPLSLPYHHTTLFTLRLRSPTFFLSTSTSTAISARYGGRRPRDYDDDDDDEALDISSIRSDKVRLIDQQQNMVGIVSKSEAVQRAEDAELDLVILSPEADPPVVRIMDYNKYRYELQKKKKDQQKKSAASRMDLKELKMGYNIDVHDYSVRLKAAQKFLKEGDKVACYLR >itb03g29400.t2 pep chromosome:ASM357664v1:3:30281315:30287835:-1 gene:itb03g29400 transcript:itb03g29400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASTFTFKPFLSKPNPLSLPYHHTTLFTLRLRSPTFFLSTSTSTAISARYGGRRPRDYDDDDDDEALDISSIRSDKVRLIDQQQNMVGIVSKSEAVQRAEDAELDLVILSPEADPPVVRIMDYKYELQKKKKDQQKKSAASRMDLKELKMGYNIDVHDYSVRLKAAQKFLKEGDKVKVIVNLKGRENEFRSKAVELLKQFQNDVGELATLEGKNFNDRNMFIILVPNKAIAQKAQEQPKKKEKSATEVSAGV >itb14g16290.t2 pep chromosome:ASM357664v1:14:19607318:19611697:-1 gene:itb14g16290 transcript:itb14g16290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVLHCDESAYERLREGSRADESGTTSSHSSQMNAKVSGNAGVEDTCSTRGRDVFSLNFEILKAGRGNDDGYENRPVTRPVFVTRQLFPVSDGELNRSEASGQPDREVNLSFCQVEVGRVQQQQQQPPPPPQPQKVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDSGKQVYLGGFDTAYAAARAYDLAALKFRGVDADINFSISEYKDGMKQMKSLNKEEFVHMLRRQSTGFSRGTSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDKAAIKFNGREAVTNFDPNTYDGQTLSDPLNEGSQHDLDLNLGIITSSPKENRNTGNLQYHMLHSGTSDMDKSGETKIVSPDLNARLIPSEQPQLCTGVYSNVYPKSEQMASERRMEMCSSQGPLNWGSQRHGHISTTNMVAMLSAASSGFSTPATTKSVAVSTSPMTAPKVTSLNLCFAYPNASTNTPAEYYCQVRPTQ >itb14g16290.t1 pep chromosome:ASM357664v1:14:19607318:19611921:-1 gene:itb14g16290 transcript:itb14g16290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVLHCDESAYERLREGSRADESGTTSSHSSQMNAKVSGNAGVEDTCSTRGRDVFSLNFEILKAGRGNDDGYENRPVTRPVFVTRQLFPVSDGELNRSEASGQPDREVNLSFCQVEVGRVQQQQQQPPPPPQPQKVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDSGKQVYLGGFDTAYAAARAYDLAALKFRGVDADINFSISEYKDGMKQMKSLNKEEFVHMLRRQSTGFSRGTSKFRGVTLHKCGRWEARMGQLLGKKAYDKAAIKFNGREAVTNFDPNTYDGQTLSDPLNEGSQHDLDLNLGIITSSPKENRNTGNLQYHMLHSGTSDMDKSGETKIVSPDLNARLIPSEQPQLCTGVYSNVYPKSEQMASERRMEMCSSQGPLNWGSQRHGHISTTNMVAMLSAASSGFSTPATTKSVAVSTSPMTAPKVTSLNLCFAYPNASTNTPAEYYCQVRPTQ >itb04g10130.t1 pep chromosome:ASM357664v1:4:9382382:9385816:-1 gene:itb04g10130 transcript:itb04g10130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSRKTSDNVNYTVMMPPTPDNQPGGGNVNSSGDKPDGPVSRFRGMRMGGEDGGGGGEGSGKGMNKSNNKSMLMRTQTGDFDHNRWLFESKGKYGIGNAYWQDEDSYDHDTGMSMSDFMDKPWKPITRKVQISGGIISPYRVLIVIRLVALFLFLVWRIQNPNRDAMWLWAISVVCELWFAFSWILDILPKVNPIDRAADLTALKEKFESPSPTNPNGRSDLPGVDIFISTADPEKEPPLVTANTILSIMGCEYPVEKLFIYISDDGGAILTFEAMAEAVEFAKVWVPFCRKHKIEPPNPDSYFNQKNDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPEVIKKRCAMHNKKEEMKEKMAMKDKNGGVIPPGETIDYNKATWMADATHWPGTWYEPISDHKKGDHAGILQIMSKVPEHDPVMGEADEKKLDFTGIDIRLPMFAYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYVYNSMAIREGMCYMMDRGGDRICYLQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFNPPRPREYWGIFGQNKKKWGEKEDEGEPLTDHPDLTLPMKFGNSTMFNESIAVAEFQGRPLADHVSVKNGRPPGALLAPRPPLDAPTVAEAIAVVSCWFEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNPALASRRLKFLQRMAYFNVGVYPFTSVFLVVYCFIPAMCNFTGQFIVQTLDTQFLFYLLIITVTLMLISLLEVKWARIGLEELWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAADDEDDIYADLYVVKWTSLFIMPLTILVVNIFALVIGCSRQIYSVIPQWNKLFGGAFFSFWVLAHLYPFAKGLMGRKGKVPTIVYVWTGLLSITTSLLWISVNPPQDPRAGSSDD >itb13g15100.t1 pep chromosome:ASM357664v1:13:21811468:21811821:-1 gene:itb13g15100 transcript:itb13g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQRVDKLNAQTEGSPFVILDLYAAFTSAINIQHNHPGKSSFPHPLLPCCFGKCGDVDESGKKEYGLCDDPKMAFFWDMAHPSQQGWLAVYSALKSSLPHLFHPQQATPIEKLGKL >itb05g13980.t1 pep chromosome:ASM357664v1:5:21042820:21043461:-1 gene:itb05g13980 transcript:itb05g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTSTSVRQGASAAMPQQVFMVGNSNALAEIKDKLTNSSIEKREVISITGMGGIEKTTLAKEVYEDECIKCHFDIRAWITVSQSYSLDDLLRVLLQSIEASSPKEGQSARAIESREKVRKLLLGKRYLIAIDDIWSTQVWDDLQICFPEKRNGSRVLLTTRLTYVATYASSGGLSFNMPTLSKEESWDLFCRKVFAKESCPPCIDKLGEIL >itb07g19690.t1 pep chromosome:ASM357664v1:7:24156397:24157242:-1 gene:itb07g19690 transcript:itb07g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLERSTTTVLPPPSSLPVGFRFHPTDEELINHYLKLKINGSKAEVSMIREIDICKLEPWDLPDLSIIKSYDNEWFFFCPIERKYQNGQRMNRATEQGYWKATGKDRNILSKKRVKIGMKKTLVYYEGRGPNGKRSNWVIHEYRATGETPFVLCRLFKKNENGESRNSNGVEPNVSSPIVVKSPGEDDQSDRINANNAEKYVDIVHDHDLEEALAYLYEPSEEHFDIFSLPQTEMEGLGFSYAVTNDIQSLSETNISDANEFMNSFLVSSDEIPYANGSI >itb08g08360.t1 pep chromosome:ASM357664v1:8:7346850:7348469:-1 gene:itb08g08360 transcript:itb08g08360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKRIKATVDVYRPPPSSFAVFDVNDVEEPWLMNAKPAGDMEDDDEKKQEEKIKEVAHVPPPLLEKLNSIEEAPRSWDEVSKALEDLKPTLNTTMLPPATQTPPPPAAAEKNHPPPKPKKSSSFHTVEELDAKLTPKPPGIKKTESMKVELKKFQSPATESQPESTRTGGFKSLKDNIFLVRDRMEREKDGKPTAPFVKRDPLSDFPEKPPPGGETTAVLYTTSLGGVRRTFEDCNNLRSILESHRVVFDERDVALHGEFRGELRELLGEAFGGVPRLFVKGRYIGGAEEVVSLNDAGRLGRILSWARVERRVGNQQGCEGCGGARFVPCLDCGGSCKIVNGDKKERCGECNENGLVLCPICH >itb07g01780.t1 pep chromosome:ASM357664v1:7:1081581:1085846:-1 gene:itb07g01780 transcript:itb07g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAGAEKKRVRRSAGSVPNGSNPAGDAPPKKQAAKKDVFQSFAEKVRDHKDLMSRWAVLQETRVEYFRGKDFVSFMRNHPELKDILESDKNLETDDIANTLLRKNLLLRCDRVVKIVRPGKKKLSTWPAHLEIYHDQVFSENDAFFAWTFEKRSALWQTLLSFSWPVLTLAICLFPVYPHRCKLIILYSCASLLLLILCLLLLRALTFGAIWILFGKRVWFFPNILAEEATLEELFQFWPKKDEGEPPKWSARLFFAIVAVVAILVMRHHAPDEAARARYQKRVSNIIDDVIEWSPSLALSGMMDKQTVVNVTEHNNMTEDGEGSTEDIDGESLGELDDIEDSDEDSDSINDLEDSDPQQHHADS >itb13g26630.t1 pep chromosome:ASM357664v1:13:31834129:31836430:-1 gene:itb13g26630 transcript:itb13g26630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHCNSVPCFPCQPACGTTRRPMSPFSLTHFITPQKTPFLATSLNSSSSRMIKGISAVVSDQNAVKSNYSGIDVFKLTYLEGNTWLWEVGGVKILVDPILVGNLDFGIPLLYDAAKKFLKNFQLDDLPEVHYLLITQSLDDHCHLNTLRPLSQKSPNLRVIATPNAKGLLDPLFSDVTYLEPGQGYDIEASNGFTVKVKATAGPVLGPPWQRPENGYLVTSPQGALTLYYEPHCVYNKTFLEKERADIVITPVIKQLLPNFTLVSGQEDAVQLAKLLSAKFIVPMKNGDLDSKGILASLVQAEGTIESFKEILSKELPSAKVLEPTPGVPLDISAPTNES >itb13g19090.t1 pep chromosome:ASM357664v1:13:26094552:26097589:1 gene:itb13g19090 transcript:itb13g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSKTDKSPFRVEEQASTSAPPEPSPLWKIIVVASIAAGIQFGWALQLSLLTPYVQLLGIPHKYSSFIWLCGPISGLIVQPLVGYYSDNCTSRFGRRRPFIAGGAALVISAVILIGFAADIGRAAGDPLGKTSKPRAIAIFVVGFWILDVANNIALLADLSDGSSERTRTANTLFSFFMAVGNVLGYAAGSYPNLHKIFPFALTSACDAYCANLKSCFIISVVLLLVVTVLALVSVNETKYTKQNSQGGEGAKIAFFGELFGALKSLPRPMFILLVVTALNWIAWFPFILYDTDWMAREVYGGQVDDNGLYNSGVRAGALGLMLQSVVLGFMSIGVELLARHLGEVKTLWGGINFILAVGLAMTVLVTKLAAHSRRYDASGNVLPPSPGIKAGALVIFCVLGIPLAVTYSIPFALACIFASDESNGSAGHAGLSLGVLNLAIVVPQMFVSFLSGPWDAVFGGGNLPAFLVGAVAAAISGVLAITMLPSPRPDPKLIVIP >itb06g06870.t1 pep chromosome:ASM357664v1:6:9672084:9673841:-1 gene:itb06g06870 transcript:itb06g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSENGGSAIAMPLLQISHSSKGGFTTLPFIIGNSAFMNMASSAVAADMIVYLMREYHMDMASGSNLIYFWSALTNVAPVIEACMADSSVGRFRMIGFGSVLCFLGTTLLWVLTVIPQARPSPCSESTDNICRSEATTLQLFLLCTSLVLMSVGWGGMKTSLAFGADQLKNLQTKAVGVMEGYFSWYYAVSALSIIVAMTGLVYIQENMGWELGFGVLVVFSFLAALSFFLGSPFYVKPKPKKSLITGFIQVIVASYRNRHLHISSSGQKDSSVSYHSQGTKPILPTQTLRQVNL >itb11g01160.t1 pep chromosome:ASM357664v1:11:529252:529966:-1 gene:itb11g01160 transcript:itb11g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLLAHGCNVFPVLLEVMKTWRSSSHSQAVNCASWWGTLCYNAQITRHLGPNCSHLELRYQYQAARLASDYMWCLHFHTQELPYFGCYPSGCCYDVLYGMKRISSVYPNLDRVRLYPYFLLHGNILYRTEVIEYVFLHDCT >itb03g01250.t1 pep chromosome:ASM357664v1:3:676002:681657:-1 gene:itb03g01250 transcript:itb03g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSSLSKDAATDKSTAKKVPVSDLVEDPPVIPDSSSHNINRGEEKTELDKGTTTGLPVDDAELQRQEQAATKAQAVFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMHAIVRSQALARGRRVRLSNPVSRGLGNYSLGERKDAKPAESNVISASLRSEKLAANAFVCKLLAIVPTAMPLSLQYDVVEPNSAWNWLERWSSSRFWEPLPRPKKNLNAKSQRKHGVQSSEIETGRPKRSVRKAPIASNGDNHGSSSLEPEKPKRIPRKVINHQTESSQEPQSELERVKRNLRKVSASATVASEKSETEIEKVQPPPLEVPKSLTDTEVREQVVVDSSDLTTDSKIEVDELTVTEPSPKPATVDNPVDVLQNHIPVEQLLPENGERGETIPGVMEELTSKEDQTSKESQRTRRRRSLPAKQEQTENISQNAPSLPSYMAATESAKAKLKAQGTPRSTEDEAENGFVRRHSLPSLTNGKLNSLSPRVQRPVQANGKGGNKTNRSVSSTRDDKVLPGWRR >itb03g01250.t3 pep chromosome:ASM357664v1:3:676792:681657:-1 gene:itb03g01250 transcript:itb03g01250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSSLSKDAATDKSTAKKVPVSDLVEDPPVIPDSSSHNINRGEEKTELDKGTTTGLPVDDAELQRQEQAATKAQAVFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMHAIVRSQALARGRRVRLSNPVSRGLGNYSLGERKDAKPAESNVISASLRSEKLAANAFVCKLLAIVPTAMPLSLQYDVVEPNSAWNWLERWSSSRFWEPLPRPKKNLNAKSQRKHGVQSSEIETGRPKRSVRKAPIASNGDNHGSSSLEPEKPKRIPRKVINHQTESSQEPQSELERVKRNLRKVSASATVASEKSETEIEKVQPPPLEVPKSLTDTEVREQVVVDSSDLTTDSKIEVDELTVTEPSPKPATVDNPVDVLQNHIPVEQLLPENGERGETIPGVMEELTSKEDQTSKESQRTRRRRSLPAKQEQTENISQNAPSLPSYMAATESAKAKLKAQGTPRSTEDEAENGFVRRHSLPSLTNGKLNSLSPRVQRPVQANGKGGNKTNRSVSSTRDDKVLPGWRR >itb03g01250.t5 pep chromosome:ASM357664v1:3:676353:681657:-1 gene:itb03g01250 transcript:itb03g01250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSSLSKDAATDKSTAKKVPVSDLVEDPPVIPDSSSHNINRGEEKTELDKGTTTGLPGDSVVLSSVAQGVDSQLTLGTVSVDDAELQRQEQAATKAQAVFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMHAIVRSQALARGRRVRLSNPVSRGLGNYSLGERKDAKPAESNVISASLRSEKLAANAFVCKLLAIVPTAMPLSLQYDVVEPNSAWNWLERWSSSRFWEPLPRPKKNLNAKSQRKHGVQSSEIETGRPKRSVRKAPIASNGDNHGSSSLEPEKPKRIPRKVINHQTESSQEPQSELERVKRNLRKVSASATVASEKSETEIEKVQPPPLEVPKSLTDTEVREQVVVDSSDLTTDSKIEVDELTVTEPSPKPATVDNPVDVLQNHIPVEQLLPENGERGETIPGVMEELTSKEDQTSKESQRTRRRRSLPAKQEQTENISQNAPSLPSYMAATESAKAKLKAQGTPRSTEDEAENGFVRRHSLPSLTNGKLNSLSPRVQRPVQANGKGGNKTNRSVSSTRDDKVLPGWRR >itb03g01250.t6 pep chromosome:ASM357664v1:3:677655:681657:-1 gene:itb03g01250 transcript:itb03g01250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSSLSKDAATDKSTAKKVPVSDLVEDPPVIPDSSSHNINRGEEKTELDKGTTTGLPGDSVVLSSVAQGVDSQLTLGTVSVDDAELQRQEQAATKAQAVFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMHAIVRSQALARGRRVRLSNPVSRGLGNYSLGERKDAKPAESNVISASLRSEKLAANAFVCKLLAIVPTAMPLSLQYDVVEPNSAWNWLERWSSSRFWEPLPRPKKNLNAKSQRKHGVQSSEIETGRPKRSVRKAPIASNGDNHGSSSLEPEKPKRIPRKVINHQTESSQEPQSELERVKRNLRKVSASATVASEKSETEIEKVQPPPLEVPKSLTDTEVREQVVVDSSDLTTDSKIEVDELTVTEPSPKPATVDNPVDVLQNHIPVEQLLPENGERGETIPGVMEELTSKEDQTSKESQRTRRRRSLPAKQEQTENISQNAPSLPSYMAATESAKAKLKAQGTPRSTEDEAENGFVRRHSLPSLTNGKLNSLSPRVQRPVQANGKGGNKTNRSVSSTRDGKNKTVTCLQKLSVYVAALYLIDTFLNIF >itb03g01250.t2 pep chromosome:ASM357664v1:3:676002:681657:-1 gene:itb03g01250 transcript:itb03g01250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSSLSKDAATDKSTAKKVPVSDLVEDPPVIPDSSSHNINRGEEKTELDKGTTTGLPGDSVVLSSVAQGVDSQLTLGTVSVDDAELQRQEQAATKAQAVFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMHAIVRSQALARGRRVRLSNPVSRGLGNYSLGERKDAKPAESNVISASLRSEKLAANAFVCKLLAIVPTAMPLSLQYDVVEPNSAWNWLERWSSSRFWEPLPRPKKNLNAKSQRKHGVQSSEIETGRPKRSVRKAPIASNGDNHGSSSLEPEKPKRIPRKVINHQTESSQEPQSELERVKRNLRKVSASATVASEKSETEIEKVQPPPLEVPKSLTDTEVREQVVVDSSDLTTDSKIEVDELTVTEPSPKPATVDNPVDVLQNHIPVEQLLPENGERGETIPGVMEELTSKEDQTSKESQRTRRRRSLPAKQEQTENISQNAPSLPSYMAATESAKAKLKAQGTPRSTEDEAENGFVRRHSLPSLTNGKLNSLSPRVQRPVQANGKGGNKTNRSVSSTRDDKVLPGWRR >itb03g01250.t4 pep chromosome:ASM357664v1:3:677655:681657:-1 gene:itb03g01250 transcript:itb03g01250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKTVLFGKKSSKSSLSKDAATDKSTAKKVPVSDLVEDPPVIPDSSSHNINRGEEKTELDKGTTTGLPVDDAELQRQEQAATKAQAVFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMHAIVRSQALARGRRVRLSNPVSRGLGNYSLGERKDAKPAESNVISASLRSEKLAANAFVCKLLAIVPTAMPLSLQYDVVEPNSAWNWLERWSSSRFWEPLPRPKKNLNAKSQRKHGVQSSEIETGRPKRSVRKAPIASNGDNHGSSSLEPEKPKRIPRKVINHQTESSQEPQSELERVKRNLRKVSASATVASEKSETEIEKVQPPPLEVPKSLTDTEVREQVVVDSSDLTTDSKIEVDELTVTEPSPKPATVDNPVDVLQNHIPVEQLLPENGERGETIPGVMEELTSKEDQTSKESQRTRRRRSLPAKQEQTENISQNAPSLPSYMAATESAKAKLKAQGTPRSTEDEAENGFVRRHSLPSLTNGKLNSLSPRVQRPVQANGKGGNKTNRSVSSTRDGKNKTVTCLQKLSVYVAALYLIDTFLNIF >itb08g02740.t1 pep chromosome:ASM357664v1:8:2332689:2340037:1 gene:itb08g02740 transcript:itb08g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHQKVEYLDVLTKTGEKTGISKPRGDVHRDGDYHRAVYVWIYAESTQELLLQRRADCKDSWPGQWDASSAGHISAGDSSLVSAMRELQEELGITLPKDAFELIFSFLQECVINDGTFINNEYDDVYLVTTIDPIPLDAFTFQESEVSAVKYIPYHEYRSLLAKEDPNYVPYDVNSLYGQIFDIIEKRYKESVESRSLALQKQLSRYAHVSLSAEITGLNDADKKALALIIKAAKIMDRIFYLQVWYSNPSLRDWLREHAGESQLDKLKWSYYQINKSPWSCLDENEAFLTTADSAVKLLPEATKSVTGWKGLQYRTAFPIIKPPGANFYPPDMDKMEFSLWKDSLPEDQKKEAMGFFNVIKRHSESELDIPISQNRSTPNSSCDLYIVPYCQEYNSLLSEAAKLLHEAGDLTSSLSLRIFLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGVRDDEATAQVKLFGDHLQVLEKNLPMDNVYKSEDVTAAPIRVIRVLYNSGDVKGPQTVAFNLPNDESIVKDRGTSMVMLKNVSEAKFKLILQPIADACITKELREFVDFESFFTHTICHECCHGIGPHTITLPNGKKSTVRLELQELHSALEEAKADIVGLWALKFLIGEGLLPKSLVRSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNYMFEKGAFVLQPDETFSIDFDKVEDVVERLSREILTVQARGDKDGARTLLKKYGVMTPPLQRALQKLETIQVPVDIVPEFPVADQILRESR >itb04g11700.t1 pep chromosome:ASM357664v1:4:11364544:11367997:1 gene:itb04g11700 transcript:itb04g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTKALYVFFFRLLLLINLLSVSFCDDAAVMSKLLAALSPAPSGWSNSTHPCTWGNVSCESGNVVNISLASQSLSGKLPSELTHLASLRLLSVQQNSLSGPLPSFANMSSLGELYLNSNEFSSIPQDFLLGLPSLQIFNISNNGKLSPWQISSYLAESTWLESFSASNASITGNLDLSYNNLTGSLPGSFGSSEIQNLWLNNQQQGLSGTIDVLSSITQLNQLRLQTNAFTGPIPDLSKCINLFDLQLRDNQFTGVVPVSIMGLKFVGITLQNNNLQGPIPDFVYNFKIGLGNGFCKDTPGPCDPQVTALLAVAGGFGYPITLAQSWVGNNACNWSSISCDGHGNVITVTLRKQGFSGTISPAIANLTSLRNLYLNDNNLTGPIPESLTSLPHLQVLQVSNNNLSGPIPVFPPSVNFSHSGNLFLLGSGQNSDAPIPSIHPFFGNSNGSSISAGMFAGVVIAVVIVVVVVFFVSYKCYTKRQHKMKVSVKGIAVSTEIKKRDIVDCGRTFHVHEDGNIAIPIQVLEKATNFFSQENMLGSGGYGVVYLGELDDGTKVAVKKMKDGATLTKGMNEFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQGTLGHHLFEWEKHGFDPLTWKQRVTIALDVARGIEYLHSLAHQSFIHRDIKSSNILLSDDMRAKVADFGLIRKASNDKSSFETLVAGTFGYLAPEYATTGRATNKVDVYAFGVVLMEILTGKKTVDETLPDETCHLVTWFHKIIIRKGHSLRNAIDPTLDLDDQTFESISKVGELAAHCTTNKYFRRPNMEHVVNVLGPFAQKWKPLRPEEIEEKYGGLDLHMSLPLAFDDSSIQSLSFTEAQLNENRLNQSAQF >itb01g26960.t1 pep chromosome:ASM357664v1:1:31962910:31967161:1 gene:itb01g26960 transcript:itb01g26960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQRRGRWFRKIYDNLNGFAIKVKSIDGFQGGEEDIIIISTVRFNSSGSIGFIRSLQRTNVALTRAQHCLWILGNERTLFDSNSVWKGLVLDAKDRQRLFSADEDSGLSKTILDVMKELDQLDDLLNADSTVFKSQRWKVLLNDNFKRSFKNMVTSSMKMAVLNLLIKLAGGWRPKRKGVDLVCETSSQIVKQFKVEGYYIVCTIDIQKETKYTQVLRAWDLLSLDGARKLLKRLDGMFARYTDDFINLCKQKCLDGDLEVPKSWSASLDLVRFKNRGERLADSSNDCVVDGEE >itb08g03600.t1 pep chromosome:ASM357664v1:8:2921411:2923459:-1 gene:itb08g03600 transcript:itb08g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCHKAEACVFCVADEAALCQSCDRRIHHPNNNHPTLSLLQPSPKHSPLCDICQERKAFLFCQQDRAILCRGCDVGIHKANDHTQKHNRFLLTGVKISATSSAPHSSSSSSSSSSPTNHTQNPTNIKPAVSPYDGDKGGGNGELMMTSSSISEYLQMLPGFHVEELLDSSYGFCKIGDDNDVLPFWGSDDLESNLSTFSSEITPATTAYGGIKNSMEAANKSSRKWRDNNNNSFAVPQISTSPFTFKKSRTLC >itb07g16020.t1 pep chromosome:ASM357664v1:7:19184309:19186417:1 gene:itb07g16020 transcript:itb07g16020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAERKTVTVAPSPSATPLATVRRSYSSPNCFHSCSPRCSLAICRCKSRKTTGGYSEDMIPRLAYSYVNESSY >itb15g07090.t1 pep chromosome:ASM357664v1:15:4766496:4768631:-1 gene:itb15g07090 transcript:itb15g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVFFLIITPSLGFATDDEHAGRDCLPECDKDLLEFALNLEYLEAEFFLWGAFGYGLDKHAPYLTGGGPAPIGVKEAKLCPLVKDIIGQFGLQEVGHVRAIKETVPGFPRPLLNLSAEVFAAGINEAFGKHLEPTFDPYANDINFLIACYIFPYIGLTGYVGANPKLDSYFAKMLVAGLLGVEAGQDAVLRAFLYERKDEEVKPYGITVAEFTNRISDRRNKLAGVKDEGLVVEPSQGPEGICSGNNLVGDGNSLAYDRTPEEILRIVYGTGKEYKPDALYPKGADGKIAKSYLVKTLSSE >itb10g25550.t1 pep chromosome:ASM357664v1:10:28823270:28826304:1 gene:itb10g25550 transcript:itb10g25550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEDQSEQVKDESTIAASSPSPADDRPVSTIVFVIAMQTEALPLVNKFQLVEDVDPPFPKGVPWVRYYGNYKDLKLNIVCPGKDTVLGVDSVGTISSSLLTYASIQALKPDLIINAGTAGGFKAKGASIGDVFIASHAAFHDRRIPIPVFDLYGVGLRQAFPTPNLLKELNLKVGKLSTGDSLDMSPVDESSITANDATVKDMEGAAVAYVSDLLKVPAILLKAVTDIVDGDKPTAEEFLQNLAAVAAALDEAATQIVDFISGKSLSEL >itb01g28030.t1 pep chromosome:ASM357664v1:1:32572441:32588321:-1 gene:itb01g28030 transcript:itb01g28030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT5G16715) UniProtKB/Swiss-Prot;Acc:F4KE63] MAGCSTSSLLSISPSSCSSLYRGFNPLLGSRQRRVFVFFSRPRLRARRTFALSAANNGVFTSPEIAKSFDFSNEERIYKWWESQGYFKPSFDRGSDPFVVSMPPPNVTGSLHMGHAMFVTLEDVMVRYNRMKGRPTLWLPGTDHAGIATQLVVERMLATEGIKRADMDRDDFTKRVWEWKEKYGGTITNQIKRLGASCDWSRERFTLDEQLSRAVVEAFVRLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGTLFYIKYRVAGMPRSDFLTIATTRPETLFGDTAIAVNPEDERYSKYIGKEAIVPMTFGRQVPIICDRYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSDLEESGLAVKKEAHSLRVPRSQRGGEIIEPLVSKQWFVSMDSLAEKALQAVEKGDLTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIAGKDPEEEYIVARSAEEALVKAQEKYGRNVEIYQDPDVLDTWFSSSLWPFSTLGWPDESAEDFKCFYPTSVLETGHDILFFWVARMVMMGIEFTGTVPFKNVYLHGLIRDSQGRKMSKTLGNVIDPLDTIREYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFILQNLPRQDDQAAWDMLLSCKFNDMDSVLKLPLPECWVVSKLHMLIDAVTTSYEKLFFGDVAREIYDFFWADFADWYIEASKARLYHSGDGSISYIAQAVLLYVFENVLKMLHPFMPFVTEELWQALPRREESALIVSAWPPTLLPRNADSIKKFENLQALTRAIRNARAEYSVEPAKRISACIIANPDVNQYISSEKEVLALLSRLDLHNINFMESPPGDANQSVHIVASEGLEAYLPLADMVDISAEVQRLSKRLTKMQAEYDGLMSRLSSPSFVEKAPEEIVRSVREKASEAEEKLTLTRNRLDFLQSTVSVAK >itb09g31160.t1 pep chromosome:ASM357664v1:9:31666685:31668301:-1 gene:itb09g31160 transcript:itb09g31160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWAMRHHGYLTWEARMKVLLGTAKAYVAPEYANSGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPADEVNLVDWLKMMVASKSSEEVVDPFIDTRPSTRAFKHALLTALRCVDPDAHKRPTMSQVVRMLESEQYPIRQEVHFYL >itb01g33330.t1 pep chromosome:ASM357664v1:1:36485582:36486637:1 gene:itb01g33330 transcript:itb01g33330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGAAEKRKHSRQSQQEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFHLRGPSARLNFPDCIARDDQLRDLSAADIRKKATEVGARVDALQSALHASAAEPTSPTLNQNRVVLFKPDLNEYPSPESSEEDI >itb15g10450.t1 pep chromosome:ASM357664v1:15:8233060:8237762:-1 gene:itb15g10450 transcript:itb15g10450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRRRLYYYNPTTTLSFNTFAASILHIEEQHIHRPIATNPQLAKESFQDRYNFNHASSQSSASNHFGTTALPEIQSSFSALFSGKYGYLVEKYRFLIPVNDAKHLHLEIVKNGYSQELFLCNYLIHLYVKNGDMAAARDLFDEMPDRNAITWASLITGYAKNDMPNEACESLRQMVRSGFVPNHYSFGSALRACQSLGACGLRLGMQIHALVLKTCYLIDEVICNVLISMYRCCGDPGEYAWSVFCGIEAKDSVSWNSIISFYSQRGDSASAFKLFSFMQNESMEFYSKPTEDTFGSLISAAASHGNCGLLLLEQVLGRIEKSGFLKDLYVGSALVCSFGRFGCLDTALKIFKQMGVRNVVSMNGLMVGLIQLKRGEDAAKVFVETRDMVEINYSSFVVLLSAFPEFSLLEEGKQRGKEVHAYALRTGLYDSKVAIGNGLINMYAKCGAIEEARSVFNLMIDKDLTSWNSLISGLDRNECFEDAVWGFRTMRSIGMMPSNFNLISALSSCGSLGWIKMGSGIHCEAIKLGLDLDVSVSNALLALYADVWFICECRKIFSLMPEHDRVSWNSIIGALSDSEASIFESIEYFIEMMRTGWRLNRVTFINVLSAVSSLSLLGLASQIHALVLKYNAMDDVAIENAFLTCYGKCGQMDDCEKIFSRMSDRRDDVSWNSMISGYIHNELLTKAMGLIWLMLHNGQRLDCFTFSTVLSACASVATLERGMEVHACGIRACLVSDVFVGSALVDMYSKCGRIDYASRFFKLMPERNVYSWNSMISGYARHGHGHEALEVFRKMKLEGQPPDHVTFVAVLSACSHIGLVEQGFDHFESMSRVYDLTPRIEHFSCMVDLLGRAGELDKMEDFIQTMPLRPNTLIWRTVLGVCSRASGRKRDLGRKAAKMLMELEPQNAVNYVLLANMHASGGKWEDVAQARRSMREATARKEKGCSWVSMRDGIHVFVAGDKSHPDTDAIYENLRELHKKMKLVGYVPQIKYALYDLDLENKEELLSYHSERIAVAFVLTRKSEMPIRIMKNLRICGDCHDAFKYISQIVGRQIILRDSIRKLPLQHYFGTGIRRLRRPHIDAILMRHVETKTCSEVTADLVRSFVHMQRQDQLLAKAKQKQEDLSKNARFEQIWKSRRGKTDDEALGFLNSNTVLFDSSKFCPYNTILFDHSDPSINTVLTAPTDKARVALMDFIIFPRRWLVAEHSFGPPYYHRKCMGLIYGGY >itb15g22730.t2 pep chromosome:ASM357664v1:15:25498222:25500047:-1 gene:itb15g22730 transcript:itb15g22730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVQLGVLAACVVLFVPLVMAGWHLSRNKMLFFSCALFITLSVGVHLAPYFPAISSFLSSPGLQSSSSLSSYSNPDSCISLLHQVAFSSNSSDLYDKSWEWKWVHSEPLDQCEFQKLGKSDASDLLNGSWVVVAGDSEARFVAVSLLELLLWPYEIELIRADLFKRHSDYSTVVGKIGMKLDFRWAPYVSNLTSLMLEFKERNNYPDVLVMGSGLWDMLHVNDASDYGVSLKLLRDSLVMSLPVYLDSVSDDKPRTGMVSARSPQLFWLGMPMLINSMLNTNAKRERMTDAHWQAYNDELYRSKLLLQFGGPFFLLDFHTLTHNCGAECTTDGMHYNGIVYEAAVQIMLNGLLIESNQKL >itb15g22730.t1 pep chromosome:ASM357664v1:15:25498016:25500047:-1 gene:itb15g22730 transcript:itb15g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVQLGVLAACVVLFVPLVMAGWHLSRNKMLFFSCALFITLSVGVHLAPYFPAISSFLSSPGLQSSSSLSSYSNPDSCISLLHQVAFSSNSSDLYDKSWEWKWVHSEPLDQCEFQKLGKSDASDLLNGSWVVVAGDSEARFVAVSLLELLLWPYEIELIRADLFKRHSDYSTVVGKIGMKLDFRWAPYVSNLTSLMLEFKERNNYPDVLVMGSGLWDMLHVNDASDYGVSLKLLRDSLVMSLPVYLDSVSDDKPRTGMVSARSPQLFWLGMPMLINSMLNTNAKRERMTDAHWQAYNDELYRSKLLLQFGGPFFLLDFHTLTHNCGAECTTDGMHYNGIVYEAAVQIMLNGLLIESNQKL >itb10g03980.t1 pep chromosome:ASM357664v1:10:3750111:3753425:1 gene:itb10g03980 transcript:itb10g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNSPSAVEREQIFGMAEKEMEYRVELFNKLTHTCFKKCIENKYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSGRPPM >itb14g01230.t1 pep chromosome:ASM357664v1:14:960911:964615:-1 gene:itb14g01230 transcript:itb14g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTTILPLTPITPPHLRSHAPYLTQFLPRTSSMTRLKPLFLRRNHLRRLAVSAAAVRQDTTVWTPAPLSTVSPAAESLFHVTIDVSDFPDLAVSHTKAGQYLQLRVPDAEKPSFLAIASPPSLAAAKGVFEFLVKSVPGSTAELLCKLQKGDVVELSPVMGKGFDIDRISPPETYQTVLIFATGSGISPIRSLIEGGFGADQRSDVRLYYGARNLDRMAYQDRFKNWESSGVEIVPVLSQPDDSWTGESGYVQAAFAKAKKLFTPQSTGAVLCGQKQMAEEITSVLLEDGVSAEKILKNF >itb05g11780.t1 pep chromosome:ASM357664v1:5:17988333:17990297:1 gene:itb05g11780 transcript:itb05g11780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVIAERRSGESIHQDFLESLIRKKGKECSEDKLSDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPAVLECLRKEHLDIIAKRDGTSTLTWAEVNNMPYTLKVISETLRMATILPWFSRKAAQDFEIDGCKIKKGWSINLDVVSIHHDPEIFPEPEKFNPSRFDENLKPFSFLGFGSGPRMCPGINLAKLEICVFIHHLVCRYRWRPLERDDSVQPTLVRMPKNKYPVMVESL >itb08g14780.t1 pep chromosome:ASM357664v1:8:16566322:16568983:1 gene:itb08g14780 transcript:itb08g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGFGRGFGGRGRGGDRGGRGRGGRRGRRDTEEEKWVPVTKLGRLVKEGKIRTLEQIYLHSLPVKEYQIMDTLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWTETKFSRSPFEEFTDILAKPTTKYVQIEEVTDKVDA >itb03g17920.t1 pep chromosome:ASM357664v1:3:16324231:16325919:-1 gene:itb03g17920 transcript:itb03g17920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKLQAMALMKLSCLLFFLFASAMAANQPPLKVGFYRRSCPKAEAIVKNVVSKAVSSNPGIGAGLIRIHFHDCFVQGCDASVLLDGSDSEKEALPNKNSLRGLEVIDAAKSKLEAACPGTVSCADVVAFAARDSSQKLGNIYYDVPAGRRDGRVSSKDEAAANLPSPFAAVQELFVKFANKGMSLDEMVTLSGAHSIGIAHCAVFANRIYPQNSGERLPLDGKLAAVLKSICPPEALTAGTGVANQTNLDVLTPNRLDNRYYAALKGKKGVLISDQTLTEDPRTAKMVDFNARNGGAWAKKYAAAMVHMGNLDVLTGERGEIRKNCHFVN >itb06g13640.t1 pep chromosome:ASM357664v1:6:18339028:18342296:-1 gene:itb06g13640 transcript:itb06g13640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSPTGTALTASASGQSKRPLSYPKPQAEFQEIVRNPQLFWQMLQAFHVSLGAKYLVPTVAGKPLDLHRLFVEVTVRGGIEKVIRDRRWEDVIGAFTFPSYVTSASFILRKYYLSMLYHFEQVYYFRKEEPSSVRDPTDKTFGALETGHPIDGDATVNPLSGTPSIEVGDSVVGTIDAKFDYGYVISVNMGPEIFNGALYHKAMQPDSSQSASTSTGPAKLNRKKHQLALTDPSRPRQNLSGYNFFFAEHYARLKPAHHGHVRAISKEIGMLWSRLSEAEKQVYQEKGLRDKERYRTEMLEYKSSQPVQIQ >itb05g21900.t1 pep chromosome:ASM357664v1:5:27506271:27508667:1 gene:itb05g21900 transcript:itb05g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFKFLFIPIIFAVTTRVAAQLRPATDITSGSKLYPGQPWLSPSGLFAFGFYPQGDGFQVGIWLQGTSQRTVVWTADRDAPPVQSDSYLEFKTGRLILWTKNGRKLIVDFPLSESETSAASMLDSGNFVIYHGTRVIWQSFDLPTDTILVGQHLVLDKRLVSSVSTSDTSTGRFFLSIQHNIDWGIGGVGVVAYPLNSLTKPEDSYWETGAFDKVDGLFVSVDPSGQLFMNVSRGNRSEVESIANSSSDDKSNRTVIYRATLDPDGILRLYSHRFGGTDNSTTIEWSAIQNQCKVKGFCGVNSYCRAISGGKGDCSCFPGFQYFNPKMKYQGCYRGFVYEELCRKEDLNLFYNVTRIENLTLGGYPFSSLLMLGAECQKSCQEDCTCWAAQNRAIQYQKLSEKANLGLNEEFTLRSFSYSELDRATDGFKEELRHSDFGKVYKGTISDGDKTVAVKRLEKSGDEGENEFRAEITAIGQTYHRNLVQLLGFCLEGSRKLLVYEFMSNGTLADVIFNTTMRPFWKQRVKLAQDIARGILYLHEECETCIIHCNIRPQNILVDDSWTAKISEFGLARLITQSQNGNPTVVSWTNGYLAPELQSNALISEKVDIYSYGVVLLETICCRSYLEVNVTTADEILLSTWVSKCFMENNLQKLVGEEEIDMKSLERMVKVGLLCIQDNPDLRPSMKGIVLMLEGTMDIPLCLPAITP >itb03g25240.t1 pep chromosome:ASM357664v1:3:24186302:24187243:-1 gene:itb03g25240 transcript:itb03g25240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQAHLALFLFFLFFSYLALSLLADSPENMDPAGDGGLIDSDEEGEKMTMDWESSTRMLYGLGKYVSYYHIIDMEFIPCDRRGQPYYFCKSRGPVRPYARGCTRFTRCGRGRFR >itb09g24090.t1 pep chromosome:ASM357664v1:9:23725736:23727617:-1 gene:itb09g24090 transcript:itb09g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKERRRHYNGGRSHRSGVPNSEELGDNREYSPLSAVRRETEAASALPLATVNQTPELLHRLRRGLLLRSLPNSRPEKLGALEWNKIVNDHQGWRLFTCIWLHAGVVHLLANMLTVELDFYWNSP >itb15g07510.t1 pep chromosome:ASM357664v1:15:5148955:5151068:-1 gene:itb15g07510 transcript:itb15g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTAGSSLKGVWLGNELGRSSFWWKNDGALRRTEICFLGLPNGNHTAKISIFSSLPLPFLRKSIHPVLAAASAVSSPTLDTQEQFEGEEMDSAYGLKTVHVKFQLQKECSFGQQFLMVGDDPMFGAWDPSKAVPLTWSEGHVWTLEMDIPTGKSIVYKFLLKEADKDTILWQPGPDRVVETWETSNTITVCEDWDNAELQNIIEGGVLDGEAEESAIYAGMLIAEKSSGNEHGSLVADNISKACTGSSDCGQGQDNISRVEKEVNAGNASEAAGLVFDEETPVLVPGLNQIFGVESELEPLKEDETVSEGEGLAGSINAPEISDMP >itb15g07510.t2 pep chromosome:ASM357664v1:15:5148955:5151068:-1 gene:itb15g07510 transcript:itb15g07510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALTAGSSLKGVWLGNELGRSSFWWKNDGALRRTEICFLGLPNGNHTAKISIFSSLPLPFLRKSIHPVLAAASAVSSPTLDTQEQFEGEEMDSAYGLKTVHVKFQLQKECSFGQQFLMVGDDPMFGAWDPSKAVPLTWSEGHVWTLEMDIPTGKSIVYKFLLKEADKDTILWQPGPDRVVETWETSNTITVCEDWDNAELQNIIEGGVLDGEAEESAIYAGMLIAEKSSGNEHGSLVADNISKACTGSSDCGQGQDNISRVEKEVNAGNASEAAGLVFDEETPVLVPGLNQIFGVESELEPLKEDETVSEGEGLAGSINAPELNSNEEFVTGKETSEMVFSKQPSELSVVESDLQWGRTTLHKLLTNLGWL >itb12g10170.t1 pep chromosome:ASM357664v1:12:8224390:8226907:-1 gene:itb12g10170 transcript:itb12g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVCLFVKRFIFVLAAFCWVSGDVALAKHAGITRHYKFDIKMQNVTRLCQTKSIVTVNGQFPGPRIIAREGDRVVVKVVNHVKYNVTIHWHGVRQLRSGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHISWLRATLYGPIVILPKKGVAYPFPQPFKEVPIIFGEWWKADTEKIISQASQTGGAPNISDAFTINGLPGPLYNCSAKDSFKLKVKAGKTYLLRLINAALNDELFFSIANHSLTVVEADAVYVKPFKTNIVLITPGQTTNVLLKTTKHHPNATFLISARPYATGPAPFDNSTTAGILEYSTNKTIITTKKLPLFTPILPVFNDTSFATNFTKKLKSLANANFPANVPKKVDRRFFFTVGLGTSPCPQNQTCQGPTNNTKFAASVNNISFVQPSTALLQAHFFKQSKGVYTTDFPANPPVKFNYTGNPPSNIMVTSATKVVQLSFNSSVELVMQDTSIIGAESHPLHLHGFNFFVVGQGFGNYDPNKDPAKFNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVMDGKGRKQKLPPPPADLPKC >itb08g02700.t1 pep chromosome:ASM357664v1:8:2292331:2296287:-1 gene:itb08g02700 transcript:itb08g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMSAVGSNGGEAWRAHATMAMIQVLYGGYHVITKVALNVGVNQIVFCLYRDLIAISILAPVAYFRERRLRPPLNRQLVISFCILGLTGIFGNQLLFLLGLGYTSPTYAAAIQPAIPVFTFILAVLMGTETVKLLTTEGQAKIGGTVVCVSGAVVMALYRGSTILGYKEPDFSAQNEISARGQPEPSGWLMSGLLELGFDNFHLGVLCLIGNCMCMAAYLAIQAPILRKYPANISLTAYSYLCGTILMGVTAFFTTNLSADWKFTQSEVIAVCYAGIIASALNYGLLTWCNRILGPALVALYNPLQPAASTILSTIFLGSPIYLGSILGGLLIIAGLYLVTWASYREKQSSMDPPSSLEGYRAIEAIEDDNS >itb08g02700.t2 pep chromosome:ASM357664v1:8:2292331:2296287:-1 gene:itb08g02700 transcript:itb08g02700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMSAVGSNGGEAWRAHATMAMIQVLYGGYHVITKVALNVGVNQIVFCLYRDLIAISILAPVAYFRERRLRPPLNRQLVISFCILGLTGIFGNQLLFLLGLGYTSPTYAAAIQPAIPVFTFILAVLMGTETVKLLTTEGQAKIGGTVVCVSGAVVMALYRGSTILGYKEPDFSAQNEISARGQPEPSGWLMSGLLELGFDNFHLGVLCLIGNCMCMAAYLAIQAPILRKYPANISLTAYSYLCGTILMGVTAFFTTNLSADWKFTQSEVIAVCYAGIIASALNYGLLTWCNRILGPALVALYNPLQPAASTILSTIFLGSPIYLGSILGGLLIIAGLYLVTWASYREKQSSMVTIPHTNRSSDPLIPRESSLNKISYQIGQIFSGPSTSIPKMMD >itb02g06930.t2 pep chromosome:ASM357664v1:2:4331457:4334017:1 gene:itb02g06930 transcript:itb02g06930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPAIDARRNSTSSNNEYEQVFERYNYEDYKAQFQFILDAMKDITKRTTFNDPIINPAEAAKENQKESWKMGDPPPPKGWMQIFGRTLNKISSELTSKTKTDDEEAKLARILKALSNYSLKAKVVMVLAAFSVKYGKVCLSSGLYPVNPVARAVVSLRRQQSVLDRPQYLTQWHTQVTGIIKKMLDVSDRILNGSPMGGGISGNEAVQKIIQCAIVCSSQMMAILTPDAHNRIPEYVLL >itb02g06930.t1 pep chromosome:ASM357664v1:2:4331457:4334017:1 gene:itb02g06930 transcript:itb02g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPAIDARRNSTSSNNEYEQVFERYNYEDYKAQFQFILDAMKDITKRTTFNDPIINPAEAAKENQKESWKMGDPPPPKGWMQIFGRTLNKISSELTSKTKTDDEEAKLARILKALSNYSLKAKVVMVLAAFSVKYGKVCLSSGLYPVNPVARAVVSLRRQQSVLDRPQYLTQWHTQVTGIIKKMLDVSDRILNGSPMGGGISGNEAVQKIIQCAIVCSSQMMAILTPDAHNRIPDKLPEPYKWNLCALENELKTMLGENKGDKTHKTGPDQVDSSTKPHVQKETAAANKEKNQGKSKGRSSSRVKPANLCC >itb10g07400.t1 pep chromosome:ASM357664v1:10:8738475:8739836:-1 gene:itb10g07400 transcript:itb10g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILPPNLEDIHLDGCESLEMLPELPLNLERIRLTSCKNLKMLSGFPSNLSDIFLGGCEKFEMLPELPLNLSEIRLHDWKNLKMLPELPHNLRKIILYRCKDLKMLPELPLDLYKISLSSCRNLKMLPKFPPNVTKIYLDDCQNLKLLPELPPGLQNLIVTNCELIEKVSNLSNCTGLRHLHLISCKKLKEFKGWENLHSIRTIEFRGVPHTDFSESIKKVLIRSMNSNGSFDCSLTYNEIPDWIRCRKERSSISFQYPSSNLNNYTLEFYGFVFWVVFNPAPLLPPLYCHYDIRIEKHDSKAFPPTWYNHHGIQLEVEGISFLHVITANDLYDYYGCGDIKAGEVIKATPIIEIYEGLYRDGIRSSLGEANLVKKIGVKASVVKKIGIEALYIDKDGSLQLLPLTKVG >itb05g21560.t1 pep chromosome:ASM357664v1:5:27257712:27259304:1 gene:itb05g21560 transcript:itb05g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMGDVWSQLGPSLTALVFIWTLYRNYFPPELRIYITTYTHKVYCFFYPYIHITFHEFEGDGTFISLFDRNKVFVAIERYLGNNSSENAKRLVAKGIKDSSQSVVLSMDDHEEVVEVYQGVKVWWSSNQKPPKGQTISYFPREDDKRYFQLKFHRKNRDIITRSYLKHVLDEGEAIAVKDRKRKLYTNNKSDHWHGWRSTKWSHVVFKHPSTFNTLAMEPTRRQQIMDELINFSNSKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANLLQYDVYDLELTAVKDNSELRKLLIETSDKAIIVIEDIDCSLDLTGQRKKKEEEEKDKEKEEKDPIKKDLLKEIEKKKGSEVTLSGLLNVIDGLWSAIGDERIIIFTTNYADKLDPALIRRGRMDNRIELSYCCFEAFKVLANNYLDVEYHQLFPEIKRMLGETKITPADVAESLMPKSAGEEADTCLKRLIKSLEAAKEEARLRAEEEEKIKAAKEEEDRKKKQAAEDNKKAESLVTENGNVKENGNAKENGNTKNDN >itb15g06130.t1 pep chromosome:ASM357664v1:15:4020239:4023166:-1 gene:itb15g06130 transcript:itb15g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASLLSPTAATPFNQKSPNKLICFSPSKPTLSTLILPLSHHSKRKTLCLNAFFDGSSSYLDIALSRFPGFESGYTQFQRVAEELPEGQRWGLLVFAGLSWIYLTARPGVLIGAIDAYILAPLQLGLDSLSGRRSLKMTDFLVGDKLGEGSFGVVYSGVVVPKNVTIDERIKKSRSQRALASDPRFKEKVILKKVKLGVQGAIECGEFEEWFNYRLSRAAPETCAKFLGSFTAEKTNSQFTKGEKWLVWKFEGDRDLADYMKDRAFPVNLESAMFGRVLQGMDSIERNALIIKQIMRQIIASLKKIHDSGIVHRDVKPSNLVVTKKGQIKLIDFGAATDLRIGKNYVPNRGLLDPDYCPPELYVMPEETPKPPPEPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAIPGLRSTAGLKNFNLELKQAGYDLNTWRERCRSRFDFSILDLDSGRGWDLATKLISDRGSLRRNRLSASAALRHPYFLLGGDQAAAVLSKFSFTK >itb05g01520.t1 pep chromosome:ASM357664v1:5:1262379:1265346:1 gene:itb05g01520 transcript:itb05g01520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAATASANSLSSAFFSRQSFPVSSNHNFKCGKIEKKFTRGGFPKIRAVFELKPPPYPMNALEPHMSRQTFEYHWGKHHRAYVDNLNKQIAGTELDGASLEDIVLVTYNNGDMLPAFNNAAQAWNHAFFWESMKPGGGGDPSGELLELINRDFGSFETFVKEFKAAAATQFGSGWAWLAYKANKLNVGNAVNPVPSDEDKKLVIVKTPNAVNPLVWDYSPLLTIDVWEHAYYLDYQNRRPDYISIFMENLVSWEAVSARLEAAKARAIEREQEEKRRLKEEEEGELRSTDPSEVFIESDTDSEAESE >itb12g10500.t1 pep chromosome:ASM357664v1:12:8625010:8627151:1 gene:itb12g10500 transcript:itb12g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAVNSKAYPLADAQLTTTIMDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQITQLKDATY >itb12g10500.t2 pep chromosome:ASM357664v1:12:8625010:8627151:1 gene:itb12g10500 transcript:itb12g10500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAVNSKAYPLADAQLTTTIMDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQITQLKDAIEKLLI >itb04g00820.t1 pep chromosome:ASM357664v1:4:445029:449296:1 gene:itb04g00820 transcript:itb04g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYPNVPPSPEFFYHFAGDPTKTGLPALHSNQPVTVMNTSAVPASENSNSVGLLPEDSNKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGASEHVPPPRPKRKASHPYPQKAPKSGPPSSLLEPGYVIRPDSLSVPANSIGNAVLSHWSYSNVPGFSISHVTKDDIQLLGTAMSKNCSSSSNESTPRIWRTNRTNRTSNQGKEKQNKQRAMPDFAQVYSYIGSVFDPNSSDHLQRLKQMDPINIETALMLMKNLSVNLISPEFEDHRRLLLSNNLGADKLSQVLS >itb09g13110.t1 pep chromosome:ASM357664v1:9:8472854:8479910:1 gene:itb09g13110 transcript:itb09g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSKLDLGHYSMSNPLIRPTSKPYFSYGFSCFNHGRKPGSRLVVPQALDKRKSTPRLKNESPGEENENLFRWVARGVLGFAAAASVCSNFPVLAETITVAFPVSHTPEVNTVQRTLVEAWGLIRESFVDPTFNHQDWDLKLQETMVEMFPLRSEDAAYNKIRGMLSTLGDPYTRIISPKEYQSFRIGSDGNLQGVGLFISIEPKSGHLVVLSCVENSPAARAGIHEGDELIEINGEKLDGIDGEAAAQKLRGRAGTTVTVKVHSGNVSGRNDLREVKLPREVIKLSPISSAIITHRLSDGRLSKTGYVKLSTFSQTAAVEMENTVQEMENQGVQSYILDLRNNPGGLVKAGLDIAQLWLDGDETLVNTIDRDGNMQPISMVDGHAVTHDPLVVLVNEGSASASEILAGALHDNGRAVLVGHKTFGKGKIQSVTQLHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTVEVLNSPKDLEADSCIMVAEHELDIVYNLVHLS >itb09g13110.t2 pep chromosome:ASM357664v1:9:8472854:8479910:1 gene:itb09g13110 transcript:itb09g13110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSKLDLGHYSMSNPLIRPTSKPYFSYGFSCFNHGRKPGSRLVVPQALDKRKSTPRLKNESPGEENENLFRWVARGVLGFAAAASVCSNFPVLAETITVAFPVSHTPEVNTVQRTLVEAWGLIRESFVDPTFNHQDWDLKLQETMVEMFPLRSEDAAYNKIRGMLSTLGDPYTRIISPKEYQSFRIGSDGNLQGVGLFISIEPKSGHLVVLSCVENSPAARAGIHEGDELIEINGEKLDGIDGEAAAQKLRGRAGTTVTVKVHSGNVSGRNDLREVKLPREVIKLSPISSAIITHRLSDGRLSKTGYVKLSTFSQTAAVEMENTVQEMENQGVQSYILDLRNNPGGLVKAGLDIAQLWLDGDETLVNTIDRDGNMQPISMVDGHAVTHDPLVVLVSLSSPSQLIKEQLRSRERRQLDILLNHVNLVCYNFLFLFLFISTKCLLIS >itb04g05640.t1 pep chromosome:ASM357664v1:4:3665654:3667294:-1 gene:itb04g05640 transcript:itb04g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYVVKIQVPKYNLLMVAMVQEEGSSSIGSSPLQYFSMMSLSPGIGSPYPWLGEMRSERRGLFLVHLLVTCVNHVAAGNIENANIVLEHISHLAASDGDSMQRVAAYFNEALADRILKGWPGLYKALKSTRITSAADENVVQKMFFELCPFLRLSYVITNEAIMEAMEREKVVHIIDLNAFEPAQWISLLQAMSVRPEGPPHIRITGINEHKEVLEQMAHQLNEAAEKLDIPFQFNPIVSRLESLSIESLPVKMGEAIAISSVLQLHPFLAFDDEMLQRNTPPVVSRHANSVHLQRILQVNPRTLGDFLEKEVANPYGASPDSTSSSPLPLATAPKMMSFLNSLWSLSPKIMVMTEQEANHNGFSLMDRTMEALNFYAALFDCLESTIPRASPERQKIEKMVYGEEIKNIISCEGLERKERHEKLEKWIPRLELSGFRKVRLSYHIMMQGRRLLHSHNYDGYNLKDQDGCFLICWQDQPLFSVSAWRFQRYS >itb11g03170.t1 pep chromosome:ASM357664v1:11:1629665:1630419:-1 gene:itb11g03170 transcript:itb11g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESNGYAVKVVIINTEYIETDARSFKAVVQRLTGKESNVAAAAKAGFNGQRKVNSGGGISTAAKTSHQQLHLATAHLAFNDFDCDRLLFNKEMSPLDELYALYAQS >itb05g13440.t1 pep chromosome:ASM357664v1:5:20415007:20418932:-1 gene:itb05g13440 transcript:itb05g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTITTCCTIFLLYAFAVLRSLQAEPTRPPFSCDSSNALTSSYPFCNSSLSIPERVGDLVSRLTLEEKISQLVNSAAAIPRLNISAHEWWSEALHGVSRHGRGIRFNGTVHAATMFPQTILSAASFDERLWYRIGQAIGREARAMYNAGQAMGMTYWAPNINIIRDPRWGRSQETAGEDPPMVGKYGVAYVRGIQGDSFEGGALKDHHLQASACCKHFVAQDLDNWHNVTRYVFDAKVGEQDMADSFMPPFKRCVEEGKASSIMCAYNLVNGVPNCANYDLLTKMARQQWGFQGYIVSDCDAVQIIHDQQGYAKLPEDAAALVLKAGMDLNCGSYLSKYTMLAVEKKKVQESDIDRAVNNLFSVRMRLGLFDGDPKELEYGDIAPKEICSQEHRDLALEAVRNGIVLLKNSAGLLPLSKTQTASLAVIGPSANASEPLLGNYEGFPCKNVTFLQALQSYVSNTMYHQGCDFVNCTSTATDEAIKIAEVADNVVLIMGLDQTQEREKLDRTDLILPGKQESLISDIAEVAKKPVVLVLLSGGPIDVSFAKENPKIGSILWIGYPGEMGGIALAETIFGDNNPGGKLPSTWYPQDFIKIPMTDMRMRPENSTGYPGRTYRFYTGPKVFEFGYGLSYTNHLYKFTSVTQNNLHLTHNFSSTPKRSVSVSEIQPEICRVAAFSVKVRVQNDGKIASKHPVLLFLRQDRQGQRDPIKQLVGFQSVKLSAGESSEIEFVVNPCEHFSKASENGSMVIERGKYFLVVEDEEYPISVVT >itb01g24190.t1 pep chromosome:ASM357664v1:1:29895422:29899414:-1 gene:itb01g24190 transcript:itb01g24190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQESAMYKQESAEYESCERWRIRGNQAFKDGDVSKAENLYTEGIECCVEPLLLCYSNRAVALTSLRRMREAINDFAVALALDPSYLIAKLRAATCYLLLGEVQEAMQYYKSCMESRMGVCLDRRIAIEAADGLQKAEKVAESLHRSAELLKQKTCDAASRALEIIADALSISSYSEKLLEMKGESLCKLQKYDEAIKLCEQTLDFAEKNFALHDTKNTNDSVRLWRWRIMSKSYYHLGKLKAALDLIKKQEQVIFVNDGSGNESQKSLIPLGTTIRELLAHRKAGNKAFQSGKFAEALDHYNAAISKSVESQPFAAICFCNRAAAHQGLGQIIDAIADCSVAMALDENYAKAASRRATLHEMIRDYVDAVTDLKRLISLLENEAQEKAGSFSEVLRKARQRLSSVEEKAKSQIPLDLYLILEIKSSDPGSVIKKAYKKAALKHHPDKVVQLLARSVRLNDGRLQKDISEKVKKDADSLFKMIGEAYDVLSNTAKRKEYNYEEEIRQSQTGEEFA >itb13g13140.t1 pep chromosome:ASM357664v1:13:19676826:19683014:-1 gene:itb13g13140 transcript:itb13g13140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPTTKQQKITEDNTHHHEMDLGFWKIRALDTKYQSFSNNKPSCNFDLSLSNHLNHSSSSSPPSVPNNPNIFHYHHPNTIHQNNLYSHHLFQHHHHQQQQQQTGPDFGFLRPIRGIPVYYQTPSSNSSHPFPFPHIPFDNNSVTATSSSTAHQHHHHHHQTSAGLMRSRFLSSRFPAKRSMRAPRMRWTSTLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAAATSGQSEVFDNGSSGETSEDLTLDIRTSRKSDEFSVQQRRTNMHSNQDNNDNYHHVLWSNSSSRESWLHSKPSDNRGNMPSLEKDMEPKCLSYDRVSAEVSSSTITETSPKKPNLDFTLGRPSSQL >itb01g02140.t1 pep chromosome:ASM357664v1:1:1377628:1383586:-1 gene:itb01g02140 transcript:itb01g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRERLGVMDWRKILAFFLSFCLIPAFLRRGSIVSGNTEGDALSNLKSNLLDPNNVLQSWDATLVNPCTWFHVTCDSENSVTRVDLGNANLTGRLVPDLGRLEKLQYLELYSNNISGTIPSELGNLTRLVSLDLYQNQLSGNIPVSLGNLQQLRRLNNNSLGGTIPRVLTKVQSLQVLDFSNNFLQGTVPINGSFSLFTELSFLNNSRLKYAPTPPPAPISPTASSSGGSSTIGAIAGGVAAGAAILFAAPAILLAWWRRRKPQVHFFDVPAEEDPEVHLGQLKKFSLRELQVATDHFSNKNILGRGGFGKVYRGRLADGSLVAVKRLKEERSQGGEMQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMENGSVASRLRDRPESVPPLDWPVRKHIALGAARGLAYLHDHCDPKIIHRDVKAANILLDREMEAVVGDFGLAKLMNYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLEIITGQRAFDLARLANDDDVMLLDWVKGLLKEKKYEILVDADLQGMYEEDQVEQLIQVALLCTQGTPMERPRMAEVVGMLEGEGLAEKWEEWQKEEMFRADYSQAPHPIGWNIPDSTPNLQDEVLSGPR >itb10g17350.t1 pep chromosome:ASM357664v1:10:23570328:23573887:1 gene:itb10g17350 transcript:itb10g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQTLKLERTLSIQSCFPQWASSASSTASSNIGTPRSTAAEHFISLQLSSRHDQVEVAAAAASFNTFKHQVVSPAAAPQTLSACFPRSQRTKSTNLAIYIPLCQAALKGDWQKAKEFISLHPDAITARISKGWETALHIAAGANRIHFVEELVNLMDPLDLELKNKYDNTALCFAAASGIKRIAEIMVTKNANLPVVRGSKGVTPLHMAALLGHREMAWYLYAVTDHRCLSREDYVGLLIATINSDLFDVTLDILQHKPELAIERDPNGETVLHILARKPLAFSGEHGLGLLQKWIYRSICVHPRSRFIHSSDESDPNYKKYTKVHPFIARLHGYLQQLPGVADMKKRKLMCMEALELFKCSWEQVLLLNCSQIGNLLKSPFNPLFVAAELGNIQFVLHLIRSYPDLIWKVNEQSQSVFHIAVIHRQEKVFRLIYSIGAHKDLIASYQSSDNENMLHFAAKIAPSNRLNIVSGAALQMQRELLWFKVIHLN >itb14g03780.t2 pep chromosome:ASM357664v1:14:3406720:3411759:-1 gene:itb14g03780 transcript:itb14g03780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWFKSILGKKGSKSGTSKKSARNKGSLVSAKEPASTLSVDPPLIPEPLPDNVKQIEKDPNSEKGEAAKSLSDEVVNTSTKHDDDAGGATTLSLPEDSQKLRLEEAATKAQAAFRGYLARQEFQTLKGIIKLQAVIRGHLVRRQAVATLYSLLGIVKIQAVIRGQLVRQSNVESGVLIKQTLGNQALGYSKTKTPIPPEQLLKNVFTEKLLSSSPSAMPLQLQHGPGEPNSAHEWLMRWTVLQVRVADSQPKEISNSKDQGGKKDQAIHSQSGRRLRSDKIENGSSHPNVESEKLKSNTKKIPHHSLKSISEHQGNEIEKVKRNLKKISKPILESSVKSEVDTDSSMQNLQKSTSTLAPELSEKGGNTPCCGPEKPADLETLPEGLPMATSVNELCSLSADKETLQSQVGDTNGSRQNLTKSFCTEALELSEGCDRTPTIEPTKPADVETLPEKLSNLALITELCDTPPSKIKPEPVADKNEDITTSDKGVNNNHVQNEDENIKHSQRRASLSLKNDVDVGVRTARKVPSYMAPTQSARAKVREQASPRFEQEVLENNALTRRYSMPSSTNGKMSSSPQQQRLVQACGRDGIKIDRSLSFSRDGSDKVVRPEWKR >itb14g03780.t3 pep chromosome:ASM357664v1:14:3406720:3411534:-1 gene:itb14g03780 transcript:itb14g03780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWFKSILGKKGSKSGTSKKSARNKGSLVSAKEPASTLSVDPPLIPEPLPDNVKQIEKDPNSEKGEAAKSLSDEVVNTSTKHDDDAGGATTLSLPEDSQKLRLEEAATKAQAAFRGYLARQEFQTLKGIIKLQAVIRGHLVRRQAVATLYSLLGIVKIQAVIRGQLVRQSNVESGVLIKQTLGNQALGYSKTKTPIPPEQLLKNVFTEKLLSSSPSAMPLQLQHGPGEPNSAHEWLMRWTVLQVRVADSQPKEISNSKDQGGKKDQAIHSQSGRRLRSDKIENGSSHPNVESEKLKSNTKKIPHHSLKSISEHQGNEIEKVKRNLKKISKPILESSVKSEVDTDSSMQNLQKSTSTLAPELSEKGGNTPCCGPEKPADLETLPEGLPMATSVNELCSLSADKETLQSQVGDTNGSRQNLTKSFCTEALELSEGCDRTPTIEPTKPADVETLPEKLSNLALITELCDTPPSKIKPEPVADKNEDITTSDKGVNNNHVQNEDENIKHSQRRASLSLKNDVDVGVRTARKVPSYMAPTQSARAKVREQASPRFEQEVLENNALTRRYSMPSSTNGKMSSSPQQQRLVQACGRDGIKIDRSLSFSRDGSDKVVRPEWKR >itb14g03780.t1 pep chromosome:ASM357664v1:14:3406720:3411759:-1 gene:itb14g03780 transcript:itb14g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWFKSILGKKGSKSGTSKKSARNKGSLVSAKEPASTLSVDPPLIPEPLPDNVKQIEKDPNSEKGEAAKSLSDEVVNTSTKHDDDAGGATTLSLPEDSQKLRLEEAATKAQAAFRGYLARQEFQTLKGIIKLQAVIRGHLVRRQAVATLYSLLGIVKIQAVIRGQLVRQSNVESGVLIKQTLGNQALGYSKTKTPIPPEQLLKNVFTEKLLSSSPSAMPLQLQHGPGEPNSAHEWLMRWTVLQVRVADSQPKEISNSKDQGGKKDQAIHSQSGRRLRSDKIENGSSHPNVESEKLKSNTKKIPHHSLKSISEHQGNEIEKVKRNLKKISKPILESSVKSEVDTDSSMQNLQKSTSTLAPELSEKGGNTPCCGPEKPADLETLPEGLPMATSVNELCSLSADKETLQSQVGDTNGSRQNLTKSFCTEALELSEGCDRTPTIEPTKPADVETLPEKLSNLALITELCDTPPSKIKPEPVADKNEDITTSDKGVNNNHVQNEDENIKHSQRRASLSLKNDVDVGVRTARKVPSYMAPTQSARAKVREQASPRFEQEVLENNALTRRYSMPSSTNGKMSSSPQQQRLVQACGRDGIKIDRSLSFSRDGSDKVVRPEWKR >itb03g04770.t1 pep chromosome:ASM357664v1:3:3134944:3135372:1 gene:itb03g04770 transcript:itb03g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTSTKTSNYIGVRKRPWGKYAAEIRDSTQSGKRVWLGTFNSPEDAALAYDQAALAMRGTSACLNFPPELVRELLEKVDCKEGMSVAAALKEKHRKRTRRGKGNSCKEAEEEGNNGVLVFEDLGSDLLDELLSVTSSSCM >itb06g21330.t3 pep chromosome:ASM357664v1:6:23940989:23946244:-1 gene:itb06g21330 transcript:itb06g21330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDKGSESQENKQPPSPREAEHEPEKRQDGEQKQAVTGAGAKVVPVAAQQQQPPWVPMQYPAAATVMQHQMMPAPHYPPHYMPYHPHFLHHAPPHAQPQQQGGSNGENRTIWIGDLHNWMDEDYLRSCFASTGEVASIKVIRNKQTGFSEGYGFVEFYSHASAEKALQSYSCILMPNTDQLFRLNWATFSMGEKRLNNGSDLSIFVGDLAADVTDTLLLETFSSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGNQQQLPSQGGYSNGSPAHGSQSDGDSSNTTIFVGGLDPNVSDEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRFSFHIKQFVELFTGVSFFFPLE >itb06g21330.t1 pep chromosome:ASM357664v1:6:23940989:23946244:-1 gene:itb06g21330 transcript:itb06g21330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDKGSESQENKQPPSPREAEHEPEKRQDGEQKQAVTGAGAKVVPVAAQQQQPPWVPMQYPAAATVMQHQMMPAPHYPPHYMPYHPHFLHHAPPHAQPQQQGGSNGENRTIWIGDLHNWMDEDYLRSCFASTGEVASIKVIRNKQTGFSEGYGFVEFYSHASAEKALQSYSCILMPNTDQLFRLNWATFSMGEKRLNNGSDLSIFVGDLAADVTDTLLLETFSSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGNQQQLPSQGGYSNGSPAHGSQSDGDSSNTTIFVGGLDPNVSDEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRTDAEEALQKLKGLVIGKQTVRLSWGRNPAHRQSRSDFTNQWAGAYYGGHFYDGYTYAFPAPHNPNMYAPAAYGAYPMYGTHQQQVS >itb06g21330.t2 pep chromosome:ASM357664v1:6:23940989:23946244:-1 gene:itb06g21330 transcript:itb06g21330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDKGSESQENKQPPSPREAEHEPEKRQDGEQKQAVTGAGAKVVPVAAQQQQPPWVPMQYPAAATVMQHQMMPAPHYPPHYMPYHPHFLHHAPPHAQPQQQGGSNGENRTIWIGDLHNWMDEDYLRSCFASTGEVASIKVIRNKQTGFSEGYGFVEFYSHASAEKALQSYSCILMPNTDQLFRLNWATFSMGEKRLNNGSDLSIFVGDLAADVTDTLLLETFSSKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSQAMTEMNGVYCSSRPMRIGAATPRKSSGNQQQLPSQGGYSNGSPAHGSQSDGDSSNTTVGCLTFFFGILCFPPSYRPLH >itb03g19480.t1 pep chromosome:ASM357664v1:3:17587267:17589953:1 gene:itb03g19480 transcript:itb03g19480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDNRYLADHMGSNVITPAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEMARKKRRRSTGCSIVRGIVCGGCVA >itb05g17010.t1 pep chromosome:ASM357664v1:5:24090035:24092758:1 gene:itb05g17010 transcript:itb05g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFALRLSFLVIIFPLALSLRLVDTTAAAPPYNATESFLLSCGAPSKSSSQDGRDWDTDNHYLQFMPTNTANISFQAATEQGPLVSQVPFTTARVFTSELTYSFRVSPGQKFIRLYFYPAHYAAAGGGFNMTDFFFTVTANNYTLLKNFSAFLTVSSPDPFIFSPDFFIKKEFIVNVDEKQQLNLTFSPSPYAFVNGIEIVSMPSDLYFRDDNNNNYPMISAVQFDNSTTLETLYRLNVGSRHLGPKDDTGMFREWSVDDNYVVDIKYQTPFLGVPVYYTNQTPAYTAPDIVYTSARTMDRSNRNGLFWLFPLDAGFFYLFRFYFCEFALEIKAVNQRVFSIEINNKMVEDEVDVIDQSGGPEIPIMKDYVVFVPDPDGRRSKQNVSLSLRPNMKDKPVYFNAILNGLEIFKLNDSAGSLAVPNPNEAPDIPATPPPEKKGKKGLVAIIGGLVGGVAALLLVIIILIIFLIFRRRNRTANLAPSVTKSSWVTMVRAAMTTQKTGGSDGSRLPLELCRHFSLDELKLATGNFNDNFVIGRGGFGKVYRGYIDGDVTSVAIKRLSPESSQGFREFQTEIKMLSKLRHLHLVSLIGYCDSKREMILIYDYMAHGTLRDHLYNAGKPPLPWKQRLKICIGAAKGLHYLHAGARDPIIHRDVKSTNILLNEKWVAKLSDFGLSKVGPLGEAISHVSTAVKGSFGYVDPEYYRRHQVTEKSDVYSFGVVLFEVLCARAAVIPNLPRKKVSLVEWGRQSYETGDVGKIVDPNLKGQIAPECLIQYMDVACNCLKDQGIDRPSMNDVVWGLEFALQLQDAADKRCDLPSSRTPERPSFPLLAKGRDGRSSNEAANNSVNNSDEDDGDAITTSEDAAKMFRNKSSVAATSTSTHDDSLRGQSHTIFSELSNQLGR >itb02g12900.t1 pep chromosome:ASM357664v1:2:8905345:8909597:-1 gene:itb02g12900 transcript:itb02g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNLEAFLQSIIVASSDAELVPNTVLLPQLKDLLRAVVIETFDQELKPVIKDLFRTVVQEVLESKLSVVQRNLEDEIRATSNPRTLKLKFIEKAVVSPIYTGVEIKGEGGCPFRVALFDDITGNIVDSGPNASLEVEIVVLKFEFGAGGNDSWTAREFIDSIVRERETLRNSLRTRSSSLLTERVVVKLDKGVGDVHNVKFRHTASHMKNTRYRLGAHVTDDRSRGATRIQEAITEPFVVKDKHILRNAKHFTPSLSDDVWRLVHIGRHTYCRLQEKRVLTVEDFLILHLRDPMLLERTLRMKPSAFEETLNNAKRCNSNKIYYHTNSHRNKGVVFNIFGQVLGLILQSQYIPADELSQDEKANAPQLLASAYEHWEEEVECFDELTSLEQKFPKLSRSKSFIEIMIKELHHENVVFHIKEDGAGSRANDFGSTTCPWNTDELMALSFEWQANEASRASNEPRDGSVANGKVLKKWKILVNIVKWLTQRRDEAIVEVHVQKKPRHS >itb02g12900.t2 pep chromosome:ASM357664v1:2:8905757:8909597:-1 gene:itb02g12900 transcript:itb02g12900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNLEAFLQSIIVASSDAELVPNTVLLPQLKDLLRAVVIETFDQELKPVIKDLFRTVVQEVLESKLSVVQRNLEDEIRATSNPRTLKLKFIEKAVVSPIYTGVEIKGEGGCPFRVALFDDITGNIVDSGPNASLEVEIVVLKFEFGAGGNDSWTAREFIDSIVRERETLRNSLRTRSSSLLTERVVVKLDKGVGDVHNVKFRHTASHMKNTRYRLGAHVTDDRSRGATRIQEAITEPFVVKDKHILRNAKHFTPSLSDDVWRLVHIGRHTYCRLQEKRVLTVEDFLILHLRDPMLLERTLRMKPSAFEETLNNAKRCNSNKIYYHTNSHRNKGVVFNIFGQVLGLILQSQYIPADELSQDEKANAPQLLASAYEHWEEEVECFDELTSLEQKFPKLSRSKSFIEIMIKELHHENVVFHIKEDGAGSRANDFGSTTCPWNTDELMALSFEWQANEASRASNEPRDGSVANGKVLKKWKILVNIVKWLTQRRDEAIVEVHVQKKPRHS >itb06g04290.t1 pep chromosome:ASM357664v1:6:6845552:6845851:-1 gene:itb06g04290 transcript:itb06g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSQKFAGECCVKVKRSMKVLKDVCYSFNCKRKGCFSVVAVGGAGEPKKFFIGLEYLSYPPFLELLEAAEKEFGFEQQGVLAVPCEAIELKRILSID >itb13g05960.t1 pep chromosome:ASM357664v1:13:7046907:7050804:1 gene:itb13g05960 transcript:itb13g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGLNRGKIAVEDMISKLKDDGDYDRLRLKIVRKVRDDEDLRNSIMSTLRQSEALNCPSAKRMKPRQLSDAIYQEVGTKVMSEISDGIWKVIRSGEGMNNEITETVQSVYNKLVNPNVNEEGQTSYGPNLHSAEKGVESNGHATSSACETNGTLSNSAPNETPGLARSDLGQNSKNNHREPGMPVPNDCKAREEYKLEIQGSAHCSDPNDEDTNAPPPGFSNVMEHKQSSDVNDEDPDVPPELILELIKELTMFMNQGDSGLESTNPNAKCTHTLLIAPIEA >itb05g22790.t1 pep chromosome:ASM357664v1:5:28073182:28077464:1 gene:itb05g22790 transcript:itb05g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWSITFVVFCSWCISEGVDSSLSSRPEVVNIGCILSFNSLVGKVTKVAVEAAVEDVNSIPDVLGGTKLNLTMLDSNSSGFLGILEAMRFMETETVAIIGPQSSGIAHVISNIANELQVPLLSFAATDPALSSLQYPFFVRTSPNDLFQMAAIASMIDYYEWREVIAIYVDDDYGRNGIAALADQLAMKRCRISYKAPLKPEATIDDMRDVLIQVALTESRILVVHTYPSEGLAIFSVAKYLGMIQGGYVWIATHWLSTVLDTNTRLSTDVVEHHIQGALTLRIYTPESRLKREFFSRWSNLTKRLANNGTFGLSTLGLYAYDTVWLLAHALDAFFKQGGKISFSKDPRLTSEEGGGLHLDSMSIFDGGKLLRDIILMTNVTGVTGLLKFTPDRNLYGPAFEVINVIGTGLRKVGYWSNYSGLSVAPPESLYSKLPNHSSSEQQLHDVIWPGQLTDRPRGWVFPNNGRRLKIGVPNRASFHEFVGQESGADMFRGYCIEVFTTALNLLPYAVPYKFSPFGDGHTNPDNTELVRLITAGVYDAAIGDIVITTNRTKMVDFTQPFIESGLVVVAPVKEWSSNAWAFLRPFTPAMWCITGIFFLVVGTVVWILEHRRNDEFRGPPRKQFITILWFSFSTLFFSHRENTVSTLGRIVLIMWLFVVLIVNSSYTASFTSMLTVQQLSSSIKGVESLFTTNDPIGYQHGSFARNYLIEELGIRESRLVPLNLPEDFAEALINGPRKGGVVAVVDERAYMEVFLSTHCDFSILGDEFTRNGWGFAFPKDSPLAVDMSTAILKLSENGELQRIHDKWLLRRACTSQTTKLEVNRLQLKSFSGLYMICGLACFLALAVYFIFVTRQFRQHRTEPEPSSSSTRRSSRSKRLQRFLSFVDEKEELAKSRSRRRQRQGGSVRSVVDEGVSMSGSRYTVHSEIASDQIP >itb05g22790.t2 pep chromosome:ASM357664v1:5:28073182:28077464:1 gene:itb05g22790 transcript:itb05g22790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWSITFVVFCSWCISEGVDSSLSSRPEVVNIGCILSFNSLVGKVTKVAVEAAVEDVNSIPDVLGGTKLNLTMLDSNSSGFLGILEAMRFMETETVAIIGPQSSGIAHVISNIANELQVPLLSFAATDPALSSLQYPFFVRTSPNDLFQMAAIASMIDYYEWREVIAIYVDDDYGRNGIAALADQLAMKRCRISYKAPLKPEATIDDMRDVLIQVALTESRILVVHTYPSEGLAIFSVAKYLGMIQGGYVWIATHWLSTVLDTNTRLSTDVVEHHIQGALTLRIYTPESRLKREFFSRWSNLTKRLANNGTFGLSTLGLYAYDTVWLLAHALDAFFKQGGKISFSKDPRLTSEEGGGLHLDSMSIFDGGKLLRDIILMTNVTGVTGLLKFTPDRNLYGPAFEVINVIGTGLRKVGYWSNYSGLSVAPPESLYSKLPNHSSSEQQLHDVIWPGQLTDRPRGWVFPNNGRRLKIGVPNRASFHEFVGQESGADMFRGYCIEVFTTALNLLPYAVPYKFSPFGDGHTNPDNTELVRLITAGVYDAAIGDIVITTNRTKMVDFTQPFIESGLVVVAPVKEWSSNAWAFLRPFTPAMWCITGIFFLVVGTVVWILEHRRNDEFRGPPRKQFITILWFSFSTLFFSHRENTVSTLGRIVLIMWLFVVLIVNSSYTASFTSMLTVQQLSSSIKGVESLFTTNDPIGYQHGSFARNYLIEELGIRESRLVPLNLPEDFAEALINGPRKGGVVAVVDERAYMEVFLSTHCDFSILGDEFTRNGWGFVSIFKHLAIFTKFFSCFNYWTFFFFIARHSQKIPLWQLICQLQS >itb12g08530.t1 pep chromosome:ASM357664v1:12:6705244:6706341:1 gene:itb12g08530 transcript:itb12g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAMKSRYQNRKASILKKSKELSTLCDVKVCVIVVSPDGKLETWPDNLNDVKAVLSAAKESRKPIRSENHRGGGDRRLCSRIKIPEGKDPESCGGLGNNNNAEEVCYDVCGTNFGLGIPDMTIYCPNHWNGSENFGKYMVTNEDPNLGYFGCGIHETDESGNNLSSSLAYHDQRYEIGIGSSSVCGTNFGMGIPDMTSRVNEAPRSYCPNHWNGKENEKSNSENFGKYMVTNEEPNLGYYVCGRHESGNNLDRGCGRYHHPYQYEIGSSSFNSGLNHFCNQQPSSSLVYHDQQYEIGIGSSSALNTTGVNNNLVHPNQQHTLDYQQYLMGNSAFNSAVGVDYPNQEPSSSLAYQHYDIGIWE >itb07g23330.t1 pep chromosome:ASM357664v1:7:27755419:27755778:-1 gene:itb07g23330 transcript:itb07g23330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVGRRKTKARCQIISRFHGGIDRSSSLLLFSYIWNIMGTAFSFNSPSPPLHRLSLSFCVFLTRSAVSLLSSFVPPRHAHLAVPSAALPSPFLLSPPPFPSVCRLPFLLTKHHFAVD >itb12g08580.t2 pep chromosome:ASM357664v1:12:6745202:6747842:1 gene:itb12g08580 transcript:itb12g08580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPNVSSALYSSTAFSQSVEVVGKVAYKPPKFLVVGHRGSGMNVLQSSDRRLTVVKENSIVSFNAAANYPVDFIEFDVQVTKDGCPVIFHDDFILTQQNGVTCEKRVTDLSLGEFLSYGPQKETDKTGKPLLRKTKDGDVYTWAVETDDALCTLQEAFEGVNSALGFNIELKFDDYVDYQQDHLVRVLRDVLEVVIAHAKDRPIIFSSFHPDAALLVKKLQSVYPVFFLTNGGTEIYDDVRRNSLEAAMKLCLEGGLEGIVSEVKGIFRNPAAVNKIKESDLSLLTYGKLK >itb12g08580.t1 pep chromosome:ASM357664v1:12:6745007:6747842:1 gene:itb12g08580 transcript:itb12g08580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPNVSSALYSSTAFSQSVEVVGKVAYKPPKFLVVGHRGSGMNVLQSSDRRLTVVKENSIVSFNAAANYPVDFIEFDVQVTKDGCPVIFHDDFILTQQNGVTCEKRVTDLSLGEFLSYGPQKETDKTGKPLLRKTKDGDVYTWAVETDDALCTLQEAFEGVNSALGFNIELKFDDYVDYQQDHLVRVLRDVLEVVIAHAKDRPIIFSSFHPDAALLVKKLQSVYPVFFLTNGGTEIYDDVRRNSLEAAMKLCLEGGLEGIVSEVKGIFRNPAAVNKIKESDLSLLTYGKLNNVVEVVYMQHMMGIDGVIVDFVEEIGEAVWEMLKPSKEEEEEEVFKGDAVQKPKFSKRELTFLLKLIPELIQQ >itb01g15320.t2 pep chromosome:ASM357664v1:1:17694145:17699387:-1 gene:itb01g15320 transcript:itb01g15320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLDKTFELKEIQRLEGHSDRVWSVAWKPATGTDGVPAVLASCSGDKTVRIWEQSSPSGSFHCKAVLEETHTRTVRSCGWSPSGKLLATASFDATTAIWEHIGGDFECVSTLEGHENEVKSISWNASGTLLATCGRDKSVWIWEVLPGNEFECVSVLQGHTQDVKMVQWHPSVDILFSCSYDNTIKVWAEEGDSDDWHCIQTLAESSSGHTSTVWALSFNFSGDKMVTCSDDLTLKIWSADIARLQSGDVKAPWRHISTLSGYHDRTVFSVHWSREGIIASGAADDAIRLFVENEDKSVDGPTYSLLLKKEKAHEMDVNAVQWNTATVSIWILKSTCIIISIDRHFFLPVMCYGTS >itb01g15320.t1 pep chromosome:ASM357664v1:1:17693832:17699414:-1 gene:itb01g15320 transcript:itb01g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLDKTFELKEIQRLEGHSDRVWSVAWKPATGTDGVPAVLASCSGDKTVRIWEQSSPSGSFHCKAVLEETHTRTVRSCGWSPSGKLLATASFDATTAIWEHIGGDFECVSTLEGHENEVKSISWNASGTLLATCGRDKSVWIWEVLPGNEFECVSVLQGHTQDVKMVQWHPSVDILFSCSYDNTIKVWAEEGDSDDWHCIQTLAESSSGHTSTVWALSFNFSGDKMVTCSDDLTLKIWSADIARLQSGDVKAPWRHISTLSGYHDRTVFSVHWSREGIIASGAADDAIRLFVENEDKSVDGPTYSLLLKKEKAHEMDVNAVQWNTATDKRLLASASDDGTIKIWELAPQSGGEELSL >itb14g11900.t1 pep chromosome:ASM357664v1:14:13515866:13519813:-1 gene:itb14g11900 transcript:itb14g11900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEHAVVDRKNTDKINYCRLKYLMPSKVVAGNNFEVTGPSSSPQLKASLTPFFLCRRRETSPLMFFLPICARDVSTLPSLETSMSRTLRRTIEALMSRTLPARYRGACWRMILVIVSSPQRRTREKKQLLTLVRDFASEKSQGERRISNMKNRIGDFRSSESLSAVLVNPPCVISLVNTTASENREGSIANVFGRVLEEEFSENDQPESIEKTSFNSSVADDQAAVVEIVALITHEKAKKDETREANCTKPFQFQDVFFLDNEISEDITALMDKKDNMRVMSNKKSKYPVLQVDLRLISDLVVVIVSATIGGIIFFCLGQPVVVDYLLADIHHGGDDFDKRIVDWLASNFKKDEGIDLLKDKQALRCLIRIEKAKIDVIQTSSHSHAYKADEGDIRAALCNQNPVAERHECSSEELKDWAEWERPTKLRTCEWQSSGADFASTGEEQGA >itb06g10180.t1 pep chromosome:ASM357664v1:6:14501355:14502497:1 gene:itb06g10180 transcript:itb06g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIFSFGSKMVGEYMGFDENLETLNVEVDQLYEQANDIKAELMNIERGGQRKRKREVESWLQQVEQLKKHFETFKESIQGRGLIMTNAWKLIKAQQLERMTERVKKLRDEGRNISELTLNVSMVYQLNVDQLSGTTSKFNLEEIYGWVEDENVTSIGVYGTRGVGKTTLVKHIYNQILQNNPRVNVYWVTVSQDFNIRKLQDNIAKITGITISDDENEEQRAAILRNHLVGNNVVLILDNVSDNIHLEKLGVPPRDKGYKLILTTRLLDVCRKIGCQKLFKINVLNEEEAWNLFKEILVQDDHTVLTDAIENHAKELAKKCGGLPLELNTVAASMRGVNDDHIGGIPLRIFQMVLLIRTLYFIIFSKWFYLLGLYIYQS >itb12g08540.t1 pep chromosome:ASM357664v1:12:6707405:6711693:-1 gene:itb12g08540 transcript:itb12g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MIKNVTRTGLIFKEITLTPESEEPETAFSEDSSSAAEEEEADPYVSDAGPVDYRGFILSPATGVNLRRAATTALARQLAKMYLHFYINENGDKVYTTKKESPVGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQKPPPKY >itb15g06320.t1 pep chromosome:ASM357664v1:15:4135900:4140196:1 gene:itb15g06320 transcript:itb15g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVEEDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITEMGRKCETVEEFDEKLKESGAEMPDYFVRTLLTIIHAILPPKPKSESEKDSKRTGEKFSALKIKDDKERIKELEREIEVEAKKNRGKDEEEDRRDRDDRRDRRRERDRDDRRDRGRDSRRDRDRDDRRERDRGDRRERGNDAEYRSQDRDRDNRYDRRKRRDYDEDRDDDRKNGMHRSEEPELYSVYKGRVSRVMDTGCFVQLDECRGKEGLVHVSQMATRKVANAKDLVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSLDDDGLRENPYRGNMEGTGTRIGLSGIRITEDDVVIPSRRPLKRMSSPERWEAKQLRASGVLSVKECPMFDEEGDGMMYQEEGAEQELEIELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDLPEWKKDAYGKALTFGQXTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVSEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIYTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDCACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHNEMSPTSIPEIQRINLGMTVLNMKAMGINDLLSFDFMDPPSPQALISAMEQLFTLGALDEEGLLTKLGRKMAEFPMEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAISAGFFFHGARKDPQEGYRTLVENQAVYIHPSSAVFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKLSKRKRQERIEPLYDRYHEPNSWRLSKRRA >itb05g03780.t1 pep chromosome:ASM357664v1:5:3229887:3235723:-1 gene:itb05g03780 transcript:itb05g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLNGAKPYLGVLFLQLSNVGSAIISKVALNQGMNPFTFSVYRNVIATAFFIPFAMLFERLENVKIKRLHSQAKIIGTTSTIGGAMIMTLVKGPTIVLPWTKHSNGIVSYSTQHVVNQHNDPIKAALLLTASCCCAATFAIIQAITLKSYPAGLSLTAMICMAGALQGTIFTLILERGNASIWSLHWDITLLTYVYHGLVRSGAVYYISGVIMKEKGPVFVTAFNPLCMVIVAIIGSFVLSEKLYLGRVLGAVVIFIGLYLVIWGKSKDQTLPNNDQNDALPIDHKHPQVQGLNKDIIVV >itb05g12520.t1 pep chromosome:ASM357664v1:5:19098586:19100948:1 gene:itb05g12520 transcript:itb05g12520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAEGDLCVGGLGLGDLGIDDLGLDDLGIDDLGMGDLVGGLGLGDLVGDLGLVAASALLVDSVQGGYNLPLLFRGRIATSAYIVLQLFQLSSQDPPYYILFNRAKEGYEGTLQEDLVDDC >itb05g20130.t1 pep chromosome:ASM357664v1:5:26351206:26353575:1 gene:itb05g20130 transcript:itb05g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLSSFNHHIRTLTRGHFLPQPSPPSFLCLRLFSFATPEEAAAERRKRKRRLRIEPPISALRQQQQQQQPRPTSPQNPNPNAPKIPETVSVLTGNRLNLHNRILKLIRENDLEEAALLTRHSIYSNCRPTIFTCNSVMAAQLRQSRYADLLSLHRFITQAGVAANIVTHNLLLSAYMDCRKTDIAMEHYKQLINDAPFNPSPTTYRILIKGLVDNNKIEKALELKEEMLSKGFKADPIVYSYLMSAQAKNSDADSIFNLYEELKEKLGGSVSDGVIYGSLMKGYFLRGMEEKAMECYVEAVGENSTIKMSAVAFNHILDALSKNGKFDEALKLFDRMMTEHDPPKTLTVNLGSFNVMVDGYCAEGKFSDAINVFKSMGAKRCSPDTMSYNNLIEHLCSNDMLGEAEELYKDMAEKKVSPDEVTFVLLMDTCFKENRPDDAAHYFKTMVESKLRPNIGVYNRLVEGLVKVGKVDEAKSFFDMMMGKLRMKDDEYKFMMNALFEVGKHDEVLAIVDRVLREDPTDLTDELKEFVGEGLRKEGREEELPKLIEDIEREKAEAVAKAAEEAERAKASTREAVNALLNTPRLFGKKEPEDQSADSTVNSAEAVETGEEETADAVSNASGDAITGQTTA >itb04g31020.t2 pep chromosome:ASM357664v1:4:33979650:33990367:1 gene:itb04g31020 transcript:itb04g31020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVPEELVSTVRSIVGDEYTEMDIIRALHMANNDATAAINIIFDTPGFKKLEFRKTSKVPNLNSSSGTQSLGSTTRRSSSEDKKCERSTISDNGSQQKTENRESNNGCRSDADGCEMESEWWFVGTSEVSGLSTCKGRSLKPGDEVYFTFPAEKKLNSPSLGKFGRGRHVVACSEIVRFSSKALGEIGRIPNEWARCLLPLVREKKARVEGYCKSAPNILGIMDTIDLSVSVYINSSMFRKSHKTLLKVATNNSTDESIVYPLPTLFRLLRLTPFQKAEFTPGDLYMRKRRLTEENSSGIHTPSLHANKFKKLVTNEGEAEGDESISDTDLENIVGFADNSKLEEMEPPSTLQCELRSYQKQALHWMTQLEQVHSVNDAKTTLHPCWEAYRLADKRDLVIYLNAFSGDATTEFPSTLQMARGGILADSMGLGKTIMTIALLLSCTERGGSPGSQSTSLPSHENGDTSDISDQSPTPSKKAARFPGLEKFLKQKPTLKSGGNLIVCPMTLLGQWKAEIEMHACPGTLSLYLHYGQSRSKDPKFIAQSDVVLTTYGVLASEFSSENAEENGGLFSVRWFRVVLDEAHTIKSSKSQISIAASALIAERRWCLTGTPIQNNIEDVYSLLRFLRIEPWGSWAWWNELVQKPFEEGDERGLRLVQSILRPIMLRRTKSSTDREGRPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFSDLNKLAKRFLKGGQKTGENHVEDVPTRAYIQEVVEELRKGEQGECPICLEACEDAVLTPCAHRLCRECLLASWRSPASGFCPVCRKTVSKQELITAPTDSRFQIDVEKNWVESSKVTALLHELEQLRAVNSKSIVFSQWTAFLDLLQIALARNDIPFLRLDGTLNQQQREKVIKRFSEEDSVLVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRVHRIGQTKRVAIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFT >itb04g31020.t1 pep chromosome:ASM357664v1:4:33979650:33990367:1 gene:itb04g31020 transcript:itb04g31020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVPEELVSTVRSIVGDEYTEMDIIRALHMANNDATAAINIIFDTPGFKKLEFRKTSKVPNLNSSSGTQSLGSTTRRSSSEDKKCERSTISDNGSQQKTENRESNNGCRSDADGCEMESEWWFVGTSEVSGLSTCKGRSLKPGDEVYFTFPAEKKLNSPSLGKFGRGRHVVACSEIVRFSSKALGEIGRIPNEWARCLLPLVREKKARVEGYCKSAPNILGIMDTIDLSVSVYINSSMFRKSHKTLLKVATNNSTDESIVYPLPTLFRLLRLTPFQKAEFTPGDLYMRKRRLTEENSSGIHTPSLHANKFKKLVTNEGEAEGDESISDTDLENIVGFADNSKLEEMEPPSTLQCELRSYQKQALHWMTQLEQVHSVNDAKTTLHPCWEAYRLADKRDLVIYLNAFSGDATTEFPSTLQMARGGILADSMGLGKTIMTIALLLSCTERGGSPGSQSTSLPSHENGDTSDISDQSPTPSKKAARFPGLEKFLKQKPTLKSGGNLIVCPMTLLGQWKAEIEMHACPGTLSLYLHYGQSRSKDPKFIAQSDVVLTTYGVLASEFSSENAEENGGLFSVRWFRVVLDEAHTIKSSKSQISIAASALIAERRWCLTGTPIQNNIEDVYSLLRFLRIEPWGSWAWWNELVQKPFEEGDERGLRLVQSILRPIMLRRTKSSTDREGRPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFSDLNKLAKRFLKGGQKTGENHVEDVPTRAYIQEVVEELRKGEQGECPICLEACEDAVLTPCAHRLCRECLLASWRSPASGFCPVCRKTVSKQELITAPTDSRFQIDVEKNWVESSKVTALLHELEQLRAVNSKSIVFSQWTAFLDLLQIALARNDIPFLRLDGTLNQQQREKVIKRFSEEDSVLVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRVHRIGQTKRVAIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFT >itb06g24710.t1 pep chromosome:ASM357664v1:6:26166047:26167899:1 gene:itb06g24710 transcript:itb06g24710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVRLTWMRLCKLIMISRPVGKPLRDRAIAVARRVSIIKDKDAGIMKACCPVCLEAFHTPLSSDLRMTLAIHLSLWHADDVNLMWEMMQNKGKSVVHMPSFFFGVWMATGVGALTLLAKNWISTKANKR >itb06g24710.t2 pep chromosome:ASM357664v1:6:26167189:26167899:1 gene:itb06g24710 transcript:itb06g24710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVRLTWMRLCKLIMISRPVGKPLRDRAIAVARRVSIIKDKDAGIMKACCPVCLEAFHTPLSSDLRMTLAIHLSLWHADDVNLMWEMMQNKGKSVVHMPSFFFGVWMATGVGALTLLAKNWISTKANKR >itb11g00940.t2 pep chromosome:ASM357664v1:11:400526:404563:1 gene:itb11g00940 transcript:itb11g00940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPPPFATNTTTASTNQRQDTQQKIEAKRHRSIFELPSDFFDSCLPIQSPFASSLPIAERFENLSVNTQCDVVVDKKLASEEQAAENNGSTNNVMRRWSCNTCKAEFESLQDQRSHFKSDIHRLNIKLSIAGKDTLREEDFDDGTSDSLCKDYDISSISASDDDDDRESVLPNDLYLGLEGSVRNKIFIKLQTGEVVSLWRSLLLNESEHIVFENDRQFAAYDSDVVTVYWSERGVVEKLKCLFHEPRNNTQLRIVLLARGGHFAGCVFDGKSVVAHKTFHRYVIRAKAGRKQSSKDASGKMAHSAGASLRRHNELALKKEIQELLAEWKPYFTNSSSVFIYAPSNNRQLFFDGNKPFFACQHRAIKNVPLTVRRPTFKEARRIYNLLTRISFEVNEEAGFNVKKGSLLSESTSNSGCSELIKEELGQNSESKEIIEPSISGTKLDDPSISSQSESENDTNGITTPLHDAAKSGDAQKVLELLEQGMDPCIKDERGRTPYMLATEKEVRNTFRRFMASNVDKWDWNAAKVPSPLTKEMEDSQAAKQAEKDAKRKARAKELKKLRKARQKKAQAEANEAKITSTKSEGQPLAPVSAPKGSSQSRFSEKLSREEELKRAQDAEREKRAAAAERRIAAAAALKPQSTTSASVASNSSASGTDILCSCCNASLAGKVPFHRYNYKYCSSSCMHVHREILEDG >itb11g00940.t3 pep chromosome:ASM357664v1:11:400526:404563:1 gene:itb11g00940 transcript:itb11g00940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPPPFATNTTTASTNQRQDTQQKIEAKRHRSIFELPSDFFDSCLPIQSPFASSLPIAERFENLSVNTQCDVVVDKKLASEEQAAENNGSTNNVMRRWSCNTCKAEFESLQDQRSHFKSDIHRLNIKLSIAGKDTLREEDFDDGTSDSLCKDYDISSISASDDDDDRESVLPNDLYLGLEGSVRNKIFIKLQTGEVVSLWRSLLLNESEHIVFENDRQFAAYDSDVVTVYWSERGVVEKLKCLFHEPRNNTQLRIVLLARGGHFAGCVFDGKSVVAHKTFHRYVIRAKAGRKQSSKDASGKMAHSAGASLRRHNELALKKEIQELLAEWKPYFTNSSSVFIYAPSNNRQLFFDGNKPFFACQHRAIKNVPLTVRRPTFKEARRIYNLLTRISFEVNEEAGFNVKKGSLLSESTSNSGCSELIKEELGQNSESKEIIEPSISGTKLDDPSISSQSESENDTNGITTPLHDAAKSGDAQKVLELLEQGMDPCIKDERGRTPYMLATEKEVRNTFRRFMASNVDKWDWNAAKVPSPLTKEMEDSQAAKQAEKDAKRKARAKELKKLRKARQKKAQAEANEAKITSTKSEGQPLAPVSAPKGSSQSRFSEKLSREVSLPSHPSIPHHLHVKCKLLMNFEKTTD >itb11g00940.t1 pep chromosome:ASM357664v1:11:400526:404563:1 gene:itb11g00940 transcript:itb11g00940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPPPFATNTTTASTNQRQDTQQKIEAKRHRSIFELPSDFFDSCLPIQSPFASSLPIAERFENLSVNTQCDVVVDKKLASEEQAAENNGSTNNVMRRWSCNTCKAEFESLQDQRSHFKSDIHRLNIKLSIAGKDTLREEDFDDGTSDSLCKDYDISSISASDDDDDRESVLPNDLYLGLEGSVRNKIFIKLQTGEVVSLWRSLLLNESEHIVFENDRQFAAYDSDVVTVYWSERGVVEKLKCLFHEPRNNTQLRIVLLARGGHFAGCVFDGKSVVAHKTFHRYVIRAKAGRKQSSKDASGKMAHSAGASLRRHNELALKKEIQELLAEWKPYFTNSSSVFIYAPSNNRQLFFDGNKPFFACQHRAIKNVPLTVRRPTFKEARRIYNLLTRISFEVNEEAGFNVKKGSLLSESTSNSGCSELIKEELGQNSESKEIIEPSISGTKLDDPSISSQSESENDTNGITTPLHDAAKSGDAQKVLELLEQGMDPCIKDERGRTPYMLATEKEVRNTFRRFMASNVDKWDWNAAKVPSPLTKEMEDSQAAKQAEKDAKRKARAKELKKLRKARQKKAQAEANEAKITSTKSEGQPLAPVSAPKGSSQSRFSEKLSRENIVLQEICAGLATLSLFHESLSLCPLHRN >itb15g10720.t3 pep chromosome:ASM357664v1:15:8423751:8428376:1 gene:itb15g10720 transcript:itb15g10720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDESVFPSSIVLNTTSDSSFMDLDDLLLEGCWLETTDGSEFFQHSPFNPNALFEPSYMLPHLEPNNGELIEGVSKESEVERKKSSLPENLSASQYQGVQCFGQNDSNAVCSSGLVESPELSRRWWIGPKATTSVMARLIQALGYIKDFSRDKDMLIQIWVPVNRDGRRVLVTGDQPFQLDLKCPRLAHYRDISIDYEFPVEEDSQENVGLPGRVFLGKVPEWTPDVQLFKREEYPRVGHAQRYDVRGTFAVPVFDQGSRTCLGVIEVVLTTQKVKYRHELDSVCKALEEVDLRGSKISNVRNAKTCDSYQVVLPEILEVLKSACATHKLPLAQTWVPCVQQGKEGLRHSDENLLRCVSTVDSACYIADPRIQGFHEACSEHHLLKGLGVVGRAFMTNQPCFSADITSFSKTEYPLSHYARIFSLQAAVAIRLRSTCTGSTDFVLEFFLPRDCKSPEEHKRMLTSLSIIIQNVCQTLRVVTEQELEEETTSLSSKAAKNSDIRINKEVPNLKYNSSVGPCEEGCSWTSSPTLVQESCMTASAFQNEKASELLYKRLPEFRQQLPEFVSGSGLSFDSTSGEGSAQNLGRAGDKKRMKAEKTITLQILQQYFAGSLKDAAKSLGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKIRRVIDSVQGASGALQIETFYSNFPELASPNVSRSNPTSDEQHPSLLNRQNEGENVCPNGSASKSPSSSCSQSSSSSQCCSSGTQPSPCTLHAAAAPETVREESVNGVVKRVKSNAELLVSNDGLTTTLPRSQSHASLSEHPKSECLPPTKDGPRVKVTFGEEKIRFRLQNHWGYQDLVKEIARRFGIDDPRGFQLKYLDDDLEWVLLTCDADLEECIDVCRASQNQTIRLAFLCNSQHQFGSSLGTKNPSGISMVFS >itb15g10720.t2 pep chromosome:ASM357664v1:15:8423760:8428376:1 gene:itb15g10720 transcript:itb15g10720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESVFPSSIVLNTTSDSSFMDLDDLLLEGCWLETTDGSEFFQHSPFNPNALFEPSYMLPHLEPNNGELIEGVSKESEVERKKSSLPENLSASQYQGVQCFGQNDSNAVCSSGLVESPELSRRWWIGPKATTSVMARLIQALGYIKDFSRDKDMLIQIWVPVNRDGRRVLVTGDQPFQLDLKCPRLAHYRDISIDYEFPVEEDSQENVGLPGRVFLGKVPEWTPDVQLFKREEYPRVGHAQRYDVRGTFAVPVFDQGSRTCLGVIEVVLTTQKVKYRHELDSVCKALEEVDLRGSKISNVRNAKTCDSYQVVLPEILEVLKSACATHKLPLAQTWVPCVQQGKEGLRHSDENLLRCVSTVDSACYIADPRIQGFHEACSEHHLLKGLGVVGRAFMTNQPCFSADITSFSKTEYPLSHYARIFSLQAAVAIRLRSTCTGSTDFVLEFFLPRDCKSPEEHKRMLTSLSIIIQNVCQTLRVVTEQELEEETTSLSSKAAKNSDIRINKEVPNLKYNSSVGPCEEGCSWTSSPTLVQESCMTASAFQNEKASELLYKRLPEFRQQLPEFVSGSGLSFDSTSGEGSAQNLGRAGDKKRMKAEKTITLQILQQYFAGSLKDAAKSLGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKIRRVIDSVQGASGALQIETFYSNFPELASPNVSRSNPTSDEQHPSLLNRQNEGENVCPNGSASKSPSSSCSQSSSSSQCCSSGTQPSPCTLHAAAAPETVREESVNGVVKRVKSNAELLVSNDGLTTTLPRSQSHASLSEHPKSECLPPTKDGPRVKVTFGEEKIRFRLQNHWGYQDLVKEIARRFGIDDPRGFQLKYLDDDLEWVLLTCDADLEECIDVCRASQNQTIRLAFLCNSQHQFGSSLGTKNPSGISMVFS >itb15g10720.t1 pep chromosome:ASM357664v1:15:8423337:8428376:1 gene:itb15g10720 transcript:itb15g10720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESVFPSSIVLNTTSDSSFMDLDDLLLEGCWLETTDGSEFFQHSPFNPNALFEPSYMLPHLEPNNGELIEGVSKESEVERKKSSLPENLSASQYQGVQCFGQNDSNAVCSSGLVESPELSRRWWIGPKATTSVMARLIQALGYIKDFSRDKDMLIQIWVPVNRDGRRVLVTGDQPFQLDLKCPRLAHYRDISIDYEFPVEEDSQENVGLPGRVFLGKVPEWTPDVQLFKREEYPRVGHAQRYDVRGTFAVPVFDQGSRTCLGVIEVVLTTQKVKYRHELDSVCKALEEVDLRGSKISNVRNAKTCDSYQVVLPEILEVLKSACATHKLPLAQTWVPCVQQGKEGLRHSDENLLRCVSTVDSACYIADPRIQGFHEACSEHHLLKGLGVVGRAFMTNQPCFSADITSFSKTEYPLSHYARIFSLQAAVAIRLRSTCTGSTDFVLEFFLPRDCKSPEEHKRMLTSLSIIIQNVCQTLRVVTEQELEEETTSLSSKAAKNSDIRINKEVPNLKYNSSVGPCEEGCSWTSSPTLVQESCMTASAFQNEKASELLYKRLPEFRQQLPEFVSGSGLSFDSTSGEGSAQNLGRAGDKKRMKAEKTITLQILQQYFAGSLKDAAKSLGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKIRRVIDSVQGASGALQIETFYSNFPELASPNVSRSNPTSDEQHPSLLNRQNEGENVCPNGSASKSPSSSCSQSSSSSQCCSSGTQPSPCTLHAAAAPETVREESVNGVVKRVKSNAELLVSNDGLTTTLPRSQSHASLSEHPKSECLPPTKDGPRVKVTFGEEKIRFRLQNHWGYQDLVKEIARRFGIDDPRGFQLKYLDDDLEWVLLTCDADLEECIDVCRASQNQTIRLAFLCNSQHQFGSSLGTKNPSGISMVFS >itb13g19840.t1 pep chromosome:ASM357664v1:13:26755874:26758288:-1 gene:itb13g19840 transcript:itb13g19840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSPESDSVTGIALSFPANDSDTGCMQSTPRLPRRLRRRLLEAKSPSTTAQDIEAKLRDAELRRQQFYEILSSKARTKLRSLTWSSSLQDEELGQRLEAKLSAAEQKRLDQMTKKRLKNIELDWV >itb13g21250.t1 pep chromosome:ASM357664v1:13:27845683:27846692:1 gene:itb13g21250 transcript:itb13g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIHNVLVVIFVAFALSPNVTADLVSNLCAEANHPPKCLEALKSDPRSKSASLEGLAFIAIDAADKYAKTVRGLVQSLLKSAKTAQLKSRYSSCLENYEDSIDSLDRCTEFLKAKDYGSLDSYASAALDFPGTCDDDFADPPAEPGQLKAASAKLQDFCDRGLNVYDNNDVSSEVSTGQQGCDSMIAMTRQLNNYDNDDVTITATTN >itb11g01930.t1 pep chromosome:ASM357664v1:11:955232:959819:-1 gene:itb11g01930 transcript:itb11g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPYDSSGTDDDLPPCQNRISGGGRNAGNGRSAVMSTAPYPRMYGETDMEAQIHQLEKEAYSSVLKAFKAQADAITWEKESLITELRKELRLSNEEHRELLNRVDSDDDIRRIREWKQSTGHQPGILNNRQTVHDLVPSSTVSASRRKHKTASALPSHSFAGPSPSFHPEPVTAANQRSSSMANKGLTMGPKGKNQKPSMPGASKMQYPPKTSGRGQFVNRISEPVESASLDPLVGRKGTHCLVYDKGTPDATMEWVKFSEIPPEDIQWLDGPGLWLNRATGHDSSPGTGRGRGLTKTQSRKDMKPSQNGSADIRLLHTDTIIEEVERVFSAPHPEPVELGEAMEVLKEHEQSLMNAIATLEMISDGESDESGRYDLEQ >itb07g24360.t1 pep chromosome:ASM357664v1:7:28614818:28616525:1 gene:itb07g24360 transcript:itb07g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKNQNFQIFIQSPDLKIPTRAINFESPNPGFTIKDLKAFIFSKTLTLIQDSAYFTLNGKLLPDSTPVKSSLIAPLSTLTLRLRFLGGGGDGGATGAESRDCYLKMYAEKKPDKIDPNEVRLSKWLNCTLSNEPLKHPVVIDKLGNLFNKEALVQALLKKQVPKQFAYIKGLRDMIPIELSAIPGMEDSDLGGVTRFQCPISGLEFNGKYKFFALRTCGHVFSAKALKEVKSSACLVCHKEFMENDKIVINGNEDEVAALRERMMEENAKFKDNKKLKKGKNGDVAVNAEGVEVTRLSGAKHGIEDNKVAEKGKSEVNRKNNPNGKIEVKNVNNGASNGSGKRFKAGDNVPVNATKEVYASIFTSSRKSDFKETYSCRSLPLGRN >itb14g17210.t1 pep chromosome:ASM357664v1:14:20515766:20517418:-1 gene:itb14g17210 transcript:itb14g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGVAGGRRRRIILVPFPVQGHITPMLQLGSILHARGCSITIAHTEYNAPNPSTHPEFMFLGLSDGLGAGYDMSFDKILGAIYIMNERCGAPLVEYVEKQTACGEEIACIVHDNIMFFVDAVATHLKIPGIVLATFSAAYFQALVSIIPRFDAIFPFQDSMLEEPLPDLHPLRYKDGPFHPTLDNDIAEPIRNFYRTTNDIRSAVACICNSTDYLEHSSLSKLQTHYKVPFFPIGPFHKLASSSSNSPTNLQEEDPTCLPWLDTQAPLSVLYVSFGSVAVVEKRAAVETAWGLINSGRPFLWVVRPGSVHGVRWTEFLPEGFQEVVGPDRGRVVKWAPQRDVLVHPAVGTFMTHCGWNSTLESICAGVPMICRPVFSDQLVTARYLSDVWKVGLEMEVIDRDVVERSVRMMMIGEEGKDMRKRVVDLKQKVESCVEKDGCSYEALNELVEFISSLHHKD >itb15g06410.t1 pep chromosome:ASM357664v1:15:4232418:4233576:-1 gene:itb15g06410 transcript:itb15g06410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFRLSDMIPNAWFHKLKKGMGKAKKSGRNHNINNNINCIKKHHQNQQPPTSSSSSYSSTKTTHRKSYYFSRHLSCPPPPPPHISPDPPRKSANKRPKKRRSSGRTTAVSAACSCRPSMESSVWTKPDSTPEDYPEYSPLDSTTSFSSDQDSLVPRRAPDQDSLSLSPDHELHDFDSVSKIDLPPIITKPGKKFDESLNNLRIKPQPNPSCKTAKEQRACPVRRFSGNSPGGGVKLRTNSPRIIGGNRRILQGRRSTSIAAAKSFAVVKSSKDPQRDFRESMVEMIVENNIRASKDLENLLACYLSLNSNEYHDLIIKVFKQIWFDITDVRLN >itb11g14400.t2 pep chromosome:ASM357664v1:11:11484801:11489451:-1 gene:itb11g14400 transcript:itb11g14400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGFVLAIVALFLAFSGQAVEYAGLVIDTTTTIADTDANYICATLDWWPHDKCNYDHCPWGLASVMNLDLSHPFLANSVQAFKGLRLRIGGSLQDQVVYDVGNLNIPCHPFRKQEDGLFGFSHGCLHMDRWDELNGFFKKTGALVTFGLNALHGRQRGNKQQWGGKWDSSNARDFISYTVSKGYQIHSWEFGNELSGTGIGASVDADLYGKDAINLNALLDQLYKNIRPKPLLLAPGGFFDKAWFGKLLDVSGPSTVNALTHHIYNLGPGSDHNLVNKILNPEYLDGISHTFHDLTQTIQTNGPWASAWVGESGGAYNSGGHNVSNAFVNSFWYLDQLGMAAKYRTKVYCRQTLIGGNYGLLDTESFVPNPDYYGALLWHQLMGSGVLAVKSDASPYLRSYAHCTKDRAGVTLLLINLSSQTEFGVDIQSTTGLHLKRKVKKNSFTHRLKETFSWVGSKTEDETLLVEEYHLTPEDGNVKSRTVLLNGTPLQLTETGGIPNMLPALRNVNSAISIAPLSIKFIVFPNLNAPGCR >itb11g14400.t1 pep chromosome:ASM357664v1:11:11484801:11489745:-1 gene:itb11g14400 transcript:itb11g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGFVLAIVALFLAFSGQAVEYAGLVIDTTTTIADTDANYICATLDWWPHDKCNYDHCPWGLASVMNLDLSHPFLANSVQAFKGLRLRIGGSLQDQVVYDVGNLNIPCHPFRKQEDGLFGFSHGCLHMDRWDELNGFFKKTGALVTFGLNALHGRQRGNKQQWGGKWDSSNARDFISYTVSKGYQIHSWEFGNELSGTGIGASVDADLYGKDAINLNALLDQLYKNIRPKPLLLAPGGFFDKAWFGKLLDVSGPSTVNALTHHIYNLGPGSDHNLVNKILNPEYLDGISHTFHDLTQTIQTNGPWASAWVGESGGAYNSGGHNVSNAFVNSFWYLDQLGMAAKYRTKVYCRQTLIGGNYGLLDTESFVPNPDYYGALLWHQLMGSGVLAVKSDASPYLRSYAHCTKDRAGVTLLLINLSSQTEFGVDIQSTTGLHLKRKVKKNSFTHRLKETFSWVGSKTEDETLLVEEYHLTPEDGNVKSRTVLLNGTPLQLTETGGIPNMLPALRNVNSAISIAPLSIKFIVFPNLNAPGCR >itb04g27780.t1 pep chromosome:ASM357664v1:4:31719342:31722838:1 gene:itb04g27780 transcript:itb04g27780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSLRCSLPPLLPSNGSSSSQSLPRKPVDSLALYSGNGSLGSLKPIVVNGDPPTFVSAPGRRIIAVGDLHGDLDKARQAFEMAGVLSSDGLWIGGETVLVQLGDILDRGEDELAILSLLRSMDIQAKAHGGAVFQVNGNHETMNVEGDFRYVDSGAFDECVYFLEYLEEYGRDWEKAFPCWFAECHRWKRERKMSQSYWDSWSLVKRQKGVIARSILLKPGGPLASELSRHGVILKVDDWLFCHGGTLPHHVAYGIERINREVSRWMRGLSDGEDRPEIPFIATRGYDSVVWSRLYSRGNPHIENYQNNQIQSILEETLRAVGAKAMVVGHTPQPMGANCKYNCSIWCIDVGMSSGVLDSMPEVLEIRDNKARVIRSKRDMFSELQAIDYT >itb07g15210.t1 pep chromosome:ASM357664v1:7:18193159:18195135:-1 gene:itb07g15210 transcript:itb07g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRLKTLPDASRDLISELPVEVKDRILECLPTRDVARTALLSRHWNDVWLQHERLVLDRKFLESVKQKQLQDDDGRTLVNIINNILFFRTGPVKKFTLDIDTRYDPELQQSDFDNWCLFLSRNGVVELNLSLYSDLERDYQLPFCLLSCRTIKKLIVEGPFIDLPVNACDIFSNVTSLAFLNVAFGRSVNGIASSINIPKLEKLALEYCGGINTFEISPPKLEILSVIGSIDDDFDSRWLAPHLKAIKTLWLCGTSLEYMRVSMFPTAINLQVLKLYELDFGCQKQLIVSMQLIQKCPNLCELWIMAYEFRGKDDQEAASSLLDDGNDCLFIQELQMLNTIKIEAFSDQSALELLFVKTLLSKSPALERVVIVESLRKNASEVVRKIQGKLECFPRASPNAQIVCTGARMSEDWMDTHGVRLY >itb15g05620.t1 pep chromosome:ASM357664v1:15:3609897:3615576:-1 gene:itb15g05620 transcript:itb15g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFQMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEIQHPAAKLLVLAGKAQQEEIGDGANLTVSFAGEVLQNAEELIRMGLHPSEIIIGYNKAINETVRILDELVEGGSENMDVRNKEEVVSRMKAAVASKQFGLENILCPLIAEACIQVCPKNPANFNVDNVRVAKLLGGGLHNSTIVRGMVLKNDAIGSIKRMEKAKVAVFAGGVDTSATDTKGTVLIHSADQLENYAKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAILKLSQPNPDDLGYVDSISVEEIGGIRVTIVRNEEGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRRVPGAAATEIELARRLKDFSLKETGLDQYAIAKFAESFEMVPKILAENAGLNAMEIISSLYAEHASGNTKVGINLEEGACKDVSTMDIWDLYITKLFALKYAADAACTVLRVDQIIMAKPAGGPRRDPSAAGAMDED >itb13g11810.t1 pep chromosome:ASM357664v1:13:16879338:16898160:-1 gene:itb13g11810 transcript:itb13g11810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPNDLYDTASQPDTGNDAYTFVEFNTPGEEFDYPDFQELSQPIRPSAWPTPSDSLVSEAPDRPPSSDTSPSTKSRYGGAGGNGPGGKGSSNSNQSAAFDALAAGMSGLNFEETGDDEGFEFGKGDFAVEHACRYCGITNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNIFAPLIKLEADYDKMMKESQSKDNLTVRWDVGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQSAMKSFAVDETSVSGYIYHHLLGHEVEMQLVRNTLPRRFGAPGLPELNASQCASKAISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGVLIDESTQATEPECLIPLVLGTKQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREITQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGTKQVILVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNNFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPGQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQSLWNGLLTHFKEHECLVEGPLNNLKQSMVQFQKPRKFYNDHRLFLGGGPGIPGDTFGSASLSNPNSDRRNSRFRGYMATVPLNGTHKPGVHPAYPIPRAPLPPFHGGPPQPYAIPTRGAVHGPVGAVPQVPQPGSRGFGAGRGNASAPIGSHLAHQQASQQPIGSLGSNFNFPALENPNSQPSLGGPLSQPGYVSNMAIQGGNQTFRDGFSMGGMSQDFLGDDFKSQGSHVPYNNVTDFSTQASQSGYGVDYATQGAQGGFPGSFMNPNSQTGYSHFGSGNEFMSQEYMAHGSQDLFTQAGYNDLARDDASQNHFGMSNASTLHSQGILNPLYSQPFGHYNSQPMNLENSQPQQQQAPPGQGSGSQNQKLQYSS >itb01g01030.t1 pep chromosome:ASM357664v1:1:494978:495550:-1 gene:itb01g01030 transcript:itb01g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMHAVDDLEAGNYVAEEDSFSNYMTLHRATVHGKWEEAQKFLEYNNLAIQAPISFRKNTTLHDAAKAGNKDFMEKVVAMMGDNNEVVGVVKNRDGLTALHIAARFGNKEVGEILVEKNRNLLYERCKRGLLPIHYAACNTLRKPEVFSYFLSVTKNDEDPEVDPYAGPTGATILVNLIKSKFYGMTSN >itb01g03750.t1 pep chromosome:ASM357664v1:1:2424913:2425959:1 gene:itb01g03750 transcript:itb01g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPSWADQWGAGGIGAMDEEDNTKTKEEGKKKEGSQGGLGKAKAVAMAGAQKVKNGTSMGIKWVKNKCQKKNNTSST >itb06g04160.t1 pep chromosome:ASM357664v1:6:6735774:6740263:1 gene:itb06g04160 transcript:itb06g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPQTRPDEATIIKQVRETHKPDGRNINVRSTFDVVQNILSSQTATGKTMEEQLHQPDHDTTEVLFKIKELSYQMTSKWSGDGDLHVMRINLLNMLSPYEWEAKAVMILAAFANNHGDQCILSKVSNTNGLAKRVCLLKQISTASAPGTKTTSDNDKQRMSSDVESSIKSFLNLTKIMLDLKECPPHFIRQYLDSHLPPKAIAHITQAVLVWALKLNSSGLAGSKTPDEPLALLKELQDTLNDCHKKIEDKEIQESYEALKLAFSGTDHSDNKHVLKLMFNVKDEQKIMPLKNGETKAEVKVDDLQTDNNVLLLISSGLDISKERAAYLGKVYKDYHPKGHEMIWIPVVNAPNKFWTAEKMNEFESLRALMGFYAVNDPRKSVGPGVHKYVEEDLFQAGEKDFFRIGKEPIVVVLDYKGNFVHKNAMGMILAWGAEASPFDTYKEECLWRVETWGTALVAGTIDPIRQWINASTTQGAGKNLIFLYGGNDIGWARTFNAEVKSSLSSDIEPKMIYVGKNKEVRAMEEGESLDGPSTWLFWARLKSMLLSRIYYLKKTSSKEANDEIVEGLKNLLAHEAPGEIGGWSLLCTKDKVVRCGDGAKMLKVVTDYGTWKGNVKDDKDFHQAFEEYYNNLLFTPEHQHYCGLEYPYYELECPIPFISIIPEKEKCPDCSMDMYKLITLSCCHHHDDYDVQEKREDDAIKQ >itb08g06320.t1 pep chromosome:ASM357664v1:8:5393200:5396771:1 gene:itb08g06320 transcript:itb08g06320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKLRCVIVAVDSSEESMNALKWALANLKLQSSSSAAEENGFLVILHVQSPPSIGTGIKPGAIPFGGPSDFEVPAFSAAIEAHQKRISQAIIDHALKICSDKNIDVKTEVVVGDPKEKICEAVEKFHADLLVMGNRGFGPIKRMFLGSVSNYCSNRAQCPVMIVKDPQ >itb15g04270.t1 pep chromosome:ASM357664v1:15:2700500:2701195:-1 gene:itb15g04270 transcript:itb15g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYNFFPTDLLYPLAQKPVGKDNQAPNQVSLIKSKKVSNVNAEQAKGVIISTKPLKAVSPSEGKSWLHFRIAPIILGFFCSCYYYMSVCIYYPYENYVPP >itb14g04450.t1 pep chromosome:ASM357664v1:14:4005507:4007361:1 gene:itb14g04450 transcript:itb14g04450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRPELSHPSPLLYIHLHSSFQSTHFSTYFLICFLSLGSRDLGMAAHQKIEVDVEVCIGADKFWHSIRDSNTLFPKIFPEVYKTIEVLEGDGKAIGSIRLIKYGEGAPLLTFAKEKIDAVDDEKKTVGYHVLEGDILKHYKHFKAFLSVTPKGDGSLVKWWCEFDKASPEVPEPHFIRDAAVKTFKDLEAFLKA >itb09g03880.t1 pep chromosome:ASM357664v1:9:2135036:2137565:-1 gene:itb09g03880 transcript:itb09g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFLKLFLLLLLLQKCCMAENDRDAVLKKPIVPRKNLSGKLPIIKRLEILSHVANDTTSGQPKDGDGDGHEKPAKVALPLLIMATVIFFVVLLFISIYYYKKSKNLEADLMVIKKQKQKKKNNNNNFTQNSRDHSRSSLNHSQNGVDQSGERKIAVREPISITATTMSEESTERSNGGEAAAADAGGRGKLTFLDSDLRIELDDLLKASAEGLGKGNFGNCYKAMLEEGPTVVVKRLRDLRALSREEFVAHIKSIAQNKHPNLLPLLGYYYAKDEKLLLYKFATNGNLYTRIHKAKGTRERNPFRWNARLSVARAVARAVEYLHLHSAAAAGIPPHGNLKSTNVLLDDSDTVLLTDYGLASLIAPPITAQRMVSYRSPEFQTYKKVCAKSDVWSYGCLLLELLTGRVSSHSAPAGVNGADLCSWVHRAVREEWTAEIFDAEISAQKSASQGMLRLLQIAIRCCEKSPEKRPEMSDVVREVEMIRVNETEDDEDLSLTDNSASSQTPSR >itb09g03880.t2 pep chromosome:ASM357664v1:9:2135322:2135978:-1 gene:itb09g03880 transcript:itb09g03880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEAKGTRERNPFRWNARLSVARAVARAVEYLHLHSAAAAGIPPHGNLKSTNVLLDDSDTVLLTDYGLASLIAPPITAQRMVSYRSPEFQTYKKVCAKSDVWSYGCLLLELLTGRVSSHSAPAGVNGADLCSWVHRAVREEWTAEIFDAEISAQKSASQGMLRLLQIAIRCCEKSPEKRPEMSDVVREVEMIRVNETEDDEDLSLTDNSASSQTPSR >itb03g16970.t1 pep chromosome:ASM357664v1:3:15724121:15727327:1 gene:itb03g16970 transcript:itb03g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGAVDLVFLIWSILCLICVSKEYVPVDNYLVNCGSSENVTVSGRVFLADTLNSGILSTPHRIFAKTSSNLHVPFPFNYDSPLYQTARIFNGTSEFSFPIRKPGRHWVRLHFFPFMDQNYNLSSARFSVSAQNSQNFTLLKNFQPSNASVLKEYSFNISTNRLVLVFTPAANSFGFVNALEVFSLPDEVIPSGAGMNNPQGIRQDLSGHALETIARINMGIAAVPPQNDTLWRVWVPDARYLSSSNLVHFVSKKEAVNYTGSGVTQNIAPPLVYETAERLEQVDFSIRFNATWSFTVDPGFEYFVRFHFCDIVSNSSSRLMFNVFLNSGIVSANLELRTKNVPYYIDVVKRLGNDRDLSITIGPSDVGNVLPDGILNGLEIMKISNSKDSLDAADSEIQSSSTSSKAKLWAILGSSIGAFCLLVFGLVSVLVYRSRRRGSIDRSTGGDEVSKYAEETYSISRSKVWYRIPFKAVQEATDNFSEEMIIGIGGFGKVYKGILRDGTRVAVKRGNHQSNQGFSEFMTEIEMLSKFRHRHLVSLIGYCDEMNEMIIIYEYMENGTLKNHLYGSDLPKLSWRQRLEICIGSARGLHYLHTGSEKAIIHRDVKSANILLDENLMAKVADFGLSKDGPEFDQTHVTTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVMLEILCGKPVIDPSRPRENVNLIEWVMNTLKKGELETAVDPHIKTGLNPESLQKFAETAEKCLAGCGLDRPTMGDVLWNLEFALQLQRKDETTSQNHHDCANVSQPDEISTSTTQFSIGSMGDLAGVSISRVFSQMVKADMKDLNDKI >itb03g16970.t2 pep chromosome:ASM357664v1:3:15724232:15727210:1 gene:itb03g16970 transcript:itb03g16970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNYNLSSARFSVSAQNSQNFTLLKNFQPSNASVLKEYSFNISTNRLVLVFTPAANSFGFVNALEVFSLPDEVIPSGAGMNNPQGIRQDLSGHALETIARINMGIAAVPPQNDTLWRVWVPDARYLSSSNLVHFVSKKEAVNYTGSGVTQNIAPPLVYETAERLEQVDFSIRFNATWSFTVDPGFEYFVRFHFCDIVSNSSSRLMFNVFLNSGIVSANLELRTKNVPYYIDVVKRLGNDRDLSITIGPSDVGNVLPDGILNGLEIMKISNSKDSLDAADSEIQSSSTSSKAKLWAILGSSIGAFCLLVFGLVSVLVYRSRRRGSIDRSTGGDEVSKYAEETYSISRSKVWYRIPFKAVQEATDNFSEEMIIGIGGFGKVYKGILRDGTRVAVKRGNHQSNQGFSEFMTEIEMLSKFRHRHLVSLIGYCDEMNEMIIIYEYMENGTLKNHLYGSDLPKLSWRQRLEICIGSARGLHYLHTGSEKAIIHRDVKSANILLDENLMAKVADFGLSKDGPEFDQTHVTTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVMLEILCGKPVIDPSRPRENVNLIEWVMNTLKKGELETAVDPHIKTGLNPESLQKFAETAEKCLAGCGLDRPTMGDVLWNLEFALQLQRKDETTSQNHHDCANVSQPDEISTSTTQFSIGSMGDLAGVSISRVFSQMVKADMKDLNDKI >itb01g13480.t1 pep chromosome:ASM357664v1:1:13672426:13676363:-1 gene:itb01g13480 transcript:itb01g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKSKTASEVALLDISHTQSSLGVRTRAKTLALQRLQRSTAAAPGTTSSGCGDCGSYMQLRSRRLQKPPIGSQPRRHKPAPKDSNPSPKANQNQNKSSRASSKLRQVSSANSRSVNKGDECLDDEKKEDNILQESNVGVEKTENNVNNGDDIAVEGSFGENLPDFEGRERTTRESTPISLIRDPDAIPTPGSSTRRTSTNQAHRRVQNSPEGHIPTIHEMNEFFSGAEEQQQREFIEKYNYDPVNDRPLPGRFEWQKIDP >itb09g28050.t1 pep chromosome:ASM357664v1:9:28675119:28675697:1 gene:itb09g28050 transcript:itb09g28050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNTSRGVTRGRQRVPLARIENEVQRLVTFSKRRTGLFKKASEMSTLCGTEIAMVVFSPSGKPFSFSNPDMNTVLTKYFGEIPNIEANVHEHIIRAHRDAKMRAMTSQINVLEAQIDEEMLVNQALREVEKGRPSISDLQLPELQLMKQQMETLLYQVTEKLNMFSMMGAQSQAREARFGGNYGAGPSGV >itb14g15940.t1 pep chromosome:ASM357664v1:14:19253469:19262750:1 gene:itb14g15940 transcript:itb14g15940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWVLILVMSLALSFGGEPADGGSCGPSPVKFEVGLVVDERSPVGKIVRKTVEMAVDEFYAINTEHETKINLHLRNSAGDPLLAFSNAVDLLENVKVGAIIVPELWNEVTLFARLSDKARVPMFSFSSVLSPNAYPYFVQISQDGDTGLEGIVAFVKRANWSNVILIHDGTEYGLNDLSRMIDLFQEVGVRVVHKTTISHSAEEPQIIEELNKLKKMETSIFIVHLSASLTPLLFENAKRLGLMAKGYAWIVSDKTMNFLHTFDGAIIESMQGSLGFKPYIPASGKLQNFTKEWLRKSNIEASMELNVFGLWGYDAVWALAMAIEKAGKRIPPTEERCRDLLNLTSIGISSNSSSLVLEEVLNSRFVGLSGEFQFQNGKLASKTCEVVNVIGPGGRRAGFWTLGVGFTVQTPSNKSMNFSSSDLDSIIWPGPSLTIPMRDWLGKTLRVAVPWKQVFKEFVEVHHDVRSNTTSIKGFSVDVFLAAIESLSYELSFEFVPYLVVDDNGTRHSNYNELVYQLYLQKFDVVVGDITITSNRSSYADFAMPYTDLGVGTVARLGNTGPWFFLQPFNIDLWMMIAGSFITTGVIVWMIEHERNEDFQGSLGQQIGTVLWFASSTLVYAHRERLVSNWSRFVVSVWLFVVLIISSSYTATLSSLLTLERIKFAKGDYLGYRYISEGVVFNNTNFADTRLRRYHSPEDYREALSRGSEKGGVGGIIDEIPYLKCFLAKYPSEYALVGTAPTTNGFAFAFQKGSALVPEISEAIVRLRVEGKLKELEDKWFKNQSSLLPLANAGTQLNTLSLDNFRGLFLVSGTSKAIAFIVFIIFALNKKLSIYHHILKIALGGKLAFMISEAGSEATDNPSWIDPGLETGYSRKDSGESWPDSGSDWSDDRKLEEFDCLMGKEKWVFSEKRKLEGVLVGLRR >itb05g13000.t1 pep chromosome:ASM357664v1:5:19836653:19837845:1 gene:itb05g13000 transcript:itb05g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTYKLTVQYDKTYLLRMVNAVMDNILFFSIANHQITVVGTDGAYTKPLTSDYITISPGQTIDFLLHANQTPNHYYMATKAYNSARAISFGRTTTTTAVLQYAGNYTPTSPLPFPVLPAFNDINASVNFTGRLRSLADANHPIDVPLNISTNLFFTVSINTLSCNNTNSTCTGPNGKRFAASVNNNSFVLPQIDILEAYYYHIDGVYSDNFPSFPPLVFNFTASNLSTISQTANRTTEVRVLEYNETVELVLQGTNLVAEIDHPMHLHGYSFYVVGWGFGNFDKDKDPLNYNLVDPPLQNTIAVPKNGWTAIRFKANNPGVWFMHCHLERHTSWGMEMAFIVKDGNGTNEKLMPPPPDMPKC >itb03g04610.t1 pep chromosome:ASM357664v1:3:2935636:2936085:1 gene:itb03g04610 transcript:itb03g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARREIWMLHLRSEKGMVENGIVLDGVTYTSFITGLCLQGRVSIAERMLREMSGSGIKPDDATYTMVIDAICKNGDVKMGYTSDLQLQKILTPCPTLPQSVVSGTENCKVLKLTSRFIEDLLDGVKGSCIYIKLLFSVLTSSFEFAS >itb07g08940.t2 pep chromosome:ASM357664v1:7:7581888:7583689:1 gene:itb07g08940 transcript:itb07g08940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSNLKPPSPLTLDLDLDLNLKMPSNDVTAENSHRRLFDALSLGDDLCVAAQKGVAEDEDIEKLSPLRGGGRAGGTEVRSVVRRQEREREIEKEETRAAHHNHSPPTPPNKASTATTEMSEMTHASQLGVEWEFHYTAATPDYRHCREEKLPKGSCTGEEHGKAITAKTSRRRRPLYCFAKGKAVGLLSRGYRRAATSLPLHISCCRQEEGTTTA >itb07g08940.t1 pep chromosome:ASM357664v1:7:7581957:7583689:1 gene:itb07g08940 transcript:itb07g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNDVTAENSHRRLFDALSLGDDLCVAAQKGVAEDEDIEKLSPLRGGGRAGGTEVRSVVRRQEREREIEKEETRAAHHNHSPPTPPNKASTATTEMSEMTHASQLGVEWEFHYTAATPDYRHCREEKLPKGSCTGEEHGKAITAKTSRRRRPLYCFAKGKAVGLLSRGYRRAATSLPLHISCCRQEEGTTTA >itb15g14500.t1 pep chromosome:ASM357664v1:15:12789003:12789278:1 gene:itb15g14500 transcript:itb15g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPGTEEVLLDIQEEEKESSLFRHSEKLAIAFGLISSLPPSSIRIMKNLHICSDCHSAAKLISNRKIVIRDRHRFHHFKDGSCSCMEFW >itb04g06640.t1 pep chromosome:ASM357664v1:4:4331916:4339003:-1 gene:itb04g06640 transcript:itb04g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKKKVQLWKKAIVHFLLCFVMGFFTGFAPAGKASIFSGRLSVSKAQANSTGKAVEIPHGTRTQGENLNGTLLGRNFSAEPARSNNASKPAFSKKLQAKGVAEDLDPRRLIIIVTPTSGKNQLRGVLIRRLASTLRLVPQPLVWVVVEQQSEDSGVSEILRKTGIMYRHLVSKENFTDIQEELDHQRNVALNHIEHHRLSGIVHFAGLFNVYDLSFFHQLRSIEVFGTWPVALLSANKNEVVIEGPVCDSSEVIGWHLKRVNINNNQTDDDQKPAIRVSNFAFNSSILWDPERWGRTSSLQDTSQDSLKFVRKEVIEDEAKLMGIPQEDDCSKNNNGSFLRSGNSHSQTYKRMGRKGSWFSSVKKAFSPESKEKKEQKANKSKNRLLGKEKLDVPNSATLETSTASPHHQEEVKLAEVEKEVATSAVAEDAIVAAAEAVQSAEVAKFSGKSNEEEEAAAKVVQSTAPAKFSGKSKEEAAAIRIQTAFRGYQGRMAFKASRGLVRLKSLIEGPPVKRQTANALKCMQTLSRLQSQIHSRRNRMLEENQALQRQLLQKHAKELENFRRGDEWDDSLQSKEKIEASLLSRYEAAMRRERALAYSYSHQQTWKKSSRSPNLLFMDPTNPQWGWSWLERWMGAQHPSEAHGTAERELKTDQISAKSVNLGLSVGEITKSFARHQLNAEQPSSPASQKPSRARPSNHHSPAMTTKQPSSKKPKPPSPRVRVASQDDDAKSVFSVQSDRNRRHSIGGSSVRDDESLASSPSFPSYMASTQSAKAKTRATQSPLAVENWTPEKGSIGSVKKRLSYPPSQALSRRHSGPPKMDTSIAEPETNGEIN >itb11g03250.t1 pep chromosome:ASM357664v1:11:1683821:1685121:-1 gene:itb11g03250 transcript:itb11g03250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVILLDFWPSMFGMRVRIALAEKEIKYEYREEDLRNKSELLLKMNPVHQKIPVLIHNGKPVCESTIAVEYIDEVWKDKAPLLPSDPYEKSQAKFWADYIDQHKINMLGYQLWSTKLGEDEHEAISKKLVECLKVLEGALGNKPYFGGESFGFVDIALITYNSWFYSYETFGGFNLKEECPKLITWAKRCMEKESVSKSITDPNKIYEFVKSARKLRGIE >itb04g08260.t5 pep chromosome:ASM357664v1:4:6108145:6113930:-1 gene:itb04g08260 transcript:itb04g08260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MREKLEHRKLVMGNTSMNSKVLKEKVKKEEDQLSNDIRSLLVAGTALSLAKKRLQDANRSLAGEMGCGHLKSLQRFVRLRQQFMVSQVQLLYPVKVTTGHAPEQELESFTSIIKSGNYTGQKPLDQGSLTISGLHLTVIPFTKKSFFTDKKEVQRSATALGYVAHAVSLIASYLQVPLRYPLRLGGSRSYVRDYAPSIEPSSLDVISDSLVSTNLKPVEFPLFLEGQDSTRAAYAVFLLNKDLEQLLNYIGARSLGPRHVLANLRELLRTILAPEYIDT >itb04g08260.t4 pep chromosome:ASM357664v1:4:6108204:6113929:-1 gene:itb04g08260 transcript:itb04g08260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKTVVSSEGGKRNHDEKVKVLQWEDYEQELARLCSLTAALNEAKQKKQLLEEKLQSTLWLEAESLNCSNELDEMREKLEHRKLVMGNTSMNSKVLKEKVKKEEDQLSNDIRSLLVAGTALSLAKKRLQVQLLYPVKVTTGHAPEQELESFTSIIKSGNYTGQKPLDQGSLTISGLHLTVIPFTKKSFFTDKKEVQRLYHSLLPIYKFLFAILCD >itb04g08260.t1 pep chromosome:ASM357664v1:4:6108145:6113930:-1 gene:itb04g08260 transcript:itb04g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCGLQLEAESLNCSNELDEMREKLEHRKLVMGNTSMNSKVLKEKVKKEEDQLSNDIRSLLVAGTALSLAKKRLQDANRSLAGEMGCGHLKSLQRFVRLRQQFMVSQVQLLYPVKVTTGHAPEQELESFTSIIKSGNYTGQKPLDQGSLTISGLHLTVIPFTKKSFFTDKKEVQRSATALGYVAHAVSLIASYLQVPLRYPLRLGGSRSYVRDYAPSIEPSSLDVISDSLVSTNLKPVEFPLFLEGQDSTRAAYAVFLLNKDLEQLLNYIGARSLGPRHVLANLRELLRTILAPEYIDT >itb04g08260.t3 pep chromosome:ASM357664v1:4:6108204:6113929:-1 gene:itb04g08260 transcript:itb04g08260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKTVVSSEGGKRNHDEKVKVLQWEDYEQELARLCSLTAALNEAKQKKQLLEEKLQSTLWLEAESLNCSNELDEMREKLEHRKLVMGNTSMNSKVLKEKVKKEEDQLSNDIRSLLVAGTALSLAKKRLQDANRSLAGEMGCGHLKSLQRFVRLRQQFMVSQVQLLYPVKVTTGHAPEQELESFTSIIKSGNYTGQKPLDQGSLTISGLHLTVIPFTKKSFFTDKKEVQRLYHSLLPIYKFLFAILCD >itb04g08260.t2 pep chromosome:ASM357664v1:4:6108145:6113930:-1 gene:itb04g08260 transcript:itb04g08260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKTVVSSEGGKRNHDEKVKVLQWEDYEQELARLCSLTAALNEAKQKKQLLEEKLQSTLWLEAESLNCSNELDEMREKLEHRKLVMGNTSMNSKVLKEKVKKEEDQLSNDIRSLLVAGTALSLAKKRLQDANRSLAGEMGCGHLKSLQRFVRLRQQFMVSQVQLLYPVKVTTGHAPEQELESFTSIIKSGNYTGQKPLDQGSLTISGLHLTVIPFTKKSFFTDKKEVQRSATALGYVAHAVSLIASYLQVPLRYPLRLGGSRSYVRDYAPSIEPSSLDVISDSLVSTNLKPVEFPLFLEGQDSTRAAYAVFLLNKDLEQLLNYIGARSLGPRHVLANLRELLRTILAPEYIDT >itb13g11380.t1 pep chromosome:ASM357664v1:13:16160744:16161687:-1 gene:itb13g11380 transcript:itb13g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGLSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVRDYECNKSIRRQKDHPKMIISWLLRTNEIRWFYFSXKIQQKTETNPLSVLRQAIRGVTPNITVKARRVGGSTHQVPIEIGSTQGKALAVRWLLAASRKRPGRDMAFKLSSELVDAAKGSGDAIRKKEETLRMAEANRAFAHFR >itb13g03750.t1 pep chromosome:ASM357664v1:13:3720674:3724921:-1 gene:itb13g03750 transcript:itb13g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLNSGFRVLLCFVFVAFQLSCHRGSSEPVGDKQALLAFLSKTPHNNRLKWNASAPACSWVGVECDANRSFVFALRLPAVGLMGDIPAGTLGRLSQLRVLSLRSNRLTGSLPRDFSNLVHLRSLYIQDNRFSGEFPASLAESTRLVRLDLSSNNFTGAIPFTVNNFTNLTRLYLQNNGFNGKLPAINLPELSDLDVSNNRLDGEIPSTLSKFPASAFAGNIDLCGGPLPPCNQNSSSPTPSPSPSLQAKNTPPHKNSKKLSTAAIVGIVIGSVIGFLLLLLILFFCLSKKTEQAPNTAKPPTTANAAPAKPAAEAGTSSSKDDVTGASAGAERNRLVFFPGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVTVNRKEFEDQLEVLGKLKNENVLPLRAFYYSRDEKLLVFDYMPAGSLSALLHGSRGSGRTPLDWDSRLRIAVSAARGLAYLHVSGEVVHGNIKASNILLKQDNYDACVSDYGLNPLFSASAPANHRVAGYRAPEVLETRKATYKSDVYSFGVLILELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRFHNVEEEMVQLLQIGMACVATVPDQRPQMTNVVRMIEEIHRSETDDGIRQSSDDPSKGSDDRSKGSSPHGITP >itb13g21380.t1 pep chromosome:ASM357664v1:13:27986004:27988371:-1 gene:itb13g21380 transcript:itb13g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYEEERSLEELESEEEVEEVVDGDDDDDEGEVEAGEDDDGEDDDDDDVDDDEEDEQEAPPNSSAPEVHTVDDDDDDDGDGDDGEEDDEGDDDDDDDDDDEDDEDEEGVEEEEDLGTEYLVRPCAPAEDEEDTSDFEPEENGEEDEIEGEEDDDDDDAGGKVEAPPKRKRSGKDDSDDDGGEDDDRPSKR >itb12g02950.t1 pep chromosome:ASM357664v1:12:1926117:1929541:1 gene:itb12g02950 transcript:itb12g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDGIDMSALKSELTETDTNWKQEMEKSQCEVDALQAKLLEVKDCTQDSEEDEKKELDVLWRRVRTAATLMTHLKVKARVLAVPHLAHMICGIKQLDGTGLVDRNGIPLPGWSRDVDLPAFDDSDEEAWIKLSSEQGSLHDQDGAYITELLRCVQTVTDVMENLVKRVILAESETAVEKKKVTVGEEEIRKKALEIENMSIKLEEMERFAMGTNCILNEMRQRVEDLVEETAQQRQRAAENEQELCRVKRDFESLKSYVNSLISVRETLLSSEKQFQTIERLFERLVEKTTQLESEKMQKEAEVQKLMEENVKLTALLDKKEAQLLAMNEQCKVMALSASNI >itb12g00140.t2 pep chromosome:ASM357664v1:12:160337:161382:1 gene:itb12g00140 transcript:itb12g00140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTGFSSAQILVIPGSKNYGYRQRKLFFFISTYNCKLRSSTGSNYYTTAKVEAAETAGNRTPGSHLLVDYFTDCLNFSRDEAISAVAKLSPYKSQANAAIVVDYLKRIGMEQTHIKAAVSKFPKLLLYHPDKNLHPKIQCLEEFGISGRDLVDFVARHPFFLARGLETHLRPTLHLIRQAAGSNQNAAKALKRFGRLLSYSSCKAIENNILLLQEAGLSDDQIQRFIVERPCYLTSKPAWIGNILTRVETDFGISRSSPAFYCGLLVAAALNKSTVDKKLEIFRSYGWSNSEISTMLQKLPQPLTLSEARLKKFGEEDHP >itb12g00140.t1 pep chromosome:ASM357664v1:12:160102:161620:1 gene:itb12g00140 transcript:itb12g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTGFSSAQILVIPGSKNYGYRQRKLFFFISTYNCKLRSSTGSNYYTTAKVEAAETAGNRTPGSHLLVDYFTDCLNFSRDEAISAVAKLSPYKSQANAAIVVDYLKRIGMEQTHIKAAVSKFPKLLLYHPDKNLHPKIQCLEEFGISGRDLVDFVARHPFFLARGLETHLRPTLHLIRQAAGSNQNAAKALKRFGRLLSYSSCKAIENNILLLQEAGLSDDQIQRFIVERPCYLTSKPAWIGNILTRVETDFGISRSSPAFYCGLLVAAALNKSTVDKKLEIFRSYGWSNSEISTMLQKLPQPLTLSEARLKKVLNFLMNELGYGSHYLAFRPVLLKYSLEKKIIPRSQVLKILEENELRACSLFTAIVMSESKFFGEYVLPYKNKLPDMYQKYITSTAK >itb05g17290.t1 pep chromosome:ASM357664v1:5:24279597:24281407:-1 gene:itb05g17290 transcript:itb05g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLVKKANFLEWKREHGHREALVMHRYHVTGRDDYKKYSGLCRMTQKLVNILKQMDARDPFRIEMTDALLEKLYNMGVISERKSLALCDKLSVSSFCRRRLSTILVRLKFAEHLKEAVTYIEQGHIRVGPDTITDPAFLVTRNMEDFITWVDTSKIRRKVLEYNEKLDDYDALNS >itb05g20430.t1 pep chromosome:ASM357664v1:5:26514409:26516756:-1 gene:itb05g20430 transcript:itb05g20430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRSKYLVILIPFLICILVVSSLVCILVIHFLRHPTTTHCNPSSCGSIHNIAFPFRLITDPQHCGNPDFQLTCEQNRTVLALQSRKYYVQSINYDNYTVRVVDPGVQQNNICSFPRYALAQYNFFRYDDSYPYDIATPDKKTPNGLQYPTLITVPIIFLRCPFPMKSSAFVQTNEDCWNESSDSYGGHTYAKVGPLYAADLKISCRVELITMTSWRIQENVSSQKVSLLEIHDALMYGFELSWFQVLCNQHCWSGGCYLHDASNEIHCFNLLRDAIYAAIIISGTRIIGFPCAIVFLIIRFRRRHLSVFEEIENFLSAENNLLPIRYSFKHIKNMTKAFKEKLGEGGFGSVYKGKLRSGRDVAVKIISKPKSNGQDFINEVASMGRIHHVNIVRLVGYCTQNSKRALVYDFMSNGSLDKYINQGASASLLNWQRKFEIAVGVARGIDYLHRGCNIQILHFDIKPHNILLDENFIPKISDFGLAKLFPTDKSIVTLTAARGTIGYVAPELINKSIGAISHKADVYSFGMLLMEMLGLKKIPVIGQDESSQYFPSWIYNDINKGKAIEMGELDEDEKRITKKMTIVGLWCIQTSPIPRPSMSRVVEMLEGDVELLQMPTDTFLSEPIMEVDQEHSSMPESSESIAFLPNSNRIGIIVD >itb07g14400.t1 pep chromosome:ASM357664v1:7:17069504:17070486:-1 gene:itb07g14400 transcript:itb07g14400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTLVIQCLSLLILFSTTASLSETLHTIPRLTPFYRSNLRRPQSSSFNILPPEFRTYYYNQTLDHFNYRPQSYATKSNSPIFAYLGAESPINYDPLDIRFLTDNAPRFKALLHRYYGESVPFGTTEEVLKNENIRGYFNSAQALADYAELKYPHVALGALASSAPILYFDNITPQNGYHSIVTKDFREAKLVRVVIKP >itb02g11410.t1 pep chromosome:ASM357664v1:2:7625827:7627724:-1 gene:itb02g11410 transcript:itb02g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MAAIPNLNLILEALSLNPKLHGATASRKRAAAIHFADKKAPEIQENQPEVTKRRLAVGLASIAVFGSSGIGKSLAEDNGFWLTGPLPIPTVTSDITNKETGTRSFVKNQMYIANIGTKGSMYRLNKCAFDLMALGDLIGSDAWSYVLRYLRIKATFMYFDFDKVISTAEPNEKQPLTDLANRLFDNIEQLEDAVKRRNLSLTESRYEGTLVLLQEVMSKWPKILNTSFEVKSMK >itb03g22180.t1 pep chromosome:ASM357664v1:3:20233983:20236235:1 gene:itb03g22180 transcript:itb03g22180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWLLCPLQSQCFSKQYHHSLLQLVTTTVGSSTATVQLTAKIPDIEKCLILLPLCKLRRPPVRTLHFISEVQGSSKLYYALKWEMQERPC >itb10g22000.t1 pep chromosome:ASM357664v1:10:26997395:27000770:-1 gene:itb10g22000 transcript:itb10g22000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVRVDESLERSTSSSSLFSSNSGSSRDDEEKRLPLLALNHVSFVCKSVSNSVEFYERVLGFVMIKRPSSFDFEGAWLFNHGVGIHLLGAENVPKKKGKINPKDNHISFQCSDMDLIIQKLDEIGIEYVTAKVKEGGVIVDQLFFHDPDGYMIEICNCQNLPVLPLSSSCPLKPKFPNAITTQQPPSSFYGKVMSKMQCAVEVEHLMMENLAMDMMDISF >itb02g02620.t1 pep chromosome:ASM357664v1:2:1533479:1537313:1 gene:itb02g02620 transcript:itb02g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWGGMWPSESGIGLLVSSPGKFWEERIDGGGGARGWTLSGCSYACTMGVVLIILTCQLLNRIRSSRLRLSLSSSSSSSSFLCKSAGKGDVAPPCSGTNREPAAHISSSLLPQSRISTIISDLDLKDLIENLDEKLNCNEKWEHVIDRRADLFSYTAKCCKPKDGPHKYISGTVFENCSIELLRDFYMDYDFRQTWDKTLSGHGQIQVDTGSGTEIGWMIKKFPLMTPREYVIAWKLWEGSDGSIYCFSKECEHPLIPRQKKYVRVALFRSGWRIKKVSGRNACEIKMVYQEDSGMNVEMARLAFAKGIWSYISKMHTAFRKYSTIGHSQLNSGVTAITLIQKVPPELEAISNTTDAIHPGTSTSSAHLHHGLPHETSARKLLSKPSIKLMKSAFILIGGAICLSRGHSNLGVKVAMACILGNLTKQNASAFKKQKSKERGGLAGLTSHEDCGTIQ >itb03g24660.t1 pep chromosome:ASM357664v1:3:23467437:23468771:-1 gene:itb03g24660 transcript:itb03g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKLSCCSSLALTTMILLSCHCIALEAKLQVGFYRNSCRAAELVVKDEVRKAFYRDRGVAAGIVRVHFHDCFVRGCDGSVLIDSTPANKAEKDSPANNPSLRGFEVIDNAKARLESICKGVVSCADILAFAARDSVEITGGLGYDVPAGRRDGRISLASEVLNNLPTPFNNVNQLTTLFCNKGFTQKEMVILSGAHTIGRSHCASFSNRLYNFNKTTGQDPSLDPLYAAKLKQQCPQGSKDPNLVVQMDPATPAIFDVAYYTDVLANRGLFTSDQTLLTDPSTAKQVSQNARTPFRWKTKFAAAMVKMGKIGVLTGNAGEIRANCRVVNR >itb11g17290.t1 pep chromosome:ASM357664v1:11:17051066:17055952:-1 gene:itb11g17290 transcript:itb11g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSNSTRKSTRGRPKKVIDLTEDVTEVMVEATGSAVGNEMPAKRKASSNSDSSRKSKRGRRKAVVDSAEKDSELNELAAAEEESDDVAGGNLMLSKASPPERKRVTTNVPNDEDSEFVGGPILEEEAKQRWPHRYQKGTEKSNGVTRSITSQYESAGVLQAKKHYTAAKVDGLVYKLGDDAYVKAGEGEDNYICRIIEMFEAVDGVLYFTAQWYYRAKDTVIKGCDEFVDKQRVFFSEVKDENTIDCLVDKVNIHRIPSNDNSNSRDNIKADCDLYCDTMYLIPCSTFLNLPPDVTASSSESNSTISSEMDVAESAEAKEDSSEMTLLDMYSGCGAMSTGLCLGANSCGVNLVTKWAVDLNQYACESLRLNHPETNVRNEPAEDFLALLKEWEQLCVSCLLIKGNCSPHPHLNISDIDEEAEDENSEDDEGVDNGNGEVYEVEHILDVCYGDPNGIKKPGLYFKIHWKGYGADYDTWEPIDGLSDCPLKIKDFVVNGFKAKRFPLPGGVDVICGGPPCQGISGFNRFRNTKNPLQDPKNKQLKVFMDMVDFLRPRFVLMENVVDLLKFSNGFLGRYALSRLVGLNYQARLGMMAAGAYGLPQFRMRVFMWGALRGEKLPQYPLPTHNVVVRGVIPTEFELNTVAYDEGHDAKLKKELFLGDAIFDLPPVENNEKRDDMEYVDKPGSEFQQFIRLGRDGLLGTVLHDHRPLQLNDDDYQRVCHIPKAKGANFRDLPGVSVVDNKVQWDPNVERVYLSSGKPLVPDYAMSFVGGTSSKPFRRLWWDETVPTVVTRAEPHNQAILHPLQDRVLTIRENARLQGFPDYYKLCGPIKERYIQVGNAVAVPVARALGYSLALAFKGLSGLEPTIRLPEGYGEIAAALVDQMSPAEVSQ >itb07g20060.t1 pep chromosome:ASM357664v1:7:24530790:24532732:-1 gene:itb07g20060 transcript:itb07g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive patatin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT3G63200) UniProtKB/Swiss-Prot;Acc:Q93ZQ3] MMESELSKMTQEIFSKLEQKWLYHCEGRKTRVLCIDGGGTTGIVSAAALIHLEDQICAKTGDPNARIADFFDVIAGTGIGAIFATMLTADGGNGRPLYTAKEAFAFVREKQAELFKKKHVGVFGRRRKFSGKSMDKVLKEALRREDGTVLTLKDTCKPLLVPCFDLNSSAPFVFSRADASESASFDFELWKVCRATSANPSIFKPFPLKSVDGKTFCLAVDGGLVMNNPTAAAVTHVLHNKRDFPSVTGVDDLLVLSLGNGSFSASPNSKLRNNDYCSTASVVGIVLDGVSETVDQMLGNAFTWNPNGYVRIQANGLASGLGEKVEDVLGERGVESLPFGGKRLLTETNGQRIGGFVQRLVASGRSSLPPSPCKENAVSPLTNGR >itb03g08750.t2 pep chromosome:ASM357664v1:3:6584873:6589170:1 gene:itb03g08750 transcript:itb03g08750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMALRRLSSSIDKPIKTLYNGGGSLYYMSSLSSEAVYEKEKCRVSWPKQLNASLEEVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYDRIRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEVNKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNCSKFAQTLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDEAVKLAVKIKAATQGTKLKDFVATLQSSDAIQSEIAKLRHDVEEYAKQFPTIGFEKHTMKYKN >itb03g08750.t1 pep chromosome:ASM357664v1:3:6584873:6589170:1 gene:itb03g08750 transcript:itb03g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMALRRLSSSIDKPIKTLYNGGGSLYYMSSLSSEAVYEKEKCRVSWPKQLNASLEEVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPSNFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYDRIRKVCDKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEVNKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNCSKFAQTLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDEAVKLAVKIKAATQGTKLKDFVATLQSSDAIQSEIAKLRHDVEEYAKQFPTIGFEKHTMKYKN >itb08g06600.t1 pep chromosome:ASM357664v1:8:5624813:5627968:1 gene:itb08g06600 transcript:itb08g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNGAPSFCFFLASVLLAAFSFSGSAAGFRVSDNGPLSDSEVHYIQRRQLLYYRDEFGDRGERVAVDPSLTFENDRIRNAYIALQAWKQAIISDPRNTTANWVGSSVCNYTGVFCAPAPDNPKIRTVASIDLNHDDIAGYLPEELGLLADLGVFHINSNRFCGTIPKKFKNLKILFELDLSNNRFAGKFPYVVLSLPRLIYLDIRFNEFEGTVPSELFDKPLDAIFINHNRFAFELPDNFGNSPVSVIVLANNKFHGCVPSSIGNMSNLNEIILMNNGLRSCFPPEIGMLKNVTVFDVSFNQLLGPLPEKIGEMVSLEQFNVGHNMLSGTIPQSICKLPRLENFTYSYNFFTGEPPACLALPAFDDRRNCLPTRPNQRSAAQCKAFLSKKIHCGAFRCKKFVPTFPPPPPPSPPPPVFVPVSPPPPPPVYSPPPPVYSPPPPPPSPPPPVYSPPPPVYSPPPPPPSPPPPVYSPPPPPPPVYSPPPPPPSPPPPSPPPPSPPPPVMSPPPPSPPPPSPPPPSPLPYCVRSPPPPPPNSPPPPPPLYSPPPPSPYYYNSPPPPSPHSPPPPPHSPPPPPHSPPPPPAYVYPSPPPPPIYSSPPPPHSPPPPSPVPCIEPPPPPPPCIEPPPPPSPSPPPPYVYLPPPSPSPPPPVHYNSPPPPSPSPPPPVYYPSPPPPSPSPPPPVYHHSPPPPAITYESPPPPTPVFHGPLPPVIGVSYASPPPPPFY >itb13g00800.t1 pep chromosome:ASM357664v1:13:718380:721016:-1 gene:itb13g00800 transcript:itb13g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGEASKPSLQLQNTCADIGGGGDGGDEPSGATTGTETSEEAQVGGSDSEETLDTVDSPSIQLDKSASRPDSLATSSSHVLSEVPIEYSLHPSEFLKEIKDEVGISNQKASTVQAQRRNQLQSADDPSVLELSPTSVTQSISSIPSPTPGERRLSPLENRNGACIQEVDNQNSSNSKALSLVPVLKIQAPDGYNWRKYGQKQVKSPQGSRSYYRCTYSDCCAKKIECSDHTNRVTEIVYRSPHNHEPPRKVNTPKVNKLAISSMPRSQDSKVARLNSNADETVPSTSKKHVKETIPISETKQQDFSGLDDNAETNVKREDCDEPTQKKR >itb14g06770.t1 pep chromosome:ASM357664v1:14:6033752:6035961:-1 gene:itb14g06770 transcript:itb14g06770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSCPAPASLPLSLSAIYDGRRNYELRQATAHQHFSQLCTESQEKKLNMGASSGQRLSGMQKQVLSLYRGFLRAARAKPPIERRQIESLVSAEFRHNAKQVDRKNFMYIEYLLRRGKKQLDQLKSPDIVGLSSLSVDSSQTKRL >itb03g05580.t2 pep chromosome:ASM357664v1:3:3942528:3946743:1 gene:itb03g05580 transcript:itb03g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNLLQGWDNATIAGSVLYIKKEFDLETQPTIEGLIVATSLIGATIITTFSGPVSDTVGRRPMLIVSSVLYFLSGLVMLWAPNVYTLLLARLLDGFGIGLSVTLVPVYISETAPPEIRGLLNTFPQLTGSGGMFFSYCMVFGMSLKESPNWRLMLGVLSIPSLVYFLLAIFYLPESPRWLVSKGKIKEAKTVLQQLRSREDVSGEMALLMEGLDVGGDVSVEEYIIAPDNDLADDNQDQVVDKDQIKLYGAEEGQSWVAKPVIGQSTLGMVSRGSMVASQSSFIDPMVTLFGSVHEKLPENSGSMKSMLFSNFGSMFSVPENKNEHWDEENQRDGDRNESDESGNESDDNLRSPLLARQDSNTGKDTDARLGNEGDEVSSVGIGGGWQLAYKKDERKEGALRRIYLHQEGGPESRRGSMISVAGGDNAEGECIHAAALVSQSVLRTEDIMGQKPIEEAMVKKSKPTEPGWKELCEPGVKQALIVGVGLQILQQFSGINGVLYYTPQILQQAGVSLLLANLGLSSDSASILISAATTLLMLPAIGVAMKLMDLAGRRWLLLTTLPVLLLSLVTLVVGTAIDMGQVMNAVISTTSVIFYLCCFVMGYGPIPNILCAEIFPTRVRGKCIAICALTFWICDIIVTYSLPLLLSYFGLRGVFGMYTVVCAVSWVFVFLKVPETKGMPLEVITEFFAVGAKQKSD >itb03g05580.t1 pep chromosome:ASM357664v1:3:3942329:3946743:1 gene:itb03g05580 transcript:itb03g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNLLQGWDNATIAGSVLYIKKEFDLETQPTIEGLIVATSLIGATIITTFSGPVSDTVGRRPMLIVSSVLYFLSGLVMLWAPNVYTLLLARLLDGFGIGLSVTLVPVYISETAPPEIRGLLNTFPQLTGSGGMFFSYCMVFGMSLKESPNWRLMLGVLSIPSLVYFLLAIFYLPESPRWLVSKGKIKEAKTVLQQLRSREDVSGEMALLMEGLDVGGDVSVEEYIIAPDNDLADDNQDQVVDKDQIKLYGAEEGQSWVAKPVIGQSTLGMVSRGSMVASQSSFIDPMVTLFGSVHEKLPENSGSMKSMLFSNFGSMFSVPENKNEHWDEENQRDGDRNESDESGNESDDNLRSPLLARQDSNTGKDTDARLGNEGDEVSSVGIGGGWQLAYKKDERKEGALRRIYLHQEGGPESRRGSMISVAGGDNAEGECIHAAALVSQSVLRTEDIMGQKPIEEAMVKKSKPTEPGWKELCEPGVKQALIVGVGLQILQQFSGINGVLYYTPQILQQAGVSLLLANLGLSSDSASILISAATTLLMLPAIGVAMKLMDLAGRRWLLLTTLPVLLLSLVTLVVGTAIDMGQVMNAVISTTSVIFYLCCFVMGYGPIPNILCAEIFPTRVRGKCIAICALTFWICDIIVTYSLPLLLSYFGLRGVFGMYTVVCAVSWVFVFLKVPETKGMPLEVITEFFAVGAKQKSD >itb03g05580.t3 pep chromosome:ASM357664v1:3:3942528:3946743:1 gene:itb03g05580 transcript:itb03g05580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVALAAAIGNLLQGWDNATIAGSVLYIKKEFDLETQPTIEGLIVATSLIGATIITTFSGPVSDTVGRRPMLIVSSVLYFLSGLVMLWAPNVYTLLLARLLDGFGIGLSVTLVPVYISETAPPEIRGLLNTFPQLTGSGGMFFSYCMVFGMSLKESPNWRLMLGVLSIPSLVYFLLAIFYLPESPRWLVSKGKIKEAKTVLQQLRSREDVSGEMALLMEGLDVGGDVSVEEYIIAPDNDLADDNQDQVVDKDQIKLYGAEEGQSWVAKPVIGQSTLGMVSRGSMVASQSSFIDPMVTLFGSVHEKLPENSGSMKSMLFSNFGSMFSVPENKNEHWDEENQRDGDRNESDESGNESDDNLRSPLLARQDSNTGKDTDARLGNEGDEVSSVGIGGGWQLAYKKDERKEGALRRIYLHQEGGPESRRGSMISVAGGDNAEGECIHAAALVSQSVLRTEDIMGQKPIEEAMVKKSKPTEPGWKELCEPGVKQALIVGVGLQILQQFSGINGVLYYTPQILQQAGVSLLLANLGLSSDSASILISAATTLLMLPAIGVAMKLMDLAGRRWLLLTTLPVLLLSLVTLVVGTAIDMGQVMNAVISTTSVIFYLCCFVMGYGPIPNILCAEIFPTRVRGKCIAICALTFWICDIIVTYSLPLLLSYFGLRGVFGMYTVVCAVSWVFVFLKVPETKGMPLEVITEFFAVGAKQKSD >itb13g23640.t1 pep chromosome:ASM357664v1:13:29560537:29566601:-1 gene:itb13g23640 transcript:itb13g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGDYEWQQRRGEVEAPPPKPFYEVVKSTVKETLFPDDPFRQFKNQPLPRKLVLGMQYFVPIFEWAPRYTFQSFKADVVAGITIASLAVPQGISYAGLASLPPVIGLYSSFVPPLVYAMLGSSKDLAIGTVAVPSLLMAAMIGQEVNPKQNPTLYVQLVLTATFFAGLFQASLGFLRLGLIVDFLSHATILGFMGGAATVVCLQQLKGILGLVHFTHGTDLVSVMRSIFTQTHQWRWESGVLGCCFLFFLLLTKYFSKKKPAFFWINAMAPLTSVILGSVLVYFTHAEKHGVQVIGHLKKGLNPPSSSELAFGSPYLATAIKTGVVTGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGIMNIAGSCTSCYLTTGPFSRTAVNFNAGCKTAVSNIVMATAVMITLLFLTPLFHYTPLVVLSSIIITAMLGLIDYEAAIHLWKLDKFDFLVCISAYIGVVFGSIQIGLVVALMLSLLRVLLFVARPKTMILGNIPNSITYRSVDQYPKAASVPGILVLHIGAPIYFANASYLRERISRWIDEEEEKRNSMGGVELQYVILDMSAVGNIDTSGITMLDEVKKNLDRRNLKLVLANPGGEVMKKLEKSELTESIGKEWIYLTVAEAVNTCNYILHTCKAKATAMENAASDENV >itb12g06850.t1 pep chromosome:ASM357664v1:12:5055807:5060707:-1 gene:itb12g06850 transcript:itb12g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSWCWIMVESIVIPNGFMKWFYLSFYIHPSFLALLQIFLWITKLYTSLLFFLVFVSKISTFLITKTLSFFNRCFCNNQSHTIIIPNHLTTTNGFKTSLSVVNISAVKIYQQEEEEVWHVLSFNEVFSSPCDPNRQRTTSCSSNSPDSGILNDQFFASSCSEEEDEDDDDDHVFLSSCSSNSPDMGMSTDDQFLASPGFSSSAADDEFEYDEMMAAAGVCNLINSPPAMGDDEETNIDEYNVGEDDDDGHQLYQEVTDPFYNAYLQKMKWFDVLNHDRFFATKAMKNEKHSAARRLGKSLEKDFELVYVAQSCLSWEALHHQFTKVKVEAPHMLFHNSVAERFQKFQIVLERFLEDERCGGTTRHSNYVHSKYSLQTLLLVPQLSEGVSVEKSGRKKEMLVEEKSSAYAGGGEHRINMYKDRDEVDWEGAQASHHLHFSPPMVSPQA >itb11g05640.t1 pep chromosome:ASM357664v1:11:3324588:3325769:-1 gene:itb11g05640 transcript:itb11g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTSKSFGGCKQKTDEISRAEESGWTSYLQDFSLNSSSDNYGGSAAYSYRGDYSRFGGPSLHSDAASPAAWKERGGADAHDGGDSMGGFHQRLKNLKKSKKKTSDPDLEDTASSPVNSPKVTVLKPAEINQKKIKESNIGNYSNYR >itb10g02980.t1 pep chromosome:ASM357664v1:10:2641613:2646761:1 gene:itb10g02980 transcript:itb10g02980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related protein kinase eta [Source:Projected from Arabidopsis thaliana (AT4G18710) UniProtKB/Swiss-Prot;Acc:Q39011] MAEDQEMSAPVVDGKDAVTGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRTMDHPNVVSLKHCFYSTTTTDELFLNLVMEYVPESMYRVLKHYSNMNQRMPLIYVKLYTYQVFRGLAYMHSVAGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCSALEACAHPFFNELREPNARLPNGRPLPPLFNFKQELYGASPELINRLIPDHVKRQMGLHSFSSHGGLT >itb08g15200.t1 pep chromosome:ASM357664v1:8:17237360:17243118:1 gene:itb08g15200 transcript:itb08g15200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKEEPSSPDPHGMFAGMAVFLVENGVQTRRLQIWKERLMQMGASIEDHFSKKVTHVFAMDSNSLLKELDRERLIRSKTKVLLYQWLENSLTEGKMVPEDLYVLSLESGGGDMQNPSADNTSFFDDGEQTPKKSRISYEGASIASPEHKTDTEDHTGILCDSAFIKQAVTSDSSMLYKPPDLNRNVTEIFGKLVDIYRALGDDWRSFSYYKSIPVIEKLPYKIESPDQLKHLPGIGKSMQEHILEIVNTGKLSKLEHFEKDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKYEESLTNSQKIGLKYFQDIKTRIPRHEVEEMERLLQKAGEEILPGVIILCGGSYRRGKASCGDMDIVITHPDRKSHVGFLPKYVKHLKAINFLREDLVFSIHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPAFQSSHAKRGMKGASLKFDTEKEVFDFLGFPCLEPHERNL >itb06g23610.t2 pep chromosome:ASM357664v1:6:25488037:25492033:-1 gene:itb06g23610 transcript:itb06g23610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWLNIRNKDSDFSADSESEVASDSDSDQDFYELPRESRFKDERSCGEVEIDSNEAVPRLRRRKSETFRAQYISTKEIRVCVGTWNVGGKVPPDDLDLDGWLDIGEPADIYVLGFQEIIPLNAGNIFGAEDSRPILKWENIIRESLDKIPSGNKFKSFSDPPSPSRFKPSEDIHDIEEEIVLESDSEGEEEIVPINEEFNEFVEVKNGNVSGSDMVVDAYVPTSNSNREDGYKRQFSLPKRINELDCSIPEECEGNAEELSTQYGKKLTKTLSVTEKIGLCWPEPPLDILPQHILDRPNCIKSMKSFKASKSFRRYSSFNSTANGQNRMQPDIAMLAEIDLESLIKQKRRSPYVRIISKQMVGIFLTIWVRRGLRKHIQNLNVSTVGVGVMGYIGNKGSISVSMSIHQTLVCFVCTHLTSGEKDGDVVKRNADVREIHRRTHFNTYSSIRLPKSINDHEKIIWLGDLNYRINLSYENTMKLISKKDWSKLAESDQLRKELKKGRAFDGWAEGVLNFPPTYKYDINSDKYHGEDPRSGRRTPAWCDRILSYGKGMRLLNYRRSDLRTSDHRPVTASYMVEVEIFCPKKLQRALTFTDAEIDKEEIVTDTEIESGISRLMIEQNASLWRH >itb06g23610.t3 pep chromosome:ASM357664v1:6:25488913:25492350:-1 gene:itb06g23610 transcript:itb06g23610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSTRHRRQAEPFWPRVVMRKWLNIRNKDSDFSADSESEVASDSDSDQDFYELPRESRFKDERSCGEVEIDSNEAVPRLRRRKSETFRAQYISTKEIRVCVGTWNVGGKVPPDDLDLDGWLDIGEPADIYVLGFQEIIPLNAGNIFGAEDSRPILKWENIIRESLDKIPSGNKFKSFSDPPSPSRFKPSEDIHDIEEEIVLESDSEGEEEIVPINEEFNEFVEVKNGNVSGSDMVVDAYVPTSNSNREDGYKRQFSLPKRINELDCSIPEECEGNAEELSTQYGKKLTKTLSVTEKIGLCWPEPPLDILPQHILDRPNCIKSMKSFKASKSFRRYSSFNSTANGQNRMQPDIAMLAEIDLESLIKQKRRSPYVRIISKQMVGIFLTIWVRRGLRKHIQNLNVSTVGVGVMGYIGNKGSISVSMSIHQTLVCFVCTHLTSGEKDGDVVKRNADVREIHRRTHFNTYSSIRLPKSINDHEKIIWLGDLNYRINLSYENTMKLISKKDWSKLAESDQLRKELKKGRAFDGWAEGVLNFPPTYKYDINSDKYHGEDPRSGRRTPAWYVQFVFQFREII >itb06g23610.t1 pep chromosome:ASM357664v1:6:25488021:25492350:-1 gene:itb06g23610 transcript:itb06g23610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSTRHRRQAEPFWPRVVMRKWLNIRNKDSDFSADSESEVASDSDSDQDFYELPRESRFKDERSCGEVEIDSNEAVPRLRRRKSETFRAQYISTKEIRVCVGTWNVGGKVPPDDLDLDGWLDIGEPADIYVLGFQEIIPLNAGNIFGAEDSRPILKWENIIRESLDKIPSGNKFKSFSDPPSPSRFKPSEDIHDIEEEIVLESDSEGEEEIVPINEEFNEFVEVKNGNVSGSDMVVDAYVPTSNSNREDGYKRQFSLPKRINELDCSIPEECEGNAEELSTQYGKKLTKTLSVTEKIGLCWPEPPLDILPQHILDRPNCIKSMKSFKASKSFRRYSSFNSTANGQNRMQPDIAMLAEIDLESLIKQKRRSPYVRIISKQMVGIFLTIWVRRGLRKHIQNLNVSTVGVGVMGYIGNKGSISVSMSIHQTLVCFVCTHLTSGEKDGDVVKRNADVREIHRRTHFNTYSSIRLPKSINDHEKIIWLGDLNYRINLSYENTMKLISKKDWSKLAESDQLRKELKKGRAFDGWAEGVLNFPPTYKYDINSDKYHGEDPRSGRRTPAWCDRILSYGKGMRLLNYRRSDLRTSDHRPVTASYMVEVEIFCPKKLQRALTFTDAEIDKEEIVTDTEIESGISRLMIEQNASLWRH >itb13g19720.t1 pep chromosome:ASM357664v1:13:26658225:26663902:-1 gene:itb13g19720 transcript:itb13g19720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLRSLSILLLSALFLVSPICAKYQDAEPVTLWVNKVGPYNNPQETYNYYTLPFCRPSGNAAHKWGGLGEVLGGNELIDSQIDIKFKKNVDKSSICELELDAPKVRQFKDAIDNSYWFEFFMDDLPLWGFVGEVLIDRNRDTKHVLHTHKNILVQYNGNQIIHVNLSQESPKPLEEGRILDMTYSVKWLPTNISYAHRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVNEESGWKLVHGDVFRPPQNLALLSAVVGTGAQLATLVLLVIILAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGMYSRNGGKTWIKSMVLTASLFPFTCFGIGFVLNTVAIFYGSLAAIPFGTIVVVFVIWAFISFPLALLGTVVGRNWSGTPNNPCRVKTIPRPIPEKKWYLTPSIISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFIILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYKNIKCD >itb08g08660.t1 pep chromosome:ASM357664v1:8:7648612:7652353:-1 gene:itb08g08660 transcript:itb08g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRQIGEVVGSMKALMVLKHDILINQRQCCVLFDVCVQAFDNICDEIKQNLRLDERSTKWKPLELPLRELHWIFKEAESYMRNCIDMRNWLGKAISLQSSRDCVEFHVHNVVSCFVVVIEAIEAAAEVSGLDEEDMQKRRLALLKKYGPEWNEARLFQWKYGGQYLVSREMCSRLESVWNEDRWLLLEALRQKKQNKLVEDLIKKLDRPDKNLAPIPTKLLPTSLLLEANDYYVKRRIGTPGSHLKEIQWLGESFALRTFYGEIGAIRPEIAMVMSLSHPNVLQYHCGFHDEERKEGFLVMELMSKSLDMHIKENSGQRKRMSFSTPIAVDVMLQIARGMEYLHSRSIFHGELNPSNILLRPMNSPAENYFHTKVSGFGLSQLKSQYTLRSCPRASVVDPVIWYAPEVLAEQEQPRGSKTASAKFSEKADVYSFGMLCFQILTGKAPFEDGERPLQGDKMVHTLMAGERPLFPYPLPKYLTNVIRKCWQTSPNARPSFSSICRILRYIKKVLVINPEHGQPDCPPPLVDYCDIEAGYARKFPADNIPGVLPAVSEIPFQMFAYKLVEKEKSLGNDRFAVKANDDHLVSIDDLCLIPNNETRGRRRSTCSEIIDRNDLGTFADQRSVISEILHPKKLMLSDNILDLSDNLLTTADDETPAFADTPEWKLLSALPPDHHQSSPRVETPVNKILSSSTSTRKQSPRVERVMSSKPKVQPRVLSSDSAEKKHSTADQKEAAGKKDSVATESTPKKLLRTMKTKFRLSKIPEKKTLSSPNSQSSNSPETDHKAIPLKPTKPKVSLKNAIFHHNLKGEQSSKETGKMKYKSPGASPARLTRANSLGLHAASSPFSSPMHPFKPCSPARVTPSIRGLNASASPGFRGTKDKSRELYMSPRGQIKVPA >itb15g03270.t1 pep chromosome:ASM357664v1:15:2098586:2100902:-1 gene:itb15g03270 transcript:itb15g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFQEFIFPLLSCLILTILLGVLFRKRTNSRLPPSPLAFPIIGHLHLLAPIPHQALYNLSNRYGPLMQIWLGSVPCVVASSPEMAKEFLKTHEYSFSDRPTSAAVDYLTYGSQDFSFAPYGPYWKFMKKLCMSELLGGRTLDLLLPVRRDEIRRFMALLSHKAKAGEQVDGLRKRLKDVHERFDGMMEKIIHDHIEAKRRRQQNNSGDEAVRDLLDILLDIAEDESSEMQLTRENIKAFALAIVKETLRLHPTGPMIVRESTEDCVVGGYNIPAKTRLFVNVWGINRDPRHWENPLEFQPERFLSGEFDVRGQHYEFLPFGSGRRGCPGTSLAMQVVQTSLAAMIQCFKWKVNEGSVDMEEGPGLTLPRAHPLVCLPVTRINPLPSM >itb15g15770.t2 pep chromosome:ASM357664v1:15:14824184:14840527:-1 gene:itb15g15770 transcript:itb15g15770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSCLAGMEVPIIGSDAIKWIQLSVPSSASTPAEAAAVLPDHLNRDTASYAVFGNPPTYLIWRINKSQANVVEIMQLNDDKEFPNIGLQIVFTDALFPFTLICENQANLLTGGHFTLNALTISGVAYLIKLKDVSTYISSSVFPSSEVIECNTQMNPHHGAITAVAATSGSIVVGRNDGSVSCFQLGMVEPSAPGFVYELRDDAGFGRLWGIMSRSRTVAAVQDLVISELCQKKLLFVLHSDGILRVWHLSNRSKVLTHNMTETTSVRLWVGKESKDDSDTNIVPLAVLQKHHMEVGVEILSLYTLSFSVGDRIDLSLQASTQSIPFEKGGLIDLRLTQNKLWMLKEDGLVMRELFYENMGEGLVHCYSLQEAFVADQLFQSFENSSDDLLWLSDAVLSSSKDEIASFVSYIFLRRLLLPGIHHNTILRATLRDYNKHFTDSEFDSLTIDGLKNEILSLIEHQGGAESPVSIVYSWKSFCTRYFNNWCHYNAACALLVDSSTGAIGLIRKNTISLCRSLENIELLIFGSFDEFGNTSSSGLNFSADDLEREVLFEVLQCISNLSQQLGRASSAIYYEALLRTPNVSPEDVTVRLLKTVESGYSPSTAYLHSELGADVARDKEISNHKSLRRFSVNMFLSLHNLCTRAITWGKVLDVIESYLKYLVPLKIVQDFNSQAVFNINTAVTVQATSQVAKVMFESTLDVYMLLSYMLSTSGKIHLSQNDVSRIKLELVPMIQEMLTEWHIIHFFATTPSESPVFEDFSSQLSSLHLDSNEQRSWNEKLGKSDFTLAFLLLLSIQGSSEGQSHLSFRYLPDPASITNSVQQFTSWIIWGRKDEESSVFLSHSVELALILLRHGQYDAVEYMLNLVESYLRKEKTSESLQSVSGEWSKILHLLGCSLIAQTQRGLHGMLKERKICEAVRCFFRAASAQGASKALQSLPYEAGWLHLGLIHSTSTPSFKLHYYQWAMQIFEQYSMSQAACQFALAALELVDESLGPKDGDLKVDPVDESPSAVKGRLWANVFKFTLDLNLYYDAYCAIISNPDEESKNICLRRFVIVLYERGAVKILCNGQLPFIGLTDKVERELAWKAERSDVSTKPNPFRLLYAFEMQRHNWRKAASYIYLYSSQLRTVADIKDHQRRSLLLQERLNGLSASINALQLVHPTHAWIDGPHDDSSPDKDTSPSKKARIAVEGNSAGDGSPTQRRRSYIDVQKLENEFILTSAEYLLSLANIKWTFAGTEKPPPDIVDLLVESNLYDMAFTVILKFWKGSALKRELEKVFATMALKCCPSRLHPSSIGNEYRMKNLLLMTSHDEIIANGSPDAAPIPQQSNGNGQWETLELYLDKYEGFHARLPVVVAETLLAADPQIELPLWLVQMFKSVQRESSWGMAGSESNPASLFRLYVDYGRFTEATNLLLEYIESFACLRPMDIIRRKRSSAVWFPYTLIERLWCQLEQSIKLGHMVDQSEKLKKMLHTALQNHLNLVKVDSDDVLSSAA >itb15g15770.t1 pep chromosome:ASM357664v1:15:14824123:14840527:-1 gene:itb15g15770 transcript:itb15g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSCLAGMEVPIIGSDAIKWIQLSVPSSASTPAEAAAVLPDHLNRDTASYAVFGNPPTYLIWRINKSQANVVEIMQLNDDKEFPNIGLQIVFTDALFPFTLICENQANLLTGGHFTLNALTISGVAYLIKLKDVSTYISSSVFPSSEVIECNTQMNPHHGAITAVAATSGSIVVGRNDGSVSCFQLGMVEPSAPGFVYELRDDAGFGRLWGIMSRSRTVAAVQDLVISELCQKKLLFVLHSDGILRVWHLSNRSKVLTHNMTETTSVRLWVGKESKDDSDTNIVPLAVLQKHHMEVGVEILSLYTLSFSVGDRIDLSLQASTQSIPFEKGGLIDLRLTQNKLWMLKEDGLVMRELFYENMGEGLVHCYSLQEAFVADQLFQSFENSSDDLLWLSDAVLSSSKDEIASFVSYIFLRRLLLPGIHHNTILRATLRDYNKHFTDSEFDSLTIDGLKNEILSLIEHQGGAESPVSIVYSWKSFCTRYFNNWCHYNAACALLVDSSTGAIGLIRKNTISLCRSLENIELLIFGSFDEFGNTSSSGLNFSADDLEREVLFEVLQCISNLSQQLGRASSAIYYEALLRTPNVSPEDVTVRLLKTVESGYSPSTAYLHSELGADVARDKEISNHKSLRRFSVNMFLSLHNLCTRAITWGKVLDVIESYLKYLVPLKIVQDFNSQAVFNINTAVTVQATSQVAKVMFESTLDVYMLLSYMLSTSGKIHLSQNDVSRIKLELVPMIQEMLTEWHIIHFFATTPSESPVFEDFSSQLSSLHLDSNEQRSWNEKLGKSDFTLAFLLLLSIQGSSEGQSHLSFRYLPDPASITNSVQQFTSWIIWGRKDEESSVFLSHSVELALILLRHGQYDAVEYMLNLVESYLRKEKTSESLQSVSGEWSKILHLLGCSLIAQTQRGLHGMLKERKICEAVRCFFRAASAQGASKALQSLPYEAGWLHLGLIHSTSTPSFKLHYYQWAMQIFEQYSMSQAACQFALAALELVDESLGPKDGDLKVDPVDESPSAVKGRLWANVFKFTLDLNLYYDAYCAIISNPDEESKNICLRRFVIVLYERGAVKILCNGQLPFIGLTDKVERELAWKAERSDVSTKPNPFRLLYAFEMQRHNWRKAASYIYLYSSQLRTVADIKDHQRRSLLLQERLNGLSASINALQLVHPTHAWIDGPHDDSSPDKDTSPTAGDGSPTQRRRSYIDVQKLENEFILTSAEYLLSLANIKWTFAGTEKPPPDIVDLLVESNLYDMAFTVILKFWKGSALKRELEKVFATMALKCCPSRLHPSSIGNEYRMKNLLLMTSHDEIIANGSPDAAPIPQQSNGNGQWETLELYLDKYEGFHARLPVVVAETLLAADPQIELPLWLVQMFKSVQRESSWGMAGSESNPASLFRLYVDYGRFTEATNLLLEYIESFACLRPMDIIRRKRSSAVWFPYTLIERLWCQLEQSIKLGHMVDQSEKLKKMLHTALQNHLNLVKVDSDDVLSSAA >itb15g15770.t3 pep chromosome:ASM357664v1:15:14824123:14834206:-1 gene:itb15g15770 transcript:itb15g15770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGLVHCYSLQEAFVADQLFQSFENSSDDLLWLSDAVLSSSKDEIASFVSYIFLRRLLLPGIHHNTILRATLRDYNKHFTDSEFDSLTIDGLKNEILSLIEHQGGAESPVSIVYSWKSFCTRYFNNWCHYNAACALLVDSSTGAIGLIRKNTISLCRSLENIELLIFGSFDEFGNTSSSGLNFSADDLEREVLFEVLQCISNLSQQLGRASSAIYYEALLRTPNVSPEDVTVRLLKTVESGYSPSTAYLHSELGADVARDKEISNHKSLRRFSVNMFLSLHNLCTRAITWGKVLDVIESYLKYLVPLKIVQDFNSQAVFNINTAVTVQATSQVAKVMFESTLDVYMLLSYMLSTSGKIHLSQNDVSRIKLELVPMIQEMLTEWHIIHFFATTPSESPVFEDFSSQLSSLHLDSNEQRSWNEKLGKSDFTLAFLLLLSIQGSSEGQSHLSFRYLPDPASITNSVQQFTSWIIWGRKDEESSVFLSHSVELALILLRHGQYDAVEYMLNLVESYLRKEKTSESLQSVSGEWSKILHLLGCSLIAQTQRGLHGMLKERKICEAVRCFFRAASAQGASKALQSLPYEAGWLHLGLIHSTSTPSFKLHYYQWAMQIFEQYSMSQAACQFALAALELVDESLGPKDGDLKVDPVDESPSAVKGRLWANVFKFTLDLNLYYDAYCAIISNPDEESKNICLRRFVIVLYERGAVKILCNGQLPFIGLTDKVERELAWKAERSDVSTKPNPFRLLYAFEMQRHNWRKAASYIYLYSSQLRTVADIKDHQRRSLLLQERLNGLSASINALQLVHPTHAWIDGPHDDSSPDKDTSPTAGDGSPTQRRRSYIDVQKLENEFILTSAEYLLSLANIKWTFAGTEKPPPDIVDLLVESNLYDMAFTVILKFWKGSALKRELEKVFATMALKCCPSRLHPSSIGNEYRMKNLLLMTSHDEIIANGSPDAAPIPQQSNGNGQWETLELYLDKYEGFHARLPVVVAETLLAADPQIELPLWLVQMFKSVQRESSWGMAGSESNPASLFRLYVDYGRFTEATNLLLEYIESFACLRPMDIIRRKRSSAVWFPYTLIERLWCQLEQSIKLGHMVDQSEKLKKMLHTALQNHLNLVKVDSDDVLSSAA >itb03g23620.t1 pep chromosome:ASM357664v1:3:21845534:21847085:-1 gene:itb03g23620 transcript:itb03g23620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFGVDGDEFNLNELISELLQGRDAANRLQMCLNNAPLLPSSSSSSQDWICEVLANKVQASLDNALCMLNHAGRNESSDSDREVRDATSGRRRKAAVWTDQVQVSPGEGLEEAHDDGYNWRKYGQKKILGAQFPKGYYRCSHLHSQKCLAKKEIQKSDEDPTVFNVRYRGTHTCNNNPSPRPLDNEEPPAPSTLQIQQEQNLLNLQRNLKIKTDNIEPSSHSHGNPFPSSHDFAASTSGVIKVGEIHCGFPQPLTNSFVANVSPSFVSPATSGSSCFTVSPTTPHVENLGGGGGQFVPTTTTSDLQMIMEIPTSTSGTNTPIVGMGFPFDATMAFDFNFTFDNNNDNDPAGFFD >itb06g09910.t1 pep chromosome:ASM357664v1:6:14087811:14091324:-1 gene:itb06g09910 transcript:itb06g09910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGFLVSMFMCLFFLFLPENCMASVQNIGRLKLGFKGSQMTWIDNNGMILLSNNSNFAFGFQPTEDVTLFLLVVMHQSTQTLVWSANRASPVRNSDYFLLDDTGNAYLQSGGSTIWATNTGGKGVSAMELRDSGNLVLVGNDSGIIWQSFSHPVDTLLSSQEFIEGMKLVSSPNPNNLSYSLEIKSGDVLLSASFKPPQQYWAMGNDNRKTINKVAGSVVSAILDANSWKFYDRSKALIWQFIFSDNTDANATWVAVLGEDGFLTFSVLGSGGAPGTSSTKIPKDECGRPAACDPYFICYKGIQCQCPSSLPSCKPGTASLCNKPESVELVEAGDSLGYSALGFTSPSSTTDLNSCKTSCLKNCSCVAMFFDNRTEHCFLFSQIGSLQGSVSDGGFSSYIKVLSSRGNGGNGGNGGSGKKHLAVIMVIVIITILVILGLVYAGFRYYKKKTQNLPESPKDSSEEDNFLQGLSGMPIRFTYKDLQDATNNFRVKLGHGGFGSVYLGVLPDGTQLAVKKLESIGQGKKEFRAEVSIIGSIHHLHLVRLKGFCAEGAHKLLAYEYMANGSLDRWLFKNNNEFLLDWDTRFSIALGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDHFHAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNYDPSQSSEKSHFPTYAFKMMEEGKLKDIIDMKLRIDENDERAVRAIRVALWCIQDDMSLRPSMTKVVQMLEGLCPVTPPPTFSPLGNRLFSNVFKSISEEGTSSGASAPSDYNSDTYMSAVRLSGPR >itb09g26530.t1 pep chromosome:ASM357664v1:9:27149915:27154161:1 gene:itb09g26530 transcript:itb09g26530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVNKKKKKKPLKLLLFLFFVMVEYSEQMLPLSQFQAIQRIKQELSFPVELSSWSENSDFCNTEPLPILTLVCYEDNITQLHVAGNGVFPQFPQDISTSSLFSNLASLPNLKVLSLVSLGLRGPLPPSIGLLSSLEIVNISSNSFNGSLPVEISSLKSLQTLVLDNNRFSGQVLDWLASLPALTVLSLKNNSFAGLLPSSLTSLELLRNLVVSGNNLSGDLPNFHNLSNLQVLDLEGNSFGPNFPSLPTKLVALMLGKNKFGFGVPDEVESCYLLTKMDISHNEFVGPLPPSLLSMPSIDYLDISGNKFTGKLFKNMSCNPQLSYVDFSSNRFTGELPDCLQPGTGHRTVSYSGNCLSSMEQWQHHESFCHNEALAVRIMPTEHKEKRPYAKVVLASSMVGGLIGGTAILGLAFLVVKKKFAKQQQINRTPNARLILEKVPPAITLKLLNDARYISETRKFGLLGIPPYRTFVLDELREATNNFDVANILGEGSCGQIYKGMLTDGTVVAIRSLKTRKKHSIQHYTHQLELVSKIRHCHLVSAIGHCFECYQDDSSVSRIFLVFEFVPNGTLREVISEGNAGQKFSWSQRMTAMLGIARGIQFLHTGIVPGVFSNHLKITDILLDHDFHVKISKYNLPLLAENKNMDAFGASSSGGKENAAQRSAFKEKDDVYGFGVILLETIVGRKMMTANDIDVSKDILSVSLTADELARRNIVDPTVCKECSDHSLKTVMELCLRCLSNEQSDSPSVEDVIWNLQFAAQVQESCRRDSHSAQCSPGHVALARSASDLLQ >itb09g26530.t2 pep chromosome:ASM357664v1:9:27149941:27153394:1 gene:itb09g26530 transcript:itb09g26530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVNKKKKKKPLKLLLFLFFVMVEYSEQMLPLSQFQAIQRIKQELSFPVELSSWSENSDFCNTEPLPILTLVCYEDNITQLHVAGNGVFPQFPQDISTSSLFSNLASLPNLKVLSLVSLGLRGPLPPSIGLLSSLEIVNISSNSFNGSLPVEISSLKSLQTLVLDNNRFSGQVLDWLASLPALTVLSLKNNSFAGLLPSSLTSLELLRNLVVSGNNLSGDLPNFHNLSNLQVLDLEGNSFGPNFPSLPTKLVALMLGKNKFGFGVPDEVESCYLLTKMDISHNEFVGPLPPSLLSMPSIDYLDISGNKFTGKLFKNMSCNPQLSYVDFSSNRFTGELPDCLQPGTGHRTVSYSGNCLSSMEQWQHHESFCHNEALAVRIMPTEHKEKRPYAKVVLASSMVGGLIGGTAILGLAFLVVKKKFAKQQQINRTPNARLILEKVPPAITLKLLNDARYISETRKFGLLGIPPYRTFVLDELREATNNFDVANILGEGSCGQIYKGMLTDGTVVAIRSLKTRKKHSIQHYTHQLELVSKIRHCHLVSAIGHCFECYQDDSSVSRIFLVFEFVPNGTLREVISEGNAGQKFSWSQRMTAMLGIARGIQFLHTGIVPGVFSNHLKITDILLDHDFHVKISKYNLPLLAENKNMDAFGASSSGGKENAAQRSAFKEKDDVYGFGVILLETIVGRKMMTANDIDVSKDIVRRLLISPILVYNL >itb06g06350.t3 pep chromosome:ASM357664v1:6:9009697:9011969:1 gene:itb06g06350 transcript:itb06g06350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MEIIRASYGGFCSSNVRLLQPSALTFSKTSLPTCHSPFRRVPATSHSISSLKPTLARRKPTACALLDDNDPDSTADVANADLSFAVKKRAFEISPDLKGTCIFLVGINSSIKSNLGKLLADELKYYYFDSDSVVEDAVGGKEAATSFIQSDKEGFQDTETEVLRQLSSMGRLVVSAGNAAVRNATNLCWLS >itb06g06350.t2 pep chromosome:ASM357664v1:6:9009697:9011969:1 gene:itb06g06350 transcript:itb06g06350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MEIIRASYGGFCSSNVRLLQPSALTFSKTSLPTCHSPFRRVPATSHSISSLKPTLARRKPTACALLDDNDPDSTADVANADLSFAVKKRAFEISPDLKGTCIFLVGINSSIKSNLGKLLADELKYYYFDSDSVVEDAVGGKEAATSFIQSDKEGFQDTETEVLRQLSSMGRLVVSAGNAAVRNATNLALIRHGISIWIKVPLQFVVREVVDDKFQLPASYVSVSGSYSEVLAQLTAVYEETQDGYATADATVSLQSIFVFFPLCI >itb06g06350.t1 pep chromosome:ASM357664v1:6:9009697:9011969:1 gene:itb06g06350 transcript:itb06g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MEIIRASYGGFCSSNVRLLQPSALTFSKTSLPTCHSPFRRVPATSHSISSLKPTLARRKPTACALLDDNDPDSTADVANADLSFAVKKRAFEISPDLKGTCIFLVGINSSIKSNLGKLLADELKYYYFDSDSVVEDAVGGKEAATSFIQSDKEGFQDTETEVLRQLSSMGRLVVSAGNAAVRNATNLALIRHGISIWIKVPLQFVVREVVDDKFQLPASYVSVSGSYSEVLAQLTAVYEETQDGYATADATVSLQKVASELDYDDIESVSTEELCLEVMKEIGKLMRKKKMMEEAARPF >itb07g10590.t2 pep chromosome:ASM357664v1:7:11741666:11748750:-1 gene:itb07g10590 transcript:itb07g10590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVAGGQAAVGGTGTFQNNPADAANDAVDAFLKSRRYHGLYSQIELSLSASNLRDRDVLSKSDPMAIVYSKGRDGMLNELGRTEVVLNSVNPKWIAKFNMTYQFEMVQYLVFHVYDVDTQFHKQDLKMLRLDEQDFLGEASCTLSEIVTKSNRCLTLDLLHRSSGPTHSQKLGKLTVCAEESVNSKTTIEFIFRCSDLESKDLFSKSDPFLLISKTAESGNVIPICKTEVLKNDHNPIWKPVYLSIQQVGSKDSQLTIECYNFNSNGKHDLLGKVQKSLADLEKLHASGAGVNFFVPTAIGPTPQTKVLKSQLYVEKFTEKIQHTFLDYLASGYELNFMVAVDFTASNGNPRLPDSLHYNDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNSYCEVEGIQGIMMAYMSALFNVSLAGPTLFGPVINAAAQIAGQSAAIKERKYFVLLIITDGVITDLEETKDAIIRASDLPLSILIVGVGGADFKEMEILDADKGDRLENSQGKVASRDIVQFVPLREVQSGEISVVQSLLAELPSQFLGYWRAHPTV >itb07g10590.t1 pep chromosome:ASM357664v1:7:11741666:11748750:-1 gene:itb07g10590 transcript:itb07g10590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDVAGGQAAVGGTGTFQNNPADAANDAVDAFLKSRRYHGLYSQIELSLSASNLRDRDVLSKSDPMAIVYSKGRDGMLNELGRTEVVLNSVNPKWIAKFNMTYQFEMVQYLVFHVYDVDTQFHKQDLKMLRLDEQDFLGEASCTLSEIVTKSNRCLTLDLLHRSSGPTHSQKLGKLTVCAEESVNSKTTIEFIFRCSDLESKDLFSKSDPFLLISKTAESGNVIPICKTEVLKNDHNPIWKPVYLSIQQVGSKDSQLTIECYNFNSNGKHDLLGKVQKSLADLEKLHASGAGVNFFVPTAIGPTPQTKVLKSQLYVEKFTEKIQHTFLDYLASASNGNPRLPDSLHYNDPSGRPNAYQRAITEVGGVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNSYCEVEGIQGIMMAYMSALFNVSLAGPTLFGPVINAAAQIAGQSAAIKERKYFVLLIITDGVITDLEETKDAIIRASDLPLSILIVGVGGADFKEMEILDADKGDRLENSQGKVASRDIVQFVPLREVQSGEISVVQSLLAELPSQFLGYWRAHPTV >itb13g06830.t1 pep chromosome:ASM357664v1:13:8251263:8253480:-1 gene:itb13g06830 transcript:itb13g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEKEIEAVLEKIWDLHDKLSDAIHSITRAHFLSSINKPRKPDDLYFHHRSKKPTGSDSDQNAGWKNDKPSGFVFVKEFRLDEEDDSAAVHEAKSLNAIRIALENLEDQLEFFHTVQTQQRVETDVALARLEQSRIILAMRLAYHKGQNYKFIEEAQALVRDVRDASHFVSPASHFGSAPRSPGENSMAPKGNSNILPNALLSSFKFVKKSLRVDHVGGILGNAALVAFSMLALMHMQQAGCKERHFLDYPPRREDALHNQTHTKVSQCKDSSSSVVKQLDVLSAMG >itb10g07380.t2 pep chromosome:ASM357664v1:10:8727319:8730314:1 gene:itb10g07380 transcript:itb10g07380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTMMKWALPISTDSTPQTCVLIPIPAIPSSSSLCTTKSRRDFSLSAITISFSALIFSNAQIPNSWAKPEPPRFAELPNSGGVKALDLRIGDGEVPFDGDEVAIHYYGRLAAKQGWRFDSTYDHKDETGEPVPFCFILGSGKVIAGIESAVKSMKVGGVRRVVIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRHQ >itb10g07380.t1 pep chromosome:ASM357664v1:10:8727319:8730314:1 gene:itb10g07380 transcript:itb10g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTMMKWALPISTDSTPQTCVLIPIPAIPSSSSLCTTKSRRDFSLSAITISFSALIFSNAQIPNSWAKPEPPRFAELPNSGGVKALDLRIGDGEVPFDGDEVAIHYYGRLAAKQGWRFDSTYDHKDETGEPVPFCFILGSGKVIAGIESAVKSMKVGGVRRVVIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRHQI >itb06g03140.t1 pep chromosome:ASM357664v1:6:5375794:5378946:1 gene:itb06g03140 transcript:itb06g03140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYSSSLGDKSLAIDLNTSINMDCEAADTSGNSNSSVGDQKHGLLMEELKVTKMENKKLTAKLTEVCENYCALQNHFLGLLKTHGADDDLLGKRKSADDDGDCYGAAAASSPKRPRETRTPVSRVRVKTDPSDMSLVVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCPVKKKVQRSVEDPSILIAIYEGEHNHPHPTQPEVLSVPLPQGFTPQSICSPVSDVDNSSSPARLDIRAKLQRSLTSIDSVELQHFLAEKMASSLTKNRSFTDALAAAISDRILLDHALADSCS >itb09g08630.t2 pep chromosome:ASM357664v1:9:5137158:5143033:1 gene:itb09g08630 transcript:itb09g08630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILASHSCYCRKGELIDYGRKGDGLSSSLSISPLFSLNLKRRSCKPPERVHGFLVRMQQRESHVKRGMNRRPIEMVPTSEVVKERAPSINGVEIVNGTKQVVNGASIVKRGAAPAVMKKTKSKELPPVDDLKVLPSDEGFSWANENYNSIQRSIDVWSFVISLRARVFLDNAKWTYVGGFAEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPTKAKDFIKKELGVPVDLLFKEFEDRPIAAASLGQVHRAVLHDGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRIPLVYWDYTATKVLTLEYVPGVKINSLDAIEARGFSRSRISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDEALIYYDFGMMGEIKNFTRERLLGIFYAVYEKDAKKVMQGLIDLGALQPTGDMSSVRRSVQFFLDNLLDQRPDQQQTLSAIGEDLFAIALDQPFRFPSTFTFVLRAFSTLEGIGYNLDPDFSFAKIAAPYAQVYNICVNYCIPFTHPQLNATDQH >itb09g08630.t3 pep chromosome:ASM357664v1:9:5137103:5141976:1 gene:itb09g08630 transcript:itb09g08630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILASHSCYCRKGELIDYGRKGDGLSSSLSISPLFSLNLKRRSCKPPERVHGFLVRMQQRESHVKRGMNRRPIEMVPTSEVVKERAPSINGVEIVNGTKQVVNGASIVKRGAAPAVMKKTKSKELPPVDDLKVLPSDEGFSWANENYNSIQRSIDVWSFVISLRARVFLDNAKWTYVGGFAEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPTKAKDFIKKELGVPVDLLFKEFEDRPIAAASLGQVHRAVLHDGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRIPLVYWDYTATKVLTLEYVPGVKINSLDAIEARGFSRSRISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDEALIYYDFGMMGEIKNFTRERLLGIFYAVYEKDAKKVMQGLIDLGALQPTGDMSSVRRSVQFFLDNLLDQRPDQQQTLSAIGEDLFAIALDQPFRFPSTFTFVLRAFSTLEGIGYNLDPDFSFAKIAAPYAQELLDLRQKQRSGTQLVQEIRKQADDVPIHLFLLLHID >itb09g08630.t1 pep chromosome:ASM357664v1:9:5137041:5143048:1 gene:itb09g08630 transcript:itb09g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILASHSCYCRKGELIDYGRKGDGLSSSLSISPLFSLNLKRRSCKPPERVHGFLVRMQQRESHVKRGMNRRPIEMVPTSEVVKERAPSINGVEIVNGTKQVVNGASIVKRGAAPAVMKKTKSKELPPVDDLKVLPSDEGFSWANENYNSIQRSIDVWSFVISLRARVFLDNAKWTYVGGFAEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPTKAKDFIKKELGVPVDLLFKEFEDRPIAAASLGQVHRAVLHDGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRIPLVYWDYTATKVLTLEYVPGVKINSLDAIEARGFSRSRISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDEALIYYDFGMMGEIKNFTRERLLGIFYAVYEKDAKKVMQGLIDLGALQPTGDMSSVRRSVQFFLDNLLDQRPDQQQTLSAIGEDLFAIALDQPFRFPSTFTFVLRAFSTLEGIGYNLDPDFSFAKIAAPYAQELLDLRQKQRSGTQLVQEIRKQADDARSYTISMPYRVQRIEEFVKQLESGDLKLRVRVLESERAARKATILQMATMYTVLGGTLLNVGITFSSQGNQMFANGTFVGAGVFLTLLIRSMQRVKRLEKFEKMI >itb09g08630.t4 pep chromosome:ASM357664v1:9:5137158:5143033:1 gene:itb09g08630 transcript:itb09g08630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILASHSCYCRKGELIDYGRKGDGLSSSLSISPLFSLNLKRRSCKPPERVHGFLVRMQQRESHVKRGMNRRPIEMVPTSEVVKERAPSINGVEIVNGTKQVVNGASIVKRGAAPAVMKKTKSKELPPVDDLKVLPSDEGFSWANENYNSIQRSIDVWSFVISLRARVFLDNAKWTYVGGFAEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPTKAKDFIKKELGVPVDLLFKEFEDRPIAAASLGQVHRAVLHDGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQNSETLGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRIPLVYWDYTATKVLTLEYVPGVKINSLDAIEARGFSRSRISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDEALIYYDFGMMGEIKNFTRERLLGIFYAVYEKDAKKVMQGLIDLGALQPTGDMSSVRRSVQFFLDNLLDQRPDQQQTLSAIGEDLFAIALDQPFRFPSTFTFVLRAFSTLEGIGYNLDPDFSFAKIAAPYAQVYNICVNYCIPFTHPQLNATDQH >itb12g23210.t2 pep chromosome:ASM357664v1:12:25041384:25046270:-1 gene:itb12g23210 transcript:itb12g23210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLGNIPSSHLLGSVPAVITEEKTNSNHSVPEANLQIFPPNNGGNPGQGYQTLGGPSEGDGKQSTNNWKGVLSVSSYTQYFNVDTDVVMNRLMSSLNPTTGDFFSKIDANPDLYGLIWISTTLVFVLSSFGNCATYIMRKRSETVTSWTFDVSYVNVATCTIYGYTFIVPLGFYFLLQYLGLNASIIRFWCLWGYSLFIFIPTSFLLMIPVEFLKWIIILLTGGASGGFVALNLKNHHIQTNDLSLVLVAAFVLQMGLAIFIKMWFFR >itb12g23210.t1 pep chromosome:ASM357664v1:12:25041066:25046267:-1 gene:itb12g23210 transcript:itb12g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLGNIPSSHLLGSVPAVITEEKTNSNHSVPEANLQIFPPNNGGNPGQGYQTLGGPSEGDGKQSTNNWKGVLSVSSYTQYFNVDTDVVMNRLMSSLNPTTGDFFSKIDANPDLYGLIWISTTLVFVLSSFGNCATYIMRKRSETVTSWTFDVSYVNVATCTIYGYTFIVPLGFYFLLQYLGLNASIIRFWCLWGYSLFIFIPTSFLLMIPVEFLKWIIILLTGGASGGFVALNLKNHHIQTNDLSLVLVAAFVLQMGLAIFIKMWFFR >itb01g03740.t1 pep chromosome:ASM357664v1:1:2424841:2427772:-1 gene:itb01g03740 transcript:itb01g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT1G62040) UniProtKB/TrEMBL;Acc:A0A178W309] MAKSFKFDHPLERRQSESTRIREKYPERIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNMLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGCD >itb08g05920.t1 pep chromosome:ASM357664v1:8:4881716:4887088:-1 gene:itb08g05920 transcript:itb08g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGGGIVGFEEVVEEERRERREEMGEIAAAQKKYAVVGGGFPQAQPGKVVVVGYALTSKKVKSFLQPKLESLARNKGIHFVAIDESRPLSDQGPFDIVLHKLTGNKWQRILEEYRQTHPDVTVLDPPDAIKHVYDRQYMLQDVVDLNFSDGYGKVDVPRQLVIEYDPSSIPDAVTKAGLRLPLVAKPLVAKSHELSLAYDKLSLQNLEPPLVLQEFINHGGVMFKVYIVGEAIKVVRRFSLPDVGRRELSKNDGVFRFPRVSCAAASADEADLDPCVAELPPRPLLERLARELRRRLGLRLFNLDMIRENGTKDRYYVIDINYFPGYGKIPEYEHIFTDFLLSLVKHK >itb04g14500.t1 pep chromosome:ASM357664v1:4:15122694:15145209:1 gene:itb04g14500 transcript:itb04g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYRTGIDEGNDLDLIDFDLLVQHLEDLINGHDVYTPVFDFQGRKRIGTKEVKSSSFGVVIVDGAYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDSLIDSIFPLFRKHIEPDLHHAQIRINNSFISSFREPIYKLKCKSESEDELVLHIFGGKDAQVDNFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSVSEGSFSVSLETIDTLGEAYMVLRGTDRKMVGAEASRMGVSGPWITKSYLEMVLERKGVPRLNTPPLLVDGSNAILTSNQEKLIIAPKPLHVTPNLVNRLEDLSQPWTRSPTKSKMEPFLATWHFIAPDPPLTHGSLVDSSCSRDALQLAPMPDSYDLDRGLLLSVQAIQALLENKGLPVIVGIGGPSGSGKTSLARKMANIIGCEVVSLESYYKREVKDFKYDDFSSLDLAFLSKNIDDIRKSCRTKVPIFDLETGARSGLKEIEVSEECGVVIFEGVYALHPNIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILEPTKICSSVQSFIDIYLRLPGIPNGLLTESDCVRVRICEGRFALLIREPIREGNFIVQPKVDFDISISTVAGLLILGYQAVAYIEASAYIYQDGKILIEVDHLQDVPTPYIQIKGVNKEIVEAAGCALKLDGSYTTKSYLQIVLERLPVHEGSSSGIPSQQAAKLQELVEYIQSQGSSSSSESSPSREVSPLNGVIEDMQSRIKRLERWHIINTVLWTFFMSAFVGYSLYQRKRQ >itb04g14500.t2 pep chromosome:ASM357664v1:4:15122729:15145209:1 gene:itb04g14500 transcript:itb04g14500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVQRVFQEGGRDFYQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELRSKKDGLVMVGIGGPSGSGKSSLAEKVASVIGCAVISMENYRTGIDEGNDLDLIDFDLLVQHLEDLINGHDVYTPVFDFQGRKRIGTKEVKSSSFGVVIVDGAYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDSLIDSIFPLFRKHIEPDLHHAQIRINNSFISSFREPIYKLKCKSESEDELVLHIFGGKDAQVDNFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSVSEGSFSVSLETIDTLGEAYMVLRGTDRKMVGAEASRMGVSGPWITKSYLEMVLERKGVPRLNTPPLLVDGSNAILTSNQEKLIIAPKPLHVTPNLVNRLEDLSQPWTRSPTKSKMEPFLATWHFIAPDPPLTHGSLVDSSCSRDALQLAPMPDSYDLDRGLLLSVQAIQALLENKGLPVIVGIGGPSGSGKTSLARKMANIIGCEVVSLESYYKREVKDFKYDDFSSLDLAFLSKNIDDIRKSCRTKVPIFDLETGARSGLKEIEVSEECGVVIFEGVYALHPNIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILEPTKICSSVQSFIDIYLRLPGIPNGLLTESDCVRVRICEGRFALLIREPIREGNFIVQPKVDFDISISTVAGLLILGYQAVAYIEASAYIYQDGKILIEVDHLQDVPTPYIQIKGVNKEIVEAAGCALKLDGSYTTKSYLQIVLERLPVHEGSSSGIPSQQAAKLQELVEYIQSQGSSSSSESSPSREVSPLNGVIEDMQSRIKRLERWHIINTVLWTFFMSAFVGYSLYQRKRQ >itb04g14500.t3 pep chromosome:ASM357664v1:4:15125856:15145209:1 gene:itb04g14500 transcript:itb04g14500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSVSEGSFSVSLETIDTLGEAYMVLRGTDRKMVGAEASRMGVSGPWITKSYLEMVLERKGVPRLNTPPLLVDGSNAILTSNQEKLIIAPKPLHVTPNLVNRLEDLSQPWTRSPTKSKMEPFLATWHFIAPDPPLTHGSLVDSSCSRDALQLAPMPDSYDLDRGLLLSVQAIQALLENKGLPVIVGIGGPSGSGKTSLARKMANIIGCEVVSLESYYKREVKDFKYDDFSSLDLAFLSKNIDDIRKSCRTKVPIFDLETGARSGLKEIEVSEECGVVIFEGVYALHPNIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILEPTKICSSVQSFIDIYLRLPGIPNGLLTESDCVRVRICEGRFALLIREPIREGNFIVQPKVDFDISISTVAGLLILGYQAVAYIEASAYIYQDGKILIEVDHLQDVPTPYIQIKGVNKEIVEAAGCALKLDGSYTTKSYLQIVLERLPVHEGSSSGIPSQQAAKLQELVEYIQSQGSSSSSESSPSREVSPLNGVIEDMQSRIKRLERWHIINTVLWTFFMSAFVGYSLYQRKRQ >itb04g14500.t4 pep chromosome:ASM357664v1:4:15122865:15145209:1 gene:itb04g14500 transcript:itb04g14500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVQRVFQEGGRDFYQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELRSKKDGLVMVGIGGPSGSGKSSLAEKVASVIGCAVISMENYRTGIDEGNDLDLIDFDLLVQHLEDLINGHDVYTPVFDFQGRKRIGTKEVIVDGAYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDSLIDSIFPLFRKHIEPDLHHAQIRINNSFISSFREPIYKLKCKSESEDELVLHIFGGKDAQVDNFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSVSEGSFSVSLETIDTLGEAYMVLRGTDRKMVGAEASRMGVSGPWITKSYLEMVLERKGVPRLNTPPLLVDGSNAILTSNQEKLIIAPKPLHVTPNLVNRLEDLSQPWTRSPTKSKMEPFLATWHFIAPDPPLTHGSLVDSSCSRDALQLAPMPDSYDLDRGLLLSVQAIQALLENKGLPVIVGIGGPSGSGKTSLARKMANIIGCEVVSLESYYKREVKDFKYDDFSSLDLAFLSKNIDDIRKSCRTKVPIFDLETGARSGLKEIEVSEECGVVIFEGVYALHPNIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILEPTKICSSVQSFIDIYLRLPGIPNGLLTESDCVRVRICEGRFALLIREPIREGNFIVQPKVDFDISISTVAGLLILGYQAVAYIEASAYIYQDGKILIEVDHLQDVPTPYIQIKGVNKEIVEAAGCALKLDGSYTTKSYLQIVLERLPVHEGSSSGIPSQQAAKLQELVEYIQSQGSSSSSESSPSREVSPLNGVIEDMQSRIKRLERWHIINTVLWTFFMSAFVGYSLYQRKRQ >itb07g14170.t1 pep chromosome:ASM357664v1:7:16653475:16657899:-1 gene:itb07g14170 transcript:itb07g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCLTLVIQCLSLLLILSSTTSLSKTIHKIPRLTPFYASILRQRDSSSSATQVLLSPDFRTYYYDQTLDHFNYRSQSYDTFKQRYIVNSKFWGGAQFNSPIFAWLGAETPIDYDPIGVGFLTDNAPGFKALLVYIEHRYYGESIPFGNMEEALRKETIRGYLNSAQALADYAELLLYIKNTYSAQDSPIIVVGGSYGGMLASWFRLKYPHIALGALASSAPIFYFDNITPQNGYYSVVTKDFREVSESCYQTIKQSWSIIDTIASQSNGLSILSRKFNLCQDLTSSWELKSYLNSIYAEAAQYDGPPKYPVTEVCGGIDGAPKGADILDRIHAGVVSRRGNQSCYSRLYTGIDISGWRWQDIKLALSKFGNNIIFSNGLRDPYSSGGVLENISDTILAVSTRNGSHCLDLLGKRETDPDWLTEQRKTEVEIIQRWITNYYADLQALKK >itb12g11400.t1 pep chromosome:ASM357664v1:12:9622851:9624985:-1 gene:itb12g11400 transcript:itb12g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol (GILT) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G01580) UniProtKB/TrEMBL;Acc:Q9M017] MASPNNQICRIIFACLFIFQCHSSSSDLDDRVSVSLYYESLCPYCANFIVNQLVKLFVTDLGSIVNLRLIPWGNTQTAPNNAWICQHGPDECLLDVVQACAINVWPNQEMHFRLVYCIERLQVENRHGEWNSCFAATGLSELPVRDCFNNGVGIRIEQGYGDETASLNPPHRFVPWVLVNNQPLQEDYDNFEAYICRAYKGNRIPQACQSLPVEANMVKTSSYTPQVCFRE >itb03g08620.t1 pep chromosome:ASM357664v1:3:6454652:6457077:-1 gene:itb03g08620 transcript:itb03g08620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCDDRVEEEAISNMEQGTGTGTGTGTGTSTSRNAGSSCSTAFTTAQKFLAEAVGVYFIIFAGCGSVAVNKLYGGTVTFPGICVTWGLIVMVMVYTLGHVSDGHFNPAVTLTNAIFARFSWKQVPVYIVAQVLGSILASSTLASIFDITPEAFFGTLPVGSNLQSFVVEIIISFLLMFVISSISTDDRATVGDLSGVLIGMTILLNVLVAGPISGASMNPARSIGPAVVKRTYRGLWVYIAGPIIGMVAGAFVYNVLKLTHKPAAGSTGTRSFLKQLSS >itb06g05990.t2 pep chromosome:ASM357664v1:6:8674375:8678040:1 gene:itb06g05990 transcript:itb06g05990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSEFDRLLFFEHARKTAEAAYEKNPLDADNLTRWGGALLELSQFQPVPESKQMILDSISKLEEALDINPQKHDAIWCLGNAHTSHAFLTPDQDEAKVHFDKATECFERAVEADPANDLYRKSLEVAAKAPELHTEIHKHGSMQQAMGQMGPGPSTSTSTKQTAKNKKSSDLKYDIFGWIILAVGIVAWVGFAKSQVPPPPR >itb06g05990.t1 pep chromosome:ASM357664v1:6:8674375:8678040:1 gene:itb06g05990 transcript:itb06g05990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSEFDRLLFFEHARKTAEAAYEKNPLDADNLTRWGGALLELSQFQPVPESKQMILDSISKLEEALDINPQKHDAIWCLGNAHTSHAFLTPDQDEAKVHFDKATECFERAVEADPANDLYRKSLEVAAKAPELHTEIHKHGSMQQAMGQMGPGPSTSTSTKTAKNKKSSDLKYDIFGWIILAVGIVAWVGFAKSQVPPPPR >itb04g17750.t1 pep chromosome:ASM357664v1:4:20886124:20897353:-1 gene:itb04g17750 transcript:itb04g17750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMETEQNESQKVEEAENAGFSELERNKIQLMKAHLHKQDPSFVEVDDYTMRRFLQARNLDIEKASIMLLKYLKWRHTFVEKGYISPSEVSNEIAQNKVFVQGVDKQGRPIVVVFGGRHLQIKDGFEEFKRFVVFALDKVCARLLAGYEEFMTILDLQGYGYSNSDARGYIGVLSILQDYYPERLGKCLIIHVPYVFWALYKIMYPFINNNTRNKIMFVDNRVLTSTLLEFIDERQLPDLYGGKQPLVPIHNCEHPRQQQPQNSS >itb13g26300.t1 pep chromosome:ASM357664v1:13:31609840:31613041:-1 gene:itb13g26300 transcript:itb13g26300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPISVLTSNRTQLHEVTRRSANFHPTIWGDYFLPYSSRQKEANTQEQQEHRILKEKVKNMLVEAPHISSQKLDLIDKLQRLGVSYQFKKEIEATLQHVFEAHHEFTGENENDLHVVSLQFRLLRQEGYHVPSYEFERFRDNDGKFKESLINNVQAMLSLYEASHLRVHAEKILDEALTFTTSHLESMLPSLTNPLRLEVRQSLQRPLHKRLTRIEAKRYISVYELDKSHNVVLLKFAKLDFNMLQKEHQWEIGSLTRWWKGLDFIKKLSFARDRLVECYLWALGVYFEPQYYLPRKFLTQILALATIIDDIFDVYGTPKELLLFNDAIQRWDASAINELPNYMGVCYTALLDIYAEMEKELGPKGQAYQVKYAIIEMKKLVGAYYEEAKWFHDGRPPNFEEYMKNAIASSGYMMVATSCLVGISEDFVTKEAFNWVTNEPLIVRASSIIARLMDDIAGHKVEQQRGDVDSAVECYMKQYGKSEEETVKELQEQITRAWKDMNQECLKPTIVQMPILIRVVNLARVIDLLYKDRDIYTHSTTELKAIITSLLVDPIM >itb12g22750.t1 pep chromosome:ASM357664v1:12:24726068:24727368:-1 gene:itb12g22750 transcript:itb12g22750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLPLRNGGVHTPSPGHRIQPPFHSTVSVHKLRRVNVLLLIFRFAAFCFSLAAAVFMLSNSHDADSPNWHQFDAFRFVAVANAIVAVYSFFEVGASVWEISRGATVFPEVIQVWFDFGHDQVFAYMSLAAAAVGTAFSRTLQGTDTCTDDNAFCVQSYISIALGFAGFAFLSLSSLVSGYRVVCFVIHGSRFHL >itb04g22700.t1 pep chromosome:ASM357664v1:4:27948735:27950013:1 gene:itb04g22700 transcript:itb04g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQSSSSAKGAAGASPPSKAKGKGKTTPCCSKVGLKRGPWTAEEDKLLTDYINKEGEGRWRTLPKLAGLLRCGKSCRLRWMNYLRPTVKRGHITPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLTKKLISQGIDPRNHKPLLVNPTNNPKNHTSSSSSVPIIKPTPIHVGLSNKDKTVKINSSVNVGGTTNHQIDQPNSVGGTTNQTTGNGGADEDFNMDGGGIISCCIGEDNEDNVGMDFCPDEDVFSTFFDSLMNHDVFFAASQNNQQSNHDITPLQPSTSEHNNNNNNQPLNPLEQMNFPFSTAGWVDGDDGDDFLP >itb09g30490.t1 pep chromosome:ASM357664v1:9:31116540:31118468:1 gene:itb09g30490 transcript:itb09g30490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYAGSTLLSSGYQQNFQDSTVSNLVTIDVGGQLFQTTKQTLSQAGSKSLFSKLVNSYGSIPFVDRDPELFSILLSLLRTGNLPSRAKAFDIQDLIFESQFYGVEQLLINSQSNPSQFDPFNLEKSMVLPLNGRDSPASISTTQFGSVHVAHGSKVTSFDWALQRKSTILTQFSAIDSLLALSSNIVAAGATDFSGLQILDVDKGFVRQTLNWENVTRSGSTVQAIGSSDEYLFTSFESGRRNSNCIMVYDLRDDFRPVTEIGHYEIFGAELDSAIPATKLRWIPGHNLLMAAGSHSGPSGVSGTIKFWDLRSGNAVWEVKEKVDCFSDVTISDSMSAMFKVGVHSGEVFCADFRSIDAENPWVCLGDERKSLNKKKEGVGCKIESHGNQVFCSKGGSIELWSEVLIGSAKEGEDRIFRKNSMGRANDTSANKITHMSFGGNKMFVTRKDQQFVEVWQNSVTRF >itb15g23190.t1 pep chromosome:ASM357664v1:15:25935563:25940920:-1 gene:itb15g23190 transcript:itb15g23190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEARSPVPLSETRSPMPLLYRRRSSGEIRNLASVSSSLLPTFGTVMGEGSVQLRRFVIAPYDRRYRVWQLFLVVLVVYSAWSSPFELAFRKVATGSLQPVDLVVDAFFGIDIILTFFVAYLDKSTYLLVDDHKKIAFRYVTYLGFPMDIASTIPFQTIYQVITGKAHRGVVFGFLNLLRLWRLRRVSAFFSRLEKDTRISYFWTRTFKLICVTLFVVHSAGCFYFWLDTHYHDSDRTWIGSKVPDFKDRSLWLGYTYSTYWSIVTLATVGYGDLYAVNTGEKIFTIFYMLFNIGLTAYLIGTMTNLIVHSAVRTFTMRDAINNTLRYARKNRLPEGLKEQMLAHVTLKFKTAELQQQVLEDLPKAIRSAIAQHLFCSTLENTYLFKDVSEDFILQLVCELKAEYFPPKVDIIIQNEIPTDFYVIVSGEVDALAYINGTEQFLSKLKSTEMAGEVGVIFNIPQPFTVRSRRLSQVIRISHHHFKQLLQPLTEDGKKILSNFCQHLKGLKKEELDEIPIVKDLLGDPNENEVSAEEGAGHASNEGQNQQQNRNNTTFASTGTFLTRVIIHGHHPNDDELKDESRGKLIHLPNSIEELLSIAENRFGKRGSKVLTGNGSEVEDIGAIRENDHLYIC >itb09g25800.t2 pep chromosome:ASM357664v1:9:25911193:25913710:1 gene:itb09g25800 transcript:itb09g25800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSNLKSFLAVALLLLPIAARGDYEYEQEICTEVNCGKGNCREDRGYSYNFRCRCDDGWKRTTIKNTNEDDFQFLPCIIPECTLDYSCMPTPPPPPPPPFNSSAFDPCYGVYCGEGACIKNTDFTHICQCNYGYNNILGIPIFPCFRPCALGSDCSRLGIKITNDTYRSPFDNQAKSILPRKSHWIAVLLASAGLALWK >itb09g25800.t1 pep chromosome:ASM357664v1:9:25911193:25913710:1 gene:itb09g25800 transcript:itb09g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSNLKSFLAVALLLLPIAARGDYEYEQEICTEVNCGKGNCREDRGYSYNFRCRCDDGWKRTTIKNTNEDDFQFLPCIIPECTLDYSCMPTPPPPPPPPFNSSAFDPCYGVYCGEGACIKNTDFTHICQCNYGYNNILGIPIFPCFRPCALGSDCSRLGIKITNDTYRSPFDNQAAKSILPRKSHWIAVLLASAGLALWK >itb04g07480.t1 pep chromosome:ASM357664v1:4:5081517:5086704:1 gene:itb04g07480 transcript:itb04g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAELHSPAPPPPNPSSALFVLKAPAPAPPLAAVKSESPPPPPPNGGGRGGFGPLLWLQSHRPKIRVTTEYDSDSTSFQHRISCKLLDSLAKVKLSFQNNGKGEISEPQVDLTSKYLSLHYDVEDQNAIVKANFDVTPGLQLEAVHDVKSQQGQVVMVADLAGPAYKFELSSSVPAIGMPRASFKFPYGEISLEQKEEEEEDVKKVFSINGILKGHILNGICSARYKDEDLNLRYCFKDEQMAFIPSISLPSNAVSFAFKRRFGPSDKLSYWYNFDSNDWSAVYKHTAGKDYKFKAGYDSEVRLGWASLWVGDEDGAAKTAPMKMKVQFMLQVPQDDIKSSTLMFRVKKRWDL >itb11g05730.t1 pep chromosome:ASM357664v1:11:3392299:3405783:1 gene:itb11g05730 transcript:itb11g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTLVGLPVSTHELLHARDTARLRTRVVWVANRVAPLPSAAISSAKLRILDGNLVIIHGGPPQNLVWSTNISAQAATAKLSSVLATLGDDGNLVLLSVDSTAPLWQSFDHPTDSFLPGSKLGYNKRTKTKQVFTSWKNSDDPAPGLFSSTTDGNVTVHLWNGTEEYWNSGPNFGYGTYFKPSPTYNFTIVHNENESYFSFNISPPLIARCIMDINGQTKEIAWLEARQQWVAIAVNPAQECDVYAYCGPFGICTQTSSPVCACLYGFKYKSHKKWGLNEFSDGCERKTSLKCGTNYQEEGMADSFKMYPNVRLPRHPQNITTQNQAECESTCLNNCSCTAYAYHNNNGCSLWAGQLLNLKQFDANGSTIYIRLAASEFSNLKDTNSKQLLGKLKAIIPSVAVAAAALVACTIFCVCYKRRRAALKITGQSSNYYIGIWYKNISPQTVVWVANRVTPIPSSAIKSSKLRILDGDLVLVDGAQNLMWSTNLSVTTVKQNSVLATLRDNGNLVLSEDDINSTTPLWESFDHPTDTNLPGSKFGYNKRTKTTYGFTSWKSWDDPAPGLYSLDNNGDMGFLLWNRTEEYGRSGHKFGIDSNPSLNPNPLFNFTLVDNENETYFTYNMLNPSMLGRHVIQVDGREKQLTWSESTQEWLLTYVQPPQPCDIYAYCGPFGFCNDNSTNVCVCLQGFKYKSEREWGLNEFSGGCVRNTSLKCGSNYQEEDMDRFIIYPNVRLPRHPKNITAESQADCESTCVKNCSCTAYAYSNSSGYCWIWVGQLLNLKQLGEDDINGSTIYIRLSAPEFSNIKDTNSKQLLGKLKAIIASVAVAAVALVASTIFWVCYKRRRAALKITDQTRRLLLDWSKRFDIILGIVRGLVYLHHDSRLRIIHRDMKTSNILLDEEMTPKISDFGLARLVAGNTFEANTKKVAGT >itb03g16320.t1 pep chromosome:ASM357664v1:3:15331112:15338748:1 gene:itb03g16320 transcript:itb03g16320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQFGATADSLSKASTLAFRIGTDAHLYDDPEDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAIGKCARDPSVYVRKCAANALPKLHDLRLEENENAIEEIVGILLNDSSPAVVGAAAAAFASACPNNLFLIGRNYRKLCETLPDVEEWGQIVLIGILLRYAIAQHGLVKESIMIASCSSKNYNSEKERSDTSFTMKKGTDGSGNEVHESEMANMVSRSYLEGPDKYLSRSSSEKASSEWDPSCFTSAKGNDDVKLLLQCTSPLLWSRNSAVVLAAAGIHWIMAPKGELRRIVKPLLFLLRSSNASRYVVLCNIQVFAKAMPSLFVSHFEDFFISATDSYQIKALKLEILSLIATESSILPIFQEFQDYARDIDRRFAADTVAAIGLCAQRLPSVANTCLEGLLALVSSEISNLDIASMEGEEVILIQAINSIQTIIKLNPSSHEKVIVHLARILDSIRVPKARATIIWMVGEYNTVGHIIPMILPTVLKYLAWCFTSEALETKMQILNACVKVVLCAKGEDILSFRRILNYVLELAKCDLSYDLRDRARFIMKLFCSTDMEEANAQSETKNPLHLLIERLFVEQTKLPAGEPLSCQVYLPGSLSHMVLHAAPGYEPLPEPHSLNYTGTSYPSNVVQGMENQEDGATESDSYDTDDPDSVSGSLDEEIVSGYSSQESPTSASGNGASQLSDHDDNTDPLIHLSDSGNAHRNEIGGSTEGKACSSSNEISELLSNRALESWLDEHPASAQNSSDISYVRRSFARISLGDIGSRVKPKSYTLLDPANGSGLSVDYIFSSEVSSTSQLLVCVEVCFKNCSTEPISNLLLVEEGSSETTEPSDQTVTSSSPNSQTMVPSLVPMDEIASLEPGETSQRTLQVHFHHHLLPLKLILLCNGKKHPVKLRPDIGYFVRPLRMDIELFSSKESQLPGMFEYVRRCSFTDHVEELSKVDPATKDSFLVICQDLASKVLSNANLFLVSVDMPVGNNLDDASGLRLRFSGEILSNSIPCLLTITVEGKCSEPLTLSVKVNCEETVFGLNLLNRVVNFLTEPARS >itb04g10980.t1 pep chromosome:ASM357664v1:4:10515653:10524887:1 gene:itb04g10980 transcript:itb04g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHKEEDWCLRRGQYLGEISALCFLHLPPHLSSLPYLLAGTGSQILVYDLASGLIIRSFEVFDGVRVHGISLGPFSDHSSDSTTVTFKIAVFGERRVKLFSLLINSLAHPRESLHLSLTLMQSLPRLGHWVLDVAFFHGNQWLAIGCSDNSVYFWDMLRCKVLSQVSCSERCLLYSMRIWGNEVDSLRVASGTIFNEVIVWKLDSTNDVPAFGSPAVDNVNLTNEKGNHLPIQPYTAINLFRLIGHEGSIFRLAWSPDGSKIVSVSDDRSARVWILDESKCLQFSVEGPNQSVSSVLFGHTARVWDCCIFDHLIVTAGEDCTCRIWGLDGAQLNLIKEHIGRGVWRCAYHPDFSLLVTAGFDSAIKVHQLQTSPEFLGKIFGAQELSTYEKEAFKLSIPNSTEHVGHMDSKSEYVRCLHFSCEDSLYIATNNGYVYHAKLCHTGNASWTKLLHIGEDAPIVCMDMLSNRSDPSCGIDDWVAVGDGKGRMMIAHLAGDVCSPKVECSFTWSAEIERQLLGTYWSNSLGYRFIFTVDPRGRLKLWRLWNPQSSVSHVEADYRPELVSEFVSCVGMRIICLDASLEDEVLVCGDIRGNVLLFPLKKNLLYNSPITLEEDVSPLAFFKGAHGISTVCSVSIVGSSPSQLDIHSTGGDGCICYFEYDRNQKNLEFTGIKQVKELSTVQSVYSNADYNNKLPSGSYAIGFASSEFIIWNLSSERKVVQILCGGWRRPHSYYLGHSPEMKNCFAFVKDGIIYIHRYWVEENDREMYPKNLHLQFHGREIHSLSFIHPDPSYSPNGKQSYISESSWIATGCEDGTVRLTRYEAETESWLTSMLLGEHVGGSAVRSICSLSKIHRIMLKATDKSERVQQQMGNLEDQENLLLLISVGAKRVITAWKQKIRSIDQRIESQYCKHDNRDGSTSHGSSSKKFSPPLFQWLSSDMPTRNNNGIRENIPKIIGAVKNDQPFPSKDSQIESKSYLLDRSENDWRYLAVTAFLAKGSDSRTTVCFIVVASSDATLSLRALILPHRLWFDVALLTPLSSPVLALQHIIVPRHLLSEENIQIGDLYMVISGSTDGSIALWDLTDHVENFMQLLSTLEMEKGIDNQKRPRTGRGSQGGRWWRSLGSHASKKRPGGEQLSDPSLREKGENSSSLTTKKANDASLQNYDADCLAGCFHTKQSRHVSSEGNHNASLHRKEKTDVSSLDICEIGPLHVFNDMHQSGVNCLHVSHVNKPTASDSTFKFCVLSGGDDQSLNCLTFVFTTTQNLELLNLEADISPSRNMENSIYYCQIHNHQMRLLSVDKISSAHSSAVKGVWTDGRWVFSTGLDQRVRCWNIEQGKLIERAHLVISVPEPEALDARPCDRDRYQIAVAGRGMQMVEFYAPGKMNCGK >itb02g10710.t1 pep chromosome:ASM357664v1:2:6954469:6957813:1 gene:itb02g10710 transcript:itb02g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAIHLSSSSSSSVIFPLPVLLLLSIFCLASVSSSNIPEISYSDHCSSYVSESTPTQRVHHSDILPYLSTVSSYYHGGESLWTRKSLGQLSNTSTNSLTFQPTQKSAYQTENPEIFKLKAYLTLRPSYVEDDSYRYSRYGSYGWSYYAGGNYSEPKQLSFLLSGYFSESSGKLCMVGSASWYSKEGKPEYVEAVLRLSFAEKNSNISNGFVNGSLQSLRNDSRYFEEIKIFAFTDVESYKYSLATKDLGAVCKGGITTQKGESLSVPRRSFCSAIRENYASFELEHAGDCKPSKNCSPVDGVLGYSPRFMSLNLIQCSDGERKVRFMVKFQNTSYTDYYRGFNPNSTFVGEGSWDDSKNQFCIVACRILNLPGDGGVGDCSLRLSLRYPAVWTIRNAYRGVGEIWTNKTAQDSGYFQMMKFRSTDHDMNGYYYRSLPGLSYKYTEIERATKQCPPPKASVKEGEKFPDGNSRDMRLDISVQYSKEQNAWAWGNAIPIFAGDELSEDNNYVRSYEIGGFGAEISESDRSSKQVNSSSPLNMSYLINFEASYINFTKEFASLNLSLNSIGQVEIVAEGIYHGKNGHLCMVGCRKLEFQTQKPEQDSQDCEILVEFDFPSVNARRRRIMKGSIRSTRKASDPLHFAQLNITSNAYYSSYARESIWRMDLEIIMVLISNTLACIFIALQLFYAKRHPEMLPFMSLLMLVVLTLGHMIPLVLNFEALFLSSHQRRRRSMFGADGWIEVNEVIVRVITMVAFLLQARLLQLAWSAKWEIGNGNGIGLWLSERKTVFVSFPMYIAGGLLTILVKWMWNRNLSGTTLSSPNYYYSPYQDSNPQSSTWGGLRSYAGFILDGFLFPQVLFNIFHSSREGALSRWFYMGTTLVRLLPHAYDLYRVHNYAAMEVEGSYLYANPRADFYSTAWDIVIPCLGMLLAVIIWLQQRFGGRCVLPRRFRDSVAYEKVVADDDSRA >itb10g22260.t2 pep chromosome:ASM357664v1:10:27180347:27185201:-1 gene:itb10g22260 transcript:itb10g22260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGSVPNDKRCSSLFILCNPEGNRICCCIGLCWSCWMRWGLCECEFCSHWSSLFLLLKWHVFLYRKRSRSLSSRRHKSRSLTPRRHRSRSPTSRRHRRQRSKSTSLSPIGKSLISSTGSRERKDDSEMLRKQEEEKKRRQQEAELKLVEEETARRVEEAIMKKVEEKLKSAYIKLEIDKRLAEGRKKLIIEVAAQLEKEKEAALIRAKQKEEQAKKEKEELDKMLEENRMKVEEAQRKVALEQQSKEEERYKELVELQRQKEEAMRRKKQEEEEERAKQMKLLGKNKSRPKLSFALGLK >itb10g22260.t1 pep chromosome:ASM357664v1:10:27180341:27185210:-1 gene:itb10g22260 transcript:itb10g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRDRDISRSPAYRRRYSPSLSPVGHRSSRRSRRERIRSPYSHSRKRSRSLSSRRHKSRSLTPRRHRSRSPTSRRHRRQRSKSTSLSPIGKSLISSTGSRERKDDSEMLRKQEEEKKRRQQEAELKLVEEETARRVEEAIMKKVEEKLKSAYIKLEIDKRLAEGRKKLIIEVAAQLEKEKEAALIRAKQKEEQAKKEKEELDKMLEENRMKVEEAQRKVALEQQSKEEERYKELVELQRQKEEAMRRKKQEEEEERAKQMKLLGKNKSRPKLSFALGLK >itb10g22260.t4 pep chromosome:ASM357664v1:10:27180339:27185054:-1 gene:itb10g22260 transcript:itb10g22260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGSVPNDKRCSSLFILCNPEGNRICCCIGLCWSCWMRWGLCECEFCSHWSSLFLLLKWHVFLYRKRSRSLSSRRHKSRSLTPRRHRSRSPTSRRHRRQRSKSTSLSPIGKSLISSTGSRERKDDSEMLRKQEEEKKRRQQEAELKLVEEETARRVEEAIMKKVEEKLKSAYIKLEIDKRLAEGRKKLIIEVAAQLEKEKEAALIRAKQKEEQAKKEKEELDKMLEENRMKVEEAQRKVALEQQSKEEERYKELVELQRQKEEAMRRKKQEEEEERAKQMKLLGKNKSRPKLSFALGLK >itb10g22260.t3 pep chromosome:ASM357664v1:10:27180339:27185054:-1 gene:itb10g22260 transcript:itb10g22260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRDRDISRSPAYRRRYSPSLSPVGHRSSRRSRRERIRSPYSHSRKRSRSLSSRRHKSRSLTPRRHRSRSPTSRRHRRQRSKSTSLSPIGKSLISSTGSRERKDDSEMLRKQEEEKKRRQQEAELKLVEEETARRVEEAIMKKVEEKLKSAYIKLEIDKRLAEGRKKLIIEVAAQLEKEKEAALIRAKQKEEQAKKEKEELDKMLEENRMKVEEAQRKVALEQQSKEEERYKELVELQRQKEEAMRRKKQEEEEERAKQMKLLGKNKSRPKLSFALGLK >itb09g13020.t1 pep chromosome:ASM357664v1:9:8333129:8337006:-1 gene:itb09g13020 transcript:itb09g13020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVPQTSDERSTRNKPNPFSEYSTHGNGEYKSYVLDSPTGHDIEATYKLGRELGRGEFGVTHLCTDKSTGGQFACKSISKKKLRTRVDVEDVRREVQIMRHLPKHPNIVTLKDTYEDDHAVHLVMELCEGGELFDRIVSRGHYTERAAAVVTRTIVEVIQICHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVIFKPGEKFNEIVGSPYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIKSVVDFKKDPWPRVSNSAKDLVKKMLNPDPVKRLTAQEVLAHPWLQNAQTAPNVSLGESVIARLKQFSMMNKLKKRALTVIAEHLSVEEVAGIKEVFQLMDTNNKGKIDINELRVGLQKLGYQVTETDLQVLMEAGDVDNDGYLDCGEFVAISVHLRKMGTEDHLKKAFEFFDKNRTGYIEIEELRDALADEIDPNSEEIIDAIMQDVDTDKDGRISYEEFDAMMKAGTDWRKASRQYSRERYNSLSLKLMKDGSLKFNS >itb14g03460.t3 pep chromosome:ASM357664v1:14:3069816:3074284:-1 gene:itb14g03460 transcript:itb14g03460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIQVPMAKLNSGHQMPLLAMGTASPWLPEAEQLTSIFIDAIEMGYRHFDTAPIYGSEEALGRAVAAALQRGLVASRDQLFISTKLWCSETERHLVVPALRRSLGRLGLDYVDLYLIHWPLRVNDAAESFEIETENVIPFDMKGTWEGMEECCNLNLAKSIGVSNFTSIKISQLLQNATIPPAVNQVYKRRLGLDYVDLYLIHWPLRVNDAAESFEIETENVIPFDMKGTWEGMEECCNLNLAKSIGVSNFTSIKISQLLQNATIPPAVNQVEMSVAWQQAKLLEFCREKGVYVSAWSPLGANGALWGNPGLLEIPQLKDIAMAKHKSPAQVALRWVYQQGACVIVRSFNHERMKQNLQIFNWELEEEELAKIQQIPQRRGCKGEVFIHSNGPYKSVEELWDGDV >itb14g03460.t2 pep chromosome:ASM357664v1:14:3069561:3074408:-1 gene:itb14g03460 transcript:itb14g03460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIQVPMAKLNSGHQMPLLAMGTASPWLPEAEQLTSIFIDAIEMGYRHFDTAPIYGSEEALGRAVAAALQRGLVASRDQLFISTKLWCSETERHLVVPALRRSLGRLGLDYVDLYLIHWPLRVNDAAESFEIETENVIPFDMKGTWEGMEECCNLNLAKSIGVSNFTSIKISQLLQNATIPPAVNQVEMSVAWQQAKLLEFCREKGVYVSAWSPLGANGALWGNPGLLEIPQLKDIAMAKHKSPAQVALRWVYQQGACVIVRSFNHERMKQNLQIFNWELEEEELAKIQQIPQRRGCKGEVFIHSNGPYKSVEELWDGDV >itb14g03460.t1 pep chromosome:ASM357664v1:14:3069561:3074408:-1 gene:itb14g03460 transcript:itb14g03460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIQVPMAKLNSGHQMPLLAMGTASPWLPEAEQLTSIFIDAIEMGYRHFDTAPIYGSEEALGRAVAAALQRGLVASRDQLFISTKLWCSETERHLVVPALRRSLGRLGLDYVDLYLIHWPLRVNDAAESFEIETENVIPFDMKGTWEGMEECCNLNLAKSIGVSNFTSIKISQLLQNATIPPAVNQVEMSVAWQQAKLLEFCREKGVYVSAWSPLGANGALWGNPGLLEIPQLKDIAMAKHKSPAQV >itb14g18320.t3 pep chromosome:ASM357664v1:14:21392419:21394288:-1 gene:itb14g18320 transcript:itb14g18320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGFQALFSQGNVLKAAVLRHVRLGNPAMRPLMFACRESTSAARIEEHGFESTTISDVLKSKGEGADGSWLWCTTDDSVYDAVKSMTHHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVAPQTKVLKAMQLMTDNRIRHIPVIDDSGMVGMVSIGDVVRAVVTEHRVELDRLNAYIQGGY >itb14g18320.t1 pep chromosome:ASM357664v1:14:21392419:21395408:-1 gene:itb14g18320 transcript:itb14g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGFQALFSQGNVLKAAVLRHVRLGNPAMRPLMFACRESTSAARIEEHGFESTTISDVLKSKGEGADGSWLWCTTDDSVYDAVKSMTHHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVAPQTKVLKAMQLMTDNRIRHIPVIDDSGMVGMVSIGDVVRAVVTEHRVELDRLNAYIQGGY >itb14g18320.t2 pep chromosome:ASM357664v1:14:21392419:21395408:-1 gene:itb14g18320 transcript:itb14g18320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGFQALFSQGNVLKAAVLRHVRLGNPAMRPLMFACRESTSAARIEEHGFESTTISDVLKSKGEGADGSWLWCTTDDSVYDAVKSMTHHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVAPQTKVLKAMQLMTDNRIRHIPVIDDSGMVGMVSIGDVVRAVVTEHRVELDRLNAYIQGGY >itb04g29450.t1 pep chromosome:ASM357664v1:4:32817748:32818209:-1 gene:itb04g29450 transcript:itb04g29450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKRPAEKKPASEKPTEEKKTSVAEKAPAEKKPKAGKKLPKEGGAGAGDKKKKRVKKSSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >itb14g21130.t1 pep chromosome:ASM357664v1:14:23288403:23290764:1 gene:itb14g21130 transcript:itb14g21130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEKPVMVVAVDESEHSFYALGWTLDHFFTGPVSPFRLVLVHAKPSPASAVGLAGPGAADVLPYVDSDLKKIAARVIEQAKEICASKSVDEPMVEIMEGDARNVLCESVEKYHASILVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKHKT >itb15g01140.t1 pep chromosome:ASM357664v1:15:656220:657419:1 gene:itb15g01140 transcript:itb15g01140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCYSEGAIKVSDAGRRKRCVSSVPAFKKAVRCLYRVKLSSEEQFLIKITWCGVAAAPARGFWISVCDYPCSSLNKNYSRQIEKPKGSVLIQGCSAKSEVFWDLSNASYAAGPEPVAGFCILVLINSEIVLFLGDNDQELASQKCRLKAAAFSLVSRCEYFSTAGGGGAGFSTKARFCDSGDSHDIVIRCSGEEKGGRNWTLSVSIDERNVIVVPRLQWNFRGNQTIFVDGLVVDMMWDVHDWMFGRRSGGAVFMFRTRSGLDSRLWLAEKNLEQKEQENLGFCFLICACKNPS >itb05g06430.t1 pep chromosome:ASM357664v1:5:6878597:6880708:1 gene:itb05g06430 transcript:itb05g06430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTTAVGRASEPLGNEFQVFNKFDVKGNGKISWSELGSIMGSLSHVATEEELKAMIWEVYNDGNGFIDLREFIELNTHDIDFEEVLRNLKDAFSVFDIDNIGPISIEELQNVMHSLVDDCSIVECRKMIR >itb06g24770.t1 pep chromosome:ASM357664v1:6:26199243:26202805:1 gene:itb06g24770 transcript:itb06g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSNRNGDCTFEVKELLEIRTRCTELRKEKDMLRDSQTQGFELIRKLEEHVQTLSGVHSEDKQRIQELERELDNCSQEIDYLQDQLTLRNSELNMLCEEVCSLKLKLEGMEILEEETGRLKEELEITHADRLILMQKLENKELELQSSTLLIEKLEESISSMGLEYQCEIESLKLDLTALEQNCFESKKSQENSAQENARMNALFHDLELRFQDAENFIEYLEKENGNLREQLQIYEKNAKVFCQNVEEQFPQLLVTGNEEALENNASSCGDILGPLFTKLAILGASDVHFKEKTDKMSHQIQKYESLVEKLKEELKLERLTAKEEAEDLAQEMAELRYQMTGLLEEERKRRACIEQVSLQRIAKLEAQLEKERKKSFVNEEQSKAITTLNVTEE >itb06g24770.t3 pep chromosome:ASM357664v1:6:26199175:26202805:1 gene:itb06g24770 transcript:itb06g24770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSNRNGDCTFEVKELLEIRTRCTELRKEKDMLRDSQTQGFELIRKLEEHVQTLSGVHSEDKQRIQELERELDNCSQEIDYLQDQLTLRNSELNMLCEEVCSLKLKLEGMEILEEETGRLKEELEITHADRLILMQKLENKELELQSSTLLIEKLEESISSMGLEYQCEIESLKLDLTALEQNCFESKKSQENSAQENARMNALFHDLELRFQDAENFIEYLEKENGNLREQLQIYEKNAKVFCQNVEEQFPQLLVTGNEEALENNASSCGDILGPLFTKLAILGASDVHFKEKTDKMSHQIQKYESLVEKLKEELKLERLTAKEEAEDLAQEMAELRYQMTGLLEEERKRRACIEQVSLQRIAKLEAQLEKERKKSFVNEEQSKAITTLNVTEE >itb06g24770.t2 pep chromosome:ASM357664v1:6:26199171:26202785:1 gene:itb06g24770 transcript:itb06g24770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSNRNGDCTFEVKELLEIRTRCTELRKEKDMLRDSQTQGFELIRKLEEHVQTLSGVHSEDKQRIQELERELDNCSQEIDYLQDQLTLRNSELNMLCEEVCSLKLKLEGMEILEEETGRLKEELEITHADRLILMQKLENKELELQSSTLLIEKLEESISSMGLEYQCEIESLKLDLTALEQNCFESKKSQENSAQENARMNALFHDLELRFQDAENFIEYLEKENGNLREQLQIYEKNAKVFCQNVEEQFPQLLVTGNEEALENNASSCGDILGPLFTKLAILGASDVHFKEKTDKMSHQIQKYESLVEKLKEELKLERLTAKEEAEDLAQEMAELRYQMTGLLEEERKRRACIEQVSLQRIAKLEAQLEKERKKSFVNEEQSKAITTLNVTEE >itb08g14440.t2 pep chromosome:ASM357664v1:8:16213158:16216673:1 gene:itb08g14440 transcript:itb08g14440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb08g14440.t4 pep chromosome:ASM357664v1:8:16213162:16216535:1 gene:itb08g14440 transcript:itb08g14440.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb08g14440.t6 pep chromosome:ASM357664v1:8:16213164:16216673:1 gene:itb08g14440 transcript:itb08g14440.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb08g14440.t7 pep chromosome:ASM357664v1:8:16215587:16216673:1 gene:itb08g14440 transcript:itb08g14440.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb08g14440.t3 pep chromosome:ASM357664v1:8:16213158:16216673:1 gene:itb08g14440 transcript:itb08g14440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb08g14440.t1 pep chromosome:ASM357664v1:8:16213158:16216673:1 gene:itb08g14440 transcript:itb08g14440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb08g14440.t5 pep chromosome:ASM357664v1:8:16213400:16216535:1 gene:itb08g14440 transcript:itb08g14440.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTFFVASGFISAKEVMNFTTLFRRLNIRELVSNTPVYSYGSDASGKGLSLVLRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGIGKDHTLYALKEGCVKFERNKLTGRKWVHVEPKDGHVLHPIYSTAADLELKTAT >itb06g21410.t1 pep chromosome:ASM357664v1:6:23997543:24001371:1 gene:itb06g21410 transcript:itb06g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGRHYWGRKERGKVEGIVVVFAWMSSQDRHLKNYVDLYSSLGWNSLVCHSQFLNSFFPEKAAALALEIVNELVEELKVRPCPVVFASFSGGPKACMYKVLQIIDGKCEEQFNLGEYRLVRDCVAGHIFDSSPVDFTSDLGTRFVLHPTILKMSRPPPLVSWIANGIASSLDALFLSRFESQRADYWQTLYASTSMGAPYLILCSEDDDLAPYEAIRNFAQRLKDLGGDVKLVTWSSSPHVGHYRRYPIEYKAAVAEVFLKASSIYSQRTRQLGGENMGLEETHGETSEQLSSLRNAASTSNQSFHRAALDLNDHFFIPGSVEYHEGRNLGSVPDEGKEQFIPAISSLPRINAHGVLGQILFDVCVPKNVEGWDIRSSPSFSRGSLAPGRRQSHLNPMKCIRRSRL >itb01g30970.t1 pep chromosome:ASM357664v1:1:34781987:34786839:-1 gene:itb01g30970 transcript:itb01g30970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGFAAVFWVALLLISAHSAPPPFSCDPSDPQTKTFGFCKPDLSIRLRVRDLVSRLTLDEKISQLVNSAAAIPRLGIPAYNWWSEALHGVANAGQGISLSGPISGATSFPQVILTAASFDQDLWYRIGQVIGKEARAVYNGGQASGLTFWAPNINIFRDPRWGRGQETPGEDPTTTGKYAVAFVRGVQGDSFQGGRIGNHLQASACCKHFTAYDLENWNGFRRYGFNAIVTKQDMSDTFQPPFQECVQKARASGIMCAYNSVNGIPSCADQNLLTNVARRQWGFHGYITSDCDAVSNVFQDHKYTKTPEDTVAAVLNAGMDVNCGQYLAKYTKSAVEKKKVSEDQIDRALHNLFGIRMRLGLFSGDPKNLSYGGIGPDQVCSQDHQNVALEAARKGIVLLKNSAKLLPLSKTKTASLAVIGPNANTANVLQGNYYGPGCKSVEILKALQGYAKYAPFHPGCNAVNCTSAAIGEAVELAKKADQVVLVMGLDQTQEREDVDRVDLLLPGQQESLITSVAEAAKKPVILVLLSGGPVDVSFAKSNPKIGSILWAGYPGEAGGLALAEVIFGDYNPGGKLPVTWYPKDYIKIPMTDMRMRADQSTGYPGRTYRFYTGPKVFEFGYGLSYTTYSYEFTSVTSTTINLNQLATVKRAEGLDSVHYISVEEIGEETCENAKFSAIIGVQNSEEMDGTHPVLLFIKPAKGREGNPLKQLVGFQSVNLKAGERTEVQFEISPCHHLSSANKDGLMMIEEGSSLLVVGNTEHTIDIVI >itb11g06870.t3 pep chromosome:ASM357664v1:11:4163223:4168828:1 gene:itb11g06870 transcript:itb11g06870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCKVLLTYKRKRPRSSAILREHGIANVSTRSPSSASVTPQKNEATEHHRSKDERKYSGGNPQEGCSHQLSGQVVSNNADPFKGRKLNSPLLTFHRRAKRNNHVNGPDVKCVLNVGDSVSSSIGNSVQGVTPCIFEVTPSNSCFEDASANQKHQEILLQTTGNDDHHSCVSAGSVPQIKAPPEVNEQPHIVDDTPTLHEVTALDSGSNLSSLHKNAGTDVPKDILVNSSKPTQGLSCSAVDNAEVTASSTSHTSIGTECQVIDLNISELPDENANNRDSLTLDLSIPLRDSADRVGCNKKLACDLDDQPICSTPELLCTKSDSCSGLQATESCKVSTSKSLELIDERILNIPSTGAEIIETSCTSAEAAGNSCKGTGSKNNPLQLSSEDRTYDFFPLSSTPKKTSTTEDSDDRTTHLQESRFLPVSVGLNSLFLGSSLPPETMVDRHAYVSSSQRACTGNQSREFILGGVPQLPSDHTALLFRHQMILDNIVGSRAASLKGNKNRFPEKLESPMMWSEEELDSLWIGVRRHGRGKWDAMLRDPRLHFFSWRSSRDLAERWEEEQSKLLCGRSVSQVRQLRKADLYHANGVYSGKTGQEDLANDVQLSLGHGYSQSEDMEKHSRYHFLNIQSTGSTFCPYYHRGKRSRAMLTQSERSESHSVPYVESSFIAGLPTDMAVSGTLPRWIKEAVAIPIRPLDSPSITSSLCQTGLKWPNHTFSESNGTHESTDRLRSVHSIPTVCSTHSAIFPTTKQQRKFDLHESDTDKKDEFIIIHSDDSSEETISDDHNLQS >itb11g06870.t2 pep chromosome:ASM357664v1:11:4163223:4168828:1 gene:itb11g06870 transcript:itb11g06870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCKVLLTYKRKRPRSSAILREHGIANVSTRSPSSASVTPQKNEATEHHRSKDERKYSGGNPQEGCSHQLSGQVVSNNADPFKGRKLNSPLLTFHRRAKRNNHVNGPDVKCVLNVGDSVSSSIGNSVQGVTPCIFEVTPSNSCFEDASANQKHQEILLQTTGNDDHHSCVSAGSVPQIKAPPEVNEQPHIVDDTPTLHEVTALDSGSNLSSLHKNAGTDVPKDILVNSSKPTQGLSCSAVDNAEVTASSTSHTSIGTECQVIDLNISELPDENANNRDSLTLDLSIPLRDSADRVGCNKKLACDLDDQPICSTPELLCTKSDSCSGLQATESWAEIIETSCTSAEAAGNSCKGTGSKNNPLQLSSEDRTYDFFPLSSTPKKTSTTEDSDDRTTHLQESRFLPVSVGLNSLFLGSSLPPETMVDRHAYVSSSQRACTGNQSREFILGGVPQLPSDHTALLFRHQMILDNIVGSRAASLKGNKNRFPEKLESPMMWSEEELDSLWIGVRRHGRGKWDAMLRDPRLHFFSWRSSRDLAERWEEEQSKLLCGRSVSQVRQLRKADLYHANGVYSGKTGQEDLANDVQLSLGHGYSQSEDMEKHSRYHFLNIQSTGSTFCPYYHRGKRSRAMLTQSERSESHSVPYVESSFIAGLPTDMAVSGTLPRWIKEAVAIPIRPLDSPSITSSLCQTGLKWPNHTFSESNGTHESTDRLRSVHSIPTVCSTHSAIFPTTKQQRKFDLHESDTDKKDEFIIIHSDDSSEETISDDHNLQS >itb11g06870.t5 pep chromosome:ASM357664v1:11:4163223:4168828:1 gene:itb11g06870 transcript:itb11g06870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCKVLLTYKRKRPRSSAILREHGIANVSTRSPSSASVTPQKNEATEHHRSKDERKYSGTTGNDDHHSCVSAGSVPQIKAPPEVNEQPHIVDDTPTLHEVTALDSGSNLSSLHKNAGTDVPKDILVNSSKPTQGLSCSAVDNAEVTASSTSHTSIGTECQVIDLNISELPDENANNRDSLTLDLSIPLRDSADRVGCNKKLACDLDDQPICSTPELLCTKSDSCSGLQATESCKVSTSKSLELIDERILNIPSTGAEIIETSCTSAEAAGNSCKGTGSKNNPLQLSSEDRTYDFFPLSSTPKKTSTTEDSDDRTTHLQESRFLPVSVGLNSLFLGSSLPPETMVDRHAYVSSSQRACTGNQSREFILGGVPQLPSDHTALLFRHQMILDNIVGSRAASLKGNKNRFPEKLESPMMWSEEELDSLWIGVRRHGRGKWDAMLRDPRLHFFSWRSSRDLAERWEEEQSKLLCGRSVSQVRQLRKADLYHANGVYSGKTGQEDLANDVQLSLGHGYSQSEDMEKHSRYHFLNIQSTGSTFCPYYHRGKRSRAMLTQSERSESHSVPYVESSFIAGLPTDMAVSGTLPRWIKEAVAIPIRPLDSPSITSSLCQTGLKWPNHTFSESNGTHESTDRLRSVHSIPTVCSTHSAIFPTTKQQRKFDLHESDTDKKDEFIIIHSDDSSEETISDDHNLQS >itb11g06870.t4 pep chromosome:ASM357664v1:11:4163223:4168828:1 gene:itb11g06870 transcript:itb11g06870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCKVLLTYKRKRPRSSAILREHGIANVSTRSPSSASVTPQKNEATEHHRSKDERKYSGGNPQEGCSHQLSGQVVSNNADPFKGRKLNSPLLTFHRRAKRNNHVNGPDVKCVLNVGDSVSSSIGNSVQGVTPCIFEVTPSNSCFEDASANQKHQETTGNDDHHSCVSAGSVPQIKAPPEVNEQPHIVDDTPTLHEVTALDSGSNLSSLHKNAGTDVPKDILVNSSKPTQGLSCSAVDNAEVTASSTSHTSIGTECQVIDLNISELPDENANNRDSLTLDLSIPLRDSADRVGCNKKLACDLDDQPICSTPELLCTKSDSCSGLQATESCKVSTSKSLELIDERILNIPSTGAEIIETSCTSAEAAGNSCKGTGSKNNPLQLSSEDRTYDFFPLSSTPKKTSTTEDSDDRTTHLQESRFLPVSVGLNSLFLGSSLPPETMVDRHAYVSSSQRACTGNQSREFILGGVPQLPSDHTALLFRHQMILDNIVGSRAASLKGNKNRFPEKLESPMMWSEEELDSLWIGVRRHGRGKWDAMLRDPRLHFFSWRSSRDLAERWEEEQSKLLCGRSVSQVRQLRKADLYHANGVYSGKTGQEDLANDVQLSLGHGYSQSEDMEKHSRYHFLNIQSTGSTFCPYYHRGKRSRAMLTQSERSESHSVPYVESSFIAGLPTDMAVSGTLPRWIKEAVAIPIRPLDSPSITSSLCQTGLKWPNHTFSESNGTHESTDRLRSVHSIPTVCSTHSAIFPTTKQQRKFDLHESDTDKKDEFIIIHSDDSSEETISDDHNLQS >itb11g06870.t1 pep chromosome:ASM357664v1:11:4163223:4168828:1 gene:itb11g06870 transcript:itb11g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCKVLLTYKRKRPRSSAILREHGIANVSTRSPSSASVTPQKNEATEHHRSKDERKYSGGNPQEGCSHQLSGQVVSNNADPFKGRKLNSPLLTFHRRAKRNNHVNGPDVKCVLNVGDSVSSSIGNSVQGVTPCIFEVTPSNSCFEDASANQKHQETTGNDDHHSCVSAGSVPQIKAPPEVNEQPHIVDDTPTLHEVTALDSGSNLSSLHKNAGTDVPKDILVNSSKPTQGLSCSAVDNAEVTASSTSHTSIGTECQVIDLNISELPDENANNRDSLTLDLSIPLRDSADRVGCNKKLACDLDDQPICSTPELLCTKSDSCSGLQATESWAEIIETSCTSAEAAGNSCKGTGSKNNPLQLSSEDRTYDFFPLSSTPKKTSTTEDSDDRTTHLQESRFLPVSVGLNSLFLGSSLPPETMVDRHAYVSSSQRACTGNQSREFILGGVPQLPSDHTALLFRHQMILDNIVGSRAASLKGNKNRFPEKLESPMMWSEEELDSLWIGVRRHGRGKWDAMLRDPRLHFFSWRSSRDLAERWEEEQSKLLCGRSVSQVRQLRKADLYHANGVYSGKTGQEDLANDVQLSLGHGYSQSEDMEKHSRYHFLNIQSTGSTFCPYYHRGKRSRAMLTQSERSESHSVPYVESSFIAGLPTDMAVSGTLPRWIKEAVAIPIRPLDSPSITSSLCQTGLKWPNHTFSESNGTHESTDRLRSVHSIPTVCSTHSAIFPTTKQQRKFDLHESDTDKKDEFIIIHSDDSSEETISDDHNLQS >itb12g13330.t1 pep chromosome:ASM357664v1:12:12160892:12164168:-1 gene:itb12g13330 transcript:itb12g13330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSHCAGPLSNEMETSEWTVPPLIRDSFSMIGTAVGGTTSAFYGFNHVMPIVRRWIKGPMWLHFLVGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAISSSTLQPPSSQDEKINKSRPSSTLKH >itb04g23560.t3 pep chromosome:ASM357664v1:4:28575537:28583327:-1 gene:itb04g23560 transcript:itb04g23560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MTSASSMSVSVECVNICKFWNGVVSGRLNCSVLPCASKAPRALTGLLASTAHPPQFWSGSYGRAGRRSSVRCRCNAHDIGGWNPGEDPGIVHPHTLLSSRLIHSDSCKWKLRCSSSFSPKPYEEISPESLWEDLQPSISYLSPKELELVQNALNLAFEAHDGQKRRSGEPFIIHPVAVAQILGELELDWESIAAGLLHDTVEDTNVVTFERIEQEFGVTVRHIVEGETKVSKLGKIKYKDENHSTQDVKADDLRQMFLSMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAKETLQIFAPLAKLLGMYQIKSELENLAFMYTNAQDYAKVQRRISELYKDHEKELLEAKRILTKKIEDDQFLGLMLVNTEVRSVCKEPYSIYRAVLKSKSSINEVNQIAQIRVVIKPKPCAGVGPLCNAQQICYHVLGLVHGIWTPIPRAVKDYIATPKPNGYQSLHTTVIPFLYESMLRLEVQIRTEEMDLIAERGIAAHYSGKGLNGVIGHAIHNGSSRGHGKTVCLNNANVALRIGWLNAIREWQEEFVGNMTSREFVDTVTRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNIVSPVHVLANAEVVEIITYSGLSNKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSATEITAESVNEFAAESGDDSETEVFDSSKGTKHTWEKILKNVVKMSSATMSEEDMFQFNSSSIQIPKVNGKHSKHLQHVSLKAEGETLSQGNGVGRTICANIPMYREVFPGLENWLANKVSSWNNLEGHSIQWLCVVCLDRRGMMADVTTTLAAVSVTICSCVVSLYI >itb04g23560.t1 pep chromosome:ASM357664v1:4:28575537:28583327:-1 gene:itb04g23560 transcript:itb04g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MTSASSMSVSVECVNICKFWNGVVSGRLNCSVLPCASKAPRALTGLLASTAHPPQFWSGSYGRAGRRSSVRCRCNAHDIGGWNPGEDPGIVHPHTLLSSRLIHSDSCKWKLRCSSSFSPKPYEEISPESLWEDLQPSISYLSPKELELVQNALNLAFEAHDGQKRRSGEPFIIHPVAVAQILGELELDWESIAAGLLHDTVEDTNVVTFERIEQEFGVTVRHIVEGETKVSKLGKIKYKDENHSTQDVKADDLRQMFLSMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAKETLQIFAPLAKLLGMYQIKSELENLAFMYTNAQDYAKVQRRISELYKDHEKELLEAKRILTKKIEDDQFLGLMLVNTEVRSVCKEPYSIYRAVLKSKSSINEVNQIAQIRVVIKPKPCAGVGPLCNAQQICYHVLGLVHGIWTPIPRAVKDYIATPKPNGYQSLHTTVIPFLYESMLRLEVQIRTEEMDLIAERGIAAHYSGKGLNGVIGHAIHNGSSRGHGKTVCLNNANVALRIGWLNAIREWQEEFVGNMTSREFVDTVTRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNIVSPVHVLANAEVVEIITYSGLSNKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSATEITAESVNEFAAESGDDSETEVFDSSKGTKHTWEKILKNVVKMSSATMSEEDMFQFNSSSIQIPKVNGKHSKHLQHVSLKAEGETLSQGNGVGRTICANIPMYREVFPGLENWLANKVSSWNNLEGHSIQWLCVVCLDRRGMMADVTTTLAAVSVTICSCVAEIDRGKGMAVMLFHVEASLDNLVTACSKVDLILGVLGWSTGCSWPESVANNHFLEC >itb04g23560.t2 pep chromosome:ASM357664v1:4:28577409:28583327:-1 gene:itb04g23560 transcript:itb04g23560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MTSASSMSVSVECVNICKFWNGVVSGRLNCSVLPCASKAPRALTGLLASTAHPPQFWSGSYGRAGRRSSVRCRCNAHDIGGWNPGEDPGIVHPHTLLSSRLIHSDSCKWKLRCSSSFSPKPYEEISPESLWEDLQPSISYLSPKELELVQNALNLAFEAHDGQKRRSGEPFIIHPVAVAQILGELELDWESIAAGLLHDTVEDTNVVTFERIEQEFGVTVRHIVEGETKVSKLGKIKYKDENHSTQDVKADDLRQMFLSMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAKETLQIFAPLAKLLGMYQIKSELENLAFMYTNAQDYAKVQRRISELYKDHEKELLEAKRILTKKIEDDQFLGLMLVNTEVRSVCKEPYSIYRAVLKSKSSINEVNQIAQIRVVIKPKPCAGVGPLCNAQQICYHVLGLVHGIWTPIPRAVKDYIATPKPNGYQSLHTTVIPFLYESMLRLEVQIRTEEMDLIAERGIAAHYSGKGLNGVIGHAIHNGSSRGHGKTVCLNNANVALRIGWLNAIREWQEEFVGNMTSREFVDTVTRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNIVSPVHVLANAEVVEIITYSVSCLVFFLLFSHQLPPPTPKEERKRNSERRDKVEFY >itb08g16840.t1 pep chromosome:ASM357664v1:8:18851259:18853689:-1 gene:itb08g16840 transcript:itb08g16840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFRQNANHNVCRREIGFHDWLGKLEVRSGHTFAVYTNGNSKASSEATVSRNTKTPWVDLFKPSCEKGKEVLRLNFYEPVNGGAVIQDNELLVIKEQWAFALLGCFAGRFPGVTAIQALVDSWKVQCKWNTQPNDHVLFRFNTEEDRCSILSKGDYSLFGKPLFLKSLPEHFHLENKDFSTLPIWVQFPYLPSEFWGEIALSKIASCIGKPLWSDDTTKAMKKGGYARVLVEIDTSFHPLEAIPVSTPSGYSFSQEVYYELPPCFCTKCRSNDHYKEECNGKWKNPRRGRKSNRPKGQRGNSRRPQPFDNSSGRVNDAPVASSKATPNEPTQPHVPEVMNSVEHPQSDSLRDKGGPGAILEDPNSAMPPQVAAMCEEENSGTILEILLKMLYPNLIAVVIQKWNLHMTCLLPNL >itb03g18070.t1 pep chromosome:ASM357664v1:3:16453405:16456480:-1 gene:itb03g18070 transcript:itb03g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPRPDTGLYLLLSSLTVKDPFFPHVPDPLSSATIVINQTFIRSSGALISSAMASLLSFFSAIAVSLLFLQQCLASKQTYIVHMKHHAKPSSFLTHQEWYAANLQSLSSSSSSDSLLYTYDTAYHGFAAVLEPQEAELLRKSDDVVGVYEDTVYSLHTTRTPEFLGLDVDLGLWAGHGPQELNQATQDVVIGVLDTGIWPESKSFDDAGMPEIPARWKGECESGPDFDPKVHCNKKLIGARFYAKGYHMASGMVRFKEPESARDQDGHGTHTASTAAGAPVANASLFGYARGVARGMATHARVAAYKVCWKAGCFGSDILAAMDQAILDGVDVLSLSLGGGSAPYYRDTIAIGAFSATQKGIFVSCSAGNSGPAKSSLANTAPWIMTVGAGTIDRDFPAYAIIGDGRKFTGVSLYSGKGMGKMQTGLVYNAGNNGSGNLCLPGSIDPKLVKGKVVVCDRGTNPRVEKGLVVRRAGGVGMILANTEESGEELVADSHLLPAVAVGRKMGDLIRQYIKTARNPTAVLSFGGTVLNVKPSPVVASFSSRGPNMVTPQILKPDIIGPGVNILASWSLAVGPTGLEEDTRKTPFNIMSGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYARDNTNSPLRQAEDYAVSTPWAYGSGHVDPHKALSPGLVYDIRLSQYIRFLCSLDYAAEHIQAVVKRPNITCDKKFADPGQLNYPSFSVLFGKSRVVRYTRILTNVGAPKTSYEVAVEAPSSVTVTVKPAKLFFKNVGDRLRYTVTFVSKKGVTGKDAFGSISWNSAQNQVRSPVAFSWPELF >itb07g01480.t1 pep chromosome:ASM357664v1:7:893894:898289:-1 gene:itb07g01480 transcript:itb07g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGDSWKLKDHPKLPKGKTLALVVLDGWGEAGANEYNAIHVAETPAMDSLKKGAPDRWRLVKAHGTAVGLPTDDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGDGFNYIKESFATATLHMIGLLSDGGVHSRLDQLQLLLKGAAERGAKKIRVHALTDGRDVLDGSSVGFMETIENDLASLRAKGVDAQVASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPNKFRNPVEAVKKLREAPNANDQYLPPFVIVDENGKSVGPIVDGDAVVTLNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVRNGIRTFACSETVKFGHVTFFWNGNRSGYFNADLEEYVEIPSDVGITFNVKPKMKALEIAEKARDAILSRKFDQVRVNLPNSDMVGHTGDIKATIESCKAADQAVKMILDAIEQVGGIYAVTADHGNAEDMVKRNKKGEPLLDKSGNVQILTSHTCEPVPFAIGGSGLAPGVRFRKDVPTGGLANVAATVMNLHGFEAPNDYETTLIEVADN >itb11g15060.t1 pep chromosome:ASM357664v1:11:12407153:12413780:1 gene:itb11g15060 transcript:itb11g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQGQAPAGDATAPAPVAANGAVETGDWRAQLQPESREMIVNKIMETLKRHIPFAGPEGLYELWKIAVRFEEKIYAAAVSESDYLRKVSLKMLSMEMTKSATPMANSVQSNNTTSAQNPQLPGTHNVMQNSMANTMGQGMGSNMVSNSQRQVHLHNQIMQSHMQQQQERQQQQNLLQQNQIQSSQQAVMQPSLEQNQLHLLGFDRLLDQLTQIEANWLGRMEDTPASKAAIESMPTIEILDSHIEIEPHCAICKEPFELGNEAREMPCNHLYYSDCILPLSLRNSCPVCRHQLPTDTPNSGDLNTTNRPSSNEQQPSDDETMGLTIWRLPGDGFAVGRFSDGRRELSLVYTEMDCGFNNNGAIAGIQSETVALTKMST >itb08g04910.t1 pep chromosome:ASM357664v1:8:4029920:4033206:-1 gene:itb08g04910 transcript:itb08g04910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFGWSLCIIILIVSISFLLIKPKKNNFPPSPFALPILGHLHLLKPPPHRALEALSEKYGAVVHLRFGSRAIVVVSSPSAAEQCFTTNDIIFANRPKSLAGKHLGYNHSIIGFAPYGNHWRNLRRIAAAHVFSSACVTRSAAARAEETRFQAEKLAAAAPGGGEFRKVNLNALFLDLAYSVMTRMVAGKRWSGPADMFASPAGMSLCDYVPVLRWLGFHQGTEKKLVEMKKQRDDFLQGLIDESRKGIEMKKEKTIIEALLLLQEAAPETYTDEVIKGLITVMFTAGTHSTSLTMEWAMAVLLNRPDILEKAKNEIEMQIGCSQVVEDSDLSRLPYLHCIINETLRLFPSGPLLVPHFSSQCCTISGYEVPKDTTLIVNAWAIHRDSKVWENAIEFEPERWFKESEAAAGFKFVPFGMGRRACPGSGLAIRLMSLVLGTLIQCFEWGRVGHGLVDMEEGSSGLSLQRVQPLEAISVRMNRCQNRVDIGLQF >itb05g17070.t1 pep chromosome:ASM357664v1:5:24108452:24109559:1 gene:itb05g17070 transcript:itb05g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWMKPEIYPLLVPMTFVVGLCTFQLTRNLFMNPDVRVNKAHRATAVLENREEGEKYAEHGFRQFLRTRPPEVMPAINRFFSDRD >itb05g18400.t1 pep chromosome:ASM357664v1:5:25188220:25192272:1 gene:itb05g18400 transcript:itb05g18400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACNSLSPFPSFSPFLFFPLSSLQNYLQGQAAISVLIKLLLFIAASLVLVRSSSSLTIGETCSTSSNNCDEGLLCSTCPANGNTRPRCSRVQPINPTSKVKGLPFNKYSWLTTHNSFAMSGTKSALGSNIIAPTNQEDTVTAQLKNGVRGLMLDMYDFNDDIWLCHSFGGKCLNVTSFQPAINVLKEIQVFLEENPSEIVTIFVEDYVTSPQGLTKVFNASGVSKYWFPLSRMPKKGEDWPTVDDMVKQNQRLVVFTSKEAKEASEGIAYEWRYVVENQYGNDGMRPGSCPTRSESSPMNTDTISLVLQNYFPDNPNETASCVDNSAALLSMMNTCYEAAGKRWPNFIAVDFYKRSDGGGAPEAVDRANGRLTCNCRSIAYCKPNGSSTKCEMPKLSPPPPGQLTPELTSANPSNDSRICRSLQLQWLIVTIFALILLLRS >itb12g04240.t1 pep chromosome:ASM357664v1:12:2761484:2761852:1 gene:itb12g04240 transcript:itb12g04240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAIFSEKYASFASLPLHSYTAAAAAAARSLEIKTHSSLHGFAPTMRPLDFRTYSKFEPALLSQPIYVEDDEPKMLWPGSFRPESASQPTCVLTGTSTMNHVEMAQLLDTDKSAPDLTLRL >itb09g00970.t2 pep chromosome:ASM357664v1:9:598940:601893:-1 gene:itb09g00970 transcript:itb09g00970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVYNAMCSSLPLQHSFHKWKPSVKPISSPHIFGKPKPIPVISKNNEVGSVVSDSLAGSRLYLGMDFGTSGARYALIDDGGNLLSEGKRDYPLYMREEDKSVDWLRGWKEALFLLLGDVPVGLRPYVASISVDGTSGTIFILDSATAEPLSKAYLYNESYPDVLPEVKSMAPANHCVLADSSALCKLLSWWNSYEFSKKSALVLHHADWVSFFLHGKLGITDYNNALKLGYDPEAECYPRWLLSQPFSHVLPAVQAPGKSIDSLKEDIRRLYGFPQDCVVCTGTTDSVAAVIATRASQPGKAVTSLGSTIALKLLSTKKVEDVRFGVYSHRLGDKWLVGGASNSGGAVLRHIFSDEQLYKLSEKINPLVPSPLDYYPLKVPGERFPLADPTKEPRMHPRPADDVEYLYGLLESMARIEVNKIIKIMSLLAWL >itb09g00970.t1 pep chromosome:ASM357664v1:9:597777:601893:-1 gene:itb09g00970 transcript:itb09g00970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVYNAMCSSLPLQHSFHKWKPSVKPISSPHIFGKPKPIPVISKNNEVGSVVSDSLAGSRLYLGMDFGTSGARYALIDDGGNLLSEGKRDYPLYMREEDKSVDWLRGWKEALFLLLGDVPVGLRPYVASISVDGTSGTIFILDSATAEPLSKAYLYNESYPDVLPEVKSMAPANHCVLADSSALCKLLSWWNSYEFSKKSALVLHHADWVSFFLHGKLGITDYNNALKLGYDPEAECYPRWLLSQPFSHVLPAVQAPGKSIDSLKEDIRRLYGFPQDCVVCTGTTDSVAAVIATRASQPGKAVTSLGSTIALKLLSTKKVEDVRFGVYSHRLGDKWLVGGASNSGGAVLRHIFSDEQLYKLSEKINPLVPSPLDYYPLKVPGERFPLADPTKEPRMHPRPADDVEYLYGLLESMARIEAKGYSLLKSLGAAPVEQILTSGGGSRNPIWTKIRERVLRIPVSPAVQTEAAYGAALLALKGACQNKVLITPY >itb01g17680.t1 pep chromosome:ASM357664v1:1:22467602:22468433:-1 gene:itb01g17680 transcript:itb01g17680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTNVSIIITTVLCALTMVSTTTANPNLTLIQKACDNTNDHKLCVDYLSKSPQVVSATQKSPLALAAAIAEAGLADARRMRGYASEKSVKSPAVKSAYAECAKSVDDTVAQLVMAVDILNHPARVAEEGGSDDASYSLMVSIDGLTACSQTLASVKVEDRYIQTSVKRVEVCSVAANSIVTHL >itb05g15490.t3 pep chromosome:ASM357664v1:5:22841619:22844444:-1 gene:itb05g15490 transcript:itb05g15490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLLSIIITLILIQAPETLCQNNGSSPGEYVSCGDSFSCANIENIGYPFWGGSRPPYCGHPAFELNCSNEFPEMAIRSEQYRILNISNQSQLAKIARNDLLNNICPSHPQNASLDFNLFNYVPSGDQNITLFYGCTVVKPALNTRIPARPFPAVSDPISENFNCTESKDNSSFNCIIKNHVSFASISNIFNCSEGNSSFGVYGLGTSPRIGSLDNLRCGIQIVVTVTEGAFEALGNVSHASEELVRTSIAGGFSVEWKANNSLCQECLRSGGRCGSNANLISTQFVCYCANGTFSSTCTNIRSHTNGDKNESKKGLSIGVKLALVAMFGLLGVVLTSMLIICFFKRRSSWRKGNKRKENIKEFIRTNEFHAIKLYTYSDIKKMTNSFNNKIGEGGFGSVYRGKLPDGCPVAVKLLTNTKGNGEDFINEVASISRTSHVNIVTLVGFSYQKKRALIYEFMPNGSLDKYIGNKESPNKSFQLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDKDFTPKISDFGLAKLCKKKESVVSLSVYGARGTIGYIAPEVFCRSVGSVSHKSDVYSYGMTVIDMLGVRENEDTGVTSDSCFPNWIYEQLEQGFDFSPEGITDEDDKEMAMKMMLVSLWCIQTNPADRPSIRKVVEMLEGSIDSLHIPPKSNFCPPTDESPQQSSTSSVTTQI >itb05g15490.t1 pep chromosome:ASM357664v1:5:22841807:22844444:-1 gene:itb05g15490 transcript:itb05g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLLSIIITLILIQAPETLCQNNGSSPGEYVSCGDSFSCANIENIGYPFWGGSRPPYCGHPAFELNCSNEFPEMAIRSEQYRILNISNQSQLAKIARNDLLNNICPSHPQNASLDFNLFNYVPSGDQNITLFYGCTVVKPALNTRIPARPFPAVSDPISENFNCTESKDNSSFNCIIKNHVSFASISNIFNCSEGNSSFGVYGLGTSPRIGSLDNLRCGIQIVVTVTEGAFEALGNVSHASEELVRTSIAGGFSVEWKANNSLCQECLRSGGRCGSNANLISTQFVCYCANGTFSSTCTNIRSHTNGDKNESKKGLSIGVKLALVAMFGLLGVVLTSMLIICFFKRRSSWRKGNKRKENIKEFIRTNEFHAIKLYTYSDIKKMTNSFNNKIGEGGFGSVYRGKLPDGCPVAVKLLTNTKGNGEDFINEVASISRTSHVNIVTLVGFSYQKKRALIYEFMPNGSLDKYIGNKESPNKSFQLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDKDFTPKISDFGLAKLCKKKESVVSLSVYGARGTIGYIAPEVFCRSVGSVSHKSDVYSYGMTVIDIWSKGLISVLKASQMKMIKKWQ >itb05g15490.t2 pep chromosome:ASM357664v1:5:22841936:22844444:-1 gene:itb05g15490 transcript:itb05g15490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLLSIIITLILIQAPETLCQNNGSSPGEYVSCGDSFSCANIENIGYPFWGGSRPPYCGHPAFELNCSNEFPEMAIRSEQYRILNISNQSQLAKIARNDLLNNICPSHPQNASLDFNLFNYVPSGDQNITLFYGCTVVKPALNTRIPARPFPAVSDPISENFNCTESKDNSSFNCIIKNHVSFASISNIFNCSEGNSSFGVYGLGTSPRIGSLDNLRCGIQIVVTVTEGAFEALGNVSHASEELVRTSIAGGFSVEWKANNSLCQECLRSGGRCGSNANLISTQFVCYCANGTFSSTCTNIRSHTNGDKNESKKGLSIGVKLALVAMFGLLGVVLTSMLIICFFKRRSSWRKGNKRKENIKEFIRTNEFHAIKLYTYSDIKKMTNSFNNKIGEGGFGSVYRGKLPDGCPVAVKLLTNTKGNGEDFINEVASISRTSHVNIVTLVGFSYQKKRALIYEFMPNGSLDKYIGNKESPNKSFQLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDKDFTPKISDFGLAKLCKKKESVVSLSVYGARGTIGYIAPEE >itb03g17230.t1 pep chromosome:ASM357664v1:3:15908470:15912727:1 gene:itb03g17230 transcript:itb03g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAATTVEGVVHRVPYLTTAVRNPTFSSRGSASIRFRALSFSASAASPPSTVVDEQKLGPGSLGHITRPDFPILHQEVNGSKLVYLDNAATSQKPTDVLKALQTYYEGYNSNVHRGIHYLSAKATDEYELARRKVAAFINASESKEIVFTRNATEAINLVAYTWGLTNLKPGDEVIVTIAEHHSAIVPWQLIAQRTGVILKFVSLTDNEVPDIMELKETLSRKTKLVCVHHVSNMLASLLPIEEIISWAHDVGAKVLVDACQSVPHMVVDVKSLDADFLVASSHKMCGPTGIGFLYGKSELLTAMPPFLGGGEMIADVYLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQIGMQRIHDYEVELGHYLYDSLRSVPSVRIYGPAPSQTVKRAALCSFNVEDVHPTDIATFLDQQHGVAIRSGHHCAQPLHRCLGISSSARASLHFYNTKEDIDHFIDALKDTISFFTSYK >itb13g02860.t1 pep chromosome:ASM357664v1:13:2781008:2786984:-1 gene:itb13g02860 transcript:itb13g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILSNRRGVFGVSMCGAAEEIEQLSRDGSQFSLSTGILPSLGARSNRRVKLRKSIISPYDHRYRVWETFLVVLVIYTAWVSPFEFGFLKKPEGPLSIADNVVNGFFAIDIVLTFFVAYLDRTTYLLIDSPKQIAWRYASTWLAFDVISTIPSELARKISPKPLRSYGLFNMLRLWRLRRVSALFARLEKDRNFNYFWVRCAKLICVTLFAVHCAGCFYYLLAASYRDPKKTWIGASMDDFLHRSLWIRYVTSIYWSITTLTTVGYGDLHAENTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSKTRRFRDTIQAASSFAQRNRLPARLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHFLFYSMVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYKNGAEQHVGEAKAGDLCGEIGVLCYRPQLFTVRTKRLSQLLRMNRTAFLNIIQANVGDGTIIMNNLLQHLKDMRDPIMEGVLLETENMLARGRMDLPLTLCFATLRGDDLLLHHLLKRGLDPNESDNNGRTALHIAAAKGYENCVLLLLDFGADPNSRDSEGSVPLWEAIMGKHESVARLLADNGAKLSSGDVGQFACTAAEQNNLELLREILRRGGDVTLPRSNGSTALHVAVCEGNVEIVKYLLGQGADIYKADSYGWTPRDLAEQQGHEEITALFESHKEAKAAQPHATTVTEDRPGVRFLGRFKSEPTILPASHSSSPFPTTDGSWGRSSRPRRRTNNFHNSLFGIMSAAQNGDQPLLYSVKEDTPAATEQTYAARVIVSCPERGDVAGKVVSLPKTFHELLQIGVKKYGFLPAKVVSKEGAEIEDIELIRDDDHIVFVSENRTTEDSHQTGEL >itb12g20370.t2 pep chromosome:ASM357664v1:12:22846681:22849600:-1 gene:itb12g20370 transcript:itb12g20370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQQLSSKACQLTQLISKNSSTLYKQTLEQNKQYIVDPPTVVKCEELSKKLFYTRLASIPGRNEAFWKELDYVKNLWKTRPELKVEDAGIAALFGIECFAWFCAGEIIGRGFTFTGYYV >itb12g20370.t1 pep chromosome:ASM357664v1:12:22846681:22849600:-1 gene:itb12g20370 transcript:itb12g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFQQLSSKACQLTQLISKNSSTLYKQTLEQNKQYIVDPPTVVKCEELSKKLFYTRLASIPGRNEAFWKELDYVKNLWKTRPELKVEDAGIAALFGIECFAWFCAGEIIGRGFTFTGYYV >itb05g20340.t1 pep chromosome:ASM357664v1:5:26468479:26469303:-1 gene:itb05g20340 transcript:itb05g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCMMSSTPMASQPSLRFLGILKPPDSDHLEIDEGDLFWSSSSESSPYGTSPSPPTHQNRSRNHFKTAISGLSAALNDDNHLVRRKSTLNPTVSAASTARIIPQVNSKPNPNGVAKFYQSAPVNVPAWPKHKKGGFDAFEDLDETDFEIDEDELVPPHVIVARAHVTLSVFEGVGRTLKGRDLRRVRNAVFQQTGFLD >itb10g18110.t1 pep chromosome:ASM357664v1:10:24143459:24144097:-1 gene:itb10g18110 transcript:itb10g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDIAAANILIWMSEAILEEREAIELEKIKMEMKMKVDGLTIIDNNPKPAVHSSVDGTPLPRFPPIPLLNGKIDLSACSMPFEKQLTATDVKEDQNRLSLSKDEVKRSFLPLLNDHERVCQGVPVTTYNPEGKEYGMMFKSWSTQRVYVLNGGWKRFFRENGLVEGRHWVTVWMFRHSQTRKLCFALTWKFIPMDHPCTIKQIRKLKGG >itb14g11430.t2 pep chromosome:ASM357664v1:14:13055395:13061756:-1 gene:itb14g11430 transcript:itb14g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVPLPSSAAHANLDEQIAQLMQCKPLSEQEVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYSQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSVETLDNIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQVIWTSACSLFSF >itb14g11430.t1 pep chromosome:ASM357664v1:14:13055357:13061867:-1 gene:itb14g11430 transcript:itb14g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVPLPSSAAHANLDEQIAQLMQCKPLSEQEVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYSQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSVETLDNIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGFNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >itb05g24880.t1 pep chromosome:ASM357664v1:5:29553184:29554381:-1 gene:itb05g24880 transcript:itb05g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLQKRISGSPSFPFLLPLLSLSIALTLTPHFAPKLFSHFQFQMEPFNFLAVQRSILKFDNLKLVAKLFRVIELCLGLFLLAWSSFRLPFAVKISGEYFRLLLSFMITPLSIFVVSNFIVLTLLLKSGGLSVQTPVACNDGETEFYELLMESSACCVSLTCESSNPVSKPDEIAFEDKRTIFVQKRECSRTDEPAGLEVKPPPKRTQSEKFRVDKVAAENNRGKLRRSETEKCRRVADPVDIPAETAYTVDELSNEEFNRTIEDFIAKQIKFHQEEKVAIVLHS >itb02g10240.t1 pep chromosome:ASM357664v1:2:6596393:6599016:-1 gene:itb02g10240 transcript:itb02g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIVSNRISAGRLTVLRRLCTAVEAKAEAEATPSVQQGNQRLYQRLSALGATKGKASEILNEYIREGIGAAVGKHHLGRCIRELRKYGKHQCALEIMEWMVSRGVNFGPKDHAIHLDLIAKVHGISAAENYFNGLSPDAKVHKTYGALLNCYCVEKMVDKALEVFEKMDKMNYAITSLSFNNLMSLYMRLGQPEKVPPLAEEMKRRNIPLSTFTYNILMNSYTSLGDIEGVERVFEEIKSKNEKMCHWTTYSHLAIAYSKAGLNEKAELALKKLEEEIGPRNREGYHYLISLYAGLSNLGEVHRVWESMKSQFQVTPNYSYLIVLQALSKLNDVDGLKKVFTEWELSCSSFDMRLANSVIGAYLRHDMISEAETVFRIGMERSKGPFFLAWEMFAVSFLKKKKIDRALQCFEAAISRVQEHEWSPKPATIDAFLNYFEEERDVDGAEKFCSDLKKLSCLNGDVYKSLMQTYTAAGRISHDMRQRMEEDGIDMSDELENLLKKVCPE >itb02g26140.t1 pep chromosome:ASM357664v1:2:26923134:26928971:-1 gene:itb02g26140 transcript:itb02g26140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIAKVKEGQHLAEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTVDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >itb15g21690.t1 pep chromosome:ASM357664v1:15:24368296:24377106:1 gene:itb15g21690 transcript:itb15g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDGEPGGRSMTKLTEVKGKSEVADPASAKISGGDFDIELSFSRVSIFWADSDFWIPFYEAPLRKADYPDSKAVRFHAEQHLILIPRIISSVRHCSKPAATYAPRDSLRFRLRRRRTSNLIVEMSRRIVRVAQDGSGDYRTVQEAIDSVPLRNASRTVITIAPGVYRQPVYVPKTKNLITLAGLRPEDTVLSWNNTATKIDHHQTPRVIGTGTFGCGSTIVEGEDFIAENITFENSAPQVKLLLFGKSQRSQLKLLHH >itb14g21360.t1 pep chromosome:ASM357664v1:14:23406127:23410037:1 gene:itb14g21360 transcript:itb14g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSGAFAVSRSHRGDRFYNPPPMRRHHQQLQKAAKAEAAASEVGNRTGSHDSATALSKPQSVCSSSPAPPKNVTNLDRLMESVTPFVLAQHFSEVNVRGQRTREAGANAFFCLEDLWESFREWSAYGVGVPLLLNEKDQIIQYYVPFLSGIQLYIDPLKSSSRLRRSDEESDVESSRESSCGGSSDCEADRQSKSADLKWNQQNHLNANAQQLNRISLRDKSALNLSADEAEINKSPGALLFEYLEQEQPYNRRPLTDKIMDLASQYPELKKCRSCDLMPSSWISVAWYPIYRIPIGHTLRDLDASFLTFHFLSTQPRVAGSVQPQFHGANGRSVHGMIHAASKISLPAFGLASYKLKGSLVSPCGPRESEQENSLLLAADDWLRSLKVFLPDYQFFLSHYSQWR >itb07g15040.t1 pep chromosome:ASM357664v1:7:17948771:17951635:1 gene:itb07g15040 transcript:itb07g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIPTSPGFDEIVVGFEDETENITGLLIRGPKELDVVSITGMAGLGKTTLARKVFYHKPVIDWFHFRAWCCVSQEYDKNRVFHEIFSQVSDDMSKDDTDEVLLEILMKKCKDEQSWVDTAEALRMALRGKCKGHKIRDDTTEVLYESLIKMSEDDNSWKKISSTLHESIMEKWENTKNWDDIAKPLYESLIVNFEDNNEMSPKFPVERHKDIKMWEDITSVISQSKIVDKKDIRRTDDEVGNLFKSLQDLAEVLFKNMNEKCQGNDSWKEMLETLFKSLNDIVETLLISLNKKCKDSKSWDDNIAEKLRKKLLGQRYLIVLDDVWRKKAWDELSRAFPLGAEGSRIILTSREKEVGKYPRSGADPYSLRFFTTEESQDLLQLKLSKGNVGPPELKEFGRQIAERCGGVPLVVVLVAGILQKKIEEKYFSWNEFLQSLSSHIRGDESRSMDVIALSYKHLPEHLKPCLLYFGAFPEDHEIPVSKLIQLWMAEGFVKHIKKKVLEDEAEDYLNHLIGSNLIMVSTRGYNNGSVRTCRIHDLVGSFCLTKAEEDKFLMQTDSEKTSPHSELTSTYDRFCLLKRNDKYLSPDSATSLNPSLGTLLCFSSHNPNLGTHSLFASEVDPSSGLWVASTFKLVRVLDLESVFVGKSFLSMVEFLLCLRYLAVCLKGCGSVPQPSLERLHHLETFKVKSSVDLHLSSMIWNLEGLRHLHIDHYCHWCLYYGGNFFSIPPKPLNLQTFSVPILFASRKDEDLLRKLPHLQKLRCRFSTSWYMNCHRFPELGFLDQLESLEACYTSDHLNAPISSVFDFPPSLKELTLHKFRLPWDSISVIATLPRLESLELFSAFDGEQWDVEEGNFRELKFLRICESRIVRWNATAESFPKLERLVLAKCRHLEEVPSDFQDIITLQVIEIDQCNSSVARSVKKIQEEMEALGNENLNVRVLNSDLELGNCK >itb13g02940.t1 pep chromosome:ASM357664v1:13:2894003:2897592:1 gene:itb13g02940 transcript:itb13g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLFSMEWKYNYYMKFLGISFVVCLVVVRAMVYLWWRPRKIEQHFAKQGIRGPPYRFLIGNAKEILSLMLKASSQSIPCYSHNILPRVLPFYHHWKKIYGATILVWFGPTPRLAVADPDLIREIYTSKSEFYERNEAHPLITQLEGNGLLSLQGEKWAHHRKIITPTFHMENLKMLMPILGCKVIEMLEKWTDMLSKCGEVEIEVSECFKMLTQDIVAQTAFGSSYEQGKAIFSLQAQQMLLTSEALQKVFIPGYRFLPTKRNMKCWKLDREIKRSLLKVIEERRENWGSEMVENGPKDLLGLMIQASMKEGPSTAAASATAITLNDIAEECKTFFFAGEQTTSNLLTWTAVLLAMHPRWQDLAREEVVKVCGSRDIPSKDDIAKFKMLSMIMNESLRLYPPIVAMIRRAKADVELGGCKIPCGTELLIPILAIHHDQTLWGSDVNEFNPTRFAKGVAMATKHPAACIPFGIGVRQCIGQNLAILQTKITLAIILQRFSFRMSPCYQHAPTVLMLLHPQYGAPIIFRRA >itb02g03920.t1 pep chromosome:ASM357664v1:2:2347946:2350974:1 gene:itb02g03920 transcript:itb02g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 16 [Source:Projected from Arabidopsis thaliana (AT4G30080) UniProtKB/Swiss-Prot;Acc:Q93YR9] MIDVMSSVNKPLNEVERCLDSQLWHACAGGMVQMPSVNSKVFYFPQGHAEHAQKALDFGGFPRIPPLVLCRVASIRYLADFESDEVYAKIRLVPLKGNECCDGDMDGGIPGFDKNENQEKPNSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGELFVGIRRAKRGIGNGPEATSGWNTGAGTYTSSLYQGFSGFFSDDEKKFMRNGQGGNCDEGLRGRSKVRGESVVEAATLAANAQPFEVVYYPRACTPEFVVKASAVRAAMRIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVDDPIHWPNSPWRLLQVAWDEPDLLQNVKRVNPWLVELVANMPPIHLSPFSPPRKKLRLPQPLEFPTSGQFSLPSLLSNPLIPSSPLSCVSDNIPAGIQGARHAQFGLSLTDLHFNKLQGGLFPFGYREFDRTISPKTPSGSLKASSDNDDNLSCLLTIGNASPNLKQNNEKKAPTPKLMLFGKAILTEQQMSQSCSGETVNLSDGSGSALLHSGPTENSRDEPFPWYKDEKSETGHCKVFMESDDVGRTLDLSALGSYEELYRKLASMFGIQRSEMLSNVLYQDTTGSVRHTGDEPFSEFIKTARRLTILSDSSSDNVGR >itb02g03920.t2 pep chromosome:ASM357664v1:2:2347946:2350974:1 gene:itb02g03920 transcript:itb02g03920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 16 [Source:Projected from Arabidopsis thaliana (AT4G30080) UniProtKB/Swiss-Prot;Acc:Q93YR9] MIDVMSSVNKPLNEVERCLDSQLWHACAGGMVQMPSVNSKVFYFPQGHAEHAQKALDFGGFPRIPPLVLCRVASIRYLADFESDEVYAKIRLVPLKGNECCDGDMDGGIPGFDKNENQEKPNSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGELFVGIRRAKRGIGNGPEATSGWNTGAGTYTSSLYQGFSGFFSDDEKKFMRNGQGGNCDEGLRGRSKVRGESVVEAATLAANAQPFEVVYYPRACTPEFVVKASAVRAAMRIQWCSGMRFKMPFETEDSSRISWFMGTISSVQVDDPIHWPNSPWRLLQVAWDEPDLLQNVKRVNPWLVELVANMPPIHLSPFSPPRKKLRLPQPLEFPTSGQFSLPSLLSNPLIPSSPLSCVSDNIPAGIQGARHAQFGLSLTDLHFNKLQGGLFPFGYREFDRTISPKTPSGSLKASSDNDDNLSCLLTIGNASPNLKQNNEKKAPTPKLMLFGKAILTEQQMSQSCSGETVNLSDGSGSALLHSGPTENSRDEPFPWYKDEKSETGHCKVFMESDDVGRTLDLSALGSYEELYRKLASMFGIQRSEMLSNVLYQDTTGSVRHTGDEPFR >itb02g08050.t1 pep chromosome:ASM357664v1:2:5020444:5022560:-1 gene:itb02g08050 transcript:itb02g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAVARVVFRSPAVRNAASRGASEAKAAYSPFRIASRNPLPPRIFRCPAELIACVESMQPYHTATASALMTSMLTVSPRSFGWLAKAINDDA >itb10g14310.t2 pep chromosome:ASM357664v1:10:20658324:20660376:-1 gene:itb10g14310 transcript:itb10g14310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRVQLLSPPPPLQSPTSSPSIAALRSKFSRIASQHEQLKIAFNHLNFQIRTGLHEAEDVFASLAIPLMKLVGLKTVEMAEEGKFSTIIIDNSSSYAQRNEIGSETVARSDGAYKIPNTGKFEEENYTAKAITVGKELMLKQKMQLMQLVHLLRQVEAQVKSSHNDILLTFNDNRASIQKIFQKAVACVSAVHEENNATSFLVLNLLKYIFEHVGAALGSVLHGVEVFMHDLTSNMCNPMVEYAKGLKAEVTSGSCLRLLTTVEEMGGVMRTRRLELEEARKKMRVAEESRLVALSKLKESEEFVRKMSTLRSFLSESNKASEEYPSQQKACFILNDLI >itb10g14310.t1 pep chromosome:ASM357664v1:10:20656915:20660376:-1 gene:itb10g14310 transcript:itb10g14310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRVQLLSPPPPLQSPTSSPSIAALRSKFSRIASQHEQLKIAFNHLNFQIRTGLHEAEDVFASLAIPLMKLVGLKTVEMAEEGKFSTIIIDNSSSYAQRNEIGSETVARSDGAYKIPNTGKFEEENYTAKAITVGKELMLKQKMQLMQLVHLLRQVEAQVKSSHNDILLTFNDNRASIQKIFQKAVACVSAVHEENNATSFLVLNLLKYIFEHVGAALGSVLHGVEVFMHDLTSNMCNPMVEYAKGLKAEVTSGSCLRLLTTVEEMGGVMRTRRLELEEARKKMRVAEESRLVALSKLKESEEFVRKMSTLRSFLSESNKASEEYPSQQKLACLEDCQGKDEKLLWELLKKKRKYKAPMSPLGAIGIFDMRCSGRNLKSMRVRPSIHTRRTSEMLSPQTPCMVPLIPLDSSPSMPRKNL >itb09g28600.t1 pep chromosome:ASM357664v1:9:29162613:29162966:1 gene:itb09g28600 transcript:itb09g28600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSSRPGSSRNTDDDDDDDDSNEAAAATSSPTTIPTSPPSPTAARRIAILLYCFESSSRSNSSPRL >itb07g06600.t1 pep chromosome:ASM357664v1:7:4745350:4747921:1 gene:itb07g06600 transcript:itb07g06600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEEELERRSKFLSSLIQRKKAVEEEKQLQQNEVLNLRVRASDMPLSLQNRAFRCARNCLHSMPSAKLDSKRLALALKKEFDSEYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFKTAVKPLDQ >itb12g08390.t1 pep chromosome:ASM357664v1:12:6588041:6591598:1 gene:itb12g08390 transcript:itb12g08390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MVFNGDGSWDDKYGKVFKSHVFGSPTIISCSLELSTFVLQNEGRLFESSYPKPVKNIVGHRSLMIVHGELHKKLRSVEVDFINSFKSSRHFAGHIQNLSSSLMESWKGKQQIHLFQEAKAFSFNVMLKCLFDMAPGDPLAIKLFQDFLTFMEGFVSLPLNLPGTTFAKAMKARRRVASTFKDILNEKGKRKSEEWGNDNEDSFADSIVGNDCLKEEEKVSVLFDLLLAGYETTSGLIALTAYFLAQTPLALQQLKDIEFQQVGKFFPSCRLASVQWNLTARRFKVPGNRPENSLCQPNCSKWEKKKMKNFSPTHQHQTPVNPRNPPKISNPPDYMSVSGDFGPEIMQKPQEE >itb05g14900.t1 pep chromosome:ASM357664v1:5:22219212:22219728:-1 gene:itb05g14900 transcript:itb05g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSTSTKSMKTQLAIADAASWYCGIVLLCLLLLCSDNSSSDASDAVSVRGNQLSNNRPCDEIYVVGEGETLHTISDKCGDPYIVEQNPHIHDPDDVFPGLVIKITPHPLTR >itb03g24950.t2 pep chromosome:ASM357664v1:3:23830743:23835981:-1 gene:itb03g24950 transcript:itb03g24950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLGVLGSGGSSSSSSSNLSPLAPPFTVDRFNHSKPNSNPPLPLNDSSYNLLFSQSWQYANPSASSPGFLPKPELGADSMRTTSVPLAEENSVPQSVKPSSPYWSTQTLEANPLIDAFSYVSEGRPYYPPYTSPVVGENTPLLAINEPHPDLLPTSSVVPGNVSSQVDYTQSLAGLQYSAPHSTGVWNGLTDQMQGKKMEVSGNLSLENATAGCSNLLKNSMNQGVHSLHCGNKNQDNSGTFCGNFTERETHDGFLKMGHVTDKSYLVQELGFYPSMFSTSSELHSVGQSMESSKDFRNYKKPYNPYEKCIQPPDSYKCDNVPAPKSSTTVVIRPPTAGNISSIKKGSSHKTGDGGKFAAIQIDGLGSHDPLKGGLFQPANSTEKGVYLNSNQLNLYKDDDRSICNVSWSLKENLSCQSPANDAFKQTIESNSGSQVTFTKVLDDISLASNSIQAVRYTESYSDGLDHHNPNVDSPCWKGAPASRTSPFKDEAAPPPQGNHQFPLDVSTNATSHLLCESNVHNENECAVNVMAIPSKSPAIENYTTKEHLSSDAGKAELDLQTLSSSKGVQISLDLSNSGKLSFGDSVDAVLNINDASEGCTVALHAAEKVLHSPSSQEDSEHNQTYGRLSNPKMDVQTLVMAIHNLSELLQFHCSTDACTLREQDHQALKYAISNLSACIPKSGMMNATHDKVLPVQNMVDKIEEGFHMDTGFGIPQLKNEAARCLHDQPGNQNIHEAKNHCGEKTENFKLFPSSNTLNISEEDNVAQAIVKVLNENFHFDGEMKSQAHLFKNLWLEAEAKLCSVSYKARFDHMKIAMELQNSTRVNENVAAAEKSSRFKHVPVVPDGSFVRKTSVQSSSVSCTTPDIHDIEGSIMARYNILKCRDGNSNSTFVEGEQDSDVVPTGFTSIKSGRPHIGDMDIQNSMGAKGVSENSATANSISSLQSSPEANMYSPLASTVPDAGIENCSISCYTTTRCNDDAESSVMARLNILKGRDGNFHSTYVGEEQYPDSADAMHSHTKSGQSMYVKLPEEKILNATIVPLLHQSPGVSEVGSYAADGSGKESMKEFQLSVANNPVQQTNRNNRVSMGSSTLYDTSSSDWEHVQNDDFAWQS >itb03g24950.t6 pep chromosome:ASM357664v1:3:23830745:23835718:-1 gene:itb03g24950 transcript:itb03g24950.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLGVLGSGGSSSSSSSNLSPLAPPFTVDRFNHSKPNSNPPLPLNDSSYNLLFSQSWQYANPSASSPGFLPKPELGADSMRTTSVPLAEENSVPQSVKPSSPYWSTQTLEANPLIDAFSYVSEGRPYYPPYTSPVVGENTPLLAINEPHPDLLPTSSVVPGNVSSQVDYTQSLAGLQYSAPHSTGVWNGLTDQMQGKKMEVSGNLSLENATAGCSNLLKNSMNQGVHSLHCGNKNQDNSGTFCGNFTERETHDGFLKMGHVTDKSYLVQELGFYPSMFSTSSELHSVGQSMESSKDFRNYKKPYNPYEKCIQPPDSYKCDNVPAPKSSTTVVIRPPTAGNISSIKKGSSHKTGDGGKFAAIQIDGLGSHDPLKGGLFQPANSTEKGVYLNSNQLNLYKDDDRSICNVSWSLKENLSCQSPANDAFKQTIESNSGSQVTFTKVLDDISLASNSIQAVRYTESYSDGLDHHNPNVDSPCWKGAPASRTSPFKDEAAPPPQGNHQFPLDVSTNATSHLLCESNVHNENECAVNVMAIPSKSPAIENYTTKEHLSSDAGKAELDLQTLSSSKGVQISLDLSNSGKLSFGDSVDAVLNINDASEGCTVALHAAEKVLHSPSSQEDSEHNQTYGRLSNPKMDVQTLVMAIHNLSELLQFHCSTDACTLREQDHQALKYAISNLSACIPKSGMMNATHDKVLPVQNMVDKIEEGFHMDTGFGIPQLKNEAARCLHDQPGNQNIHEAKNHCGEKTENFKLFPSSNTLNISEEDNVAQAIVKVLNENFHFDGEMKSQAHLFKNLWLEAEAKLCSVSYKARFDHMKIAMELQNSTRVNGKGSSLVHIKFVYLTDRCLCLLCFRKCSCSRKEFKVQACTSCT >itb03g24950.t1 pep chromosome:ASM357664v1:3:23830743:23835981:-1 gene:itb03g24950 transcript:itb03g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLGVLGSGGSSSSSSSNLSPLAPPFTVDRFNHSKPNSNPPLPLNDSSYNLLFSQSWQYANPSASSPGFLPKPELGADSMRTTSVPLAEENSVPQSVKPSSPYWSTQTLEANPLIDAFSYVSEGRPYYPPYTSPVVGENTPLLAINEPHPDLLPTSSVVPGNVSSQVDYTQSLAGLQYSAPHSTGVWNGLTDQMQGKKMEVSGNLSLENATAGCSNLLKNSMNQGVHSLHCGNKNQDNSGTFCGNFTERETHDGFLKMGHVTDKSYLVQELGFYPSMFSTSSELHSVGQSMESSKDFRNYKKPYNPYEKCIQPPDSYKCDNVPAPKSSTTVVIRPPTAGNISSIKKGSSHKTGDGGKFAAIQIDGLGSHDPLKGGLFQPANSTEKGVYLNSNQLNLYKDDDRSICNVSWSLKENLSCQSPANDAFKQTIESNSGSQVTFTKVLDDISLASNSIQAVRYTESYSDGLDHHNPNVDSPCWKGAPASRTSPFKDEAAPPPQGNHQFPLDVSTNATSHLLCESNVHNENECAVNVMAIPSKSPAIENYTTKEHLSSDAGKAELDLQTLSSSKGVQISLDLSNSGKLSFGDSVDAVLNINDASEGCTVALHAAEKVLHSPSSQEDSEHNQTYGRLSNPKMDVQTLVMAIHNLSELLQFHCSTDACTLREQDHQALKYAISNLSACIPKSGMMNATHDKVLPVQNMVDKIEEGFHMDTGFGIPQLKNEAARCLHDQPGNQNIHEAKNHCGEKTENFKLFPSSNTLNISEEDNVAQAIVKVLNENFHFDGEMKSQAHLFKNLWLEAEAKLCSVSYKARFDHMKIAMELQNSTRVNENVAAAEKSSRFKHVPVVPDGSFVRKTSVQSSSVSCTTPDIHDIEGSIMARYNILKCRDGNSNSTFVEGEQDSDVVPTGFTSIKSGRPHIGDMDIQNSMGAKGVSENSATANSISSLQSSPEANMYSPLASTVPDAGIENCSISCYTTTRCNDDAESSVMARLNILKGRDGNFHSTYVGEEQYPDSADAMHSHTKSGQSMYVKLPEEKILNATIVPLLHQSPGVSEVGSYAADGSGKESMKEFQLSVANNPVQQTNRNNRVSMGSSTLYDTSSSDWEHVQNDDFAWQS >itb03g24950.t5 pep chromosome:ASM357664v1:3:23830743:23835948:-1 gene:itb03g24950 transcript:itb03g24950.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLGVLGSGGSSSSSSSNLSPLAPPFTVDRFNHSKPNSNPPLPLNDSSYNLLFSQSWQYANPSASSPGFLPKPELGADSMRTTSVPLAEENSVPQSVKPSSPYWSTQTLEANPLIDAFSYVSEGRPYYPPYTSPVVGENTPLLAINEPHPDLLPTSSVVPGNVSSQVDYTQSLAGLQYSAPHSTGVWNGLTDQMQGKKMEVSGNLSLENATAGCSNLLKNSMNQGVHSLHCGNKNQDNSGTFCGNFTERETHDGFLKMGHVTDKSYLVQELGFYPSMFSTSSELHSVGQSMESSKDFRNYKKPYNPYEKCIQPPDSYKCDNVPAPKSSTTVVIRPPTAGNISSIKKGSSHKTGDGGKFAAIQIDGLGSHDPLKGGLFQPANSTEKGVYLNSNQLNLYKDDDRSICNVSWSLKENLSCQSPANDAFKQTIESNSGSQVTFTKVLDDISLASNSIQAVRYTESYSDGLDHHNPNVDSPCWKGAPASRTSPFKDEAAPPPQGNHQFPLDVSTNATSHLLCESNVHNENECAVNVMAIPSKSPAIENYTTKEHLSSDAGKAELDLQTLSSSKGVQISLDLSNSGKLSFGDSVDAVLNINDASEGCTVALHAAEKVLHSPSSQEDSEHNQTYGRLSNPKMDVQTLVMAIHNLSELLQFHCSTDACTLREQDHQALKYAISNLSACIPKSGMMNATHDKVLPVQNMVDKIEEGFHMDTGFGIPQLKNEAARCLHDQPGNQNIHEAKNHCGEKTENFKLFPSSNTLNISEEDNVAQAIVKVLNENFHFDGEMKSQAHLFKNLWLEAEAKLCSVSYKARFDHMKIAMELQNSTRVNGKGSSLVHIKFVYLTDRCLCLLCFRKCSCSRKEFKVQACTSCT >itb03g24950.t3 pep chromosome:ASM357664v1:3:23830763:23835981:-1 gene:itb03g24950 transcript:itb03g24950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLGVLGSGGSSSSSSSNLSPLAPPFTVDRFNHSKPNSNPPLPLNDSSYNLLFSQSWQYANPSASSPGFLPKPELGADSMRTTSVPLAEENSVPQSVKPSSPYWSTQTLEANPLIDAFSYVSEGRPYYPPYTSPVVGENTPLLAINEPHPDLLPTSSVVPGNVSSQVDYTQSLAGLQYSAPHSTGVWNGLTDQMQGKKMEVSGNLSLENATAGCSNLLKNSMNQGVHSLHCGNKNQDNSGTFCGNFTERETHDGFLKMGHVTDKSYLVQELGFYPSMFSTSSELHSVGQSMESSKDFRNYKKPYNPYEKCIQPPDSYKCDNVPAPKSSTTVVIRPPTAGNISSIKKGSSHKTGDGGKFAAIQIDGLGSHDPLKGGLFQPANSTEKGVYLNSNQLNLYKDDDRSICNVSWSLKENLSCQSPANDAFKQTIESNSGSQVTFTKVLDDISLASNSIQAVRYTESYSDGLDHHNPNVDSPCWKGAPASRTSPFKDEAAPPPQGNHQFPLDVSTNATSHLLCESNVHNENECAVNVMAIPSKSPAIENYTTKEHLSSDAGKAELDLQTLSSSKGVQISLDLSNSGKLSFGDSVDAVLNINDASEGCTVALHAAEKVLHSPSSQEDSEHNQTYGRLSNPKMDVQTLVMAIHNLSELLQFHCSTDACTLREQDHQALKYAISNLSACIPKSGMMNATHDKVLPVQNMVDKIEEGFHMDTGFGIPQLKNEAARCLHDQPGNQNIHEAKNHCGEKTENFKLFPSSNTLNISEEDNVAQAIVKVLNENFHFDGEMKSQAHLFKNLWLEAEAKLCSVSYKARFDHMKIAMELQNSTRVNENVAAAEKSSRFKHVPVVPDGSFVRKTSVQSSSVSCTTPDIHDIEGSIMARYNILKCRDGNSNSTFVEGEQDSDVVPTGFTSIKSGRPHIGDMDIQNSMGAKGVSENSATANSISSLQSSPEANMYSPLASTVPDAGIENCSISCYTTTRCNDDAESSVMARLNILKGRDGNFHSTYVGEEQYPDSADAMHSHTKSGQSMYVKLPEEKILNATIVPLLHQSPGVSEVGSYAADGSGKESMKEFQLSVANNPVQQTNRNNRVSMGSSTLYDTSSSDWEHVQNDDFAWQS >itb03g24950.t4 pep chromosome:ASM357664v1:3:23830745:23835948:-1 gene:itb03g24950 transcript:itb03g24950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLGVLGSGGSSSSSSSNLSPLAPPFTVDRFNHSKPNSNPPLPLNDSSYNLLFSQSWQYANPSASSPGFLPKPELGADSMRTTSVPLAEENSVPQSVKPSSPYWSTQTLEANPLIDAFSYVSEGRPYYPPYTSPVVGENTPLLAINEPHPDLLPTSSVVPGNVSSQVDYTQSLAGLQYSAPHSTGVWNGLTDQMQGKKMEVSGNLSLENATAGCSNLLKNSMNQGVHSLHCGNKNQDNSGTFCGNFTERETHDGFLKMGHVTDKSYLVQELGFYPSMFSTSSELHSVGQSMESSKDFRNYKKPYNPYEKCIQPPDSYKCDNVPAPKSSTTVVIRPPTAGNISSIKKGSSHKTGDGGKFAAIQIDGLGSHDPLKGGLFQPANSTEKGVYLNSNQLNLYKDDDRSICNVSWSLKENLSCQSPANDAFKQTIESNSGSQVTFTKVLDDISLASNSIQAVRYTESYSDGLDHHNPNVDSPCWKGAPASRTSPFKDEAAPPPQGNHQFPLDVSTNATSHLLCESNVHNENECAVNVMAIPSKSPAIENYTTKEHLSSDAGKAELDLQTLSSSKGVQISLDLSNSGKLSFGDSVDAVLNINDASEGCTVALHAAEKVLHSPSSQEDSEHNQTYGRLSNPKMDVQTLVMAIHNLSELLQFHCSTDACTLREQDHQALKYAISNLSACIPKSGMMNATHDKVLPVQNMVDKIEEGFHMDTGFGIPQLKNEAARCLHDQPGNQNIHEAKNHCGEKTENFKLFPSSNTLNISEEDNVAQAIVKVLNENFHFDGEMKSQAHLFKNLWLEAEAKLCSVSYKARFDHMKIAMELQNSTRVNGKGSSLVHIKFVYLTDRCLCLLCFRKCSCSRKEFKVQACTSCT >itb14g11550.t1 pep chromosome:ASM357664v1:14:13173706:13175546:-1 gene:itb14g11550 transcript:itb14g11550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMGESYDEKCMLHGQALVWKYMLHFIDSMALKAAVDLRIPDIIHSHAAAHPITLSQIAAAIDDSPSPDTTTLSRILRLLVRRKIFTSAVRPSDGATVYGQTPASRWLLRDTAELSMAPMLLFQTHPLSMAPFHCFSRCVREGGFAFPKAHGKGIFEMAAGDAEFNKMFNDGMRSMTEIISKAIVSGYGGDGFGSVGSVVDVGGGTGAAVAEIVKAFPHIKGINFDLPHVVATAPDYQGVSHVGGDMFVSIPPAQAIFIKWVLHDWGDEECVKILKNCREALPEKSGKLIIVDAVLKPEPEPEGDLIFGDISYVTDLLMVAHSTGGKERTEEEWKRLLMEGGFPNYNIIHNIAVASIIEAYSQ >itb10g16430.t1 pep chromosome:ASM357664v1:10:22676432:22680754:1 gene:itb10g16430 transcript:itb10g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPDDEAPKSKEFFSPPRPPPEREPMMMMDLDLDASWTFEQIFAAAASNPNTPFVLSGSDQPFSPLWGFPDDYEDRTAENAAVGSTRLSDCQRLPPYAGDPDSVIEGVPGQDEKRRLPPPFLGLTTKDYLDGSCIIKERMTQALRYFKESTGERVLAQLWAPVKNGGRYILTTSGQPFVLDPNSNGLHQYRMVSLMYSFSVDGETDGVLGLPGRVFRQKLPEWTPNVQYYSIKEFPRLNHALNYNVRGTVALPVFEPSGQSCIGVLELIMTSQKVNYAPEVDKVCKALEAVNLKSSEILDHPSTQICNEGRQHALVEILEILTVLCETYKLPLAQTWVPCRHRNVLADGGGLKKSCSSFDGSCMGQVCMSTTDVAFYVVDAHMWGFREACAEHHLQKGQGVAGRAFASQRSCYCEDITQFCKTEYPLVHYARMFGLASCFAICLRSTHTGNDDYIMEFFLPPNGGDYSDQQALLDSLLLTLKNHFKSLRVASGNLLEHEWGSVEIINASVPSVEKKPDLMTETHHFSPPQPAILPNGQLCPDSLENKQLTPGQNTPNGGTLSEPPEAQNRATVAVNIEGGKKEKKRGKAEKTISLDVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGTDGAPFTLSTIAPNSVPVAVGSISWPATAIESNCQNSPPSRPSESPEGKSEFLNHRELGSHDQAEPSNQILEGQVLGNHELPHQQNGFAVGEGSNRSRTGSGSREESIGTPTSHGSCQASPSPGNEPSPQNELVVSPTCDPAMETLNTLGIACQPAREINLASAFASLDALVAEQFQKPFGGMLVEDAGSSHDLRNLCQAGEAQFDERMPENSLTHPPCSDAIPLDHHITNATERVPQHPARPEVTSITIKATYGEDIIRFRLCLGSGIVKLQEEVAKRLKLELGTFEVKYLDDDHEWVLIACDADLQECIEISRSSGGNITRLLIQDIMPHLGSSCESSGE >itb11g22310.t1 pep chromosome:ASM357664v1:11:24202925:24209639:1 gene:itb11g22310 transcript:itb11g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAHKVYEHPSITSHFDKRAWVTATQEYNIEQMLRCLLRCVTAATSRDDQDQLAESVYKSLKGQRYLIVIDDIWNTTAWDSVQRCFPDDNNGSRILLTSRLKVIAEYTCSGNTPINMPFLDADESWNLYCKVFGKTGFFQVFEQIGRDIVEKCKGLPLAITLVASLLSKTEEKVEKWKNVAESVIGDSNEACSRVLYLSYNQLPHHLKACFLYFGIFEEDYEISVKKLVRLWAAEGFLSAVKHVNMEKVAMECLQNLVDRSLVIVSKQSYNGEMKTIKIHDLLRDLCLKEAGRENLLNVNVITDEKLPRKSQHLFSKPCRWLSDASECLNNQIRLPWSDMRLIGMLPNLKVLKLIHACEGPKWETSEGGFRQLIWLVIQSKHLEYWNAEGDHFPVLECLELHACVNLQEIPSGFVDITTLASIELSYYERDGCGRIVIKASSYKGLPPQVSWKAAAYLG >itb10g07720.t1 pep chromosome:ASM357664v1:10:9163507:9168834:1 gene:itb10g07720 transcript:itb10g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIVCLAKRIREAPSVGCSSHHHNDDDDFVEDPVVGFQRERQAPILDASDSDLTAVERLRFPALRVRVLPGVFVEALEDLTAQQRKDICDIGFGAVLELKIEKLPVRLGHWLLSNFDSERMCVKLGEGEVLPVREEDVSSILGFPRGAGVITRRNRYAKSKLLAGWRKKFEKTGHGVSPTAVAGLIKKDLDGGMWFKRHFMVLLISSLISSMGNGYCNQIVFHHLDDVDTISQQNWCRYLIEELVSTHAVWRAGGDPRFSGPIIFLILLYCDRVRPAIRAVPRAVPAFRGLTSEMLLGRQVLEIRGGGFGSGELELPFAVASDAVAEVGRSAGGGGVDLGGAGAGVEAPGSPVSPHKTLLERLADKTGELARCILALVQLVNEAVATGENDVNFRQVLEAVQVLTGVKPQPSIPECTREPCSQPTFTQFDDEFWGNADIIQALAEVERAILERPNLKDFPSFSLGLTQEFGREAGSDGCNIARVINKDHDEHGVGGGFARAGNIEQVSGSHHGGCSGVVDEQQDHDGGVVGGSDLSVGGLRSKVVVQLNAAVSVVPRVGSSSICHAPRKSNRPARIVSGSVSHAVSCKSNGPAHTVTGLVSHGGVHSVAPVPSVDLLDDVNFSKWVLEYGSDDLREFLFSYSGRTVSRVDIRSLAPGAQLNTAVLFKKIDKLKLASFSKALGADFALGPYRTWGDVHLLFFPILQLNHFYLLCVDFKTGRLEIIDNSASTEPTRLKYGDTLENVKLLLTEYFTSVGEKFKSIICENLKCKRLPMKWRDTGNEVDCGVYLMRHMESYVGERATKWDCGLTRGDRLQFQLLRLRYMKELCTVDINAHRTSNVARALRFLSSQ >itb08g16220.t1 pep chromosome:ASM357664v1:8:18352389:18353505:-1 gene:itb08g16220 transcript:itb08g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERHGVDQQNTVDPQIQSPVPKDDMIACVMALEAALLPCLPARELQAIDRSAHPYHQIDVERHARDFMDAAKKLQLYFIGLQREDVPSRESTLRKEIANMEEELKTKTELIAKQERLIQEWRKQLDEQLNKHNTELERV >itb04g12070.t1 pep chromosome:ASM357664v1:4:11805596:11808315:-1 gene:itb04g12070 transcript:itb04g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSMFLSDESTMPYGIGIQANELHNCFFNPNWESPMDQRDLLGSSLSSMVSSPSNGGNGNFVLRELIGRLGEISPSYADNTPLSSPPKLSNISMVDHHQGQIRATLPFPQFSTDPGFAERAAKFSCFANGAGMMSPGLKEPELQQRMVHNLETGKLSRVPSNQSIKASGSQMAEKSELGDSRENSSVSEQIPGGETANSRKRKAAPKEKGKETTNVASENKESSAKRNKAEEDANKKQNSKDNPKPPEPPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPAMESNMGALLTKDIILQSRGSVHHSMFPTDASYGQNLHSVMSSITPLSRNLGMGLPPPMGNFVENPSQVSTFFENDLNNVVQMCIGQNQAENVHGNMTTGAQMKVEI >itb04g12070.t2 pep chromosome:ASM357664v1:4:11805596:11808315:-1 gene:itb04g12070 transcript:itb04g12070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSMFLSDESTMPYGIGIQANELHNCFFNPNWESPMDQRDLLGSSLSSMVSSPSNGGNGNFVLRELIGRLGEISPSYADNTPLSSPPKLSNISMVDHHQGQIRATLPFPQFSTDPGFAERAAKFSCFANGAGMMSPGLKEPELQQRMVHNLETGKLSRVPSNQSIKASGSQMAEKSELGDSRENSSVSEQIPGGETANSRKRKAAPKEKGKETTNVASENKESSAKRNKAEEDANKKQNSKDNPKPPEPPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPAMESNMGALLTKDIILQSRGSVHHSMFPTDASYGQNLHSVMSSITPLSRNLGMGLPPPMGNFVENPSQVSTFFENDLNNVVQMCIGQNQAENVHG >itb09g26480.t1 pep chromosome:ASM357664v1:9:27111807:27112638:1 gene:itb09g26480 transcript:itb09g26480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTSGRGGASRPQQAAIHPVYEDFRPAFERQHEEEAEKLLVYLPGFPKENIRVSTEGKNTVRIRGEHLVASNLWNRFQEDFAAPEDCDMTKIRARFENGLLTITMPTKKLAPITTQNTGEGHDEKPDLQKPPVQQDNPPPKFTTPLMQSESQEKDDNYYEDKAKQKEKDEGEITSSSSSAWNYMEAIKSLTEPCEKRQLLMSAGAAVLITVAALGAAYISLHYRETN >itb06g03420.t1 pep chromosome:ASM357664v1:6:5787940:5793464:-1 gene:itb06g03420 transcript:itb06g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSEGNFIRYVSSELATDVTIVVGEVKFYLHKFPLLSKSNRLQKLLSRESEQSSDAIQLVDFPGGPKTFEICAKFCYGITVTLNPYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLTSSVFRSWKDSIIVLQTTKSLLPWSEDLRVVGRCIDSIASKTSVEPSNISWSYTYNRKLAVSDNIPEIRTKFPDKMDSVPKDWWVEDICELEIDLYKRVMIAVKSKGRMNGSVIGEALRTYAVRWLPDSVGASVSEAHSKRNKTLVETIICLLPSDRGASCSCNFLLKLLRVAILVEAEDSLREDLLKSISLKLDEASVNELLIPARSPQTTIYDVELVKSLVNRYVTRERGSLDANATEKSRKGSDDFVLGRGSWMNLSKLIDGYLVEVAHDPGLSLGTFIELLQSIPESARPIHDGLYGAIDIYLKEHPNLTKAERKKLCGFMDVRKLTMDASMHAAQNERLPLRTVVRVLYFEQIRTAAGVQGLNNSSSDDALDSGRVTQEEWEKPLPVTHQSARKQMNQMSIADKDPQKNAKLEKKASKNRGSGAQLLPSRSRRIFDKLWAVGKGTGNGENRSSETSGSSQSPTSMAQGETKSSGSSSRRRRHSIS >itb03g11380.t2 pep chromosome:ASM357664v1:3:9484929:9490342:-1 gene:itb03g11380 transcript:itb03g11380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDATSNVAAGKIFGIPLRGTHSHAFVSSFMSSDEIVEKSLHRSDGSSVCDDFVSLVQAWINKLKWSNLLGGIFGETNQSELAAFTSYALAFPGSFLALVDTYDVIRSGVPNFCAVALALDDLGYKAIGIRLDSGDLAYQSCEARKFFCAIEKEFGVPGFGKMSITASNDLNEETLDALNKQGHEVDAFGIGTHLVTCYAQAALGVVFKLVEINNQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFSESKRAYVVPQRVEELLKCYWPGSSDKTREVLPPLKQIRERCIEHLSQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >itb03g11380.t1 pep chromosome:ASM357664v1:3:9484910:9490352:-1 gene:itb03g11380 transcript:itb03g11380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSNGPKKERTCEGHNIAGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDDGFFDYLRSIDCSDVEIYSISEGSVVFPKVPLMRVEGPVAVAQLLETPFVNLINYASLVTTNAARHRFVAGKEKLLLEFGLRRAQGPDGGIGASKYCYMGGFDATSNVAAGKIFGIPLRGTHSHAFVSSFMSSDEIVEKSLHRSDGSSVCDDFVSLVQAWINKLKWSNLLGGIFGETNQSELAAFTSYALAFPGSFLALVDTYDVIRSGVPNFCAVALALDDLGYKAIGIRLDSGDLAYQSCEARKFFCAIEKEFGVPGFGKMSITASNDLNEETLDALNKQGHEVDAFGIGTHLVTCYAQAALGVVFKLVEINNQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFSESKRAYVVPQRVEELLKCYWPGSSDKTREVLPPLKQIRERCIEHLSQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >itb06g04550.t1 pep chromosome:ASM357664v1:6:7172250:7175557:1 gene:itb06g04550 transcript:itb06g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSIKSVRFRDELVETAEEGKFNVSASMKNKEQKTELEPARKAFQKKKLSRVFSEDYEAVQRNIFDPRGTSLSLWNKLFLLACLASLFVDPLFFYLPSIDEDEMCLAASHPLEIVLTVTRSAIDAFYVIQIFVRFRTAYVAPSSRVYGRGELVIDSKRIASRYFSKDLWFDLLAALPLPQVLIWAAIPSMRGSNRISAKHALRLTIMFQFLLRLYLIFPLSSKIIKTTGVMVEAVWAGAACNLLLYMLASHVIGSCWYLLGVERQEQCWKKVCDEQKPNCQYWYFDCRWRHEGRRVGWYESSNISTLCGPDSDFYYFGIYGDIMSFGVGQASFLDKYSYCLRWGLRNLSSLGQNLTTGAYIGENNFAIVVAILGLVLFALLIGNMQTHLQSATLRLEEWRVKRTDAEEWMHHRQLPHELKDRVRRYHLHKWVTTRGVDEEAIVRGLPLDLRRDIKRHLCLGLVRRVALFDQMDECILDAICERLKPVLFTPGTRLLREGDPVSEMLFIIRGRLESYTTDGGRSGFFNSCRLGPCDFCGEELLTWALDPHPSVILPSSSRTVTALTETEAFALVADDLRFVASQFRKLHSKQLRHTFRFYSHQWRTWAACFIQAAWFRYKKRKDLAALNARETPPAVSSVPETAKNERRSQSLGGKKASELGMYAAVLAASSRMGSSSSSRRSSLDILNSLQKPVEPDFSADR >itb06g04550.t2 pep chromosome:ASM357664v1:6:7174148:7175557:1 gene:itb06g04550 transcript:itb06g04550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHLQSATLRLEEWRVKRTDAEEWMHHRQLPHELKDRVRRYHLHKWVTTRGVDEEAIVRGLPLDLRRDIKRHLCLGLVRRVALFDQMDECILDAICERLKPVLFTPGTRLLREGDPVSEMLFIIRGRLESYTTDGGRSGFFNSCRLGPCDFCGEELLTWALDPHPSVILPSSSRTVTALTETEAFALVADDLRFVASQFRKLHSKQLRHTFRFYSHQWRTWAACFIQAAWFRYKKRKDLAALNARETPPAVSSVPETAKNERRSQSLGGKKASELGMYAAVLAASSRMGSSSSSRRSSLDILNSLQKPVEPDFSADR >itb01g05610.t1 pep chromosome:ASM357664v1:1:3851976:3852533:1 gene:itb01g05610 transcript:itb01g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLSGVAQDFAADGSPSFADLVCIQNQNAQLQTQQFLPLALTNKATGVQNMEPEFEFPSTTDDSTKANPNSNAPAKVLFHKGRVLSQSVLSKSSQPQALEETSLKEILSPAQKNSRRSNTKEFNLNTGVNTQPCNQVKRIPNKKHGASGQWFGRKIFQTFAKPCRTCHASEPTASAKGQIPH >itb10g03730.t1 pep chromosome:ASM357664v1:10:3480492:3484060:1 gene:itb10g03730 transcript:itb10g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVKTDTERLGASLPVPSVQQMAKEKPAVIPSRYVRHEGGNGESPAPAKPCSASDVPVIDMQKLLSEEWGDSELQKLHFACKDWGFFQLINHGVDSSLIERAKYEVQNLFNLPLEEKKKYEKVAGEAQGFGQLFVVSEEQKLDWADLFYLRTLPLHIRSPHIFPILPEAFRDTVEAYSLEVHKLAMKVLSLVAQNLGIELEEMRMLFEEGMQSIRMNYYPPCPQPELVMGLSPHSDPGGLTILLQVNETNGLEIKKDGAWIPIVPVPNAFIVNVGDSLEIFTNGVYRSIEHRGIVSRDKERISIATFLSPRLDGELGPATSLITPQNPAKFKTVTVTEYFRLFFGRKLDGKLQVDAFRMVD >itb14g15400.t1 pep chromosome:ASM357664v1:14:18730926:18733229:1 gene:itb14g15400 transcript:itb14g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSWRLAPSSFVLIFFLCLLSVCSVSVSSLEEPKGFIVFMSKSEKPQVFSTHHDWYSSIISKHSSSELLYTYEHVAHGFSARLTPSQASELANVTGVISVLPDNLRQLQTTRSPQFLGLTTPSSVLGPSSDFGADIIIGVLDSGIWPERRSFSDKGLSPIPDKWKNKCEVGPEFPATSCNGKIIGARAFYKGLEADEGEPIVDEPLSAIDIEGHGTHVASIAAGSVVGDASFFGLAEGEARGIATNAKIAVYKVCWLGGCSDSDILAAMDQAVADGVHVLSISIGDEPAPYSEDPIAIGAFGAVQKGVLVSCSAANNGPRLSTSDNNAPWILTVGASTIDREFPAVVTLGNGRSFTGTSLYSGSSAPTTNLVSLVHGEEAKSYFCISGELDASKVKGKIVFCEQGDGTSIVDKGVAVNQAGGVGTIIPNLPDQGYEPNANPDMIPAAVVTAADGDIIFDYINKNQSPTAKIVFKGTVIGNSPSAPRVAAFSGRGPNKLTPEILKPDVIAPGVNILAAYTGAKAPSDNIMDDRRSEFMIMSGTSMACPHVSGLAAMLRKLYPTWSPAAIKSALMTTAYTLDNSGKSLIDLHTGEPSVPYAHGSGHVDPSHAVDPGLVYDAGVDDYVDFLCTIGYDSKEIALFLRNSPLVDCSRRDLDNPGSLNYPSFAVFFKKNLQTVKYKRSVKNVGKVKNVAYQVTLVTPPNVRVNVSPSRLVFSDKIDTLSYEVTFESIQQSDTSFGSLKWSDGTHLVTSPIAVIWETPSVSQL >itb06g26100.t1 pep chromosome:ASM357664v1:6:27355892:27360053:-1 gene:itb06g26100 transcript:itb06g26100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPCRFPLLALLVVLLVNQAQCSPRQLKVQELQNKLDQRFLYSTQSPHPSNPTKIEGRVLYPIGYGADPTGEDDSSSAIMEALDDALKSQKNGAELLPGVKDLGGLILDFQGGDFRISHPIIFPPGVGNIVVQGGTLRASNKFPGDRHLIELEVKDQNGRIHYEDVTFRDILFDSSLRGGGLLVVDSARIRVTNCFFLHFTTQGILVRRGHETFITHTFLGQHPTVGGSEGEKDFSGTAVDLASTDNAVTDVAIFSAATGIVLRGQSNIITGVHCYNKASTFGGVGILVKAAQNRIDNCYLDYNSIVIEDPSRVHVTNGYFLGDGNVILKSVSGRISGLNIVNNMFIGEPMHMLPIVQIDGSFKDVDQVVIDQNHAHGMRLKSTAGKLTVAGDGTKWVADFSPVLVFPNRITHFQYSFYSRGVAGFPAHAVTNVSGNVVVVESEKPVQALVSIFVDQNNIFGDRNIKLY >itb14g11880.t1 pep chromosome:ASM357664v1:14:13503448:13505038:-1 gene:itb14g11880 transcript:itb14g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQSASMMNQQQQMMSMSQSQMMGMNSHPQLQQPPVMNRSYGMWPQAPPPPAMDQMNFPNPSFNAMQPQGFVMGKQSKPLRPRSNWKGKKMNKIDKRMDAGRRNEKSMLIGTSSVTGTGAPGGGPDGAPGGYGGYQPPTLNELQQQNRLKARRFFPKKKFHNFNNNNNNNRDAPFAPRNTTSFIIRAKKSGGIASLVSPYPVTPAVLPTPNFSPSREVVVDMAKEEWGLDGYGSMKGLIRLRSPGQELEPHEDEDEEDGESSESDVEEHVEVERRLDHDLSRFEMIYPNHSADYNNVLENRVDDQDTHIAQLEEENLILKERLFLMERELGDLRRRLQSLERQNNGEAVANESDSETESFSDAPSVDNNVEIVVENEDVDVNLKSDNTNDGGIETAESEGNIVKGTQQHWNNETFEEAEADDNGAKAAESKHKKSDMDLQEKEDKKQQDVDVKEDSDRLNVEASENDELSLVDVSIKEASHMDDEMNV >itb09g27470.t1 pep chromosome:ASM357664v1:9:27731551:27731985:1 gene:itb09g27470 transcript:itb09g27470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKGDSSNSSRSSTRMRQCYVYREWISIQQCECGQEMGLKTSWTNENPGRRYWECSRCKADSRGFVRWYDPPMCPRSKRIIPELLKTLNKTEEENVMLWKK >itb10g02910.t1 pep chromosome:ASM357664v1:10:2557253:2560661:-1 gene:itb10g02910 transcript:itb10g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYSYLFLFAIFIIHSSSICFVQCTGNISTDLSVLLSLKAQLTLHDPNNILATNWSTATSICHWVGVHCDSQHHRVVALDISNMSLLGKFPTSLVNLSFLSFLNMSNNNFIGEIPQQLGNLRNLKVIDTHRNHLTGAIPNSIFNISALEHIDFSNNSLSSILPPNICHQLPNLKVLCLYLNGFNGPIPANLSACSKLRALSLSYNMFDGLIPKELGKLEMLQKLIIGGNNLTGTIPNELGNLHNLKTFAAEGNQIIGYIPKFISNMSSFQILSLSTNKLMGSIPREIGNLSSLQSLMLYENYFTGMIPREVSYLSKLEFVGFNVNNLSGTIPEGIFNVTKLRGISLANNHLSGSIPSTMCSAQTNLQYLLLYKNVLSGVIPHSIANCSQLSILSLGVNRFSGSIPNSLENLCLLQVLGMDENKLASDPSNSSPELSFITSLTKCRKLKSLDVGGNSLHGTLPRSIGNFSSTLQNFYAENLGLYGTIPHEIGNLTGLETIAMSANHLSGTIPNTLQSLHGLERLNLVENKLSGPLSRTLCKVPNLGAIYLSKNRISGPIPDCLGNVTSLRYIHLDSNRMSGIIPSNLWNMKSLLTLNLSYNSFSGALPFEIENLDVLYILDLSSNNLSGIIPSTIGSLQNLINLSLAQNQIHGQIPQSIDGMLSLESLDLSHNNLSGSIPVSLQAIRYLHHFNVSFNSLSGEIPSNGPFKNFTSLSFLSNEALCGDARVWIGRHSVNKMRRLQLWHLIDGNFHKDKA >itb08g06990.t2 pep chromosome:ASM357664v1:8:5969228:5974557:1 gene:itb08g06990 transcript:itb08g06990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKREAENSELDEETDSKKQKIVEQSSPSSPPQLAFDNALLPLASYDDDDEDEDGDKRDQNVVRGRLGSSENKSDSEEDDSEDEGGKGSGGRKHRVVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLTNLNVYACLVCGKYYQGRGPKSHAYTHSLEAGHHVYINLRTEKIYCLPDGYEVIDSSLDDIRYVLNPRFSLKQVEQLDNSTQWSRALDGSDYLPGTVGLNNIKETDFVNVTIQSLMRVIPLRNFFLIPENYQHNKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKSSKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKGSSIIYQCFQGELEVVKEMHSRAVTENKENGDAQTNGDGGSDTVNVIAETSRMPFLMLGLDLPPPPLFQDILEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTKLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPSPKDNEKLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEALWFEMQDLHVTETLSHVVALSEAYMQIYEQQQQ >itb08g06990.t1 pep chromosome:ASM357664v1:8:5968815:5974557:1 gene:itb08g06990 transcript:itb08g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKREAENSELDEETDSKKQKIVEQSSPSSPPQLAFDNALLPLASYDDDDEDEDGDKRDQNVVRGRLGSSENKSDSEEDDSEDEGGKGSGGRKHRVVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLTNLNVYACLVCGKYYQGRGPKSHAYTHSLEAGHHVYINLRTEKIYCLPDGYEVIDSSLDDIRYVLNPRFSLKQVEQLDNSTQWSRALDGSDYLPGTVGLNNIKETDFVNVTIQSLMRVIPLRNFFLIPENYQHNKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKSSKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKGSSIIYQCFQGELEVVKEMHSRAVTENKENGDAQTNGDGGSDTVNVIAETSRMPFLMLGLDLPPPPLFQDILEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTKLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPSPKDNEKLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEALWFEMQDLHVTETLSHVVALSEAYMQIYEQQQQ >itb08g06990.t3 pep chromosome:ASM357664v1:8:5969228:5974557:1 gene:itb08g06990 transcript:itb08g06990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKREAENSELDEETDSKKQKIVEQSSPSSPPQLAFDNALLPLASYDDDDEDEDGDKRDQNVVRGRLGSSENKSDSEEDDSEDEGGKGSGGRKHRVVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLTNLNVYACLVCGKYYQGRGPKSHAYTHSLEAGHHVYINLRTEKIYCLPDGYEVIDSSLDDIRYVLNPRFSLKQVEQLDNSTQWSRALDGSDYLPGTVGLNNIKETDFVNVTIQSLMRVIPLRNFFLIPENYQHNKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKSSKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKGSSIIYQCFQGELEVVKEMHSRAVTENKENGDAQTNGDGGSDTVNVIAETSRMPFLMLGLDLPPPPLFQDILEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTKLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPSPKDNEKLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEALWFEMQDLHVTETLSHVVALSEAYMQIYEQQQQ >itb04g23920.t1 pep chromosome:ASM357664v1:4:28832482:28834950:1 gene:itb04g23920 transcript:itb04g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKEILREAFGESSDSEAEDELRELKSSSIVESESIFGAKRSWEQITEINGLWLCRDFLSSDQQCLLISAIQAEGWFTEASQNQAMRFGDLPEWAVELSNSIRDVILFNNYVQEPPGLANCGNGHEATLFPPDLLWREPLFDQLIANVYEPGEGICAHVDLMRFEDGIAIVSLESTCVMHFTRVETEVCDVENECGRVIPSNKVPVLLTPGSLALMWGEARYLWKHEINRKPGFQEWEGREISQKRRISVTLRRLCQNE >itb12g08800.t1 pep chromosome:ASM357664v1:12:6885093:6885834:1 gene:itb12g08800 transcript:itb12g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSLSKFGSQAQGWMLIIFGSISFLLFVYAAAFSKFLPGSGNPIIAAIQNDRYYCFLVPLTLPLLLVAVYFHWLSMNLFKHA >itb03g17560.t1 pep chromosome:ASM357664v1:3:16134509:16135626:-1 gene:itb03g17560 transcript:itb03g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVVSPLINLVVRVFTIIFILASLIVLATDNITYGLGFYDYGGYQIKSTDIYAYRYMISVMVIGLVWILIQCVFTILHFSSGNPIGGNAFAYIEFYGDKVISYLLGTGAAAGFGLTVDAKRYGGFGLTVDAKRSILDSYENYSSSLDSFENDFFNKANAAASLLLIAFLLSAISSVFSSYNLPKVVTDT >itb10g09310.t1 pep chromosome:ASM357664v1:10:12359634:12361065:1 gene:itb10g09310 transcript:itb10g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDHVGHVAKVLNDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSLETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLHCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCKDVQLDLADSNDGLFDVQLDLADSNDGLFNVQLDLADSNDGLFRVQLDLADSNDGLFRLQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQTSSPPNDKGKKPKIG >itb10g25810.t1 pep chromosome:ASM357664v1:10:28977744:28979683:1 gene:itb10g25810 transcript:itb10g25810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKMILLKSADGETFEVMEAVALESQTIKHMIEDDCADTVIPLPNVTSKILAKVIEYCRRHVDAAKSDDKANEDDLKAFDAEFVKVDQSTLFDLILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >itb15g16550.t1 pep chromosome:ASM357664v1:15:16186971:16189284:1 gene:itb15g16550 transcript:itb15g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLNIKKEKARGGTSGGSTRCCRISSATPFRDSASRLSPSVSTSSAKSPTTRSMRLLSLTLIPPPPLLTLTEKQSSLTR >itb11g22510.t1 pep chromosome:ASM357664v1:11:24469859:24472052:-1 gene:itb11g22510 transcript:itb11g22510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREKVTVSTRTLQWKCVESRTDSKRLYYGRFILSPLMKGQADTIGIAMRRALLGEIEGTCITRVKSEKAPHEYSTIADVRGRVVSWSSAGTSGFKGTRRGTPFAAETAASNAIRTVVDRGMLRAEVMIKGPGLGRDAALRAILQSGILLTFVRDVTPMPHNGCRPPKKRRV >itb02g14650.t1 pep chromosome:ASM357664v1:2:10653202:10653840:-1 gene:itb02g14650 transcript:itb02g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHVNFRPSIRSSFESSLCFGLAGPRTVVIIEVALKYKRWIFFRNRRGQVVDFYEINSHSYACFDSPGLSYSDLRARIRLWMPGMSRSLRNQLSHRIFVYAQQVALANARHRLNENGGANKVTAFVEIEEPQLQPSDNDQGQDFTGLRVDLDDDDDGIILYFPNNFIDHYYDDDDDDDSSKPPRGLSWDEINGLKQERFRRIILPLQRRNH >itb13g03560.t1 pep chromosome:ASM357664v1:13:3420497:3425884:-1 gene:itb13g03560 transcript:itb13g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTVMSTPGNCFTLQLLSRRFAAKLRRFYSGGAPHAPPISKKTCIHLLKACKSMAKLKQIQALLFTLGIHQHVDTLHKLMAFTADPLLGNLSHAQLIFDRIENPTLFIYNVMIKAHTKTGRFRNALFLFDELRGRGLWPDHFTYPFVFKAVGGLRVVLEGEKIHGFVLKSGVGSDCYVCNSVMDMYGELGYAESMKKVFDELPNRDSVSWNVLISGYVRCSRFQEAIRVYRMMGQEGSIKPEEATVVSTLSACTALKKLELGKEIHQYVSKELGYTIRIENALVDMYCKCGCLSEAGQIFNAMSTRNVMSWTSMVSGYVSCGQLDEARDLFERSPVKDLVLWTAMINGYVQFNLFEYAMTLFQAMQMQRIKPDKYTLVSLLKGCAQVGALDQGEWIRNYIIQNGIVIDAVVGTALMEMYSKCGCLEKSLEIFYELREKDAASWTSIICALAMNGDTGKALELFSQMLQAGIRPDDITFIGVLTACSHGGLVEEGRGYFDSMTRIYGIEPSLEHYGCLIDLLGRAGLLNEAEHMISTIPNKDNKIVVTLYGALLSACRIHGDVDMGERIVKMLMEIESSDSSTHTLLANMYASANRWEDVSKVRKNMKALHVKKLPGSSSIEVEDHS >itb15g06150.t1 pep chromosome:ASM357664v1:15:4034462:4042513:1 gene:itb15g06150 transcript:itb15g06150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEPLLSSTEPLSEPNDQPHQSPLGTSSSSEIISLNASLSSSSLDKTQEIGFDDVKGDLEDSVAPLVATGASHTKKKRKEFHSAELHFHSQYMLECPPRERRRLVSWGGVMDHHCDTAAFEISSDPSRVASSQGTSSRVTLSHAQEKSNKSQRLCQKSMQFEDNLLHVPRLIHINDPKKTNGEYEFSGNEIRTSKYTVLNFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAIFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLHSGEFQLKKWKKIRAGEVVRILADETIPCDMVLLGTSDHSGIAYIQTMNLDGESNLKTRYARQETNCLVHEGAEISGVIRCEQPNRNIYEFTANMELKGQRLSLSQSNIILRGCQLKNTEWAIGVVVYAGQETKAMLNSAASPSKRSRLEAYMNRETLWLSIFLFIMCLVVAIGMGLWLERHKEQLDTLPYYRRIYFDRRNTGQKSKLYKYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSDSNSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFKRASIWGRNYGSSVSVADNVGVKPAAQPCNRRWKLKSDITTDFELLELLSNDLAGEERIAAHEFFLTLAACNTVIPILTQSPSSGTKNELSGNTGAVEYQGESPDEQALVAAASAYGYTLFERTSGHISIDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMLSILKNDNERDGRIAHATQNHLNEYSSEGLRTLVVAAKDLTGQELEEWQCLYEDASTSLTDRSVKLRQTAALIECNLTLLGATAIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTSDMHRIIINGSSEDECRRLLSEAKAKHGVKSACCNNWISRWKRDIEIGYHEVSPDAKLFNLPMEHTENEEGTLSPPLALIIDGNSLVYILEKELESELYDLAISCRVVLCCRVAPLQKAGIVDLIKSRSDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVFMLFWYILFTAFSTTSALTDWSSVFYSVLYTSVPTIVVGILDKDLSHRTLLEYPKLYASGHRQESYNMHLFWITMMDTLWQSLVLFYVPLYTYQESTIDIWSMGSLWTIAVVILVNMHLAMDVQRWLIYTHIAIWGSIVITYGCLVVIDSIVIFPNYGTIYQLVLLPSYWLTILLIIVMALLPRLIVKAIQQSFWPSDIQIAREAEILRKRTGHFGSTPDQDTS >itb13g05580.t3 pep chromosome:ASM357664v1:13:6715119:6718964:1 gene:itb13g05580 transcript:itb13g05580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSYSESMSEGSEHGQGVQVVPFKTSTGSLRVLLLHGNLDIWVKEAKNLPNMDMFHKKLDALFGKLPSKLSSKVDEKITSDPYVTVSVSNAVIARTYVIGNSEDPVWMQHFYVPVAHYASEVHFVVKDNDVVGSQIMGAVGIPVEQLCSGVKVEGSFPILNSNGKPCKAGAVLTLSIQFTPMEKVPLYYGGVGFDPEYRGVPGTYFPLRRGGRVTLYQDAHVPDGCLPNIWLDNGVQYHHGQCWHDIFSAISQARKLIYITGWSVYHLVRLVRDGSEAKESTLGDLLKTKSQEGVRVLLLVWDDPTSNSILGYKTDGIMQTSDEETRRFFKHSSVQVLLCPRSAGKGHSWIKKKETGTIYTHHQKTVIVDADAGNYRRKIIAFVGGLDLCRGRYDTPNHPIFRTLQTVHKDDYHNPNFTGPTTGCPREPWHDLHSRIEGPAAYDVLTNFEERWLKASKRHGLQKMKASHDDSLLKLDRIPEILGIADAPFLEEDDPESWHVQVFRSIDSNSVKGFPKDPKEATAKNLVCGKNVLIDMSIHTAYVKAIRSAQHFIYIENQYFLGSSFNWNNYKDLGANNLIPMEIALKIANKIRCNERFAAYIVVPMWPEGVPTSTPTQRILFWQYNTMQMMYEIIYKALVEVGLDKTYEPQDYLNFFCLGTREAPDNATNSNSKSSTANTPQVCLVP >itb13g05580.t1 pep chromosome:ASM357664v1:13:6715058:6720699:1 gene:itb13g05580 transcript:itb13g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSYSESMSEGSEHGQGVQVVPFKTSTGSLRVLLLHGNLDIWVKEAKNLPNMDMFHKKLDALFGKLPSKLSSKVDEKITSDPYVTVSVSNAVIARTYVIGNSEDPVWMQHFYVPVAHYASEVHFVVKDNDVVGSQIMGAVGIPVEQLCSGVKVEGSFPILNSNGKPCKAGAVLTLSIQFTPMEKVPLYYGGVGFDPEYRGVPGTYFPLRRGGRVTLYQDAHVPDGCLPNIWLDNGVQYHHGQCWHDIFSAISQARKLIYITGWSVYHLVRLVRDGSEAKESTLGDLLKTKSQEGVRVLLLVWDDPTSNSILGYKTDGIMQTSDEETRRFFKHSSVQVLLCPRSAGKGHSWIKKKETGTIYTHHQKTVIVDADAGNYRRKIIAFVGGLDLCRGRYDTPNHPIFRTLQTVHKDDYHNPNFTGPTTGCPREPWHDLHSRIEGPAAYDVLTNFEERWLKASKRHGLQKMKASHDDSLLKLDRIPEILGIADAPFLEEDDPESWHVQVFRSIDSNSVKGFPKDPKEATAKNLVCGKNVLIDMSIHTAYVKAIRSAQHFIYIENQYFLGSSFNWNNYKDLGANNLIPMEIALKIANKIRCNERFAAYIVVPMWPEGVPTSTPTQRILFWQYNTMQMMYEIIYKALVEVGLDKTYEPQDYLNFFCLGTREAPDNATNSNSKSSTANTPQALSQKSRRFMIYVHSKGMIVDDEFVIMGSANINQRSLEGTRDTEIAMGAYQPNYTWANKHTRPHGQIYGYRMALWAEHLATPDACFEQPESVECVRRVRGLSERNWQQYAAEEVSEMKGHLLKYPVEVDRTGKVKSLPSCVNFPDMGGKIIGTFAGVQENLTI >itb13g05580.t2 pep chromosome:ASM357664v1:13:6715058:6719832:1 gene:itb13g05580 transcript:itb13g05580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSYSESMSEGSEHGQGVQVVPFKTSTGSLRVLLLHGNLDIWVKEAKNLPNMDMFHKKLDALFGKLPSKLSSKVDEKITSDPYVTVSVSNAVIARTYVIGNSEDPVWMQHFYVPVAHYASEVHFVVKDNDVVGSQIMGAVGIPVEQLCSGVKVEGSFPILNSNGKPCKAGAVLTLSIQFTPMEKVPLYYGGVGFDPEYRGVPGTYFPLRRGGRVTLYQDAHVPDGCLPNIWLDNGVQYHHGQCWHDIFSAISQARKLIYITGWSVYHLVRLVRDGSEAKESTLGDLLKTKSQEGVRVLLLVWDDPTSNSILGYKTDGIMQTSDEETRRFFKHSSVQVLLCPRSAGKGHSWIKKKETGTIYTHHQKTVIVDADAGNYRRKIIAFVGGLDLCRGRYDTPNHPIFRTLQTVHKDDYHNPNFTGPTTGCPREPWHDLHSRIEGPAAYDVLTNFEERWLKASKRHGLQKMKASHDDSLLKLDRIPEILGIADAPFLEEDDPESWHVQVFRSIDSNSVKGFPKDPKEATAKNLVCGKNVLIDMSIHTAYVKAIRSAQHFIYIENQYFLGSSFNWNNYKDLGANNLIPMEIALKIANKIRCNERFAAYIVVPMWPEGVPTSTPTQRILFWQYNTMQMMYEIIYKALVEVGLDKTYEPQDYLNFFCLGTREAPDNATNSNSKSSTANTPQALSQKSRRFMIYVHSKGMIVDDEFVIMGSANINQRSLEGTRDTEIAMGAYQPNYTWANKHTRPHGQVINHTTRDGNG >itb13g11530.t1 pep chromosome:ASM357664v1:13:16233452:16235656:1 gene:itb13g11530 transcript:itb13g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSVISLLGGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGEYVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFVFLVAGHMYRTNFGIGHSMKDLLEAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTSGPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >itb12g24770.t1 pep chromosome:ASM357664v1:12:26197787:26203956:1 gene:itb12g24770 transcript:itb12g24770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDTLQSNYMDSQSEPGAASETQPQHSSRGGLGSYDQSSFQNYSDSYYQNYSDSYPQNPSQTLDQSQSHSVVAYPQDQNQPGKTLDSDRHNTHGSSEQQMDNNSAQNHEQKPPQDGSQKVELRKPLLSENGLTNTHSGTDKDQSGGEEETTSRRRRRSRWDPPPSESSNDGAGTGGDGSGTGTGTGRKRKSRWADDEPKPMIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRKLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRAREKLNRERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPVKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSMKEGRLQQKRDMKPDPSENEDLHVLVEAETPESLEAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPVKSTTGKKMDDEYQNFLAELGGTIPESSTKQSSATLALGAGTSSGNPPWATGSSTGGVGGSSHPGLGSTVIKPKEYDETNLYIGYLPPTLDDDGLINLFSPFGTIVMAKVIKDRVTGQSKGYGFVKYDDVQQANSAIASMNGHHLDGRTIAVRVAGKPPQPTVPPGPPAPAIPTYPPPNQAVGAYPSQQYTAGGPMGNAPPPSYTGAPVPWGPPPPYPPYPLPPPPPGSAVYSPVPGQPVPPYGMQYPPPLPTATTGASTQTAPSNETQQSYSTSGSQQQSYPPGVQSQSSAPVQSVPTYAYGNSVTPMPSNAQAAYPTSSYSYPGYYGVAPPPPPPGPVPQSTGDYSQSVGNVPWAPNPPAPAPVQSADKSSYGADAEYEKFMTEMK >itb12g24770.t3 pep chromosome:ASM357664v1:12:26197834:26203300:1 gene:itb12g24770 transcript:itb12g24770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDTLQSNYMDSQSEPGAASETQPQHSSRGGLGSYDQSSFQNYSDSYYQNYSDSYPQNPSQTLDQSQSHSVVAYPQDQNQPGKTLDSDRHNTHGSSEQQMDNNSAQNHEQKPPQDGSQKVELRKPLLSENGLTNTHSGTDKDQSGGEEETTSRRRRRSRWDPPPSESSNDGAGTGGDGSGTGTGTGRKRKSRWADDEPKPMIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRKLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRAREKLNRERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPVKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSMKEGRLQQKRDMKPDPSENEDLHVLVEAETPESLEAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPVKSTTGKKMDDEYQNFLAELGGTIPESSTKQSSATLALGAGTSSGNPPWATGSSTGGVGGSSHPGLGSTVIKPKEYDETNLYIGYLPPTLDDDGLINLFSPFGTIVMAKVIKDRVTGQSKGYGFVKYDDVQQANSAIASMNGHHLDGRTIAVRVAGKPPQPTVPPGPPAPAIPTYPPPNQAVGAYPSQQYTAGGPMGNAPPPSYTGAPVPWGPPPPYPPYPLPPPPPGSAVYSPVPGQPVPPYGMQYPPPLPTATTGASTQTAPSNETQQSYSTSGSQQQSYPPGVQSQSSAPVQSVPTYAYGNSVTPMPSNAQAAYPTSSYSYPGYYGVAPPPPPPGPVPQSTGDYSQSVGNVPWAPNPPAPAPVQSADKSSYGADAEYEKFMTEMK >itb12g24770.t4 pep chromosome:ASM357664v1:12:26197834:26203300:1 gene:itb12g24770 transcript:itb12g24770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDTLQSNYMDSQSEPGAASETQPQHSSRGGLGSYDQSSFQNYSDSYYQNYSDSYPQNPSQTLDQSQSHSVVAYPQDQNQPGKTLDSDRHNTHGSSEQQMDNNSAQNHEQKPPQDGSQKVELRKPLLSENGLTNTHSGTDKDQSGGEEETTSRRRRRSRWDPPPSESSNDGAGTGGDGSGTGTGTGRKRKSRWADDEPKPMIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRKLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRAREKLNRERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPVKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSMKEGRLQQKRDMKPDPSENEDLHVLVEAETPESLEAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPVKSTTGKKMDDEYQNFLAELGGTIPESSTKQSSATLALGAGTSSGNPPWATGSSTGGVGGSSHPGLGSTVIKPKEYDETNLYIGYLPPTLDDDGLINLFSPFGTIVMAKVIKDRVTGQSKGYGFVKYDDVQQANSAIASMNGHHLDGRTIAVRVAGKPPQPTVPPGPPAPAIPTYPPPNQAVGAYPSQQYTAGGPMGNAPPPSYTGAPVPWGPPPPYPPYPLPPPPPGSAVYSPVPGQPVPPYGMQYPPPLPTATTGASTQTAPSNETQQSYSTSGSQQQSYPPGVQSQSSAPVQSVPTYAYGNSVTPMPSNAQAAYPTSSYSYPGYYGVAPPPPPPGPVPQSTGDYSQSVGNVPWAPNPPAPAPVQSADKSSYGADAEYEKFMTEMK >itb12g24770.t2 pep chromosome:ASM357664v1:12:26197787:26203956:1 gene:itb12g24770 transcript:itb12g24770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDTLQSNYMDSQSEPGAASETQPQHSSRGGLGSYDQSSFQNYSDSYYQNYSDSYPQNPSQTLDQSQSHSVVAYPQDQNQPGKTLDSDRHNTHGSSEQQMDNNSAQNHEQKPPQDGSQKVELRKPLLSENGLTNTHSGTDKDQSGGEEETTSRRRRRSRWDPPPSESSNDGAGTGGDGSGTGTGTGRKRKSRWADDEPKPMIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRKLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRAREKLNRERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPVKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSMKEGRLQQKRDMKPDPSENEDLHVLVEAETPESLEAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPVKSTTGKKMDDEYQNFLAELGGTIPESSTKQSSATLALGAGTSSGNPPWATGSSTGGVGGSSHPGLGSTVIKPKEYDETNLYIGYLPPTLDDDGLINLFSPFGTIVMAKVIKDRVTGQSKGYGFVKYDDVQQANSAIASMNGHHLDGRTIAVRVAGKPPQPTVPPGPPAPAIPTYPPPNQAVGAYPSQQYTAGGPMGNAPPPSYTGAPVPWGPPPPYPPYPLPPPPPGSAVYSPVPGQPVPPYGMQYPPPLPTATTGASTQTAPSNETQQSYSTSGSQQQSYPPGVQSQSSAPVQSVPTYAYGNSVTPMPSNAQAAYPTSSYSYPGYYGVAPPPPPPGPVPQSTGDYSQSVGNVPWAPNPPAPAPVQSADKSSYGADAEYEKFMTEMK >itb07g21740.t1 pep chromosome:ASM357664v1:7:26280461:26281210:1 gene:itb07g21740 transcript:itb07g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSRSHSKYAVFLSFMGETRRSFSDHLYTSLWEAGVATFRKGNEISDELKQAIEGSEISVVVFSKNYAQSRWCLDELVKMVECKQKLGRKILPIFYHVTPSEVRKQTGEFGIALNQHIERFGEEIVNGWKAALTTVADLSAWDLEIMKDGQF >itb08g06610.t1 pep chromosome:ASM357664v1:8:5635312:5638751:1 gene:itb08g06610 transcript:itb08g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMTTSTNSISDRYATVKQGSWFNQFRSGSNPWMARYVYSFMFLLATLLAWIVRDYGSKTLSHFKRTNDCSDRAYCFGRMGVLRMFYFLMFVSTLGTTKLMDRRETWHSRWWFAKIPMMIALTLLPFFLPSDLIEVYGHLSHFGAGRVHAMILAATAYIVSILGIILIYIIEGVGALNVFFILTTAVLIHLMTIVSIRPESFVVAVMAIVIATFSTGIDSKSFQLRNEQEEDDDVPYGYGFFHFVFATGAMYFAMLLVGWNAKNPTTKLTIGIGWASTWVRIVNEWVAAGVYLWRIVAPIIWKGARANESQV >itb01g04960.t2 pep chromosome:ASM357664v1:1:3374778:3376648:-1 gene:itb01g04960 transcript:itb01g04960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCIEFNGLQGSARYEEEFAYCWSGARADVGISGGKYCFGCKIIAYQPANIGYDEDDISEDHKNVCRVGVSRGDDNGNSSLGSLGETMNSFCIQTTREFCSGGKFCDYGDRFEIGDTIVCCVDLEAKPASIGFSRNGGWMGMACQFDWQWQSAFFPHVLLKNVVVLLQFCREDGLEPVEGYKPWASSVQDGKAFPGPTLSPDVHGCDLMMMVGLPGSGKTTWAEKWATEHPEKRYILLGETHAFDKMKVPSLMDRSNKDELFECWNGRAAKLFSALVSRASKLPRNFIIDHTNVHKVHRRHKLKPFANYNKVAVVVFPALEEFKSRVVKRYRELGKEIPAGVINEALGNFVLPMSKDMHGTDEHFDEVWFPELGRAESEKYLGEMKANMNFFAVLGEQTQRPGSAGVSREVDMDGASSIHGDFMDAQHY >itb01g04960.t1 pep chromosome:ASM357664v1:1:3374778:3377144:-1 gene:itb01g04960 transcript:itb01g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWPKRDSSSSSITDEEHPDAKKLKITVAGDQLETQTPLVIPRRVSLNPADCDLDFCIEFNGLQGSARYEEEFAYCWSGARADVGISGGKYCFGCKIIAYQPANIGYDEDDISEDHKNVCRVGVSRGDDNGNSSLGSLGETMNSFCIQTTREFCSGGKFCDYGDRFEIGDTIVCCVDLEAKPASIGFSRNGGWMGMACQFDWQWQSAFFPHVLLKNVVVLLQFCREDGLEPVEGYKPWASSVQDGKAFPGPTLSPDVHGCDLMMMVGLPGSGKTTWAEKWATEHPEKRYILLGETHAFDKMKVPSLMDRSNKDELFECWNGRAAKLFSALVSRASKLPRNFIIDHTNVHKVHRRHKLKPFANYNKVAVVVFPALEEFKSRVVKRYRELGKEIPAGVINEALGNFVLPMSKDMHGTDEHFDEVWFPELGRAESEKYLGEMKANMNFFAVLGEQTQRPGSAGVSREVDMDGASSIHGDFMDAQHY >itb13g22740.t1 pep chromosome:ASM357664v1:13:28985444:28986734:1 gene:itb13g22740 transcript:itb13g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSRITFRVVIAILVILALYYVGRPLYWKISATVHDIRHNKQTVSGGFSQIVQEAQRSVGWFHDESDSGVHVDRKSAAARRLLVRQVS >itb10g01320.t1 pep chromosome:ASM357664v1:10:961057:963394:1 gene:itb10g01320 transcript:itb10g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYDGDSKALKLHAKEKLPLDSFTHLQLHGELDTKTGAPSHLSAMIRHFYQNISSTLGVGLQYDRKEKLRYTVRGKKYFRVSSYGHSNFQIKGRCDVDQEFQQRKSSGAAEFVWDILNFNKDQDLRIKIGYEAFEKVPYVQIRENNWTLNADLAGRWNVKFTY >itb01g00990.t1 pep chromosome:ASM357664v1:1:462521:466953:-1 gene:itb01g00990 transcript:itb01g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MMETRLHHNSSSCFHKQEELSAGTSPENGVESPLSGDEAPEVSTPSPRKRRGAQKRVVSVPAGDGDGSRSKSEVYPPPDSWSWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRLDPTMLLITYSSEHNHPHPTKIHHHYAAGTGTGAGAATSSSSAATTPTDSAADPGSPPKPAPKEELPIFANPDPENNDTFPELAGGEMGWFSDVGPCCFMESATAVAGPTLCHDTDVALTLPIREEDQSLFGDLGELPECSVVFRRCRVETPCYAGTG >itb01g20520.t1 pep chromosome:ASM357664v1:1:26798423:26802073:-1 gene:itb01g20520 transcript:itb01g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYEAIAKEKLPKMVFDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDLSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVLTAEDARLSIQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGIRKVLQMLRDEFELTMALSGCRSLKEITRNHIVTEWDAPRALPAPRL >itb05g27680.t1 pep chromosome:ASM357664v1:5:30929801:30936911:-1 gene:itb05g27680 transcript:itb05g27680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPADPPCSQLTSPLKSSSGDERDSSSGKLVEKLDLSQSALDDKNSNNPPSNFSIRDYVFGSRSKNIKTNWPFSQKCLQLCLDHGVKEFLPPFQSFDNLRNQPVKKPVVENTSVVDKQNIIISDGDFCRPSDYSLPVSSRDSELASESVNINSVRSEGDKAYPLATTSQSCSEIDSVPATRSSCLEFGTNILPESTKTRGPNKVPSAKKCSLTVKLSSTAAQSAEEDIRTSNFAISESMASKTCPVCKNFSSSSNTTLNAHIDQCLSSESTIKWTSNCEVSKHRMRPRKTRLMVDIYETALRCTLEDLDRRNGTTFAVYPSFAAEEMDGCADNKTENLSPMNLDKTCDEGAVYIDANGTKLRILSKFNDGEAGCSRVFHDSAPKKFVKGDKGSKFLSTKKKKKHKSHAQKYHKILKSAAYSKKVCSRSPHSSEIDSARERSFVRKQNTEKGECLTQQHLRSQDNSKFSGSGTIRQWTCSKRTGVTRKMYDHQGSGGGLGNEVHERPANLYANRSCSLRPLNSSVKPVCFQKSRKRLEDVQCEPRDDHSEQPYLRKRVGPPLLDSQISHGNKRSVLQKRKAKYLRSDDHSAHHSGRGTANDLNRASSQRNLISDVNEVPLRNADNFTVNCKTSTYSHAFSSKAKKFSSLRKNLLLGSQASAPECRLKKSEVPCMSKSDEEEYDEQYDSADNQNEFQARQDEAFVRSDAKTAKVWKIRKKREESKKEDEIVGIRGSHCTAEPCVQDTGKNNSSSFSFDCDSAGESDDLACAEDDTETNRKDNEIKTDSDLAADRNFISFSKHTGSGIDSLECPPKSHADDAQLFGEEFERPFVCGQTPPPMLVSGDDQEMFSAADIGKTIVPPDIHVDTELESSEFHGYYHLEVDPIPIPGPPGSFLPSPGRMCSEDLQGNSSLTSSRLQSSEDHPELVDRDSSDSPVSATSTLSNFTVARSDSRSSEMSAGNPRLYAAVEKNKSSFSNDSIDPVVENSTAVLGAVNAGEERCDLDDMKINVTLPKKGFRFKSDQPCCCARKEGASQSFALSYQDSPLLQRRTMSSSTPLPVSEKLQSGDSSRRPDNPSLVVNMMSMEDPSPSPRIHETNLPTVYNHTKVSADTEVKVPCRTDCGSVSPSASNPVLRLMGKNLLVVNKDESISPQEKPSQKNFMTEANMQFSGAPGIHCGNFQSGDPQNSHPSSDPQGSFVSHSTRILDVSLSSGYKSHGSYSTTPQRLHSSPMFSGKSVGGSDLMGSFGRHESVGGGYTLITEQIGVGNKLDGQIRYDPKTPLSNSVAHSQNAGSAIREIIVINDSPESEADTVVPDASNSKGMIEGRRYPVSIPIPSGYNPNYADLYGYKAPHAPHESSSYTGSGVVRNANFAAATAARGVNAAAIPVQWNRCPESSSTVHTRSLTSPPDTTRHLGSSVYYTPRFS >itb05g27680.t4 pep chromosome:ASM357664v1:5:30929801:30936345:-1 gene:itb05g27680 transcript:itb05g27680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPADPPCSQLTSPLKSSSGDERDSSSGKLVEKLDLSQSALDDKNSNNPPSNFSIRDYVFGSRSKNIKTNWPFSQKCLQLCLDHGVKEFLPPFQSFDNLRNQPVKKPVVENTSVVDKQNIIISDGDFCRPSDYSLPVSSRDSELASESVNINSVRSEGDKAYPLATTSQSCSEIDSVPATRSSCLEFGTNILPESTKTRGPNKVPSAKKCSLTVKLSSTAAQSAEEDIRTSNFAISESMASKTCPVCKNFSSSSNTTLNAHIDQCLSSESTIKWTSNCEVSKHRMRPRKTRLMVDIYETALRCTLEDLDRRNGTTFAVYPSFAAEEMDGCADNKTENLSPMNLDKTCDEGAVYIDANGTKLRILSKFNDGEAGCSRVFHDSAPKKFVKGDKGSKFLSTKKKKKHKSHAQKYHKILKSAAYSKKVCSRSPHSSEIDSARERSFVRKQNTEKGECLTQQHLRSQDNSKFSGSGTIRQWTCSKRTGVTRKMYDHQGSGGGLGNEVHERPANLYANRSCSLRPLNSSVKPVCFQKSRKRLEDVQCEPRDDHSEQPYLRKRVGPPLLDSQISHGNKRSVLQKRKAKYLRSDDHSAHHSGRGTANDLNRASSQRNLISDVNEVPLRNADNFTVNCKTSTYSHAFSSKAKKFSSLRKNLLLGSQASAPECRLKKSEVPCMSKSDEEEYDEQYDSADNQNEFQARQDEAFVRSDAKTAKVWKIRKKREESKKEDEIVGIRGSHCTAEPCVQDTGKNNSSSFSFDCDSAGESDDLACAEDDTETNRKDNEIKTDSDLAADRNFISFSKHTGSGIDSLECPPKSHADDAQLFGEEFERPFVCGQTPPPMLVSGDDQEMFSAADIGKTIVPPDIHVDTELESSEFHGYYHLEVDPIPIPGPPGSFLPSPGRMCSEDLQGNSSLTSSRLQSSEDHPELVDRDSSDSPVSATSTLSNFTVARSDSRSSEMSAGNPRLYAAVEKNKSSFSNDSIDPVVENSTAVLGAVNAGEERCDLDDMKINVTLPKKGFRFKSDQPCCCARKEGASQSFALSYQDSPLLQRRTMSSSTPLPVSEKLQSGDSSRRPDNPSLVVNMMSMEDPSPSPRIHETNLPTVYNHTKVSADTEVKVPCRTDCGSVSPSASNPVLRLMGKNLLVVNKDESISPQEKPSQKNFMTEANMQFSGAPGIHCGNFQSGDPQNSHPSSDPQGSFVSHSTRILDVSLSSGYKSHGSYSTTPQRLHSSPMFSGKSVGGSDLMGSFGRHESVGGGYTLITEQIGVGNKLDGQIRYDPKTPLSNSVAHSQNAGSAIREIIVINDSPESEADTVVPDASNSKGMIEGRRYPVSIPIPSGYNPNYADLYGYKAPHAPHESSSYTGSGVVRNANFAAATAARGVNAAAIPVQWNRCPESSSTVHTRSLTSPPDTTRHLGSSVYYTPRFS >itb05g27680.t2 pep chromosome:ASM357664v1:5:30929839:30936872:-1 gene:itb05g27680 transcript:itb05g27680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPADPPCSQLTSPLKSSSGDERDSSSGKLVEKLDLSQSALDDKNSNNPPSNFSIRDYVFGSRSKNIKTNWPFSQKCLQLCLDHGVKEFLPPFQSFDNLRNQPVKKPVVENTSVVDKQNIIISDGDFCRPSDYSLPVSSRDSELASESVNINSVRSEGDKAYPLATTSQSCSEIDSVPATRSSCLEFGTNILPESTKTRGPNKVPSAKKCSLTVKLSSTAAQSAEEDIRTSNFAISESMASKTCPVCKNFSSSSNTTLNAHIDQCLSSESTIKWTSNCEVSKHRMRPRKTRLMVDIYETALRCTLEDLDRRNGTTFAVYPSFAAEEMDGCADNKTENLSPMNLDKTCDEGAVYIDANGTKLRILSKFNDGEAGCSRVFHDSAPKKFVKGDKGSKFLSTKKKKKHKSHAQKYHKILKSAAYSKKVCSRSPHSSEIDSARERSFVRKQNTEKGECLTQQHLRSQDNSKFSGSGTIRQWTCSKRTGVTRKMYDHQGSGGGLGNEVHERPANLYANRSCSLRPLNSSVKPVCFQKSRKRLEDVQCEPRDDHSEQPYLRKRVGPPLLDSQISHGNKRSVLQKRKAKYLRSDDHSAHHSGRGTANDLNRASSQRNLISDVNEVPLRNADNFTVNCKTSTYSHAFSSKAKKFSSLRKNLLLGSQASAPECRLKKSEVPCMSKSDEEEYDEQYDSADNQNEFQARQDEAFVRSDAKTAKVWKIRKKREESKKEDEIVGIRGSHCTAEPCVQDTGKNNSSSFSFDCDSAGESDDLACAEDDTETNRKDNEIKTDSDLAADRNFISFSKHTGSGIDSLECPPKSHADDAQLFGEEFERPFVCGQTPPPMLVSGDDQEMFSAADIGKTIVPPDIHVDTELESSEFHGYYHLEVDPIPIPGPPGSFLPSPGRMCSEDLQGNSSLTSSRLQSSEDHPELVDRDSSDSPVSATSTLSNFTVARSDSRSSEMSAGNPRLYAAVEKNKSSFSNDSIDPVVENSTAVLGAVNAGEERCDLDDMKINVTLPKKGFRFKSDQPCCCARKEGASQSFALSYQDSPLLQRRTMSSSTPLPVSEKLQSGDSSRRPDNPSLVVNMMSMEDPSPSPRIHETNLPTVYNHTKVSADTEVKVPCRTDCGSVSPSASNPVLRLMGKNLLVVNKDESISPQEKPSQKNFMTEANMQFSGAPGIHCGNFQSGDPQNSHPSSDPQGSFVSHSTRILDVSLSSGYKSHGSYSTTPQRLHSSPMFSGKSVGGSDLMGSFGRHESVGGGYTLITEQIGVGNKLDGQIRYDPKTPLSNSVAHSQNAGSAIREIIVINDSPESEADTVVPDASNSKGMIEGRRYPVSIPIPSGYNPNYADLYGYKAPHAPHESSSYTGSGVVRNANFAAATAARGVNAAAIPVQWNRCPESSSTVHTRSLTSPPDTTRHLGSSVYYTPRFS >itb05g27680.t3 pep chromosome:ASM357664v1:5:30929801:30936862:-1 gene:itb05g27680 transcript:itb05g27680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPADPPCSQLTSPLKSSSGDERDSSSGKLVEKLDLSQSALDDKNSNNPPSNFSIRDYVFGSRSKNIKTNWPFSQKCLQLCLDHGVKEFLPPFQSFDNLRNQPVKKPVVENTSVVDKQNIIISDGDFCRPSDYSLPVSSRDSELASESVNINSVRSEGDKAYPLATTSQSCSEIDSVPATRSSCLEFGTNILPESTKTRGPNKVPSAKKCSLTVKLSSTAAQSAEEDIRTSNFAISESMASKTCPVCKNFSSSSNTTLNAHIDQCLSSESTIKWTSNCEVSKHRMRPRKTRLMVDIYETALRCTLEDLDRRNGTTFAVYPSFAAEEMDGCADNKTENLSPMNLDKTCDEGAVYIDANGTKLRILSKFNDGEAGCSRVFHDSAPKKFVKGDKGSKFLSTKKKKKHKSHAQKYHKILKSAAYSKKVCSRSPHSSEIDSARERSFVRKQNTEKGECLTQQHLRSQDNSKFSGSGTIRQWTCSKRTGVTRKMYDHQGSGGGLGNEVHERPANLYANRSCSLRPLNSSVKPVCFQKSRKRLEDVQCEPRDDHSEQPYLRKRVGPPLLDSQISHGNKRSVLQKRKAKYLRSDDHSAHHSGRGTANDLNRASSQRNLISDVNEVPLRNADNFTVNCKTSTYSHAFSSKAKKFSSLRKNLLLGSQASAPECRLKKSEVPCMSKSDEEEYDEQYDSADNQNEFQARQDEAFVRSDAKTAKVWKIRKKREESKKEDEIVGIRGSHCTAEPCVQDTGKNNSSSFSFDCDSAGESDDLACAEDDTETNRKDNEIKTDSDLAADRNFISFSKHTGSGIDSLECPPKSHADDAQLFGEEFERPFVCGQTPPPMLVSGDDQEMFSAADIGKTIVPPDIHVDTELESSEFHGYYHLEVDPIPIPGPPGSFLPSPGRMCSEDLQGNSSLTSSRLQSSEDHPELVDRDSSDSPVSATSTLSNFTVARSDSRSSEMSAGNPRLYAAVEKNKSSFSNDSIDPVVENSTAVLGAVNAGEERCDLDDMKINVTLPKKGFRFKSDQPCCCARKEGASQSFALSYQDSPLLQRRTMSSSTPLPVSEKLQSGDSSRRPDNPSLVVNMMSMEDPSPSPRIHETNLPTVYNHTKVSADTEVKVPCRTDCGSVSPSASNPVLRLMGKNLLVVNKDESISPQEKPSQKNFMTEANMQFSGAPGIHCGNFQSGDPQNSHPSSDPQGSFVSHSTRILDVSLSSGYKSHGSYSTTPQRLHSSPMFSGKSVGGSDLMGSFGRHESVGGGYTLITEQIGVGNKLDGQIRYDPKTPLSNSVAHSQNAGSAIREIIVINDSPESEADTVVPDASNSKGMIEGRRYPVSIPIPSGYNPNYADLYGYKAPHAPHESSSYTGSGVVRNANFAAATAARGVNAAAIPVQWNRCPESSSTVHTRSLTSPPDTTRHLGSSVYYTPRFS >itb05g27680.t5 pep chromosome:ASM357664v1:5:30929801:30936345:-1 gene:itb05g27680 transcript:itb05g27680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPADPPCSQLTSPLKSSSGDERDSSSGKLVEKLDLSQSALDDKNSNNPPSNFSIRDYVFGSRSKNIKTNWPFSQKCLQLCLDHGVKEFLPPFQSFDNLRNQPVKKPVVENTSVVDKQNIIISDGDFCRPSDYSLPVSSRDSELASESVNINSVRSEGDKAYPLATTSQSCSEIDSVPATRSSCLEFGTNILPESTKTRGPNKVPSAKKCSLTVKLSSTAAQSAEEDIRTSNFAISESMASKTCPVCKNFSSSSNTTLNAHIDQCLSSESTIKWTSNCEVSKHRMRPRKTRLMVDIYETALRCTLEDLDRRNGTTFAVYPSFAAEEMDGCADNKTENLSPMNLDKTCDEGAVYIDANGTKLRILSKFNDGEAGCSRVFHDSAPKKFVKGDKGSKFLSTKKKKKHKSHAQKYHKILKSAAYSKKVCSRSPHSSEIDSARERSFVRKQNTEKGECLTQQHLRSQDNSKFSGSGTIRQWTCSKRTGVTRKMYDHQGSGGGLGNEVHERPANLYANRSCSLRPLNSSVKPVCFQKSRKRLEDVQCEPRDDHSEQPYLRKRVGPPLLDSQISHGNKRSVLQKRKAKYLRSDDHSAHHSGRGTANDLNRASSQRNLISDVNEVPLRNADNFTVNCKTSTYSHAFSSKAKKFSSLRKNLLLGSQASAPECRLKKSEVPCMSKSDEEEYDEQYDSADNQNEFQARQDEAFVRSDAKTAKVWKIRKKREESKKEDEIVGIRGSHCTAEPCVQDTGKNNSSSFSFDCDSAGESDDLACAEDDTETNRKDNEIKTDSDLAADRNFISFSKHTGSGIDSLECPPKSHADDAQLFGEEFERPFVCGQTPPPMLVSGDDQEMFSAADIGKTIVPPDIHVDTELESSEFHGYYHLEVDPIPIPGPPGSFLPSPGRMCSEDLQGNSSLTSSRLQSSEDHPELVDRDSSDSPVSATSTLSNFTVARSDSRSSEMSAGNPRLYAAVEKNKSSFSNDSIDPVVENSTAVLGAVNAGEERCDLDDMKINVTLPKKGFRFKSDQPCCCARKEGASQSFALSYQDSPLLQRRTMSSSTPLPVSEKLQSGDSSRRPDNPSLVVNMMSMEDPSPSPRIHETNLPTVYNHTKVSADTEVKVPCRTDCGSVSPSASNPVLRLMGKNLLVVNKDESISPQEKPSQKNFMTEANMQFSGAPGIHCGNFQSGDPQNSHPSSDPQGSFVSHSTRILDVSLSSGYKSHGSYSTTPQRLHSSPMFSGKSVGGSDLMGSFGRHESVGGGYTLITEQIGVGNKLDGQIRYDPKTPLSNSVAHSQNAGSAIREIIVINDSPESEADTVVPDASNSKGMIEGRRYPVSIPIPSGYNPNYADLYGYKAPHAPHESSSYTGSGVVRNANFAAATAARGVNAAAIPVQWNRCPESSSTVHTRSLTSPPDTTRHLGSSVYYTPRFS >itb03g15900.t1 pep chromosome:ASM357664v1:3:15097029:15098146:-1 gene:itb03g15900 transcript:itb03g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPRLPERRYSSNPNESATGISKDFFLPRQTRNTEKGAGGKRPKDPSFQTSFCVFFSPLLHHSKNQRPLNHSSHH >itb06g24970.t2 pep chromosome:ASM357664v1:6:26293632:26295993:1 gene:itb06g24970 transcript:itb06g24970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47470) UniProtKB/TrEMBL;Acc:A0A178VEE6] MATVTQASAAVFRPCASKTRFLTGSSGKLNREVSFRASTSSSNVSFKIEAKKGEWLPGLPSPAYLDGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTQIGIINVPKWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKNYSLPPNECGYPGGIFNPLNFAPTQEAKEKELANGT >itb06g24970.t1 pep chromosome:ASM357664v1:6:26293632:26295993:1 gene:itb06g24970 transcript:itb06g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47470) UniProtKB/TrEMBL;Acc:A0A178VEE6] MATVTQASAAVFRPCASKTRFLTGSSGKLNREVSFRASTSSSNVSFKIEAKKGEWLPGLPSPAYLDGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTQIGIINVPKWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKNYSLPPNECGYPGGIFNPLNFAPTQEAKEKELANGRLAMLAFLGFIVQHNVTGKGPFDNLLQHLSDPWHNTIVQTLGQ >itb09g24550.t1 pep chromosome:ASM357664v1:9:24315159:24320099:1 gene:itb09g24550 transcript:itb09g24550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIAESSDENSKAPPPSVAPPLPQQRGNYSDNLETLAQAAPWIDDALQQARQAQQTIETGIESAIAVTKSRLDRILTTSSAHFNQTLDSLQDVKAEYHVYEDLTFGKIKEGISIAASHPLITIGAFLSLGFLGLKRPRRFLYYKARSIFVSEEAMLSKADAKVKELKKSIDFLKAESEKWEKNAVQAEQELIRGKTKLRQAGKQIRSVIRSAYKIERQAAGLKDVLKELPRREASLFRSQVSNLASEAKKERNFLTKEVTKISNHGISV >itb02g20120.t1 pep chromosome:ASM357664v1:2:17807070:17808370:-1 gene:itb02g20120 transcript:itb02g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPFFLLFTVFFFIKSNAAYEDVTVLFGENHCHPPSPCKKGVEIKYPFWRLDDSSSTVCGYPGFGIDCSNPDPDFPLLYLSDDSFLVKEINYDAFSVTLADADAYNKECPRARHNFTLTQKSPLLYVHKDLNLTFYFNCTKNPLPAAAGGAAYPIDCLNKSDRKASYLYVGALNPYNWDWLGICEAKVETTVMETAGVVENDIEWLVKNIGGAMSNGFMLHWQPLDDCGHCDIPEGWCEQDNHYFRKFLCFCENGNVIRDCPSKGNGGMEESDFHAGSMKLICGFCVMGIVGLLKHKNKNT >itb02g04640.t1 pep chromosome:ASM357664v1:2:2762929:2766426:1 gene:itb02g04640 transcript:itb02g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIPPHKRHSESSPAPESLLIPQFQRNLNLRDRKSTGRSDRKGKDSGTGSKIIYADSAISKWFSVGLLDSDNEDGSSSFSALTSLEPVSVEFLERKFGEKPLALVLKDAGERETVFVDKPWVCVAENVKRDLLSSFRRVKSEMVDNVREEVKPSLVARVGRILFHGNPSSLECVRRNLLTESSLRTLKKSFYTNVPPTYMEHVTDKIVQEIGLYFEEDKEIYHVKISDNFRPDSTISCKCAVGQDKKSIELYKIELNQVRHMVEDISCLGKSLDLRLMLCTKRIMIALTDDEIDGIKALISSAVLDSDVKGGLRWPLGKNSSGDRYSIVGVWHTTAKTYRSSSIRLKVRHADRFDFRTSSGEVAREVTLKLHGIVSQIQDETCENDPVFQMLGDNIKLIWDHFLSSGSCI >itb02g04640.t2 pep chromosome:ASM357664v1:2:2762896:2766426:1 gene:itb02g04640 transcript:itb02g04640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIPPHKRHSESSPAPESLLIPQFQRNLNLRDRKSTGRSDRKGKDSGTGSKIIYADSAISKWFSVGLLDSDNEDGSSSFSALTSLEPVSVEFLERKFGEKPLALVLKEDAGERETVFVDKPWVCVAENVKRDLLSSFRRVKSEMVDNVREEVKPSLVARVGRILFHGNPSSLECVRRNLLTESSLRTLKKSFYTNVPPTYMEHVTDKIVQEIGLYFEEDKEIYHVKISDNFRPDSTISCKCAVGQDKKSIELYKIELNQVRHMVEDISCLGKSLDLRLMLCTKRIMIALTDDEIDGIKALISSAVLDSDVKGGLRWPLGKNSSGDRYSIVGVWHTTAKTYRSSSIRLKVRHADRFDFRTSSGEVAREVTLKLHGIVSQIQDETCENDPVFQMLGDNIKLIWDHFLSSGSCI >itb04g23000.t1 pep chromosome:ASM357664v1:4:28217567:28220024:1 gene:itb04g23000 transcript:itb04g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADEAKTLLGFPPNCRPSPSQVKAAYRRKVWETHPDRFPAHEKSNAECKFKMICEAYSCLCSGARVQSSHTDSYSYVVRTGVPRTYGGRRNQRLVGFPFLFIIVGTVALAGSNVARAYRKEKQAYPSHNPFLP >itb10g18510.t1 pep chromosome:ASM357664v1:10:24489362:24489781:-1 gene:itb10g18510 transcript:itb10g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVRILLLLLGFCCLVISQKASSVNGFESFICNGQEYPSNSNFETSLNYVLAKLQLLTPGTQNFNRYENSPDGAVYGHAVCHYQSTPTDCITCLHGAADRLLEACPRRIGGQIFFGLATICYTRYEAYPFTDPSEVIS >itb07g03510.t2 pep chromosome:ASM357664v1:7:2346622:2349042:-1 gene:itb07g03510 transcript:itb07g03510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEITQGGSAKNRFGKEEQSRLQCFSRSRDLALGDSLPFQSLDQSWRRLSRSRAWDEDRRRVVIQLADENLSRSNNSREGVTEMETVLSESNRNSVISMEEKAGDWKSQSDRFFSSVTLGLGEFRSKAFDSKSGDVMTGNPGSIVHRMEPGGADYNYASASRGAKVLAYNKEAKGASSVLCRDKDEYLRNPCSAAEKFVVIELSEETLVDTVEIANFEHYSSNPKEIELLGSRVYPADSWVKLGSFTAANVKHAQRFVLPDPKWARYLKLNILSHYGSEFYCTLSLLEVYGVDAVEKMLEELVSVPAKLFSSDQKSSTHKSSSSQKAEGEPCQNADGDDLQTGLAVETSVAKPVTTVAVSDPPAGEIRGLHVSRMPGDSVYKILMKKIKSLDINLSFLERYLEELNSRYGKVFKEIDKDLEEGNFLMDKILLEMRGLLESKETINKEVNNLVSWKSFASMQLEHLIRDNDNLRMKMEEVWQNQFLEFFCQGLFLFLVFPATQL >itb07g03510.t1 pep chromosome:ASM357664v1:7:2347356:2349042:-1 gene:itb07g03510 transcript:itb07g03510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEITQGGSAKNRFGKEEQSRLQCFSRSRDLALGDSLPFQSLDQSWRRLSRSRAWDEDRRRVVIQLADENLSRSNNSREGVTEMETVLSESNRNSVISMEEKAGDWKSQSDRFFSSVTLGLGEFRSKAFDSKSGDVMTGNPGSIVHRMEPGGADYNYASASRGAKVLAYNKEAKGASSVLCRDKDEYLRNPCSAAEKFVVIELSEETLVDTVEIANFEHYSSNPKEIELLGSRVYPADSWVKLGSFTAANVKHAQRFVLPDPKWARYLKLNILSHYGSEFYCTLSLLEVYGVDAVEKMLEELVSVPAKLFSSDQKSSTHKSSSSQKAEGEPCQNADGDDLQTGLAVETSVAKPVTTVAVSDPPAGEIRGLHVSRMPGDSVYKILMKKIKSLDINLSFLERYLEELNSRYGKVFKEIDKDLEEGNFLMDKILLEMRGLLESKETINKEVNNLVSWKSFASMQLEHLIRDNDNLRWVSYLRSSTLYFKTK >itb11g03300.t1 pep chromosome:ASM357664v1:11:1715885:1717159:1 gene:itb11g03300 transcript:itb11g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKMKLSESALAFLPKEILVQILSKLPAKSLVRFKCVSKFFCSLIVDHPFADLHRNWSLTLPSRISILISLPFDLEDARFHCSEENQGTLARFHYTINYSEESQGTLDANRLLYMDREIVAFDVRAENFQAIPPPPPSTMPGLVEGPPGVGSGRSGLVEVDGRLAIVDLFISANRDNLWRYGMAIWIFEESMVWKNQYLIFIPIPFEEIDHHNKRVLFVATNHVGEIAVLVKHNISVSILFYNFRRQSWRKFDICKLPMEPSMYPAMYFILDNVF >itb05g20100.t3 pep chromosome:ASM357664v1:5:26308987:26310611:-1 gene:itb05g20100 transcript:itb05g20100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPMISCSEDVISSQVLKVSDIESSFEKEQLLSDVFYGCESDLLSKGVETPPSSDALDAKIPITKISEEMAAEKNFVSQGSFQKSVSSESLSSMELVQGAGAPLRPNFLDFSGMDFSAAYGMRRSFSEGDIKTLGHGSVSLTQQIIGSSISEDRKEKLSRYRNKRTKRNFGRKIKYACRKALADSQPRIRGRFAKTEEIDASKKHLQLVNSLLARRM >itb05g20100.t1 pep chromosome:ASM357664v1:5:26308969:26311700:-1 gene:itb05g20100 transcript:itb05g20100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADNGLFFPYYHNLPQEAQLFEEFSCSQRPNASMMTSAVSEYDLGGEGDLFKAPEPIIEEPFVDLDPMAAAMPMISCSEDVISSQVLKVSDIESSFEKEQLLSDVFYGCESDLLSKGVETPPSSDALDAKIPITKISEEMAAEKNFVSQGSFQKSVSSESLSSMELVQGAGAPLRPNFLDFSGMDFSAAYGMRRSFSEGDIKTLGHGSVSLTQQIIGSSISEDRKEKLSRYRNKRTKRNFGRKIKYACRKALADSQPRIRGRFAKTEEIDASKKHLQLVNSLLARRM >itb05g20100.t2 pep chromosome:ASM357664v1:5:26309264:26311700:-1 gene:itb05g20100 transcript:itb05g20100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADNGLFFPYYHNLPQEAQLFEEFSCSQRPNASMMTSAVSEYDLGGEGDLFKAPEPIIEEPFVDLDPMAAAMPMISCSEDVISSQVLKVSDIESSFEKEQLLSDVFYGCESDLLSKGVETPPSSDALDAKIPITKISEEMAAEKNFVSQGSFQKSVSSESLSSMELVQGAGAPLRPNFLDFSGMDFSAAYGMRRSFSEGDIKTLGHGSVSLTQQIIGSSISEDRKEKLSRYRNKRTKRNFGRKIKVTSIRGRFSFY >itb13g00040.t1 pep chromosome:ASM357664v1:13:68814:74218:-1 gene:itb13g00040 transcript:itb13g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNTHPKTLEVIDLEADHNDDALQVVRGLIFPPTRPINNPSHKQSIRANQANNLISIFLGVAEIWVLIVFSDRKNFRREPTGDRCRGAPSLTPSAAESPPPARPHRPHVVTADSEHATVDPPTSNRSTAAPLLPTACSAPPWRTAPHPPSSTVGVRRTPVVSEEGQRVCRGVVWAVGHHCRRGSLRITSMFANWFLPLVSDAGSARRSNFQNIDILVHCISFLGNLRIDPKRRLIISEGNNRFLASRRPVIGRFASPVSDHLDGSSSYQERFRVGTSVQASEFARQRLQKYRKSSRPSFSSGSVILA >itb12g19690.t1 pep chromosome:ASM357664v1:12:22092836:22099548:1 gene:itb12g19690 transcript:itb12g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYFFPPASDSHRRTTRRKTSFRKRCLTMTKQYKTSFEIVEFEDFCFLSQKKMVELDESMFDMHLKLWALRIPRQHCKIATRIFNGYMINRPRIKPIAEDPTSEKNRYVILSENIQNPDLSEVPAQKLAELKGLCEIEVVPYSLTLGYSYWSADHILKQILPPGLEVPSSFETIVKYFIMTFVGHIAHLNITDELIPYKDLIAKVIYDKNYPRIQTVVNKVGSITNEFRVPKFEVLAGKDDMVTEVKQYGSTFKLDYRLVYWNSRLEHEHLRLVSQFKSGEIICDMFAGIGPFAIPAAQKGCRVYANDLNPDSVQYLKINAEINKVNDLVFAYNMDARKFVSLLMAVPSNEGNFESDVQLKSSRPFDNQANGGLMPGETTVSDEMKEMPDKISRNTVSVDGHEGSSVTVDVNVTAAKRCSESSLEGNDTVDDSSASLNGARKRGSNKRMRISEPSVANPWEHVDHVIMNLPASALQFLDAFRGLIERRYWKGSLPWIHCYCFMRSTETKEYVISEAETALNAKILDPIFHRVRDVAPNKAMFCLSFRLPKETCKDHEVDHSTLHSSGES >itb10g07160.t1 pep chromosome:ASM357664v1:10:8462164:8467520:-1 gene:itb10g07160 transcript:itb10g07160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFEPSSSISFTSSSQTSNGSIGQIVPPSGGSSLEIISLSKLSNSLEQLLSDTTSDFSDADIVVEGTTVGVHRCILAARSKFFHVLFRKEKEGVGKEGKPRYCMEDLLPYGEVGYEAFLTFLSYLYTGKLKPSPPEVSTCVDTICAHDSCRPAINFVVEMMFASSVFQVPELLSLFQRRLLNFVGRAVVEDVIPILVVAFHCQSNQLLNECIDRVARSDLESIFIEKEVPFEVAERIKLQRVKSQADENKAMPLDPLREKRIRRIYKALDSDDVELVNLLLTESDVTLDEAYALHYAIAHCDPKIVTEVLSLGLADVNLRNPRGYTVLHIAAMRKEPSIIVSLLTKGACVLESTWDGQSAVRICRRLTRPKDYHAKTEQGQEANKDRICIDVLEREMLRKPMAGDGLSSSPMMADDLHMKLLYLENRVAFARLLFPLEAKLAMEIANAEMTAEFAGFLASKGSNGNLREVDLNETPIMQKNRLLSRLEALSKTVELGRRYFPNCSLVLDKFMEDDLPDLFYLEKGTSEEQKIKRKRFKELKDDVQRAFNKDKAENRCSGLSSSSSCNSLKDHMSYKFRKM >itb15g03690.t5 pep chromosome:ASM357664v1:15:2311637:2319754:1 gene:itb15g03690 transcript:itb15g03690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSGVVSRQVLPACGTLCFFCPAMRARSRQPVKRYKKLISDIFPKSQEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKAFPEALFHQLIPAMVHPDHETRIGAHRIFSVVLVPSSVCPDKGSDVVIVQKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQGENLFLAQNLPVQCAAECQQHPDSLMLPALSPFDTFLRAAGC >itb15g03690.t3 pep chromosome:ASM357664v1:15:2311483:2319844:1 gene:itb15g03690 transcript:itb15g03690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPLFLLPGNESEVKAACEKEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKAFPEALFHQLIPAMVHPDHETRIGAHRIFSVVLVPSSVCPDKGSDVVIVQKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQVDNGFRFSQ >itb15g03690.t7 pep chromosome:ASM357664v1:15:2311637:2319754:1 gene:itb15g03690 transcript:itb15g03690.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSGVVSRQVLPACGTLCFFCPAMRARSRQPVKRYKKLISDIFPKSQEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQVDNGFRFSQ >itb15g03690.t2 pep chromosome:ASM357664v1:15:2311483:2319844:1 gene:itb15g03690 transcript:itb15g03690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSGVVSRQVLPACGTLCFFCPAMRARSRQPVKRYKKLISDIFPKSQEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKAFPEALFHQLIPAMVHPDHETRIGAHRIFSVVLVPSSVCPDKGSDVVIVQKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQGENLFLAQNLPVQCAAECQQHPDSLMLPALSPFDTFLRAAGC >itb15g03690.t1 pep chromosome:ASM357664v1:15:2311483:2319844:1 gene:itb15g03690 transcript:itb15g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYPLFLLPGNESEVKAACEKEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKAFPEALFHQLIPAMVHPDHETRIGAHRIFSVVLVPSSVCPDKGSDVVIVQKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQGENLFLAQNLPVQCAAECQQHPDSLMLPALSPFDTFLRAAGC >itb15g03690.t6 pep chromosome:ASM357664v1:15:2311637:2319754:1 gene:itb15g03690 transcript:itb15g03690.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSGVVSRQVLPACGTLCFFCPAMRARSRQPVKRYKKLISDIFPKSQEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQGENLFLAQNLPVQCAAECQQHPDSLMLPALSPFDTFLRAAGC >itb15g03690.t4 pep chromosome:ASM357664v1:15:2311483:2319844:1 gene:itb15g03690 transcript:itb15g03690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSGVVSRQVLPACGTLCFFCPAMRARSRQPVKRYKKLISDIFPKSQEEEPNDRKIGKLCEYAAKNPLRIPKITASLEQRCYKELRNGNFQSVKVVLCIYTKLIVSCKEQMPLFSSSVLSIINALLDQTQQDDILIIGCQSIFDFVSNQKDGTYMFNFEGFIPKLCPLAQEMGEDDRAIHIRAAALQALFALVRFMGEQSHISVEFDNIVSVVLENYERQSKESQDPDHNKGVEGESKIEGQTLPENITRSMLENDKGELNISVEDAKNPFFWSRMSLYIMARLGNEATTMRRVLESLFRYFDNENSWPMEYGVAFPVLKDMQHIMDDSGENTHFLLSTLIKHLNHKNVVKQPEMQLDIVKVATSLAQYTKIKNSMALIRSISDVMWHLHKSMHHSLDDAHLGAEVIECNKNFQEAVDECLVELCNKIGDAGPILDVMAVMLENMSSITIVARTVMAAVYRTAQIVAPLPNLSCQKKAFPEALFHQLIPAMVHPDHETRIGAHRIFSVVLVPSSVCPDKGSDVVIVQKGVGLPRTLSRNVSVFSSSAALFGKLGNQRFPSENVNHENKEKPFAEEDEKNNNSGMLNRIKSTYSRAYSTKGSPAPTEESTDKPSKRPDVGSLRLTNHQIRLLLSSIWVQSTSPENLPANYEAIAHTYSLVLLFSLAKNSYREAMVLSFRLAFSLRKVPVAEGGALSPSRRRSLFVLATSMIIFAANAYNIPSLITRAKAMLGDKTGDPYLRLVDDSKLQAVETGSGSGKVTYGSKEDDDSALMCLSEIEIKNEQSTASLVSIIIESLDNLSNTEVSTIRKALLKDFSPEDLSLVEAQLFKDAKPSYEQSGSNDNKSMEEPLFPIDDDVLDSIESAFDQSPLLAIEIPDLLSVNQILESVLETAAQVRGASVNAQTDFSFNEMANRCEAHLAGKKEKTVNLMSIQVDNGFRFSQ >itb01g30050.t1 pep chromosome:ASM357664v1:1:34161125:34162849:1 gene:itb01g30050 transcript:itb01g30050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSSSFLFFKHKIPPKFCWKVKMDLKLELSLKGDADELKFQLAKDHAGPRFQSKETDTMFILTAQIRGYMREQIKIDINKDGTVITIGGEKSTEEVMVMVGWKVYKKGIEIMKFRKAFRIPDGVILDNIRANFNEDEYMLSILMPKKVKGIVGIGIEEMVEPELVLQDPETLPATSKKILKRVTFKEESIRDPKQKIAEENGVLSAEENQEQESGAVKKSLEERDVADNKMTHNADLPSLASDEDRQVQEQRTTHEIPQGDDKMSGEMKQTNQETPKPECNQICDQVESSLQKDQDQENVDQFHHEKESGNQEKEEESALEKTEAQEGEQAKTEEPQKKSNIFVPLVAGGSAMLVSLVVFAIHATKTKNQQDKRKD >itb01g30050.t2 pep chromosome:ASM357664v1:1:34161545:34162849:1 gene:itb01g30050 transcript:itb01g30050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQIKIDINKDGTVITIGGEKSTEEVMVMVGWKVYKKGIEIMKFRKAFRIPDGVILDNIRANFNEDEYMLSILMPKKVKGIVGIGIEEMVEPELVLQDPETLPATSKKILKRVTFKEESIRDPKQKIAEENGVLSAEENQEQESGAVKKSLEERDVADNKMTHNADLPSLASDEDRQVQEQRTTHEIPQGDDKMSGEMKQTNQETPKPECNQICDQVESSLQKDQDQENVDQFHHEKESGNQEKEEESALEKTEAQEGEQAKTEEPQKKSNIFVPLVAGGSAMLVSLVVFAIHATKTKNQQDKRKD >itb04g22770.t2 pep chromosome:ASM357664v1:4:28044245:28054369:-1 gene:itb04g22770 transcript:itb04g22770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTANSITDPMETSLDSLAAGESEQISGTSLFHRRIEFHLARKPFNGFGNGSGGFRLETLNPSSNSASVPGKAPGPGNPPSNKGSGNDGLDFDPELSLNMTFRKIMRIGAGLENLGNTCFLNAVLQCLTYTEPLAAYLESGKHQHSCRKAGFCALCAIQRHVSRALQAPGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPLGVPSESPGAYEKSLVHRIFGGRLRSRVKCMQCSYCSDKYDPFLDLSLEIIKADSLYKALEHFTAPELLDGGEKQYSCQQCKQKVRARKQLSVDKAPHVLTIHLKRFGSHLPGQKIDKKIHYGPVLDLNRFMSSPHVFQVNERKVLEQKAYMLFYVRDRKSTLPKRHVDVAHKDSSLINGVGIKEHKCGSTKEASITVGICSPLTATLDVRMKDVNATMPVLSKWNSIVDKDSSGSIVLPLSCNEDAINEKDSSDNSSHKPNDDSILKKSLARCSKGQSISGKVLELSEQKLKEAGQLKSNQECGDDTKIHGFSKGNGNETAGYVTSEIGSDILVTLSDPSRRTSKTIPLKANGKTNLKVRKKPYKSHITSMHLSSLIFGTALYVRRKRKQKLRKHCISDINNRSLEYLNGEHALPSSLGPTSEQAKVLTTKLSPHKKRLTCVSDVEVYNSGVKGQLDTGHLQGRMVNEVPKERAGNVETVVMTDKQLAKITSSTSLGNKFDVGETIGLKDLKQSGLMNMLTRGLEETTVVSWDCKQISPLDDVTESGNECVKIGYIGDEWDEHYDQGKRKRVRSSKLNFGGPNPFQEIASKKAKLKRAKLANQPISI >itb04g22770.t3 pep chromosome:ASM357664v1:4:28044245:28054369:-1 gene:itb04g22770 transcript:itb04g22770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTANSITDPMETSLDSLAAGESEQISGTSLFHRRIEFHLARKPFNGFGNGSGGFRLETLNPSSNSASVPGKAPGPGNPPSNKGSGNDGLDFDPELSLNMTFRKIMRIGAGLENLGNTCFLNAVLQCLTYTEPLAAYLESGKHQHSCRKAGFCALCAIQRHVSRALQAPGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPLGVPSESPGAYEKSLVHRIFGGRLRSRVKCMQCSYCSDKYDPFLDLSLEIIKADSLYKALEHFTAPELLDGGEKQYSCQQCKQKVRARKQLSVDKAPHVLTIHLKRFGSHLPGQKIDKKIHYGPVLDLNRFMSSPHTSDLKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNQVFQVNERKVLEQKAYMLFYVRDRKSTLPKRHVDVAHKDSSLINGVGIKEHKCGSTKEASITVGICSPLTATLGNVSDGTHNICRVPTAMTRPIGCDTDVRMKDVNATMPVLSKWNSIVDKDSSGSIVLPLSCNEDAINEKDSSDNSSHKPNDDSILKKSLARCSKGQSISGKVLELSEQKLKEAGQLKSNQECGDDTKIHGFSKGNGNETAGYVTSEIGSDILVTLSDPSRRTSKTIPLKANGKTNLKVRKKPYKSHITSMHLSSLIFGTALYVRRKRKQKLRKHCISDINNRSLEYLNGEHALPSSLGPTSEQAKVLTTKLSPHKKRLTCVSDVEVYNSGVKGQLDTGHLQGRMVNEVPKERAGNVETVVMTDKQLAKITSSTSLGNKFDVGETIGLKDLKQSGLMNMLTRGLEETTVVSWDCKQISPLDDVTESGNECVKIGYIGDEWDEHYDQGKRKRVRSSKLNFGGPNPFQEIASKKAKLKRAKLANQPISI >itb04g22770.t4 pep chromosome:ASM357664v1:4:28044245:28054369:-1 gene:itb04g22770 transcript:itb04g22770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTANSITDPMETSLDSLAAGESEQISGTSLFHRRIEFHLARKPFNGFGNGSGGFRLETLNPSSNSASVPGKAPGPGNPPSNKGSGNDGLDFDPELSLNMTFRKIMRIGAGLENLGNTCFLNAVLQCLTYTEPLAAYLESGKHQHSCRKAGFCALCAIQRHVSRALQAPGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPLGVPSESPGAYEKSLVHRIFGGRLRSRVKCMQCSYCSDKYDPFLDLSLEIIKADSLYKALEHFTAPELLDGGEKQYSCQQCKQKVRARKQLSVDKAPHVLTIHLKRFGSHLPGQKIDKKIHYGPVLDLNRFMSSPHVFQVNERKVLEQKAYMLFYVRDRKSTLPKRHVDVAHKDSSLINGVGIKEHKCGSTKEASITVGICSPLTATLGNVSDGTHNICRVPTAMTRPIGCDTDVRMKDVNATMPVLSKWNSIVDKDSSGSIVLPLSCNEDAINEKDSSDNSSHKPNDDSILKKSLARCSKGQSISGKVLELSEQKLKEAGQLKSNQECGDDTKIHGFSKGNGNETAGYVTSEIGSDILVTLSDPSRRTSKTIPLKANGKTNLKVRKKPYKSHITSMHLSSLIFGTALYVRRKRKQKLRKHCISDINNRSLEYLNGEHALPSSLGPTSEQAKVLTTKLSPHKKRLTCVSDVEVYNSGVKGQLDTGHLQGRMVNEVPKERAGNVETVVMTDKQLAKITSSTSLGNKFDVGETIGLKDLKQSGLMNMLTRGLEETTVVSWDCKQISPLDDVTESGNECVKIGYIGDEWDEHYDQGKRKRVRSSKLNFGGPNPFQEIASKKAKLKRAKLANQPISI >itb04g22770.t1 pep chromosome:ASM357664v1:4:28044245:28054369:-1 gene:itb04g22770 transcript:itb04g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTANSITDPMETSLDSLAAGESEQISGTSLFHRRIEFHLARKPFNGFGNGSGGFRLETLNPSSNSASVPGKAPGPGNPPSNKGSGNDGLDFDPELSLNMTFRKIMRIGAGLENLGNTCFLNAVLQCLTYTEPLAAYLESGKHQHSCRKAGFCALCAIQRHVSRALQAPGRILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPLGVPSESPGAYEKSLVHRIFGGRLRSRVKCMQCSYCSDKYDPFLDLSLEIIKADSLYKALEHFTAPELLDGGEKQYSCQQCKQKVRARKQLSVDKAPHVLTIHLKRFGSHLPGQKIDKKIHYGPVLDLNRFMSSPHTSDLKYTLYGVLVHAGWSTHSGHYYCFVRTSSGMWYSLDDNQVFQVNERKVLEQKAYMLFYVRDRKSTLPKRHVDVAHKDSSLINGVGIKEHKCGSTKEASITVGICSPLTATLDVRMKDVNATMPVLSKWNSIVDKDSSGSIVLPLSCNEDAINEKDSSDNSSHKPNDDSILKKSLARCSKGQSISGKVLELSEQKLKEAGQLKSNQECGDDTKIHGFSKGNGNETAGYVTSEIGSDILVTLSDPSRRTSKTIPLKANGKTNLKVRKKPYKSHITSMHLSSLIFGTALYVRRKRKQKLRKHCISDINNRSLEYLNGEHALPSSLGPTSEQAKVLTTKLSPHKKRLTCVSDVEVYNSGVKGQLDTGHLQGRMVNEVPKERAGNVETVVMTDKQLAKITSSTSLGNKFDVGETIGLKDLKQSGLMNMLTRGLEETTVVSWDCKQISPLDDVTESGNECVKIGYIGDEWDEHYDQGKRKRVRSSKLNFGGPNPFQEIASKKAKLKRAKLANQPISI >itb05g09180.t1 pep chromosome:ASM357664v1:5:13312293:13328559:-1 gene:itb05g09180 transcript:itb05g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVYMELMCLHWFYAIALVLSNGTKESITKLVSDLNSAKLESDVVEYETPKNALNQPSRRTATARQVAPPPPHGAAAAIACHYHRVVAPRQRTATARQVAPPPSHGAAAATARHCQGHRVVTPLRHRNTTIPHASPATTRFHRLPLPPSAAWCPNHQRHNPPFICDLKNLTHLDLNNNNIPGSFPTFLYNCSNLEYLDLSFNNLSGIIPDDISLLFPRLQVLKLSSNWFVGGVPAGIEGLKGLKELQLAGLFTNGSFAPEIGNLLNLEVLVLSQNSFSPQEIPPSFTQLKKLRHLWMKEANLIGKIPENISSMEALEYLDLCKNDLSGNIPSDFFLLKNLTTVFLYTNRLSGPVPRPVMALNLNVIDFSNNSLTGSIPEDIGKMVKLENLALFMNQLSGKIPVGIGRLPALSSIELFMNNLSGELPPDLGRFSKLKVFDVSKNHLTGSLPDGLCYNKVLYGIYAFDNNLTGELPKSLEDCNTMSGVRVERNNLSGTIPDGLWTIGPLTELLINNNQFTGQLPQKVASNLSLVDISNNRFSGEISEGLWTAENLEVLQINNNQFTAWCPNHQRHNPPFICDLKNLTHLDLNNNNIPGSFPTFLYNCSNLEYLDLSFNNLSGIIPDDISLLFPRLQVLKLSSNWFVGGVPAGIEGLKGLKELQLAGLFTNGSFAPEIGNLLNLEVLVLSQNSFSPQEIPPSFTQLKKLRHLWMKEANLIGKIPENISSMEALEYLDLCKNDLSGNIPSDFFLLKNLTTVFLYTNRLSGPVPRPVMALNLNVIDFSNNSLTGSIPEDIGKMVKLENLALFMNQLSGKIPVGIGRLPALSSIELFMNNLSGELPPDLGRFSKLKVFDVSKNHLTGSLPDGLCYNKVLYGIYAFDNNLTGELPKSLEDCNTMSGVRVERNNLSGTIPDGLWTIGPLTELLINNNQFTGQLPQKVASNLSLVDISNNRFSGEISEGLWTAENLEVLQINNNQFTGQLPQKMASNLYIVDISTQKMASNLYIVDISNNRFSGEIPDGVWTSGQLKWLFIKNNQFTGQLKWLFIKNNQFTGQLPKMFIKNNQFTGQLPKNITSNLSILDISNNQFFGEIPPAISSWSNLYFFSASNNLLTGQIPHELTALRSLSVLRLDGNKLSGNFPSNIISWESLSTLTCSRNQLSEDNMVGSGGSGKVYVAALRTGEKVAVKSIRNNYKLCEKEFQAEVKILGTIRHSNIVKLWCCISCEESNLLVYEYMENRSLDLWLHAKKRSHGQFLDWPTRLKIAIGTAQGLSYMHHNCSPPIVHRDVKSSNVLLDSEFNAKIADFGLARMLMKHGDPNTMSTFAGTCGYIAPEYVKTRRVNEKIDVYSFGVILLELVTGREPNDGDMDCCLADWARYYVVEENPIEDALDEEIKEAENIDVMCGVFKLGIFCTGKTPAQRPTMREALRILQHPSPLSPYGKERSVSERDVLPLIKCSSSEGILEDEDHCL >itb01g11420.t1 pep chromosome:ASM357664v1:1:10283768:10289491:-1 gene:itb01g11420 transcript:itb01g11420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLLAANKKKRGNTHTYFTQRSLFAASWGCIGHGFDWGYNGCTWNSCVVNEDFYRVNAKGNGVNYERKLKRMGSRFTRVAVWHSPLSHPVIMGASGSEGFGSIYPTPIAARFPAVDSFPNRRKRLKTNEAPVNQIPSLCWREELEERLLKRSSGILDYSDPYSIRSSGILDYSDPYSMSNLWGSLECGKYGSVTKEIKELMAQSRRCIDSCYARDPTLPYKFLELEKNHTTEYKGDQSATAVIDLEDEHVARNVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTLQGVLSINTVGGSYLKDHLLQDSPGTKTPKGSANLAFQRTKTPKGSANLAFQSEKIKDKGVYVGVDDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTADASSNKDKAEDEDEECEHSFILKEDIGYVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKPSHEFELAEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCIMAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSVLFLGYKQFSVIVCDNEASRAAVACQEILLTVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAEISSKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLEELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYVHPQLKSLSKTSVKERIDEEKIDMIVDNLELREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCLGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLVASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVGLYKR >itb01g11420.t2 pep chromosome:ASM357664v1:1:10284096:10289491:-1 gene:itb01g11420 transcript:itb01g11420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLLAANKKKRGNTHTYFTQRSLFAASWGCIGHGFDWGYNGCTWNSCVVNEDFYRVNAKGNGVNYERKLKRMGSRFTRVAVWHSPLSHPVIMGASGSEGFGSIYPTPIAARFPAVDSFPNRRKRLKTNEAPVNQIPSLCWREELEERLLKRSSGILDYSDPYSIRSSGILDYSDPYSMSNLWGSLECGKYGSVTKEIKELMAQSRRCIDSCYARDPTLPYKFLELEKNHTTEYKGDQSATAVIDLEDEHVARNVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTLQGVLSINTVGGSYLKDHLDSPGTKTPKGSANLAFQRTKTPKGSANLAFQSEKIKDKGVYVGVDDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTADASSNKDKAEDEDEEYNRAQQLEVLKQWAGERSVLFLGYKQFSVIVCDNEASRAAVACQEILLTVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAEISSKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLEELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYVHPQLKSLSKTSVKERIDEEKIDMIVDNLELREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCLGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLVASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVGLYKR >itb12g08480.t1 pep chromosome:ASM357664v1:12:6680308:6685303:-1 gene:itb12g08480 transcript:itb12g08480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSLVISFHVLHKNFAASENSFPQNTDPNTTYLVRVGRTSSNWNSGNSNLQHHHASDKKYCKSSLRGDGGFQGEGGDGGGQREGAHSGGAQPALRRVQNVIGARRASWRIISSIEQKEEIRGNEDHVASIKEYRSKIEAELTSICKGILNLLDSTLIDSASSSDSKIFYLKMKGDYHRYLAELPEPIGKRPRRTLSPLTKRLRYDFDSFDYILNLCKCRCRISHCFQNAFDEAIAELDTLGEESYKDSTLLDTLGEESYKDSTLIMQLLRVNLTLLTANSESSNSKQQPPPP >itb06g10220.t1 pep chromosome:ASM357664v1:6:14546491:14550051:1 gene:itb06g10220 transcript:itb06g10220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNEVVRPVTSFSPSLWGDEFRYFVFDNEVAERYAQEIEVLKGQVMSMLVSIRSAKLAEKLNFIDTIERLGISYHFHEMIDEMLENIYHVNPNFQLGDDLCTSALLFRLLRQHGYNISPGIFHNFQENGKFKSTFSNDVKGLLNLYEASHVKGHNDDNLKEAYTFSKTYLDVIGPQLSSTLGKQVRHALDQSLHKGIPRVESSYFITVYQEDESKNDVLLRFAKLDFNLLQMHHKQELCEHMRWWKELDFVTTLPYARDRAVEYLFEKYDKELSEEGRSFAVHYTKERVKELVSSYNIEVKWSTERCIPPVAEYLKNGLLSSTLYLLITASFLGMKSVTREAFEWSSQNPRIIEANALLGRVINDIASYEREKCNRVNATGIDYYMNDYGVTAEEAMDKFQEMVENAWKDTNEDILQPIPSAVSTDILIRILNFARIDDVVYKNKQDGYTNPEKVLKPCIFSLLVNSFEI >itb12g25130.t1 pep chromosome:ASM357664v1:12:26413825:26417845:1 gene:itb12g25130 transcript:itb12g25130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVELKPGKPYTHRFNGDRGRLHVSQATLGTGSSNKKSIVQCVVGDKKPIYLCNLLPERLETCALNLEFEEEDEVTFSVKGHHHVHLSGFFLGESQDDDGDEYEFDPYEGGFVEGDSDEDDSIDYDFEGEDDEDDSDDDLDMYPHSPVPNSGVKIEEIVEDKKPADESDLSKRPKKKKNQPSKGHENSDKQIVVKSNNSVPVLESEDEDGFPISTSPKSNSDAVTNKLKSQENEDHETDQKVQKGKRKIDAVDQTSGSENAKQKKKNKKKMVPDREDADLDDKEHAPAAETENNEKPTNEVETEKKKKKKNKKKQDSGAAIDVQQSGGKEIKSPMAVEEKADIKPSQVRTYPNGLIIEELSMGKPDGKRASGGKKVSVRYIGKLKKNGKIFDSTVGKAPFKFRLGIGQVIKGWDVGVEGMRVGDKRRLTIPPAMGYGAKGAGGVIPPNAWLVFDVELVDVN >itb05g18050.t1 pep chromosome:ASM357664v1:5:24872883:24874366:-1 gene:itb05g18050 transcript:itb05g18050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAGKQRRGRERRVAGSTSSPEKRSDAAACYRSPLVCSSRGRDRVAGWCSPPDCHGRHFASSTTPVLLSVTVASEDREIEVRSCCFILRSTSERERRERHRPFLAEHVLIVIFVAFHFPLLQLFINEILKKVDRLSEMACHATPDSLGIKLFNVTTVVST >itb03g28390.t1 pep chromosome:ASM357664v1:3:29168224:29170734:1 gene:itb03g28390 transcript:itb03g28390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYTYVSELWRKKQSDVMRFLLRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVILVDTAHAAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >itb07g06040.t1 pep chromosome:ASM357664v1:7:4204264:4207922:-1 gene:itb07g06040 transcript:itb07g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSILNVHCWIRHDPDILRTADYLIPPQDVFVFQMKVKDMVGFYQFHPEGQSPSERFILDYWEHNRAHLSEDFLIPFPDLAGSGRNRARHIIHKLLGEVGIPRHKRRPLLGNIFSETMRLAKESHAEGEYKRIPIMVELRRVYTRTVNNVESEEDMDSEAVVPASRLVIEGLERVRVEETEVCAVCLDEMEAGSEETMLPCNHIFHGRCIDAWKPIPIRNIEFLFPTKVGHVSHSINKSHSHSPSLYLREREKFCFATIESVICWIRDELEILRTTACVLPPQDVFVFQIKVKDTIGFHQFHPAGQHFIVDYWEQNSPPHLSEDFLIPFQDIAGSGRNRARHIIHELLGRVGIPRQKRLPLLGKIYSQAMRLAKESDVEGEYKRIPIMVELQRVYSRTRTISNEDEDEEESEEEEDMEIEGLERVRVKETEVCAVCLEEMEAGSEETMLPCKHIFHGRCINAWLVKANLCLLCRFQLSYSTSTSPEIFEEDEDGWN >itb14g00050.t1 pep chromosome:ASM357664v1:14:24562:31234:-1 gene:itb14g00050 transcript:itb14g00050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSAGGWVPCDLRVVVGKYTIAQSYALKLHLDEIQSSVPWGVAYALRVVEGAIALWFRSEYFGENERIAGAIAELLNIRGKRQAKDLLKDCYENGRFGLSKFGIDVQNRFEGVCLREECRDVAVWKPTGGPFNFKTAKALVRQQGTTGGLWCKKIWAKGVTWKMSFAAWRVFKGKLPVDDVLRRMGYQLASKCPCCVNPGSHSTAIPSHFATPQPTLLSFPLPVSRVSVQCRCSPAPPPGSPSSPIASIPAAVELCHRPARVSGLRTRISGPWPQVSGLEYRGPGT >itb09g12200.t1 pep chromosome:ASM357664v1:9:7697531:7699428:1 gene:itb09g12200 transcript:itb09g12200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDTLIISLSFLSSILPPNVIIILIISSVFLSYKWLSNQKAAKQFPLPPGPKPWPVVGCFPQMLRNKPVFRWILSLMEEMNTEIACFRLGGTNVISVTSPEVAREILKKQDTVFASRPACASAELISSNYLITLVSPPGDQWKKMRRVLTSHVLSRNTLNWLGHKRAVEADHLVRYIYDKCRNAAGCGVVDVRAAGRHFLGNVIRQMVFSKRFFGAGTEDGGPGVEEEEHIDSTFGVLAYVYSFGIWDYLPWLSMFDLDGQRKALKKAVKGVRKHQDPEVDVRIKMWNNGTKTEQQDILDVLINLKDIDGRSLLTSEEIKAQILELMIGIVDNPSNAAEWVLAEMLNQPEMLRKATEELDNVVGRERLVQESDLPMLNYLNGCIREAFRLHPFADFAPPHLCTSDTTISNYFIPKGSHVLISRPGLGRNPRVWEEPLKFKPERHLKNDGSEVNFVDPELRILSFGTGRRGCPGIQLGSLVSSMLLARLVQSFDWVQCGRIELRESKHNLLLAHPLHALAKPRLPHYIYSSLA >itb12g02170.t1 pep chromosome:ASM357664v1:12:1453986:1457305:1 gene:itb12g02170 transcript:itb12g02170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKSRSGSVDFRQSSHHHHSVFARTISASKFCRGDALGSQIDPDAVVSLQRKPSKTDESGINRVKVVLQEQMGELEEQLRETKQKLGFAEEERNRALQELREIKDVVQEIRALKDLLSSTREELNAKDSDIRSLRAELDSARAFELKLLERDSSLERLKEELGDAAKDLLDDHRRKIQELEDEVEDTKLAECKALDSLECQTRALEQNKIELEEHKQRIGSLLERIQLLEANSGKKRSQSSDSSDEDVGDSMREESELRVIKQRLAKSQESERIALSKVSKLTEELNTLKNELRFATGAEEQSSQAMDDLALALKEVATECAETKEKLCTTKMELDEAKEEMQKYEKLLDEAKIEIELQKNTVERLRSEAEESIFAWNGKEMGFVTCIKRAEEERALAQHENTRLAEALKAAEHMTRAAREETYKLRDIMKQAVNESNAAKSAADIARAENSQLKDLLTDKDEALHFLSRENERLRINEAAANENAKQYKLMLSYVSQELKVEEKDHEGMKTPNTDSEGHEEEEEEEEHKQDRKFSIDLRELALLKEDDDDTQSRIEHEDPEKAEALKGSIFDTPYSPKSEPRTPKQRPAHHRRKSTAFADAEGSPNAEDGENIDSHHVDESDGEKSSHRRKKALLRRVGDLILRKSFSRKEPSPVPPPSSPAAYNPSPALE >itb15g08930.t1 pep chromosome:ASM357664v1:15:6345518:6346690:-1 gene:itb15g08930 transcript:itb15g08930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRRPPRNANRAASSPTQGGSHGQSKATKRKRDPSFPSPKPHYYRLDPNVAQTIKPRISPLCISKAIDLLGPNIEIINPSPEISIVDHCSAEANPLEVGVHYNSIKCGLRFPLHPFVSEFLNTHQIIPAQLAPNGYKFLVVFLNICKECDIPPSQSLFHYLFTVEAGTKVETQSFVTVSSRRGLKFVTGIPSSHSGWKERFFKVRLPESQICFPNVWATRVIHFPMPEETPELLSQAKKIASVPRSCNIYANKEKIDILYGLKEAEADEVVADEAPNVEPIPVADLMEPKPEVIEVVEESTKEMAEKIKALEAQVFFARQAGVEDFKKSKEFEQSALQYMHQNVEAFVGWELADEARREAFFKIVDDHPASIEKKVREEVAAYCKDSLP >itb02g10440.t1 pep chromosome:ASM357664v1:2:6744764:6747494:1 gene:itb02g10440 transcript:itb02g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRPHHSHRTPSTSTSGELFVCFTSRLSSSSSSMKISKSILSPGRARDPSVSLSTSLSRRLRSNGSIKAGQASPMFPNSAKKRGSGFENPEPSSPKVTCIGQVRVKTKKKVKHNRSLSKRRSGDLSFRKLEQAQEGMNLSEERDRLCLQNQRSSSVHYQQQECSVPHRNQRWVHLPLTICEALRAFGAEFSCLFPCRSSCFSTKQEKGNGSRERDHNGQQQEQRSCGAVFARWLVALQDGEGGKGREIELVVAGGDDEERIAGRPSTTMRSSRSRRHVFEDIEFKDESFQAHRVDGEEAAEEEEKARVSICIPPKNALLLMRCRSDPMKMAALTNRFWETPAPTKDEESDGDGPDGDEAAEEQQVEIQNGESKSVVMEKDCDEEVAEQNVVSSVNLEEEKEEDPGEEETTMELEVVSNDQEEAEVKPEEEEEAKELELENQQEDSDLVSADSQIDQQNTENVEPDNPISEQEVGEEEGSMTPLSTSSPINSPERASCETEEKIASLPLEASVQEEDAEIGDDEDTEESEEEDDEKEEEEEESESESDTEIENTTLKEELEKEEASEDESVKKVSKKDVLPECLLLMMCEPKLSMEVSKETWVCSTDFIRWLPERQQLKVTKTDASDDSKKRLSTDTKKSSSATTNPAPPPDKKNHHLLQPPRSSCSLPPRSSCSLPAAAVSGVSMASMIEQKLVNAVGYEPFVLTRCKSEPMRTAAKLAAEPCFWKNRKLEPHRRATFGFGAAGVGF >itb12g12490.t1 pep chromosome:ASM357664v1:12:11168049:11168765:-1 gene:itb12g12490 transcript:itb12g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFRFVITTPLVDAIRFNVPICIGIDPRGKTYIVFVGRCLEVYDNWNEAKAQVHRFSDNLYKSFASKEETIQAFDNFVANQTGSSLNAGASSCSSQTTNAARTTSNSDEAKEILSRVQDELAREYHEHTFKVDAIIEEFKQMLSR >itb08g17050.t1 pep chromosome:ASM357664v1:8:19067506:19070778:-1 gene:itb08g17050 transcript:itb08g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVWDFIKNNQLSVCCLLETKLTLEKANRFVLNRRGNWKFSTNFHDVDGGRMIVVWDFARVDCLILETAPQFIHCLLVCKISQVKVLCTFVYGLYSVVTRRPLWNGLQRLGSAISEPWLVVGDFNATLAASERRGGADLSRYDVRDFEACCMNLDLTDCPSFGRDFTWTNGHMEAKLDRVLINDGWLQNGITCMAIFGRMEWCSDHSPVIVTTSMAENTGKKPFKFLNMWLKHPEFDTTLNGPWAEVMDGSKQLILSKKLKALKGPLRKLNRQNFSHISERVKSAKDVLAEAQENFDVINAGEDERTTLRALKAKAVFLLEAERQFFAQKLNTTHLVEADKGSKYFHELINKRNAATSISAILDSNGALTHSLDQVGRLFVDFFSGLFGRVRERERTVELYFEQGEHITANQAAGLAANESALMRSLAKTRDLLMEKLSGRQGCISRMATWVGPRGLDTAKAYEVLRTKGQKSFAMRYIWRDYIPPKFAFCTWLCLHGRLPTKDTINFIEIEKQCALCGGVNESVNHLFFLCPFTKQVWEKAREAVGITRCTNSIKGAIKWHHKDSRDSRRRSKVGALVTCCAIYHIWRTRNAQYFDGKIANVDQTAAMITKNVYQVIHRLYPDGWK >itb03g05750.t1 pep chromosome:ASM357664v1:3:4074477:4077486:-1 gene:itb03g05750 transcript:itb03g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSDVRCWDELIPDALGLIFKNLPLQDVLTVIPRVCKSWQRAVLGPYCWQEIDIEDWSMSARYDTIDRLLQLLITRSQGSLRKLCVSGVLMNQTFEFIADNAKSLQALHLTRSEIGDPAVERLAEKFSNVTFLDISYCNDMGARALEAIGKQCKNLTFLRRTMHPLEVIEKVEQDDEAYAIAATMPKLKQLEIGYMLVTTESVNEIVKSCSELEVLDIRGCWGVTIDESLKKHSGLKVVGPVVFDCYDKYGWENCSDNSGSSGYLPWDLVGGDLDDEYDVSDGLWDDAEDVEDVEMWFYDGLNTINAGYDWPQSP >itb07g07320.t1 pep chromosome:ASM357664v1:7:5562382:5564514:-1 gene:itb07g07320 transcript:itb07g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSGILPNDPCYLLPQLEILAIGANNMHGEIPQALSTCRKLQVLTMSKNELSGRLPSDISNMSSLQELYLAGMNLTGYLPKEIGKLSNLRELILFRNRLTGTIPPSIGNISTLEDFFVSENNLDGNIPPELGRLSSLKGLSLSSNNFSGEVPSTIFNISGLQTIELSTNKLVGNLEAGLRHWISPSLEELRLSENKFSGTIPSTISNASQLIVLDIGHNMFSGHVPLVVENLQLLQYFILEENHITNDPSTNELSLLTSLSKCKNLKMVVLADNPFSTVLPNSLDVGNKSSSLKILYADNCHFKGSIPSGISNLFNNLISLDLSLNNLSGSNLFTSTVPLGFWNNKDVLELDLSSNFLSGSLSPEIGSMHNMDQLYLSGNQFSGEIPSTIGQLQNLLILSLSSNRLQGPIPQSFDSLISLQALDLSNNSLSGGIPKSMEKLKYLVYLNLSFNSKWWTFCKS >itb10g00580.t2 pep chromosome:ASM357664v1:10:374174:386231:1 gene:itb10g00580 transcript:itb10g00580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MGAAKQPLVFAYYVTGHGFGHATRVVEVVRNLILAGHDVHVITGAPDFVFTSEIQSSRLFLRKVLLDCGAVQADALTVDRLASLEKYSETAVVPRASILATEVEWLKSIKADLVVSDVVPVACRAAADAGIPSVCVTNFSWDFIYAEYVMAAGNHHRSIVWQIAEDYSHCEFLIRLPGFCPMPAFRDVIDVPLVVRRLHKSREEVRRELGIGEDVKVVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDSQHLPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWRPYLERAISLIPCYEGGTNGGEVAASILEDTASGKNYTSDKLSGPRRLRDAIILGYQLQRVPGRDLLVPDWYANAENELGLRPRSSPSVKEDINSVTTLHPKNFEILHGDFLGLSDTASFLKSLGELAYDSPANTEKHQKREQKAAAGLFNWEEDIIVTRAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQTIHPSKQKLWKHAQARQQAKGQGPTPVLQIVSYGSELSNRGPTFDMDLSDFLDREEPMSYEKAREYFSRDPSQRWAAYVAGTILVLMKELGIRFENSISMLVSSTVPEGKGVSSSASVEVASMSAIAAAHGLNISPRELALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVLGLVDIPDHIRVWGIDSGIKHSVGGADYKSVRVGAFMGREIIRSTASSFFSQSSSANGVTHDEPEEDCVKLFEAEGSLDYLCNLSPHRYEALYGKMLPESMLGDAFLEKYANHHDPVTVIDKTRNYGVRAAARHPIYENFRVQVNNNLKHSLYTERYTIYGDFSELEKSLWNKVCSFSFASC >itb10g00580.t1 pep chromosome:ASM357664v1:10:374174:387299:1 gene:itb10g00580 transcript:itb10g00580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MGAAKQPLVFAYYVTGHGFGHATRVVEVVRNLILAGHDVHVITGAPDFVFTSEIQSSRLFLRKVLLDCGAVQADALTVDRLASLEKYSETAVVPRASILATEVEWLKSIKADLVVSDVVPVACRAAADAGIPSVCVTNFSWDFIYAEYVMAAGNHHRSIVWQIAEDYSHCEFLIRLPGFCPMPAFRDVIDVPLVVRRLHKSREEVRRELGIGEDVKVVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDSQHLPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWRPYLERAISLIPCYEGGTNGGEVAASILEDTASGKNYTSDKLSGPRRLRDAIILGYQLQRVPGRDLLVPDWYANAENELGLRPRSSPSVKEDINSVTTLHPKNFEILHGDFLGLSDTASFLKSLGELAYDSPANTEKHQKREQKAAAGLFNWEEDIIVTRAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQTIHPSKQKLWKHAQARQQAKGQGPTPVLQIVSYGSELSNRGPTFDMDLSDFLDREEPMSYEKAREYFSRDPSQRWAAYVAGTILVLMKELGIRFENSISMLVSSTVPEGKGVSSSASVEVASMSAIAAAHGLNISPRELALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVLGLVDIPDHIRVWGIDSGIKHSVGGADYKSVRVGAFMGREIIRSTASSFFSQSSSANGVTHDEPEEDCVKLFEAEGSLDYLCNLSPHRYEALYGKMLPESMLGDAFLEKYANHHDPVTVIDKTRNYGVRAAARHPIYENFRVQAFKALLTSATSDDQLSSLGELLYQCHYSYSACGLGSDGTDRLVQLVQEVQHVKASKSAEGTLYGAKITGGGSGGTVCVIGRNSLRSSEKILEIQRRYKAATGYLPIIFEGSSPGAGKFGYLRIRRRIPSHQT >itb09g30470.t2 pep chromosome:ASM357664v1:9:31092423:31097397:-1 gene:itb09g30470 transcript:itb09g30470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSWVRAFSARECHHYCFRLLSSRASLHTHWSYIDSSPHCKGGDKICSFSRFISSGTQVKYGSSFDRFTKRDIETTNLGSKFREWVSLELPVVFPNVRRFCTLLTGEMKDEKSDFGDSSADEPKVKYSTEESVDFTKIAIHKLPTVIIIGRPNVGKSALFNRLIRRREALVYNTPTDHVTRDIRDGVAKLGDLRFRVLDSAGLEAEASSGSVLQRTAEMTGNVLARSQFALFLIDAREGLQPMDIDVGKWLRKHASGIKIIVVMNKAEALSDSDGSLAAAAGEAYRLGFGDPIALSAETGFGMAELHETLRPLLQEYVLQNLNDYEDEDNQEKESSDDMEAKLPLQLAIVGRPNVGKSTLLNAILQEDRVLVGPEAGLTRDSIRTQFHYEGRTIYLVDTAGWLGRTKQEKGPASLSVVQSRKHLMRAHVVALVLDAEEIARDRRSMKHVEVVIARRAVEEGRGLVVVVNKMDLLRGKQNSKLYQSVVKAVPEEIQTVIPQITGIPVVFVSALEGEGQTDVMRQVVETYRKWCLRLPTARLNRWLLKVMSRHSWKDHAAQPKIKYFTQVKARPPTFVAFVSGKNRLSDTDLRFLTRSLKEDFDLGGIPVRILQRTVEKSCMNKSCKKSMQSMGGKVVERVVSDKRSIISLESNS >itb09g30470.t1 pep chromosome:ASM357664v1:9:31092423:31097423:-1 gene:itb09g30470 transcript:itb09g30470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSWVRAFSARECHHYCFRLLSSRASLHTHWSYIDSSPHCKGGDKICSFSRFISSGTQVKYGSSFDRFTKRDIETTNLGSKFREWVSLELPVVFPNVRRFCTLLTGEMKDEKSDFGDSSADEPKVKYSTEESVDFTKIAIHKLPTVIIIGRPNVGKSALFNRLIRRREALVYNTPTDHVTRDIRDGVAKLGDLRFRVLDSAGLEAEASSGSVLQRTAEMTGNVLARSQFALFLIDAREGLQPMDIDVGKWLRKHASGIKIIVVMNKAEALSDSDGSLAAAAGEAYRLGFGDPIALSAETGFGMAELHETLRPLLQEYVLQNLNDYEDEDNQEKESSDDMEAKLPLQLAIVGRPNVGKSTLLNAILQEDRVLVGPEAGLTRDSIRTQFHYEGRTIYLVDTAGWLGRTKQEKGPASLSVVQSRKHLMRAHVVALVLDAEEIARDRRSMKHVEVVIARRAVEEGRGLVVVVNKMDLLRGKQNSKLYQSVVKAVPEEIQTVIPQITGIPVVFVSALEGEGQTDVMRQVVETYRKWCLRLPTARLNRWLLKVMSRHSWKDHAAQPKIKYFTQVKARPPTFVAFVSGKNRLSDTDLRFLTRSLKEDFDLGGIPVRILQRTVEKSCMNKSCKKSMQSMGGKVVERVVSDKRSIISLESNS >itb02g24950.t1 pep chromosome:ASM357664v1:2:25679144:25680077:1 gene:itb02g24950 transcript:itb02g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAGPQATDNYRAWYYLHGHRVIGNPMHQHNVGYVQCASSLNQAMHALHQMNIRGTAAVNNPDEDHAAALMELNDISQLALMGSDYEYLMYITPEVLQNAPMPDEPIPPQPRDARRHREHLRGGPRRGGRAEADEADNDGDDDGDDGHNIDEHGALAIVVAPQRAYGGALAIVVAPQRAYGDALAIVVAPQRAYGDDPAIVVAPQRAYGDDPGFTTFEHGHSSATHDWGESSSGYVPKNTTFDPLMSYGFD >itb08g12390.t2 pep chromosome:ASM357664v1:8:12514891:12518019:-1 gene:itb08g12390 transcript:itb08g12390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSLAKPMHTPQAYSTSAPYVGSAAPSPMYMGIPSYGTSVFNGTSLPPYDVPFPGGSAYHYNYGSRLSGGSPFRPLHLSGPPPYSSGTMIGTGGIYGVPPPIMERYGLGLPMGHSAMGPRPGFFPEDKSQNKDGTRDNDWTCPKCGNVNFSFRTICNMRKCNTPKPGSQGAKSGKSSNMPEGSWKCEKCNNINYPFRTKCNRPNCGAEKPSESIESPSQPTDENDQVCCVIHLFHTTISLALSGSLSAICVPLIQLNIRIEILFFIYSFMGTTHFQLFTLMPFSLQFYFVQ >itb08g12390.t1 pep chromosome:ASM357664v1:8:12514396:12518116:-1 gene:itb08g12390 transcript:itb08g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSLAKPMHTPQAYSTSAPYVGSAAPSPMYMGIPSYGTSVFNGTSLPPYDVPFPGGSAYHYNYGSRLSGGSPFRPLHLSGPPPYSSGTMIGTGGIYGVPPPIMERYGLGLPMGHSAMGPRPGFFPEDKSQNKDGTRDNDWTCPKCGNVNFSFRTICNMRKCNTPKPGSQGAKSGKSSNMPEGSWKCEKCNNINYPFRTKCNRPNCGAEKPSESIESPSQPTDENDQ >itb10g03040.t1 pep chromosome:ASM357664v1:10:2703275:2703715:-1 gene:itb10g03040 transcript:itb10g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCRGVCDNLSEHIVQTAQRIGLANDGATVVTTSIEVVGRERQPPPTYINENNVVDSSSKPRGLSAEEIQRLKEDRFENVGDELAVCSVCLEKFLAGVKISSLPCSHVFHHGCISSWLEKSSSYPICRFDVTNYLATTCFYECS >itb01g06290.t1 pep chromosome:ASM357664v1:1:4486136:4488499:-1 gene:itb01g06290 transcript:itb01g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSKDRETFVYVAKLAEQAERYDEMVDSMNKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEARGNEQNVKRIKDYRQKVETELSNICSDIMSVLDGNLIPSCTTGESTVFFYKMKGDYYRYLAEFKAADERKEAADQSLKAYQAATTKAESELSPTHPIRLGLALNFSVFYYEIMNSSEKACHLAKQAFDEAISELDNLNEESYKDSTLIMQLLRDNLTLWTSDAPEDGEEGQKADGSAKPSMDEDAE >itb03g14940.t1 pep chromosome:ASM357664v1:3:14449778:14450602:1 gene:itb03g14940 transcript:itb03g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMAYGTPLQSPLVSVVGDCFCVPYLIDLSVKKAMRCLSDTRVDVRDVTGNLLFQTRGSLWQLNKKRIMLDPAGFPVVTLREKALSCRHRWTVHRGESSDAGSLLYSVQRSHPLQMKTRLDVFLPGNVRADVPDFQVKDNFKLGSFLAKGHESFGVRVYPGVDYAFIVSLLVILNENDIF >itb10g13430.t1 pep chromosome:ASM357664v1:10:19653679:19659331:-1 gene:itb10g13430 transcript:itb10g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKTQAQLLLSLCSEKSGSGPKKAQTRVQYNKGKRNPCSQITVCKNRLEPETIAKTKGTIAKIKGTIAIVTQGRRRRHRQPGAIANQCRCRTLPESRPIASHRLLPPSNATVSIADRRIKARPHKIAGTDPERGIEEDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPIMGCSGIELLQADTFDLHCFQSLTGTKFFVVCEPGTQHMEPLLKYIYELYTDYVLKNPFYEMEMPIRCELFDINLGQAVQKDRVALLGR >itb11g14460.t1 pep chromosome:ASM357664v1:11:11558232:11559652:-1 gene:itb11g14460 transcript:itb11g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLAQGERQELTPQSLPEHIGKILFILKLSKAKIDPAKFCGDLEGDGVVGLGSPLMGDEGSVMNTDEDFFAGHDVVNLGDDYEDVVEVNEGLPGNGGDDLADVPLRQESENGLQNAIPHSVKRCLLEEHV >itb02g09550.t2 pep chromosome:ASM357664v1:2:6125897:6131119:1 gene:itb02g09550 transcript:itb02g09550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVSGEAAVVKATATDNYADSGKISDAGSDLSTNAKSESMLDGDKGSDSDPNSILNSKSEYQMQDLVDMLKTLKLNPLAKEFFPSSYHRDQIGANNFVGAHKGLGGPDSVRNYRKRGNNYNQGRRRTSNRLFRAQREDTVRRTVYVSDIDQNITEESLAALFSAYGQVVDCRVCGDPHSRLRFAFVEFADEYSARAALCLSGTILGFSQLKVLPSKTAILPVNPTFLPRSEDEREMCSRTVYCTNIDKKVSQTDVKEFFETRCGEVSRLRLLGDQVHSTRIAFVEFVVVCLYCPSFCILHSDLIFNFFYL >itb02g09550.t1 pep chromosome:ASM357664v1:2:6125897:6131119:1 gene:itb02g09550 transcript:itb02g09550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEVSGEAAVVKATATDNYADSGKISDAGSDLSTNAKSESMLDGDKGSDSDPNSILNSKSEYQMQDLVDMLKTLKLNPLAKEFFPSSYHRDQIGANNFVGAHKGLGGPDSVRNYRKRGNNYNQGRRRTSNRLFRAQREDTVRRTVYVSDIDQNITEESLAALFSAYGQVVDCRVCGDPHSRLRFAFVEFADEYSARAALCLSGTILGFSQLKVLPSKTAILPVNPTFLPRSEDEREMCSRTVYCTNIDKKVSQTDVKEFFETRCGEVSRLRLLGDQVHSTRIAFVEFVVAESAILALDCSGEMLGSQHIRVSPSKTPVRPQVSRTDKH >itb09g26830.t1 pep chromosome:ASM357664v1:9:27461440:27461999:-1 gene:itb09g26830 transcript:itb09g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMGHILRAKQGLRRSTSRTNRESEVPKGHFAVYVGASEKKRFVIPVSYLKDPSFQDLLGQAEEEFGFDHPMGGLTIPCMEDTFLDIISSLRS >itb13g01300.t1 pep chromosome:ASM357664v1:13:1242018:1244876:-1 gene:itb13g01300 transcript:itb13g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMFIWISILVLSSNLFRINVTHALGQKCLSDQKSVLLQIRSQLSYDSSFSHKLALWEERVDCCEWPGVSCNDAGYISGLDLMFEPIIGGFDMSLMLKLTFLSVIRFDRVNFSAPFPDFFQDFRNLTVLSLMGCNFSGTVPQKVFQVPTLQIIDLSFNKMLGGSLPHFPENGCLQSLDLGYTKFSGKLPESIGNLKLLSFIDLSHCDFSGAIPASIAKLTKLVVLSVDVNHFSSWIPSFRLFKNLTCLDLSHNDFTGEVPSSHWDGLDNLENLYLDKNSFSGPIPASLFFLPSLRILSLSENKFSGQILDFQNVTSPLKVLDLGDNNLEGPIPSFFFQLHNLTSLDLSSNKLSGQIIDLQNVTSPLDFFDLSSNNLEGTIPSFFFELQRLTSLYLSSNKFSGQIIDLQNAVTSQLQYLDLSNNNLEGTIPSFFFQFQNLISLHLSSNKFNGTVYLTKFRNPRNLESLDFSHNSLVVDINIGAEELAFLPQFSDLMLASCNLQKFPTFLKNQSSLVLLDLSSNNLSSMPLDIEQESAYDGDIYVSVALGFVVGVGGIFVPLLVSHKWSLYYNKKIDEVLLKVFFQRDSGRRKKHG >itb02g11890.t1 pep chromosome:ASM357664v1:2:7932067:7935424:1 gene:itb02g11890 transcript:itb02g11890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITGATAGLPAIPSSKPRDPDPPQKPRKQARRRIKNSGAGVRLRKDVGGGGSQGRRSRPETPLLHWKFNEGVEGDNACGVVAEEVSPPENRRKFQRKHRDAVSVRRLAAGLWRLQLPEVASNGVEKLGFQAGVHHLSVPFHGHHRCKVHDSTLKDQVQSPRSVSGKRDGFLSKLEPSFQFSNTAMEGATKWDPIGWKAAEETKQIRGPQKRRDQQAHTPRVISVLEAELEQARARIQELETERKSSKKKLEQFLKKLSEERAAWRSREHEKIRAILDDLKAELKREMKNRQRLEIVNSKLVNELADAKVSLKRYMQDLEKEQKARELIEEVCDELAKEIGEDKAEIEALKRESEKLHEEVDDERKMLQMAEVWREERVQMKLVDAKVTLEDKYSQMNQLIVELESFLRSRGATPDIEEMKKVDQLRQAASHMNIHDIQEFKYEPPNPGDIFSVLEDVYFAEANEKDIEASEVHTISQDNVSTLNKPNFPSHCNEYVAQSELDEEGTEWETISHLDEQGSSYSPGASDHSLNKNCQLSDGDGKPVVDISKVCPIPIPNQQLKMSSISRLWRSRPSNGQNYKIISLEGIKGGRYSNGRPSNGAIMSPELGSGKGGLSPSEQWSSPDSGHPHLTRGMKGCIEWPRNSQKSSLKSKLLEARMESQKVQLRHVLKQKI >itb10g18810.t1 pep chromosome:ASM357664v1:10:24626580:24629371:-1 gene:itb10g18810 transcript:itb10g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKESEVKGASQQPNSTSLPVPLTHGEEDLKHHDDKDSDGRGELCSPASQDNRSQDQFVEGDEEEGDKREESLLDRSSGVTSEGEQMEKKVVVEEESVIHVERELKALDEPRDKDINVECIESSRGSHEGGSSKSSSSSSSSSDDESDAAVKNKITVANYPTGVVNELDSLSAAKVTDSILETGTCNSDVGAVTSIELDKTAISEEMIQVEDNAMTSYAIQSDLMKNEKKKLGLDDESASISEVRVGVGSQTNDNKAVQTSHDTAAETLYGKGSATQENGVKPTPVYDTPKVDVSTGADPIKDSEIPECSDIQPPVGSVLQPVQTTSFKGCCGLFELFTGSSR >itb10g18810.t3 pep chromosome:ASM357664v1:10:24626580:24628631:-1 gene:itb10g18810 transcript:itb10g18810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTMAGEEDLKHHDDKDSDGRGELCSPASQDNRSQDQFVEGDEEEGDKREESLLDRSSGVTSEGEQMEKKVVVEEESVIHVERELKALDEPRDKDINVECIESSRGSHEGGSSKSSSSSSSSSDDESDAAVKNKITVANYPTGVVNELDSLSAAKVTDSILETGTCNSDVGAVTSIELDKTAISEEMIQVEDNAMTSYAIQSDLMKNEKKKLGLDDESASISEVRVGVGSQTNDNKAVQTSHDTAAETLYGKGSATQENGVKPTPVYDTPKVDVSTGADPIKDSEIPECSDIQPPVGSVLQPVQTTSFKGCCGLFELFTGSSR >itb10g18810.t4 pep chromosome:ASM357664v1:10:24627433:24629371:-1 gene:itb10g18810 transcript:itb10g18810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKESEVKGASQQPNSTSLPVPLTHGEEDLKHHDDKDSDGRGELCSPASQDNRSQDQFVEGDEEEGDKREESLLDRSSGVTSEGEQMEKKVVVEEESVIHVERELKALDEPRDKDINVECIESSRGSHEGGSSKSSSSSSSSSDDESDAAVKNKITVANYPTGVVNELDSLSAAKVTDSILETGTCNSDVGAVTSIELDKTAISEEMIQVEDNAMTSYAIQSDLMKNEKKKLGLDDESASISEVRVGVGSQTNDNKAVQTSHDTAAETLYGKGSATQENGVKPTPVYDTPKVDVSTGADPIKDSEIPECSDIQVPQFSY >itb10g18810.t2 pep chromosome:ASM357664v1:10:24626580:24629257:-1 gene:itb10g18810 transcript:itb10g18810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTMAGEEDLKHHDDKDSDGRGELCSPASQDNRSQDQFVEGDEEEGDKREESLLDRSSGVTSEGEQMEKKVVVEEESVIHVERELKALDEPRDKDINVECIESSRGSHEGGSSKSSSSSSSSSDDESDAAVKNKITVANYPTGVVNELDSLSAAKVTDSILETGTCNSDVGAVTSIELDKTAISEEMIQVEDNAMTSYAIQSDLMKNEKKKLGLDDESASISEVRVGVGSQTNDNKAVQTSHDTAAETLYGKGSATQENGVKPTPVYDTPKVDVSTGADPIKDSEIPECSDIQPPVGSVLQPVQTTSFKGCCGLFELFTGSSR >itb06g06940.t1 pep chromosome:ASM357664v1:6:9942066:9944697:1 gene:itb06g06940 transcript:itb06g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYFGWYYAITLVSGLVAMTCLVYIQENMGWEIGFGVLLLLMLCAAVLIFLGSPFYVKPKSKGSLLTGLIQVIVASYRKRSLLLSSGNGSIGYYQEGTMLRLPSDTLRFLNKACIIQDPHQDLNSDGKAAYPWSLCTVDQVEELKAILNVIPIWVTGIIMSINISQGSFDTLQATTVDRHIIGSSFQIPVGSLGIFAFVSVIIWLVLYERAIIPISSRIMGKPIRFSTETRMGCGIFVSFLSVVVAAAVETVRRSLANKEGYSEDP >itb15g20070.t1 pep chromosome:ASM357664v1:15:22549573:22552399:-1 gene:itb15g20070 transcript:itb15g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITEGINNVNISSTNSEPQKKNRIQVSNTKKSLFFYVNLSKRYLQQYNEVELSALGMAISTVVTVAEILKNNGFAIEKKIRTLTVDMRDEPGSRPVSKARIEIVLGKTEKFDELMAAEAEQAGGGEEQQN >itb15g20070.t2 pep chromosome:ASM357664v1:15:22549998:22552399:-1 gene:itb15g20070 transcript:itb15g20070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITEGINNVNISSTNSEPQKKNRIQVSNTKKSLFFYVNLSKRYLQQYNEVELSALGMAISTVVTVAEILKNNGFAIEKKIRTLTVDMRDEPGSRPVSKARVTLKKLAPLYDEPSFSHTTCTTTNSYSSLPVISSKQKFSPLSSQTFLCSHFLKVSIY >itb02g11190.t2 pep chromosome:ASM357664v1:2:7299918:7303727:-1 gene:itb02g11190 transcript:itb02g11190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHHCFSLLFFLLFHKISCATDTITASLSVKDGEGETAIVSSNGAFQLGFFSPGKSGNLYLGIWYNNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNEANSTIWSTNTSRTVQNPIAQLLDSGNLVIKDAGDGNEEKNFVWQSFDYPTDTYLPGMKLGWNFVTGHETYLTSWKNREDPATGDYMYYMNRNGFPQNFLNKGSAVVYRSGPWNGFQFSGSPNSRKSPFYEIGFVFNQTGAYFTNQLLQPVLTRATLRGNGFLERTTWIDRTQSWGIYLSIPTDSCDTYKLCGAYGICNIQSSPMCGCLDKFVPKNQEDWLQADWSSGCVRRTPLSCNEGEGFLKYSAIKLPDTQNAWFNQTMTLEECKALCAKNCSCMAYSNIEIRNGGTGCFMWFDDLLDIRLVPKEGQDIYIRVAASEIASQAGSSDSSGKKRKIIIITVLPSAGAFMLTLILVACYRRKKCSEGKHKVRRGHNMRDYNEEDNSDEFELPVFDLTTLNKATNNFLMEYKLGEGGFGPVYKGVLDNGQEIAVKRLSGTSTQGQDEFKNEVLCIAKLQHRNLIRMLGCCIEGEEKTLIYEFMPNGSLDAFIFDEAQSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDTNLEPKISDFGIARFMGGNEIEAKTHRVVGTYGYISPEYALRGLYSVKSDVFSFGVLVLEIISGKRNTKFTSPDDDINLLGYAWKLYREGRSMELLEPHLSDTHYSLSISEVCRSIHVALLCVQQRPEDRPSMSSVILMLNNEGVLPPAKQPGFFTEGDSTSCSSRNELRSTNEITITSLEPR >itb02g11190.t4 pep chromosome:ASM357664v1:2:7299918:7303727:-1 gene:itb02g11190 transcript:itb02g11190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHHCFSLLFFLLFHKISCATDTITASLSVKDGEGETAIVSSNGAFQLGFFSPGKSGNLYLGIWYNNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNEANSTIWSTNTSRTVQNPIAQLLDSGNLVIKDAGDGNEEKNFVWQSFDYPTDTYLPGMKLGWNFVTGHETYLTSWKNREDPATGDYMYYMNRNGFPQNFLNKGSAVVYRSGPWNGFQFSGSPNSRKSPFYEIGFVFNQTGAYFTNQLLQPVLTRATLRGNGFLERTTWIDRTQSWGIYLSIPTDSCDTYKLCGAYGICNIQSSPMCGCLDKFVPKNQEDWLQADWSSGCVRRTPLSCNEGEGFLKYSAIKLPDTQNAWFNQTMTLEECKALCAKNCSCMAYSNIEIRNGGTGCFMWFDDLLDIRLVPKEGQDIYIRVAASEIASQAGSSDSSGKKRKIIIITVLPSAGAFMLTLILVACYRRKKCSEGKHKVRRGHNMRDYNEEDNSDEFELPVFDLTTLNKATNNFLMEYKLGEGGFGPVYKGVLDNGQEIAVKRLSGTSTQGQDEFKNEVLCIAKLQHRNLIRMLGCCIEGEEKTLIYEFMPNGSLDAFIFDEAQSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDTNLEPKISDFGIARFMGGNEIEAKTHRVVGTYGYISPEYALRGLYSVKSDVFSFGVLVLEIISGKRNTKFTSPDDDINLLGYVSIVIFFANIYRALYNIILLLSTGMEAV >itb02g11190.t6 pep chromosome:ASM357664v1:2:7300807:7303727:-1 gene:itb02g11190 transcript:itb02g11190.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHHCFSLLFFLLFHKISCATDTITASLSVKDGEGETAIVSSNGAFQLGFFSPGKSGNLYLGIWYNNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNEANSTIWSTNTSRTVQNPIAQLLDSGNLVIKDAGDGNEEKNFVWQSFDYPTDTYLPGMKLGWNFVTGHETYLTSWKNREDPATGDYMYYMNRNGFPQNFLNKGSAVVYRSGPWNGFQFSGSPNSRKSPFYEIGFVFNQTGAYFTNQLLQPVLTRATLRGNGFLERTTWIDRTQSWGIYLSIPTDSCDTYKLCGAYGICNIQSSPMCGCLDKFVPKNQEDWLQADWSSGCVRRTPLSCNEGEGFLKYSAIKLPDTQNAWFNQTMTLEECKALCAKNCSCMAYSNIEIRNGGTGCFMWFDDLLDIRLVPKEGQDIYIRVAASEIASQAGSSDSSGKKRKIIIITVLPSAGAFMLTLILVACYRRKKCSEGKHKVRRGHNMRDYNEEDNSDEFELPVFDLTTLNKATNNFLMEYKLGEGGFGPVYKGVLDNGQEIAVKRLSGTSTQGQDEFKNEVLCIAKLQHRNLIRMLGCCIEGEEKTLIYEFMPNGSLDAFIFDEAQSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDTNLEPKISDFGIARFMGGNEIEAKTHRVVGT >itb02g11190.t5 pep chromosome:ASM357664v1:2:7299970:7303727:-1 gene:itb02g11190 transcript:itb02g11190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHHCFSLLFFLLFHKISCATDTITASLSVKDGEGETAIVSSNGAFQLGFFSPGKSGNLYLGIWYNNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNEANSTIWSTNTSRTVQNPIAQLLDSGNLVIKDAGDGNEEKNFVWQSFDYPTDTYLPGMKLGWNFVTGHETYLTSWKNREDPATGDYMYYMNRNGFPQNFLNKGSAVVYRSGPWNGFQFSGSPNSRKSPFYEIGFVFNQTGAYFTNQLLQPVLTRATLRGNGFLERTTWIDRTQSWGIYLSIPTDSCDTYKLCGAYGICNIQSSPMCGCLDKFVPKNQEDWLQADWSSGCVRRTPLSCNEGEGFLKYSAIKLPDTQNAWFNQTMTLEECKALCAKNCSCMAYSNIEIRNGGTGCFMWFDDLLDIRLVPKEGQDIYIRVAASEIVNFAASQAGSSDSSGKKRKIIIITVLPSAGAFMLTLILVACYRRKKCSEGKHKVRRGHNMRDYNEEDNSDEFELPVFDLTTLNKATNNFLMEYKLGEGGFGPVYKGVLDNGQEIAVKRLSGTSTQGQDEFKNEVLCIAKLQHRNLIRMLGCCIEGEEKTLIYEFMPNGSLDAFIFDEAQSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDTNLEPKISDFGIARFMGGNEIEAKTHRVVGT >itb02g11190.t3 pep chromosome:ASM357664v1:2:7299918:7303727:-1 gene:itb02g11190 transcript:itb02g11190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHHCFSLLFFLLFHKISCATDTITASLSVKDGEGETAIVSSNGAFQLGFFSPGKSGNLYLGIWYNNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNEANSTIWSTNTSRTVQNPIAQLLDSGNLVIKDAGDGNEEKNFVWQSFDYPTDTYLPGMKLGWNFVTGHETYLTSWKNREDPATGDYMYYMNRNGFPQNFLNKGSAVVYRSGPWNGFQFSGSPNSRKSPFYEIGFVFNQTGAYFTNQLLQPVLTRATLRGNGFLERTTWIDRTQSWGIYLSIPTDSCDTYKLCGAYGICNIQSSPMCGCLDKFVPKNQEDWLQADWSSGCVRRTPLSCNEGEGFLKYSAIKLPDTQNAWFNQTMTLEECKALCAKNCSCMAYSNIEIRNGGTGCFMWFDDLLDIRLVPKEGQDIYIRVAASEIVNFAASQAGSSDSSGKKRKIIIITVLPSAGAFMLTLILVACYRRKKCSEGKHKVRRGHNMRDYNEEDNSDEFELPVFDLTTLNKATNNFLMEYKLGEGGFGPVYKGVLDNGQEIAVKRLSGTSTQGQDEFKNEVLCIAKLQHRNLIRMLGCCIEGEEKTLIYEFMPNGSLDAFIFDEAQSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDTNLEPKISDFGIARFMGGNEIEAKTHRVVGTYGYISPEYALRGLYSVKSDVFSFGVLVLEIISGKRNTKFTSPDDDINLLGYVSIVIFFANIYRALYNIILLLSTGMEAV >itb02g11190.t1 pep chromosome:ASM357664v1:2:7299918:7303727:-1 gene:itb02g11190 transcript:itb02g11190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRHHCFSLLFFLLFHKISCATDTITASLSVKDGEGETAIVSSNGAFQLGFFSPGKSGNLYLGIWYNNISVTTVVWVANRETPLNTTSGILKIIKPGILVLLNEANSTIWSTNTSRTVQNPIAQLLDSGNLVIKDAGDGNEEKNFVWQSFDYPTDTYLPGMKLGWNFVTGHETYLTSWKNREDPATGDYMYYMNRNGFPQNFLNKGSAVVYRSGPWNGFQFSGSPNSRKSPFYEIGFVFNQTGAYFTNQLLQPVLTRATLRGNGFLERTTWIDRTQSWGIYLSIPTDSCDTYKLCGAYGICNIQSSPMCGCLDKFVPKNQEDWLQADWSSGCVRRTPLSCNEGEGFLKYSAIKLPDTQNAWFNQTMTLEECKALCAKNCSCMAYSNIEIRNGGTGCFMWFDDLLDIRLVPKEGQDIYIRVAASEIVNFAASQAGSSDSSGKKRKIIIITVLPSAGAFMLTLILVACYRRKKCSEGKHKVRRGHNMRDYNEEDNSDEFELPVFDLTTLNKATNNFLMEYKLGEGGFGPVYKGVLDNGQEIAVKRLSGTSTQGQDEFKNEVLCIAKLQHRNLIRMLGCCIEGEEKTLIYEFMPNGSLDAFIFDEAQSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDTNLEPKISDFGIARFMGGNEIEAKTHRVVGTYGYISPEYALRGLYSVKSDVFSFGVLVLEIISGKRNTKFTSPDDDINLLGYAWKLYREGRSMELLEPHLSDTHYSLSISEVCRSIHVALLCVQQRPEDRPSMSSVILMLNNEGVLPPAKQPGFFTEGDSTSCSSRNELRSTNEITITSLEPR >itb06g23520.t1 pep chromosome:ASM357664v1:6:25448339:25452085:-1 gene:itb06g23520 transcript:itb06g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFGESEFWNCPRCKVCRRREARRDGCPVRPCPKICAFAGMCAPEKEKEVAVLPWRKLCAPLQSSVQPATATVVVVLFIISGSLGSFDMGSVQAKPPQRGAEKMRLENGYARAARRSTGQRYVTEEPGRPSRPEPRIGNDAYVGNGGRETAVDRKVIARKGEKPRSSGGKAPAEIDSKKTNSGEEEMVDGWPKWLVDNIPGQVLAGLVPKSADSYEKIDKVGSGTYSNVYKARDKETGKIVAMKKVRFDTSEPQSVKFMAREIMILQKLDHPNIIKLEGLATSRMQYSIYLVFDYMQSDLASIISRPGGRLNESQVKCYMQHLLSGLQHCHERGILHRDIKGSNLLIDKSGMLKIADFGLANFFNTGTRRPLTTRVVTLWYRAPELLLGATEYGAGIDLWSAGCLMAEMFVGRPILPGRTEYFYTSPLACHISELPVVHKEDPEPAIIDGRRHRASRHRSQSIKERRRKDTEADEEPKGDSGDSNEGAGKYTDKTVMNSQESGSSSSTTTRRRSKSVKEDNIPTYTSSPVAENPEKSSRSEEADDNPNNAAMNIRDRPPRATTAKNHNVVNYKDNMHRLNHVQRSASARAHKYGSSQAS >itb11g04730.t1 pep chromosome:ASM357664v1:11:2520724:2521390:1 gene:itb11g04730 transcript:itb11g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSLTAASMAAASAAALSSASSKAHTFHTSDDGTGKKTGKSSSTAAVPPRSDKFAPRFDGLRFIETLVTAHR >itb07g04770.t1 pep chromosome:ASM357664v1:7:3215982:3219106:-1 gene:itb07g04770 transcript:itb07g04770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKSSSVVLSSLVVLLIFCAAFSMMSVEARKSRHAKKPRTTPHKLKKGRSDYRRNFPAPAPAPTQLPPGNCYPPPSNVFDILSFGAKGDGVSDDSKALEAAWGAACKVSGATVQIPSQFSFLINPITLQGPCMPDLVFQVDGNVVAPPNVGSWPKSSIFQWINFKLVHSFTIQGPGTFDGQGPNWWKFSQIDNPQEKMASKAIHVNMKPTALRFYESYNVTIHGISIVNSPQCHLKFDNSKGVNVHNITISAPENSPNTDGIHLQNTQDVEIHHSTIGSGDDCVSIQTGCSNVHVHHINCGPGHGISLGGLGKDKSVACVSNILVESVVMENTLYGARIKTWQGGIGSVKNVSFSDIQVSDVKVPIMIDQYYCDKHVCKNQTGAVAIQGVKFDRIVGTFAAQPFHLACSNAVPCTDVHLVGIQLEPSPGYRGFRNGQCWNSYGKSQGQLFPASMDYCLRSGSLLVKRIARSHENICL >itb10g22230.t1 pep chromosome:ASM357664v1:10:27149354:27151801:1 gene:itb10g22230 transcript:itb10g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNIQFGEMSVSSDSEAPSEKPTAVHAPRIAPTYLNLLKKMASDASSPAPVSRNQAPASSRVNDKGLSEVEKREQIKFAQVKRKKGFVHYERVDGKKVNVVQGLELHTGIFDAEEQMKIVDCVYQYQQLGQKGQLRARTYSEPKKWMRGKGRATIQFGCCYNYAVDKNGNPPGIVRDEEVDPLPVVFRQMIKRMVRWHVLPPTCVPNSCIVNIYEEGDCIPPHIDHHDFVRPFCTVSFLSECNILFGSSLKIVSPGEFSGPVSIPLPKGSVLVLQGNGADVAKHCVPGVPYKRISVTFRKMDESKSPFNYKPDPELLKIQPLVLHRPPVGEPSTQQSVAENEKKMKHDKPDSPPAAQTTNQNVLTGNEEFPPLGSFSSSSKNRSTRRFK >itb01g26780.t2 pep chromosome:ASM357664v1:1:31840349:31851201:-1 gene:itb01g26780 transcript:itb01g26780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDMHDANDLESVDEDFYSDGEGYGYGDGVGDSDADLADYDFMGNESDDSDELTISRSQKNYTVLKEEDIRQRQEDDINRISAVLSIPREAACILLRRYNWSVNKVHEEWFADEERVRKTVGLLDKSFLQPSNVKEVVCGICFDNYPSHVMVSAACGHPFCTTCWRAYISTSINDGPGCLVLRCPDPSCGAAIGQDMIDKLASDEDKKKYYRYLLRSYIEDNRKTKWCPAPGCDGAIEYVLGSGSYDVTCSCAYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGLYDEAERRREMAKNSLERYTHYYERWATNQSSRQKAQSDLLQMQAVHLEKLSETQCQPESQLKFIIEAWQQIVECRRVLKWTYAYGFYLPETEQAKRQFFEYLQGEAEAGLERLHQCAEKELQSYLSAEGPSKDFNDFRTKLAGLTRILLDSTVQPCK >itb01g26780.t1 pep chromosome:ASM357664v1:1:31840349:31851201:-1 gene:itb01g26780 transcript:itb01g26780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDMHDANDLESVDEDFYSDGEGYGYGDGVGDSDADLADYDFMGNESDDSDELTISRSQKNYTVLKEEDIRQRQEDDINRISAVLSIPREAACILLRRYNWSVNKVHEEWFADEERVRKTVGLLDKSFLQPSNVKEVVCGICFDNYPSHVMVSAACGHPFCTTCWRAYISTSINDGPGCLVLRCPDPSCGAAIGQDMIDKLASDEDKKKYYRYLLRSYIEDNRKTKWCPAPGCDGAIEYVLGSGSYDVTCSCAYSFCWNCTEEAHRPVDCETVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGLYDEAERRREMAKNSLERYTHYYERWATNQSSRQKAQSDLLQMQAVHLEKLSETQCQPESQLKFIIEAWQQIVECRRVLKWTYAYGFYLPETEQAKRQFFEYLQGEAEAGLERLHQCAEKELQSYLSAEGPSKDFNDFRTKLAGLTSVTRNYFENLVRALENGLSDVDSQGASSKTTSSKNVAGSSKGKGGGRGKGSSRVGGSSKTTDDSGGWACDQCTYINSKSALACQMCHHRR >itb11g18680.t1 pep chromosome:ASM357664v1:11:19116086:19121945:-1 gene:itb11g18680 transcript:itb11g18680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTAAATPQGTVWSAGMDTKMREGQKGCGGEEATVVPYSSNHSRRGSFGKPSWLLCSVADLDERMKVLGMNLPEEGNADDSFAKRADGYYQQRPQLLALLQDLYNGYLSLADRYCQGLAKTHHYHRYSSPIPSLHFTDNEDVVDQEDNGDGGVDSSDVESSLSFQAQFPPQLGNQAKVDPDMIIADLVVRTVECEIILHELAQVERRSSESSRKMELQRSLVEVLESERLILLNENARLGYQVSALVEENKGLASESLFMKRKAADLARCLLKMREDHRVFMLSRKIEDLQGQVHGLEKRNREYYEQLVKHEEEKRNKMKVKSLGSFKGCFQVHGDVTSWVNNNGSSSSTSIPTVKKIGQQGNGGGSKLWGRVKKFDIFLCGPYFNPTNC >itb11g07270.t1 pep chromosome:ASM357664v1:11:4452939:4454476:1 gene:itb11g07270 transcript:itb11g07270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSMKHPVAEANEESPFGSLTADEFYSRHSVSHGSEFVTNTRGLKLYTHWWTPLPPIKVIGAVCVVHGFTGDSNWLIQLTAVHIAKQGFAVCAIDHQGHGYTEGGLKAHIPDINPVVDDCVAFFDSFRDRHVPPELPSFLYAESLGGAIALLITLRRGDSAPKRRFDGVVLNGAMCGISDKFKPPWPLEHFLDIAAYLIPTWHVVPTRGSIPDVSFKVEWKRKLAIASPTRTMARPRAATARELLRVCRDLQARFEQVEVPFLIIHGGDDVVCDPACVEELYRRAASKDKTLNIYPGMWHQLVGESEENVERVFGDVIQWLRARAGNPTS >itb03g01280.t1 pep chromosome:ASM357664v1:3:708756:713112:-1 gene:itb03g01280 transcript:itb03g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHSGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYNHMLCQATEPLSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLSFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQSIFSKLSYGESQILDKAFYEEEVKRLCLAFEQQFHYGVFFAYVRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >itb02g08870.t1 pep chromosome:ASM357664v1:2:5609104:5611283:-1 gene:itb02g08870 transcript:itb02g08870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFSIAIFCSAVFLAYWAWKILSWLWFEPKKLEKRLRQEGFNGNSYRPPFGDHKETVKLIEKANSKPISFTNEIFPRVLPSLHKTIKNYGKNAFIWKGPQPEVVIMDPELIREIFSKYTVFQKTPVNPLIKLLAYGVVAFEKDKWVKHRRLLNPAFQSEKLKNGSELDVWPYLGTLTADGISRTAFGSNYEEGKKIFELQEEQGVLAIQVQRSLNIPGLRFLPTKRNRRMKQIFNEVRSLVLGMIDKRMRAIETGESRNDDLLGIMLESNLTEIQQHGSKSYGMSIDEIIDECKLFYFAGQETTSTLLIWTMILLGKHLDWQERAREEVLQVIGTTEEPDSDKLNQLKIVTMILNEVLRLYTPGVLLTRAIEQDAKLGNITLPAGIHIHIPLILLHQDEEMWGEDAKEFNPERFSEGISKATKGQFSFFPFGWGPRICIGQNFAMLEAKMAFAMILRRYAFELSPSYAHAPQVGMALVPQYGAQLILKKLEND >itb02g08870.t2 pep chromosome:ASM357664v1:2:5609104:5609997:-1 gene:itb02g08870 transcript:itb02g08870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIFNEVRSLVLGMIDKRMRAIETGESRNDDLLGIMLESNLTEIQQHGSKSYGMSIDEIIDECKLFYFAGQETTSTLLIWTMILLGKHLDWQERAREEVLQVIGTTEEPDSDKLNQLKIVTMILNEVLRLYTPGVLLTRAIEQDAKLGNITLPAGIHIHIPLILLHQDEEMWGEDAKEFNPERFSEGISKATKGQFSFFPFGWGPRICIGQNFAMLEAKMAFAMILRRYAFELSPSYAHAPQVGMALVPQYGAQLILKKLEND >itb09g00560.t1 pep chromosome:ASM357664v1:9:429800:431694:-1 gene:itb09g00560 transcript:itb09g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSSPSSSPLGILSFTLTLLLLSRGGLGATFTFVNKCGSTVWPGILANAGSPSLETTGFELSKGSSRTIMAPTGWSGRFWGRTGCKFDDSGSGSCGTGDCASGQVECNGAGAAPPATLAEITLGTGGQDFYDVSLVDGYNMPLVIEASGGSGMCATTGCVTDLNQICPSELKMGGGEGCRSACEAFSKPEYCCSGAYSTPTTCRPSVYSQMFKSACPRSYSYAYDDPTSTFTCSGADYTVTFCPSVPSQKSSKDATTQVTPTTGSGGSLSGSGSGSGILDPETEPGTMVSGTGMGIEYDGSGMDGQTGTSYTTTTTTTSGSGSGSEAMLADGSYLAGLAMGDSTRVLATNTLLLYYYYGAIAFALFFIQW >itb14g09280.t1 pep chromosome:ASM357664v1:14:9589111:9599236:-1 gene:itb14g09280 transcript:itb14g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISEASSAVKSRFGFHDPHHHHSSSEQPPPLPAVKSSPDLRMLKSACKDRSENSDGDSAQTAVSNQLRSFEFREDPAFWKDHNVQVIIRVRPLNSSEISLYGNSRCLRQDSSQTITWIGHPESRFTFDLIADENVTQEMLFKVSGVPMVENCVEGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEREARREEKIRFTCRCSFLEIYNEQILDLLDPSSVNLQIREDTKKGIHVENLTEVEVTSARDVIQQLVQGAANRKVAATNMNRASSRSHSVFTCVIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSMSNGKTLHVPYRDSKLTFLLQDSLGGNAKTIIIANVSPSNSCSLETLSTLKFAQRAKFIKNHAVVNEDASGDVIAMRMQIQNLKKEVARLRSVVNGGGDAYETDSRTVALPGSPTSIKWEGLHGFASPLASGKKMSYKKDYEVALVGAFRREKDKDIAMQALVAENQAALQLAKQREDEIQGLKMRLRFREGAIKRLESVASGKISAEVHLLKEKEEQLKEIEVLRNQVDRNQEVTRFAMENLRLKEEIRRLKSFYEEGERERMNEQIMILENQLREALDWKLMHGSDPINTQEESSELATDINNDSNLLIYNQKPATPMHTVADEENEFLRLQAIYIQSELDALRKKLDVCVEEKEKLERHVNDLEKELESERSSKAAILEESHKVQSDLSSLTNCQMPNIGISDQMELEAMVDAIAAASQREAEAHETAISLSKENEELRAKIKVLIEDNQKLIELYEQAVAEKNSANLRCQNCQDDSHKLSEDTAERELQMKGEVERLNRQLMEMHEENEKLMGLYENAMQDKNREVKCLNNQLVEMHDEKELQMKAEVECLNRQLMEMHEENEKLMGLYENAMQAKNREAKCLNNQLVEMHDEKELQMKGEVECLNRQLMEMHEENEKLMGLYENAMQEKSREVKCLNNQLVEIHDENEKLMGLYENAMQEKKGDVERLNNQLMEMHEENDKLMGLYEKAMQERDDFKRLISSTEKKIVEDKGESPKSGKSQISGIDCFTTEMIDSFGSDMQHQSENLYLDEHNSVSANPEQVFEEAGLIEVDVQEEYSQYSYMGNTSDSDEPPFCKTTGVTQSNLSGSVASLTIGEKESGILNDDEMEKADCPDSNQDAGLVSDMDEKSSHLITVDVSEDLELVQRKLYEAQEKLMKSANTVSMFGSLERAFLEVDELSRKVEGLEESIHAKQQGFESFKILSSELLEKKAVVDKKLSALKYSLSSFSSSIGYFELRETQARQRWNTSLTQLDQKKSALSRLQASKDEYMVLQMKAKQSESELISSLAHLKTRLEEENQSLENDRVLFAIDNIAKPDVDPSQRNWHLSGKATELLKSEEEKTKIQNQIKQTQEKLGSLKKEVEDLSKKMAKLEGPLQAIEKEVEKSSKSAKELEVKLQTITCEKEMILKMKEDGKNEFEDMIVEYHQHLFDAALKEEEMKILNEELQMELQQIEDLKREKALATTRKNHLQEALSCQSFFVSDKVGEDLHDIQQSVMELNLLLGNCNSKNS >itb09g06280.t1 pep chromosome:ASM357664v1:9:3604746:3607571:1 gene:itb09g06280 transcript:itb09g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFMTAAGVCGGGSWWNPARSMFGASPCSAASINEMGNFAWANNNDDHHHHHHNQLVNMKGRSSEESSEGSSVVLQELPKSHHQTHNMSMDNSTLHMLGIGLSPSSTATTDWNQALVVQTNERAMLQEDRNSRLNYGQEIGVECSDSSFKPIVPKDFSNSTPSVTNFSPSYNYPSNLLQTLLDSDPQPQQQQPLLSNQQISYAPAPANFRPNLNDFSPPLLKPTFPASFWNQSPASLNDGRAAFLPSTQSQFLPSVFSDKSKPSRKSSNVEGARQSSAMAKKASNEEPAFKRPRIETPSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSAPYLKNGCSVSQHHQQDQEGRKAELRSRGLCLVPISSTFPVATETSSDFWTPTFGASFR >itb14g21580.t1 pep chromosome:ASM357664v1:14:23519890:23524144:-1 gene:itb14g21580 transcript:itb14g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGSTQIEVPASSTRKRRSSIPRRPRPDIQLFSGSYDPSPLPSTPVSNDVGRISSDETDGDVNSGGKIFSLTQCISRGSPATIPECENPNKKIKDDLGSRVSYGNGGLGDDTHHQRSPTRQLGTIRNGVGNDNKLKKVKLKVGGVTRTIQAKNGSHGASGSGSSTKSSRPSDASWSRQKLTLQSQDNSGESHSPSDKKIPLQGNPLKDFPRGFDSCKESNRKMPTKNAVEKQTEKSDSVRKSKRVPKRRVLDGAFDEDDEDDEIRYLEKLKTSKVAGIRDFEEESAHKHRSIARVSKGVKYESVEEVGRSVKDGKKRSDKGSEDTDYEEEEELLSDGEPEGKKKQKQRRDSPDTQTETKREVTLTTRQRALLSSKEASASGVSQVEFPNGLPPPPSRKQKEKLTEVEQQLKKAEAAQRRRMQNEKAARESEAEAIRKILGQDSNRKKKEDKLKKRQEELAQERAAKDQMLASETIRIVMTPTGTVVTFPMEMGLPSIFDPKPCSYPPPREKCAGPSCLNPYKYRDSKSNLPLCSLKCYKAIHAKMDAEKVC >itb14g21580.t2 pep chromosome:ASM357664v1:14:23519978:23524139:-1 gene:itb14g21580 transcript:itb14g21580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGSTQIEVPASSTRKRRSSIPRRPRPDIQLFSGSYDPSPLPSTPVSNDVGRISSDETDGDVNSGGKIFSLTQCISRGSPATIPECENPNKKIKDDLGSRVSYGNGGLGDDTHHQRSPTRQLGTIRNGVGNDNKLKKVKLKVGGVTRTIQAKNGSHGASGSGSSTKSSRPSDASWSRQKLTLQSQDNSGESHSPSDKKIPLQGNPLKDFPRGFDSCKESNRKMPTKNAVEKQTEKSDSVRKSKRVPKRRVLDGAFDEDDEDDEIRYLEKLKTSKVAGIRDFEEESAHKHRSIARVSKGVKYESVEEVGRSVKDGKKRSDKGSEDTDYEEEEELLSDGEPEGKKKQKQRRDSPDTQTETKREVTLTTRQRALLSSKEASASGVSQVEFPNGLPPPPSRKQKEKLTEVEQQLKKAEAAQRRRMQNEKAARESEAEAIRKILGQDSNRKKKEDKLKKRQEELAQERAAKDQMLASETIRIVMTPTGTVVTFPMEMGLPSIFDPKPCR >itb12g20770.t1 pep chromosome:ASM357664v1:12:23250832:23253216:-1 gene:itb12g20770 transcript:itb12g20770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLSRFGCKNKPFYRVMAADGRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVERLLFRAGLLPPPPMMAMGRKGGHRDNRQVDPMTGHVTFENSKNDQKASPVANEEDGN >itb06g20620.t1 pep chromosome:ASM357664v1:6:23541776:23544717:-1 gene:itb06g20620 transcript:itb06g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRAVYTLGSLIRGSGQALDRFGTRLQGSYYLQETLSRHRTLMDIFDKSPIVDKDAFVAPGASIIGNVLVGKNSSIWYGCVLRGDVNSIHVGSGTNIQDNSLVLVEESELCGKGLPTIIGDNVTIGHSAVIHACTVDDEAFVGMGAILLDDVHVEKHAMVAAGALVKQGTKVPSGEVWAGNPAKFLRKLTAEEIAFITQSATNYSNLARVHAAENSKSFDEIEFEKMLRKKYARRDEDYDSMIGVVRETPSELVLPDSILPDKAKSAN >itb04g27660.t1 pep chromosome:ASM357664v1:4:31628255:31631193:1 gene:itb04g27660 transcript:itb04g27660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNPKSSTQFESLTEEIIFSILDRLDTDPESKKSFSQACRSFFAIESRHRRVLRPLDTNLIAKSLQRYPFVSHLDFTLCPRVDDEVLVSISNSCKAAIIRSVDLSRSRFFSNVGLSSLVLRCPGLVEINLCNATELTDSAAAAIAEAKNLEKLWLGRCKLISDIGIGCIAVGCKKLRLLSLKWCVRVGDLGVGLIAIKCKEIRSLDLSYLPKARTDVSPLPVWSSQITEMCLLPILQLQHLKELILVGCPGLDDDGLASLKQGSNSLENISHVGLSALSSSISSLRQLNLSYGSYVTADLAKSLQHFSQLQSIRLDGCTVTCSGMESIADWVPSLKDLSLCKCTGVTDKNLSCIIQKHGQLQKLDITCCRKITCASIESITESCTSLVSLRMESCSLVSKEAFVLIGQRCRSLEDLDITDNDIGDEGLISVSGCCNLSSLKLGLCMNITDYGLSQIGKCSKLKELDLYRCVGITDEGIAAIAEGCQALEMINMAYCEKVTDNSLISLSRCTRLRTLEIRGCPRVSSVGLSSVAAGCKKLEKLDIKKCHNIDNTGMVSLVQCLHSIKQINLSYCSVTDVGLVALASMKRVQEITILHVNGISPEGLAASLMAFRGVTKVKLNSFFRPLLPEAISHVEAQGCIFHWRNKPF >itb03g05490.t1 pep chromosome:ASM357664v1:3:3835088:3835719:1 gene:itb03g05490 transcript:itb03g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVGNSYKQQGGVRRGCYGGGHGGNYYCRGFRLSPRRFYVHRIRTRFLNLFRLLRKWKTSYDAAIRSLRKHMNCGGGRSKRNNNHGNNRGTREMMNYALYRGSCDYRLKSFGRSNSFYSEAIADCLDFIKRNSVSMEEEKPVLIS >itb05g05650.t1 pep chromosome:ASM357664v1:5:5445658:5458837:-1 gene:itb05g05650 transcript:itb05g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICPHARLIVNGKRIIILRSRPNLLIKQRSIDRNISPGPQLRREAPSLSVAASRAGGSSAVAPSLKAAPLHRRSSTADLPSQRENAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHISTLSTDAQKPVGSSIKAADNPSIQASGIFQGHEDTVEDVQFCPSSSQEFCSVGDDSCLILWDARVCTSPVVKIWIWRITIASSLVATLANATHRRSPLLDARPLLSFAVHLRASLQPRRLAVQHDASSPVTVDLSPSHSSLVVSQPRHPARSVAAAILWLVLGVFLMATTLRMYATCQQLQAQAQAHAVAASDLLGHTELRLHMPPSIALQGLRLQLALQALQVTIVSVKSHGPTILLNSYCCFLLSLTKLHNLANHAQVVHNANRCFARLRPRVVNCRKKKCGHSN >itb08g08190.t2 pep chromosome:ASM357664v1:8:7087396:7090995:-1 gene:itb08g08190 transcript:itb08g08190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEQIITQKKEAAEMAAMEDQKQEEEELPSSEGRLPPWNKQITVRGVVASAVIGCIYSVIAMKLNLTTGITPNFNVSAALLAFIFVRTWTKLLRKLGISSAPFTRQENTMIQTCAVACYSIAIGGGLGSYLLGMNKKTYELAGVETVGNNPSSYKKLEIGWMTGYQLVVCFIGLFVLIPLRKILIIDYKLTFPTGMATAVLINGFHAKSDKMARKQVKGFMKFFSGSFVWAFFQWFYTGKEECGFSQFPTFGLKAWKQTFFFDFSLTYVGTGMICPHIVNVSVLLGAIISWGVMWPVVAKLKGEWFPASIPESSMKSLNGYKVFISISLLLGDGLYNFTKILCITLLSILDKVKKRTADPAAVEQSKTRAELRKDEIFMRDRIPMWVGGVGYVTLAIIAVIAIPIIFPEVKWYWVILAYVIAPSLAFCNAYGVGLTDINMAYNYGKLGLFMMAAMAGKQHGVVAGLAGCGLIKSIANVSCNLMIDFKTGHLTMTSPRAMFLSQAIGTVIGCVVAPLSFFLFYTAFDIGNPAGEFKAPYALIYRNMAILSVEGFSALPRHCLQLCYGFFGFAILVNFVKDLSPPRIKKFMPLPMAMAVPFLIGGYFAIDMCVGSLVVFLWQKLNAGKAEVMVPAVASGMICGEGLWILPASILALAKVSPPICMKFLPS >itb08g08190.t1 pep chromosome:ASM357664v1:8:7087070:7091110:-1 gene:itb08g08190 transcript:itb08g08190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEQIITQKKEAAEMAAMEDQKQEEEELPSSEGRLPPWNKQITVRGVVASAVIGCIYSVIAMKLNLTTGITPNFNVSAALLAFIFVRTWTKLLRKLGISSAPFTRQENTMIQTCAVACYSIAIGGGLGSYLLGMNKKTYELAGVETVGNNPSSYKKLEIGWMTGYQLVVCFIGLFVLIPLRKILIIDYKLTFPTGMATAVLINGFHAKSDKMARKQVKGFMKFFSGSFVWAFFQWFYTGKEECGFSQFPTFGLKAWKQTFFFDFSLTYVGTGMICPHIVNVSVLLGAIISWGVMWPVVAKLKGEWFPASIPESSMKSLNGYKVFISISLLLGDGLYNFTKILCITLLSILDKVKKRTADPAVEQSKTRAELRKDEIFMRDRIPMWVGGVGYVTLAIIAVIAIPIIFPEVKWYWVILAYVIAPSLAFCNAYGVGLTDINMAYNYGKLGLFMMAAMAGKQHGVVAGLAGCGLIKSIANVSCNLMIDFKTGHLTMTSPRAMFLSQAIGTVIGCVVAPLSFFLFYTAFDIGNPAGEFKAPYALIYRNMAILSVEGFSALPRHCLQLCYGFFGFAILVNFVKDLSPPRIKKFMPLPMAMAVPFLIGGYFAIDMCVGSLVVFLWQKLNAGKAEVMVPAVASGMICGEGLWILPASILALAKVSPPICMKFLPS >itb03g14840.t1 pep chromosome:ASM357664v1:3:14379927:14382106:-1 gene:itb03g14840 transcript:itb03g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAGSLPPQAAAAANTGRSPVSRLNSFVERSPVGKRFKLKERNTTFTTELRAGTATFLTMAYILAVNASILSDSGGTCSVSDCLAFCAGDSTVPLSNCTQIVSPDESCKFNPVNPGYSACLEKTRKDLIVATVASSLIGCVIMGVFANLPLALAPGMGANAYFAYTVVGFHGSGNLSYQSALAAVFIEGLIFLLISAFGLRARLAKMVPKPVRISSSAGIGLFLAFIGLQNNQGIGLVGFSPSTLVTLGACPRDARAALAQVTTAVNGTVSLLPGGTVSGDILCLHGRMESATFWLGVIGFVIIAYCLVKNIKGAMIYGVIFVTAVSWFRHTRVTAFPDNPAGDSAYQYFKKIVDVHKIETTAGALSFKSIGKGFFWEALVTFLYVDILDTTGTLYSMARFAGFTDSNGDFEGQYFAFMSDASAIVIGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAWYFFLAFFFTPLLASIPPWAVGPPLILVGVLMMRAVTEIEWGDMRQAIPAFITLILMPLTYSIAYGLIGGIGTFIILHLWDWIAGWMRKYSRIEGPISNNVNLDNGVK >itb03g14840.t2 pep chromosome:ASM357664v1:3:14380318:14381079:-1 gene:itb03g14840 transcript:itb03g14840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGVIFVTAVSWFRHTRVTAFPDNPAGDSAYQYFKKIVDVHKIETTAGALSFKSIGKGFFWEALVTFLYVDILDTTGTLYSMARFAGFTDSNGDFEGQYFAFMSDASAIVIGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAWYFFLAFFFTPLLASIPPWAVGPPLILVGVLMMRAVTEIEWGDMRQAIPAFITLILMPLTYSIAYGLIGGIGTFIILHLWDWIAGWMRKYSRIEGPISNNVNLDNGVK >itb06g16420.t1 pep chromosome:ASM357664v1:6:20593096:20593872:1 gene:itb06g16420 transcript:itb06g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNMAEKVYPSKPAFNGATTSPAAAAANSRTNPPKKAQLYHATRPVYRPSSRRNRSCLCSCCLWTTFLVIILVFLAAVAGVIFWAVYRPHRPSFTVNSLSFSQFNISSTKLTSNFTSTISARNPNKKLTFLYDPITVSLFSGDVAVGTGSLPGFRHGTENTTTLKAMVMTSGKTLDGGEISSLKSTLKSKNALPVKIRLETKVKVKVGSLKTKKVGIRVTCDGIKVTVPAGKSPAKTTTSNVKCKVDTRIKIWKWTF >itb14g02040.t1 pep chromosome:ASM357664v1:14:1647193:1652932:-1 gene:itb14g02040 transcript:itb14g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMIVTGSTMSESEEGEGPRTVDQQSHGKENVKTSGFELFITALPSQATVHKQTGSGFDLPSTSLSSQEIASTVDEFVVGLDVEVETIMGRIIEGSKNLKVISIVGMMGLGKTTLSNTLLNEYQLQYEFFTRFLIDVLKKNDTKEIFCEILKMLTNEENAYNKMLDEVQLVDKIKELLEGKKYFIVMDGVRTMQEWNSLKDAFPDNMRGSRVLVTTRNHEVAFCADSACNPHQLKFLTSDEIWGLLAKKVFGKEICSDESLGTLGRRIATKCNGIPRVAEVIADELCKNRTSDRWKHLADDPFAIIDHMNQECNPLIQLVYDKMNFQLRNCFLYLAAFPIGYEIIARKLIHLWIAEGFIQLLDGENSLNLECTAEEYLNDLIDRKLLTVSERSADGQIKKCSIHKTLHEFCKTEAAKKNVFHKMDTVELVANKYIPRRLSADSSALDFQELGSKVSTGHVRSFISSCSKEEEVLNEPVLAAIAKSFPLLRVMDVESLKFKSLPKELCFLHYLKYLALAVSTEPKFPPKLFNNLWEMQTLVLNSSQSSVEMEVETWSMPKLRHLYSNTPMQLSPPSTLRKNGSGSMDLQTLSNISPSSCTGEIFGLIPNLQKLGIRGNLGELMANNGGIELFDNLQKLSHLQKLKLHNSANDSLTGRLQSIPQHKKFPNRLRKLSLSNTSFGWKDMCVLGALDELQILKLKDNAFRGKSWELDDNIVFKQLQFLRIVKADLESWKTSKSCFPVLKTLIIKHCSSLEAVPSEFADVDSLKLLDLYCTTEMAANSARMIKERKRTHDNGNAESCGFKLCIYPEHY >itb09g03980.t1 pep chromosome:ASM357664v1:9:2198726:2204204:1 gene:itb09g03980 transcript:itb09g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIKMDSVLLCFHILGLILCRFLADPSLVSAQNSPVFACDVDGNPGLKNFAFCDSSRDEKTRVKDLVKRLTLPEKISLLVNSAGNVSRLGIPRYEWWSEALHGVSYTGPGVKFSGPVPGATSFPQPLLTAASFNVTLFQTIGKVVSTEARAMHNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPVLTSQYAVAYVKGLQQRDDGNKKKLKVAACCKHYTAYDVDEWKGHRRYNFNAVVTKQDMEDTFQPPFKKCVLEGNVASVMCSYNQVNGKPVCGDHDLLAGVIRGKWNLNGYIVTDCDSFNEIFNSQHYTKTPEETAALGINAGVDLNCGQFLGKYTQGAVNQGLVKESEIDRAVSNNVATLMRLGFFDGDPRKQLYGNLGPKDVCTPDHQELAREAARQGIVLLKNSEDSLPLSANSIKSLAVIGPNADATHTMLGNYEGTPCKYTSPLQGLKAMVQTVYSPGCDNIACGKALVDDAKKIAAAAEAVVLVMGSDQSIETEARDRINLTLPGQQSFVVSEVAKVSKGPVILVIMSGGGMDVSFAVNDPKVSSILWVGFPGEAGGAALADVIFGYYNPCGRLPMTWYPQSYADKVPMIDMRMRPDSKTGYPGRTYRFYAGPTIFKFGHGLSYSQYKHQIIKAPKSLSLLLQQDHICHSSTCKSLDAADQICGNSSAFDVHLKIKNVGKISGSHTVFLFSSPPSIHGAPQKHLLGFEKLNLGPSEEGIARFRVDVCKHLGVVDEAGNRKVGLGHHVLHVGDLTHSLTVRV >itb04g13150.t1 pep chromosome:ASM357664v1:4:13198631:13201731:-1 gene:itb04g13150 transcript:itb04g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTTFFSLFLYTITVFLLGQGAGKHRSACPTEFSCGSLGAMKYPFSSNISGHECGLLKLECDAVPLPRIRIGRKYYYVYGKLGDYIRLYDPELEQIVLNRPRCNSFDTNVSFPNSPWVSFVIPHNFTVFKCPKSPNLTQQMNIFGYSNHTKCGDFSLFYRPPGDGDPLPNLPNHHGFPAKCSPIFLPFEKEYSTDDLFDIISVTFVLEWLLSEDCSNCQKRGGQCVTTANNQFHCSKERGNSYWRTIVMAALGGIAVLLSLLLIFILWRRKKEEESPYIFSRNASRDPCLSHDLEMGGQSFGVPVFSFKELKDATKNFDSSSEIGDGGFGTVYHGKLPDGREVAVKRLHQHNVKRMEQFLNEIKILTGLRHDNLVTLHGCTSRCSRELILVYEYIPNGTVAEHLHGERAKEGSLTWPIRMNIAVQTANALAYLHASDIIHRDVKTNNMLLDNDFRVKVADFGLSRLFPTDVTHISTAPQGTPGYVDPEYHECYQLTNKSDVYSFGVVLVELVTSKPAVDISRDKHEINLASIAMSRILRRAYDELIDPSLGFSTDAGVKRMAISVADLAFQCLQLQKDMRPTMDEVLENLKEIQRDTFNPEEEEEEEEEEEEEEEEEESENDSKVSTRVRAPPSPETENMILLRANKQPNSTDSMSDRWTSNSSTASNNR >itb04g32650.t2 pep chromosome:ASM357664v1:4:35135775:35136155:1 gene:itb04g32650 transcript:itb04g32650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYKVFDVSSSSSSSSDDDLNDKDYDINEDSEEEEEEEEDYEAEKKRMTFKCPYNCGRVFRKGKALGGHKRHCRNRAAAAAAAALAGGHGREAAAAADEDRNGINRLGFDLNEIPDSDDEYNAAE >itb04g32650.t1 pep chromosome:ASM357664v1:4:35134863:35136155:1 gene:itb04g32650 transcript:itb04g32650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPFFCFCGKQFSSGKAMGGHKKVHSRKLRRSENDGPREKTPTTARRSPSLSPNLNNLKGGPTAAVISARTENGKRERDVPLKKTSTMVRRSPSPSPSPNNLKGGPTATVICARTENGTRYVPPKKTPTTARRSSSPSPSPNNLKGDAIATAAVISARTENGKRDVPPKKTLTMARRSPSPSPNPNNLKGGGVAVISARTENGKHDVPPTTARRSPRPSPNPNNLKGGGPTTAVISARTENGKPNVPPKKRKLIMEEEDDKNSPPPPPAAAAERFFCSICGVDFQRHRSLSAHNGHHTKAARKMLPYKVFDVSSSSSSSSDDDLNDKDYDINEDSEEEEEEEEDYEAEKKRMTFKCPYNCGRVFRKGKALGGHKRHCRNRAAAAAAAALAGGHGREAAAAADEDRNGINRLGFDLNEIPDSDDEYNAAE >itb07g23770.t1 pep chromosome:ASM357664v1:7:28128453:28130294:-1 gene:itb07g23770 transcript:itb07g23770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRHIPPVYEGHSTPGAGMTSYGLLPAAHHSVDPLPREILEGKIVSQVAEIEQLVTDNHTLASTHVTLKQDISAVHEEIEKLQDHVRSIRTESDIQIRVILDNMAKKEGDAQAPDSIKNDLQQSINEAQNLVTITQELNAKLKPATEELEKARLEVKKLPEVRAELENLQQEHQQLRETFEFEKGKNVAKVEQMKLLETNVVAKATEVERLRTELLNSERKVKAIYSYGQAYVYPNPPMHASMPYMDGYGRPPPAQITGEGAFPYGTGGTAPGVGGVAAPPNPGAWGRGPY >itb11g06260.t1 pep chromosome:ASM357664v1:11:3719034:3721765:-1 gene:itb11g06260 transcript:itb11g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDVENPKGESTAKSLHATPPPPSHDVQSTWYSDLLQRASVYGVAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAAGVLIFGCLKLLEHDKLELLTLWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPAIKTWLSLGTIFAGSVLYVMTDYQFTLAAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPIELLIMGELKKIEHDKEESDWYSFQVVLPVGLSCLFGLSISFFGFSCRKAISATGFTVLGIVNKLLTVVINLVIWDKHSTFIGTVGLLICMFGGVMYQQSTSNKPKGVKDTSPQTGEEEQEKLLEMQSSNDSNNNEKQVTEPEGGKQ >itb11g06260.t2 pep chromosome:ASM357664v1:11:3719034:3721745:-1 gene:itb11g06260 transcript:itb11g06260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDVENPKGESTAKSLHATPPPPSHDVQSTWYSDLLQRASVYGVAAGSAVPIFVAIGETLYLHQPWPAIKTWLSLGTIFAGSVLYVMTDYQFTLAAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPIELLIMGELKKIEHDKEESDWYSFQVVLPVGLSCLFGLSISFFGFSCRKAISATGFTVLGIVNKLLTVVINLVIWDKHSTFIGTVGLLICMFGGVMYQQSTSNKPKGVKDTSPQTGEEEQEKLLEMQSSNDSNNNEKQVTEPEGGKQ >itb11g06260.t3 pep chromosome:ASM357664v1:11:3719034:3721765:-1 gene:itb11g06260 transcript:itb11g06260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKILKVRVLQSLFMLLLHLLPMMSSQPGALTALQYFTSAAGVLIFGCLKLLEHDKLELLTLWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPAIKTWLSLGTIFAGSVLYVMTDYQFTLAAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPIELLIMGELKKIEHDKEESDWYSFQVVLPVGLSCLFGLSISFFGFSCRKAISATGFTVLGIVNKLLTVVINLVIWDKHSTFIGTVGLLICMFGGVMYQQSTSNKPKGVKDTSPQTGEEEQEKLLEMQSSNDSNNNEKQVTEPEGGKQ >itb03g00140.t4 pep chromosome:ASM357664v1:3:74648:77543:1 gene:itb03g00140 transcript:itb03g00140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYFVGTNFLLTMSASVLCVFFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGTCIASLLGQGGPDDYRINWRWFRYFNNDRDRRDLITCGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEYCKSANCGLFGRGGGLIMFDVSGETVRYHAVDIIPITIIGVIGGILGSLYNHVLHKLLRLYNLINEKGKLHKLLLSLSVSLFTSVCLYGLPFLGGCKPCDSSSSTSTGNLKQFNCPKGYYNDLATLLLTTNDDAVRNIFSVNTPSRFNISSLAIFFMLYCILGLITFGIAVPSGLFLPIILMGSSYGRLLGIAMGKYTYIDRGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLIAKSVGDCFNPSIYDIILELKGLPFLDANPEPWMRNMTVGELADVKAPVVTLGGIEKVGRIVEVLKNTTYNGFPVVDEGVVGPGPGRATELHGLVLRTHLLLVLKKKYFMHERRRTEEWEVSEKFSWMDLAERWGKIEDVAVTNDEMEMYVDLHPLTNTTPFTVSESISVAKAMVLFRQVGLRHMLIVPKYQAAGVSPVVGILTRQDLRAHNILAVFPHLAKSKDSNKGH >itb03g00140.t2 pep chromosome:ASM357664v1:3:73687:77279:1 gene:itb03g00140 transcript:itb03g00140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYFVGTNFLLTMSASVLCVFFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGTCIASLLGQGGPDDYRINWRWFRYFNNDRDRRDLITCGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEYCKSANCGLFGRGGGLIMFDVSGETVRYHAVDIIPITIIGVIGGILGSLYNHVLHKLLRLYNLINEKGKLHKLLLSLSVSLFTSVCLYGLPFLGGCKPCDSSSSTSTGNLKQFNCPKGYYNDLATLLLTTNDDAVRNIFSVNTPSRFNISSLAIFFMLYCILGLITFGIAVPSGLFLPIILMGSSYGRLLGIAMGKYTYIDRGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLIAKSVGDCFNPSIYDIILELKGLPFLDANPEPWMRNMTVGELADVKAPVVTLGGIEKVGRIVEVLKNTTYNGFPVVDEGVVGPGPGRATELHGLVLRTHLLLVLKKKYFMHERRRTEEWEVSEKFSWMDLAERWGKIEDVAVTNDEMEMYVDLHPLTNTTPFTVSESISVAKAMVLFRQVGLRHMLIVPKYQAAGVSPVVGILTRQDLRAHNILAVFPHLAKSKDSNKGH >itb03g00140.t3 pep chromosome:ASM357664v1:3:73568:77543:1 gene:itb03g00140 transcript:itb03g00140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQADDDPESNSLHRPLLKRNRTLSSTPLAMIGAKVSPIESLDYEINENDLFKHDWRSRSKSHVLQYVFLKWTLAFLIGLLTGIIATLINLAIENIAGYKLLAVLNYIDKKRYLMGFAYFVGTNFLLTMSASVLCVFFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGTCIASLLGQGGPDDYRINWRWFRYFNNDRDRRDLITCGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEYCKSANCGLFGRGGGLIMFDVSGETVRYHAVDIIPITIIGVIGGILGSLYNHVLHKLLRLYNLINEKGKLHKLLLSLSVSLFTSVCLYGLPFLGGCAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLIAKSVGDCFNPSIYDIILELKGLPFLDANPEPWMRNMTVGELADVKAPVVTLGGIEKVGRIVEVLKNTTYNGFPVVDEGVVGPGPGRATELHGLVLRTHLLLVLKKKYFMHERRRTEEWEVSEKFSWMDLAERWGKIEDVAVTNDEMEMYVDLHPLTNTTPFTVSESISVAKAMVLFRQVGLRHMLIVPKYQAAGVSPVVGILTRQDLRAHNILAVFPHLAKSKDSNKGH >itb03g00140.t1 pep chromosome:ASM357664v1:3:73568:77543:1 gene:itb03g00140 transcript:itb03g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQADDDPESNSLHRPLLKRNRTLSSTPLAMIGAKVSPIESLDYEINENDLFKHDWRSRSKSHVLQYVFLKWTLAFLIGLLTGIIATLINLAIENIAGYKLLAVLNYIDKKRYLMGFAYFVGTNFLLTMSASVLCVFFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIIGSIGAVSAGLDLGKEGPLVHIGTCIASLLGQGGPDDYRINWRWFRYFNNDRDRRDLITCGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEYCKSANCGLFGRGGGLIMFDVSGETVRYHAVDIIPITIIGVIGGILGSLYNHVLHKLLRLYNLINEKGKLHKLLLSLSVSLFTSVCLYGLPFLGGCKPCDSSSSTSTGNLKQFNCPKGYYNDLATLLLTTNDDAVRNIFSVNTPSRFNISSLAIFFMLYCILGLITFGIAVPSGLFLPIILMGSSYGRLLGIAMGKYTYIDRGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLIAKSVGDCFNPSIYDIILELKGLPFLDANPEPWMRNMTVGELADVKAPVVTLGGIEKVGRIVEVLKNTTYNGFPVVDEGVVGPGPGRATELHGLVLRTHLLLVLKKKYFMHERRRTEEWEVSEKFSWMDLAERWGKIEDVAVTNDEMEMYVDLHPLTNTTPFTVSESISVAKAMVLFRQVGLRHMLIVPKYQAAGVSPVVGILTRQDLRAHNILAVFPHLAKSKDSNKGH >itb02g14630.t1 pep chromosome:ASM357664v1:2:10645277:10645942:1 gene:itb02g14630 transcript:itb02g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFGVADPRTVVIIEVVLKYKRWIFLRNRWGQLVDFYETNSDSYACFDSPGLSYSDLRAQIRLWMPGMSPSLCTHLSQRIFVYVQQVAFANADHHLNENGGANKVTAFVEIEEPELQPDYDDDDDDDDDEEDDDDIDDESKPPRSLSWDEINGLKQEMFKNGTAVDEGSLMCSICLEDFSAGVKITPLPCSHTFHHNCIASWLLKQASCPLCRFDISQEC >itb01g06990.t1 pep chromosome:ASM357664v1:1:5194044:5195444:-1 gene:itb01g06990 transcript:itb01g06990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDVCRSRGLRRLWRVTVVLQRRKAAATVLLVATCLVFFISLDCDGDGYGRPTTGRGRDERRWWLRDTGDLRSAMASSDEALAVTVEKRRWWP >itb06g15170.t1 pep chromosome:ASM357664v1:6:19543154:19544853:-1 gene:itb06g15170 transcript:itb06g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPIIGNLHQFDSAELHVFLWKLSKKYGSLMRMKLGSRESVVISSAAIAKEALKTHDLVFSSRPSFIGQQRLSYNGLDIGFSPYGEYWREIRKISVLHLFSLKRVKQFQTIREDEVSRMINRISNLASSYQEINVREIAISLTSNIICRSAFGLRYDEEGQEKRRFYKLLNEAQEVLAGGSLVADFLPSFGWIDKLTGKAALLEKVFKGFDCFYQELIDKHLQPNRPKSMDGDIIDILLGLMEENSSSSSNLTLDHIKAVLMNVFIAGTDTSAVLIVWAMTALMKDPRVMNIVQQEIKGFIGEKGKVDEDDIKQLPYFEAVVKETLRMYPPAPLLVNRDTLSKCTIDEYEIKPRVLVFVNAWAIARDPDYWENPNDFYPERFLDSNVDYKGHDFEFIPFGAGRRICPGMIAGVASAELALANLLYAFDWELPSWMKKEDIDTESLPGLAMHKKTPLCLFAKKV >itb09g11280.t1 pep chromosome:ASM357664v1:9:7044221:7045375:1 gene:itb09g11280 transcript:itb09g11280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSAVQQPSQAAFPLQDRVAIVTGSSRGIGSAIALHLASLGAKVVVNYTSNSAQADAVVSQINSNHGSNPPRAIAVKADVSDPAQVTSLFDAAESAFNSPVHILVNSAGVADPKYPRIVDTPVEDFDRIFNINTRGAFVCCKEAASRITRGGGGRIICLSTSTVGALLPGYATYTASKAAVEVMVKILAKELKGTKITANVVAPGPVATELFFEGKTEEMIKRLMDLTPLGRLGQTDDITPVVALLAGDSGEWINGQVIRVNGGFV >itb15g19240.t1 pep chromosome:ASM357664v1:15:21419940:21423215:-1 gene:itb15g19240 transcript:itb15g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQDSRKLLPPEVYPSWVVFAHRQKLNWLNRELEKLWPYVDQAASEVIRNSVEPILEQYRPAILAALKFSRLTLGTVAPQFTGVTIMESDPKEIVMEMEMQWDGNPNIVLDIKTLVGVALPIQVKNIGFTGVFRIIFKPLVDEFPCFGAICFSLREKRNLDFTLKVIGGDIASIPGVSDAIETTIRDAIEDSITWPVRIIIPILPGDYSELELKPVGMLDVKLIQAKELTNKDLIGKSDPFAEVFVRPLRARMKNSKVISNSLNPIWNEHFEFEVEDIATQHLTVKVYDDEGVQSSELIGCAQFPLKDLEPGKVKVVWLKLLKDLEIQRDTKNRGQVHLELLYCPYGTESVFMNAFNADFRLTSLEKALRPGPDPAEQTKLASQKKSDILFRGVLTVTVISAEELPATDLTGKSDPFVVVTMKKSDQKNKTRVLNNTLNPVWNQTFDIIVEDGLRDLLIVEVWDHDTFGKDKIGRCIMTLTRVILECEFTDSFPVDGTKSAKLNLHLKWTPQPILRDL >itb14g20800.t1 pep chromosome:ASM357664v1:14:23084234:23086808:-1 gene:itb14g20800 transcript:itb14g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEINASESKKVVPLNTWILISNFKLAYNMLRRPDGTFNRDLAEFLERKVAANTIPVDGVYSFDVVDRATSLLNRVYRPAPEKESDWGKVELEKPLSTTEVVPVIIFFHGGSFTHSSANSAIYDQFCRRLVKICGAVVVSVNYRRSPEHRYPCAYDDGWAALKWVHSRPWLQSGEDGKVHVFLAGDSSGGNIAHHVAVRAAESDVEVLGNILLHPMFGGQKRTESEMKLDGKYFVTVQDRDWYWRAYLPEGEDRDHPACNIFGPRGRALEGLKNFPKSLVVVAGFDVSQDWQLAYVQGLQESGQEVKLLFLEQATIGFYFLPNNNHFICLMEEITNFIHPLS >itb14g09880.t4 pep chromosome:ASM357664v1:14:10872137:10887969:1 gene:itb14g09880 transcript:itb14g09880.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMTTGSDHDPAVQNNGPSSEQLGDESSAVGSQSQASPAQQQPSSPSQAQPQQQNTSPVAGPRCAPTYSVLQPIIEKTEDGPGPRCGHTLTAVPPVGEEGMPGYIGPRLILFGGATALEGNSTASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRPGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAANVPPGRLQGRYGYVDERTRQTVPEAVNDGSVVLGNPVAPPVNGDMYTDISTENAMLQGTRRLSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQTSSLIKPESAVANNSAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPPVTNDRVICT >itb14g09880.t1 pep chromosome:ASM357664v1:14:10872137:10885960:1 gene:itb14g09880 transcript:itb14g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMTTGSDHDPAVQNNGPSSEQLGDESSAVGSQSQASPAQQQPSSPSQAQPQQQNTSPVAGPRCAPTYSVLQPIIEKTEDGPGPRCGHTLTAVPPVGEEGMPGYIGPRLILFGGATALEGNSTASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRPGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAANVPPGRLQGRYGYVDERTRQTVPEAVNDGSVVLGNPVAPPVNGDMYTDISTENAMLQGTRRLSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQTSSLIKPESAVANNSAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPPVTNDRVICT >itb14g09880.t2 pep chromosome:ASM357664v1:14:10872137:10885960:1 gene:itb14g09880 transcript:itb14g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMTTGSDHDPAVQNNGPSSEQLGDESSAVGSQSQASPAQQQPSSPSQAQPQQQNTSPVAGPRCAPTYSVLQPIIEKTEDGPGPRCGHTLTAVPPVGEEGMPGYIGPRLILFGGATALEGNSTASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRPGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAANVPPGRLQGRYGYVDERTRQTVPEAVNDGSVVLGNPVAPPVNGDMYTDISTENAMLQGTRRLSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQTSSLIKPESAVANNSAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPPVTNDRGSLAWI >itb14g09880.t3 pep chromosome:ASM357664v1:14:10872131:10885862:1 gene:itb14g09880 transcript:itb14g09880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMTTGSDHDPAVQNNGPSSEQLGDESSAVGSQSQASPAQQQPSSPSQAQPQQQNTSPVAGPRCAPTYSVLQPIIEKTEDGPGPRCGHTLTAVPPVGEEGMPGYIGPRLILFGGATALEGNSTASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRPGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAANVPPGRLQGRYGYVDERTRQTVPEAVNDGSVVLGNPVAPPVNGDMYTDISTENAMLQGTRRLSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQTSSLIKPESAVANNSAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGVSALFFYLLSQHHTQLVTLVEIVSVTCNP >itb12g24270.t1 pep chromosome:ASM357664v1:12:25855902:25856765:1 gene:itb12g24270 transcript:itb12g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPSISLILLLLIIFSSSSTARPLCGHHTAGSSSKLLLLPTDNHGGGGASSSAAGISESGVADARKKRVMSLLHMLPKGTLPPSGPSKGTNEVNN >itb04g07070.t1 pep chromosome:ASM357664v1:4:4699673:4703963:1 gene:itb04g07070 transcript:itb04g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNGDRVENGSADLMIRKEELKDEGFGEKKEVGVVESVEMIFKDKEVPPWQNQLTVRAFVVSLGLGVLFTVIVMKFNLTTGIIPSLNVSAGLLGFFFVKTWTAFLERTGMLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSESVAKQSSEALDAQNIKNPHLGWMIGFLFIVSFLGLFSVVPLRKIMVIDFKLIYPSGTATAHLINSFHTPQGAKLAKFYFDFSATYVGVGMICPYIINISVLLGAILSWGIMWPLIQNRKGDWYTEPSNTSLHGIQGYRVFIAISMILGDGLYNFFKVLSRTLIALSAQLKNKGPGGVIPVTNSPSSGDPDAAPSFDDQRRTQVFLKDQIPTWLAFGGYVAIAAVSAATLPKLFPQLKWYYILVIYIVAPALAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGKSHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVLAPSVFWLFYNAFPNLGDPDSQYPAPFALAYRNMAILGVDGFSSLPKYCLLLCCIFFFGAMAINAVKDFMPTKWSRFIPLPMAMAIPFYIGSYFAIDMCLGSLILFVWEITDKAKAAAFAPAVASGLICGDGLWSVPSSILALAGVKPPICMKFLSRGTNSDVDKFLGGR >itb02g04720.t1 pep chromosome:ASM357664v1:2:2792895:2795011:-1 gene:itb02g04720 transcript:itb02g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEVEYWRIDEQCGLEEEEDEKGIVSKTTRWVLKAGLRLGKKVVITGIAVSSAPLVLPPLITICVVGFIVLVPVGVVLGSYACTEKLMSKLLPIPLLEYHSQALRDGNHQVEEEFGEELYGRVYEGEFALIQEEMKMEGEQRIELGRDNEEEGSEYVEKHDDKKGIGVDEEHLEEEVYEKEDIVEYLEKDDNIDEINMEKGCYEEDVGEVLDVNIDENLEDEEGYEEAIGESLEGEHEEDIDDENLDEGYEEEDTSEEYFEAEHKVKNEINIDDNLEEVYEEEEAIGIGKYLEGELEEEDEKEDENLEEGYAGEYMEGEGEHQEKNEISIDEDLEEGYEEDIDEYLEEEEHEGPIPEMNLETDDEPGRKEEELHYSEFGENVTRTKGLDLEATSAGTTENHKLGTDEADENFEHISLTLLGNVGSSSMNEDVEHLEKTSEFEEEEEEEDKVDNENPVFIGTEAKVEITTVVVVKETQLAPETEKQGNGENRNASEQVSAPEHNEINSNEGTGAPSSEVMVSEEKIWEQISAIRGILGYTAAPQSSTVDELKALFILTGVEPPSPFEDPSDLADVDEKLRLLMSIVGAK >itb04g12970.t1 pep chromosome:ASM357664v1:4:12911490:12911732:1 gene:itb04g12970 transcript:itb04g12970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANIVGKSKKELYDIMCEMKKLMEQNEEQARQILIENPTLARDLFKAQIMLGMVQPTQPSPSHVNQSAPAGPNEKAAP >itb11g04480.t2 pep chromosome:ASM357664v1:11:2348482:2353069:1 gene:itb11g04480 transcript:itb11g04480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVKGTVTPLSSLFPVDEAQKALQRVHETIDERQKQLDQLNGFVADNKNLINLVQRLPDKLHHDVMVPFGKAAFFPGRLIHTNEFLVLLGEGYYADRTSKQTAEILTRRGKALESQVQSIKAVMQDLKAEASFFDATAAESAEGLVEIREDCVEETSYGESAASGVPDVTSCSSKAEDAKQEVDDEEYACILSRMDELEREEEEEAEKADESIEDEPDETNLDRSIHQHALDKQIASLELHDLSNLTKGARSSITNSNEGGVTVSRNHSKDFSDQVQVEASNVRALSEG >itb11g04480.t3 pep chromosome:ASM357664v1:11:2348482:2353069:1 gene:itb11g04480 transcript:itb11g04480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVKGTVTPLSSLFPVDEAQKALQRVHETIDERQKQLDQLNGFVADNKNLINLVQRLPDKLHHDVMVPFGKAAFFPGRLIHTNEFLVLLGEGYYADRTSKQTAEILTRRGKALESQVQSIKAVMQDLKAEASFFDATAAESAEGLVEIREDCVEETSYGESAASGVPDVTSCSSKAEDAKQEVDDEEYACILSRMDELEREEEEEAEKADESIEDEPDETNLDRSIHQHALDKQIASLELHDLSNLTKGARSSITNSNEGGVTVSRNHSKDFSDQVQVRNTSLLFISKLKR >itb11g04480.t4 pep chromosome:ASM357664v1:11:2348482:2351441:1 gene:itb11g04480 transcript:itb11g04480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVKGTVTPLSSLFPVDEAQKALQRVHETIDERQKQLDQLNGFVADNKNLINLVQRLPDKLHHDVMVPFGKAAFFPGRLIHTNEFLVLLGEGYYADRTSKQTAEILTRRGKALESQVQSIKAVMQDLKAEASFFDATAAESAEGLVEIREDCVEETSYGESAASGVPDVTSCSSKAEDAKQEVDDEEYACILSRMDELEREEEEEAEKADESIEDEPDETNLDRSIHQHALDKQIASLEVIYIVGYCDYRYLTKGRT >itb11g04480.t1 pep chromosome:ASM357664v1:11:2348482:2353069:1 gene:itb11g04480 transcript:itb11g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVKGTVTPLSSLFPVDEAQKALQRVHETIDERQKQLDQLNGFVADNKNLINLVQRLPDKLHHDVMVPFGKAAFFPGRLIHTNEFLVLLGEGYYADRTSKQTAEILTRRGKALESQVQSIKAVMQDLKAEASFFDATAAESAEGLVEIREDCVEETSYGESAASGVPDVTSCSSKAEDAKQEVDDEEYACILSRMDELEREEEEEAEKADESIEDEPDETNLDRSIHQHALDKQIASLELHDLSNLTKGARSSITNSNEGGVTVSRNHSKDFSDQVQVEASNVRALSEDDYSQGECLAFRQPSSTDVTPRLPVVKDNIKAPVVSENKAVVNTTEQSFDVSKAFTGSIVEHSPNFDMQPREQPVGRASKPVSRFKMQRK >itb01g05290.t3 pep chromosome:ASM357664v1:1:3632141:3634774:1 gene:itb01g05290 transcript:itb01g05290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLSRDVSDLCLGKPALRPVKADISVAEAVVELKRSGESHVSVWSCCSDHSTKALEGHNNCRCIGKISMVDVIVFLCKEENSRNLSKALQSPVSQILPSRIDIVRHLNPNSSLLEAIDCILGGTQNLVIPIQNNTSNNSRTKPASKPASPSSTDHGGVEYCWLTQEDVARFLLNSIGLFSPLPTFTIESLSIINYDIMTVGYHDLATSALGFISRANAEQTSVAVIDDDNRLIGEISPSTLAYCEETVAAAIASLSAGDLMAFIDCGSPTDDLLEMVKAKLEEKNLVALLRLVEEEYSAIFSSSSSSPLSLSSSASSAASSFSSDEELGLSRNSILGRYFARKTEAITCYPWSSLAAVMIQALAHRANNIWVVEEDQSVVGTVTYKQMLSVFGSIANPRPNKLPAGLPENSFKK >itb01g05290.t4 pep chromosome:ASM357664v1:1:3632141:3633447:1 gene:itb01g05290 transcript:itb01g05290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLSRDVSDLCLGKPALRPVKADISVAEAVVELKRSGESHVSVWSCCSDHSTKALEGHNNCRCIGKISMVDVIVFLCKEENSRNLSKALQSPVSQILPSRIDIVRHLNPNSSLLEAIDCILGGTQNLVIPIQNNTSNNSRTKPASKPASPSSTDHGGVEYCWLTQEDVARFLLNSIGLFSPLPTFTIESLSIINYDIMTVGYHDLATSALGFISRANAEQTSVAVIDDDNRLIGEISPSTLAYCEETVAAAIASLSAGDLMAFIDCGSPTDDLLEMVMLAKFTLIKNVPSSQRLSDLQVCVPINFLNELYSNNFSLLILN >itb01g05290.t1 pep chromosome:ASM357664v1:1:3632149:3634719:1 gene:itb01g05290 transcript:itb01g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLSRDVSDLCLGKPALRPVKADISVAEAVVELKRSGESHVSVWSCCSDHSTKALEGHNNCRCIGKISMVDVIVFLCKEENSRNLSKALQSPVSQILPSRIDIVRHLNPNSSLLEAIDCILGGTQNLVIPIQNNTSNNSRTKPASKPASPSSTDHGGVEYCWLTQEDVARFLLNSIGLFSPLPTFTIESLSIINYDIMTVGYHDLATSALGFISRANAEQTSVAVIDDDNRLIGEISPSTLAYCEETVAAAIASLSAGDLMAFIDCGSPTDDLLEMVMLAKFTLIKNVPSSQRLSDLQQVKAKLEEKNLVALLRLVEEEYSAIFSSSSSSPLSLSSSASSAASSFSSDEELGLSRNSILGRYFARKTEAITCYPWSSLAAVMIQALAHRANNIWVVEEDQSVVGTVTYKQMLSVFGSIANPRPNKLPAGLPENSFKK >itb01g05290.t2 pep chromosome:ASM357664v1:1:3632141:3634741:1 gene:itb01g05290 transcript:itb01g05290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLSRDVSDLCLGKPALRPVKADISVAEAVVELKRSGESHVSVWSCCSDHSTKALEGHNNCRCIGKISMVDVIVFLCKEENSRNLSKALQSPVSQILPSRIDIVRHLNPNSSLLEAIDCILGGTQNLVIPIQNNTSNNSRTKPASKPASPSSTDHGGVEYCWLTQEDVARFLLNSIGLFSPLPTFTIESLSIINYDIMTVGYHDLATSALGFISRANAEQTSVAVIDDDNRLIGEISPSTLAYCEETVAAAIASLSAGDLMAFIDCGSPTDDLLEMQVKAKLEEKNLVALLRLVEEEYSAIFSSSSSSPLSLSSSASSAASSFSSDEELGLSRNSILGRYFARKTEAITCYPWSSLAAVMIQALAHRANNIWVVEEDQSVVGTVTYKQMLSVFGSIANPRPNKLPAGLPENSFKK >itb14g07100.t1 pep chromosome:ASM357664v1:14:6484855:6485214:1 gene:itb14g07100 transcript:itb14g07100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSAIHPVPSQLLAPAPYQVCLVPTLIQRCNAQPHALRSLRSQVRAHGHSAPQPLVRTRPRPINKAPPMPSPHPSRHSCFPTQQHPSRLASSGFIFRTQHPHQGITFSNKHHCPRHQ >itb09g06300.t1 pep chromosome:ASM357664v1:9:3614440:3620216:-1 gene:itb09g06300 transcript:itb09g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAEDHRYPLWFFLISQIFIGNLAVALAVDSITPTQPLAGNRTLVSSDGLFELGFFTPNGSDQSYVGIWYKEIEPKTVVWVGNRDAPLGGSAGILKIGEDGNVHLVDGGGNFIWSPTNQSAARNTVAQLLDSGNFVLRREDDENPENYLWQSFDYPTDTLLPGMKLGWDSKTGLNRYISAWKSLNDPGEGPISFKLDINGLPEIFLRSRDKIVYRSGPWNGVRFSGVPEMKPTATITFSFVMTKNERYYSFELHNKTLYSRLLVTRNGNLERYAWIPTSKIWSKFWYAPKDQCDSYKECGTFGFCDTNMSPVCQCLVGFRPKSPQAWDLRDGSDGCVRYHELECRKDGFLTMNFMKLPDTSSSFVDTTMNLDECMRMCKNNCSCTAYTNSNISNGGSGCVIWTTELLDMRQYAAVEGGQAVYIRVAASDVAQGGDSGDASGRTKRILIACGIAVGVGILLFALSALFILKRRQSKRALGKNTELRGFRDRSQDLLMNAAVIPSKREYSGETMTDEFELPLFDFSTIVVATDNFADVNKLGQGGFGCVYKGMVEGEEIAVKRLSKNSGQGVEEFKNELRLIARLQHRNLVRLLGCCVDMEEKILIYEYMENKSLDSTLFNKQRSSLLNWQTRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGGDETEANNTKRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVTGKKNRGFYNQNNQQNLLGHAWRLWRERRGSELLDSAIGESYSLCEVMRCIQVGLLCVQEQAEDRPNMATVVLMLGSESATLPQPKHPGFCLGSRPADMDSSTSNCDESCTVNQVTVTMLDGR >itb09g06300.t2 pep chromosome:ASM357664v1:9:3614440:3620216:-1 gene:itb09g06300 transcript:itb09g06300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAEDHRYPLWFFLISQIFIGNLAVALAVDSITPTQPLAGNRTLVSSDGLFELGFFTPNGSDQSYVGIWYKEIEPKTVVWVGNRDAPLGGSAGILKIGEDGNVHLVDGGGNFIWSPTNQSAARNTVAQLLDSGNFVLRREDDENPENYLWQSFDYPTDTLLPGMKLGWDSKTGLNRYISAWKSLNDPGEGPISFKLDINGLPEIFLRSRDKIVYRSGPWNGVRFSGVPEMKPTATITFSFVMTKNERYYSFELHNKTLYSRLLVTRNGNLERYAWIPTSKIWSKFWYAPKDQCDSYKECGTFGFCDTNMSPVCQCLVGFRPKSPQAWDLRDGSDGCVRYHELECRKDGFLTMNFMKLPDTSSSFVDTTMNLDECMRMCKNNCSCTAYTNSNISNGGSGCVIWTTELLDMRQYAAVEGGQAVYIRVAASDVAQGGDSGDASGRTKRILIACGIAVGVGILLFALSALFILKRRQSKRALGKNTELRGFRDRSQDLLMNAAVIPSKREYSGETMTDEFELPLFDFSTIVVATDNFADVNKLGQGGFGCVYKGMVEGEEIAVKRLSKNSGQGVEEFKNELRLIARLQHRNLVRLLGCCVDMEEKILIYEYMENKSLDSTLFNKQRSSLLNWQTRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGGDETEANNTKRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVTGKKNRGFYNQNNQQNLLGHVSTSLTISSL >itb03g21150.t1 pep chromosome:ASM357664v1:3:18952722:18956699:1 gene:itb03g21150 transcript:itb03g21150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESLCKKLRCLQAFLEHSEKNNINCPAWIGLETEIRDVAAEAETKIDNINCPSASHPKKCLQFSERFSYWIQSLKSLVHPKKKYINCPAWRGLETEIRDVAAEVESKIELQLYQLYNEEDAPVEPCESLHQTLQQVMGDIESLERRIQQIESNRNHSVEPPRRNAAIQNIKADSSSKRCTEPENVMVGCDDEFETIKNKLISDSNNLEVISITGMGGIGKTTLAQRVYNDEAAIASYFDIRAWTTVSQQHNLREMLRDLLGSNDNNPDVSYLASQLRQRLLGHRYLIVIDDIWSTQAWDGIHRCFPKDFNGSRILLTTRLKQVAGYVSSGNNLYSMRFLNFNESWNLFYKKVFVEKKFPLEFEKIGRDVVKKCQGLPLTIIVVAGLLSSSSNKPSPNQWENVVANLDLLLDTDPEKKCSKMLSLSYNHLPLHLKVCFLYFGVFPEDSIIKVKKLIRLWIAEGFLKLELNKATEEVAYAYLQDLVDRGLVQIDKLSSFDNKIKYCKVHDVLHSFSLREAEREKLLCVINENNNVHELELGVGLATSSLDRKACRRVVSYQLSHIDAEPITQISRSHELRSFLHLPRHSIVGVSCNNSRILPYSKLLRVLNMSLCYLNHLPREIVDLVHLRYLALSIDKRACFNGYQLCKQLCKLRCLQTIIITNEPWHSFSPNNILGMPQIRHVHFSPRTLYYHHLPKLVQGNLQTLSWLSLPQRLQTEPDFKVIPNVKELGIHLMGFTWDLQPHISMEGLLNLHQLENLKFERDGGCLKCDSKVLKAFPSNLKKLTLIRTNFSWEDMAIISTLPNLEVLKLREDAFCGPEWKATGNGFCKLKYLEVNRLLSLKHWSVDADHFPILECIFLYLCPDLVEFPTGFGEINTLRLIDLKRCHLSLVTSAKKLQEERRDLGDDRLVLREFYTYRLIGTHYEAIGSEVGAVN >itb12g05600.t1 pep chromosome:ASM357664v1:12:4133937:4138552:-1 gene:itb12g05600 transcript:itb12g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPSLEPSDSGRDRERGEGNGAPAKDSPERVEIPGKQVKEKGGVEAGKKSPLFPFYSPSPAHYLFFKKSPAPPSSNSTPRRFFRRPFPPPSPAKHIRAVLARRQGSVKPNEAAIPEGTEAEVGGAVLDKRFGFSKHFGNKYELGEEVGRGHFGYTCRAKFKKGELKGQDVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLYTSKDENAQLKAIDFGLSDFVKPDDRLNDIVGSAYYVAPEVLHRSYNTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFEEEPWPALSSEAKDFVKRLLNKDPRKRMTASQALCHPWIQKGNDVKAPLDILIFKLMKVYMRSSALRRAALRALSRTLTVDELFYLRAQFSLLEPNKNGTICLENIKAALMKNATDAMKDSRIHEFLALFNALQYRRMDFDEFCAATLSVHQLEALDRWEQHARCAYEIFEKDGNRAIVIEELASELGLGPSIPVHAVLHDWIRHTDGKLSFLGFIKLLHGVSSRTIAKPQ >itb12g05600.t2 pep chromosome:ASM357664v1:12:4133961:4138552:-1 gene:itb12g05600 transcript:itb12g05600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPSLEPSDSGRDRERGEGNGAPAKDSPERVEIPGKQVKEKGGVEAGKKSPLFPFYSPSPAHYLFFKKSPAPPSSNSTPRRFFRRPFPPPSPAKHIRAVLARRQGSVKPNEAAIPEGTEAEVGGAVLDKRFGFSKHFGNKYELGEEVGRGHFGYTCRAKFKKGELKGQDVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLYTSKDENAQLKAIDFGLSDFVKPDDRLNDIVGSAYYVAPEVLHRSYNTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFEEEPWPALSSEAKDFVKRLLNKDPRKRMTASQALCHPWIQKGNDVKAPLDILIFKLMKVYMRSSALRRAALRALSRTLTVDELFYLRAQFSLLEPNKNGTICLENIKAALMKNATDAMKDSRIHEFLALFNALQYRRMDFDEFCAATLSVHQLEALDRWEQHARCAYEIFEKDGNRAIVIEELASELGLGPSIPVHAVLHDWIRHTDGKLSFLGFIKLLHGVSSRTIAKPQ >itb12g05600.t3 pep chromosome:ASM357664v1:12:4135331:4138510:-1 gene:itb12g05600 transcript:itb12g05600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPSLEPSDSGRDRERGEGNGAPAKDSPERVEIPGKQVKEKGGVEAGKKSPLFPFYSPSPAHYLFFKKSPAPPSSNSTPRRFFRRPFPPPSPAKHIRAVLARRQGSVKPNEAAIPEGTEAEVGGAVLDKRFGFSKHFGNKYELGEEVGRGHFGYTCRAKFKKGELKGQDVAVKVIPKAKMTTAIAIEDVRREVKILRALNGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLYTSKDENAQLKAIDFGLSDFVKPDDRLNDIVGSAYYVAPEVLHRSYNTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFEEEPWPALSSEAKDFVKRLLNKDPRKRMTASQALCHPWIQKGNDVKAPLDILIFKLMKVYMRSSALRRAALRALSRTLTVDELFYLRAQFSLLEPNKNGTICLENIKAALMKNATDAMKDSRIHEFLALVNPTNIPLHI >itb04g07030.t1 pep chromosome:ASM357664v1:4:4664935:4669378:-1 gene:itb04g07030 transcript:itb04g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAPSSGSNVNARASLSLDEEEDEGMVIGIDEVNEDGVEYKYMLVGKLLTDKPIKFKVLRDTIAATWRPVMGMMMNEAEYNLFVFQFFHQVDMKRVMEDGPWCFDQSLLVLHRMQPSECPNDIPLCKAEFWVQVHKLPIGFFTENIAKAIGDYLGEFIRADRRNFEGSWKSFLRVRALLDLTKPLRRRVKMKKEGGDWFWAEFKYERLPHFCFLCGLMGHTERYCERMLEGVTEETVRPYGSWLRATGRRFPAIGSRWLVEERPRRAGIQTPQPQEVVNPNSLREEIQTPQPQEVVSPNSSREEIQTPQPQEVVSPNSSREEIQTPQPQEVVNPNSGREEIQTPQPQEALELNDQQLLGQEVELDLAKERGADTPNSGEFDNSFQKQGSGGGESSSEYDDDDSSDESEDEEPQNKNTEASTTETTVKQSANDSKDETSYEDESSDDEPSEAEWQSSTGKESSSDDYTSEESSDEEEDAPSKTPKKQKTPDTPRAESQGSRSLFMGNLSWSIVQADVENFFKDCGEVKDVRFASHRDGMFKGYGHVEFTTSEAAAKALELNGQQLLGREVRLGLAKERGAYTLNSGEFDNSFQKQGRGGGESTIVFVKGFDKNDIEDKIRSALEDHFGSCGEIKGIRIPTDPEGCIKGMAYIEFTDSDAMNKALELNNSQIGNNTLYVDDAKPRGDSRGGGGERGGRFGGRGGGRFGRGGGRFGLSRGVWF >itb04g07030.t3 pep chromosome:ASM357664v1:4:4664935:4669270:-1 gene:itb04g07030 transcript:itb04g07030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAPSSGSNVNARASLSLDEEEDEVVGWSRNDRAGRGYKRHSLKREEIQTPQPQEVVSPNSSREEIQTPQPQEVVNPNSGREEIQTPQPQEALELNDQQLLGQEVELDLAKERGADTPNSGEFDNSFQKQGSGGGESSSEYDDDDSSDESEDEEPQNKNTEASTTETTVKQSANDSKDETSYEDESSDDEPSEAEWQSSTGKESSSDDYTSEESSDEEEDAPSKTPKKQKTPDTPRAESQGSRSLFMGNLSWSIVQADVENFFKDCGEVKDVRFASHRDGMFKGYGHVEFTTSEAAAKALELNGQQLLGREVRLGLAKERGAYTLNSGEFDNSFQKQGRGGGESTIVFVKGFDKNDIEDKIRSALEDHFGSCGEIKGIRIPTDPEGCIKGMAYIEFTDSDAMNKALELNNSQIGNNTLYVDDAKPRGDSRGGGGERGGRFGGRGGGRFGRGGGRFGLSRGVWF >itb04g07030.t2 pep chromosome:ASM357664v1:4:4665350:4669378:-1 gene:itb04g07030 transcript:itb04g07030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAPSSGSNVNARASLSLDEEEDEGMVIGIDEVNEDGVEYKYMLVGKLLTDKPIKFKVLRDTIAATWRPVMGMMMNEAEYNLFVFQFFHQVDMKRVMEDGPWCFDQSLLVLHRMQPSECPNDIPLCKAEFWVQVHKLPIGFFTENIAKAIGDYLGEFIRADRRNFEGSWKSFLRVRALLDLTKPLRRRVKMKKEGGDWFWAEFKYERLPHFCFLCGLMGHTERYCERMLEGVTEETVRPYGSWLRATGRRFPAIGSRWLVEERPRRAGIQTPQPQEVVNPNSLREEIQTPQPQEVVSPNSSREEIQTPQPQEVVSPNSSREEIQTPQPQEVVNPNSGREEIQTPQPQEALELNDQQLLGQEVELDLAKERGADTPNSGEFDNSFQKQGSGGGESSSEYDDDDSSDESEDEEPQNKNTEASTTETTVKQSANDSKDETSYEDESSDDEPSEAEWQSSTGKESSSDDYTSEESSDEEEDAPSKTPKKQKTPDTPRAESQGSRSLFMGNLSWSIVQADVENFFKDCGEVKDVRFASHRDGMFKGYGHVEFTTSEAAAKALELNGQQLLGREVRLGLAKERGAYTLNSGEFDNSFQKQGRGGGESTIVFVKGFDKNDIEDKIRSALEDHFGSCGEIKGIRIPTDPEGCIKGYAWWL >itb13g23200.t1 pep chromosome:ASM357664v1:13:29291550:29294056:-1 gene:itb13g23200 transcript:itb13g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSLALLSLLLLLLPLSLLLFLYLIVRPRPVRVPISNRHVFITGGSSGIGLALALQAAAEGAKVSILARNAGKLEEAKQTIRRATGCGVASFSADVRDYDAMKRAVEEAGAIDVLVCNHGVYASKELENQEVEDVKVMIDVNLIGTFHLIKAALPGMISRPANRGPGSIAIMSSQAGQVGIYGYTAYSASKFGLRGMAEALQQEVIAHNIHVSLIFPPHTDTPALAQEIKRRPQITSKIAVSSGAFIKAEEVAKKSLNGIKCGSFSVACNLEGFFLSIAAAGFSPQTSFLMAFVEACLAGFLRIAALCFQCNWYTIIQKWHACRTK >itb15g15500.t1 pep chromosome:ASM357664v1:15:14445051:14448214:-1 gene:itb15g15500 transcript:itb15g15500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPEEKDENGKKACFFRLRKVRGRFRRRKACAGGGGGGCLKVPRTRDSGDRRSRSPEEAIVATTQFGTDGLLRSRRTEKELPPNSTAAAATSRRRTNAGEEHRRSWRLSLPVALLPITPQPSTDPRRLSEEAAGENELLLSLARRRTGSHRRSFS >itb02g25210.t2 pep chromosome:ASM357664v1:2:25933204:25934580:-1 gene:itb02g25210 transcript:itb02g25210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRSSSTPLLNSLIPNSNGSSPDSDVFPMPAAPKLSRTRSVCFATLCGVERHASIRSESELGSPLAPRKNKSSVKPPATANPSKVKEVVVFTEVDRLLSNSGLGEVEQEGCAAFAEDRALQTLVVGGGEGGGGGRVCGGGGRGSDGGDGPGSGSNSHDSNSWHGHDSTDAYYQKMIEANPGNALLLANYAKFLKEVRGDTVKAEEYCGRAILANPSDGSVLSLYADLIWQTHKDSARAQSYFDQAVKSDPDDW >itb02g25210.t1 pep chromosome:ASM357664v1:2:25933204:25934580:-1 gene:itb02g25210 transcript:itb02g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRSSSTPLLNSLIPNSNGSSPDSDVFPMPAAPKLSRTRSVCFATLCGVERHASIRSESELGSPLAPRKNKSSVKPPATANPSKVKEVVVFTEVDRLLSNSGLGEVEQEGCAAFAEDRALQTLVVGGGEGGGGGRVCGGGGRGSDGGDGPGSGSNSHDSNSWHGHDSTDAYYQKMIEANPGNALLLANYAKFLKEVRGDTVKAEEYCGRAILANPSDGSVLSLYADLIWQTHKDSARAQSYFDQAVKSDPDDCYVLASYARFLWDAEEELEEEEEEEEEESEAKRQCGIQSGNASSDLFQGASPLTA >itb09g27890.t1 pep chromosome:ASM357664v1:9:28509298:28509852:-1 gene:itb09g27890 transcript:itb09g27890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKTSAYRRQRSMEEVANARAEGGKRVTAGHRRRLHLDATTNCRRRRTDVTRTSALDRTAAARTAENQRNPKTTKAALRIASPRRQKTSDTPTASLQPQSPPSSLPQPLRETIGNNGGHHEPTPPSFVCLNRRPKSSPWVAVNPPASPEKNSNPAIGKARTAGEQITGEGKKMRSSGRKKKT >itb04g19490.t1 pep chromosome:ASM357664v1:4:23851412:23857469:-1 gene:itb04g19490 transcript:itb04g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKGTLVAVCIMVTAMVMVSEAQDHDVCNLRDMLPCTPAVQSGQEPSKECCSSLRDHSPQCLCQYINDRANIQYVENVEKTFTTCGVSAGNSRKLERVAFGSVHR >itb12g06260.t1 pep chromosome:ASM357664v1:12:4647074:4652446:1 gene:itb12g06260 transcript:itb12g06260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGKKQHLDWTTRSIMSTGSERVSLLNKEAKKKTSEDGSSNGPVTDLEDGNAVEAANVRFSRIFLLAKEDAGKIIIATIALLIASTSNLLIPKYGGTIIDIVSRDTRTPEQQAEALDDVKNTVLAIVLIVVIGSVSTALRSWLFSSASERVVARLRKNLFSHLIQQEIAFYDVTRTGELLSRLSEDTQIIKSAATTNLSEALRNVTTSMIGIGFMFSSSWKLTLLALVVVPVIAIGVRKFGRYLRDLSHATQAAAALAASIAEESFGAIRTVRSFAKEQYAVSGYSEKVDETLKLGLRQAKVVGLFFGGLNAASTLSVMIVVLYGAYLTITGSMTAGSLTSFILYSLTVGSSISSLSGLYTTAMKAAGASRRVFQLLDRVSTMPKSGDKCPVNDPDGDVELDDVWFAYPSRPSHMVLKGISLKLRPGSKVALVGPSGGGKTTIANLIERFYDPLKGQILLNGVPLMEISHEYLHSKISIVSQEPVLFNCSIQENIAYGFEGSASSDVLENAAKMANAHDFIESFPDKYQTVVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVKTADTVAVVSDGQIVESGTHNELLARDGIYTALVRRQLQAPRNEL >itb12g06260.t2 pep chromosome:ASM357664v1:12:4647218:4652438:1 gene:itb12g06260 transcript:itb12g06260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGKKQHLDWTTRSIMSTGSERVSLLNKEAKKKTSEDGSSNGPVTDLEDGNAVEAANVRFSRIFLLAKEDAGKIIIATIALLIASTSNLLIPKYGGTIIDIVSRDTRTPEQQAEALDDVKNTVLAIVLIVVIGSVSTALRSWLFSSASERVVARLRKNLFSHLIQQEIAFYDVTRTGELLSRLSEDTQIIKSAATTNLSEALRNVTTSMIGIGFMFSSSWKLTLLALVVVPVIAIGVRKFGRYLRDLSHATQAAAALAASIAEESFGAIRTVRSFAKEQYAVSGYSEKVDETLKLGLRQAKVVGLFFGGLNAASTLSVMIVVLYGAYLTITGSMTAGSLTSFILYSLTVGSSISSLSGLYTTAMKAAGASRRVFQLLDRVSTMPKSGDKCPVNDPDGDVELDDVWFAYPSRPSHMVLKGISLKLRPGSKVALVGPSGGGKTTIANLIERFYDPLKGQILLNGVPLMEISHEYLHSKISIVSQEPVLFNCSIQENIAYGFEGSASSDVLENAAKMANAHDFIESFPDKYQTVVGERGLRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVKTADTVAVVSDGQIVESGTHNELLARDGIYTALVRRQLQAPRNEL >itb05g13620.t1 pep chromosome:ASM357664v1:5:20633358:20637784:-1 gene:itb05g13620 transcript:itb05g13620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAASAAMHQKVFDVSINVGESKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPTVMLLFSFVTYYTSVLLSECYRSGDPVDGKRNYTYMDAVRSNLGSLQVKICGLIQYANLFGVAIGYTIASSISMMAIKRSNCFHASGGKNPCQINSNPYMIMFGVIEIIFSQIPDFDQIWWLSIVAAVMSFTYSTIGLGLGVAKVAENGKVLGSLTGISIGTVSEMQKIWRSSQALGAIAFAYSYSLILIEIQDTVKSPPAESKTMKKATLISVVVTTIFYMMCGCFGYAAFGDQSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKTATQYYPHNKLITTEIQIPIPGSNNPYKLNLFRLIWRTVFVVLTTVISMLLPFFNDIVGILGAFGFWPLTVYFPVEMYIVQKKIPKWSTKWICLQTLSAACLVISIAAAVGSFAGVVSDLQVYKPFKTSY >itb14g08880.t1 pep chromosome:ASM357664v1:14:8824500:8828878:1 gene:itb14g08880 transcript:itb14g08880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGPLDKCPVCGGALECSSGGTYHCKGDFSEWSSCSYSTRNPPRKEEPLRIPESVHKTPLSDLIKKHQDPKNRPLKEQVITVKPLSGMMISLSGRLTRTHQYWKSQIEKNGGKVANSVIGVTCLVVSPAEQERGGSSKVAEAVERGIPVVREAWLLDSIEKKEVQPLDAYDVASDIAVDGRGIPLDKLDPSAEALENITSELKVYGKRGVHKDIKLQDEGGKILEKDGLLYNCALSVCDQGRGLNDFCIMQLIVAPENRLHMYYRKGRVGDTARVDDKLEEWENEDGAIKEFSRIFEELTGNEFESWEREKKIQKKPHKFYPLDVADGIEVRHGGLALRQLGVAAAHSKLDPMVANFMKILCSQEIYRYALMEMGYDYPEIPIGMLSDVHLERCEDSLLDFVERIRSTKEAGQQVEALWAEFSNKWFTLMPSTRPFYLRDFADIADHAASVFESVRDINVASRLIEDMSGSTLDDPLYDRYVKLGCSISALSKDYDDYKMILKYLEKTYDPVRVGDISYGVSVENIFAVEPSACPSLDDLKKLPNKVLLWCGTRSSNLLRHLQNGFLPAVCSLPVPGYMFGKAIVCSDAAAEAAKYGFTAVDRPEGFLVLAVASMGEEIMEFSSPPEDTKSLEQKKLGAKFHGRKKTDESEHFVWKDDIKVPCGRLIESQHNDSPFNYNEYAVYDPQQVSIRFLVAVKYDEEAVEYDTAEPDEPEA >itb14g08880.t2 pep chromosome:ASM357664v1:14:8824500:8828878:1 gene:itb14g08880 transcript:itb14g08880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGPLDKCPVCGGALECSSGGTYHCKGDFSEWSSCSYSTRNPPRKEEPLRIPESVHKTPLSDLIKKHQDPKNRPLKEQVITVKPLSGMMISLSGRLTRTHQYWKSQIEKNGGKVANSVIGVTCLVVSPAEQERGGSSKVAEAVERGIPVVREAWLLDSIEKKEVQPLDAYDVASDIAVDGRGIPLDKLDPSAEALENITSELKVYGKRGVHKDIKLQDEGGKILEKDGLLYNCALSVCDQGRGLNDFCIMQLIVAPENRLHMYYRKGRVGDTARVDDKLEEWENEDGAIKEFSRIFEELTGNEFESWEREKKIQKKPHKFYPLDVADGIEVRHGGLALRQLGVAAAHSKLDPMVANFMKILCSQEIYRYALMEMGYDYPEIPIGMLSDVHLERCEDSLLDFVERIRSTKEAGQQVEALWAEFSNKWFTLMPSTRPFYLRDFADIADHAASVFESVRDINVASRLIEDMSGSTLDDPLYDRYVKLGCSISALSKDYDDYKMILKYLEKTYDPVRVGDISYGVSVENIFAVEPSACPSLDDLKKLPNKVLLWCGTRSSNLLRHLQNGFLPAVCSLPVPGYMFGKAIVCSDAAAEAAKYGFTAVDRPEGFLVLAVASMGEEIMEFSSPPEVTSIMN >itb02g07480.t1 pep chromosome:ASM357664v1:2:4627519:4635565:-1 gene:itb02g07480 transcript:itb02g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRSAMFCLISKIFLAYFLILAAQISDGASPGDVAAINTLFTALGSPPLPGWGVDGDPCDGKWQGVVCEDSNIVSITINGANLGGELGDNLEGFSSIKTIDLSNNLIGGSIPSNLPVTLQTLFLSDNQLTGSIPDSLSSLSQLSAMSLNENHLSGEIPDSFQGLTALVNLDLSNNNLSGRLPPSVGNLSALITLHLQSNQLSGTLDVLENLPLRDLNIENNLFSGPIPQQLLTIPDFKKDGNPFNSSSAPLPPTSSTTPTSSTTPPPAPPFFVGGPTSAQTPPKSDRRPAKQADGPSATGQSNSTTSKKSSTKRVVGISIGAVLSFIVLVLAILLCLPKCLQKRQENHRIPKRHEIAPYMGARENPANNGSLPQPGSDIEKAPPVVVPKEEQRTRWPVSIPKPHNEQAATRENMSAIPKKDNHEINLSRFDIDLMAPPPPPPPPPPPPASPPPPPPPPPPPPPDSPKERVIVQPIPRAEENVMKRSTRPLPPTSVKSYTVASLQQYTNSFSQDNLIGTGSLGNVYRAELPNGKFLAVKKLDTKFSSQPEYEFLELVNSIDRIRHANVVELMGYCAEHGQRLLVYEYCSNGSLHDALHSDDEFKKDLSWNIRIRMALGAARALEYLHEVCEPPIVHRYLKSANVLLDDELAVHVSDCGLAPLISTGAASQLSGQLLATYGYGAPESESGIYTINSDVYSFGVVMLELLTGRMSYDSNRSRGEQSLVRWAIPQLHDIEALTRMVDPCLNGKYPVKSLSHFADIIARCVQPEPEFRPAMSEVVQDIIQMIRRENSNRSEDE >itb02g07480.t2 pep chromosome:ASM357664v1:2:4627519:4635565:-1 gene:itb02g07480 transcript:itb02g07480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRSAMFCLISKIFLAYFLILAAQISDGASPGDVAAINTLFTALGSPPLPGWGVDGDPCDGKWQGVVCEDSNIVSITINGANLGGELGDNLEGFSSIKTIDLSNNLIGGSIPSNLPVTLQTLFLSDNQLTGSIPDSLSSLSQLSAMSLNENHLSGEIPDSFQGLTALVNLDLSNNNLSGRLPPSVGNLSALITLHLQSNQLSGTLDVLENLPLRDLNIENNLFSGPIPQQLLTIPDFKKDGNPFNSSSAPLPPTSSTTPTSSTTPPPAPPFFVGGPTSAQTPPKSDRRPAKQADGPSATGQSNSTTSKKSSTKRVVGISIGAVLSFIVLVLAILLCLPKCLQKRQENHRIPKRHEIAPYMGARENPANNGSLPQPGSDIEKAPPVVVPKEEQRTRWPVSIPKPHNEQAATRENMSAIPKKDNHEINLSRFDIDLMAPPPPPPPPPPPPASPPPPPPPPPPPPPDSPKERVIVQPIPRAEENVMKRSTRPLPPTSVKSYTVASLQQYTNSFSQDNLIGTGSLGNVYRAELPNGKFLAVKKLDTKFSSQPEYEFLELVNSIDRIRHANVVELMGYCAEHGQRLLVYEYCSNGSLHDALHSDDEFKKDLSWNIRIRMALGAARALEYLHEVCEPPIVHRYLKSANVLLDDELAVHVSDCGLAPLISTGAASQLSGQLLATYGYGAPESESGIYTINSDVYSFGVVMLELLTGRMSYDSNRSRGEQSLVRWAIPQLHDIEALTRMVDPCLNGKYPVKSLSHFADIIARCVQPEPEFRPAMSEVVQDIIQMIRRENSNRSEDE >itb12g21110.t2 pep chromosome:ASM357664v1:12:23503389:23505840:-1 gene:itb12g21110 transcript:itb12g21110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALQRSSFLTPSSYGVRTHSHIFPAVSYTIRITNCSSSPTSNREELFKPHQQTLSQLQTVSPSHLQVPPSEKLVQDRRLEFGQFVAREAVLDEEYWTAAWLRAESHWEEQEQDRYVDTYKRKYAEQEYNGLKRRCKAQFGQKCTCVVVTANKKEGDVRCPVMKNMVGTLDLVIGQLLPGETFLGEMVKAPGFSNIDSKTSRYGYIANLCVAKSARRQGIASNMLHFAIRTAKASGADLVFVHVHRTNNSAQQLYWKVGFQMVEEASLQVSGEQPHLLCIKA >itb12g21110.t1 pep chromosome:ASM357664v1:12:23503392:23505841:-1 gene:itb12g21110 transcript:itb12g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALQRSSFLTPSSYGVRTHSHIFPAVSYTMITNCSSSPTSNREELFKPHQQTLSQLQTVSPSHLQVPPSEKLVQDRRLEFGQFVAREAVLDEEYWTAAWLRAESHWEEQEQDRYVDTYKRKYAEQEYNGLKRRCKAQFGQKCTCVVVTANKKEGDVRCPVMKNMVGTLDLVIGQLLPGETFLGEMVKAPGFSNIDSKTSRYGYIANLCVAKSARRQGIASNMLHFAIRTAKASGADLVFVHVHRTNNSAQQLYWKVGFQMVEEASLQVSGEQPHLLCIKA >itb12g21110.t3 pep chromosome:ASM357664v1:12:23503389:23505840:-1 gene:itb12g21110 transcript:itb12g21110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALQRSSFLTPSSYGVRTHSHIFPAVSYTIRITNCSSSPTSNREELFKPHQQTLSQLQTVSPSHLQVPPSEKLVQDRRLEFGQFVAREAVLDEEYWTAAWLRAESHWEEQEQDRYVDTYKRKYAEQEYNGLKRRCKAQFGQKCTCVVVTANKKEGDVRCPVMKNMVGTLDLVIGQLLPGETFLGVYKSYLKHHINLRNNH >itb05g22080.t1 pep chromosome:ASM357664v1:5:27624416:27627743:1 gene:itb05g22080 transcript:itb05g22080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPENEHPRKAFGWAARDSSGILSPFNFSRRENGPDDVTIQIHYCGVCHSDLHFVKNVWGITRYPIVPGHEIVGIVTQTGSNVEKFRAGDRVGVGVMVGSCLKCNICEQDLESYCPKKIFTYGSKDWDGTETYGGYSDKIVVHQHFVLRFPDNLPSDAGAPLLCAGITVYSPMKHYGIIQPGKHLGVAGLGGLGHVAVKFGKAFGLKVTVISTSPRKKDDAINKLGADFFIVSTDPAQLQAATGTMDYIIDTIAAVHPLAPLLGLLKMEGKLVTLGLPGKPLELPVFPLVAGRKLIGGSQFGGIKETQEMLDFCGEHNITADVEVIRLDDINTAMERLAKSNLCLF >itb13g05440.t1 pep chromosome:ASM357664v1:13:6505146:6510199:-1 gene:itb13g05440 transcript:itb13g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVALTCLMKTIEIEFLGQTPRVSLSLHDDDDPAGIKSLLIDLCSLQALLPELSYGDGDGDGDAVLKLRDLEIEIRDFALKAEDNIELHLSNFFILQHGGDYGEKTAACHQTLREAAKNATQLLKSSKMGSATVVLTILIVKIKHYIWQQMPFRTVYEIPIILGKLLQQLSTLRGCLLQVRNSNNSAAAIKILETKVTNFALKTEDDLQIQQKNFLLAKHTIHQDKASQKLSHTLQEAAESARELLNIIKSRSSSNEVDEANQTQPLNTWLKHGSAANVESDGSTSHGFLKPEGRMVGRHNDCRVIKDKLFSPHFSIPYLISIVGMVGIGKTTVARNVYEDPSVASHFNVRVWVNIPQHYNKNGLLSQLLQSITPLEQPNVIKKGSTPRELEMQVMKCFDVGSCILLTTRHHFNQDFPMIRYIHNMTLLDSKESWELFCNILSIDEEHLAPKFEKIRNHVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGLLDRNSITVSYNILPHHLKVCFLYFGIFPKRKKILVKMLVRLWIAEGFVKPLGHKELEDQAYEYLHELTDISLLLIEDRSCNRKIKNCRMHSALHSFCVGEAQKGGILCAVNTQQHSGLSLKAFTNSCRWLSSYSHSFDYYVLFGTNIPRSIFFFLENPEMFVPPKLLRVLAFDTSISLQRVPVQLGGLIFLRYLSITQWFEDLDDVVSNNPNLETLVVSSNEAPTVHLPSSIWKPPNLRHLELGDSYMLDPPSADKKNLQTLSWVVRPIHCRQKVYRKFPNIKNLKIFLKDDIEPSHIRGCCSNPIILDHFDCLEGLEKLSISVSIGCNAALPEECMYPSRLKKLKLSGTNISQWDINVIAKLPQLKVLKLENAFHGTEWNVVAEGGFPELIFLLLEAKDLKHWVVSSQLSWRGVSLPLLLLPSNYNRKEDPRMLRSKSLAPNIMTHIIHIQNYLFKMTWPASLIVNNCWKTIEYPEVALERWAQEFCVKKPVISLDQIDGPECEESPYPDEEEELAKIYHSVRKMICTQILNDTFNFDQILNDKAIKLPESNITERSSTAGPGFE >itb04g05350.t1 pep chromosome:ASM357664v1:4:3332655:3335129:1 gene:itb04g05350 transcript:itb04g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSSIFKSVKPHHPVPKPKPKPNPSSSSTPSKSLPIKSSQSPSSSSPPNSASKSKSNSRWSVYLILSSNPPIKTYVGVTTNFSRRLKEHNGELKGGAKASRAGRPWICACLIRGFMDRSEACAFETRWKQVSRKLPRKGKGNEAQKLEETKSVLLLKHRYTALDQVKDSIDCSHLKIVWHSNPY >itb14g20780.t1 pep chromosome:ASM357664v1:14:23068212:23073317:1 gene:itb14g20780 transcript:itb14g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFFFLVCLLVRFFAVFAILDPVDFLALQAVRKSLDDLPGSNYFGSWDFTSDPCGFAGVFCEGDKVVALNLGDPRAGSPGLTGRIDPAIGKLSGLAEFSVVPGRITGALPEILSELQNLRFLGISRNFLSGGIPAGLGKLRGLQTLDLSYNQFFAVFAILDPVDFLALQAVRKSLDDLPGSNYFGSWDFTSDPCGFAGVFCEGDKVVALNLGDPRAGSPGLTGRIDPAIGKLSGLAEFSVVPGRITGALPEILSELQNLRFLGISRNFLSGGIPAGLGKLRGLQTLDLIPRFDSKTLTRVDLKHNALSGRLPANAFPPSVQYLSLSWNRLTGPVDQILTRLNRLNYLDLSLNLFTGVIPGNIFNFPISNLQLQRNQFSGQIKPITQVTIPTVDLSFNRLYGEISPLFSTVQNLYLNNNQFTGQVPRTIVDRLLSASIQILYLQHNYLTGISINPAAAIPVSSSLCLQYNCMVPPVQSPCPLRAGQQKTRPTQQCIGWKGKTGN >itb05g23320.t1 pep chromosome:ASM357664v1:5:28463091:28467320:-1 gene:itb05g23320 transcript:itb05g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKQSRRTVAGWFVIAFHLLLLLDYSSAAAPKGPSGAPQGSCKPVKVEVVEDSVMMDNGIVSVNFSKSTGSIVGIKYQGIDNLLSTTNKETDRGYWDIDWNRPNVKASTSFKILATNFTVISKAENQAEISFTKVFKTGDETDIPINFDKRFIMLAGSSGFYTYAIYDHPKGGPDVDIDQTRIVFKLSTTSFKYLAVSDKIQGDMPSYAEREASPKLAYKEAVLLKETENPKLKGKVDDKYAFALESQDMGVYGWISPKPHVGFWVITASNEFRLGGPIKQDLTCHCGPFALSMFLSLHYSGEHWGIKLRNGEAWRMVFGPVFAYVNSDTGTDHKTLWEDAKKKMLEETKNWPYSFIKSDDYPIKRGTVSGRLLIHDVALSKTDTPAKSAHVGLAAPGAAGSWQYESKSYQFWTRTDDTGNFKISAIRPGTYSLYAWAPGHLGDFIHKANLTIKPGDDIKVGDLVFAPPRNGTTLWEIGTPDRRAWEFFIPDPVPELQNSLLGNGTEKFRQYGLWDRYTDLYPKTDLVYNVGKSDPAKDWFFAHLNRKTGNNTYVATIWTVTFPLEAVDAKGSYTLRIAVASSTHGVLMIWVNSDAEKKKANFEGRVEGRDNAIARHGIHGLYAEVRFAIPGSVLVKGENTLKIRQAKGEYVFDGVMYDYLRLEAPPQKST >itb02g14130.t1 pep chromosome:ASM357664v1:2:10339572:10340219:-1 gene:itb02g14130 transcript:itb02g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSTRRVPRGRQRIPLSRIENDARRTVTFSKRRFGLFKKDSEISTLCGVEILVVVFSPNNEKVYTFGSPSVNAVLDKYVAESENRTIEASVTKELLRSRKEADIRFLNLQINVLEAAIQHEMEVNEALTEAAKGMPSISNLPLANLLSMKQQMEILQSNVFQILNPQPTMPVQIQAIHEPMTTTQSDSGCFFGSSSHFGRNDDVNPRGATPF >itb05g05030.t1 pep chromosome:ASM357664v1:5:4777010:4779019:1 gene:itb05g05030 transcript:itb05g05030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMYSPNHRWMMGDSVTAMQSAATWTRLEDKMFEQALVLFPDGDDRWQRIASRIPGKSALDVIAHFEALVHDVGEIDSGRVELPSYPDDSFGWDQGEPSQISFGNSKKQGEAERKKGTPWTEEEHRLFLIGLDRYGKGDWRSISRNVVVTRTPTQVASHAQKYYLRQQSMKKERKRSSIHDITTAVDTKTVPPQTSFANQGGVGGGGGYHQNYNFPTQG >itb09g00800.t2 pep chromosome:ASM357664v1:9:502935:505144:1 gene:itb09g00800 transcript:itb09g00800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNSSVKKHGSDQSDVAGPTPRSQRTKIVKSSDDNERKSLTKKVKDLEISVPIVYGNIAFWLGKKASEYQSHRWTVYVRGATNEDLGVVVKRAVFQLHSSFNNPTRVVEVPPFEVSECGWGEFEIAITLHFHSDVCDKPLHLYHQLKLYPEDESGPLSIKKPVVVETYDEIVLFEPSETFLARVQNHPAVSVPRLPSGFTLPPAGVF >itb09g00800.t1 pep chromosome:ASM357664v1:9:502935:505144:1 gene:itb09g00800 transcript:itb09g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNSSVKKHGSDQSDVAGPTPRSQRTKIVKSSDDNERKSLTKKVKDLEISVPIVYGNIAFWLGKKASEYQSHRWTVYVRGATNEDLGVVVKRAVFQLHSSFNNPTRVVEVPPFEVSECGWGEFEIAITLHFHSDVCDKPLHLYHQLKLYPEDESGPLSIKKPVVVETYDEIVLFEPSETFLARVQNHPAVSVPRLPSGFTLPPAAPLEDIDKRKRGDTKDHPQSQWFTNFSEADELLKLTAARQQVQGHIARLRRQMSLIEGQNQQLKSVTEF >itb09g25250.t1 pep chromosome:ASM357664v1:9:25199178:25202326:1 gene:itb09g25250 transcript:itb09g25250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGIHQVLVKLLDGKHRIINFSTPSVSIQTLKHRIQTLTLIPTHLQLLIPSDSPYLLQDNQTLNLTTGHHQSKFPVVVNLLLRLRGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAEAEERKLEKMAEDYINKKAKELAKKGSGSRSKTGESADKYVAKYREDSAKCMEEVERSVRESLKGFVASKRKAAAAELNDSDSKKLKIWMGKRKFDDSDSEDMDEDDSDEDEENEKSVIIDNGNNSDSSRGTNGNVDLVAGQKIDSESGSEEEKDTVVENNPESNNIADGCTVSGEDKSVNELGSDQDQDIVHQNGNASPLDTSFASESGNFKAEKEASDSSGSAVAEEIIDQQTGYSPGEEGTSLIEASSDARPKAGAIQEGEGSVKVAGPERALNFDEISSAEELEALGMEKLKSELQVRGLKCGGTLQERAARLFLLKTTPLEMLPKKLLAKK >itb15g23120.t1 pep chromosome:ASM357664v1:15:25898269:25903972:1 gene:itb15g23120 transcript:itb15g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYGVTTLIQIVEAAEQDLMEPKLLSILNAGGIMMVPLRETIELLSYNLHFLQAFLVECKTKMNDGDNRARRLYTDVQDQVAKIYKKYQMKTKPRLLYSGDYWGGNAAVKPREDIHHLLKDIVRDTEEVKDRILVEQRRAVLEAEEQNIMTLDTYQNALKTANEVIVGLDSDIEEIVNLLSYSYFMESVFTILRKSNIDKLQRYAENLVLKLQVIPLVGEGGIGKTTLAKRVYGHPITIASFHIRAWVVVSKVYNLKEMLIGLLSCISPITSEIYNIDEAQIAEKLSTSLMGQKYLIILDDIWTIAAWDAIQGYLPENFNGSRILVTTRFKEVSEYLSTNPYQVKYQSFPDRWVLFSRKVFGESRFVPRKYVPIAERIVRGCGGLPLVVVLISGLLATAKGSQEIWIDVAKTLDGVGTFDKNYRRISKMVSLSYKYLPSHLKACFHYFGVFPEDSDIPVKKLINLWIAEEFIKPQNNMSLEEVGESYLHDLINRSLVQINELSIDGKVKSCNIHDRVHEVCVGEAIDGNTLCIINDNYAPKASHWLSCQTSHWPITQASYGNCSPNEIHSVLCFGKDVYHSKCKLVYPCLKLLRVLDLSLFKCSQGMPLEITDLVHLRYLALSTSGSLYKFRFFKLKNLVTLIVTSWMEKCPLQLPCDILDLPQLRHLLADKRCSQYLPCLVKKDLQTLYWLKVASSDKKPNFGMVPNLTELGIYIEGQLAPSHLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLRYTYLPWKEMDTIAKLPHLEVLKLKDFAFCGPTWKPLKQGFQELKALLISRSNLEHWNASSNHFPVLERLVLRYCWELKQVPINFAKIATLNLIVLECCYSSLVTSAMQISSAKSKTLEGNADCPLRVRKVGIKVELPIIERCEEEMLKADKSVMKYVKKLFL >itb05g17510.t1 pep chromosome:ASM357664v1:5:24421120:24422057:-1 gene:itb05g17510 transcript:itb05g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLYKTYTPSTRNGTVNSQVKSTRGKNLIYGQHRCGKGRNARGIITARHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYGNGEKRYILHPRGAIIGDSIVSGTEVSIKIGNALPLTEMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATIKLPSGEVRLISKNCSATVGQVGMLGEPEKFG >itb11g18240.t1 pep chromosome:ASM357664v1:11:18419277:18421916:-1 gene:itb11g18240 transcript:itb11g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRMFTALPTILSLAIFLHLASSSFTKGVEGAENSIIRCIETERQALLAFKQSIMEDNGMLSSWGSHKECCKWWGVGCNNATGHVIRLDLHGNSSTHHYLSAPKVSPSLLQLKHLNNLDLSYNDFQWSSIPEFIGSFQRLRVLNLENANFAGAIPPHLGNLTNLRILLLSHNGLNETIAELLEKLARGETGKSLQILGLSGNELTGKLPANMSNRFESLREFYARQNLMSGYLPLLPSSLEQLDLAINNFGSVFQNPNKGFGKPSNLMKLDLSYNLISGPFPDLSDFLSLRVLNLSGNLIQGGLSESIGKLPKLQVFRAKANSLEGVISEAHFWNLSSIQKMDLSLNPGLSFNFSDDWVPPFHTLNSLVLANCKVGPKFPKWLQNQSLFSEIDLSSASISDTLPHWFWDLISPNLRYLNLSYNSIDGTLPDLSNKLSPFSIIDLSFNKFWGPISKFVGDFLILNLSNNKFVGSISFLCSVISQNALCIDLSYNHFSGEVPDCWNSNISNLAIINFANNGFSGEIPNSLGSLSQLQSLHLRSNNFNGELPSSFQNFKSLKVMDLEGNKFIGTIPTWIGSYLTNLTVLSLRNNNFNESMPESLCHLKNIHFLDLSQNKVTGRIPSCFNNFTTLIQSTPFTGSIEISYFTITGDIDYPAYYVDDVFVQWKNKDAKFNKQLGFLNCIDLSSNKLSGNIPEELYALKEVVSLNLSRNHLTGKIFPSIYEMENLESLDLSRNQLSGEIPSSLALLTFLGVLDLSNNFLSGKIPKGTQLQTFNASSYAGNSGLCGDPLPKCPTDDVPSHGKNNNYKEGDDNLVDREFYISMVLGFSISFWGLLVSLVLSDKWRLAYYGFFNDVKDGLYVNMKICLKRLQQKFVGT >itb03g04530.t1 pep chromosome:ASM357664v1:3:2878345:2880182:1 gene:itb03g04530 transcript:itb03g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPPSSSSTISMPKRIYPSRLQQQKRLVITKSELKDPSKLEIGSPIIVVEAPKYLKTADSVPCLRANPGLVKPGDVGRIVSRKPKDVWAVRLNIGTYLIDGKYFKPLEVDE >itb05g14160.t1 pep chromosome:ASM357664v1:5:21228437:21231172:1 gene:itb05g14160 transcript:itb05g14160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLAEEPKLVSSPARRWSGLWMKNKKALNNVLFAMHLQSLPKNPKNPQPVSSSPRPNKAQDFDVPVPVSDRTSLLSDETLLYILAKLPDSQRSSNSLVSKRWLNLQGRLVRSIKLLQWDFLVSGRLFHRFPNLIHVDLVNASVVSPRNSGIFCSNNAVSFHLDSILNPDDSKILLGSQEIDEGLSVLANGYPNLRRLSAMNASEMGVLSVAEDCPTLQELELHRCTDQVLRGIAACHNLQILRLIGNLDGFYKSSVSDVGLTILAQGCKRLVKLELSGCEGGYEGIKAIGQCCQMLEELTICDHRMEDGWLYALGFCRDLKTLRFVSCGSIDRRSSEFCEEIGCCPTLERLHLEKCQLRDRSSLGALFSVCQDVKEVMFHNCWGLDNDMFRTAIIFRRVKFLSIEGCSRLTIEGLEHVLLSSKELRSLRVVSCKSIKDSETSQALSALFSSLKDLKWRPDTKSLVSATIAGSGMGKRGSRFFRKGCDWKSLPGA >itb14g11660.t1 pep chromosome:ASM357664v1:14:13330516:13331824:1 gene:itb14g11660 transcript:itb14g11660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMGHVLPGLGFFLIGLWHLLNQVKLHASHPKTYFSLPWFPSPRFKYLELYVIMAGSLASISMELVVGPSRHTPFDPDGTIPSNHLRNLEHAGISATILLYAVSSLVLDRASPPAKHGLTQLIGSLALAQELLLFHLHSTDHIGVEGQYHWLLQLAILISFCSTVLGIPFPNSFLTSLARSFGIMLQGGWMVAMGVLLWTPGLIPKGCFINDEDGHQIVRCHTAESLERAKSLANIQFSWYVNAVAILVLSIYLVCVRKVEEDYERLSKECEDEKELIQRNVEAQINLYEKQYPKNSDY >itb15g12070.t1 pep chromosome:ASM357664v1:15:9976937:9977376:-1 gene:itb15g12070 transcript:itb15g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAFPIFVETFGLASTAINNQHRSAADRGGDSDSGGGGSDELSSIPAGIAAATVADKQFLFEFQFVPSGYGR >itb12g27350.t1 pep chromosome:ASM357664v1:12:27780702:27783363:-1 gene:itb12g27350 transcript:itb12g27350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGNASSSTAPKILLAKPGLVTAGKFNRGGADDDSAALRPRIPSIGSLNLLSDSWELHTDRFLPFLTDNTDFTVVGVIGPPGVGKSTIMNEIYGFDSSSPGMLPPFAIQSEEIRAMAKHCTVGIEPRVSSERIILLDSQPVFSPSVLAEMIRPDGSSTISVIMGESLSAELANEMMSIQLGVLLVSICHIIIVVSDGVHDLSMWRLMSTVDLLKHGIPDPSSLSLSHPQSSSFLSEKENKDKNGEGGEEYMATPVFVHTKLRDEDLSPHNLAQLRKGLSQFFSSSSFMRSKCHYTSKDTNASVHANSQSHKVDSDSQLLKLFLLPSKHKDNLPRAQYESYESAFWKLRDQVLSMSGPSFSRTVSERDWLKNSAKIWDLVRNSSIIADYCKTLQISGMFRR >itb13g18640.t1 pep chromosome:ASM357664v1:13:25588330:25590319:1 gene:itb13g18640 transcript:itb13g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGTSKGLLEVAKFAVYVTVPIGLMYFFANNTKNLQKFMGTREYIVYPPEAPRPPSPEELREMAREVARKRERERNLKN >itb05g03230.t2 pep chromosome:ASM357664v1:5:2675817:2679654:-1 gene:itb05g03230 transcript:itb05g03230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNWLCKEMPEPFPLPSEVSVVKAAAGWAHCVAVTENGEVYTWGWKECVPSGQVYGEPSMGLNVKKDVIEKQTTTFTDQVSPRSQGSRSTGGNMSGFDSGGVRGDDGAKRRRMSSSKQTAEGSPSSDESLSALPCLVTLNPGVKIVSVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIESSPSVKDRGIGVSHGSMGLEGQGLRVPGSYVKRIACGGRHSVVVTDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIKIEGVSAGLWHTVSISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPNLENVNAKVVSCGARHSTIVTEDGKVFCWGWNKYGQLGLGDVIDRNIPAQVPINGGTPKNVSCGWWHTLLLAESPA >itb05g03230.t1 pep chromosome:ASM357664v1:5:2675817:2681899:-1 gene:itb05g03230 transcript:itb05g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGGGGSGGSVEMERVVYMWGYLPGALPQRSPLLSPVAVSLPPTIGAGRFWRDVCGGGCGFAMAISDYGKLITWGSTDDLGQSYVTSGKHGEMPEPFPLPSEVSVVKAAAGWAHCVAVTENGEVYTWGWKECVPSGQVYGEPSMGLNVKKDVIEKQTTTFTDQVSPRSQGSRSTGGNMSGFDSGGVRGDDGAKRRRMSSSKQTAEGSPSSDESLSALPCLVTLNPGVKIVSVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIESSPSVKDRGIGVSHGSMGLEGQGLRVPGSYVKRIACGGRHSVVVTDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIKIEGVSAGLWHTVSISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPNLENVNAKVVSCGARHSTIVTEDGKVFCWGWNKYGQLGLGDVIDRNIPAQVPINGGTPKNVSCGWWHTLLLAESPA >itb05g03230.t3 pep chromosome:ASM357664v1:5:2675817:2681898:-1 gene:itb05g03230 transcript:itb05g03230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGGGGSGGSVEMERVVYMWGYLPGALPQRSPLLSPVAVSLPPTIGAGRFWRDVCGGGCGFAMAISDYGKLITWGSTDDLGQSYVTSGKHGEMPEPFPLPSEVSVVKAAAGWAHCVAVTENGEVYTWGWKECVPSGQVYGEPSMGLNVKKDVIEKQTTTFTDQVSPRSQGSRSTGGNMSGFDSGGVRGDDGAKRRRMSSSKQTAEGSPSSDESLSALPCLVTLNPGVKIVSVAAGGRHTLALSVPDMGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIESSPSVKDRGIGVSHGSMGLEGQGLRVPGSYVKRIACGGRHSVVVTDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIKIEGVSAGLWHTVSISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPNLENVNAKVVSCGARHSTIVTEDGKVFCWGWNKYGQLGLGDVIDRNIPAQVPINGGTPKNVSCGWWHTLLLAESPA >itb13g06710.t1 pep chromosome:ASM357664v1:13:8149840:8153562:1 gene:itb13g06710 transcript:itb13g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSSSSSLDHRSHLPPSSDQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLILPSPPNHHNLHFAHSFFSPSHNTILDEISNSNPNFLMMNVHHQAAAASSAEFVLPPRAEGFDELPRPPPLINRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDITHREAFSAAAKNWAHFPHIQLGIMPDHQATVKKSNVRPQDGEDVLIKDGLFASADVGISP >itb14g10950.t1 pep chromosome:ASM357664v1:14:12447719:12453171:-1 gene:itb14g10950 transcript:itb14g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLKFIPRNQLDEEKNEDSDDSQKHRKESHRKKKKDRKKKRSSRYSSSDDDLERVKTGSRKKKKWYASDEDFSSHSDDSTSACSDIAEKRKTNRKKKQKRKHRNSSDDEGRGGSRIKERSRRKDASSDDYSSEDGGSKSYKESVRKEMGLEWMLRPADNAEKASKTAEIKPEENQAEETRKVNPRELNPYLKDNGSGYPEDSEGTNASGEQLLSSAVVGDGGASWRLKALKRAKEQAAREGRKLDEVVEERWGSLSQLAVSVASRKVAPTHAHLHAIKSRRRGVMENENADKHEEGSVEKGAPPLNPKMRVPKLKDSLSWGERKKQKISSEDTQILSSAMSSLNKFADDGSFLSKFMHPPKSGNSGDVVCDSSNSKPEAFNELRQLESKKGSEDTSTVRPALSANQLAAKVMQLRMKGLHDEADKLMKEAEDMKAKQVANDGNGDESNRLRIDGSTSSYIMHELSSRQKNREDDADLHLAHKIARHQKYNVSSQADDEYDYDNSPKRKTRKKGGGGNSGKSANVANFANRILTQQERCQFCFENPTRPKHLVVAIANFTYLSLPQWQPIVPGHCCILTMQHESATRSVDDNVWEEIRNFKKCLIMMFAKQEKDVVFLETVMGLSKQRCHCLVECIPLPQDAAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSIKGLRASIPKDFPYFHVEFGLNRGFVHVIDDEKNFRSSFGLNVIRGVLKLPAEDMHSHRKHESIATQKQAVASFAQDWEPFNWTKQLD >itb09g05930.t1 pep chromosome:ASM357664v1:9:3365590:3369284:1 gene:itb09g05930 transcript:itb09g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKPKRYENSDSEEISFSEESMEYDFITIQNATNNFSKTNKIGEGGFGVVYKGTFENGQQVAVKKLYQNLKGGSQEFKNEVTLMVKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGSLDNFLFDPVKRECLGWERRYKIIECIARGLVYLHEGSYIRIIHCNLKASNVLLDEDLNPKIVDFDMSKLFTSDKIHISTSRIMRTRYMAPEYALLGEISIKSDVYSFGMLILEIISGHRISSFQNGESRNDDLLSYAWTHWKDGSTSNVIDSMLKGISSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNNLSMRLPEPLDPGLFIRGSISSEASSQFTKNVKSISDQYVRKMQKKAKSYAKTVDESCSSVEISSVESHLIKYELITLQNATNNFSRENILGQGAFGVVYKGKLKNELEVAVKVLDCSRHFLEIFKNEVALLARIQHRNLVRLFGYCQEGREMILVYEFVPHGLDEFLFDRIKCGYLDWGRRYNIIEGIGKGLVYLHEDSRLRIVHRNLNASKILLDADLNPKVTNFGLAWFFALDEIEGSTDKVVGTCSYFAPEYAMNVLEALEKGVSFKCNRSNAERYLKSCA >itb09g05930.t2 pep chromosome:ASM357664v1:9:3365584:3369531:1 gene:itb09g05930 transcript:itb09g05930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKWIGFQILRKMKGRKPKRYENSDSEEISFSEESMEYDFITIQNATNNFSKTNKIGEGGFGVVYKGTFENGQQVAVKKLYQNLKGGSQEFKNEVTLMVKFQHRNLVRLLGFSLEGKEVLLVYEFVPNGSLDNFLFDPVKRECLGWERRYKIIECIARGLVYLHEGSYIRIIHCNLKASNVLLDEDLNPKIVDFDMSKLFTSDKIHISTSRIMRTRYMAPEYALLGEISIKSDVYSFGMLILEIISGHRISSFQNGESRNDDLLSYAWTHWKDGSTSNVIDSMLKGISSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNNLSMRLPEPLDPGLFIRGSISSEASSQFTKNVKSISDQYVRKMQKKAKSYAKTVDESCSSVEISSVESHLIKYELITLQNATNNFSRENILGQGAFGVVYKGKLKNELEVAVKVLDCSRHFLEIFKNEVALLARIQHRNLVRLFGYCQEGREMILVYEFVPHGLDEFLFDRIKCGYLDWGRRYNIIEGIGKGLVYLHEDSRLRIVHRNLNASKILLDADLNPKVTNFGLAWFFALDEIEGSTDKVVGTCLGGIGKRGLFQM >itb09g05930.t3 pep chromosome:ASM357664v1:9:3364637:3369204:1 gene:itb09g05930 transcript:itb09g05930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEQSDSKSGKNSLSFVFKRFQRASHNMKENLRGIQVAAQGILADDCECWSYLCVLLILILVFMDSLKWIGFQILRKMKGRKPKRYENSDSEEISFSEESMENLVRLLGFSLEGKEVLLVYEFVPNGSLDNFLFDPVKRECLGWERRYKIIECIARGLVYLHEGSYIRIIHCNLKASNVLLDEDLNPKIVDFDMSKLFTSDKIHISTSRIMRTRYMAPEYALLGEISIKSDVYSFGMLILEIISGHRISSFQNGESRNDDLLSYAWTHWKDGSTSNVIDSMLKGISSPVDEITKCIHIALLCVQESVADRPKMIEVLQMLNNLSMRLPEPLDPGLFIRGSISSEASSQFTKNVKSISDQYVRKMQKKAKSYAKTVDESCSSVEISSGKLKNELEVAVKVLDCSRHFLEIFKNEVALLARIQHRNLVRLFGYCQEGREMILVYEFVPHGLDEFLFDRIKCGYLDWGRRYNIIEGIGKGLVYLHEDSRLRIVHRNLNASKILLDADLNPKVTNFGLAWFFALDEIEGSTDKVVGTCSYFAPEYAMNGEISVKTDVFNFGVLVLQIINGHKNDLINYSWRHWKKGSLSNVIDPMLRGISSPVPDIINCIHIALLCVQEKVKDRPTMGEVVQMLSNLSMSHPIPSVPGYYGDDNLSLDDSEVSISDDEYPR >itb10g23260.t1 pep chromosome:ASM357664v1:10:27701694:27703781:-1 gene:itb10g23260 transcript:itb10g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCRFFLVLIIPSLVFSLSFNLSQIDQNDANVNIQVEGNASITDQGIKLTNECVSELNDDQVGGARYVHDLFHLWDNTSKEFADFATNFTFNLDYDENGYPRYNDYGYTLIFFLAENSTPFQTMLFSNETHPTSPDPFIAVVFDTFSDHNNVSINIISRLEPVIFKRRLSDTTEEMTNDVSITYNASSAILQVVFTGFGDDPFNNLSGNVNLSNYLPEFVSIGFAATTQGCYDNNNIKSWQFDSTSLRPNVSSPAPSPAQNQPVPAPTSPTPNHIPSIAPSPDHNYKLKKRLEIAGLSSGVVILLALLALAIYTCFKKKWAAKRKNHEIILGPVMVGEFEMASYGPKNFSYCELASATNNFSEERKLGEGGFGGVYSGFLRDLNMDVAVKRVSSHSKQGIEEYTSEVKIISQLRHRNLVPLHGWCHENGELLLVYEYMPGGSLDSHLFKRRSPLHWGLRYKIAQGLASALSYLHEEWEQCVLHRDIKSSNVLLDSSFNARLGDFGLAWPVDHEETPEKTYLGAKMPKPVYSTLPTALSFPNSHFSGIKNVEIQEFEYPSSYSRHSAGSSLPHTV >itb03g02390.t2 pep chromosome:ASM357664v1:3:1338965:1346898:1 gene:itb03g02390 transcript:itb03g02390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTKAPISLSVKFDRFIDKPSAKALNLSSYSPAIMWRNIAKQISSKALQKQNLSLNPRSRYNRTSSFLSFPQGSNFPEKLVQFSPFDPVPCSNNGSTEFGFRKFGEFLPRDEFLSRPVLHSMMSSVCLGGFSWKSYASVAEAVEVSSSEAEENVSVTDEVEELLAEMRREEKKQFHCEWRKRQRVSRGMGRWKYQALKRRQTKIETEAWEKAAKEYKELLEDMCAQKLAPNLPYMKSLFLGWFEPLHNKIAEEQELYLAGKTKANYFKYFNQLPADMVAVVTMHKLMGLLMAGVEFGTGKVVHIACAIGDAIEQEVRIHKILEKTRQSKANKDAKMGGEEHEGVTEGKEKLQKKVANLIKKQNLRAVRRIVGGQDAFKPWGQDAKAKVGSHLIELVLQTAYIQPPADQLADGPPDVRPAFIHTFRTVTNQTKGGSRRYGVIQCDPLVLKGLEKTARHMVIPYMPMLVPPIKWKGYDKGAHLYLPSYVMRTHGARQQREAVKRAPRKQLEPVFEALDTLGSTRWRINKTVLSVVDRIWASGGRLADLVDRDDIPLPEEPDTEDETVLKKWKWKVKAVKKENRERHSQRCDIELKLAVAHNMKDEEGFFYPHNLDFRGRAYPMHPHLNHLGSDICRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVEKLSLEGRLAFTENHMDDIFDSADRPLEGKRWWLKAEDPFQLLAVCINLSEALRSSSPEMTISHVPVHQDGSCNGLQHYAALGRDKLGAVSVNLVAGEKPADVYSGIAARVLDIMKRDAQRDPAEFPDALRARVLVNLVDRKLVKQTVMTSVYGVTYIGARDQIKRKLKERGAIDDESELFGAACYGAKVTLTALGEMFQAARSIMSWLGECAKVIASENHPVRWTTPLGLPVVQPYRKIGRHLVKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRAGLRFAGVHDSYWTHACDVDEMNMILREKFVELYEKPILENVSAYSYFLFVA >itb03g02390.t1 pep chromosome:ASM357664v1:3:1338965:1346898:1 gene:itb03g02390 transcript:itb03g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTKAPISLSVKFDRFIDKPSAKALNLSSYSPAIMWRNIAKQISSKALQKQNLSLNPRSRYNRTSSFLSFPQGSNFPEKLVQFSPFDPVPCSNNGSTEFGFRKFGEFLPRDEFLSRPVLHSMMSSVCLGGFSWKSYASVAEAVEVSSSEAEENVSVTDEVEELLAEMRREEKKQFHCEWRKRQRVSRGMGRWKYQALKRRQTKIETEAWEKAAKEYKELLEDMCAQKLAPNLPYMKSLFLGWFEPLHNKIAEEQELYLAGKTKANYFKYFNQLPADMVAVVTMHKLMGLLMAGVEFGTGKVVHIACAIGDAIEQEVRIHKILEKTRQSKANKDAKMGGEEHEGVTEGKEKLQKKVANLIKKQNLRAVRRIVGGQDAFKPWGQDAKAKVGSHLIELVLQTAYIQPPADQLADGPPDVRPAFIHTFRTVTNQTKGGSRRYGVIQCDPLVLKGLEKTARHMVIPYMPMLVPPIKWKGYDKGAHLYLPSYVMRTHGARQQREAVKRAPRKQLEPVFEALDTLGSTRWRINKTVLSVVDRIWASGGRLADLVDRDDIPLPEEPDTEDETVLKKWKWKVKAVKKENRERHSQRCDIELKLAVAHNMKDEEGFFYPHNLDFRGRAYPMHPHLNHLGSDICRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVEKLSLEGRLAFTENHMDDIFDSADRPLEGKRWWLKAEDPFQLLAVCINLSEALRSSSPEMTISHVPVHQDGSCNGLQHYAALGRDKLGAVSVNLVAGEKPADVYSGIAARVLDIMKRDAQRDPAEFPDALRARVLVNLVDRKLVKQTVMTSVYGVTYIGARDQIKRKLKERGAIDDESELFGAACYGAKVTLTALGEMFQAARSIMSWLGECAKVIASENHPVRWTTPLGLPVVQPYRKIGRHLVKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRAGLRFAGVHDSYWTHACDVDEMNMILREKFVELYEKPILENLLESFEQSFPMLSFPPLPERGDFDLKDVLESTYFFN >itb02g03600.t1 pep chromosome:ASM357664v1:2:2125449:2127746:1 gene:itb02g03600 transcript:itb02g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFAYTFLFIFLCLVSVNLSTSSENQETFIVYMSKSKKPHFFATHHDWYSSIIRRLSPPSELLYTYGRVAHGFSARLTPSQASELRNIPGVISVMPDQARQLHTTHSPEFLGLTDSSGLWPNSDYGDDVIVGVLDTGIWPERPSFSDKGLSPVPSSWKGKCENSKDFPKTLCNRKLIGARAFYKGYEAAKRSPIEDSVESKSPRDTEGHGTHTATTAAGSIVANASLFGYAKGEARGIAVKARIAVYKICWSLGCFDSDILSAFDQAVEDGVHVISLSVGASGNAPPYFSDSTAIGAFGAMEHGVVVSCSAGNSGPDPLTAVNVAPWILTVGASTIDRTFPTDVVLGDGRIFTGMSFYSGKPLGDHKLPVVYGGDAGSAYCYSGELNATIVTGKIVFCQPGGNLDVDKGFAVREAGGVGMIIAGYTGWGEFIFANAHVIPATNVDETDGNKIYEYIKSDPSPTAAIVFRGTVIGTSLSAPRVAGFSSRGPNALTLEILKPDVIAPGVNILAGWTGAESPSESEMDKRRVEFNIISGTSMACPHVSGLAALLRKAHPTWSPAAIKSALMTTAYTVDNAGNNFIDQAYGNQSTAYIHGAGHVDPNRALDPGLVYDLGVNDYVAFLCTIGYDKDQISLFVKDPSTVNCRVQNLDTPGSLNYPAFSVVFSDQNEVKYKRSVKNVGSKKYVVYEVKVNAPLGVQVSVSPAKLVFDEKTDTLSYEITFTNVNSGSYDNSYGSIAWEDGVHIVSSPIAVLWLNGTRSAL >itb15g05350.t3 pep chromosome:ASM357664v1:15:3430895:3434051:1 gene:itb15g05350 transcript:itb15g05350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKALGVSREASKEAIKQAFRKLAVEFHPDKHVNSPPHVRERATSKFKQLSHAYDTLMDDRKRADYNIRSSTSSPYGNNYSRSYYQYQSSSYGNSRGNGSNYGYTYSRHASDGMVTKMEMLLRFMTTRTFLLNVALAGFLLGASVAIDAGGEALWKVQNSGKSFEEAMESIEKAKGFDDKQ >itb15g05350.t1 pep chromosome:ASM357664v1:15:3430895:3434051:1 gene:itb15g05350 transcript:itb15g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKALGVSREASKEAIKQAFRKLAVEFHPDKHVNSPPHVRERATSKFKQLSHAYDTLMDDRKRADYNIRSSTSSPYGNNYSRSYYQYQSSSYGNSRGNGSNYGYTYSRHASDGMVTKMEMLLRFMTTRTFLLNVALAGFLLGASVAIDAGGEALWKKSFEEAMESIEKAKGFDDKQ >itb15g05350.t2 pep chromosome:ASM357664v1:15:3430895:3434051:1 gene:itb15g05350 transcript:itb15g05350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKALGVSREASKEAIKQAFRKLAVEFHPDKHVNSPPHVRERATSKFKQLSHAYDTLMDDRKRADYNIRSSTSSPYGNNYSRSYYQYQSSSYGNSRGNGSNYGYTYSRHASDGMVTKMEMLLRFMTTRTFLLNVALAGCLSCN >itb11g13890.t1 pep chromosome:ASM357664v1:11:10946848:10951713:1 gene:itb11g13890 transcript:itb11g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVSGEKGFVSEESISVDDGIARSRNNGFMSWELKAPCGIGGTMVCSPQGDIETQGMVEMGSQDLITKSLGNNHSISRAGDGTFFAAPHNAFSGENQSSSKLSSSVVESSSRDSSLIDLKLGGIPDHRDTQITKSHKPNHPALSSAQSSTPVKRMRAGGQNSQPPFCQVHGCKKDLSSSKDYHKRHKVCEAHTKTSKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPRTALNSGRTGRLFQSYPGTSFQGTAFTTSSFVCQDILPSSLPHPPKYEINDWYRNVKVEDGVNFSPQLTIPLINPQSQPRSLFPSYNPEKHFPLTRCKVSESSNSYAHDMDVPNFVSHSLFNSTSVGSEVLNAFDSSSTIHGLSGMSSSGHALSLLSSHSQSSSNHSSMVPTAHHLISPGTNTLFNMAHVSKKHLGTSPPASTSGLSSTLNSPGINSSDEARLEQMLFSNSGQNFAFNRVVQVSEYMNEKNQICGEDGPTINLLQLSSRLQQVQHQRHSMQARQESDVFSGNRIT >itb11g13890.t2 pep chromosome:ASM357664v1:11:10946848:10951713:1 gene:itb11g13890 transcript:itb11g13890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYVSGEKGFVSEESISVDDGIARSRNNGFMSWELKAPCGIGGTMVCSPQGDIETQGMVEMGSQDLITKSLGNNHSISRAGDGTFFAAPHNAFSGENQSSSKLSSSVVESSSRDSSLIDLKLGGIPDHRDTQITKSHKPNHPALSSAQSSTPVKRMRAGGQNSQPPFCQVHGCKKDLSSSKDYHKRHKVCEAHTKTSKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRRRLAGHNERRRKPRTALNSGRTGRLFQSYPGTSFQGTAFTTSSFVCQDILPSSLPHPPKYEINDWYRNVKVEDGVNFSPQLTIPLINPQSQPRSLFPSYNPEKHFPLTRCKVSESSNSYAHDMDVPNFVSHSLFNSTSVGSEVLNAFDSSSTIHGLSGMSSSGHALSLLSSHSQSSSNHSSMVPTAHHLISPGTNTLFNMAHVSKKHLGTSPPASTSGLSSTLNSPGINSSDEARLEQMLFSNSGQNFAFNRVVQVSEYMNEKNQICGEDGPTINLLQLSSRLQQVQHQRHSMQARQESDVFSGNRIT >itb07g19970.t1 pep chromosome:ASM357664v1:7:24427414:24429189:-1 gene:itb07g19970 transcript:itb07g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVGANGQINTPCTNSIVSSFTPCFNYITGSGANGSSSPTQDCCDSLKSMMTNMIDCACLIITGNVPLSIPFVRTLVLSLPLQQCTSGVPVQCKGSGAPLSAPAGSGVSTPAYSPQPHHHHHHRPARPPRPQPPALAPQSSRVSKNSVAPSLAVEAPAPAPTETVTPPPLPPPADEEPNKKSSAEASKEKGTPPPMAAPLLPNPQKVPLLNPSKTTPYQPNSSPISPSSHSLLPLLLFVPLFLIFL >itb02g07780.t1 pep chromosome:ASM357664v1:2:4879357:4880465:1 gene:itb02g07780 transcript:itb02g07780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRICLVLLLFSLLLGFATPSYIKYEVLENPGRTGRFLLQQKRDCPLDMENLNYTIVTSQCKGPHYTAKVCCKAFKELACHYRDELNKDNNNCPIIMFNYLHLYGKYPPGLFGNLCKEGKQGLDCKDVGVQQTEDNKTTNNAAPKPLKSSPPTFLIPLFLLLLQI >itb09g01740.t1 pep chromosome:ASM357664v1:9:1055242:1058414:-1 gene:itb09g01740 transcript:itb09g01740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKESDEEITTEGPSFFQIVKAPLTTDFLRISPCFVKKHLEEDEESGVLKGDSGGGTWHVKLERNEEGLFLRQGWKDFHRDNSLKENEFLLFSIIISGLAVPRTLNIKFPDHLEQRSTLNHPTFVMEETIVPCSLFYYLSTLANLEQSTSHPKQVLEQKIMATAAASASATLSLIVAAKANRFSQRRSTKVRYISGLNSFEGLKAHNHVSALGVPVCADHSFAKIVSSLRPQGKGNGGGALSATCNAVAEIFKIAAIIPGLVLVGVAVGFVLLRVEAFLEESEE >itb14g02780.t2 pep chromosome:ASM357664v1:14:2394438:2400793:-1 gene:itb14g02780 transcript:itb14g02780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPILTTVGAVAAVAKVASTAYYYAEHFGVLNRESKADQAETRSVEAAEKQSADVGFLLSRIPVFHGSGYEIWSSMMKTFFVSQDLWDMVEGGYVEEELTVEVLREVRKKDAMALLFIQQAIDQSFFSCIAGARKSKEAWDALANKYQGPQGDMLELNQQSPVDLSCPADAIESREEARDALEVESRGYTDEPNRKKAVDELVLSYAADATDPKEVARDAPEQGNKANTKVVEANRIGVEFGDFNRNCGFKEWCSSNSDSGWGGGSDDRHVKMSYSDNSTPVAESAFHSKLKLSEDGIGKESGDVKNNNSGSKKGSNRGGRGGRNCGRFNNNRGGRGGRDGECSNNQGFHGGTENGDFNNSPGGRGGQGSGHINNNRGGRGGKGRGHINNKRGGRGGKDHGHFNNGSEAAEYYSKNAKSESASSCTTSATETKEALDAHALQQGHQANTEVHAHPQVSQSLTTLLVCDLDVNVTDRQLFSIFGRFGQVVSYSVCRDMASQCSLGYGYVTYGNPQDAALVLTVTNLTMNGKPIRVMYLQQDSTEHKSGGLNSKKSDYGGMDGAHSNNNQGGRVGTDGGCFNNKDSKLSGYTSKNPNFESVSSCSTDAIESKEASDAPQLGHQANTEVKDQAQVGNPSGGTIFIKNLDWAIDDVALHAMFSAFGNILSCKVETDASGQSKGYGRIEYDSEEAAREAIEKANGSKLLNGKKMYVEPFVRKQGRAKAMETTNFTNVFVGNLSVSTTEKDLREVFGNFGTIVNAVVVKDGDGKSEGFGFVNFNDAYDAAWSVDVLNGRHEFDNKVWYVRRAYQNKNVGKAQKKFNGKAQKKCVDESVPSSTAKATESKKSGDTVSQKKCNGKAQKKYVPSSTTNETESKKAVEAVQKGFRANTKVLYVDDKGVGHFKLKNP >itb14g02780.t1 pep chromosome:ASM357664v1:14:2394438:2400843:-1 gene:itb14g02780 transcript:itb14g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPILTTVGAVAAVAKVASTAYYYAEHFGVLNRESKADQAETRSVEAAEKQSADVGFLLSRIPVFHGSGYEIWSSMMKTFFVSQDLWDMVEGGYVEEELTVEVLREVRKKDAMALLFIQQAIDQSFFSCIAGARKSKEAWDALANKYQGPQGDMLELNQQSPVDLSCPADAIESREEARDALEVESRGYTDEPNRKKAVDELVLSYAADATDPKEVARDAPEQGNKANTKVVEANRIGVEFGDFNRNCGFKEWCSSNSDSGWGGGSDDRHVKMSYSDNSTPVAESAFHSKLKLSEDGIGKESGDVKNNNSGSKKGSNRGGRGGRNCGRFNNNRGGRGGRDGECSNNQGFHGGTENGDFNNSPGGRGGQGSGHINNNRGGRGGRGSGHINNNRGGRGGKGRGHINNKRGGRGGKDHGHFNNGSEAAEYYSKNAKSESASSCTTSATETKEALDAHALQQGHQANTEVHAHPQVSQSLTTLLVCDLDVNVTDRQLFSIFGRFGQVVSYSVCRDMASQCSLGYGYVTYGNPQDAALVLTVTNLTMNGKPIRVMYLQQDSTEHKSGGLNSKKSDYGGMDGAHSNNNQGGRVGTDGGCFNNKDSKLSGYTSKNPNFESVSSCSTDAIESKEASDAPQLGHQANTEVKDQAQVGNPSGGTIFIKNLDWAIDDVALHAMFSAFGNILSCKVETDASGQSKGYGRIEYDSEEAAREAIEKANGSKLLNGKKMYVEPFVRKQGRAKAMETTNFTNVFVGNLSVSTTEKDLREVFGNFGTIVNAVVVKDGDGKSEGFGFVNFNDAYDAAWSVDVLNGRHEFDNKVWYVRRAYQNKNVGKAQKKFNGKAQKKCVDESVPSSTAKATESKKSGDTVSQKKCNGKAQKKYVPSSTTNETESKKAVEAVQKGFRANTKVLYVDDKGVGHFKLKNP >itb11g09670.t1 pep chromosome:ASM357664v1:11:6580665:6580961:-1 gene:itb11g09670 transcript:itb11g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDSAITSANINNATWPSTQIAIFSSFFTLSLESSRRWGRGENWNFGVAKQLANRSVLLPSKLSVNYFSFSYFRVSLNSPSVSFARVESHVMLVMR >itb01g04360.t1 pep chromosome:ASM357664v1:1:2949381:2952087:1 gene:itb01g04360 transcript:itb01g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIDITKGRSDIFPVQYLCLTTNSYSPKSTYKANLDSLLSNLYSNSTRDDNNGFYHTTVAGGSASNDTVHGLFLCRGDVSTHDCGRCVADARKTILEFCANGKTAMVWYDLCLLRYSENAMLGILDQSFWYKMKNTDNNTRSNEYMQMVGNMLDQLISPASSGSDKKFAVSQANFSGFERVFALGQCTPDLSNVDCQICFRNAINTLPGCCFGALGARAVYPSCYVRAKENGTTSGNAVPDRMTEIPAEESVQYDFSTIQAITNYFSPDNKIGEGGCSSVYKVCTGLVFQRGRFPNGQEVAVKRLLRSSTQGVVEFKNEIALVAKLLHRNLVKLLGFCLQGEEKILVYEFVPNKSLDYFLFDPEKKRLLNWSTRFKIIVGIVRGLLYLHEDSRLKIIHRDLKASNVLLDEDMNSKISDFGLARIFMADQTQGNTNKVIGTYGYMSPEYVKHGLFSVKSDVFSFGVILLEIITGKRNSSLSMKSTGAKDLLSYAWKHWREERALDIIVDQSLRGLYSRDEVIQCINVGLLCVQEDVDERPTMANVVLMLNSFSATRRTPSNPPAFFNGGIERISVGETVNQSINEVTISDLYPR >itb08g15020.t1 pep chromosome:ASM357664v1:8:17007918:17014467:1 gene:itb08g15020 transcript:itb08g15020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAMEVKAAFGEVVPCMAMVMLEACTIFLTIMASTAMAKFGTNPLVFVVYTNALASIFLVPYSIIYHRRDKVQEKLFTFPLLLRLFFLGLIGVTISQNLAFVGLSYSSPIAACGTANIVPALSLILAIILRRTKIDWESGGSQARMVGALICTVGTISLIFYRGPVVKNYSPTFLHLSTTQFVFSSKDQQNWVLGCLFFIAASLSLSIWNIIQVGTIKIYPQVMKIMCVYSLFGTVQSGALSLFIVRDPNAWRLDLNFQLIVIVLTAIFGSIIRSSVQLWCMRMKGPSFPLIFKPVGIVVASSCGCLFFADTFHYGSMFSAFICGMGYYATIWGQFKDEETQQIKGSSVSLPDEKVPLLRQSQDDSPV >itb04g10700.t1 pep chromosome:ASM357664v1:4:10245742:10247001:-1 gene:itb04g10700 transcript:itb04g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPFSSKVKTIPSPEHLLVSFIVICHLLNSSVATPDVPVSVFSNHKMQSFNVPVSAFPNHKMYNFDVPVSAFSNHKLHNFDVPVSTFPYHKKHNSDVPVSAFLNHKKHNSDVPVSAFFNHKMHNLDVPVSAVLNQKMHNFDVPVSAFLNQKIHNSDVPVSAFSNHKLHNFDVPVSTLSYHKKHNSDVPVSALPNHKTHNFDVPVSAFPNHIKHNFDVPVSAFSNHKIHNLDVPVSAFSNHIHNSDVPVSAFLNHKKHNSDVPVSAVSNHKMHNLDVPVYAFPNQKIHNFDVPVSTFHNKKIHNSDVPVSAFPNHKLHNFDVPVSTFPYHKKHNSDVPVSAFPNHKKHNYDVPVSAFSDHKKHNSDVPVFAFSNHKMHNLDVPVSVFPNHKMRNSDVPVLAFTNRYPPSGPSPRTLNYG >itb06g21000.t1 pep chromosome:ASM357664v1:6:23754436:23756686:-1 gene:itb06g21000 transcript:itb06g21000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWEGGGGSATSPPPPGGGDGGGGGGGSISGRRKPSWRERENNRRRERRRRAIAAKIYAGLRAQGNYNLPKHCDNNEVLKALCQEAGWTVEPDGTTYRKGCKPTPMEIGGTSANITPSSSRNPSPPSSYFASPVPSYQPSPLSSSCPSPTHHGGGDGGKMPPHPFAFLRSAIPSSLPPLRISSSAPVTPPISSPTRAPPKQIFNLETLAKESMSAFNIPFFASAPTSPTRAHQRFPATIPECDESDSSTIDSGHWMSFQKYGVPTSPTFNLMKPVNPQRVPSNDAMILDKGKSVDFGFENVAVKAWEGERIHEVGLDDLELTLGSGTARI >itb09g07650.t1 pep chromosome:ASM357664v1:9:4510217:4510675:1 gene:itb09g07650 transcript:itb09g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGTQNELPLNENDSQEVVLYQILNEANTLNSSPFLQPRNRGVTQQSILLRPTQSIAKKHYRGVRRRPWGKFAAEIRDSARQGARVWLGTFDTAEEAALAYDRAAFQMRGARALLNFPAHLVEAPPSSVLRVHYPSNSSCSNEASSGGESK >itb14g21380.t1 pep chromosome:ASM357664v1:14:23414695:23416483:-1 gene:itb14g21380 transcript:itb14g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSIGEVDPLFLPLYSYTLLVSLCFSFSYQHREIVEEDEDDDDDRTTRQLRFPVPQFVCAHGIGSLKETYTKSENIFIVLVSCQNYVLIFGSFYCFPILYRSELRGTLAFLFLCFFFSLFFRSSGVEIKVEKMVLVMRFSWFVSAAIVATVLSPSLQSFPPAEAIRSSKVDSYRRFPGQIASGGSLNRFSFRKAPLFRNAGECSSALGETGVCHPSLVHVAITLDADYLRGSIAAVHSILLHSSCPETVFFHFLVSDTNLQTLVRSTFPHLKFKVYYFDPERVRSLISTSVRQALEQPLNYARNYLADILEPCVRRVIYLDSDLIVVDDVSKLWSSSLGTKTIGAPEYCHANFTKYFTPNFWSKQRFSGTFAGRNPCYFNTGVMVIDLGKWRQFGYTKRIERWMEIQKMNRIYELGSLPPFLLVFAGVVAPIDHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSEKPCPLDSVWAPYDLYGHFT >itb01g03490.t1 pep chromosome:ASM357664v1:1:2299105:2300623:1 gene:itb01g03490 transcript:itb01g03490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRQWSVVTAVVLAAAAAVVGGQEHHHVVGGDRGWEVSNDIASWSAARIFSVGDTIWFTYSAAEESIAELGSREEYMSCDLSNPITMYTNGIDKIPLHREGVRYFVSGNLHSCINGLKVPITVQSQAKTRPLLLPLQADAPTAPSASTRLTTGLLRTLLLLFPILFYL >itb08g07920.t1 pep chromosome:ASM357664v1:8:6816514:6819625:-1 gene:itb08g07920 transcript:itb08g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSNVSFFSPLPTRPSLSHISSTITTLDAPRFPAHKTRLHSGTATTRLRCNCQLTTTDLAPLTSAAYGTLLFGGGLFAFTRSGSKGSLLGGLTGAGLMGTAYFLMQASETKELGDALAFGSALLFACVFGIRLAASRKLVPAGPLLGVSLGALAVFILAYLQDKV >itb09g09750.t2 pep chromosome:ASM357664v1:9:5984029:5991974:1 gene:itb09g09750 transcript:itb09g09750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAVDEDAGQEFKPEKKKPLSEDEKRRKKIVPGSLMKAVVRPGGGESRPTEGDQVVYHCSVRTLEGVTVESTRSDLGGKGIPIRHVLGKSKMLLGLLEGIPTMFKGEIAVFKMKPEMHYGEEDCPVSVSDSFPKEDELHFEIELIEFSKVKVVSSDLGVLKKVLEEGQSWESPREPYEVKARISAKSGDGKVILSHTQGEPYSFTFGKSEIPVGLEMGIGSMTRGEKAVVYVTRQYFSQSPLMAITEDIEEIHFEVELVHFIQVRDVLGDGRLIKRRIRDGRGDFPMDCPLQDSLLHVHYKGMLLNEEKTVFYDTKFDNNGQPLEFSSGEGLVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFERPANVPEGAYVQWEIELLDFNTQKDWTGFDFREIMEDVEKIKGTGNRLFKEGKFELAKAKYEKVLREFNHVHPQDDEEGKEFSETRNLLHLNVAACYLKMGEHRKSIEACNKVLDANPVHVKAFYRRGMAYMAGGDFQEARDDFNKMMSIDKSSETTAKAALMKLKKEEQEVHRRARKQFKGLFDKRPGEIAEVDTSETDAMDANASENHEDNNETRGLLTTEDSMNETDAVPPGTSILSKLRRLFASFGLNRCAIL >itb09g09750.t1 pep chromosome:ASM357664v1:9:5984029:5991008:1 gene:itb09g09750 transcript:itb09g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAVDEDAGQEFKPEKKKPLSEDEKRRKKIVPGSLMKAVVRPGGGESRPTEGDQVVYHCSVRTLEGVTVESTRSDLGGKGIPIRHVLGKSKMLLGLLEGIPTMFKGEIAVFKMKPEMHYGEEDCPVSVSDSFPKEDELHFEIELIEFSKVKVVSSDLGVLKKVLEEGQSWESPREPYEVKARISAKSGDGKVILSHTQGEPYSFTFGKSEIPVGLEMGIGSMTRGEKAVVYVTRQYFSQSPLMAITEDIEEIHFEVELVHFIQVRDVLGDGRLIKRRIRDGRGDFPMDCPLQDSLLHVHYKGMLLNEEKTVFYDTKFDNNGQPLEFSSGEGLVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFERPANVPEGAYVQWEIELLDFNTQKDWTGFDFREIMEDVEKIKGTGNRLFKEGKFELAKAKYEKVLREFNHVHPQDDEEGKEFSETRNLLHLNVAACYLKMGEHRKSIEACNKVLDANPVHVKAFYRRGMAYMAGGDFQEARDDFNKMMSIDKSSETTAKAALMKLKKEEQEVHRRARKQFKGLFDKRPGEIAEVDTSETDAMDANASENHEDNNETRGLLTTEDSMNETDAVPPGTSILSKLRRLFASFGLNRCAIL >itb08g04100.t1 pep chromosome:ASM357664v1:8:3262048:3263113:-1 gene:itb08g04100 transcript:itb08g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASLPNSSVFLIVTVLITLGITNVSAGSFTLHNGCTHTVWPGTLSGNGGALLGEGGFALSPGESRQLQAPAGWSGRFWARTGCNFDANGNGKCVTGDCGGLKCAGGGVPPVTLAEFTIANGNADKDFYDVSLVDGYNVDLGINPSGGSGDCQYAGCVADLNTNCPQVLQVTDSGSVVACKSACAQFNTPEYCCTGDHNTPATCSPTQYSQIFKNACPKAYSYAYDDASSTFTCAGSDYLITFCPSS >itb05g00140.t1 pep chromosome:ASM357664v1:5:124740:126581:-1 gene:itb05g00140 transcript:itb05g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEDEDWVIKINNEAARRRDSDVIAEMEQWKKRSIYRIPASFTDVNKKAYKPQAVSFGPYHHGEEHLKAMEVHKHRALVRFLRRSGKPLEHYLHALEPLVQDLKDAYDTTTLPGCSWQQQVEEDHERISAQFLQLMILDGCFMLEILRTGSGSPKYCLGKDDYAPNDPVFSDHGKLYLIPHLRRDMLVLQNQLPMLLLYTLHAVANNQVDVVEEEAVEFINDLVIKIWNPNNSDPKKNLGKCLHVLDLYRKNLLWEPANKYSRKRQQHVNKRRGAREAARRRRRNARGGDGDDQIIRSATELYEAGIRFKKSKTQSLTDISFRWGVLRLPPITVDDTSESMFLNLIAFERSHVGAGNEVTSYIFFMDNIIDSSKDVSLLHSSGIIQNAIGSDKAVAKLFNSLSKDITLDPDSSLDVVQNEVSHYCQKAWNEWRANLIHTYFRSPWAILSVIAAIFLFALTIVQTVYTVLPYYTNSNS >itb09g10310.t1 pep chromosome:ASM357664v1:9:6409524:6413342:1 gene:itb09g10310 transcript:itb09g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEITNFLLSAQSADAKIRTEAEATLTQFKEQNLPVFLLSLAVELSNEGKPIESRRLAGIVLKNSLDAKEASRKEHLVQQWTAIDVSLKSQIRNMLLNTLNSSVRDASHTSSQVIAKIASIEIPRKEWPELVGSLLANMTQPGSPASLKQATLETLGYVCEEISHHDLVQDEVNAVLTAVVSGMTMTEQSPEVRLVATKALYNALDFAQTNFQNQMERDYIMKVVCEATTAKEVEIRQAAFECLVSIASTYYEFLEPYMQIIIELSSKAVKEDTEAVALQAIELWSSICDEEIELQDYDVPDSGDSSVAHSRFIEKALPTLVPMLLETLLKQDEDQDQDDDIWNLAMAGGTCLGLVSRTVGDAVVPLVMPFVEANILKADWRSREAATYAFGSILDGPSIEKLSPMVNAGFDFLLNAMKDENSHVRDTTAWTLSRIFELLHRPSSGFTVLSPNNLQRVAGVLLESIKDAPHVAEKVCGAIYYLAQGYEDAGPTSSLLSPYIPDIISALVSTAERMDGTDSKLRSSAYETLNEVIRCSNLSESSLIIAQLCPIIMNKLAQSIELQIVSSDDRGKQGELQASLCGVLQVIIQKLSSTDETKSLILQAADQIMMLFLKIFTCRSSAVHEEAMLAIGALAYATGPEFGKYMPEFYKYLEMGLQNFEEYQVCAISVGVVGDICRALDDKILPYCDGIMTLLLKDLSSGELHRYVKPPIFSCFGDIALAIGEHFEKYIQFALPMMQSAAEICANLDYGDEEMSEYGNQLRRSIFEAYSGILQGFKNSKPDLMLPHAPHLLQFLEVVAQDRNKYDSVTKAAAAVLGDLVDALGSNAKPLLSDRVFCNAFLGECLQSDDEQLKETATWTQGMIGLTFSICG >itb12g25050.t1 pep chromosome:ASM357664v1:12:26358985:26363429:1 gene:itb12g25050 transcript:itb12g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MTLFFKIRFSTNVVTDPGQVDIFPASRVSKTWRHLVKPIYRFPRGTSKGSILSRDSVKEKGFRLITSPNSLQHVLQCVSDAEVRKMAYIQGNSAPRANLEVLDKLISARHEVAQILGYKSYSAFSLHSTMAASPEVVFSFLHEMSKLVRAKADKEFKAIEEFKRVKSCDQGGGLEPWDEGYFTWLMKSSAYNLNSLAVASYFPLARCIEGLKILVESLFGVTFRQIPLAPGESWHPDVIKILLHHPNEGELGYLYLDLKSRKGKHPICAHFAIRGGRRISETEYQLPIVALICDFSGPSSTSVMLNQLEVETLFHEFGHALHSLLSRTEYQHFSGTRAVLDFAETPSTLFEHFALDYRVLRRFAKHYSTGDVIPKELVESMLGAKNMFAATELQRQIFYALVDQTLFGEQPSSVRDSLSIVADLKRQHTSWKHVEGTHWHTRFNHLTNYGAGYYSYLYAKCFSATIWEKICQEDPLSPATGSALRMKFLQHGGAKDATNILNDLVGNGITRPAGEGVIPDVTSLCNMLELTKC >itb12g25050.t2 pep chromosome:ASM357664v1:12:26360681:26363429:1 gene:itb12g25050 transcript:itb12g25050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MAASPEVVFSFLHEMSKLVRAKADKEFKAIEEFKRVKSCDQGGGLEPWDEGYFTWLMKSSAYNLNSLAVASYFPLARCIEGLKILVESLFGVTFRQIPLAPGESWHPDVIKILLHHPNEGELGYLYLDLKSRKGKHPICAHFAIRGGRRISETEYQLPIVALICDFSGPSSTSVMLNQLEVETLFHEFGHALHSLLSRTEYQHFSGTRAVLDFAETPSTLFEHFALDYRVLRRFAKHYSTGDVIPKELVESMLGAKNMFAATELQRQIFYALVDQTLFGEQPSSVRDSLSIVADLKRQHTSWKHVEGTHWHTRFNHLTNYGAGYYSYLYAKCFSATIWEKICQEDPLSPATGSALRMKFLQHGGAKDATNILNDLVGNGITRPAGEGVIPDVTSLCNMLELTKC >itb13g25470.t1 pep chromosome:ASM357664v1:13:30847485:30847961:-1 gene:itb13g25470 transcript:itb13g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSHDSSIPNKIRNQFIEEDSYPQELKESIVSLPKEKGWLLPFMYNYKGVWLCSIQLYGSLGCQQHLQAQDSDIMLCTQQKSGTTWLKALLFALITRKQYFPPESHHQIHPLLTKNPHEFIPGIEYRYAMDESPDLPVINGRRLLSTHLPQNLLPK >itb06g25620.t1 pep chromosome:ASM357664v1:6:26589968:26594093:1 gene:itb06g25620 transcript:itb06g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEDYKPLPDGGLSDDKRRRKIPSLKSVVLEVMNMRKMQTFMEPVLEPLIRRVVKEEVDIALRKYMTSLKRNGGKDAHPYELRSLKLKFLDSISPPVFTGTRIEGEDGSSLRVALVDALTGQVVTTGPESSAKVEIAVLEGDFDSDEGNNWTVEEFRDNIVREREGKKPLLNGDAILNLKDGIGLVGDISFTDNSSWTRSRKFRLGARLVDNFDGIKVIEAKTESFVVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHRRLSKERIYTVKDFLTLLSLDPARLRNILGTGMSTKMWEVTVEHARTCVLGKKLYSYYASGSEQKNGVVFNIVAQVLGLFVDCQYIPAEKLSETEKAEARELVISALQHWEEVVCFDNESSLFPHIQSSDSSSAMANSDGTNNNALAASQKAPMCDYQPSPDNMPSIYSIGGLSGLDPYDLHSIDSMDVRFEQPLRLPGQDTNSFIYDTVDAVTQPFCGDEPLPYFDSDYSLHSSSFEQSDSYLQSAVNSFLGRTTVTPDKAQRRWKMLFSVLRWFSVRRIVARKGGVNRKDKAPYY >itb06g25620.t2 pep chromosome:ASM357664v1:6:26591223:26594093:1 gene:itb06g25620 transcript:itb06g25620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIYLFYSVVLEVMNMRKMQTFMEPVLEPLIRRVVKEEVDIALRKYMTSLKRNGGKDAHPYELRSLKLKFLDSISPPVFTGTRIEGEDGSSLRVALVDALTGQVVTTGPESSAKVEIAVLEGDFDSDEGNNWTVEEFRDNIVREREGKKPLLNGDAILNLKDGIGLVGDISFTDNSSWTRSRKFRLGARLVDNFDGIKVIEAKTESFVVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHRRLSKERIYTVKDFLTLLSLDPARLRNILGTGMSTKMWEVTVEHARTCVLGKKLYSYYASGSEQKNGVVFNIVAQVLGLFVDCQYIPAEKLSETEKAEARELVISALQHWEEVVCFDNESSLFPHIQSSDSSSAMANSDGTNNNALAASQKAPMCDYQPSPDNMPSIYSIGGLSGLDPYDLHSIDSMDVRFEQPLRLPGQDTNSFIYDTVDAVTQPFCGDEPLPYFDSDYSLHSSSFEQSDSYLQSAVNSFLGRTTVTPDKAQRRWKMLFSVLRWFSVRRIVARKGGVNRKDKAPYY >itb07g01940.t3 pep chromosome:ASM357664v1:7:1159663:1165547:-1 gene:itb07g01940 transcript:itb07g01940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMGPSGSGKSTLLDSLAGRLASNVVMTGNILLNGKKRRLDYGVVAYVTQEDVLLGTLTPREAITYSAKLRLPTTMTNQDIKEIVEGTIMEMGLLDCADRLVGNWQLRGISGGEKKRLSIALEILVRPRILFLDEPTSGLDSASAFFVVQALKNICRDGRTVVSSIHQPSSEVFALFDDLYLLSGGETVYFGEAKNAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDIVTATLKGSMRLRETHKSDYLMNMATAEIKAMLVEKFRRSEYGARARSRMKELSKIQDIEIETIKGSQAGWGKQLVTLTQRSFKNMTRDMGYYWSRIVIYILVSICVGTLFFRVGTSYTAILARGACGGFVTGYMTFMSIGGFPSFIEEMRIFTKERLNGHYGVGAFILSNFLSSFPFLVAVSAITGTITFYMVFQASFSRYVFFCLNLFGCIAMVESCMMIVASLVPNFLMGIITGAGVLVSQTDLYYPLERSNY >itb07g01940.t1 pep chromosome:ASM357664v1:7:1159663:1164029:-1 gene:itb07g01940 transcript:itb07g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNILLNGKKRRLDYGVVAYVTQEDVLLGTLTPREAITYSAKLRLPTTMTNQDIKEIVEGTIMEMGLLDCADRLVGNWQLRGISGGEKKRLSIALEILVRPRILFLDEPTSGLDSASAFFVVQALKNICRDGRTVVSSIHQPSSEVFALFDDLYLLSGGETVYFGEAKNAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDIVTATLKGSMRLRETHKSDYLMNMATAEIKAMLVEKFRRSEYGARARSRMKELSKIQDIEIETIKGSQAGWGKQLVTLTQRSFKNMTRDMGYYWSRIVIYILVSICVGTLFFRVGTSYTAILARGACGGFVTGYMTFMSIGGFPSFIEEMRIFTKERLNGHYGVGAFILSNFLSSFPFLVAVSAITGTITFYMVFQASFSRYVFFCLNLFGCIAMVESCMMIVASLVPNFLMGIITGAGVLGIMMMTAGFFRLLSDLPKPFWRYPISFMGYGAWSLQGAYKNDMIGLVFDPLIPGEPTLKGEDVLRTMFKLPMDHSKWWDLLALYCLIVVYRLVFFLVLKVKERALPFFRSVYAKRTLHRLSKRPSLMRRPSLSLSSRRYHNIRSLSSQEGLSSPIP >itb07g01940.t2 pep chromosome:ASM357664v1:7:1159663:1165590:-1 gene:itb07g01940 transcript:itb07g01940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMGPSGSGKSTLLDSLAGRLASNVVMTGNILLNGKKRRLDYGVVAYVTQEDVLLGTLTPREAITYSAKLRLPTTMTNQDIKEIVEGTIMEMGLLDCADRLVGNWQLRGISGGEKKRLSIALEILVRPRILFLDEPTSGLDSASAFFVVQALKNICRDGRTVVSSIHQPSSEVFALFDDLYLLSGGETVYFGEAKNAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDIVTATLKGSMRLRETHKSDYLMNMATAEIKAMLVEKFRRSEYGARARSRMKELSKIQDIEIETIKGSQAGWGKQLVTLTQRSFKNMTRDMGYYWSRIVIYILVSICVGTLFFRVGTSYTAILARGACGGFVTGYMTFMSIGGFPSFIEEMRIFTKERLNGHYGVGAFILSNFLSSFPFLVAVSAITGTITFYMVFQASFSRYVFFCLNLFGCIAMVESCMMIVASLVPNFLMGIITGAGVLGIMMMTAGFFRLLSDLPKPFWRYPISFMGYGAWSLQGAYKNDMIGLVFDPLIPGEPTLKGEDVLRTMFKLPMDHSKWWDLLALYCLIVVYRLVFFLVLKVKERALPFFRSVYAKRTLHRLSKRPSLMRRPSLSLSSRRYHNIRSLSSQEGLSSPIP >itb07g01940.t4 pep chromosome:ASM357664v1:7:1160617:1164029:-1 gene:itb07g01940 transcript:itb07g01940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNILLNGKKRRLDYGVVAYVTQEDVLLGTLTPREAITYSAKLRLPTTMTNQDIKEIVEGTIMEMGLLDCADRLVGNWQLRGISGGEKKRLSIALEILVRPRILFLDEPTSGLDSASAFFVVQALKNICRDGRTVVSSIHQPSSEVFALFDDLYLLSGGETVYFGEAKNAVQFFAEAGFPCPSRRNPSDHFLRCINSDFDIVTATLKGSMRLRETHKSDYLMNMATAEIKAMLVEKFRRSEYGARARSRMKELSKIQDIEIETIKGSQAGWGKQLVTLTQRSFKNMTRDMGYYWSRIVIYILVSICVGTLFFRVGTSYTAILARGACGGFVTGYMTFMSIGGFPSFIEEMRIFTKERLNGHYGVGAFILSNFLSSFPFLVAVSAITGTITFYMVFQASFSRYVFFCLNLFGCIAMVESCMMIVASLVPNFLMGIITGAGVLGIMMMTAGFFRLLSDLPKPFWRYPISFMGYGAWSLQVRGMN >itb07g16090.t1 pep chromosome:ASM357664v1:7:19377730:19381261:1 gene:itb07g16090 transcript:itb07g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKGRSSPTPRKSKFSSLEFTAEDERVDKDSRITLCKFKKRKSPQEHSPINKYTSLKSFARAVKNILNEFVDELVDTRSSGGPMDAGIIKSHTVASSGSSGCKPSEHNRTEYHGSEFSSLATTTSSARLSGIVTDDKHLYSRPPLIPADASRFFIPNEPVVVDSDDEPVIELRSPNSPSIVQLQGLPDEHVLKYSSNVHDTNEPVVVDSDDGPVIELRSPKSPSIAQLQGLFSSYNNSCKVYLLIQVTPFKSIVCANPGLPDEHVLKYSSNVHDTNEPVVVDSDDEPVIELRSSKSPSIVQLQGLFSSYSHSCKVYLLIQVTPFKSSVCAAAGLPDEHILMHSSNVHDSNEPVVDLDDDPVIVLRLPKYPSIVQLQGLPDEHVLKYSSNVHDTNEPVVDSDDDPVIELRSPKSPSIVQLQGLPDERVLKYSSNVHDTEALVVVIPDHIIYRKLWSTSSCLSFSPKCIKLEGLPNSETMPSTFKWKVSAVVDIQSVWIDSIKTADVTIRLIAKTRRSRVVRILRFAVSDPDWSDKQEAIQSLDFRYKDRWRTAG >itb11g07490.t1 pep chromosome:ASM357664v1:11:4591670:4596732:1 gene:itb11g07490 transcript:itb11g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAGYEIVVLFTFVVCGTWLVFHSQFKVLPEPLSAEEAGETGFSEVAAMNHVKALSQLGPHPLGSSALDTALQYVLQVAESVKEEACGDVNVEVELFHANSGVNTLVGGLYVGKTPVYSDLKHVVMRLSPKSSADKLREGEDDAILVSGHVDTVFAAEGAGDDTSNVAVMLELARGLSQQATGFKNSVIFLFNTGEEEGLDGSHSFITQHPWRNTIKVAIDLEAMGIGGKSGIFQAGPDPWAVQNFAKVAKYPSGQVASQDLFSSGVIKSSTDFQVYTEVGGLSGLDFAYTDNTAVYHTKNDKVALLKPGSLQHLGENMLPFLLQAASSSDLPTPKKTLSSGKSEKEDEDTIVYFDILGRYMVVYPQSFADMLHKAVIILSLFVWTASLYMGGLSALISLAFSVLSIVLMWICSVSLSVLVAYVLPSVSSTPVPFIASPWLAIGLFAAPALLGAFVGQHVVYLFLQKFLSYTFSERKKSFILSVQDDDVSECELEAERWMFKAGLLQWLIVLAVGTYLKIGSSYLALVWLVSPALAYVLFESPAQSTKPLDPLTSLIGLTVPLAISSGVFVMLVNTLIGYLVRFVSNPGEQADWIPTAIVAVLIAAIVCLTMAYVLPYIHNSGAKSPFVITTCVLFVASLGMVALQMVPAFVDDTARAVNIVQVVDQTGNSSVSYISLFSTTPGNLDVEAEKLGGFVCGRDKSFDFVTFSVKYSCWTEPTAKLGLKKSQIPALRVGRDIEGDNRRATLIHINTANSTRWSLGINTNEIEDFQLKDESEVLVSLGGEKNGVDGWHVLQFAGGKNSPTKFDLTLYWHKNSSGKRIVEGSKGENVLLKLRADVNTKTPEMVAVLEKLPSWCSQYGKSSSPFTLAYLDAISVDSVADPLLTSVYVASE >itb11g22430.t1 pep chromosome:ASM357664v1:11:24365249:24366934:-1 gene:itb11g22430 transcript:itb11g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIWSTTAWDNVQRCLPDDNNGSGILLTSRLKEVAEYASSGNSPLNMPFLDANESWNLYCKVFGKTEFPMVFEQIGRDIVKKCKGLPLAITLVASLLSKIEEKAEKWKNVAESVIGDSNEACSRVLYLSYNQLPHYLKACFLYFGIFKEDYEISVKKLVRLWAAEGLLSTMKHVNLEKVAMECLQDLVDRSLVIVSKQSYNGEMKRIRIHDLLRDLCLREARLENLLSVNGVKKPCRWISHTSESFYNEIMFSLPNLKKLSVLKSNLQWSELSAISMLPNLEVLKLIDACEGPEWETSDGGFHRLKRLVIKKINFRCWNAVGDHFPMLECLEISECYRLKEIPRGFTRYHHSSIDSDTQM >itb12g10520.t1 pep chromosome:ASM357664v1:12:8647290:8650927:1 gene:itb12g10520 transcript:itb12g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKTVMMIIRASRPTFRNPYDKVAFAVHASCVASGFVLLATGPSAFADDPFSSAFADEVGIDHWNDFEDNYGFIYSTPEGNSKKVLVKCLVMNGKLLVDALWEGTSTIRHLELNVQDYVENGGANYDSQYKNFGTLVEKINKEILSKGSPAVKSSTQSSSSEKGGIRDNADRRGAESDDSYEPSGSVPPGYVVPPIPAFGGSDIFPAPGAGVYPTRDIGGYEGMLVGPRDRRFFPGISGDPRLPGGFQGIPPGARFDPFGPPGVPGFEPGRFIRDPTRPGSGRNHPDLEHFGGGSDFI >itb01g15920.t1 pep chromosome:ASM357664v1:1:19349534:19350045:1 gene:itb01g15920 transcript:itb01g15920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEEQKWQEGSVMSTFEMLQEISPYIKFAHFIANQAILEAPRTAKRKLSTDNGKEMGNWSKLQIRIGTSLSKGLVSRWNCTVPMSPEQNTGSMVSSVFSNSFANSIRCTIFS >itb15g11930.t1 pep chromosome:ASM357664v1:15:9801347:9808650:-1 gene:itb15g11930 transcript:itb15g11930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLTSVQNLQRCLYRATEIRSVSSSLLNSSSRNSPDIFCDTECWPNSSCLFKPHDSNSWNNRFTHVSSWSTISRLYNDTSSLPWTSGAPVLRSTIEPKPTNFGYQRSVTVQAKAPPQARQMAAVKVSMLSPGIVFEPYATRESMPFWKRWFTRTGWRRIKEEITSELKSAYAIAKLRKTGYSKQKFYEEALVLYKEINTHIANGDKAPLRKSVTEKMYSALKNEIRQRESVWRHVYWELVEPVVKIRTLRARMIGVDRDDLSKLFIQLTLEIVTKQKFEAYNSNGAVIAGDKAKEVIVRDIWVFEKSLFHKGACWRLCGRIKT >itb15g11930.t2 pep chromosome:ASM357664v1:15:9801347:9808650:-1 gene:itb15g11930 transcript:itb15g11930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLTSVQNLQRCLYRATEIRSVSSSLLNSSSRNSPDIFCDTECWPNSSCLFKPHDSNSWNNRFTHVSSWSTISRLYNDTSSLPWTSGAPVLRSTIEPKPTNFGYQRSVTVQAKAPPQARQMAAVKVSMLSPGIVFEPYATRESMPFWKRWFTRTGWRRIKEEITSELKSAYAIAKLRKTGYSKQKFYEEALVLYKEINTHIANGDKAPLRKSVTEKMYSALKNEIRQRESVWRHVYWELVEPVVKIRTLRARMIGVDRDDLSKLFIQLTLEIVTKQKFEAYNSNGAVIAGDKAKEVIVRDIWVFEKSLFHKGACWRLCGRIKT >itb07g12110.t1 pep chromosome:ASM357664v1:7:13699498:13701233:1 gene:itb07g12110 transcript:itb07g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSSSPSPSSRYKFCETLLADTIATVEPLIRKWDVQASVTFDRISNLFRDSPVEAKQFLCYVEDLQRAMLFFVSKCSDSELLVPAQTLMQIAMKRLQKEFYTILSGNRYFLDLETVSARSSGASSRSTVSDSEDGEVLDKVAGISIPDPAVPDLKTIATCMIGAGYGKECVKVYNIIRKSVIDETLYHLGIERFSYSQIQKMDWEVLERKILNWQNCIRIAVKTLFLGERILCDEVFSASDNIRESCFAEISKDGAINLFGFLELAAKYKKISIEKMFRYLDLYEAVSELSPEIESIFSFDSTAAVKTQAAASVSMLGDAVRAMLSEFEAAIQKDSTKPPPGGAIHPLTRYVMNFLVFLADYSGTVSGIIADWPPSSQSPLPESYFSSPSSMNDDSVASTVSAKFAWLVLVLLCKLDSKARQYGDVPLSYLFLANNLNYVVSKVRSSNLSLVLGSDWISKQEVKVKQFIANYERLS >itb03g17670.t1 pep chromosome:ASM357664v1:3:16188557:16190318:-1 gene:itb03g17670 transcript:itb03g17670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVIDNTVEVNGNEKNDIGMVVIRGNSVVTIEALEPVARS >itb15g14390.t1 pep chromosome:ASM357664v1:15:12599464:12603201:-1 gene:itb15g14390 transcript:itb15g14390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEIDRLRGVVRDCMSKHLYSSAIFFADKVAAITSDPTDIYMQAQALYLGGHYRRAFHLLNASQIVLRDLRFRYLAAKCLGELKEWDQCQLVLGNANVDEHGNVTELGDCSSMYLDKDGEDREINILSAICFLRGKASEALENRAQAQLWYKAAIKADPLCYEALACLVENHMLTCDEEASLLSSLQFGAEDGWLSSFYSCLVKKYEKENVVEAKFRELEQDGPSIKPLDKSLLCTLKTNTDLLACKAEYYHQCSEYQKCFELTSTLLEKDPFHLKSTLVHLAAAMELGHSNELYVMASNLVKDYPQQALSWFAVGCYYYCIKKYEQARRYFSKATSLDGTFAPAWIGYGNAYAAREEGDQAMSAYRTAARLFPG >itb05g27280.t1 pep chromosome:ASM357664v1:5:30733037:30734579:1 gene:itb05g27280 transcript:itb05g27280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSAAAVVAAFLCLLLWPYGGEAHNITRILAKDKAFATFNHYLTLTHLAADINSRETITVCAVDEAGMADLLAKHLSINSIKNVLSLHVLLDYFDSKKLHQISNGTALAATMFQATGSASGSSGFVNITDLKGGKVGFGPQDNGGSLPATYVKSVEEIPYNISVIQISRILPSPEAEAPAPGPSQMNLTARMSSHGCKVFAEALLASPAEKTFEDNVDGGLTIFCPGDDAMKNFMPKFKNLTADGKQSLLEFHGVPVYHSLSDLRSNNGETNTLATDGGKNYGFTVQNDGDVVTLKTKVVTAKITGTLMDEQPLAIFTLDKVLMPKELFKATDSPTPAPAPGPDADAESPKPAKRHKSPSPSDAPSDSPADAPGSDSDDQTADDNGAVRFNGGRFVAMVLSLCVAFSLEQAFF >itb03g25690.t1 pep chromosome:ASM357664v1:3:24856733:24861149:-1 gene:itb03g25690 transcript:itb03g25690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSKSTQPVTPNNSKPKPSLGAAAAPRVSRVSRGAAKSDGDAAPTQLQPARSSVDKNSRPVTSLKPAVDRRSPKLSSTSTPPDKKPTRVLKPSELQAELNLAQDDLKKAKENLASVEKEKAQALDDLKEAQKLAEEANKKLKEALMAQKQAEENSELEKFRAVEMEQAGIETAQRKEEEWQKEVEAVRSQHALDTAALLSATQELERVKQELSVACGAKNQALSHADDAAKIAEMQVGKVETLSAELAQVKSLLESKLETEANEKNELVAELDLEIEALKREVEKAKHYEERLVEKEAILEQLNVDLEAAKMAESYAQNLVKEWKKKVEDLEVQAAEAHRLERSASESLESIMKQLEDSNDLLHDAESEIESLKEKVGLLEISIGRQKGDLEESKRHIKMAKEEASDMAEKVESLSFEIETIKEEKIRALNNEKLAADSVQQLLEEKNKLLDELENTREEEERSKKAMDSLASALHEVSSEAREAKERLLSGQVEHENFETQMEDLRLVLKATNEKYENMLDDAKHEIDLLSVAVEESKLSFQNLKAECEEKEMNLMNSVKRNEDENSSMEKEISRLEILLKEAQEEACVAKDEEANLKNSLKKVESEVNHLKEALGETKAESMKLKESLMDKENEMQNILQVNEELRSREATSLKKAEELSKMLEEALATNKLAEENGEELTDSEKDYDMLPKVVEFSEQNGGREENPKMEIPHQSHHFVIKEFQEVNNTCANEDKETDRAGGLDEKAKEKDDTDSVEADLKTWESCKIEEKDFSPEGEAEHEEELESKTEVGENHDHQNGVSPTENHNTSPSKQQSQKKKKALLGKFGSLLKKKGTSNQKQ >itb03g25690.t2 pep chromosome:ASM357664v1:3:24856748:24860548:-1 gene:itb03g25690 transcript:itb03g25690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQAEENSELEKFRAVEMEQAGIETAQRKEEEWQKEVEAVRSQHALDTAALLSATQELERVKQELSVACGAKNQALSHADDAAKIAEMQVGKVETLSAELAQVKSLLESKLETEANEKNELVAELDLEIEALKREVEKAKHYEERLVEKEAILEQLNVDLEAAKMAESYAQNLVKEWKKKVEDLEVQAAEAHRLERSASESLESIMKQLEDSNDLLHDAESEIESLKEKVGLLEISIGRQKGDLEESKRHIKMAKEEASDMAEKVESLSFEIETIKEEKIRALNNEKLAADSVQQLLEEKNKLLDELENTREEEERSKKAMDSLASALHEVSSEAREAKERLLSGQVEHENFETQMEDLRLVLKATNEKYENMLDDAKHEIDLLSVAVEESKLSFQNLKAECEEKEMNLMNSVKRNEDENSSMEKEISRLEILLKEAQEEACVAKDEEANLKNSLKKVESEVNHLKEALGETKAESMKLKESLMDKENEMQNILQVNEELRSREATSLKKAEELSKMLEEALATNKLAEENGEELTDSEKDYDMLPKVVEFSEQNGGREENPKMEIPHQSHHFVIKEFQEVNNTCANEDKETDRAGGLDEKAKEKDDTDSVEADLKTWESCKIEEKDFSPEGEAEHEEELESKTEVGENHDHQNGVSPTENHNTSPSKQQSQKKKKALLGKFGSLLKKKGTSNQKQ >itb09g00540.t1 pep chromosome:ASM357664v1:9:421766:424631:1 gene:itb09g00540 transcript:itb09g00540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGHWHCFSCDSITGQFALAHTHNLTHSSIKKQDSTPFFCCSFCSMKMRNTATLPFFFFLFLGYFINPSLSTYDGPLYDSSAYTECKQHPEEALYSGGILGGKVPESRQMIGLNGKGVYTPTFLLQDLTPGTIYCFSSWVRIENADSALLTASLMSEKGEPDCVGTVVAKQGCWSFIKGGFVLTSPSNLSLLYFQNSGTAEINIEIASASLQPFTQQQWSLNQQTKINMERKRAVTIHVSDKQGVRLQDAAVTIEQISRDFPLGSAIAKYIIGNLPYQDWFSKRFNVAVFENELKWYTTEPDPGKLNYTLADQMLNFVRENQIMVRGHNIFWEDPKYTPKWVLNLTGPELKSAVQSRIQSLMSRYRGEFIHWDVSNEMLHFDLYEQKLGPDATLEFFKTAHEADPLATLFMNDFNVVETCSDPKSTVDTYISKMKELKQGGISMDGIGLEGHFSAPNPPLIRAILDKLATLGLPIWLTEIDINKKYGQETQAIYLETVLREGFSHPAVSGIMLWTAISPKGCFQMCLTDNNLNNLPAGDVVDNLLKEWQTQTLEGGTDEHGSYSFMGFLGEYKVSVEYGNRTATSTFSLNRGDETRHFRIQV >itb14g06860.t1 pep chromosome:ASM357664v1:14:6240683:6241690:1 gene:itb14g06860 transcript:itb14g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNRLPQNLSTVAEALTAFMRITSSAKESLRKILVRGEFDEFPDEKEMHCTARLVEMLNEYADELRATGLHFDCKSFLMEEILALEEAKEIGLPNFFPRKVFFSVLQKRVKMISTRPQDFVAKLWDYIEKIVVTVLVQHADSYPQLQSSMRRASQNLIEKMKQRSVDWVVEIIGMEMMADYSCNPEYSATWNKFMEKQGEFMGILKDTKKGSKFNIDGIEIEIGHLRGYVDVVNQAFDMRMRIIAYWKIVLMRLVDSMALHVLFSIQKLVNRELEDEVVADLMAPHGGGIERMLEESPIVAEKRYRLTKSVKLLKESKDVVASIMDRIVLADG >itb04g17020.t1 pep chromosome:ASM357664v1:4:19268781:19269368:-1 gene:itb04g17020 transcript:itb04g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIHCSSSSTHQQHRTAHSSSSSSFKPCAATAASQFAVVDLHARKEFKATAYFDLRKESRRLTPPLEAFDVGHLRRPSTHLPLVAGVT >itb01g25050.t1 pep chromosome:ASM357664v1:1:30552959:30554988:-1 gene:itb01g25050 transcript:itb01g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISFNNPISSKPSLPRLSPLGFATAARLKPAFSPPLTCADSRRFHSIRCRYLDSSSSSVSAVVSEFRDAVSDKVSGPADLPDGSAPQPHFFQQIVGRVFNRQKAVGGIMLLSAICVLLIHPVVVSPAFASFQNAAKAGGPAASAAGVSSELLNSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVIRTWGTRVVGFTLLVIGAMGIKEASEVHAPCVALENGECDVSLYEGLEAPPAIGKKKKVGLATFATGIVHGLQPDALLIILPALALPSRLAGAAFLCMFLVGTVIAMGSYTAFIGSFSQALKDRVPRITEKLTWAASLLAIGLGFALLVSQFFGFSLY >itb03g07130.t2 pep chromosome:ASM357664v1:3:5220465:5221552:-1 gene:itb03g07130 transcript:itb03g07130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKRLGISREASEEEIQSARNFLIQRYAGHKPSVDAIESAHDKIIMQKFHERKNPKINIKKKVREVTQSKAVQLVASRFREPSTSFLMKTSIAFIVLGAITVLFPTDEGPTLQVAISLITTVYFIYDRLKTKLRAFLYGVGAFIFSWLLGTFLMVSVIPPILKGPRSLEVTTSLITYVLLWVSSTYLI >itb03g07130.t1 pep chromosome:ASM357664v1:3:5220319:5223673:-1 gene:itb03g07130 transcript:itb03g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGLTASPLRYNLHLPVRCLGLPSKRVLVFPNVSRTRFWVDVTRKCNIQRSPLIKCSMSASYGDSMGDAAAVFPRINVMDPYKRLGISREASEEEIQSARNFLIQRYAGHKPSVDAIESAHDKIIMQKFHERKNPKINIKKKVREVTQSKAVQLVASRFREPSTSFLMKTSIAFIVLGAITVLFPTDEGPTLQVAISLITTVYFIYDRLKTKLRAFLYGVGAFIFSWLLGTFLMVSVIPPILKGPRSLEVTTSLITYVLLWVSSTYLI >itb10g21970.t1 pep chromosome:ASM357664v1:10:26964033:26964872:-1 gene:itb10g21970 transcript:itb10g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSSEMSRPWSIGTSSMNAVSFGFLATAILMCLFLIMAIFEHLFSSGPNSLSSPEDQMDINLESGTRHKLGTSLTASISHPVLMPGQQYPTFIAQPSPLPCQRESADWPSHETS >itb12g25170.t3 pep chromosome:ASM357664v1:12:26445095:26460640:1 gene:itb12g25170 transcript:itb12g25170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MVILHERELTWAGRVSWKHHTCMISAFSISTTLKQPPLIWSHASYPHDAYKLLAVPSPVAGVLVLGANTIHYYSQSASCALALNSFALSVDSPETSRTSFSVELDAANAAWLANDVVMLSTKTGELLLLTLVHDGRIVQRLDLSKSRASVLTSGITTVGTSLFFLGSRLGDSLLVQFTSGLGAPVLPSGVKDEVGDIENDAPAMKRLRMSSSDALQDMLNGEELSLYGTSPNNAQSAQKTFSFAVRDSLINIGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCVLQRSIRPEVMTQESLPGCKGIWTVYHKSTRSNLIDSSKVADDDDEYHAYLVISLESRTMVLQTANNLEEVTENVDYYVQGATLAVGNLFGRRRVIQVFGHGARILDGSFMTQELSFKASNMDSASGSEGIAVCSVSIADPYVLLRMTDGSIQLLAGDRSTCTVSTTIPAIFGSSKKLISSCTLYSDEGPEPWLRKTSTDAWLSTGIGETIDGADGMAHDQGDVYCVVSYEDGNLEILDVPNFTCVFSVDKFVSGRSYLVDTLIQDPSHESRQTSSEDVTRHLKKENIQNIKVVELAMQRWAGQHSRPFLFGILSDGTILCYHAYIFEGSENYSKDISSQSSINPTSVNASRLRNLRFVRVPLENYTREEISSGNPVQRINIFKNVGGLQGLFLAGSRPAWFMLFRERLRMHPQLCDGPIVGFTVLHNVSCNHGLIYITAQGALKICQLPSLLSYDNYWPVQKIPLKGTPHQVTYYADKNLYPLIVSVPVLKPLNQVISSLTDQDVSLQTDPENLNYEGTYHIEEFEIRIMEPEKSGGPWQTRATIPMQSSENALTVRVVTLLNTTTRENETLLAVGTAYVQGEDVAARGRVLLFNVDRTNDNSRTPVLEVFSKEFKGAISALASLQGHLLIASGPKIILHKWTGSELIVIAFYDVPPLHVVSLNIVKNFILLGDIHKSIYFLSWKEQGSQLNLLAKDFSSLDCLATEFLIDGSTLSLVVSDDQMNVQIFYYAPKMSESWKGQKLLSRAEFHVGARISKFLRLQLLPNSPDRTSAAPVSDKTNRFALLFGTLDGGLGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPKSFRQFHSNGKAHRPGPENIVDGELLFHYEMLKLEEQLEIAHQIGTTRTQIMSNLNDLTIGTSFL >itb12g25170.t2 pep chromosome:ASM357664v1:12:26444070:26460640:1 gene:itb12g25170 transcript:itb12g25170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSFAAYRMMHLPTGIENCASGFITHSAADFSPQVQTEDLDSDWPAAKPLGPVPNLVVSSANVLEIYVVRFQQATSRDSKQQLEAKRGGVMAGIAGASLELVCHYKLHGNIYSMGVLSAGGVDAGKRRDSIILAFEDAKFTVLEFDDSIQGLRISSMHCFEGPDWLHLRRGGTLSARVESSHIINLRDLDVKHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISAFSISTTLKQPPLIWSHASYPHDAYKLLAVPSPVAGVLVLGANTIHYYSQSASCALALNSFALSVDSPETSRTSFSVELDAANAAWLANDVVMLSTKTGELLLLTLVHDGRIVQRLDLSKSRASVLTSGITTVGTSLFFLGSRLGDSLLVQFTSGLGAPVLPSGVKDEVGDIENDAPAMKRLRMSSSDALQDMLNGEELSLYGTSPNNAQSAQKTFSFAVRDSLINIGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCVLQRSIRPEVMTQESLPGCKGIWTVYHKSTRSNLIDSSKVADDDDEYHAYLVISLESRTMVLQTANNLEEVTENVDYYVQGATLAVGNLFGRRRVIQVFGHGARILDGSFMTQELSFKASNMDSASGSEGIAVCSVSIADPYVLLRMTDGSIQLLAGDRSTCTVSTTIPAIFGSSKKLISSCTLYSDEGPEPWLRKTSTDAWLSTGIGETIDGADGMAHDQGDVYCVVSYEDGNLEILDVPNFTCVFSVDKFVSGRSYLVDTLIQDPSHESRQTSSEDVTRHLKKENIQNIKVVELAMQRWAGQHSRPFLFGILSDGTILCYHAYIFEGSENYSKDISSQSSINPTSVNASRLRNLRFVRVPLENYTREEISSGNPVQRINIFKNVGGLQGLFLAGSRPAWFMLFRERLRMHPQLCDGPIVGFTVLHNVSCNHGLIYITAQGALKICQLPSLLSYDNYWPVQKIPLKGTPHQVTYYADKNLYPLIVSVPVLKPLNQVISSLTDQDVSLQTDPENLNYEGTYHIEEFEIRIMEPEKSGGPWQTRATIPMQSSENALTVRVVTLLNTTTRENETLLAVGTAYVQGEDVAARGRVLLFNVDRTNDNSRTPVLEVFSKEFKGAISALASLQGHLLIASGPKIILHKWTGSELIVIAFYDVPPLHVVSLNIVKNFILLGDIHKSIYFLSWKEQGSQLNLLAKDFSSLDCLATEFLIDGSTLSLVVSDDQMNVQIFYYAPKMSESWKGQKLLSRAEFHVGARISKFLRLQLLPNSPDRTSAAPVSDKTNRFALLFGTLDGGLGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPKSFRQFHSNGKAHRPGPENIVDGELLFHYEMLKLEEQLEIAHQIGTTRTQIMSNLNDLTIGTSFL >itb12g25170.t1 pep chromosome:ASM357664v1:12:26444008:26460640:1 gene:itb12g25170 transcript:itb12g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSFAAYRMMHLPTGIENCASGFITHSAADFSPQVQTEDLDSDWPAAKPLGPVPNLVVSSANVLEIYVVRFQQATSRDSKQQLEAKRGGVMAGIAGASLELVCHYKLHGNIYSMGVLSAGGVDAGKRRDSIILAFEDAKFTVLEFDDSIQGLRISSMHCFEGPDWLHLRRGKESFERGPLVKVDPQGKCAGALVFEQQMIILKAAEASSALVGEDNAINAGGTLSARVESSHIINLRDLDVKHVKDFTFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISAFSISTTLKQPPLIWSHASYPHDAYKLLAVPSPVAGVLVLGANTIHYYSQSASCALALNSFALSVDSPETSRTSFSVELDAANAAWLANDVVMLSTKTGELLLLTLVHDGRIVQRLDLSKSRASVLTSGITTVGTSLFFLGSRLGDSLLVQFTSGLGAPVLPSGVKDEVGDIENDAPAMKRLRMSSSDALQDMLNGEELSLYGTSPNNAQSAQKTFSFAVRDSLINIGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCVLQRSIRPEVMTQESLPGCKGIWTVYHKSTRSNLIDSSKVADDDDEYHAYLVISLESRTMVLQTANNLEEVTENVDYYVQGATLAVGNLFGRRRVIQVFGHGARILDGSFMTQELSFKASNMDSASGSEGIAVCSVSIADPYVLLRMTDGSIQLLAGDRSTCTVSTTIPAIFGSSKKLISSCTLYSDEGPEPWLRKTSTDAWLSTGIGETIDGADGMAHDQGDVYCVVSYEDGNLEILDVPNFTCVFSVDKFVSGRSYLVDTLIQDPSHESRQTSSEDVTRHLKKENIQNIKVVELAMQRWAGQHSRPFLFGILSDGTILCYHAYIFEGSENYSKDISSQSSINPTSVNASRLRNLRFVRVPLENYTREEISSGNPVQRINIFKNVGGLQGLFLAGSRPAWFMLFRERLRMHPQLCDGPIVGFTVLHNVSCNHGLIYITAQGALKICQLPSLLSYDNYWPVQKIPLKGTPHQVTYYADKNLYPLIVSVPVLKPLNQVISSLTDQDVSLQTDPENLNYEGTYHIEEFEIRIMEPEKSGGPWQTRATIPMQSSENALTVRVVTLLNTTTRENETLLAVGTAYVQGEDVAARGRVLLFNVDRTNDNSRTPVLEVFSKEFKGAISALASLQGHLLIASGPKIILHKWTGSELIVIAFYDVPPLHVVSLNIVKNFILLGDIHKSIYFLSWKEQGSQLNLLAKDFSSLDCLATEFLIDGSTLSLVVSDDQMNVQIFYYAPKMSESWKGQKLLSRAEFHVGARISKFLRLQLLPNSPDRTSAAPVSDKTNRFALLFGTLDGGLGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPKSFRQFHSNGKAHRPGPENIVDGELLFHYEMLKLEEQLEIAHQIGTTRTQIMSNLNDLTIGTSFL >itb02g01450.t1 pep chromosome:ASM357664v1:2:807635:814021:1 gene:itb02g01450 transcript:itb02g01450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HTH [Source:Projected from Arabidopsis thaliana (AT1G72970) UniProtKB/TrEMBL;Acc:A0A178WG21] MAAVGHPLLLLLFSCLLCVTSCRGRENSNNHFWNDKYPFIKYASSYSSASSSSSSSSSSAVGGGGARDYDYIIVGGGTAGCPLAATLSQNFSVLLLERGGVPFANSNVSFMENFHITLADTSPSSASQVFISTDGVFNSRARVLGGGTCINAGFYTRASPSYIKKAGWDAKLVNESYPWVEKQIVHKPKLTSWQEAFRDGLLEVGISPFNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLSSANPANLEVLVYATVQKIMFDTSGKKPRAVGAVFKDENGDQHKAFLSRKRGSEVIVSCGAIGSPQLLMLSGIGPKAELQKLNIPVVLDNENVGKEMSDNPLNTIFVPLKQHVPPSLIETVGIPRMGVYIEASSGFGQSRDSIHCHHGIASAEIGQLSTIPPKMRTKEAVEAFRKSKKNLPHEAFRGGFILEKIASPSSRGEIQLKNTNVEENPAITFNYFSHPDDLKKCVDGIRVVEKIVKSKRFSNYIQCDKETLDRLLNISAQANVNLIPRHTNDTQSLEQFCKDTVITIWHYHGGCHVGKVVGPDYRVLGIHRLRVVDGSTLTESPGTNPQATLLMMGRYMGVKILRDRLGNKAGV >itb02g23830.t1 pep chromosome:ASM357664v1:2:24095212:24097515:-1 gene:itb02g23830 transcript:itb02g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEAGDDPTCAKDENYEAGDDPRKLRDENYEAACAKDENYEAGDDPTCAKDENYEAGDDPRKLRDENYEAGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDVRHRKRKRKGIDYNAEIPFEKKPPPGFYDVTDEDRTVEQPKFPTTIEELEGERRVDKEARLRKQDIARNKIAQRQDAPSAILHANKLNDPETVRKRTKLNLPAPQISDHELEAIAKFGIASDLIGSEELLEGNAATRALVANYTQTPRQGMTPLRTPQRTPANKQDAIMMEAENQRRLSQSQTPLLGGENPMLHPSDFSGVTPKKEIQTPNPLLTPSATPGGTGLTPRIGMTPSSDGYSFGMTPKGTPMRDELHIXCCILLTFLV >itb04g20000.t1 pep chromosome:ASM357664v1:4:24451329:24452338:1 gene:itb04g20000 transcript:itb04g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQMKSRIGEDLVAIYYWAILLNQRSRRRALPDSATQCHLSPLKQRNDKMNRSGERVDSFAKGIKEHVKLRPKITDTVKGKLILGAKLLQAGGVKKVFNKNFSVKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSERSIKIPSSTGKSTRMHYKVSIPITKIKRANESENLKNPSEKYIQVVTEDHFEFWFMGFLYHQRTLIYLQDAISQAQ >itb05g03480.t2 pep chromosome:ASM357664v1:5:2950927:2956029:1 gene:itb05g03480 transcript:itb05g03480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MIEIVPNMRMEPLNLICGDFGPFRPQIAIQVPLWLAVALKKRGKCTIRPPEWMSVEKLTQVLEAERDSEGFQSLPFHYVEISRILFDHAREDIPDVYMVRSLIEDIKDVRFHKIGTGLEIISKERTSALRLKNLSAMEANVVRPFVTTALQTFYKLSSPELIQESNGVPSRQRRPINAPTRVTKPR >itb05g03480.t1 pep chromosome:ASM357664v1:5:2950919:2956091:1 gene:itb05g03480 transcript:itb05g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MASQSDPQASAISAAELEFLAEDEMIEIVPNMRMEPLNLICGDFGPFRPQIAIQVPLWLAVALKKRGKCTIRPPEWMSVEKLTQVLEAERDSEGFQSLPFHYVEISRILFDHAREDIPDVYMVRSLIEDIKDVRFHKIGTGLEIISKERTSALRLKNLSAMEANVVRPFVTTALQTFYKLSSPELIQESNGVPSRQRRPINAPTRVTKPR >itb09g04900.t1 pep chromosome:ASM357664v1:9:2777538:2778473:-1 gene:itb09g04900 transcript:itb09g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSRSVSLTLFSLTVATVLFSNAVAALSGGQEGPIVGGWSSIKDPNAPQVVEIAKFPIDAHNKEAKTNLKFESVIKGESQVVAGVNYKLVIAAEDGGAGNKYEAVVYDRPWDKFRQLTSFKQV >itb05g01820.t1 pep chromosome:ASM357664v1:5:1441027:1442228:-1 gene:itb05g01820 transcript:itb05g01820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASKDKKVANAVQQLLASRNLRINTSSDVTGIEIAGALKNVLAIAAGIVEGLNLGNNSMAALVAQGCSEIRWLATKMGAKSTTLTGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLEDILGSMNQVAEGITTAGAVIALAQKYKVKMPVLTAVARIIDNELTPTKAVFELMNLPQVEEV >itb04g27540.t1 pep chromosome:ASM357664v1:4:31521475:31525563:1 gene:itb04g27540 transcript:itb04g27540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSKNQSLFSNHLIPLLSTNNPLTLTQLKQIQSQILTNGCLDSSELLTSFIFSCYHSRKPDYAKLVLHSIPRPDPLLWNSMVRISLESQDSKGFLDFYHGLRFYGFFPNKSTASLILRSCAGFGAARLGESFHCQIVKMGFQFDVILQTGLLDFYAKIGDLRSAQRVFGEMPERDVIANNVMVSALSRHGFVDEAKQLFDSMPERNASSWNLLITCYCKAGNVEFARSIFDQNPSKDVVSWNAMIDGYCKSGQLVYAEELFIKLGSARTAVSWNTMIAGYVQCREFLRAINVFREMLAENVQPTAVTMISLLSACAHIGALDMGEWVHAYIKKKRFKVDVVLGNALIDMYCKCGRIEAALDVFHGLHTKNIFCWNSIIVGLGMYGYGNEAIEAFNSMQMEGIKPDGVTFLGLLCACSHSGLISEGRSYFSQMKSFYGIEPGIEHYGSLVDLLGRSGLLQEALELIRTMPLKPNVVVWGSLLRACHVHKDTKLGEQVTQHLLNLDPHDGANYVFLSNLYASLNRWSDVDMCRKLMNERGVSKTPGCSSIEVENILHEFVAGDTSHPQFPEINAFLDKIAKDLQANGYEPDTSSVLHDIEDEEKESIVRYHSERIAVAFGLMNTPPGKIIRIVKNLRTCNDCHSAMKFISKVQPQQLTAQRSKLHYDRKIKVILSRHYFEECSIMMAIPGSEGSDLPVRSSEMKWEEKNGVDPRCHSKDLSRNNSFEVYYGGAPVGVPFRWESCPGTPRVKSRETRLPPLTPPPSFMSSPARKDAAKKQRKVNLLHGLLPKLTVKKSHLQLQSLPASSSPSSSSSSSSSSSSSPWSRSSHSAPGSPSARFPNTRPSPDSRADDDNDGNYESSVSSPCFCIGRTPKARSRGLSSNMLKLLFREYA >itb10g09060.t1 pep chromosome:ASM357664v1:10:11903268:11907133:1 gene:itb10g09060 transcript:itb10g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSPPPQPKGVPANDEWKEDNDSSGPPREAKWWYSTFHTVTAMVGAGVLSLPYAMAYLGWGPGTLVMVLSWCVTLNTMWQMIELHECVPGVRFDRYYDLGRHAFGERLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMELACTNCTPIRQSYWICTFGAIHFFLSQLPNFNSVSAVSFAAAIMSLSYSTIAWAGSLSRGRIPNVSYAYKKTSAADSMFRIFNALGQISFAYAGHAVVLEIQATIPSTPEKPSKVPMWKGALGSYFVNAICYFPVALVGYWAFGNEVDDNVLVTLEKPSWLIAAANLMVVIHVIGSYQVYAMPVFDMLETTAVKRLNLSPGLALRLVLRSAFVAFTLLVGVTFPFFGDLLGFFGGFGFAPTAYFSYVVAAS >itb09g28840.t2 pep chromosome:ASM357664v1:9:29438664:29443321:1 gene:itb09g28840 transcript:itb09g28840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAPCCNACYSLHRPPQLHAPPSSVKFPSSSPKCTRKTTSQLPTPAAVAAQNGSTYSPSTVPTHKVTVHDRQTGVVHEFFVPEDQYILHTAEDQNIRLPFACRHGCCTSCAVRVKSGQIRQPEALGISNELKMKGYALLCVGFPSTDLEVETQDEDE >itb09g28840.t1 pep chromosome:ASM357664v1:9:29438664:29443321:1 gene:itb09g28840 transcript:itb09g28840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAPCCNACYSLHRPPQLHAPPSSVKFPSSSPKCTRKTTSQLPTPAAVAAQNGSTYSPSTVPTHKVTVHDRQTGVVHEFFVPEDQYILHTAEDQNIRLPFACRHGCCTSCAVRVKSGQIRQPEALGISNELKMKGYALLCVGFPSTDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMADE >itb04g12600.t1 pep chromosome:ASM357664v1:4:12340145:12342274:1 gene:itb04g12600 transcript:itb04g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHSLSCIFSMAAILLLIGFLHIAGVESIGVCYGRNGDNLPSVSDTINLYKQNGIEGLRIYDASTDVFDTLRGSNIGVIVDVPNDKLQDLANPDKANDWVQRNIVPYSDVNFKYVAVGNEVYPGKTGADSALAALKNVHAALSAAGKGGIKASTATYSAVLDHTYPPENGVFKDEAKNLMEPIVRFLAQNNLPLLANIYPYFARKGDPTNVLLPVALFSQVNSYSEKNNLFDAMLESFYAAVEKAGGSNVQIVVSETGWPSEGGFDATVDNAATYYRNLIQHVKANPGTRKRPGTPIETYLFAMFDENQKPINGNETSEMHFGLFSPEKNQKYQLSFN >itb09g10020.t2 pep chromosome:ASM357664v1:9:6210079:6212635:-1 gene:itb09g10020 transcript:itb09g10020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGILRNGTPVAIKCLSAESKQGTNEFLTEINMISNIQHPNLVQLVGCCVEGSDRMLIYEYMENNSIASALLGSKGKRIVLDWSKRAAICLGTASGLAFLHEEAEPNIVHRDIKASNVLLDGNLHPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYAMQGKLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLILVEWTWKLKEEGRLLEIVDPELTDYPEAEVMRFIKVALFCTQAAYQQRPSMKQVVEMLSKEVRLNEKILTEPGVYRLHTSRKAGFGSLQTSSSHLKRGNQAVNPFATSAQFDSFQSATQMLPR >itb09g10020.t3 pep chromosome:ASM357664v1:9:6209896:6212195:-1 gene:itb09g10020 transcript:itb09g10020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISNIQHPNLVQLVGCCVEGSDRMLIYEYMENNSIASALLGSKGKRIVLDWSKRAAICLGTASGLAFLHEEAEPNIVHRDIKASNVLLDGNLHPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYAMQGKLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLILVEWTWKLKEEGRLLEIVDPELTDYPEAEVMRFIKVALFCTQAAYQQRPSMKQVVEMLSKEVRLNEKILTEPGVYRLHTSRKAGFGSLQTSSSHLKRGNQAVNPFATSAQFDSFQSATQMLPR >itb09g10020.t1 pep chromosome:ASM357664v1:9:6209886:6212746:-1 gene:itb09g10020 transcript:itb09g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCFRACESCKDHTEKHVREIVAINVKLFSYNSIRSATQHFHPSNKIGGGGFGVVYKGILRNGTPVAIKCLSAESKQGTNEFLTEINMISNIQHPNLVQLVGCCVEGSDRMLIYEYMENNSIASALLGSKGKRIVLDWSKRAAICLGTASGLAFLHEEAEPNIVHRDIKASNVLLDGNLHPKIGDFGLAKLFPDNVTHVSTRVAGTVGYLAPEYAMQGKLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLILVEWTWKLKEEGRLLEIVDPELTDYPEAEVMRFIKVALFCTQAAYQQRPSMKQVVEMLSKEVRLNEKILTEPGVYRLHTSRKAGFGSLQTSSSHLKRGNQAVNPFATSAQFDSFQSATQMLPR >itb01g33090.t2 pep chromosome:ASM357664v1:1:36351464:36353919:1 gene:itb01g33090 transcript:itb01g33090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQENCMRVTRLAKKRAADGLIVEQQPGKKRVALGEINGFPNQIENLGSKLHSPKTKQAKRKVKKAVKKVVKVEKGDNAVKDIIDSKSDDPQMCSAYVSDIYEYLRQMEAQEKRRPLRDYLEKVQKDISANMRGILVDWLVEVAEEYKLLSDTLYLTIDYIDRFLSKKPLSRQKLQLLGVSSMLIASKYEEISPPHVEDFCYITDNTYTKQEVVKMEEDVLNALKFELGNPTIKTFLRRFTQIAQEDYKSQNLQLEFMGYYLAELSLLDYSCVKFLPSMVAAAVVFLARFTLNPEVHPWNQALQDYTGYKPADFKECVSIIHDLQLSKRGGSLVALREKYKQPKVYIF >itb01g33090.t1 pep chromosome:ASM357664v1:1:36351464:36353919:1 gene:itb01g33090 transcript:itb01g33090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQENCMRVTRLAKKRAADGLIVEQQPGKKRVALGEINGFPNQIENLGSKLHSPKTKQAKRKVKKAVKKVVKVEKGDNAVKDIIDSKSDDPQMCSAYVSDIYEYLRQMEAQEKRRPLRDYLEKVQKDISANMRGILVDWLVEVAEEYKLLSDTLYLTIDYIDRFLSKKPLSRQKLQLLGVSSMLIASKYEEISPPHVEDFCYITDNTYTKQEVVKMEEDVLNALKFELGNPTIKTFLRRFTQIAQEDYKSQNLQLEFMGYYLAELSLLDYSCVKFLPSMVAAAVVFLARFTLNPEVHPWNQALQDYTGYKPADFKECVSIIHDLQLSKRGGSLVALREKYKQPKFKSISALLSPVHIPDYYFEDPTM >itb01g20340.t1 pep chromosome:ASM357664v1:1:26582810:26584795:1 gene:itb01g20340 transcript:itb01g20340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWVKLVVVLINYFVHHSWTISSTTTSINAKNHHVQLGLFPPSCERIECPTYDLIEAGKDYEIRRYNSSMWMSTAPIDDISLVQATRTGFLRLFDYISGENYYNEKIEMTAPVLTEVKPSDGPFCASSFVVSFYVPKANQPNPPPSQSLHAQKWGETYVAVRQFGGFVSDTDVGKEAAALSASLQGTVWLDAIMNSHRGENTTMYAVAQYNSPFEFEGRVNEVWLTFDKYNDAVAKSGYMRL >itb07g07050.t1 pep chromosome:ASM357664v1:7:5319189:5323682:-1 gene:itb07g07050 transcript:itb07g07050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIDEEPFRLNFKLDALNSGSVSFGRFENEGLSWERRSSFPRNRYLEEVEKYSKPGSVTEKKAILEAHFRKRALLSRSSSECQASENNGSQNTGYEGDFEIVNEGSQSASFGESTGYDGDFEHFNGGGYSVDFSNGPYGSSIGGEHELSGREGEDGGIWFSEPASGIANTVIVISEYFDKEATCCPVIGTVARECGTHVNEAPLGEGRSTDVTPNIPETSDLHTVDTDGNLSSVPQPSPSPKIRSASETKHLKPGSVYQVNGAKANSVISRAGSNSKDNFRKPTRRESERPKREKLSLESITASTSHSMSRTLKHEVSTVDSAATSTSHSTSRIPKHKAPYGSRDKVLNDLKRNESRTREKVAPSVHQNANRHKQALSSTKPGMKQSGSGFNFKSEERAERRKEFAMKLEEKMNAKEAEILQIQSRRQKKTEVETRQLRRNLNFKASPLPSFYHEARPLSDKFKTPASKSTPRKPQHYPRSSPRTVAAESAPSCSWTSGLAKANSMQTSTTTKFRPTVSSDSSVTSALPTTSNTRPAHTRADSRGTRKSNQEKKQLTSSLKRRGLGVNEVNKGHKVEVKPKANAGRNGRTDLRNNVRGGVHSGCSSQITRVAVGVSS >itb07g07050.t2 pep chromosome:ASM357664v1:7:5319189:5323440:-1 gene:itb07g07050 transcript:itb07g07050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIDEEPFRLNFKLDALNSGSVSFGRFENEGLSWERRSSFPRNRYLEEVEKYSKPGSVTEKKAILEAHFRKRALLSRSSSECQASENNGSQNTGYEGDFEIVNEGSQSASFGESTGYDGDFEHFNGGGYSVDFSNGPYGSSIGGEHELSGREGEDGGIWFSEPASGIANTVIVISEYFDKEATCCPVIGTVARECGTHVNEAPLGEGRSTDVTPNIPETSDLHTVDTDGNLSSVPQPSPSPKIRSASETKHLKPGSVYQVNGAKANSVISRAGSNSKDNFRKPTRRESERPKREKLSLESITASTSHSMSRTLKHEVSTVDSAATSTSHSTSRIPKHKAPYGSRDKVLNDLKRNESRTREKVAPSVHQNANRHKQALSSTKPGMKQSGSGFNFKSEERAERRKEFAMKLEEKMNAKEAEILQIQSRRQKKTEVETRQLRRNLNFKASPLPSFYHEARPLSDKFKTPASKSTPRKPQHYPRSSPRTVAAESAPSCSWTSGLAKANSMQTSTTTKFRPTVSSDSSVTSALPTTSNTRPAHTRADSRGTRKSNQEKKQLTSSLKRRGLGVNEVNKGHKVEVKPKANAGRNGRTDLRNNVRGGVHSGCSSQITRVAVGVSS >itb12g12380.t2 pep chromosome:ASM357664v1:12:11076176:11079851:-1 gene:itb12g12380 transcript:itb12g12380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGATNPLQQNDGAVFDDDIPQLSSHALEALREFIAEQNRTVVEASSGEQPEEEVALLAEDWRLSQFWYDRETAETVAREVLALCETLEFPSVACIACPTLYAYLKKIDPNVQAQLLEYDHRFSSYGTEFTFYDYNKPEDLPSSLKHSYSVIVADPPYLSQECLEKVSETISFLLRSGKSYLLLLTGTVLDLPL >itb12g12380.t1 pep chromosome:ASM357664v1:12:11076139:11079851:-1 gene:itb12g12380 transcript:itb12g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGATNPLQQNDGAVFDDDIPQLSSHALEALREFIAEQNRTVVEASSGEQPEEEVALLAEDWRLSQFWYDRETAETVAREVLALCETLEFPSVACIACPTLYAYLKKIDPNVQAQLLEYDHRFSSYGTEFTFYDYNKPEDLPSSLKHSYSVIVADPPYLSQECLEKVSETISFLLRSGKSYLLLLTGDVQKDRAAELLDLRPCRFRPQHSSKLGNEFRLFTNYDPGMRLGGWEGE >itb13g24370.t1 pep chromosome:ASM357664v1:13:30038795:30046895:-1 gene:itb13g24370 transcript:itb13g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDTEEAGVGRSLEGVSSEQQRCQFGEALAEWRSSEQVENGIPSTSPPFWDSDGDDEGPKPSELFGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDYQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKARWSSFCAFWLGMDQNSRRRMSKEKSDAILKVIVKHFFVEKEVTSTLVMDSLYSGLKALEGQYKAKKGNGKHLDVEEQSIPIIRMEKDMFVLVEDVLLLLERAALEQLPPKDEKGPQNRIKDGASGDDFNKDSIERDERRLTDLGRRTIEIFVLAQIFSKIEVAYQEAVALKRQEELIREEEAAWMAEGEQKSKRGASVKEKKSKKKQGKQKRNNRKAKDKGKDERHDVTLQDKTSEEPTGGEGKDMNEEPESVLDKRDTLEEVSDISDSVDCVPEVLNPDSEDRDVSPVSWDTDTSEVHPSTEMSCCALSGLSPEQNGIVGRQIPSVIDDSSSTCSTDSSRSVVMNGPYRGNLSDHKKQKSPSKGKNRQGKPSCNAAKCANDSQSQILGTISDVRPLSDTSGSCRTAGSESQATVLSSHEQLVVKEVAVPQQKKLNVADKVGPSSDKTSVQCFPRSPPKNTSSTFQSESESKTLGSAEPSVKSPSTENSKLNDKSSKPVKSTEAAVAVAVAKTSAHRSVDLKAIGKPSTLQVPIAADRPLTRQIPSTNEKASSKLVPVTSRPMSAPIVTGPRPTNPVVSMVQTAPHLARSVSAAGRLGPDPSPTTQSYTPQSYRNAMMGSPATGNSAGFTQPHSPSSVTNALQSYHQPSTLISGAMYIPQVPQGPERIEHSPIRPNFSYGMANHEVLQNGSQWNECSPKRDSSRRMSMSLDHPLVNGIGNLDPYMPVNGRSHDHLPSQFPAASTSELSGRQPYCAFADEFPHLDIINDLLDDELGIGMTSEPDSRFQSLSNCSNHQTQQFTFPSDTGMLSDMGPSMSSCRFERAQSYHDNGYQHSYSVGLFEAVRDVIPQPSPPSFANGQMDGLFPNNWQVVGPDPSYLGMRNIDSDAGYPYHVPEYSNVARGVNAYAMFRPSNGL >itb13g24370.t3 pep chromosome:ASM357664v1:13:30038819:30046322:-1 gene:itb13g24370 transcript:itb13g24370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDTEEAGVGRSLEGVSSEQQRCQFGEALAEWRSSEQVENGIPSTSPPFWDSDGDDEGPKPSELFGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDYQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKARWSSFCAFWLGMDQNSRRRMSKEKSDAILKVIVKHFFVEKEVTSTLVMDSLYSGLKALEGQYKAKKGNGKHLDVEEQSIPIIRMEKDMFVLVEDVLLLLERAALEQLPPKDEKGPQNRIKDGASGDDFNKDSIERDERRLTDLGRRTIEIFVLAQIFSKIEVAYQEAVALKRQEELIREEEAAWMAEGEQKSKRGASVKEKKSKKKQGKQKRNNRKAKDKGKDERHDVTLQDKTSEEPTGGEGKDMNEEPESVLDKRDTLEEVSDISDSVDCVPEVLNPDSEDRDVSPVSWDTDTSEVHPSTEMSCCALSGLSPEQNGIVGRQIPSVIDDSSSTCSTDSSRSVVMNGPYRGNLSDHKKQKSPSKGKNRQGKPSCNAAKCANDSQSQILGTISDVRPLSDTSGSCRTAGSESQATVLSSHEQLVVKEVAVPQQKKLNVADKVGPSSDKTSVQCFPRSPPKNTSSTFQSESESKTLGSAEPSVKSPSTENSKLNDKSSKPVKSTEAAVAVAVAKTSAHRSVDLKAIGKPSTLQVPIAADRPLTRQIPSTNEKASSKLVPVTSRPMSAPIVTGPRPTNPVVSMVQTAPHLARSVSAAGRLGPDPSPTTQSYTPQSYRNAMMGSPATGNSAGFTQPHSPSSVTNALQSYHQPSTLISGAMYIPQVPQGPERIEHSPIRPNFSYGMANHEVLQNGSQWNECSPKRDSSRRMSMSLDHPLVNGIGNLDPYMPVNGRSHDHLPSQFPAASTSELSGRQPYCAFADEFPHLDIINDLLDDELGIGMTSEPDSRFQSLSNCSNHQTQQFTFPSDTGMLSDMGPSMSSCRFERAQSYHDNGYQHSYSVGLFEAVRDVIPQPSPPSFANGQMDGLFPNNWQVVGPDPSYLGMRNIDSDAGYPYHVPEYSNVARGVNAYAMFRPSNGL >itb13g24370.t2 pep chromosome:ASM357664v1:13:30038814:30046895:-1 gene:itb13g24370 transcript:itb13g24370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDTEEAGVGRSLEGVSSEQQRCQFGEALAEWRSSEQVENGIPSTSPPFWDSDGDDEGPKPSELFGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIRERADRPFRCLDYQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKARWSSFCAFWLGMDQNSRRRMSKEKSDAILKVIVKHFFVEKEVTSTLVMDSLYSGLKALEGQYKAKKGNGKHLDVEEQSIPIIRMEKDMFVLVEDVLLLLERAALEQLPPKDEKGPQNRIKDGASGDDFNKDSIERDERRLTDLGRRTIEIFVLAQIFSKIEVAYQEAVALKRQEELIREEEAAWMAEGEQKSKRGASVKEKKSKKKQGKQKRNNRKAKDKGKDERHDVTLQDKTSEEPTGGEGKDMNEEPESVLDKRDTLEEVSDISDSVDCVPEVLNPDSEDRDVSPVSWDTDTSEVHPSTEMSCCALSGLSPEQNGIVGRQIPSVIDDSSSTCSTDSSRSVVMNGPYRGNLSDHKKQKSPSKGKNRQGKPSCNAAKCANDSQSQILGTISDVRPLSDTSGSCRTAGSESQATVLSSHEQLVVKEVAVPQQKKLNVADKVGPSSDKTSVQCFPRSPPKNTSSTFQSESESKTLGSAEPSVKSPSTENSKLNDKSSKPVKSTEAAVAVAVAKTSAHRSVDLKAIGKPSTLQVPIAADRPLTRQIPSTNEKASSKLVPVTSRPMSAPIVTGPRPTNPVVSMVQTAPHLARSVSAAGRLGPDPSPTTQSYTPQSYRNAMMGSPATGNSAGFTQPHSPSSVTNALQSYHQPSTLISGAMYIPQVPQGPERIEHSPIRPNFSYGMANHEVLQNGSQWNECSPKRDSSRRMSMSLDHPLVNGIGNLDPYMPVNGRSHDHLPSQFPAASTSELSGRQPYCAFADEFPHLDIINDLLDDELGIGMTSEPDSRFQSLSNCSNHQTQQFTFPSDTGMLSDMGPSMSSCRFERAQSYHDNGYQHSYSVGLFEAVRDVIPQPSPPSFANGQMDGLFPNNWQVVGPDPSYLGMRNIDSDAGYPYHVPEYSNVARGVNAYAMFRPSNGL >itb05g22340.t1 pep chromosome:ASM357664v1:5:27771197:27772899:-1 gene:itb05g22340 transcript:itb05g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISSLPAEVKQRILECLPIRDAARTAVLSTHWNDVWLRLGRLVFDREFFECFSSRYEDDEEGEEGMAQISTINDILLLRAGAVKKFTLHLEDVSDPKPRQSDLDLWCRFLSRNRNDLEELELFISRIHKLPSYIFSCRTIKQLSLGYFIFDLPIPGPGCIFPCLTSLVFWNVEFSDNVKGIGYTIPNLEELSFCCQGITNFEICSPKLERYTVADSLFGRKLDESRCFTRYLQIITTLSLSANLLPVPCKNAEIARVTLPTAINLKTIDLYELNVSCPEQLAFALQLVQNSPTLCALRILAFNSLCCCDITMGTRLMEDPNSCIIKQDLKILDTIMISGLGGSTLEMLFVKMLLSKSPALKILNIMVCADDNSEDVNSHLRELLLFPRASPKAQIFCLEQKHKLFLLNNERDIRLLFRTI >itb14g19900.t1 pep chromosome:ASM357664v1:14:22414469:22415957:-1 gene:itb14g19900 transcript:itb14g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSPCGACKFLRRKCAADCIFAPYFCSDQGPARFAAIHKVFGASNVSKMLMQVSVHERCDAVVTIDYEARARIKDPVYGCVAHIFALQQQVVSLQAQLMQVKAQLAQTLMTTGNQSWPSYTAGGGGGAGVFPAAVNSAGSPQSSMDSFEGYSEGLGFVKQEMAMVQNRDNNEDNYCYYGGNNNNNGSCNSRKRVSQTDLGELQALALRMMRN >itb08g06640.t5 pep chromosome:ASM357664v1:8:5656385:5664428:1 gene:itb08g06640 transcript:itb08g06640.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRYWIQRFKICCSSTLLGSSNFRESTFESLVNSSISVSGIQSLSSSVHSTPEKNGNSDDSSRSPDSLQKSLNSGIAKDLSHPSFNKEKKKLGSSTCKMIIEHPAKPSKKTLKSQELKRPSSSPRDHHPSRKQQRKGENPVRIPPSAGHCADVKCSNSWICKNSACRATISMDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGESCGLTCHIECALQRGKVGVVELGQLMQLDGSYCCASCGKVSGILRYWKKQLSIAKDARRVDILCYRIYLSYRLLDGTSRFKELHEIIIEAKAKLETEVGPLGGVSANMARGIVSRLSVAGDVQSLCSLAIEKADEWLNTKSSVVPNCKEGSLPAACKFLFEEVTSSSVVIVLIELSSSSSERVQGYKLWYCRAREDTHAKEPVCVFPRSQRRVLISNLKPCTEYSFRIVSYTESSDLGHSEVKCFTKSVEIIHRNLSSIARNHGKDSLDTGGSSSGKEDNEAMTRIESDSGFRVRDLGKILRLAWAQQQGCLDGFCGVEIRKCCGGCNIVKPESVQQDHKPSASRQLDLNVASVPDLNEDLILPIDSSRDEDNECTLRQTVEADDAVSHDNQRNGVARSHGSGDSQNWIHPQTGEATAVDSKAQASRKRAASNYAEPRDSDSTLMNGSPIQVHNASGCLDESFEYCVKIICWLECEGHINKDFRLQLLTWFSLRSTEQERRVVSTFIQTLFDDPSSLAGQLIDSFSDIVSSKRPRNGFCSMLWH >itb08g06640.t4 pep chromosome:ASM357664v1:8:5656385:5664428:1 gene:itb08g06640 transcript:itb08g06640.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDRFLVKVSGIQSLSSSVHSTPEKNGNSDDSSRSPDSLQKSLNSGIAKDLSHPSFNKEKKKLGSSTCKMIIEHPAKPSKKTLKSQELKRPSSSPRDHHPSRKQQRKGENPVRIPPSAGHCADVKCSNSWICKNSACRATISMDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGESCGLTCHIECALQRGKVGVVELGQLMQLDGSYCCASCGKVSGILRYWKKQLSIAKDARRVDILCYRIYLSYRLLDGTSRFKELHEIIIEAKAKLETEVGPLGGVSANMARGIVSRLSVAGDVQSLCSLAIEKADEWLNTKSSVVPNCKEGSLPAACKFLFEEVTSSSVVIVLIELSSSSSERVQGYKLWYCRAREDTHAKEPVCVFPRSQRRVLISNLKPCTEYSFRIVSYTESSDLGHSEVKCFTKSVEIIHRNLSSIARNHGKDSLDTGGSSSGKEDNEAMTRIESDSGFRVRDLGKILRLAWAQQQGCLDGFCGVEIRKCCGGCNIVKPESVQQDHKPSASRQLDLNVASVPDLNEDLILPIDSSRDEDNECTLRQTVEADDAVSHDNQRNGVARSHGSGDSQNWIHPQTGEATAVDSKAQASRKRAASNYAEPRDSDSTLMNGSPIQVHNASGCLDESFEYCVKIICWLECEGHINKDFRLQLLTWFSLRSTEQERRVVSTFIQTLFDDPSSLAGQLIDSFSDIVSSKRPRNGFCSMLWH >itb08g06640.t6 pep chromosome:ASM357664v1:8:5653198:5664428:1 gene:itb08g06640 transcript:itb08g06640.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDRFLVKVSGIQSLSSSVHSTPEKNGNSDDSSRSPDSLQKSLNSGIAKDLSHPSFNKEKKKLGSSTCKMIIEHPAKPSKKTLKSQELKRPSSSPRDHHPSRKQQRKGENPVRIPPSAGHCADVKCSNSWICKNSACRATISMDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGESCGLTCHIECALQRGKVGVVELGQLMQLDGSYCCASCGKVSGILRYWKKQLSIAKDARRVDILCYRIYLSYRLLDGTSRFKELHEIIIEAKAKLETEVGPLGGVSANMARGIVSRLSVAGDVQSLCSLAIEKADEWLNTKSSVVPNCKEGSLPAACKFLFEEVTSSSVVIVLIELSSSSSERVQGYKLWYCRAREDTHAKEPVCVFPRSQRRVLISNLKPCTEYSFRIVSYTESSDLGHSEVKCFTKSVEIIHRNLSSIARNHGKDSLDTGGSSSGKEDNEAMTRIESDSGFRVRDLGKILRLAWAQQQGCLDGFCGVEIRKCCGGCNIVKPESVQQDHKPSASRQLDLNVASVPDLNEDLILPIDSSRDEDNECTLRQTVEADDAVSHDNQRNGVARSHGSGDSQNWIHPQTGEATAVDSKAQASRKRAASNYAEPRDSDSTLMNGSPIQVHNASGCLDESFEYCVKIICWLECEGHINKDFRLQLLTWFSLRSTEQERRVVSTFIQTLFDDPSSLAGQLIDSFSDIVSSKRPRNGFCSMLWH >itb08g06640.t2 pep chromosome:ASM357664v1:8:5658361:5664036:1 gene:itb08g06640 transcript:itb08g06640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRYWIQRFKICCSSTLLGSSNFRESTFESLVNSSISVSGIQSLSSSVHSTPEKNGNSDDSSRSPDSLQKSLNSGIAKDLSHPSFNKEKKKLGSSTCKMIIEHPAKPSKKTLKSQELKRPSSSPRDHHPSRKQQRKGENPVRIPPSAGHCADVKCSNSWICKNSACRATISMDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGESCGLTCHIECALQRGKVGVVELGQLMQLDGSYCCASCGKVSGILRYWKKQLSIAKDARRVDILCYRIYLSYRLLDGTSRFKELHEIIIEAKAKLETEVGPLGGVSANMARGIVSRLSVAGDVQSLCSLAIEKADEWLNTKSSVVPNCKEGSLPAACKFLFEEVTSSSVVIVLIELSSSSSERVQGYKLWYCRAREDTHAKEPVCVFPRSQRRVLISNLKPCTEYSFRIVSYTESSDLGHSEVKCFTKSVEIIHRNLSSIARNHGKDSLDTGGSSSGKEDNEAMTRIESDSGFRVRDLGKILRLAWAQQQGCLDGFCGVEIRKCCGGCNIVKPESVQQDHKPSASRQLDLNVASVPDLNEDLILPIDSSRDEDNECTLRQTVEADDAVSHDNQRNGVARSHGSGDSQNWIHPQTGEATAVDSKAQASRKRAASNYAEPRDSDSTLMNGSPIQVHNASGCLDESFEYCVKIICWLECEGHINKDFRLQLLTWFSLRSTEQERRVVSTFIQTLFDDPSSLAGQLIDSFSDIVSSKRPRNGFCSMLWH >itb08g06640.t3 pep chromosome:ASM357664v1:8:5656957:5664602:1 gene:itb08g06640 transcript:itb08g06640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRYWIQRFKICCSSTLLGSSNFRESTFESLVNSSISVSGIQSLSSSVHSTPEKNGNSDDSSRSPDSLQKSLNSGIAKDLSHPSFNKEKKKLGSSTCKMIIEHPAKPSKKTLKSQELKRPSSSPRDHHPSRKQQRKGENPVRIPPSAGHCADVKCSNSWICKNSACRATISMDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGESCGLTCHIECALQRGKVGVVELGQLMQLDGSYCCASCGKVSGILRYWKKQLSIAKDARRVDILCYRIYLSYRLLDGTSRFKELHEIIIEAKAKLETEVGPLGGVSANMARGIVSRLSVAGDVQSLCSLAIEKADEWLNTKSSVVPNCKEGSLPAACKFLFEEVTSSSVVIVLIELSSSSSERVQGYKLWYCRAREDTHAKEPVCVFPRSQRRVLISNLKPCTEYSFRIVSYTESSDLGHSEVKCFTKSVEIIHRNLSSIARNHGKDSLDTGGSSSGKEDNEAMTRIESDSGFRVRDLGKILRLAWAQQQGCLDGFCGVEIRKCCGGCNIVKPESVQQDHKPSASRQLDLNVASVPDLNEDLILPIDSSRDEDNECTLRQTVEADDAVSHDNQRNGVARSHGSGDSQNWIHPQTGEATAVDSKAQASRKRAASNYAEPRDSDSTLMNGSPIQVHNASGCLDESFEYCVKIICWLECEGHINKDFRLQLLTWFSLRSTEQERRVVSTFIQTLFDDPSSLAGQLIDSFSDIVSSKRPRNGFCSMLWH >itb08g06640.t1 pep chromosome:ASM357664v1:8:5656957:5664602:1 gene:itb08g06640 transcript:itb08g06640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDRFLVKVSGIQSLSSSVHSTPEKNGNSDDSSRSPDSLQKSLNSGIAKDLSHPSFNKEKKKLGSSTCKMIIEHPAKPSKKTLKSQELKRPSSSPRDHHPSRKQQRKGENPVRIPPSAGHCADVKCSNSWICKNSACRATISMDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGESCGLTCHIECALQRGKVGVVELGQLMQLDGSYCCASCGKVSGILRYWKKQLSIAKDARRVDILCYRIYLSYRLLDGTSRFKELHEIIIEAKAKLETEVGPLGGVSANMARGIVSRLSVAGDVQSLCSLAIEKADEWLNTKSSVVPNCKEGSLPAACKFLFEEVTSSSVVIVLIELSSSSSERVQGYKLWYCRAREDTHAKEPVCVFPRSQRRVLISNLKPCTEYSFRIVSYTESSDLGHSEVKCFTKSVEIIHRNLSSIARNHGKDSLDTGGSSSGKEDNEAMTRIESDSGFRVRDLGKILRLAWAQQQGCLDGFCGVEIRKCCGGCNIVKPESVQQDHKPSASRQLDLNVASVPDLNEDLILPIDSSRDEDNECTLRQTVEADDAVSHDNQRNGVARSHGSGDSQNWIHPQTGEATAVDSKAQASRKRAASNYAEPRDSDSTLMNGSPIQVHNASGCLDESFEYCVKIICWLECEGHINKDFRLQLLTWFSLRSTEQERRVVSTFIQTLFDDPSSLAGQLIDSFSDIVSSKRPRNGFCSMLWH >itb06g16270.t1 pep chromosome:ASM357664v1:6:20450567:20452217:1 gene:itb06g16270 transcript:itb06g16270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPGQLVWEIVKKNNCFLVKEFGNGTAGVVFSKEPNNLFNLHSYKHSGLANKKTVTIQGGKDQSVLLATTKTKKQSKPAKLHNKSVMKKEFRRMAKAVTNQVADNYYRPDLKKAALARLSAVNRSLKVAKSGVKKRNRQA >itb10g11950.t1 pep chromosome:ASM357664v1:10:17596413:17606955:1 gene:itb10g11950 transcript:itb10g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEDSDVQDSPSCFPKPYSKKFQTKQNATKWDNILARKIINQKILVLEKLEDNEQISKALLNSQMLGTVTNIEPYEENVIYEFYSNLGTRTTTPSDPMYGKGFNASEDYKRRDYEKGYAGDRTVGDAAAEVAEKTRESARDAADRTKERTHEMKEDAKGYAHDGGGSVYNQPPVRQAWTGGRGGNDRNQQSHDGGRNALAHCFACLCAVGHFQCCNKWCHA >itb08g01970.t1 pep chromosome:ASM357664v1:8:1557938:1559021:1 gene:itb08g01970 transcript:itb08g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDKAAFCKCDDGWTCTITKTTDPSKSGKAFSNCSGPCSCSIKPTEALSEEELKQAREGSPPANSKVFCVCPEGWSCVVSKTDGPEAGKIQFECGEGCACVVGENDTVKIASV >itb05g27490.t1 pep chromosome:ASM357664v1:5:30824682:30827961:1 gene:itb05g27490 transcript:itb05g27490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKTKGGTSQVVNPSTCSSSDANAMIKLDLEDGITLHKDSIFTLSMNDEEKWAKHYSSYHKILLVGEGDFSFSASLAVAFASASNLTATSLHSKKVLRKDYEKAVYNIEEVKGKGGKVMYGVDATTMASHPTLKSLAFDRILFNFPYALIPKNGKDQLWRHQSLVRGYLKTAKEMICENGEVHITQRTDGGFDAWRIEFIASQLGLELEEAVDFKICDYPGYTPKWGMGNEDGHFPSKTYKFRLPHPHSNISQCREVAATYRSTDTAIVWTAPLELGVENGITIHDEDYEVSTNLLEAIGLEQKENTSRSSNYCANHNLEQCEMGQEMDGGVVAEEKWVNHYSSSHKMLLVGEGDFSFSTSLATAFGSAHNMIATSLDSEKFASENYSEAISNMEELKRTGCMMIFGVDATRMAHHPSLIGLVFDRIIFNFPYAVISKNDSRQDILWRHQSLVRRFLRTAKQMIHENSEVHITHRTDGFYSSWRIKFIANQLGLELIEAVDFNISDYPGYNAKSGTGSDANFEWCPSKTYKFRHPHPESASCSADPEMILNLSEHSEESWSEESETVELDQENISSPTSSYFPNHYLQEEKWAKHYSSCHEILLVGEGDFSFSASLAAAFGSAANMTATSLDSEEFLKSNYCLATVHLKELKRRGCKVIHGVDATSMANHPYLIGSTFDRIIFNFPHSGIFKSQGHRRISQIRHQALVREFLGNAKQLLGENGEIHITHKSNGFHLQWNIVSLAIEQGLELADSVDFDVSDYPGYSNKYGFGGDRTFDFLPSKSYMFRHPGTLHIPWDC >itb10g04380.t1 pep chromosome:ASM357664v1:10:4149378:4152201:-1 gene:itb10g04380 transcript:itb10g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKLEKGDNANWIFIFESSDTWADDSSERLSILFRLGSLGWVDTHIEVCWSYHHAVGALVCVLLWELCANGCVLSHDSVNWLNLRVLIVVKKGLSLKSVGGVRMYIAFTLKSYRAVKEVGQFKMCVGNIVYDQLMQVCQAEYFRQLLKPVT >itb11g03770.t1 pep chromosome:ASM357664v1:11:2029483:2031897:-1 gene:itb11g03770 transcript:itb11g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MSCVAARRIVQQRCSVFRIDHYACIELLEASIESKSLAQGKAIHQAIVKNNHCFTNSSILLDKLTRFYISCRRLELARRVFNSIPSPIRREKSILWNQMIRAYAWDGPLEGAVELYYEMVKCGVRPTKYTYPFVLKACSGLQDLENGVRIHEDAERQGFGNDVYVCTALVDFYVKCGCLVDAREVFDGMRERDTVAWNALIAGCSLHGLYGDMRSLVLEMQKSGLDANSSTMVAMLPAIGEGKKLREGKGVHGHCLRRGFVGDVVLDTGILDVYGKCKQLDYAWRIFSAMSFKNEITWSAMIGACITCDSALMGLKLFEKMRLECNFSLSSVMLATVIRACAKLIDLRRGKWIHCQTVKMGSHLDLMVSNTLLSMYAKCGRIDDGMRLFKDMHLIDSVSYSAIISGCVQNGNAEEALRFFQKMQHSRVEPDLSTMMGFLPACSQLAALQYGVCGHGYSIVHGFTTDVSICNALIDMYSKCGKVELARLVFERMYTRDVVSWNAMISGYGIHGHGKEAISVFNDMLNVGQKPDEITFISLLFACSHSGLVAEGKHWFHTMCEEFKIVPRMDHYLCMVDLLGRADLLDEAYSLVQAMPFEPDVRIWSALLAACRIYRNIELAEEVSNKIHSLGPESPGNFVLLSNLYSTAGRWDDAAHIRIVQKDSGFKKSPGCSWVEVNGNVHAFVGGDQSHPQLAKINEKLNELSFEMKKLGYRSEFDFVYQDVDDEEKEQILLYHSEKLAVAFALLNLKPSKPILVTKNLRVCVDCHTALKYITTITKREITVRDASRFHHFRDGVCSCKDFW >itb04g03740.t1 pep chromosome:ASM357664v1:4:2345235:2348965:1 gene:itb04g03740 transcript:itb04g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MKPLIPSLVQKLGNAVKEVARNKGSSWWYTPHVAAASHAIADRIPLVDFVLEVRDARIPLSSKYKLLKKCSSSARRIIVLNKTDLANRSKVKKWMHYFEEQGNVAFGVNSHNKDNIKEFLNFLQARVRELINSGHSGRTITLMLVGIPNVGKSALANSLHQVGRISAAEKGKLKHATVSPQPGETKNISSLKIASHPNIYVLDTPGILPPDIPDAELCCKLALTGAIQDCLVGEIELAWYFLAILNRSDEYKKWAKLCAIEKDMVAATNDGFDLEKTQKSQHLTDHTQDFIVNNVRKTLFDAISSFNGNLDGEESLLQLIKAEFADLRKAFYLPSESEDDVHKVAAKLLNLYRTGRLGHYTLDPIPMNT >itb08g17260.t1 pep chromosome:ASM357664v1:8:19675557:19681116:-1 gene:itb08g17260 transcript:itb08g17260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIFFIPLLVLVLPLASTLPVQISRIYPFSCSNLNKTCSSILYQHNELQVEKIANLYSVNKSQIKNITYHNNVNDEREDELVTVPCTCKDVNGTQAYFYETVYQLELNETFESVSTGKFSGQVWKVGGEENSYKAGENVTLSLLCGCVEKESQIVVTYTVQPKDTLSSISALLTAQIGEIESLNPYLKATPNLIDVGWLIYVPLELNGIPDEANPDAAVFESERPVVYSLEEIAAATADFDETRKIGSGGYGCVYSGMLGKQEVAIKKMRSNKSKEFVAELKVLCKIHHINVVELLGYATGDDHLCLVYEYIINGSLSEHLHDPLLKGRQPLCWTARVQIALDAGRGIEYIHDLTKHQYVHRDIKTSNILLDQSLRAKVADFGLARLVDRTNEDDDDWIATRLVGTPGYLPPESVKELQITTKTDVFAFGVVLVELITGRRALYRDNKDPNKTKSLISLMQETFQDEDPKSALESITDLTLKGSCPIEDVFKMAEIAEWCLNEEAVNRPEMREVVVALSQIRISSIEWEASLGGDSQVFSGVHDEGR >itb01g08540.t1 pep chromosome:ASM357664v1:1:6897904:6901866:-1 gene:itb01g08540 transcript:itb01g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRLASMDGNNHHHHYYTTHHNDDSTFSRQDSGFELSEFFDLDVWPPEEDPVFAVAGHPQNPGQTTAVDAVMVPSGGGGGVFYAGPSSSITDSGGGMERTAAGVTEKFAFKTKSDIEILDDGYKWRKYGKKKVKNSPNPRNYYRCSVNGCQVKKRVERDKEDPSYVITTYVGIHNHQGP >itb02g03800.t2 pep chromosome:ASM357664v1:2:2254896:2257416:-1 gene:itb02g03800 transcript:itb02g03800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRDRQEKVERLLSFYKTSKASPFQEASTRVKGEFDVVGALLMMDTVDQSTCDALQRAGIKTGIDSRLWFETTIREKDTLLTEFVGSERGGGDILGSPLSLAKVLYCANVSNWFSAVAIPVGARCRDVSVGTSSPHQEKALTDYSTLGPPFLNDLNGGAIGIMTRKSNIVASLAQFASGIGAPPISSRTLNCFSTFGQVVCQLSTSTKLTLMGIHKVLKVSSQQPRLGSMTFPIGIFRRHQQSRTSVEEEDLLIGTIRKDSVLDGSMALMLESQLDESTRIGGWIEMKKSHPKTLQWAITMSDIPEDDFGWGLSLGGLVQGPTNCEHFQIEAFLNFNLGNRCRMQPAILYVVDGETKFPTLMFRSSFSL >itb02g03800.t4 pep chromosome:ASM357664v1:2:2254896:2258022:-1 gene:itb02g03800 transcript:itb02g03800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMEPAAMVVDKLKAFAQSTQDFASRILHRSENSKRRSPIEILKRLQREAFSDIMKLRDRQEKVERLLSFYKTSKASPFQEASTRVKGEFDVVGALLMMDTVDQSTCDALQRAGIKTGIDSRLWFETTIREKDTLLTEFVGSERGGGDILGSPLSLAKVLYCANVSNWFSAVAIPVGARCRDVSVGTSSPHQEKALTDYSTLGPPFLNDLNGGAIGIMTRKSNIVASLAQFASGIGAPPISSRTLNCFSTFGQVVCQLSTSTKLTLMGIHKVLKVSSQQPRLGSMTFPIGIFRRHQQSRTSVEEEDLLIGTIRKDSVLDGSMALMLESQLDESTRIGGWIEMKKSHPKTLQWAITMSDIPEDDFGWGLSLGGLVQGPTNCEHFQIEAFLNFNLGNRCRMQPAILYVVDGETKFPTLMFRSSFSL >itb02g03800.t1 pep chromosome:ASM357664v1:2:2254896:2258022:-1 gene:itb02g03800 transcript:itb02g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMVVDKLKAFAQSTQDFASRILHRSENSKRRSPIEILKRLQREAFSDIMKLRDRQEKVERLLSFYKTSKASPFQEASTRVKGEFDVVGALLMMDTVDQSTCDALQRAGIKTGIDSRLWFETTIREKDTLLTEFVGSERGGGDILGSPLSLAKVLYCANVSNWFSAVAIPVGARCRDVSVGTSSPHQEKALTDYSTLGPPFLNDLNGGAIGIMTRKSNIVASLAQFASGIGAPPISSRTLNCFSTFGQVVCQLSTSTKLTLMGIHKVLKVSSQQPRLGSMTFPIGIFRRHQQSRTSVEEEDLLIGTIRKDSVLDGSMALMLESQLDESTRIGGWIEMKKSHPKTLQWAITMSDIPEDDFGWGLSLGGLVQGPTNCEHFQIEAFLNFNLGNRCRMQPAILYVVDGETKFPTLMFRSSFSL >itb02g03800.t3 pep chromosome:ASM357664v1:2:2254879:2257893:-1 gene:itb02g03800 transcript:itb02g03800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRDRQEKVERLLSFYKTSKASPFQEASTRVKGEFDVVGALLMMDTVDQSTCDALQRAGIKTGIDSRLWFETTIREKDTLLTEFVGSERGGGDILGSPLSLAKVLYCANVSNWFSAVAIPVGARCRDVSVGTSSPHQEKALTDYSTLGPPFLNDLNGGAIGIMTRKSNIVASLAQFASGIGAPPISSRTLNCFSTFGQVVCQLSTSTKLTLMGIHKVLKVSSQQPRLGSMTFPIGIFRRHQQSRTSVEEEDLLIGTIRKDSVLDGSMALMLESQLDESTRIGGWIEMKKSHPKTLQWAITMSDIPEDDFGWGLSLGGLVQGPTNCEHFQIEAFLNFNLGNRCRMQPAILYVVDGETKFPTLMFRSSFSL >itb09g01320.t1 pep chromosome:ASM357664v1:9:788017:788913:-1 gene:itb09g01320 transcript:itb09g01320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVRDEHVNPIQLTDQHGNPVYLTDERGRPMHLTGVATAVGSDNVVVTHEYDTAVIKQRPEEPYQQPQLNRSGSSSSSSSEDDGQGGRRKKKGLTEKIKGKTAGEEQRTEGGACTRCDYRYSDPRRRNLHYYRRASPGEEECGGEDQRETAWLSSLITISSYLQIIII >itb01g08370.t1 pep chromosome:ASM357664v1:1:6759974:6762672:1 gene:itb01g08370 transcript:itb01g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVTVKLEEETPAPMEGLHEVGPPPFLSKTFDMVEDPSTDEVISWSAARNSFIVWDSHQFSTTLLPRYFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRRRNAAQSMHQQGAGPCIEIGYFGMEEELERLKRDRNLLMTEIVKLRQQQQSSRAQIMAMEERVRNTERKHQQIMSFLAKIFSNPSFFQQYLDKYALKKDQRRVEIGQKRRLTMTPSVENLQDIDSVALQSGQEPEADLEIETEVGSLISAALDNESSSIMNQSSFASTSGTNTEDLVPNSIWDELLGEGLGNQAEEALMGNQAEVDVEVEDLVAAETPEWGDDLQDLVDHMGYLEPNP >itb08g15650.t1 pep chromosome:ASM357664v1:8:17811162:17811668:1 gene:itb08g15650 transcript:itb08g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGDEWSLASDATPSYRYQWDVFLSFRGEDTRHGFTDRLYNDLVRNGVRTFRDDEELPRGEEIAPGLIAAIQDSAASIAVISENYASSKWCLEELATILECKRLMLPVFYEVDPSDVRRQGGAFERDFRNHQMTVDAGKILRWREAMTKAGNKSGWDSRVWYLPF >itb15g08900.t1 pep chromosome:ASM357664v1:15:6317342:6319069:-1 gene:itb15g08900 transcript:itb15g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFIPHFLLLTLCFASLSSLVFTAETTPDYFFIIFQWPKTKCESKEGCCLPKKGEPALNDFIISEFQPFYAQDGLIPENCNTTTKFQPSKVADLASSLERYWPSLTCPSRDSKKLWKEEWVKYGSCAESVLDLHDYFAAALRVQKQINLLKLLSDAGIKPNGTYYPGEMINKAVEKAGLGEVAVTCREDKDGLNTVLDQITLCGTREGNKFPCPGAFWICDSRNGNKVKFLKP >itb07g05650.t1 pep chromosome:ASM357664v1:7:3868958:3873097:-1 gene:itb07g05650 transcript:itb07g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKVVRFYNDGKQNLGNSWDKNEKQVPGFKSSAGLIIAEDRSGGDKMSRANGFPGKGKFKVFPEHYGSGNSKILDPGSELVLQWNRVFLFSCLVALFVDPLFFYLPAVVNDASPSSSCMITDLKLGIILTCFRTLADAFYLLHVGMKFRTAYVSPSSRVFGRGELVMDQDLIARHYLKSDFFIDAIAALPLPQIVIWFIIPAIRSSHFDHTNSALVLIVLLQYIPRLYLIFPLSTQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSACKHEQSPIRCSLHFLDCGTSTSNVGEKLRWVNSTRVFTNCNPNNPNFFNYGIYGNAVTNNVVSSKFLEKYFYCLWWGLQNLSSYGQTLTTSTFIGETSFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPHGLQERVMRFVQYKWFTTRGVDEESILRALPSDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTPGTYIVREGDPVTEMLFIIRGTLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTLNLPSSTRTVKVQGEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRYYSHHWRTWATCFIQAAWRRFQKRKTAKDLSRRESFSHLAKEEKPADDNGSDQEEGQSKSRSNQAKQNLGVTILASRFAANTRRGVQKMKDMDMRPKLLQKPDEPDFSTEPEDD >itb02g01060.t2 pep chromosome:ASM357664v1:2:604785:608551:1 gene:itb02g01060 transcript:itb02g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKENVDNFSNYADKKEKKRWSFGRPSKDLGDVGSQIPASFAADRSWLGAYMAESEKDRNRHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGGAVFNVGREKWAAVKIQSFFRGFLARKALKALKGLVKIQALVRGYLVRKRAAATLHSMQALIRAQAAVRSQRARRSMNNDNNRFQAETRARKSIERFDECRSAFHSKRLSTSYDAALSGLDESPKIVEIDTYKLKSKSRNACLSDCGVDDQHYYHAVSSPLPCPAPGRLSIPDSRHFHDFDWSFLGDDCKFPTAQNTPRLGGSGRTNAPPTPSKSVCGDAFFRPYSNFPSYMANTQSFRAKLRSHSAPKQRPEAGPKKRLSLNDIMASRSSFTGVRMQQTPCCSQVQEEYGF >itb02g01060.t3 pep chromosome:ASM357664v1:2:606142:608551:1 gene:itb02g01060 transcript:itb02g01060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKENVDNFSNYADKKEKKRWSFGRPSKDLGDVGSQIPASFAADRSWLGAYMAESEKDRNRHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGGAVFNVGREKWAAVKIQSFFRGFLARKALKALKGLVKIQALVRGYLVRKRAAATLHSMQALIRAQAAVRSQRARRSMNNDNNRFQAETRARKSIERFDECRSAFHSKRLSTSYDAALSGLDESPKIVEIDTYKLKSKSRNACLSDCGVDDQHYYHAVSSPLPCPAPGRLSIPDSRHFHDFDWSFLGDDCKFPTAQNTPRLGGSGRTNAPPTPSKSVCGDAFFRPYSNFPSYMANTQSFRAKLRSHSAPKQRPEAGPKKRLSLNDIMASRSSFTGVRMQQTPCCSQVQEEYGF >itb02g01060.t1 pep chromosome:ASM357664v1:2:604606:608563:1 gene:itb02g01060 transcript:itb02g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKENVDNFSNYADKKEKKRWSFGRPSKDLGDVGSQIPASFAADRSWLGAYMAESEKDRNRHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGGGAVFNVGREKWAAVKIQSFFRGFLARKALKALKGLVKIQALVRGYLVRKRAAATLHSMQALIRAQAAVRSQRARRSMNNDNNRFQAETRARKSIERFDECRSAFHSKRLSTSYDAALSGLDESPKIVEIDTYKLKSKSRNACLSDCGVDDQHYYHAVSSPLPCPAPGRLSIPDSRHFHDFDWSFLGDDCKFPTAQNTPRLGGSGRTNAPPTPSKSVCGDAFFRPYSNFPSYMANTQSFRAKLRSHSAPKQRPEAGPKKRLSLNDIMASRSSFTGVRMQQTPCCSQVQEEYGF >itb14g18780.t2 pep chromosome:ASM357664v1:14:21686559:21689447:1 gene:itb14g18780 transcript:itb14g18780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDHFFNGGGAPPPPPLQFQYAQFASPFAGDMSPAYAENNDNNVNNYNTDTSRCFTPPAPACGGAGDVERTAAKLFCLGSRSFNSRRGQFGVKSGDLPCRSNVQCIGNAKLPRISSTPSLKQAGSPVQNKNSSPAPPELGPGNNSHQQFSVPDQTPTESNPRKRKPISRRAAEEDDYDAKGTETEDHSPAKRSRSAEEAGNDNNGVKTEELNNTANNNANAGDGKPNQKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPRLDVNIDSLAPKDVSTF >itb14g18780.t3 pep chromosome:ASM357664v1:14:21686559:21687924:1 gene:itb14g18780 transcript:itb14g18780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDHFFNGGGAPPPPPLQFQYAQFASPFAGDMSPAYAENNDNNVNNYNTDTSRCFTPPAPACGGAGDVERTAAKLFCLGSRSFNSRRGQFGVKSGDLPCRSNVQCIGNAKLPRISSTPSLKQAGSPVQNKNSSPAPPELGPGNNSHQQFSVPDQTPTESNPRKRKPISRRAAEEDDYDAKGTETEDHSPAKRSRSAEEAGNDNNGVKTEELNNTANNNANAGDGKPNQKPPEPPKDYIHVRARRGQATDSHSLAERVKKKFNLYQFICHSKSNN >itb14g18780.t1 pep chromosome:ASM357664v1:14:21686559:21689259:1 gene:itb14g18780 transcript:itb14g18780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDHFFNGGGAPPPPPLQFQYAQFASPFAGDMSPAYAENNDNNVNNYNTDTSRCFTPPAPACGGAGDVERTAAKLFCLGSRSFNSRRGQFGVKSGDLPCRSNVQCIGNAKLPRISSTPSLKQAGSPVQNKNSSPAPPELGPGNNSHQQFSVPDQTPTESNPRKRKPISRRAAEEDDYDAKGTETEDHSPAKRSRSAEEAGNDNNGVKTEELNNTANNNANAGDGKPNQKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPRLDVNIDSLAPKDICQSTVSLPHSVYPLGSSAPGFRGQEQYPPEQIPNLHTNLVNGAVSQCPMYPLGSSPSPSLGMQFPPVDGFGENLNQFPPVFEDDLQSIVHMGFNRISSNDTLLQPLNLPRSNENDVSITVLPPSI >itb12g25920.t1 pep chromosome:ASM357664v1:12:27024360:27024623:-1 gene:itb12g25920 transcript:itb12g25920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEGEGGDDDGGTGGGDRSDLPRPITSPVSTLFSSSRQQQSQAMNPTSFWRPPAATIVGSTSRSVAKLDRRCGRGWTAKHGRRSR >itb14g03660.t2 pep chromosome:ASM357664v1:14:3315234:3320522:-1 gene:itb14g03660 transcript:itb14g03660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQDTQKTQEKQPSTQVSHDSQNDQQNNTTENPVADSGSVYATGNENRKVSREDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIIMFNHLLEHQYHLMKYPGPPKVPLAPIQNGINTMPVNNLPMGYPVLQQPPVPAAGQPHMDSMGISSCHVVNGVPAPSNFHPNPMRMNSGNDMVIETSAPDVSAAALPSNAISSMPDMPVSPTQAASSGHFPFTASEISGMGVDTSALDTAFASDASSVGLQLPTDNGTGNSRDFLRSLAQIPWNFSLSDLTADLPNLGDLGALGNDTGSPFFNSDSDILLDSPEQDDLDEFFVDSIPGPGPTGPQSDEENP >itb14g03660.t1 pep chromosome:ASM357664v1:14:3315234:3320522:-1 gene:itb14g03660 transcript:itb14g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQDTQKTQEKQPSTQVSHDSQNDQQNNTTENPVADSGSVYATGNENRKVSREDIELVQNLIERCLQLYMNRDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIIMFNHLLEHQYHLMKYPGPPKVPLAPIQNGINTMPVNNLPMGYPVLQQPPVPAAGQPHMDSMGISSCHVVNGVPAPSNFHPNPMRMNSGNDMVIETSAPDVSAAALPSNAISSMPDMPVSPTQAASSGHFPFTASEISGMGVDTSALDTAFASDASSVGLQLPTDNGTGNSRDFLRSLAQIPWNFSLSDLTADLPNLGDLGALGNDTGSPFFNSDSDILLDSPEQDDLVDEFFVDSIPGPGPTGPQSDEENP >itb01g04660.t1 pep chromosome:ASM357664v1:1:3161212:3168044:-1 gene:itb01g04660 transcript:itb01g04660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTGGHRHFYRRLCSYYFLIFQILILPTLPAPLALNSITPTHPLAGDQTLVSSNALFELGFFTPENSGRWYVGIWYKDIEEKTVVWVANRNTPLTNATGRGVLRIGEDGNIYLVDGDGNSIWGSSSTTRPGPGNTVAELLDSGNFVLRRENDRTEENYLWQSFDYPTDTLLPGMKLGWDSKTGLNRYISSWKTATDPAEGDFTFKLDTHGLPEAFLRKKNDIIYGSGAWNGIRFSGVPEMNPTAVITFSFVTTKSENYYTFSLHNETIFSKLQVSHGGYLERYMWIPTNKIWNKFWYAPADQCDYYKECGPYGICDTSISPVCECLVGFGPRNRQAWDLRDGRDGCVRVHDLECESDGFLAMNYMKLPESSSAFVDAGMSFDECTAMCKRNCSCAAYANSNITGDGSGCVMWTTELLDMRQYTAAEGGQVLYVRVAASDVGSVQGGIATTGNHSSSKTKRIVLACGVILGVAIVLLGFSALFLMKRRKSSQSAMRSNTDHRGHSGRAHQDLVMNAPVIPGKRDHSGETAAEEFELPVFDFSTIAVATDSFADANKLGQGGFGCVYKGIIDGDQEIAVKRLSKNSGQGVEEFKNELRLIARLQHRNLVRLLGCCVDMDEKMLIYEYMENKSLDSVIFNRTRSVLLNWQKRFNIIRGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMNPKISDFGMARIFGGDETEANNTKRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEVVSGKKNRGFYNQNNQQNLLGHAWGLWRQGRGSELLDPAIRESISPSEVMRCIQVGLVCVQEKAEDRPNMASVVLMLSSENTSLPQPKNPGFCLGIRRHADIDSSTNYDETCSVNHVTVTILDGR >itb07g09350.t4 pep chromosome:ASM357664v1:7:8736959:8745019:-1 gene:itb07g09350 transcript:itb07g09350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDVMWNQIGGSIPNEIGNITSLKLLLLNGNNLTGPLPEEIGYLPNLDRIQIDQNHISGPLPKSFANLNRTKHFHMNNNSISGQIPPELSRLPMLVHLLLDNNNLSGYIPPELAKIPNLLIVQLDNNHFEGQIPDSFGKIPHLLKLSLKNCSLRGPIPDLSNIENLTYIDLSFNQLTGKIPTNKLSKNITTIDLSHNNLNGSIPTNFSRLPRLERLSLENNSLTGSVPSIIWQNRKLNGTEKLILDFQNNMLSNISGSQIILPKNVTVRLHGNPLCRNENFIDVCGPTGEDSDDNLNSNSKNSTTCSLQTCPPPYESAPAANNCFCALPLLVEYRLKSPGFQDFRPHQIEFEQYLTSGLVLNFPQLDIDEFTIQPGPRVKMSLKFFPTYNGSSSSDHEFNRSELFRIMSMFTGWLIPDNDLFGPYELLGFNLLGNYKEYMPASSSSGLSKGALAGIIVGTIAGVLIMSTCVSLLILKLLARKYHPGSKKRRTSISVKIDGVRDFTFEEMALATQNFDNSSLVGRGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLIGYCGEEGDQMLVYEFMSNGTLRDHLSGKSKEPLDFAMRLRIALGSAKGILYLHTEADPPIFHRDIKASNILLDTKLTAKVADFGLSRLAPVPDLEGVLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGKHPISHGKNIVREVNNAYRDGGILSVIDEQMGSYPVECVEKFATLALKCCQDETDARPSMIEVVRELEEIWYMMPNSDNKITDSKLSMIDPHKTDTSSSSLPMKHPYASTDISGSDLISGGILPNIAPR >itb07g09350.t1 pep chromosome:ASM357664v1:7:8737024:8745932:-1 gene:itb07g09350 transcript:itb07g09350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRIPLSFIVCIYWSLLFTGVDSQVTDPNEVEALLSIKKSLVDPYDNLRNWSRGDPCTSNWTGVLCYNSTKKDNYLHVQELQLLQRNLSGTLSPELGRLSYMEILDVMWNQIGGSIPNEIGNITSLKLLLLNGNNLTGPLPEEIGYLPNLDRIQIDQNHISGPLPKSFANLNRTKHFHMNNNSISGQIPPELSRLPMLVHLLLDNNNLSGYIPPELAKIPNLLIVQLDNNHFEGQIPDSFGKIPHLLKLSLKNCSLRGPIPDLSNIENLTYIDLSFNQLTGKIPTNKLSKNITTIDLSHNNLNGSIPTNFSRLPRLERLSLENNSLTGSVPSIIWQNRKLNGTEKLILDFQNNMLSNISGSQIILPKNVTVRLHGNPLCRNENFIDVCGPTGEDSDDNLNSNSKNSTTCSLQTCPPPYESAPAANNCFCALPLLVEYRLKSPGFQDFRPHQIEFEQYLTSGLVLNFPQLDIDEFTIQPGPRVKMSLKFFPTYNGSSSSDHEFNRSELFRIMSMFTGWLIPDNDLFGPYELLGFNLLGNYKEYMPASSSSGLSKGALAGIIVGTIAGVLIMSTCVSLLILKLLARKYHPGSKKRRTSISVKIDGVRDFTFEEMALATQNFDNSSLVGRGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLIGYCGEEGDQMLVYEFMSNGTLRDHLSGKSKEPLDFAMRLRIALGSAKGILYLHTEADPPIFHRDIKASNILLDTKLTAKVADFGLSRLAPVPDLEGVLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGKHPISHGKNIVREVNNAYRDGGILSVIDEQMGSYPVECVEKFATLALKCCQDETDARPSMIEVVRELEEIWYMMPNSDNKITDSKLSMIDPHKTDTSSSSLPMKHPYASTDISGSDLISGGILPNIAPR >itb07g09350.t3 pep chromosome:ASM357664v1:7:8737024:8745420:-1 gene:itb07g09350 transcript:itb07g09350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRIPLSFIVCIYWSLLFTGVDSQVTDPNEVEALLSIKKSLVDPYDNLRNWSRGDPCTSNWTGVLCYNSTKKDNYLHVQELQLLQRNLSGTLSPELGRLSYMEILDVMWNQIGGSIPNEIGNITSLKLLLLNGNNLTGPLPEEIGYLPNLDRIQIDQNHISGPLPKSFANLNRTKHFHMNNNSISGQIPPELSRLPMLVHLLLDNNNLSGYIPPELAKIPNLLIVQLDNNHFEGQIPDSFGKIPHLLKLSLKNCSLRGPIPDLSNIENLTYIDLSFNQLTGKIPTNKLSKNITTIDLSHNNLNGSIPTNFSRLPRLERLSLENNSLTGSVPSIIWQNRKLNGTEKLILDFQNNMLSNISGSQIILPKNVTVRLHGNPLCRNENFIDVCGPTGEDSDDNLNSNSKNSTTCSLQTCPPPYESAPAANNCFCALPLLVEYRLKSPGFQDFRPHQIEFEQYLTSGLVLNFPQLDIDEFTIQPGPRVKMSLKFFPTYNGSSSSDHEFNRSELFRIMSMFTGWLIPDNDLFGPYELLGFNLLGNYKEYMPASSSSGLSKGALAGIIVGTIAGVLIMSTCVSLLILKLLARKYHPGSKKRRTSISVKIDGVRDFTFEEMALATQNFDNSSLVGRGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLIGYCGEEGDQMLVYEFMSNGTLRDHLSGKSKEPLDFAMRLRIALGSAKGILYLHTEADPPIFHRDIKASNILLDTKLTAKVADFGLSRLAPVPDLEGVLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGKHPISHGKNIVREVNNAYRDGGILSVIDEQMGSYPVECVEKFATLALKCCQDETDARPSMIEVVRELEEIWYMMPNSDNKITDSKLSMIDPHKTDTSSSSLPMKHPYASTDISGSDLISGGILPNIAPR >itb07g09350.t2 pep chromosome:ASM357664v1:7:8736959:8745932:-1 gene:itb07g09350 transcript:itb07g09350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRIPLSFIVCIYWSLLFTGVDSQVTDPNEVEALLSIKKSLVDPYDNLRNWSRGDPCTSNWTGVLCYNSTKKDNYLHVQELQLLQRNLSGTLSPELGRLSYMEILDVMWNQIGGSIPNEIGNITSLKLLLLNGNNLTGPLPEEIGYLPNLDRIQIDQNHISGPLPKSFANLNRTKHFHMNNNSISGQIPPELSRLPMLVHLLLDNNNLSGYIPPELAKIPNLLIVQLDNNHFEGQIPDSFGKIPHLLKLSLKNCSLRGPIPDLSNIENLTYIDLSFNQLTGKIPTNKLSKNITTIDLSHNNLNGSIPTNFSRLPRLERLSLENNSLTGSVPSIIWQNRKLNGTEKLILDFQNNMLSNISGSQIILPKNVTVRLHGNPLCRNENFIDVCGPTGEDSDDNLNSNSKNSTTCSLQTCPPPYESAPAANNCFCALPLLVEYRLKSPGFQDFRPHQIEFEQYLTSGLVLNFPQLDIDEFTIQPGPRVKMSLKFFPTYNGSSSSDHEFNRSELFRIMSMFTGWLIPDNDLFGPYELLGFNLLGNYKEYMPASSSSGLSKGALAGIIVGTIAGVLIMSTCVSLLILKLLARKYHPGSKKRRTSISVKIDGVRDFTFEEMALATQNFDNSSLVGRGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLIGYCGEEGDQMLVYEFMSNGTLRDHLSGKSKEPLDFAMRLRIALGSAKGILYLHTEADPPIFHRDIKASNILLDTKLTAKVADFGLSRLAPVPDLEGVLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGKHPISHGKNIVREVNNAYRDGGILSVIDEQMGSYPVECVEKFATLALKCCQDETDARPSMIEVVRELEEIWYMMPNSDNKITDSKLSMIDPHKTDTSSSSLPMKHPYASTDISGSDLISGGILPNIAPR >itb07g09350.t5 pep chromosome:ASM357664v1:7:8737024:8742309:-1 gene:itb07g09350 transcript:itb07g09350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGVLSIYDQRAALLFLFLRSLKNCSLRGPIPDLSNIENLTYIDLSFNQLTGKIPTNKLSKNITTIDLSHNNLNGSIPTNFSRLPRLERLSLENNSLTGSVPSIIWQNRKLNGTEKLILDFQNNMLSNISGSQIILPKNVTVRLHGNPLCRNENFIDVCGPTGEDSDDNLNSNSKNSTTCSLQTCPPPYESAPAANNCFCALPLLVEYRLKSPGFQDFRPHQIEFEQYLTSGLVLNFPQLDIDEFTIQPGPRVKMSLKFFPTYNGSSSSDHEFNRSELFRIMSMFTGWLIPDNDLFGPYELLGFNLLGNYKEYMPASSSSGLSKGALAGIIVGTIAGVLIMSTCVSLLILKLLARKYHPGSKKRRTSISVKIDGVRDFTFEEMALATQNFDNSSLVGRGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVSLIGYCGEEGDQMLVYEFMSNGTLRDHLSGKSKEPLDFAMRLRIALGSAKGILYLHTEADPPIFHRDIKASNILLDTKLTAKVADFGLSRLAPVPDLEGVLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEILTGKHPISHGKNIVREVNNAYRDGGILSVIDEQMGSYPVECVEKFATLALKCCQDETDARPSMIEVVRELEEIWYMMPNSDNKITDSKLSMIDPHKTDTSSSSLPMKHPYASTDISGSDLISGGILPNIAPR >itb11g10850.t1 pep chromosome:ASM357664v1:11:7737381:7738929:1 gene:itb11g10850 transcript:itb11g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAISVAETILQKITPLVQDHINLIWGLSDELKKLDFLVSLIKAIQDDTRGGDHNRVDQVWLENLDKIMNEITAVLDYFQRRANGTSPAPAPVSDDQKEKKAIKREEEEEGCLSPLSYFLSDPNPIVWIRDSFMAGKIKTIRQRLYDNLNDKKLLEFHPAVKKKQASPSEDTNIIIAPSATAWTLASDYIVIIQINNLPEKQKLPSLHSLPRLEQLTLEHLTLEYIFEADSPPSAGKLFPKLRKLWIRYCPNLKGWWNWKLPTTDNDKFPQFPCLELLDIRDFNDLIWFPVFPGISSTLYMVNGSIRHLERTRNILPDSRGFKIQYLWLSQVSDMEFLDIQLFPCLIKLDLYHCDNLRGWGKGDDSRGKVPASSLTTFDLRNCPRLGCLPRGMRELGAYTTVNISGCDKLKEKYATKSGPDWPSGVNSSYLTLDW >itb02g01590.t1 pep chromosome:ASM357664v1:2:895285:897970:-1 gene:itb02g01590 transcript:itb02g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLITLNKTHSNNNEGGGKSRKWKLWRSASGGLTMSSGKTGKGGKFMADSEGSESSFVSDNGALAAAMATLVRAPHRDFMMVKQEWAAVRIQTLFRGFLARRALRALRAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQARVRSQCGQGPIDGQAIEGADPVKQAEKGWCSSPGTVEELKSKLQMKQEGASRRERAMAYAYSQLQPRSNVSSYSRANKRVTPNMIDNNNGEFSWVERWMPTKPWENRLMEDGCSSWMSPISKKSEDYAAGSFSKPPENSSMKIRRNNVSTRISAKEPRSGQLVCTLSDQSSDYPYDDRATTDSTISTPNTLGSSINLEEGHSVRRSYMNPTESVKAKNKQKGSNLSLHPLTKPSSPFRGSTRRQPNPNLYSVNACKDFSVIEQYNDVKSR >itb15g05960.t2 pep chromosome:ASM357664v1:15:3913901:3917155:1 gene:itb15g05960 transcript:itb15g05960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 6 [Source:Projected from Arabidopsis thaliana (AT5G01990) UniProtKB/Swiss-Prot;Acc:Q9LZN2] MATISGSIIGLVVATIVRPPYPFFKFTIIQIGIGNIGNVPLVLIAALCRDKSNPFGDSNKCSQDGNAYISFGQWVGAIVLYTYVFHMLAPPPEGTFDIEDGHLPMKAPNRDSSHAAAKDSSPEQLPLLAEEPAVPVSTSSQKNKIKEFFKFLYEKLKLKQIIQPPIIASILAIFLGCVPFFKKLIFTSDAPLYFFTDSCMILGEAMIPCILLALGGNLVQGPGSSKLGLRTTAAIIFGRLVLVPPTGLGIVTLADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVFSMAGWIILYLSILF >itb15g05960.t1 pep chromosome:ASM357664v1:15:3912262:3917156:1 gene:itb15g05960 transcript:itb15g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 6 [Source:Projected from Arabidopsis thaliana (AT5G01990) UniProtKB/Swiss-Prot;Acc:Q9LZN2] MMDRIQRLLVEVLTESQGGGTSLLGSIKIAVLPIAKVFTMCFMGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGQAITFEKMLQWWFIPVNVVMATISGSIIGLVVATIVRPPYPFFKFTIIQIGIGNIGNVPLVLIAALCRDKSNPFGDSNKCSQDGNAYISFGQWVGAIVLYTYVFHMLAPPPEGTFDIEDGHLPMKAPNRDSSHAAAKDSSPEQLPLLAEEPAVPVSTSSQKNKIKEFFKFLYEKLKLKQIIQPPIIASILAIFLGCVPFFKKLIFTSDAPLYFFTDSCMILGEAMIPCILLALGGNLVQGPGSSKLGLRTTAAIIFGRLVLVPPTGLGIVTLADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVFSMAGWIILYLSILF >itb07g07180.t2 pep chromosome:ASM357664v1:7:5473253:5478417:1 gene:itb07g07180 transcript:itb07g07180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MEIKQDTCTSVSEPSTSKSKNKNKGKVLKRGLNIEGYQIEGLSIAGHETCVIIPTLNLAFDIGKCPQRAVSQQFVFISHGHMDHIGGLPMYVATRGLYRMTPPTIIVPKAVKESVEKIFEAHRAMDQSELKHTLIALDVGEEICLRKDLKVRAFKTYHVIPSQGYIVYSIKHKLKQEYIGLPAEEIKKLKSSCVEITNTVTTPEIAFTGDTMSDFILDNDNIDALRARILIVESTYVEETVTAEDAREYGHTHLSEVVTISFLWIDIHLLHL >itb07g07180.t4 pep chromosome:ASM357664v1:7:5473284:5481023:1 gene:itb07g07180 transcript:itb07g07180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MEIKQDTCTSVSEPSTSKSKNKNKGKVLKRGLNIEGYQIEGLSIAGHETCVIIPTLNLAFDIGKCPQRAVSQQFVFISHGHMDHIGGLPMYVATRGLYRMTPPTIIVPKAVKESVEKIFEAHRAMDQSELKHTLIALDVGEEICLRKDLKVRAFKTYHVIPSQGYIVYSIKHKLKQEYIGLPAEEIKKLKSSCVEITNTVTTPEIAFTGDTMSDFILDNDNIDALRARILIVEDFSVQSTYVEETVTAEDAREYGHTHLSEIVKHADRLENKAILLIHFSARYQLDVIQEAISALPPPLAGRVFALTEGKYVKTSAQNGRKLLSGVLQFAPPNSKTQIETETLYHRPSIHSYAFLYYTYTYVFFSFDPVLGHACVELGSVCVRFAWISPQSSPGDQRCQWRRRRRRRRRREARLRCGLERHGL >itb07g07180.t3 pep chromosome:ASM357664v1:7:5475225:5478417:1 gene:itb07g07180 transcript:itb07g07180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MEIKQDTCTSVSEPSTSKSKNKNKGKVLKRGLNIEGYQIEGLSIAGHETCVIIPTLNLAFDIGKCPQRAVSQQFVFISHGHMDHIGGLPMYVATRGLYRMTPPTIIVPKAVKESVEKIFEAHRAMDQSELKHTLIALDVGEEICLRKDLKVRAFKTYHVIPSQGYIVYSIKHKLKQEYIGLPAEEIKKLKSSCVEITNTVTTPEIAFTGDTMSDFILDNDNIDALRARILIVESTYVEETVTAEDAREYGHTHLSEIVKHADRLENKAILLIHFSARYQLDVIQEAISALPPPLAGRVFALTEGF >itb07g07180.t5 pep chromosome:ASM357664v1:7:5475225:5478417:1 gene:itb07g07180 transcript:itb07g07180.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MEIKQDTCTSVSEPSTSKSKNKNKGKVLKRGLNIEGYQIEGLSIAGHETCVIIPTLNLAFDIGKCPQRAVSQQFVFISHGHMDHIGGLPMYVATRGLYRMTPPTIIVPKAVKESVEKIFEAHRAMDQSELKHTLIALDVGEEICLRKDLKVRAFKTYHVIPSQGYIVYSIKHKLKQEYIGLPAEEIKKLKSSCVEITNTVTTPEIAFTGDTMSDFILDNDNIDALRARILIVESTYVEETVTAEDAREYGHTHLSEVVTISFLWIDIHLLHL >itb07g07180.t1 pep chromosome:ASM357664v1:7:5473253:5478417:1 gene:itb07g07180 transcript:itb07g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MEIKQDTCTSVSEPSTSKSKNKNKGKVLKRGLNIEGYQIEGLSIAGHETCVIIPTLNLAFDIGKCPQRAVSQQFVFISHGHMDHIGGLPMYVATRGLYRMTPPTIIVPKAVKESVEKIFEAHRAMDQSELKHTLIALDVGEEICLRKDLKVRAFKTYHVIPSQGYIVYSIKHKLKQEYIGLPAEEIKKLKSSCVEITNTVTTPEIAFTGDTMSDFILDNDNIDALRARILIVESTYVEETVTAEDAREYGHTHLSEIVKHADRLENKAILLIHFSARYQLDVIQEAISALPPPLAGRVFALTEGF >itb07g07180.t6 pep chromosome:ASM357664v1:7:5475253:5481023:1 gene:itb07g07180 transcript:itb07g07180.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MEIKQDTCTSVSEPSTSKSKNKNKGKVLKRGLNIEGYQIEGLSIAGHETCVIIPTLNLAFDIGKCPQRAVSQQFVFISHGHMDHIGGLPMYVATRGLYRMTPPTIIVPKAVKESVEKIFEAHRAMDQSELKHTLIALDVGEEICLRKDLKVRAFKTYHVIPSQGYIVYSIKHKLKQEYIGLPAEEIKKLKSSCVEITNTVTTPEIAFTGDTMSDFILDNDNIDALRARILIVEDFSVQSTYVEETVTAEDAREYGHTHLSEIVKHADRLENKAILLIHFSARYQLDVIQEAISALPPPLAGRVFALTEGKYVKTSAQNGRKLLSGVLQFAPPNSKTQIETETLYHRPSIHSYAFLYYTYTYVFFSFDPVLGHACVELGSVCVRFAWISPQSSPGDQRCQWRRRRRRRRRREARLRCGLERHGL >itb15g13420.t1 pep chromosome:ASM357664v1:15:11403657:11406453:-1 gene:itb15g13420 transcript:itb15g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGNRGRKIGVLVVSSLLLVAMVVALVIGSQDQDETNDEQLLTASQKAIDTVCHPTTYKQTCVDSLSNSRAANSDDPKELILAAFNVTIYSLRKSMEKSELLTKLKDNPRSKMALENCQELGRKAIRDLRRTRVKFGNFDMTDMDSWLADLKIWLSGSLTYQETCLTGFQEIPGNDEKKMRKILIKSMHLTSNALAIVTQLSDVLIGFGAEAPAPLNEDRRLLLTTKQENFPDWVSSGRRRLLKTTSLKEIEPDLIVAKDGSGNYTNINDALKNIPTKSRETFVLYIKEGVYEEQVTFYRNLTNLVVVGDGPTKTKITGWLNFIDGVSTFHTATVVVLGDYFMARDIGFENSAGPEKHQAVALRVGADKTIFYNCRMDGYQDTVYAHTYRQFYRNCNISGTIDFIFGDSAAVLQNCTIVVRKPLENQQNIVTAQGRKDPHQPTGLVLQNCTIVADPDYGPVRSTIKSYLGRPWKEYSRTIIMESFIPDFIEPEGWLPWNKGFALKTLFYSEFNNRGAGAKKSKRVTWPGIKELPASQIKRFTPGKFITGNKWIKKKKEVPYNAGFIYPPPKKDKSIKYSDLDDDDFKDIGAKEKEAYVSSPPPPSISITPIASPLSIISITPIASPLSISITPTASPSSISITPIASPLSISITPTASPLSAPSPY >itb13g10320.t1 pep chromosome:ASM357664v1:13:14907080:14930235:1 gene:itb13g10320 transcript:itb13g10320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIIASLLTSFRLVPPEAIPAMLDCILASTASSPFSIFSALLEEFPSIAKEKLQAGQNLELEQCNFIESYVGALCHILKKPGSDVNSMQLFILEIFIPLMKLVHSYDRVLLNKVAGLLFGVVIETNSWEIVGSTIVPFLLRSIGLSMGMVQNKELAVYKWNVHIDNLGGQDPGLQSTQDASFKLRNAEMNNNTFLSESNFFQLPTSCYMLTLMLELVLGTLQSVGPTSKLNVADRDCAKMFAANLVWDLFNLTIEMLLQSLEHRSSAISFLLPSIFQAFDCHSAFEVSINGQKYVLSRNHILADLWKSCKALFSLGPLERRDAYATLSMYLSFFSIARGSLGPVDASLNGKETVFDLRAQKEFWDEIKKGLVNKESLVRKQSLHTLKRTISLSVENQFQSSVNVLDEINSVPRGLTKRERWAENEAKSLGVGRICNSIVDSSSSTQKWEAFFLLYEMLEEYGTHLVEAAWNHQMTLLLHYSPSPESVLNCEPHHMCMDTLEETFDWLVVLWERGFCHDNPQVRCLIMQSFLGIEWRKYKCCAELISQNFIFGPLMEGLNDPVHHKDFGVKGVYSTWTIEAAAKFLCVYTSYLDQRVAFLISLASVAKTQSFGRAGLMCLVKCISSAACGDQKHSISENDQENGVSAAGVTEARFAQESSIDKADLLDVFRFVIESSRQHFNPTYRHQVCEEILDAAVSVMAPHDVPLETLLLFVASLPRELTDSGGSLRLKVQKWLQSCQVHFSSNSSHTNLQLLRTLICFPPKFISCHSMLHSFITYDDEDLDAWESEAKRWARVLFLVIKGEQDLDPIFMFIQEHGADICKEKILLEWIPAKYLILILSLVYELQLIEDRVVECFTSGRLKMGLDSPGKAVDTLLAKDSRIFEKFTKSFCSLLDSLVSFARLSCYIFDSRSIIEDSVLPGSIKGRLGGPSQRRMPSSTASSVLQAITAIKSVASISKWCIQFRKDASIDFAITFLWNACWKVITSSSYNSEIEAEISLAAYEAVGNALKEMAPMFSLLSLDLVKQNDGLSPSEADDRLILDSFFSTFLQNINSLIGAGTLVRTRRAVLLHWKWICLEALLSIPKFAFQNGVRLGNDNFYFSNDILRWAFNDLVDNLENAGEASVLPILRSVRLLLELFVSGQRGLMVSSCAGISTKMMWRLVHSSWILHVSCNKRRVAPIAALLSSVLQYSMFSDESMHELDGAPGPLKWFVEKILEEGTKSPRTIRLAALHLTGLWLANPSIIKYYIKELKLLTLYGSVAFDEDFEAELSENQEAKGEVAVLAKSPYPELTEEFINTELYARVSVAVLFHRLADIACMAGLTRESNDGIAALASGKMFLLELINSVLTDKDLSKELYKKYSAIHRRKVRAWQMICILSRFVDQDIVQRVTHSLHTCLCKNNLPSVRQYLETFAIHIYLNFPSLVGEELVPIFRDSEMRPQALSSYVFIAANIILHSTGAAQPERLSELLPPIIPLLTSHHHTLRGFTQLLVYKVLQKLMPTHSSCSTTLPLEIRCFEDLKLYLTDNPDCARLRSSMEGYLDAFDPQKAVMPAGIFSTRVEDLEFECVPVTLMDRVITFLNDAREDLRSSMAKDAATIKKESLRMDEDVMCNEISEILSDKQPASLSNDISFDFQRKVTFSKLEMQGLTSNFSSDDKGSWKSLLDMEKEDQILDQVLHLRTVAFEKLKKSRQDIILVASLIDRIPNLAGLTRTCEVFRAGGLAIANKDVLKDKQFQLISVTAERWVPILEVPVSSMKAFLEKKKQEGFSILGLEQTANSMSLDQYVFPKKTVLVLGREKEGIPVDIIHILDACIEIPQLGVVRSLNVHVSGAIALWEYSRQQRCQ >itb02g14940.t1 pep chromosome:ASM357664v1:2:10840883:10843483:-1 gene:itb02g14940 transcript:itb02g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKDSSKTSGSPKLDTKPPFQSVKDAVTLFGEAALCGDIPAIKKPKHQSTEGLLPKERQLHLVQEEVTRLKEQLKNVETTKGQALTELESVNKTVEDLTQKLKVVCDSKDSAIQATEEANNSHSKVEDGSWKVDLKTAREQYKAAMAQLDAAKEEVRNMRRNCDASAEEKAEAHRQAEEAKIATKASMERAGKVSREIETLQESIQKLKLASLHVKEDEGKVYAEKDVQRQSYKTRLGELSKELTSLRKEVNPAHIKDLEIQLAQTTSAIEALRKEMDSARSSDLASVKDAITELKDAKESLHNACEEEGSLRSYLADLKLELENVKKEHSELMGKETETESLAGNLHAKLQRAKSELERALAEESKARRPSEEMIATIEQVRLECENAKSETNEMKKQAEELKKETDSARITSEEAEEKLKVALEAAEEAKAAENRALDQIKLLSERTSATRASTPEPGAQITISRDEFDYLSQKVGESERLAELKVAAAMAQVEAVRASENGALKRLLAAQKEIDNMRTATQEALKRAEMDETAKTVVEGELRRWREREQKKVAEATYSILAETQKVVESFPHNYQNVGQATRDGIESQTQNLPEVRHPRKLEKSKTSVPKTEKSKTSVAKKVLLRNFSDMLPRRTRQVQVGSPYLPRENLYGDL >itb03g01400.t1 pep chromosome:ASM357664v1:3:783463:783929:-1 gene:itb03g01400 transcript:itb03g01400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMAPVIFMAAVLGAVRLGQAIDCHQVDSSIASCLPYLQGSGGVHPPMNCCDSFRNLLRYTPTQQDRQTACECLKATAASPDIKPDVAYYLPQNCGLISSFTISRDSNCQSVI >itb11g21740.t1 pep chromosome:ASM357664v1:11:23438107:23440030:-1 gene:itb11g21740 transcript:itb11g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTQQSTQQLQVVSIVGMGGIGKTTLARKVYEDSSITLYFDKRAWITMSQEYNKEQMLQCLIGCVNAASRDELQSNELHEQRQRNSKENLRKLLMGQRYFIVMDDIWSTTAWDSLQGCFPDDNNGSRIVLTSRLKEVAEYANSGNSTINMPFLDANESWNLYCNVFGQTKFVLVFEQIGRDIVKNCKGLPLAITLVASLLSKTEENVEKWNNVAKSVIGDSNEACSRVLYLSYNQLPHHLKACFLYFGVFPEDYEISVKKLVRLWAAEGFLRAVEHMNLEEVATECLQDLVDRSLVIVGIWKIGMLRVIISLCFNI >itb12g16220.t1 pep chromosome:ASM357664v1:12:16846519:16850643:1 gene:itb12g16220 transcript:itb12g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMWNDRQAGMRSSSSSSSSQQRHAAALSYGLRQQGHQGGWLDEGCSSSPTATGNNNGEGGREVIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFHRGVGELGKDRLFIDWRRRPNPHHEFHLTAAIPPHFSFNRSFHHHHQYPVAAAPAGWNYHHAMLVPPPPPPPPGGHSHSHTSYAYNNYAIGCGPPPPPPPNYPTFNSQFLNGNPCPPASAIYYHRSGTEDAAPAPAPSGGGAMVLDSVPVVQGKAAAAKRLRLFGVNMECAIPESDDFCDHRTSSPAALIPSSYHLSSSSYGDGPSDQDSPVDKAKTSSSMSLDLDI >itb02g24470.t1 pep chromosome:ASM357664v1:2:25044399:25045624:-1 gene:itb02g24470 transcript:itb02g24470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLCKTALDPSLVYEYGLAFAQNELEEDDIAYFNHEFLQSMGISVAKHRLEILKLAKKERRNLPFPMTRFLRAIKKRIVVYVRTLVRPRDDSPLAIVPAKKEKRSHNGSSRLKRNKKRVVAANNNSQQNTPTLLLTNGSPPRLFSSSRINSFSGKMVYDQSEHWGSSVVEEIRWDAMFQNMKPT >itb15g09660.t1 pep chromosome:ASM357664v1:15:6920621:6927624:1 gene:itb15g09660 transcript:itb15g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDESEQLITDNGETLISSTKIADDDKDKDVLKLISDAVREVPANAIKDLWSIGVCARCIFRVLGLHELICSHPSLSYSVLITVLGVVRIEGGDGVDSSAKDELLNIQELTTEANVCKICLGVLQFVFSDDNGTLLKKDSAYDFASKIAELVKQQQHHQIGSFSLEVSLPPLVTENDQAIWLYVQKKYESELWFQQKAASQCFSTKDVLKLSVIKPLQTLLGLKHMESTFHIRLTYSGSVQQQNADKRNVGNKRRKTDSTQSVDIHKVEGEAFTRTSSLNSQKDCFDYVKHLIEKVIQPCHLTTQCYRNPIYIGGRYLKYSRNVSQTRWVIDDERMGEASVEEILGGCILPLCQGDGYKFHAAGREDIDVRMLGTGRPFLVEIQNARQVPSEGLIKDIEIKINSLENQLVKVRNLKSLGSQGWAMINEGEAEKQKQYAALVWISRDVNNEDLQTISSVKDMKIIQKTPIRVLHRRSPLEREKIIHWMKLERIEGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSMLGCRAEILQLDVTDVKMDCF >itb08g15400.t1 pep chromosome:ASM357664v1:8:17559558:17563277:1 gene:itb08g15400 transcript:itb08g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPSYETSWADQWVPEPLYEHKRNSNQSPPSKFSGKMGETFDKTKDYIMAANSSYETSWADQWDPEPLYEYNRNDRKASKQSSSSSKFSGKMGETFDKTKVVASTGVKKAKAGASAGFHWIKDKYHKTTHKN >itb07g01830.t2 pep chromosome:ASM357664v1:7:1104088:1104946:1 gene:itb07g01830 transcript:itb07g01830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYFDDFEYTTYQNDIIDSQGFYVSNDVGLKIPCGIRRVSRCDGAAYKKAEAAARFAIEKHNNQSEKNSGLVFREILNLNEQPTAGKIYYITMAAAAEDSPGGVGFSCYQAKVWEKISGRYRVDVFRLAPFHAASSTGTYSISRPIASLFF >itb07g01830.t3 pep chromosome:ASM357664v1:7:1104088:1110552:1 gene:itb07g01830 transcript:itb07g01830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYFDDFEYTTYQNDIIDSQGFYVSNDVGLKIPCGIRRVSRCDGAAYKKAEAAARFAIEKHNNQSEKNSGLVFREILNLNEQPTAGKIYYITMAAAAEDSPGGVGFSCYQAKVWEKISGRYRVDVFRLAPFHAASSTGVKSVGLDEEKKWVLIRGDADPIKLVRALNLKGREAEIMYYCSLELRDNTTPDYPAAATKTPQPNNSAEKKSGRRRRQPKCRLHGSDDEEEEDVLYSGHVRESYAAAVPPPQAEVHARKECSVPDCPFHDCYLKSLAPPPPTAKATMRFDNYGFQQLPPAPWWYGYGGQGVKSVGLDEEKKWVLIRGDADPIKLVRALNLKGREAEIMYYCSLELRDNTTPDYPAAATKTPQPNNSAEKKSGRRRRQPKCRLHGSDDEEEEDVLYSGHVRESYAAAVPPPQAEVHARKECSVPDCPFHDCYLKSLAPPPPTAKATMRFDNYGFQQLPPAPWWYGYGGQGMM >itb07g01830.t1 pep chromosome:ASM357664v1:7:1104088:1108094:1 gene:itb07g01830 transcript:itb07g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYFDDFEYTTYQNDIIDSQGFYVSNDVGLKIPCGIRRVSRCDGAAYKKAEAAARFAIEKHNNQSEKNSGLVFREILNLNEQPTAGKIYYITMAAAAEDSPGGVGFSCYQAKVWEKISGRYRVDVFRLAPFHAASSTGVKSVGLDEEKKWVLIRGDADPIKLVRALNLKGREAEIMYYCSLELRDNTTPDYPAAATKTPQPNNSAEKKSGRRRRQPKCRLHGSDDEEEEDVLYSGHVRESYAAAVPPPQAEVHARKECSVPDCPFHDCYLKSLAPPPPTAKATMRFDNYGFQQLPPAPWWYGYGGQGMM >itb07g01850.t1 pep chromosome:ASM357664v1:7:1117329:1118831:-1 gene:itb07g01850 transcript:itb07g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTATPAFLPAVAKAPTSGRRGAFTVRASKASEGEKAVAKTGEESSNGRRELVFGVAMAAACSIAKIAMADEPKKGTLEARKKYAPICVTMPTAKICHK >itb05g24840.t1 pep chromosome:ASM357664v1:5:29516470:29524471:-1 gene:itb05g24840 transcript:itb05g24840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIRNCLDNNVHHILITILAAVLFEALGVASVVVPSTGCYVLDNSSYIYDFSSWIGHPFNYDGQGTDMVLRFCKDVQARSQGGYLDFGRYDKFNYFVAGSGQVNFIQEYFNGDLTNCEQSYDKMGRTAQVDIICGDCPNGQCKGGLGCICSISNESSCRTIVELAIPCDKPGKRVFEGFTVGFHPRKWEIVYNGMTQLGFEKVYKEFSFSTEQTQVDLYMTAVASLSSLVQKPVTKVSPEQGLEVKLSGSATSGESPTTLSPTILTIDWTCETALDTPYEVNITIPVENYDPIQFTLTKMCTVGSQSEKGDGTRGWTVFGVISCVFIVASTLLCCGGFIYNTRVQNQHGLDALPGMAYLSACLETLGGVSHSYTQPEDTNRSFENQASWEQNSDSSQGTWRTTERTYGSI >itb05g24840.t2 pep chromosome:ASM357664v1:5:29516474:29523689:-1 gene:itb05g24840 transcript:itb05g24840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFCKDVQARSQGGYLDFGRYDKFNYFVAGSGQVNFIQEYFNGDLTNCEQSYDKMGRTAQVDIICGDCPNGQCKGGLGCICSISNESSCRTIVELAIPCDKPGKRVFEGFTVGFHPRKWEIVYNGMTQLGFEKVYKEFSFSTEQTQVDLYMTAVASLSSLVQKPVTKVSPEQGLEVKLSGSATSGESPTTLSPTILTIDWTCETALDTPYEVNITIPVENYDPIQFTLTKMCTVGSQSEKGDGTRGWTVFGVISCVFIVASTLLCCGGFIYNTRVQNQHGLDALPGMAYLSACLETLGGVSHSYTQPEDTNRSFENQASWEQNSDSSQGTWRTTERTYGSI >itb11g10310.t1 pep chromosome:ASM357664v1:11:7092525:7094672:-1 gene:itb11g10310 transcript:itb11g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFKYAYFISGVEIIRIKIPMTSNSFKHEVELVFSNPSQPLPRDSQWEDCKSMVDKFKEKNIPFPFLHVGDMGISNELADNTWILVALNGGALPIAYNVHLSDKGDIDPISHRVLAELHTSDVHKYGRKNDWKQLADMGGGRFCVMCCALDEDFLIYGFEIDFELEWTIQSDKTKVSSSSIIFKMEFDHNYPIPLESQLTGFCIASAPPPLASPSSAPPPLVSPDSEDQDKNDRKSKRKWGSGLFVYLLPTMSALLLHLIWGR >itb02g15550.t4 pep chromosome:ASM357664v1:2:11304706:11306950:1 gene:itb02g15550 transcript:itb02g15550.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MHTKEYHDHSSPSTPRSHRESSTHASLSPTTPRNRESHALPSVSTPRMYRADNGPPSPSTPRLRHRKRYQEDIPGESKINGIDLLVNDQDKYRSMWIRACSSLWMVIGFIAVIYLGHLYICAMVVGIQIIMTSELFNLLRRAHESRRLPGFRLLNWHFFFTAMLYLYGRILSQQLVNTVISDQFSYKLVGMFIKYQMVICYFLYIAGVMWFILTLKKKMYQYQFGQFAWTHMILILVFTQSSFTVANIFEGIFW >itb02g15550.t3 pep chromosome:ASM357664v1:2:11304751:11306950:1 gene:itb02g15550 transcript:itb02g15550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MHTKEYHDHSSPSTPRSHRESSTHASLSPTTPRNRESHALPSVSTPRMYRADNGPPSPSTPRLRHRKRYQEDIPGESKINGIDLLVNDQDKYRSMWIRACSSLWMVIGFIAVIYLGHLYICAMVVGIQIIMTSELFNLLRRAHESRRLPGFRLLNCQQLVNTVISDQFSYKLVGMFIKYQMVICYFLYIAGVMWFILTLKKKMYQYQFGQFAWTHMILILVFTQSSFTVANIFEGIFWFILPASLIAINDVAAYLFGFFFGRTPLIKLSPKKTWEGFIGASVATMISAFLLANILGRFQWLTCPRKDLSTNWLQCDPGPLFKPEYYSLGTWLPNEVS >itb02g15550.t1 pep chromosome:ASM357664v1:2:11304751:11308495:1 gene:itb02g15550 transcript:itb02g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MHTKEYHDHSSPSTPRSHRESSTHASLSPTTPRNRESHALPSVSTPRMYRADNGPPSPSTPRLRHRKRYQEDIPGESKINGIDLLVNDQDKYRSMWIRACSSLWMVIGFIAVIYLGHLYICAMVVGIQIIMTSELFNLLRRAHESRRLPGFRLLNCQQLVNTVISDQFSYKLVGMFIKYQMVICYFLYIAGVMWFILTLKKKMYQYQFGQFAWTHMILILVFTQSSFTVANIFEGIFWFILPASLIAINDVAAYLFGFFFGRTPLIKLSPKKTWEGFIGASVATMISAFLLANILGRFQWLTCPRKDLSTNWLQCDPGPLFKPEYYSLGTWLPNEFPWKEISILPVQWHSLCLGLFASVIAPFGGFFASGFKRAFKVKDFGDSIPGHGGFTDRMDCQMVMAIFSYIYLQSFALPQYDSVEMMLDQIVRSLSYEDQTTLYIRLSQIFKERQLGNSLA >itb02g15550.t2 pep chromosome:ASM357664v1:2:11304751:11308495:1 gene:itb02g15550 transcript:itb02g15550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MHTKEYHDHSSPSTPRSHRESSTHASLSPTTPRNRESHALPSVSTPRMYRADNGPPSPSTPRLRHRKRYQEDIPGESKINGIDLLVNDQDKYRSMWIRACSSLWMVIGFIAVIYLGHLYICAMVVGIQIIMTSELFNLLRRAHESRRLPGFRLLNWHFFFTAMLYLYGRILSQQLVNTVISDQFSYKLVGMFIKYQMVICYFLYIAGVMWFILTLKKKMYQYQFGQFAWTHMILILVFTQSSFTVANIFEGIFWFILPASLIAINDVAAYLFGFFFGRTPLIKLSPKKTWEGFIGASVATMISAFLLANILGRFQWLTCPRKDLSTNWLQCDPGPLFKPEYYSLGTWLPNEFPWKEISILPVQWHSLCLGLFASVIAPFGGFFASGFKRAFKVKDFGDSIPGHGGFTDRMDCQMVMAIFSYIYLQSFALPQYDSVEMMLDQIVRSLSYEDQTTLYIRLSQIFKERQLGNSLA >itb02g15550.t5 pep chromosome:ASM357664v1:2:11304706:11306950:1 gene:itb02g15550 transcript:itb02g15550.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] MHTKEYHDHSSPSTPRSHRESSTHASLSPTTPRNRESHALPSVSTPRMYRADNGPPSPSTPRLRHRKRYQEDIPGESKINGIDLLVNDQDKYRSMWIRACSSLWMVIGFIAVIYLGHLYICAMVVGIQIIMTSELFNLLRRAHESRRLPGFRLLNWHFFFTAMLYLYGRILSQQLVNTVISDQFSYKLVGMFIKYQMVICYFLYIAGFIT >itb06g12640.t1 pep chromosome:ASM357664v1:6:17282867:17283754:1 gene:itb06g12640 transcript:itb06g12640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRGRQRIEMVKIERKSNLEVTFSKRRIGLFKKASVLSTLCGADVAIIVFSPAGNKVFSFGHPTVEAVMERFLGEDNPAPVNETGGGALATEQFIEAQRNARVQELNMELTRLEAVFEFEKKRGEAIDGFVEANREAHGWMRGSYDELSFQQLVTLKIGMENLMKEIQRKAHHQLMAVHGNGTPFNPYAGGVFPSGNMVSGDQTFAFNFGTNGGAAGALPFTSGVPGAHPFTSGGAAGALPFTSGVPGAHLSTSGSSSMAGGYNTVSPWVASTSGASNSAGGNLGATHAFF >itb09g09520.t1 pep chromosome:ASM357664v1:9:5750213:5750926:1 gene:itb09g09520 transcript:itb09g09520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRYLVWPKPKLDFSSSYGGDSWEEQAFAEDAAGGALGGCVWPPRSYTCNFCRREFRSAQALGGHMNVHRRDRAKLKHSPPSHNGDLSVPINNNPRPLLTQACTFLYNPSSDRRIVAPNLGSESWDDGGVNGETTTKKNYSRILEDLKKNINCEEDDERLRMFKSSRCRAEGEYDMMADLSAGSFRKRRRIDDSLFAGCDPKPCLVQRFERTIHSSIEQELDLELRLGTSPPKVK >itb05g26040.t2 pep chromosome:ASM357664v1:5:30138110:30141043:-1 gene:itb05g26040 transcript:itb05g26040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQIPINFSAINTPRTASIRGNCFYGLKPLKRGNYCTVSSVKIDSFYPNKGKFGAFRDGSSFWEASRVFLKEGRRSEKKRVVLVRFNSLDGGDGGGGRDNSETVRVLGNIALAIVLSYLTFTGQLGWVLDAIVSVWLLVVLLPIIGIVAFVWWAGQDIVQGACPNCGNDFQIFKYDQMKDFTYEACVFDFFIWLILLFVLSALQISSK >itb05g26040.t1 pep chromosome:ASM357664v1:5:30138110:30141043:-1 gene:itb05g26040 transcript:itb05g26040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQIPINFSAINTPRTASIRGNCFYGLKPLKRGNYCTVSSVKIDSFYPNKGKFGAFRDGSSFWEASRVFLKEGRRSEKKRVVLVRFNSLDGGDGGGGRDNSETVRVLGNIALAIVLSYLTFTGQLGWVLDAIVSVWLLVVLLPIIGIVAFVWWAGQDIVQGACPNCGNDFQIFKSAVNDDIQLCPFCSQPFSVVGDEFVRDPVKFSNQSKTFGQAFKDFTSRSKKDKKSSVAVVDVEAEIKDAE >itb04g03970.t2 pep chromosome:ASM357664v1:4:2472685:2487659:1 gene:itb04g03970 transcript:itb04g03970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKAMSRESPAQKASHVLDPDLRNHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFAKARPRCEAPRRDMMYPMNYTPCRYIRISCLRGSPIAIFFIQLIGISVTGLEPEFQPAVDYLLPHIVSHRQDTSDLHLQLLQDITTRLAPFLPQLEADLNGFSEAAEPGIRFLAMLVGQFYPILHLVKEREATRLAGNTSESETSRNNQMPTALTVSSNFEPRRSRNASSLILPTSSYLVFRPDAIFMLLRKAHKDYNLGNVCRVASQILLKFMEPSSLKDASQSLDSRSSLPDEGKKLDTLYPASFIDYSDIFGEEFRSPEHHWDSKVINVLDIALVEEGVLHVLYACASQPLLCSKFADNSSNFWSALPLVQALLPALRPNVNIADQIDDSFSQWKLPFVQQALSQIVGTSSSSLYRPLLHACAGYLSSFSPTHAKASCVLIDMCSGVLAPWMTQVIAKMDLTVELLEDLLAVIQGARHSFAQARAALKYIVLALSGHMDEVLSKYKDAKHRLLFLIEMLEPYLDPAITPLQSTISFGNISSVFLEKQEHNCALALNVIRTAVRMPALLPSLEAEWRRGSVSPSVLLSVLEPHIQLPPDVNLRQFSVSCLPGSESSISPDSTAICNGGVASTPIVQDSTDGKTDVDMSSKMDLPDEVSLLFAPPEINRMSLITASGSPKKMSLDSSRSSAKTKANPTEKDLLNAVPGASKTVECHYLQADYLQLVNHQECQLRSSEFRRLALDLHSQNEVNPESHDAAIDALLLAAECYVNPYFMMSFKDTPKVTSKMKSGRASRNCGFGDLEIGFEVNENDLKTLIYLERKRDKIVLEIMLQAAELDRGYQQNCDGELPIPSAEENEVIKLSEQDTQTADAVTLLRQNQALLCNFVIQRLQRDNYLQHEVLLQTLLFLLHSGTKLFCAPADIIEIILRSAEHLNRQLTSFYYQLKEGSSQLEEWKLQLVQRLWMLLQRLVVASSGSAEGLELSINVKSRFQFANLIPPSAWLEKIPTFSTSVSPLARFLGWMAISRNAIQYQKDRLFLVSDIEQLTYLLHVFSDELAAVDNISECKDEVQKTEESGLKQDFGPSGTHHSFHIMYPDISHFFPTLKKQFETLGESILQAVALQLRSLPATTVPDLICWFSEFCSQPFIQNPKDQLSSQNNIDSVKGFVAKNAKAIVLYVLEAIVTEHMEAIVPEVPRVVQVLVSLCRSSYCDVSFLNSVMLLLKPIISYSLQKASAEERLVTDSMCLSFESLCFDELFGIIKSENESNSSVRGHCRALMVFVLASVFPDISSHCKIELLNSSITSADFASFEQTTFFHDYLCAYQALMESCKVLIVDLLRFWGVIPCKISQFSNMDMAATNGDGSEFPCFLEDMYPNSIEPNGSYKNDNDVNKKCQLKIKEIESFSKNLDTLVSKLSQNVEQCYRIHRKLAKNLTQVCAECFLYLRCLSSIVEKVSVSGVTDEQSLPKSISLNEYSDHWKVGLEGLAEMVILLQEHHCWEVASMMLDCLFHVPQIFNLHDVIDKICSAIKTFSHGAPIIAWRLQTDKWMSSLFARGINCLQESEALNDLLHSMLCHPEPEQRFIALKQFGELVSQDGSGGSVVLLPTLLDKVSSERASSVSESVVLSALVSSTWDQVALLASSDTSLPLRINAMALLVNYVPLVERPKLQSFLAAADCVLWCLTKLSQSMCEGPLTQLSIALFACICLYSPAEDISLIPENLWRSIESFSVAGNEKIPMGPEKTVCQALCRLRKEGDEAKKVLKEAISSSSPKQVDPGFASTRETILEVITNLTSVQSYLEFFSKESDRKVLELEEAEIEMELLQKEKALQELSNDFKDQHQLPLLSGYAKNDDRLQQIRDGIKSMEKARLREQIVARRQKKLLTRRARQTYLEEAAIREAQLLQELDRERTAEVEREIERQRLLELERAKTRELRHNLDLEKEKQTQRELQRELEQVESGLRPSRREFSSTHTSRPRERYRERENGRAVNEGTLKASTGTGQPETVSTSSSTAAMPTVMLSGARQFSSQLPTILQSRDRSDEGGNSYDDNFDGSRDSGDTGSIGDADIASALDGVSMNFGPSQKLGSRGGGKSRQIMERRDRDGRREGKWERKH >itb04g03970.t4 pep chromosome:ASM357664v1:4:2477505:2487659:1 gene:itb04g03970 transcript:itb04g03970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLKDASQSLDSRSSLPDEGKKLDTLYPASFIDYSDIFGEEFRSPEHHWDSKVINVLDIALVEEGVLHVLYACASQPLLCSKFADNSSNFWSALPLVQALLPALRPNVNIADQIDDSFSQWKLPFVQQALSQIVGTSSSSLYRPLLHACAGYLSSFSPTHAKASCVLIDMCSGVLAPWMTQVIAKMDLTVELLEDLLAVIQGARHSFAQARAALKYIVLALSGHMDEVLSKYKDAKHRLLFLIEMLEPYLDPAITPLQSTISFGNISSVFLEKQEHNCALALNVIRTAVRMPALLPSLEAEWRRGSVSPSVLLSVLEPHIQLPPDVNLRQFSVSCLPGSESSISPDSTAICNGGVASTPIVQDSTDGKTDVDMSSKMDLPDEVSLLFAPPEINRMSLITASGSPKKMSLDSSRSSAKTKANPTEKDLLNAVPGASKTVECHYLQADYLQLVNHQECQLRSSEFRRLALDLHSQNEVNPESHDAAIDALLLAAECYVNPYFMMSFKDTPKVTSKMKSGRASRNCGFGDLEIGFEVNENDLKTLIYLERKRDKIVLEIMLQAAELDRGYQQNCDGELPIPSAEENEVIKLSEQDTQTADAVTLLRQNQALLCNFVIQRLQRDNYLQHEVLLQTLLFLLHSGTKLFCAPADIIEIILRSAEHLNRQLTSFYYQLKEGSSQLEEWKLQLVQRLWMLLQRLVVASSGSAEGLELSINVKSRFQFANLIPPSAWLEKIPTFSTSVSPLARFLGWMAISRNAIQYQKDRLFLVSDIEQLTYLLHVFSDELAAVDNISECKDEVQKTEESGLKQDFGPSGTHHSFHIMYPDISHFFPTLKKQFETLGESILQAVALQLRSLPATTVPDLICWFSEFCSQPFIQNPKDQLSSQNNIDSVKGFVAKNAKAIVLYVLEAIVTEHMEAIVPEVPRVVQVLVSLCRSSYCDVSFLNSVMLLLKPIISYSLQKASAEERLVTDSMCLSFESLCFDELFGIIKSENESNSSVRGHCRALMVFVLASVFPDISSHCKIELLNSSITSADFASFEQTTFFHDYLCAYQALMESCKVLIVDLLRFWGVIPCKISQFSNMDMAATNGDGSEFPCFLEDMYPNSIEPNGSYKNDNDVNKKCQLKIKEIESFSKNLDTLVSKLSQNVEQCYRIHRKLAKNLTQVCAECFLYLRCLSSIVEKVSVSGVTDEQSLPKSISLNEYSDHWKVGLEGLAEMVILLQEHHCWEVASMMLDCLFHVPQIFNLHDVIDKICSAIKTFSHGAPIIAWRLQTDKWMSSLFARGINCLQESEALNDLLHSMLCHPEPEQRFIALKQFGELVSQDGSGGSVVLLPTLLDKVSSERASSVSESVVLSALVSSTWDQVALLASSDTSLPLRINAMALLVNYVPLVERPKLQSFLAAADCVLWCLTKLSQSMCEGPLTQLSIALFACICLYSPAEDISLIPENLWRSIESFSVAGNEKIPMGPEKTVCQALCRLRKEGDEAKKVLKEAISSSSPKQVDPGFASTRETILEVITNLTSVQSYLEFFSKESDRKVLELEEAEIEMELLQKEKALQELSNDFKDQHQLPLLSGYAKNDDRLQQIRDGIKSMEKARLREQIVARRQKKLLTRRARQTYLEEAAIREAQLLQELDRERTAEVEREIERQRLLELERAKTRELRHNLDLEKEKQTQRELQRELEQVESGLRPSRREFSSTHTSRPRERYRERENGRAVNEGTLKASTGTGQPETVSTSSSTAAMPTVMLSGARQFSSQLPTILQSRDRSDEGGNSYDDNFDGSRDSGDTGSIGDADIASALDGVSMNFGPSQKLGSRGGGKSRQIMERRDRDGRREGKWERKH >itb04g03970.t3 pep chromosome:ASM357664v1:4:2472685:2487659:1 gene:itb04g03970 transcript:itb04g03970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKAMSRESPAQKASHVLDPDLRNHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFAKARPRCEAPRRDMMYPMNYTPCRYIRISCLRGSPIAIFFIQLIGISVTGLEPEFQPAVDYLLPHIVSHRQDTSDLHLQLLQDITTRLAPFLPQLEADLNGFSEAAEPGIRFLAMLVGQFYPILHLVKEREATRLAGNTSESETSRNNQMPTALTVSSNFEPRRSRNASSLILPTSSYLVFRPDAIFMLLRKAHKDYNLGNVCRVASQILLKFMEPSSLKDASQSLDSRSSLPDEGKKLDTLYPASFIDYSDIFGEEFRSPEHHWDSKVINVLDIALVEEGVLHVLYACASQPLLCSKFADNSSNFWSALPLVQALLPALRPNVNIADQIDDSFSQWKLPFVQQALSQIVGTSSSSLYRPLLHACAGYLSSFSPTHAKASCVLIDMCSGVLAPWMTQVIAKMDLTVELLEDLLAVIQGARHSFAQARAALKYIVLALSGHMDEVLSKYKDAKHRLLFLIEMLEPYLDPAITPLQSTISFGNISSVFLEKQEHNCALALNVIRTAVRMPALLPSLEAEWRRGSVSPSVLLSVLEPHIQLPPDVNLRQFSVSCLPGSESSISPDSTAICNGGVASTPIVQDSTDGKTDVDMSSKMDLPDEVSLLFAPPEINRMSLITASGSPKKMSLDSSRSSAKTKANPTEKDLLNAVPGASKTVECHYLQADYLQLVNHQECQLRSSEFRRLALDLHSQNEVNPESHDAAIDALLLAAECYVNPYFMMSFKDTPKVTSKMKSGRASRNCGFGDLEIGFEVNENDLKTLIYLERKRDKIVLEIMLQAAELDRGYQQNCDGELPIPSAEENEVIKLSEQDTQTADAVTLLRQNQALLCNFVIQRLQRDNYLQHEVLLQTLLFLLHSGTKLFCAPADIIEIILRSAEHLNRQLTSFYYQLKEGSSQLEEWKLQLVQRLWMLLQRLVVASSGSAEGLELSINVKSRFQFANLIPPSAWLEKIPTFSTSVSPLARFLGWMAISRNAIQYQKDRLFLVSDIEQLTYLLHVFSDELAAVDNISECKDEVQKTEESGLKQDFGPSGTHHSFHIMYPDISHFFPTLKKQFETLGESILQAVALQLRSLPATTVPDLICWFSEFCSQPFIQNPKDQLSSQNNIDSVKGFVAKNAKAIVLYVLEAIVTEHMEAIVPEVPRVVQVLVSLCRSSYCDVSFLNSVMLLLKPIISYSLQKASAEERLVTDSMCLSFESLCFDELFGIIKSENESNSSVRGHCRALMVFVLASVFPDISSHCKIELLNSSITSADFASFEQTTFFHDYLCAYQALMESCKVLIVDLLRFWGVIPCKISQFSNMDMAATNGDGSEFPCFLEDMYPNSIEPNGSYKNDNDVNKKCQLKIKEIESFSKNLDTLVSKLSQNVEQCYRIHRKLAKNLTQVCAECFLYLRCLSSIVEKVSVSGVTDEQSLPKSISLNEYSDHWKVGLEGLAEMVILLQEHHCWEVASMMLDCLFHVPQIFNLHDVIDKICSAIKTFSHGAPIIAWRLQTDKWMSSLFARGINCLQESEALNDLLHSMLCHPEPEQRFIALKQFGELVSQDGSGGSVVLLPTLLDKVSSERASSVSESVVLSALVSSTWDQVALLASSDTSLPLRINAMALLVNYVPLVERPKLQSFLAAADCVLWCLTKLSQSMCEGPLTQLSIALFACICLYSPAEDISLIPENLWRSIESFSVAGNEKIPMGPEKTVCQALCRLRKEGDEAKKVLKEAISSSSPKQVDPGFASTRETILEVITNLTSVQSYLEFFSKESDRKVLELEEAEIEMELLQKEKALQELSNDFKDQHQLPLLSGYAKNDDRLQQIRDGIKSMEKARLREQIVARRQKKLLTRRARQTYLEEAAIREAQLLQELDRERTAEVEREIERQRLLELERAKTRELRHNLDLEKEKQTQRELQRELEQVESGLRPSRREFSSTHTRPRERYRERENGRAVNEGTLKASTGTGQPETVSTSSSTAAMPTVMLSGARQFSSQLPTILQSRDRSDEGGNSYDDNFDGSRDSGDTGSIGDADIASALDGVSMNFGPSQKLGSRGGGKSRQIMERRDRDGRREGKWERKH >itb04g03970.t1 pep chromosome:ASM357664v1:4:2472685:2487659:1 gene:itb04g03970 transcript:itb04g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKPLPFKVKAMSRESPAQKASHVLDPDLRNHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFAKARPRCEAPRRDMMYPMNYTPCRYIRISCLRGSPIAIFFIQLIGISVTGLEPEFQPAVDYLLPHIVSHRQDTSDLHLQLLQDITTRLAPFLPQLEADLNGFSEAAEPGIRFLAMLVGQFYPILHLVKEREATRLAGNTSESETSRNNQMPTALTVSSNFEPRRSRNASSLILPTSSYLVFRPDAIFMLLRKAHKDYNLGNVCRVASQILLKFMEPSSLKDASQSLDSRSSLPDEGKKLDTLYPASFIDYSDIFGEEFRSPEHHWDSKVINVLDIALVEEGVLHVLYACASQPLLCSKFADNSSNFWSALPLVQALLPALRPNVNIADQIDDSFSQWKLPFVQQALSQIVGTSSSSLYRPLLHACAGYLSSFSPTHAKASCVLIDMCSGVLAPWMTQVIAKMDLTVELLEDLLAVIQGARHSFAQARAALKYIVLALSGHMDEVLSKYKDAKHRLLFLIEMLEPYLDPAITPLQSTISFGNISSVFLEKQEHNCALALNVIRTAVRMPALLPSLEAEWRRGSVSPSVLLSVLEPHIQLPPDVNLRQFSVSCLPGSESSISPDSTAICNGGVASTPIVQDSTDGKTDVDMSSKMDLPDEVSLLFAPPEINRMSLITASGSPKKMSLDSSRSSAKTKANPTEKDLLNAVPGASKTVECHYLQADYLQLVNHQECQLRSSEFRRLALDLHSQNEVNPESHDAAIDALLLAAECYVNPYFMMSFKDTPKVTSKMKSGRASRNCGFGDLEIGFEVNENDLKTLIYLERKRDKIVLEIMLQAAELDRGYQQNCDGELPIPSAEENEVIKLSEQDTQTADAVTLLRQNQALLCNFVIQRLQRDNYLQHEVLLQTLLFLLHSGTKLFCAPADIIEIILRSAEHLNRQLTSFYYQLKEGSSQLEEWKLQLVQRLWMLLQRLVVASSGSAEGLELSINVKSRFQFANLIPPSAWLEKIPTFSTSVSPLARFLGWMAISRNAIQYQKDRLFLVSDIEQLTYLLHVFSDELAAVDNISECKDEVQKTEESGLKQDFGPSGTHHSFHIMYPDISHFFPTLKKQFETLGESILQAVALQLRSLPATTVPDLICWFSEFCSQPFIQNPKDQLSSQNNIDSVKGFVAKNAKAIVLYVLEAIVTEHMEAIVPEVPRVVQVLVSLCRSSYCDVSFLNSVMLLLKPIISYSLQKASAEERLVTDSMCLSFESLCFDELFGIIKSENESNSSVRGHCRALMVFVLASVFPDISSHCKIELLNSSITSADFASFEQTTFFHDYLCAYQALMESCKVLIVDLLRFWGVIPCKISQFSNMDMAATNGDGSEFPCFLEDMYPNSIEPNGSYKNDNDVNKKCQLKIKEIESFSKNLDTLVSKLSQNVEQCYRIHRKLAKNLTQVCAECFLYLRCLSSIVEKVSVSGVTDEQSLPKSISLNEYSDHWKVGLEGLAEMVILLQEHHCWEVASMMLDCLFHVPQIFNLHDVIDKICSAIKTFSHGAPIIAWRLQTDKWMSSLFARGINCLQESEALNDLLHSMLCHPEPEQRFIALKQFGELVSQDGSGGSVVLLPTLLDKVSSERASSVSESVVLSALVSSTWDQVALLASSDTSLPLRINAMALLVNYVPLVERPKLQSFLAAADCVLWCLTKLSQSMCEGPLTQLSIALFACICLYSPAEDISLIPENLWRSIESFSVAGNEKIPMGPEKTVCQALCRLRKEGDEAKKVLKEAISSSSPKQVDPGFASTRETILEVITNLTSVQSYLEFFSKESDRKVLELEEAEIEMELLQKEKALQELSNDFKDQHQLPLLSGYAKNDDRLQQIRDGIKSMEKARLREQIVARRQKKLLTRRARQTYLEEAAIREAQLLQELDRERTAEVEREIERQRLLELERAKTRELRHNLDLEKEKQTQRELQRELEQVESGLRPSRREFSSTHTS >itb15g01480.t1 pep chromosome:ASM357664v1:15:887204:888250:1 gene:itb15g01480 transcript:itb15g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLNNGGGAEVYQIRKLELSDKDKGFIELLKQLTVCDSVSDKDFQGRFEELARLGDDHLICVIEDSRSGKIVATGSVFIERKFIRNCGKVGHIEDVVVDSMARGSQLGKRVVEFLTNHASSMGCYKVILDCSEDNIAFYEKCGFKKKEVQMVKYFT >itb15g01480.t2 pep chromosome:ASM357664v1:15:887204:888250:1 gene:itb15g01480 transcript:itb15g01480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLNNGGGAEVYQIRKLELSDKDKGFIELLKQLTVCDSVSDKDFQGRFEELARLGDDHLICVIEDSRSGKIVATGSVFIERKFIRNCGKVGHIEDVVVDSMARGSQLGKRVVEFLTNHASSMGCYKFPGSHPYLYTLCTKDLHH >itb06g11620.t1 pep chromosome:ASM357664v1:6:16155824:16156150:1 gene:itb06g11620 transcript:itb06g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTIADSPPCLTTAAAQGFEEPYPKYSSPLAASMQKGLEACQTVKGPSQPWVTLPGTILYGSDQQRCGAVTHALAPWPYSSSTRLGFLSPLNSRIQRYEPIEQILFK >itb10g06910.t1 pep chromosome:ASM357664v1:10:7835490:7847478:1 gene:itb10g06910 transcript:itb10g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAENQNQEYVRVRDNPFFAGMRRGDAAGFSRGNTFEVQRKVAGRAFPRSSSDAGDRGHKNPLINFPGEATAGIPSTDSPPTVILESFDQSPSGKVQTASETDSSTETSQSDLNESPVQSSGKSVEVSPSASSKLKGSDSVSIGSTNWFGVPLPRTAASFYNGNSPRIEMVEPCEGICRLNMFLKAGKDDVKAGVPSRYLHAVLGPQSSDIGSVASTIMYAFYLNETVHNNQFCIAPVININRSDFMSSHAELKWLLDSCFVDHSSLIFIDEIDLSYYDLYGSLTLALVNGDKLPSKQESERRVKHCLQQSRFVPKLPHEGKEQEASCCTLIAEKFVLTSPEILAQQGFSQLLLAGILMDTGNLTNPQSTTKDKYTATLLINGAGRFGCDGLYEILRYKTKDVPELKASEVLRKDYKKWTKTVGNGGNPNSIRSRSTATNIGMSSIGISIAQLLVHEATSTEDILEFQRFENLSLLVIVSGYYDTDKKFKREILISADSLELLRTLIHFMMARANQLPLKILQPIGLPDAMRAFEIDRITSRKTIESLLEEFQ >itb07g22250.t1 pep chromosome:ASM357664v1:7:26743573:26745163:1 gene:itb07g22250 transcript:itb07g22250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMENWKLSRDLVVDILSRLPVKSLMRFKCVCKFFYDLIKSDRHFMHKHYEISKAKTDCVLLETGYDVREYYLLYKESEYNEIGCIYLDIPRTPTSSVVSFKCCKGMLCLISINDARLKPGDYLIYDILIWNPSIKKVEALPLVTVPYRAPCHAYVDHHFGFGISTNMTWKVVMLLDICSLDCRAIHQMTMVYSKDQSDSWSLRQINSVISCKNIAGRNNDFYFKGRYYWLAETSEAYYDNDEYFINHDEYLIWFDMNDEVFGTIKLPSNLSFIPSTVTIMNETIALLVENSEKNFENSERIDIWLMIENDNNTAWRKQASIDCAQLNIYNNIEHWTPIGIWNVDSELLVFLDCDRDDLEPEQEHVGVPEHVGVPYFVSLDLVTQETKKFSLSKERKSITMASNSTTGHFQVYNGRNIDIIEEWKHNNFERETIYARVYYESLHSP >itb06g24460.t1 pep chromosome:ASM357664v1:6:26011603:26014470:1 gene:itb06g24460 transcript:itb06g24460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPB2C [Source:Projected from Arabidopsis thaliana (AT5G08120) UniProtKB/TrEMBL;Acc:A0A178UL06] MYDPQHLVDLHDAAADRFCGDGADPRSWLSGDDHHSSPPDSSALRRTNSSLSAASAAAAAAANVDPRLVTDILEIFPLVLSLMDRKPNASFTRRGSVNYTKTPSRESLYNKNAEANGRNASQSIPTKRQRYQNKNVGSNLDGCSDSLSGLSSRSSLSEKEREELTALREQVEDLQRKLLEKDELLKEVENSKNEMASLHSKLDEMQKEFAEKDSLLRSTQMQLSDAKIKLADKQAAVEKLEWEATTSAKKVEKLQEDLEVMQGEFSLFMKFVGDLTKTNVTQPDEEYYEDYDVSYPWDDHATEDDLDKMQSLEAAREAYVAALATAKERRDESSIAAAATARKHLESLVLLV >itb06g24460.t2 pep chromosome:ASM357664v1:6:26011603:26014470:1 gene:itb06g24460 transcript:itb06g24460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPB2C [Source:Projected from Arabidopsis thaliana (AT5G08120) UniProtKB/TrEMBL;Acc:A0A178UL06] MYDPQHLVDLHDAAADRFCGDGADPRSWLSGDDHHSSPPDSSALRRTNSSLSAASAAAAAAANVDPRLVTDILEIFPLVLSLMDRKPNASFTRRGSVNYTKTPSRESLYNKNAEANGRNASQSIPTKRQRYQNKNVGSNLDGCSDSLSGLSSRSSLSEKEREELTALREQVEDLQRKLLEKDELLKEVENSKNEMASLHSKLDEMQKEFAEKDSLLRSTQMQLSDAKIKLADKQAAVEKLEWEATTSAKKVEKLQEDLEVMQGEFSLFMKFVGDLTKTNVTQPDEEYYEDYDVSYPWDDHATEVCFANIVAFTPSPKRLNPPTPRPNKAYGRM >itb04g02100.t1 pep chromosome:ASM357664v1:4:1292249:1293199:-1 gene:itb04g02100 transcript:itb04g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRRAACELTRPTPTPKPASITPTHATAGQRESSVLLLPCWNLCLCTVYGCTGIWLKELQPRTYQALLRLKELQPRTYQA >itb06g17550.t2 pep chromosome:ASM357664v1:6:21367890:21370405:1 gene:itb06g17550 transcript:itb06g17550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSPATVSKLVAKLDLKSHPEGGFYSETFRDFSVQLSKSHLPPTYKVDRPVSTSIYFLLPSGSVSHLHRIPMAETWHFYAGESITVLELNETDSSVKLTGIGPDPLADNQQVQYTVPPNVWFGAFPTKDIDISADKKKAVKNPPRDAEKHFALVGCTCAPAFQFDDFELAKRSYLVSCFPKYESLISLLTFAETS >itb06g17550.t1 pep chromosome:ASM357664v1:6:21367754:21370351:1 gene:itb06g17550 transcript:itb06g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSPATVSKLVAKLDLKSHPEGGFYSETFRDFSVQLSKSHLPPTYKVDRPVSTSIYFLLPSGSVSHLHRIPMAETWHFYAGESITVLELNETDSSVKLTGIGPDPLADNQQVQYTVPPNVWFGAFPTKDIDISADKKKAVKNPPRDAEKHFALVGCTCAPAFQFDDFELAKRSYLVSCFPKYESLISLLTFAETS >itb05g04290.t1 pep chromosome:ASM357664v1:5:3842428:3843090:-1 gene:itb05g04290 transcript:itb05g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEADSARNLDDHHPKHALHPHQQISFSMPHNIHTQTRPNAAPNDNLPPFSKPLLAGGYFPPVVAGIPYNPQPAGVRQPPTLDLGGLTLSFPAAATLRELELGTVVAIDEEVYSSAAASALVGKAEGVYVAASSGDGGSHMMAMTARFVGSGEYEDGLRLFGVLKNDAEESHLAVIGGTGRYDGANGYATVKPLDISGSTSSEGGDDGSYKILNFNVYLG >itb09g14840.t1 pep chromosome:ASM357664v1:9:10119098:10121896:1 gene:itb09g14840 transcript:itb09g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIKTPKKGRIRRELEKRAPKLVEGGKKTLILHGTKTSHVLNAVLTELYHLKRDNSVKYSRKNDNIRPFESGGETSLEFFSQKSDCSIFVYGSHSKKRPNNLVIGRTYDYHIYDLVEVGVENFKSMEAFSYDKKLAPKIGSKPFFAFIGEGFESVEELKHLKEMLLDLFHGEVVTNLNLAGLDRIYICTALPSNKVFLTHCALRLKKSGTKVPKIELVEVGPSMDLVVRRHRLPDERLKKEAMKTAPDKMKKKEKNVVKDAIQGKLGKIYIPDQKVGSVPLPNKSKGLKRERREAKMKSGTDQPEEKKQKMDSE >itb09g08010.t2 pep chromosome:ASM357664v1:9:4812008:4816470:-1 gene:itb09g08010 transcript:itb09g08010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAETLSPNPKLRAPFSPTSPFFLGSNDDNIERAQARAARAAAIRRKPVVAAPPPAASSCLDKKQIFELFQNCIKLASENKINQKNTWELGLIDHLRDIIKAEEENDAETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRVGQENEQDPVVEDANIDNEQSGDNPKKEQSRKLSPLSTLESSFEALNVKKFDVAFVVDPLYHQTSAQFDEGGAKGLLLNNLGVYGFCRVLFDSLEVPGKCTPTAVQSEGSEVIDLSFAKDCIDEMVLNMPKKDEISPSIRHIIHQFDEDACRLSETYVSAQNSTEQDFDTIDHAPEADNDVYDNYGAWAMDNDDETSVADQATYEVDQSEPGQQEDGEPLNYRDAEMDDKPDQVDDYLFLSLGFSSKQNLWAGPDHWKYRKVKVPDVPAKEEGSPPKNKKTKSKKAECDIDFTKALDTDLSHIFDPPKNPKSLLLPVNRAPSNRLLPEDCHYQPEDLVKLFLLPNIMCLGRRSRKRTEEASQLRDDCGAMPSWDDDCGFDGTFDDGSVCSDVEDPSTLVSQPRQVNKIDIHYDKTSKQVDVQVLKETLWDQIQGLNQTSVQDESVSFKQVLATFPDDCRATGSHDDISPHLCFICLLHLANEHGLCIQGSVNLDDLRIKIAAHNSSVQDAAI >itb09g08010.t1 pep chromosome:ASM357664v1:9:4812008:4816470:-1 gene:itb09g08010 transcript:itb09g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MAETLSPNPKLRAPFSPTSPFFLGSNDDNIERAQARAARAAAIRRKPVVAAPPPAASSCLDKKQIFELFQNCIKLASENKINQKNTWELGLIDHLRDIIKAEEENDAETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRVGQENEQDPVVEDANIDNEQSGDNPKKEQSRKLSPLSTLESSFEALNVKKFDVAFVVDPLYHQTSAQFDEGGAKGLLLNNLGVYGFCRVLFDSLEVPGKCTPTAVQSEGSEVIDLSFAKDCIDEMVLNMPKKDEISPSIRHIIHQFDEDACRLSETYVSAQNSTEQDFDTIDHAPEADNDVYDNYGAWAMDNDDETSVADQATYEVDQSEPGQQEDGEPLNYRDAEMDDKPDQVDDYLFLSLGFSSKQNLWAGPDHWKYRKVKVPDVPAKEEGSPPKNKKTKSKKAECDIDFTKALDTDLSHIFDPPKNPKSLLLPVNRAPSNRLLPEDCHYQPEDLVKLFLLPNIMCLGRRSRKRTEEASQLRDDCGAMPSWDDDCGFDGTFDDGSVCSDVEDPSTLVSQPRQVNKIDIHYDKTSKQVDVQVLKETLWDQIQGLNQTSVQLQDESVSFKQVLATFPDDCRATGSHDDISPHLCFICLLHLANEHGLCIQGSVNLDDLRIKIAAHNSSVQDAAI >itb12g04860.t1 pep chromosome:ASM357664v1:12:3220502:3221191:1 gene:itb12g04860 transcript:itb12g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTKSFAVAVFLLALVSVSLVAEARPLNDILKVHSLGAAATGAGNGWGFDWFTIGSVKQGPSPGVGHAFTDGGIKSSGPSPGIGHKYVTGTRH >itb15g11060.t1 pep chromosome:ASM357664v1:15:8865928:8873280:-1 gene:itb15g11060 transcript:itb15g11060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMLVQTVGDNINLVSDIMKSEIEDMTSEIKRFTESLVVACKSPLANEHRVLRLIVTKFGTHVNEARDAVANYFAQEKKHGLAKAFYKIRLRGKLNNVASEIQSVKEKVKTICEDHKEDLRHLQEDYNKHSDLPPLKVRAELRENEIVGFNDDLKTIKTRLVEASKDLFVIPIVGNAGTGKTTLALKIFEDPEIRKNFTHCIWVHLSQGFCRKEKFIDILHQIFKQTEDFIKTLKDGFLEAKFMELLQDFSKAKIKELFEDLSTTLEDGLEAKIKELLDDQKYFIVLDNVLEKEDWNSLKVAFPKNMKGSRVLITTRSGNVVEYTWKSHGLGKLSNDDGWLLIKNNVFGTEERRDTLIEELGIKIAKKCNGLPHALVLVAGILRNCITSAGWQRVADNPLLDDLELSYHELIELSYNDLPDENLKNCFLYFACFPMGHEIVAWKLIRLWISEGFIPKKDEWGYSLDVEAEASKYLNALVDRNLVMVKKRKADGQIKTCCIHDTLHDFCRSEAERKSLFHVVGEGQRLGASIRLKRLCSYYTINILDPLLHPSDSLFNLFIRKEGVFSLLLSSSEKSEEINSTPEELEKILDFFPKLSVLNIESSKFRSLPNELYSRRYIRYLAIKVDISSLPKSFEHLSLLETLVIKTTEKALQINGGIWNMKYLRHVHTNTSTQLPSPPKRGKHSRKQTDILTLCTISPGSCTSKIFNKTPKLQKLGVRGNLSELLEEKQNVCLFNNIQMLERLENLKLHGNSEEVELKVPMLDKFPKRLRKFTLSGTLFQWSDMTVLGSLEELEVLKLDDNAFSGELWDLNSNVIFKGLKYLRIGNTNLVTWTAVVEKSFPILESLVLKNCISLENMPQDFANVDSLKVVELFDVSERIADFAREICEQRHGKTNVKINGFITHLLPQATVHDQAYGDENVKISGLVHPSTSTSSQEIVHNQANENEHDNTNEFDHPSTSIPSQEIVHKQSHGEENVKTDGFDSPSTSTPSQEIVSDD >itb10g19900.t1 pep chromosome:ASM357664v1:10:25575089:25576501:1 gene:itb10g19900 transcript:itb10g19900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVGNEDLSPSSPNVLVSEKVPLLVPEVEKNLVQKAISQTFESAAHLANLLPTGAVLAFQLLSPIFSNQGDCDAVSRFMTAGLVAMCGLSCFLLSFTDSYKDQKGNVCYGVATLNGLWVIDGSVSLPPEVAAKYKVKFIDFVHAVMSVLVFGAIALFDQNVVSCFYPAPSKEAQEILTAVPVGIGVVCSMLFVVFPTKRHGIGFPLTADN >itb10g17200.t1 pep chromosome:ASM357664v1:10:23428068:23431975:1 gene:itb10g17200 transcript:itb10g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGVGFDGMYDNIGGCHTRLNPTGYISFPLFHSLRANPSHQSGSHCDAIVFVSGSHNLPYPPRAEIQERSAASLQPLNTNPSFSLRVILGLQWQHLSKQI >itb02g02900.t1 pep chromosome:ASM357664v1:2:1679940:1684023:-1 gene:itb02g02900 transcript:itb02g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMVTFILLSEHCNTAFWIFGGLFRQCNCQLIPLVIWNCPEEEEGDPQDKQLEDQSHNQSHQAQQASFKTSFRLMLERNIPRPSQEFLGIVLSATGSVLNPAISTRLLTKSFEGAFPIDHLAAHFIIKRTGRVEPRQSQNITFRGPDGEMVGGRVEGPLIAATEIQLRVGRFPHEAN >itb04g13740.t1 pep chromosome:ASM357664v1:4:13882608:13884062:1 gene:itb04g13740 transcript:itb04g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRNSKRRAMEDNIFNLPGDVLNCILDHLPVRDAARTSILSRKWRYIWANHPNLVLEPKSMFGDLANSTSDDFIDTVNGILLQHIGPILTFEVKLSYVDMTHYPYVDRWILYLSRNGLRKLTLDNSSHGLYALPSYVFLCQELTHLRLSNCIFKRPCGATGSFHSLKKLSLNQVAFSPEVSASIFTASKLQHLSLTKCTDSVTSALPVKVNNLRDLLLFGINFTDLDHISCILCLLHSSPRLNNLEIRVKVSAVTADKSPALQYLQEHICINEHINSLQTLRIKYFQGSSAQMLFVKLILACCPSLERITFVDSKVNPWNIPDILKKLLLFPRVSTKAHIVF >itb06g25450.t1 pep chromosome:ASM357664v1:6:26494775:26496723:-1 gene:itb06g25450 transcript:itb06g25450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADCVSNGQLDSRTCDELSSASGGAESSGEIMLFGVRVRVDPMRKSVSLNNLSQYEHLIAATTDNESMKAAVDVDDGYASADDAVPHRSSAGRERKRGVPWTEEEHKLFLLGLQKVGKGNWRGISRNYVKTRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTEAVSPISPEEGKLPQDNLVPVAPVLLPLQIERPMENLVFAQEHQVNNASTILVHPLPVVSVPCSPSLAASQLIEPSSLSLKLSMSLDQSQPSSMHLVFPGMSSFINGDTVLSVA >itb02g16650.t1 pep chromosome:ASM357664v1:2:12617884:12618401:1 gene:itb02g16650 transcript:itb02g16650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGDRSEIVFFDIETTTPTRPGQGHAILEFGAILVCPRKLVELENYSTLVRPADPSLMSNLFVRSNGICRDAVDSASTFADIADKVYDILDGKRPPGV >itb03g10800.t2 pep chromosome:ASM357664v1:3:8665454:8670676:1 gene:itb03g10800 transcript:itb03g10800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYPILENRPIDQWKVTELREELKRRKLTTKGLKEDLVKRLDEALRSEQESEQGNTGDGFDSAPESKVESEKEKTELFDANKEKDAPVACDSIGDKVESEVHKVDLGDNRTPSDDGKVVEGEQVHSIGEEVGQHSNLETNVVVGETVDSAMALDGQDSQNQGIQNEGDSKTELQNPGSESSDVNAKIVSPKRNNQVSEVSPVLESHVNSGTVSTDISISEKIEIKNNVITDVKLEVDVKPEVVHLSSSNVVPGGESHPMDVEEPLDNKLSVEQGSIDQINDNRDSGSSEKLHSGKKSADDSMEANVSESKQIDSKVDTEKNGDNVEAVNRKVVDPDVVRNHITADVKAASIENKSDPAVQSVKRKANDDEAVVSKGTVKRQRRWNSENLEIPQNQSGTIIASTTPKNASHPTFKRSLSMSNSMASEELTKERVVPPSPKPATNSLRIDRFLRPFTLKAVQELLGKTGTVTSFWMDNIKTHCYVSYSSVEEANETRNALYNLQWPSNGGRLLVAEFVDPLEVKMHVEGPPQSPVTPVSMGPTASAAPPAMQAQPLRQQVQKKQLPPPPTLPPPPPLCNPPPLKERHTLPPPPPLPAKVDPPIVTLDDLFRKTRATPRVYYLPLSDEQVETKLKAQGKDTKQ >itb03g10800.t1 pep chromosome:ASM357664v1:3:8665454:8670676:1 gene:itb03g10800 transcript:itb03g10800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYPILENRPIDQWKVTELREELKRRKLTTKGLKEDLVKRLDEALRSEQESEQGNTGDKVESEVHKVDLGDNRTPSDDGKVVEGEQVHSIGEEVGQHSNLETNVVVGETVDSAMALDGQDSQNQGIQNEGDSKTELQNPGSESSDVNAKIVSPKRNNQVSEVSPVLESHVNSGTVSTDISISEKIEIKNNVITDVKLEVDVKPEVVHLSSSNVVPGGESHPMDVEEPLDNKLSVEQGSIDQINDNRDSGSSEKLHSGKKSADDSMEANVSESKQIDSKVDTEKNGDNVEAVNRKVVDPDVVRNHITADVKAASIENKSDPAVQSVKRKANDDEAVVSKGTVKRQRRWNSENLEIPQNQSGTIIASTTPKNASHPTFKRSLSMSNSMASEELTKERVVPPSPKPATNSLRIDRFLRPFTLKAVQELLGKTGTVTSFWMDNIKTHCYVSYSSVEEANETRNALYNLQWPSNGGRLLVAEFVDPLEVKMHVEGPPQSPVTPVSMGPTASAAPPAMQAQPLRQQVQKKQLPPPPTLPPPPPLCNPPPLKERHTLPPPPPLPAKVDPPIVTLDDLFRKTRATPRVYYLPLSDEQVETKLKAQGKDTKQ >itb03g04390.t1 pep chromosome:ASM357664v1:3:2765776:2766402:-1 gene:itb03g04390 transcript:itb03g04390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPATFHFEEYMATKAIKVNKALDEAVPLPEKKPIKIHEAMRYSLFAGGKRVRPILCLASCELVGGDEAAAIPMACAVEMIHTMSLIHDDLPCMDNDDLRRGKPIPCMDNDDLRRGKPTNHKVFGDLRRGKPTNHKVFGGEMAVLAGDALLSLAFEHAAAKTTTEKVSQSRLVRAIGELAAAVGAEGLVAGQVMLDIILIKYKSQGT >itb12g11820.t1 pep chromosome:ASM357664v1:12:10285850:10287226:-1 gene:itb12g11820 transcript:itb12g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFYIHVLVFISLGTVTFSQLSPSFYEYACPQALPTIRRVVEDAIKQERRMGGSLLRLHFHDCFVKGCDASILLDSTSTIDSEKNANANTNNSARGFEVIDRIKSEVDKICRGPIVSCADILAVAARDSVVALGGPMWEVKLGRRDSTTASKDAANDNLPTPFMDLSELIENFKKQDLDVKDLVALSGGHTFGFAQCRTFQERIYDDEDIDPEFARQRQANCPQTGGDSNLASLDPTPALFDTKYFNNLVGKKGLLESDQALFSGGQTDDLVKSYSKNLGVFSQDFANSMVKMGDIKPLTGDKGQIRLDCRRVN >itb01g23270.t2 pep chromosome:ASM357664v1:1:29335212:29338337:1 gene:itb01g23270 transcript:itb01g23270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPRGLSLISHLSSLAPPSSPPPTMATLLVVLLFLIIFAANPNPMLHVHAQQQYISAKAFETFVPPDNYLLNCGASSVATLPGGRMFQPDHNTDKYLSNNGEENKVSVPQGEGDDNDKDKDIPDIYHSAKVFVSEATYTFHVTSPGLHWIRLHFWAFKAPGNDLKTAMFSVMTETLVLLWDFQMENQTAPTVKEFLVNVTTERFPLTFRPVKTMAFINAIEFVSAPDPLFSNLATLLFPVSEPFELSGNAFETVHRVNVGGPQLGPEKDTLGRRWESDEEYLKPKEMGQTVSVSPGLISYPKGGGSPVIAPPLVYASAVKMADSGVMQAAFNITWQMDIDNEYTHLLRLHFCDIVSKGLNELYFNVYINDKVAISALDLSTITNKLATAYFKDLVINSSMVSSPLKVKVGPMNDAQGIRNAILNGVEVFRLNNSVGSLGGEYGVDGKAADGHTAGGNAAAAVGFAMMFGAFVGLGAMAARWQKRPQNWQRRQSFSSWLLPIHAGDSSFMSSKSKSHQFHSSVTGLGRYFTLAELQEATKNWDQKEIVGIGGFGNVYLGEIDDGIKVAIKRGNPQSEQGINEFQTEIQILSKLRHRHLVSLIGYCDENAEMILVYEYMANGPLRDHLYGKDLPSLPWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKSTNILLDENFVAKMADFGLSKDGPTTGETHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLFEVLCARAAINPQLPREQVNLAEWAMQWKKKGLLDKIIDPTLKGKIDPESMNKFAEAAEKCLAEYGDDRPSMGDVLWSLEHALQLQENSIQGQAEDENIAAATPSASPAAATPENRLPIPSPEQSTAGAQEINEHSGTTMFAHQFSALNGR >itb01g23270.t1 pep chromosome:ASM357664v1:1:29335262:29338458:1 gene:itb01g23270 transcript:itb01g23270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPRGLSLISHLSSLAPPSSPPPTMATLLVVLLFLIIFAANPNPMLHVHAQQQYISAKAFETFVPPDNYLLNCGASSVATLPGGRMFQPDHNTDKYLSNNGEENKVSVPQGEGDDNDKDKDIPDIYHSAKVFVSEATYTFHVTSPGLHWIRLHFWAFKAPGNDLKTAMFSVMTETLVLLWDFQMENQTAPTVKEFLVNVTTERFPLTFRPVKTMAFINAIEFVSAPDPLFSNLATLLFPVSEPFELSGNAFETVHRVNVGGPQLGPEKDTLGRRWESDEEYLKPKEMGQTVSVSPGLISYPKGGGSPVIAPPLVYASAVKMADSGVMQAAFNITWQMDIDNEYTHLLRLHFCDIVSKGLNELYFNVYINDKVAISALDLSTITNKLATAYFKDLVINSSMVSSPLKVKVGPMNDAQGIRNAILNGVEVFRLNNSVGSLGGEYGVDGKAADGHTAGGNAAAAVGFAMMFGAFVGLGAMAARWQKRPQNWQRRQSFSSWLLPIHAGDSSFMSSKSKSHQFHSSVTGLGRYFTLAELQEATKNWDQKEIVGIGGFGNVYLGEIDDGIKVAIKRGNPQSEQGINEFQTEIQILSKLRHRHLVSLIGYCDENAEMILVYEYMANGPLRDHLYGKDLPSLPWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKSTNILLDENFVAKMADFGLSKDGPTTGETHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLFEVLCARAAINPQLPREQVNLAEWAMQWKKKGLLDKIIDPTLKGKIDPESMNKFAEAAEKCLAEYGDDRPSMGDVLWSLEHALQLQENSIQGQAEDENIAAATPSASPAAATPENRLPIPSPEQSTAGAQEINEHSGTTMFAHQFSALNGR >itb11g02930.t3 pep chromosome:ASM357664v1:11:1495744:1499233:1 gene:itb11g02930 transcript:itb11g02930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAFHVSFFSTFSSDKAAYTFFNHSKMATLAPTFTFPHHPSLFLAPRHSNLSFPPSNFPIQNPSPLKRLHIQPSVRAQAAPTDLGVVQRVVQLVLTSPPTWQSAVASNLIIFVLGSPLLVSGLSLSGSASAFLLATLTWRAFWIPGFLLAAIYFILGTAVTKVKLAQKEAQGIAEKRSGRRGPGSVIGSSSSACVCALLSIKGIGGAAFSRLWELGFVACFCTKLSDTVSSEIGKAYGKTTYLVTTLKVVPRGTEGAVSVEGTLAGLFASILLASTACIMGQINIPEVAICVLASQIANVGESLIGAALQEKQGFQWLNNDAVNVINIFMGTILAILMRQIVFLFKTTVKPF >itb11g02930.t4 pep chromosome:ASM357664v1:11:1495744:1499233:1 gene:itb11g02930 transcript:itb11g02930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAFHVSFFSTFSSDKAAYTFFNHSKMATLAPTFTFPHHPSLFLAPRHSNLSFPPSNFPIQNPSPLKRLHIQPSVRAQAAPTDLGVVQRVVQLVLTSPPTWQSAVASNLIIFVLGSPLLVSGLSLSGSASAFLLATLTWRAFWIPGFLLAAIYFILGTAVTKVKLAQKEAQGIAEKRSGRRGPGSVIGSSSSACVCALLSIKGIGGAAFSRLWELGFVACFCTKLSDTVSSEIGKAYGKTTYLVTTLKVVPRGTEGAVSVEGTLAGLFASILLASTACIMGQINIPEVAICVLASQIANVGESLIGAALQEKQGFQWVSH >itb11g02930.t1 pep chromosome:ASM357664v1:11:1492893:1499045:1 gene:itb11g02930 transcript:itb11g02930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAFHVSFFSTFSSDKAAYTFFNHSKMATLAPTFTFPHHPSLFLAPRHSNLSFPPSNFPIQNPSPLKRLHIQPSVRAQAAPTDLGVVQRVVQLVLTSPPTWQSAVASNLIIFVLGSPLLVSGLSLSGSASAFLLATLTWRAFWIPGFLLAAIYFILGTAVTKVKLAQKEAQGIAEKRSGRRGPGSVIGSSSSACVCALLSIKGIGGAAFSRLWELGFVACFCTKLSDTVSSEIGKAYGKTTYLVTTLKVVPRGTEGAVSVEGTLAGLFASILLASTACIMGQINIPEVAICVLASQIANVGESLIGAALQEKQGFQWLNNDAVNVINIFMGTILAILMRQIVFLFKTTVKPF >itb11g02930.t2 pep chromosome:ASM357664v1:11:1492893:1498739:1 gene:itb11g02930 transcript:itb11g02930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAFHVSFFSTFSSDKAAYTFFNHSKMATLAPTFTFPHHPSLFLAPRHSNLSFPPSNFPIQNPSPLKRLHIQPSVRAQAAPTDLGVVQRVVQLVLTSPPTWQSAVASNLIIFVLGSPLLVSGLSLSGSASAFLLATLTWRAFWIPGFLLAAIYFILGTAVTKVKLAQKEAQGIAEKRSGRRGPGSVIGSSSSACVCALLSIKGIGGAAFSRLWELGFVACFCTKLSDTVSSEIGKAYGKTTYLVTTLKVVPRGTEGAVSVEGTLAGLFASILLASTACIMGQINIPEVAICVLASQIANVGESLIGAALQEKQGFQWVSH >itb14g03990.t1 pep chromosome:ASM357664v1:14:3591806:3593967:-1 gene:itb14g03990 transcript:itb14g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKLLGGWPSPYVLRTRIALNLKSVAYEFLEEKFGTKSELLLKMNPVYKKIPVLVHNGKPISESLITVQYIDEVWTSGPAILPADPYDRATARFWATYVDDKFFPNMRGIAGAKEEEAKKAAVGQVFEGLALLENAFQSSSKGKKFFGGDSIGYLDIALGCFLGWIRVTELFAGITLLDATKFPGLAKWADDFCADSAVKDVMPPTDKLAEFAKMLFSAAK >itb08g17270.t1 pep chromosome:ASM357664v1:8:19685275:19687024:-1 gene:itb08g17270 transcript:itb08g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILEGVATEQPLSSIAYTAVTDRWEVQYARFVIFPSSPHVSHPSLVPHSARQRKVRRGGKWISTSSSNSTAVSLKLLTVHDADFSDQILFVSLGHKILENLEGIRTMSLPCPPVDSTISSQSEFIPSNEASHRDNNEIQHTTSADTGTYEIPASISNAADTGTYEIPASISNGVSQDSSSQEMRLNREAEEILSSFPPSFTSFLMNCGPAVEQGMFVLQTD >itb14g00350.t1 pep chromosome:ASM357664v1:14:227591:230512:-1 gene:itb14g00350 transcript:itb14g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPMDQGDNKPGEDIKPAPNPENETINPPKIMTVKVTNVALAALEEDVKEFFSFSGEIHFIELQRESETTQLAYVTFNDPQGVDAALLLSGAFISNLSVSIVPAENYQLPPHAPPLNAPSAAEKAGEVASTVLAKGFIFGKDALKKAKSFDERHQLTSNASAAVASIDRKMGLTEKLSIGTVVVGGKAREMNDRYQMSEKAKVAMAAAEQTASTAGTALASNRYVSGGASWFSVKLNAVAKAASVVSALTVEKVKKAEEEKWENITKERAVNVNDLAQVHLDQSSFGDVPAAAAASDSSSSDDEDDSKKNKHGSK >itb02g16220.t1 pep chromosome:ASM357664v1:2:12052715:12053815:-1 gene:itb02g16220 transcript:itb02g16220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRARLASSSICQFLARSFSNAAPASSTLSSSGMMNPTFTLDVSSQVGSSMPLKMMRIGTLIHNLEMRPGQGPKLVRGWGTVAKIMTEPSSSSRYCEIKLPSGVKKLIDVRCWATIGQAPYSEHGSKKLYKAGQNRWRGIRPKVRGVAMNPVDHPHGGGEGKSKSSGSHGKGSRTPWGKPTKCGYKTGPLKRKK >itb12g08970.t1 pep chromosome:ASM357664v1:12:7010664:7014060:-1 gene:itb12g08970 transcript:itb12g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWEAFERDYEPGMGIETIGGQHFCPTEYDLIDEFLKKKIGRRPLPNEFIKEIPFLYEYPPELLPIWKFKYARNYEAYYFTHKGRKPPNGEFLGGKKFDGEWKTEGPDEACFGENQILGYKRKLYFYRSEKRTGWVIKEYRYNPKRVPAHYLQNRFFHDFLLNYVVLRIKFNPHQREKFTAEELFSISMEDAKDLDVFQDFEAVGYPHESRTQAAGDATMKPEAEINGRFHIFTGS >itb02g13220.t1 pep chromosome:ASM357664v1:2:9247429:9248139:-1 gene:itb02g13220 transcript:itb02g13220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNLVSGSFFFGPKEMKALRQKLPLSQTQPNSKFDLITACIWICRTRALEFAGDETVAVICTMNARDKGPLELRHGYYGNAVVFPAAVGKAGRLLCDNKLSLEYAVKLIEKAKSRVSEDYVRWEVEFMGSKGRPAFLRSRGCFVATDLSRLGFDEMDFGWGRPVYGGTMDGGGSATAITHARYRNSDGEDGVVVPVFLPAAAMKRFEAEMKKLTALEPREVVGIQCKSPLKSAL >itb08g10010.t1 pep chromosome:ASM357664v1:8:9408858:9410887:-1 gene:itb08g10010 transcript:itb08g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSLRVSFLLWKLDLELLGTWSTLLFLKEMDGDFLVFASFKYLFAHYTKISGIHWWYKTSSHAAELTAGYYNTSNQDGYSPLFEVLKKHNATMKFVSKEMETQISPHDLVEASADPNGLCLQVLNGAWDEGLAIAGHHAPRDYNRETFMRLVETSKPRNDHERYHCSFFVLERPWQRIQTADSISELEYFVKCMHGYA >itb03g05640.t1 pep chromosome:ASM357664v1:3:3994429:3996425:1 gene:itb03g05640 transcript:itb03g05640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRSKLSNVYTSNFHSLRWIQPYSSRKSPKLSSFSPHHIYSCNLKIVELSHSGKIQSARKLFDEMLERDVVSWNSMITAYWQHGRLDQSKKLFVSMPKRNVVSWNSMIAGCVHNDCLDDACLYFSTMPEKNLSSWNAMITGFIKYGMVEEARSLFEQMPRRNVISYTAMIDGYMQIGEVDKARALFDYMPTKNEVSWTVMISGYVDNNRFDQAKNLFEQMPTKNVVAKTAMVVGFFKEARLDEAKILFDGISSKDTVAFNAMIAGYVQNGCNEEALNLLVQMLRMCLQPDISTAVSALNACSNLASLAIGRQMHSLILKTGLESKFPACHALITMYGKCGSILDSEFAFALIPNPDLVSWNTIIAALAQHGLYEKVVAFFERMVSEGREPDGITFLTLLSACAHTGMVKESMFWFDSMTRTYKLSPQSEHYACLINILGRAGQLEKALQITREMPFEADSAAWGALLASSFAHSNLELGMLAGEKIMELGSQNSASYVMLSNIYAAAGMWGEISRMRGMMKEQGIKKQPACSWTEIESEVHYFLGGDSSYPNMQEIHSTIKRINLQMKSQEDFVIGNFFLDIS >itb13g23670.t4 pep chromosome:ASM357664v1:13:29605563:29608677:1 gene:itb13g23670 transcript:itb13g23670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQPLFRPPETPREPMEFLSRSWSLSALEISKAIAPSHQAVVKNFPGGPSNAAASPAAGGGTILEDIAGELEETAAVSGNPFSFASSDTSQLIMERIMSQSQDASPRTSGRLSHSSGPLTDSPPASPSEMDDAKYCRSNNLQINNQYRSSATTPAVAVSSSSSSSKTVGRWFKDRKEKKKEETRAQNAQLHAAVSVAGVAAAVAAMAAAAAASSAASKDEQTAKTNMAVASAATLVAAQCVEAAESMGAEREHLTSVVSSAVNVRSAGDVMTLTAAAATGTMLQHKFSQHLMF >itb13g23670.t1 pep chromosome:ASM357664v1:13:29605515:29610283:1 gene:itb13g23670 transcript:itb13g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQPLFRPPETPREPMEFLSRSWSLSALEISKAIAPSHQAVVKNFPGGPSNAAASPAAGGGTILEDIAGELEETAAVSGNPFSFASSDTSQLIMERIMSQSQDASPRTSGRLSHSSGPLTDSPPASPSEMDDAKYCRSNNLQINNQYRSSATTPAVAVSSSSSSSKTVGRWFKDRKEKKKEETRAQNAQLHAAVSVAGVAAAVAAMAAAAAASSAASKDEQTAKTNMAVASAATLVAAQCVEAAESMGAEREHLTSVVSSAVNVRSAGDVMTLTAAAATALRGAATLRARALKEVWNVAAVIPVDKGFVGGGGSNGSNGSSSGELAPEENFLGICSRELLARGAELLKRTRKGDLHWKIVSVYINRLGQVLLKMKSRHVAGTITKKKKNVVLEVLTDLPAWPGRHLVEGGESFRYFALKTVSRGVVEFECRDQREYDVWTQGVSRLLTFAAERNSRLRS >itb13g23670.t3 pep chromosome:ASM357664v1:13:29605515:29610283:1 gene:itb13g23670 transcript:itb13g23670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQPLFRPPETPREPMEFLSRSWSLSALEISKAIAPSHQAVVKNFPGGPSNAAASPAAGGGTILEDIAGELEETAAVSGNPFSFASSDTSQLIMERIMSQSQDASPRTSGRLSHSSGPLTDSPPASPSEMDDAKYCRSNNLQINNQYRSSATTPAVAVSSSSSSSKTVGRWFKDRKEKKKEETRAQNAQLHAAVSVAGVAAAVAAMAAAAAASSAASKDEQTAKTNMAVASAATLVAAQCVEAAESMGAEREHLTSVVSSAVNVRSAGDVMTLTAAAATALRGAATLRARALKEVWNVAAVIPVDKGFVGGGGSNGSNGSSSGELAPEENFLGICSRELLARGAELLKRTRKGDLHWKIVSVYINRLGQVGFPFQLLHKLSRFIADFTVWQKLSNFEASCCKFVT >itb13g23670.t2 pep chromosome:ASM357664v1:13:29605515:29610283:1 gene:itb13g23670 transcript:itb13g23670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQPLFRPPETPREPMEFLSRSWSLSALEISKAIAPSHQAVVKNFPGGPSNAAASPAAGGGTILEDIAGELEETAAVSGNPFSFASSDTSQLIMERIMSQSQDASPRTSGRLSHSSGPLTDSPPASPSEMDDAKYCRSNNLQINNQYRSSATTPAVAVSSSSSSSKTVGRWFKDRKEKKKEETRAQNAQLHAAVSVAGVAAAVAAMAAAAAASSAASKDEQTAKTNMAVASAATLVAAQCVEAAESMGAEREHLTSVVSSAVNVRSAGDVMTLTAAAATALRGAATLRARALKEVWNVAAVIPVDKGFVGGGGSNGSNGSSSGELAPEENFLGICSRELLARGAELLKRTRKGDLHWKIVSVYINRLGQVGFPFQLLHKLSRFIADFTVWQKLSNFEASCCKFVT >itb08g06510.t1 pep chromosome:ASM357664v1:8:5515649:5519044:-1 gene:itb08g06510 transcript:itb08g06510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRIFLSLRSVDIACVATSWRHISSSSLQIRETAPYRVRRGAGDELRSWIFNSVCSRRSTTAVLQNWVDDGRRISVSELRRISRQLMKRRRFESALEILMWMETQDNSWMCVADYAIRLELTIKIHGLEGAEEYFDKLPGSVSKKASCLPLLRYYAKERDTGKAEALMAKMNGMGLAVTTQPFNEMMKLYMATSQYTKVPSVILQMKHNCIPLNVLSYNLWMRAYGDLSDIESVETVYKEMMNDPHVGVGWSSLCTLANVYQKAGLIHKAILVLRVAEKKLSDCNRLAYFFIITLYTSLNNKEGVLRLWEASKAVKGRMTCANYMCMLSSLVKLGEIEDAQNIFEEWESQCRTYDVRVPNILLGAYMRNGLTEKAELLYLRTLEKGGVPNYKTWEILMEGWVRSRNIEKAIDAMQRALAMSKDCDWRPSPSIVVTIANYLQKSENFEEAVQYLRVLRKFNLASLPVYKSLLRMQTCSHKSAEKLLELMQKDGINMDDETRGLVQTSRSNSREGY >itb05g17200.t1 pep chromosome:ASM357664v1:5:24218301:24220976:1 gene:itb05g17200 transcript:itb05g17200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMSTGFLCVPAPCSLCTTNQQSQCVDHSRYDCVVVHICVYPPISCCKYRLQLFSSQEWKSLVVSSPRPIFARFLVPSTCFLVTYKGMLHMLLAKYILVYDPYNCPEKFCRVIDMPTDVEDEKCFTRTLGLSQNRLCVTCRKGAHFEGPYYIWELEDYHMGKWSLVHKFYITPNIMILSNLDLVHPNLDPKIKDTGFAIKDGTYFYWINSSGWSAFRGIVHSITQQWWPTPVPPLIRGYTIENRQKPPEKKLVRRNLQYVQSSESAPEPSQIWSGVRTQECREGACPPASAAGTVVGLRQ >itb09g15000.t1 pep chromosome:ASM357664v1:9:10285332:10289557:1 gene:itb09g15000 transcript:itb09g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGIHHKVNRGRDTKFWTDIWIGDRALIEEHSDSGMIHNVNTTVADMQGMARNLLNYRESLLRWKVASNCEWTLNVDGSYKSFSNRLCVGGILRNSAGEWQGGIRDLEIHIDATKVLSWITNHTQLRGPARVIVEDIRGRQTRFRKLVLRSIFREQNVAADRLDTIGNSQETNWKDHADTPIGLDEILTYEDEHSYAQVEVDGKEVKAQVWDTADQQRFRAVTSAYYRGAVGALVVYDITRRITFENMKRWLEELDSMPIQIPRFHLSALNSFLCALILDLAHCDTTVARMLVGNKCDLENIRDVSVEEGKLLVEEEGLFFIETSALDSNNERIG >itb02g20110.t1 pep chromosome:ASM357664v1:2:17800169:17801610:-1 gene:itb02g20110 transcript:itb02g20110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSFHSLLPHSITTIIFFLVTSSPPVTGDQFLICNSTYYNCGEIKDIGYPFWGGDRPKECGHPDFELTCHENTNLTTMIINSLSFRVFDIDVPYNYTMKIARSDLVNDECPDRSISETRLIDGPVLHFLDIHNITLHFDCNSSFHLPLEYSGFGFECYEDGVEKFGFFEDDNSDPSGVLDPYEESYCESKVEVPISEIRLAEVRGNKTELLTALSQGILVVYNGSYEYCFACEKSNGICWSGTSLEEPTCLCHDGIYPYLCGFDDKGMRSRCSSSC >itb02g24080.t1 pep chromosome:ASM357664v1:2:24406337:24406660:1 gene:itb02g24080 transcript:itb02g24080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHREDEEDDEVSLDLSLGCRDAAANAAAADGFQVTSPLQDVGSDASESNNDGGDRRVFSCNYCQRKFYSSQALGGHQNAHKRERTMAKRGQRMAAAAAXAWAIQ >itb05g02530.t1 pep chromosome:ASM357664v1:5:2032713:2035072:-1 gene:itb05g02530 transcript:itb05g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDTLYNNLFSSVISDIKSYAGRDPLLPWLRGIRKMKESLPPQALREKLPRFLQKCAQTFETDRRYSNDLRYLRVWLQLMDYVDDPKAVLRSMEMNRIGMKNALFYQAYALYYEKMKKFEAAEKMYHLGVQNLAEPLDELHKSYDQFINRLEKHKNKKIQRQERKIMSRLPCTISVPLNDKGTKENNENLLTTENTAKIGHDLQVKEVNHQNLGLNPRNMGGFAGPSTDNGALDDFSRKLCVKESNDHRKFSGEDTIVVKKFVGNAIVGKSDFEDARHHGLVEPTINTKEAINAINSMFREPLEPSFAVKKSTRSQPKVDPVSNNGFEIYVDEKTVDGVQPSDQILTSGASTSGTARIGTQQPLQEPFQIYVDEDEYNDESNDAMEGVCSDRSNHNKLQHFTGSTSIPNEVVKGFVFPRPSDAVSEPLKDHDTERPPQERLRREDTVVIRFVGSTISDDLEVENACHHGLVDPTINLKEAMADINSMFGKPIEFTRKSRAKKQNTANKMEGDTAGFMILPDDDVDHSQEKKSQLSSSLRDNQPKYQFLPTKMEGDSGGFLILPDDEIDHPQEKKSQLSSTLRRENDLFEKTVCTKEAMDEINKMFSMPLDF >itb04g14830.t2 pep chromosome:ASM357664v1:4:15547753:15553553:-1 gene:itb04g14830 transcript:itb04g14830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKASEEGGVMPKNEKLHELGSKLKGIGSFSDRNIAPKSPGSISSKDMIFRADKIDLKSLDVQLEKHFSRVLSRNLENPNRVPQEDWEIDPSKLEIRYLVAQGTYGTVYRATYDSKDVAVKLLDWGEDGMATAAETATLRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNPSEGYTTIPSRACCVVVEFLPGGTLKKFLYKNRKKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKAENMLLDTDRTLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDLPYPDLSFAEVSSAVVRQNLRPEIPRCCPSSFASIMKKCWDANPQKRPEMDEVVSLLEAIDTTKGGGMLPEDQAGGCFCFRPTRGP >itb04g14830.t1 pep chromosome:ASM357664v1:4:15547737:15553671:-1 gene:itb04g14830 transcript:itb04g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKASEEGGVMPKNEKLHELGSKLKGIGSFSDRNIAPKSPGSISSKDMIFRADKIDLKSLDVQLEKHFSRVLSRNLENPNRVPQEDWEIDPSKLEIRYLVAQGTYGTVYRATYDSKDVAVKLLDWGEDGMATAAETATLRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNPSEGYTTIPSRACCVVVEFLPGGTLKKFLYKNRKKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKAENMLLDTDRTLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDLPYPDLSFAEVSSAVVRQNLRPEIPRCCPSSFASIMKKCWDANPQKRPEMDEVVSLLEAIDTTKGGGMLPEDQAGGCFCFRPTRGP >itb05g24270.t1 pep chromosome:ASM357664v1:5:29105757:29110073:-1 gene:itb05g24270 transcript:itb05g24270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKEQKKKQFGSSRKQKRKCDNESPRGYDKDTVAFISLSQELREEGNRLFQRRDYEVAMLMYEKAIKLLPGNHIDVPYLRSNMAACYMQMGSSEYPKAVYECNLALEVNPNYTKALLKRARCYEALSRLDLALRDAKRVMQMEKNNFMAAEIAERVKATIDQNGGAANIPVDLIPVPEYVEPPILSNSFKAKDTASSVGKKKKKRQKKNIEEEEEEEEDDDEENGKGPSDIVASRAAKKREKKAKKKKNGNGRGKATDEDDDENKFETKKKRVVPNINGGIQGKKKKKKDSDISSGDEEEEEEAKCEESMATSVENNRFDILSDSGKDKDEEEKEEEEEDEKEEDEEKMATKKNKKKVPDDNEVCEKKKKVEKKKCEEEEEEKKAKKKNKKKVPNGEEEEEEKKAKKRNKKKAPSGKDEKDDEEEEDGDEDEEKKAKKKNKKKKMEKKKCEEEEEEEDEGEEDEEKKAKKKNKKKVPNGNEVCENKKKVEKKKCEEERKAEDKLVVGEIMIDTEATVVAAAAVEPRRTVKLIFGDDIRFAQVPTNCTVLKLREIICDRFPNCKSILIKYKDQEGDMVTMTTNEEFKWAQSSVAHGFIRLHIVDVNPDQDPLLQKILQEEEEEEEQENEVEEYEKQTAEIESPGTRRRESDQLGSTSCIIDDWTIHFAYLFKSYVGFESDCYLDLHDVGVKLYSEAMEETVTSEEAQDIFTTSEEKFQELAALSLFNWGNVQMSKARRRAFTDEYTVGDSESMMSQITDAFTSVQKEYIMAGKRFEEALKIKPNFYEAIIALGQLQFEQAKLSWQYAISTETDLESWPSSEVLQLYSNASRNMERGIKMWEEALVQHLIQVANNQNGGTHGLLQQMKLGNLFSEVSAEETAEQASNIRSQVNLLWGTMLYERSVVEFKLGVPNWEQCLGAASHKFELAGASQEDIAVMVKNHCSNSLEGYNVDETEQAWNEMYEAIRWQMEIPALHLEPLIRQRVSRLQHPFDNNNA >itb05g24270.t2 pep chromosome:ASM357664v1:5:29105757:29110073:-1 gene:itb05g24270 transcript:itb05g24270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKEQKKKQFGSSRKQKRKCDNESPRGYDKDTVAFISLSQELREEGNRLFQRRDYEVAMLMYEKAIKLLPGNHIDVPYLRSNMAACYMQMGSSEYPKAVYECNLALEVNPNYTKALLKRARCYEALSRLDLALRDAKRVMQMEKNNFMAAEIAERVKATIDQNGGAANIPVDLIPVPEYVEPPILSNSFKAKDTASSVGKKKKKRQKKNIEEEEEEEEDDDEENGKGPSDIVASRAAKKREKKAKKKKNGNGRGKATDEDDDENKFETKKKRVVPNINGGIQGKKKKKKDSDISSGDEEEEEEAKCEESMATSVENNRFDILSDSGKDKDEEEKEEEEEDEKEEDEEKMATKKNKKKVPDDNEVCEKKKKVEKKKCEEEEEEKKAKKKNKKKVPNGEEEEEEKKAKKRNKKKAPSGKDEKDDEEEEDGDEDEEKKAKKKNKKKKMEKKKCEEEEEEEDEGEEDEEKKAKKKNKKKVPNGNEVCENKKKVEKKKCEEERKAEDKLVVGEIMIDTEATVVAAAAVEPRRTVKLIFGDDIRFAQVPTNCTVLKLREIICDRFPNCKSILIKYKDQEGDMVTMTTNEEFKWAQSSVAHGFIRLHIVDVNPDQDPLLQKILQEEEEEEEQENEVEEYEKQTAEIESPGTRRRESDQLGSTSCIIDDWTIHFAYLFKSYVGFESDCYLDLHDVGVKLYSEAMEETVTSEEAQDIFTTSEEKFQELAALSLFNWGNVQMSKARRRAFTDEYTVGDSESMMSQITDAFTSVQKEYIMAGKRFEEALKIKPNFYEAIIALGQLQFEQAKLSWQYAISTETDLESWPSSEVLQLYSNASRNMERGIKMWEEALVQHLIQVANNQNGGTHGLLQQMKLGNLFSEVSAEETAEQASNIRSQVNLLWGTMLYERSVVEFKLGVPNWEQCLGAASHKFELAGASQEDIAVMVKNHCSNSLEGYNVDETEQAWNEMYEAIRWQMEIPALHLEPLIRQRVSRLQHPFDNNNA >itb01g01420.t1 pep chromosome:ASM357664v1:1:809801:818481:-1 gene:itb01g01420 transcript:itb01g01420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRMMLPASEVDLTAVKYIPENIQAPHLTGFGLKLFVKLAEAPFVGSLLMAYLKNQNGLVEMLKRTVIPEPPMFKPEFPPQEPEPCVSLLEEDGKPQDRVDIALKCLPYYDPASNWSSHLTAPFRYWKIRDYAHAYRLKLTTPSVVAERFISAMEEFDAKNPTAPLLISFKPDEVRKQAAASTQRFEEGNALSILDGIFVAIKDDINLYPHTTKGATTWLHEVYEVEEDAISVSRLRSCGVILVGKANMHELGLGTTGNNPNYGTTRNPHAPERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTDIGGAYPIYLKKVLKDGLDMFDVGLHMRYSGKMGKKRVAESGDELDNPTLENVNSEPSTNKMKKKKRKEAEPEEQSNAPSTSKKSSSTNPMEVKKEKRKRDKERHHEESDNAEPKPKQMAFEFKDDEKTAEDTSPSSSGAALPEFHIGVFKQLAAADSSAREAAAQMLVTELRQVQKAYDELENKESIDGELKLEADKGDGLNKCAPSLRYAVRRLIRGVSSSRECARQGFALGLSILVSAVPSIKMDSLLKLIIDLVEVTSSMKGQEVRDSLLGRLFAYGAIARSGRLTEEWIKDKDTPYIKDFIGSLVSLANKKRYLQEPAVSIIWELVEKLPVEALSNHVFEAPGLLEWFECATEVGNPDALLLALKMQEKAGVDKTFGKLLPSPYSLSSLFSADYLSSIATCLKESTFCQPRIHSVWPALVNILLPDTVLQDVDPAPVLNSTKKHKKSRKGEEDVEKNLRNFCEVILEGSLLSSSHDRKSLAFDVMLLLLPKLPANYASIVLSHKLVQCLMDVLSTRDSWLFKVAEHFMKELSEWAKHDDERRVTVIEALQKHSNGKFDTITRTKTVKNFMVEFKNESGCMCLFRSLTSMFLDEGHASEEPSDQSQTTDDNSEIGSVEDKDSNGALGFSDLLKSWVIESLPGVLKHSELDQNARFKLQKEILKFLAVQGLFSSTLGSEVTSFELQEKFKWPKSAISSALCRMCIEQLQSLLASAQKVEGSHALTSGAEANDLGSYFMHFVNTLRSIPSVSLYRSLNDDDEQAFKELQSMEALLLREERHSVSSMDLNKCHAMRYLLIQLLLQILLRPGEFSEAASELVICCKKTFGSSDLLGSSGEDESNENGAPELMDVLVDTMLSLLPQSSAPLRTAIEQTFKYFCNDITDDGLVRMLRVIKKDLKPARHQDTDTEDDDDNDLLDIEDEDEEPDEDEINETAESDEQTDGSEAVVGAEIASTELPDASDDSESDEGMDDDAMFRMDSYLARIFKEKKNQAGGETAQSQLVLFKLRVLSLLEIYLHENPGEPLVLKVFQNLAQAFINPNATEGSEQLGQRIWGILQKKIFKAKDYPRGEALQLPLLESILEKFLKLAARPFKKKKSAANLSKKKQSVSLNRYKMINSLAQNSTFWILKIVDGRNFPENELERTLDIFKSVLAAYFDSKKSQMKSDFVKEIFKRRPWVGHHLFEFLLEKCSNAKLQFRQVEGLDLILETLKSLVPANADQSNQEASKKMLKGKLRKLSHLIQVLVTNMPDKQSRRADVRKFCSKVFGILSSLNLTAPFLKALEPDGHTACESQLGDTFLALKKQQ >itb05g10200.t1 pep chromosome:ASM357664v1:5:15144399:15145830:1 gene:itb05g10200 transcript:itb05g10200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAVVGWWRQNASAAVEIINGKFQLDILQTNFFFFITFNNGAPAVCWFRPAEQRCGRAERRRTHTRQAAVTEPVFSGDEVAAVFSPSGRTLLLYATGLVRNAAEGGGVRRRWFEAELGGGGRDGFSGDDTTPVSSSR >itb14g04570.t1 pep chromosome:ASM357664v1:14:4068338:4074827:1 gene:itb14g04570 transcript:itb14g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGACIKANFNQTATYLRHPINVHHLSNSSVFYRINAATSMRCRATPKLQSNSTSIETVEKVKAMSADYSRDTELSSLTALCPLDGRYWDKVKDLVPFMSEYGLIRYRVLVEVKWLLKLSQIPEISEVPDFTQGAKSYLQGLIDGFSLSDALEVKEIEKVTNHDVKAVEYFLKQRCQSHEEISKVLEFFHFACTSEDINNLAHALMLKEAINSVILPVMDEIITAITNLATKNAHVPMLSRTHGQPASPTTLGKEMAIFAYRLYTERQDISKIEMRGKFAGAVGNYNAHIVAYPDINWPQIAEEFVTSLGLSFNPYVPQIETHDYMAKLFHSIIQFNNILIDFNRDIWGYISLRFFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANAGLSHLSTKLPISRWQRDLTDSTVLRNMGVGLGHSLLAYRSALRGIAKLQVNEAALAEDLNQSWEVLAEPIQTVMRRYNVPEPYEKLKELTRGRTVTKESIREFIEGLEIPVNAKTTLLNLTPHTYIGAAAELAKNIESVTNIVNGAISSSRKS >itb09g05470.t1 pep chromosome:ASM357664v1:9:3128497:3129388:-1 gene:itb09g05470 transcript:itb09g05470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGYLRTTSPVAPDVSGCLLPSLPSDAILDKTSGISFVCDLNDNIPAPWPSASHLQASSCNKTVKIPALPAAASGQKCLYAVDKRSVLILVVSAVVVLL >itb01g12450.t1 pep chromosome:ASM357664v1:1:11978331:11980604:1 gene:itb01g12450 transcript:itb01g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRLAARLLGLDSKLERSLLIPFREIKVECTIPKDDGSLVSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPSELSVSELERLTRVFTQKIHDLIGVQIDVPAPDMGTGPQTMAWILDEYSKFHGYSPATVTGKPIDLGGSLGRDAATGRGVLYATEALLKEYGKSIAGQRFVIQGFGNVGSWAALLISQQGGKIVAVSDATGAIKSEKGLDIPGLMKHVKENNGVKGFHGGDAVDPYSILVEDCDILIPAALGGVINRDNAKDIKAKFIVEAANHPTDPEADEILAKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELHKYMTRGFKDVKDMCSTHNCDLRMGAFTLGVNRVARATLLRGWEA >itb15g05290.t2 pep chromosome:ASM357664v1:15:3390378:3392766:-1 gene:itb15g05290 transcript:itb15g05290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >itb15g05290.t1 pep chromosome:ASM357664v1:15:3390378:3392766:-1 gene:itb15g05290 transcript:itb15g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >itb01g03400.t1 pep chromosome:ASM357664v1:1:2240247:2243042:-1 gene:itb01g03400 transcript:itb01g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESKPVIGSLPGISSSWKPPATAAGTSTVGQLLNFWQYLSREKEIPMSEREIGASRERESAQEEEEQSRLEIENVKLKDELKNLRREYDNLTENNVRLEEEKKVLERRSEERYKKINEELLKEQEEKCKLIEKLKSDKNEAAIKLEASEKRFRLLEERFSRLEKYVEVKEGKMAENRYGDWIADNGAHPASTPDAMGSSRTKMKDASSSIIVASPVVVLSDSDEERPVDEGASLANLCGSEGLGQTEKSSSTHKSHEKKEKRAVKRKRSLRKSHDSGVSPRKKMYLHDLQDRRVSSRSSSRGDGSKGSAVAPSKGGFFMDSEDSDTYLKSQKARGGPWLLEPDMCLAFEDDPELCMDAVCALYRLQLSLPLSLKRLDKELDIVRVAKYLIHDHPENKLKRSVAEVSKDVVVECKRLALHHSGELFRVYCSGKDPFFCYNAIFSKF >itb03g14240.t1 pep chromosome:ASM357664v1:3:14050174:14053144:1 gene:itb03g14240 transcript:itb03g14240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKHLVILIIGLTSFCVAALVVYMWCCCRKRGGEDVPRDLEGGFEVKERDGVVGKEILMRFEGGEDLTVLDILDAPGEVIGKSGYGTLYRAALLRSNRPALLRFFRPPCTLSLKEVVPIIEVLGSIRHPNLVPLYAFYAGPRGEKLLVHSFYRRGNLAQFIRDGVGEVHKWPIICRISMGIARGLDYLHTAFEIPIVHGNLKSKNILLDGHFRPRVSDFGLHLLLTPTAGQEMLETAAVQGYKVPELIKMKDASEESDIYSLGVILLELLTGKEPMDEYTFPAKDTLLPNVVKTAIIEDRITDLFHPIVLGQSNGKKEAVEDHILELLRLAMVCCSPSPSLRPTVKEVLTRLEEIER >itb03g14240.t2 pep chromosome:ASM357664v1:3:14050211:14053084:1 gene:itb03g14240 transcript:itb03g14240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKHLVILIIGLTSFCVAALVVYMWCCCRKRGGEDVPRDLEDLTVLDILDAPGEVIGKSGYGTLYRAALLRSNRPALLRFFRPPCTLSLKEVVPIIEVLGSIRHPNLVPLYAFYAGPRGEKLLVHSFYRRGNLAQFIRDGVGEVHKWPIICRISMGIARGLDYLHTAFEIPIVHGNLKSKNILLDGHFRPRVSDFGLHLLLTPTAGQEMLETAAVQGYKVPELIKMKDASEESDIYSLGVILLELLTGKEPMDEYTFPAKDTLLPNVVKTAIIEDRITDLFHPIVLGQSNGKKEAVEDHILELLRLAMVCCSPSPSLRPTVKEVLTRLEEIER >itb06g06480.t2 pep chromosome:ASM357664v1:6:9100710:9106526:-1 gene:itb06g06480 transcript:itb06g06480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVTGPSGSGKTSLLRAIAGLWNSGKGTITFYAKHKRELPLSSDIVSCEVISTDEINVDSTVHREKNRGGVFFLPQRPYMVLGTLRQQLLYPTWTEDSDFMENLTEQTGMPL >itb06g06480.t11 pep chromosome:ASM357664v1:6:9100710:9106444:-1 gene:itb06g06480 transcript:itb06g06480.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDFIIPPSYLHSSSSSFLCKQRLKLYNAFPFKLRLPVSTRPLIVQAESRTREEDRIASQSRIPNKNQDAERKGQDLQTLARRFWKVAAPYWSSDDKVQARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLASFAGGIPVFVLRDYARETLALRWRSWMTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTLSHPCISLGKLNLGS >itb06g06480.t8 pep chromosome:ASM357664v1:6:9100710:9105706:-1 gene:itb06g06480 transcript:itb06g06480.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVTGPSGSGKTSLLRAIAGLWNSGKGTITFYAKHKRELPLSSDIVSCEVISTDEINVDSTVHREKNRGGVFFLPQRPYMVLGTLRQQLLYPTWTEDSDFMENLTEQTGMPL >itb06g06480.t5 pep chromosome:ASM357664v1:6:9101484:9106526:-1 gene:itb06g06480 transcript:itb06g06480.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDFIIPPSYLHSSSSSFLCKQRLKLYNAFPFKLRLPVSTRPLIVQAESRTREEDRIASQSRIPNKNQDAERKGQDLQTLARRFWKVAAPYWSSDDKVQARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLASFAGGIPVFVLRDYARETLALRWRSWMTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEESIIQAWKQDKIFFYWFHQRKDNKRNKQRQRSMSFHFKASCIFVKHLKNEVGRVNRKRHQKTNSFF >itb06g06480.t7 pep chromosome:ASM357664v1:6:9100710:9106444:-1 gene:itb06g06480 transcript:itb06g06480.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVTGPSGSGKTSLLRAIAGLWNSGKGTITFYAKHKRELPLSSDIVSCEVISTDEINVDSTVHREKNRGGVFFLPQRPYMVLGTLRQQLLYPTWTEDSDFMENLTEQTGMPL >itb06g06480.t4 pep chromosome:ASM357664v1:6:9100812:9106526:-1 gene:itb06g06480 transcript:itb06g06480.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDFIIPPSYLHSSSSSFLCKQRLKLYNAFPFKLRLPVSTRPLIVQAESRTREEDRIASQSRIPNKNQDAERKGQDLQTLARRFWKVAAPYWSSDDKVQARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLASFAGGIPVFVLRDYARETLALRWRSWMTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLFY >itb06g06480.t3 pep chromosome:ASM357664v1:6:9100710:9106444:-1 gene:itb06g06480 transcript:itb06g06480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDFIIPPSYLHSSSSSFLCKQRLKLYNAFPFKLRLPVSTRPLIVQAESRTREEDRIASQSRIPNKNQDAERKGQDLQTLARRFWKVAAPYWSSDDKVQARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLASFAGGIPVFVLRDYARETLALRWRSWMTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLDANLLAFETRLGEESIIQAWKQDKIFFYWFHQRKDNKRNKQRQRSMSFHFKASCIFVKHLKNEVGRVNRKRHQKTNSFF >itb06g06480.t9 pep chromosome:ASM357664v1:6:9100710:9106444:-1 gene:itb06g06480 transcript:itb06g06480.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVSSSVHFKFLTCYLG >itb06g06480.t1 pep chromosome:ASM357664v1:6:9100710:9106526:-1 gene:itb06g06480 transcript:itb06g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDFIIPPSYLHSSSSSFLCKQRLKLYNAFPFKLRLPVSTRPLIVQAESRTREEDRIASQSRIPNKNQDAERKGQDLQTLARRFWKVAAPYWSSDDKVQARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLASFAGGIPVFVLRDYARETLALRWRSWMTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVTGPSGSGKTSLLRAIAGLWNSGKGTITFYAKHKRELPLSSDIVSCEVISTDEINVDSTVHREKNRGGVFFLPQRPYMVLGTLRQQLLYPTWTEDSDFMENLTEQTGMPL >itb06g06480.t6 pep chromosome:ASM357664v1:6:9100710:9106444:-1 gene:itb06g06480 transcript:itb06g06480.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDFIIPPSYLHSSSSSFLCKQRLKLYNAFPFKLRLPVSTRPLIVQAESRTREEDRIASQSRIPNKNQDAERKGQDLQTLARRFWKVAAPYWSSDDKVQARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLASFAGGIPVFVLRDYARETLALRWRSWMTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVSSSVHFKFLTCYLG >itb06g06480.t10 pep chromosome:ASM357664v1:6:9100710:9106121:-1 gene:itb06g06480 transcript:itb06g06480.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYMERYLRNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFLVLLAYSIGGTAISVFLGRGLVTLNFMQEKKEADFRYGLVRIRENAESIAFYGGEENEMQLLLQRFKSAYENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDILDSSTRKNNESTEEIKLKYCYLSSSTDLHSNGSISSRNCVKVLGIEQLTLQTPMSKEPLINGLSLEVFEKDHLLVTGPSGSGKTSLLRAIAGLWNSGKGTITFYAKHKRELPLSSDIVSCEVISTDEINVDSTVHREKNRGGVFFLPQRPYMVLGTLRQQLLYPTWTEDSDFMENLTEQTGMPL >itb02g08560.t1 pep chromosome:ASM357664v1:2:5400354:5404298:-1 gene:itb02g08560 transcript:itb02g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDRDNLVYLAKLAEQAERYDEMVETMKNVAKMDLELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEDSRGNEQNVKRIKEYRQKVETELTNICNDIMVLMDEHLIPSCTAGESNVFYYKMKGDYYRYLAEFKTGDDKREVADLSLKAYEAATTTAEADLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKRAFDEAISELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDAEDTQTGDAAKKAGGAGDAE >itb04g33530.t2 pep chromosome:ASM357664v1:4:35755087:35757294:-1 gene:itb04g33530 transcript:itb04g33530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPGTLLRREIGTAENAAAAALTESEMSEEGETSVVHHLQSDSDSHSVNTECDLLFDMAGGMMMSPPRPGMNFFGEEALFLTPDMGGGMMMSTSPPRMNYFSPPSGDSIGNSDPFTLCNFADHSEAQELENRKGIMMRPTWKQQPCVDAGSGSALGQNQSIGICAENTGGTVQQSCWGSHPQPQTSDDDSVNNVDEWFKLAGGMMNYSLPSHDSLPLGLGGSFDPYSFWDDRGYSVGEMHKRERIMTLTVSELFSNKDFLLNISVQVMEKGLPVAHRYANILWECKWTTITLREGNYKLRMKGWQIESKSVTHPPRSGQCWVESRVALLEFSNKESSNSVQAIIGSIELQHRNMLERSGSVELNNRIDAKGGNSSSEKTDTISRWEKDHGITHQVLQQLFGKSRDDAAETLKVSTSTFKRACRDFGINRWPNHKGKRPNCSLNQKYVQAFKKHKGIQPCPALPPLQATNTSQCNNTMSVKVTYKNDTIRFPLSSSSTIKYLEEQLETKLKISLENFSIKYQDEEDEWITLTCDSELMHGMEVLRSCGKTAGTGSFSFPKNVLLLASDVSVE >itb04g33530.t1 pep chromosome:ASM357664v1:4:35756197:35757294:-1 gene:itb04g33530 transcript:itb04g33530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPGTLLRREIGTAENAAAAALTESEMSEEGETSVVHHLQSDSDSHSVNTECDLLFDMAGGMMMSPPRPGMNFFGEEALFLTPDMGGGMMMSTSPPRMNYFSPPSGDSIGNSDPFTLCNFADHSEAQELENRKGIMMRPTWKQQPCVDAGSGSALGQNQSIGICAENTGGTVQQSCWGSHPQPQTSDDDSVNNVDEWFKLAGGMMNYSLPSHDSLPLGLGGSFDPYSFWDDRGYSVGEMHKRERIMTLTVSELFSNKDFLLNISVQVMEKGLPVAHRYANILWECKWTTITLREGNYKLRMKGWQIESKSVTHPPRSGQCWVESRVALLEFSNKESSNSVQAIIGSIELQHRNSKKRLSLDKP >itb01g27520.t1 pep chromosome:ASM357664v1:1:32296552:32298269:-1 gene:itb01g27520 transcript:itb01g27520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAVELVFVPFPGMGHIRPAIDMAKLLIHTQRNLSVTLLATTFPNDSKVTSYLESFLSNNDSRMKVKPLPNDESALKNVNTMASAFFRSFIDSHKPLVRDCVQEIVGSSGSVRLAGFVVDAYSSAMADVADEFGVPTYVLNASGAAMLGLQLHLQSLRDDRGVDVTELKDSDPDLTVSAYLNPFPVKLLPFVAREKTGWSTFFLDVAKRMREAKGIIVNTFFDLESHALESLSEDEKVPPVYPVGPLLNLNSENNQESEKEILEWLGHHPDSSVVFLCFGSAGSFTESQVKEIAYALERSGHRFLWTLRRPPTQGSFLPTDYNNPTEVLPEGFLERTKSIGKVIGWAPQVAVLAHPAIGGFVSHCGWNSILESVWFGVPMATWPIYSEQQANAFQVVREMGIGVEIKMDYIIDIMDTTKIPEIVSADVIETGIRKLMVMDRHSNPARKKAEELKEQSRAALAEGGSSYSYLGRFLEQVMTNLGS >itb11g16170.t2 pep chromosome:ASM357664v1:11:14125179:14130331:-1 gene:itb11g16170 transcript:itb11g16170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWKRSESSKKRPISHFPNLPFSDDASENLSVEVSSFPKEESPRNDDVQEKTAAPILNDDGETLRLAHSFQEQGNKLAEDGKYREALGKWESALIMMPERAVLHEQKAQILLELGDAWNALKAATRATELEPKWAEAWITLARSQLNFGEPDNAIESLDKALAIQV >itb11g16170.t1 pep chromosome:ASM357664v1:11:14124666:14130388:-1 gene:itb11g16170 transcript:itb11g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWKRSESSKKRPISHFPNLPFSDDASENLSVEVSSFPKEESPRNDDVQEKTAAPILNDDGETLRLAHSFQEQGNKLAEDGKYREALGKWESALIMMPERAVLHEQKAQILLELGDAWNALKAATRATELEPKWAEAWITLARSQLNFGEPDNAIESLDKALAIQPDSVEAHNDRKTALALVKRRKLVHSSGRSMDQHRFAVGDKTESS >itb13g22130.t1 pep chromosome:ASM357664v1:13:28481991:28485220:-1 gene:itb13g22130 transcript:itb13g22130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSATQKLLSIGTKIVAVGRNYVAHAKELGNAVPKEPVLFLKPTSSYLENGGTIQVPHPLESLHHEVELAVVMSKKARDVSEATAMDYVGGYALALDMTAREIQSAAKSAGLPWTVAKGQDTFTPISAVIPASMVPDPHDIELWLKVDGEIRQKGSTRDMIFKIPYLISYISSIMTLLEGDVILTGTPEGVGPVKVGQKIEAGITGILDVHFVVGRRQIAKP >itb08g14680.t1 pep chromosome:ASM357664v1:8:16460803:16461982:-1 gene:itb08g14680 transcript:itb08g14680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMLDCYHLLKPVKERLEKRFTEVEQFYSSVNKKQSNTPRGNSAQKDSEKEKQIANFKKRQQDASQREAAAAKRISLSTNGQGLLCSPLTLKVIEKPMDFSTIKNKMEAKDGTGYKHVREICADVRLIFKNAMKYNKERDDVHVMAKTLLGKFEEKWLLLLPKVDEEEEKRKKEEEEAFSNMICIF >itb01g25120.t2 pep chromosome:ASM357664v1:1:30598778:30606930:-1 gene:itb01g25120 transcript:itb01g25120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLERTVEDPESQNLKEIEQGGEDNIESKETDGKKVSQHKLYDSDDELEYRSKHSRSVDVSRDHNKKRRSAQYSSSTENIDKNRHRSNREHGDREGDRKDRDRRARERVHGREKRGERERESLNRSRTYSERHRDRRGRARSPDREMSKDREYKEKNTGSELRERDRQGRRYREKKEEVSEQEVDPERDQRTVFAYQLSLKADEKDVYEFFCKAGKVRDVRLIMDRITGRSKGVGYIEFYDVMSVPMAIALSGQPLLGLPVMVKPSEAEKNLVQSSASITTGEGAGGARKLYVGNLHFSLKEDQLHQVFEPFGDIELVHMPIDSVTGLCKGFGFVQFARLEDARAATSLDGKLEIAGQVIKVSAVTDQIGMADVGSNAGDLDGDEAGGLSLDAHSRVLMRKLDHTGNTMSISGVVDLLNGPDPPPSASNLGLFPAGSLLIPPLAQAPISAVAELPSSVASIPAVTLSMIIGVPSECLLLKNMFDPKLEVGIGNFG >itb01g25120.t1 pep chromosome:ASM357664v1:1:30598778:30606930:-1 gene:itb01g25120 transcript:itb01g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLERTVEDPESQNLKEIEQGGEDNIESKETDGKKVSQHKLYDSDDELEYRSKHSRSVDVSRDHNKKRRSAQYSSSTENIDKNRHRSNREHGDREGDRKDRDRRARERVHGREKRGERERESLNRSRTYSERHRDRRGRARSPDREMSKDREYKEKNTGSELRERDRQGRRYREKKEEVSEQEVDPERDQRTVFAYQLSLKADEKDVYEFFCKAGKVRDVRLIMDRITGRSKGVGYIEFYDVMSVPMAIALSGQPLLGLPVMVKPSEAEKNLVQSSASITTGEGAGGARKLYVGNLHFSLKEDQLHQVFEPFGDIELVHMPIDSVTGLCKGFGFVQFARLEDARAATSLDGKLEIAGQVIKVSAVTDQIGMADVGSNAGDLDGDEAGGLSLDAHSRVLMRKLDHTGNTMSISGVVDLLNGPDPPPSASNLGLFPAGSLLIPPLAQAPISAVAELPSSVASIPAVTLSMIIGVPSECLLLKNMFDPKLEREPNFDLDIKEDVEDECLKFGTLKHIYVDKNSSGFVYLRFENTQAAMNAQRALHGRWFAGKMITATYMDLQNYEAKFPESR >itb01g25120.t3 pep chromosome:ASM357664v1:1:30598778:30606930:-1 gene:itb01g25120 transcript:itb01g25120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLERTVEDPESQNLKEIEQGGEDNIESKETDGKKVSQHKLYDSDDELEYRSKHSRSVDVSRDHNKKRRSAQYSSSTENIDKNRHRSNREHGDREGDRKDRDRRARERVHGREKRGERERESLNRSRTYSERHRDRRGRARSPDREMSKDREYKEKNTGSELRERDRQGRRYREKKEEVSEQEVDPERDQRTVFAYQLSLKADEKDVYEFFCKAGKVRDVRLIMDRITGRSKGVGYIEFYDVMSVPMAIALSGQPLLGLPVMVKPSEAEKNLVQSSASITTGEGAGGARKLYVGNLHFSLKEDQLHQVFEPFGDIELVHMPIDSVTGLCKGFGFVQFARLEDARAATSLDGKLEIAGQVIKVSAVTDQIGMADVGSNAGDLDGDEAGGLVSILPLFPMYIRIHCTVSAACLVIKSLDAHSRVLMRKLDHTGNTMSISGVVDLLNGPDPPPSASNLGLFPAGSLLIPPLAQAPISAVAELPSSVASIPAVTLSMIIGVPSECLLLKNMFDPKLEREPNFDLDIKEDVEDECLKFGTLKHIYVDKNSSGFVYLRFENTQAAMNAQRALHGRWFAGKMITATYMDLQNYEAKFPESR >itb09g16330.t1 pep chromosome:ASM357664v1:9:11525253:11526317:-1 gene:itb09g16330 transcript:itb09g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHASSSSHSQFIYCNDFDDASLLGELNLAPLYDFENDLLGLIEPPIGNKPLYEVGIANEIDPFVDVEPTTTHERVDDGESADVMPEKNDKKRWVKRTFFDSSTVTKEMISSFFHLPINEAAVQLKMGLTVLKVRCRELGISKWPQKKLLRLDDDEVIPTPASSQVIYSTLENTEDYIWDYQYHDFTLPLWDFEGDAQVVQETSNPGIIAADEKPTPKDPWSFEMLSKLFHLPVSQAARKMKVGDVKFKQICRKCGVNRWPYMKLKSMDRLIQSVQTMSKFKKKSNRDERVKELQMEKERMMRDPRVEFSFETIKIRNSSWRKKRYKYLTKLSYSAHDASSSTAVDVKSEGIN >itb15g05220.t1 pep chromosome:ASM357664v1:15:3366301:3371527:1 gene:itb15g05220 transcript:itb15g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVDYDATMATKLSIAKKIFIQEKDKILNSSSFQQYFSENQNWLKPYAAFCFLRDFFETSDHSQWGRFSQFSEEKLEKLISKDSLHYQIICFHYYIQFHLHTQLSEAAEYARKKGVVLKGDIPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARFTQMGNYFTAYRIDHILGFFRIWELPDHAMTGLCGKFRPSIPISQEELESEGVWDFDRLTRPYIRSEFLQEKFGASWTIVASNFLNEYKKNFYEFKEDCNTEKKVASKLKLFLEKSVFGESEEKLRRKLFDLLQEFVFYVSRMFLLFFRIWELPDHAMTGLCGKFRPSIPISQEELESEGVWDFDRLTRPYIRSEFLQEKFGASWTIVASNFLNEYKKNFYEFKEDCNTEKKVASKLKLFLEKSVFGESEEKLRRKLFDLLQDVVLIRDPEDRRKFYPRFNLEDTSSFKDLDEHSKNVLKRLYYDYYFHRQESLWRQNALKTLPVLLNSSDMLACGEDLGMIPACVHPVMEELGLIGLRIQRMPSDPGVEFGIPSTYPYMTVCAPSCHDCSTLRAWWEEDEERRRRFFSTVVGSDELPPDQCTPEIVYFVLHQHFESPSMWSIFPLQDLLALKEDYTTRPAMEETINDPTNPKHYWQYRVHVTMESLLKDKELTGIIKNLVHGSGRSYPGRLQDVASDKGESVPLAGQKEKAAV >itb06g15840.t2 pep chromosome:ASM357664v1:6:20065046:20074097:-1 gene:itb06g15840 transcript:itb06g15840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFKESPHPDEKQRQQLSKQLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKSEIEKLRDQNKELRETIKNNCCPNCGFASSPAGKDPMVAGTGGEQQLRIENARLRAEVEKLRAAVGKYPAGTSPNNSSCSGGNEQESRSALDFYTGIFGLEKSRIMDVVNVALEELKKMASSGAPLWIRSFETGREILNYDEYVKAFSVDKSAPTAALPKRSIEASRDTGIVFMELHRLVQSFMDANQWKEMFPCMISKAATVDVISVGEGANGNWNGAAQLMFAEVQMLTPVVGTREVYFVRYCKQLSGDEWAIVDVSVDKVEDNIDASLVKCRKRPSGCIIHDKSNGHCKVTWVEHLECQKSTVHSLYRSIVNSGSAFGAQHWMVTLQQHCERLVFYMATNVPTKDSTGVATLAGRKSILTLAQRMSVAFFRALGASSYNTWNKIPTKTGDDIRVASRKNLSDPGEPLGVILCAVSSVWLPVSHHALFEFLRDETHRHEWDIMSNRGPAESIANLAKGQDRGNAVTILATKSKENNMWLLQDTCTNVYESMVVYAPVDITSMQSVMTGCDSSNTAILASGFSILPDGLDSRPLVITSKPEEKSTEGGSLLTIAFQILTSNSPTAKLSMESVESLNNLVSCTLQKIKKCLQCEDG >itb06g15840.t1 pep chromosome:ASM357664v1:6:20065046:20074213:-1 gene:itb06g15840 transcript:itb06g15840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEMSNNPPASRPADSFPSPALSLSLAGIFRDAAAARAGNAADAETAEEGSAGGRREETVEISSENSGPARSRSDDDFDGDREHDDNYNDEDDDNAKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKSEIEKLRDQNKELRETIKNNCCPNCGFASSPAGKDPMVAGTGGEQQLRIENARLRAEVEKLRAAVGKYPAGTSPNNSSCSGGNEQESRSALDFYTGIFGLEKSRIMDVVNVALEELKKMASSGAPLWIRSFETGREILNYDEYVKAFSVDKSAPTAALPKRSIEASRDTGIVFMELHRLVQSFMDANQWKEMFPCMISKAATVDVISVGEGANGNWNGAAQLMFAEVQMLTPVVGTREVYFVRYCKQLSGDEWAIVDVSVDKVEDNIDASLVKCRKRPSGCIIHDKSNGHCKVTWVEHLECQKSTVHSLYRSIVNSGSAFGAQHWMVTLQQHCERLVFYMATNVPTKDSTGVATLAGRKSILTLAQRMSVAFFRALGASSYNTWNKIPTKTGDDIRVASRKNLSDPGEPLGVILCAVSSVWLPVSHHALFEFLRDETHRHEWDIMSNRGPAESIANLAKGQDRGNAVTILATKSKENNMWLLQDTCTNVYESMVVYAPVDITSMQSVMTGCDSSNTAILASGFSILPDGLDSRPLVITSKPEEKSTEGGSLLTIAFQILTSNSPTAKLSMESVESLNNLVSCTLQKIKKCLQCEDG >itb06g15840.t3 pep chromosome:ASM357664v1:6:20065890:20074097:-1 gene:itb06g15840 transcript:itb06g15840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEMSNNPPASRPADSFPSPALSLSLAGIFRDAAAARAGNAADAETAEEGSAGGRREETVEISSENSGPARSRSDDDFDGDREHDDNYNDEDDDNAKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKSEIEKLRDQNKELRETIKNNCCPNCGFASSPAGKDPMVAGTGGEQQLRIENARLRAEVEKLRAAVGKYPAGTSPNNSSCSGGNEQESRSALDFYTGIFGLEKSRIMDVVNVALEELKKMASSGAPLWIRSFETGREILNYDEYVKAFSVDKSAPTAALPKRSIEASRDTGIVFMELHRLVQSFMDANQWKEMFPCMISKAATVDVISVGEGANGNWNGAAQLMFAEVQMLTPVVGTREVYFVRYCKQLSGDEWAIVDVSVDKVEDNIDASLVKCRKRPSGCIIHDKSNGHCKVTWVEHLECQKSTVHSLYRSIVNSGSAFGAQHWMVTLQQHCERLVFYMATNVPTKDSTGVATLAGRKSILTLAQRMSVAFFRALGASSYNTWNKIPTKTGDDIRVASRKNLSDPGEPLGVILCAVSSVWLPVSHHALFEFLRDETHRHEVSIYLV >itb07g03080.t1 pep chromosome:ASM357664v1:7:2090065:2095290:-1 gene:itb07g03080 transcript:itb07g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFAGMSSAGSLAAPSSCMKDNRLAISSNKLSSLASISSSSFGRRKNVVLRKTRPCQITAAAKELYFNKDGSAIKKLQIGVNKLSDLVGVTLGPKGRNVVLESKYGAPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKALVSELKNMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLRVVEGMQFDRGYISPYFVTDSEKMAVEYDNCKLLLVDKKITNARDLINVLESAIRSGYPILIIAEDIEQEALATLVVNKLRGALKVAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLSLDKADSDVLGHAAKVVLTKDSSTIVGDGSTQEEVSKRVAQIKNLIEVAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLSSKVDALKESLENEEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPVMAGNPMDNSGYGY >itb14g16560.t1 pep chromosome:ASM357664v1:14:19894200:19897637:-1 gene:itb14g16560 transcript:itb14g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCWGFELMIIWVLSVLLLLGRLGSGFDPVDNYLLNCGSSGDIKVGGRVFVGDKSAAKFLSTPKDILANDSSSSIPVSDDSQLYQTARIFTGTSSFKFSISHGGRHWIRLYFYPFVYASFNMSSASFSVSTQDNVLLSDFSPRNVSFKEFSVNVTSRDLVLTFEPSSNSFAYVNAIEVVSVPDELIPEDATTINPVGAFRGLYAQALETIARVNMGGSPLASSNDTLGRNWVTDQSFLLRPQLASSLSKIPSVIYPQQGATRDSAPPTVYGTCTKMKVDAGETNVNFNVTWEFSVDPGFKYLLRFHFCDIVSPSPNQLLFNIYVDSSNIAPEFDPGAAVGSVLSTAYFLDYVVSTDRNRLRLSVGPSHRSAFADAFINGLEIMKMNNSKGSLSSADFVPSPSSSGSKKIGVIVGVCVGVPVAFAVIVVLLCMHLRRKQELLGQSKSWIPLALNGNSHTMGSKYSNGTTISAASNLSYRIPFAAVQEATNSFDESWVIGVGGFGKVYKGELSDGTKVAVKRGNPKSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDERNEMILVYEYMENGTLKSHLYGSDLPSLSWKQRLEICIGAARGLHYLHTGDAKAVIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLKQIIDPKLLGRIRPDSLRKFGETGEKCLADFGVDRPSMGDVLWNLEYALQLQEAVIQNDPDENSTNLIGDLSPQVNNFSHIDASPAQGEATNLDDLSGVSMSRVFSQLVKSEGR >itb06g24480.t1 pep chromosome:ASM357664v1:6:26017260:26017790:-1 gene:itb06g24480 transcript:itb06g24480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCFLVVAILAYYSSPTNAQNATVSTLQFYMHDIVNGPNPTAVRVAGPPPSNASSSNPLAAIFGSIYVFDDPLTATPELNSTLVGRAQGFYAAASLSDEFALQMTVTYAFVSGPYNGSSITVAGRNPVMRDGPRELPVVGGTGAFRLARGYCLAKTYSMDEMDAVIGYNVTIIHY >itb03g05390.t2 pep chromosome:ASM357664v1:3:3714328:3719055:-1 gene:itb03g05390 transcript:itb03g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRIPRKNVIFLCVCVLLSQIALCLSSKVYVVYMGRKGSDDDPDDLLKQHHYMLTTVHRGSLEEAKASHVYSYKNGFKGFAAKLTEEQAFEISKMPGVVSVFPNRKRTLHTTRSWDFMGLSSHDRMEIPGFSTENQVNVIIGFIDTGIWPESPSFSDADMPPVPAGWKGECQPGEAFNASTCNRKIIGARYYLSGYEAEEESSGKTITFRSARDSNGHGSHTASTAAGRYVANINYRGLASGEARGGAPMARIAVYKTCWISGCYDADMLAAFDDAIRDGVKVLSLSLGPDAPQGDYFDDAISVGSFHAVRHGITVVSSVGNQGAVGSATNVAPWLVTVAASSTDREFTSDIILGNRTHFTGESLSTHQMNTSARIISAAQAFSGFFTPYQSSYCLESSLNRTKAEGKILVCRHAGSSTESKLAKGSVVRKAGGIGMVLIDDANNDVAIPFSIPAATVGRRVGNKILSYIRRTRQPMSRILSAKTVIGTRAAPYMAAFSSKGPNSVTPEILKPDVAAPGLNILAAWSPAISNMDFNILSGTSMACPHVTGIAALVKAVHPSWSPSAIKSAIMTTGFIFIFGLI >itb03g05390.t1 pep chromosome:ASM357664v1:3:3714290:3719055:-1 gene:itb03g05390 transcript:itb03g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRIPRKNVIFLCVCVLLSQIALCLSSKVYVVYMGRKGSDDDPDDLLKQHHYMLTTVHRGSLEEAKASHVYSYKNGFKGFAAKLTEEQAFEISKMPGVVSVFPNRKRTLHTTRSWDFMGLSSHDRMEIPGFSTENQVNVIIGFIDTGIWPESPSFSDADMPPVPAGWKGECQPGEAFNASTCNRKIIGARYYLSGYEAEEESSGKTITFRSARDSNGHGSHTASTAAGRYVANINYRGLASGEARGGAPMARIAVYKTCWISGCYDADMLAAFDDAIRDGVKVLSLSLGPDAPQGDYFDDAISVGSFHAVRHGITVVSSVGNQGAVGSATNVAPWLVTVAASSTDREFTSDIILGNRTHFTGESLSTHQMNTSARIISAAQAFSGFFTPYQSSYCLESSLNRTKAEGKILVCRHAGSSTESKLAKGSVVRKAGGIGMVLIDDANNDVAIPFSIPAATVGRRVGNKILSYIRRTRQPMSRILSAKTVIGTRAAPYMAAFSSKGPNSVTPEILKPDVAAPGLNILAAWSPAISNMDFNILSGTSMACPHVTGIAALVKAVHPSWSPSAIKSAIMTTATVMNKHRKPITADPDGRRANAFDFGSGFVNPRRVLDPGLVYEAHPVDYRAFLCSIGYDEKTLHLVTGDNSTCDQTLSSPSALNYPSIAVPHLRNHFSVTRTVTNVGRPRSVYKVIVFPPRGINVTVVPRRLAFTHFGQKRKFTLNITVAAPSQGYVFGSFSWRNRRHRVTSPLVVRVAGSKKGPMG >itb09g14190.t1 pep chromosome:ASM357664v1:9:9397848:9400320:-1 gene:itb09g14190 transcript:itb09g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSILRRLAGKVAVITGGASGIGECSARHFVRHGAKVIIADIQDEVGRAVCDEIGDDAVSYVHCDVRREADVENAVNAAVSKHGKLDIMFSNAGVGGGGSSILDSNYDNFRHVFDANVFGAFLCAKHAARVMVPARKGSVIFTSSVASVTHGHVPHEYSASKHAVVGLTKNLGVELGRYGVRVNCVSPFGVATPMVRDWIGIDEKEKVEEFWCGAANLKEAKLEAKDVAEAALYLASDESKYVSGLNLVIDGGYSTTNVALSQSFNKMSSSIFDDLVEDVKIETRISLQITCSLPAFRDAFKSLVAKSKTVALVVDLFGTDAFDVAIEFKASPYQKVSGPEGRGWVLHHTKSYRMAEGIVANTFMELEPSAVKYLQQSQPGKPTVYPVEPLIKMEEFDTGKLNGTSPCPILEWLD >itb12g11020.t1 pep chromosome:ASM357664v1:12:9157207:9159137:-1 gene:itb12g11020 transcript:itb12g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40100) UniProtKB/TrEMBL;Acc:A0A178VTY4] MATTAATPSFLGTRIPKAQNGMGRVRALLGFGRKKAAPPPPKKSAPPPRQVSSDRPTWFPGAKAPEWLDGSLVGDVGFDPFGLAKPAEYLQFELDSLDQNLAKNVAGDVIGTRIESADVKPTPFQPYSEVFGIQRFRECELIHGRWAMLATLGSLSVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSLSTLIWIEVLVIGYIEFQRNAELDPEKRIYPGGKFFDPLGLASDPEEKARLQLAEIKHARLAMVAFLGFSIQAAATGKGPLNNWATHLSDPFHTTILDTFGLFSS >itb06g15250.t1 pep chromosome:ASM357664v1:6:19597079:19606777:1 gene:itb06g15250 transcript:itb06g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKAKNLASLLRSAAALAKSTAPTASGDIAAKKYVSSVRSSASTASESTQNRFVHSSNTHSRSFNSSSKPLSGAFDGCWDDYHEHQEGLLSQEISSILCGGVDSKVVNPPKSQVISSDKTLENVLGVPCISNIPSSDVSLRRKEVLRERKQKWVLKICQKTRLDRLVELCFNQLGIDATVQVFGKLRRETGLVEYNALIRSCIEKARMMDNEEESLKQLSVAYKFLKSMKEQGFQLQEDFYGQVLMYLIDCGMIPEFHFYCELIRDGNADSLPNLAYYEMLLCIKTKNIDKVEELCYNLALYDGKDKDTFLEKYLLAMSSGDCKEQFLQLLNTLDITKVTSMKSLTCIFKSLGKLMLENIAEKCFLDLKTSDVEAEKISSFIFEYTTSVPNLAVEDIVLKFKNLHAEMDVTPSSAQCEKLIKFSCELLKVHIALDVVDQMYEQGLALSIDAFNLILGACEESCEYNLVRRMHSIISCYNLKPNSESFRMMINLSVKMKDFEGAYNLISDLQKFNLMLTASMYNAIMVGYFREKNVRGGLMVLKQMEDAEVKPDSQTFSYLIRNCNCEDDIIKFYDELTLSGVQVTKHVYMALINAYASCGQFEKAKQVILDKGIPVKNLNEVKSMLVSALAAHGQVSDALEVYEKIKQAKCNLDPRAVSCLIDHHLQSEGEFNRLLQLLDELDERNHWIGACFKVISYCIRHEDLRSVIELLKKLKDMFNDDEVAREVLFDEVFCRIAEKEPRTMHFGWNLLQAIKKDIGLRPSRKCLDFLLSACTSAKDLPTCFKIWKEYIEAGLPHNSLSYLRMYQALLALGSQKSARNMLSKISKEDPHVCAVIYASQAAYRNGAPVGGKKNKKKKKNAKADLRLETINILSNLEVDNK >itb07g20900.t1 pep chromosome:ASM357664v1:7:25344996:25346056:-1 gene:itb07g20900 transcript:itb07g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMRRWSPPHLISPDLEKESLVTVIVKRTEDMVAAAPHRCFAGSGGVAGHRHREKNKGDCRRRTSSLLRRIWRRTRRSLSSFIVAVVDLGS >itb15g07700.t1 pep chromosome:ASM357664v1:15:5263589:5266088:-1 gene:itb15g07700 transcript:itb15g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEMGILSSETYLSDSNWLFDEAKASKWTAEENKRFEDALALFDKDTPDRWHNVAAMIPGKTVNDVIRQYRELVADVSDIEAGLIPIPGYATSHSFTLEWVDSHSHGGYDAYRQFYAPPGKRSNSSRSSDHERKKGVPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTSRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITTVNLSETKSPSPENNINSCSSDKPAAQVITTPPPLSNNHGGVSITFDSQYGSLITATAATFQGTSSYGLNLHEHNNMQYNNNNINLHGLQFQMQPE >itb01g02200.t1 pep chromosome:ASM357664v1:1:1429619:1435393:-1 gene:itb01g02200 transcript:itb01g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRKAIGAMKDQTSIHLAKVSGNDDSVADLEVAIVKATSHEDFPADERHVREILTLTCHSPAHVAACVSAISRRLSKTRDWVVALKALMLIHRLLTHGDLAYEQEVFFATRRGTRLLNMSDFRDGGASRSESWDFSAFVRTYALYLDEQLEFRMQMRRSRKVERNDYYEEEEEEAAAATPARVPTPVKELKNELVYSRIHHYAHILDRFLACKPTGGARSSRMVLVALYPVVKESFVIYFDMAELMGILIDRFMELTIPDSIKVHEIFCKVAKQFEELQMFYNWCKATGIARSSEYPDVENYPQKKLHLMEDLIREKSEERWMKRDEAPEKMEEPEVEVEVEMEVEPEEQDMNEIKALPPSEDFFNPREEVEPEKKGQDIGDLLNLGEDAPTAEEHANQLALALFQGGSTAAETTTASPWEAFSDSGDWETALVQSASHLSNQTAQFSGGFDMLLLDGMYHQGTINHAVASSGATGSSSSVAFGSAGRPAMLALPAPPAPTSGTAYTAQGMDPFAASLSIPPPAYVQMSEIERKQRLLMEEQLMWHQYTRDGMQGQAGFANVHQPNLYPYTRTY >itb13g24350.t1 pep chromosome:ASM357664v1:13:30025447:30029204:1 gene:itb13g24350 transcript:itb13g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENPRQTCLKLEIPSSDESEPRRVERVFVKGTWFPSRFDLSITNGLHGWICNASEEEVEERASQWDQPVSEYIDMAEKYLGFQQPGSVYGFSDAGAGHRRLSWTFEKEGTKLEWRWKCKPPPDSKNTTADILDFLMDANIQLSEEVLNKTQAFERLQIEAGKCLAQSEKLVKEKEEFESAIYAKFLGVLNSKKAKLRELRDRLPNKAPCKQAQEEEEEGSTDRTETFDEESNEEKSGEEMDMDATGTSKDTQTKKGHGRNRK >itb07g04700.t1 pep chromosome:ASM357664v1:7:3167862:3168557:-1 gene:itb07g04700 transcript:itb07g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTIAFMTNFPSQVQHPTQGRLFIQNSIRTTVPGSVLRTLSYSDHEFHFNPLLEFGSPTNASSYMSGVMAAVEHRAGPEIADRIAFFGFREVSVRGWASGLSLRVILETNHVLGGQSQRSEESRGDDDSLNDISNSPEEVEDLFRSFDSSVSLGGMSEREICMLRREQFSGDNEEGECCICLEGFMEGAVITPLAPCSHRFHHICIVKWLRKNPTCPICRRRSTVPV >itb10g21580.t1 pep chromosome:ASM357664v1:10:26750775:26751933:1 gene:itb10g21580 transcript:itb10g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSPRNPELIRGVGKFCRSKMYHKRGLWAIKAKNGGKFPHHEKKPAVAPAAEKPPKFYPADDVKKPLVNKHKPKLTKLRSSITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIATSTKVDVCGVNVEKIDDKYFAKLADKKKKKTEGEFFESEKEEKNVIPQERKDEQKAVDAALIKAIESVPDLKAYLGARFSLKAGMKPHELVF >itb04g06190.t1 pep chromosome:ASM357664v1:4:4015159:4017464:-1 gene:itb04g06190 transcript:itb04g06190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASSRDDMSTASDLPFLGPRQAMELQELTRRPRHSVSMTLGELLHRVGDSAGERPNNGGGAANDHRVLQLYDPVPYPSSFPFVLSFHNLSYSVKVRRKMAVPAWLGRSTAETAAAPLSPAENDVKVLLDDISGEAREGEIMAVLGASGSGKSTLIDALANRIARESLGGTVKMNGEILESKLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSRSRKKARVQALIEQLGLQTAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSYRILSLLDRLIFLSKGQTVFSGSPSSLPRFFQEFGNPIPENENRCEFALDFIRELEQSSEGTKNLVDFNKSWQRKFSPSSTSNPNNVFPNPKPSLKDAISASISRGKLVSGATNINSTLSPSVPKFANPFWVEMVVIGKRSMVNSLRMPELFAMRFGAVVVTGIILATIFWKLDDSPKGIQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLSHSIISLPSLALLSFAFAVTTYWSVGLAGGFSGFLFFLLFVFASFWAGSSFVTFLSGIIYNVMIAYTLVVAILAYFVLFSGFFINRDRIPPYWIWFHYASLVKYPFQGVLQNEFDYPDKCFVKGIQIFDGSPLKAVPEAMKLKLLQNMSKTLGINITSSTCLTTGPDILKQTGVTDINKWNCLWITIALGFFFRLLFYFALLIGSKNKRR >itb02g08240.t1 pep chromosome:ASM357664v1:2:5166120:5166896:1 gene:itb02g08240 transcript:itb02g08240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQDLQEMDEILLDQTHIWNHIFHFINSASLKCAVELGIPDIIHSHGRPITLQELVDALHINKAKAHQLGRLMRVLTRSGFFLDAKITHGNGYALARPSFLLLKDHPFSLAPFVLGAVCTIFTGPWHGVSEWFRNDDPTPHVTAYGRTFWDLASQDPEINRYTNQAMAGDALLFMTLIRKYCRGVFEGLDSLVDVGGGTGMVAKAIADEFPDMKCTVLDLPHVVAGLEGTKNLAYVAGNMFQAIPPAQAVFLKVQC >itb04g02140.t1 pep chromosome:ASM357664v1:4:1304377:1305516:-1 gene:itb04g02140 transcript:itb04g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAPSSNIPNEIIRIILLQLPVKSIIRFQCACKQWRSMIQDSDFKLSYGGQGRLIILTHESERWSSQNSRKLVVRSTSRHDDLRLERQECPLGEAAAYPLIPGSDQYRVTALCCCNGFVLLAAGEKDILLWNPLTKCSTKVLESPGPTGLKSLGALAGLCYDSRTRDYKVVLLRCDLMRMHNFDDQYVISASFTHKKWRSVRFPYFFGSTRGSVGFRNTFHWWVSDITDINWYDLPRAHMNRILYFDPVHDEFKILPTPLEQRENNSIIACIPHHNEEEINTMQVLIMKEYGIEESWMTVFSIQMTTYRSLDLTFYFQTNNTKQVIFTRRGSDRCHVYVYDRKKDELKEVLMDLLKQNSWGYFTSMFFYVENFNCLA >itb12g19970.t1 pep chromosome:ASM357664v1:12:22415429:22416813:-1 gene:itb12g19970 transcript:itb12g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENGDIIVVGGRRSPNYEFIVPDQLKFPNKQFPLRLLVETTDGHLENNLYPFVYLLPDGNVFLFANDRSIIFNPRTGRTIRELPKLPGGSRNYPASGQSALLPLKLTPNTKANDFVKAEVLVCGGNTHEAFKVTERPPRQFPPALKDCGRIVANQVGAQWEIDEMPSRRVMGDMLILPNGDLLLLNGAQTGTAAWDAAEEPNFTPVLYSPNKPKGSRFTQLKLTRIARMYHSSSGVMPDGKILVAGSNTHATYDFRAKYPTDMRVQKFSPPYLAPALQKFRPEILDITPKQLVYGQNFKINIRLDVPADISGIKVTMYPPPFTTHGFSQGQRMLILGLTSVANKTISAVAPPSGKLAPPGYYLIFVVHRGVPSKGMWVHIK >itb04g10260.t1 pep chromosome:ASM357664v1:4:9565966:9566639:-1 gene:itb04g10260 transcript:itb04g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNCSSLLPPKPRRHLTEKHRRQQMKGLYGCLASLVPREKSLEKSPAFDVLDHATNYIKQLESNVNELKARKDSLQLPVLIDVSESERGESLEVNIVYGLEKKEVMKMHEVFRILQEEGAEVVSATDSTVGLKIYHTIICKV >itb09g01900.t1 pep chromosome:ASM357664v1:9:1167416:1168396:-1 gene:itb09g01900 transcript:itb09g01900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METVMPSPPVDFNFDSACTTPYISAPSSPPHFGAGFASAPGSPTRFLGGGAVPFSWEEKPGVPKPRRDFDGINGEYEDDDRDFVFEFSGPLEKAYLSAADELFESGKIKPLKPPSLRPEHGEKPRSSPKYPDKTVKEVRRSPKTENDADKRGRGREIRSNNNPRHKATRSLSPFRASDLVFDRKQTGQENTNNNSVSSSFISTMLSGRWKLKDLLLFRSASEGRASSTQKMKKYSSELKNKKKSKEDVNYSFRSTTDSEGSGSISRRRGPVSAHELHYTMNRTISEELRRKTFLPYKQGLLGCLGFNPAVHEMSCRGFSSVSMPRS >itb01g15400.t1 pep chromosome:ASM357664v1:1:17857155:17857568:-1 gene:itb01g15400 transcript:itb01g15400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLIPEAFLLSRKSKSKKKHNLPPELPMVGNLFQVARFGKTFLQYVRDLKLKYNPIFILRMGTGTMVIITSADLVHEALIMNGQIFARRPRENPTRTIFSSNKFSINVAVYSPVWWSLRKNMVQNKLSSARLKEF >itb06g14760.t1 pep chromosome:ASM357664v1:6:19242194:19243520:1 gene:itb06g14760 transcript:itb06g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTTIVYSWFLRCIIVHQKLRNELKLQRRFVYTGCGREMVTVGDEETASGGIIWKHWLPSSGASKL >itb01g30740.t1 pep chromosome:ASM357664v1:1:34645124:34649581:-1 gene:itb01g30740 transcript:itb01g30740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRKANVCGICENPNLPSICTVCVNYRLNEYGTALKSLKSRRDALYARLSEVLVAKGKADDQLSWRVLQNEKLARLREDLRRRREQLLEGKTNIDKLSNDLKVKYNLLESTMSVLEKNRAEQLEKFFPNLICTQNLGHMAITSELLHKQSVVIKQICKLFPQRRVTVDSERKDGSNGQYDTICNARLPRGLDPHSVLSDELSASLGYMVQLLNLIVRNVCAPALHNSGFAGSCSRIWQRDSYWDARPSSRSGEYPLFIPRQIFCSTGGEASWSEKSSSNFGVASMESDRKARLDSSASSSFNYSSASPHSLEAHKELQKGIALLKKSVACVTTYCYNILCLDVPAEASTFEAFARLLTTLSSSKEARSVFSLKMACSGSSKQVQQFSRSVWDVNSLVSSSALIESVHALQAQRNVFDHNLPSSTASLLYANEISDLGRNENLIEGWDLIEHPTFPPPPSQTEDVEHWTRAMFIDATKK >itb09g00750.t1 pep chromosome:ASM357664v1:9:490322:491497:1 gene:itb09g00750 transcript:itb09g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLMHSFGDEESQTHSSRRCSRDDDGAKGLSEEDGWTGKDDKPTFLLVQKLGLMDFVSLDVWRAAVAEVLGTAVLVFVLDTVVISTYETETKTPNLIMSILIAIFATIIILALLPVSGGHVNPIISFSAALVGIISMSRAIIYIVAQCIGAVLGALALKAVVSETIQENFSLGGCTIRAVTQAPNGPVIVGLAINQAFWMEFFCSFVLLFAVLWTAYDYRQSKALGQVKVFMFVGIVFGLLVFISTTVTKQKGYGGAGLNPARCLGAALVKGGHLWDGLWVFWVAPAIACMAFYLYTKIIPREHFHADGYPHDLFPLST >itb12g17010.t1 pep chromosome:ASM357664v1:12:18823673:18826467:-1 gene:itb12g17010 transcript:itb12g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/TrEMBL;Acc:Q3EAH9] MDVRISEHDHVIQVCGDVPAQERGAVGSKLCGEAPCGFADAKSTLKDTQERSAAMKKLCIAVVLCVVFMTVEVIGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAVDRLINDTGEVQGSLMFAVSAFGLVVNIIMAVLLGHDHGHSHGHGHDHGHGGHDHGHGGHDHGHSHDGHDVHKHGVTIVQHHDHHHEGHSGHNEHESDHTEPLLKACSEGESGSKGRKKERNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLFCTLIFSVLVLGTTIRMIRNILEVLMESTPREIDATRLEKGLCEMEEVVAVHELHIWAITVGKVLLACHVKIKKEADADIVLDKVIDYIKREFNITHVTIQIERE >itb12g17010.t2 pep chromosome:ASM357664v1:12:18823694:18826194:-1 gene:itb12g17010 transcript:itb12g17010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/TrEMBL;Acc:Q3EAH9] MMDVRISEHDHVIQVCGDVPAQERGAVGSKLCGEAPCGFADAKSTLKDTQERSAAMKKLCIAVVLCVVFMTVEVIGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAVDRLINDTGEVQGSLMFAVSAFGLVVNIIMAVLLGHDHGHSHGHGHDHGHGGHDHGHGGHDHGHSHDGHDVHKHGVTIVQHHDHHHEGHSGHNEHESDHTEPLLKACSEGESGSKGRKKERNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLFCTLIFSVLVLGTTIRMIRNILEVLMESTPREIDATRLEKGLCEMEEVVAVHELHIWAITVGKVLLACHVKIKKEADADIVLDKVIDYIKREFNITHVTIQIERE >itb01g30590.t1 pep chromosome:ASM357664v1:1:34556475:34558294:1 gene:itb01g30590 transcript:itb01g30590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPPIQIGIIGCAEIARKVARAINLSQSATLHAVGSRNLEKAKKFAADNGFPASAKVYGSYEAVLDDPDVDAVYMPLPAALHVKWAVLAAQKKKHLLLEKPVALNSKEFDTILEAFESNGLQLMDGTMWMHHPRTAKMKEFISNPDLFGELKSVHSQFTFAADPEFLENDIRVKPDLDALGALGDVGWYCIRSILWAADFELPKSVIALRNPVFNKSGVIMACGASLYWEDGKLSTFQCSFLSNMTMDVTAIGTNGTLYLHGFVIPYDEKKASYMTGTKSGFTELVTEWDPKPSEHIVTTDIPQEVLMVEEFSRLVASIKNDGSKPEKKWPTFSRKTQLVLDAVKTSIEKGFEPVEIVC >itb05g00690.t1 pep chromosome:ASM357664v1:5:586740:590486:-1 gene:itb05g00690 transcript:itb05g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPGQMPIGNYVPVYVMLQLGVVTADNVFPDKDNVEAQLKKLKDAGCDGIMVDVWWGIIEAKGPKEYDWSAYIELFQVVKKCGLKIQAIMSFHQCGGNVGDNVYIPLPPWVLAVGDKNPDIFYTNKAGTRNPEYLSLGVDNQALFQGRTPIQMYSDFMVSFRDNMMEFLEGGDIVDIEVGCGAAGELRYPSYPETQGWVYPGIGEFQCYDKYMVADWKKANEDAGHGNWEMPGNAGTYNDTPDKTGFFQTNGTYQTDFGKFFLTWYSNKLIIHGDQILGEANKVFVGYKVNIAAKVSGIHWWYNDSSHAAELTAGFYNLFGRDGYRPIARMLGRHYATLNFTCLEMRNSEQDAAAKSAPEQLVQQVLSGGWKEYIDVAGENALSRYDAAAYDQILLNVRPNGVNLNCSPKLKMSGFTYLRLSDDLMQEDNFQLFKTFVKKIHADLEASANNAETSPPVLQKSKPALSMEEILEASKGSRAYPWYDTTDMPVDGSNPFG >itb13g04500.t1 pep chromosome:ASM357664v1:13:5164803:5172711:1 gene:itb13g04500 transcript:itb13g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYSDSLSFPSEPPHIGNWFSSYVHESPVLSSIDDLECEPGEEKTSEEKFVKSRAFGREICLPSGDHAAPNEDKCAIEFLRSSEYPDLSYEPSDVNDSFSSYVPETPMSDEDGDLSIPGSNNITDLKDNGNSRGFICESIKGKAESFSEDIRQGTTDGYLSKGLVRCNASVEANCANQVIRSSDYLSPSPEPPDINDCFDSYVPETQEFDASNDCRAPESNDNELNDHDGQRWSSSSLGKEEKDVKCTRNGMKEKSAVQLLEGLAKFTSKENYKNNSPSTDDIALSSEPPDIKNWFSSYAYETPTLDSGDGFSILKYEESEFDIEERSRAGQKELHNLGSRKAVLFANDSNTYQPSPKRGHDLAGNKNLFSQENTDKKKMQILDYNSTEDFVTSLDGKSSAEKLDKTFSEMDGLRLSNTNIPSPNKHETPSLIQRWDSAEQNLVLSKDSMKTTDALLPIYSLNTSTVTRQSSQMEPGGRICKENDGNRSADNGFISTRKIRSRKLHDENSLVKPGGVDWSGSLRNETKYRPIYDKDTAARRVFLDTTNIQQSQPPDGSQITGKWRCPQKRKPHLGPPLKQLRLEQWIRRLQLFYLPAATKTPFWHKPNASSSTSAKSTAQSISSSATPLPSSRTLKSSFATLLRI >itb03g09150.t3 pep chromosome:ASM357664v1:3:7023586:7027011:1 gene:itb03g09150 transcript:itb03g09150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYKPYVDPEVESLIARIHPPRICIDNDAFQDCTLVKVDSANKHGVLLEMVQVLTDLDLVISKSYICSDGGWLMDVFHVTDQLGNKIVDESIIHYIQQALCESRRGSREIQTFIGRDVRPRYVLTEHTALEMTGTDRPGLMSEISAVLAEMGCHISAAVAWTHNARAACIVYVEDDSKHGPITDPYRVAQIQAQLENVVEAHHYNGERRSVRLSDPTASRTHTERRLHQLMAADRDYEQCCSCRENGNEEGEKHSQERCYNSETSIKIENCKEKGYSIVTVWSRDRPKLLFDTVCALTDMHYIVFHASISSHDSTSVQEYYVRHKDGCTLNLESERRRVTQCLIAATERRASHGLRLDICTQNRLGLLSDVTRVFRENGLSITRAEIGTQGERAIGTFYVKDTSGQSVDPETLESVRQEIGGTVKVVHKSSGRFTQATSSGNSTRRSHRSSGEDGKPGFSLGSLLWAQLERLSNNFRPIKS >itb03g09150.t1 pep chromosome:ASM357664v1:3:7020843:7027027:1 gene:itb03g09150 transcript:itb03g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYKPYVDPEVESLIARIHPPRICIDNDAFQDCTLVKVDSANKHGVLLEMVQVLTDLDLVISKSYICSDGGWLMDVFHVTDQLGNKIVDESIIHYIQQALCESRRGSREIQTFIGRDVRPRYVLTEHTALEMTGTDRPGLMSEISAVLAEMGCHISAAVAWTHNARAACIVYVEDDSKHGPITDPYRVAQIQAQLENVVEAHHYNGERRSVRLSDPTASRTHTERRLHQLMAADRDYEQCCSCRENGNEEGEKHSQERCYNSETSIKIENCKEKGYSIVTVWSRDRPKLLFDTVCALTDMHYIVFHASISSHDSTSVQEYYVRHKDGCTLNLESERRRVTQCLIAATERRASHGLRLDICTQNRLGLLSDVTRVFRENGLSITRAEIGTQGERAIGTFYVKDTSGQSVDPETLESVRQEIGGTVKVVHKSSGRFTQATSSGNSTRRSHRSSGEDGKPGFSLGSLLWAQLERLSNNFRPIKS >itb03g09150.t4 pep chromosome:ASM357664v1:3:7025265:7027011:1 gene:itb03g09150 transcript:itb03g09150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDRPGLMSEISAVLAEMGCHISAAVAWTHNARAACIVYVEDDSKHGPITDPYRVAQIQAQLENVVEAHHYNGERRSVRLSDPTASRTHTERRLHQLMAADRDYEQCCSCRENGNEEGEKHSQERCYNSETSIKIENCKEKGYSIVTVWSRDRPKLLFDTVCALTDMHYIVFHASISSHDSTSVQEYYVRHKDGCTLNLESERRRVTQCLIAATERRASHGLRLDICTQNRLGLLSDVTRVFRENGLSITRAEIGTQGERAIGTFYVKDTSGQSVDPETLESVRQEIGGTVKVVHKSSGRFTQATSSGNSTRRSHRSSGEDGKPGFSLGSLLWAQLERLSNNFRPIKS >itb03g09150.t2 pep chromosome:ASM357664v1:3:7022029:7027011:1 gene:itb03g09150 transcript:itb03g09150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYKPYVDPEVESLIARIHPPRICIDNDAFQDCTLVKVDSANKHGVLLEMVQVLTDLDLVISKSYICSDGGWLMDVFHVTDQLGNKIVDESIIHYIQQALCESRRGSREIQTFIGRDVRPRYVLTEHTALEMTGTDRPGLMSEISAVLAEMGCHISAAVAWTHNARAACIVYVEDDSKHGPITDPYRVAQIQAQLENVVEAHHYNGERRSVRLSDPTASRTHTERRLHQLMAADRDYEQCCSCRENGNEEGEKHSQERCYNSETSIKIENCKEKGYSIVTVWSRDRPKLLFDTVCALTDMHYIVFHASISSHDSTSVQEYYVRHKDGCTLNLESERRRVTQCLIAATERRASHGLRLDICTQNRLGLLSDVTRVFRENGLSITRAEIGTQGERAIGTFYVKDTSGQSVDPETLESVRQEIGGTVKVVHKSSGRFTQATSSGNSTRRSHRSSGEDGKPGFSLGSLLWAQLERLSNNFRPIKS >itb06g15520.t1 pep chromosome:ASM357664v1:6:19847533:19851312:1 gene:itb06g15520 transcript:itb06g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEGLRLYFFCSTLLSLFTFCTSADNITPDHPITDGTTIVSAGGNFELGFFSPGKSKNRYVGIWYSKIPSKDVVWVANRDTPLNNTSGKLLLKDNGILVLLNGSNEEIWSSNSSTSLKNPVALLSETGNLVVREGNDHSSENSAWQSFDYPGNTLLPGMKLGRNLATGHAWYQTSWKSADDPAAGEYTEMIDINGFPEIFEIRGADKSPLYRSGPWNGEMFTGVPSIKDNPYYTFDFIMNDREIYYIYELIDSSVPSRVVMNPTGIIERLAWIERTKSWIVYLTAQTDNCDRYGMCGAFGKCNINSSPPCDCLKGFIPKYPQDWEATDWSNGCVRRTPLDCGDADRFFIYRGVKLPDTRHSWYDRSIGLEECKRLCLKNCSCTAYSNVDIRDGGSGCLLWFEDLTDIRELDKVEQDLYVRIAASDFGISQNGQKKQEVMKIVIPTISGILTLSFLVWFALYKRKKGANIGKEDYDLPLLTLETVVSATNNFSSDNLIGEGGFGPVYKGKLPTGTEIAVKKLSENSGQGAKEWENEVSIIAKLQHRNLVTLQGCCAEGGQRILIYEYMPNNSLDYFIFDESRKGILTWQKRFEIAVGISRGLLYLHQDSRFKIIHRDLKVSNILLDAELNAKISDFGLARIVGEDDALARTKRIIGTYGYMSPEYAIDGNFSVKSDIFSLGVILLELVSGRKNRKFHHLDHHHTLLGHAWLLWNDGDPIELMDNCVRDTYVESQVLRCIHVALLCISKLPEDRPTMASVVFMLENEEVALPQPKEPGFFVERNSTKASSTNEETSHADVAKMTFSILEPR >itb12g27010.t1 pep chromosome:ASM357664v1:12:27631901:27635416:1 gene:itb12g27010 transcript:itb12g27010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAMRSSTSLLLVSTLLFLTIEDVIPILAKGETKVHIVYMGRRQHDDDAEITTSIHLETLASILGSQEAAAESIIYSYRHGFSGFAAKLTKSQAQKIAELPGVVHVIPNHSYKVHTTRSWDYLGLSAHSPRMNLLGETKMGDGVIIGVLDTGIWPESVSFSDKGLGPIPSKWKGHCEAGKLFNPATTCNKKVIGAKFFIKGVEAELGRKLENDTEFLSSELMSPRDMQGHGTHTSSTAAGSFAPNASYKGLGFGTARGGAPRARIAMYKVCWNFGDLPCTSADIIQAIDEAIHDRVDVLSLSLGSDTPQFSDVDIRSGIAFAAFQAVEHGITVVCSGGNSGPIPQTITNTPPWILTVAASTTDRSFPTLLTLGNQKTIVGESMFTGKKTGFHSLIYPESTDPATHSYCNTITANSTWVAGKIVLCFHVKGEETELTFNLISTREAVEEAGGIGVIIAKNPTRTMDFFTSEFPVISVSFDNAIRILNYIRSSKNPRAQLSPSRTHVGKPITTRIASFSSRGPNSFAPAILKPDIAAPGTNILAAVPPLNTPPYAIESGTSMAAPHVSGIVALLKSLHPNWSPAAIKSALITTAWTADPHSGDPITAEGDTNKPADPFDYGGGIVNPNAAKDPGLVFDMGTFDYILYLCAMGYNSTSINNLTENPSSCPSKKPSLLDINLPSVTIPSLRNSITVTRTVTNVGPVNSRYKPIMESPPGITISVKPETLVFNSMAKQISFTVTITTSHKYNTDFCFGSLTWSDGVHRVRTPISVRTEFPEMIYS >itb04g25040.t1 pep chromosome:ASM357664v1:4:29697134:29699318:1 gene:itb04g25040 transcript:itb04g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAYDEGGAPAFFRLPECPQWILLASPEKKALKEGNCQFATLQGHRKYQEDCVICYPNVTIPVLDVSVAVGAVFDGHGGKEASKMASEKFLDYFFLHVVFNTYKKLFLHKKDNEEIDIRSFTRKSYIEAVPVIDDELLRGILETALLQTIQDIDSEFSQEAMNHGYISGSTATVVVWVNRQILVGSLGDSKALLCSRRNHPDAEGELESKLYAKELTRDHHPDREDEKARIEAAGGVIRVWGVPRVNGILAVTRSIGDIYLKRYFPYSQGLFLALLIAYKDFVW >itb11g18390.t1 pep chromosome:ASM357664v1:11:18666952:18674601:-1 gene:itb11g18390 transcript:itb11g18390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPISLSQPLISQPPTVTNMELDDDAAIADQVKATHHHNAYGYGATNLNENFVLSYAENILNFNHLVSGEVEKDMLMPQKRIHKELSYQMLQLSFEVAFKCLNNIDSHSATLHLLSMLSTYPWDTKVVMMLGAFSLICGHYFITVSQRHCRPKKLANMFASFKENLIANAPSCHIEKLVGDNDSIIKFIIDLVKCVSELNQPPSFSPPQPLLLATYWITRNILTCSKRILYVESKNEIMEDSTLIAKSKTIISACCPLLEAKRTEKSYEALLHAFSNSHNNLEVLKLIFNVNDVYENIFLYCSVRHITSDFSSEHPLPGNTELVPLITLENNRVLLIIMSDTNLSEAAFFYHHLSKFKISPWNILIPIIDYPQVWTEARMPSVSIRMGLKLLDPCKRVTPQFIRFVKERCVPSFQSGGEPIVISLDSRGRIVHTNALHMILTWRGDLALDTRIADSGNNFNSLLQKELTEMTLGVGSVIDDIEERKHDLVNRIRKIINDWRYDMNMRIKDSDSSYKYTSKNEEMLWDKQTWNLKFLGNDTGMFRHDYGIHSWIALWTAFKDDFFFCGGSDVKCIQEFAMKVKEVNSEIQLDIKFAYIGNNKKAKSLVHNMNGYAHNLDNDAEYLLFWTRLQSAFLSRINYLNKNGRIEDEDKIFLGLQKLLAYEAKSTTFGAWVLLGKGEEVIACDFGDRMMRVMNAYPKWKNNIGSKGFSRAFKDCYEKLASSQDQHSCCTLEYPLTLDKIPEDVKCPHCSHHMHKFVTFTCSHECYL >itb07g15270.t1 pep chromosome:ASM357664v1:7:18235974:18238080:1 gene:itb07g15270 transcript:itb07g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVEMSTSIPVNPVDNIHMAGEDRISELPVGILDNILGFLPIQEAARTVILSSSWKDIWFSLTKLNFCHGFFDYICLKYSDAEGWKSDSQSPIWEVINKILMQHNGPIQKIVFDFSEEVFVFHSPEEFDFWDISKLLSYNLNQWFLLLTQNGVEEIDISCFRETKCQVPNCLLSCPTLKKLKLENVDVEPINDYCILPNVTSLSLRYVDFNPTTCSDYGVYLPMLEDLSFDQCDKIFYFNIVAPKLGSLEISLLEYSPYLKKKFGVLPPNLDLRSISRFDLICSPCCFEVLIKEHIRVGHAPALNFELLKLSVATCRFTPEYINNSAFINLLRACPKLCELDIWHLEPLNLNPEDFVLMEELSSVAQTLKMLRTLKFSRFFGLTSEVQTIKALLACFPGIKKVFIVRGLISSDEEFKIMQKLLDFPRASTEAEFFYIEEF >itb02g03420.t3 pep chromosome:ASM357664v1:2:1971063:1975510:1 gene:itb02g03420 transcript:itb02g03420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCCFYRRGVSDVIDDSDGEEQDAIDVDESDQKSYESVQNMILAGEIEKLKIDQCKVYLRKHGLRLTGKKATLIERIKEHIDVVNGGGEKKYPVSSFVLNCKGDACTGDVVLFEQNVYEMFNIASRSASAPPCGTRVVAGRIVKESYGMAKQQHTFTIEVLWSKGEKPLPALHPLLIKGRNLYRLKTMRQRWEDEGQRQKMLSEKHARGSVARTNREARIAEKEMRMKPKLNRVKRAECQKQAPVRSKEQTRHMASMNLEKSGNEHQKCRNDTKLTEIEGKVINHNEPLPSSHDRIHQRQPLSDANVMNSSRSPCRGHISVQCSSKPMKSPSRVQNQMRQPLTDANVNTSAPYNTQYASYNAPRGGAFTHGENINSNILVRPTMWHGYAGNRNHHPTDQTRANSLSNSGSSEVSIVKQPCRYYAQGRCYYGHNCKWLH >itb02g03420.t1 pep chromosome:ASM357664v1:2:1969904:1975600:1 gene:itb02g03420 transcript:itb02g03420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIEKIHCVESDSDTYYDSDDSQEDPTFAILEETKSKISSLSISSKKTESRRGVSDVIDDSDGEEQDAIDVDESDQKSYESVQNMILAGEIEKLKIDQCKVYLRKHGLRLTGKKATLIERIKEHIDVVNGGGEKKYPVSSFVLNCKGDACTGDVVLFEQNVYEMFNIASRSASAPPCGTRVVAGRIVKESYGMAKQQHTFTIEVLWSKGEKPLPALHPLLIKGRNLYRLKTMRQRWEDEGQRQKMLSEKHARGSVARTNREARIAEKEMRMKPKLNRVKRAECQKQAPVRSKEQTRHMASMNLEKSGNEHQKCRNDTKLTEIEGKVINHNEPLPSSHDRIHQRQPLSDANVMNSSRSPCRGHISVQCSSKPMKSPSRVQNQMRQPLTDANVNTSAPYNTQYASYNAPRGGAFTHGENINSNILVRPTMWHGYAGNRNHHPTDQTRANSLSNSGSSEVSIVKQPCRYYAQGRCYYGHNCKWLH >itb02g03420.t5 pep chromosome:ASM357664v1:2:1974484:1975570:1 gene:itb02g03420 transcript:itb02g03420.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKHARGSVARTNREARIAEKEMRMKPKLNRVKRAECQKQAPVRSKEQTRHMASMNLEKSGNEHQKCRNDTKLTEIEGKVINHNEPLPSSHDRIHQRQPLSDANVMNSSRSPCRGHISVQCSSKPMKSPSRVQNQMRQPLTDANVNTSAPYNTQYASYNAPRGGAFTHGENINSNILVRPTMWHGYAGNRNHHPTDQTRANSLSNSGSSEVSIVKQPCRYYAQGRCYYGHNCKWLH >itb02g03420.t2 pep chromosome:ASM357664v1:2:1974654:1975570:1 gene:itb02g03420 transcript:itb02g03420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNLEKSGNEHQKCRNDTKLTEIEGKVINHNEPLPSSHDRIHQRQPLSDANVMNSSRSPCRGHISVQCSSKPMKSPSRVQNQMRQPLTDANVNTSAPYNTQYASYNAPRGGAFTHGENINSNILVRPTMWHGYAGNRNHHPTDQTRANSLSNSGSSEVSIVKQPCRYYAQGRCYYGHNCKWLH >itb02g03420.t4 pep chromosome:ASM357664v1:2:1972236:1975570:1 gene:itb02g03420 transcript:itb02g03420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYLCSTRFNIASRSASAPPCGTRVVAGRIVKESYGMAKQQHTFTIEVLWSKGEKPLPALHPLLIKGRNLYRLKTMRQRWEDEGQRQKMLSEKHARGSVARTNREARIAEKEMRMKPKLNRVKRAECQKQAPVRSKEQTRHMASMNLEKSGNEHQKCRNDTKLTEIEGKVINHNEPLPSSHDRIHQRQPLSDANVMNSSRSPCRGHISVQCSSKPMKSPSRVQNQMRQPLTDANVNTSAPYNTQYASYNAPRGGAFTHGENINSNILVRPTMWHGYAGNRNHHPTDQTRANSLSNSGSSEVSIVKQPCRYYAQGRCYYGHNCKWLH >itb06g15900.t2 pep chromosome:ASM357664v1:6:20153878:20155333:1 gene:itb06g15900 transcript:itb06g15900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADKLTSDAALDAPGLVAIAVCHGFALFVAVSVGANISGGHVNPAVTFGLAVGGQITILTGLFYWIAQLLGSIVACYLLTVVTGGLAVPTHSVDAGVGAVGGVVMEIIITFGLVYTVYATAVDPKKGSLGTIAPMAIGFVVGANILAAGPFSGGSMNPARSFGPAVVSGDFSCIWIYWVGPLVGGGLAGLIYPNVFMAHEHAPLSSDF >itb06g15900.t1 pep chromosome:ASM357664v1:6:20153278:20155333:1 gene:itb06g15900 transcript:itb06g15900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAFGRFDDSFSFASIKAYIAEFISTLLFVFAGVGSAIAFNKLTSDAALDAPGLVAIAVCHGFALFVAVSVGANISGGHVNPAVTFGLAVGGQITILTGLFYWIAQLLGSIVACYLLTVVTGGLAVPTHSVDAGVGAVGGVVMEIIITFGLVYTVYATAVDPKKGSLGTIAPMAIGFVVGANILAAGPFSGGSMNPARSFGPAVVSGDFSCIWIYWVGPLVGGGLAGLIYPNVFMAHEHAPLSSDF >itb09g04870.t1 pep chromosome:ASM357664v1:9:2767886:2771703:-1 gene:itb09g04870 transcript:itb09g04870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFKSKPKTPVDIVRQTRDLLSYAETGPSSDSRDSKREEKMMELSKHIRELKSILYGNSEAEPVAEACAQLTQEFFRDNTLRLLINCLPKFNLETRKDATQVVANLQRQQVQSRLVASDYLEANLDLMDILISGYEKTDIALHYGAMLRDCIRHQSVARYVLNSQHMRKFFSYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSQLLESTNYITRRQAIKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQHKPPDIINILVANRSKLLRLFADFKTDKEDEQFEADKAQVVREIAALEPKELP >itb10g05220.t1 pep chromosome:ASM357664v1:10:5288941:5290810:-1 gene:itb10g05220 transcript:itb10g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFQGTGTPQVQDVSSSGPEQNNSTGHVASVKKLLHRRMLVGVNDGRFFAGSFYCLDKQGNIILQDAVEYRSTRRSSPSPIEQRGLGLILIPFSCRTSCHVDCSIEEQLSLVSLHEQNS >itb10g22010.t1 pep chromosome:ASM357664v1:10:27008836:27010277:1 gene:itb10g22010 transcript:itb10g22010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADNSAENQEPIICLPSALDYRANVDTSRPFRSVKEAVAIFGERFLAGEVFSQTSPKPPFAFPKHETPCYSSPTRKSDDVVSHLVAAEEEETAVANTLRKLEAELEETKAELRQLRERESETEIALASLNAELHKNMSKLAQAEAASAAKAAAAADARSIGAGAGEIVKKASLAEVLRAGERKKKKPIIPLVGDLFTRKKGSSSPIAMNNPLFSSSHAWLN >itb13g11860.t1 pep chromosome:ASM357664v1:13:17075422:17076612:1 gene:itb13g11860 transcript:itb13g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQEVELQSRGNNNNDSPTNQVEIWRSKNYTWWFRISLYIVFLVAGQSAATMLGRLYYDKGGNSKWMATLVQSAGFPILIPLLFLFRSTDSSSSSPKHPRTSTTMLSLYVFFGLLLAGDNMMYSYGLLYIPVSTYSLLCATQLAFNALFSFLINAQKFTALILNSLVLLTVSAALLAVHADSDGGTAGVSKGKYAIGFLCTVGASAAYSLYLSLMELSFKKVIGTETFGAVVDMQVFPSCVASCCCVVGLFASGEWRGLEGEMSGFEKGRVAYVMTLVWTAVSWQICSVGLLGLIFEVSSLFSNVISTLGLPSVPVLAVIFFGDKMDGVKVIALLLAIWGFLSYIYQHYLDDSKAKSTVSSTNQV >itb05g26550.t2 pep chromosome:ASM357664v1:5:30368522:30371739:1 gene:itb05g26550 transcript:itb05g26550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTVQNLGSAMADVVIDAMIAEAVRLERALFAGDLQSISWMAMALGGICGSLLGGYALSNLRMDEIFLIFSVLPALQLASCHFVEEGSTAGKAFSKVSASNGTHLTIENFDTDSNFSNGKSKVGGASRRKKGQKDTKNSAIKSSDLQVLDKDGSSTSSLFQSLKSASYALFKAFRQPIILRPMAWFFLAHVTVPNLSTVMFYYQTEILNLEASFLGTARVLGWLGLMLGTFIYNKYMKNMRLRKILIWTHIGLATLSALDLVLVTQTNVSLGISDRVMVLYGSAIADGINQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASALNISSGSFDNLPLGIGIQVICTFIPVAFLSLIPKEATGVSA >itb05g26550.t1 pep chromosome:ASM357664v1:5:30368511:30371739:1 gene:itb05g26550 transcript:itb05g26550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWCKQLRGAFGASFLWLVCLIYFTQGFRSFVWTAVSYQLKDELKLSPSASQFVSTIAFFPWSVKPLYGIVSDCIPIKGRKRIPYLILATLFSFFPWLLLGTSEFLRTSKVQLMVLLTVQNLGSAMADVVIDAMIAEAVRLERALFAGDLQSISWMAMALGGICGSLLGGYALSNLRMDEIFLIFSVLPALQLASCHFVEEGSTAGKAFSKVSASNGTHLTIENFDTDSNFSNGKSKVGGASRRKKGQKDTKNSAIKSSDLQVLDKDGSSTSSLFQSLKSASYALFKAFRQPIILRPMAWFFLAHVTVPNLSTVMFYYQTEILNLEASFLGTARVLGWLGLMLGTFIYNKYMKNMRLRKILIWTHIGLATLSALDLVLVTQTNVSLGISDRVMVLYGSAIADGINQFKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASALNISSGSFDNLPLGIGIQVICTFIPVAFLSLIPKEATGVSA >itb05g01220.t2 pep chromosome:ASM357664v1:5:1067600:1072639:-1 gene:itb05g01220 transcript:itb05g01220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLAGSGGGSPPGFRLPLTAAVVGVNPKQRKRSGLRKSTFPQDLPPSTANQTPKIPGTETIYVKTFGCSHNQLSAFGYALTDDPNEADLWLINTCTVKSPSQSAMDTLLTRGRNAKKPLVVAGCVPQGSRNLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLTRKTLPALDLPKVRKNEFIEILPINVGCLGACTYCKTKHARGHLGSYTVESLVGRVKNVVADGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVSGLPSDGSTMLRIGMTNPPYILEHLKEIADVLRHPCVYSFLHVPVQSGSDAVLSAMNREYTVAEFRKVVDTLTELVPGMQIATDIICGFPGETDEDFAQTVNLVRDYQLPQVHISQFYPRPGTPAARMKKVPSNVVKNRSRELTAVFESFTPYTGMEGKVERIWITDIASDGVHLVGHTKGYIQVLVVGPRSMLGSSAIVKITSVGRWSVFGEVIEVLTQNNRDRNKARGSPCTNSHENCACSKEPESCACASTSCCQTETTVPKNDSRQVDKQNANLIGWLLRKRKNHLHRKEAETVLHESKNIQGVSTSHATVTLSSGIVFGLLTLIALLLFLGFMNLSSN >itb05g01220.t1 pep chromosome:ASM357664v1:5:1067600:1072639:-1 gene:itb05g01220 transcript:itb05g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLAGSGGGSPPGFRLPLTAAVVGVNPKQRKRSGLRKSTFPQDLPPSTANQTPKIPGTETIYVKTFGCSHNQSDSEYMAGQLSAFGYALTDDPNEADLWLINTCTVKSPSQSAMDTLLTRGRNAKKPLVVAGCVPQGSRNLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLTRKTLPALDLPKVRKNEFIEILPINVGCLGACTYCKTKHARGHLGSYTVESLVGRVKNVVADGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVSGLPSDGSTMLRIGMTNPPYILEHLKEIADVLRHPCVYSFLHVPVQSGSDAVLSAMNREYTVAEFRKVVDTLTELVPGMQIATDIICGFPGETDEDFAQTVNLVRDYQLPQVHISQFYPRPGTPAARMKKVPSNVVKNRSRELTAVFESFTPYTGMEGKVERIWITDIASDGVHLVGHTKGYIQVLVVGPRSMLGSSAIVKITSVGRWSVFGEVIEVLTQNNRDRNKARGSPCTNSHENCACSKEPESCACASTSCCQTETTVPKNDSRQVDKQNANLIGWLLRKRKNHLHRKEAETVLHESKNIQGVSTSHATVTLSSGIVFGLLTLIALLLFLGFMNLSSN >itb08g07630.t1 pep chromosome:ASM357664v1:8:6570822:6571427:-1 gene:itb08g07630 transcript:itb08g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGEETIGAFHHQKQIKYTRSCSLKMPKRSELFHPIRYLKRFNGSMMEALRTMSPGRCRKVASSSSSSPESGSKGSCAAGIGQHGIIGSDHRAEAIDDCIKFINSSLSLSRSNSISG >itb14g19600.t1 pep chromosome:ASM357664v1:14:22198778:22201552:1 gene:itb14g19600 transcript:itb14g19600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFRWNYITLTIFLVLPCFFAWETSALASRSESEALLKWKSSLLMSSSLNSWSLSNLRNMCNWTGIVCNGGGVSSVSGINLPNVDLSGTLHHLNFTSFPSLTGFNISGNNFNGSIPPTIGDLSNLVFLDLSNNQFDGSIPPQIGKLRELQYLSLYYNNISGVVPHQIGNLQKVRFLNFGSNSFQGEIPSSIGQLKDLQVLDISDNYLNSSIPSEIGNYTNLTHLVLTSNSLYGSLPSSLSSLTKLSELDLSENFLSGKISPNFISNWAKLVSLDLMENFFNESIPSEIAQTKKIKDGKKKKKKLAFHANGK >itb02g07320.t1 pep chromosome:ASM357664v1:2:4536070:4536804:-1 gene:itb02g07320 transcript:itb02g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRVWVAASVAFVNNHSDQGQKLKSGLRSLNQTKKCFSSSSSSSSSASDLRPLSGSDLGGFVGNGSGEDRIKQADDSLRQVMYFNCWGQG >itb04g21320.t1 pep chromosome:ASM357664v1:4:26446768:26451094:-1 gene:itb04g21320 transcript:itb04g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLVILVLQLVFVDQGVHGRGFSSKDSYVSAIGDPGMNNPNSRFGLEAWNFCNEVGEEAPNMGSPRLADCADLYCPAHMHLGKFLKNECKCGVYHNVNELDNLLSVGNDFPGDGFISYMDPDLYAVEKEKYLGSLCEVKTSDEPWQFWMIMLKNGNFDKTTTLCPENGRKVEKIVTDRNFPCFGKGCMNQPLVFHNYSRKVCSEHHASLTGGFYGTYDLDANLSAGIQGNSFFAVSWSKNVSTGSWILSHKLTTSSKYPWLMLYLRSDATKGFNGGYHYSSRGIMRKLPESPNFKVKLTLDIKQGGGPNSQFYLLDIGSCWKNNGDPCDGDVITDVTRYSEMIINPATTSWCRADNLKSCPPYHTSATGEIIYRNDTSRFPYSAYHLYCAPGNAQYLEKPYDICDPYSNPQAQELVQILPHPEWAVHGYPEKQGDGWVGDTRTWELDAGALSSRLYFYQDPGTKPARRIWFSLNVGTEIYVTGKGAAAATAEWSVSDFDVLIPDENSHHSIASL >itb04g21320.t2 pep chromosome:ASM357664v1:4:26446779:26450671:-1 gene:itb04g21320 transcript:itb04g21320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLYAVEKEKYLGSLCEVKTSDEPWQFWMIMLKNGNFDKTTTLCPENGRKVEKIVTDRNFPCFGKGCMNQPLVFHNYSRKVCSEHHASLTGGFYGTYDLDANLSAGIQGNSFFAVSWSKNVSTGSWILSHKLTTSSKYPWLMLYLRSDATKGFNGGYHYSSRGIMRKLPESPNFKVKLTLDIKQGGGPNSQFYLLDIGSCWKNNGDPCDGDVITDVTRYSEMIINPATTSWCRADNLKSCPPYHTSATGEIIYRNDTSRFPYSAYHLYCAPGNAQYLEKPYDICDPYSNPQAQELVQILPHPEWAVHGYPEKQGDGWVGDTRTWELDAGALSSRLYFYQDPGTKPARRIWFSLNVGTEIYVTGKGAAAATAEWSVSDFDVLIPDENSHHSIASL >itb04g05120.t1 pep chromosome:ASM357664v1:4:3163353:3165914:1 gene:itb04g05120 transcript:itb04g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLNNKNTIVVAAVLVLEMWACYEARGLDGGDEEMVKRYEHWIVEYGRVYANQTEKIKRFSIFKDNAEYIDSFNQAGNQTFTLGINQFADLTNDEFQSRMTRLMPGNSWPPEKTPFMYENVSAGPEVDWVKKGAVTAVKNQANCGCYWAFAAVAAMEGITQISTKKLTPLSEQQLVDCDKASKGCDGGSMNAAFKYVAGNKGIATEANYPYTAKKAACDAKKASVAAAKIGGFQSVPPKSEAGLMKAVANQPVSVAIDGSAKEFQFYKSGVFSTGCTTKLNHGVAAVGYGELQGKKFWLIKNSWGASWGEKGYAKLEKDVAAKEGLCGIALGASYPTAGK >itb06g12870.t2 pep chromosome:ASM357664v1:6:17417026:17423662:-1 gene:itb06g12870 transcript:itb06g12870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MEPTAIRFHSYTPIPSALSLRRKPAVVSLPKPPIQANNSGGFRLCAASTASSPVIAASRGTEIELENRKYELLRIIQDTQRGLATTADQRSSIEEALVSVEAFDAGQGLDLGKLDGTWRLQYTSAPDVLVLFESAARLPVFQVGQVFQKFECQDKSSGGFVRNVVKWSIPGLFEEQEGVTLVVTAKFSCVSSRNIFLQFEEISFQDVNISEELQALIAPAILPRSMLNFEILQFLRTFKAQVPLRSSNRRSVGGLYYLSYLDNNMLLGRAVGGGGVFIFTRAQPILL >itb06g12870.t1 pep chromosome:ASM357664v1:6:17418432:17423735:-1 gene:itb06g12870 transcript:itb06g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MEPTAIRFHSYTPIPSALSLRRKPAVVSLPKPPIQANNSGGFRLCAASTASSPVIAASRGTEIELENRKYELLRIIQDTQRGLATTADQRSSIEEALVSVEAFDAGQGLDLGKLDGTWRLQYTSAPDVLVLFESAARLPVFQVGQVFQKFECQDKSSGGFVRNVVKWSIPGLFEEQEGVTLVVTAKFSCVSSRNIFLQFEEISFQDVNISEELQALIAPAILPRSMLNFEILQFLRTFKAQVPLRSSNRRSVGGLYYLSYLDNNMLLGRAVGGGGVFIFTRAQPILL >itb06g25650.t1 pep chromosome:ASM357664v1:6:26603912:26605079:-1 gene:itb06g25650 transcript:itb06g25650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREVSYNNKDAEARSESPEVVLFQRRCCFCFPCFGSANRGGLRWWHKQNQHQLGGEVEERSLLSRGISALKKLREWSEIVAGPRWKTFIRRFNRSKSGSGSGSGGRQGKFQYDPLDYSLNFDQGPGNLEEETEYAYRNFSVRYASIPASAKASMDLGKDGPSFV >itb06g10630.t2 pep chromosome:ASM357664v1:6:15073876:15078175:1 gene:itb06g10630 transcript:itb06g10630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVLLILLLLCPPVWILHPPNNALAHARSDPSLSPPPYASPATSSPEDKSSSKKHHKDPSRKLLISLIAAATALGTSLLLMGCFWIYHRKKIHKSHDTIHSPDVVKGLALSSYISKYNSFKSNCVKRHVSLWEYNTLESATNSFQESEILGGGGFGFVYKGKLEDNSYVAVKRLEVGRQNAIKEFEADIEVLGTIQHPNIISLLGYSIHADTRLLVYELMQNGSLEYQLHGPSHGSALAWHNRLKIALDTARGLEYLHEHCKPPVIHRDLKSSNILLDANFNAKISDFGLAVRDGAQNKNNIKLSGTVGYVAPEYLLDGILTDKSDVYGFGVVLLELLLGKRPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIIDPVIKDTMDIKHLFQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPVELGGTLRVVSQQPS >itb06g10630.t3 pep chromosome:ASM357664v1:6:15074481:15078168:1 gene:itb06g10630 transcript:itb06g10630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFWIYHRKKIHKSHDTIHSPDVVKGLALSSYISKYNSFKSNCVKRHVSLWEYNTLESATNSFQESEILGGGGFGFVYKGKLEDNSYVAVKRLEVGRQNAIKEFEADIEVLGTIQHPNIISLLGYSIHADTRLLVYELMQNGSLEYQLHGPSHGSALAWHNRLKIALDTARGLEYLHEHCKPPVIHRDLKSSNILLDANFNAKISDFGLAVRDGAQNKNNIKLSGTVGYVAPEYLLDGILTDKSDVYGFGVVLLELLLGKRPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIIDPVIKDTMDIKHLFQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPVELGGTLRVVSQQPS >itb06g10630.t1 pep chromosome:ASM357664v1:6:15073876:15078175:1 gene:itb06g10630 transcript:itb06g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVLLILLLLCPPVWILHPPNNALAHARSDPSLSPPPYASPATSSPEDKSSSKKHHKDPSRKLLISLIAAATALGTSLLLMGCFWIYHRKKIHKSHDTIHSPDVVKGLALSSYISKYNSFKSNCVKRHVSLWEYNTLESATNSFQESEILGGGGFGFVYKGKLEDNSYVAVKRLEVGRQNAIKEFEADIEVLGTIQHPNIISLLGYSIHADTRLLVYELMQNGSLEYQLHGPSHGSALAWHNRLKIALDTARGLEYLHEHCKPPVIHRDLKSSNILLDANFNAKISDFGLAVRDGAQNKNNIKLSGTVGYVAPEYLLDGILTDKSDVYGFGVVLLELLLGKRPVEKLAPAQCQSIVTWVGIVLGPIYVLEFLSSLLGIDSNKLFKFVSQCFSIYGIYAGHASAHRQIKASEHY >itb14g04520.t1 pep chromosome:ASM357664v1:14:4041260:4041992:-1 gene:itb14g04520 transcript:itb14g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEMGNMSRGTDDRVDMIPDRLPDGKPEDWIEYFKFKYSRDPASDARNALLVVAALLVQVTYQAGINPPSYISNKNAGGKVSTASSLTVFVAANTLSLSAAMTMIEYLTANMPYQREMRVSMFFMLFGYGWSTASTEPITAAKSVIIVVCALVPYLVRSLPNLFKKFV >itb06g06760.t1 pep chromosome:ASM357664v1:6:9362956:9375153:-1 gene:itb06g06760 transcript:itb06g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MLFNRTNNSFSSAGTRIPTAPQLASQSLWSGPPGVDIGPAVADPFLSGLKRPSSEALYHQTVLGGHSTVGQTESWFSSNPLAKRPRFETACNLPIYPQRPGEKDCSHYMLTRTCKFGDSCKFDHPIWVPEGGIPDWKEVLITSESLPVRSGEPDCPYYLKTQKCKYGIRCKFNHPKVKDAFESTDVLVLPERPSEPLCAFYMKTGTCKFGATCKFHHPKDIQIQASAQENFSTAETGLIDNSEMIGDVKQTVTPAMLHNSKGLPIRPGEVDCPFYLKTGSCKYGATCRYNHPDRYTINPPAAHLNVGIVNPTASLFQTFDPRMTQTMFGFATTIYPQRPGQVECDFYMKTGECKFGERCRFHHPLDHSSPVTSSMEGQQQNFKLSLAGLPRREGAIHCPYYMKTGICKYGATCKFDHPPPGELIGVTSQVTSTSVGEEEGYAKLVEAIQKQQQQQQ >itb06g06760.t2 pep chromosome:ASM357664v1:6:9362975:9374801:-1 gene:itb06g06760 transcript:itb06g06760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MLFNRTNNSFSSAGTRIPTAPQLASQSLWSGPPGVDIGPAVADPFLSGLKRPSSEALYHQTVLGGHSTVGQTESWFSSNPLAKRPRFETACNLPIYPQRPGEKDCSHYMLTRTCKFGDSCKFDHPIWVPEGGIPDWKEVLITSESLPVRSGEPDCPYYLKTQKCKYGIRCKFNHPKVKDAFESTDVLVLPERPSEPLCAFYMKTGTCKFGATCKFHHPKDIQIQASAQENFSTAETGLIDNSEMIGDVKQTVTPAMLHNSKGLPIRPGEVDCPFYLKTGSCKYGATCRYNHPDRYTINPPAAHLNVGIVNPTASLFQTFDPRMTQTMFGFATTIYPQRPGQVECDFYMKTGECKFGERCRFHHPLDHSSPVTSSMEGQQQNFKLSLAGLPRREGAIHCPYYMKTGICKYGATCKFDHPPPGELIGVTSQVTSTSVGEEEGYAKLVEAIQKQQQQQQ >itb05g27860.t1 pep chromosome:ASM357664v1:5:31033066:31039887:-1 gene:itb05g27860 transcript:itb05g27860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRTKEATKLIHLSIMEEAENLASDKHNQFQEHDDDDMFLPGFRFHPTDEELVGFYLRRKVQNRLATLDFIKQIDIYKFDPWDLPKTASSVGENEWYFFCKRGRKYKNSIRPNRVTGSGFWKATGIDREIYAAGAGQHESRHSIGLKKSLVYYRGSAGKGTKTEWMMHEFRLPPQHHKSSAAAHPKNIAQEAEVWTLCRILKRKSMLEWKELTAAKRNSSNPSAETCMRSKESLSTNMENYISFSNPVNCNVNNVTKHSHENEERPFGISVNVGRTDNVDYHIIYGSHQQQQQINNNQVSQLSHYYPPYPPAANSLSSFTSATPEIMNGFPKHADWEELRSVILGESSIISKMGCYCWTTLFVVFSCLIGMLDASAGDKDPSYRACVSECELTGCAGGNCFPHCKLLSDGSSIGGTWYMQKHLYLQWKQSGCKNDCRYQCMIDREKERAALGSAPNKYHGKWPFKRLFGLQEAVSVAFSVLNLAMHFYGWLSFFKLVKYNLPFKADKRTYYDYTGLWLIYGLLAMNSWLWSAVFHSRDVDVTEKLDYSSAVALLGYSLILAILRSFNVRDEAARVMVSAPILAFITTHILYLNNYKMDYGWNMLVCVVMGVAQLLIWAIWAGVSRHPSRLKVWIVVIGGGLAMLLEIYDFPPYQGLVDAHALWHATTIPLTCLWWSFIKDDAKFRTSNLLKKVDDGECALTPQCLDYIRKLIPCEKFLSIGATAPKPSVSCCNGARVLDKFAASSKRNYNTICLCYKDAPNAFPVDTKKSNRLPTFCKLTTTLVLNPNITCHHI >itb06g24980.t2 pep chromosome:ASM357664v1:6:26296341:26298055:-1 gene:itb06g24980 transcript:itb06g24980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQSRNAALPLLNLVKLKGVPILQQLHLEERLLRTSSHNWCIINDGTDTPTVVMGISGNPTELLEINSVLRDKIPVIKRFTGGGTVIVDHGTIFATFICNKDDVPNVQPYPRPIMSWSSLLYSKVFQGVGDFYLRENDYVFGDHKFGGNAQSITKNRWIHHTSFLWDFEVSNMAYLSLPKKAPDYRQVCYYI >itb06g24980.t1 pep chromosome:ASM357664v1:6:26295748:26298063:-1 gene:itb06g24980 transcript:itb06g24980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQSRNAALPLLNLVKLKGVPILQQLHLEERLLRTSSHNWCIINDGTDTPTVVMGISGNPTELLEINSVLRDKIPVIKRFTGGGTVIVDHGTIFATFICNKDDVPNVQPYPRPIMSWSSLLYSKVFQGVGDFYLRENDYVFGDHKFGGNAQSITKNRWIHHTSFLWDFEVSNMAYLSLPKKAPDYRQARDHLDFICRMKDYLSRSQFINRTINAVNCHFSVRSMELEEAELLCDMKFSPSSRLLEMQELEEAVLESQPENPILQSL >itb10g23500.t5 pep chromosome:ASM357664v1:10:27821854:27825009:-1 gene:itb10g23500 transcript:itb10g23500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRNELSWAQSCAAGGGFMGKTPNSRPHLKPSANSNPKKKQKYLHHGVNGRQNDDLPAVKQTASDDAYSFNQPPKESNGLNFGSYLTYNVNSYSKAELSELRKRLGAELEQIRNLRDRIESGHFSTSTTNPRPYGKSKKMPGNKEQISVGSDSDPRRFSNKNAGVVCGGIGVIDMENMMKDCRQILTKLMKHKSGWIFKSPVDAAALGLHDYHQIIKRPMDLGTVKSNMGKNWYPSPVEFAADVRLTFNNALLYNPKTDQVHGWAGLLLARFEELFKPIQDKLVKVENERKDILGVDEFHGSHWNHISTPEIAKKPKPSPIPQVPKKQEILHSQSSASTPSVPPPPSNPPLRKQSPIPAPSPMTAPTAKPISVRGGTTGKQPKPRAKDPNKREMSMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDDDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALIGNLTAPTAAPTTSNTEVDEDAVFENNDSTQKPKRIEVGDEDVDIDDDMPAASFPPVEIEKDEAAGREPDNCSSSSSSSGSSGSDSSSSSGSDSGSSSGSDSDADDAQS >itb10g23500.t2 pep chromosome:ASM357664v1:10:27821975:27825013:-1 gene:itb10g23500 transcript:itb10g23500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRNELSWAQSCAAGGGFMGKTPNSRPHLKPSANSNPKKKQKYLHHGVNGRQNDDLPAVKQTASDDAYSFNQPPKESNGLNFGSYLTYNVNSYSKAELSELRKRLGAELEQIRNLRDRIESGHFSTSTTNPRPYGKSKKMPGNKEQISVGSDSDPRRFSNKNAGVVCGGIGVIDMENMMKDCRQILTKLMKHKSGWIFKSPVDAAALGLHDYHQIIKRPMDLGTVKSNMGKNWYPSPVEFAADVRLTFNNALLYNPKTDQVHGWAGLLLARFEELFKPIQDKLVKVENERKDILGVDEFHGSHWNHISTPEIAKKPKPSPIPQVPKKQEILHSQSSASTPSVPPPPSNPPLRKQSPIPAPSPMTAPTAKPISVRGGTTGKQPKPRAKDPNKREMSMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDDDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALIGNLTAPTAAPTTSNTEVDEDAVFENNDSTQKPKRIEVGDEDVDIDDDMPAASFPPVEIEKDEAAGREPDNCSSSSSSSGSSGSDSSSSSGCVMFMEYRF >itb10g23500.t1 pep chromosome:ASM357664v1:10:27821854:27825009:-1 gene:itb10g23500 transcript:itb10g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRNELSWAQSCAAGGGFMGKTPNSRPHLKPSANSNPKKKQKYLHHGVNGRQNDDLPAVKQTASDDAYSFNQPPKESNGLNFGSYLTYNVNSYSKAELSELRKRLGAELEQIRNLRDRIESGHFSTSTTNPRPYGKSKKMPGNKEQISVGSDSDPRRFSNKNAGVVCGGIGVIDMENMMKDCRQILTKLMKHKSGWIFKSPVDAAALGLHDYHQIIKRPMDLGTVKSNMGKNWYPSPVEFAADVRLTFNNALLYNPKTDQVHGWAGLLLARFEELFKPIQDKLVKVENERKDILGVDEFHGSHWNHISTPEIAKKPKPSPIPQVPKKQEILHSQSSASTPSVPPPPSNPPLRKQSPIPAPSPMTAPTAKPISVRGGTTGKQPKPRAKDPNKREMSMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDDDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALIGNLTAPTAAPTTSNTEVDEDAVFENNDSTQKPKRIEVGDEDVDIDDDMPAASFPPVEIEKDEAAGREPDNCSSSSSSSGSSGSDSSSSSGSDSGSSSGSDSDADDAQS >itb10g23500.t4 pep chromosome:ASM357664v1:10:27821975:27825009:-1 gene:itb10g23500 transcript:itb10g23500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRNELSWAQSCAAGGGFMGKTPNSRPHLKPSANSNPKKKQKYLHHGVNGRQNDDLPAVKQTASDDAYSFNQPPKESNGLNFGSYLTYNVNSYSKAELSELRKRLGAELEQIRNLRDRIESGHFSTSTTNPRPYGKSKKMPGNKEQISVGSDSDPRRFSNKNAGVVCGGIGVIDMENMMKDCRQILTKLMKHKSGWIFKSPVDAAALGLHDYHQIIKRPMDLGTVKSNMGKNWYPSPVEFAADVRLTFNNALLYNPKTDQVHGWAGLLLARFEELFKPIQDKLVKVENERKDILGVDEFHGSHWNHISTPEIAKKPKPSPIPQVPKKQEILHSQSSASTPSVPPPPSNPPLRKQSPIPAPSPMTAPTAKPISVRGGTTGKQPKPRAKDPNKREMSMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDDDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALIGNLTAPTAAPTTSNTEVDEDAVFENNDSTQKPKRIEVGDEDVDIDDDMPAASFPPVEIEKDEAAGREPDNCSSSSSSSGSSGSDSSSSSGICPSESSFDDNLFMLGINSVGGNCLTGCVMFMEYRF >itb10g23500.t6 pep chromosome:ASM357664v1:10:27821975:27825013:-1 gene:itb10g23500 transcript:itb10g23500.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRNELSWAQSCAAGGGFMGKTPNSRPHLKPSANSNPKKKQKYLHHGVNGRQNDDLPAVKQTASDDAYSFNQPPKESNGLNFGSYLTYNVNSYSKAELSELRKRLGAELEQIRNLRDRIESGHFSTSTTNPRPYGKSKKMPGNKEQISVGSDSDPRRFSNKNAGVVCGGIGVIDMENMMKDCRQILTKLMKHKSGWIFKSPVDAAALGLHDYHQIIKRPMDLGTVKSNMGKNWYPSPVEFAADVRLTFNNALLYNPKTDQVHGWAGLLLARFEELFKPIQDKLVKVENERKDILGVDEFHGSHWNHISTPEIAKKPKPSPIPQVPKKQEILHSQSSASTPSVPPPPSNPPLRKQSPIPAPSPMTAPTAKPISVRGGTTGKQPKPRAKDPNKREMSMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDDDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALIGNLTAPTAAPTTSNTEVDEDAVFENNDSTQKPKRIEVGDEDVDIDDDMPAASFPPVEIEKDEAAGREPDNCSSSSSSSGSSGSDSSSSSGCVMFMEYRF >itb10g23500.t3 pep chromosome:ASM357664v1:10:27821975:27825004:-1 gene:itb10g23500 transcript:itb10g23500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASRNELSWAQSCAAGGGFMGKTPNSRPHLKPSANSNPKKKQKYLHHGVNGRQNDDLPAVKQTASDDAYSFNQPPKESNGLNFGSYLTYNVNSYSKAELSELRKRLGAELEQIRNLRDRIESGHFSTSTTNPRPYGKSKKMPGNKEQISVGSDSDPRRFSNKNAGVVCGGIGVIDMENMMKDCRQILTKLMKHKSGWIFKSPVDAAALGLHDYHQIIKRPMDLGTVKSNMGKNWYPSPVEFAADVRLTFNNALLYNPKTDQVHGWAGLLLARFEELFKPIQDKLVKVENERKDILGVDEFHGSHWNHISTPEIAKKPKPSPIPQVPKKQEILHSQSSASTPSVPPPPSNPPLRKQSPIPAPSPMTAPTAKPISVRGGTTGKQPKPRAKDPNKREMSMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDDDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALIGNLTAPTAAPTTSNTEVDEDAVFENNDSTQKPKRIEVGDEDVDIDDDMPAASFPPVEIEKDEAAGREPDNCSSSSSSSGSSGSDSSSSSGSDSGSSSGSDSDADDAQS >itb15g00380.t1 pep chromosome:ASM357664v1:15:237969:239415:-1 gene:itb15g00380 transcript:itb15g00380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDYIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRVICSLYASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGLVSSSSSSQKTRPHQTPYPPPSILSSSPYSSPSISSPPPLIKSTNYFPSPTFHALSIPPQHSSVAGAYVGPIQNHQHHMVMTDRVLNFGGGAASCSSSDGSCTMMSSHGKDFDYSTESYGGLQSFVYDNGDVKPSVVESGGCYGGNNPLDYSSLEEIKQLITTNNMCNNFFVDDNKAQENVVLYY >itb10g19700.t1 pep chromosome:ASM357664v1:10:25457887:25460633:1 gene:itb10g19700 transcript:itb10g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSTFLLLFLLLLPCSPSQANLRPNSGERLPRQLSVNYYAKTCPQVDRLVASVTSQQFQDSPAVGPATIRLFFHDCFVGGCDGSILVSTRPGSKQLAEKDAEDNKDLPMAAFEGIKKAKTVVESKCPGVVSCADILAIAARDFVHLTGGPFYQVKKGRWDGKISMASKVRSNLPRANSTVDELLKLFTSKGLTMEDLVVLSGAHSIGFAHCKQFVSRLYNHKGTNQPDPSIDPRLLKALKMTCPRFGGNADVVTPFDVTTPFSFDNAYYSNLEAKMGLLASDQALFLDPRTRPLVQILAKDKQKFFQKFALAMEKMGSVGVKRGRKHGERRKDCSIHLSV >itb01g12790.t1 pep chromosome:ASM357664v1:1:12450023:12450734:-1 gene:itb01g12790 transcript:itb01g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTAPSERREEQQRRRPEKETKQRRCCRRFAFFAAPTPAARSTATPPNSSRRPTTFIEARIWSNCNPLSESPDREERAETLLGEPSLDSAVAPLRNANNRRLPVQLAPPSSPSEEPAPYSSCSLEEDGEAANGNPKAIAGETA >itb01g21100.t1 pep chromosome:ASM357664v1:1:27274816:27278343:-1 gene:itb01g21100 transcript:itb01g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAMKSMVFPMVLLRLVKWLLYRLLANSCYRAAVKLKNSTTSFFHKNPSFKSPNNQYSLYPNLTKYFVFPHHDNSQKTFVCDVQGTLLRTQSFFPFFMLVAFEGGSIIRAFFLLLSSPFLWFLDFELKLRLMIFITFCGLRLKDMETVGRAVLPKFYLENLNFHVYQVMDSCGAKMVFTSVPRVMVEGFLKEYLSVDDVKGTELHVIGNFFTGLVSGSGLLVKHRALKQVFGEKRPDIGIGTSSFHDHLFISPCQEAYVVSREENSKAASSSSSVMPREKYPKPLVFHDGRLAFLPTPLATLAMFMWIPLGIPLAIYRMFIGFLPYNAAIFLGSSSGLNVRVVGTDPPRSQNGKGVLYVCTHRTLLDPVFLSVVLKKPLTAVTYSLSRMSELIAPIKTVRLSRDRAQDGEKMQEMLSLGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAIDTSVSMFYGTTASGLKCLDPFFFLMNPVPTYRIKILEKVPRELTCAGGKSSFEVANYIQRQLGEALGFECTTLTRRDKYLMLAGNEGIVQNKN >itb07g07540.t1 pep chromosome:ASM357664v1:7:5732377:5734787:1 gene:itb07g07540 transcript:itb07g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFNPVCFFSFLIPLITAFYFVHGEAKLLSNCTKEFSCGDLGFVGFPFAKHTQPHCGLVAVNCDTTPPIVQLGMGGDWYQLKLLFQPFGADYSIYLGDSKLQKPFGSHNYSNLNYTIHFQNSPSITFLSLDASILNNFFFKCNDSQADDIGNYEGYNCSDGIITTGNTTTGNSTTGKTTTGNITTWNTPTGNTSKRKPIPIIVSGALALIVVSMAIVLVWQCKEGRKGYLRNTSSGPTSDLERGRSRLFGILVFSYSELEEATRNFHPSKELGDGAFGTVYYGILGGGREVAVKRLHERSCKRMEQFANEISILNRLKHQNLVRLYGCSSRHNREFLLVYEYIPNGTVADHLHGNRSADRLLTWPIRMKIAVETAAALAYLHASGIIHRDVKTSNIILDNNFCVRVADFGLSRLFPADVTHATTTPQGTPGYVDPDYHECYQLTYKSDVYSFGVVLIELVSSMPALDMRRHADEIHLAKLAMNKIVTRAFGELIDSSLGYEKDTEINRMTTSVAALAFQCLQPDKDMRPTMEHVLESLKEIQGNELSNDDERVNIEETNVSKEEVRSPTYPKSVNI >itb06g23160.t1 pep chromosome:ASM357664v1:6:25232558:25232896:-1 gene:itb06g23160 transcript:itb06g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATFFMFLFLVVPHRTIQFEHKPYPPCDECECAGNACKDKFPPEVRLEWPELVGVDEIAARETIGNTNPYVTVVAQYKGCTCTAKTCCNRVKLCLKPHPNSVITMIPMVG >itb09g09310.t6 pep chromosome:ASM357664v1:9:5603952:5609126:1 gene:itb09g09310 transcript:itb09g09310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t9 pep chromosome:ASM357664v1:9:5605352:5609126:1 gene:itb09g09310 transcript:itb09g09310.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t3 pep chromosome:ASM357664v1:9:5603577:5609126:1 gene:itb09g09310 transcript:itb09g09310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t2 pep chromosome:ASM357664v1:9:5603564:5609126:1 gene:itb09g09310 transcript:itb09g09310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t8 pep chromosome:ASM357664v1:9:5603564:5609126:1 gene:itb09g09310 transcript:itb09g09310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t1 pep chromosome:ASM357664v1:9:5603564:5609126:1 gene:itb09g09310 transcript:itb09g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t7 pep chromosome:ASM357664v1:9:5603564:5609043:1 gene:itb09g09310 transcript:itb09g09310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t4 pep chromosome:ASM357664v1:9:5603564:5609126:1 gene:itb09g09310 transcript:itb09g09310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb09g09310.t5 pep chromosome:ASM357664v1:9:5603952:5609126:1 gene:itb09g09310 transcript:itb09g09310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERIEDCCPENKQSIAASSSSVSENSGSVNLKSPGVSSPAPSSPVHRRTSGPIRRAKGGWTPEEDDTLKKAVAAFKGKCWKKIAEFFPDRSEVQCLHRWQKVLNPELVKGPWTQEEDDKIIELVEKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPNIKKDAWILEEELALINAHRVHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGNLPPLAKNVSQNTCKDISRTTPTEKGLECLSKRSDSTELASSGTTDVCKIVDGKIHLEGRSAIVDMGASTSSPQIESADSEDAPCEVKSSVCKSQSNTTPQLITTHASSSTEILDRSRSIGEREQFREIETSCEIPIYGSLYYEPPQLGSFVTLDSDFLNLCWVNPERDLNLGFSPTFPTPPSVKHSNLCVQTPESILKIAAQSFRNTPSILRKRKTESQSSTPSNKIGKADDDGLDDIQLSNTKPFNASPPYRLRSKRTSVLKSVEKQLDFTFSKEQDDNCPTTSGGSTVKQISQATKAMSDE >itb12g22500.t1 pep chromosome:ASM357664v1:12:24597040:24600612:1 gene:itb12g22500 transcript:itb12g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGINIMAEVDVPGHAESWGKGYPDLWPSPNCTEPLDVSKNFTFDVISGILSDMRKIFPFELFHLGGDEVNTDCWTSTPHVEQWLEDHNMTAKDAYQYFVLRGQEIAISQNWTPVNWEETFNTFASKLNPRTVVHNWLGPGVCPKVVAKNFRCIYSNQGVWYLDHLDVPWDEVYYADPLEDIDDPQQQELVLGGEVCMWGETADASNVQQTIWPRAAAAAERLWSGPEATSSKNTSSVVLQRLEYFRCLLTRRGVQAAPVTNFYARSPPTGPGSCYEQ >itb12g12220.t1 pep chromosome:ASM357664v1:12:10751608:10754274:-1 gene:itb12g12220 transcript:itb12g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQEAEEAMNTEAGSAAPFRRKPADARKRRTVCLVVGALILLLVIIIIILAFTVFKAKKPVTTVDSVSLADLDLTFDILRLAVRLNLTLDADVSVKNPNHVGFKYENSSVLLKYRGEDVGDAPIPAGKIGAGQTVPMNISLTIMADRLLTNNQLFTDVRAGTIPLSTFTRISGTVRILFNIHVKSETSCDLLINVASRSVANQTCHYKTKL >itb09g23320.t1 pep chromosome:ASM357664v1:9:22605169:22611269:-1 gene:itb09g23320 transcript:itb09g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNQLLSVLPSNQTQLDRVTRRSANYHPTIWGDYFLTYSSQPKEVHTQEWLEHQQLKEELKNMLVEVPDTSFKKLDLINKIQRLGVHYQFEKEIEASLEHIFKTYDEFNAGEDQRDLYNVSLCFRLLRQEGYHVSANTFEKFMDCDGKFKESFINNVPALLSLYEASHLRVHGEQVLEEALIFTTSHLESILPNLTNNLRSQVSEALKQPIRKRLIRLDAQKFISTFEPNGTQDALLLKFAKLDFNLLQKEHQRELGSLTRWWKGLDVPNKLSFARDRLVECYFWILGVYFEPKYSVARKFLIKVLSMTSIIDDIYDVYGTLDELKLFNDAVQRWDAGALIELPEYMRTPYIYLLDIYTEMENELSDNGQLYRVNYAKEEMKKAVGAYFEEAKWYLDGCNPTFEEYMKLAMLTSGYQMMATTSLVGMQEDFVTKDLFDWVNNGTLIVQAASIICRLMDDIVGHEFEQQRGHLDSSVEIYMKEYGKSKEETIREFLERITNAWKDINQQCLKPTSFPMPILIRVLNFARVIDLLYSDEDSYTHSKTKLKDCITSILVNPIS >itb07g00310.t1 pep chromosome:ASM357664v1:7:233849:235596:1 gene:itb07g00310 transcript:itb07g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNLLCLLSLLSLSLLASASPARDFSIVGYSPDDLTSIDKLINLFESWVEKHGKLYDSVEEKLHRFQIFKENLEHIHERNQGVTNYWLGLNEFADLSHEEFKHKYLGLKALPQKRESEFTYRDFVDLPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTAYNNGCNGGLMDYAFQFIVSNGGLHKEDDYPYLMEEGTCDEKRDESEVVTIDGYRDVPTKDEQSLLKALANQPLSVGIEASGRDFQFYSGGVFDGHCGSEIDHGVAAVGYGSAKGMDYIIVKNSWGPKWGEKGYIRMKRNTGKAEGICGINTMASYPIKHK >itb15g09070.t1 pep chromosome:ASM357664v1:15:6427703:6433340:-1 gene:itb15g09070 transcript:itb15g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MKQERKISKSSSWTKAVVKKWLNIQSGADEFHSDYTINGGMNRVERRRKSCSDESGRAVVPEELSDIWLIESSDGIRRTRFEEEESSATEELRMFVGTWNVGGKTPHDGLNLMEWIKSPPPADIYVLGFQEIVPLNAGNVLGAEDSGPAAKWLSLIRQALNTKSDPEISPNYTHTPDSHQKTSESPLLHELQHQTGLKPRPSFSDLLSIENELKNEESGRFFSTNSDSSTSDEGSEQSKFCLAASKQMVGLFLCVWVRSDLYQHISSLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLASGEKEGDEFKRNSDVIEILKRTRFYNSSSIRGKLVPPDNILDHDKIIWLGDLNYRLASTCEDTIFKLLKTNDWQPLLEKDQLRIEQKAGRIFQGWNEGRICFAPTYKYLANSDNYAVQTSASKDKRRTPAWCDRILWKGEGVKQNCYVRGESKFSDHRPVYSVFSSQLNLNNKNRNPVSTCVPNREAEQLFLPFRTQSCIQCAPRRFSAAA >itb15g09070.t2 pep chromosome:ASM357664v1:15:6427703:6433333:-1 gene:itb15g09070 transcript:itb15g09070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MKQERKISKSSSWTKAVVKKWLNIQSGADEFHSDYTINGGMNRVERRRKSCSDESGRAVVPEELSDIWLIESSDGIRRTRFEEEESSATEELRMFVGTWNVGGKTPHDGLNLMEWIKSPPPADIYVLGFQEIVPLNAGNVLGAEDSGPAAKWLSLIRQALNTKSDPEISPNYTHTPDSHQKTSESPLLHELQHQTGLKPRPSFSDLLSIENELKNEESGRFFSTNSDSSTSDEGSEQSKFCLAASKQMVGLFLCVWVRSDLYQHISSLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLASGEKEGDEFKRNSDVIEILKRTRFYNSSSIRGKLVPPDNILDHDKIIWLGDLNYRLASTCEDTIFKLLKTNDWQPLLEKDQLRIEQKAGRIFQGWNEGRICFAPTYKYLANSDNYAVQTSASKDKRRTPAW >itb06g18500.t1 pep chromosome:ASM357664v1:6:22127828:22132816:-1 gene:itb06g18500 transcript:itb06g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELVAKSIESSELNFSRYGDTFFEVVFTGGRTQPGTVKPDEGERHPYSVIECEPEREAILPSVIYVQKILRRKPFLIKNLENVMRRLLQSLELFEEKERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITDFFKEYLIENSVDDLISICKRGKMEDNLMEFFPSAKRTPEAFSEHFTNAGLLPLVEYNEKKIFEVKLKEMKAALTAQISEEADISEVIDTVKQHIKDAKLPDVEVVRILWDVLMDAVQWSGKNQQQNSNLALRQVKTWAKLLNEFCTTGKLELELIYKVQVQCYEDTKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQSFVKSLEPFVKWLEEAEEED >itb08g06220.t1 pep chromosome:ASM357664v1:8:5316180:5317940:1 gene:itb08g06220 transcript:itb08g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHTTTSRAEAERLLGIAEKLLRNKDYSGCKDFALLAQETEPLLEGSDQILAVAEVLLASTRKINNHQDWYSILQIGNRTDDAEQIKKQYRRFALLLHPDKNKYMFADAAFGLVADAWAVLSDPTKKSLYDNEMSLYTKVDLVPTKKPAAKWQPPTAAAARRSEDQGQKLPVRRSSRVSGNNSNNNENSNSNSGNENSNFNNSGGNNQSGGGRNTNPRSGIGGGVKAQRATFWSVCPYCYNLYEYERTYLGCCLRCENCERAFTAAEIRQMPPRVPGKNAYYCCWGFFPMGFVIGNTDGKRSISNSANAASGFPNWMPPMFPGGGGGGGGAPPAAAPAAAVAPTAPANGEGFVPVAEGNVGGTNVTVMGIAAGGAAPAAPKPKPKPSPQPVSGGPRKRGRPRKNPL >itb14g06490.t1 pep chromosome:ASM357664v1:14:5726810:5728411:-1 gene:itb14g06490 transcript:itb14g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDALRKSINGLVNKVNVTNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTRFPGVGNLLLRRIILQLQRAYKRNDKLQLLAAVKFIAHLVNQEVIHELIALELLAVLLENPSDDSVEVAVGFVTECGSMLQDLTPRGLHGIFERFRGILHEGEIDKRVQFLIEGLFALRKAKFQGYPAVRPELNLVEQVDQLTHEISFQDTIDPEIALDIFKPDSNFLENEKKYEELKTAILGEESEEEGNSHAESGDDDDDEEEEEEEDEEQMKLKDETETNLVNLRRTIYLTIMSTVGFDEAGHKLLKIKLEAGQEMELCIMLLECCSQERTYLRNYGLWGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVALFFAHLLATDALHWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHFGIRLLNDRLNDPTMQESFDSIFPKDNPKNTRFAINFFTQIGLGGITENLRAYLKNVQRLIMQQKKSVSESSSGSSSDESESESSSSSSEEDTRRKRMRH >itb07g00500.t2 pep chromosome:ASM357664v1:7:353601:357038:-1 gene:itb07g00500 transcript:itb07g00500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPAFIKDMLELEKWNIQIYVMASLVFQMILIHLAPMRRWTNNGFMYLVIWAVYLLATFFSTFALSLIYNDAPDEVNKSDIFPFWAPFLLVHLGGPHTITALAMEDNNLWHRHLLTQTVQVCSVLVVFYQYKIFQSEWLLPTAIVFLVGIVKGIERINSLHLASFNYVRRTIRHSGKTTSSSDNVVVDEYEIIRRGFELYQTFKGFIIDHTFRNKDECGTGREWLSELGEEDAFKVMEMEVNFMYQAMFTKMSSVQSWKHNYLWLIWFIWRFLCHALLITVAVIFFCHSKNHLKHADIVITYLLLGGAVVLDEIAIIHLIFSHWTIAKITRSAGRKEKKAVRSRILPVIYGGLKAFTANKCWSGKIIQYSLVGHSLRGRRCKWAETILNHYSVKEVIDSGLHTKTAKVGPRLKKLVVEDIKRKVAAEYEDVGNQGRRHVVMDIILPLVQEYISDDYATLVLTLHVATEICYFTTGEGDEEDAKLCLQISQYLAYLLVLEGNITSALPGSIGIGMRFKDICEEQAHHTFNDLYDTFNAAGIGKGTGTYPFTHNCHSN >itb07g00500.t1 pep chromosome:ASM357664v1:7:353601:357038:-1 gene:itb07g00500 transcript:itb07g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPAFIKDMLELEKWNIQIYVMASLVFQMILIHLAPMRRWTNNGFMYLVIWAVYLLATFFSTFALSLIYNDAPDEVNKSDIFPFWAPFLLVHLGGPHTITALAMEDNNLWHRHLLTQTVQVCSVLVVFYQYKIFQSEWLLPTAIVFLVGIVKGIERINSLHLASFNYVRRTIRHSGKTTSSSDNVVVDEYEIIRRGFELYQTFKGFIIDHTFRNKDECGTGREWLSELGEEDAFKVMEMEVNFMYQAMFTKMSSVQSWKHNYLWLIWFIWRFLCHALLITVAVIFFCHSKNHLKHADIVITYLLLGGAVVLDEIAIIHLIFSHWTIAKITRSAGRKEKKAVRSRILPVIYGGLKAFTANKCWSGKIIQYSLVGHSLRGRRCKWAETILNHYSVKEVIDSGLHTKTAKVGPRLKKLVVEDIKRKVAAEYEDVGNQGRRHVVMDIILPLVQEYISDDYATLVLTLHVATEICYFTTGEGDEEDAKLCLQISQYLAYLLVLEGNITSALPGSIGIGMRFKDICEEQAHHTFNDLYDTFNAAGIGKGTGIWCWKQTSRQEACECLIKKEYSKYEKSVLPKAVELAKAMNTQCADPEAGNNSQGEFWKGLSEVWVGLLVYASAHCRGDVYYLNKGGQFYTFVRLLMAHFGLQESLKGERPFQLRNEIHY >itb09g06740.t1 pep chromosome:ASM357664v1:9:3868020:3868706:-1 gene:itb09g06740 transcript:itb09g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNTVRRWTLNTTNSCIITRESPPPQPPATNRRCRRSEYIYYDGKSSKDRDEYVRKAPVPAPAAPPEERTSEGGRSFGAGSLSLFHLLFWGSFSLSPEIPTSMKTDRAWSACSF >itb12g01790.t1 pep chromosome:ASM357664v1:12:1213974:1218416:-1 gene:itb12g01790 transcript:itb12g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGKKRAVARFVAVLLVLCCAAPVRSDGSDHKYKAGDAVPLYANKVGPFHNPSETYRYFDLPFCLTDDVKEKKEALGEVLNGDRLVSAPYKLDFMYDKDSESVCKKKLSQKEVAQFREAVSKDYYFQMYYDDLPIWGFLGKVDKEGKSDPSEFKYYLFKHLHFEIFYNKDRVIEINARTDPSALVDITEDKEVDVDFMYSVKWKETTTPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKYKSLLASALGCGTQLFTLAIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYGQLEGSNWVRNLLLAGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRGALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLFFVRHIYRSIKCE >itb05g18360.t1 pep chromosome:ASM357664v1:5:25152112:25153813:1 gene:itb05g18360 transcript:itb05g18360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLNPAHIAGLRRLSARAAANSPSTPVPPRNSLLSFTSLADKVVAHLKNSGVRVEVGLSETEFARAEAEFGFSFPPDLKAILSAGLPLGPGFPDWRSAGPSRLQLRGSIDLPIAAISFHIARNALWSKSWGPRPSEPEKALKIARNALKRAPLLIPIFNHCYIPCNPCLAGNPIFYVDENLLFCCGFDLSDFFDRESSLFRASDPKILSKQRSISEKSAASSSNFSRRSLDALAGGRTPRWVEFWSDAVVDKRRRSSNSWSSSSSSPERYFEMPRSETPKWVEEYIHRIGSALRKGGWGESDVSEILHVSASGFFDGEMILLDNQAVRDALLVKADRFSDSLRKAGWSSEDVSYALGFDFRAEKERKPAKNLTPELVERIGKLAESVSRSSSS >itb14g10640.t1 pep chromosome:ASM357664v1:14:12061700:12065537:-1 gene:itb14g10640 transcript:itb14g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPIKICMIGAGGFIGSHLCEKLMAETQHTVLAVDVYNDKIKHLLEPASSHPWADRIQFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENSKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDESPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPSRANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGEAAIDSPTLDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKAISKPTAS >itb14g05010.t2 pep chromosome:ASM357664v1:14:4344845:4347448:-1 gene:itb14g05010 transcript:itb14g05010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATMVFIMCFLVFMLATLVCAEKSLYEEINVLKEFEDWEAEEGDGVELLDLPSFTKGRGNKVLVNVDSFGAVGDGVADDTKAFSDAWKQACSIPRSVLLVPSDGRYLVNATRFKGPCAGNLIVQIDGTIVAPDEPKSWDPKNPRVWLVFSNLTAVSFQGQGVIDGSGSKWWEASCKKNKSNPCKGAPTAFTIDLSSAIKVKGLTIQNSQQMHFTISRSDSVRITGVQVSSPEDSPNTDGIHITESTNVILQNSKIGTGDDCVSIVNASSSIRMKNIYCGPGHGISIGSLGKDNSVAVVTKVVLDTAFLRGTTNGLRIKTWQGGSGYVRAIRFQNVMMEDVSNPIIIDQFYCDSPTTCQNQVHSSLKNISLSQRRTL >itb14g05010.t1 pep chromosome:ASM357664v1:14:4344263:4347448:-1 gene:itb14g05010 transcript:itb14g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATMVFIMCFLVFMLATLVCAEKSLYEEINVLKEFEDWEAEEGDGVELLDLPSFTKGRGNKVLVNVDSFGAVGDGVADDTKAFSDAWKQACSIPRSVLLVPSDGRYLVNATRFKGPCAGNLIVQIDGTIVAPDEPKSWDPKNPRVWLVFSNLTAVSFQGQGVIDGSGSKWWEASCKKNKSNPCKGAPTAFTIDLSSAIKVKGLTIQNSQQMHFTISRSDSVRITGVQVSSPEDSPNTDGIHITESTNVILQNSKIGTGDDCVSIVNASSSIRMKNIYCGPGHGISIGSLGKDNSVAVVTKVVLDTAFLRGTTNGLRIKTWQGGSGYVRAIRFQNVMMEDVSNPIIIDQFYCDSPTTCQNQTSAVEISEVVYRNITGTSKSQKAMQFACSNSVPCTNIVLNNINLETRDGTAEVYCNSATGIGYGYIHPSAECLDSSDKEIEQETRAELAEENEELRLIHTEL >itb06g12980.t1 pep chromosome:ASM357664v1:6:17556039:17563762:1 gene:itb06g12980 transcript:itb06g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVISIMDIDDDQNEGNISSNLNKGKNIVVSVATKATPWVEKYRPQSLSDVAAHKDIVETIDRLASSNRLPHLLLYGPPGTGKTSTILAMARKLYGAQMQNMVLELNASDDRGIDVVRQQIQDFASTQSISFGAKFAVKLVLLDESDAMTKDAQFALRRVIEKYTRNTRFALICNNVNKVIPALQSRCTRFRFPPLDAVHVTERLKHVIESERLDVPESGLKALVQLSNGDMRKALNILQSTHMASQQITEEAVYLCTGHPLPKDIEQISYWLLNEPFAVGYKRILETKTRKGLALVDIVREVTMFVFKIKMPSDVRIQLINAMADIEYRLTFGCNDKLQLGSLIAAFTQARSALVAAAK >itb11g02690.t1 pep chromosome:ASM357664v1:11:1374993:1378000:-1 gene:itb11g02690 transcript:itb11g02690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAARPLVTIQALENDMATDGGSAADCLPLPGVMKAPIRPDVVTFVHSNISRNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKIPVNQKRYAVVSAIAASAVPALVLARGHRVESVPEIPLVVSDSAEGIEKTSNAIKALKQIGAYPDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEICHVDRLNLLKLAPGGHLGRFIIWTKSAFEKLDEVYGSFDKPSEKKKGYVLPRPKMVNADLARIINSDEVQSVVRPIKKEVKRATLKKNPLKNLNVLLKLNPHAKTARRMALLAEAQRVKAKSEKLAKKRHQISKEEASAIAAAGKSWYKTMISDSDYTEFENFSKWLGVSQ >itb04g29970.t1 pep chromosome:ASM357664v1:4:33204090:33207974:-1 gene:itb04g29970 transcript:itb04g29970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MRSFYILMISSILLYSCMHITKAEVITLTAETFNDKINEKHTAWFVKFCVPWCKHCKNLGTLWEDLGKATEGEDEIEIGEVDCGTNKPVCQKVDIHSYPTFKLFYNGEEAAKFQGKRDVESLKLFALEEAEKAERKAESGEDKEEL >itb02g25910.t2 pep chromosome:ASM357664v1:2:26708683:26714114:-1 gene:itb02g25910 transcript:itb02g25910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMAGVGEEGVDYESDPEEAKLSLKMRRREASDDEEETGGEREKQERREKPPRRIDSDGELEEQGGVAEYDEEEGEESEYDEEYEEEYVDEEEEEYDEGRAPVGEEEGVGEHPTEATVAEGSSEVIEEGLTAEGTGLNEGVDGDNDGNLQRELEEKKENEPYAVPTAGAFYMHDDRFRDNARGRQRRTFGGRKLWESRDDRKWGHDKFEELTMEERHYDGGRRTSRGRYRGRGKMRGSDPGYPRGRRPRAYGNENNQNNENKAYANNQKIQNNAPRGVRGRGPRRYRPSFKDNDDAPPPQNRRFGKSVEKPSHSSSGKASGPVANVDSDPLPASKQGFVSSLNSASPPFYPSSASTKEMNVAHERDLQSGSNSRNVQSSLVKENSSAAQPGAMLRGKNVIDSVAMDKLNIDDSVSSIAGKPSTTMQLTHGSSFSTQSQPLRAQGRGITTFPQMNFQPTGPNSQVNKVSHPTQRNSVQSRGQPSLQATGQHFIQRSGTGSQASSPPKTGQSINVVEPGELELPSESSKLKSAVIAKGKGSMPGSGRGSIVYGGAQVMGTPGSTGSGHGDQNFSATPAFLPVMQFGNQHPGGIGVPAVGMAFPGYVAQPQLGLGSSEMTWLPVLAGAAGALGATYCSPYIAVDGAYHGRPSGQISTLTAASSKENNSSKPNNEGKPSQKPELGNDDFGQRQKNPRRYTEMKFDQ >itb02g25910.t1 pep chromosome:ASM357664v1:2:26708683:26714148:-1 gene:itb02g25910 transcript:itb02g25910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMAGVGEEGVDYESDPEEAKLSLKMRRREASDDEEETGGEREKQERREKPPRRIDSDGELEEQGGVAEYDEEEGEESEYDEEYEEEYVDEEEEEYDEGRAPVGEEEGVGEHPTEATVAEGSSEVIEEGLTAEGTGLNEGVDGDNDGNLQRELEEKKENEPYAVPTAGAFYMHDDRFRDNARGRQRRTFGGRKLWESRDDRKWGHDKFEELTMEERHYDGGRRTSRGRYRGRGKMRGSDPGYPRGRRPRAYGNENNQNNENKAYANNQKIQNNAPRGVRGRGPRRYRPSFKDNDDAPPPQNRRFGKSVEKPSHSSSGKASGPVANVDSDPLPASKQGFVSSLNSASPPFYPSSASTKEMNVAHERDLQSGSNSRNVQSSLVKENSSAAQPGAMLRGKNVIDSVAMDKLNIDDSVSSIAGKPSTTMQLTHGSSFSTQSQPLRAQGRGITTFPQMNFQPTGPNSQVNKVSHPTQRNSVQSRGQPSLQATGQHFIQRSGTGSQASSPPKTGQSINVVEPGELELPSESSKLKSAVIAKGKGSMPGSGRGSIVYGGAQVMGTPGSTGSGHGDQNFSATPAFLPVMQFGNQHPGGIGVPAVGMAFPGYVAQPQLGLGSSEMTWLPVLAGAAGALGATYCSPYIAVDGAYHGRPSGQISTLTAASSKENNSSKPNNEGKPSQKPELGNDDFGQRQKNPRRYTEMKFDQ >itb01g01830.t1 pep chromosome:ASM357664v1:1:1083167:1084223:-1 gene:itb01g01830 transcript:itb01g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNCKHCICGVYKLHETSIKTLPTNIITTYSHLSQIIYIVVNMKTLIIALFLFLLPNPTHSTFNNNNPIRLPTANNNVTVTSDVTPVLDTDGDAVWAGRNYYITSVDIRGAPAGGVHLPPLRSTICPTRVIVSPPSTDGDRIRIWRSDFTISAIFPSTFHYFTFTDPAWGHCVNRVSWWIQYGHPSGQYFLNAGLFSLSNAGRFMIEAVQDQFLPDRNIYKLLFCPRGICYNVGRQIDNLGVMRLALTDDSPFYFMIKKA >itb10g12590.t1 pep chromosome:ASM357664v1:10:18624545:18625180:-1 gene:itb10g12590 transcript:itb10g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEICIACIIISALFLLIPGNNAFTVGPSALTSPESICNANPSPAFCRIKPMDGCSFHDIARRSFHYSFFLMERVFYPVVDNAFYRHWKWPSCVPLENRMFYAALESCDDLIRENKKILFQTFQVIKCRDKLEDPSQAQCITDLLRSVVRNHETCFDALTGAAASADDRTASEIKDMLSHRSIGSESFNATLVLFRLGWGQHQDQITHSAP >itb05g21680.t1 pep chromosome:ASM357664v1:5:27353876:27355747:1 gene:itb05g21680 transcript:itb05g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFPLKFVIVIVAVSILLVLPPVNSTSRNAIKLSRGFQVRLRHVDSGGNFTKFERLQRAMKRGRHRLHRLSVLGAAAEDDDVKSQIHSGSGEFLMELSIGTPPSPFEAILDTGSDLIWTQCKPCRQCFAQKSPIFDPVKSSSFSKLPCGNKLCAALPMSSCGEGCEYTYAYGDYSTTQGTMASETFTFDNKVSVRNVAFGCGDDNEGTGFSQGAGLVGLGRGPLSLVSQLTEPKFSYCLTAIDGGDSGGDTTAKSTLLMGSLAGIKPGKYGKVVTTALVKNPLQPSFYYITLKGITVGGTRLPIPESSFKLDSDGSGGMIIDSGTTITYLEGKAFEAVKKEFVNQMDLPVDDSGSTGLDLCFTLPADAEDITVPKMIFHFDGDDADLDLPGENYIIADKSSGMACLAMGSSSAMSIFGNVQQQNMMVVHDLTKETLSFVPTQCDQL >itb05g23040.t1 pep chromosome:ASM357664v1:5:28252754:28267123:-1 gene:itb05g23040 transcript:itb05g23040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MSEQKSSSSSGSYNRWSWDLPGFEPRRAAPEHDDQYRPKPPAPLVRRYSISSASIPTHSDLSKHALNSGLLRLKDKLKLVREDYAELRQEASDLQEYSNAKLDRVTRYLGVLADKTRKLDQAALESEARISPLISEKKKLFNDLLTTKGNIQVFCRVRPLFEDEGPSVVEFPDDFTIRVNTGDDSASNPKKDFEFDRVYGPHVGQVELFTDIQPFVQSAFDGYNVSVFAYGQTHSGKSHTMEGSSHDRGLYARCFEELFDLSNSDATSTSKFNFSVSVFELYNEQIRDLLLESGGDLPKTGIRLPDCFVELVQEKVENPLDFCRILKVAFQNRGSNTSKFNATHLIICVHIYYENVITGENFYSKLSLIDLAGSESVTVEDDSGGQATDLLHVMNSLSALGDVLNSLTSKKDSVPYGNSMLTKLFADSIGGNAKTVMIVNICPNALNLSETLSSLNFSARARSAVLSLGNRDTIKKWRDIANDARKELYEKEKEINDLKQEVTATKQDLKHANDQCVLLFNEVQKAWKVSFTLQSDLKAENIMLVDKHKIEKEQNSQLRNQVAQLLQLEQEQKMQIKERDSTIQALQTKLKSIELQLNEALSSSEKRSINGSESRPTVQTSSKPTTDNMDSTAVTRRLEEELLKRDALIERLHEENEKLFDRLTEKASLAGSPQVSSPSPKGPTVQSRDMGRNDNNIKGRGVDEVPLPLVSDKSEGTVALVKPGTENIKRTPAGEYLTSALNDFDPEQYDSLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKANTGRSRSSSRGSSPGRSPVRYNSLVDEQIHGFKVNLKPEKKSKLSSVVLKIRGIDQETWRQHVTGGKLREITEEAKSFAVGNKALAALFVHTPAGELQRQIRNWLAENFDFLSVTDDTVGGATGQLELLSTAIMDGWMAGLGAAMPPNTDALGQLLSEYAKRVYGSQLQHLKDIAGTLATEVAEDSAHVAKLRSALESVDHKRRKILQQMRTDVALFTLEDGGSPVRNPSTAAEDARLASLVSLDGILKQVKDIMRQSSVNSLGRSRKKAMLSSLDELAERMPSLLDIDHPCAQKHIEETRHIVESIPEEDDRLHDTVNSADAVGSAGETDVAQWNVLQFNTGSTSPFIIKCGANSNSELVIKADGRVQEPKGGEIVRVVPRPTVLENMNLEEMKQLFTQLPEALSLLALARTADGTRARYSRLYRTLAMKVPALRELISELEKGGVLKDMKS >itb03g29620.t1 pep chromosome:ASM357664v1:3:30577726:30584972:-1 gene:itb03g29620 transcript:itb03g29620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPMAVVKPEMMPYIWLQTADGSIQQVEEEVAMFCPMICREVLQTGMGSSKNYAISLPQRVNPSILGLILDYCRFHQVPGHSNKERKAFDEKFVRLDTKKLCELTSAADSLQLRPLVDLTSRALARMIEGKTPEEIREIFHLPDDLTEEEKLEPLRNETDDLRIRLLNRLYARKRKQLKEKQKSKNVEVEEEQVVDERSVDDLLSFINGGDEDGDVRSSKNKKKNRRRKDQATSSSNNEPGGNKDNCLPPNQLNRDIDGMPPSRRTSNPHDSRTVISTPKVELDDGDIDDEFDPAREEEIDREVEDFARRLNSNWPERKQELLCLGQERKVLAISSMNGSSSLKRCSN >itb08g06470.t4 pep chromosome:ASM357664v1:8:5495915:5496831:1 gene:itb08g06470 transcript:itb08g06470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMYVLASQISPMRTTCTLKFRCIRTYEGWELYGSLLPCECVINLQEQECHEGVRVIRTGFVMEKKGLSEIMGKEVIFHEDAEED >itb08g06470.t2 pep chromosome:ASM357664v1:8:5495915:5497382:1 gene:itb08g06470 transcript:itb08g06470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMYVLASQISPMRTTCTLKFRCIRTYEGWELYGSLLPCECVINLQEQECHEGVRVIRTGFVMEKKGLSEIMGKEVIFHEDAEED >itb08g06470.t1 pep chromosome:ASM357664v1:8:5495915:5497382:1 gene:itb08g06470 transcript:itb08g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMYVLASQISPMRTTCTLKFRCIRTYEGWELYGSLLPCECVINLQEQECHEGVRVIRTGFVMEKKGLSEIMGKEVIFHEDAEED >itb08g06470.t5 pep chromosome:ASM357664v1:8:5495915:5497987:1 gene:itb08g06470 transcript:itb08g06470.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMYVLASQISPMRTTCTLKFRCIRTYEGWELYGSLLPCECVINLQEQECHEGVRVIRTGFVMEKKGLSEIMGKEVIFHEDAEED >itb08g06470.t3 pep chromosome:ASM357664v1:8:5495915:5496831:1 gene:itb08g06470 transcript:itb08g06470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMYVLASQISPMRTTCTLKFRCIRTYEGWELYGSLLPCECVINLQEQECHEGVRVIRTGFVMEKKGLSEIMGKEVIFHEDAEEFGNFWVADRISGIESLNHW >itb14g02800.t1 pep chromosome:ASM357664v1:14:2407253:2410323:1 gene:itb14g02800 transcript:itb14g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGVSTAMIVSSFFISLCLLIAARFTYKVIWVPFVVGYAMRSQGIKGPSYKFIYGNTKEVIQMRMQSISSAMEISHDILPRVHPHYFSWIKLYGANFLHWIGPKPQMVVTDPELIREDMAPDMVASVEEMLERWKHEQEKGKEIEVTEEFRLLTCEVISKTAFGSSYLEGKNIFEMLMKLSANIIRDITRPRFFGIEKLLRLNEDMESNRIERSLYESITELIRKREAMMAESGKVESLGSDFLGSLLKLHHHVDKENRISVQDIIDECKTFHFAGHETTNSLLAWVIVVLAIHTDWQEKARKEVFEICGQENPKPDAVQRLKTVNSLFFTSQL >itb01g20400.t1 pep chromosome:ASM357664v1:1:26669254:26669564:-1 gene:itb01g20400 transcript:itb01g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFIPSKLLRTLICDGPNGIGVQGLADTFILLGMAFDSPEAQDLNKEPCSECLRTRLYMRMDLCRKSLKFLTSLN >itb12g21820.t1 pep chromosome:ASM357664v1:12:24037127:24037777:1 gene:itb12g21820 transcript:itb12g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSISVEPLFPFLGPIVETEFPNTLRELELEVDPNAKPGPLEAPKVKSVVDPAPALMAKISVWTLQNLLDEEAVEFALKLYTQGVVLLNMEAIELTVKLNAPGVALLDEETIKPPPKLNNAVGVVVVLEEEVVELPLKLKTLRVALLYKEAVEQPPKLNTLGVALLDEEAIEFPLKLNTLRVALLYKEAVEKPPKLNTLGVALLDDEAIELPLKL >itb02g15130.t1 pep chromosome:ASM357664v1:2:10992855:10994910:1 gene:itb02g15130 transcript:itb02g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLLFVAFLLFLVVSAAAHGGHDDDDADSGGESEKPDLRSRPLILVKIWCLIIVFVGTFAGGISPYFLKWNEGFLVLGTQFAGGVFLGTALMHFLSDANETFEELSDKEYPFAFMLASAGYLLTMFADCVISYVYSKQINVSDKPAADLELQGNTEGRNFNGIGTTHPQSQGCDGNESHFLHASSLGDSLLLIFALCFHSVFEGIAIGVADTKADAWKALWTVCLHKIFAAIAMGIALLRMIPNRPLLSCVAYSFAFAISSPVGVAIGIIIDATTQGAVADWIYAISMGIACGVFIYVSINHLLSKGYKPQKTVGVDKPHFKFMAVLLGIGVIAIVMIWDT >itb11g01150.t1 pep chromosome:ASM357664v1:11:527373:529099:1 gene:itb11g01150 transcript:itb11g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAESELLQPVPVLLEISGGATATAPEEQEQEPLLRWITGDADDPSMANLSKLLQGGDQAEYEFNAGDHNFGADPDSSSSGSAFLPTSSFPANLQTPSFLSFLDSSDMKPQHLQSPSFFMPLPYPYQSENQSISTPPPTKRHNPGTLGVPETIDHLFKTAELIQAGNSVLSQEILARLNHHLSPIGKPFHRAAFYFKEALQSLLLPHATKPTVPSSPFSLLVFKIGAYKSFSEVSPLPQFANFTTNQALLEVLEGFHRIHIVDFDIGYGEQWASLMQELALKNGSTPTPSLKITALASPLKQQEQLELGIIRDNLVQFASELNMGFEFETLGVDHLNSSSWSDSDSEAAIAVNLPVGWLSRNQQVSLPLVLSFVKQLQPKIVVSVERGCDRTDLPFPDHIIHALHSCSNLLESLDAVNMNPDALQKIERFLIQPGIEKTVTARFDSPEKTQTQHWRTVFLSSGFTPFSFSNFAESQAECVLKRTPVGGFHVEKRQSSLVLCWQRKELISASAWRC >itb15g13960.t1 pep chromosome:ASM357664v1:15:12068543:12069520:1 gene:itb15g13960 transcript:itb15g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKNSQNLVQKICELYDEISRLEDLKPSKDVNMLFTQLVHTCIPPNPIDVSKLCSKIQDMRSNLIRLCGEAEGLLESHYSNILGSLPDPLQNLSLFPYFNNYLKLSLLEFDLLSRHCGVAPPRRLAFVGSGPLPLSSIVLATCHLTATDFHNYDIDPAASSMAARLVGSDPGLARRMFFHTADIMGVTCEFKDYDVVFLAALVGMDKEEKGRAIDHLAKYMAPGSVLVVRSAHGARAFLYPVVEPRDLRGFEVLTVYHPTDDVVNSVIVARKMSLPVFNSYDQGAAGSAVVLPTKCSCAEIHAFNPLNKLSMIEEFSLDQEQLS >itb04g19880.t1 pep chromosome:ASM357664v1:4:24317545:24318260:1 gene:itb04g19880 transcript:itb04g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNQIKSRFGESPVPINYWAVLLNQSSKKLALPDSSATQCHHSSSSKLRNGAVRLRPKITDTVKGKLILGAKLLQAGGVEKVFNKKFGAKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSDRSIKILSSTGKSMRMHYKVSIPITKIKSANESENLENPSDKYIQVITEDHFEFWFMWFLHHQRTLKYLQNAISQSKYL >itb01g27770.t1 pep chromosome:ASM357664v1:1:32426707:32430056:-1 gene:itb01g27770 transcript:itb01g27770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MASSDTDSSCPSSAIESFDGTFNISIEGTDSEGTLLFLEAEPKSPVSCRRQVPKPPTPPPKVCLRDSAHRGLADSCCILKKAKDIWDELFVEGYGADVHITTEDGSIIPAHYGLLRVASPVLGNFLQQSKVNNGIRYIKIPSMPYDAVFIFIRFLYSSSYDEGEMKKFALHLLVLSHSYSVPSLKRVVCEHILEQGLLDSENVIDVLQLARKCDASRLSFVCTQMIVRDFKTISSTEGWKIMRRSNPALEQELLEYVVEADTRKQEQQRKIEEKKVYLQLYEAMEALIHICKDGCRTIGPRDKVLKGGPVACNFPACKGLESLVRHFSGCRTRVPGGCVQCKRMWQLLELHSRMCNESDVCSVPLCRHFKVKVVQHSKKEEVKWRVLVSKVQAAKIALGPFSSRRSAFL >itb01g27770.t3 pep chromosome:ASM357664v1:1:32426707:32429103:-1 gene:itb01g27770 transcript:itb01g27770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MASSDTDSSCPSSAIESFDGTFNISIEGTDSEGTLLFLEAEPKSPVSCRRQVPKPPTPPPKVCLRDSAHRGLADSCCILKKAKDIWDELFVEGYGADVHITTEDGSIIPAHYGLLRVASPVLGNFLQQSKVNNGIRYIKIPSMPYDAVFIFIRFLYSSSYDEGEMKKFALHLLVLSHSYSVPSLKRVVCEHILEQGLLDSENVIDVLQLARKCDASRLSFVCTQMIVRDFKTISSTEGWKIMRRSNPALEQELLEYVVEADTRKQEQQRKIEEKKVYLQLYEAMEALIHICKDGCRTIGPRDKVLKGGPVACNFPACKGLESLVRHFSGCRTRVPGGCVQCKRMWQLLELHSRMCNESDVCSVPLCRHFKVKVVQHSKKEEVKWRVLVSKVQAAKIALGPFSSRRSAFL >itb01g27770.t2 pep chromosome:ASM357664v1:1:32426854:32430056:-1 gene:itb01g27770 transcript:itb01g27770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MASSDTDSSCPSSAIESFDGTFNISIEGTDSEGTLLFLEAEPKSPVSCRRQVPKPPTPPPKVCLRDSAHRGLADSCCILKKAKDIWDELFVEGYGADVHITTEDGSIIPAHYGLLRVASPVLGNFLQQSKVNNGIRYIKIPSMPYDAVFIFIRFLYSSSYDEGEMKKFALHLLVLSHSYSVPSLKRVVCEHILEQGLLDSENVIDVLQLARKCDASRLSFVCTQMIVRDFKTISSTEGWKIMRRSNPALEQELLEYVVEADTRKQEQQRKIEEKKVYLQLYEAMEALIHICKDGCRTIGPRDKVLKGGPVACNFPACKGLESLVRHFSGCRTRVPGGCVQCKRMWQLLELHSRMCNESDVCSVPLCRHFKVKVVQHSKKEEVKWRVLVSKVQAAKIALGPFSSRRSAFL >itb03g20650.t1 pep chromosome:ASM357664v1:3:18521493:18521855:1 gene:itb03g20650 transcript:itb03g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCGTEITMVVFSPSGKAFTFSNPDLNTVLTKYFVQNATTEAIIHEMIVRNHREANMRMKNVQINILEAQIDEEMLVNQALREAERGRPSISSLQLPKLQFMKHQMETLYYQVIEKLN >itb08g03630.t1 pep chromosome:ASM357664v1:8:2939390:2943515:-1 gene:itb08g03630 transcript:itb08g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENQTNRQVTYSKRRNGLFKKAHELTVLCDAKVSIIMISTSGKLHEYISPSTSTKQLFDQYQKTVGADLWNHHFEKMQDQLKKLRDVNRALRREIRQRMGEGLNDLSFEQLTELIEDVDNSIKLIRERKYKVIGNQIETHKKKVRNVEEIHRNLLLECEARQEDPYGLVDHEGDYNSVLGFPNGGPRILALRLQPDHHHHHHHHGHLHSGGGSDLTTFTLLE >itb03g28360.t1 pep chromosome:ASM357664v1:3:29143175:29145088:-1 gene:itb03g28360 transcript:itb03g28360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDKHNFQEEEEELETISLNNFPTANTDAAENDGGIHDRRLPSDPVVDLFEFFSDFTSESETSHAEEIIFCGKVMALKGQAQRSADGDGRKLQSFHGRRCESLSSIPRNGSKSGRWQLPRSSRSLDYKKLRRTPSLCSSELDRSSSKPDNVSPAAGLKPQKPRWYALMFGLVKFPPEMDLQDIKNRQVRRTVSPMFHIDDRSSSETGRNSPSMRNERKSSWDFIKMLSCKDHASVAVTTSSFDCISHA >itb10g10580.t1 pep chromosome:ASM357664v1:10:15270554:15273300:-1 gene:itb10g10580 transcript:itb10g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFSSRHCRKGRRTRVVALALFFLYFCGCLVSLSCAARLSASMQKLEVQKHLKRLNKTPVKTIESPDGDIIDCVHISKQPAFDHPFLKDHKIQMRPSYHPEGLYDVNKESMGPKERTGSIAQLWHMNGRCPEGTIPVRRIKEDDVLRASSVKRYGKKKSRSIPKPMGSDPDLINQSGHQHAIAYVEGERYYGAKATINVWEPQVQQPNEFSLSQLWILAGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQTNSEIAMGASISPVSDDQNSQYDINILIWKDPKGGNWWMQFGNDYVLGYWPSFLFSYLGDSASMIEWGGEVVNTEADGKHTSTQMGSGHFPEEGFGKAGYFRNIQVVDSSNNLKSPKGLG >itb13g14090.t1 pep chromosome:ASM357664v1:13:20626205:20630054:1 gene:itb13g14090 transcript:itb13g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSCYLHPKEVVVGVCALCLNERLTVLASKQEKAAPGPAKFYHTITNNSKNPRRKTSSSATYYSFSSTTKPHQPSRIHLPKIFTLSSLLRRRSDPDSHHDGGASTSSREDSFISIKFENNGAASWEKGGTVPMVSLDHCDMPWRRSSSNTNNNTAALVEHAKQPRGSLRWRKRIGHIFQVIKLRRSSTKSNACHVAAKLEGGVKVVRRGQGWMRTLTKRKTNKE >itb10g13250.t1 pep chromosome:ASM357664v1:10:19420467:19422128:-1 gene:itb10g13250 transcript:itb10g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKEEEALCSDEERPALGLKLKKTPSFIEELERKLLSQDENNNTNNSMFDEGEENHPPPQLMDSSPDFMSQPMHEKLKASNFSAIMLRIGGFERVSRHESDLVAKLYYAKRKLVWEFLEGPLKKKIEIQWSDIGAMRATIEDGQPGVWEIELNQPPLFFHETNPQPRKHTLWQPVGDFTNGHASRIRRHFVKFPRGVLDKHYEKLLQCDPRLQMLSQQPFPTQNPNIFFLQQPTNNSSYEVSDLCLDFQRNAMPNPLNILTHTNPYINIPQNNNYNYTWFLNATKLPPNTNTITTNIVNAFNNETQSSSSTFPLPDTCVYPTHEYPMNPICNYPNPNNHLIDNQVVTYNNHQTMAVVNSVPGGNNNFHPNMDAVLSFYPNNLHNNVSNGQALETHHHVAQGTLYHGSPWVPSHFTNGDHSPAGSNGEDSGRHLQ >itb08g13090.t1 pep chromosome:ASM357664v1:8:13629731:13633420:1 gene:itb08g13090 transcript:itb08g13090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELEASKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKVEYDEAGPSIVHRKCF >itb02g18480.t1 pep chromosome:ASM357664v1:2:14853686:14855464:-1 gene:itb02g18480 transcript:itb02g18480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNQRTVEPSAKIIHPHAAPTPPPKQIQVTPTSHSPSALNKSSKLSRRELAISANSSLLLLLGTQALVPLHQFKARAEEVSETTDVIQPENTPGESEVKAGEVSQGTDITENEAKAEAVSENTDVIKQENDLGENKARTEEVSENTYVTEKGNGVGESQAKADEVSENTNATQPENDSGKNNYCAGQNLTNRAFLEVSIDGEPAGRIVVGLYGNTAPGGTARFSNLVSGRAGISYRRKEFVKIMPTYVQHGGVRSYGVDAELASRRGSNMTVDSLVSEWEKQYESCPGTKNIAGSISIIVRDPSKPPPKVKLVARNGKLEIDQEEVGKAPNGTEFIIATKDSPELDSSALVVGRVLEGMDVVERIGQVKTVKENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVMITNCGLM >itb12g22290.t2 pep chromosome:ASM357664v1:12:24396725:24400816:1 gene:itb12g22290 transcript:itb12g22290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MASWLHLRLNTPIPSSIKFGATPPPIPAAGSDTVAMANSIRVAAAQMTSVNDLATNFATCSRLVKEAASAGAKLLCFPENFSYVGAKQGDSLKIAEPLDGPILRGYCSLARESSIWLSLGGFQEKGCDDAHLRNTHVLIDDAGNIRSTYSKMHLFDVDVPGGAVYKESSFTEPGKDIAVVDTPFGRIGLTVCYDLRFPDLYQHLRFYHDAQVLLIPAAFTTATGQAHWEILLRARAIETQCYVIAAAQAGKHSEKRESYGDTLIIDPWGTIVGRLPDRSSTGITVADIDFSLIDSIRAKMPLSVLRFSSTESLLISGDLLLFE >itb12g22290.t3 pep chromosome:ASM357664v1:12:24396725:24399594:1 gene:itb12g22290 transcript:itb12g22290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MASWLHLRLNTPIPSSIKFGATPPPIPAAGSDTVAMANSIRVAAAQMTSVNDLATNFATCSRLVKEAASAGAKLLCFPENFSYVGAKQGDSLKIAEPLDGPILRGYCSLARESSIWLSLGGFQEKGCDDAHLRNTHVLIDDAGNIRSTYSKMHLFDVDVPGGAVYKESSFTEPGKDIAVVDTPFGRIGLTVCYDLRFPDLYQHLRFYHDAQVLLIPAAFTTATGQAHWEILLRARAIETQCYVIAAAQAGKHSEKRESYGDTLIIDPWGTIVGRLPGKHFSF >itb12g22290.t1 pep chromosome:ASM357664v1:12:24396725:24400836:1 gene:itb12g22290 transcript:itb12g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MASWLHLRLNTPIPSSIKFGATPPPIPAAGSDTVAMANSIRVAAAQMTSVNDLATNFATCSRLVKEAASAGAKLLCFPENFSYVGAKQGDSLKIAEPLDGPILRGYCSLARESSIWLSLGGFQEKGCDDAHLRNTHVLIDDAGNIRSTYSKMHLFDVDVPGGAVYKESSFTEPGKDIAVVDTPFGRIGLTVCYDLRFPDLYQHLRFYHDAQVLLIPAAFTTATGQAHWEILLRARAIETQCYVIAAAQAGKHSEKRESYGDTLIIDPWGTIVGRLPDRSSTGITVADIDFSLIDSIRAKMPLSVHRKPADFWRSASL >itb07g19160.t1 pep chromosome:ASM357664v1:7:23611446:23616560:1 gene:itb07g19160 transcript:itb07g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >itb06g25310.t1 pep chromosome:ASM357664v1:6:26425259:26428362:1 gene:itb06g25310 transcript:itb06g25310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQSEVATRKKIKYRGIKAMPLVIGNETFEKLGTIGTSANLLVYLTTIFHLKNITATNIINIFNGTCNFGTVIGAFFSDTYFGRYTTLGFASVASFLGMLVMTLTAAISTLHPEPCKGSSATLCNAPTAGQMAFLLCGFGLLVIGASGIRPCNLAFGADQFDPNTESGRRGIGSFFNWYYFTYTFAVMVSFTAIVYVQSNVSWSWGLAIPTLLMFLSCALFFAGTKIYVRVVPDGSPFKSVAQVLVAAFKKRRLDLPEQPLSLFHHAPSSSLNSRLPFTNQFRCLSKAAIRTAEDVIKEDGAAENPWELCSVQQVEEVKCLLRILPIWGAGVIYYISVVQAQNFVVFQAIQADRRLGGAAFQIPPASFIVFAMLSITIWLPIYDRLILPILRKHTNKEDGITLLQKMGIGMFLSVITMVLSAIVETRRRTLAAGMKNEVSSMSALWLIPQMALSGLSEAFAVIGENEFFYRQCPENMRSIAASFLFVGLAGSSYLSSLLTSVVHRTTGWLAQDLNQGRLDYFYHVVAALEMMNLIYFLVCAKWYKYKGNTNTHSLQKPPV >itb06g25310.t2 pep chromosome:ASM357664v1:6:26425333:26428295:1 gene:itb06g25310 transcript:itb06g25310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMTLTAAISTLHPEPCKGSSATLCNAPTAGQMAFLLCGFGLLVIGASGIRPCNLAFGADQFDPNTESGRRGIGSFFNWYYFTYTFAVMVSFTAIVYVQSNVSWSWGLAIPTLLMFLSCALFFAGTKIYVRVVPDGSPFKSVAQVLVAAFKKRRLDLPEQPLSLFHHAPSSSLNSRLPFTNQFRCLSKAAIRTAEDVIKEDGAAENPWELCSVQQVEEVKCLLRILPIWGAGVIYYISVVQAQNFVVFQAIQADRRLGGAAFQIPPASFIVFAMLSITIWLPIYDRLILPILRKHTNKEDGITLLQKMGIGMFLSVITMVLSAIVETRRRTLAAGMKNEVSSMSALWLIPQMALSGLSEAFAVIGENEFFYRQCPENMRSIAASFLFVGLAGSSYLSSLLTSVVHRTTGWLAQDLNQGRLDYFYHVVAALEMMNLIYFLVCAKWYKYKGNTNTHSLQKPPV >itb13g10810.t1 pep chromosome:ASM357664v1:13:15788842:15790633:-1 gene:itb13g10810 transcript:itb13g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVPVWRGNLEGERLDDLFGFIEALVVVPVILSAPSCLIGIRIVTLLFPTGQFAGVYYSEEFQYARDLGYTIIPLRGYLFEKKASPFEAFVSELYGSRQRAKKEGDEAMSYVYKTLMNPLRSPKITILLVILPTGLRIRIGIPLGSRRFKSAAITACSRINMYQYISRDDCYYTDTDSVVLGSPIPDEVISSTELGKFKLEYFVKKGIFLAPKSYYILTTEEKRVLKHKGIAKSLVNEEWFETQYAELHKTKQIPVQTNFQIDWESLNVMKREKIVNLGIKVNTKREPVFDNNQTWVDTTPLNVTDYAGQEKRILEYNLECLQKENAMKDREIEQLRSLIASISSDSLRKDEQGRSQPSDDPNNPAIQSHSSPTLFKHPPIKNKNKNKNKHTYRGKKKKKKPGTFGETGKLPPPGVSLPRRLRHGGSTMSSRNPRVQRSLSIGLITR >itb09g26270.t1 pep chromosome:ASM357664v1:9:26732887:26736032:1 gene:itb09g26270 transcript:itb09g26270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA16 [Source:Projected from Arabidopsis thaliana (AT3G04730) UniProtKB/Swiss-Prot;Acc:O24407] MLNFEATELRLGLPGGGGGGNDGEAAKSNGKRAFSDTVDLKLKLSSKESNVDQVENLEDNNNNVVTSPSDSTKPPAKAQVVGWPPVRSYRKNILSGQKAAGESSGGGAALVKVSVDGAPYLRKVDLKMYKSYHELSEALGKMFSSFTIGNCGTHGFKDFMNESKLIDLLSGSDYVPTYEDKDGDWMLVGDVPWNMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRS >itb14g12630.t1 pep chromosome:ASM357664v1:14:14320709:14321292:1 gene:itb14g12630 transcript:itb14g12630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELNQEVMEAPAGAVDPEIVDDMEIDDEKTPTNSPSKPDPFESPPPPPVLAVKHRSRINCEEEDIWKVTSRKLFEESSPALPPPTAIPAAGAEEAKETVELQG >itb05g02760.t1 pep chromosome:ASM357664v1:5:2240734:2241402:1 gene:itb05g02760 transcript:itb05g02760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDTWFSANINDKAGDKMDTNWRNTFAITIWWLWKWRNDMIFQGKAWTIQMKMEWIGKQRQEIKRAFTRANIPGGTHGRNCSKNLKWQPKTNARYILNIDGSFKHSDNLVGGGGVLRDRNGNWIAGCAQRFRATTALEAEWKALTMGVQWAKSKGYMDCEIQTDCERIANSINDNSWTSNGQDHAFDFLRKKIMEQGVDRVFHVYREQNQVADRLAKFVLL >itb11g05600.t1 pep chromosome:ASM357664v1:11:3309024:3309763:-1 gene:itb11g05600 transcript:itb11g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGRGDQETCRRLAPPAAAAAVSGRVSCELCDSEASLYCQADDAFLCRKCDGWVHGANFLARRHIRCLLCGVCRSLTQRYLIGTSVQVVLPTIVSSPERISSSGNSRVNGSHENSNKTLSTTLKKPFLFL >itb13g18010.t1 pep chromosome:ASM357664v1:13:25000628:25004242:1 gene:itb13g18010 transcript:itb13g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MAPTPSAKSNQTHFGNPKTPQSKHRLNFNLARPSPNPAAKEAPPAEHPVEVVGRIRDYPDRKEKPPAALKLNADRQSLRVKTDIGYRDFHLDGVSFSEEEDLDLFYKKFVGARINGVKLGDKCTIMMYGPTGAGKSHTMFGSSKQPGIVYRSLKEILGEGDEVSENCGVGTFVQVTVLEIYNEEIYDLLSTNTGGGFGFGWSKGNASKVRLEIMGKRAKNATFISGNDAGSLSKEIQKVEKRRIVKSTLSNDRSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQSGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELYKTISTLEYGAKAKCIVRGPSTPIKDKGGDDSSSADVLGSRIAAMDQFIYKLQVENKLREKEKNEAQKELRRKEEEISALRAKLSAAEGKGLKSNEEEVKIKVKEQTLAMKSELEKKIQECQQMANKFVEMERRKMEERMLQQQQEVDLLRRRLEEIELDLQRSKGSADLGGETSFAERILEIYSDDSDMVKSMDLSKSYDMETIKRDAAAGAYRTENSSSIDTIFGYNSITNPSGGEEDVVTDVPTFTTNKVSLSTVFEEEEEEEDGEDNNKCSIDEEVQKEVVEEKTVCSSAVVGMPDMLSQIGNLLVENSSATASRFSHEDENGEDAAAASYRERRIQNIFTLCGNYREMSHQNMRETPMLDSGYLSSSPPAKTIQEHSATKSVPKDVLESDNPRFEHKSAEKNTNLFEAKDLKENFNPSDENSRDLEVYVKWTPSKDPLGAPGPKEQEARTSASKLPTCNNNQLHGGHLNQLPLGQVQNLLPVDGF >itb14g19200.t1 pep chromosome:ASM357664v1:14:21932002:21937772:1 gene:itb14g19200 transcript:itb14g19200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLIAVFCLLIALMSPFIDASQSADEARFQSLKNSSMANRLNDHHSESKHEHAVDDPEAVASMVDTIIRNATERRKLGFFSCGTGNPIDDCWRCDRNWQRHRKRLANCAIGFGRNAVGGRDGRYYVVSDPGDDDPVNPRPGTLRHAVIQDQPLWIVFKRDMVITLKQELIMNSFKTIDARGANVHIANGACITVQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAVIGSTAITISNNYFTHHNEVMLLGHSDSYTRDKAMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRYLAPTNRFAKEVTKRVETADGVWKHWNWRTEGDLMLNGAYFIASGRVSSASYARASSLAAKSSSLVGTLTSNAGVLSCRTGMQC >itb12g05690.t1 pep chromosome:ASM357664v1:12:4246001:4250886:-1 gene:itb12g05690 transcript:itb12g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLIGGSLLGVAFDKLYVVVVDSCRKVAAFRSEFESLKLTLTHIKPIFKDIEKLNKLTGTREVETNVLIKQLTEAEALIEECVKIKRHHLCKKWRYSKKLAKLEKSLSRFFAIDVQIQSSRDIKKALVKVNGIDDKTDEILGHLRNLTINQGIGIGATAIGTPNAFPGWADVPAVPDGLVGFDSPLLELKKMVLKDEAGQPVVVISAPGGCGKTSLVKLLCHDKEIEDKYKHISFVTVSRTPNLRSIIHKIFNKLNNGSVPDFQSNEDALNQLQLLLQEHLVGDSILLVLDDVWNESLSVLKKFIFPIQNYKVLVTTRCIFPEFKYTYKLQLLSDNDAMVLFCNYAFEGGKYNGRRDLVDKVVKSCGGFPLALKVVGSSLRGQHLSGIQRQSKSQILFTSDSDLLDCLQPSIDALDEILRNCYKDLGLFLEDQRIPAAVLLDIWVELYNLDEDGFETYDILLKLAARNLVDLALRRKDDPASIGFSNAVHYARQHDVLRELAMYQSANETIENRRRLNIGNDFPPWLLTQTGILLQVQMLSISTDEALFSNVYDLQLPNLEVLLLNIQTSSYTLPIFVEKASHLKVLVVTNNGFSPTMFSNSSLLGHLPNLKRIRLERISFSSICQSTFMLGNLHKISLVMCEVGDAFQDCIFRMPNLLEINIEYCDDLEKLPIGICDLVHLRKLSISYCQKLVEIPEELGNLENLEVLMLHSCSNLTGLPDSIERLCKLSFLDIYDCVDLDPIPEKIGELRSLKTIFMGGRVGLYDLPDTVLNLAQLRSVICDEETAFLWENYRDYFKDLSISIVKDDMNLNWLHRSSCLGNL >itb07g16960.t2 pep chromosome:ASM357664v1:7:20955792:20957304:1 gene:itb07g16960 transcript:itb07g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRSYNSRFDRARVEDLERRCEVAELGNEIVGVIRGTVKVVTIKKNPKNPVKVGYVLGLRVSPIHRRKGIGSSLVRHIEEWFLSRNVDYAYMATEKDNEASIKLFIHKFGYVRFKTPSILVHPVGRRPNLRPSAGVRISKVSVECAEFLYRSFMGGSADFFPVDIDKIVRNKLSLGTWVAFFRGDSSGEFGGTTIAELPKSWAMLSVWNCAQLFKLTLGRQTLSCSLYGTIAKLLNDAVPCLRPPSSLPDLVGPFGFYFVYGLHREGPLSGKLVRALWKFVCNNNLLVCGTHDSDHDNNNNVKLIVTEVGCCDDNKHLRLDIPHWRLLSCPEDLWCIKALKNNEQKQSLQELIANSKGALFVDPREV >itb07g16960.t1 pep chromosome:ASM357664v1:7:20955792:20957304:1 gene:itb07g16960 transcript:itb07g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRSYNSRFDRARVEDLERRCEVGPKEHVFLFIDTMGDPICRIRNSPQFNMLVAELGNEIVGVIRGTVKVVTIKKNPKNPVKVGYVLGLRVSPIHRRKGIGSSLVRHIEEWFLSRNVDYAYMATEKDNEASIKLFIHKFGYVRFKTPSILVHPVGRRPNLRPSAGVRISKVSVECAEFLYRSFMGGSADFFPVDIDKIVRNKLSLGTWVAFFRGDSSGEFGGTTIAELPKSWAMLSVWNCAQLFKLTLGRQTLSCSLYGTIAKLLNDAVPCLRPPSSLPDLVGPFGFYFVYGLHREGPLSGKLVRALWKFVCNNNLLVCGTHDSDHDNNNNVKLIVTEVGCCDDNKHLRLDIPHWRLLSCPEDLWCIKALKNNEQKQSLQELIANSKGALFVDPREV >itb01g09340.t1 pep chromosome:ASM357664v1:1:7560833:7566227:-1 gene:itb01g09340 transcript:itb01g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHDKDHNIVEIEEDHGFEIPTQLSESNHDELVNNGEFNIGEIEQDASENVKSDVNNETIELCIGMEFQSLDDALMCYTNYAKHEGFGIRKSRILKSRKNQMVIGQEFVCSKEGYRAKKYLQRDNRKKPPPDETRMGCKAMISVSRKDEAKWVISKFTRDHNHVLASPSSARFHRVHRKRTKSQRNLIDVLDESGIRPSKIIGRETLSFRSGCGVSGVNSGFVGEDANFFGLGLDFSSAKTFRCCRHSSRMACIGVNYQPIASRKGNGCLQLLSAKLKILKAASLLRASNSSKIMEVASQVALRADGASTPYQYDVDIVMIMLEEFLLQGKSPGSDNPPRRSKGDFEFTTTVPKENSK >itb15g23440.t1 pep chromosome:ASM357664v1:15:26251726:26253750:1 gene:itb15g23440 transcript:itb15g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAKRAYEDPLIVSHFDIRAWTVASRPYSRRKLLVGILGCIVSLTTEISNKDDNQLAEQLCKILTGQRYLIVIDNLRAIEALDEFKASFPPNSNGSRVLITTSEKEVFGSLYSGMCNFQKRFLNPEESWNLLSKTACNGKYCPSQEIELIGRRIVMGCRGIPLVIVLIGGLLATLNNSPKQWEDIEAQFNIDDNNPFRLLWRTIELCYNYLPTYLKACFLYLGCFPDATEIHVKKLVKLWIAEGFLKPEMNKSSEEIAEGYLCDLINRRLVQVYKRSLDGKIKSCMLNDSLYEFCFQKAYGDEENILRVSKVKYNYFLGERRWVSIQDSFWPITPNHLMNSSFKIRSLLYFGEDLYLAKCWFIFSPLKLLRVLDLSLLKYWHGMPSEIVDLVHLRYLALTTIGSLYSFQWFKLQQLQTLILSSWAKECQLQLPCNVLDLPRLRHVRYGKGCSSYLPNMVQENLQTLSWFKVTDEDSRTTNFLKVPNLKELGIYIEGEVLPNALDSLAQLCQLEKLKVKMGRVERFNLPNSFPSNLKQLTLSNTYLSWEDMDIIGNLPHLDLLKLKDFSFCGPEWTPKDGEFLQLRFFLIERSDLEHWYANANHFPALERLILRYCWDLEKLPNDFGEVFTLQLIELANCCSSLITSAKEIQQAQRDLGYEGLVVRDATKVSL >itb04g28930.t1 pep chromosome:ASM357664v1:4:32480740:32483984:1 gene:itb04g28930 transcript:itb04g28930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G29050) UniProtKB/Swiss-Prot;Acc:Q0WQX7] MGRTPTGSSPESEVQIKVQPPRRTDSPQPPPPGASRPRNHDHNPPMPPPRPYQPFKKWFPWLVPLIIVANIGFFVYSMYANNCPKNSELCFGTSLLGRFAFQNLHENPLLGPSAYTLRNLGALDVDRVIEDHQLWRLVSCMWLHAGVFHVAANMVSLLFVGIRLEQEFGFVKIGLLYVLSGIGGSLASALFVRNNISVGASGALFGLLGAMLSELLTNWSIYENKLATLLTLILIILINLAVGILPHVDNFAHLGGFVAGYLLGFVLLIRPQFGYVSQKNAPPGYFLNSKKHKYKLYQYILLITSLILLIVGCTVALVLLLEGVDGNEHCSWCHYLSCFPTPLWSCDAHCSSNQYGEELTLTCISNKKSEVYFLPNVTDSATEIQRLCSELCS >itb13g12410.t1 pep chromosome:ASM357664v1:13:18556657:18561206:-1 gene:itb13g12410 transcript:itb13g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCGETMGSERFEAVEKRAKVLAERAKRVPATMWKRFWNVGREDPRRVIHAIKVGVALTLVSLLYLIEPLFKQVGQSAIWAVMTVVVVLEFTAGATLYKGLNRGLGTLVAGSLAIFIEYVTTESDRIFRAIFIGAAVFLIGSIGTYMRFLPYIKKNYDYGVVIFLLTFNLITVSSYRVENIMKMAHQRFYTIAMGCAICLLMSLFIFPIWSGEDLHNSTVHKFDGLAKSIQECVKGYFSDEEKEIGEMESPDSEDPIGKGYKAVLDSKSSDENLALYASWEPRHSLHCYKFPWQRYVKLGAVLRHFGYTVVALHGCLQTEIQTPQSIRALFKDPCMRLAGEVSKALEELGESIRNRRHCSPEILSDHLHEALQDLNAAIKSQPRLFLGSRNSNPNMLAMAAAAAAAAKEQHKKSSSLPSVKTDTSALMDWRSKRGAAGSRREKEEERKVLRPTLSKIAFTSLNFSEALPFAAFASLLVELVARLEIVIEEVEELGKIAHFKEFDGGDSMIGVKCEKPTKVPLPNQLPSHAVD >itb09g13750.t1 pep chromosome:ASM357664v1:9:9000014:9001309:1 gene:itb09g13750 transcript:itb09g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKILLIPILLIALFLPTQAQYASYGDKDGGYASADSAAVSSISATPEASPAAAPGPALESSDPAPADSYDASLAAEPGSGGSASETPPADSTETPPADSSSSETPSDDSATSTVAVTPWRKTDNLDTEPITSNEVPADKKASVKLFVQSTMQATQAKTQDFITAVIDKRLKDPQIGTYTKDCLLTCKEVYEDSEDAMKKTMEDIDEGNYFKANVDVSAISSDAETCKECVKMVYGQDPELDKFNKWVDGVIDKCLTMITGYKA >itb06g06200.t1 pep chromosome:ASM357664v1:6:8888621:8889392:-1 gene:itb06g06200 transcript:itb06g06200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPSYLIGDALQVWDFSLRFSEVLGLEDPFSFWELEDELLSPRIDGLNPSVNQESDIVDAGDTTVYGDGVKSSQGNVTYNQESRCTGLVLAKTHSFLLNVLVKDLLMKVAVYVDPNFDVAESKPRRGRKKDADNLATLKKAKLDMFLVNEVTWPEIARRYILAVLSMEGNLDSTETACRESGKIFHCLRGDGGTLCGSLMGVAALEADAVVRLTSSFFF >itb02g24800.t1 pep chromosome:ASM357664v1:2:25491408:25492416:1 gene:itb02g24800 transcript:itb02g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 11 [Source:Projected from Arabidopsis thaliana (AT1G80450) UniProtKB/Swiss-Prot;Acc:Q9M8L3] MSSSNPPTTPSAAAAYASSPPALHNSQNTTFVQADPSNFRAVVQRLTGAAQDPAAQKLPVTAPPRFAGEMGPRRPAFKLHERRQAARKLEISLNHHGGPSVMASRPKSPMVMASPVSPLEMLGRGTPRTPKSPMEEEERAIAEKGFYLHPSPLSTPRNGRSDPPELLPLFPLHSPRDHHY >itb09g01790.t1 pep chromosome:ASM357664v1:9:1071866:1073445:-1 gene:itb09g01790 transcript:itb09g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFIQDVEHRPKLTNTESEGIPIIDLSILSSPEPDSDEFRRLVAEISDASEKWGFFQVINHGVPSETRQKIELAARQFFALPKEEKSKVRRDEVNPYGYYDTEHTKNVRDWKEVFDFSVESTFIPVSPEPDDEALKEMISQWPEYPPEMREVCEEYVHEVQKLSYKLLELISLSLGLPEKRLNGFFKDQTSFLRMNHYPPCPSPHLALGVGRHKDAGALTILAQDDVGGLEVKRKTDGEWVFVKPTPDAYIINVGDIIQVWSNDKYESVEHRVKVNSERERFSIPFFVNPAHYTWVQPLDEIVNEENPAKYKPYNWGKFFTTRKTSNYKKLGVENIQIYHFKTTKSSDE >itb03g20120.t1 pep chromosome:ASM357664v1:3:18021688:18025174:-1 gene:itb03g20120 transcript:itb03g20120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDESSIYVGGLPYSATEETLRQVFNIYGSVVDVKIINDHNVRGKCYGFVTFGNPRSAQQAINDMDGKTIDGRSVRVNEVKTRGGRPNFGPQSFHHHTKRGLDSDKDGWDIEGDYDYDRLRDGHKDRSRDRTHNNRGYQRIHNQDRERDYNVDRDRSQDYRRDMVDFQREYQSNHGDSSERYRDQRRKRQKYDDNHENRDRDLISKLPNGSNLGHRNNRDQSAESSENNHDQAEKQLEVSNRMLEELQHEVPQIEERVQEKMKLVSELQEKLQKLEDSLKAVKKLRSQRQLQMSKLYKSYLHVRDSGERLKASEQELQSLVHSTMLEMDCDDGLGMKDVS >itb09g06700.t1 pep chromosome:ASM357664v1:9:3848523:3850068:-1 gene:itb09g06700 transcript:itb09g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQAFIRSPHHFHTDHRIRSLSKTSPHLSLQMALSAGTVILSMKTLLISAGTVSLLMAMRSVLPLLLFDFRMIWGVFMAWLRPPYLYFVINGIILAIVFASRFQHERLEKQLSGQLQPLITVRTPPPAELVAFAQPEYKSVVEESFRAAFGDEPPEELDLKPVVVNGSMVDLNEEKLAEDDAIAEEVKEVLNPALDPPPEPETELLPSLAAEKPLVSTRFAHRKPSVRASPEGSRGLRVSRPKKQETLETTWRMITEGRHVPLTRHLRKSDALENQSRHITAADGVVNPTHQPPRNGESPKAAPTHSSRSAWIMRKEPSLSQDELNRRVEAFIKKFNEEMRIQRQQSLQQYMEMINRGAN >itb14g08720.t1 pep chromosome:ASM357664v1:14:8424984:8426592:-1 gene:itb14g08720 transcript:itb14g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGKTSVDAKAPTTSKHMRRRKKISKGRKSEARGPSTSSSGQSSHNKTFIHPIGNTAFEPCSVHTNIMHCIKRIFPEAVKTFKTAPQHLKDVWFNEFKKRHEWDPNDEDTV >itb10g09650.t3 pep chromosome:ASM357664v1:10:13025379:13032299:1 gene:itb10g09650 transcript:itb10g09650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMICRVAPSAMASLPCSRPGTSICMWPDMRQLSARKNLLYAVMRLFSMPFKTLRGAGRTLRVSHFCSITNVSSTLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRSNRNLTYILNTHHHYDHTGGNIELKARYGAKVIGSRPDKERIPGIDIALRDGDKWMFAGHEVLVFETPGHTQGHISFYFPGSRSIFTGDTLFSLSCGKLFEGTPDQMLASLRKIMLLPNDTNIYCGHEYTLSNSKFALSIEPGNQELQSYAAQITNLRKKGLPTVTTTIYIYIFHFTWFFSASVSKFA >itb10g09650.t1 pep chromosome:ASM357664v1:10:13025379:13033048:1 gene:itb10g09650 transcript:itb10g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMICRVAPSAMASLPCSRPGTSICMWPDMRQLSARKNLLYAVMRLFSMPFKTLRGAGRTLRVSHFCSITNVSSTLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRSNRNLTYILNTHHHYDHTGGNIELKARYGAKVIGSRPDKERIPGIDIALRDGDKWMFAGHEVLVFETPGHTQGHISFYFPGSRSIFTGDTLFSLSCGKLFEGTPDQMLASLRKIMLLPNDTNIYCGHEYTLSNSKFALSIEPGNQELQSYAAQITNLRKKGLPTIPTTLEKEKLCNPFLRTFSMEIRKSLNIPDSADDAEALKVIRQAKDNF >itb10g09650.t2 pep chromosome:ASM357664v1:10:13025428:13033048:1 gene:itb10g09650 transcript:itb10g09650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDMRQLSARKNLLYAVMRLFSMPFKTLRGAGRTLRVSHFCSITNVSSTLQIELVPCLKDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRSNRNLTYILNTHHHYDHTGGNIELKARYGAKVIGSRPDKERIPGIDIALRDGDKWMFAGHEVLVFETPGHTQGHISFYFPGSRSIFTGDTLFSLSCGKLFEGTPDQMLASLRKIMLLPNDTNIYCGHEYTLSNSKFALSIEPGNQELQSYAAQITNLRKKGLPTIPTTLEKEKLCNPFLRTFSMEIRKSLNIPDSADDAEALKVIRQAKDNF >itb03g02990.t1 pep chromosome:ASM357664v1:3:1687153:1691299:1 gene:itb03g02990 transcript:itb03g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVHLRLCSLIDDSLRPYVEVMPTSFNKENVKELLIALSQVCSQIKRWTVEFASDSDSDGVESDSDTEVTGNSAGVGLVPGSEAECHYSLAKAISVLMGLLAIEYPYVQHLVGNILVAISDFIVASGSSSEEFMQLLSLLKLAAASSFPSSTGCIMAEAKNSCCNSAASVSPLNPHHKSAKWLTVAVIIQVLRRILKNLKQDADDHFFKLYLGLTNSFISNMPWDLLDQVFVSQTSKALGDPIADGLLQFQAAKSKSAIMFLGNFIQLLCSLVEKGIPIEAVTDDLDKHPLICEIRNILPRLLGWCLGNLQNFDVYISKYYKHKLLILMIRLSFKIQLDCSVLLSWLHLIHLYFQDLLCLPIPIAGLESDQDKYLEGSPFWEDTFDAAKENISSRHLHRLAIFLFLRCSFGLVNMEKSGQNCASTDLNSHSDPNLDIERCTQSKGLQELHEWLQRLFNDVFLDHEKSAESIVSFQFSFLQLYMHEDDILFQMLLQLLCVPLCSEKWSVKEGTPSANDNAYSIVLHLFNPVHLFHIFLAEIHYDHQVLLDYLISKDTGASSAEYFLRCLRMVFKSWSLFTNFAWSGKKEIQLCQKRRKVSLDHLNFKVEVSTPVTDGRCSPVERDQKKGKASGVIDCRTERQPFQHATNCLLELKTSIENLHHKNLFPYNPKVLLQRLSTFQELCQKQ >itb04g32400.t1 pep chromosome:ASM357664v1:4:34978311:34980757:1 gene:itb04g32400 transcript:itb04g32400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIITKIVATLLLVLVALAAAPGTEAQSPTASLVGLAPVPVPAGTFDCVNELINMSDCLTFVEAGSKLNEPKKACCPELAGMVETQPVCLCQLLADPENVIGFKIEMKKALDLPSLCKLNTPSTSLCSAIGIPVGAPTTTPAGKFPSSPGGGNNHDSAAIRSSVTFLKKSFLLGLAIVGFAALF >itb13g16140.t1 pep chromosome:ASM357664v1:13:23047621:23051381:-1 gene:itb13g16140 transcript:itb13g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVGGPLLCIGDLLSDVGEEGSASDNHSSRAADLKSSHFPESAPTLKPSDLPKLFQENYEKLNDALAGTDHSWTEHTLELCYALETTNKLVHSTNCNVGMLSDNVQKLQQMINRRDSDIAAAKAIQSSLEGRGITGAEKHFQN >itb07g21190.t1 pep chromosome:ASM357664v1:7:25603341:25611255:-1 gene:itb07g21190 transcript:itb07g21190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPTSSHSSSLPEPFSSGSSSSSSSSTFPPLPITAMRGKIVGKILENRVTLIVGDTGCGKSSQVPQFLLDENLGPILCTQPRRFAVVAVAKMVARARNCEVGGEVGYHIGHSKVFSASSMIVFKTAGVLLDEMREKGLKALKYKVIILDEVHERSVESDLVLVCIKQFLIKNNDLRVVLMSATADIARYKEYFGDLGRGERVEVLAIPSSDQHVIYQRKVLYLEQVAKLLKRESEHLSSDYCSGSSPSTAEAEIKPEVHRLIHDLIIHIHKNERDIEKGILVFLPTYYSLEQQWFLLKPFSNCFKVHILHRSVDIEQALMSMKILQSHRKVILATNIAESSVTIPKVGYVIDSCRSLQVFWDHNRKTDCAELVWVSKSQANQRQGRTGRTCDGFVYRLVTGSFYSQLEDYEPPSILKLSLRQQILLLSCAESKAINDPKVLLRKALDPPDPDVVDDALNLLVHIHALQKPSPRSRPEPTFYGRLLSSFTLSFDSSVLILKFGAIGMLREGIILGILLDMQPLPILRPFGQDTLFMEFIDNYFTGNSKSTGLTGRKEVICMGNLCAFQFWQCVFKDKYRLERLLLLLKHDITDETQTLLPKIEEEWCSVHNLVLSALRQVAEIYDEILGSLHRYRPEFLVKSDGLPSYYYANNFQHTCDLMSDQYEDAAGALVINKLLEQDPGIRKCTAVPFLGQNDFLENEVAKSLAAVVKEMRMQSSENISGNHHAEDYYDGHITGEASFCKFFIKGLCNRGSQCLYSHSLQAKKPVCKFFFSLQGCRNGDSCFFSHDSVPSFLSHESGPFLPEDQDSNIAFYLRFFPEPSDGCVLVLDDTDLYFSSNIAYHFNPSSIIATTSLQDESTLDDRPAGVRVLWGLPHPQQTIMPSCRESAIPWNDVKCLLWFPKFDAEHLEGQKSVVQAFFEHLATRMLENVLYGVEVIITMNNIHFSYFQVEKLARECFFFLRESFPFDEGSLGQFYDVVPPRRQMQLSAPISYVFSLHTPYSHNWVSSVDSSYTN >itb07g21190.t2 pep chromosome:ASM357664v1:7:25603341:25610426:-1 gene:itb07g21190 transcript:itb07g21190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARARNCEVGGEVGYHIGHSKVFSASSMIVFKTAGVLLDEMREKGLKALKYKVIILDEVHERSVESDLVLVCIKQFLIKNNDLRVVLMSATADIARYKEYFGDLGRGERVEVLAIPSSDQHVIYQRKVLYLEQVAKLLKRESEHLSSDYCSGSSPSTAEAEIKPEVHRLIHDLIIHIHKNERDIEKGILVFLPTYYSLEQQWFLLKPFSNCFKVHILHRSVDIEQALMSMKILQSHRKVILATNIAESSVTIPKVGYVIDSCRSLQVFWDHNRKTDCAELVWVSKSQANQRQGRTGRTCDGFVYRLVTGSFYSQLEDYEPPSILKLSLRQQILLLSCAESKAINDPKVLLRKALDPPDPDVVDDALNLLVHIHALQKPSPRSRPEPTFYGRLLSSFTLSFDSSVLILKFGAIGMLREGIILGILLDMQPLPILRPFGQDTLFMEFIDNYFTGNSKSTGLTGRKEVICMGNLCAFQFWQCVFKDKYRLERLLLLLKHDITDETQTLLPKIEEEWCSVHNLVLSALRQVAEIYDEILGSLHRYRPEFLVKSDGLPSYYYANNFQHTCDLMSDQYEDAAGALVINKLLEQDPGIRKCTAVPFLGQNDFLENEVAKSLAAVVKEMRMQSSENISGNHHAEDYYDGHITGEASFCKFFIKGLCNRGSQCLYSHSLQAKKPVCKFFFSLQGCRNGDSCFFSHDSVPSFLSHESGPFLPEDQDSNIAFYLRFFPEPSDGCVLVLDDTDLYFSSNIAYHFNPSSIIATTSLQDESTLDDRPAGVRVLWGLPHPQQTIMPSCRESAIPWNDVKCLLWFPKFDAEHLEGQKSVVQAFFEHLATRMLENVLYGVEVIITMNNIHFSYFQVEKLARECFFFLRESFPFDEGSLGQFYDVVPPRRQMQLSAPISYVFSLHTPYSHNWVSSVDSSYTN >itb06g03430.t1 pep chromosome:ASM357664v1:6:5816251:5817458:-1 gene:itb06g03430 transcript:itb06g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTVLEYLVAHASERVIDEIREHSYQISILSEFQYVDSSGRDQGSNVRKKSQSLVALVNDKERIQEVREKAAANREKYRTTSTGSMYRPGSYSNPGGYGDRYDEDRYGGRDDDRNGYGREREWGGNEDRYGRDSYGREGDRYGREYDERYTLDGYRDDDYSGRNRSIDEYNSGSRSSEKGRRRNSLVKTL >itb09g29020.t1 pep chromosome:ASM357664v1:9:29629690:29630684:1 gene:itb09g29020 transcript:itb09g29020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVALKGDVESLKRLLDEDPLALERSMASVYSDTPLHVAAMLGYQDFANEILRRKPQLTKDLNSNQSSPLHLAAAMGHAGLIRCLLLADRGMCKTCDRDGLTPFHLAAVKGRVEMLKELMMSDGHEICSRELSEVMGMDGEMLGESILHMCVKHGQLEALKFVVEVIGDATDFVNSKDAFGNTVLHLAVEYNQFERWGTVGTAVEPSAPGSPVRGVG >itb06g07710.t1 pep chromosome:ASM357664v1:6:11459133:11462293:-1 gene:itb06g07710 transcript:itb06g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAGTQLEHQQKASSYEGKGEEKKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDANVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRILQVDLKFPPKPIVSSAAKDLISQMLVKDSSQRLPLFKVLEHPWIVQNADPSGVFKG >itb05g28110.t1 pep chromosome:ASM357664v1:5:31230264:31231605:1 gene:itb05g28110 transcript:itb05g28110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACMIPNNPSPSAILLVQQQLQSKDKPQLIPKQLLLLSNSSSSSPSLSASTVKLLDLPPSPSPSPSHANSCSTHKPHHKDDFYVNLGSAVRTLRQDLPLLFTKDLNYHIYRDDITFVDPLNTFTGIDNYKLIFWALRFHGRILFREISLEVYRVWQPSENVILIRWNLRGVPRVPWEAKGQFQGTSTYKLDRNGKIYEHKVDNLAFNFPQPLRPPPPASVLDLVTACPAAAATPFLWAPPLHVHSSWLEFYSAVKDTLDRQTSSTPPQDCFLPCS >itb07g19310.t1 pep chromosome:ASM357664v1:7:23712406:23716324:-1 gene:itb07g19310 transcript:itb07g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLYRRILPSPPAIDFASTEGKLLFMEASQNGTMEGFFKLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKAKGISFGKVVCLARCAGAKVEAVRTSQSNVDDFRKHIIACSTSDDCHLISSYHRGAFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLPLLWEAMDTVDEATGLHRGFMLVSKLHRAPALLYTLSCKHESWVAISKYLTEDVPLLLSSDNVKDIKDVLSTVFASLPSNFSEFIKWVAEIRRQEEGGKSLSEEEKGRLAAKEDVLKQIRATGLYKQVMDILSSEKSCCQMRATLGHVDNLPDIAARICCQGAGLFAGRSGSSDMFCCQETCVRCYRATGDKPVTVVSGTVVNGHGEQGLDVLVPTSQMKPSCCSSGKDSCSDVHPMSNDVLTALLLALPPETWLTIKDGRVVEEINSLLSVENLPPILQEEILHLRGQFLFVKRCKDDKVEEEDLGAIPFEQTPL >itb09g27630.t1 pep chromosome:ASM357664v1:9:27877391:27877732:-1 gene:itb09g27630 transcript:itb09g27630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLQVSRKQNLFSLERWTAMNTGAFAANMEFLATQPSNGFLKSLWSQKKYEGARTAEAISEYVNREAGTNVKIVAVPSSVVVLTLENFDEIVLNEKKDVLVEFYAPWCGHY >itb02g14000.t1 pep chromosome:ASM357664v1:2:10229290:10231100:-1 gene:itb02g14000 transcript:itb02g14000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G78790) UniProtKB/Swiss-Prot;Acc:Q8L7N3] METDKNSTFDPDLVHEIFKLVWRRRAADREKNDLPQTMDTEIVGAASASRKNRPTFANATALKLSCELLRVFVAEAVQRAAVIAEAEGTNRIEATHLERILPQLLLDF >itb03g00630.t1 pep chromosome:ASM357664v1:3:314216:321136:1 gene:itb03g00630 transcript:itb03g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKPALSTSDSDSDPEEKEISEEDDDDRNHKHRRKGARSQSVEMDPYEPVLTRPYRKHKPFENGHLYRESDSATFEKGQTTRFEKRRGMNSFSRAPQIANQRITPNLLSGDCRGRGREPGVWFQRDLRFSSVDIVPQPIQQGSTAPSFFAGRGMPNASHAQSSSWAAFGMVPGVPNTGLDILHPHGLQGTLMAPLNPSIGMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSVPSAHLMGTVGGQGALPAVGPSGSLINCKALHKGGKPGMTEEGLGLNGALVGSSLAGVADVYDPDQPLWTSDHHEKSAAVLGVNPPSVDETGDLVDVKCDHGRVGLSDGNDIEHPIISSGAATESQGAMGRIGSSNNKAQVKEKIDFTVDALSHLDYEAKKGLDSSSSIECAFRHGKHIDSENTDPHMTELSFKSQSNIGRNIKKSSQKAIRTLFVNGIPQKDNKREALFSHFQKFGEIIDIYIPLNSDRAFVQFSKREEAEAALKAPDAVMGNRFIKLWWAKRDRITENGVSTGNNTQRHAAGATPASVSSHVSVAYKGKESIQSAVHRSSVAAPPAPDNPKPVITNGPRALPPSQKKLESLEMLKEELRIKQEMLDQKRNEFRRQLDKLEKQGTVLKDEDQAAKRQKTGTVAESIKVETLSFGEPDITVSSPESEAVPDSNSSANSAVLSCSNSASTMATPQPPVSKPSFRPLAPLGAPFNFNRYKLDNRPTAFKINPPIPTALANVDVLKEHFSAFGELVSVELEDVEGQNDADSSKLSARISFASRLSAERAFLNGKSWQGHILQFKWQLPSSSNKVGGVKENALTSKDVGVEEETLTARLQSSDTSVHPPAKDGTTINADATGSGEIENTEKCENDQEDLNVDEDSKSSSMLMSGEKQSE >itb03g00630.t2 pep chromosome:ASM357664v1:3:314216:318746:1 gene:itb03g00630 transcript:itb03g00630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKPALSTSDSDSDPEEKEISEEDDDDRNHKHRRKGARSQSVEMDPYEPVLTRPYRKHKPFENGHLYRESDSATFEKGQTTRFEKRRGMNSFSRAPQIANQRITPNLLSGDCRGRGREPGVWFQRDLRFSSVDIVPQPIQQGSTAPSFFAGRGMPNASHAQSSSWAAFGMVPGVPNTGLDILHPHGLQGTLMAPLNPSIGMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSVPSAHLMGTVGGQGALPAVGPSGSLINCKALHKGGKPGMTEEGLGLNGALVGSSLAGVADVYDPDQPLWTSDHHEKSAAVLGVNPPSVDETGDLVDVKCDHGRVGLSDGNDIEHPIISSGAATESQGAMGRIGSSNNKAQVKEKIDFTVDALSHLDYEAKKGLDSSSSIECAFRHGKHIDSENTDPHMTELSFKSQSNIGRNIKKSSQKAIRTLFVNGIPQKDNKREALFSHFQKFGEIIDIYIPLNSDRAFVQFSKREEAEAALKAPDAVMGNRFIKLWWAKRDRITENGVSTGNNTQRHAAGATPASVSSHVSVAYKGKESIQSAVHRSSVAAPPAPDNPKPVITNGPRALPPSQKKLESLEMLKEELRIKQEMLDQKRNEFRRQLDKLEKQVKYELTSLFNFSRKCHL >itb01g20070.t1 pep chromosome:ASM357664v1:1:26372012:26374677:-1 gene:itb01g20070 transcript:itb01g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEAKQEFKENCSVQVYSVQACIPKDPAALWNSEFVQAEELFKQPHLADNCLWDNRSFNSINSINLQIISAFFHAHWHFCGVLNSSIKWNAERTSISVSAPQVKNAGVLGMNESKVTSPSVTMPQPQEQKGQKSSPKANTHFLVTVNDIKNESCEKGEQNSKLAIDNNEKSIQSLANKKVSTKNTSTGNRGTLVKMWGSATMKSIPDQFLAEADKSIPTTTASAEAQIHALEVLEDGTSDEDEDEDVKVMRRSNGEGNRKRRVVFYLSDEEEEYTNAVNLASPDPPKVKSSLGLKSSSNTMEKDKNNLNFEGTESKTMVNKGNTAVEKGDKMTDVAPKSPKRKKVLKTHINDHGREVTEVPWEGQQMETIIDGNASKKNDDKIVSDAVGRLPRAKNSPAMGCNAPVNQAGKPGNKKGANKDPKQGNILSFFKRV >itb15g07500.t1 pep chromosome:ASM357664v1:15:5142694:5147824:1 gene:itb15g07500 transcript:itb15g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKSVVYYGEARLGALETVTVKDQNFVFPNNEIRIHHISQNSERCRPLAVFQTISSPVRCKLEPSSDSSNVSTAEQSPLINLHSSCFYELKTAVVLLGDEEVHLVAMPSKQKKFPCFWCFSAPRGLYGSCLGMLNMRCLSIVFDLDETLIVANTMRSFENRIEALRGWTARETDPVRVLGMSAEMKRYTEDRVLLKQYIEGDCVVDGNKVYKAVQEEVPQLSDGHERILRPVIRLQEKNIVLTRINPENRDTSVLVRLRPAWDDLKTYLTAKGRKRFEVYVCTMAERDYALEMWRLLDLEAQLICTKQIVDRIVCVKSVAKKSLLNVFQGGICHPKLAMVIDDCLKVWEDMDQPHVHVVPPFTPYYAPQAETANVVPVLCVARNVACDVRSRFFKELDESLLQNIANISYEDEGVNLPSGPDISHYLAFEDSGFLSNGNFNPPIPKGMCGPAVAQRLDQQDGKNNMNPATPLMPLNPVLKSEHSQSVLGCAPNVSGSLPIRGEPSLLGVPFRRDNNISETDRDGRRRVRHQGSAEPPLLSRVPQKIPVLPLQTLGSCLVEDDTNRGHFGSQTQGLIQDFDAFRPDKQGLLPLHVSAMKSEEKISMHKKNVPNPVTDIVSQNQLPSGNIDFQLEGGKMNFLPSIAIGALQEIGRRCTSKVEFRPVVSTSAELQFSVEVFFTGEKIGVGVGKTIKDAQQQAAANALRSLADKYISHVESYNGVVDKDFNNLSLENENGFLWDSLNLEDKKLADGKLVKVNGSEVGL >itb02g16010.t3 pep chromosome:ASM357664v1:2:11707931:11714480:-1 gene:itb02g16010 transcript:itb02g16010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNCRERKAEHESMVELQSGFGLLLQQFKALFTKNVLLSWRSKRATFIQLFSSLVFIFLIFCIQKAVESRFFTSTSYENVFDPQPLVAPPIPQCEDKNLVKLPCFDFVWSGNDSRRINDIVTRIMANNPGRPIPSNKVQSFRTRDEVDVWLFNNAMHCPGALHFIERNASVISYGLQTNSTPVAKRGIFEDPTFKLQIPLQLAAEREIARSLIGDPNFSWVVSFKEFAHPAVQLFSAVGAAGPTFFLAIAMFSFVFQISALVTEKELKLRQAMTMMGLYDTAYWLSWFTWEGIMTLLSTLITLLFGMMFQFDFFLNNSFAILFMLFFLFQFNMIGLAFLLSAFINKSSSSTTMGFSIFIIGSLTQVVTAFGFPYSKTFPNIYRIIWSFFPPNLLAEGLQLVAAATATPEDPGISWKARAKCAPNDDDCLITMNEIYIWLVSTFFLWFVLAIYFDNIIPNSSGVRKSMFYFLYPGYWTGKGGDKVTEGGVCSCTSAIPSFESSVPDDEDVLEEENIVKQQASQGEIDPTVAVQIRGLVKTYPGARKMSCCSCKQNSPYHALKGLWVNFAKDQLFCLLGPNGAGKTTAINCLTGITPVTDGDALIYGCSIRSSTGMSNIRRMIGVCPQFDILWDELSGQEHLQLFASIKGLLPATIKKVVKDALAEVKLTAAARMRASSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSKFGTGFIANISFSGGTNGTPTEDTGTTVQHEAVKEFFRSHLDVVPKEENKSFMTFVIPHDRESLLTVLFNVVFFTCK >itb02g16010.t1 pep chromosome:ASM357664v1:2:11707931:11714480:-1 gene:itb02g16010 transcript:itb02g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNCRERKAEHESMVELQSGFGLLLQQFKALFTKNVLLSWRSKRATFIQLFSSLVFIFLIFCIQKAVESRFFTSTSYENVFDPQPLVAPPIPQCEDKNLVKLPCFDFVWSGNDSRRINDIVTRIMANNPGRPIPSNKVQSFRTRDEVDVWLFNNAMHCPGALHFIERNASVISYGLQTNSTPVAKRGIFEDPTFKLQIPLQLAAEREIARSLIGDPNFSWVVSFKEFAHPAVQLFSAVGAAGPTFFLAIAMFSFVFQISALVTEKELKLRQAMTMMGLYDTAYWLSWFTWEGIMTLLSTLITLLFGMMFQFDFFLNNSFAILFMLFFLFQFNMIGLAFLLSAFINKSSSSTTMGFSIFIIGSLTQVVTAFGFPYSKTFPNIYRIIWSFFPPNLLAEGLQLVAAATATPEDPGISWKARAKCAPNDDDCLITMNEIYIWLVSTFFLWFVLAIYFDNIIPNSSGVRKSMFYFLYPGYWTGKGGDKVTEGGVCSCTSAIPSFESSVPDDEDVLEEENIVKQQASQGEIDPTVAVQIRGLVKTYPGARKMSCCSCKQNSPYHALKGLWVNFAKDQLFCLLGPNGAGKTTAINCLTGITPVTDGDALIYGCSIRSSTGMSNIRRMIGVCPQFDILWDELSGQEHLQLFASIKGLLPATIKKVVKDALAEVKLTAAARMRASSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSKFGTGFIANISFSGGTNGTPTEDTGTTVQHEAVKEFFRSHLDVVPKEENKSFMTFVIPHDRESLLTDFFTELKDREREFGISDIQLGLTTLEEVFLNISQQAELENAAAEGSFATLTLNSGSSVKIPVGARYIGIPGTESAENPRGVMVEVNWEQDDSGRLCISGHSEEMPIPPHVQLTATPTPPSGWGLRRRRKILGIVIDPAQINVENVQ >itb02g16010.t2 pep chromosome:ASM357664v1:2:11707931:11714480:-1 gene:itb02g16010 transcript:itb02g16010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNCRERKAEHESMVELQSGFGLLLQQFKALFTKNVLLSWRSKRATFIQLFSSLVFIFLIFCIQKAVESRFFTSTSYENVFDPQPLVAPPIPQCEDKNLVKLPCFDFVWSGNDSRRINDIVTRIMANNPGRPIPSNKVQSFRTRDEVDVWLFNNAMHCPGALHFIERNASVISYGLQTNSTPVAKRGIFEDPTFKLQIPLQLAAEREIARSLIGDPNFSWVVSFKEFAHPAVQLFSAVGAAGPTFFLAIAMFSFVFQISALVTEKELKLRQAMTMMGLYDTAYWLSWFTWEGIMTLLSTLITLLFGMMFQFDFFLNNSFAILFMLFFLFQFNMIGLAFLLSAFINKSSSSTTMGFSIFIIGSLTQVVTAFGFPYSKTFPNIYRIIWSFFPPNLLAEGLQLVAAATATPEDPGISWKARAKCAPNDDDCLITMNEIYIWLVSTFFLWFVLAIYFDNIIPNSSGVRKSMFYFLYPGYWTGKGGDKVTEGGVCSCTSAIPSFESSVPDDEDVLEEENIVKQQASQGEIDPTVAVQIRGLVKTYPGARKMSCCSCKQNSPYHALKGLWVNFAKDQLFCLLGPNGAGKTTAINCLTGITPVTDGDALIYGCSIRSSTGMSNIRRMIGVCPQFDILWDELSGQEHLQLFASIKGLLPATIKKVVKDALAEVKLTAAARMRASSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSKFGTGFIANISFSGGTNGTPTEDTGTTVQHEAVKEFFRSHLDVVPKEENKSFMTFVIPHDRESLLTDFFTELKDREREFGISDIQLGLTTLEEVFLNISQQAELENAAAEGSFATLTLNSGSSVKVSVIILPNSCSTLFLNRTKEENSISDHSVVEFIDLSMITNLTDTGGSKIYWDSWDRIRRKSQGCYGRS >itb04g25260.t1 pep chromosome:ASM357664v1:4:29877076:29877663:-1 gene:itb04g25260 transcript:itb04g25260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYHSFPASGASPILSPTKSRTQSSFAPLRPWRQLIGNLSSYSRPLSLPDLAARLRRNLYYFRVNYAVVMLFILFLSLIWHPVSMIVFLVVFVAWLSLYFFRKEPLVIFNQTFEDRVVLSVLSIVTVVSLGMTGVSLNVFLSVLIGAAVVTLHAAFRATEDLYLEEEEAAGGAMLPSFFGTTSRGVSTRVVLV >itb07g15110.t2 pep chromosome:ASM357664v1:7:18035220:18037497:-1 gene:itb07g15110 transcript:itb07g15110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERDLISDLPQSIIEAILVKVPIRDAVMTSALSTKWRYKWSSITELVFDDRCVTTCYDKSVVESNLIHFITRCLFLHNGPIHKFALSSSYLPNTPDIDQWLLFLSRKDVKELSIELGEGEWFKAPSYFFSCKKLTHLELVRCELDPPLNFKGFMCLKHLNLQQVVIPPCDIENLISSCPLLENLELSYFDSLELTIRAPKLRYLNLEGEFKEICLENTPNLVGISVAMYMTDDIAEHFEQSSGCNFDKFLGNVPLIEHLTGHIYFTKYLSIGNDEGSNPMIYNHLKVLELYQVSFEDMKEIYVVLRLILSSPNLEQLLISGSTITASTDIHDLEIWEKGLPADCTFHKLKVVKISDFSAVLHEMAFIKFLLLNSPVLESMTIAPSFCIMDGKLKMLIELLSYRRTSPLATIKFVQEQA >itb07g15110.t1 pep chromosome:ASM357664v1:7:18035220:18037497:-1 gene:itb07g15110 transcript:itb07g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERDLISDLPQSIIEAILVKVPIRDAVMTSALSTKWRYKWSSITELVFDDRCVTTCYDKSVVESNLIHFITRCLFLHNGPIHKFALSSSYLPNTPDIDQWLLFLSRKDVKELSIELGEGEWFKAPSYFFSCKKLTHLELVRCELDPPLNFKGFMCLKHLNLQQVVIPPCDIENLISSCPLLENLELSYFDSLELTIRAPKLRYLNLEGEFKEICLENTPNLVGISVAMYMTDDIAEHFEQSSGCNFDKFLGNVPLIEHLTGHIYFTKYLSIGNDEGSNPMIYNHLKVLELYQVSFEDMKEIYVVLRLILSSPNLEQLLISGSTITASTDIHDLEIWEKGLPADCTFHKLKVVKISDFSAVLHEMAFIKFLLLNSPVLESMTIAPSFCIMDGKLKMLIELLSYRRTSPLATIKFVQEQA >itb07g15110.t3 pep chromosome:ASM357664v1:7:18035225:18037009:-1 gene:itb07g15110 transcript:itb07g15110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERDLISDLPQSIIEAILVKVPIRDAVMTSALSTKWRYKWSSITELVFDDRCVTTCYDKSVVESNLIHFITRCLFLHNGPIHKFALSSSYLPNTPDIDQWLLFLSRKDVKELSIELGEGEWFKAPSYFFSCKKLTHLELVRCELDPPLNFKGFMCLKHLNLQQVVIPPCDIENLISSCPLLENLELSYFDSLELTIRAPKLRYLNLEGEFKEICLENTPNLVGISVAMYMTDDIAEHFEQSSGCNFDKFLGNVPLIEHLTGHIYFTKYLSIGNDEGSNPMIYNHLKVLELYQVSFEDMKEIYVVLRLILSSPNLEQLLISGSTITASTDIHDLEIWEKGLPADCTFHKLKVVKISDFSAVLHEMAFIKFLLLNSPVLESMTIAPSFCIMDGKLKMLIELLSYRRTSPLATIKFVQEQA >itb11g03020.t1 pep chromosome:ASM357664v1:11:1546636:1549968:1 gene:itb11g03020 transcript:itb11g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAAYHNLEFGRTRLNSPACGCSSNSSIDKHSAKKFLLRNVNNGGGGGFRARKLLCCRREIGRCNVFSTKAPESFLNGVTPDSSPMLDLKKDSGSPISVANLFEVVTDDLLTLNKNLQSIVGAENPVLMSAAEQIFSAGGKRMRPALVFLVSRATAETAGLKELTKEHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQIYGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVRLEDYLIKSYYKTASLIAASTKGAAIFSGVDSEISEQMYQYGRNLGLSFQIVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALENEPKLRDMIESEFCEAGALEEAIGVVKSCGGIARAQELAREKAGIAIRNLECLPPSPFRVALEEMVLYNLERVK >itb02g00830.t1 pep chromosome:ASM357664v1:2:465342:467086:1 gene:itb02g00830 transcript:itb02g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVEANNEAEADTPQFHMDEPTPAAASYAPKYLPAQSPISVGVPWSTGLFDCHEDQTNAFMTAILPCVTFGQISEILDTGEMTCPLGSFIYLLMMPALCSQWIMGSKYRTKLRQRYNLVEAPYSDIVSHIFCPCCSLCQEFRELRIRGLDPAKGWNGILADQQAAQYENQQMNNPPPPQSMSK >itb02g10550.t2 pep chromosome:ASM357664v1:2:6811627:6813553:1 gene:itb02g10550 transcript:itb02g10550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKPKSSKRKSSKALQSKRSRKNKSKKKPSRHDHSNLSYSDDDSVSSVSSYSSSSEEDYRSRKGRSRSRADVKSKKKRAERRVSSDRGSSEDSVPLRKRKRSKRKHNSEYKRKSLKKRRRDASISSATSDSLSCSSCRYGHSGSSKDSEYESLRVRSRKKIRDKRDSDKHKIGARRSRSRSKSVSSPPGEDRFNFHSDSQKGEEHECENNVRRRLRSVITVVQHPQEDEENQVARDREEDISENNDSDNGSTINKLAYHSDILSDKSRLVEDTTVQESSGSDDLELILRQKALENLKKFRGKVQTEGSKDVHLTGESSRHGLSREVLHPTMVESENATEEYKKVESGVTKQSHIQQSDSSGISKSVNEDGGINEPIVDESKPGLQAMQDESLHSYSTLEQASPKANPLDSKSSAG >itb02g10550.t1 pep chromosome:ASM357664v1:2:6811595:6814235:1 gene:itb02g10550 transcript:itb02g10550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKPKSSKRKSSKALQSKRSRKNKSKKKPSRHDHSNLSYSDDDSVSSVSSYSSSSEEDYRSRKGRSRSRADVKSKKKRAERRVSSDRGSSEDSVPLRKRKRSKRKHNSEYKRKSLKKRRRDASISSATSDSLSCSSCRYGHSGSSKDSEYESLRVRSRKKIRDKRDSDKHKIGARRSRSRSKSVSSPPGEDRFNFHSDSQKGEEHECENNVRRRLRSVITVVQHPQEDEENQVARDREEDISENNDSDNGSTINKLAYHSDILSDKSRLVEDTTVQESSGSDDLELILRQKALENLKKFRGKVQTEGSKDVHLTGESSRHGLSREVLHPTMVESENATEEYKKVESGVTKQSHIQQSDSSGISKSVNEDGGINEPIVDESKPGLQAMQDESLHSYSTLEQASPKANPLDSKSSAGKILAPSCQLLTHGSSDKLEMEAINAKNSAKAEPTSSIRPVEDQRSEDQQAEPTSSIRPVEDQSSKGQQAEANDSSHFQQKTMSVMRSGEMVQVSYKVYIPKRAPALSRRQLKR >itb11g06940.t1 pep chromosome:ASM357664v1:11:4190916:4197925:-1 gene:itb11g06940 transcript:itb11g06940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDFFLTCIIALTINVAASNPSPGDQGCVLNASALSFEPIGECLANRQDRIRVWGSFSTTYCCQNALNALSRALADQAKTGGNLFLGSDQWNNCAGPFKQQPSVSIESCGFQNLHSGGGGKCSGLALSTVAKIDNFNGVLNLCSQLHSYSSFEVDCRNCLDAIKSTRDSLLDFLNVTSEDKNDENSTCLAAVIISFTAKNSSRIDDFYRCLPALNTLEPENYFKIKRSVFKAFISIFLSVMVVAAVILLGKYVTKNKATETTKEIHEPAKELASCPGLYRFSKAEIENAINCGNEKIFLGRGSAGQVYKGVLPSGQYVAIKQIYKSNTADSFYRELEGLSRIRHPNLVCLFGCCFEDGDQYLVYEYCSAGNLAQYLMRKDRLLTWEQRVKILRDCALALRYLHNNIDGCIVHRDIKLTNILLTDNMDPKLSDFGLAKVLGMEESKVFTDVRGTIGYMDPEYMSNAKLTSASDVYSFGIVALQVLSGQKVIELDLDARDQLTRKAKDVSMGKRALTDFEDPRLKGQVNSVDFESILQIAVLCVARSSKGRPTIDVVFEEMEKVYKNVQADKKAKELSATSALQALDVIAV >itb09g09570.t1 pep chromosome:ASM357664v1:9:5875063:5876518:1 gene:itb09g09570 transcript:itb09g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWCFNGMTALVTGGARGIGLAIVEELASSGAHVYTCALEPEELDECLHKWNNKGYKVSGLACDLTIREEREKLMKIVSDHFDEKLNILINNAGVAIMKKMEDVSEKDWSIQIGTNVEAAFHISQMAYPLLKASGNGSLVFTSSASGVVAIPDGSIYALSKGAINQLTRNLACEWAKDNIRVNAVAPSFIKTPLIDSVIQHSITLKEGVNGIINRSALRRPGEPTEVSGPVAFLCSPAASFITGHILMVDGGATINGYP >itb08g04620.t1 pep chromosome:ASM357664v1:8:3808720:3809082:-1 gene:itb08g04620 transcript:itb08g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELEEVEERKRRSGGLSKRIHIYKGIRMRKWGKWVAEIRETNTRLWLGSYHTPVAAARPTTWPSFTCVARESSLTSPTAWLAMATIANSRQRRFRIEPGQLDIGSMQLRGGYIYGSLR >itb14g19350.t1 pep chromosome:ASM357664v1:14:22053115:22058121:1 gene:itb14g19350 transcript:itb14g19350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MDGGSNLKPMDSEQLREYGHKMVDFIADYYKNIESFPVLSQVQPGYLRGLLPESAPTRPETLQDVLQDVQTKIFPGVTHWQSPDYFAYFPSNSSVAGFLGEMLSAGINMVGFSWITSPAATELEMIVLDWLAKALKLPDNFLSTGEGGGVIQGTASEAVLVVLLAARDKILRAVGKELIGKLVVYASDQTHSALQKACQIAGIHPENCRFLKTDSSTEYALSPNSLREALSQDLATGLIPFFLCATVGTTSSTAVDPLLALGHIAKSNGMWLHVDAAYAGSACVCPEFRHYLDGVEEADSFNMNAHKWFLTNFDCSALWVKDRSALIQALSTNPEYLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQAYVKNHIELAKRFEEFVSEDSRFEVVAHRKFSLVCFCLLPPQNDEDLANKLNRDLLDAVNSSGKIFISHTVLSGKYVLRFAVGAPLTEERHVIAAWKVLQDEAAALLRNSSKMTDSFA >itb02g10260.t1 pep chromosome:ASM357664v1:2:6605565:6608564:1 gene:itb02g10260 transcript:itb02g10260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILRSSTSNASRRGFASGASPERKVAILGAAGGIGQPLSLLMKLNPLVSRLALYDIAGTPGVAADVSHINTGSEVVGYMGDENLGKALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKMAGTYDEKRLFGVTTLDVVRAKTFYAGKAKVNVAEVNVPVVGGHAGITILPLFSQATPKANLSDDEIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGAPDVVECSFVQSTVTELPFFASKVRIGKNGVEEVLGLGALSDFEKEGLEKLKPELKASIEKGIKFAHQN >itb05g18380.t1 pep chromosome:ASM357664v1:5:25173212:25173885:1 gene:itb05g18380 transcript:itb05g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKPFFFFLVVFLLLVTNSASVGAQVEESGVKVIGDRAEMPMGMSLYGGDAEEEEELEGEESPAAGSRRSLFWRTMRYYISYGALSANRIPCPPRSGRSYYTHNCHVATGPVRPYFRGCSAITRCRR >itb01g35310.t1 pep chromosome:ASM357664v1:1:37581125:37586224:1 gene:itb01g35310 transcript:itb01g35310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELELSSDLKMDQQPSSSTDRKEMVSFFGLFSAADTVDYFFMVFGSIGACIHGAALPVFFVLFGRMIDSLGHLSSDSHTLSSQVSKQALYLVYLGSIIFISAWIGVAFWTQTGERQTARLRLRYLQSVLRKKIEFFDTDTRAKDIIFHISSDAILVQDAIGDKIGHTIRYISQFLVGFAVGFLSVWQLTLLTLAVVPLIAVAGGTYTLIMSNLSQKGEAAYAEAGKVAEEAISQVRTVYSFVGEKKAVESYSKSLNNALVLGKKSGLAKGVGVGFTYGLLFCAWALLLWYASILVRHKDTNGGKAFTTIINVIFSGFALGQAAPNLAAIAKGRAAATNILSMIRDGFNSSERSDKGKKLAKVDGKIEFCQVCFAYPSRPNMIFEGLSFSVWAGKRFAFVGPSGSGKSTVISLIQRFYDPTSGSILLDGHDLKNLELKWWREQIGMVSQEPALFAATIAENILFGKEGATMDQVIKAAEAANAHSFVQSLPNGYDTHVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESEFIVQQALNTVMSNRTTIIVAHRLSTIRDADTIVVLKSGQVIEMGTHLELMSKDGEYASLVSLQVSENAGKSMGDSGFGGFPSKEKDENGFTPFTPKKLQPCDEKSVLKASSSAPTLWDLLKLNAPEWPYTVFGSVGAILAGIEAPLFALGITHVLTAFYSNNDSQIRDEIRKVSLIFVGLALLTVPIYILQHYFYTLMGEHLTARVRLSMFTAMLSNEVGWFDKTDNSTGSLTSKLAADATLVRSALADRLSTIVQNVALTLTAFLISFKLCWRISAVVISTFPLLIGASIAEQLFLRGFGGDYSSSYYRATSVACEAIANIRTVAAFGAEERISVQFASELERPNKNAVLRGHISGFGYGLSQLFAFGSYALALWYASILIKQNTSDFGDIIKSFMVLIITALSVAETLALAPDIVKGSQALRSVFDILKRETAIDPDNPKAKTATDIKGDVEFRNVCFQYPTRPDITIFDGLNIEVSRGKSLAVVGPSGSGKSTVISLLMRFYDPTSGAVLIDGVDIKSLNLKALRLNMGLVQQEPVLFSTTIYENIKYGNEKASEIEIMKAARAANAHGFISRMAQGYQTQVGEKGVQLSGGQKQRVAIARAILRNPAILLLDEATSALDTASEVQVQEAIAKVMEGRTTVLIAHRLSTIQDADTIAVLQHGRVVEKGSHEQLIARPGSMYSQLVKLQQDRGAPASD >itb09g05590.t1 pep chromosome:ASM357664v1:9:3174803:3175583:-1 gene:itb09g05590 transcript:itb09g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRASSIGSRLPADNRMSCGASAPVEVGTRGTIGSLLKKEIEYFRKVEMEFSCGGSPLKSQNSSWPGFGLLMMLKWKKKKRRVSGGGGGLQSVCSLVDVSDAHRMNEIRGFSYVNLKVDSKRFQEQTVVS >itb13g05920.t1 pep chromosome:ASM357664v1:13:7006216:7008334:1 gene:itb13g05920 transcript:itb13g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTITVPSRVERLAGSGIERIPKEYIRPEEERPSIGDIFEEEKMGGGPQVPTVDLKGINSEDLEVREKCREELRKAAVDWGVMHLVNHGIPEELTGRVKAAGEGFFGQPIEEKEKYANDQAAGNVQGYGSKLANNASGQLEWEDYFFHCVFPEDKTDLSIWPKTPSDYIAATREYAEQLRALTTTVLAVLSQGLGLEEGRLEKEVGGMEELLLQMKINYYPKCPEPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVTAKCVPNSIIMHVGDTVEILSNGKYKSILHRGVVNREKVRVSWAVFCEPPKEKIILQPLPETVSEADPPRFPPRTFAQHIKHKLFRQTDQEGADTPKPDDQQSN >itb09g15050.t2 pep chromosome:ASM357664v1:9:10330568:10331171:-1 gene:itb09g15050 transcript:itb09g15050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQTAKTEKAEAEARSAADELSEFNKERRECKTVLECEPPAAGYEYRRQVREEEERKPGVIGSFVKSVQGTLGHAKDAVTGKTNEAAEATKETAGNVAQRAKETKDSAAGKAAEYRDYTAEKAKETKDGAMQKAGEYRDYAADKAKQAAEKAGEAKDTTKGRTRRLESWAS >itb09g15050.t1 pep chromosome:ASM357664v1:9:10329496:10331219:-1 gene:itb09g15050 transcript:itb09g15050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQTAKTEKAEAEARSAADELSEFNKERRECKTVLECEPPAAGYEYRRQVREEEERKPGVIGSFVKSVQGTLGHAKDAVTGKTNEAAEATKETAGNVAQRAKETKDSAAGKAAEYRDYTAEKAKETKDGAMQKAGEYRDYAADKAKQAAEKAGEAKDTTVDKAKEAKDKTMEKAGEYKDYTAEKAKEGKDTTVGKLGELKDSAADAAKRAMGFIVGKRDNANQKAAETGEAVKQKAEETKQKAEETAQAAKQNAEATSQAAKEEEAARRRMEEMKQEEEAARRRIEEMKLKKEYLKDEGRHWDEGYEVGRGGSEDSGNGGGILGAIGGMKDKIKDTLAPKHTYEESNYESGEGRGSRRVVVDVKVSDQTSGQSFDETPAGKTAATLKGSDQMSGQTFNDVGPLNEEGIRIAKRSS >itb10g15550.t1 pep chromosome:ASM357664v1:10:21763459:21764916:-1 gene:itb10g15550 transcript:itb10g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLFVFDNNFFDPFSPSSLHDQDFFQEFSDEFNVNSTTILDHQENFIADESNNSSSLDQIATALLSSSPPSHQLESLSLSQTTPLYGDFSAAMDVKTEDSQPQSLYPSFLPQSYGENAMKMMQRSFSSNSFEGRPSNFSRFQPQLDTLLESPNLHAQVLSSPENSSFSSGQMRRVCSTGDLQMKSRNTLSSSPLSRESSFMEEANFKVGRYSAEERKERIHRYRAKRNQRNFNKTIKYACRKTLADNRPRIRGRFARNDEAGDIPKTANFNRFEDEDDLWIEGVHEEEEGLVGKGPFFNSYGSTATSAMPTHYQYFSY >itb01g22650.t1 pep chromosome:ASM357664v1:1:28716064:28717164:-1 gene:itb01g22650 transcript:itb01g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALLTINNKAEEQKESWTRNRVIYLFEDEEEDDEDELFEIDLAVVDSLPPPRYCWDDDYDDDGRFSAATRSALLANCLLPIAQLSCAVPMAAKAGDALLLEPSTPERVSGFSSPVPVAVSGLQHDVEECNEEVSNYGVEQGVSSTQLVKAWLPLQKLFTEKCETQSSCSSSEADELDGVPAETYCVWRPKPVDDDQLFLGRCKKSNSTGSGSDPSKLWKFRDLLYRSNNDVFLTLSFKKKSG >itb02g02580.t1 pep chromosome:ASM357664v1:2:1509072:1517098:-1 gene:itb02g02580 transcript:itb02g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVTRAFESMLKECSSKKHSSLQMAIHSCLDAEKATDQQHMHNESNAVTSAGLIQSSLPETDAGSGTMHSTPTSPSKTLITTLANAGHTLSVAEAELVLNPLRLAFETKNIKIMELALDCLHKLIAYNHLEGDLGFDGRGNVPLFSDILGMVCSCVDNVLPDGTTLQVLKVLLTAVASTKFRVHGEPLLGVISACYNIVLKSKSPINQAASKSMLTQMLSIIFKRMETGNVASTSSDSVNQKMAASANAPNFEVVDMPSDDYNKKEMMLGDKVSSNQTKDEFVDPTLEELYSLVGGADIKGIEAAFEKAVQLEDGKMAAGGTDIESMNIGLHDALLLFRSLCKMAIKDKNDELTTKTCTLSLELLQYATGIFSVLLLRFRKNLKGEIGVLFPLFVLRPLGGQDPNFKTAVLRMLEKVCKDSKMLVDLYVNYDCELKAPNLFEHTATTLSKIAQNADSNSVASSLMGSTKLSSLQCLVTMLKSLVDWEKCQRKPLRVNRRKQSIEEGFSGISDDIRGREDLPCDFDKLKGHKSTIEAAVSKFNRQPSKGIEYLISSRLVERSPASVAQFLRNTPNLDKAMIGDYLSQNEEFPLAVMHAYVDSMNFSGMKFDMAIREFLKGLRLPGEAQKTDRIMEMFADRYYAANTDLFKHADTAYVLAYAVIMLNTDAHSPTIWPRMSKDDFIHLNTMKEADECAPKELLEEIYDSIVKEEIKMPDAHISLAESNKQKLELEERWRLVSILNLSLPRKNHLIDSKSKREAINTQTEAIFKNQGGIEGVFYTSYNIELASPMVEAVGWPLLATLAVTMEEGENKSMVSLCMEGFKAGIHITHVLRMDTMRCAFLTSLIK >itb05g23450.t1 pep chromosome:ASM357664v1:5:28555241:28556842:-1 gene:itb05g23450 transcript:itb05g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFSRRILGRVGRKKKVLRIGSRREMMTPAHFLCPISLDLMKDPVTLSTGISYDRENIEKWLGEGNRTCPVTNQEVTSYELIPNHAIRRMIQEWCVDNRSHGIERIPTPRIPVSSYEVSEMCGRLEAATGRGDHRECVELVGRMKGLAKESERDKKCIADSGSGRVLAACFRAFSERYEVEDLLKEVLSGLKWMFPLGEESKSMLGSEPSLRCMAWILKGQDSSAKRNVVLVLKKLLSLDHTYANVLAQVDEDVPRVLFEMVKSPNCPSARKAPLEVLYRILTSSSEAKGKLVKMGLPSLVLEILVDAEKGVAEKALAVIDGICDSQEGKGSICSHPLAMALLVKKIMRISEMGTELCVSIMWKLLCKGDEDENQVIEALELGAFQKLLVVLQVGCGENTKERATELLKLMNLYQDRVQCLHNSSGFKYLKKSY >itb11g12230.t1 pep chromosome:ASM357664v1:11:9105912:9107913:1 gene:itb11g12230 transcript:itb11g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQIELKLAVVMEQNGWKYEAIVERSFSRQDRKTMGYWCATLAIFILILSFCIFYITPNNHLCSQRNEAKPICDLKQERSNTCEASGDIRVIGNSSTIFVVSNVVHSTTSWTIKPYARKADQYALRRVRSLTIAEVHHSDQRIRRCTTKYDVPAVVFSTGGYAGNLFHDFTDLIIPLYLTSQEFQGEVQFLVTDKSTVWVDKFKEVLQRLSKHEIIDLDRENDAVFCFPRIIVGLKANKEFSINNVSSDNNISMKNFMAFLRNAYNLKRDNIVTNEKLLQPPRLLVIARNKTRRLLNANEVSAAAENMGFKVVVEETDANMTRVSKMVNGFDVMVGVHGSGLSNMVFLPENAVVVQIVGLGMNWVARNDFELPSLDMGLRYLEYKVGENESSLKNSSSIGFKGWMAYTFAYLIGQDFTVDVNRFRGTLLKALELLHGA >itb13g12510.t1 pep chromosome:ASM357664v1:13:18703787:18710116:1 gene:itb13g12510 transcript:itb13g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein C [Source:Projected from Arabidopsis thaliana (AT3G54380) UniProtKB/Swiss-Prot;Acc:Q67XV2] MAGRGQPRYTTRRGASSSSSSSAPPPRKFHSNSHRNVKPSTSHIPIQETPHNFKNNNPNSKGYKGPDIDEDDVRNLPSLVGTCSFMCPVEERETRERLRDLAVFERLNGDPSKSSPSLAVKKFCRTISIKNVQTSDLRPLPVLEQTLDYLFNLLHSTKRPFEVVHDFLFDRTRSIRQDLSMQNCSGDRVISMYERMVKFHIMSHHELRRCSDPNISSMLHLNMEQLKKALTTLFDLYEANRMSQFIHKNETEFHACYVLLHLRSECQGDSLSLWFRQVPLKIMKSKEMCFARRMLRYFRLGNYKRFIHTAESDASYLQYCIIEPYISEVRELAISSLNHGGYKLQPFPLADLSKLLMMKEWDMESFFRDYGLQILTDEEGNRCLFSKQALRVSPKDTLQKCYPLDSERFERAIVEL >itb13g12510.t2 pep chromosome:ASM357664v1:13:18703788:18706302:1 gene:itb13g12510 transcript:itb13g12510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein C [Source:Projected from Arabidopsis thaliana (AT3G54380) UniProtKB/Swiss-Prot;Acc:Q67XV2] MAGRGQPRYTTRRGASSSSSSSAPPPRKFHSNSHRNVKPSTSHIPIQETPHNFKNNNPNSKGYKGPDIDEDDVRNLPSLVGTCSFMCPVEERETRERLRDLAVFERLNGDPSKSSPSLAVKKFCRTISIKNVQTSDLRPLPVLEQTLDYLFNLLHSTKRPFEVVHDFLFDRTRSIRQDLSMQNCSGDRVISMYERMVKFHIMSHHELRRCSDPNISSMLHLNMEQLKKALTTLFDLYEANRMSQFIHKNETEFHACYVLLHLRSECQGDSLSLWFRQVPLKIMKSKEMCFARRMLR >itb15g11050.t1 pep chromosome:ASM357664v1:15:8840318:8855681:-1 gene:itb15g11050 transcript:itb15g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVDGVIEELVTQGVNSLVRIVSDNVTLVGGINSEIKDLTSDIQMFNARLIEASKNPRANDHQVLRLIVNKFGSVVNEAEDTIADYVVLKKKHGHSALSKSLDKIPLCGKVSGYASEIQSIKTKMNAIRQEHEQELQYLMQYEIKDEDKALKTFQQARPIVEKNEVFGFKKDLEDIKARLLEASDNFIVIPIVGMPEGYNYKSFEAVGKVIAKKCKGLPLALIVVAGILRKCITSTDWQRVADNPLLEINREDQSYHELVKMSYNDLPHEKLKNCFLYFAYFPMGHEIAIWKLVCLWIAEGFIPTINEWEYRIDAEVEAQKYLNDLVDRNLLTVIKRRADGQIKTCRIHDTLHEFCKSEAARKNLFLVMDKEQMLDENTCSARRLCFHSFTADKSDDKNKSSRFFLNWYDQMTSSSPFGKHIHSLFLSSPQKSEIPLAQDQLATIPNTFPLLRVLNIEYIKFNDSLLPKEVYRLYLLKYIAISGNLNLLPKSFKNLVELETLVIKTTARTLQIEGGIWNMEKLRHVRTNTSVQLPFPPKTNSGGKNIRTLSTISPTSCTKEMFSRTPNLQKLGVRGNLSEFLEERDLSEFLEEKKVLKFLEERDLPEFIDESTFLEFVEERDLSEFVEERLVEEKQNIWLFNNLQMLKCLENLKLYGQYDKVFSVPKMDKFGCRLKKLSFSGTLFEWKDMRVLGLLEELEVLKLDDYAFKGESWELSNDVIFKQLQYLRIGRTNLITWKVTSENSFPTLRSLVLQNCNVIERVPEAFAKVPTLKVMELFHVSETAVNSAKHLRGKLKIGGFQLIITSLPSQLQREGELTAAMDIVVAQGLSMAVNRLVDGVAGWSSEEDGLDSGLEDLIFDIEMLNARLVEAYNNPYASVDVLIVKNFQTVVDEAKDAFNNYCDLREEHEDKAFTNFLVPCYRREVKSCETEIQSVRTKMKKIYQEHEKELETLTNYKNNVLLAPQIKRPIVFDKVIVGGVKQAVNKLLQTVEDNYNQSEVKELTSHIKTFTEKLVEACKNPKANEDRVLRSILIKFRTLVNEANDAVSNYFALEKNRGENGWAKSLDKILFRGKLNMYTSEIQSISAKVKTIGKDHGKDLTYLLEYKPPPDKAENKIVGFSDDLKIIKTQLVKASKDFFVIPIVGNAGTGKTAFALKVFEDLEIRKYFTHCVWIHVSRHFHRKQKFIDILHQISKQTEDFSTTLEDVLEAKIKELLEDERYLIVLDGVHRKEDWDSLKDAFPKNLKGSRVLVTTRSSNAVDSTWKSHSLRKLNNDDGWLLIKNNVFGTEGSCDTVFEEVGKKIAKKCNGLPHALVLLTGILRNCITSVVGWQRVADNPLLDINGEGQSYHELVQLSYNDLGDEKLKNCFLYFAYFPMGHEIAAWKLIHMWMAEDFIPTKDEWGYPLDKEVGASNYLNLLVDRNLVVVKNRRADGQIKTCGIHDTLPAFCKSEAERKKLFHVVDEGQILNAGIILNRLCSYYYCHSSHSFFNLLNKRKARLQYVDKFVKSLLLSSQKSDEINSVPVPQLINILKTMKYLKVLNIEDLKFNSLPIELYSEDSIRYLAVTVDLDSLPKAFEHLSYLETLVIKTTKGTLHINGGIWNMENLRYVHTNTSTQLPSPPKTANSKHSLKQTDIRTLSTISPGSCTSDIFNMTPKLQKLGVRGNLSQLLDKTQKVCLFNNLQMLECLENLKLHGNSEEALEVPILDKFPRRLRKLTLSGTLFQWNDMTVLGSLEQLGVLKLDDNAFSGDFWDLSSDVIFKGLKYLRIGKTNLVTWTAMESKKSFPVLEVLVLRNCISLENIPQDFANLDSK >itb01g29150.t1 pep chromosome:ASM357664v1:1:33450663:33453899:1 gene:itb01g29150 transcript:itb01g29150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLDMSPLVKFGQNRRFGRSRRATSCVSQVGRRNTVVGEKQNQTSTIERNPVFTEDIYSTASAAELPPLVRALKASAEEKAATFHFPGHNRGHAAPSSLSRLIGSRPFVHDLPELPELDNLFAPEGPILEAQKQAAELFGALETWFLVGGSTCGVQAAIMATCSPGDTIILPRNSHISAISGMVLSGALPKYIVPEYDSDWDVAGGITPLQVKRAIEELEIEGQKPAAVLVVSPTYHGICNNLSEIALLCHSHDIPVIVDEAHGAHLGFHPQLPRSALSEGVDLVVQSTHKVLCSLTQSSMLHMGGNLLDRNRIHRCLQMLQSSSPSYLLLASLDAARAQLSANTESIFNKAIELAVGAKDEISRIPGISVLDFLRFPNTPFRDPLRITLGVWQLGLSGFEADDILSDGFGVVSELVGTKSITLAFNLGTQRDHVGRLVSGLKHLSATSYPPKLTADTVNNEEQFAPFDDIHISMSPREAFFAPKRRVSIKESVGRICGELVCPYPPGIPVLIPGEVITKTALNYLMQIKGKGGVISGAADHSLSSLIICD >itb01g29150.t2 pep chromosome:ASM357664v1:1:33450812:33453899:1 gene:itb01g29150 transcript:itb01g29150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSPGDTIILPRNSHISAISGMVLSGALPKYIVPEYDSDWDVAGGITPLQVKRAIEELEIEGQKPAAVLVVSPTYHGICNNLSEIALLCHSHDIPVIVDEAHGAHLGFHPQLPRSALSEGVDLVVQSTHKVLCSLTQSSMLHMGGNLLDRNRIHRCLQMLQSSSPSYLLLASLDAARAQLSANTESIFNKAIELAVGAKDEISRIPGISVLDFLRFPNTPFRDPLRITLGVWQLGLSGFEADDILSDGFGVVSELVGTKSITLAFNLGTQRDHVGRLVSGLKHLSATSYPPKLTADTVNNEEQFAPFDDIHISMSPREAFFAPKRRVSIKESVGRICGELVCPYPPGIPVLIPGEVITKTALNYLMQIKGKGGVISGAADHSLSSLIICD >itb09g02990.t1 pep chromosome:ASM357664v1:9:1699615:1700130:1 gene:itb09g02990 transcript:itb09g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPSMGRQKIKIAKIETKTHLQVTFSKRRSGLFKKASELCTLCGVEIAIIVFSPAGKPFSFGNPNVGPILDRFLSRNPHTVSGAADLHRSAAVCEMNLQLTQMLAASEMEKKRGEMLGRMRTERRRSRQYWWEAPISELGLPELQQVMIAMDELKKSALQLIMAEFAKP >itb14g18310.t1 pep chromosome:ASM357664v1:14:21390492:21391774:1 gene:itb14g18310 transcript:itb14g18310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNKNSMPCAACKLLRRRCTKYCIFLPYFPPTHPERFAAVHQIFGASNITKMLQEVPVDSRADAVMSMVYEATARLRDPIYGCVGLISVLQKNVFELQSKLNESVAETMALKTQLSSVLSASLLYDPALPDTMLLTSDLSNSSLATEFLNPEPYI >itb08g02830.t1 pep chromosome:ASM357664v1:8:2406300:2410551:-1 gene:itb08g02830 transcript:itb08g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAALSSGTRTLFFSGPSKLFPSKKWDPRSGSCCYLNSKSRVALSVTPARCRSRIASSSAQTSSPAVHVSPEKLERVETSIEKVIYGCRFFAILAVWGSLIGSFLCFIKGCVCVVGAFQAYVVTRAKVILLLVEAVDIYLLGTVMLVFGMGLYELFISNLDKANSASKDRLAYRSNLFGIFTLKERPRWLEIKTVNELKTKIGHVIVMLLLIGLFDKSKKAVIQSPADLLCFSASVLLSSGCLYLLSRLNE >itb09g09810.t2 pep chromosome:ASM357664v1:9:6019680:6022588:1 gene:itb09g09810 transcript:itb09g09810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLWTFHPFILKSKKIYTVLIWCRVLAFLAACQFLRIITFYSTQLPGPNYHCREGSKLATLPRPDSIVEFLFMNFPQGILYGCGDLIFSSHMIFSLVFVRTYQKYGTRRLIKQCAWLAVIAQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDRKLPELPDRSGSTGFLIPLTKDNKTRDENLKLLNGNSGDLADKRPRTQISGKITEDGNTVHGEGLMNGG >itb09g09810.t3 pep chromosome:ASM357664v1:9:6020465:6022588:1 gene:itb09g09810 transcript:itb09g09810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKININLQLKCELSFCMQACQFLRIITFYSTQLPGPNYHCREGSKLATLPRPDSIVEFLFMNFPQGILYGCGDLIFSSHMIFSLVFVRTYQKYGTRRLIKQCAWLAVIAQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDRKLPELPDRSGSTGFLIPLTKDNKTRDENLKLLNGNSGDLADKRPRTQISGKITEDGNTVHGEGLMNGG >itb09g09810.t1 pep chromosome:ASM357664v1:9:6018615:6022588:1 gene:itb09g09810 transcript:itb09g09810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGREASKLWKRICAETTAEIKLLAENWKYLLGGLICQYIHGLAARGVHYIHRPGPTLQDVGFVLLPELGQDKAYISETVFTSIFMSFVLWTFHPFILKSKKIYTVLIWCRVLAFLAACQFLRIITFYSTQLPGPNYHCREGSKLATLPRPDSIVEFLFMNFPQGILYGCGDLIFSSHMIFSLVFVRTYQKYGTRRLIKQCAWLAVIAQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDRKLPELPDRSGSTGFLIPLTKDNKTRDENLKLLNGNSGDLADKRPRTQISGKITEDGNTVHGEGLMNGG >itb02g08440.t1 pep chromosome:ASM357664v1:2:5314042:5317198:1 gene:itb02g08440 transcript:itb02g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVISRCFVGAANGGSRRVELTPWDLQFLQFGPIQKGLLFYKPPTLQFLNLIHHLKTTLSSTLDFFPPLAGRVGMVMSGEDNSCSFYVDCNNGGAEFVEAKASGVTVGAILDQSNINVPQILRSFFTLNGAMK >itb14g01250.t4 pep chromosome:ASM357664v1:14:970400:973714:-1 gene:itb14g01250 transcript:itb14g01250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGANEHHLLGVFTQRAILVLLALSTLLAFIWFYTAAILVALGQDRDISLEAGQYNRWMIPGIFAYAILQCLNRFLQTQNIVIPMMLSSGFAALFHVLVCWLLVFGIGLGSRGAALANSISYWVNVALMGLFIKFSSSCSKTWTGFSREAFSGILGFLKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWTVYMISVGLGGAISTRVSNELGAGRPQGARLALCVVVIVAISVGAVIATATILVRHVWGKLYSNEEEVISYVAKILPLLALSDFLDGFQCVISGAARGCGWQNLCAFINLGAYYVVGLPSSVLFAFVFHIGGMGLWMGIICALSVQNVALIAINLFTDWDKEARTAISRVNESRLVNGTAE >itb14g01250.t5 pep chromosome:ASM357664v1:14:971748:974597:-1 gene:itb14g01250 transcript:itb14g01250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEENGDELQSCLIISNEERGWCYGGEAMIEEAKTQLGLALPLIAVNILQYCLQVISVMFVGHLGDGELPLSGASMATSFASVTGFSVLLGMGSALETLCGQAYGANEHHLLGVFTQRAILVLLALSTLLAFIWFYTAAILVALGQDRDISLEAGQYNRWMIPGIFAYAILQCLNRFLQTQNIVIPMMLSSGFAALFHVLVCWLLVFGIGLGSRGAALANSISYWVNVALMGLFIKFSSSCSKTWTGFSREAFSGILGFLKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWTVYMISVGLGGAISTRVSNELGAGRPQGARLALCVVVIVAISVGAVIATATILVRHVWGKLYSNEEEVISYVAKILPLLALSDFLDGFQCVISGTKLRKIPVSVQ >itb14g01250.t3 pep chromosome:ASM357664v1:14:970400:974597:-1 gene:itb14g01250 transcript:itb14g01250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEENGDELQSCLIISNEERGWCYGGEAMIEEAKTQLGLALPLIAVNILQYCLQVISVMFVGHLGDGELPLSGASMATSFASVTGFSVLLGMGSALETLCGQAYGANEHHLLGVFTQRAILVLLALSTLLAFIWFYTAAILVALGQDRDISLEAGQYNRWMIPGIFAYAILQCLNRFLQTQNIVIPMMLSSGFAALFHVLVCWLLVFGIGLGSRGAALANSISYWVNVALMGLFIKFSSSCSKTWTGFSREAFSGILGFLKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWTVYMISVGLGGAISTRVSNELGAGRPQGARLALCVVVIVAISVGAVIATATILVRHVWGKLYSNEEEVISYVAKILPLLALSDFLDGFQCVISGAARGCGWQNLCAFINLGAYYVVGLPSSVLFAFVFHIGGMGLWMGIICALSVQNVALIAINLFTDWDKEARTAISRVNESRLVNGTAE >itb14g01250.t1 pep chromosome:ASM357664v1:14:970400:974597:-1 gene:itb14g01250 transcript:itb14g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGANEHHLLGVFTQRAILVLLALSTLLAFIWFYTAAILVALGQDRDISLEAGQYNRWMIPGIFAYAILQCLNRFLQTQNIVIPMMLSSGFAALFHVLVCWLLVFGIGLGSRGAALANSISYWVNVALMGLFIKFSSSCSKTWTGFSREAFSGILGFLKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWTVYMISVGLGGAISTRVSNELGAGRPQGARLALCVVVIVAISVGAVIATATILVRHVWGKLYSNEEEVISYVAKILPLLALSDFLDGFQCVISGAARGCGWQNLCAFINLGAYYVVGLPSSVLFAFVFHIGGMGLWMGIICALSVQNVALIAINLFTDWDKEARTAISRVNESRLVNGTAE >itb14g01250.t2 pep chromosome:ASM357664v1:14:970400:974597:-1 gene:itb14g01250 transcript:itb14g01250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEENGDELQSCLIISNEERGWCYGGEAMIEEAKTQLGLALPLIAVNILQYCLQVISVMFVGHLGDGELPLSGASMATSFASVTGFSVLLGMGSALETLCGQAYGANEHHLLGVFTQRAILVLLALSTLLAFIWFYTAAILVALGQDRDISLEAGQYNRWMIPGIFAYAILQCLNRFLQTQNIVIPMMLSSGFAALFHVLVCWLLVFGIGLGSRGAALANSISYWVNVALMGLFIKFSSSCSKTWTGFSREAFSGILGFLKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWTVYMISVGLGGAIRVSNELGAGRPQGARLALCVVVIVAISVGAVIATATILVRHVWGKLYSNEEEVISYVAKILPLLALSDFLDGFQCVISGAARGCGWQNLCAFINLGAYYVVGLPSSVLFAFVFHIGGMGLWMGIICALSVQNVALIAINLFTDWDKEARTAISRVNESRLVNGTAE >itb14g18510.t1 pep chromosome:ASM357664v1:14:21495145:21495513:1 gene:itb14g18510 transcript:itb14g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEQVGSDSNVVHSYTASCIEKLLLVKDDGAIARYTAVDISPFLLVLMTNLFQAMEKPESEENQYIMKYIMRVLGVSKISREVALHCITGLANVLNRVCQNPKNPIFNHYLFESVVVLVXL >itb09g00060.t1 pep chromosome:ASM357664v1:9:168162:169389:1 gene:itb09g00060 transcript:itb09g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQEQGALPVSQPGGGGGEGIRKRLSSLYSVQTPTTLISSSASSLGAAFRRSKSMGDVEYMRAKAADGFVSIRRWWDWGWSWILSRKPTFAKDLEMNEDEKAALGCHSKGSWRHVFYKVKFELRRRLMGSEGLPQTFRYDSLDYSKNFDDQTRRTFSHHRHFSR >itb01g26200.t1 pep chromosome:ASM357664v1:1:31413691:31415341:-1 gene:itb01g26200 transcript:itb01g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIVESTLIVESKPIIESTDWEDFTNEVERVKEDLVNKKGYEISRLKESWRRSIRKIRTSRLSYRRNPRRSQEVEEKESQEVEEEEKESESQEAEEEEKESESQEDGGRGGEDETQEAQEDGGRGGRNPGGRTEVEEDETQEAQEDGRRSRRTKPRRPRRTDGGRGGRNPGGPGGRTEVEEDETQEAQEDGRRSRRTKPRRPRRTDGGRGGRNPGGPGGRTEVEEDETQEAQEDGRRSRRTKPRRPRRTDGGRGGRNPGGPGGRTEVEEDETQEAQEDGRRSRRTKPRRPRRTDGGRGGRNPGGPGGRTEVEEDGRRSRRTDGGRGGRNPGGRGGRRSRRTEVEEDERSRRTKPRRSRRTKPRRSRRTKPRRSRRTKPRRSRRTEVEEDETQEVEEDESQEIEEKESQEVEEKVEVEEKEKESQEVEEDETQEVEEDETDSYLAKYKEDVAAFEAQLKNKSIHVELLVQQSCLIKRHRKNNSLSRSC >itb05g02500.t1 pep chromosome:ASM357664v1:5:2010349:2014319:1 gene:itb05g02500 transcript:itb05g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTVRDLFKERKLPFIFSLALLLLLILIFTFLLISGTSQKYAFYIAQSEPESILKGQTSLSYAPNPITSISSPSSFSSSPPPPQSRPRSGSDVVLRDVADDRDAETASIRWKLCDGKIAVDYIPCLDNWKAIKALRSRRHKEHRERHCPRPSPRCLVPLPQGYRIPVPWPKSREMIWYNNVPHPKLVDYKKDQKWVVKYRDYFVFPGGGTQFRDGANLYIEAIEKTLPEIEWGKHTRVILDVGCGVASFGGYLLDKKVITMSFAPKDEHDAQIQFALERGIPATLSVIGTQKLPFPDNAYDLIHCARCRVHWDANGGKPLIELNRILQPGGFFIWSATPVYRKDERDKKVWKATEAVTKALCWKVVKKAFFKSAHIGLIIYQKPLSSSCYVGRREKNPPLCDHGSMPNSSWYAPLENCLSQLVTRPAEWPRRLVSIPPSLPADAESEEAFYGDTRHWDALVTDVYLGGLEINWSSIRNVMDMNAGYGGFAAALVGQPLWVMNVVPISSTPDTLSIIFNRGLIGMYHDWCESFNTYPRSYDLLHASFLFGKLIQRCDIIDLSVEMDRILRPNGVLVVQDTMDMINKLSPILRSLHWSVAIHQEQFLVAKKGFWRPDEKTRI >itb12g04160.t1 pep chromosome:ASM357664v1:12:2704564:2705202:-1 gene:itb12g04160 transcript:itb12g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSISALFCGSNRPATASRRETGKKTGKLGDKKPIKLRSGISSKALLMSKMISWRKVEDDEDEDKVLDRLDDDDDQAIWKKTIVKGEKCRPWDFSGKISYDSNGNLILES >itb01g07470.t1 pep chromosome:ASM357664v1:1:5887625:5890709:-1 gene:itb01g07470 transcript:itb01g07470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVDFVVGKLKEQAFQEVLFQWKIKDEVDKISARLANMKGYVEDSGKGKQDTKVAESWVTQLRDTSLQLEDLVEEFMLDSKLVELNTPPCNFCEVKSLFANVKSLVERVKIQYCFHQQLKAMDEKLLALETDKSKYGIKLKTIDERNELLMGSGSGYMEENELVGIDMEVNRIAELIEKRSGQVKLITIWGAGGCGKTTLAKQVHERVKKNRSFDYCWWVDVNHSSDIEFVLRTTINGLYTSSVGTEMPSKLEKADENSLHQHICNYLKGKRYVVFFDDVWDNNLLAKIKLPGHSASSIIVTSRDKNIANGSFLGAVPHYVEVKPLEFDLACSLFCKLALDSCSWPNGLEEAGKALVKKCSGLPVAILAMARLMSTKGDDPSKWRDALKSLDYYSQDFEAGGSLASLNTALLLSYYELPTHLKSCFLYCAMFPKTYAISAQKLIRMCIAEGFINDDPHPHSGRHTLEAIARDYFLELKNRSLLQILPNEYSGKELGRIGMHDLYRDVACEVIRRETFAEIIKLEQSSRKLEWKQRRSLIVVEGELKLADQLDNCYENMKKLRTLIISRCEGIIGVNSFPQMFQNMKLLRVLVLEWLPDDMEELPNEVGDLIHLRYLSLSSYLESPITRLPDSLGRLHNLQTLDLKLTWIDSLPKCVSELKQLRHFFGSIESQVPDIVFTFSQLQTLSRILINTIQARELVNIPQLTKLNIIFKEGEECWRAICDSVNKITNLQSLSIGSDGLQDFINFSPPLSLQKLKLSKFGKLVNFITTPNHCLRVIYINMCDVDEAFFNSLEKLPTLLDLYIESYSGDELLCSEGSFPRLKKLKIWCKKLSKWEIGKGAMTCLESLSIHDCGSLEMLPEGLREVEHLKTLHLYLPSQQLVQSISVEGSDRWKIEHIPRVTTQDNFFGKPSLLN >itb03g04880.t1 pep chromosome:ASM357664v1:3:3218956:3221782:1 gene:itb03g04880 transcript:itb03g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASLSQPAFSDPQTNQIGSLSCGKYNVTDAPEFIRELNLSLSDLRNQLSSDNKRFATSTQRAVYAMVQCRKYLSTADCVSCFDAAVLVTRNCTFVTTFAIVIFDGCFLRYQESYFYDQITDQITEGTYPACGNRTARNQDIFNGTAQQLLNELLLATPKINGFYAAVKLEEPGATTYGVAQCAETVSQSSCQDCLSLAYNNIKGCLANSADGRAVDAGCFLRYSDTAFFADNQTTDITHFLGRGSSSGKKKPIIVAGVVGTVGIILVLGALFLFYRQSWKANAWRRGNILGAKYYIYKDLKAATNDFSEENVLGKGGQPTERGYDVVAVKKLTTISSRAKANFDTEISLITHVNHHNLIRLLGYSGNGKVLILVYEYMANASLDRYIYGEKRGILNWKQRVDIILGTARGLAYLHEQFDVCIIHRDIKSSNILLDDEFQPKIADFGLARLLLENKSHLTTKFAGTLGYTAPEYAIHGHLSEKVDIYSFGIVILEIISGRRSSDLQVEPVTKYLLQQAWNLYENDEHLGLVDSTLDPNEYEVDEVKRTLEIALVCTQSPSNIRPSMSEVVAMLSSTDASIIQKPQNRPTTITDFDKRIPTNTSTLTHATISFSRFSGASYTYFDLAS >itb14g07220.t1 pep chromosome:ASM357664v1:14:6636706:6641115:-1 gene:itb14g07220 transcript:itb14g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSANDGAAGPAMRPLTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRQGRENTGIPCAVMLDTKGLEIRTGFLKDNKPIQLEQGQEITISTDYSIKGDENMICMSYKKLAEDVKPQSVIQCADGTISFTVLSCDKMNGLVRCRCENTAVLGERKNVVVLDLPTLTDKDKDDILKWGVPNQIDMIALSFVRKGSDLVEVRKLLGPHAKNIILMSKVENQQGVANFDDILTNSDALMVPQKEMIYKCNIQGKPVVTATKMLESMIKSPRPTSQEEKDVANAVFNGTDCVMLSGVTAEGAYPDLAVSTMAKICIEAESTIDYGDVFERMMADAPVPISSLEILASSAVQTANSSNASLIIVLTRGGSTAKLVAKYRPRMPTLSVVIPEIKTDSFGRSCSEEYPARHSLVFRGLVPPVLFAGSARASHEELTEEAIMFSLRQAKAIGLCKVAGPTSHTEEARKLEEEGNLEEGQGRTSLEAELISEELGDFNIEENLNQHGQEGNKDFKKVPLVIVEGDEEVIVSKITVCRSDHYINLAKKIQQVYKGKYRLDPWKSRFQGNFIEKLWVQNLEEPKRYPNIVWPWPDFTAAYIWETEEMPICLNPLILSWSGFWGQLTKIEMVEKSDGRYIPIRLNLHKTVRSSFLLKRYCDNTKQTFCDLIRACHSSMVDIEGVLHNTFIDTGVYLESYFNGYKFILHRVKEISAGSWESVADIHSETVEEIGEDEWKLVERSIISIDITPEDEDEA >itb05g15340.t1 pep chromosome:ASM357664v1:5:22732221:22738095:-1 gene:itb05g15340 transcript:itb05g15340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLGFNYLRSSLRSVFSRPLLSSLCFSLFSPDDATVHNRHPPPLLPLPSSTAPTTAAVGPIRPSHNRCQCHPHQPHPRPPQPLPAAASHRRLPVTVATSPSTYIMCHAFPELWNIEKRFGSVIFGAIQSKIQLRRGTKGKLVLRIYTVPLPITDTSIDGFVCGDTQGKQYGSYGSQLSCSLLHYLPDHSICGIILSCQDEAWFDTTSIFESDSDGDDDDDFSSVHGTVKSPTETKERKRERDNRSDAQDPSYHISFE >itb05g15340.t3 pep chromosome:ASM357664v1:5:22733382:22738095:-1 gene:itb05g15340 transcript:itb05g15340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLGFNYLRSSLRSVFSRPLLSSLCFSLFSPDDATVHNRHPPPLLPLPSSTAPTTAAVGPIRPSHNRCQCHPHQPHPRPPQPLPAAASHRRLPVTVATSPSTYIMCHAFPELWNIEKRFGSVIFGAIQSKIQLRRGTKGKLVLRIYTVPLPITDTSIDGFVCGDTQGKQYGSYGSQLSCSLLHYLPVSCQDEAWFDTTSIFESDSDGDDDDDFSSVHGGTVKSPTETKERKRERDNRSDAQDPSYHISFE >itb05g15340.t2 pep chromosome:ASM357664v1:5:22733382:22738095:-1 gene:itb05g15340 transcript:itb05g15340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLGFNYLRSSLRSVFSRPLLSSLCFSLFSPDDATVHNRHPPPLLPLPSSTAPTTAAVGPIRPSHNRCQCHPHQPHPRPPQPLPAAASHRRLPVTVATSPSTYIMCHAFPELWNIEKRFGSVIFGAIQSKIQLRRGTKGKLVLRIYTVPLPITIMFDSLRTLQLMDLSVETLKANNMALMALNYLVLFCTIFQIIQYVELYASIDALTMQVFLLSCQDEAWFDTTSIFESDSDGDDDDDFSSVHGGTVKSPTETKERKRERDNSYHISFE >itb05g24990.t2 pep chromosome:ASM357664v1:5:29619699:29622474:-1 gene:itb05g24990 transcript:itb05g24990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFTLQMLHPLCNYRPLPNPSPAIFFSFPFPTSQSPVLRRRVELGRASVVVSAADTKAVSQSAIERIAEKLRSLGYVEDNGQKGKPEETRHSEVNSASPGQIFVPLPTQLPKYRVGHTLDPSWSTPENPVPQPGSGNAIQRFHDMRNELLKAKEEERVKKKDKAPSLAELTVPAQELSRLRTIGIALKQKINVGKAGITEGIVNGIHERWRRSEVVKIKCKEMCRLNMKRTHDMLERTTGGLVVWRSGSTIILYRGADYKYPYFLSDDTSSNDAIRDVSSDVHMDCGGDDVRETSSVGMDGVKSAGLNGGSYPSLVKGVGSPNKVRFELPGEGELAEEADRLLEGLGPRFTDWWGYYPLPVDADLLPAVVPGYRRPFRLLPYGVKPILTNDEMTTLKRLARPLRCHFALSRNRKLQGLAAAIVKLWEKCEIAKIAIKRGAQNTNSELMAEELKLLTGGVLLARDKEYITLYRGKDFLPAAVSSAIEERRKQAIREKHRKDFNSQVPDVKEPTQSREAVQPIVEFASEDESTGTGKES >itb05g24990.t1 pep chromosome:ASM357664v1:5:29619699:29622505:-1 gene:itb05g24990 transcript:itb05g24990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFTLQMLHPLCNYRPLPNPSPAIFFSFPFPTSQSPVLRRRVELGRASVVVSAADTKAVSQSAIERIAEKLRSLGYVEDNGQKGKPEETRHSEVNSASPGQIFVPLPTQLPKYRVGHTLDPSWSTPENPVPQPGSGNAIQRFHDMRNELLKAKEEERVKKKDKAPSLAELTVPAQELSRLRTIGIALKQKINVGKAGITEGIVNGIHERWRRSEVVKIKCKEMCRLNMKRTHDMLERTTGGLVVWRSGSTIILYRGADYKYPYFLSDDTSSNDAIRDVSSDVHMDCGGDDVRETSSVGMDGVKSAGLNGGSYPSLVKGVGSPNKVRFELPGEGELAEEADRLLEGLGPRFTDWWGYYPLPVDADLLPAVVPGYRRPFRLLPYGVKPILTNDEMTTLKRLARPLRCHFALSRNRKLQGLAAAIVKLWEKCEIAKIAIKRGAQNTNSELMAEELKGESC >itb02g09180.t1 pep chromosome:ASM357664v1:2:5840092:5842721:1 gene:itb02g09180 transcript:itb02g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSTSVPTMFLQLTVLLAFIVLSASAGNFYQDVQITFGGDQRAKILEGGRLLDLTLDQASGSGFQSKNEYLFGRFDMQLKLVPANSAGTVTTFYLSSLGAGHDEIDFEFLGNSSGQPYTIHTNVYSQGKGDKEQQFHPWFDPTTSFHTYSILWNPQRIIFLIDNIPIRVFNNDEAIGVPFPKNQAMKLTVLLAFIVLSASAGNFYQDVQITFGGDQRAKILEGGRLLDLTLDQASGSGFQSKNEYLFGRFDMQLKLVPANSAGTVTTFYLSSLGAGHDEIDFEFLGNSSGQPYTIHTNVYSQGKGDKEQQFHPWFDPTTSFHTYSILWNPQRIIFLIDNIPIRVFNNDEAIGVPFPKNQAMKVYASLWNADDWATQGGRVKTDWTKAPFTASYRNYNANACVWSAQSSSSSCGGSTQSSSGAQAWQTQDLDAKGRNRIRWVQQKFMIYNYCADVKRFPQGLPPECKRSRF >itb03g13690.t1 pep chromosome:ASM357664v1:3:13731601:13733055:-1 gene:itb03g13690 transcript:itb03g13690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan galactosyltransferase XLT2 [Source:Projected from Arabidopsis thaliana (AT5G62220) UniProtKB/Swiss-Prot;Acc:F4K6F1] MLPDSDKDLRNTLLRYFSFYHPGAWLLLSVVLLQSLLLFSARSLPFSSIASYFQHSYPRHNASFTAAATTTFSAVPYASEVNATNDGVECPFGKVYIYDLPRVFNADLVENCGELDPWHSRCQVLSNDGFGLPAAGISKILPENLAGSWFWTDQFALELIFHNRMANYKCRTTEAESATAFYIPFYAGLAVGKYLWPIKVNYTNEDRDRDCKMMVNWIQDQPYWNRSNGRDHFITMGRITWDFRRKEGMNWGSSCIFLPGMRNITRLLIEAHPWDYYDVAVPYPTGFHPTTAADITRWQQFLRTRHRNTLFCFAGAPRRLIKNDFRALLLTQCNHSGACRAVDCGGTKCSNGTSEILEKFLDSDFCLQPRGDSLTRRSTFDCMVAGSIPVFFWKRSAYYQYRWFFPPETESYSVFIHRDEVKNGTSIKSVLEKISKEKVNEMRNKVIDYIPNIIYAKPNAGIEGVRDAFDIAIEGVLRRAEEGH >itb12g21950.t1 pep chromosome:ASM357664v1:12:24145088:24147737:-1 gene:itb12g21950 transcript:itb12g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARSWPTTSDQKYYRLCMVLLCFIILILGASSSSSSATQVDNKGRALFVFGDSLVDAGNNNYIGSCARADSPPYGLDYPTHSPTGRFSNGFNIADILSQQIGARESPLPYLSPEIHQERGRLLAGANFASAGVGILNDTGTQFESIIRMPEQLHYFSEYQHRLRAQIGADRARELVNQALVLIAVGGNDFVNNYYLTPNSTRSQQFDLPHYVCHLISEYQKLLTRLYKLGARRVLVTGTGPLGCVPAELAQRSKRGECASELQRAASLFNPQLIEMLQGLNTRLGSSVFIAANTHQVHTDFITNPHAFGFVTSKMACCGQGPYNGIGLCTSSSNLCWNRDQYAFWDPFHPSEKANRIIVQQIMTGSTEYMIPMNLSTILALDSKA >itb15g03130.t1 pep chromosome:ASM357664v1:15:1982351:1984262:1 gene:itb15g03130 transcript:itb15g03130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFREDQKPLLRAKVPISILSFPFQSGIAAGESKELSLSLGTFFDAGPSVRFSYRPNDSQKPFSFVVKTGLGNYGAPNSSPFTMSAEFNLIGNQNPSFFVHFKPRFGDFCVKKSHSSSAIAKSFSAGSKLNGAVSDDEVLVAPVEKAPGYFGANVNFLDRNFRSLTPESPVPVSVENLFSGMTVSARTALPLQSRAVVNFRWGLRFPAPAGESDAVIIGKTDRTAGISFRNLPLLVMNKIGIEHLPNNDSKRSKPADVTEACLNVQKQLELIQAENGLLRTTLNDLRAEISAGSFSFKESDWNGSKIYAGGRADRRSNAEKKPSDLNGFNGKPSEDVNEELKKALKASTGA >itb01g35380.t1 pep chromosome:ASM357664v1:1:37623813:37625902:-1 gene:itb01g35380 transcript:itb01g35380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNESIIVIVGAGIAGLSTSLALHRVGLKSLVLESSSSLRTTGFALATWTNAWRALDALGVGNALRQNSLQFSRFEVFSVKSGQLCADLSLNPNQKPEDYDSRCLRRSLMIEAIAKELPQGTIRLSSKVVSIQQSGPYKLLHLFDGCIIKCKVVIGADGINSVVAKWMGVEKPVDAKRSAIRGYVEFPEGSDFGAKFRLHLGGGVRLGILPCDHNSLYWFCTFTPADVHYALVDQDPMKMKQFVLDKAAKRFKEATDIIERTSPENISGARLSFRSPWNVLFGDIVKGNVCLVGDALHAMTPDIGEGGCSALEDSVVLARCLGEALSKAGGGEDCVVRSIEKGLRKYAKERRWRVFDLITTSYVVGMVQERNGNVITVLRDYWLAKRTIRTMLKRAQFDCGKLITKLN >itb05g04410.t1 pep chromosome:ASM357664v1:5:3953622:3953972:1 gene:itb05g04410 transcript:itb05g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLASSLHLAHISSQHKKCEIYVEHGIDEPEVIGLCLPGPEGDEDQGEDEFEEELGVSMGGVKLREMLNLGVKKGHIYVDIGSEIESDNEGESDNNIEQGIGSDSDQEREHNSIPN >itb14g05800.t2 pep chromosome:ASM357664v1:14:5055522:5059694:-1 gene:itb14g05800 transcript:itb14g05800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLSIFSWDVKILMMLAAFSIMYGEFSFVNGHKGLSTKLATVKGIPAPMVPSHVQFIKLLLQLTKYIVELAKSSSHSSASIIPVSCYWIFTSILACTSNFARFPRANSKWPERTQLSSLAAKVKDLILECRPIIEKKREEESYQALCCAFSEESPVPSSNLDVLKLLFNVKRHDKKKLIYDGLTEKMVGLHSLNNKGLLLLISPSLDIDIHLRDLLHDVEWKIKLRTLWIPILDCPTLWTTNKNVKEQFRGLVNVHHLLSMRNPEKSVSLGLVRFVKEKVLHIGGEPIIISLDHHGRITHRNAMHLIWIRLGVIFTEYTTESSEDSIVPFLQTVLKKRTLDVREFVPHIDREISDFTGEMNSKVNDWLHGIIRRVNDPIYSNIYTCERENNLWKEVTWCTKLLSSDKRGQLSVLVDANEYIFLMGGNDINWVKKFKSKVLCVNPQLAFVMSYIGTNIKVALTIAREKICEYSEPCVAKDFWTRQQSMFLSRIQFLNEIHRDEKSDEIVEGLKRLLAYEAKGSTIEGCVLLAKRNKIILCDLGDKMLTVMNEYEKWKDNAIAKGFDQAFKDHHEMLASTSTSHHHPYCALEYPSNFNQIPENEKCPQCCYNMNKFVTFTCCHGHSFILDDDDDGNGDGDGGGGGDGDGDDDTN >itb14g05800.t3 pep chromosome:ASM357664v1:14:5055522:5058580:-1 gene:itb14g05800 transcript:itb14g05800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLHSLNNKGLLLLISPSLDIDIHLRDLLHDVEWKIKLRTLWIPILDCPTLWTTNKNVKEQFRGLVNVHHLLSMRNPEKSVSLGLVRFVKEKVLHIGGEPIIISLDHHGRITHRNAMHLIWIRLGVIFTEYTTESSEDSIVPFLQTVLKKRTLDVREFVPHIDREISDFTGEMNSKVNDWLHGIIRRVNDPIYSNIYTCERENNLWKEVTWCTKLLSSDKRGQLSVLVDANEYIFLMGGNDINWVKKFKSKVLCVNPQLAFVMSYIGTNIKVALTIAREKICEYSEPCVAKDFWTRQQSMFLSRIQFLNEIHRDEKSDEIVEGLKRLLAYEAKGSTIEGCVLLAKRNKIILCDLGDKMLTVMNEYEKWKDNAIAKGFDQAFKDHHEMLASTSTSHHHPYCALEYPSNFNQIPENEKCPQCCYNMNKFVTFTCCHGHSFILDDDDDGNGDGDGGGGGDGDGDDDTN >itb14g05800.t1 pep chromosome:ASM357664v1:14:5055550:5060038:-1 gene:itb14g05800 transcript:itb14g05800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQPLPVSEMVVSHIDHETIMQEIMATHNPDSKDFQVNFIFNTVKNILCPITTIGKSIPEDEESNEESDEELFDYKDFGEGEPNNEEFKDVEFLCQIKRLSFETTLKCWDNADQHTTVIYFMKMLSIFSWDVKILMMLAAFSIMYGEFSFVNGHKGLSTKLATVKGIPAPMVPSHVQFIKLLLQLTKYIVELAKSSSHSSASIIPVSCYWIFTSILACTSNFARFPRANSKWPERTQLSSLAAKVKDLILECRPIIEKKREEESYQALCCAFSEESPVPSSNLDVLKLLFNVKRHDKKKLIYDGLTEKMVGLHSLNNKGLLLLISPSLDIDIHLRDLLHDVEWKIKLRTLWIPILDCPTLWTTNKNVKEQFRGLVNVHHLLSMRNPEKSVSLGLVRFVKEKVLHIGGEPIIISLDHHGRITHRNAMHLIWIRLGVIFTEYTTESSEDSIVPFLQTVLKKRTLDVREFVPHIDREISDFTGEMNSKVNDWLHGIIRRVNDPIYSNIYTCERENNLWKEVTWCTKLLSSDKRGQLSVLVDANEYIFLMGGNDINWVKKFKSKVLCVNPQLAFVMSYIGTNIKVALTIAREKICEYSEPCVAKDFWTRQQSMFLSRIQFLNEIHRDEKSDEIVEGLKRLLAYEAKGSTIEGCVLLAKRNKIILCDLGDKMLTVMNEYEKWKDNAIAKGFDQAFKDHHEMLASTSTSHHHPYCALEYPSNFNQIPENEKCPQCCYNMNKFVTFTCCHGHSFILDDDDDGNGDGDGGGGGDGDGDDDTN >itb02g03690.t1 pep chromosome:ASM357664v1:2:2164702:2166813:1 gene:itb02g03690 transcript:itb02g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEAMALFTTRSVRVSSLQFKSSQLLGTSMNCSHILPVQSRSLVMNPLVVQATSRANTRTENAKIRNRRLRKKYNGTPTKPRLSVFCSKTQLYAMLVDDQNKKCLFYGSTLQKSIRGDPPCSIMEAAERIGKELVKTCIDLNITEISSYDRNGLARGERMQAFEIPISQHGFLMR >itb01g25870.t1 pep chromosome:ASM357664v1:1:31174715:31179863:-1 gene:itb01g25870 transcript:itb01g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRKGGGAAVATLTAAAVFVVVTSSCRLSVAQNISRGSFPNGFVFGTATSAYQCEGAVQEDGRGQTIWDTYAHNSGKVIDNSTADVAVDHYHRYPEDIELMKAMGMDAYRFSIAWSRILPNGTGDINQVGIDHYNKVINMLLSYGIEPYVTLYHWDLPQALENKYNGWLDRQIINDFATYAETCFQEFGDRVKQWITINEPHTIAVQGYASGFLAPGRCTGGCPAGNSSTEPYIVAHNLLLAHAAAVNIYKNKYKAKQGGTIGISLDTFWYEPLSNSTADLEARQRALDFNLGWFLEPVTRGNYPGSMRSRVGERLPRFSRVEYNLLRGSYDFIGINHYTTWYTSNGTSNSAFVDSPDSGTVSQRYFVWSLLDNWEWTAGYTSRFGVYYVYYDHNLNRIPKNSVKWFRDFLAST >itb06g25970.t1 pep chromosome:ASM357664v1:6:27052624:27052911:1 gene:itb06g25970 transcript:itb06g25970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFILDSYSSVLFRCGISGYRDKLQADSMQLFYWECEIIGISGLIIRDSAVVFESSRISVRNEGFPPSLRTTRKTWQNQLDSPSIFQFHNGTQR >itb02g04220.t1 pep chromosome:ASM357664v1:2:2513495:2515499:-1 gene:itb02g04220 transcript:itb02g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDMAEPGSFSTKDYHDPPPAPLIDAVELTKWSFYRAVIAEFIATLLFLYITVLTVIGYKSETADPKADPCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQCLGAICGVGLVKAFQKAYYTRYGGGANQLAVGYSKGVGLSAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDEAWRNHWIFWVGPFIGAAIAAFYHQFILRAGAAKALGSFRSGSQV >itb10g14510.t1 pep chromosome:ASM357664v1:10:20886193:20887413:-1 gene:itb10g14510 transcript:itb10g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSCVISLDYFTTVYQIDNFLFGSAALGVRGWGAAWKEGRGVAWKGCWGAAWKGSRGSEPGTEALPGTEPEDLPEPEALPGTELEALPETEPEDLPGTPGTEPEALPGTPGTEPAWNAWNGAGGPAWNGAGGPAWNVWNGAGGPAWNGWNGVGGPAWNGAGGLAWNGAGGPVWNAWNGGPAWNAWNGVEGLAWNGAGGPAWNAWNGGLERLERSRRPHLERLPEALPATEALG >itb15g03470.t2 pep chromosome:ASM357664v1:15:2200042:2201939:-1 gene:itb15g03470 transcript:itb15g03470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMNMDRRVYEAAINGDVRALRELLQEDKLILDRVTLNCFQETPLHIAVMRGHVEFAGELLRRNSQLAAELDSRNSSPLHMAAVRGDLEMVKLLLSANTEMCLAGDRDGRNPLHLAAMKGRVEAVKELLRVQPAAVRQRTGSGKETVLHLCVKHNQIEALKTLMASVEGEIIDEIINATDSDGNTIFHFAVSNKQIEIIKHLLSNSQIAVNSTNENGYTALDVLAHGLQKDMKDFEIGEMLRGAGALRAKEISGTTHHQPKTTTTTNNNHQNPAGDWLSRKRDSIMVVASLIATMAFQAGVNPPGGVWQEDSLSPDSAHKAGEAVMAYNHAKV >itb15g03470.t1 pep chromosome:ASM357664v1:15:2200042:2201939:-1 gene:itb15g03470 transcript:itb15g03470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMNMDRRVYEAAINGDVRALRELLQEDKLILDRVTLNCFQETPLHIAVMRGHVEFAGELLRRNSQLAAELDSRNSSPLHMAAVRGDLEMVKLLLSANTEMCLAGDRDGRNPLHLAAMKGRVEAVKELLRVQPAAVRQRTGSGKETVLHLCVKHNQIEALKTLMASVEGEIIDEIINATDSDGNTIFHFAVSNKQIEIIKHLLSNSQIAVNSTNENGYTALDVLAHGLQKDMKDFEIGEMLRGAGALRAKEISGTTHHQPKTTTTTNNNHQNPAGDWLSRKRDSIMVVASLIATMAFQAGVNPPGGVWQEDSLSPDSAHKAGEAVMAYNHAKVYRYFIRTNTTAFVASLSTILLLISGLPFRRRLFLWGLMVIMWLTVTTIALSYGVAIFIITPKQYRSQLGNVVQISVTVWSCVMGLLLFGNTVRLIFRWIEKKKGNIVSWPEKKARTANSVFTNV >itb05g26190.t1 pep chromosome:ASM357664v1:5:30206657:30208444:1 gene:itb05g26190 transcript:itb05g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFCCCGKRSASSAPKDDDDNAAAEEDEGEAARDGPVVVELFSSQGCATSPEAELLFSRIGRGDFDVEVPPLVLLAFHVDYWDYTGWKDPFGCSQWTVRQKGYVESLQLDTMFTPLLVVQSQAQCVGNDQDAVLTSIKSAPRFPPLSFQAKFEKPTPETLEVSLTGTLRNKVDSNGVNIMVVLLECGLVTQCEEGENKGKVLANDYVVRRLEKLCEVKDISGKKTLSETVKFSLWESFNSGKCGMAVFMENGCRHILGSQKFQLPNDL >itb13g13110.t1 pep chromosome:ASM357664v1:13:19620884:19632432:-1 gene:itb13g13110 transcript:itb13g13110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVKSLNPNKHLKEQFVSNLNGSSMLELFSLTAVLSIVVLLQRAFGFNSQTGADSAEALSKKDDNDNGFLRTKNFTKYIAMLSLDFLYIVVPFILYTTVLADWTYINTTTVALLLLFCILAGRFGSFFQEDGVQSLRQNISSYRVSLMLLTCLCILAVDFKIFPRRYAKTETYGTSLMDLGVGSFVLANSLVSRQARGISTLKISNSLCSASPLIFLGFARLISTSSVDYQVHVGEYGVHWNFFFTLAGVAILTSIINVPPSYCGILGLLILLDKRGADLISQNKEGIFSIFGYWSLYLVGVQLGNFLFFENHANSSLRTNKWARIRVWVLSLSFWLLAYILDRHVERVSRRMCNLAYVTLVLAQNLQDQSVLQPCNRQIALPWVSCKTPHLMPLIPSLSF >itb13g13110.t2 pep chromosome:ASM357664v1:13:19620884:19632432:-1 gene:itb13g13110 transcript:itb13g13110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVKSLNPNKHLKEQFVSNLNGSSMLELFSLTAVLSIVVLLQRAFGFNSQTGADSAEALSKKDDNDNGFLRTKNFTKYIAMLSLDFLYIVVPFILYTTVLADWTYINTTTVALLLLFCILAGRFGSFFQEDGVQSLRQNISSYRVSLMLLTCLCILAVDFKIFPRRYAKTETYGTSLMDLGVGSFVLANSLVSRQARGISTLKISNSLCSASPLIFLGFARLISTSSVDYQVHVGEYGVHWNFFFTLAGVAILTSIINVPPSYCGILGLLILLGYQVLLLLGLNEYLLSDKRGADLISQNKEGIFSIFGYWSLYLVGVQLGNFLFFENHANSSLRTNKWARIRVWVLSLSFWLLAYILDRHVERVSRRMCNLAYVTLVLAQNLQDQSVLQPCNRQIALPWVSCKTPHLMPLIPSLSF >itb14g14800.t1 pep chromosome:ASM357664v1:14:17779856:17780539:-1 gene:itb14g14800 transcript:itb14g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGDQIFRSRRFMRRPPSLQGAARFLRHASSRRINREPSMRCVLHIACVCLVYRRRNQLPSLFEKLNSRRSSSGNWSGENWSLFDHVTCNISTSVDDVNIPGALALDVIEQAEVEVKRLDQLKASKMKEIIFKKQTELEEIFSLAHIEIDTDAAREKILSLIDS >itb07g06440.t1 pep chromosome:ASM357664v1:7:4580170:4586631:-1 gene:itb07g06440 transcript:itb07g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGERSVAEVSSGENGGNVRPATAEATPPQLTVSASFKEGKTARRRASVRPSLDADEFINLLHGSDPVKMELNRLENEVRDKDRELSEAQAEIKALRFSERLREKAVEELNDELARVEEKLKLAESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLDAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQELNEERKTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQAEMQQLRDKLAISERAAKSEAQLKERYHLRLKVLEETLRSSSTSVRSTPDGRCSNNGASRRQSLGGAENVSKLTSNGFLPKRSPSFQLRSSGTSTVLKNAKGTSKSFDGGSRSLDRGKNLLNGTGPNFKQSQSCDGAKDSETQNNTLKGNQDEKHDDLQVTVTVDTVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEHDNRAKQFGNSKGPVNSSQLLPGRTVARSGVTRSMQ >itb14g04880.t1 pep chromosome:ASM357664v1:14:4238024:4240426:1 gene:itb14g04880 transcript:itb14g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKSNEYTRRILSFPAIRPSETVSFLSLITALIHLGHSIRDYKPKTFFTNRKNAKFLMRLVDDLLIFLEEIRNGDSRFAGSMVLSLSELHFLFQKVLFLLEDCTREDARIWMAAKSEHVATQFRVVGRAMAVALEVLPFDGGDEVREVVEFVRKQAMGFRFEVEFEDRGIMGQIVRILEQFENGVVPESRDLKWVLENLGIRSWGECQIGVRFLESEICLEGQTEEMRDLPLLSDLMGFLIYCRCTVFEFGDVSTAAVGGGIRRNDDVIRSLNPEDFRCPITLELMTDPVALATGHTYDRSSILKWFRAGNHTCPITGERLISIDLVPNLALKQIIRQYCNENGVPFPESGRNRDVARSVGNKGSVAMKLVAGFLVGRLVAGTSEQQKKAIYEIRSLTKTSTFNRSCLREAGVVPPLLLQLGSWDSSVQENSMAALLNLSKNSRSRETIAENGGLVLILDVLKEGVKMEARQHAAGALYYLASVEEYRGMIGENPEAIPSLVELVRAGCDHGKKNALVTIFSLLKCPESHWKVVSAGLVPLLIDLLPSLKREDLITDCLAVLSSLSEKLEGAIVVLYAGALPIVIDLLNSCSSRTAKECCVSLLLALCINGGSDAVLVLVKNPSLMPPLYLLLTQGTSRASKKASSLIRLLQDFNNKSNLSMSGDTSQITTIHYVH >itb12g10530.t1 pep chromosome:ASM357664v1:12:8655681:8658975:1 gene:itb12g10530 transcript:itb12g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVMMVIRASRPTFRNPYDKVAFAVHASCLASGFVLLATGPSAFSGDPFSLPFADEVGIDHWNDFGDNYGFIYSTPEGPSKKFLIRCLVLNGKLLIGALWEGASASLHLELNVQDYVENGGANYDSQYKNFGKLVEEINKEILSKGSPSTKSSSSEKGGIRDNVDRRGAESNDSYQPSGSVPPGYAVLPVPAFGGRTVPAFGGSGIFPATGAGVYPTSGRFPAPGPSIYPNSPIYHAPGPGVYPTRYSGGYEGMLLGPSDAFFLTGISGDPCLPRGAQGIPPGGRFDPFGPPDVPGSEPGHFSRDPTRRRKR >itb02g15270.t5 pep chromosome:ASM357664v1:2:11111774:11115316:-1 gene:itb02g15270 transcript:itb02g15270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g15270.t7 pep chromosome:ASM357664v1:2:11112527:11115316:-1 gene:itb02g15270 transcript:itb02g15270.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAVLSVGIGPFSPSHFDGRRAAGRRNCSFSTQNRIFVVSSHSNPKIVKSNRKSRHGRVLSPYDSDDDGRFVDDDDEYCSDDELAAIKISKADRQRLKSKNSTGFIQGNHRHTESTWNSEPFKSGNQGKMGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g15270.t3 pep chromosome:ASM357664v1:2:11111774:11115316:-1 gene:itb02g15270 transcript:itb02g15270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g15270.t6 pep chromosome:ASM357664v1:2:11111876:11115266:-1 gene:itb02g15270 transcript:itb02g15270.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAVLSVGIGPFSPSHFDGRRAAGRRNCSFSTQNRIFVVSSHSNPKIVKSNRKSRHGRVLSPYDSDDDGRFVDDDDEYCSDDELAAIKISKADRQRLKSKNSTGFIQGNHRHTESTWNSEPFKSGNQGKMGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g15270.t1 pep chromosome:ASM357664v1:2:11111774:11115316:-1 gene:itb02g15270 transcript:itb02g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAVLSVGIGPFSPSHFDGRRAAGRRNCSFSTQNRIFVVSSHSNPKIVKSNRKSRHGRVLSPYDSDDDGRFVDDDDEYCSDDELAAIKISKADRQRLKSKNSTGFIQGNHRHTESTWNSEPFKSGNQGKMGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g15270.t4 pep chromosome:ASM357664v1:2:11111774:11115316:-1 gene:itb02g15270 transcript:itb02g15270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAVLSVGIGPFSPSHFDGRRAAGRRNCSFSTQNRIFVVSSHSNPKIVKSNRKSRHGRVLSPYDSDDDGRFVDDDDEYCSDDELAAIKISKADRQRLKSKNSTGFIQGNHRHTESTWNSEPFKSGNQGKMGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g15270.t2 pep chromosome:ASM357664v1:2:11111774:11115316:-1 gene:itb02g15270 transcript:itb02g15270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAVLSVGIGPFSPSHFDGRRAAGRRNCSFSTQNRIFVVSSHSNPKIVKSNRKSRHGRVLSPYDSDDDGRFVDDDDEYCSDDELAAIKISKADRQRLKSKNSTGFIQGNHRHTESTWNSEPFKSGNQGKMGIKTGESTPEKRKIGTFHHATSRSTGSSSLHSDDKGEEEEGSCVKERFSRLSEELGLDERCFPLFNYLSTFGFKDSHFIQMYERHMPFLQINVTSAQERLGYLLSIGVKHKDIRKIILRQPQILEYTVESNLKYHVDFLTSLGIPDSKIGRIVTATPSLFSYSVENSLKPTVRYLLEEVGIKKNELSKILQLSPQILVQRIDSTWTTRFNFLTKELGAPRDSIVKMVTKHPQLLHYSIEGGLLPRINFLRSIGMRDSDILKVLTSITQVFSLSLEGNLKPKYMYLVNELKNEVHSLTKYPTYLSLSLDQRIRPRHRFLISLKKAPKGPFPLSSLVPTDECFCQQWAGTTLETYLNFRQRLLLKEFAKKYEKR >itb02g14250.t2 pep chromosome:ASM357664v1:2:10420403:10425742:-1 gene:itb02g14250 transcript:itb02g14250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARSNMRPRFSGSTPSEESALDLEKYGCSHSNLPSFSPPTLQPYASAGQHSESSAAYFSWPSRLNDDAEERANYFANLQKEVQPETQDRLPEGQRARTLLDLMTIRAFHSKILRCYSLGTAIGFRIRRGVLTEIPAILVFVSRKVHKQWLSPIQCLPSVLEGPGGVWCDVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGSDPCIGSGSQVASQETYGTLGAIVRSQTGNQQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGSVERATSFIRDDLWYGIFAGLNPETFVRADGAFIPFTDDFDMIAVTTSVKGIGEIGGVKIIDLQASINSLIGKQVMKVGRSSGFTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGENGEKARPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLKFLELDLITTEEALKVAVQEQRVASATMVGSTVGDSSPPDVMLLKDKMVEPLGLHIQPIPIEDAAGGGPDINSSPVEAAFNIEDGGVNLGPSIEHQFIPSFNGRSPLHSAEDQHDRPSIQEETL >itb02g14250.t1 pep chromosome:ASM357664v1:2:10420345:10425742:-1 gene:itb02g14250 transcript:itb02g14250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARSNMRPRFSGSTPSEESALDLEKYGCSHSNLPSFSPPTLQPYASAGQHSESSAAYFSWPSRLNDDAEERANYFANLQKEVQPETQDRLPEGQRARTLLDLMTIRAFHSKILRCYSLGTAIGFRIRRGVLTEIPAILVFVSRKVHKQWLSPIQCLPSVLEGPGGVWCDVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGSDPCIGSGSQVASQETYGTLGAIVRSQTGNQQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGSVERATSFIRDDLWYGIFAGLNPETFVRADGAFIPFTDDFDMIAVTTSVKGIGEIGGVKIIDLQASINSLIGKQVMKVGRSSGFTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGENGEKARPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLKFLELDLITTEEALKVAVQEQRVASATMVGSTVGDSSPPDVMLLKDKMVEPLGLHIQPIPIEDAAGGGPDINSSPVEAAFNIEDGGVNLGPSIEHQFIPSFNGRSPLHSAEDQHDRPVVYQNLSALRSGSDHEEIAFSLQLGGHPSKRRRSEPSTPSKEEQK >itb02g14250.t3 pep chromosome:ASM357664v1:2:10420345:10425694:-1 gene:itb02g14250 transcript:itb02g14250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARSNMRPRFSGSTPSEESALDLEKYGCSHSNLPSFSPPTLQPYASAGQHSESSAAYFSWPSRLNDDAEERANYFANLQKEVQPETQDRLPEGQRARTLLDLMTIRAFHSKILRCYSLGTAIGFRIRRGVLTEIPAILVFVSRKVHKQWLSPIQCLPSVLEGPGGVWCDVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGSDPCIGSGSQVASQETYGTLGAIVRSQTGNQQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGSVERATSFIRDDLWYGIFAGLNPETFVRADGAFIPFTDDFDMIAVTTSVKGIGEIGGVKIIDLQASINSLIGKQVMKVGRSSGFTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGENGEKARPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLKFLELDLITTEEALKVAVQEQRVASATMVGSTVGDSSPPDVMLLKDKMVEPLGLHIQPIPIEDAAGGGPDINSSPVEAAFNIEDGGVNLGPSIEHQFIPSFNGRSPLHSAEDQHDRPVVYQNLSALRSGSDHEEIAFSLQLGGHPSKRRRSEPSTPSKEEQK >itb15g06350.t2 pep chromosome:ASM357664v1:15:4151410:4156996:-1 gene:itb15g06350 transcript:itb15g06350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSEKSRGGGEEEGEYDRTFGARVSVDGLSLNGSIIASQQLCIDERVLVDPRLIFIGSKIGEGAHGKVYQGRYGDQIVAIKVLKCGDTTEERAALEGRFVREVAMMSKVKHENLVKFIGACKDPLMVIVTELLPGMSLRKYLNSLRPEKLDLHVALSFAVDIARAMECLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPVILPEEISPDLAFIMQSSWVEDPNMRPSFSQIIRMLNEYLLTLPSPPTPSPAPDTGEEAMASNDSIVEFSARAKGKFSFLRQLFAAKKAKNSQ >itb15g06350.t1 pep chromosome:ASM357664v1:15:4151463:4157045:-1 gene:itb15g06350 transcript:itb15g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECWLTQDSSLLDQRLAKELMGKSTKEGVSNLQSFPLYGDQIVAIKVLKCGDTTEERAALEGRFVREVAMMSKVKHENLVKFIGACKDPLMVIVTELLPGMSLRKYLNSLRPEKLDLHVALSFAVDIARAMECLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPVILPEEISPDLAFIMQSSWVEDPNMRPSFSQIIRMLNEYLLTLPSPPTPSPAPDTGEEAMASNDSIVEFSARAKGKFSFLRQLFAAKKAKNSQ >itb04g32550.t1 pep chromosome:ASM357664v1:4:35045889:35049100:-1 gene:itb04g32550 transcript:itb04g32550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGRKVAGKGETVAAHYAFGQFEDDVIIKHRLLTRTTTTRGEPQLKKLQKKFTAFAVEVEKEADNFGDCERLSKAFLQELNTFEIPLLKSKAVIDANIREKENFNDLNDEINRQILQAQDDIEDLKKQLEESKIERQHKEECEAIRKLIAMQPPRSETQKVITDLEKEIGMLEAENTASSRTLELRKKQFALLLHVVDELQNTIEEEQRSLVEEMRTAMDDHKNGVEDTGAVPEAMAVD >itb01g32290.t1 pep chromosome:ASM357664v1:1:35809350:35810040:1 gene:itb01g32290 transcript:itb01g32290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEELTGLSVKDLQSLENQLEMSLRGVRTRKGSLIHQENLELYDKVNLIREENLELYKKVYGSRGKNGISRNAILTNGLSINEDPHVPVNLQLCQPQQQNSENTSGATELG >itb02g15060.t1 pep chromosome:ASM357664v1:2:10936639:10937511:-1 gene:itb02g15060 transcript:itb02g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLIVVALLSVLCASVPRGGAQNTNAPSQAPSTSPSASPSKPLSPASAPLTPHASPSKSPVASPSPKASPAASPVQSPAPTPSSKASPPVSSPASSPPPAVETPVSSPALSPPAAAPAASPVAADVPAAAVTPSASASIPSSSATPSEAPTMFPEGNSPGMAPAASSPETAQGPVADGSGSNMLHGFRIAATGLALWAAALAI >itb03g22490.t1 pep chromosome:ASM357664v1:3:20527536:20530480:1 gene:itb03g22490 transcript:itb03g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSIAETGVNKERAKEYQGRVTPYVIIACLVAAVGGSLFGYDIGVSGGVTSMDEFLERFFRPVYEKKKHAHEDNYCKYDSQSLSAFTSSLYLAGLVTSLAASRITRSRGRRMSIICGGISFLVGAALNASAVNLVMLLLGRIMLGIGIGFGNQAVPLYLSEMAPAHIRGALNMLFQLATTLGIFTANMINYGTSKLHPWGWRLSLGLAALPALLMTVGGILLPETPNSLIEQGKREKGRGVLQKIRGTENVDAEYEDMIEASDLARSIHHPFRNILQRRNRPQLIMAIFMPTFQILTGINIILFYAPVLFQSMGFKGTAALYSSALTGAVLVSSTVVSMAAVDSWGRRVLLISGGIQMIICQVIAAIILGLKFGDDKVLSKGYSILVVAVICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFAIAQSFLSLLCALKFGIFLLFAGFITIMTIFVYLFLPETKGVPIEEMMQLWQKHWFWKKIVSGIH >itb14g18030.t1 pep chromosome:ASM357664v1:14:21160321:21163746:-1 gene:itb14g18030 transcript:itb14g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTNRITSAGLVPGLHRSEIMVCQSADNLNSRGGSWIGKDLLSYTIPLSGIMIGQTVLGRIREFSDLLFPIEGRMVLETLGELGFMLHLFILGVNTDATMVKRAGRNEILIGTSCFVLPYVLGGLVVYTMPHIIFIDEVTQHCLIFISIMSAISSFPVITTLLADLNLLNSEVGRTATTSSMVCDFCSYFASLIMGFLGISKRFTRMGVFLSIAWAVATLTLVVIAVRPVILLIGRRIPENGSMRETQFLAIVVLALLCGLVAEILGQPAGLGTFILGIAVPDGPPLGSNLVSKLDVFCTGVLLPAKFAVSGMDIDLLLAGTGTTTAIIEFIIVLGYVGKFTGTFIPAVYTGFSVSDATSLSLIMCCKGIIEIAVFITLKENAMITSEALALLLGTMLIVTGTATPLVCYLYDPSMRYLGYRTNSILHSDPHTELRMLVCVHNEEDVPTLMNLLDITCPGRHRPLSVSVLTLTELKGRADALLFSGTSFVRGSNKVNGIGIKPSKNTPADRIANTFHAFRMRHHGAAAVEIFTSVAPYASMHDDICTISLEKGANIVIVPYHKRVSFNGDLAANVPAIRMVNQNVLQKAPCSVGVLVDRGKVAENQAIRLGVTLLRVTMLFFGGPDDREALAYCSRMADHTHASLTLVWVRKTADKYPSNLDDHEKDIDAELVHKFRSTHAGNDRISFKEEFATDAVDTTRVLRALKGHCELCILGRYHDPKSELILGITEWTECPELGVIGDMLATTDFKFSVLVVQQLPQGTAMEHCHTFEPMGSSSRHSQSSEVSKQYSARSYIEPLKL >itb01g31450.t1 pep chromosome:ASM357664v1:1:35190736:35194617:1 gene:itb01g31450 transcript:itb01g31450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEIVKDLGSGNFGVAKLVRDKRTKELFAVKFIERGQKIDEHVEREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICSAGRFNEDEARFFFQQLISGVCYCHSMQICHRDLKLENTLLDGSMAPRVKICDFGYSKSDVFHSQPKSTVGTPAYVAPEVLSRKEYDGKLADIWSCGVTLYVMLVGAYPFEDPSDPKNFRKTIVKILGVQYSIPDNIRISVECRHLLSRIFVTNPEERITIPEIRRHPWFLKNLPVELMDGGSYQCMDVNNPSQSMEEISSIIQEARTVVQLPRTRTYSFGGSMELDDLDDADIEDIETSGDFVSQL >itb01g31450.t2 pep chromosome:ASM357664v1:1:35191895:35194446:1 gene:itb01g31450 transcript:itb01g31450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPFPYCCLSFAILVLDYENGSGFFQVLLTPTHLAIVMEYAAGGELFERICSAGRFNEDEARFFFQQLISGVCYCHSMQICHRDLKLENTLLDGSMAPRVKICDFGYSKSDVFHSQPKSTVGTPAYVAPEVLSRKEYDGKLADIWSCGVTLYVMLVGAYPFEDPSDPKNFRKTIVKILGVQYSIPDNIRISVECRHLLSRIFVTNPEERITIPEIRRHPWFLKNLPVELMDGGSYQCMDVNNPSQSMEEISSIIQEARTVVQLPRTRTYSFGGSMELDDLDDADIEDIETSGDFVSQL >itb10g14200.t1 pep chromosome:ASM357664v1:10:20489565:20495161:1 gene:itb10g14200 transcript:itb10g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMQKEEEEKEFDLGSDDPKALFPLTITSGVGFSQCAMYVGRCYSGAGISVYTMAGAESRNVNDSEQASEVSLWERLGKAAMLDIESSSFSWNMLSSLHHIEQTSSTEQSEEEMNKALEGRVIHNSSPEWLQIKEAADKVKDAAILEGDEISEMTCSELLEALDLSRCVLLMNYIHGSPLLESSNAFDSQEAAEKTAAALGRVMVLDLVIRNEDRLPCQQLRWRGNSANLLLSDKVPTTNMDGVEVDFNPAIKSCRPKVIQALQKGRRSTSVDSRFPSPNPALVIQFPNLSDATESSKSSSFSVKSLTLNERISPDFHIVAIDSGVPRRPPVGKRVNDQENYPKLVELLTNSSVYASNLLNEITGGKLGSSSDDSEMINDSHLAKVESITQKFRSGFRASLRDLQGFHIFLLTLHQKLESLLRLLLNIVDRSSSGDADKEEWMESTSCASGISGNFPPSPSKERMICDNNLDSNDSDLQRAAFRSLSSVCKESLDSGSPLSRDSWMGKLSKGSGDSLQSVRLASKLRDLYKFAKGDAELNKDLEQWNEMLKNDVVKLCQENGFKSGFFGGSDNNYVVDAYELKVRLEHILERISLISDAANTEKPSKVTDTMFIGGALAARSVYTLQHFGITHILCLCANEIGQSDSQFPGLFVYQNFSICDNEDSNITDIFEEAHDFIDHVEKINGKILVHCFEGKSRSATLVLAYLMLRKNFTLLQAWNTLKLVHRRALPNDGFARVLMDLDKKLHGVLSIEWQQRKPTMKVCSICGNNAGLSSSSLNLHLQKAHKKQPTGSMDTTMSMEVQKALDDLQITRIGNVSPTPMEPHPLTDE >itb02g01860.t2 pep chromosome:ASM357664v1:2:1033366:1037646:-1 gene:itb02g01860 transcript:itb02g01860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDLLEDDKCEVGQVIDAEQTVECNKIEAYHLGKTQFENPQGQPFSEIHEADVVTFNVPHKLLPDSDNTQGKAIVPPLTSEYSAVDKSGSAEGCSAPSGAFSENNLLASKPGASWKPDFSMLKGEICLENLTVKELHETFKATFGRETSVKDKQWLKRRISMGLSNSCDFSCTSFVIKDSRVVKKVKENNASVNGSISKDPIVALPNINSGSSSGHDDKVESPANITEGNIPNSTLEGCIVSEGHNMEQTAAKRVRKPTKRYIEELSEVESRESSGKSMSSEKICGYKSTHPSNHVISILKARPDGKPLIMRQDSLGGSGVQIPCVSRIRRGRPRENFMNLLNLQPNGTDMAAELVKNVGDTHIDQTDEVLKTSLSPGWIQQPLIATTEEGKHFPESKIEVGSNMEHENMDLYEDHSDDSMMAMPTPKGGMRRKHHRPWTLNEVVKLVEGVSRYGVGRWSEIKRLAFANCPYRTSVDLKDKWRNLLKASNVQLPAEKGIQNSRKHAAILIPTSILLQVRELAEMQGNISPSLNQSKIAGLGSGGRSADEGRVGYV >itb02g01860.t1 pep chromosome:ASM357664v1:2:1033347:1037680:-1 gene:itb02g01860 transcript:itb02g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIGVETDGEAKVEESVAAASNSVSSCLPEETADPIVYKLVRVDGDGRLVPATDDEVMAVEDLLEDDKCEVGQVIDAEQTVECNKIEAYHLGKTQFENPQGQPFSEIHEADVVTFNVPHKLLPDSDNTQGKAIVPPLTSEYSAVDKSGSAEGCSAPSGAFSENNLLASKPGASWKPDFSMLKGEICLENLTVKELHETFKATFGRETSVKDKQWLKRRISMGLSNSCDFSCTSFVIKDSRVVKKVKENNASVNGSISKDPIVALPNINSGSSSGHDDKVESPANITEGNIPNSTLEGCIVSEGHNMEQTAAKRVRKPTKRYIEELSEVESRESSGKSMSSEKICGYKSTHPSNHVISILKARPDGKPLIMRQDSLGGSGVQIPCVSRIRRGRPRENFMNLLNLQPNGTDMAAELVKNVGDTHIDQTDEVLKTSLSPGWIQQPLIATTEEGKHFPESKIEVGSNMEHENMDLYEDHSDDSMMAMPTPKGGMRRKHHRPWTLNEVVKLVEGVSRYGVGRWSEIKRLAFANCPYRTSVDLKDKWRNLLKASNVQLPAEKGIQNSRKHAAILIPTSILLQVRELAEMQGNISPSLNQSKIAGLGSGGRSADEGRVGYV >itb02g01860.t3 pep chromosome:ASM357664v1:2:1033347:1037652:-1 gene:itb02g01860 transcript:itb02g01860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIGVETDGEAKVEESVAAASNSVSSCLPEETADPIVYKLVRVDGDGRLVPATDDEVMAVEDLLEDDKCEVGQVIDAEQTVECNKIEAYHLGKTQFENPQGQPFSEIHEADVVTFNVPHKLLPDSDNTQGKAIVPPLTSEYSAVDKSGSAEGCSAPSGAFSENNLLASKPGASWKPDFSMLKGEICLENLTVKELHETFKATFGRETSVKDKQWLKRRISMGLSNSCDFSCTSFVIKDSRVVKKVKENNASVNGSISKDPIVALPNINSGSSSGHDDKVESPANITEGNIPNSTLEGCIVSEGHNMEQTAAKRVRKPTKRYIEELSEVESRESSGKSMSSEKICGYKSTHPSNHVISILKARPDGKPLIMRQDSLGGSGVQIPCVSRIRRGRPRENFMNLLNLQPNGTDMAAELVKNVGDTHIDQTDEVLKTSLSPGWIQQPLIATTEEGKHFPESKIEVGSNMEHENMDLYEDHSDDSMMAMPTPKGGMRRKHHRPWTLNEVVKLVEGVSRYGVGRWSEIKRLAFANCPYRTSVDLKVGCF >itb02g01860.t5 pep chromosome:ASM357664v1:2:1033743:1036164:-1 gene:itb02g01860 transcript:itb02g01860.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEICLENLTVKELHETFKATFGRETSVKDKQWLKRRISMGLSNSCDFSCTSFVIKDSRVVKKVKENNASVNGSISKDPIVALPNINSGSSSGHDDKVESPANITEGNIPNSTLEGCIVSEGHNMEQTAAKRVRKPTKRYIEELSEVESRESSGKSMSSEKICGYKSTHPSNHVISILKARPDGKPLIMRQDSLGGSGVQIPCVSRIRRGRPRENFMNLLNLQPNGTDMAAELVKNVGDTHIDQTDEVLKTSLSPGWIQQPLIATTEEGKHFPESKIEVGSNMEHENMDLYEDHSDDSMMAMPTPKGGMRRKHHRPWTLNEVVKLVEGVSRYGVGRWSEIKRLAFANCPYRTSVDLKVGCF >itb02g01860.t4 pep chromosome:ASM357664v1:2:1033347:1036164:-1 gene:itb02g01860 transcript:itb02g01860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEICLENLTVKELHETFKATFGRETSVKDKQWLKRRISMGLSNSCDFSCTSFVIKDSRVVKKVKENNASVNGSISKDPIVALPNINSGSSSGHDDKVESPANITEGNIPNSTLEGCIVSEGHNMEQTAAKRVRKPTKRYIEELSEVESRESSGKSMSSEKICGYKSTHPSNHVISILKARPDGKPLIMRQDSLGGSGVQIPCVSRIRRGRPRENFMNLLNLQPNGTDMAAELVKNVGDTHIDQTDEVLKTSLSPGWIQQPLIATTEEGKHFPESKIEVGSNMEHENMDLYEDHSDDSMMAMPTPKGGMRRKHHRPWTLNEVVKLVEGVSRYGVGRWSEIKRLAFANCPYRTSVDLKDKWRNLLKASNVQLPAEKGIQNSRKHAAILIPTSILLQVRELAEMQGNISPSLNQSKIAGLGSGGRSADEGRVGYV >itb05g20460.t1 pep chromosome:ASM357664v1:5:26539231:26541587:-1 gene:itb05g20460 transcript:itb05g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRSKNLVISCVICILCIQLPRHSSSQCNLSSCGSIHNISHPFRLDTDPQHCGNPYYLLTCEQNQTVLTIHSQKYFVQAINYNNKTIRVVNPGVQKNNICSFPQYALPKNSLFGYRGYPYEIAYRTYVPIIFLRCPFPMKSSAFVETTKDCWNKSSDSPGGYAYIKVGRLNALDLRISCRVELITMTTSLRIQKNLSENISLLEIHDALVYGFELSWVQDNLSKGCKLDPTKYGIICREVVVLLGGIIFGLKIIIGIPCAIVFLIIKFRRRHLSVFDAIENFLSADNNLLPIRYSYTHIKNMTKAFKEKLGEGGYGSVYKAKLRSGRDVAVKIISNSKSNGQDFINEVASMGRIHHVNIARLVGYCAENSKRALVYDFMSNGSLDKYINKGANASLLNWKRKFEIAMGVARGIDYLHRGCDIQILHFDIKPHNILLDKNFIPKISDFGLAKLFPTDKSIVTLTAARGTIGYVAPELINRSIGAISHKADVYSFGMLLMEMLGLKKIPVTRQDESSQYFPSWIYNDINKGKVIEMGELDEEEKRITKKMTIVGLWCIQTSPIPRPSMSRVVEMLEGDVELLQMPTDTSFLSEPIMEMDQEQSSMPESSESIAFLPDSNSIGIIVD >itb10g08530.t1 pep chromosome:ASM357664v1:10:10681519:10682384:-1 gene:itb10g08530 transcript:itb10g08530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSKKKLRFCFCLFDIRFCGEIFEYFTQADVSDPSECLYHEEFDSGFVRTLFARPADFTEPIYFCGKSGPRDGEGWEWRPEADVYVLADYASWLVPVQLAQEYECFRRRTRSGGVGLQFPPAEHEAFRDDQLASPDRDCGRRSSKASLRRADSTFVAPSAPPRRLPARA >itb02g22730.t1 pep chromosome:ASM357664v1:2:22362465:22365146:-1 gene:itb02g22730 transcript:itb02g22730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNLTKLACDGDVGTTGFRRGWFTANATQGAGASIASRLGGGGGVGFPVMSPVSSLPSVDVGDHSPVRPPWLLHVQAASQPTIPNSLYALRNFAFCHSQISISLVASRISGLPLTPLSSIDRESRLLS >itb02g04070.t1 pep chromosome:ASM357664v1:2:2418968:2426325:-1 gene:itb02g04070 transcript:itb02g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MAFAAQLAASVPLRCSVKATSSTAVKKSNAAPKPKAGHGGALDNVAEVLRKDSEFLKKGIAKGLRWANDAFRIPQLSKSVSDAVWLRNIEDPNASAAELKSRTRWPQPCYPELSGLDLVMADLRALEAYSNYFYCLVKLWTGPLPEKYDPQEVADYFKLRPHIVAFRLLEVFTAFASATIRFQLSGILPTMNTEIDRETSRYVFGCVLKETMLSLGPTFIKVGQSLSTRPDIIGSEISKALSSLHDQIPPFPRATAMKIIEEELGSPIEKIFSYISEEPVAAASFGQVYRGNTLDGFSVAVKVQRPDLRHVVVRDVYILRIGLGLLQKIARRKNDLRLYADELGKGLAGELDYTLEAANASEFMDIHSRFSFIRVPKVLPHLSRKRVLTMEWMVGESPTDLMTISTKDSVGHLSTNSERLQNDAKRRLLDLVNKGVEASLVQLIETGLLHADPHPGNLRYTSSGQIGFLDFGLLCRMEKKHQYAMLASIVHIVNGDWASLVQALCQMDVIMPGTDMRLVTMELEIALGEVEFKDGIPDVKFSRVLGKIVSVAFKYHFRMPPYYTLLLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTDNSPAMRKILHSVVLNRRKEFQWKRLSLFLRVGATRKGLQSVLGSNSQESLVYSAKEVSGTVDVANLVVRLLPSKDGAVLRRLLMTADGASLFRAMVSEEANVFRQHFCRVLADVLYQWMSKALGKATKFSSDVQLATGTAIYDFKLILRDQRLKVIFFNALSSARKDPILMLRSFFSLCVIFVKASALACHRVLVSFSETYLDHLSFASRKFAMGANT >itb10g19390.t1 pep chromosome:ASM357664v1:10:25248905:25249531:1 gene:itb10g19390 transcript:itb10g19390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKAGGVVKKGHEEGIKMAVSLLEEFGLPMGLLPLADVIEVGFVKSSGYMWIVQKKKVEHNFKMISKLVSYDTEIYGYVEKKRIKKLKGVKAKELMLWPPVSEISVDDPPTGKIHFKSLAGITKTFPVDAFAAGQ >itb06g22380.t1 pep chromosome:ASM357664v1:6:24683341:24686181:-1 gene:itb06g22380 transcript:itb06g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLLALLMLFSLSISNTSLAKNLVSKTQSLKSGDTLVSKGGIFEMGFFSPTNSLNSYIGIWYRQDPKKTVVWVASRDHPLTNTSSAALKITLEGQLALVADNQSVWHAKSSRSVQNPVAELLNSGNFVVREADDESPENFLWQSFDYPTDHYLPGMKIGWNFQTGHEVFITSWKSQDDPASGQYTFHLDPTGYPQVVIKKGPTKIYASGPWTNLSSIQSGGKDSILPYWLVRNKREVYMTYGLSKAISSLRFVLTSNGLVKGFVWEDQTKEWDSFSTASLDNCDAYGVCGGNGFCNVDGFPTCGCLEKFVPNNNASENLSSLGCHRRKPLSCLVGPSSDGFQIYSGIKLPDTNNSWFNESVINLQECEQICLRNCSCTAYSILSKRNGGIGCLIWFGDLIGIRSVSPNQQDMYIYIRLASSEVTTGNATGDNTKRVAGTHGYMSPEYAGHGIFSVKSDVFSFGISVLEIVSGRRNNEFINEDQYVTLPEHAWKLYREGKSIELADEHIAGSYDVVQVLRSIHIGLLCVQQSPDDRPDMSSVVQMLVNDFALPRAKEPGFFFGKEYPSDTHSKSSQNEVTITTLSPR >itb04g06790.t3 pep chromosome:ASM357664v1:4:4485544:4487866:1 gene:itb04g06790 transcript:itb04g06790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCPVLKCFGSASQSSEDREIRHGEGNGERFRIFSYEELRSATQGFRSSCKIGEGGFGIVYKGRLRDGSFVAVKVLSVELGSLRGEREFISEISALSDIKHENLVRLRGCCVNGDHRLLVYDYMENNSLIHCFLGGEQSRMRFRWGQRKEIALGIARGLCYLHEELNPHIVHRDVKASNVLIDQKFVPKLSDFGLARLFRDNTSHISTGVAGTLRGSCTTRGG >itb04g06790.t4 pep chromosome:ASM357664v1:4:4485544:4487085:1 gene:itb04g06790 transcript:itb04g06790.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCPVLKCFGSASQSSEDREIRHGEGNGERFRIFSYEELRSATQGFRSSCKIGEGGFGIVYKGRLRDGSFVAVKVLSVELGSLRGEREFISEISALSDIKHENLVRLRGCCVNGDHRLLVYDYMENNSLIHCFLGGEQSRMRFRWGQRKEIALGIARGLCYLHEELNPHIVHRDVKASNVLIDQKFVPKLSDFGLARLFRDNTSHISTGVAGTL >itb04g06790.t2 pep chromosome:ASM357664v1:4:4485544:4487085:1 gene:itb04g06790 transcript:itb04g06790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCPVLKCFGSASQSSEDREIRHGEGNGERFRIFSYEELRSATQGFRSSCKIGEGGFGIVYKGRLRDGSFVAVKVLSVELGSLRGEREFISEISALSDIKHENLVRLRGCCVNGDHRLLVYDYMENNSLIHCFLGGEQSRMRFRWGQRKEIALGIARGLCYLHEELNPHIVHRDVKASNVLIDQKFVPKLSDFGLARLFRDNTSHISTGVAGTLGYLSPEYAVSGHLTRKSDVYSFGVLLLELINGGPIVTFDIERGEIFLVNKVTFMSRETRVIVVSNCKISA >itb04g06790.t1 pep chromosome:ASM357664v1:4:4485544:4487866:1 gene:itb04g06790 transcript:itb04g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCPVLKCFGSASQSSEDREIRHGEGNGERFRIFSYEELRSATQGFRSSCKIGEGGFGIVYKGRLRDGSFVAVKVLSVELGSLRGEREFISEISALSDIKHENLVRLRGCCVNGDHRLLVYDYMENNSLIHCFLGGEQSRMRFRWGQRKEIALGIARGLCYLHEELNPHIVHRDVKASNVLIDQKFVPKLSDFGLARLFRDNTSHISTGVAGTLGYLSPEYAVSGHLTRKSDVYSFGVLLLELINGGPIVTFDIERGEIFLVNKAWELHNAGRLTELVDPLLGGDFPAEEGGRLLKLGLLCVQETASLRPKMSAVMKMMSSEDSMEGVEITRPGIVADLRDVKIGNKTSSQSFFSNRPSTSMSPSSPFHGGIRRTFS >itb11g21690.t2 pep chromosome:ASM357664v1:11:23374770:23379220:1 gene:itb11g21690 transcript:itb11g21690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSSAVVRREAAAAVPGNWTPLAVDDPNQFGKNSAQASSASGSTKELQVMLRPHTCHPLDPLSAAEISVAVATVRAAGDTPEVRDGMRFIEVVLVEPDKSVVALADAYFFPPFQSSLLPKTRGGPLIPNKLPPRRARLIVYNRKSNETSIWIVELAEVHAAPRGGHHKGKVISSNVVPDVQPPIDAQEYADCEAVVKSYPPFKEAMKRRGIDDLDLVMVDPWCVGYHSEADSPSRRLAKPLVFCRAESNCPMENGYARPVEGIYVLVDVQNMEIIEFEDRKLVPLPPVDPLRNYTAGETRGGVDRSDVKPLHIIQPEGPSFRISGNYVEWQKWNFRIGFTPREGLVIHSVAYLDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNANSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLSEVRRSRRLTASFICTVANYEYAFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMNMAVDSKPGEAHNQVVEVNVRIEEPGNENVHNNAFYPQETLLRSELQAMRDCDPFSARHWIVRTLQFS >itb11g21690.t1 pep chromosome:ASM357664v1:11:23374770:23380900:1 gene:itb11g21690 transcript:itb11g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSSAVVRREAAAAVPGNWTPLAVDDPNQFGKNSAQASSASGSTKELQVMLRPHTCHPLDPLSAAEISVAVATVRAAGDTPEVRDGMRFIEVVLVEPDKSVVALADAYFFPPFQSSLLPKTRGGPLIPNKLPPRRARLIVYNRKSNETSIWIVELAEVHAAPRGGHHKGKVISSNVVPDVQPPIDAQEYADCEAVVKSYPPFKEAMKRRGIDDLDLVMVDPWCVGYHSEADSPSRRLAKPLVFCRAESNCPMENGYARPVEGIYVLVDVQNMEIIEFEDRKLVPLPPVDPLRNYTAGETRGGVDRSDVKPLHIIQPEGPSFRISGNYVEWQKWNFRIGFTPREGLVIHSVAYLDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNANSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLSEVRRSRRLTASFICTVANYEYAFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMNMAVDSKPGEAHNQVVEVNVRIEEPGNENVHNNAFYPQETLLRSELQAMRDCDPFSARHWIVRNTRTLNRTGQPTGYKLVPGPNCLPMAGPEAKFLRRAAFLKHNLWVTQYAPGEDFPGGEFPNQNPRVGEGLASWVKQDRSLEETDIVMWYVFGITHVPRLEDYPVMPVEHIGFVLQPHGFFNCSPAVDVPPPQGCHSETRETYHVDAASSPPSPTLIAKL >itb03g18960.t3 pep chromosome:ASM357664v1:3:17112344:17113710:-1 gene:itb03g18960 transcript:itb03g18960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLVLLQSSTSPFQLPPTSHSTYFAQPNAAQPFLRFSFPIRTHFQLNPCSHITNASNTRRSETKNSQTQLEESNIFGDENEEENEEEGFGGFRGFGGIEDEEEDEDEGFGGLRGKGDEKDYDRDPEFADILGSCVDNPQKAKSKIDDRFRKKRNKILQTKTGSGIPMKVTFNKFDFSNSYIWFEFYNSPLEKDVSLICNTIRSWHIVGRLGGCNSMNMQVKFLKLHI >itb03g18960.t2 pep chromosome:ASM357664v1:3:17111265:17113710:-1 gene:itb03g18960 transcript:itb03g18960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLVLLQSSTSPFQLPPTSHSTYFAQPNAAQPFLRFSFPIRTHFQLNPCSHITNASNTRRSETKNSQTQLEESNIFGDENEEENEEEGFGGFRGFGGIEDEEEDEDEGFGGLRGKGDEKDYDRDPEFADILGSCVDNPQKAKSKIDDRFRKKRNKILQTKTGSGIPMKVTFNKFDFSNSYIWFEFYNSPLEKDVSLICNTIRSWHIVGRLGGCNSMNICHSRLWTKDQVMMQFKGQM >itb03g18960.t1 pep chromosome:ASM357664v1:3:17111211:17113766:-1 gene:itb03g18960 transcript:itb03g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLVLLQSSTSPFQLPPTSHSTYFAQPNAAQPFLRFSFPIRTHFQLNPCSHITNASNTRRSETKNSQTQLEESNIFGDENEEENEEEGFGGFRGFGGIEDEEEDEDEGFGGLRGKGDEKDYDRDPEFADILGSCVDNPQKAKSKIDDRFRKKRNKILQTKTGSGIPMKVTFNKFDFSNSYIWFEFYNSPLEKDVSLICNTIRSWHIVGRLGGCNSMNMQLSQSPMDKRPSYDAIQGANVTPSTFYNIGDLEIQDNLARIWVDIGTSEPLLLDILINALTQISSDYIGIKQVVFGGSEFEGWKENLKSEDLGYNVHKI >itb03g18960.t4 pep chromosome:ASM357664v1:3:17112755:17113710:-1 gene:itb03g18960 transcript:itb03g18960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLVLLQSSTSPFQLPPTSHSTYFAQPNAAQPFLRFSFPIRTHFQLNPCSHITNASNTRRSETKNSQTQLEESNIFGDENEEENEEEGFGGFRGFGGIEDEEEDEDEGFGGLRGKGDEKDYDRDPEFADILGSCVDNPQKAKSKIDDRFRKKRNKILQTKTGSGIPMKVTFNK >itb04g23980.t1 pep chromosome:ASM357664v1:4:28849504:28852951:1 gene:itb04g23980 transcript:itb04g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAAKRNAERRFQNPKLHFGFALIVAESVLVALIIAYVPYTKIDWDAYMSQVSGFLGGERDYENLKGDTGPLVYPAGFLYLYSAIQYVTGGQVFLAQILFGLLYILNLGITLFIYLKTDVVPWWALSLLSLSKRVHSIFVLRLFNDCLATTILHGALLLLLYQKWHLGLIIFSAAVSVKMNVLLYAPPLLLLMVKAMPIDGVISALAGAALVQILLGLPFILQHPVSYISRAFNLGRVFIHFWSVNFKFVPEELFVSKPFALSLLAAHLTLLIVFAHYRWCRHEGGLFAFLQSRIVQLKHRIVTLFSLSRKPLSSQNLTHKRLQPDHIVSTMFVGNFIGIICARSLHYQFYSWYFYSIPYLLWKTRFPTFVRLLLFLVVELCWNVYPSNEYSSVFLLSAHLAILGGLWTASPEYPYADLTSNKSSTSKKSR >itb13g16560.t1 pep chromosome:ASM357664v1:13:23525854:23526889:-1 gene:itb13g16560 transcript:itb13g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNTSSGARYFIVFCFAVILSLCVLATINQAEFHTLKKLGKCAFSNPPPSPNSSPAANATSAAAAGDDEIRILIGILTRPDSYDKRHFLRLIYGTQSPPPRARIDVKFVFCNLTKADQRILVALEIIRYNDIIVLDCKENMDNGKTHAYFSTLPEIFSGASPPYHYAMKADDDTYLRLENLVESLRPLPRQDLYFGYVIPCPSMDPFVHYMSGMGYLISWDLAEWIRDSEIPKKNMVGPEDKILGEWLRDGRRAKNRYNAKWSMYNFPEPPTGCTHELWPETIAVHLLKTQEKWIKTLKYFNVTMNLKPSKLYHIP >itb02g09220.t9 pep chromosome:ASM357664v1:2:5865920:5872076:1 gene:itb02g09220 transcript:itb02g09220.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t8 pep chromosome:ASM357664v1:2:5865957:5872036:1 gene:itb02g09220 transcript:itb02g09220.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t3 pep chromosome:ASM357664v1:2:5865953:5872088:1 gene:itb02g09220 transcript:itb02g09220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t7 pep chromosome:ASM357664v1:2:5865958:5872100:1 gene:itb02g09220 transcript:itb02g09220.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t4 pep chromosome:ASM357664v1:2:5865957:5872036:1 gene:itb02g09220 transcript:itb02g09220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t1 pep chromosome:ASM357664v1:2:5865920:5872100:1 gene:itb02g09220 transcript:itb02g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t11 pep chromosome:ASM357664v1:2:5865920:5872088:1 gene:itb02g09220 transcript:itb02g09220.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t2 pep chromosome:ASM357664v1:2:5865920:5872100:1 gene:itb02g09220 transcript:itb02g09220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t6 pep chromosome:ASM357664v1:2:5865953:5872088:1 gene:itb02g09220 transcript:itb02g09220.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t10 pep chromosome:ASM357664v1:2:5865920:5872088:1 gene:itb02g09220 transcript:itb02g09220.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t5 pep chromosome:ASM357664v1:2:5865958:5872100:1 gene:itb02g09220 transcript:itb02g09220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb02g09220.t12 pep chromosome:ASM357664v1:2:5865920:5872076:1 gene:itb02g09220 transcript:itb02g09220.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSKIPGSGDSRGIDKVPAANISERLRNAWAIPLGTNAYHAATDVSLFSSSLPVLPHEKLIPNDSEQFGQSVDDNTPTLGEFNLEEEGKGPFEADETRMVGNILPGDEDELLAGIMDDFDLSGLPSQLEDLDDDFFGSGGGLEMEQDLQENLLNGFARLSIPDGIPGASIAQYSFSNGSATVAGEHPYGEHPSRTLFVRNINSNVEDSELRYLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDSSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQDMELDDVRSFRNPVGSPVASSPPGSWPNFGSPVEPSQSHNYSKSPGLRNFSPVNNSMSGLASILPPQLSNPGKIAPIGKDPGRVSHVSQVSTDTLSAQAVAYQHCYSFPEQKLSSSSGPVSFDDSKPSGIGTLSGPQFLWGSPPVHSERNDSSIWSTSSMGGHPFGSNAQGQGYPYSRRQGSLLGSHHHVGSAPSGIPLERHFGFFPESPETTYLSPAAFGTASSSRNNGSRGMGIGSPGVMNMGVTLAGTFTEGGSPTPRMIPLSRNSPIFFGNGSYGGIGVNNSELTGRSRRVESGDQMENKKQYQLDLEKILSGEDIRTTLMIKNIPNKYTSKMLLAAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKRWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPIVFQSEGQETADEEPLPSSNLNVCIRRPDGSYTGDSLDSPKDNLDEKPATSTFTGSA >itb01g31110.t1 pep chromosome:ASM357664v1:1:34915621:34919542:-1 gene:itb01g31110 transcript:itb01g31110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVVARLLAIFSLLSFLQQLSSNVIPASSNEEANGLLKWKSTFFHANNTLDSSWTISENGGSPCNWYRVHCVAGSVNRLNLTTSNINGTLQSFPFHSLPNLEYFEISLNAFWGSIPPAIGNLTKLVYLDMSINYFTGTIPPQIGLLIRLQTLHMFGNNLHGPIPDEIGNLTSLNELALLGNSLNGSIPASIGNLKHLSSLQLYMNSLSGHIPPELGKLSNLTVLYIDTNQLTGSIPPELGNLSKLQQLYIFSNNLSGHIPRELGKLKSLSYLSLWSNNLSGQIPASLGGLENLLLLHLYGNQLSGSIPEELGNLKSITDLQLSQNMFSGSIPTSIGRLRNLEILFLRANNLSGSIPRELGKLENLTVLEMDENQFSGHLPDGICKGLALQNFTVNNNNLSGPIPPSLRDCRSLKRVRFDGNMFTGNLSESFGIYPDLQFMWLSQNKFHGEISKNWGISKNLTNLQMAENNLTGRIPPEFRNLTQLGILKLSSNNLGGGIPAELGSLSSLLYLYLGDNNFSGQLPIELASLKQLNVLDLSNNQFSGPIPSFIGDYQQMYELDLSHNNFSQHLPVELSKLSHLTTLDLSNNSLSGEIPHLFNSLRDLVNVDLSYNQLTGPIPDTMGFKQAILKGNTGLCGDNKDLPSCSSTPTEMSFVGKKSGHKTQILSIVLPIVGALVLVSVFAVVLFTCGKGDRGPDEEQQCNSFRRGDGDNNDEDSDLFSISSFHGKALYLDILKATKEFHEMYRIGEGGFGTVYKAKIPSAETVAVKRLHSSAEIAYKKGFFNEITALTTIRHRNIVKLHGFCSNVKHEFLVYEYLERGSLSEMLSREGDAKKLDWMTRVNIIRGIAQGLSYMHHDCSPPIVHGNISSSNILLDADFKARISDFGTAKLLRRDASNFSTVAGTCGYIAPEVTSTKVMTESCDVYSFGVLTLEIIMGKHPGDDINVIKFFSKCLDPRLPHPENEEEKALIHIALLARQCLYPKARRPTMQQVMAEMSAIGPLQEQCNSSRLGDGDNNDENSDFFSISSFHGKALYLXSPRRTPQSRDGSPSPRRSPSPRRAPQSRDGSPGGRNEKERSPTPRSLSPRGRGAESRSPSPRSDADE >itb01g00700.t1 pep chromosome:ASM357664v1:1:315550:322134:1 gene:itb01g00700 transcript:itb01g00700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSDFDYSLVRSHARQSDVICIHIRLRLLVQFDYTWTGRKKHNGKSMEIGGGSGRGFDRNDNLKMKATAQIDVLEHYYAVERYPSPDLMEELSAKLGLSDQQVNVWFQCRRQKDRDRIAIGIPLHKVASTMPMASLIAVGEVWGKPVPGSPYGRLMVQQPGVRVPSYGNEGLSTRRYDQFPWTIQHSRAVAAVEKQLGGHFIDNGPVLGFNFDPLPPGAFHTPIEPAVHYKQGGQSHRAELHGGPIQAANQTSLQYQFTQGESSSAAAAYGPRVPPGYYSSPAEAQTIAIALSGGSSYVHGTQLPISGLLRPQGIQSSISQSLGAHVVPQSSSRLNMRVDAIFGGCSTTGEENPLMPSGTQAIGDNRLLARNWKSKDANVGRKVEAQEKRLTDGLIEELARHVWRFLICLADALSLSPFTIDEFVHDTVHIMLLRSIIKDIEGAANSGGGNPRIVEEAYARGFNTSSWQRHLNPLTWPEILRQFALSAGFGPVLKKRNIEPASSSDESKGDDDTDTSASSPNEVAAENAASATVATFSIPGNTVNEKSDSEEWVERIMEEEYSDLSVEERLDAFVALISVATEGKSIRVVLEERIEAASASTRRQSNISRSLGAHVVPQSSSLLNRRVDANFGGCSITGEENPLMPSGTQAIRGNRLLARNWKSKDANIGRKVEALEKRLTDELIEELDTLRQKSVYLKKPFRAQPWIDSDMNVTNLLMVWRFLICFADARSLSPFTIYALVQAFHDTDSRLLGQVHIMLLRSITKDIECAANSGGGNPHIVEGFKTSSSSNDPGSGRIFVHLCEGRWRLIDSEKDFDALLRIFGCVWSQRAPVAFDAAANRSCFQGKCQEVAK >itb04g32620.t2 pep chromosome:ASM357664v1:4:35102519:35106500:-1 gene:itb04g32620 transcript:itb04g32620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLETLLGQAFGARQYHMMGIYLQRAWIVLTATTTLLLPLFIFTAPIFKALGQEEDIARVAGIVSKWLIPVAYAFIVSFTCQMYLQAQSKNVIIAYLAAVTLAIHIFLSWLLTVKLEFGLAGAMISTILAFWIPNCGQLLFVMCGGCKETWTGFSFLAFKDLLPVIKLSFSAGAMVCLELWYNSILVLLTGNLENAEVQIDALSICLNISGWEMMISLGFMAAACVRVANELGKGSAKAARFSINVVVLTSFAIGVVLFLFFLFLRGNLAYLFTDSHDVVEAVDQLSPLLAFSILLNSVQPVLSGVAIGAGWQSTVAYVNLGCYYLIGIPVGVVLGYIIKLQVTGVWIGMLFGTFVQTIVLLVITFKTDWDKQVADAQKRVRNWVVEPEPGDAN >itb04g32620.t1 pep chromosome:ASM357664v1:4:35102502:35107779:-1 gene:itb04g32620 transcript:itb04g32620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDMSEKLLENKGNSEEEVKLGEKIWCEMKKMSVVALPAIFTRFTTFGISVISQAFIGHIDPIDLAAYALVQTVLLRFCNGVLLGMASGLETLLGQAFGARQYHMMGIYLQRAWIVLTATTTLLLPLFIFTAPIFKALGQEEDIARVAGIVSKWLIPVAYAFIVSFTCQMYLQAQSKNVIIAYLAAVTLAIHIFLSWLLTVKLEFGLAGAMISTILAFWIPNCGQLLFVMCGGCKETWTGFSFLAFKDLLPVIKLSFSAGAMVCLELWYNSILVLLTGNLENAEVQIDALSICLNISGWEMMISLGFMAAACVRVANELGKGSAKAARFSINVVVLTSFAIGVVLFLFFLFLRGNLAYLFTDSHDVVEAVDQLSPLLAFSILLNSVQPVLSGVAIGAGWQSTVAYVNLGCYYLIGIPVGVVLGYIIKLQVTGVWIGMLFGTFVQTIVLLVITFKTDWDKQVADAQKRVRNWVVEPEPGDAN >itb07g01840.t1 pep chromosome:ASM357664v1:7:1112444:1118017:1 gene:itb07g01840 transcript:itb07g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFGAAAAAAARTAISKDPKIQIPSCTGLRSGGSDSLRLSNRLRVFRSASASSPNISVIRAVTTPPELDTSAKPKRSKVEIFKEQSNFIRYPLNEEILTDAPNINEAATQLIKFHGSYQQYNRDERGKRSYSFMLRTKNPGGKVPNRLYLAMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMGTIIRNMGSTLGACGDLNRNVLAPAAPYVNKSYLFAQQTADDIAALLTPQSGFYYDVWVDGERFMSAESPEVVKAREDNSHGTNFPDSAEPIYGTQFLPRKFKVAVTVPTDNSVDLFTNDIGIAVVSDENGEPQGFNIYVGGGMGRTHRMETTFARLAEPLGYVPKEDILYAVKAIVVTQREYGRRDDRRYSRMKYLLSSWGIEKFRNVVEQYYGKTFEPCRDLPEWEFKSYLGWHEQGDGRLFCGLHVDNGRIKGETKKMLREVIEKYNLDVRITPNQNMILCDIQHAWKDSIATALAQAGLLEPRQVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAMFEKVGLENEESIVVRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTTNQTSLAKPFKDKAKIQDLEKVLEPLFYHWKQNRQASESFGDFTTRMGFEKLNELINKWEGSLPVPSS >itb07g05050.t1 pep chromosome:ASM357664v1:7:3432479:3433952:1 gene:itb07g05050 transcript:itb07g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKLLCALPFVLFLVAYPGESAEINVAAKPNVDVASEGCAATTASTIVIPKGTYLMTQVVLAGPCKAPIELQILGTLEAPADDKTLDTSKEWLTVQYVDGFTLTGGGIFDGQGTATWEHNDCKKTKSCNLLPNNLSFNFLTNSLIRNIVSLDSKLFHVHVLGGKNITFDHLAIKAPGTSHYTDGIHVAKVTDVTIMNSVIGTGDDCISVGDGTQNLVVKGVVCGPGHGISINVGKSPGEEPVKGVHVQDCKFIGTDNGLRIITWPNSHPGEISDVHYEHIEMEDVDNPILIDQEYCPHRKCPKDKENQKPSQVKISKVSYKKISGASGTDVAVSFTCSSAVPCEGVEVSDINLTFNGAPAKSICSNIKPVSSGKIVPPLCT >itb12g25020.t1 pep chromosome:ASM357664v1:12:26338838:26339928:1 gene:itb12g25020 transcript:itb12g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRHSHSAPIITILFTLLPFFSTARIHQSNYPHTDIKNEEFIKTSCGVTLYPKLCYDSLSPYANSVSTAAQLADAALDVSLRAARSASETVAKLSRERGGLGAREARAVVDCVENMEDSVDELERCAGEMKDLGGQRMEEKMGNVMTWVSAALTDEGTCMDGFQESGKIVKGRIRKCIVHVAQLTSNALALIKNLSSSFP >itb07g18500.t1 pep chromosome:ASM357664v1:7:22961329:22964131:1 gene:itb07g18500 transcript:itb07g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAKACNETSRDVSNSSLPRRATRHLAMSRTHPCRGAATSRRFLLVLDDVWTEDDAKWAPFKNCLLMVGIPGSRILVTSRSERVAKMMGSVYLHRVKLISDSDAWLLLSRIAFSKRTEEASEKLKEIDLSRCWYLSRLPEGIGNLRLLGKIDLSWNKVEELPDSICSLESLQTLYLRECHSLSRLPEGIGNLHHLRKIYLTWSKVEELPDSICSLDNLQTLDLNRCGYLSRLPEGIGNLRLLGKIDLSWSKVEELPDSICSLDNLQTLYLRECHSLSRLPERIGNLHHLRKIYLTWSKVEELPDSICSLDNLEILDLYGCKCLSRLPEGIGNLHHLREIDLNFSKVKKLPDSIYSLNKLEIRGWGTG >itb02g03880.t1 pep chromosome:ASM357664v1:2:2303402:2307617:1 gene:itb02g03880 transcript:itb02g03880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGLNLCTYVPSIHDDDDNDDKGSLSSKHSGGALPSPVTPGSHGLKLSKSLSRSSKKTCSICLATMKRGDGQAIFTAECSHSFHFQCIASNAKHGNLLCPICRAKWKEIPLQCPSVNPPSGRARINDVDWAQDNALATVIRRLPPPRSNPNRNAAPFRQASEPATFDDDESLGHQLDSAQKSMSDKNYRDSGEGRAIKIKTYPEIPAVPRFNSSANFTVLVHLNAPTSVSGPNPSSSQPGLPHVTQTPRAPVDLVTVLDISGSMAGTKLALLKRAMVFVIQNLGPNDRLSVIAFSSTARRLFPLRRMSEMGRQQALQGVNSLAANGGTNIAEGLRKGAKIMEDRREKNAVASIILLSDGQDTYTISNSDGSRNQHNTRLLLPLSMHSGEDSSFKIPVHTFGFGTDHDALSMHSISEISGGTFSFIETESVIQDAFAQCIGGLLSVVVKELQLSIECVHPEVHLRSLRTGSYPNRILSSGRKGSVDVGDLYADEERDFLISVNVPTELSNPETSLLKVSCVYKDPLAKEMVNLGSEVLRIERPKVVGETRVSIEVDRQQNRLRAAEAMAEARAAAEKGNLAGATSILEKCRKALLESVSSKAHDRLCLALDAELKEMQERMASQHVYNTSGRAYILSGMSSHSWQRATARGDSTDGSSLVQAYQTPSMVEMVTTSQATLLGSPSSRRLVRPVWASGSLPKPR >itb02g03880.t2 pep chromosome:ASM357664v1:2:2303785:2307223:1 gene:itb02g03880 transcript:itb02g03880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGLNLCTYVPSIHDDDDNDDKGSLSSKHSGGALPSPVTPGSHGLKLSKSLSRSSKKTCSICLATMKRGDGQAIFTAECSHSFHFQCIASNAKHGNLLCPICRAKWKEIPLQCPSVNPPSGRARINDVDWAQDNALATVIRRLPPPRSNPNRNAAPFRQASEPATFDDDESLGHQLDSAQKSMSDKNYRDSGEGRAIKIKTYPEIPAVPRFNSSANFTVLVHLNAPTSVSGPNPSSSQPGLPHVTQTPRAPVDLVTVLDISGSMAGTKLALLKRAMVFVIQNLGPNDRLSVIAFSSTARRLFPLRRMSEMGRQQALQGVNSLAANGGTNIAEGLRKGAKIMEDRREKNAVASIILLSDGQDTYTISNSDGSRNQHNTRLLLPLSMHSGEDSSFKIPVHTFGFGTDHDALSMHSISEISGGTFSFIETESVIQDAFAQCIGGLLSVVVKELQLSIECVHPEVHLRSLRTGSYPNRILSSGRKGSVDVGDLYADEERDFLISVNVPTELSNPETSLLKVSCVYKDPLAKEMVNLGSEVLRIERPKVVGETRVSIEVDRQQNRLRAAEAMAEARAAAEKGNLAGATSILEKCRKALLESVSSKAHDRLCLALDAELKEMQERMASQHVYNTSGRAYILSGMSSHSWQRATARGDSTDGSSLVQAYQTPSMVEMVTTSQATLLGSPSSRRLVRPVWASGSLPKPR >itb15g08050.t1 pep chromosome:ASM357664v1:15:5655396:5659235:-1 gene:itb15g08050 transcript:itb15g08050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVELVKIYADMSLYIGREASKLWKRVCAETATEINLLVENWKYILGGLICQYIHGLAARGVHYIHRPGPTLQDAGFFLLPELGQDRAYVSETVFTFIFLSFVLWTFHPFIFKNKKIYTVLIWCRVLAFLVACQFLRIITFYSTQLPGPNYHCREGSKLARLPRPDNLLEVLLIVPQGVLYGCGDLIFSSHMIFSLVFVRTYYKYGSQRFIKQCAWLAVIIQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPELPDRSVAAFLLPMSKDSMSKDENQKLLNGNSGEITEKRLRTQINGKIENGNTVHVEAVKNGA >itb02g25290.t3 pep chromosome:ASM357664v1:2:26062559:26065758:-1 gene:itb02g25290 transcript:itb02g25290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSDFSDIFDVDYFIKQLNGFVKVVKKLPPEIASREPFRVDCSKRKGLFDYAESVLPSLLEHRYIAITPAMSQRRDRYPLHAKAAFCQGCYNALRLISALEKKASDLLQAIPKPFLSLHLRFEPDMVAYSQCEYAGLSSASMEAIEAARGDRKPWTGEAAQIWRNRGKCPLTPNETALILQALSIPTDTNIYLAAGDGLMELEGFTSVYTNVVTKSTLLSGEDFTKMHGNTKAALDYYVSISSDSYIATYFGNMDKMVAAMRAFKGLHNTLFLSRRDFSVLTSQGLEGKDLADALWKVHRDDFVVSKGSALPDCFCEEKL >itb02g25290.t1 pep chromosome:ASM357664v1:2:26062559:26065791:-1 gene:itb02g25290 transcript:itb02g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSDFSDIFDVDYFIKQLNGFVKVVKKLPPEIASREPFRVDCSKRKGLFDYAESVLPSLLEHRYIAITPAMSQRRDRYPLHAKAAFCQGCYNALRLISALEKKASDLLQAIPKPFLSLHLRFEPDMVAYSQCEYAGLSSASMEAIEAARGDRKPWTGEAAQIWRNRGKCPLTPNETALILQALSIPTDTNIYLAAGDGLMELEGFTSVYTNVVTKSTLLSGEDFTKMHGNTKAALDYYVSISSDSYIATYFGNMDKMVAAMRAFKGLHNTLFLSRRDFSVLTSQGLEGKDLADALWKVHRDDFVVSKGSALPDCFCEEKL >itb02g25290.t4 pep chromosome:ASM357664v1:2:26062559:26065417:-1 gene:itb02g25290 transcript:itb02g25290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSDFSDIFDVDYFIKQLNGFVKVVKKLPPEIASREPFRVDCSKRKGLFDYAESVLPSLLEHRYIAITPAMSQRRDRYPLHAKAAFCQGCYNALRLISALEKKASDLLQAIPKPFLSLHLRFEPDMVAYSQCEYAGLSSASMEAIEAARGDRKPWTGEAAQIWRNRGKCPLTPNETALILQALSIPTDTNIYLAAGDGLMELEGFTSVYTNVVTKSTLLSGEDFTKMHGNTKAALDYYVSISSDSYIATYFGNMDKMVAAMRAFKGLHNTLFLSRRDFSVLTSQGLEGKDLADALWKVHRDDFVVSKGSALPDCFCEEKL >itb02g25290.t5 pep chromosome:ASM357664v1:2:26062559:26064851:-1 gene:itb02g25290 transcript:itb02g25290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MLVMQLCDGVGIARLLNATLVLPKFEVAAYWNESSDFSDIFDVDYFIKQLNGFVKVVKKLPPEIASREPFRVDCSKRKGLFDYAESVLPSLLEHRYIAITPAMSQRRDRYPLHAKAAFCQGCYNALRLISALEKKASDLLQAIPKPFLSLHLRFEPDMVAYSQCEYAGLSSASMEAIEAARGDRKPWTGEAAQIWRNRGKCPLTPNETALILQALSIPTDTNIYLAAGDGLMELEGFTSVYTNVVTKSTLLSGEDFTKMHGNTKAALDYYVSISSDSYIATYFGNMDKMVAAMRAFKGLHNTLFLSRRDFSVLTSQGLEGKDLADALWKVHRDDFVVSKGSALPDCFCEEKL >itb02g25290.t2 pep chromosome:ASM357664v1:2:26062559:26065780:-1 gene:itb02g25290 transcript:itb02g25290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MIASTVKKPLLGVTVLTFSIFLAIILFPPLYPFSETPISLDSSLLERPEIWSLPRVVEWRPCNWWLRRDFSALPAETNGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSDFSDIFDVDYFIKQLNGFVKVVKKLPPEIASREPFRVDCSKRKGLFDYAESVLPSLLEHRYIAITPAMSQRRDRYPLHAKAAFCQGCYNALRLISALEKKASDLLQAIPKPFLSLHLRFEPDMVAYSQCEYAGLSSASMEAIEAARGDRKPWTGEAAQIWRNRGKCPLTPNETALILQALSIPTDTNIYLAAGDGLMELEGFTSVYTNVVTKSTLLSGEDFTKMHGNTKAALDYYVSISSDSYIATYFGNMDKMVAAMRAFKGLHNTLFLSRRDFSVLTSQGLEGKDLADALWKVHRDDFVVSKGSALPDCFCEEKL >itb15g10310.t1 pep chromosome:ASM357664v1:15:8141036:8143983:-1 gene:itb15g10310 transcript:itb15g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWTLQFHTVSFQHRVSPSLLEFRARFWLDRGYNSFNRSYILKRTELRAARNSLNGDDVRHLPGESNVVESKNKIILIPKNVITKLQVTVGSCPPIVFLTKKHPGAGFAVGIFVIATLLIIAVRNHMLRKSRYSSPGTVADLVRRGQLRSDRRGISSPLKYEDPFNNPMVKVSKSNSTVEMGGKVYRLAPVTLTREQQAIHQKRRSRAYQWKRPTLFLREGDSIPPDVDPDTIRWIPANHPFATTESEIDEDLAQNNVYQKHGVPFRIQAEHEALQRKLEALQSEQKLNKLVIDPATAKDFERPFKARMKSEDQIEQSPHNRQMGSKFSEPNPARDSSASKPTTEESQKP >itb15g10310.t2 pep chromosome:ASM357664v1:15:8141036:8143983:-1 gene:itb15g10310 transcript:itb15g10310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWTLQFHTVSFQHRVSPSLLEFRARFWLDRGYNSFNRSYILKRTELRAARNSLNGDDVRHLPGESNVVESKNKIILIPKNVITKLQVTVGSCPPIVFLTKKHPGAGFAVGIFVIATLLIIAVRNHMLRKSRYSSPGTVADLVRRGQLRSDRRGISSPLKYEDPFNNPMVKVSKSNSTVEMGGKVYRLAPVTLTREQQAIHQKRRSRAYQWKRPTLFLREGDSIPPDVDPDTIRWIPANHPFATTESEIDEDLAQNNVYQKHGVPFRIQAEHEALQRKLEALQSEQKLNKLVIDPATAKDFERPFKARMKSEDQIEQSPHNRQMGSKFSEPNPARDSSASKPTTEESQKP >itb12g07400.t1 pep chromosome:ASM357664v1:12:5535837:5538910:-1 gene:itb12g07400 transcript:itb12g07400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSPFSIYGHKSLLLLPSSSSSIESCRLLPLHRLQFRTQCGIATSYSPVPLPLKRFSRIKRIRSVAEEETLVPEGDGDAVATEEAAGEDASSSPPVTADQTVSVPVSASDVLTMFFHAEGTMSDAAIPKVTKALEETEGITDLKVRVLEGLASVDLTKQTTIQATGVASSLVEIIQGSGFKLQTLNLSFQDEEDLI >itb02g12800.t1 pep chromosome:ASM357664v1:2:8838810:8842804:1 gene:itb02g12800 transcript:itb02g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMSMTRKQNQNQARDLKHRVLTCLHKLSDRDTHSAAAIELEAIAKALSTETIPPFLSSIAATDSSDKSPVRKECLRLISILSESHGNLLSPYLSKLLGAVIRRLRDHDSAVRSACVTASASISLHLTKSPFSSIMKPFLEALFTEQEMNSQIGAALCLAAVIEAAPDPDVVSLRKQIPRFEKLLKSESFKAKAALLTLIGSVIAVGAASNQQVVRNLVPRLVEFVSSEDWAARKASAEALLRLGVAERETLSEFKASCLKTFEAKRFDKVKTVRETMSQMLEAWKEIPDLSDDVSLPPESDSSSRENGSDPPGSRSSGTLNVGRRNLHKSKTNALGSSSAATVIGKKATPAIFRKLDHKKPFDQLKIEVPNSQDSSGDDLKCKNGKGDEEKEGFTKPEIKRPLFGKSASGCRVVPYQDERSDSNVVMNESGDLCMNQKERDDLSEIRKQLVQIENQQSNLLQVLQKFIGTCQSGMHSLEARVHGLELSLDEISYDLAVSTGRMSNRDSAAMCCKLPGAEFLSSKLWRRTSTSQFPASGGTPFVASAHNKASPGFIVNPLAETNHYSQSQQGISHVSYNGVSRNLPMTRVRRLDQV >itb02g12800.t2 pep chromosome:ASM357664v1:2:8838885:8842324:1 gene:itb02g12800 transcript:itb02g12800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMSMTRKQNQNQARDLKHRVLTCLHKLSDRDTHSAAAIELEAIAKALSTETIPPFLSSIAATDSSDKSPVRKECLRLISILSESHGNLLSPYLSKLLGAVIRRLRDHDSAVRSACVTASASISLHLTKSPFSSIMKPFLEALFTEQEMNSQIGAALCLAAVIEAAPDPDVVSLRKQIPRFEKLLKSESFKAKAALLTLIGSVIAVGAASNQQVVRNLVPRLVEFVSSEDWAARKASAEALLRLGVAERETLSEFKASCLKTFEAKRFDKVKTVRETMSQMLEAWKEIPDLSDDVSLPPESDSSSRENGSDPPGSRSSGTLNVGRRNLHKSKTNALGSSSAATVIGKKATPAIFRKLDHKKPFDQLKIEVPNSQDSSGDDLKCKNGKGDEEKEGFTKPEIKRPLFGKSASGCRVVPYQDERSDSNVVMNESGDLCMNQKERDDLSEIRKQLVQIENQQSNLLQVLQKFIGTCQSGMHSLEARVHGLELSLDEISYDLAVSTGRMSNRDSAAMCCKLPGAEFLSSKLWRRTSTSQFPASGGTPFVASAHNKASPGFIVNPLAETNHYSQSQQGISHVSYNGVSRNLPMTRYFSFPYLTYISLSFSSRTRHSLLKPNRIAYTKR >itb14g19140.t1 pep chromosome:ASM357664v1:14:21886617:21887006:-1 gene:itb14g19140 transcript:itb14g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKPRRFVFFFFSLFLISIILLHSSSSSSYIKASPVPSPSSTMKLHPNPRRSSRRHSSRREFEAGDHAVPSGPNPISNRVAGRRRGEEVILSYQQSFAAVIFDT >itb01g15930.t1 pep chromosome:ASM357664v1:1:19350951:19358201:-1 gene:itb01g15930 transcript:itb01g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPICCNSGIAAVSSGKGFVISNGLWAAVIRNGPSLPCESASSFSRRRRRKIPPPLRLPIRSYFQIQDKSMPKVKTNRVRYPEGWELIEPTLNELQAKMREAENDPHDGKRKCEALWPIFKICHQKSRYIFDLYHRRKEISKELFEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPKHLREEKVIECVHCGCKGCASGD >itb10g24920.t1 pep chromosome:ASM357664v1:10:28469864:28475862:1 gene:itb10g24920 transcript:itb10g24920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDRDDEMDYSPFMGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDIGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSRTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVASLTRGTVRSPLAQCLLIRYTSQVIRESGVNQVGDRPFYDYLEGCLRHKAEMVILEAARAITELSGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSIDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGAIVDSLKPRIFVLLKRCLFDNDDEVRDRATLYLNTIGGDGAVVETEEEVKEFLFGPLGIPIVNLETSLKNYAPSEEPFDINSVPREVKSQPIAEKKAQGKKPTGLAAPPGGPTSTIDAYEKLLSSIPQFSSYGKLFKSSPPVELTEAETEYAVNVVKHIFDSHVVFQYNCTNTIPEQLLENVTVIVDASEAEEFSEVASKPLKSLPYDTPGQTFVAFEKPEGVPAVGKFSNTLRFIVKEVDPSTGEAEEDGVEDEYQLEDLDVVAADYILKVGVSNFRNAWESLSPDSERVDEYGLGPRESLAEAVNAVINLLGMQPCEGTEVVPSNSRSHACLLSGVYIGNIKVLVRLAFGIDGQKEVAMKLAVRSEDASISDAIHEIVASG >itb04g05690.t1 pep chromosome:ASM357664v1:4:3692187:3695103:-1 gene:itb04g05690 transcript:itb04g05690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARRLYEDPSVVSHFDNRAWVVASQYHNKLQMLTDLLKSMGCVVDSSTEEDQLAQQLYQKLMQQRYFVVIDDIWSVEAWGSVKACFPDNGNDSRVLLTTRSAKVATIIGSNNDFSHQMQLLEEGESWNLFHEKISKCLSSESDMIGRQIVKKCKGLPLAIVVVAGLFSKLHTLDEWKNVAEALNSSATTTTIREECSMILSSSYNHLPHSLKACFLYLSIFPEDYEIHVREIVKLWIAEGLVKASKDMSFDAVARRHIQELKDRNLILLQSLHLRCPEIGPPFVIPNIDPLDCLKNLMRLRFTYLVFEWKAINILGKLSKLKVLRLSCCKCIDEKWELLEEENFDQLIYLEIDSIYGLKQWEASDCHFPNLERLVLKRCTELVTIPTKIADNLNLKSIELDNYCLPFAMDSAKEIQKEQHEYGNENMVIIPLEHTTQQGGSSLTLSSHVRSAPIIRNVGQLLTTLNSATENVLVRHVGKLANRHVLTRALGSQATSREVRK >itb07g00420.t1 pep chromosome:ASM357664v1:7:294832:301387:-1 gene:itb07g00420 transcript:itb07g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALFHGGPNPATTSFMFHSTPNGQEGSSSFDFGELEEAIVLQGVKMNKNDEAKDSLYADRPAPTLEMFPSWPTRFHPTPPRGGGSSRSGGEDSGDSGCGLNAFANRAEGPESSISTKASDQQSFDYQRQPQFLQTEMATSDSPAPGGNADQPPPKHPTQDKRKGAASSSDRNLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLAQLEQELQRARSQGILVGGGAAASAGISSGAAIFDMEYARWLEDDHRHMSELRTALQAHLSDDDLRVIIDGYIAHYDEIFRLKGVAAKTDVFHLITGMWMTQAERCFLWMGGFRPSELIKMLCGQLDPLTEQQVMGIYSLQHSTQQAEEALTQGLDQLQHSLVETIASGSLNDGMHHMAIALGKLSNLEGFIRQADNLRQQTLHQLCRILTVRQAVRCFLVIGEYYGRLRALSSLWISRPRETTLVIDNGACQATTELQMVQNSHNQFANF >itb07g00420.t2 pep chromosome:ASM357664v1:7:294832:301155:-1 gene:itb07g00420 transcript:itb07g00420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQKAGKTGHSHHHHNMPYALFHGGPNPATTSFMFHSTPNGQEGSSSFDFGELEEAIVLQGVKMNKNDEAKDSLYADRPAPTLEMFPSWPTRFHPTPPRGGGSSRSGGEDSGDSGCGLNAFANRAEGPESSISTKASDQQSFDYQRQPQFLQTEMATSDSPAPGGNADQPPPKHPTQDKRKGAASSSDRNLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLAQLEQELQRARSQGILVGGGAAASAGISSGAAIFDMEYARWLEDDHRHMSELRTALQAHLSDDDLRVIIDGYIAHYDEIFRLKGVAAKTDVFHLITGMWMTQAERCFLWMGGFRPSELIKMLCGQLDPLTEQQVMGIYSLQHSTQQAEEALTQGLDQLQHSLVETIASGSLNDGMHHMAIALGKLSNLEGFIRQADNLRQQTLHQLCRILTVRQAVRCFLVIGEYYGRLRALSSLWISRPRETTLVIDNGACQATTELQMVQNSHNQFANF >itb04g22900.t1 pep chromosome:ASM357664v1:4:28137073:28140666:1 gene:itb04g22900 transcript:itb04g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGEEELGHSAAPVRLMNFVSEEQLEEARRSRGARVEDGTAQRDRSLFEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLEESRREYEQQLVDEEAKQLRSFQAAVAAQSTTVLELKEIPISPKIQEQRSGGRKNPPAKPLGMLIKVKPQAKKAKVDDANSEASLMARTTSYGNAKESCHTQGSQGYMKTGMTEGDDGKSGSVANGSLVQYSDESDDE >itb12g20090.t1 pep chromosome:ASM357664v1:12:22535443:22541211:1 gene:itb12g20090 transcript:itb12g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNQQMLHPLKFSCSVLRGYTNIFARNFLAVNKISTTDTIWAPKIGSNFDVQLLNLFGGIFSRNYTSGTVGLENVSDYCSTADKVYQTIMDYSNPVHKMEIALDKLGVELTTPLVVEVLNKLRYEEKLAFRFFTWVGKQENYGHEPQVYNEMIDILSSTKYKVKQFRIVCDLLDYMKRNSKSSVPVEAMLTILRQYTEKHLTHLHKFARKKKIRVKTPPEIYAFNLLLDALCKCTLVEDAEAMFRRLKNKLNPNADTYNILFFGWCRVRNPTRGMGVLEDMIKMGHTPDNFTYNTAIDSFCKAGMVTEASELLEFMRTQGSTMSSPTAKTYSIMIVALVQNDRMEGCFKVLGDMISSGCLPDVSTYKDLIEGMYLVGKIEAAYKLLEEMGSKGYPPDVVTFNCFLKVLCHNNDRDEALRLYHKMIEVGCVPSVQTFNMLIAMFFKINDVDGAFETWREMDNQGCARDTETYCVMIEGLFVSDNTQDACFLLEEVISRGMKLPYQKFDSFLLQLSEVGNLKAIHKLSEHMRTFYNPAMARRFALNQKRKSMSLRGK >itb12g20090.t5 pep chromosome:ASM357664v1:12:22535449:22541211:1 gene:itb12g20090 transcript:itb12g20090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLKVVSYGCKSKSVVKVMCLNQQMLHPLKFSCSVLRGYTNIFARNFLAVNKISTTDTIWAPKIGSNFDVQLLNLFGGIFSRNYTSGTVGLENVSDYCSTADKVYQTIMDYSNPVHKMEIALDKLGVELTTPLVVEVLNKLRYEEKLAFRFFTWVGKQENYGHEPQVYNEMIDILSSTKYKVKQFRIVCDLLDYMKRNSKSSVPVEAMLTILRQYTEKHLTHLHKFARKKKIRVKTPPEIYAFNLLLDALCKCTLVEDAEAMFRRLKNKLNPNADTYNILFFGWCRVRNPTRGMGVLEDMIKMGHTPDNFTYNTAIDSFCKAGMVTEASELLEFMRTQGSTMSSPTAKTYSIMIVALVQNDRMEGCFKVLGDMISSGCLPDVSTYKDLIEGMYLVGKIEAAYKLLEEMGSKGYPPDVVTFNCFLKVLCHNNDRDEALRLYHKMIEVGCVPSVQTFNMLIAMFFKINDVDGAFETWREMDNQGCARDTETYCVMIEGLFVSDNTQDACFLLEEVISRGMKLPYQKFDSFLLQLSEVGNLKAIHKLSEHMRTFYNPAMARRFALNQKRKSMSLRGKC >itb12g20090.t3 pep chromosome:ASM357664v1:12:22535449:22541211:1 gene:itb12g20090 transcript:itb12g20090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLKVVSYGCKSKSVVKVMCLNQQMLHPLKFSCSVLRGYTNIFARNFLAVNKISTTDTIWAPKIGSNFDVQLLNLFGGIFSRNYTSGTVGLENVSDYCSTADKVYQTIMDYSNPVHKMEIALDKLGVELTTPLVVEVLNKLRYEEKLAFRFFTWVGKQENYGHEPQVYNEMIDILSSTKYKVKQFRIVCDLLDYMKRNSKSSVPVEAMLTILRQYTEKHLTHLHKFARKKKIRVKTPPEIYAFNLLLDALCKCTLVEDAEAMFRRLKNKLNPNADTYNILFFGWCRVRNPTRGMGVLEDMIKMGHTPDNFTYNTAIDSFCKAGMVTEASELLEFMRTQGSTMSSPTAKTYSIMIVALVQNDRMEGCFKVLGDMISSGCLPDVSTYKDLIEGMYLVGKIEAAYKLLEEMGSKGYPPDVVTFNCFLKVLCHNNDRDEALRLYHKMIEVGCVPSVQTFNMLIAMFFKINDVDGAFETWREMDNQGCARDTETYCVMIEGLFVSDNTQDACFLLEEVISRGMKLPYQKFDSFLLQLSEVGNLKAIHKLSEHMRTFYNPAMARRFALNQKRKSMSLRGK >itb12g20090.t4 pep chromosome:ASM357664v1:12:22535449:22541211:1 gene:itb12g20090 transcript:itb12g20090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLKVVSYGCKSKSVVKVMCLNQQMLHPLKFSCSVLRGYTNIFARNFLAVNKISTTDTIWAPKIGSNFDVQLLNLFGGIFSRNYTSGTVGLENVSDYCSTADKVYQTIMDYSNPVHKMEIALDKLGVELTTPLVVEVLNKLRYEEKLAFRFFTWVGKQENYGHEPQVYNEMIDILSSTKYKVKQFRIVCDLLDYMKRNSKSSVPVEAMLTILRQYTEKHLTHLHKFARKKKIRVKTPPEIYAFNLLLDALCKCTLVEDAEAMFRRLKNKLNPNADTYNILFFGWCRVRNPTRGMGVLEDMIKMGHTPDNFTYNTAIDSFCKAGMVTEASELLEFMRTQGSTMSSPTAKTYSIMIVALVQNDRMEGCFKVLGDMISSGCLPDVSTYKDLIEGMYLVGKIEAAYKLLEEMGSKGYPPDVVTFNCFLKVLCHNNDRDEALRLYHKMIEVGCVPSVQTFNMLIAMFFKINDVDGAFETWREMDNQGCARDTETYCVMIEGLFVSDNTQDACFLLEEVISRGMKLPYQKFDSFLLQLSEVGNLKAIHKLSEHMRTFYNPAMARRFALNQKRKSMSLRGKC >itb12g20090.t2 pep chromosome:ASM357664v1:12:22535443:22541211:1 gene:itb12g20090 transcript:itb12g20090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLKVVSYGCKSKSVVKVMCLNQQMLHPLKFSCSVLRGYTNIFARNFLAVNKISTTDTIWAPKIGSNFDVQLLNLFGGIFSRNYTSGTVGLENVSDYCSTADKVYQTIMDYSNPVHKMEIALDKLGVELTTPLVVEVLNKLRYEEKLAFRFFTWVGKQENYGHEPQVYNEMIDILSSTKYKVKQFRIVCDLLDYMKRNSKSSVPVEAMLTILRQYTEKHLTHLHKFARKKKIRVKTPPEIYAFNLLLDALCKCTLVEDAEAMFRRLKNKLNPNADTYNILFFGWCRVRNPTRGMGVLEDMIKMGHTPDNFTYNTAIDSFCKAGMVTEASELLEFMRTQGSTMSSPTAKTYSIMIVALVQNDRMEGCFKVLGDMISSGCLPDVSTYKDLIEGMYLVGKIEAAYKLLEEMGSKGYPPDVVTFNCFLKVLCHNNDRDEALRLYHKMIEVGCVPSVQTFNMLIAMFFKINDVDGAFETWREMDNQGCARDTETYCVMIEGLFVSDNTQDACFLLEEVISRGMKLPYQKFDSFLLQLSEVGNLKAIHKLSEHMRTFYNPAMARRFALNQKRKSMSLRGK >itb07g11570.t1 pep chromosome:ASM357664v1:7:13069065:13071298:-1 gene:itb07g11570 transcript:itb07g11570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPDDLRVISIEGMAGLGKTTLTKMIFKDREVRYEFFTRLWVYVSKTVNRRQIFLDILSNFTNNTQEFKGMLEEKLADKIKEYLEGGKYFIVVDDVWTEDDWKSLQIAFPNNKKGSRVLLTTRLHNVASHGHQLKFLSSGGSWELLEKKVFRQERCPPSLEDTGKSIARKCNGLPLAVVVIAGVLNKDSTAKEWKRIAEDPFPIINKEHQSYNKLVRLSYDHLQPYDLQDCFLYLADFPTGHEIAAWKLIRLWISEGFITLIDGGNSSELEVTAEKYLKELVDRNLLMVLKRRTDGQIKTCRIHDTLHEFCKTEAANKNLFHEMNGARFELNETPRRLCVHSSIIEFLRSETKPFSEHVHSFLSSCSNEIEIPTEHLAVIPKSFPLLRVMDVESLKFKLLPRQLYELSHLRHCNVLESVPAVFGEVESLKVMELFCTNPRAANSAQKIQELKNKKQCGFQLSIYPPHHQLHYRLAKFLTKSLVLDDQRRYDSYMHVASEHSVAYVNFLHIMLPYHCPSFPSSILKTDCIVV >itb09g26060.t2 pep chromosome:ASM357664v1:9:26211216:26214452:1 gene:itb09g26060 transcript:itb09g26060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGINYGAYTYENLEREPYWPAEKLRISITGAGGFIASHIARRLKSEGHYVIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMMEASRINGVKRFFYASSACIYPEFKQLETNVSLKECDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPSMKLKDGLRITYFWIKEQIEKEKTQGLDISKYGSSKVVGTQAPVQLGSLRAADGKE >itb09g26060.t1 pep chromosome:ASM357664v1:9:26211216:26214452:1 gene:itb09g26060 transcript:itb09g26060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGINYGAYTYENLEREPYWPAEKLRISITGAGGFIASHIARRLKSEGHYVIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMMEASRINGVKRFFYASSACIYPEFKQLETNVSLKECDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPSMKLKDGLRITYFWIKEQIEKEKTQGLDISKYGSSKVVGTQAPVQLGSLRAADGKE >itb03g12070.t1 pep chromosome:ASM357664v1:3:10937915:10939864:1 gene:itb03g12070 transcript:itb03g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVATPILNRLTNRSSISNWNCSIREAVNHGQSQKALLVFRGMKQNGVEPNNFTFPFVAKACAKLSNFEYSQLIHAQILKYPCYSDMFVQTAMIDMYVKCGKVDLARVLFDRMPERDIASWNAIIIGYVQIGFVDRVSCLFERMVFDGIRPDSVTVMGLTRLVSSLKDIRLLSAIHSFGIRIGFESDVSVANTWVAAYAKCGDLGSSHMVFKGIGSKFVTVVSWNAMIAGCACVGNSCKAMQTYQQMLRCGFRPDLSTILNMLSSCTSPEALFQGMLIHAHSIQVGCDADVSVLNTTISMYSKCGDLYSARLTFDTMTDKTCVSWTALIGGYAEKGDLDEALALFTSMEAAGEKPDMVTLTYLISACGQVGALEIGREMESYATLNGLKSSNIMVCNALLDMYGKCGNMTDAQELFCSMEEKTIVSWTTMIAGYALNGKSREALDNFQLLLDSGIKPNHITFLAVLQACNHAGFLKEGWEFFDMMTKVYRINPSLDHYACMADLLGRCGRLQEALEFVQNMPVESDFGIWGSLLSACKIHCSLEIGEYAAQRLFEMEPQRAAPYVEMANIYASARRWDGVAATRTRMKSNQVSKSPGQSLIHVSGKRCTFTVEDRCHPAGHVIYETLNSLALQLNKEYDLSWLEGFL >itb12g20330.t1 pep chromosome:ASM357664v1:12:22820156:22823889:1 gene:itb12g20330 transcript:itb12g20330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGWFFVHGHLSWKLCIPPKYVNFLWRCARAILPVRTTLASRGVTILPVRTTLASRGVSLDPSCPTLASRGHTKILWENQFAIQAFGDIVCFEDFLSHLMVSANPDLMHKVVALCWSIWTCRNALPNNFRDLSIHLKAFVKQPLKIQIKKFEWFIAATMEVSRVCFLMAIFAIVLALAIPSINAQAPAPAPTSDGVAIDQGIAYALMLVALAITYMIH >itb08g14830.t1 pep chromosome:ASM357664v1:8:16632747:16640497:-1 gene:itb08g14830 transcript:itb08g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNEADILDEGNVDAEHMEEDVDGELADIETLNYDDLDSVSKLQRTQRYINIMQKVEEALEKGSENSETGMVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLPEDVLQKTIEACDRAFALDSAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSSLAKMPACNVQLLGAKKKNLAGFSTATSQFRIGFIEQTEIFQSTPPPLRMRACRLLAAKATLAARVDSTRGDPTGKTGRSLLEEVRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAYVNQLGSGTQSTYFSETGTFSKIKRT >itb10g07260.t1 pep chromosome:ASM357664v1:10:8545993:8547465:-1 gene:itb10g07260 transcript:itb10g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKGCIVALSVVYLSFHVNGNMYCATSFDKAMCEEVTKNATNWNQAMTMGLTEAMKHADSIIHKSKAESLGESECGETYVNIHARLKECMDLVNKGDADDEINFKLSASLTGLEDCENALEVMKKENTPFYETNRHFNHILDVCLAIDKSKH >itb13g17860.t1 pep chromosome:ASM357664v1:13:24766938:24770149:-1 gene:itb13g17860 transcript:itb13g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHVPKRRIITRRDENTASLVQTRELYAFGPLYEMVPGEANIGAEQDVLQRINGDAKAAWKYFKNWTRLEPDLMRKIRGVEHRTDDNPNVDQARDDHISNVTEQATEARAQGSETGMGQNSGGEGNVPEIRELLTPTDEEYNTGGDENVTELVQSNQNTTAQTEGDQNVIQEEKKIEYYYFSKIGQVIVEAKSAYDGIETQERHFKYVMITHGCLFLLQMFFFLGLKVDNLGNEDRLHKFLFGRDENGHKNRRIKFCKSMLIPGNQIPLVVLNELIQHSFFKKVALEKDWKKTPSKHSLKSFLYYLILDPVLKTNRSRSRTYTDVLHGLHCRCVWPARGKKIVEESRRHQNGNVDLEVGINSRNYLDPKDGEDQRIPSATTMYSKGIYFKAVSGMAIRKIQIKDGGVFIGKELLLPVFHFNEMMKEIYKSLKAYENDQGLREREVSDYLRFMCDIVRTDQDAALLELKGVIKCHSEVLIDEVAGYLKSMACAGADSGATTGAGDSSGASSGSGASASGDSLLLPFTSTHFRKPDEIRRCQPVAVFFLSAVGWRYAGERRREWHWMEIRQRSVGGAVADSIRRLTAIRASRSAMATAGGVFLTDRPSGARYKTSGGFVFCDDGSAGGPPASSATERGGDGRNGGERRR >itb09g15370.t1 pep chromosome:ASM357664v1:9:10638300:10640243:-1 gene:itb09g15370 transcript:itb09g15370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRNRMMGDDEEDGGIIIDEEIEFEQVDSNTPPHLRQVAMAAERGDLESLRHALDNLSSIDEPVEDGDTALHLTCLYGHLSCVQLLLEKGASLEAKDEEGAIPLHDACAGGFAQIVQLLISSTSDPECVKNMLETVDVEGDTPLHHAARGEHADVIRLLLAAGASPTKTNIYGKIPSELADTDTEAKRVLEEATMAMNS >itb12g24360.t5 pep chromosome:ASM357664v1:12:25917386:25921806:1 gene:itb12g24360 transcript:itb12g24360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERGIGDDSPMINGSEPEAERGTAEIEERLELSQEKVRGFEHPREINEKDDGHVVIGDVQKTLDHNATAGASGDTAASFGKPNREGSFKMGGKENLGCAVKMKRFGFDVNVADVSSSVNADPSYHCKSIGKVKSVDDFECASSVGPLEERAPLRAWSETKKNGSLAYSRGVPKPCVRKIKNERMKKRIELAKKEQADKFAKVAAPTGLLNGLNPGIINHVRNGRQVYSILESLVRSEKPNNCPAGEKHGNQMKSGERKDLENISCYSALPNDSDRNGKSYMLETRVFERTNYHLNSMTHGNDGVNASKLPSDTNIMSSDASDSVTALSIKAANVASQWLELLNQDTKGRLAGRLCSIDIQKYNIKRANCLLYISSIAKQ >itb12g24360.t6 pep chromosome:ASM357664v1:12:25917607:25920140:1 gene:itb12g24360 transcript:itb12g24360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKENLGCAVKMKRFGFDVNVADVSSSVNADPSYHCKSIGKVKSVDDFECASSVGPLEERAPLRAWSETKKNGSLAYSRGVPKPCVRKIKNERMKKRIELAKKEQADKFAKVAAPTGLLNGLNPGIINHVRNGRQVYSILESLVRSEKPNNCPAGEKHGNQMKSGERKDLENISCYSALPNDSDRNGKSYMLETRVFERTNYHLNSMTHGNDGVNASKLPSDTNIMSSDASDSVTALSIKAANVASQWLELLNQDTKGRLAGRLCSIDIQKYNIKRANCLLYISSIAKQ >itb12g24360.t2 pep chromosome:ASM357664v1:12:25917581:25921794:1 gene:itb12g24360 transcript:itb12g24360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKENLGCAVKMKRFGFDVNVADVSSSVNADPSYHCKSIGKVKSVDDFECASSVGPLEERAPLRAWSETKKNGSLAYSRGVPKPCVRKIKNERMKKRIELAKKEQADKFAKVAAPTGLLNGLNPGIINHVRNGRQVYSILESLVRSEKPNNCPAGEKHGNQMKSGERKDLENISCYSALPNDSDRNGKSYMLETRVFERTNYHLNSMTHGNDGVNASKLPSDTNIMSSDASDSVTALSIKAANVASQWLELLNQDTKGRLAALRSSRKRVREVIQTKFPGLISREFSCNPQDSGVGQFEQATASAHHARWIAMFDQMEKTLSEEESQLVSPLAYMPTDKGKLAQTSPGNAVAMRMGPFQIQHAIWFTSTFCTT >itb12g24360.t3 pep chromosome:ASM357664v1:12:25917581:25921794:1 gene:itb12g24360 transcript:itb12g24360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKENLGCAVKMKRFGFDVNVADVSSSVNADPSYHCKSIGKVKSVDDFECASSVGPLEERAPLRAWSETKKNGSLAYSRGVPKPCVRKIKNERMKKRIELAKKEQADKFAKVAAPTGLLNGLNPGIINHVRNGRQVYSILESLVRSEKPNNCPAGEKHGNQMKSGERKDLENISCYSALPNDSDRNGKSYMLETRVFERTNYHLNSMTHGNDGVNASKLPSDTNIMSSDASDSVTALSIKAANVASQWLELLNQDTKGRLAALRSSRKRVREVIQTKFPGLISREFSCNPQDSGVGQFEQATASAHHARWIAMFDQMEKTLSEEESQLVSWRKQVQEMQLQCEWGLSKYNTPYGSPQPSALHNDDRSETDPERDLAVRAAAASIYSTCNFLSSTENLPCC >itb12g24360.t1 pep chromosome:ASM357664v1:12:25917386:25921825:1 gene:itb12g24360 transcript:itb12g24360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERGIGDDSPMINGSEPEAERGTAEIEERLELSQEKVRGFEHPREINEKDDGHVVIGDVQKTLDHNATAGASGDTAASFGKPNREGSFKMGGKENLGCAVKMKRFGFDVNVADVSSSVNADPSYHCKSIGKVKSVDDFECASSVGPLEERAPLRAWSETKKNGSLAYSRGVPKPCVRKIKNERMKKRIELAKKEQADKFAKVAAPTGLLNGLNPGIINHVRNGRQVYSILESLVRSEKPNNCPAGEKHGNQMKSGERKDLENISCYSALPNDSDRNGKSYMLETRVFERTNYHLNSMTHGNDGVNASKLPSDTNIMSSDASDSVTALSIKAANVASQWLELLNQDTKGRLAALRSSRKRVREVIQTKFPGLISREFSCNPQDSGVGQFEQATASAHHARWIAMFDQMEKTLSEEESQLVSWRKQVQEMQLQCEWGLSKYNTPYGSPQPSALHNDDRSETDPERDLAVRAAAASIYSTCNFLSSTENLPCC >itb12g24360.t4 pep chromosome:ASM357664v1:12:25917386:25921806:1 gene:itb12g24360 transcript:itb12g24360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERGIGDDSPMINGSEPEAERGTAEIEERLELSQEKVRGFEHPREINEKDDGHVVIGDVQKTLDHNATAGASGDTAASFGKPNREGSFKMGGKENLGCAVKMKRFGFDVNVADVSSSVNADPSYHCKSIGKVKSVDDFECASSVGPLEERAPLRAWSETKKNGSLAYSRGVPKPCVRKIKNERMKKRIELAKKEQADKFAKVAAPTGLLNGLNPGIINHVRNGRQVYSILESLVRSEKPNNCPAGEKHGNQMKSGERKDLENISCYSALPNDSDRNGKSYMLETRVFERTNYHLNSMTHGNDGVNASKLPSDTNIMSSDASDSVTALSIKAANVASQWLELLNQDTKGRLAALRSSRKRVREVIQTKFPGLISREFSCNPQDSGVGQFEQATASAHHARWIAMFDQMEKTLSEEESQLVSPLAYMPTDKGKLAQTSPGNAVAMRMGPFQIQHAIWFTSTFCTT >itb02g15630.t2 pep chromosome:ASM357664v1:2:11379956:11384083:-1 gene:itb02g15630 transcript:itb02g15630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDDEDVQSQPSEGTGSPASPRSNGRITVTVAAVPPPQNTNTLTLALPIQQQSKTSGGGGGGGGAGGGGGREDCWSEGATAVLIEAWGERYLELSRGNLKQQHWKDVADIVASREDYSKPPKTDIQCKNRIDTVKKKYKLEKAKIAAGQGPSRWTFFERLDQLIGPTAKGNNTSGSGGGFAGPSASNQKVPMGIPMGARSAPQLRQHQPPLQMQQKNKQAFRRRPPIDSDSSESEPEPSPDSTDSSPPPTYEKKRPRIQQRELMMNSSVVRHTMPRGRDGGATAPAAAASGSERDRNWANSVRELTRAILKFGEAYEQAENAKLQQMVEMEKQRMKFAKELELQKMQVFMKTQLELSQLKNRRVGSSNHHNNNTTTTAANNNNNNNTNSFSSN >itb02g15630.t1 pep chromosome:ASM357664v1:2:11379431:11384083:-1 gene:itb02g15630 transcript:itb02g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDDEDVQSQPSEGTGSPASPRSNGRITVTVAAVPPPQNTNTLTLALPIQQQSKTSGGGGGGGGAGGGGGREDCWSEGATAVLIEAWGERYLELSRGNLKQQHWKDVADIVASREDYSKPPKTDIQCKNRIDTVKKKYKLEKAKIAAGQGPSRWTFFERLDQLIGPTAKGNNTSGSGGGFAGPSASNQKVPMGIPMGARSAPQLRQHQPPLQMQQKNKQAFRRRPPIDSDSSESEPEPSPDSTDSSPPPTYEKKRPRIQQRELMMNSSVVRHTMPRGRDGGATAPAAAASGSERDRNWANSVRELTRAILKFGEAYEQAENAKLQQMVEMEKQRMKFAKELELQKMQVFMKTQLELSQLKNRRVGSSNHHNNNTTTTAANNNNNNNTNSFSSN >itb04g10090.t1 pep chromosome:ASM357664v1:4:9337017:9340720:1 gene:itb04g10090 transcript:itb04g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEVLHMNGGIGDTSYANNSLLQQKVIQMTKPVTDEAIAGVYAALSPNIISIADLGCSSGPNTFLAVSELMRAVDGARKNLRRHHSPEFHIYLNDLPGNDFNAIFRSLPQYVEGFKQEMGEGFGPCFFNGVPGSFYSRLFPTNALHFVHSSYSLMWLSQVPKGAEENKGNIYLAAASPPCVIKAYYEQFQNDFLTFLKCRSQELIIGGRMVLTILGRKSEDPCSNEGGQIWELLAMALNELVEEGFIEEEKLNTFNIPQYTPSPAEVKLLVEKEGSFSINFLEATNIHWTASEFVDDVRRDHDGYNVTKCMRAVAEPLLVSHFGEGIIEKVFHKYQNKIVDSMTKENTQFFNVTVSVTKTCDS >itb04g25510.t1 pep chromosome:ASM357664v1:4:30087506:30087991:1 gene:itb04g25510 transcript:itb04g25510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRGGGGDGKKEGEAEVKYRGVRRRPWGKYAAEIRDPNRNGARVWLGTYGTAEEAARAYDRAAHQMRGKFAVLNFPEDYPSQVVTSDPISHVASGLSSTEASASASSSGGAAVENVGKGSVEEGGETTTTKEVIEFECLDDKLLEDLLGCHDTEEPKKK >itb05g03210.t1 pep chromosome:ASM357664v1:5:2661244:2663305:-1 gene:itb05g03210 transcript:itb05g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNWRAAQPLAQPQGVDPAAIAAAAAAAPVPGAMETGDWRTQLQPESRQRIVNKIMETLKRHLSLELKKIEEKIYTAATNQSDYLRKMLSMETKSQNSIANLLQANVANSSQNPQMQPQGNQSQARQQLLSQNITCLDSTGNANVGDWQEEAYQKIKAMKETYYMDLNELFQKIAGKLGQLDSVPQQPKNEQIEKLKMLKVMVERLLHMLRLNKNDIQPQHKDKMGYIEKQIIYLLNSNRRTRKPASSLQQMQPPQNHDLQMQSVNVQGTMAAIMHHNSVSSVSPQLKPSISSPQVHHTLSPQLAQHSSPQQNVLASLTKTGTPLQSANSTPLPGESEKVSMGIPSLLGAGNVGHQHTNAVSASAQSLPIDTPGISASPLLPEINSLDGTHANAVERLINAVRTVSAKALSSSISDMSSVISMMDRIGVGEDLVAMTKRRMQTQDGPTGTKRMKCCYTTSNVLSSSGSVNDSFALWNGSDLESIATSSIKRLKIKANHALIEEIREINRRLIDTVLEISNEGVDASGGTTVKCCFSAVSLSPNLKSQYASAQIQPLRLLVPANYPNCSPIIMDKFPVEVSKEYEDLSIKARSKFSASLPSLSQPMSLRDIARTWDFCARAVILEYDEQSGGGSFSSKYGTWEDCMTAA >itb12g27660.t2 pep chromosome:ASM357664v1:12:27925265:27930196:1 gene:itb12g27660 transcript:itb12g27660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNEQDVKLEICLNLDTRLELHRPEEKEKSPELTQSEGAVSFTDVKVNAGSAAGEAKINGVSEVENVVKVKPENMKDASLPEQKPRRKRGRPPKNISKAELSSEAVFASEKGNLEGKMEEQQNRPRKRGRPPKNRAESDGDSKTKGLADESLEPDKDKRQCKGQMEENREEICTHVEMGEMQKPRRKRGRPPKSKNQADCAEKSLESGKEGVENGAPVKNNKPGRRGRKPKANRTQNQSLGEDGGLGLESENPAENDKKRRVKRQVRNQQEINKDGDGEEEQGKEKVCNELERNTCHQCKRNDKGRVVRCTSCNAKRYCLLCITRWYPGVPEEAFAEKCPVCLQNCNCKACLRLDGSIRAMKKLKFEVSLEEKVQYSKYILLLLLPFLRQFNAEQMTEREVEAKIRGVIPSELHLQEANCQQNERMYCNNCRTSIFDFHRSCSKCSYDLCLTCCQELRNGHLQGSEKEVAVKYINNGLEYLHGDTNSGTAMKGSSKKRVDYKVIEDVELKSELKPIDTEEKLFLPKPAGDCCLQWRSGENGEIPCPPDNMGGCNEGSLVLKHLLGENYVSELLAKAEEIAKTCKLDEVSGDSQQTCSCLKSVNMNDLRNMLCKAASRKDSDDNYLYFPEAKDLQHEDLKHFQWHWRKGEPVIVSNVLETTSGLSWEPRVMQRAFRQKRSQNHSFLLDVIAVNCLDWCEVQINISKFFKGYMDCQFDRYGWPQILKLKDWPPSNLFDERLPRHAAEFENCLPFKAYTSPKNGYLNIAVKLPEDSLKPDMGPKTYIAYGIHQELGRGDSVTKLHCDMSDAVNILTHAQGTKLTPEHLLTIEELKKRHAAQDQKELEMGDQQEKCESGVYALNDEYPRHDLVTKAKSVDQGDEKSAASMKLEMQGSTDGVLTGTRNIACTPETGANGEGAGCRSMNLNCDMQLSNDSEVLEHSDGGALWDIFRREDVPKLEKYLRKHFKEFRHIHCYPVPHVRTFS >itb12g27660.t1 pep chromosome:ASM357664v1:12:27925181:27932044:1 gene:itb12g27660 transcript:itb12g27660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNEQDVKLEICLNLDTRLELHRPEEKEKSPELTQSEGAVSFTDVKVNAGSAAGEAKINGVSEVENVVKVKPENMKDASLPEQKPRRKRGRPPKNISKAELSSEAVFASEKGNLEGKMEEQQNRPRKRGRPPKNRAESDGDSKTKGLADESLEPDKDKRQCKGQMEENREEICTHVEMGEMQKPRRKRGRPPKSKNQADCAEKSLESGKEGVENGAPVKNNKPGRRGRKPKANRTQNQSLGEDGGLGLESENPAENDKKRRVKRQVRNQQEINKDGDGEEEQGKEKVCNELERNTCHQCKRNDKGRVVRCTSCNAKRYCLLCITRWYPGVPEEAFAEKCPVCLQNCNCKACLRLDGSIRAMKKLKFEVSLEEKVQYSKYILLLLLPFLRQFNAEQMTEREVEAKIRGVIPSELHLQEANCQQNERMYCNNCRTSIFDFHRSCSKCSYDLCLTCCQELRNGHLQGSEKEVAVKYINNGLEYLHGDTNSGTAMKGSSKKRVDYKVIEDVELKSELKPIDTEEKLFLPKPAGDCCLQWRSGENGEIPCPPDNMGGCNEGSLVLKHLLGENYVSELLAKAEEIAKTCKLDEVSGDSQQTCSCLKSVNMNDLRNMLCKAASRKDSDDNYLYFPEAKDLQHEDLKHFQWHWRKGEPVIVSNVLETTSGLSWEPRVMQRAFRQKRSQNHSFLLDVIAVNCLDWCEVQINISKFFKGYMDCQFDRYGWPQILKLKDWPPSNLFDERLPRHAAEFENCLPFKAYTSPKNGYLNIAVKLPEDSLKPDMGPKTYIAYGIHQELGRGDSVTKLHCDMSDAVNILTHAQGTKLTPEHLLTIEELKKRHAAQDQKELEMGDQQEKCESGVYALNDEYPRHDLVTKAKSVDQGDEKSAASMKLEMQGSTDGVLTGTRNIACTPETGANGEGAGCRSMNLNCDMQLSNDSEVLEHSDGGALWDIFRREDVPKLEKYLRKHFKEFRHIHCYPVPHVVHPIHDQAFYLTVEHKKRLKEEYGIEPWTFIQKLGDAVFIPAGCPHQVRNLKSCLKVAVDFVSPENIHECMRLTKEFRTLPQNHRAKEDKLEVKKMIICAVKDALNDIEKLTLEPTGTS >itb01g01070.t1 pep chromosome:ASM357664v1:1:521855:527440:-1 gene:itb01g01070 transcript:itb01g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLNNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEVDYYKYRPLYRAILAANWEDAQIFFNQNPTAIQSPLANQLQTALHVAAKAGNASFMEKLVALFLDHELGPRDVHGQTPLHNAAQQGNIEVADILVTRNSNLLYLHDNRATEVFSPSILRL >itb01g03760.t1 pep chromosome:ASM357664v1:1:2448185:2458262:-1 gene:itb01g03760 transcript:itb01g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SS3 [Source:Projected from Arabidopsis thaliana (AT1G11720) UniProtKB/TrEMBL;Acc:A0A178W4M9] MEVPLPFHKPLSSRTTLSGTATQFKIKPPFFGFFPHGTTSLSAHSSSQNKVQVVGGFLHHISASADFPRRKRKVSTPKSQGSSPKGFSSRTATGTSSQRRDQKNIKEKDNEQTSPSKESEVPSQKKIEVKIDDIENEIQGVEEVEESEGQSKDAGDSGRIPQAVPDNSQFASNGVGSKVVNNDIKSSEVKRVTGDDAPVVLDRVELGEMPLSDDKADYIKEKESLEFNNFQEIAIAETVLETDVLNEIDSEEAQVDIIRSDEVQDEDNEKNLSQKALSTVSDARDAAVGAVEGDRQLETTETIVVGEIENSDIDDGKDEDNEENLSQKALSTVSDAAVGTVEGDRQLETTETIVVGEIENSDIDDGKDEDNEENLSQKVLSTVSDARDAAVRTVEGDRQLETTETIVIGEIENSDIDDGKEEEDYSLKLKLEMEENLRRQAIERLAEENFLQGNKLFCYPEEVKPDEDVEIFLNRSLSTLLNEPDVLIMGGFNDWRWRSFTMNLSKTNLNGDWWSCRLHVPKEAYKIDFVFFNGKDVYDNNNMNDFSITVKDGMDALDFENFLLEEKQRELERIAKEQAQREREAEEKRRIEAEKAASEADRAQAREEVAKTRKILQAAIDKASKSVADNWYIEPKEFKSEDKVRLYYNNSSGPLSRAMEIWIHGGHNNWRHGLSIIAKLSKSKNKDGDWWYADVVVPDRALILDWVFADGPPGKAIVYDNNLRQDFHAVVPENIPEDLFWVEEEHQIYKRLQHERRLREEAMRAKAERTARIKAETKERTMKSFLLSQKHIVYTEPLDVQAGSTVTVYYNPANTVLNGKPEIWFRCSFNRWTHRLGPLPPQKMLPAENSSHVKATVKVPLDAYVMDFVFSEKENGGIFDNKNNMDYHIPVSGAIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAIQDLNHIVDIILPKYDCMNLTNVKDLQFHKSYSWGGTEVKVWFGKVEGLSVYFLEPQNGFFWKGCIYGSNNDTERFGFFCHAALEFLQQGGFHPDIIHCHDWSSAPVAWLFKEQYRHYGLSKARAVFTIHNLEFGAHFIAKAMQYSDKATTVSPNYSKEVSGNPVIAPHLYKFHGILNGIDPDIWDPYNDKFIPISYTSENVVEGKRAAKEALQQRLGLKKDDRPLVGIITRLTHQKGIHLIKHALSRTLERDGQVVLLGSAPDPRIQNEFVDLANHMHSAFHGRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLVAMRYGSIPVVRKTGGLYDTVFDVDHDKERARASGIEPNGFSFDGADAAGVDYALNRAITAWYDTRDWFNSLCKKVMEQDWSWNRPALDYLELYHAARK >itb09g23290.t1 pep chromosome:ASM357664v1:9:22575568:22582956:-1 gene:itb09g23290 transcript:itb09g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 31 [Source:Projected from Arabidopsis thaliana (AT4G00210) UniProtKB/Swiss-Prot;Acc:O81322] MSSVGGPCGACKFLRRKCVKGCIFAPYFESDQLGTAHFAAVHKVFGASNASKMLMAIPANRRLEAVVTLCYEALARVRDPVYGCVGHIFTLQQQVVNLQAELAYVQARLSTFQHLPLMAPPPGILPLGDTNVDLQSSCEAGHSSDVSLYINPPPPLLSPELDITGLCSELDEDVATSDLHMLAWEFVSRHLPGVRFRPSQ >itb06g02960.t1 pep chromosome:ASM357664v1:6:5073615:5074701:-1 gene:itb06g02960 transcript:itb06g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNISNATNQDLLQKDQRLGLGCLSTGLPVPSGESGCGVSAKAEASRPVDRSASRPEGAPRQAGFTEQRTPPPLCSARITGRSIFSPPAPDGLSKLKHNLFLLGHIETLY >itb03g06440.t1 pep chromosome:ASM357664v1:3:4699595:4703942:1 gene:itb03g06440 transcript:itb03g06440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDPECGEELFNPVLAMGMQYSCILVLSHFLQILLKPLGQAAPIVQILAGFMLGPSGLSLIPEVKSYFLRNFVADYYEFMAVLFRIIIMFLIGLEMDFPYFLRKLRQVGIIACGSSLMCTFFAAAITSFVYQETTSHGSAFMMGLMITIILASTASPVVIRLAADLKFATSDIGRMAISSALINDAYGVVLLFAISKTRQHYSYATWVFLGFLYLFTVGLVVVVNKYVANWMNRRNRNQKYLKNAEMFGLLAILYIVAMSLELMGFSSLIFSFLIGSMFPRGGKAARTLLIKLTYPVHNFILPIYFGYLGFKANVAYIDSIRNFCVVFFIMLLSFGGKIVGTLAACYHLKIPYYEGVLMAFLMNLKGHVDLLALTIGSTNKIILSDTFYGLMVSAVVINSLVWGPIIAFMVRREHDIIGYRQIYFETQAPECELRLLACVHGPRPVATMVGLIAASRGPESVPLTPYLMHLVELPGKSNTTLMYNQKEEDEVSDDDDYGGNEVVEINDAVDIFTAETGVMVHQMKAVSPLSSMYKDVCDHAEDIRASVILLPFHKHQRIDGKLETSKDGIRTTNQKVLRFAPCAVAILVDRGLTAGSLNITNTDSLQHIATLFFGGPDDREALGFSKRLGMHNHINLTVIRFLSASAKEQNVGVDIAHKEENVLMAISDRETETEADNEVLSEFYHRYVTSGQVGYVEKHVENGSETASALRDMADMYSLIVVGKEGRGYSPLITGISDWEECPELGKVGDFLASAEFDFCGSVLVMQQHRPTKHEDDD >itb12g22480.t1 pep chromosome:ASM357664v1:12:24574267:24578980:-1 gene:itb12g22480 transcript:itb12g22480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVSIDFDDHGASEEQLLLSDTNTADRSWRLNFDGFQLSSEQKEKPCRGIHSCLGVLKEEDNVAEYYQQQVEMLEGFNEMDALADHGSLPGMSKEEREKLAKSETAAIRISNVANMILFAAKIYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMKTRNPYKYPIGKNRMQPLGILVFASVMATLGLQIILESVRTLLSNDLDFNLTKEQELWVVGIMVYVTLVKLVLVIYCRSFTNEIVKAYAQDHFFDVITNVIGLIAALLANYVSDWMDPVGAIILAIYTIRTWSLTVLENVNSLVGKSASPEYLQKVTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPAKMPLQEAHDIGESLQEKLELLPDIERAFVHLDYEFSHKPEHTQAHL >itb12g22480.t3 pep chromosome:ASM357664v1:12:24574388:24577111:-1 gene:itb12g22480 transcript:itb12g22480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIEEEDNVAEYYQQQVEMLEGFNEMDALADHGSLPGMSKEEREKLAKSETAAIRISNVANMILFAAKIYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMKTRNPYKYPIGKNRMQPLGILVFASVMATLGLQIILESVRTLLSNDLDFNLTKEQELWVVGIMVYVTLVKLVLVIYCRSFTNEIVKAYAQDHFFDVITNVIGLIAALLANYVSDWMDPVGAIIVSNGALSTFYHIP >itb12g22480.t2 pep chromosome:ASM357664v1:12:24574267:24577111:-1 gene:itb12g22480 transcript:itb12g22480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPIEEEDNVAEYYQQQVEMLEGFNEMDALADHGSLPGMSKEEREKLAKSETAAIRISNVANMILFAAKIYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMKTRNPYKYPIGKNRMQPLGILVFASVMATLGLQIILESVRTLLSNDLDFNLTKEQELWVVGIMVYVTLVKLVLVIYCRSFTNEIVKAYAQDHFFDVITNVIGLIAALLANYVSDWMDPVGAIILAIYTIRTWSLTVLENVNSLVGKSASPEYLQKVTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPAKMPLQEAHDIGESLQEKLELLPDIERAFVHLDYEFSHKPEHTQAHL >itb11g12120.t2 pep chromosome:ASM357664v1:11:8995457:9001668:1 gene:itb11g12120 transcript:itb11g12120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT3G18040) UniProtKB/TrEMBL;Acc:A0A1I9LRW0] MGGGNAFVDGVLRWFHRRPSAPPSANADSVLTHSHKPTNYSSNYSKNRGEVEEEQLRIVEDFDISGLSSIKVPKRVNFPLSFMDPHRKSNLETEFFTEYGEASRYQVQEIIGKGSYGVVGSAVDTQTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIFVIFELMESDLHQVIKANDDLTAEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPETIARIRNEKARRYLSNMRKKQPIPYAQKFPHADPLALRLLERLLAFDPKDRPSADEALADPYFQGLANVEREPSTQPISKLEFEFERRKVTKDDVRELIYREILEYHPQMLQEYLQGGEQTSGFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPALKGAHESDFEKRTSIGSTLQSPPDNTENGSNFSARSLLKSNSISASKCIEVKRRDSEVCFFIHLPSLPFLSCQCIS >itb11g12120.t1 pep chromosome:ASM357664v1:11:8995457:9001668:1 gene:itb11g12120 transcript:itb11g12120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT3G18040) UniProtKB/TrEMBL;Acc:A0A1I9LRW0] MGGGNAFVDGVLRWFHRRPSAPPSANADSVLTHSHKPTNYSSNYSKNRGEVEEEQLRIVEDFDISGLSSIKVPKRVNFPLSFMDPHRKSNLETEFFTEYGEASRYQVQEIIGKGSYGVVGSAVDTQTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIFVIFELMESDLHQVIKANDDLTAEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPETIARIRNEKARRYLSNMRKKQPIPYAQKFPHADPLALRLLERLLAFDPKDRPSADEALADPYFQGLANVEREPSTQPISKLEFEFERRKVTKDDVRELIYREILEYHPQMLQEYLQGGEQTSGFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPALKGAHESDFEKRTSIGSTLQSPPDNTENGSNFSARSLLKSNSISASKCIEVKRRDSEDEPIEELNEDVDELSHKMSNLHA >itb02g25470.t1 pep chromosome:ASM357664v1:2:26212857:26216003:-1 gene:itb02g25470 transcript:itb02g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDCFSSSLWFLIIAYVCVFFALKALLHLWWIPKRFQNHFCKQGINGPSYNFLFGNLKEIASLSSSSQPMSLSHDILPRVLSFYSHWKKIYGSTFVVWFGPTARVTISDPALLREIFVLKSEYFEKNDSPPLVKKLEGDGLLSLKGEKWAHHRKIITPTFYLENLKLMIPTMGKGVTEMLDKWSDMSKGGKVEIEVCQWFQSLAESVITHMVFGSSYERGRAIFELQTQQMVYATEAFQKVFIPGYRFLPTKKNRIFWRLDKEVRKSLMKLIEERRVEGVSGECPKDLLEVMIKASSKEAKEENYCYYNKSKKVGGSNTNTPMLLLLSADDIVEECKTIFFAGKHTTSNLLAWTTVLLAMHPRWQQLAREEVLRVCGARDTPSKHHLPNLKTLEMILKESLRLYPPAVATIRRAKEEVRLGELSVPAGTELLIPIVAIHHDPALWGSDAHDFNPARFARGMDQAAKHPMAFLPFGQGARRCIGQNLAILQAKLAIAIILQRFSFHLSPSYQHAPTVSMLLHPQYGAPIIFQNL >itb13g21980.t1 pep chromosome:ASM357664v1:13:28421843:28422253:-1 gene:itb13g21980 transcript:itb13g21980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANYYTKKELTKIGLDGFALIDEFYGRGRKGRKPNYGGHDSYVVTSRRLPPPSPPQPTTAAAIPFVASNHYSTASSSSYSRRHQYQGYNYYHYSPTESHVSWAPVVAMTTETTTVVRGGYEAGDADILMDSYKY >itb02g09470.t1 pep chromosome:ASM357664v1:2:6046871:6047884:-1 gene:itb02g09470 transcript:itb02g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVIISYEVVGRSLLTGEMPMVKGQHRIIKWLSATSNGHQKRLEWPRSGAVTESTVLRERMRFSTEVAPAKFLSLAKRPQLKMLDTINEEEAYVSTISSSLDRSFDQEKYREGCPVA >itb10g16380.t1 pep chromosome:ASM357664v1:10:22616666:22623131:1 gene:itb10g16380 transcript:itb10g16380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIAPSSGLIFKSKESSKNGASLLQYSGLKPVETMKIQPDFVAYKAQGAKCRRIKCVISRGVCAPKRETDPKKRVVITGMGLVSVFGSDVDTFYDNLLEGRSGISLIDRFDASDFSVRFAGQIHGFTSQGYIDAKNDRRLDHSWRYCLVAGKKALDDANLGKQVLNNVDKTRIGAVIGSGFGGLGVFGNGVQTLIQSGYKKITPFFIPYSITNMGSALLAIEAGLMGPTYSISTACATANYCFYSAANHIRQGEADIMIAGGTEAGITITGLGGFIACRALSQRNHEPQRASRPWDKDRDGFVIGEGCGVLVMERLDHAMKRGANIIAEYLGGATTCDAHHMTEPRTDGLGVSSCIAKSLEDAGVSPEEVNYINAHATSTVAGDIVEVNAIKKVFKDTSEIKMNGTKSLIGHGLGASGGLEAIATIKAITTGWLHPTINQYNLEPEVTIDTVPNVKKQHQVNVAISNSFGFGGHNSVIVFGPFKP >itb13g06850.t2 pep chromosome:ASM357664v1:13:8287828:8293833:1 gene:itb13g06850 transcript:itb13g06850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHEAQALKPRCVRALKRIFNLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVDDLGLTLAGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLFSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVFQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREIPEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPIAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVSAAEHPHLSVPETEVGRNQKRYHQLINRSLMVASVAAAIGIVGMAAYRSYIARKNTSG >itb13g06850.t1 pep chromosome:ASM357664v1:13:8287783:8293836:1 gene:itb13g06850 transcript:itb13g06850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTSTSGGRANVRVVVVGDRATGKSSLIAAVASESFTEDLPPVLPPTRLPADLYPENVPVTIIDTASSLESRGKLAEELKRADSVVLTYACDQPATLNRLGIFWLHEFRRLEIKAPVIVVGCKLDKQDEEHHMNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHEAQALKPRCVRALKRIFNLCDHDEDGALNDEELNDFQVKCFNAALQPAEIVGVKRVVQEKLPAGVDDLGLTLAGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLRDDYLSIPFKKALDQSMELTSEAVEFLKGIFSTFDDDKDGVLRNSELDDLFSTAPESPWEEAPYKEAVERTPLGGLSLSAFLSEWALMTLLEPSQSLANLTYIGYNCDAASALRVTRRRSVDRKKQQSDRNVFQCFVFGPKYAGKSSLLKSFLGRPFTDDYVPTSEERYVVNAVDCPGGIKKTLVLREIPEDGIKKLLSTKESLASCDIAVFVYDSSDEYSLKRTSELLMDVARQGEVTGLSVPCLFIAAKDDLDSYPIAIKDSTKICQYFGIDSPIHISVKERDLNSVFSRIVSAAEHPHLSVPETEVGRNQKRYHQLINRSLMVASVAAAIGIVGMAAYRSYIARKNTSG >itb05g21630.t1 pep chromosome:ASM357664v1:5:27302306:27314249:-1 gene:itb05g21630 transcript:itb05g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MSVDGTNHFYVVHVYRIRMEIHHHRSHHPFSPPPQPATRSAQRNHHHHHRPPFPPPQQPPPSAISASIAQVQNVDDIVKDLLMQIQNGEAVDPKDIDGLKRRNLIAQQSWKGYSVRKGPKYAPKRIKEATNLTRENLHSIVEDKVIFPAVDTELSFALEHAEEENEFGKLRCLIENIQNAGANSPSTEFYSKLCSHADHIMEIIEKHFHNEEVQVLPLARKHFSPKRQRELLFQSLCVMPLRLIECVLPWLVGSLNEEDAMPFLHNMHMAAPASDTALVTLFTGWACKGRTGDTCLSSNATGYALVHDQTMAKRQVVFMHAIAMKQQNTGECDFVSVNGGDFYDVEETTASFVHKANQNLGGLMLVVPGKQTRISTELILPYSQNHTKTMAMTMRLSCRHLFHALRKEGEFLRIPFAGGVISTGRNGRFPSRLKWAVPCEISVKSVQTKAHIKFPQNSSGSKENAFLRDKKTVPYSDPPSRDDVNRLYELFDRSKKLVVLTGAGISTESGIPDYRSPNGAYSTGFKPITHQEFLRSVRARRRYWARSYAGWRRFTAAQPSAAHMALSTLENAGHISYMITQNVDRLHHRAGSDPLELHGTVYTVICTDCGYTLARDLFQDQVKALNPKWAEAIESLDYDSRSDKSFGMKQRPDGDIEIDEKFWEEDFHIPVCPKCNGLLKPNVVFFGDNVPKGRADSAMLAAKECDAFVALGSSMMTMSAFRLIRTANEVGAATAIVNIGATRADDFVPLKINARLGEVRLLHDCLYTSHWHHSTLFLSPSILQILPRLLDIGSLAIPAI >itb05g21630.t3 pep chromosome:ASM357664v1:5:27306219:27314249:-1 gene:itb05g21630 transcript:itb05g21630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MSVDGTNHFYVVHVYRIRMEIHHHRSHHPFSPPPQPATRSAQRNHHHHHRPPFPPPQQPPPSAISASIAQVQNVDDIVKDLLMQIQNGEAVDPKDIDGLKRRNLIAQQSWKGYSVRKGPKYAPKRIKEATNLTRENLHSIVEDKVIFPAVDTELSFALEHAEEENEFGKLRCLIENIQNAGANSPSTEFYSKLCSHADHIMEIIEKHFHNEEVQVLPLARKHFSPKRQRELLFQSLCVMPLRLIECVLPWLVGSLNEEDAMPFLHNMHMAAPASDTALVTLFTGWACKGRTGDTCLSSNATGYALVHDQTMAKRQVVFMHAIAMKQQNTGECDFVSVNGGDFYDVEETTASFVHKANQNLGGLMLVVPGKQTRISTELILPYSQNHTKTMAMTMRLSCRHLFHALRKEGEFLRIPFAGGVISTGRNGRFPSRLKWAVPCEISVKSVQTKAHIKFPQNSSGSKENAFLRDKKTVPYSDPPSRDDVNRLYELFDRRSVHKISLFRKMDDNATLCDKGNPQPGVH >itb05g21630.t2 pep chromosome:ASM357664v1:5:27302300:27314249:-1 gene:itb05g21630 transcript:itb05g21630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MSVDGTNHFYVVHVYRIRMEIHHHRSHHPFSPPPQPATRSAQRNHHHHHRPPFPPPQQPPPSAISASIAQVQNVDDIVKDLLMQIQNGEAVDPKDIDGLKRRNLIAQQSWKGYSVRKGPKYAPKRIKEATNLTRENLHSIVEDKVIFPAVDTELSFALEHAEEENEFGKLRCLIENIQNAGANSPSTEFYSKLCSHADHIMEIIEKHFHNEEVQVLPLARKHFSPKRQRELLFQSLCVMPLRLIECVLPWLVGSLNEEDAMPFLHNMHMAAPASDTALVTLFTGWACKGRTGDTCLSSNATGYALVHDQTMAKRQVVFMHAIAMKQQNTGECDFVSVNGGDFYDVEETTASFVHKANQNLGGLMLVVPGKQTRISTELILPYSQNHTKTMAMTMRLSCRHLFHALRKEGEFLRIPFAGGVISTGRNGRFPSRLKWAVPCEISVKSVQTKAHIKFPQNSSGSKENAFLRDKKTVPYSDPPSRDDVNRLYELFDRSKKLVVLTGAGISTESGIPDYRSPNGAYSTGFKPITHQEFLRSVRARRRYWARSYAGWRRFTAAQPSAAHMALSTLENAGHISYMITQNVDRLHHRAGSDPLELHGTVYTVICTDCGYTLARDLFQDQVKALNPKWAEAIESLDYDSRSDKSFGMKQRPDGDIEIDEKFWEEDFHIPVCPKCNGLLKPNVVFFGDNVPKGRADSAMLAAKECDAFVALGSSMMTMSAFRLIR >itb03g21900.t1 pep chromosome:ASM357664v1:3:19835025:19835366:1 gene:itb03g21900 transcript:itb03g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGTNELKRNQIKFPALFLCKESVFLQLFSSKFPRGLGRLTLKVQVCIGLQPRISHHHPILPRIREKSFSLSPYVVVPKANDSTGLGFDKHSSVISVLSSAGVQYRKILCS >itb03g09660.t1 pep chromosome:ASM357664v1:3:7464343:7467356:-1 gene:itb03g09660 transcript:itb03g09660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHEEENRSEQPLLNPDEEESAYERTEKVHIIGLDEPETEEDTTKTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLFWATAMGLLVQLLSARLGVATGRHLAELCRDEYPKWARMLLWVMAELALIGADIQEVIGSAIAIKILSNGFLPLWAGVVITALDCFIFLFLENYGVRKLEALFAVLIAVMAFSFAWMFGETKPSGVELLLGILVPKLSSRTIKQAVGVVGCIIMPHNVFLHSALVQSRDVDKRKIGRVREALNYYSIESAVALAVSFMINLFVTTVFAKSFYGTDKADSIGLVNAGQYLQEKYGGGLLPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLHMPLKKWQRALITRSCAIIPTLIVALIFDTSEDSLDVLNEWLNILQSVQIPFALIPLLCLVSKEELMGVFKINPILKVISWLVATLVIIINGYLLLDFFSSEVSGAVFTSAVFAFTAAYVAFIIYLVLRNIPFFQNKRITDAVS >itb11g08000.t3 pep chromosome:ASM357664v1:11:5131205:5136578:1 gene:itb11g08000 transcript:itb11g08000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFANAVEDGLRLSKRIYFGKDRAVAPPKPITPMEKAASSYLPSAPMLYAVIDNPAIVDNPDIPSYQPHVHGRCDPPALIPLQMNRVSLQADCYLDTAFVTLTGSWRVHCVMGSRSCDCCIAIPMGEQGSILGVEVEVPRELYSTEIIAFEDQKETGKAVKVDDGNYLKPSIFTLKIPQIDGGTNLSVTIRWSQKLLYHDGQFTLNIPFNFPEYVTPAGKKISKKEKISVNVNSCPGAEVLCKTASHPMKELRRPSGKLAFLYEADVLNWSSNDFVFAYAISTGQTYGGVLLQSPGALDIDQREMFCCYLFPQNEQSRKVFRKEVVFVVDISGSMRGKPLEDTKAALFSVLLKLDPQDSFNIIAFSGETFLFSSSLVLATKESIQNAMEWISTNFIAGGDTNILNPLNQAIEMLSDMSKTIPIIFLITDGAVEDERHICDVMKSYLTKDRTICPRVYTLGVGRFCNHYFLRMLAMLSRGFYEDAYDVETLEARVEGLFAKASSIFLANIAINFGSLDLEDFEVYPSQLPDLSSESPLILSGRYRGAFPDNLTVTGSLVDMGNLSLDLKVQEAEGIPLDKVLAHQQIMMLTAQAWFTEDKELEEKIAKMSVQNSIVSEYTHMALVGTEKTKGTPEPIKKKKVYLSMSINKGQVQSMFKAVC >itb11g08000.t2 pep chromosome:ASM357664v1:11:5131205:5137900:1 gene:itb11g08000 transcript:itb11g08000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFANAVEDGLRLSKRIYFGKDRAVAPPKPITPMEKAASSYLPSAPMLYAVIDNPAIVDNPDIPSYQPHVHGRCDPPALIPLQMNRVSLQADCYLDTAFVTLTGSWRVHCVMGSRSCDCCIAIPMGEQGSILGVEVEVPRELYSTEIIAFEDQKETGKAVKVDDGNYLKPSIFTLKIPQIDGGTNLSVTIRWSQKLLYHDGQFTLNIPFNFPEYVTPAGKKISKKEKISVNVNSCPGAEVLCKTASHPMKELRRPSGKLAFLYEADVLNWSSNDFVFAYAISTGQTYGGVLLQSPGALDIDQREMFCCYLFPQNEQSRKVFRKEVVFVVDISGSMRGKPLEDTKAALFSVLLKLDPQDSFNIIAFSGETFLFSSSLVLATKESIQNAMEWISTNFIAGGDTNILNPLNQAIEMLSDMSKTIPIIFLITDGAVEDERHICDVMKSYLTKDRTICPRVYTLGVGRFCNHYFLRMLAMLSRGFYEDAYDVETLEARVEGLFAKASSIFLANIAINFGSLDLEDFEVYPSQLPDLSSESPLILSGRYRGAFPDNLTVTGSLVDMGNLSLDLKVQEAEGIPLDKVLAHQQIMMLTAQAWFTEDKELEEKIAKMSVQNSIVSEYTHMALVGTEKTKGTPEPIKKKKGTDKQKTEDPKSEKRIILQNLGFGFGNLTATAANTPAGIIGATPVAADVFAKAASNCCGKMCQKCCCMCCIQACSKVNDQCAIVLTQLLSSLACLGCCTCCEICCSAEDG >itb11g08000.t1 pep chromosome:ASM357664v1:11:5131205:5137900:1 gene:itb11g08000 transcript:itb11g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRRPSGKLAFLYEADVLNWSSNDFVFAYAISTGQTYGGVLLQSPGALDIDQREMFCCYLFPQNEQSRKVFRKEVVFVVDISGSMRGKPLEDTKAALFSVLLKLDPQDSFNIIAFSGETFLFSSSLVLATKESIQNAMEWISTNFIAGGDTNILNPLNQAIEMLSDMSKTIPIIFLITDGAVEDERHICDVMKSYLTKDRTICPRVYTLGVGRFCNHYFLRMLAMLSRGFYEDAYDVETLEARVEGLFAKASSIFLANIAINFGSLDLEDFEVYPSQLPDLSSESPLILSGRYRGAFPDNLTVTGSLVDMGNLSLDLKVQEAEGIPLDKVLAHQQIMMLTAQAWFTEDKELEEKIAKMSVQNSIVSEYTHMALVGTEKTKGTPEPIKKKKGTDKQKTEDPKSEKRIILQNLGFGFGNLTATAANTPAGIIGATPVAADVFAKAASNCCGKMCQKCCCMCCIQACSKVNDQCAIVLTQLLSSLACLGCCTCCEICCSAEDG >itb07g15030.t1 pep chromosome:ASM357664v1:7:17768126:17769941:1 gene:itb07g15030 transcript:itb07g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRALSHYQKSPESLAVPPEGPKSGFLVLQDEESERYTCFGLCKRSDLQDLPFPQNKRLAVTNVQSSGESTYVQSDPVFLIPVLGHPLSSNLYYAIKPDGKHKGEAFTCSTEEDKATCCFWRCVKDVKPKPLDPHNIYQQFEISLHVSCCNNKGSFDAKSMEPDGFPPYFLRRKGWGIEAKASKNYRLEEALGLNVSLRKRLPELNIPPSGSEAVVVGKWYCPFVFIKDGTVKDQMKRSAFYEMTLEQRWERIFTCQNDRNEGISSVLVDTVLDREEVLISGSKAKWDERDIKNGVMWFKRFNAETEETSVGLRQEIVEQMKWEQERGGWSGGERELKVKRTEENGQFGVWREFGCYILVERFVLKRMDGSLVMTYSFRHSDKIKSIWM >itb07g15030.t2 pep chromosome:ASM357664v1:7:17768126:17769649:1 gene:itb07g15030 transcript:itb07g15030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRALSHYQKSPESLAVPPEGPKSGFLVLQDEESERYTCFGLCKRSDLQDLPFPQNKRLAVTNVQSSGESTYVQSDPVFLIPVLGHPLSSNLYYAIKPDGKHKGEAFTCSTEEDKATCCFWRCVKDVKPKPLDPHNIYQQFEISLHVSCCNNKGSFDAKSMEPDGFPPYFLRRKGWGIEAKASKNYRLEEALGLNVSLRKRLPELNIPPSGSEAVVVGKWYCPFVFIKDGTGRSTYFWQQSEMGRTRY >itb09g30340.t1 pep chromosome:ASM357664v1:9:30993810:30996333:1 gene:itb09g30340 transcript:itb09g30340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSSSSSLGPGGLDLSQAFFKSVQNAAPPSPTKRHTKISVIGVGNVGMAIAQTILTQDLADELALVDAKADKLRGEMLDLQHAAAFLPRTKIHASVDYSITAGSDLIIVTAGARQNPGETRLNLIQRNCSLFKSIIPPLAKYSQYAILLIVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISIGGVPVLSFLERQQIAFEKETLENIHRDVVQSAYEVISLKGYTSWAIGYSVANLARSILRDQRRIHPVSVLAKGFYGIEGGEVFLSLPSQLGRWGVLGVTNVHLTEEEEQKLRESAKTILEVQSQLEI >itb12g02020.t1 pep chromosome:ASM357664v1:12:1346058:1349709:-1 gene:itb12g02020 transcript:itb12g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNGVTRRESELENGAAMEDVGAKRSDVEREREEDESVEKIFESEEVPSWRDQLTLRAFIVSFVLSILFAFIVMKLNLTTGIIPSLNVSAGLLGFFFLKTWTKLLHKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGGYLFGMSSVVANQSTEANNSQNIKEPGLAWMIGYLFVVSFLGLFSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPKGAKLAKKQVRALGKFFTFSFLWGFFQWFFTSGGDCGFVNFPTFGLKAYEHKFYFDFSATYVGVGMICPYLINISLLLGAILSWGIMWPLIQDRKGHWYPANLGESSLHSLQGYRVFIAIAMILGDGLYNFCKVFGYTLYGLYNQFRNKSVLPVAAQSRAEPSLSIDDKRRTELFFKDQIPTWFAVTGYVAIAIISTISLPHIFPQLKWYYIVVIYIFAPILAFCNSYGAGLTDWSLPSTYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYMTLASPRSMFVSQIIGTAMGCVISPCVFWLFYKAFHDLGKPGSEYPSPNSLILRNIAILGVDGFSALPKNCLTLCYVFFIGAVAICGLRDAVGKKRARFIPIPMAMAIPFYLGSYFAIDMCLGSLILFVWRKMNKRKADAFAPAVASGLICGDGIWTLPQSILALAGVNPPICMKFLSRKDNVRVDKFLGS >itb14g20810.t1 pep chromosome:ASM357664v1:14:23086453:23086833:1 gene:itb14g20810 transcript:itb14g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQRFAPLIITLFEIAKQGRINLVSSSESSRRDTSETNKLQPCRESSDKNRVWSETERDYKDEEKKKKRAERGSERERGRRRRRRYKGSRDRENSVERERERERRKRDDGEGRGDDRNDKRRRSK >itb02g20780.t1 pep chromosome:ASM357664v1:2:19011461:19013378:1 gene:itb02g20780 transcript:itb02g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLIGSSPSLFCTRVEWALRLKGVKYEYIKEDLRNKSDLLLKSNPVYKKVPVLLINHNSIAESLIILEYIDDSWKHYPLLPQDPFERAQARFWAKFADDKCLMGTWTACMAEGEEKMRAIESVQESFAFIEKQIEGKKFFGGEEIGFLDLGIGWICLWLNVMEEVGGMKLLDPEKFPCLHNWAQNFIKIPVIHQFLPPRDDLLNYFKASLNYIRSLAAGKP >itb14g19050.t1 pep chromosome:ASM357664v1:14:21831204:21833841:-1 gene:itb14g19050 transcript:itb14g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVREHSIESFYTRLRSSALASASSTPLLVFPSTSDVDSLCALKIIGHVLELDSVRYACYPVSSFKEIHKYTGTDLGSTATEPITILLINWGCQRDLRKILDVGPSTRVFVVDSHRPIHLHNLSDQNDRVVVLYTRDDEQQADLAYDFDVSALASAGDLNSDDEFEDDSDSEDENESESDEEDGGGTRKKRRVSADSESDPVKLFRKLKKEYYYMGNFHGKPSGCLMYELAHFLRKNTNELLWLACVALTDQFVHERLTDERYQAGVMELEQHINSSGNLDSITSVTLKDGTKVTAPDSSRIVYGDEPRLMLLQEWNLFDSMLCSSYIATKLKTWSDNGILKMQLLLGRMGFARDECKQKFEYMSVEIKKKMKDMFEQHLPEFGLTDFYYRGFLLLHGYSSKLSAADVVYGVTAMLESSIESDGSCASRQFGEAYDALSFNKLEKLETGMRQAIRVQRAILRQGSTAITKKGSIRSGSKFRWVKLEDSSDTKLLCYPQALTKFGYFLMDALREKGARMKPLICVCYNQERKKVLIVAICGKPRLGAVQGNAFGLAFRSAAEETGAEFFHELFESSWIVLDAFAVNSFMIRLTEKLW >itb10g04960.t1 pep chromosome:ASM357664v1:10:4956176:4959554:1 gene:itb10g04960 transcript:itb10g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGGPYMYSTNNYVGRQTWEYDANAGTPEEREALENARREFRKNREKGSHACGDLIMRMQMIKESGIDVLSIPPIRLGDEEEVNFEAITTVVRKAVRLNCALQARDGHWPALNAGPMFFTPPLLIVLYICGTINTVLTSEHRKEIVRYIYNHQNEDGGWGLYVDGHSTMIGSALNYVALRLLGEVAEDDDGAITRGRKWILDHGGATKIPSWGKIYLSVLGVYEWDGCM >itb15g01370.t1 pep chromosome:ASM357664v1:15:821831:822758:1 gene:itb15g01370 transcript:itb15g01370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHGYQSPEGHRLCANNCGFFGSPATQNYCSKCYREICPKAPADSVFAAPPPPSASPENLKGRAAAPPPAAAAAVVPEAKNRCSACRKKVGLTGFRCRCGVTFCGVHRYPEIHGCSFDFKALGREAIAKANPLVKAEKLEKI >itb11g05210.t1 pep chromosome:ASM357664v1:11:3076949:3078621:1 gene:itb11g05210 transcript:itb11g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHTVTVLEKTPVAPPPEATPEISLPFTFLDVFWLHLAPVHRLIFYPHPISRTHFLDTLIPTIKHSLSLTLQHYSPLAGGVVISPDKSNLPEIRYADGDTVPLVFAESNSGEGHFSHLTSNHPRNCSEFHPLVPSLPPASSLPDGSTRVPVLALQVTLFPDVGICIGVTNHHAIGDGSSIFAFMKAWAFFSRHENTSPVSLPPEFQPFFDRTVVQDRTGLGTHIWNHIKNIKIEDTHVHRLPSITNKVRATFSVTRDDIQRLKNHILSRRPNLAHVTSFTMIASYVWNCYVKSRIQTSTDNNEDGDEDGDDYFSSPADYRARLDPPVPRNYFGNCVMGFIAKTKTKLLAGDDGFVEAAVVIGETIRSQLNSPKEDDVPLTDIDDKFREMSKIKPDRSLGVASSPRFDYYQLDFGWGKPSKFEVPSIDVTGAMSLGTAKDYEGGLEVGLSLPINQMNTFITIFNQGLKAI >itb12g12140.t1 pep chromosome:ASM357664v1:12:10649818:10653403:1 gene:itb12g12140 transcript:itb12g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVWLEAALPLGIIAGMLCVAGNIQYFVHKAYHGRPKHIGNDVWDVAMERRDKKLIEMLSAPPPSD >itb01g24320.t1 pep chromosome:ASM357664v1:1:29985114:29988220:-1 gene:itb01g24320 transcript:itb01g24320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISYGEQVVEDSDVIIFSVKPQIVKEVASQVKPILTEKQLLVSVAAGVKLKDLQEWAGNSRFIRVMPNTPAAVGEAASVISLGSGATKEDGDLISLLFGAIGKVWKADEKLFDAVTGLSGSGPAYIYLAIEALADGGVAAGLPRDLALGLASQTVLGAASMATNAGKHPGQLKDDVTSPGGTTIAGVHELEKAGFRGTLMNAVVAATKRSRELSPN >itb12g11160.t1 pep chromosome:ASM357664v1:12:9320350:9321346:-1 gene:itb12g11160 transcript:itb12g11160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKINLFQPLFMSANPTDSAGMGKGGIEAQIITSLMLTSTKPIQQKLQHLWWFTLTVAMVDRWMGDFCSMNFTRTRGLSREPDDLRNGSAFRSPNHWPNPIPFVPNFRVFWPRRTRGFGLSREPDWFRRLA >itb04g26430.t1 pep chromosome:ASM357664v1:4:30774359:30776497:-1 gene:itb04g26430 transcript:itb04g26430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICARRVVVDARHHMLGRLASILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYHRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGKLSSEVGWNHYDTIKVLENKRKERAQATYERRKQLTKLRVKAEKAAEEKLGSQLDILSSVKY >itb04g22610.t1 pep chromosome:ASM357664v1:4:27864670:27870342:-1 gene:itb04g22610 transcript:itb04g22610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRPKNVEVPTSNVCLLSGSDAACQCWGLGVCIYNQLNQTFPSFEPSRAPLTLTPFFLPLLSESRNQRKMGDQKPKPATGVWPTVKPFVNGGCSGMLATCVIQPIDMIKVRIQLGQGSAVDVTKNMLKNEGFGAFYKGLSAGLLRQATYTTARLGSFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPAAQRRNYTNAFHALSRIVADEGVLALWKGAGPTVVRAMALNMGMLSSYDQSVEFFRDSLGLGEAATVLGASSVSGFFAAACSLPFDYVKTQIQKMQPDAQGKYPYTGSFDCAMKTLKAGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKIEKNMGL >itb02g02910.t1 pep chromosome:ASM357664v1:2:1684278:1686605:1 gene:itb02g02910 transcript:itb02g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAAVPFWRAAGMTYITYSNLCANMVRNCLKDPYKSEALSREKVHFSVSKWIDGKAEKPAIRSDTPEA >itb10g02900.t1 pep chromosome:ASM357664v1:10:2550749:2555148:1 gene:itb10g02900 transcript:itb10g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKKESEGIALLSIYGDEDDEMDDYLDDESDEDEDQIQNDAENELGEDDDMRIAGSDSGKSASPSPLPQQQRRNRRVGVDNLTPGKISNFGGSATTTPHLSFASPPQWQQQGVASEAAVSRSRLTIVDYAHDEVAMSPEAEEGEIMISGQSQDAEELQTVNAESQVKSPPGTLWGHTPSVQTPQTQSLETSADEAEDVDMVPAEEKGEDTLDKFLPPPPKAKCSDELQEKIIRFLALKKTTGRSFNAEVRNRKDYRNPDFLRHAVQYQGIDEIGSCFSTDVFDPHGYDKCDFYDEIEADMRREMERKEQERRNSQKVDFISGGTQPGAVTMQKPNLPIPGLVAGSGLSAAPTSVDAIPRDSRQNKKSKWDKVDVDRRDTISAVGAHAALLSAANAGSGYAAFAQQKRKEAEEKRSSDKKLDRRS >itb03g01590.t2 pep chromosome:ASM357664v1:3:884368:886725:1 gene:itb03g01590 transcript:itb03g01590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICSEGLRDSMGRLRNRIPITVALLQMVAFAGAMRFDLQSGSSKCIAEDINKNAMTVGKYSVINPLDGYPVPDSHKTTIRVTSPDGHHYHYADSVENGDFAFTAAEGGNYMTCFWAPEHKPPFTLSIDFDWKTGFAAKDWSKVAKKDQIEEMELELMKLLDTVTSIHDEMYYLRER >itb03g01590.t1 pep chromosome:ASM357664v1:3:884368:886873:1 gene:itb03g01590 transcript:itb03g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICSEGLRDSMGRLRNRIPITVALLQMVAFAGAMRFDLQSGSSKCIAEDINKNAMTVGKYSVINPLDGYPVPDSHKTTIRVTSPDGHHYHYADSVENGDFAFTAAEGGNYMTCFWAPEHKPPFTLSIDFDWKTGFAAKDWSKVAKKDQIEEMELELMKLLDTVTSIHDEMYYLREREEEMQVLNRATNSKMATFSFLSIFVCLCVAGMQLWHLKTFFERKKLI >itb15g19320.t1 pep chromosome:ASM357664v1:15:21627773:21638485:1 gene:itb15g19320 transcript:itb15g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRGSEAISGGSEGRVISASLSVICCVLVVSAITVVVCIRFSAFGESFDSLMAVASNSKPREYNSNDDYFTVPILELQTKVNAAGGSAYHPARVVSSDAPLISRNVSRPIESLPEKRTFNKVEEGLARARDAIRKAATSTNLSTTTISGSTYRNPRAFHQSYLEMERRFKVYVYEEGDLPIVHSGPCKNIYASEGRFINEMEHGRNKFRTRDPYSAHVYFMPFSVTWMVKYLYKPLSYDVSPLQQFVSDYVRVISTKHPFWNRTQGADHFMLSCHDWGPHASKGNANVYKTSIRVLCNANSSEGFNPQKDVSLPEIHLYTGNISPKLQLPLPANTSSRPHLAFFAGGVHGPVRPILLHHWKDRDPDIHVYEYLSKDDLDYYTFMLRSKFCLCPSGHEVASPRIVEAIYAECIPVILSNNYVLPFSDVLKWDAFSIQVEISDIPRLKEILLGVSEAHYLKLKQGLKTVRTHFVFNQPAQSLRPRPPLPPLSSVASSSTSAGHLSLHLRRSPQPPPPTVASASASGSRNSQSTLVVEAATHSVVVVAEIDSVVVGEANMDGATPSNGRVRTWTVFY >itb15g19320.t2 pep chromosome:ASM357664v1:15:21627773:21636224:1 gene:itb15g19320 transcript:itb15g19320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRGSEAISGGSEGRVISASLSVICCVLVVSAITVVVCIRFSAFGESFDSLMAVASNSKPREYNSNDDYFTVPILELQTKVNAAGGSAYHPARVVSSDAPLISRNVSRPIESLPEKRTFNKVEEGLARARDAIRKAATSTNLSTTTISGSTYRNPRAFHQSYLEMERRFKVYVYEEGDLPIVHSGPCKNIYASEGRFINEMEHGRNKFRTRDPYSAHVYFMPFSVTWMVKYLYKPLSYDVSPLQQFVSDYVRVISTKHPFWNRTQGADHFMLSCHDWGPHASKGNANVYKTSIRVLCNANSSEGFNPQKDVSLPEIHLYTGNISPKLQLPLPANTSSRPHLAFFAGGVHGPVRPILLHHWKDRDPDIHVYEYLSKDDLDYYTFMLRSKFCLCPSGHEVASPRIVEAIYAECIPVILSNNYVLPFSDVLKWDAFSIQVEISDIPRLKEILLGVSEAHYLKLKQGLKTVRTHFVFNQPAQSLRPRPPLPPLSSVASSSTSAGHLSLHLRRSPQPPPPTVASASASGSRNSQS >itb06g20890.t3 pep chromosome:ASM357664v1:6:23677563:23684426:1 gene:itb06g20890 transcript:itb06g20890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQENHLNPHSVYASRSDLLRSPGMVEDGHDHQKKKDVFRPSVMDMDPARRWREEERDINSSFRRDRWREEDKELGDNRKVDRWTDSSGRHYGETRRPTTERWTDSGNRESNHDQRRESKWNTRWGPGDKEADGGREKWSDFSKDADMPIEKGSYKDEKDVDTYRPWRSNLSQSRGRAEPPHHQAWTPNKPVSSFNHGRGRGESAPPTFHLGRGRIPSGVSSGNNIPTHFQSHGMLSEKGESRNEEPSPFKYNRIKLLDVYRGADMRSFGKYLDGIVPTSLMEQEPLEPLAFCAPSPEESVILNGIDKGDVVCSGVPQLTKDGSVGRNSSELLQSRSKLGSRDELQLSLNDSRDEISAKTGGGYLNHSETFTNEKPIDSYGYNYKVENTHDYEIFSNPKLNAEASREGSFHRESESLLVDKESTMQRHSPALHGGMWRSSSFGEHSHMASKESREMPLGARSKISDVDWSQTQKDLNNEWERSLADSSYSQNNRPKSNFGDSSMLKTKHFAVLDKDLDMRKLSQPSPEDLVLYYKDPRGEIQGPFSGGDIIGWFEAGYFGIELLVRLAGAPPDTPFSQLGDVMPHLRAKARAPPGFGTPKPNTDASNGLNMNSFTQLHSGSAQIDVLKNEQRYKHSSSTEAENKFIESLMFNMNNGPPQSDGMPGFIGNNAGPVPSLGDESGGSMYLLAKKMALERQRSLPNPYSYWQGKDAASIVPTPDVVQDSLLHPKQSLIGDSARQQSHIQNVDLMSVLQGLPDRSAGMNSGTSSWSNFPAQGGLENIQNRLDMHHGLNFSPQPAFGIQQQRLLAQNTSMKNLLVPTLDNPPNISTSEMMIPSGISHDPQMLSLLQKQYLLQLQSQAPPMSQQLSVLDKLLLLEQQQKHEQQQQLMLQQQQLLTQVLAEHHPSKQFGEQLYGQSQTADMPSGITTLDHARFPSSGELSCMGSQAQVAANEQTSQFVLPISQAVSNTVGSEPSSTHLPHQIYGDIIGQRSWGTNLQDQFNSVQQKGSFLETGVIDPPPVADVASKYPLEQTSEIEPPAVTSESTPSFPPVEELETFVDLSVPSSGENDLSLNSQSDSVKPPPEMHEEPQAEGGQHSDELCSVKEVKNVELHEVKKSSEKKSKRQKSAKMQASDTTRGVSKTQQLKSEGTSVTHVKADNSTDSSAIVKDSAHEKMENKNVEVASDVSNVQPEQSPLPAHVTRDVQNIEAKDHSGQVGAVPNIDTENVSGLRAWKPAPGFKPKSLLEIQEEEQRRAQAEMAVGDITTSLNSISVSTPWAGVAGNSDPKLLSKIQQDAGNSLSKSDSLSKQKGKKSQLHDLLEGSTGVKSSEREQITDITPSVPAAPISSSQADLDNDNFIEAKETKKSRKKSAKAKGSGTKSSVSIASVETSVSSPVDKVKSSRQLQPEKEVLPAVPSGPSLGDFVVWKGEPANPSPPVPAWSTESGKVPKPTLLRDILKEQERKASSGQQHIPVPTPQKSMPKQLTRGGSPSWSVTDSSPPKAASPMQINSRVASKTKNQIEDDFFWGPVDQSKQEPKQSDFPHLGTQGSWGNRNTPVKGTPGGSLSRQKSIGRPMEHTLSSSPATSSSLKGKKEALTKQSEAMDFREWCESECLRLLGTKDTSFLQFCLNQTRSEAEVLLTENLGSFDPDHEFIHKFLDYKDLLPADVLEIALQSGGSNQKATGGSAADLTSDGAAFDVATKGGGRKKGKKGKKVSLSELGFNVVSNRIMMGEIQTVED >itb06g20890.t2 pep chromosome:ASM357664v1:6:23676157:23684575:1 gene:itb06g20890 transcript:itb06g20890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAEFDSRPNHFSKDGQESNNSIPLSPQWRFPKPGENKAGIATGENHLNPHSVYASRSDLLRSPGMVEDGHDHQKKKDVFRPSVMDMDPARRWREEERDINSSFRRDRWREEDKELGDNRKVDRWTDSSGRHYGETRRPTTERWTDSGNRESNHDQRRESKWNTRWGPGDKEADGGREKWSDFSKDADMPIEKGSYKDEKDVDTYRPWRSNLSQSRGRAEPPHHQAWTPNKPVSSFNHGRGRGESAPPTFHLGRGRIPSGVSSGNNIPTHFQSHGMLSEKGESRNEEPSPFKYNRIKLLDVYRGADMRSFGKYLDGIVPTSLMEQEPLEPLAFCAPSPEESVILNGIDKGDVVCSGVPQLTKDGSVGRNSSELLQSRSKLGSRDELQLSLNDSRDEISAKTGGGYLNHSETFTNEKPIDSYGYNYKVENTHDYEIFSNPKLNAEASREGSFHRESESLLVDKESTMQRHSPALHGGMWRSSSFGEHSHMASKESREMPLGARSKISDVDWSQTQKDLNNEWERSLADSSYSQNNRPKSNFGDSSMLKTKHFAVLDKDLDMRKLSQPSPEDLVLYYKDPRGEIQGPFSGGDIIGWFEAGYFGIELLVRLAGAPPDTPFSQLGDVMPHLRAKARAPPGFGTPKPNTDASNGLNMNSFTQLHSGSAQIDVLKNEQRYKHSSSTEAENKFIESLMFNMNNGPPQSDGFIGNNAGPVPSLGDESGGSMYLLAKKMALERQRSLPNPYSYWQGKDAASIVPTPDVVQDSLLHPKQSLIGDSARQQSHIQNVDLMSVLQGLPDRSAGMNSGTSSWSNFPAQGGLENIQNRLDMHHGLNFSPQPAFGIQQQRLLAQNTSMKNLLVPTLDNPPNISTSEMMIPSGISHDPQMLSLLQKQYLLQLQSQAPPMSQQLSVLDKLLLLEQQQKHEQQQQLMLQQQQLLTQVLAEHHPSKQFGEQLYGQSQTADMPSGITTLDHARFPSSGELSCMGSQAQVAANEQTSQFVLPISQAVSNTVGSEPSSTHLPHQIYGDIIGQRSWGTNLQDQFNSVQQKGSFLETGVIDPPPVADVASKYPLEQTSEIEPPAVTSESTPSFPPVEELETFVDLSVPSSGENDLSLNSQSDSVKPPPEMHEEPQAEGGQHSDELCSVKEVKNVELHEVKKSSEKKSKRQKSAKMQASDTTRGVSKTQQLKSEGTSVTHVKADNSTDSSAIVKDSAHEKMENKNVEVASDVSNVQPEQSPLPAHVTRDVQNIEAKDHSGQVGAVPNIDTENVSGLRAWKPAPGFKPKSLLEIQEEEQRRAQAEMAVGDITTSLNSISVSTPWAGVAGNSDPKLLSKIQQDAGNSLSKSDSLSKQKGKKSQLHDLLEGSTGVKSSEREQITDITPSVPAAPISSSQADLDNDNFIEAKETKKSRKKSAKAKGSGTKSSVSIASVETSVSSPVDKVKSSRQLQPEKEVLPAVPSGPSLGDFVVWKGEPANPSPPVPAWSTESGKVPKPTLLRDILKEQERKASSGQQHIPVPTPQKSMPKQLTRGGSPSWSVTDSSPPKAASPMQINSRVASKTKNQIEDDFFWGPVDQSKQEPKQSDFPHLGTQGSWGNRNTPVKGTPGGSLSRQKSIGRPMEHTLSSSPATSSSLKGKKEALTKQSEAMDFREWCESECLRLLGTKDTSFLQFCLNQTRSEAEVLLTENLGSFDPDHEFIHKFLDYKDLLPADVLEIALQSGGSNQKATGGSAADLTSDGAAFDVATKGGGRKKGKKGKKVSLSELGFNVVSNRIMMGEIQTVED >itb06g20890.t4 pep chromosome:ASM357664v1:6:23679420:23684426:1 gene:itb06g20890 transcript:itb06g20890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHSPALHGGMWRSSSFGEHSHMASKESREMPLGARSKISDVDWSQTQKDLNNEWERSLADSSYSQNNRPKSNFGDSSMLKTKHFAVLDKDLDMRKLSQPSPEDLVLYYKDPRGEIQGPFSGGDIIGWFEAGYFGIELLVRLAGAPPDTPFSQLGDVMPHLRAKARAPPGFGTPKPNTDASNGLNMNSFTQLHSGSAQIDVLKNEQRYKHSSSTEAENKFIESLMFNMNNGPPQSDGFIGNNAGPVPSLGDESGGSMYLLAKKMALERQRSLPNPYSYWQGKDAASIVPTPDVVQDSLLHPKQSLIGDSARQQSHIQNVDLMSVLQGLPDRSAGMNSGTSSWSNFPAQGGLENIQNRLDMHHGLNFSPQPAFGIQQQRLLAQNTSMKNLLVPTLDNPPNISTSEMMIPSGISHDPQMLSLLQKQYLLQLQSQAPPMSQQLSVLDKLLLLEQQQKHEQQQQLMLQQQQLLTQVLAEHHPSKQFGEQLYGQSQTADMPSGITTLDHARFPSSGELSCMGSQAQVAANEQTSQFVLPISQAVSNTVGSEPSSTHLPHQIYGDIIGQRSWGTNLQDQFNSVQQKGSFLETGVIDPPPVADVASKYPLEQTSEIEPPAVTSESTPSFPPVEELETFVDLSVPSSGENDLSLNSQSDSVKPPPEMHEEPQAEGGQHSDELCSVKEVKNVELHEVKKSSEKKSKRQKSAKMQASDTTRGVSKTQQLKSEGTSVTHVKADNSTDSSAIVKDSAHEKMENKNVEVASDVSNVQPEQSPLPAHVTRDVQNIEAKDHSGQVGAVPNIDTENVSGLRAWKPAPGFKPKSLLEIQEEEQRRAQAEMAVGDITTSLNSISVSTPWAGVAGNSDPKLLSKIQQDAGNSLSKSDSLSKQKGKKSQLHDLLEGSTGVKSSEREQITDITPSVPAAPISSSQADLDNDNFIEAKETKKSRKKSAKAKGSGTKSSVSIASVETSVSSPVDKVKSSRQLQPEKEVLPAVPSGPSLGDFVVWKGEPANPSPPVPAWSTESGKVPKPTLLRDILKEQERKASSGQQHIPVPTPQKSMPKQLTRGGSPSWSVTDSSPPKAASPMQINSRVASKTKNQIEDDFFWGPVDQSKQEPKQSDFPHLGTQGSWGNRNTPVKGTPGGSLSRQKSIGRPMEHTLSSSPATSSSLKGKKEALTKQSEAMDFREWCESECLRLLGTKDTSFLQFCLNQTRSEAEVLLTENLGSFDPDHEFIHKFLDYKDLLPADVLEIALQSGGSNQKATGGSAADLTSDGAAFDVATKGGGRKKGKKGKKVSLSELGFNVVSNRIMMGEIQTVED >itb06g20890.t1 pep chromosome:ASM357664v1:6:23676073:23684426:1 gene:itb06g20890 transcript:itb06g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAEFDSRPNHFSKDGQESNNSIPLSPQWRFPKPGENKAGIATGENHLNPHSVYASRSDLLRSPGMVEDGHDHQKKKDVFRPSVMDMDPARRWREEERDINSSFRRDRWREEDKELGDNRKVDRWTDSSGRHYGETRRPTTERWTDSGNRESNHDQRRESKWNTRWGPGDKEADGGREKWSDFSKDADMPIEKGSYKDEKDVDTYRPWRSNLSQSRGRAEPPHHQAWTPNKPVSSFNHGRGRGESAPPTFHLGRGRIPSGVSSGNNIPTHFQSHGMLSEKGESRNEEPSPFKYNRIKLLDVYRGADMRSFGKYLDGIVPTSLMEQEPLEPLAFCAPSPEESVILNGIDKGDVVCSGVPQLTKDGSVGRNSSELLQSRSKLGSRDELQLSLNDSRDEISAKTGGGYLNHSETFTNEKPIDSYGYNYKVENTHDYEIFSNPKLNAEASREGSFHRESESLLVDKESTMQRHSPALHGGMWRSSSFGEHSHMASKESREMPLGARSKISDVDWSQTQKDLNNEWERSLADSSYSQNNRPKSNFGDSSMLKTKHFAVLDKDLDMRKLSQPSPEDLVLYYKDPRGEIQGPFSGGDIIGWFEAGYFGIELLVRLAGAPPDTPFSQLGDVMPHLRAKARAPPGFGTPKPNTDASNGLNMNSFTQLHSGSAQIDVLKNEQRYKHSSSTEAENKFIESLMFNMNNGPPQSDGMPGFIGNNAGPVPSLGDESGGSMYLLAKKMALERQRSLPNPYSYWQGKDAASIVPTPDVVQDSLLHPKQSLIGDSARQQSHIQNVDLMSVLQGLPDRSAGMNSGTSSWSNFPAQGGLENIQNRLDMHHGLNFSPQPAFGIQQQRLLAQNTSMKNLLVPTLDNPPNISTSEMMIPSGISHDPQMLSLLQKQYLLQLQSQAPPMSQQLSVLDKLLLLEQQQKHEQQQQLMLQQQQLLTQVLAEHHPSKQFGEQLYGQSQTADMPSGITTLDHARFPSSGELSCMGSQAQVAANEQTSQFVLPISQAVSNTVGSEPSSTHLPHQIYGDIIGQRSWGTNLQDQFNSVQQKGSFLETGVIDPPPVADVASKYPLEQTSEIEPPAVTSESTPSFPPVEELETFVDLSVPSSGENDLSLNSQSDSVKPPPEMHEEPQAEGGQHSDELCSVKEVKNVELHEVKKSSEKKSKRQKSAKMQASDTTRGVSKTQQLKSEGTSVTHVKADNSTDSSAIVKDSAHEKMENKNVEVASDVSNVQPEQSPLPAHVTRDVQNIEAKDHSGQVGAVPNIDTENVSGLRAWKPAPGFKPKSLLEIQEEEQRRAQAEMAVGDITTSLNSISVSTPWAGVAGNSDPKLLSKIQQDAGNSLSKSDSLSKQKGKKSQLHDLLEGSTGVKSSEREQITDITPSVPAAPISSSQADLDNDNFIEAKETKKSRKKSAKAKGSGTKSSVSIASVETSVSSPVDKVKSSRQLQPEKEVLPAVPSGPSLGDFVVWKGEPANPSPPVPAWSTESGKVPKPTLLRDILKEQERKASSGQQHIPVPTPQKSMPKQLTRGGSPSWSVTDSSPPKAASPMQINSRVASKTKNQIEDDFFWGPVDQSKQEPKQSDFPHLGTQGSWGNRNTPVKGTPGGSLSRQKSIGRPMEHTLSSSPATSSSLKGKKEALTKQSEAMDFREWCESECLRLLGTKDTSFLQFCLNQTRSEAEVLLTENLGSFDPDHEFIHKFLDYKDLLPADVLEIALQSGGSNQKATGGSAADLTSDGAAFDVATKGGGRKKGKKGKKVSLSELGFNVVSNRIMMGEIQTVED >itb13g24310.t1 pep chromosome:ASM357664v1:13:29999491:30002070:-1 gene:itb13g24310 transcript:itb13g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRPCELGMDSPILPFSGTITDYKLPTVSRRIVRRPYRVLSDESKEKLETAVRKLKENRVNLAEYDLMRRLHQAQFSPEAHFTSNFAAFHRFYLLMYEKVLQETADDPNLSLPYWEIELESALPEPSGLQFSDVVSGMENLKFSSDVDRWWTVWQTLGGKRAGITDPELQQASFVLYDENNNLVRIKVEDCLDIKKLGYTYEDLPKPRSKKFEPFTGKNPTSSGSNLPTTTAILPVTLNKTVKFYVTRPPTTEDKEAVLNLHVEYDETKNIRFDAYLNEYKDANSLDVEMAEYAGSFINFALPLRGSEQTKTTTWSLEIAQVLQDLALAGENKIPVTLVPKSSGDLVTVKNVDITA >itb13g24310.t2 pep chromosome:ASM357664v1:13:29999491:30001333:-1 gene:itb13g24310 transcript:itb13g24310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYISYLLMYEKVLQETADDPNLSLPYWEIELESALPEPSGLQFSDVVSGMENLKFSSDVDRWWTVWQTLGGKRAGITDPELQQASFVLYDENNNLVRIKVEDCLDIKKLGYTYEDLPKPRSKKFEPFTGKNPTSSGSNLPTTTAILPVTLNKTVKFYVTRPPTTEDKEAVLNLHVEYDETKNIRFDAYLNEYKDANSLDVEMAEYAGSFINFALPLRGSEQTKTTTWSLEIAQVLQDLALAGENKIPVTLVPKSSGDLVTVKNVDITA >itb11g05750.t1 pep chromosome:ASM357664v1:11:3410156:3410500:1 gene:itb11g05750 transcript:itb11g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLWNRTEEYERSGPKFGTGNLKPNPSSFNFTIVDNENESYFTYTVQHPSVISRHFIDVNGQDKSLAWSEAAQDWMRTYVQPRQQCDVYAYWLLQRQFYHRLCLLARFQVQV >itb06g13340.t1 pep chromosome:ASM357664v1:6:18070763:18071680:-1 gene:itb06g13340 transcript:itb06g13340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNATTLSSIMEAKPIHPLHQISESPTHKLLLKQWLKEQDLILNRIVAKETQIDSVRKEITQLYCFFFLFHSISLTFLFSSASQQRKAGFYCRRSWIPSLCSLLCSMGIIWAVRYKTDTESHLEKLLEREKEDGKLLAKCVEELKKKGVEFDLLKEVDALRRAKSMRVEAKTVRKWSARDFVSLFFFTVSCIVLGLTNLILCG >itb09g05820.t1 pep chromosome:ASM357664v1:9:3304504:3307042:1 gene:itb09g05820 transcript:itb09g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQRAISAVFLLLLLHVCAADPLDNPCSYNRGNYTTNSPFDNNLKTLLATLPARAAQNHGFYYASFGDGDDKVFGRALCRGDINSTDCEDCLGKASEKIKRQCQSREALMRYDQRCQVEYGSTLLKRYNGKYPDSTDLEKPVSDPLRFSRVLKELMGSLSDQAAYHSGLMFAAQSRNFSQQISIYGLVQCTRDLSNAQCGECLNSASVDLEGCCSSREGGAVYAGACSVRFEIYQFYYSSIDTHGVLVGCFARYRQKRKRAGTDKEETSHRGMLGEIEARPAINSLTIVGKYVLSSQEMPAVELPVDPVFLVLLSCFDNDRKLEPLQSVLPSGEEVAVKRLSRKSWQGVDELKNEVMLIAKLQHRNLVRLLGCGLEGNEKLLIYEFMPNKSLDFFLFDSEKRMLLDWNTRFKIIDGIARALVYLHEDSRLKVIHRDLKPSNILLDNDMVAKISDFGIARIFCENQNPANTRRIVGTYGYMAPEYAMGGLFSIKSDVFSFGVIVLEIISGQRNSSFYINGSPQTLLSYAWQLVNEEMELGVADPVLIESSSPMEEIRRCIHIGVLCVQEDPAERPRMSEVVHLLKGGQMPVSKPRRPPVSLGRRSNHSGVHLNPSSSSLTHLTESCATALITGSIRDSRHAK >itb11g07610.t1 pep chromosome:ASM357664v1:11:4679645:4681368:1 gene:itb11g07610 transcript:itb11g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSKKKLTGAAVQPSPKKKTPSISRNSSSPAPPAGKPPPFDPSLSTSGQIISSTASTSSFYINYNKDSQSWRSSRSSKRDSLSSLREALPEQTLIYDFAEIRSATDNFLQTPLSSSSTSTTWRCVVRGQNVVIIQRKFRRPIETPELIERVAMICRSHHSSLIPLKGVTISGNYIYLVYEYIHGANLHNVLRNPRNPNFTVLSNWMQRLQIVSDIASGLDYIHNSTGLGFDFIHNHIKSSSIIVTEPSLSARICHFGAAELCGEIAKRSVESELEIEPNSVSLKRSNSKAMKFEGTRGYMAPEFQSTGAVSQKSDVYAFGVVVLELLSGSEALKYIVDEETGGYVRISVIETARAAVDDGGVRGWVDRRLRDSYPVDVAEKLVRLALECLDDDPNVRPDMGHVAVRVSQMYLDSQTWADKIGLLTDFTVSLGPR >itb01g31960.t1 pep chromosome:ASM357664v1:1:35573201:35574631:1 gene:itb01g31960 transcript:itb01g31960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFGFQPKPQDKTKILQIDDGDAHFLAIRLHTSWILKLLGAVILALVIVSFPWLKTMIGESWHENGNISDAQMVFDPISVDLLPLVFHDLANEGLLRQGDRALFVSSNGDEEAIYGSRVISDYNMDLVSVSDIQRQRLIPEETYDFAFAHGLTATSDFVNRALKVGGIVVLQVTDNPAVGFQKPGNLRIVYLRKFESAMIVTMKKTGANSTLLEIESEARVAALKNLEDVLLEPPRAASGKSSSYIKRTRYLPDLMGVSLESYPRRVEAVAEASGGGEEVPVISMSDWVGKNVEEKDYVVMKTEVEVVRSRAIKLVDELFLECKHQGIKKGGEKNRRAYWECLALYGLLRDEGVAVHQWWG >itb04g25200.t1 pep chromosome:ASM357664v1:4:29833353:29838765:-1 gene:itb04g25200 transcript:itb04g25200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQGHLPHPATPISDVLAPKRGGKKRGSYNCGRCGAPKKGHVCHFSKDKDSSLSVSVSVSNSPAIGDCSSSASPSPLPAVVYPQTRQPCSRLPRALSFDDFDLGDLAGSEDEGDDGFPDLGGSGKLPCACLWEVLKRLPPPALLSAAGVCKGWRDTARLLWRAAEELRLKVPAKAQVGHVRSLLQKCPGLVTLSLRMESDIDATMLACIAFSCPNLESLEIFTSHTSVNSITGDELSHFLADRKCLTNLKMEGCSNLGGFILCSSKLSTIWLSDLRCLSKMVFNCPSLKEISLEFSRQDNDNTDIATMVDGFGRSCPRLQNIHIASARLSHAVVLALAAANLRGLRMLSLVLGSEITDASVAAIASSYPRLELLDLSGSSISDSGIGMICNVFPETMSKLLLALCPNITSSGIQFATAQLPQLEIMDCGMTICDPNLDSPEENGDSDIQKIPNSELHRAYQKLIIKHSRLKKLSLWGCSGLDALYLNCPELVDLNLNSCRNLQPERLLLQCPNLENVHALGCHGVLVEGIQTQVNSSEFAAVETQFPCKRLPDGSKRIQMVPGLFNPQPYDDQKKKRKLSSLSSAVSVIF >itb01g02900.t1 pep chromosome:ASM357664v1:1:1878263:1883125:1 gene:itb01g02900 transcript:itb01g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMQTLFLQCSSSISPLSTSLSSSFSASAPANAWKSSIKFTVMCCSEASPVTVVNGNVERRSAERSEVRLGLPSKGRMASDTLDLLKDCQLSVRQVNPRQYVAEIPQLQNLEVWFQRPKDVVRKLVSGDLDLGIVGLDTVYEYGQGNEDLIIVHDALDYGDCRLSLAIPKYGIFENINSLKELAEMPHWTPERPLRVATGFTYMGPKFMNDNGLKHVTFSTADGALEAAPAMGIADAIVDLVSSGTTLRENNLKEIAGGIILQSQAVLVASRKSLTQRKGALDITHEMLERLEAHLRATGQFTVVANMRGSSAEEVAERVLSQPSLAGLQGPTVSPVFCKRDGRVTADYYAIVICVPKKALYKSVQQLRAIGGSGVLISPLTYIFDEETPRWRQLLSTLGL >itb03g02710.t1 pep chromosome:ASM357664v1:3:1546266:1548095:1 gene:itb03g02710 transcript:itb03g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFHFLLIFILNATIFAPYTSAQQKYLGNAVLNCTRFNETVPSSAVLYTCTAHLPTCKAFLIFRSQPPPYNSVSSISNLLSADPGEVSRINNISREAILPLNKELIVPVNCSCSCSGLFYQANTSYVIPSGGTTYFTTANNTFQGLSSCSALLRENVYRPEDLVPGMNLTVPLRCACPSRKQTENGVKFLVSYLVIWGDDVSRISNQFGVSKSSVANANGISVEDTLFPFTTLLIPLQIEPSKSQTKIYKAAAAETDSPKLEKHKRSYKSIWIGIGAGISLAILCFTVFIFFLPPRKRGKTDDQALGKAENGKSKWELPENVRDQFPAIYEYEELEEATENFSLEKRLSSSVYAGILGGKLSAIKAVNEEDASSEVEILSKINHCNVIRLRGVCEHLGVSSYLVFEFMANGSLKEWLLQNDGPEVHSWNNRIRIALDVVDGLNYIHNFTDPPYVHNNINSCSILLNEHLRAKIADFSLAKTAQNDGTGNLCPKTDVYAFGVILLELITGKEAIFNQGREEEVMLFERVVQIIEGRNGEDEIQNVLDPRLQVKHPLGFIIDQTELALRLIKLSAACLAHEPAKRPTAAEVVSSLMSIQSDVQNLEAWSM >itb06g19020.t1 pep chromosome:ASM357664v1:6:22505085:22505754:1 gene:itb06g19020 transcript:itb06g19020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDSSYSRVGSVRESPMMTPGQRNYYKGPGTMDASRTTTDSRDEASISISNASDMESEWVEQDEPGVFITIRQLADGTRELRRVRFSRERFGEVNAKTWWEQNRERIQAQYL >itb10g17050.t1 pep chromosome:ASM357664v1:10:23301905:23303651:-1 gene:itb10g17050 transcript:itb10g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTLESGDRIIECGRAYMGHHCCSKQKVKRGLWSPEEDEKLIQHITSHGHGCWSAVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTEQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLLSPNQSKNNIHKPPNKNSYHHHHQLSPSTFTIDTTAPHTIKEVIPMGMKAALSSITPPFHQPVDYTNSMIPTSNDQNLDYQNPTTIMDFGSCSSSMESTTSSLIAAAGFGIMAGVFGTDTTFEAAAQGFEPLRTQEERQEQEEQVYKVINGEDHHHDEFNNGGQNMFDAASNFDFEFMDAALMPCGVYSNVNPIDQLAWDC >itb07g20070.t1 pep chromosome:ASM357664v1:7:24541013:24544467:1 gene:itb07g20070 transcript:itb07g20070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MAMTFSPAAHVRSLYPQPPANKPNSSKLLLSLRDGYSTSAKLASLSAASNYVKLQICARELAMKPLVKRSWKQRTGVFRCATIEEIEAEKSFIEADVKERMEKTIENVKSNFNSIRTGRANPAILDKVEVEYYGTPVSLKSIAQISTPDASSLLVQPYDKSSLKAIEKAIVNSDLGMSPNSDGEVIRLALPQLTAERRKELSKVVAKQAEEGKVAIRNIRRDALKSYEKLEKEKKLSEDNVKDLSNDLQKVTDEYMKKIESIYKQKEKELLTV >itb01g24180.t1 pep chromosome:ASM357664v1:1:29890934:29894536:1 gene:itb01g24180 transcript:itb01g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:Projected from Arabidopsis thaliana (AT3G57290) UniProtKB/TrEMBL;Acc:A0A178VL03] MNIWLPPSDIKRNPTSRILYLPYLWNAKLNCQNLQRPRMAAKYDLTPRIAPQLDRHLVFPLLEFLQERQLYADEDILKAKIELLNDTNMVDYAMDIHKSLYHTEDVPQEMVDRRVEVVARLKALEEGAAPLVQFLQNANAVQELRADKQHNLQLLNDRYQIGPEQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSDRSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSTPLHQVHSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKRRRPQFKDFIKVIQPEQYSYADPITEFLACVYVNYDFDAAQQKMKECEEVILNDPFLGKRVEEGNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEDAERWIVNLIRTSKLDAKIDSETGTVIMEPTHPNVYEQLIDHTKALSGRTYKLVSQLLEHAQSQAQATR >itb10g18330.t1 pep chromosome:ASM357664v1:10:24342466:24343970:1 gene:itb10g18330 transcript:itb10g18330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLVLVGVLAVAAHGDGSAMEEEELLGLFEVMGSLLEDPTWPQFHPLPCTETPWPGVECELGQQENQNPSIFHVTKIHIGPDILTPPCKPSAKIPNSLHKLPYLKTLSLFSCFTTAPLSLPPSLFASSSSLQHLSLLSNPGLTGEIPSTLSHISTLKVLSLAQNSLEGEIPRQISGLVNLEQLDLSYNNLSGSIPGEIGGLKFLKILDLSKNGFTGNLPDSVGGLQNLQKIDLSSNNLQGMLPQELRELKRLTLLDFSQNSLSGPIPESLSGLEQLQYFILENNPINAEIPPFLGSLLKLTVISLSKCGLRGPIPSSLSSLKNLTALSLDKNNLNGTVPQDLGTLQNLGLLNLSQNKLSGELMFPQEFIQRLGERLDLRENNGLCTRQMLQKFTKNQTSRFLHSYCFGTRFASSNRSDSEHTNGSKKMKPTWGQGEMGSSCSSHGLLHQKVVFSSMLWTLVLSSVL >itb14g00270.t1 pep chromosome:ASM357664v1:14:193571:196060:1 gene:itb14g00270 transcript:itb14g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYFSPLYCLHLSNSTLSLTNMVGCSRKPEWVTALLRSEFFGSCVDHSDRRKNERNMFCIDCSLGFCKHCIASPSHCIRHRWLQICKYVYQDVVRLQDIQRYLDCSHIQTYKINGEKAVHLNPRPRSKDSKNCKPKGGSCCDACGRHIQEFPNRYCSIACKVSDIYKECKSENIISIQISHFDHLSSKENTTENESCSSLTESSEVIQVWVSSALKPKKQLHKRKGVPCRAPLC >itb14g00270.t3 pep chromosome:ASM357664v1:14:193571:196060:1 gene:itb14g00270 transcript:itb14g00270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKVGCSRKPEWVTALLRSEFFGSCVDHSDRRKNERNMFCIDCSLGFCKHCIASPSHCIRHRWLQICKYVYQDVVRLQDIQRYLDCSHIQTYKINGEKAVHLNPRPRSKDSKNCKPKGGSCCDACGRHIQEFPNRYCSIACKVSDIYKECKSENIISIQISHFDHLSSKENTTENESCSSLTESSEVIQVWVSSALKPKKQLHKRKGVPCRAPLC >itb14g00270.t2 pep chromosome:ASM357664v1:14:193571:195016:1 gene:itb14g00270 transcript:itb14g00270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYFSPLYCLHLSNSTLSLTNMVGCSRKPEWVTALLRSEFFGSCVDHSDRRKNERNMFCIDCSLGFCKHCIASPSHCIRHRWLQICKYVYQDVVRLQDIQRYLDCSHIQTYKINGEKAVHLNPRPRSKDSKNCKPKGGSCCDACGRHIQEFPNRYCSIACKVIIFNSLYLLILNYFS >itb12g27200.t1 pep chromosome:ASM357664v1:12:27720894:27721697:-1 gene:itb12g27200 transcript:itb12g27200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLAVRRRESQPGAAEEEELRRRNEELEKELKNSRDREEKMRRELERIWERLRVAEEAEERLSSQLGELEAEAVDQARAYRARVTALMDQLSAANKLIQSASVYVS >itb10g15510.t1 pep chromosome:ASM357664v1:10:21699987:21700435:1 gene:itb10g15510 transcript:itb10g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEKKLKDMEIEWVRQRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVVPLAGEMPSPWLISDHKALVS >itb10g09190.t1 pep chromosome:ASM357664v1:10:12234903:12235199:1 gene:itb10g09190 transcript:itb10g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVAAAPTVALSGRQQKNSGDHRRVSCLSPVRRRTNACRATQIGGGTVPSPRLPATSSTLRSKQTADLILLDVSPLKRTEMKKTYEIGEGAAGKKT >itb06g18900.t1 pep chromosome:ASM357664v1:6:22423744:22427386:1 gene:itb06g18900 transcript:itb06g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:Projected from Arabidopsis thaliana (AT1G32060) UniProtKB/TrEMBL;Acc:A0A178WLP9] MAVCTVYTVQSLHSTCSISSPTKTHFGFHQRRVFVYKKSARKAGISCAAAAAGDGKTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKEGKAVEKPIYNHVTGLLDPPELTKPPKILVIEGLHPMYDARVRELLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKHFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFSYGPDTYFGNEVSVLEMDGQFDRLDELIYVESHLSSLSTKFYGEVTQQMLKHADFPGSNNGTGFFQTIVGLKIRDLYEQIIATKAAAPVEAKA >itb10g10850.t1 pep chromosome:ASM357664v1:10:15908864:15912790:1 gene:itb10g10850 transcript:itb10g10850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLFRLSEPSLTMLREETAGASIWRISFSVSSNRRYADFPPPPPQEEVLLVENLPQVSLLTQPVSWDLGYVSQGYGTEVAEKGIEAAKKGFINGIEESEMTKEGLWKQQASNGRSRSPEEKTEVLKEAGGERCSAFKNAEMKQPVDIKCSSPMFKCN >itb11g19130.t1 pep chromosome:ASM357664v1:11:19726850:19727431:1 gene:itb11g19130 transcript:itb11g19130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKNPILLSSLLLFCIFNFSFAADPVLDTAGQALLSGVNYYALSAGSGLKPTKGENNTCPFQVVQTLAAGDKGLPLRFSPVNSTGGAVLENADVTVEFVASDLTSGCPQSRVWLVQDLFVTTGGDGKGNGASLFTIVKYEDAYAFQFCPRAAGCSVVCPRLQCGYIGVQVVDGVRRLAFNRPPLKIVFQKA >itb01g06220.t1 pep chromosome:ASM357664v1:1:4411349:4416831:-1 gene:itb01g06220 transcript:itb01g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKCSQSSGFLVFLIFAALWCGVSCYYDGFSIVGAGAGFVPEEKVLRLFEEWKGRNGKVYRDEGENEERFENFKGNLKYVMEKTVGGGSRWEGKVGLNRFADLRNEEFKDVFISKIKMPFRKKQGIQMVFSGQSGKEKVSCDAPFSVDWRKRGVVTHVKDQGECGSCWAFSATGAMEGINAIATGELVSLSEQQLIHCDSWNDGCEGGYMGYAYEWVLHNGGISVEEDYPYSGKDGNCSVKQGGVKNVTINEYKDVAQDESALLCAVAKQPVSVAIDASALDFQLYSGGIYYGDCSDDADDINHAVLIVGYGSAGGQDYWIVKNSWGTSWGLEGYAYVRRNTHLRYGACAINAMASYPTKDFSLPRLPKPTSGGLVPLLSNSSISVETSKSHSLSGIAPSPQPSPKPFTPPPPSPIAPPQSPPPIPPTPPSPRPPSPIPILTPPPPSCPPPYTSPPPPVPSPPPPSLPHPPPPSSPPPPSPPTPLPPHPSPPTPSPPHPPPHPSPPHPSPPPSPPPPSPPPPLPSPPPPSPPHPSPPPPSPPPPSLPPPSXMLRTKENVVWSCWAFSATGAMEGINAIATGELVSLSEQQLIHCDSWNDGCEGGYMGYAYEWVLHNGGISVEEDYPYSGKDGNCSVKQGGVKNVTINEYKDVAQDESALLCAVAKQPVSVAIDASALDFQLYSGGIYYGDCSDDADDINHAVLIVGYGSAGGQDYWIVKNSWGTSWGLEGYAYVRRNTHLRYGACAINAMASYPTKDFSLPRLPKPTSGGLVPLLSNSSISVETSKSHSLSGIAPSPQPSPKPFTPPPPSPIAPPQSPPPIPPTPPSPRPPSPIPILTPPPPSCPPPYTSPPPPVPSPPPPSLPHPPPPSSPPPPSPPTPLPPHPSPPTPSPPHPPPHPSPPHPPPPPSPPPPSPPPPSPPPPSPPPPSPPPPSPPPPTPIPPLPPIPPEPPIPPKPPVPPHPPQPTPTYCGDGFFCPPDNTCCCAYEVFGVCLIHSCCEYKDGICCGRSNYCCPREFPICDPFEGLCFKRHGDHIGVPGRKRKLAKPK >itb06g09630.t1 pep chromosome:ASM357664v1:6:13883150:13888968:-1 gene:itb06g09630 transcript:itb06g09630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKENDAYEEELLDYEEEDEKAPDSVGAKVNGESVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVTGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKIHKDLLKNECPHIVVGTPGRILALARENSLSLRNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSSSDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >itb02g12850.t1 pep chromosome:ASM357664v1:2:8878252:8878806:1 gene:itb02g12850 transcript:itb02g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEDRKSGKVDFHSPGRDCSDKKHFRLFGFKVDPCANEVCPRSEIDDESVNSSDTVSSNKVEKAFAYSSSPGESVSPKAKEKHLSVSVVELKYECHFCLKKFSTSQALGGHQNAHRKERLKKKRMEVEVRRENSFNLYLSSAMRNTGVIIYPHSIIGFDDLFCIPTVVFPNPHESQQYMPTP >itb09g19620.t2 pep chromosome:ASM357664v1:9:15788496:15795346:-1 gene:itb09g19620 transcript:itb09g19620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQYRKTGRPVNFNQHRGGKSGGGGGSSGPPPNPSLSSNRSFNRKYNNAQGGQPRASGLNATSEGNAPSATRAVQNGAHQQQPLSGVSDLPVTAASIPPSITPSKPSDEATQKMARTVPIAPLSNVDPTGPDSNTAVAPPKAPGDVSRSSFPLQFGTINPCFMNGMQVPARTSSAPPNLDEQKRDQQPLPRKNTGTVDQASTGESHPVVARSKRDVQVSAPSPVTQSQKPSTHPLPGMPMQMPFHPPPHPVQFGPQIQSQGMSAAPLPIQVPVPLLGNPPLQQPMFVSGFPPHPIPSQGIMHQGQGLNFSSGMSPQMPPQLGNMGMNMPPQFPQQQAGKFGGTRIPVKITHPETHEELRLDGAPGPRPHPNMPPQSQSLSSFPPTHSINYHPNSYNNNSPVFFQPSNSLQPNNTQSSQPARFFNQVTVKPASIVYGEKDQLPSVSSPTAKDSQKHSKLHGAASIHTQRDSQMSSQSSVPQSKPGDGSLPSSVPAASKPSTVVVGSSLEGAPLCSSSSKTALVESSTSVPIGTTEEAPVPDSKDWQKKPGHRGPQSMQDQIAKQSLVPPSLSTVTREVDMPALATNVNLETTREASSIHSTDLDTIDAKTKEGQTQYSSNPSGTMSFEGDPPMPETLSKNDKDLKLQKSLKQDSNTSGASSESVSFKASELINQTEESSLQRVTDSIDCGTSVETTLKKFDGSAIGSVEGDSKDHSLSSSLSVIKDVKPDDSPSLVGLSARDGHVASKETDVSTSATGNQESDPRSCQSLSMTTSKGEDENTESNTAGSFAKEKSLTEQNMQKSTTRGKKKKKEIYKKADAAGATSDLYMAYKGPEEKKETATSAESMDSTTSDGSKPVTPEVVQDVSSKKGTQIKVEPDDWEDAADVSSPKLETAENGQRVIQGFKNYGEDGDGTGTKKYSRDFLLKFADQYIDLPEDFDNNTPDILGSGMVANVNFSRESYPSPGRSPSGVSRSDRRGGGVAEDDKWNKLRGSAMPGRDVRLELAYGGNLMGFQPGQGSNYGVLRNPRSPSPIQYAGGILAGPMQSMGPPGGVQRNGVDADRWQRASAFQKGLMPSPQTPSQMMHRAEKKYEVGKVTDEEEAKQRQLKAILNKLTPQNFEKLFQQVKEVNIDNVTTLTGVISQIFDKALMEPTFCEMYANFCHHLASELPDLSVDNEKITFKRLLLNKCQEEFERGEREEQEANITDGDGETKLSDEEREEKRLKARRQMLGNIRLIGELYKKRMLTERIMHECIKKLLGEYQNPDEENIEALCKLMSTIGEMIDHPKAKEHMDFYFEMMSNLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQASRMSRTSSMSSSVRRGQPMDFVPRGSSMLPSPNSQMGGFRPVSPQIRGYGGQDARTDERQHSFDNRTFSVPLPQRSLGDEITLGPQGGLGRGMSFRGQGAAPSIPSTDMPSPGDSRRVSSGLNGYSPMPDRSTYGPREELVPRYMPDRSSQYDQPGTQDRNMPYGSRDRSFDTALPTSPPFRGGGGGASFTQNVPLDSALPEEHLRDKSLNAIREFYSARDENEVALCVNELNAPSFYPSMISIWITDSFERKEKERDLFGKLLVNLAKSGDVILSQDQLIQGFESVLSSLEDAVNDAPKAAEYLGHLFGRVIIENVIPYNAIGHLIYEGGEEQGRLLEIGLAAEVLGSTLEVIKSEKGESVLHEICRSSDLVLENFRPPGSNKQWKLDKFI >itb09g19620.t1 pep chromosome:ASM357664v1:9:15788113:15795744:-1 gene:itb09g19620 transcript:itb09g19620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQYRKTGRPVNFNQHRGGKSGGGGGSSGPPPNPSLSSNRSFNRKYNNAQGGQPRASGLNATSEGNAPSATRAVQNGAHQQQPLSGVSDLPVTAASIPPSITPSKPSDEATQKMARTVPIAPLSNVDPTGPDSNTAVAPPKAPGDVSRSSFPLQFGTINPCFMNGMQVPARTSSAPPNLDEQKRDQVRHESSRAVPALPTPSLPSKQPLPRKNTGTVDQASTGESHPVVARSKRDVQVSAPSPVTQSQKPSTHPLPGMPMQMPFHPPPHPVQFGPQIQSQGMSAAPLPIQVPVPLLGNPPLQQPMFVSGFPPHPIPSQGIMHQGQGLNFSSGMSPQMPPQLGNMGMNMPPQFPQQQAGKFGGTRIPVKITHPETHEELRLDGAPGPRPHPNMPPQSQSLSSFPPTHSINYHPNSYNNNSPVFFQPSNSLQPNNTQSSQPARFFNQVTVKPASIVYGEKDQLPSVSSPTAKDSQKHSKLHGAASIHTQRDSQMSSQSSVPQSKPGDGSLPSSVPAASKPSTVVVGSSLEGAPLCSSSSKTALVESSTSVPIGTTEEAPVPDSKDWQKKPGHRGPQSMQDQIAKQSLVPPSLSTVTREVDMPALATNVNLETTREASSIHSTDLDTIDAKTKEGQTQYSSNPSGTMSFEGDPPMPETLSKNDKDLKLQKSLKQDSNTSGASSESVSFKASELINQTEESSLQRVTDSIDCGTSVETTLKKFDGSAIGSVEGDSKDHSLSSSLSVIKDVKPDDSPSLVGLSARDGHVASKETDVSTSATGNQESDPRSCQSLSMTTSKGEDENTESNTAGSFAKEKSLTEQNMQKSTTRGKKKKKEIYKKADAAGATSDLYMAYKGPEEKKETATSAESMDSTTSDGSKPVTPEVVQDVSSKKGTQIKVEPDDWEDAADVSSPKLETAENGQRVIQGFKNYGEDGDGTGTKKYSRDFLLKFADQYIDLPEDFDNNTPDILGSGMVANVNFSRESYPSPGRSPSGVSRSDRRGGGVAEDDKWNKLRGSAMPGRDVRLELAYGGNLMGFQPGQGSNYGVLRNPRSPSPIQYAGGILAGPMQSMGPPGGVQRNGVDADRWQRASAFQKGLMPSPQTPSQMMHRAEKKYEVGKVTDEEEAKQRQLKAILNKLTPQNFEKLFQQVKEVNIDNVTTLTGVISQIFDKALMEPTFCEMYANFCHHLASELPDLSVDNEKITFKRLLLNKCQEEFERGEREEQEANITDGDGETKLSDEEREEKRLKARRQMLGNIRLIGELYKKRMLTERIMHECIKKLLGEYQNPDEENIEALCKLMSTIGEMIDHPKAKEHMDFYFEMMSNLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQASRMSRTSSMSSSVRRGQPMDFVPRGSSMLPSPNSQMGGFRPVSPQIRGYGGQDARTDERQHSFDNRTFSVPLPQRSLGDEITLGPQGGLGRGMSFRGQGAAPSIPSTDMPSPGDSRRVSSGLNGYSPMPDRSTYGPREELVPRYMPDRSSQYDQPGTQDRNMPYGSRDRSFDTALPTSPPFRGGGGGASFTQNVPLDSALPEEHLRDKSLNAIREFYSARDENEVALCVNELNAPSFYPSMISIWITDSFERKEKERDLFGKLLVNLAKSGDVILSQDQLIQGFESVLSSLEDAVNDAPKAAEYLGHLFGRVIIENVIPYNAIGHLIYEGGEEQGRLLEIGLAAEVLGSTLEVIKSEKGESVLHEICRSSDLVLENFRPPGSNKQWKLDKFI >itb04g06630.t1 pep chromosome:ASM357664v1:4:4328129:4331532:1 gene:itb04g06630 transcript:itb04g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDSTSWRDELASLMDDTGIRFTAAGGDDDEDDAIGVSTPAFEGKRSAAAAAFLYQESEAAKETESLKEQIKGFGKAWGELLMELGRGCKDVVQQSLLTEDSYIVRKTKGPLSQVSERLRFVNEYLPEDKDPVHAWSVILFVFILFLAVLNVNNTRDTRGSELKKVCIHPPSAVRILLPDGRYMAYQELGVPSDKARYSLIMPHGFLSSRLAGIPGVKLSLLEEFGVRLITYDLPGFGESNPHPERNLNSSALDMAFLADAVGVNGKFWVIGYSSGAMHAWAALKYIPNRIAGAAMFAPLVNPYDSSMTRDEMTGTWEKWVRRRKLIYGLARRFPMFLGSMYRRTFLSGKHGRIDKWLSISLGEKDRALTEEPAFIEFWHRDVEESIRQGNVKPFIEETVLQVSSWGFSLRDLQVKEKCESSSIFPWLKFIYGQPKCELTGFLGPIHIWQGMDDHVVPPPMTDYVFRILPNAFVHKLPEEGHFSYFLFCDECHRQILSTLYGTPQGAIKTITETSTTERVGEEASAITNSTTE >itb04g06630.t2 pep chromosome:ASM357664v1:4:4328129:4330205:1 gene:itb04g06630 transcript:itb04g06630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDSTSWRDELASLMDDTGIRFTAAGGDDDEDDAIGVSTPAFEGKRSAAAAAFLYQESEAAKETESLKEQIKGFGKAWGELLMELGRGCKDVVQQSLLTEDSYIVRKTKGPLSQVSERLRFVNEYLPEDKDPVHAWSVILFVFILFLAVLNVNNTRDTRGSELKKVCIHPPSAVRILLPDGRYMAYQELGVPSDKARYSLIMPHGFLSSRLAGIPGVKLSLLEEFGVRLITYDLPGFGESNPHPERNLNSSALDMAFLADAVGVNGKFWVIGYSSGAMHAWAALKYIPNRIAGAAMFAPLVNPYDSSMTRDEMTGTWEKWVRRRKLIYGLARRFPMFLGSMYRRTFLSGKHGRIDKWLSISLGEKVSIYLHLCSLL >itb15g00160.t3 pep chromosome:ASM357664v1:15:112927:116007:-1 gene:itb15g00160 transcript:itb15g00160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGARVNGGDCCYQEEEDDDVSVASSSSSSVLRFPAGSFSLAAVGDGGGGMNYIEHPVSMMDTLAGVAIRYGVEVTDIKRINGLVSDFQMFALKTLQIPLPGKHPPSPTMADGIDSHGLKLSPAICSLYGIKLGDEEGSPLKRSPAAHGNGNGNGNGKGESPHSVAVESEHMFLFSKSSSNPPLNPRRKCRSVVFTNVEEEDAFSPKESKYYYNNDSSDSSSPLALGEKFMRKRQKSESDFLRSPEMLLLKEDECSSGGFSAVGSSTGLALRPKAAAAAAAASRAIAIAEPPIAIESVCANNLTRVRRSSSTSNLQDSDTSTFTSLWPITKPIFDGLPKPITGRSNKAALD >itb15g00160.t4 pep chromosome:ASM357664v1:15:112868:114659:-1 gene:itb15g00160 transcript:itb15g00160.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFALKTLQIPLPGKHPPSPTMADGIDSHGLYGIKLGDEEGSPLKRSPAAHGNGNGNGNGKGESPHSVAVESEHMFLFSKSSSNPPLNPRRKCRSVVFTNVEEEDAFSPKESKYYYNNDSSDSSSPLALGEKFMRKRQKSESDFLRSPEMLLLKEDECSSGGFSAVGSSTGLALRPKAAAAAAAASRAIAIAEPPIAIESVCANNLTRVRRSSSTSNLQDSDTSTFTSLWPITKPIFDGLPKPITGRSNKAALD >itb15g00160.t2 pep chromosome:ASM357664v1:15:112868:116007:-1 gene:itb15g00160 transcript:itb15g00160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGARVNGGDCCYQEEEDDDVSVASSSSSSVLRFPAGSFSLAAVGDGGGGMNYIEHPVSMMDTLAGVAIRYGVEVTDIKRINGLVSDFQMFALKTLQIPLPGKHPPSPTMADGIDSHGLYGIKLGDEEGSPLKRSPAAHGNGNGNGNGKGESPHSVAVESEHMFLFSKSSSNPPLNPRRKCRSVVFTNVEEEDAFSPKESKYYYNNDSSDSSSPLALGEKFMRKRQKSESDFLRSPEMLLLKEDECSSGGFSAVGSSTGLALRPKAAAAAAAASRAIAIAEPPIAIESVCANNLTRVRRSSSTSNLQDSDTSTFTSLWPITKPIFDGLPKPITGRSNKAALD >itb15g00160.t1 pep chromosome:ASM357664v1:15:112868:116007:-1 gene:itb15g00160 transcript:itb15g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGARVNGGDCCYQEEEDDDVSVASSSSSSVLRFPAGSFSLAAVGDGGGGMNYIEHPVSMMDTLAGVAIRYGVEVTDIKRINGLVSDFQMFALKTLQIPLPGKHPPSPTMADGIDSHGLKLSPAICSLYGIKLGDEEGSPLKRSPAAHGNGNGNGNGKGESPHSVAVESEHMFLFSKSSSNPPLNPRRKCRSVVFTNVEEEDAFSPKESKYYYNNDSSDSSSPLALGEKFMRKRQKSESDFLRSPEMLLLKEDECSSGGFSAVGSSTGLALRPKAAAAAAAASRAIAIAEPPIAIESVCANNLTRVRRSSSTSNLQDSDTSTFTSLWPITKPIFDGLPKPITGRSNKAALD >itb02g23540.t1 pep chromosome:ASM357664v1:2:23571388:23575266:-1 gene:itb02g23540 transcript:itb02g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate O-hydroxycinnamoyltransferase [Source:Projected from Arabidopsis thaliana (AT5G48930) UniProtKB/Swiss-Prot;Acc:Q9FI78] MKISVKESTMVRPAEETPRIRLWNSNVDLVVPNFHTPSVYFYRPNGADDFFESKELKDALSRALVPFYPMAGRLTRDEDGRIEIDCNGAGVLLVEAESDGFVDDFGDFAPTLELRQLIPTVDYSQGIESYPLLVLQVTHFKCGGVSLGVGMQHHAADGASGLHFINTWSDMARGLDLTVPPFIDRTLLRAREPPQPQFQHVEYQPPPALKASQPQNATSDTAVSIFKLSRDQISALKAKSKEDGNTVAYSSYEMLAGHVWRCACRARGLTEDQETKLYIATDGRSRLRPSLPAGYFGNVIFTATPIAVAGDLQSKPVWFAASKIHGALGRMDNDYLRSALDYLELQPDLKALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFMLPSPENDGSLSIAISLQEEHMKLFKEFLYDI >itb05g02270.t1 pep chromosome:ASM357664v1:5:1798306:1799618:-1 gene:itb05g02270 transcript:itb05g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATIPISAPQSAAAAGQAQTGISTPAFRAFISRLSSSIRQGLSLRRPWSELLDRSSFSRPDTLSEAASRVRKNFSYFRVNYVALLAAVLAFSLLSHPLSLLVLLALLAAWFFLYLFRPSDQPVVIFGRTFSDRETLGILVVSTIVVVFLTSVGSLLISALLVGLALVCTHGAFRIPEDLFLDDQEPANAGFLSFLGGAASTAAAAAAPAVATRV >itb08g12850.t1 pep chromosome:ASM357664v1:8:13340427:13340735:-1 gene:itb08g12850 transcript:itb08g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGAAKSNMLVLVALYQVVNESFQVYYSMAEILGILVGRFMKLDIPDSKKVHEIFQRIAKQWDEPEMFYSWSKPARISRESEYPEVENYPKEKLDIMEEII >itb06g14470.t1 pep chromosome:ASM357664v1:6:19013250:19018054:-1 gene:itb06g14470 transcript:itb06g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAAPISRCSKAHEKIYQGWFSFADSDGDGRITGGDATKFFSLSNLSRQELKQVWAIADSKRQGYLGFKEFVIAMQLVSLAQAGNAITVDLLDAKVDFENLEPPRMEGLDAQLAAKKKYTSKNEPEQNGSPPRQSSPAASWFQSSKSAKKVSLSSVTSIVDGLKKLYIQNLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRSSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINDTVTGPLGKELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAFIISHLRKEMPAMMGKAKTQQRLIDNLADEFAKVQREHHLPAGDFPSVEHFKEILSGYSIDKFERLKPKMVQAVDDMLGYDIPDLLKNFRNPYD >itb01g30420.t1 pep chromosome:ASM357664v1:1:34460504:34461212:-1 gene:itb01g30420 transcript:itb01g30420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQDMQQHRPENDDAVSSAEPFMQLNAFSTSENKHGRYRRRWLHRTKSDPNKKLSNSVMYNSESASSIPQLAKASLLHHWHGGLIALPDDTSKVIIL >itb14g11960.t1 pep chromosome:ASM357664v1:14:13568510:13571038:1 gene:itb14g11960 transcript:itb14g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSHGEGQDGEENMAAWLMGVNDLKIQPFKLPPLGPYDVRVSMKAVGICGSDVHYLKHMRCAGFIVKEPMVIGHECAGIIEEVGSEVKTLVPGDRVALEPGISCWRCDLCKEGRYNLCPDMKFFATPPVHGSLANQVVHPADLCFKLPDNLSLEEGAMCEPLSVGVHACRRANIGPETRVLVMGAGPIGLVTLLAARAFGAPRIVIVDVDQARLSVAEELGADVAIKVSTNIQDVAADIEKIKEAMGAGIDVSFDCAGFEKTMSTALGATRSGGRVCLVGMGHENMTLPLSSTTAREVDVVGIFRYKNTWPLCIEFLSSGKIDVKPLITHRYGFSQREVEEAFETSARGGNAIKVMFNL >itb05g22170.t1 pep chromosome:ASM357664v1:5:27667411:27675491:1 gene:itb05g22170 transcript:itb05g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MSQPVPVDILSDSDSDDDRCGAGGGRYRRDAIDLSTPLAVTLKKRRTELDSNPTVFVIDDDQTPGKPSLGLTASASTPSFVPETPFSDLSKPDFSIAKCSNFSSSIPYPTSPGPSVVEETPISEKSRFDFPVAKCSKGTEGSQVLASSVVNNHKFSETSKLICLDSDNESEDGSEFFAPKKNDSIGFAVEMECGSKLSTGFIQSTCFLGNADIMEVSASGFQPTSFRKDASLDHCCPSEEIDRLEPFENRCPSEEIDRLEPFENCGPSEEIDRLEPFEKVLKPNSKTRGNSSRKNKVDEEKEKKRILKEERLRLKEEKKLQKEQEKMQKAAQKAEAIEMKKLQKEKQKWENGKLALKSIVALIDTRVVELGSIGGHLITRLAEKGLSYRITTNPIEKSILWTMAIPEQLSEIASEPVDIRYVLLVYEAEEFCNLVLNGSFLDHASKVRSLFPLHTLCFLTNRLMSYINKREHAQYKDPSNKTGWKRPPIEEVLAKLTTQFVGVHSRQCIDEAEVAEHIVGLTSSLASCQYRKKLSRLSVNANGSLVPKDCVDKNLIKKSPWLKALVAIPKVQPRFAIAIWKKYPTMKSLLSVYMDPNKSVHEKEFLLSDLRIEGLLGDTRRLGDICSKRVYRILMAQSGGIKTDDIECGADFFGQPSV >itb01g01560.t2 pep chromosome:ASM357664v1:1:908513:912992:1 gene:itb01g01560 transcript:itb01g01560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGELGESSDPRRGEPAPALSSAPRVRAQADSFLVTCRVFSFITSLAAILCIVVNVYSAFRSFKNGYDVFDGIFRCYAVVIAVIVVVAETEWGFFIKFWKVLEYWAGRGMLQIFVAVMTRAYPESYGQRHEMLLLQDVASYLLLACGAIYVISGILCIGFLKRARQKKEISKEQTIKDLEDLERRREELEALLLLERF >itb01g01560.t1 pep chromosome:ASM357664v1:1:908514:912992:1 gene:itb01g01560 transcript:itb01g01560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGELGESSDPRRGEPAPALSSAPRVRAQADSFLVTCRVFSFITSLAAILCIVVNVYSAFRSFKNGYDVFDGIFRCYAVVIAVIVVVAETEWGFFIKFWKVLEYWAGRGMLQIFVAVMTRAYPESYGQRHEMLLLQDVASYLLLACGAIYVISGILCIGFLKRARQKKEISKEQTIKDLEDLERRREELEALLLLERF >itb01g01560.t3 pep chromosome:ASM357664v1:1:908513:911041:1 gene:itb01g01560 transcript:itb01g01560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGELGESSDPRRGEPAPALSSAPRVRAQADSFLVTCRVFSFITSLAAILCIVVNVYSAFRSFKNGYDVFDGIFRCYAVVIAVIVVVAETEWGFFIKFWKVLEYWAGRGMLQIFVAVMTRAYPESYGQRHEMLLLQDVASYLLLACGAIYVISGILCIGFLKRARQKKEISKEQTIKDLEVI >itb08g02630.t1 pep chromosome:ASM357664v1:8:2255746:2259465:-1 gene:itb08g02630 transcript:itb08g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTRNEIVAAAMVTMMSFIVTLTLAYESNMTDEAVRYKQNGISMVPKGCPDKCGNVSIYYPFGTREGCYLSEWFHINCTTSSDGVEKPYLSSFSDGNIKEILGISYQSSSITIQESISPICQTTTGLAYGSNNNITIISNTKLSQTPFLYSEYLNKFMLLGCGNALLTSPGYDILGGCTSLCGKFTERQHLCYGINCCQIQLSTYYYDAIKTYQVNFTNSAALNACSYAFFAHRDWFAESFTGSRRREELVVPVVWQWTIPYLPPSAHSDYCHHSIKSYGSSYSDNYYCHCPYPKIGNPLIANGCHGGPMLTVKELSAIIGVSGSIGILLLVWGCFILRKAIKKRKMKKQRQKFFKRNGGLLLQQQLLAKDGIIEKAKIFKASELDKATDHFNSNRIVGRGGQGTVYKGMLIDGQIVAVKKSQAVDENQLEPFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFIPNGTLLSLIHNNYDNELIPLSWDIRIRIASEVASALAYLHSATSIPIYHRDIKSTNILLDEKFRAKVSDFGTSRSISIDQTHLTTIVKGTFGYLDPEYFQSSQFTDKSDVYSFGVVLAELLTGQKPISFEVDDDEERSLVTRFLLCMEEKSLWEILDVKVSEQGEKEDVMAVAWLAQRCLNYNGKKRPTMKEVAAELDAIRASNPHLASAMKTLETELGFI >itb10g17240.t1 pep chromosome:ASM357664v1:10:23444839:23449431:1 gene:itb10g17240 transcript:itb10g17240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASAMLRCLIRRRPCFGGRSSSLGETLIVSSAATVALSDMPQPLFNSTIAPTVFLNFRSPHAFNHSRNFSSPSSSGPSNIVSIESEEQFNTSLCKVQDESLPAIFYFTAVWCGPCRLLSPIIGQLSEKYPHVTTYKVDIDKEGLASALSKLNIHSVPTLHFFQNGKKASEVIGADVQKLKDTMEALYK >itb02g08460.t1 pep chromosome:ASM357664v1:2:5324871:5325635:-1 gene:itb02g08460 transcript:itb02g08460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKMAIFRRRGEGSTFISSSERTKESTQETRRGEEGGWNTILEPVGKMQTEYYEEAKEQEEKEEEETRNKEEERSTKQSAKLYIAEIGQTTKDLMTGSDDQESESTGMERKSYHHHNK >itb01g07550.t1 pep chromosome:ASM357664v1:1:5955028:5959704:1 gene:itb01g07550 transcript:itb01g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGGPSFRFNPTEVAEMEAILQAHNTQVPAREVLVSLAEKFSASAERSGKVVVQMKQVWNWFQNRRYALRAKAAKTPSKVPAPVTHQSEPTIVRTVPQVPQPGPPPPSVTVRPMIQAPQGPQPIAAPSVSAGRNGSDSSQMEFEAKSARDGAWYDVAAFLSHRSMETGDPEVLVRFAGFGAEEDEWVNVRRNVRQRSLPCESSECVAVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLEQLNAESATTKQQKAGTDPQTANTLRVYAFSETAQKPPAISSGKPVEPLAKKIKTDEHVHATTMTSSAHIDGSASTLNLPKAVDHVNAGSNLPPMQQQQASNDAQPGNTLEANAPVESQKLLTESVQKLPAESMPESSAESKVTPPAEPTSMPPAESMPNPTVQNTEEEEHATVAPMSAAISSVPTPNPVESTDEQLNPEENANVAAVAPVTPAISPVTTPNPVEPTDGQLNPEEHANVAATVAPALSSVTTQKPVEPTDGQLNPEEHANVAATVAPAISSVTTPKPVEPTDGQLNPEEHANVAATVAPAISSVTTPNPVEPTDKQLNPEEHQNVAATVAPAISPVTTPNPVEPTDEQFNPEELANVAATVAPASNSAATSNPTEATDKQLKAYEQAAPAVAPDSHTDVSVANEYKDVSTKDAENVSSENVGISEIPIQSDVLMETCDAMDEDSDAVADMTGMLDTGGGSANVQQG >itb08g03240.t1 pep chromosome:ASM357664v1:8:2686011:2690198:-1 gene:itb08g03240 transcript:itb08g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCPGGTARSRSVVHHERSSGRPRKSKGMKNYEEETKGSSYDMDGSGKMQNLYDSGELSFSGELKPSTPARFGAANMASQASSFLGKASIVGLEKAVEVIDTLGCSVANLNNSGFIFVMGSKGNGISILAFEVANTITKAVNLLQSLSKENVEYLKDEILCSKSVRELVSTDKEELLSIAAADKRTEFKVFSQEVVRFGNMCKDPQWHNLDRYFSTLDSNPVSHEQFREEADMTMQELVTLAQHTSELYHEFHSLDRFEQEYRKKIVELDSLSLPRKGESLMMFHSDVKHQRKLVRGLKKKSLWSKSLEEVVEKLVDIVIFIHQEILEAFGDGGLMRMGKEPAKKRERLGMAGLALHYANLITKIDNIALRPTSLPPGTRDALYNALPPAVKTALRSRLQAPDMKKKLTVAQIKAEMERTLEWLVPVATDTTKAHQGFGWVGEWANNGNELLGKKGGIKNSFINLQTLYHADKTKMDSLILELVAFLHRLITLVKHNESRALPARSPTHKGLALHIETPNAAQLSLDDINLLEEVTRRRMLAPGRSKSQEFSVPEKGRNQQARALSRSAGSSPRAKMECPNANANANANALDILDGIHSRY >itb06g10780.t1 pep chromosome:ASM357664v1:6:15278796:15280972:1 gene:itb06g10780 transcript:itb06g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKFQILGAGSYGTVFLGRLSSSTSTDPQVFAVKSSKLESSRSLRVEGRILNQLRGCPYIVHCFGDDTSLEHEEVVYNLLLEYAPGGSLESLIKSRPGNIMEFEVSFYAYQLLKGLEEVHGWGLVHCDLKPANVLVFPCGCGVNRLKIADFGLAKPSGVNIFGNCHRGSLLYTSPESLVSGMHEAPKDIWAIGCIVVEMITGNPPDAFLNIASKKPEIPEGISNSCKDFLEMCFARNPSTRWTAAMLLNHRFVANEFNLLLGKKLEDHHQQGGRRILNQLRGCPYIVHCFGDDTSLEHEEVVYNLLLEYAPGGSLESLIKSRPGNIMEFEVSFYAYQLLKGLEEVHGWGLVHCDLKPANVLVFPCGCGVNRLKIADFGLAKPSGVNIFGIVTGGLYCTPRRSLWFPGCTRRQRYMGYRVHRCGDDHRKSAGCVSKIASKKPEIPEGISNSCKDFLEMCFARNPSTRWTAAMLLNHRFVANEFNLLLGKKLEDHHQVNSPFGFEGWSSTTCLFSTRIRACSSRPSASNQPVLFHQKMKTVILGH >itb10g14190.t1 pep chromosome:ASM357664v1:10:20477635:20485343:-1 gene:itb10g14190 transcript:itb10g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MNFPVQSNRSDNSRRGRNYPGQTARQEWVPRGSSSTTAPTATVTTTVESPAVAVDNLSSVGIGRELEDRSVPQVYRSRSYTGPRRNAVRYANQRRDRGMGRDKDNSERNKAEKVKEPDHNNESKQLNDPNLPQLVQEIQEKLLKGTVECMICYDMVRRSASIWSCSSCYSIFHLNCIKKWARAPTSVDLSAEKNQGFNWRCPGCQYVQLTSSKDIRYICFCGKRQDPPTDLYLTPHSCGEPCGKPLEKEVPGSGMSKEDLCPHHCVLQCHPGPCPPCKAFAPPRSCPCGKKVITTRCSDRKSVLTCGQRCDKLLACGRHQCERICHVGPCDHCQVLVNASCFCKKKTEVVLCGDMAVKGEIKVENGVFSCSSHCEKKLSCGNHVCHEICHPGPCGDCALSPIKVKTCWCGKTSLTEARNSCLDPIPTCSEVCTKTLRCGVHRCEKVCHSGDCAPCHVPVTQRCRCGSTSRTVECYRTFAEDDIFTCDRPCGRKKSCGRHRCSERCCPLSNPNSSLTGDWDPHFCSMPCGKKLRCGQHSCESLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPSPSCQYPCSIPQPCGHSSTHSCHFGDCPPCAVPIAKECIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACARTCHPAPCDSSAGANAGSRASCGQTCGAPRRDCRHTCTALCHPSTPCPDTRCEFPVTISCSCGRITASVPCDAGGSNGGHSADSIFEASILQKLTSPLQPVEGNGKKVPLGQRKLICDDECAKMEKKKVLADAFGINSSNSDALHFGENTGVSEVLSDLLRRDSKWVFSVEERCKFLVLGRGRGGVNALKVHVFCPMLKEKRDAVRLIAERWKLTVSAAGWEPKRFVVVHATPKSKAPARILGAKGCTVSSMLQPAIFDPLVDMDPRLVVALFDLPRDADISALVLRFGGECELVWLNDKNALAVFSDPARASAAMRRLDQGSAYYGAVGVPQIGVAPATSNAWGGSSVNKDAGGGPMLKDNAWKQAVVQELNQRETTWGGSEEWTNSSSNMEPGVWKGKEAPPLAAASSNRWSVLDTETAPSSSATSSTVKGSLKKSATNQVSDNETEAQSSDLQGQPQHEGNAAADVVDNWEEACD >itb04g27570.t1 pep chromosome:ASM357664v1:4:31562088:31563734:-1 gene:itb04g27570 transcript:itb04g27570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRRPEACFLLVFFLFLGLVSAQLSSDYYSKTCPKALSTIRSVISDAVEKEHRMGASLLRLHFHDCFVNAYDTSTFTGEKTALANSKSARGFEVIDTVKSKLESLCPGVVSCADILAVAARDSVAVLGGPSWVVQLGRRDSTTASLDDANNDIPSPLMDLSDLVSAFSNKGFTDKEMVVLSARCSTFRTRAYNESNIDASFATSLKSSCPSTGDDDNLSPLDTASPLAFDNGFFKGLVDNKGLLHSDQQLFSGGSTDSQVTAYSNRPLTFAADFAKAIVKMGNLSPLTGTSGQIRTNCRKIN >itb08g05880.t1 pep chromosome:ASM357664v1:8:4834244:4835749:-1 gene:itb08g05880 transcript:itb08g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKNNVKKGPWSAEEDAKLKAFIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNLKHGGFSEEEDTIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLFGKQVNDHGHSLIRANQRSNLQPEMVSCGPTSSPNNINIYQNPSYPELVSEPVLYPKEESGFKNPAHLTDTLPIVNSILSSAGEYTMEDCLINQMVYNVNPQNLEGVEFLCDNIFYNWKDGSSSSSAGGLDWNEINEIQSLVPSPPSPLASSYEGLEPAGNSVQLPAFG >itb03g22710.t1 pep chromosome:ASM357664v1:3:20781137:20784730:1 gene:itb03g22710 transcript:itb03g22710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDESRRRVEKENNSDNFPVGMRVLAVDDDPICLKLLECLLRKCQYHVTVTNQASVALKMLRENKDRFDLVISDVYMPDMDGFKLLELVGLEMDLPVIMLSANSDTGLVMKGVTHGACDYLVKPVRIEELRNIWQHVIRRKTFDSKHHSKSGDQDNEEEGRQGDQLSGTAEQNEKLNKRRKDEEDGSENEDPATQKKPRVVWSAELHRKFVAAVNHLGIEKAVPKRILDMMNVEGLSRENVASHLQKYRLYLKRISLVSTPQANMAMPYMPMGSLGGFGDLQTLAGPGQLNRATLSPYVPGSLLGRLNSSAGVSLQNRNLLGLLQPSHAQSSGNSLDLLGKLNSNAPPTSQNPSLFLGTQMQHGKFTKIEQVLNPMDNSKLLTAATTFTGSGSAFGNPINATILQGNSQQGQTGEGFGNPHSLNMTSLRSEPLNTGVSSASNFLGHGGPNGNLGNSILASNVQPNCYPLMETFTHSQLDQNHVRGNYSPAGPPLQSSPLGYNSTFSTSIPYENSRGQTQYQEGFIGDAIQSVNQAPTQFWGDHNSNSNNVFSNSSSQILGNGLMPPLSQIADQNNDIFNMKTDTPLIGQENGGSVVLFPHNENANFNQDSRMGSNGDYMLNSTKPQGAYSSLDDLMNGVIKGEQNGQFGFDDYLFGS >itb06g01500.t2 pep chromosome:ASM357664v1:6:2732391:2734236:1 gene:itb06g01500 transcript:itb06g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNGSCLTSGVGYKPYMPSTAKSYNSAYLCIPPPPSKVAASSIRSSLKPRQTLLSCSYRGISSVSSLPLHWRDDAFSCTTPKLQRESHFPLLPRRAFKDVSLAYGALSLHSSKLNFSCATLKLPRQRRFFLSPRAFKDGSYDYPYRAMTEKPKWWWRTLACLPYLMPLHMTWYFAAESYHLRPLIEKSDFLSKPYNNFLQRLPSWLLMAYTFIACFAIVKRKECPHFLRFHVMMAILLENLMHIIIIVYGWMPPFVHWGNNIGTHIWTAVTFVCIMTVLKCMKCALSGMYADIPFVSDAADFHLKYG >itb06g01500.t1 pep chromosome:ASM357664v1:6:2730807:2734236:1 gene:itb06g01500 transcript:itb06g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNGSCLTSGVGYKPYMPSTAKSYNSAYLCIPPPPSKVAASSIRSSLKPRQTLLSCSYRGISSVSSLPLHWRDDAFSCTTPKLQRESHFPLLPRRAFKDVSLAYGALSLHSSKLNFSCATLKLPRQRRFFLSPRAFKDGSYDYPYRAMTEKPKWWWRTLACLPYLMPLHMTWYFAAESYHLRPLIEKSDFLSKPYNNFLQRLPSWLLMAYTFIACFAIVKRKECPHFLRFHVMMAILLENLMHIIIIVYGWMPPFVHWGNNIGTHIWTAVTFVCIMTVLKCMKCALSGMYADIPFVSDAADFHLKYG >itb05g02510.t1 pep chromosome:ASM357664v1:5:2026287:2029409:1 gene:itb05g02510 transcript:itb05g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYLRLVVLFLVFQNLGFCWSLKDEGLGMLRSKEKVGNDPFEAFMALITTKFKSIAPRKLKEKVPRGRREFRIPDALARAPAPAPELSPSPSPSPSSFRPFAVPPPPSVVPKIAPPEPPPPVSSVAPGSVPPSTATPRNERKKHSKILILAAVVGGSVLLVVSAIGIVFCQRNKMAVVKPWATGISGQLQKAFVTGLPKLRRSELETACEDFSNVIGSSSVYTLYKGTLSSGVEIAVLSLTMESAKDWSRDQEAQFRHKIETLSKVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGNLFEHLHIREAEHLDWAMRMRIMMGMAYCLEHLHNLTPPLFLRNMNSSSVHLSNDYAAKMSDFVFWSESAAGEMHSNAASNVYSFGVILFEMMTGRLPYSDSTSSLEDWASHYLRGGQSMREMADPTLDSFQEDQINRIGEVIRLCVHPQPRQRPAMREVSARLREITEIGPDGAIPKVSPLWWAELEIISSETS >itb13g23720.t1 pep chromosome:ASM357664v1:13:29633198:29635045:-1 gene:itb13g23720 transcript:itb13g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVMQSILGSPVTSFKNRNGVSQFVPSSYLPRLQRNPNFLVRSMSEDDAGKEQPTTPVVESSTTAPKPTPPPSPAPKVAAKSSTNLWDVFSFSGPGPERINGRLAMIGFAAAMGMELANGSDLFAQISNGGVTWFLGTTALLTLASVIPLFQGVTAESKSKGLMTADAEIWNGRFAMLGLVALAFTEYVQNGPLLHV >itb03g20860.t1 pep chromosome:ASM357664v1:3:18687969:18689642:-1 gene:itb03g20860 transcript:itb03g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENMENPLRLKSLNHISIVCSSVEKSLDFYQNVLGFFPIRRPGSFDFRGAWLFNYGIGIHLLQAEDPDNLPKVTNINPKDNHISFQCESMTTVEKRLKEMEIEYVKCRVEEGGVHVDQLFFHDPDGMMIEICNCDNLPVIPLSGEPLRPAAALTCTMQQQQQIQQVV >itb01g20060.t3 pep chromosome:ASM357664v1:1:26367935:26371517:1 gene:itb01g20060 transcript:itb01g20060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSSPAKADGTVKKIRKPKPWKHPQPITKSQLMQLREEFWDTAPHYGGRKGAKYELPKYVLSEPTNLIRDG >itb01g20060.t2 pep chromosome:ASM357664v1:1:26367935:26369236:1 gene:itb01g20060 transcript:itb01g20060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSSPAKADGTVKKIRKPKPWKHPQPITKSQLMQLREEFWDTAPHYGGRKEIWDALRAAAEADLNLAQAVVDSAGVIIQSADLTVCYDERGT >itb01g20060.t1 pep chromosome:ASM357664v1:1:26367935:26371517:1 gene:itb01g20060 transcript:itb01g20060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSSPAKADGTVKKIRKPKPWKHPQPITKSQLMQLREEFWDTAPHYGGRKEIWDALRAAAEADLNLAQAVVDSAGVIIQSADLTVCYDERGAKYELPKYVLSEPTNLIRDG >itb15g04470.t1 pep chromosome:ASM357664v1:15:2826076:2829766:-1 gene:itb15g04470 transcript:itb15g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRMFILPTWSCLHFLFCISIISFFFCSNLAVSFKTVDTLPGYSGTLPFKLETGYIGVGEYEDVQLFYYFVESEKNVEKDPLVVWLTGGPGCSALSGLVYEIGPFTFDLASFDGTLPSINLNPYSWTKVASIIFIDSPVGTGFSYAKSPKNYPSTDTTWTNHLYMFLQKWLSDHPQFQKNRLYIAGDSYAGKIVPMLVSEIKKGIENGSEPRMLIQGYIIGNPVTTYNHDTNWRIPYAHKLAIISDEYYKFAESSCNGEYVNPDPSNFKCLYALQPIQQCIEGIFLGNVLEPTCKFTAPNPNTGKEDQSDETTTSTTEIDIDIFLRSEEEPWCRNHNYIPSYFWANDPSVQEALGIENGTISDWKRCNKSLAFESDVPSAFEYHQLFSNSTPFQVLVYSGDHDMDIPYLGTLSWIHSLNLTGDCNWKPWFVNGQIAGYYERHKRSENQFYLTFATVKGAGHTAPEYKPKECLALVDRWLSSYPL >itb01g35710.t1 pep chromosome:ASM357664v1:1:37767815:37770308:-1 gene:itb01g35710 transcript:itb01g35710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNEDSGAQDAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDLKTSMSSIGAASQCQKCFETGHWTYECKNERVYISRPSRTQQLKNPKLRTKVSISYDLENPDIEKDGKKEEKVKKSKRKHKSDTESGGDDSEASVFESDSGAGTNSSSGGSETSYSSSTDSEEERRRRRKKKKKLQQRKRRHRRYSSTSESSDSSSDSESDSEDRSSRKKSSRRQSRRR >itb12g22860.t1 pep chromosome:ASM357664v1:12:24802115:24803099:1 gene:itb12g22860 transcript:itb12g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSTAISPVVVLAVRVLTFVCLLISLILITTNNVTISSSDYDGGSKIKFNDLYAYRYLVSTVVLGIAYTLLQTAFSIFQVSTGNRIGGEGFCLFDFYGDKVVSYLLATGAAASFGMTVELKRNEPVKDMEKFLNMGNAAASLCFIGFLFAAASSVFSSYALPKRV >itb05g14540.t1 pep chromosome:ASM357664v1:5:21657681:21662336:1 gene:itb05g14540 transcript:itb05g14540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSIPGMIGALGSILGSKSQDTLILASELAVKIVSVLPSSMLESHFPVLVFPLSSLLSSHQSQISISSATALNFILSNLSTKREKEVWEILKQRNVVGDIVLNVKGFSIGNKPIEFFQEMASLLSKILQRWPSSRFCVYTDIKLLDLLDTLSFHPEISIKASVLQIHSAIALCLNGAKKILENGETLLKMMVDSMDISKPYSIQMEAFKLAQCLMMSDEECSTVIKICGESIVKAIITRMGNLSSGQKLAKDQMSTLLEACRLALITRWVGEHHHYFWKAGIDGVLLNLLLDNFHTDYHSLHFLPLREQIVKIKQGLQTSFCLPLRPYVWDILGYLAAHSAEDCNPMMHGHEASFNTLVVCACLAFVDSISVSHQIDQVIYALESVSRAVLMMIYSPWKYLAYQTRFIISEVLSSSSKDYVEYLLNTLRTASSGNKVGMPSNLKIAISLMNLACYSGLPKYRKHVIEHQGVTILLTFIRWWILNPICIRRIYLAPHLGNQFCLRACCWCHSADWEGEEILLLLGLWCLAELVGNSSSSEDHVVLSNYLVGADKVQFIEELQQICYNISSPGSRWYVAYILWHLGFYGFPTKFGQRVQKALNENQNSDLELILASQESIYVHGIILSVRCPSLLPSKELKEKVSFGSFSKQDAETHERLINKVQLSAQVDHQTLAKLLEYVYSGYFEAGEDLVKRLKLYAKHCNLRPLLHMLDRRTPKWGNPLPRFDLTCALESAGYQFSDIILEAKAADLPSWRCSVCCSLVPHYHLHKVVLWSSCDYFRALFQSGMQESHLQTLKVPVNWECLVKLVKWFYSGELPRPISGCIWDNLDIQEKQKEVGPYVELLWFTEFWLLDELHEDCFSVIETCLDSCGDLSIKLIQLAADHSQWKLVELCTKYAAPLYHRIRNCGEVDAMDENLVEMIRAASVRLSQEKHY >itb05g14540.t2 pep chromosome:ASM357664v1:5:21657681:21662296:1 gene:itb05g14540 transcript:itb05g14540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACEFSSLMAALCLNGAKKILENGETLLKMMVDSMDISKPYSIQMEAFKLAQCLMMSDEECSTVIKICGESIVKAIITRMGNLSSGQKLAKDQMSTLLEACRLALITRWVGEHHHYFWKAGIDGVLLNLLLDNFHTDYHSLHFLPLREQIVKIKQGLQTSFCLPLRPYVWDILGYLAAHSAEDCNPMMHGHEASFNTLVVCACLAFVDSISVSHQIDQVIYALESVSRAVLMMIYSPWKYLAYQTRFIISEVLSSSSKDYVEYLLNTLRTASSGNKVGMPSNLKIAISLMNLACYSGLPKYRKHVIEHQGVTILLTFIRWWILNPICIRRIYLAPHLGNQFCLRACCWCHSADWEGEEILLLLGLWCLAELVGNSSSSEDHVVLSNYLVGADKVQFIEELQQICYNISSPGSRWYVAYILWHLGFYGFPTKFGQRVQKALNENQNSDLELILASQESIYVHGIILSVRCPSLLPSKELKEKVSFGSFSKQDAETHERLINKVQLSAQVDHQTLAKLLEYVYSGYFEAGEDLVKRLKLYAKHCNLRPLLHMLDRRTPKWGNPLPRFDLTCALESAGYQFSDIILEAKAADLPSWRCSVCCSLVPHYHLHKVVLWSSCDYFRALFQSGMQESHLQTLKVPVNWECLVKLVKWFYSGELPRPISGCIWDNLDIQEKQKEVGPYVELLWFTEFWLLDELHEDCFSVIETCLDSCGDLSIKLIQLAADHSQWKLVELCTKYAAPLYHRIRNCGEVDAMDENLVEMIRAASVRLSQEKHY >itb13g15040.t1 pep chromosome:ASM357664v1:13:21684759:21689208:-1 gene:itb13g15040 transcript:itb13g15040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLKLVTKMEEWFRENGAEYSYMATENDNQASINLFTHKCGYSKFRKPSILVQPVAEGAVHDGEVAGGAGVSGGAAGVVGGAELGLRKISIGMGLGIRNMCIFLFVTVILPLMCYGEQIENSLYTRATYYGSPDCYGTPTGACGFGDYGRNVNGGKVSGVSKLYKNGTGCGACYQVRCKIPTHCNEEGTRIVVTDYGEGDRTDFILSTRAYSEMANPGLANELIALGVVDVEYRRIPCRYNGYNLMIQVHDKSDFPNYIAIMPLYQSGLSDITAAQIWQADCKEWRDMRRVFGGVYDYQNPPRGSLSFRLQTNLNGNTKWVEMLDILPQQWKVGVAYDTNIQLD >itb13g20450.t18 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t18 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t17 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t17 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t4 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSDLILDH >itb13g20450.t14 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t9 pep chromosome:ASM357664v1:13:27269386:27278672:1 gene:itb13g20450 transcript:itb13g20450.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t15 pep chromosome:ASM357664v1:13:27269386:27278672:1 gene:itb13g20450 transcript:itb13g20450.t15 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t7 pep chromosome:ASM357664v1:13:27269386:27278672:1 gene:itb13g20450 transcript:itb13g20450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEVEKRDGGGNAANQVSDTFATGKKEVGFRRTASCGDAEVTETSFSDMLKSNAKKPPQEVHSSTAASESFDAAQGARRNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >itb13g20450.t13 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEGIFYSYLLHGNLADRKL >itb13g20450.t6 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEGIFYSYLLHGNLADRKL >itb13g20450.t5 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEVEKRDGGGNAANQVSDTFATGKKEVGFRRTASCGDAEVTETSFSDMLKSNAKKPPQEVHSSTAASESFDAAQGARRNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >itb13g20450.t16 pep chromosome:ASM357664v1:13:27269386:27278672:1 gene:itb13g20450 transcript:itb13g20450.t16 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t1 pep chromosome:ASM357664v1:13:27269386:27278721:1 gene:itb13g20450 transcript:itb13g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEVEKRDGGGNAANQVSDTFATGKKEVGFRRTASCGDAEVTETSFSDMLKSNAKKPPQEVHSSTAASESFDAAQGARRNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >itb13g20450.t3 pep chromosome:ASM357664v1:13:27269386:27278672:1 gene:itb13g20450 transcript:itb13g20450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEVEKRDGGGNAANQVSDTFATGKKEVGFRRTASCGDAEVTETSFSDMLKSNAKKPPQEVHSSTAASESFDAAQGARRNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >itb13g20450.t2 pep chromosome:ASM357664v1:13:27269386:27278721:1 gene:itb13g20450 transcript:itb13g20450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t10 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEGIFYSYLLHGNLADRKL >itb13g20450.t8 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGGMYSDDNSTGGAMAEDVKKDRASAATSRCPENILLKRPPVMRVLSNQEGLSELNIDNVRGRSLSSEGIFYSYLLHGNLADRKL >itb13g20450.t12 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKGSDEDKSLTGLLDLSKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSGVQPKSFLAEEIAGLNTAENFSFRSHSGSLIEEPFLSGINDINKGSQLETRGQVKLAGSAAIDQGEVPVSVLRRNTSLDTGVGNAGMYSDDNSTGGAMAEDVKKDR >itb13g20450.t11 pep chromosome:ASM357664v1:13:27269386:27278665:1 gene:itb13g20450 transcript:itb13g20450.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLDLPDDLLSSRPSDQTWIPKDQAVPDSSIPLSPQWLYAKPSDTKTEMRTPSSLSLGSSADPSQKEAWRTDAPEDKKDWRRTTTENDSRRWREEERETGLLARRDRRKQDRRAVENVSAKETTENRALPTTDRWHDGGNRNAGLEARRDSKWSSRWGPEEKEKEARTEKKVDTEKEDANSDTQTFVASRAVPERDPDARDKWRPRHRLEGTTAVPGSYRAAPGFGVERGRAEGSYVGFVIGRGRSSMSIQRSSGGAIGAALSENDKSVLGKPFIAANTFSYPRGKLLDIYRWQRIDSSFGNVYEKMEEAPPITQETVIEPLSFVVPDHEEEAILNDMWTGKITSSGALYNCYKKGRSTDNIAEIEEMESSNGKVSALPTDTKEKMADIIPNVSKDIRELAVDYSVDTNLHGIEAKNRVPDVAGDDIISTLIRDEYKSRSIDISRSQFEDSELTPSYRAKSTAASDISSKLSNDSNSLFGMPSSAQYLGSNTNENQLTRGVPPEELSMFYRDPQGEIQGPFLGVDIISWFEQGFFGTDLPVRLVDAPEDSPFEELGDVMPHLRVGHSYGGVPDPSSKLEQPATSEGALVADIQDSMSVSVVDSSTTRDGLMWQPSDFDGPYAHHIQSKLTNHEFLQPEKSFSKGDDFNDFVAQDEEIVFPGRPGSSGNPIGKASRTSNDPSSIINGSSIPSEMSEHGMAVQRDNNLHPFGLLWSELEGPFARNGSRAGQDQRVNPIAGRVSPFGTMNESTVAVEARPDIYKKNVLSDPNMYQSAMDARHLSRMDQESNHYDLADKLLSQQLQQQHLQPHNLMSPHNVHMNDAMMERVGTQNSIHSPHLAGQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQTQAREQLLLEQILRNQMLDSNHGQSHVDAMIANSAREQAFMKQKILSELQLPQLPTRQADPSIEHLIQARFGQMQHPEHRNDLLELLSRAKHGPMHPLEHQIIQHEQLHGRQMPMGLRQRLEMEEDRQTSFWPINETGQFHRNPGVAHRTSSGLGPLDLFQKQQILSPEDHIGLLERNLALQDRRPQRGFYDPGLNPFERSMTLPGGGGGGVNMDVVNTMARAQGLHVQDPNVQMHSGGNMGGFSSGAYHRSLVPNAFHGSHSDSMEGQWSESNGQMPTDWIESHIQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQLSTHRPENFVGTSYERGLASAHISGTNNVNYSFNAVSDQEMGLSQSLVVGPCSSNSDLILDH >itb04g23020.t6 pep chromosome:ASM357664v1:4:28236197:28241641:1 gene:itb04g23020 transcript:itb04g23020.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGQAQLFYDLGAADLRAVGKRSLEWDPSDWKWDGHLFLARPLNPNPSSNYQSRQFFPLETGISTAVVSSTSNSSSSCSDEVNIGSEKETRELEKRQRVIVVEEDNLEGGETGTLTLKLGERGFPSNERELQTLDGNIGKKIKLSGPTSNRAVCQVEDCGADLSKAKDYHRRHKVCEMHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVLLSFCTFYSWVAL >itb04g23020.t4 pep chromosome:ASM357664v1:4:28235918:28241553:1 gene:itb04g23020 transcript:itb04g23020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVAIEAWKNARDSTGFTPEDYARLRGHYSYIHLVQRKLNRKVSTEHVVVDILSAVGDGTYKLKQNDGGSMSFEVSRSRSREVGCRVCDQKMGYVMRGRSLLYKPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEMLDYGSS >itb04g23020.t5 pep chromosome:ASM357664v1:4:28236197:28241641:1 gene:itb04g23020 transcript:itb04g23020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGQAQLFYDLGAADLRAVGKRSLEWDPSDWKWDGHLFLARPLNPNPSSNYQSRQFFPLETGISTAVVSSTSNSSSSCSDEVNIGSEKETRELEKRQRVIVVEEDNLEGGETGTLTLKLGERGFPSNERELQTLDGNIGKKIKLSGPTSNRAVCQVEDCGADLSKAKDYHRRHKVCEMHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVLLSFCTFYSWVAL >itb04g23020.t3 pep chromosome:ASM357664v1:4:28235918:28241553:1 gene:itb04g23020 transcript:itb04g23020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVAIEAWKNARDSTGFTPEDYARLRGHYSYIHLVQRKLNRKVSTEHVVVDILSAVGDGTYKLKQNDGGSMSFEVSRSRSREVGCRVCDQKMGYVMRGRSLLYKPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEMLDYGSS >itb04g23020.t7 pep chromosome:ASM357664v1:4:28235963:28241641:1 gene:itb04g23020 transcript:itb04g23020.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGQAQLFYDLGAADLRAVGKRSLEWDPSDWKWDGHLFLARPLNPNPSSNYQSRQFFPLETGISTAVVSSTSNSSSSCSDEVNIGSEKETRELEKRQRVIVVEEDNLEGGETGTLTLKLGERGFPSNERELQTLDGNIGKKIKLSGPTSNRAVCQVEDCGADLSKAKDYHRRHKVCEMHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVAIEAWKNARDSTGFTPEDYARLRGHYSYIHLVQRKLNRKVSTEHVVVDILSAVGDGTYKLKQNDGGSMSFEVSRSRSREVGCRVCDQKMGYVMRGRSLLYKPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEMLDYGSS >itb04g23020.t8 pep chromosome:ASM357664v1:4:28236197:28241641:1 gene:itb04g23020 transcript:itb04g23020.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGQAQLFYDLGAADLRAVGKRSLEWDPSDWKWDGHLFLARPLNPNPSSNYQSRQFFPLETGISTAVVSSTSNSSSSCSDEVNIGSEKETRELEKRQRVIVVEEDNLEGGETGTLTLKLGERGFPSNERELQTLDGNIGKKIKLSGPTSNRAVCQVEDCGADLSKAKDYHRRHKVCEMHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVLLSFCTFYSWVAL >itb04g23020.t1 pep chromosome:ASM357664v1:4:28235918:28241641:1 gene:itb04g23020 transcript:itb04g23020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGQAQLFYDLGAADLRAVGKRSLEWDPSDWKWDGHLFLARPLNPNPSSNYQSRQFFPLETGISTAVVSSTSNSSSSCSDEVNIGSEKETRELEKRQRVIVVEEDNLEGGETGTLTLKLGERGFPSNERELQTLDGNIGKKIKLSGPTSNRAVCQVEDCGADLSKAKDYHRRHKVCEMHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVAIEAWKNARDSTGFTPEDYARLRGHYSYIHLVQRKLNRKVSTEHVVVDILSAVGDGTYKLKQNDGGSMSFEVSRSRSREVGCRVCDQKMGYVMRGRSLLYKPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEMLDYGSS >itb04g23020.t2 pep chromosome:ASM357664v1:4:28235918:28241641:1 gene:itb04g23020 transcript:itb04g23020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGQAQLFYDLGAADLRAVGKRSLEWDPSDWKWDGHLFLARPLNPNPSSNYQSRQFFPLETGISTAVVSSTSNSSSSCSDEVNIGSEKETRELEKRQRVIVVEEDNLEGGETGTLTLKLGERGFPSNERELQTLDGNIGKKIKLSGPTSNRAVCQVEDCGADLSKAKDYHRRHKVCEMHSKATKALVGNIMQRFCQQCSRFHALQEFDDGKRSCRRRLAGHNKRRRKTQPDTISNSNTMNDSQASGYLLMSLLKILANMHSNKGNSTEDQDLLSHLLKSLASQGALSGDKSISGILHESSNLLNNGPAFRDSDMISALISNGSQAPSRPKEQPCTASASKMPTKGLDVHDVGVEDMRASSSQRPVNMFSVESSSLVTAPGQESSAGRNKLITFDLNDMYVDSDDGMEDVERLPVPADVVTGSLECPSWVQKDSHQSSPPQASGHSDSGSAQSPSSSNGDPQSRTDRIVFKLFGKDPSEFPFLLRAQILDWLSHSPTDIESYIRPGCVILTIYLRLPESAWEELCCSLNSSLSRLLDAPDNDSFWRNGWIYVRVQNQIALLCSGKVLVETSLPFNSYEYSTIVSVKPIAVPVSERSQFTVKGFNLSTPLARLHCALEGNYLVPEASIEESEHSTELEEHHEIQSVNFTCSFPEAAGRGFIEVEDHDGLSSSFFPFIVAEKDVCSEIRTLENEIELSQKDYINGQIDKMETRNQAMDFLHEMGWLLQRNNLKSRMSKLDASASCCFPLKRFAGLMEFSIDHNWCAVVKKLLDIFFNGSVGTGEQSSSLKLLFSEMGLLHKAVRRNSRPLVELLLRYSPDRVADELRLEYEEALGGCDGGFLFRPNILGPSGLTPLHVAAGIDGSEDVIDALTDDPGKVAIEAWKNARDSTGFTPEDYARLRGHYSYIHLVQRKLNRKVSTEHVVVDILSAVGDGTYKLKQNDGGSMSFEVSRSRSREVGCRVCDQKMGYVMRGRSLLYKPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEMLDYGSS >itb09g07320.t1 pep chromosome:ASM357664v1:9:4232368:4233933:-1 gene:itb09g07320 transcript:itb09g07320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPINHRILAFDNREIEFSGDAAINLSDTVFEFLDDEGQGSSPESISDNICDESDDENEKKDSADDSDFWGTQHQLLQATLCRTTSLETKIRNITKETLKEAKQGGNICGCQKPPFNGGDGCRNCLMKAICSRLQNAGFNSAICKSKWKSSDIPSGEHTFLDVVDYSNAKKGEVRIVIELNFRAEFEIAKAGEEYSKLVKSLPEVFVGKIERLLSVIKILCAAAKKCMKERKIYIAPWRKQRYMQAKWLKTCQRATAALMLPAGESSRPRRPRASMLTVDMLENLPNSHRTAVAVV >itb03g26870.t1 pep chromosome:ASM357664v1:3:26538000:26538539:-1 gene:itb03g26870 transcript:itb03g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFQKAKKVRLRGRGDKHLIAADDEETVVQCRNGSAENAVWRVEVVEGKDVVRLKSCHGKYLTASCVPFIPGVTGKKVLQTVPDENEAASTEWEPIRDGFQVRLKTFQGNYLRPNRGLPPWRNSITHDPPNRNRTYEKALWDVEAVEEEEQAEKSGQEHRRTTSEDAFARTDDDSEFT >itb07g21610.t2 pep chromosome:ASM357664v1:7:26023521:26036464:-1 gene:itb07g21610 transcript:itb07g21610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETATPHSGEGNDVQRPFLDLGAFVEDLNVEEDGASEDISLEGLEEELEECKSDDVVANILSEGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRDCDMILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIVVDGEVNEEYMRTLEILSKKLKFVEVDPMVKTSKALKDVQPELEKLRQKAVSKVFDFMVQKLYSLRKPKTNVQILQQNVLLKYKFIISFLKEHGKEVYVEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVETRSGGLFSRGREPLKNRSAVFALGERINILKEIEEPPLIPHIAEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDNFFGEESIFYDIFAGPFAVIDEHFNLILPNSFDAIGLMLMIRIIHQHQLVMSRRRIPCLDSFLDKVNIALWPRFKMVFDLHLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAIDDLLVKLAKMFPKQKQQTVFLINNYDMTISVLKEAGPEGGKIQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSSGSERPITAAEVEPIVKDFASRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSLKRIAGGTALNKDLVSISSIMYEIRKFSRTF >itb07g21610.t1 pep chromosome:ASM357664v1:7:26023521:26036537:-1 gene:itb07g21610 transcript:itb07g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETATPHSGEGNDVQRPFLDLGAFVEDLNVEEDGASEDISLEGLEEELEECKSDDVVANILSEGMKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRDCDMILSQMEALLSGFQTEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLSKFVEDIIVPPRMIDIVVDGEVNEEYMRTLEILSKKLKFVEVDPMVKTSKALKDVQPELEKLRQKAVSKVFDFMVQKLYSLRKPKTNVQILQQNVLLKYKFIISFLKEHGKEVYVEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSSDLIGVETRSGGLFSRGREPLKNRSAVFALGERINILKEIEEPPLIPHIAEASSKKYPYEVLFRSLHKLLLDTASSEYLFCDNFFGEESIFYDIFAGPFAVIDEHFNLILPNSFDAIGLMLMIRIIHQHQLVMSRRRIPCLDSFLDKVNIALWPRFKMVFDLHLNSLRNANIRTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAIDDLLVKLAKMFPKQKQQTVFLINNYDMTISVLKEAGPEGGKIQQHFEDLLKNNTAIFVEELLLEHFSDLIKFVKTRGSEDPSSGSERPITAAEVEPIVKDFASRWKGAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDSLKRIAGGTALNKDLVSISSIMYEIRKFSRTF >itb10g21820.t1 pep chromosome:ASM357664v1:10:26861809:26868162:-1 gene:itb10g21820 transcript:itb10g21820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEIGQDFKGEVEDSNCPDEQEVMEETTLDWRGRPSNPAKHGGIRAALFVLGLQGFEIMGLAAVGNNLITYVINEMHFSLSKSANIVTNFIGTVFLLALLGGYLSDSFLGCFWTALIFAFLELSGFILLSIQAHIPQLKPPKCNVLIDGEGCEEAKGLKALIFYIALYLVALGSGCVKPNMIAHGANQFDPNQNPKKLSTYFNAAYFAFSVGELVALTLLVWVQTHSGMDVGFGISAAAMAMGLISLVCGTVLYRNKPPQGSIFTPISQVFVAAFLKRKTEFPSDPRMLHGSRPTLSYHLHHTNRFRFLDKACIKQVEDGALSSKENPWRLCTVTQVEQVKVLLSMIPIFACTIIFNTILAQLQTFSVQQGSAMDTNLKSFKIPPASLQAIPYMMLIFILPLYDAVFVPFARNLTAHPSGISPLLRIGLGLFTATFSMVSAALTEHKRKTASEKSGEILSIFWIAPQFLIFGFSEMLTAVGLIEFFYKESTKGMQTFATSMTYCSYSFGFYLSSVLVSMVNKITSSGSKGKGWLSDNNLNNDRLDLFYWLLAGLSFLNFLNYVFWARWFSRNRSPEQRSFGTTKVVGDNDDSISVA >itb10g20280.t1 pep chromosome:ASM357664v1:10:25916435:25917532:1 gene:itb10g20280 transcript:itb10g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSKKACNQLLRYRWSFRPAEPCDVFINHRGIDTKRNVAGMLYDRLRGHRLRPFLDSKSMKPGDKLFGKIEAAIRGCRVGVAILSPQYCESYFCLHELALMVESKKRVIPVFCDVKPSELAVKDNIFRVYGDNKDMEKFRWALEEAKFTVGITFDTMHGDWSELLTRVTEAVMSNMVEVERDQFIKLNKKTGCQLLQKIR >itb09g14560.t1 pep chromosome:ASM357664v1:9:9811952:9813806:-1 gene:itb09g14560 transcript:itb09g14560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MVVPESEAASQGEVESPLQLQDLQAEQQQLQQKNNNGLSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLNSIWTAEENQAHAQAQAQAQTQVQPPHPHVGAVATATAAAESNAVTHNFMLGQASVPAEKGIARQQSLARQASLTLPAPLCRKTVDEVWAEIHKTHQQQQQHNSDGKFQNNPGGDNNNTQRQVTFGEMTLEDFLVRAGVVREQGNTAPLPARPQQYTAMYPNNNTPAAIAPTYVARPVMGLGGGAVPAYQALPQGGVVEAPGYPKRGGGYAQPSPPVYGGRMGNGSAVGYAHMQGLGLGSPVSPVSSDGLCVNPGGDGGNHYGLETGIRGGGGRKRIIDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENANLKQALDEMDRKRKQQAFEEVKPTTPSKAQKASEKLRVLRRTLSWPS >itb13g26990.t1 pep chromosome:ASM357664v1:13:32057447:32058841:-1 gene:itb13g26990 transcript:itb13g26990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRILNTNVDGKQKIMFALTSIKGIGRRFANICCKKADIDMNKRAGELSSAEIDNLMTVVANPRQFKVPDWFLNRQKDYKDGGYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >itb09g15110.t1 pep chromosome:ASM357664v1:9:10363046:10370600:-1 gene:itb09g15110 transcript:itb09g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWSRRVTGLWSAAAASFSSSFRLLWIMMPLFLVAGLAVFSGPRTSFLLFASPFLHGGGGVFNGSSTPQSQEIFSPNISSETNKTHENLTSIAGPLLPTEHEETKNGTSSSSSNSTEDGGTTSSSSTRRYNKLEMLEAGLARSRASILRGNSTIDDNFIPSGPMYWNASVFHRSYLEMEKTLKVYVYKEGEPPVFHFGPCKHTYAIEGYFIQAMDTIPFQTSDPHQAHLFFLPISVTMLTQAIFVPESHDWTLMMKTAFDYVNVIAHKYPFWNRSLGADHFILACHDWGPKISFAVPNLYNNSIRALCNANTSERFDPKRDVSIPEIHLPLGTTKGLLGGPSPSQRTVLVFYSGGLHGPIRPILMKHWENKSDEDVQIHSYLPKGVSYYGMMRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKEGYVIPFSDVLNWKKFAVIIPVRDIPNLKKILTGISQRQYLRLQSRGLKMRRHFEINNPPKRYDVFHMILHSIWLRRLNIRLRES >itb12g19570.t1 pep chromosome:ASM357664v1:12:21992343:21999015:1 gene:itb12g19570 transcript:itb12g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQSNGDAEHHVKIPKIKFTKLFINGDFVDSVSGRTFETVDPSNGEVIARVAEGDKEDVDSAVKAAREAFDHGPWPRLSGAERQKIMLKLADLIEENVDEIAALDALEGGKLFTEGKYVDVPSAVRDFRYYAGTADKIHGTTLKMSRGLQGYTLLEPIGVVGHIIPWNFPAQMFSLKVAPALAAGCTMVVKPAEQTNLSALFYAHLSKLAGVPDGVLNIVTGFGRTAGAAISSHMDIDKVSFTGSTEVGRLIMQAAAASNLKPVSLELGGKSPFIVFDDVDVDQIAGLALAASTFNKGEICVAGSRVFVQEGIYDKFVEKVVEKAKAKVVGDPFDPNVQQGPQVSKTHYERVLSYIEHGKREGATLLAGGKPLDRKGYFIEPTIFADVTDDMIIAKEEIFGPVMCILKFKSVEEVIERANSSKYGLAAGIMTNNLNIANTVSRSVKSGVIWINCYFAFDSDAPFGGYKMSGFDREMGMESLSKYLQVKTIATPVYNSPWL >itb09g17300.t1 pep chromosome:ASM357664v1:9:12513878:12520467:-1 gene:itb09g17300 transcript:itb09g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTRCSVIIVGAGISGIAAAKVLAENGVDDVVILEAADRIGGRIRKEEFGGVNVELGAGWIAGVGGQQSNPIWDLATQSDLRTCFSDYSYAPYNIYDQSGKKYPIAIATDSYQKAVDSAIQELNSKEANHCITADETMHTPKTPLELAIDFILHDFEMAEVEPISTYVDYGEKEFLVADERGYEHLLYEMAETFLSTSEGKIVDGRLKLNTVVREVRHSRNGVSVTTEDGQVFQGNYIILSASIGVLQSDLISFQPPLPRWKTEAIENCDIIVYTKIFLKFPKKFWPCGPENEFFIYAHEQRGYYTFWQHMENAYPGSNILVVTLTNDESKRVEAQSDEDTLKEAMEVLRKIFGADIPDATHILVPRWWNNPFQRGSYSNYPVYGNAQLAHDLKEPVGRIFFTGEHTSEKFNGYVHGGYLSGIDTCNDLLKEMRKEEERKRKSVGVLLEPLLALTGSLRLKKADALSGLHKWDIPRLLFLSSNKLGLPKAIL >itb12g21960.t1 pep chromosome:ASM357664v1:12:24154924:24155250:1 gene:itb12g21960 transcript:itb12g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACNAKTSYVAVMFLVFVVLGCHDVWAARVIDGEQWLRVNYNLVIQSLPRGPVPSSGANPCTQIPGGRRKGRCTMENTGQVNAAAAAHVETAVVRDGAASEKSNSKS >itb12g15300.t1 pep chromosome:ASM357664v1:12:15662339:15664207:1 gene:itb12g15300 transcript:itb12g15300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRILSLLERQLLSFGLLKWELLLNQQKKASKRRNSYLSQAIESRSGIPMVDKVLPLTPHLIRGIPEKEFKPIIEKTLSSLLSQASCKKKAPLLVEAERKVGKLSVFFGKSVLQVFWSEQSTDPEACGEWGRKTRQSLSLKEGGNIAKTETQVKEINQIDVTEFPLREAVDESLFSKLFPLPLLNLDGGFRWRRECFSYGLGLVSYYTFVKADRRLTKENRFRRASKKTLLEPAINLFQSSLVISLSRTSRSLRKLIVGFYRSGGRCEEILVPVKIASGNNKYKNHCYISNNPDRRKDPCPSPTSFQSTSENLGFLYWESSKEEWLLGWASFDAPTLKK >itb10g22330.t1 pep chromosome:ASM357664v1:10:27211942:27213378:1 gene:itb10g22330 transcript:itb10g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDESETTQVFEKLFKFVGNNLKNIVESPSHEGPDPAPGRYCFRLQKNRVYYVSESLVKRATNIKRDNLISLGTQIGKFTKGGKFHLTIQSLNLLAAHAKHKVWLKPTSEMSFLYGNNVLKGGVGRITENVNEHDGVVVFSMSDVPLGFGVAAKSTQDCRKLDPNGNVVLHQADIGEYLRTEDDL >itb10g22330.t2 pep chromosome:ASM357664v1:10:27212242:27213378:1 gene:itb10g22330 transcript:itb10g22330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALDESETTQVFEKLFKFVGNNLKNIVESPSHEGPDPAPGRYCFRLQKNRVYYVSESLVKRATNIKRDNLISLGTQIGKFTKGGKFHLTIQSLNLLAAHAKHKVWLKPTSEMSFLYGNNVLKGGVGRITENVNEHDGVVVFSMSDVPLGFGVAAKSTQDCRKLDPNGNVVLHQADIGEYLRTEDDL >itb05g26610.t1 pep chromosome:ASM357664v1:5:30391816:30392501:-1 gene:itb05g26610 transcript:itb05g26610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVRREASTEWGGDDWSSPSALAAEKQEEKGGFEVSGGRREVKVQISKKQLQAVLEKAADVDGGGSSVHQLLADLMNAARHCEIETHHRHASAWRPSLQTIPEL >itb03g16100.t2 pep chromosome:ASM357664v1:3:15193707:15196435:-1 gene:itb03g16100 transcript:itb03g16100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGEGSSSRWSLTGMTALVTGGTRGIGRAIVEELAELGATVYTCSRKEEELNQRLQEWAAKGLKVSGSVCDASSADQRVLLSQKVSSAFDGKLNILVNNVGTNIRKPTTEYTSEEYAYLMATNLESCYHLTQISYPLLKASGSGCIVFISSVAGMENISSGSVYGASKGAMNQLTKNLACEWAKDNIRVNCVAPWYIKTSLTEHLLGNDEFLDRVVSRTPLMRPGEPQEVSSVVAFLCLPSASYVTGQVIAVDGGFTVHGFV >itb03g16100.t1 pep chromosome:ASM357664v1:3:15193707:15196412:-1 gene:itb03g16100 transcript:itb03g16100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGEGSSSRWSLTGMTALVTGGTRGIGRAIVEELAELGATVYTCSRKEEELNQRLQEWAAKGLKVSGSVCDASSADQRVLLSQKVSSAFDGKLNILVNNVGTNIRKPTTEYTSEEYAYLMATNLESCYHLTQISYPLLKASGSGCIVFISSVAGMENISSGSVYGASKALWFLFATRRSYESAHKEFGL >itb12g02220.t1 pep chromosome:ASM357664v1:12:1477650:1482579:1 gene:itb12g02220 transcript:itb12g02220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKSTLLDALAGRLDSNTRQVGEILINGRRQALAFGTSAYVTQDDTLMTTLTVREAVYYSAQLQLPDSMSRAQKRERAEATIREMGLQDAMNTRIGGWSVKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMNNIVKLAQQDGRTVIASIHQPSSEVFQLFNNLCLLSSGRTVYFGSISAANQFFALNGFPCPPMRNPSDHYLRTINKDFDADIEQGLGGKVVTATEATNVLVSSYKSSETCQQVQQRVLQICQQKNNGGLVAKKGSQAGFMTQCMVLTRRSFVNMYRDLGYYWLRFAIYIALCLCVGTIFYDIGHDYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFTRERLNGHYGVGAFVVGNTLSSIPYLALISVVPGAMAYYLVHLQSEIDHFVYFSLMLFATMMLVESLMMIVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWKYPMYYIAFHKYANQGFYKNEFLGLTFPNMQVGGPPILTGDEILRNTWQVEMGYSKWVDVAIVFGMVVLYRLMFLGIIKTVEKVKPMIRAFLASSNKNPTHSEDL >itb12g02220.t2 pep chromosome:ASM357664v1:12:1478853:1482579:1 gene:itb12g02220 transcript:itb12g02220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYVTQDDTLMTTLTVREAVYYSAQLQLPDSMSRAQKRERAEATIREMGLQDAMNTRIGGWSVKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMNNIVKLAQQDGRTVIASIHQPSSEVFQLFNNLCLLSSGRTVYFGSISAANQFFALNGFPCPPMRNPSDHYLRTINKDFDADIEQGLGGKVVTATEATNVLVSSYKSSETCQQVQQRVLQICQQKNNGGLVAKKGSQAGFMTQCMVLTRRSFVNMYRDLGYYWLRFAIYIALCLCVGTIFYDIGHDYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFTRERLNGHYGVGAFVVGNTLSSIPYLALISVVPGAMAYYLVHLQSEIDHFVYFSLMLFATMMLVESLMMIVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWKYPMYYIAFHKYANQGFYKNEFLGLTFPNMQVGGPPILTGDEILRNTWQVEMGYSKWVDVAIVFGMVVLYRLMFLGIIKTVEKVKPMIRAFLASSNKNPTHSEDL >itb12g00440.t1 pep chromosome:ASM357664v1:12:369757:370676:-1 gene:itb12g00440 transcript:itb12g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGGFTAAAPASPTAPRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGYLENNGNDDDRDLEAGGDDGNGDNPAKAAAAPVLEEKVLVIMAGQEKPTFLATPVPSRASSFGSNSSCCCSTQSTESSTTEKSEHEENLEKHHLEMGNMVGH >itb05g27080.t2 pep chromosome:ASM357664v1:5:30642727:30650029:1 gene:itb05g27080 transcript:itb05g27080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRSIFNLLLLCSAVTLVAAQGSTNTTSKWQTLKGDAPLVIARGGFSGLFPDSSFNAYRFAGLTSLTNVIVWCDVQLTKDGVGICFPDIKLDNASNVATLFKDKQSTYLVNGVSTKAWFPIDFNFKELALVNLQQGVYSRSSRFDGTVQPILTVEDVFNKVQPPGLWLNVQHDSFYSQHNLSMRAFVLSVSRRVVINYISSPEVNFLKSIASRINPKVTKLVFRFLGNDETEPSTNQTYGSLLQNLASIKTFASGILIPKSYILPVDTSSSYLQPATSLVRDAHKVGLEVYASDFANDVPSAFDYSYDPVAEYLSFIDNGNYSVDGVLSDFPITPSGAIDCYSHMGKGQKNQVPELLVISSDGASGDYPGCTDKAYSKAVSDGVDIIDCTVQMTKDGIPFCMGSVNMIDGTTAAQKFSNLAVSIPELKTQNAIHSFDLNWNDIQNLQAQISNPFAKFRLFRNPAAKNEGKFMTLDDFLTFANATSVSGVLITIENAAYLAEKKGLGVTDAVLLALNKADLTTKKVMIHSNDSSVLMKFTNSKYERVYGIKEDISDIQNSTILEIKKFASSVIIGKPSVFPTEDLFLIGVTNVVAKLQAFKVKVYVQLFSNEFVSQAWDFFSDPYVELNSYVFGSGANPLDGVITGFPATANRYRRNRCIGYKETPPYMLPVAPGGLLQLMSTQYLPPAEAPYPVLTESDVVEPPLPPVAKIAPASNNTGSAPGPNSRPSGQSAITASISMISMCILLAVLVIS >itb05g27080.t1 pep chromosome:ASM357664v1:5:30642715:30649836:1 gene:itb05g27080 transcript:itb05g27080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRSIFNLLLLCSAVTLVAAQGSTNTTSKWQTLKGDAPLVIARGGFSGLFPDSSFNAYRFAGLTSLTNVIVWCDVQLTKDGVGICFPDIKLDNASNVATLFKDKQSTYLVNGVSTKAWFPIDFNFKELALVNLQQGVYSRSSRFDGTVQPILTVEDVFNKVQPPGLWLNVQHDSFYSQHNLSMRAFVLSVSRRVVINYISSPEVNFLKSIASRINPKVTKLVFRFLGNDETEPSTNQTYGSLLQNLASIKTFASGILIPKSYILPVDTSSSYLQPATSLVRDAHKVGLEVYASDFANDVPSAFDYSYDPVAEYLSFIDNGNYSVDGVLSDFPITPSGAIDCYSHMGKGQKNQELLVISSDGASGDYPGCTDKAYSKAVSDGVDIIDCTVQMTKDGIPFCMGSVNMIDGTTAAQKFSNLAVSIPELKTQNAIHSFDLNWNDIQNLQAQISNPFAKFRLFRNPAAKNEGKFMTLDDFLTFANATSVSGVLITIENAAYLAEKKGLGVTDAVLLALNKADLTTKKVMIHSNDSSVLMKFTNSKYERVYGIKEDISDIQNSTILEIKKFASSVIIGKPSVFPTEDLFLIGVTNVVAKLQAFKVKVYVQLFSNEFVSQAWDFFSDPYVELNSYVFGSGANPLDGVITGFPATANRYRRNRCIGYKETPPYMLPVAPGGLLQLMSTQYLPPAEAPYPVLTESDVVEPPLPPVAKIAPASNNTGSAPGPNSRPSGQSAITASISMISMCILLAVLVIS >itb05g28030.t1 pep chromosome:ASM357664v1:5:31184167:31187390:-1 gene:itb05g28030 transcript:itb05g28030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASYTFCGIPTTSSSAASSSSSSKRAVITRFLPRTPLRSLGFAAAAADPFFSHHVATQLRSLKASAKPVRGVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDTQKITDDTRIRAAIPTIKHLISNGAKVILSSHLGRPKGVTPKYSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVASLPDGGVLLLENVRFYKEEEKNEPEFAKKLASLADLFVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSTPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLGLATSLLEKAKAKGVSLLLPTDVVIADKFAPDANSKVVPASAIPDGWMGLDIGPDSVKTFNDALETTKTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVASVMSHISTGGGASLELLEGKELPGVIALDEAVPVAA >itb13g09170.t1 pep chromosome:ASM357664v1:13:12308401:12309496:1 gene:itb13g09170 transcript:itb13g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEDTEFMFDSAFLDSIQRYYFQDDFDTPAETQQVFCRRSSFPCLGENWGESTVPGDNSAHVDVCNNSSGWMPAVAATATVTSVVKDVPEMGFNGIPGIWDFTVASPPEVEAAEPAAAPQAVMQKHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDKAAYRMRGSRALLNFPLRINSGEPDPVRITAKKRLPSPENCSPSSSVTCEDGCPKRRKKVSKAMEPGRVVLKKNNQLKK >itb13g08730.t1 pep chromosome:ASM357664v1:13:11424538:11426656:1 gene:itb13g08730 transcript:itb13g08730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKEDLGLSLSLSFPEMSRNSASATPLPHLNNTFTAHPSPFNLFHKSPWGPESFPSSDRKSETCRTLLKGIDVNRAPAGTDAEEEAGVSSPNSTISSVSGNKRSERELGMTMNPEEIDAERACSRGISDEEDGETSRKKLRLTKDQSAILEESFKEHNTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCYENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAAPPSAAAASVGPAPAMAQSRPLPFNLWAPHRPFDPAAGRHPRTN >itb10g05320.t1 pep chromosome:ASM357664v1:10:5434603:5438690:1 gene:itb10g05320 transcript:itb10g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductins 2 [Source:Projected from Arabidopsis thaliana (AT2G38960) UniProtKB/TrEMBL;Acc:F4IU09] MAKFQRALLIGAPIAVFVALLLFPLFHRTHKPCNCSQESNEYCGVVEDCCCDFQTVNQLNEEVVFPLLQDVVKTPFFRYFKVKLWCNCPFWPDDGMCHLRDCSVCECPENEFPEPFKKPFNRGDLSNNLVCQEEKPEAAVDRSIDTKAFKGWIVEDNPWTFDDETDNAELTYVNLQLNPERYTGYKGPSAWKIWDAVYTENCPKYPSTELCPEKRILYKLISGLHSSISIHIAAEYLLDEATNTWGQNLTVMYDRVMKYPDRVKNLYFTYLFVLRAVTKAADYLDQAVYDTGNPEEDLKAQSLMRQLLYNPKLRAACPVPFNEAKLWKGQGGPDLMQQIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGQEKLGQSLHLQRNEVIALINLLNRLSESVKLVHQMGPSLVKMAGGQVPSVSKLTATL >itb03g23830.t1 pep chromosome:ASM357664v1:3:22130322:22133231:-1 gene:itb03g23830 transcript:itb03g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSILGEDEEQQDNFFDDQEGLCSISPLQRVYGFAACMVAGIVCMFLSFVVFVNPIKFGLLFTFGNLLAIGSTAFLIGPGRQITMMLDPVRVYATAVYVGCVVLALICALWIHSKILTMLAIICEVCALVWYSLSYIPFARRMVSDVAIRLFDTEI >itb12g07530.t1 pep chromosome:ASM357664v1:12:5637204:5644430:-1 gene:itb12g07530 transcript:itb12g07530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MDAYSLKSVQELPAMFRSVFSFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFISTEGKFIHVKGSLKTVYVAPSKALVQEKLRDWNQKLGSWGINCLELTGDNEYYNNKDIQDADIILTTPEKFDAVTRYRIKDGGLSFFSDIALVLIDEVHLLNDPRGAALEAVVSRIKMLSSISEMKLSPLAHVRFLAVSATIPNINDLAEWLMVPNQGIKKFGEEMRPVKLTTKVFDILMQHSRGKSALVFCSTRKGAQETAQRLTQAAMKFGHSNPFIKSREQQDRLREASLSCSDKQMQSYIPYGVGYHNGGLSMKDRNLIEGLFLNSDLQVLCTTNTLAQGINLPAHTVIIKSTQHFNQEKGLYMEYDRSMILQMCGRAGRPQFDDAGVVVIMTRKETNPENYAMTKLLASNNIERHLQEICVKKVNELSRYQMIWTDEDGFLLKPLEPAKLMTKYYLKFDTMKHIMQASANCSIEDALHIICHAEEIAWIQLRRTEKKLLNDINNDKNNRLRFHILGDKNKKKKRIQTREEKIFILANDCLTGDPLVHELSMTQDMNSICTNGCRIAKCMKEYFVYKKNYIGALSSALLAKCLHQKVWDDSPYLLKQLPGIGMVTAKALNSMGVKSFEALADADPRKIEMVTGRKYPFGNHIKGSLLSLPPKIEMEVKETESQRQGKSKVVITLTRMSQPALMTKGYYADLVVGLGEGNLILFHEKISPYSATVIVPNPQKRKLTVKADLIFDEFIGVDIHQKVTVMKEMNQDISNKHKTRQNPSCQLKEAYIVEDNKDSTSEAPIEEPLNVDESEGFFDMPSFTLIDEEPDKVVTAVGTEDDECKVITERTIFDHIREKAKSLPPLGMLGDTSFTSLETLALIRKRTRERLLAVENPIEVDEGINKVARHSMTIQSARAHQLEKSLSDKDATSESHYISKDNNPTNDTGKLPSEPNSVQFVDLTKKPEFGHMQGSPILGRLKTTGSKLYTLGNQNWFSPQSSHTNSDFEGFKARKVNDVIVLDPEPVEQKQHEAIGVTKLRDNVCSGSAFSRSDITSQSSMVSSSPSCTSLPRNEVGDLRNSSETKCKQHISTPSLLERQRSSPAAVQDTREMNCFLGFKSVFTFL >itb01g07860.t1 pep chromosome:ASM357664v1:1:6223272:6223631:1 gene:itb01g07860 transcript:itb01g07860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRDCDGGVFSPSGKPFSFSNPDMNTVLTKYFGEIPRTEVNVAEHIIRAHQDAKMRVMTSQINVLEALIDEEMLVDQALREAEKGRPSISDLQLPELQSMEHHMKTLLDQVTEKLNMI >itb12g02630.t1 pep chromosome:ASM357664v1:12:1722690:1723793:-1 gene:itb12g02630 transcript:itb12g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLREILLRLSPRSVLRLQCVSKQWLSIISCPKFRRLHSRRSAAFVTGLFFPPPTHIPLPAGPHFVALRNQKPQHNTIANRLIELCGGRFVVSLSSCNGLLCIGLSDENALFRECEYYVYNPTTNQRRRIPQVQSESKYVSNVAIAFDASKSNHYKLVCVCGYFRVWVFSSESRVWRNTGKRLPGGCLNFYSFPSPYLNGAIHWYVFKNEFLCLDIDSCELRPMPCISCVNNDPGWCKYLGECGGHLHFIGERGRGGQLLNVFEMKNDYSQWVFKYQVDVGYVERFYPIDMDEFNMRLQFSINLLLTGHEEGEGLVISLPNGKVIFWRLHDMSITQLVESCNEIQHHMSRCYYTSKHIDTLAFIY >itb01g36190.t1 pep chromosome:ASM357664v1:1:38070364:38074512:1 gene:itb01g36190 transcript:itb01g36190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MLPTVTTTVKLNLPLISTNLLLFTTPLYPSSRPRPRRLLVSSSSSAEIIGSPEHPGGKMVAELVGAFNHLTHRMNTAALSTSSSRLLFKTLKLSIPLLQSLPLSPDGRSPLSKALSLALILADLQMDAEVISTGILLQVLEAGAISIYEVKGRIGTTTAHLLHESLRVNNISSKVQFLDNDNSTALRKFCLTYYDVRALILDLALKLDTMRHLGYLPRYKQQMLSLEVMKIHAPLAHAIGMNLLSVELEDLSFQYLFPYSYLYLDAWLRSHETGSKPLIDVYKEQLLNSLTSDAILAEMVDSISVEGRYKSRYSSMKKLLRDGRKLEEVNDILGLRVILSPASGKNESEVGVKACYRTREIVQSLWQEIPSRSKDYIAKPKANGYKSLHMAVDINENGHNRPLMEIQIRTSDMDMLAAGGTASHALYKGGLTDPEEARRLKAIMMAAAELAALRLKDLPSTNPKDLGVDNRDMVFHLLDKNGDGKISIEELMEVMEELGAQGEDAREMMQLLDSNNDGLLSSDEFDLFQKQIEFMRDLEYRDNHYKTLLNEKLQMTNSNVLI >itb02g03410.t1 pep chromosome:ASM357664v1:2:1967062:1970225:1 gene:itb02g03410 transcript:itb02g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARIVDGLPLAEGLDDCRDIPDADFYKQQVKSLFKNLATGHNGPSRMSIETGPYMFHYVIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRVYADKARDLNRQALIRKWAPVAVVLGVVFLLFWVRKKLW >itb12g03840.t1 pep chromosome:ASM357664v1:12:2519312:2520970:1 gene:itb12g03840 transcript:itb12g03840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPTVDQSLGDPIANSEGGQIPTDETKKVDKPKGAASSPNIDIRERSPEGERVSYKEKLAGNASFGSSGRQQGSEASGNNRKSKHGTNEGDKALPKDKSGRNGGNYKGESSGTKTGNYKGESSGTKTRPKSRQAAAETEHTLVIGSRGGETVRYTVQEDIPPNESDWAMEEWGDWREHPNDPPHYGALEEEYFVEYG >itb01g10210.t1 pep chromosome:ASM357664v1:1:8477268:8483840:-1 gene:itb01g10210 transcript:itb01g10210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGEGKEGEKKEEVSKEEKKEEKKEEEENIEIVLKVDMHCEACARKVTRSLKGFQGVEEVTADYKASKVVVKGNKSVDPMKVCERIQKKSGRKVDLISPIPKPPAAEETKQEIKEPPKEEKKDEPPPVATVVLKVQMHCEACAQVLQKRIKKIKGVESVTTELGSNEVRVKGVVDPEKLARDVYKKTGKQASIVKEEEKKEEQEKKEGEKKEGEKKEGEEGKGEEDEKKMETEMKKNEFMPPKYYLDYAYPPPQIFSDENPHACTLM >itb01g10210.t2 pep chromosome:ASM357664v1:1:8477268:8483840:-1 gene:itb01g10210 transcript:itb01g10210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKGEGKEGEKKEEVSKEEKKEEKKEEEENIEIVLKVDMHCEACARKVTRSLKGFQGVEEVTADYKASKVVVKGNKSVDPMKVCERIQKKSGRKVDLISPIPKPPAAEETKQEIKEPPKEEKKDEPPPVATVVLKVQMHCEACAQVLQKRIKKIKGVESVTTELGSNEVRVKGVVDPEKLARDVYKKTGKQASIVKEEEKKEEQEKKEGEKKEGEKKEGEEGKGEEDEKKMETEMKKNEFMPPKYYLDYAYPPPQIFSDENPHACTLM >itb02g01660.t1 pep chromosome:ASM357664v1:2:929388:931986:-1 gene:itb02g01660 transcript:itb02g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGQQFDARQFDAKMSELLTVDGQDFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLIQCQALVLAPTRELAQQIEKVMRALGDYLGVRVHACVGGTSVREDQRILMAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTEDDERMLFDIQKFYNVTIEELPSNVADLL >itb02g01660.t2 pep chromosome:ASM357664v1:2:929388:931165:-1 gene:itb02g01660 transcript:itb02g01660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLIQCQALVLAPTRELAQQIEKVMRALGDYLGVRVHACVGGTSVREDQRILMAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTEDDERMLFDIQKFYNVTIEELPSNVADLL >itb09g26310.t1 pep chromosome:ASM357664v1:9:26802915:26804474:1 gene:itb09g26310 transcript:itb09g26310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVKVCLVLVVSVVVSAAAQSASNVRATYHLYNPQNINWDLRAASAYCATWDANKPLEWRKKYGWTAFCGPAGAHGQPSCGKCLRVKNRDGGAQQTVRIVDQCANGGLDLDVNVFKRLDTNGQGYAKGHLMVDYQFVSC >itb01g04130.t1 pep chromosome:ASM357664v1:1:2737115:2738907:1 gene:itb01g04130 transcript:itb01g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSRYLSLEIILIFLAFACCNHHAAGYGGLRVGFYEQSCPHLEHIVKEISDQVMAVAPSLAGPLLRMHFHDCFVRGCEGSVLLNSPTKQAEKDAIPNLSLRGFQIIDKVKTAVEEACPGVVSCADILAIVARDVTAAMKGPYWEVETGRRDGRVSNMTEALFNLLPPFANITTLKQGFLDRGLSVKDLVVLSGGHTIGISHCSSFTDRLYNFTGKGDADPALDPNYAEKLRMKCPEASPTDNLVEMDPGSVRTFDTSYFTLIAKRRGLFTSDAALLDDEETKAYLVQQALTQGSTFFKDFGESMVNMGRIGVLTGDQGEIRKVCTAVNSYY >itb15g00800.t1 pep chromosome:ASM357664v1:15:458837:461790:-1 gene:itb15g00800 transcript:itb15g00800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTKKIVDMVKGEKLFASQGGNIILAQIENEYGNIISHYGDEGKKYMNWCADFALSLDIGVPWIMCQEDDAPKTMINTCNGFYCDQFWPKNNNPKFWTENWSGWFKSWGDRDPHRPAEDLAFAVARFFQYEGSLQNYYMSTVFEYNGKRVCFFGNANDKDDISITFEGRNYTTPAWSLTILPDCKIEVYNTTRVNVQTTVMVKKLSEGPLKWSYRPETVMHLKFGDKDQSSVLIDALDAKQLFDQKVVTNDTTDYLWYMTSFKIDEKNPILGQELTLEVNTKSHVLHAFFNNKHIGSQWAQDGKFQFLFDRNVKIREDINTISLLSETVGLPNYGQYLEKVGQGVLGPIKIVEPNGEGLDLSNNTWTYMVGIHGISKGLFELDDRNKLTWHKFDFQTDRMFIWYKTFFKTPAGEDAVVLDLKGMGKGVAWVNGHNIGRYWPSFLAKADGCPKCDYRGNYGGSKCVTGCGKPSQRWYHVPRSFLRKGNNNQLVLFEEMGGNPQEVRVQTVTVGTICANVEEGKTLELSCQGGGRKISKINFASFGEPKGSCGSFETSHCDATNALPVVQSACVGQEKCTLHVSDALFPTTTCKSKMRRLAIEATC >itb04g30460.t1 pep chromosome:ASM357664v1:4:33570775:33573032:-1 gene:itb04g30460 transcript:itb04g30460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHKLLQNLNTVKRCRNLPNFSVHHNRAKIGDAIINTVLSAHHTPTLLSKLTPNLVHLIISDPHLKAPKCIEFLGFLIKNQSLVSFDLNFDAHLTLVCRLAKAQEFADAEALLGALSSLENFRYTVLASFVDSHDVSLRIASKVFNWLLKAYSDNREFGKALEIFDYMRNNGIEINERTCTVHLIELMKCDQCSLGLKFFYWMVESGIEVSVYSLTVVVDGLCKCGEVKRAREVVEEMGSKGIKPNIITFNTLVDACTKRWNFEELYNVLVLMQCEGVEFIHDTYGFLIDGFSSSGRMEDAERMIWEMHDKGFKADTYLYNLVINGYCRLGNVEKAFSLVSVMDERNICLNMDTYWCLFSGLCKVGQFDVVNELVDVMQSKGFELDQVMLDTLIDRYLEEGVIEEAASLLDFMEKKGFVPDLCVYEKVIGGLCKLNRTEEARSKLTTLIKRGVSKGELSSIPLANLTRNLALEELVFINERKDFGCSSDLRSIVGNGLPEEAHVYKDEIQIEGVDDENVGVDIANEVG >itb06g23080.t1 pep chromosome:ASM357664v1:6:25206185:25207711:1 gene:itb06g23080 transcript:itb06g23080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGVKLLGFWASPYALRVHWALKLKGVEYEYLEEDLMSGKKSSLLLQSNPIYKKIPVLVHNGNPVAESLVILEYIDETWKQNPLFPEDPYERAQLRFWAKFADEKCFPAVIKTFSSEGEELEKNVKEAREYLKILDGAVGDRKDNQIDFLDVALAVVGHWANITGEIIGMKLVDEEETPSLVTLFEDVLEAAPFLKECTPEKSRLLELNTQFRMMLLAKP >itb12g08260.t1 pep chromosome:ASM357664v1:12:6466935:6468863:1 gene:itb12g08260 transcript:itb12g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNNNQQQPAQNTGFSSLFPNSPFVRPNVAIPLTPPPGFQISAMHAYFLAQLEQSQSQSQPLGGNAEKKQQKLPAEEHSERVRNFAPESVFYAHLLEMEAQVDEATARKKAAVQEAVIMKKPPSFLQNTLRVYIFNTFANQGCKNEAAEPPSWTLRIVGRVLEESQEGSMAPKFSSFLKRVTVSLDRNLYPDNHLITWDRARSGALPDAFEVKRKGNQEFTVGITLELNNLPEKYRVSPALKAVIGLEVESRSRIVHLICKYICDRKLRVPDDPSCFICDPPLLRVFGKAVAKLTEVPQKITPHLSPPQPIHLQHRIGLSGENPAGIACYDVLVDEPVPIQKELDDFLGSIDKSKELGVMEESISEDMEKLQEHKRRRALLLGFSQSPVEFVNGQLDSHGKGVKFDGGESSSRNEHQSDVYNQPWVEDAVIRYLNRKPTSDAPRKM >itb03g16430.t1 pep chromosome:ASM357664v1:3:15397635:15398312:1 gene:itb03g16430 transcript:itb03g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPPEQTIILQLKIHSKDSERRIKKWMLGIQGVRRVDFDAERGVTIVSGTIDPPKLLMMLENYGVKAEIFGPRRRPVSPVSIISSPLLDPEISALLKSLPKNSAGPKTVEVTKTVRFYFRDGENGGRGNKTGVDHGGGLCGCSRRNGNFSGGNYFPTSGPQPGNLGFDPLGAPLWVAAGVPSAPPLPEGDNSPLPPPPPPPPGTNPFYTVFSDDNTSSSCTIM >itb09g01770.t1 pep chromosome:ASM357664v1:9:1064495:1066487:1 gene:itb09g01770 transcript:itb09g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGCFIFLLLLSFSSFSLAFSDADAEHIARRQLLHFSINGEVDFSGEGFEHKLDVNLEFANHRQKKAYVALQAFKNAIFSDPKGITKNWVGPHVCSYNGVFCDHALDDPEQWVVAGIDINHGDIAGHLPMEIGMLTDLALIHMNSNRFCGIIPKSISNLKLLFEIDFSNNWLVGPFPEVILELPSLKYLDLRYNNFEGALPPALFDKDMDVILLNNNRLESNLPENFGNSTASVIVLANNEFSGCVPSSIGRMEQSVEQILLMNNDFSGCLPEEITLLRNVTVFDVQRNKLVGELPAGLEYMQSLEAVSFAGNMFSGHVPDGLCSLPNLKNLSFSGNYFEMEDESCVPRQDGVEVDNSGNCFPERPGQKSAQKCYEYLSKPVDCSKSGCRAAPKGVGATKETPKPKPPVSSPPPPVASPPPPPPVFSPPPPPPVHSPPPPPPVYSPPPPVFSPPPPVYSPPPPPPVHSPPPPPPAYSPPPPVFSPPPPVYSPPPPPPVHSPPPPPPAYSPPPPVFSPPPPVYSPPPPPPVHSPPPPPPAYSPPPPVFSPPPPVYSPPPPPPVHSPPPPPPAYSPPPPVFSPPPPVYSPPPPPPVHSPPPPPPVHSPPPPAPAKSPPPPVFRDVRLPPNLGAVYASPPPPIFQGY >itb08g02820.t1 pep chromosome:ASM357664v1:8:2404381:2404908:1 gene:itb08g02820 transcript:itb08g02820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISTCGSGRALWKWSRLRSVIHTQNGKISMLGPSIKLIAGKALLFNLHTPSYIIYTPAWLIATIKFISLGSCLQSNFSYQMILMASHPRIHVLVFYVIVLLIHQQHLELAEALRPSKFNLPAPVKVMRHKPRPTSSDSFSNNHSGYDVALYSPTSPGHSPGMGHEGGSDQPPGA >itb14g07820.t1 pep chromosome:ASM357664v1:14:7199013:7200529:-1 gene:itb14g07820 transcript:itb14g07820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSRKTSNTKRTWNPLSLFCRNNHHSHLLWKWRLIPKPFFSLHKNKLIQAAHTTTHHRLAATPEWASPLLAQGEETMKIQVNQALASRRLSFELEESCSILATAAGFPFKECEVVAMETADPYRELVTSMEEMLEVYGVKGDDEGNNKLDWECLENLLAWYLRVNSKGIHDFIVSAFVDVCFSLLSSAPDNNNHNNNDDKHSDPS >itb03g16870.t1 pep chromosome:ASM357664v1:3:15649218:15654653:1 gene:itb03g16870 transcript:itb03g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQKPTGILCAINGSFSGGKVQEIVVARGKVLDLLRPDDNGKLQTLLSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNCFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTITYSICGVDCGFDNPIFAAVELDYSEADQDPTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDIRAVIPRRADLPAERGVLIVSAAMHKQKSMFFFLLQTEYGDIFKVTLDHDNDRVKELKIKYFDTIPVTSSLCVLKSGFLFAASEFGNHALYQFQAIGDDPDVEASSSTLMETDEGFQPVFFQPRKLKNLVRIDQVESLMPIMDMKVINLFEEETPQIFSLCGRGPRSSLRILRPGLAVSEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLSVSLIGDDSLMQVHPSGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSLQSVSSPPDSLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDARSRFLGLRAPKLFSIIVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVLQPKRKLAVIIESDQGALTAEERENAKKECFADAGMGVNGNSDKMENGGDEEDNEDPLSDEQYGYPKAESDKWVSCIRILDPRTTQTTCLLELQDNEAAFSICTVNFHDKEHGALLAVGTTKGLQFWPKRSFEAAYIHIYKFKEDGRVLELLHKTQVEEVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPSTITSITTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDTVPRWLTATCHVDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVSCLQRASLIPGGGECVIYGSVMGSVGAMLPFTSRDDVDFFSHLEMHLRQENPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDMQRKIADELDRTPGEILKKLEEIRNKII >itb11g02100.t1 pep chromosome:ASM357664v1:11:1052541:1054791:1 gene:itb11g02100 transcript:itb11g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVVGTLKGYDQLLNLVLDEAIEYLRDSDDPLKTTDQTRRLGLIVCRGTAVMLVAPTDGTDEIANPFLQPDGA >itb04g10500.t1 pep chromosome:ASM357664v1:4:10053705:10054596:1 gene:itb04g10500 transcript:itb04g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGESKHATATANTAITKRSSSSRTNSRKESAPLVQQPKAPGNDGTNNPPQSAPEKGDINIIHIIKESKGEGEKALEAAKDGDEGVEGAKETIDKAKVEEKENGAQAKEGSLEQNEGVNTNEEYSCF >itb07g16750.t1 pep chromosome:ASM357664v1:7:20644491:20646508:-1 gene:itb07g16750 transcript:itb07g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNWGMPWSPKKEEQEVPDPDITCSSVVSDNHCHRESNHTVDHHHPNKHYFSSFMDDMELDDDAGDDDDDINNSQAVEAAKTAVDLPAAGRTGTDAYWDFEFTCSSDHDGGLSSVNEDSGDQKPVLSQQNEQESMLRVKSQEENNDDDEYHQEINMAASLNLSLNYQHVLDAWSDRGTLWAHDNTAFSLPNNPNNHSYMGEVPVMDNEERSTRREASVMRYKEKRQTRLFSKKIRYQVRKLNAEKRPRLKGRFVRKASDGD >itb15g13480.t1 pep chromosome:ASM357664v1:15:11469863:11473407:1 gene:itb15g13480 transcript:itb15g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHTFKFKPQVLTSFTPLPKPINVNVSHYLGVTLPTTRTLRGPLRSLSRRLFLPSVSGLWDAITGGNSAREAVMAVRRGMLLFRQGDVLGSLVEFDKAIELDPRQEEYLWQRGLSLYYLDRFEEGAEQFRLDVAKNPNDTEESIWCFLCEAQLYGVDEARRRFLVVGRDPRPVMREAYDMFKDGGDPEKLVAAFSSGQPNEYFYASLYAGLYYESQKDSERSKVHLIASCQSLYGSRSDDYMVSLAKVHCQCRNWNFT >itb11g10840.t1 pep chromosome:ASM357664v1:11:7733429:7736572:1 gene:itb11g10840 transcript:itb11g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLHGTLHVTVYEVDHISGGGEGDRHFFRKIMEQVEDTVGIGKGSPKLYATIDLEKARVGRTRTVDDPGNPKWEESFHIYCAHSASNVIFTVKDNNPIGATLIGRAYVPVSEVLDGDEIDKWVEILDKDRNPVEGGSKIHVRLQYFDVSRDRNWGKGIKSPKYPGVPYTFFGQRKGCKVSLYQDAHIPEKFIPKIPLSGGKFYEGHRCWEDVFDAITNAKHLIYITGWSVYTEITLVRDSRRPKPGGDTTLGELLKKKASEGVRVCILVWDDRTSVGQMKKDGLMATHDEETDNFFKDTDVHCILCPRNPDNGGSIIQGLQISTMFTHHQKIVVVDHEMPDGGSEKRRIVSFVGGIDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNYTGAAITKGGPREPWHDIHSKLEGPVAWDVLYNFEQRWKKQGGKDVIIDLRELDQIIIPPSPVMLPDDQETWNVQLFRSIDGGAAFGFPDSPEEAAKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCFGWASDDINTAEIGALHLVPKELSLKIARKIEAGERFAVYVLVPMWPEGIPESSSVQAILDWQRRTIQMMYKDVIEAMRAKGIEEDPRNYLTFFCVGNREVKKSGEYEPSESPEPDSGYASAQAARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGSRDSEIAMGSYQPHHLTTSRQSARGQVHGFRMALWYEHLGMLDDCFLRPESEECIQKVNNMADKYWELYSDENLERDLPGHMLRYPIGISSDGIVSELPGFECFPDTKARILGAKADFLPPILTT >itb05g19310.t1 pep chromosome:ASM357664v1:5:25885193:25887558:-1 gene:itb05g19310 transcript:itb05g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDIDIESAGEKKKKKRGGNYAAAIDEDDGDSHWISWLIPVFVVANIALFVVTLYVNDCPKHTNGCVASFLGRFAFQPLHENPLFGPSASTLRKMGGLEWDKVVHHHQGWRLISCIWLHAGVIHLVVNMLSLVIIGIRLEQQCGFVRIGIIYFLSGFGGSVLSSLFIQRSTSVGASGALFGLLGAMLSELLTNWSIYTNKVAALLTLLVIVIFNLAVGILPHVDNFAHIGGFLTGFLLGFVLLPRPQLGWIERRNLPAEIRVKSKYKPYQYALWFASLVLLIAGFSVGLVMLFRGENGYHRCHWCRYLSCVPTSKWKCDET >itb13g05550.t1 pep chromosome:ASM357664v1:13:6648400:6652882:-1 gene:itb13g05550 transcript:itb13g05550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVYSSPSKTIERYETNSKNMGVHKRTVEQNIQHFKEEIATMSRNVDLLENTKRKLLGEGLESCSTDELQQIEDQLEQSLSNIRARKNFIYKERITKLKEEEETLRRQNADLRKRLEVKSLTLSTVQQDIDPQQKIMEVETQLFIGPPERSPH >itb04g20800.t1 pep chromosome:ASM357664v1:4:25786792:25789339:1 gene:itb04g20800 transcript:itb04g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDGHGVKDSGLKNLNPQCSISEADDFDLSKLLDKPRLNIERKRSFDERSLSELSIGLARGLDSYEPAYSPGCSVLDTPNSWSRNSFEPHPIVAEAWDALRRSIVLYRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGSEKRIDRFKLGEGVMPASFKVRHDPVRKTDTVIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDMTLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMVDRRMLFHSTEIDIIYEGVKRQGTELVVSKAGKLMCDRKSVQENQEID >itb04g20800.t2 pep chromosome:ASM357664v1:4:25786792:25789339:1 gene:itb04g20800 transcript:itb04g20800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDGHGVKDSGLKNLNPQCSISEADDFDLSKLLDKPRLNIERKRSFDERSLSELSIGLARGLDSYEPAYSPGCSVLDTPNSWSRNSFEPHPIVAEAWDALRRSIVLYRGQPVGTIAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGSEKRIDRFKLGEGVMPASFKVRHDPVRKTDTVIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDMTLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMVDRRMLFHSTEIDIIYEGVKRQGTELVVSKAGKLMCDRKSVQENQEID >itb12g07030.t1 pep chromosome:ASM357664v1:12:5251141:5255253:-1 gene:itb12g07030 transcript:itb12g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSKVSALGLTLMMFFVGFSVAPGSIVNGQEEEVGFYEIKKGDFSLKFTNFGAKIVSVILPDKNGKLTDIVLGYDTINEYLNDTSNFGAIVGRVANRIGGAKFTLNGTLYKLIPNSGNNTIHGGPKGFGKLAWKVKEHVPNGPRPRITFTYHSPDGDQGFPGDVIASVTYALKEPYQLIIAMRAKAMNKATPVNLAQHTYWNLGGHDSGDILSQKLQLFASQITTLDDKQIPTGEIAPINNTAYDFLKPRDIGTQLNRLPDPAPGKVRGFDNNYVVDGDGKWMKKVAIVYDEKSGIRMMLKANSPGVQLYTANNLKDKKGKSGAVYQSYAAVCLETQGFPDAVNHPNFPSTIVTPTHPYHHSMFITFTTNKGF >itb12g17100.t1 pep chromosome:ASM357664v1:12:18960299:18962529:1 gene:itb12g17100 transcript:itb12g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAFFFTSQLPLFFSVIFWPVVVSSIGINYGQIANNLPSPENVVPLVKSMGVTRLKLYDADPKVLKAFANTNVEFIVSVTNNELCKVKDPERAEAWVRQNVQAYLPATKITCIAVGNEVLSSKDASLANYLLPAMYSVHAALVKLNLEGEIDVTTTHSLAVLESSYPPSSGAFKRDLVDCVCEILKFHVKTGSPFLINAYPYFAYKAHPNHMTLDFVLFQQNQGITDPVSNLHYDNMLFAQIDAVHWALSSLGYKNVCVQISETGWPSKGDADEPGATLENARKYNCNLLKLIAQKKGTPLKPTNNLNIFVFALFNENMKPGPTSERNYGLFNPDGTPAYPLGFSGTTNPNSNSTVSGPPSGFPPSISSPPGTPSDGYLSITSEAVRPPHLCSKWLGFIMLGFLLLLNKIK >itb15g02900.t1 pep chromosome:ASM357664v1:15:1851828:1855730:1 gene:itb15g02900 transcript:itb15g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVCPFVKAARPDDASVKKPGESQSKQHAGSEKPKQESGEPAMMPSKCPFGYKQQAGSESKPNQESGEQAKVSSKCPFGYTQEAGGENKPKQVSEKPGIVSPKCPLGFDSQTFKLGPLSCMICQALLYDCSRCVPCSHIFCKVCITRFKDCPLCGADIQKIEADENLQSTVDRFIEGHARIKRPQVNADQEDVGENKTVIYEDVSLERGSFLVHHAMRAFRANNVESAKSRLSICAEDIREQVERMGNTSELCSQLGAVLGMLGDCCRATGDAASAVKYFEESVNFLLKVPKDDLEITHTLSVSLNKIGDLKYYDEDLQAARSYYFQALDIRRNAIKQHSQPSQTIDVAVSLAKVADVDRNIGNEKTAVDGFQEAIEMLQCLKLNPEEDGLEQRRLSVLEFLNSQLGKKETNSSS >itb04g04500.t1 pep chromosome:ASM357664v1:4:2783543:2786511:1 gene:itb04g04500 transcript:itb04g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTQNRKQNQKQQNRNAGAGAGHPVPNAGVQDQEEFRLRETAPVLGGGRVSGNERLGTAFDLVEQMQFLYVRVVKGKEFAANDHFPHPFVEVRIGNFRELTRHSEKNPNPEWNQVFTVLKEQIQATVIEIAVRDKERGEGDDLMGMVAFDVSEVPTRVPPDSPLAPQWYRLESRTGEKVKEGELMLAVWIGTQADEAFPEAWHMDATAVSCENVANIKSKVYLSPRLWYLRVNVIEAQELQPGDKNRHHPDVFVRAWLGGVALRTKISLAKNTSPSWNEDLMFVVSEPFEEDLVLTVEDKVAANKDEVMGKCVVPLQTVEKRVDFKVPVSRWYGLEKNTMGENGEINVVSLTSRLHLRLSFDGGYHVLDELTQYSSDFKATARQLSKPPIGVLELGILSAQDLTSMKNRDGHGTTDAYCVAKYGQKWIRTRTILSSFNPKWNEQYTWEVFDPCTVITIGVFDNQHLQGAGKGGGSMDQKIGKVRIRLSTLETDRVYTHSYPLIVLSPSGVKKMGEIQLAVRFSCASIYNMLSVYSKPLLPILHYLHPLTSYQVENLRHQATHIVSMRMSRAEPPLRKEVVEYMLDVGSNMWSVRRSKANYLRIGAILSSIASVCIFFNRVCSWRNPVITVLVHFLFLMSVCFPQFILSSVFLYLFMIGVYKYRTRPKHPPHMNISLSQADRVHPDELDEEFDTFPTSKPGDAVKMRYDRLRSIASRLQTLAGDMATQGERLGSLLSWRDPRATALFLIVCLFASMLFYVVPFKVFFLASGFYTMRHPRFREKLPSAVISFFRRLPAKSDSLL >itb04g04740.t1 pep chromosome:ASM357664v1:4:2921670:2925237:-1 gene:itb04g04740 transcript:itb04g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNEAGIQAPVLCVNNCGFFGTVATMNMCSKCYKDTVLKQEQARLAASSIESIMNGGGKSVDVVAVSSHDGSAESSAVTAQVSPTQPENNGGENTKDGPKRCSACRKRVGLTGFSCRCGNLFCSVHRYSDKHECQFDYQKAAQDSISKANPVVKAKKLDKI >itb06g21510.t3 pep chromosome:ASM357664v1:6:24125411:24128329:-1 gene:itb06g21510 transcript:itb06g21510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFISTSRLFRRLITHRDSFRLGMRFNESGWRSGYYAQTRCLSNIAGIDSFSSAYSSGYAAERGIYSYGKVIQRRTFLGCGDGEEGGNMLSKVYNEKCVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEILKRNPDGSFDAELEIGFKFLVESYVSHVEFKKPSYIKTTATDSNLFDHLINIWEFNPGPSPGTCNLQFLVDFKFQSPLYRQVANMFFKEVVSRLVTSFIDRCRVIYGPGVPVLENTYQQRT >itb06g21510.t5 pep chromosome:ASM357664v1:6:24126840:24128838:-1 gene:itb06g21510 transcript:itb06g21510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFISTSRLFRRLITHRDSFRLGMRFNESGWRSGYYAQTRCLSNIAGIDSFSSAYSSGYAAERGIYSYGKVIQRRTFLGCGDGEEGGNMLSKVYNEKCVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEILKRNPDGSFDAELEIGFKFLVESYVSHVEFKKPSYIKVRN >itb06g21510.t1 pep chromosome:ASM357664v1:6:24125410:24128838:-1 gene:itb06g21510 transcript:itb06g21510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFISTSRLFRRLITHRDSFRLGMRFNESGWRSGYYAQTRCLSNIAGIDSFSSAYSSGYAAERGIYSYGKVIQRRTFLGCGDGEEGGNMLSKVYNEKCVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEILKRNPDGSFDAELEIGFKFLVESYVSHVEFKKPSYIKTTATDSNLFDHLINIWEFNPGPSPGTCNLQFLVDFKFQSPLYRQVANMFFKEVVSRLVTSFIDRCRVIYGPGVPVLENTYQQRT >itb06g21510.t6 pep chromosome:ASM357664v1:6:24126840:24128838:-1 gene:itb06g21510 transcript:itb06g21510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFISTSRLFRRLITHRDSFRLGMRFNESGWRSGYYAQTRCLSNIAGIDSFSSAYSSGYAAERGIYSYGKVIQRRTFLGCGDGEEGGNMLSKVYNEKCVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEILKRNPDGSFDAELEIGFKFLVESYVSHVEFKKPSYIKVRN >itb06g21510.t4 pep chromosome:ASM357664v1:6:24125411:24128329:-1 gene:itb06g21510 transcript:itb06g21510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFISTSRLFRRLITHRDSFRLGMRFNESGWRSGYYAQTRCLSNIAGIDSFSSAYSSGYAAERGIYSYGKVIQRRTFLGCGDGEEGGNMLSKVYNEKCVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEILKRNPDGSFDAELEIGFKFLVESYVSHVEFKKPSYIKTTATDSNLFDHLINIWEFNPGPSPGTCNLQFLVDFKFQSPLYRQVANMFFKEVVSRLVTSFIDRCRVIYGPGVPVLENTYQQRT >itb06g21510.t2 pep chromosome:ASM357664v1:6:24125410:24128838:-1 gene:itb06g21510 transcript:itb06g21510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFISTSRLFRRLITHRDSFRLGMRFNESGWRSGYYAQTRCLSNIAGIDSFSSAYSSGYAAERGIYSYGKVIQRRTFLGCGDGEEGGNMLSKVYNEKCVLGYSPEQLFAVVAAVDLYEDFLPWCQRSEILKRNPDGSFDAELEIGFKFLVESYVSHVEFKKPSYIKTTATDSNLFDHLINIWEFNPGPSPGTCNLQFLVDFKFQSPLYRQVANMFFKEVVSRLVTSFIDRCRVIYGPGVPVLENTYQQRT >itb15g21140.t2 pep chromosome:ASM357664v1:15:23821055:23826240:-1 gene:itb15g21140 transcript:itb15g21140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKACCGAAVMGSVQQPAWKKGSAFPLKGSGMCLNPDRVRVYSVWACRATQLEGSLATGRSSSSVSVQEIGGAGSSFLDYDLSEADPEVRSIINKEKDRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQQRALAAFNLDGSKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGIVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADGVGAFLMMDMAHISGLVAASVVANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLETAINNAVFPGLQGGPHNHTIGGLAVCLKYAKSPEFKAYQNKVVSNCRALAHRLTELGYKLVSGGSDNHLVLVDLRPMGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGAPAMTTRGFTENEFTAVADFIHEGVQITLESKKLASGSKLQDFMTFVTSPDFPLADQVAGLRRRVEAVTTQYPLPGL >itb15g21140.t1 pep chromosome:ASM357664v1:15:23821055:23826240:-1 gene:itb15g21140 transcript:itb15g21140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACCGAAVMGSVQQPAWKKGSAFPLKGSGMCLNPDRVRVYSVWACRATQLEGSLATGRSSSSVSVQEIGGAGSSFLDYDLSEADPEVRSIINKEKDRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQQRALAAFNLDGSKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGIVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADGVGAFLMMDMAHISGLVAASVVANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLETAINNAVFPGLQGGPHNHTIGGLAVCLKYAKSPEFKAYQNKVVSNCRALAHRLTELGYKLVSGGSDNHLVLVDLRPMGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGAPAMTTRGFTENEFTAVADFIHEGVQITLESKKLASGSKLQDFMTFVTSPDFPLADQVAGLRRRVEAVTTQYPLPGL >itb13g15780.t1 pep chromosome:ASM357664v1:13:22712816:22717534:1 gene:itb13g15780 transcript:itb13g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETVIAGNYVEMEHEEASSADNNRSVKTKFSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIMFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTSYTAWYLTIASLVHGQIEGVKHSGPAKIVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLVATLYVLTLTLPSASAVYWAFGDMLLDHSNALSMLPRTGFRDTAVVLMLIHQFITFGFACTPLYFVWEKFIGVHNTNSLFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPAMAHMITFASPAARENAVEQPPSFMGGWAGFYCMNIFVVAWVFIVGFGFGGWASMVNFVRQIDTFGLFAKCYQCPKHKA >itb07g23430.t1 pep chromosome:ASM357664v1:7:27821677:27826038:1 gene:itb07g23430 transcript:itb07g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNSFSAALILLALLPSPTSAQICPKTCGPMTLKYPFGTAPGCGDPRFQPYVTCTNNQQLTFATHTGCYPVTAIDYNHHSIFISDPTLSTCSCTQPSRGFSLDSNAPFAFRDDTIFALLGCSADASSPIYKSAGGANSSFPMCDSQGAPVCSILYSCEAMSRLNLPVSTCCVYTPVDLGPAFEMDLQKLQCASYSAMYSFNGGEEGNNPQAWKYGIALKYKFNFNNDFPELCARCERSNGVCGYSGPYKSFSCNCPSGFNTTTDCFLGNWSGSFRVLPWRKTGFLLVEILGLFILFALM >itb13g16710.t1 pep chromosome:ASM357664v1:13:23658989:23663888:-1 gene:itb13g16710 transcript:itb13g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKNENSGKRREECDCSSVLKASLPQPLASSSDAITPSRQRLHLSAQSAKTPVAPLRPVYDAESFHLRTSPLRMYFLKLGTLAECSSIFQGLFL >itb12g17050.t2 pep chromosome:ASM357664v1:12:18872111:18880570:-1 gene:itb12g17050 transcript:itb12g17050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKGFGDRVFKGKPAELEEKFKKAKKKKEREPLSSEPIPTRQSKRRRLQEESVLTATEEGVYQPKTKETMAAYELMLNTIQQQLGGQPLNIVSGAADEILAVLKNDNIKNPEKKKEIEKLLNPIPNNVFDDLVSIGKRITDYQDGSDALVSAAANGDDALDDDVGVAVEFEENEEEEEESDLDMVPEDEEEDDGLEENGAGAMQMGGGIDDDEMLEADEGMSLNVQDIDAYWLQRKISQAYEQQIDPQQSQKVAEDVLKILAEGDDREVENKLLLHLDYERFSLVKYLLRNRLKVVWCTRLARAEDQDMKKKIEEEMMELGPDHTAILDQLHATRASAKERQKNLEKSIREEARRLKDETSGDGDRGRRMISDRDVESGWLQGQRQLLDLDNLAFHQGGLLMANKKCELPLGSYRNHKKGYEEVHVPALKPKPLAEDEKFVKISSMPEWAQPAFRGMTQLNRVQSKVYETALFTPENILLCAPTGAGKTNVAMLTILQQIALNRNEDGSFNHNKYKIVYVAPMKALVAEVVNNLSNRLQEYGVNVKELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYDDVAVFLRVDLQKGLFHFDNSYRPVPLAQQYIGVTVKKPLQRFQLMNDVCYEKVIAVAGKHQVLIFVHSRKETAKTARAIRDTALGNDTLGKFLKEDSASREILQSHTELVKSNDLKDLLPYGFAIHHAGLVRADRQIVEELFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTYGEGIIITGHSELQYYLSLMNQQLPIESQFISQLADQLNAEIVLGTVQNAKEACKWLLYTYLCIRMVRNPSLYGLAADALKNDITLEERRADLVHSAATLLDKNNLIKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKIELAKLLERVPIPVKESIEEPSAKINVLLQSYISQLKLEGLSLTSDMVFITQSAARLMRALFEIVLKRGWAQLAEKALKWCKMISKRMWSVQTPLRQFHGIPNEILMKLEKKDLAWERYYDLSSQELGELIRYPKMGRTLHKYIHQFPKLNLSAHVQPITRSVLRVELTITPDFIWDDKVHGFVEPFWVIVEDCDGEYILHHEYFMLKKQYSDEDHTLNFTVQIYEPLPPQYFIQVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPAYETLYQEFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKGSDSVMRAVYIAPIEALAKERYSDWKKKFGEGLGMRVVELTGETATDLKLLEKGQVVISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQLDKKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVYVPTRKHARLTAVDLMTYSSVDSGERPMFLSQSPNEVEPFVESIKEPMLKETLKYGVGYLHEGLTSTDQDIVKTLFENGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKDYYKKFLFEAFPVESHLQHYLHDNLNAEVVSGVIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEASKCVAVDDDFLLSPNNLGMIASYYYINYTTIERFSSSLTPKTKLKGLLEILTSASEYQQLPIRPGEEELIRRLINHQRFSFENPKYSDPHVKANALLQAHFARQVVGGNLASDQQEVLLSANRLLQALVDVISSNGWLNLALLTMEVSQMVTQAMWERDSMLLQLPHFTKDLAKKCQENPDKCIETVFDLLEMEDDERRELLQMSDSQLMDIARFCNRFPNIDLTYEVVDGESVSAGGDDISVQVTLERDLEGRTEVGPVFAPRYPKTKDEGWWLVVGDPKSNQLLAIKRVSLQRKSRVKLDFAAPAEPGNKTYTLYFMCDSYLGCDQEYTFTVDVKEAGAVDD >itb12g17050.t1 pep chromosome:ASM357664v1:12:18872138:18880576:-1 gene:itb12g17050 transcript:itb12g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKGFGDRVFKGKPAELEEKFKKAKKKKEREPLSSEPIPTRQSKRRRLQEESVLTATEEGVYQPKTKETMAAYELMLNTIQQQLGGQPLNIVSGAADEILAVLKNDNIKNPEKKKEIEKLLNPIPNNVFDDLVSIGKRITDYQDGSDALVSAAANGDDALDDDVGVAVEFEENEEEEEESDLDMVPEDEEEDDGLEENGAGAMQMGGGIDDDEMLEADEGMSLNVQDIDAYWLQRKISQAYEQQIDPQQSQKVAEDVLKILAEGDDREVENKLLLHLDYERFSLVKYLLRNRLKVVWCTRLARAEDQDMKKKIEEEMMELGPDHTAILDQLHATRASAKERQKNLEKSIREEARRLKDETSGDGDRGRRMISDRDVESGWLQGQRQLLDLDNLAFHQGGLLMANKKCELPLGSYRNHKKGYEEVHVPALKPKPLAEDEKFVKISSMPEWAQPAFRGMTQLNRVQSKVYETALFTPENILLCAPTGAGKTNVAMLTILQQIALNRNEDGSFNHNKYKIVYVAPMKALVAEVVNNLSNRLQEYGVNVKELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYDDVAVFLRVDLQKGLFHFDNSYRPVPLAQQYIGVTVKKPLQRFQLMNDVCYEKVIAVAGKHQVLIFVHSRKETAKTARAIRDTALGNDTLGKFLKEDSASREILQSHTELVKSNDLKDLLPYGFAIHHAGLVRADRQIVEELFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQFDTYGEGIIITGHSELQYYLSLMNQQLPIESQFISQLADQLNAEIVLGTVQNAKEACKWLLYTYLCIRMVRNPSLYGLAADALKNDITLEERRADLVHSAATLLDKNNLIKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKIELAKLLERVPIPVKESIEEPSAKINVLLQSYISQLKLEGLSLTSDMVFITQSAARLMRALFEIVLKRGWAQLAEKALKWCKMISKRMWSVQTPLRQFHGIPNEILMKLEKKDLAWERYYDLSSQELGELIRYPKMGRTLHKYIHQFPKLNLSAHVQPITRSVLRVELTITPDFIWDDKVHGFVEPFWVIVEDCDGEYILHHEYFMLKKQYSDEDHTLNFTVQIYEPLPPQYFIQVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPAYETLYQEFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKGSDSVMRAVYIAPIEALAKERYSDWKKKFGEGLGMRVVELTGETATDLKLLEKGQVVISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQLDKKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVYVPTRKHARLTAVDLMTYSSVDSGERPMFLSQSPNEVEPFVESIKEPMLKETLKYGVGYLHEGLTSTDQDIVKTLFENGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKDYYKKFLFEAFPVESHLQHYLHDNLNAEVVSGVIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEASKCVAVDDDFLLSPNNLGMIASYYYINYTTIERFSSSLTPKTKLKGLLEILTSASEYQQLPIRPGEEELIRRLINHQRFSFENPKYSDPHVKANALLQAHFARQVVGGNLASDQQEVLLSANRLLQALVDVISSNGWLNLALLTMEVSQMVTQAMWERDSMLLQLPHFTKDLAKKCQENPDKCIETVFDLLEMEDDERRELLQMSDSQLMDIARFCNRFPNIDLTYEVVDGESVSAGGDDISVQVTLERDLEGRTEVGPVFAPRYPKTKDEGWWLVVGDPKSNQLLAIKRVSLQRKSRVKLDFAAPAEPGNKTYTLYFMCDSYLGCDQEYTFTVDVKEAGAVDD >itb09g12150.t1 pep chromosome:ASM357664v1:9:7646131:7646892:-1 gene:itb09g12150 transcript:itb09g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGSATTPIELFGPTHYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNLAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVAFYGGELNGVSYSDPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQAA >itb08g07790.t1 pep chromosome:ASM357664v1:8:6693300:6696364:1 gene:itb08g07790 transcript:itb08g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSETKLQAAPNVLQPNRNRVSLLERRSSLKKPSAAAVLPLPPPSRAAPITTTPPASPKIKSPRQPAVKRSGNDPNGLNSSAEKVYSTPKPSPATLLAKKSKKGGGAAVMIDEPGSIAAARREQVAIMQVQRKMRIAHYGRTKSAKYERKVASGEFSAAPAAVTAVVREEKRCSFITPNSDPVYVAYHDEEWGVPVHNDNMLFELLVLTGAQVGSDWTIVLKKRQDFRDAFSGFDAEAVSKYTEKKITSVSADYGIELSQVRGAVDNSIRILEVKKQFGSFDKYLWAFVNHKPIATQYKWCNKIPVKTSKSEAISKDMVKRGFRYVGPTVIHSFMQAAGLTNDHLTTCPRHHPCAELAAPQRPSTAPSV >itb15g00820.t1 pep chromosome:ASM357664v1:15:474969:477059:-1 gene:itb15g00820 transcript:itb15g00820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCNRVSAMRKSSSSSSRVLCIILMQLILVSGFFAIHSSRGSGLVFSQENFPWPLGFSLPSTTNVSITSDLSNSANALKKLSKLERVEAVLRQVRAAIRQAQSENQTFEDPDYVPSGPMYRNPQAFHRSYLEMEKHFRIYVYEEGEPPVFHYCSSQGILGIEGILIHQIEMSKFVTKDPEKAHVFFLPFSVYSLVSYVYIVDSHQKKPMQNTAADYIHNISRKYPYWNRSLGYDHFMLACHDWAPKISRAVRNLYKNSIRVLCNANTSEGFKPSIDVSLPEIYLPQGNMEGLIGGPPSWDRSLLVFYAGGIHGYIRQVMMETWENKDTEVQIHEYLPNNLSYYGMIRKSKYCICPSGYEVASPRMVEALYMGCVPVLIKDHYAKPFADVLNWDTFAVDIPVKEIPNLKKILLSIPQRKYLRMQKRGTQVRKHFEVNFPPKRYDVFHMILHSIWLRRLNIEVHET >itb07g21070.t1 pep chromosome:ASM357664v1:7:25432997:25440193:1 gene:itb07g21070 transcript:itb07g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKRYVVEMEKAKEGADGRPSVGAVYRNVLAQNGFKPLPQHLHSCWDIFCESVKKFPNNIMLGEREFVDGQYGKYVWLTYKQVYDIVEKVGASIRACGVTQGDKCGIYGANCSNWVISMQACNAHGLYCVPLYDTLGAGAVEFIICHAGISIAFVEETKIHEVLKTLPNTKEFLRTVVSFGEATEEQKEAAATFDVKIYSWDEFLMLGVNKQFDLPVKRETDICTIMYTSGTTGDPKGVLISNESILSIIAGVNHHMEDMNEEFTEKDVYLSYLPLAHIFDRVIEELFISKGASIGFWHKDVKQLIDDIKELKPTAFCAVPRVLDRIYSGLVEKISSASFLKNKLFNIAYNYKLKNMSNGYKHVDAAPTLDKIIFRKVKEGLGGNLRLILSGAAPLSSTVETFLRVVTGAHVLQGYGLTETCAGSFVSRPDDLSMVGTVGPPLPVVDVCLESVPEMGYDALGSTPRGEICIRGKCLFSGYYKREDLTAEVMVNGWFHTGDIGEWQADGSLKIIDRKKNIFKLSQGEYISVENLESIYSLASTVDSIWVYGNSFESFLVAVVNPNKECIEMWAEGNGVAGDFKSICENPKANAYILGELSKIAKEKKLKGFEFVKAVYLDPIPFDMERNLLTPTFKKKRAQFFKYYQSAINGMYKSTR >itb04g23990.t1 pep chromosome:ASM357664v1:4:28853856:28854212:-1 gene:itb04g23990 transcript:itb04g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASTCAFLFISCLLIASLFTVSVDADGDLDVAGWMPAEGCKGSIAECMTSGEEFEMDTESNRRILATSNYISYDALQKGNTLCSRRGSSYYNCQPGAQANPYTRGCSAINRCRSPE >itb10g01660.t1 pep chromosome:ASM357664v1:10:1286713:1290735:1 gene:itb10g01660 transcript:itb10g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVDNARCFPNGFVKYVWLAFLCFFCNGGVSTDTLRPGEWITQNQTLVSAGGRFALGFFSPGNSSSSFLGIWYNAINNSVIWVANRESPLPQDSNPVFTLAQDGNLQIINGKSETIWSTNVSGAGFSRNSPETRLLNSGNLVLKQGNNDSPVWQSFDHACDTLMPGMKLKVNTKNHTRNVIKSWTSKDDPRPGKFSWGMDPNGSPQFFIWKENSPYFRSNLYQYGFNWSLLFPVLGYSAYYSFATENNEVYFSYGYADISEVRFILTPDGYVQTQLNQKRTDLWLVRWHVPANDCEFYDRCGAFGSCEYNGSHPVCSCLKGFKPKSQKDWDSGNHGGGCERIIDLRCDEEDMFMRLPLMKWPDHSTSMGSMAFKDCVDQCSRNCSCKAFAYANISSDSTVNCINWFGDLVDLVHNYSAGLNGYGQDLYVRVHTSNRNENSGHRNRTLVAIIVTLVAAFILVSALTYILRRKYFRRRDWEAKMSTMVNSLSVSSLVGKEFGMVAIKRLSKRSSQGLEEFMNELKLIAKLQHKYLVSLLGCCTEDDEKILIYEYLPKRSLDKFLFDASEKESLDWKTRYQIIEGIAQGILYLHKYSRLKVIHRDLKASNILLDEVMKPKISDFGMARIFGLDQTHANTNHVVGTYGYIPPEYVLHGQFSEKSDVFSFGVLLLEIISGRKNSEFSQIELSITLLGWAWDNWKEGRALEFVDPAIRKTCDSPKAIRCIEVGLLCVQPIPTDRPTMSDAVVMLSNLATTIPKLKEPAFVASNHSNTVVSSSQGGSSGSNNEVTISAIEPR >itb12g08660.t1 pep chromosome:ASM357664v1:12:6808844:6809332:1 gene:itb12g08660 transcript:itb12g08660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQMASIVLLALLASFASAYDNNPLQDFCVADPQAAVFVNGKPCKDPKRVEANDFYMAAGFNTPTGGVNLSSNESF >itb05g22160.t1 pep chromosome:ASM357664v1:5:27662402:27664831:1 gene:itb05g22160 transcript:itb05g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPRDRRSSKDAAAYSEMVSPLLAAGINREREMSVMVSALAHVVAGDDVITSGAGGDGILSLTSSLLDSVGASSASGSSSGGLSSSSTSVCSSYYHHDDLSLLGDSTLGSSPAQSGGRIARTRSGPPETATFIYTPTTTHHNAQVEPGRQYRGVRRRPWGRWAAEIRDPNKSARVWLGTFDTAEAAAEAYDQAALRFRGSKAKLNFPENVSLLPPPSSSSSSARVSSPAAFSPAPYSNFGSPAVVNRPGSLLEQGVCSPESLSSMLPSAQPPVRFGHARGQSSGTKSPVAFWSD >itb10g03290.t1 pep chromosome:ASM357664v1:10:2993235:2994462:-1 gene:itb10g03290 transcript:itb10g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVFKKTPTKSIRAHKNHLRRGRKKSPIKNPSAAAATVSSVVVASINKSFYTCRGRLVKIFSKLACISGTPKKTPRKRGYHILEKVSDAPGPDLRRSLFKDEKEKSLPPIPSPDKKTIFLDLDETLVHSQPNPPPENYDFVVRPVIDGEIVEFFVLKRPFVDEFLETLSKKFEIVVFTAGIKEYASLVLDRLDKKGLISHRLYRDSCKEVDGRFVKDLSELGRDMKRIVIVDDNPNSYIFQPRNAIPIRAFTNDMEDGELKRLMEFFDGCDGVEDMRDAVKGFVAEEEFKDVEV >itb11g03630.t1 pep chromosome:ASM357664v1:11:1975674:1977442:-1 gene:itb11g03630 transcript:itb11g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLIQRPLIFQDENLDVYCKKALTGDKSKSSKQSVVKKAATGLKEAVASENSKSSKQSVAKKGATGLKNRSALNDITNKSSVPPKASQKKSVSQKKKVLVKEDAVNIAEETFLHDHQKCIEAQQAARTVDLWHLVFPERDSLPPIEISDLIPEKSNHHCIDSPRSFPEPEESLMAEFSAWFQSPTKRSPQSSPARCDSPLSSWEFEPVEFKMKEEIDIAI >itb04g08250.t2 pep chromosome:ASM357664v1:4:6030193:6032799:-1 gene:itb04g08250 transcript:itb04g08250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDGMIELSILFHQDGISSIVRCRRDKMMKGLLVAYCDKKQVEYGDIRFLYEGKRLPVKKTPNEMGMKDGDEIEAFMNVQGGGLLAI >itb04g08250.t1 pep chromosome:ASM357664v1:4:6030193:6032799:-1 gene:itb04g08250 transcript:itb04g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDGMIELSILFHQVEYGDIRFLYEGKRLPVKKTPNEMGMKDGDEIEAFMNVQGGGLLAI >itb01g14350.t1 pep chromosome:ASM357664v1:1:16150473:16151407:1 gene:itb01g14350 transcript:itb01g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSADSSIIHLLLHMRIYTLNSGKKSCNQQHSMITNMNCAQYLSRERDIASGTAADITENHDCRPTSPPRLRLISMFNFVNLG >itb04g25530.t1 pep chromosome:ASM357664v1:4:30099024:30099410:1 gene:itb04g25530 transcript:itb04g25530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARRKSSEEVKYRGVRKRPWGKYGVEIRDSNRNGVRVWLGTYDTAEEAARAYDRAAYGMRGKFAVLNFPGEYPSTLNGSCSPAATPPFGGSSLPPGMGFVERETAAKEVIEFECLDDKLLEDLLAG >itb02g11730.t1 pep chromosome:ASM357664v1:2:7838189:7838654:-1 gene:itb02g11730 transcript:itb02g11730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFYERYWLPFGELLVHMETEGMLVDRAYLAELEKVAKAEQEIASKRFRNWASKHCPDAKYMNVGSDAQLRQLFFGGTLNRKDPNESLENKRDFQSSQR >itb14g04810.t1 pep chromosome:ASM357664v1:14:4186666:4188816:1 gene:itb14g04810 transcript:itb14g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARHVYEDSSISIHFDVRAWVVASQLHNKRQMLLGLLNSISKQGNLEKSIDEDLSLKLYQCLKRQRYLVVVDDVWSGEAWDDVSNCFPEDGNGSRVLLTTRLAEVADYSSSNSDFSHHMQLLDQSDSWNLFCEKSGKFHGAKFEIIGRSIVEKCKGLPLAIIVVAGLFSTLSTLNELENIAKVLDSSTTTTIAAICSEILLLSYNHLPHHLKACFLYLGVFPEDYAINANELARLWSAEGLAKASENENFDVVADRHIQELMDRNLILVSKWSCCGRKIKVFGVHDLLHAFCVNEAQKENLLHVVRENGSDFRQRRFRWVSMQSSKLDVFTLRLEVLKLSGACVGKQWELPEDDKFCQLIVLEINSTHLKDWKATGDNFPKLEHLYLFSCTKLKEIPNGFAEISKLKSIQLVDCRPSVVASAEEIKEEQLDYLNNIVDVVVPERRGYSPVSESESESDEYESDEA >itb03g30400.t1 pep chromosome:ASM357664v1:3:32572061:32576015:-1 gene:itb03g30400 transcript:itb03g30400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEAMRRPAPNRVSLCTRLLPLPSRIVGRRTTSGEIRRTAAVVVGSSDDRRPPTAVVNGVALGRAPAVLLSRRRRDGLLQQRRASVPPSLCFFFRRSSPDEAAGARRWKAGSFPLLLPFSGAVAEC >itb07g11140.t1 pep chromosome:ASM357664v1:7:12550160:12551576:-1 gene:itb07g11140 transcript:itb07g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGVLSEKEASQPCEKSSPPVRKRKVEIRKINFVTGVTPSSSLPDAEKELKCPRLEFYYGPISGVCGDAVDNFVGSDVEEKGKVVVVKDFPSTILLAPFTSNLLMESVAYPKFGMASVCGRRRNMEDAVAIHPRFCRTNRENEDELHYFAVYDGHGCSHVAFMCRERLHELVREELETKEDSEEWKHAMERSFNRMDKEVIAWNKNLMRGSCRCELQTPECDAVGSTAVVAVITPLKIIIANCGDSRAVLCRNGKAIALSNDHKPDRPDELSRIEEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVTCQPEVMVIDRTPEDECLILASDGLWDVVSNDTACSVAGTCLKGKTTTTTTPWSWAEKDAGAENSDKACSDASTLLAKLALARRSSDNVSVVVVDLNSGRGSI >itb04g03690.t1 pep chromosome:ASM357664v1:4:2306202:2307574:1 gene:itb04g03690 transcript:itb04g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRAATKFSLSAVRLESGSSSDNGTRPSPHDRNAAPLLSVSKPSWIVRTESNIRRERRQKPDPPCVVCRGNGRVECYDCNGRGRTNYVDLEMLPKGKWPKWCRACGGSGMNHCSRCLGTGEYRYILGFGLTHRQSGDNEHGRIGGGGDRRRRIRSADDLLVNDEECL >itb04g03690.t2 pep chromosome:ASM357664v1:4:2306202:2307574:1 gene:itb04g03690 transcript:itb04g03690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRAATKFSLSAVRLESGSSSDNGTRPSPHDRNAAPLLSVSKPSWIVRTESNIRRERRQKPDPPCVVCRGNGRVECYDCNGRGRTNYVDLEMLPKGKWPKWCRACGGSGMNHCSRCLGTGEYRYILGFGLTHRQSGDNEHGRIGGGGDRRRRIRSADDLLVNDEECL >itb08g02140.t1 pep chromosome:ASM357664v1:8:1719307:1724336:-1 gene:itb08g02140 transcript:itb08g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLCYVGAVSKAPSLHGGNRALFPSFTSQHSSLRSRPRCCKVGVRCNYSGAGITENSNTGTIDVVADVKSERIVVLGGSGFVGSAICKAAVSKGVEVISLSRSGRPSYSESWVDQVTWVSGDVFYVNWDDVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVNAAKEYGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPGFIYGKRKVDGFEIPLDLIGQPIERILSAVENFTKPLSSLPASDLFLAPPVSVDDVAYAAINAVTDDDCFGVFTIDQIKEAAAAARV >itb08g02140.t2 pep chromosome:ASM357664v1:8:1719307:1724327:-1 gene:itb08g02140 transcript:itb08g02140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLCYVGAVSKAPSLHGGNRALFPSFTSQHSSLRSRPRCCKVGVRCNYSGAGITENSNTGTIDVVADVKSERQIVVLGGSGFVGSAICKAAVSKGVEVISLSRSGRPSYSESWVDQVTWVSGDVFYVNWDDVLVGATAVVSTLGGFGSEEQMKRINGEANVVAVNAAKEYGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPGFIYGKRKVDGFEIPLDLIGQPIERILSAVENFTKPLSSLPASDLFLAPPVSVDDVAYAAINAVTDDDCFGVFTIDQIKEAAAAARV >itb12g16300.t1 pep chromosome:ASM357664v1:12:17024051:17024688:-1 gene:itb12g16300 transcript:itb12g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCAVPKSEPYERERERERDQGKSSGSIRYSGEEENDIGGDSGGAFGGVHGVASEGAAACIQRSSSAFSPTGSFPHPPPSSLHLLLPFRRFPPPIQPISRPSGSFCPYLVPTCITRPI >itb05g18000.t1 pep chromosome:ASM357664v1:5:24852144:24854767:1 gene:itb05g18000 transcript:itb05g18000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSIVVAVLFAWTLVQGLCLLAKRSSSKSVGKKLPPGPFHWPVIGNLHLLGTQPHKSLSQLAQKYGPIMNLKLGLINTVIISSSAMAREALQKQDLAFSTRLVIDVVRAQNHSQFSVVWLPVASKWRTLRKIMNSNIFSGYKLDAGQQLRARKIQELITYCQNYSQAGEAVDIGRAAFRTTMNLLSNTIFSKDLCDPCSEFKDLVRNIMEEAETPNMVDYFPFLRKFDPQRLRRRMSGHFSKVLHIFRGLIDERLEERKVMSGNRNVDILDTLLNISQQTPDEIDNTLIQHNCLDLFIAGTDTTTSTIEWAMVKLLKNPETIAKAQAELADVIDKGKLLNEADVSRLPYLQCIVKETLRLHPPAPLLLPCRVDQDVVLCGYTILKDSLVLFNVWAIWCDPNIWENPLMFKPERFWNSEIDVRGRDFELIPFGAGRRICPAMPLALRVIPVMLGSLLNSFQWKIDGDIEPKDLDMEEKFGTTSGKAHPLRAIPIPL >itb11g17490.t2 pep chromosome:ASM357664v1:11:17448243:17455370:-1 gene:itb11g17490 transcript:itb11g17490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVKEIVEIKQRSLAEENQKTLEVLKEREQLLQDQLRQAQESVSTIQKLHELAQSQLFELHAQSEEERASKQSEVNLLMDEVERAQGRLLSLEREKGVLRSQLQLANEDNDDRKSDNFDTNSILENSLSAKEKIISELNMELHNLESTLSNEREEHINEVKKLNVLLHEKEVALDEMKKELQSRPTAKLVDDLKKKVQILQAVGYNSIEAEDWEAATSGEEMSKLESLLLDKNRKMEHELTQLKMKLSERASLLESAEAKIDELTVKVGEQQRLNQKLEDDILKGYSSKDKKSNIFDDWDLSESRGTEPSENVEQKHTSSDQDQSSMLKVICNQRDRFRSRLRETEEEIRQLKEKIGVLTAELEKTKADNVKLYEKIRYVQDYNSERVISRGSKKYAEDLEIGFGSDVESKYKKMYEDDINPFAAFSKKERDQRYKELGLRDKITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALTNTSNVPAEDKVIILPQPQ >itb11g17490.t3 pep chromosome:ASM357664v1:11:17448196:17455370:-1 gene:itb11g17490 transcript:itb11g17490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVERAQGRLLSLEREKGVLRSQLQLANEDNDDRKSDNFDTNSILENSLSAKEKIISELNMELHNLESTLSNEREEHINEVKKLNVLLHEKEVALDEMKKELQSRPTAKLVDDLKKKVQILQAVGYNSIEAEDWEAATSGEEMSKLESLLLDKNRKMEHELTQLKMKLSERASLLESAEAKIDELTVKVGEQQRLNQKLEDDILKGYSSKDKKSNIFDDWDLSESRGTEPSENVEQKHTSSDQDQSSMLKVICNQRDRFRSRLRETEEEIRQLKEKIGVLTAELEKTKADNVKLYEKIRYVQDYNSERVISRGSKKYAEDLEIGFGSDVESKYKKMYEDDINPFAAFSKKERDQRYKELGLRDKITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALTNTSNVPAEDKVIILPQPQ >itb11g17490.t1 pep chromosome:ASM357664v1:11:17448196:17457094:-1 gene:itb11g17490 transcript:itb11g17490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHGGGEAGGSEREKLNSMSSSTTPIAAVASFWKEFDLEKERIALDEQGLRIAENQENSQKNRRKLAESTRDFKKASNEDKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKIYEAPDPYPLIASIAEKDSKLSELEFENRKMKVELEEFRMEATHLKNQQATIRRLEERCRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKEREQLLQDQLRQAQESVSTIQKLHELAQSQLFELHAQSEEERASKQSEVNLLMDEVERAQGRLLSLEREKGVLRSQLQLANEDNDDRKSDNFDTNSILENSLSAKEKIISELNMELHNLESTLSNEREEHINEVKKLNVLLHEKEVALDEMKKELQSRPTAKLVDDLKKKVQILQAVGYNSIEAEDWEAATSGEEMSKLESLLLDKNRKMEHELTQLKMKLSERASLLESAEAKIDELTVKVGEQQRLNQKLEDDILKGYSSKDKKSNIFDDWDLSESRGTEPSENVEQKHTSSDQDQSSMLKVICNQRDRFRSRLRETEEEIRQLKEKIGVLTAELEKTKADNVKLYEKIRYVQDYNSERVISRGSKKYAEDLEIGFGSDVESKYKKMYEDDINPFAAFSKKERDQRYKELGLRDKITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALTNTSNVPAEDKVIILPQPQ >itb08g17440.t2 pep chromosome:ASM357664v1:8:20028682:20032213:-1 gene:itb08g17440 transcript:itb08g17440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEECVFPLVDALFEGYNATVLAYGQIGSGKTYTMGTGFKDGCQTGIIPQVMNALFNKIGTLKHQTEFHLHVSFIEILTLPTCLLGDLNAYVKVKTCILTTTEPTVQILQNTKRIKTDEETGSRTDESVQIVIISEALANFFGTNEREMSQAEVSRQVWEYIKVNQLEDPINSMAIQCDAKLQQLLGCESISALGVPEMLARHHLSKRS >itb08g17440.t1 pep chromosome:ASM357664v1:8:20028682:20032069:-1 gene:itb08g17440 transcript:itb08g17440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEECVFPLVDALFEGYNATVLAYGQIGSGKTYTMGTGFKDGCQTGIIPQVMNALFNKIGTLKHQTEFHLHVSFIEILTLPTCLLGDLNAYVKVKTCILTTTEPTVQILQNTKRIKTDEETGSRTDESVQIVIISEALANFFGTNEREMSQAEVSRQVWEYIKVNQLEDPINSMAIQCDAKLQQLLGCESISALGVPEMLARHHLSKRS >itb02g17410.t1 pep chromosome:ASM357664v1:2:13361661:13362871:1 gene:itb02g17410 transcript:itb02g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMAKTCVSASNSPLLIRSMATQKPIPSATTTVSSKKSGTVFPVGEKVSNSTGTGTATPAVKLLTRVEQLRLLTKAEKAGLLSAAEKFGFSLSAIEKLGVLSKAEELGILSAATDPGTPSGLFTLSLGLLALGPVCVYFVPEEYPWQIGVQVLVAFISVLGGSAAFAASNLVSNLQKSS >itb06g07040.t1 pep chromosome:ASM357664v1:6:10219006:10220231:-1 gene:itb06g07040 transcript:itb06g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDESIQLSLSSSPHTFNHRKQNAQTDTKHYKVLLIVNYVFQLVGSVSSSLLSKFYFVHGGSSRWVATWVQCAGFPLLIPAVYAFSSSERRPFTGFNLRIFSLSVVIGLMLGVNNLLISWGTSYLPVSTCSLVLASQLVFVLFLSAIIVRQKITFANLNCVVLLTLAAVLLALDSSSDKPVGLTKAKYFVGFFCTIGAALLFALYLPLVEKLYRNVHCYAMVVEMQVVMEAAATVLATVGMAIDGGFSGMKKESQGGFDLGSKAYAITVAVNVVTWQLCFMGTAGMVFLTTSVTSGICSTALMAFNVIAGVMAYGDHMGGSKAVSTVLCVWGFSSYVYGMYVKTKRVTKGVPPAIGT >itb14g18380.t1 pep chromosome:ASM357664v1:14:21431996:21432349:-1 gene:itb14g18380 transcript:itb14g18380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKKRLGIQINFYRPDDCGLFSIKQSGSARGSPAHNHRYTARGEAKSFSLYREKMKMMNSSLPGKFVESFDDFLYDDFVPLPYPRGDILLCSHFTRGSRGPKREVRAIWDRSLRA >itb06g14920.t1 pep chromosome:ASM357664v1:6:19326914:19331504:-1 gene:itb06g14920 transcript:itb06g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGSMVPPPMAPMSADPSQQQQPPPPQYQQPPQQWMMQAQQQQQPPMQPQPPAAWAHQPPPAMSHAMPVPYQTQQPQQYAGAYTATAPAASSSPSEVKSLWIGDLQYWMDESYVNGCFAHTGEVAAVKVIRNKFTTQSEGYGFIEFRSHAAAESVLQTYNGTLMPNTDQNFRLNWATLGAGERRDNTPDYTIFVGDLAADVTDYLLQETFKAVYSSVKGAKVVTDKNTGRSKGYGFVKFGDESEQVRALTEMNGVLCSTRPMRIGPAANKQTVGTQQKASTYQNPQASQGESDPNNTTIFVGGLDPNVTEEHLRQVFSPYGELVHIKIVAGKRCGFVQFATRASAEQALSNLQGTQLGEQNIRLSWGRSPSNKQSSEQPKWGGGGSYYGYAQGYEAYGYAPPPQDPSMYYGSYPGYTNYQQPHQ >itb06g14920.t3 pep chromosome:ASM357664v1:6:19328255:19331504:-1 gene:itb06g14920 transcript:itb06g14920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGSMVPPPMAPMSADPSQQQQPPPPQYQQPPQQWMMQAQQQQQPPMQPQPPAAWAHQPPPAMSHAMPVPYQTQQPQQYAGAYTATAPAASSSPSEVKSLWIGDLQYWMDESYVNGCFAHTGEVAAVKVIRNKFTTQSEGYGFIEFRSHAAAESVLQTYNGTLMPNTDQNFRLNWATLGAGERRDNTPDYTIFVGDLAADVTDYLLQETFKAVYSSVKGAKVVTDKNTGRSKGYGFVKFGDESEQVRALTEMNGVLCSTRPMRIGPAANKQTVGTQQKGRFFNSFVICF >itb06g14920.t2 pep chromosome:ASM357664v1:6:19329479:19331504:-1 gene:itb06g14920 transcript:itb06g14920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPGSMVPPPMAPMSADPSQQQQPPPPQYQQPPQQWMMQAQQQQQPPMQPQPPAAWAHQPPPAMSHAMPVPYQTQQPQQYAGAYTATAPAASSSPSEVKSLWIGDLQYWMDESYVNGCFAHTGEVAAVKVIRNKFTTQSEGYGFIEFRSHAAAESVLQTYNGTLMPNTDQNFRLNWATLGAGERRDNTPDYTIFVGDLAADVTDYLLQETFKAVYSSVKGAKVVTDKNTGRSKGYGFVKFGDESEQVRALTEMNGVLCSTRPMRIGPAANKQTVGTQQKGNREALVYGGLELISAEMITI >itb11g04600.t1 pep chromosome:ASM357664v1:11:2434977:2435972:-1 gene:itb11g04600 transcript:itb11g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATEKAENLNHKGLRKALEKISDIEKEANLRVIQFGGLKGLKLEDIKLAGERSICHDVCFEFFQTVIKKESLNADVHVLSYCWCGDLIRSTLPAGGLNGIKVHANELEFEESVCTGKILGKVVSPIDKVEAFAKICGKGNDKKKLLSVYIGDSFKDLLCLLEADIGIMKVEGVETWKGKGGLSGILYTALTWVEIQAFVIGSSWDEAVAMSNYCKISCDHILAVYTKNLNKFSKLE >itb10g01760.t1 pep chromosome:ASM357664v1:10:1439278:1444956:-1 gene:itb10g01760 transcript:itb10g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMVRSLINFVAIVCVLVVIDSDVKLLGIGGFCNAQEMDDNMVEQMWIGYLEELEKISDDFQTFSGWSSFPRKSLRDKKLWHKVLPPTSSASSPRAEAMLPIFTPPAPASSPLLSFEFPSLSPNEKRLLKSVLPLPIGHSPPISPHFIPPMPKSRPQKPKLHPPPMPRIHPHPMPKSQNHPMPKSPPQMPRSHPSMPRSHPPPMSRSHHPPMPRSPSPLRPRSHPPPMPRSHHPPIPRRHRPPMPRSPLRPRSHPPQMPRSHPLRSRSPPPPRPRSHPPLRPRSHHPPMPRSHPPPRPRSHHPPMPRSPPLRPRSPTPMPRHHHPPMPRSHHPPMPRSPPLRPKSPTPMPRSHHPPMLKSPPMPKIPPQIPKLPLPPTTSPPPMPKPPPPSPLPMAEAVLPIFTPASTPSVPASSPLKSVLPLPIVHPPPIRPPIIPPMPEGPPPMPESPPEMPIFPPPPMPKSPPSPLRPPPHNLPSPPKPQDYDHVPSKDDHEKKKTIVSAVAGGTVGGITLVALILVCFVKKRNKEGQELEQIDGTNGTNGTDGTNGIDDTNGIGEHVLNLSSVDHISAGAKQMPQSNENPPGNVKELNTSSTLDSPLVKPDMIDSHTSTSSAIETKTPELTEAKCASSLLPPPPRLSAQGPVQPPKCVQAPAPPKGGQPPAPPKSGQPPAPPKSGQPPAPPKGGQPPAPPKGGQPPAPPKGGRAPAPPKPLAPNIKGRSSGAGSESGDSGKAKLKPFFWDKVNASPNRTMVWHELKSGSFEVNEEKMESLFGYRMVDKNHNERKKKDVSPFDSTPHFIQIIEARKAQNLAILLKALNLTTEEVCDALKEGNELPSEFVQTLLKMAPTEDEELKLRLFTGNLSQLAPADRFMKVLVGIPFAFKRMESLLFMTIFQEEISSVKQSFATLEVASKELSNSRLFLKLLEAVLKTGNRMNVGTYRGGAEAFKLDTLLKLSDVKGTDGKTSLLHFVVQEISRSEGLKSARRLKQNMSLSSVRTEDCVEESSRKESAEYYQSLGLQVLSKLGDELENVKKAAIIDHDNLAQSVAKLKHSLSKARDFLDTDMKSVEGETEFKETLENFLKHAGEEITRQVEEEKRIMEMVKNTGDYFHGKTGKDEGTRLFVIVRDFLVALDKVCKEVEKLIKPANTTPGKGESQSARLRDIPQQLFPAFKPQLAGDGFSSDDESSSP >itb13g14830.t1 pep chromosome:ASM357664v1:13:21420267:21423943:1 gene:itb13g14830 transcript:itb13g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIISSTAVLRSKPQLIVPSTSTPHQQKPLSDIDDQGTIRVHIPVLMFYRNSVERRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENRKLIVNCNGKGVLFVEAKANVKLEQLSEKSMQPPCPYLKQLLNTVPGSKGIIDCPLLLIQVTRFSCGGFALGIRFNHTMMDAQGFIQFVNAVSELAQGASVPSTLPIWERGLLTAMPTPNITFEHNEFKDFDLSKTTRWWDFERLLRITKFINVQKLASDPCVFFSKNICHSLLVKRSFAFGSRELQAIKDQCPSSTTFEALSACLWRCRTIALLPDPNSTVLLTIIVNIRERLQDSKLPLGYYGNAIVLVSAVTKAKLLCSNPISYAAKLIREAKNTVNDDYVKSITDLMVTSGRPRGAVLRNLITDNSRFGYDDVDF >itb05g01250.t1 pep chromosome:ASM357664v1:5:1089850:1093475:1 gene:itb05g01250 transcript:itb05g01250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSSRQTCDLFSYFDCISKIRAIRVLNFGIGAMLKLWVLFPLFFLCFAFLTAPALANVVLIGRNVTSSFEDIEANFAPSAKGSGQCGTLYVAEPLDACSPLSNEVDAVENSTKSPFVLIIRGSCSFEEKVKRAQVAGFKAAIVYDYDYGDLVAMAGSSSGIKIPAVFVSKTSGETLAAYAGDPNVEIWIIPSIENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRTTRVREFHGMSSRLVKAMPSLIFTAVVEDNCTSVTCAICLEDYSVGDKLRVLPCRHKFHAMCVDAWLTSWRTFCPVCKRDARTSTGDPPASESTPLLSSSASLSSLGSVRSSLASSSAIQIGPPSSSRPPSRSQSISSTPYTHRHSLQSYVQSPHLTASRSSVDLRNASSSQRSRASYLVSPHSVGYPSISPLNSRYMSPYIPSPGNASTSYLGSSSRHPNPLRPSESYTSFSPFASAQSLPGCE >itb05g01250.t2 pep chromosome:ASM357664v1:5:1089862:1093475:1 gene:itb05g01250 transcript:itb05g01250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding AGSSSGIKIPAVFVSKTSGETLAAYAGDPNVEIWIIPSIENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRTTRVREFHGMSSRLVKAMPSLIFTAVVEDNCTSVTCAICLEDYSVGDKLRVLPCRHKFHAMCVDAWLTSWRTFCPVCKRDARTSTGDPPASESTPLLSSSASLSSLGSVRSSLASSSAIQIGPPSSSRPPSRSQSISSTPYTHRHSLQSYVQSPHLTASRSSVDLRNASSSQRSRASYLVSPHSVGYPSISPLNSRYMSPYIPSPGNASTSYLGSSSRHPNPLRPSESYTSFSPFASAQSLPGCE >itb15g10610.t1 pep chromosome:ASM357664v1:15:8333631:8336166:-1 gene:itb15g10610 transcript:itb15g10610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNPIPIAEAVESYLRRGAAAPRLQDAATATSRSPSQHRRWSEPPLPSSAPISSRRAAPSQPETPLTKTGLRETCETSIFNHLQPSLPGALPCLTCWLILLTYSPLPTASGSTFSLYSPVLQFRTSKMCEN >itb03g11720.t4 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISILSRSWLVERPELGTDVTFTHWCFVNLF >itb03g11720.t5 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISILSRSWLVERPVICLRELGTDVTFTHWCFVNLF >itb03g11720.t3 pep chromosome:ASM357664v1:3:10247155:10249635:-1 gene:itb03g11720 transcript:itb03g11720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPRLHRDASTSIPLQLPTLTITLSDRLRPKAQRCSFLRPPRMWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISILSRSWLVERPELGTDVTFTHWCFVNLF >itb03g11720.t1 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPRLHRDASTSIPLQLPTLTITLSDRLRPKAQRCSFLRPPRMWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISELGTDVTFTHWCFVNLF >itb03g11720.t6 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPRLHRDASTSIPLQLPTLTITLSDRLRPKAQRCSFLRPPRMWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISILSRSWLVERPVICLRVFPPEFQNRMI >itb03g11720.t7 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISELGTDVTFTHWCFVNLF >itb03g11720.t2 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPRLHRDASTSIPLQLPTLTITLSDRLRPKAQRCSFLRPPRMWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISILSRSWLVERPVICLRELGTDVTFTHWCFVNLF >itb03g11720.t8 pep chromosome:ASM357664v1:3:10247142:10249638:-1 gene:itb03g11720 transcript:itb03g11720.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKAIANECQANFISVKGPALLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQAASGTWEISILSRSWLVERPVICLRVFPPEFQNRMI >itb06g18670.t1 pep chromosome:ASM357664v1:6:22282801:22289639:-1 gene:itb06g18670 transcript:itb06g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/TrEMBL;Acc:A0A178WLP2] MESGDEMIMADDGDSYEDDDFYSGGGCGGIEDSDDDVIMDYFIDNDSDDSDSLASHYRSQTNYTILNEADIRQRQEETMMKIATVLSISKDAAGILLRHFNWSVSKVNDEWFADEEKVRRTVGLLENPTPLPNEKEITCGICFETYPRDEISSAACGHPFCVTCWQGYISTSINDGPGCLMLRCPDPSCSAAVGQDMVQELATRDDKEKYNRYLLRSFVEDNRKTKWCPAPGCDYAVDFIVGSGSYDVTCCCSYSFCWNCCEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGEKTGGFYACNRYEAAKQDGAFDDAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHLEKLSEIQCQPESQLKFIIDAWLQIVECRRVLKWTYAYGYYLPEHDRAKRQFFEYLQGEAESGLERLHQCAEKELQRYLNADGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDPRGACSRAASSKNLGCLSSKGSRSRGRGTTSRSSSSKNIDDSGHWSCQYCTFANVRSANICQMCQQRP >itb06g18670.t2 pep chromosome:ASM357664v1:6:22285160:22289622:-1 gene:itb06g18670 transcript:itb06g18670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/TrEMBL;Acc:A0A178WLP2] MESGDEMIMADDGDSYEDDDFYSGGGCGGIEDSDDDVIMDYFIDNDSDDSDSLASHYRSQTNYTILNEADIRQRQEETMMKIATVLSISKDAAGILLRHFNWSVSKVNDEWFADEEKVRRTVGLLENPTPLPNEKEITCGICFETYPRDEISSAACGHPFCVTCWQGYISTSINDGPGCLMLRCPDPSCSAAVGQDMVQELATRDDKEKYNRYLLRSFVEDNRKTKWCPAPGCDYAVDFIVGSGSYDVTCCCSYSFCWNCCEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGEKTGGFYACNRYEAAKQDGAFDDAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHV >itb12g17400.t2 pep chromosome:ASM357664v1:12:19470755:19471868:1 gene:itb12g17400 transcript:itb12g17400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVEEDGLLHPGKGGNRRRFNDAQIQSLESMFEAQTRPELRVKQQLAKNLGLQPRQVAIWFQNKRARSKSKQIEHDYRKLKMSYDALNSKLQTLKQEHESLLLQSLRKLTEETGDKETDEEGTSLGTEEKPNCTHQTSSPEFVLPQTNRPEAETGVLNIIDTGEGSFSSIGEKCEFETDGFFHNSCCSSQWWEF >itb12g17400.t1 pep chromosome:ASM357664v1:12:19470750:19471935:1 gene:itb12g17400 transcript:itb12g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVEEDGLLHPGKGGNRRRFNDAQIQSLESMFEAQTRPELRVKQQLAKNLGLQPRQVAIWFQNKRARSKSKQIEHDYRKLKMSYDALNSKLQTLKQEHESLLLQLQSLRKLTEETGDKETDEEGTSLGTEEKPNCTHQTSSPEFVLPQTNRPEAETGVLNIIDTGEGSFSSIGEKCEFETDGFFHNSCCSSQWWEF >itb13g17840.t1 pep chromosome:ASM357664v1:13:24753452:24755549:-1 gene:itb13g17840 transcript:itb13g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRKLFSFISLLVAILSILELISVAKSESDYTTLIYKGCAKQSLSDPSGVSSQALSSLFGTLVAQSSKAKFSKTTTGSSQNTVTGLFQCRGDLSNVDCYNCVSRLPILIDKLCGKPVAARIQLFGCYMLYEIAGFPQISGMEMLYKTCSRKNAPGSGFEEKRDTALSNLAGGISGASGFYSTSYEAVYALGQCEGDVGNADCADCVKNAVQRAQVECGSSVSGQIFLHKCFISYSYYPNGVPKGSSSSSSSFSSPSSTSASSYSSPSPGSQQNTGKTVAIILGGAAGVGFLLICMLFTRNVMKKHDDY >itb02g04350.t1 pep chromosome:ASM357664v1:2:2575553:2578155:-1 gene:itb02g04350 transcript:itb02g04350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFETKKKKKKTSFSYKVVGENLKLIDISSEDDFLIASPLFDSLEDLRLSVTWDNSKENEGSKVSGNGTSSRSSAAEELLQRQQSKPSSMESGRPSYLRKSLAWDKAFFTSAGVLDADELSLISNGACQLSETQQDVRARRPEGSSNDDHLSVNSILSSKEDGISSGNMIKSATASKRDPINIPRLERTKTKINGEFSLQSVKPPKVLTTKMKNNVSSGHRKTGSVGGFHAKMQEPKTARTAQALLAPKKSASGTGSSCSTVRFSSSTPTVYSSVSSIPKRDSSTKASSSSSTILKNKSGSRKTKLCTSVSTSLGTTHLKNLISFSIPNQPQYKSPPASSTKAAVATSPIHGTPTASNLSNLQTPRFISQETISTPSQLGEQAVLLGPAASSAASSGKPSSLRLPSPKIGFFDEVGPKASRVLKFEIGKASKRCAEEGKSAGKALKPQAAKTPVGTGKLKNNVSTPHRQLRSCASFRLASKHGGIHSPECDREIFCKSRKLGAGEHERRSVEVGSGAAVKSRKKRAGEVSDLSRRLELIDLWDDLKIDCQWRQPHGEKSAESPFCSRTPLADKTRICNVETKKTEKPLSFPSSQSTDKENI >itb09g22530.t1 pep chromosome:ASM357664v1:9:20920135:20923076:-1 gene:itb09g22530 transcript:itb09g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTPEEDEKLIDFIKKNGQGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFSSEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLSMGIDPQTHEPSSESNGPQRRLPTTPSARHMAQWESARLEAEARLSRESKLLVPSTIGGSEADFFLRIWNSEIGEAFRKLKKGVKFACQSPISQASSSTKYGSASGTTTEMDITLAGSADAGGNPNEDPEGKSNRSYTDDPLQGSETSCSNELEDSSESALQLLLDFPTYNDMSFLGHNDTYSIYPAFLTESTLNCSSAEH >itb01g09850.t1 pep chromosome:ASM357664v1:1:8165596:8166075:-1 gene:itb01g09850 transcript:itb01g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVLLFKIGSGIRFNLGQLLFDQVIDAQNGDKGRKNLILHNLIYGLLVMRGFENDASEFYEAKPKLIKVDHRLLTGTHIDDLAGPNDHAGPSSAADTTGITIDFLGNELRLIHDRRKMLIACEAHITGLLSTLRQVAHNRDSPARDVATSSDESVAF >itb09g03920.t1 pep chromosome:ASM357664v1:9:2154013:2157734:1 gene:itb09g03920 transcript:itb09g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLNTIGPLAVALLAVWAIAVVDSAHHAAPAPAADCGSVILNLADCLTFVTNGSTEAKPQGTCCSGLKTVLKTNAECLCEGFKNSAQLGVVLNVTKALALPSACHVSAPSVSNCGLNIGSISSPAPSPLPQPPSSIAVAPIGSSQVAPGPAQALPPSSAMAPAGSIAATLTAVAAALVVVF >itb09g03920.t2 pep chromosome:ASM357664v1:9:2153765:2156110:1 gene:itb09g03920 transcript:itb09g03920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLNTIGPLAVALLAVWAIAVVDSAHHAAPAPAADCGSVILNLADCLTFVTNGSTEAKPQGTCCSGLKTVLKTNAECLCEGFKNSAQLGVVLNVTKALALPSACHVSAPSVSNCGLNIGSISSPAPSPLPQPPSSIAVAPIGSSQVAPGPAQALPPSSAMAPAGSIAATLTAVAAALVVVF >itb10g20450.t2 pep chromosome:ASM357664v1:10:26014675:26020229:1 gene:itb10g20450 transcript:itb10g20450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMKSWKSLMKKRGDSFEGGTCGLRMKQLPFMGIVCTVMLFIVYRTTNYQHQQTQMESKFDPFYNSKDSSVAGTGLRSLPHGIIQAISDLELKPLWSTSSSKSKANIPGSHNLLAMPVGIKQKDNVNAIAQKFLPENFTIILFHYDGNLDGWWDLEWSKEAIHIVAHNQTKWWFAKRFLHPAVVSAYDYIFLWDEDLGVANFHPGRYLEIVKSEGLEISQPALDRNSTGIHHRITIRSKTQKFHRRVYEGRGSTRCTESNDGPPCTGFVEGMAPVFSRSAWHCAWHLIQNDLVHGWGLDIKLGYCAQGDRTKKVGVVDSEYIVHQSIQTLGGKSLKRVSNPRDLKKHVVDVRSEIRRQSTQELLKFKERWERAVSEDKDWVDPYKDSDRMSRKRRSKRRRNNRRNLKA >itb10g20450.t1 pep chromosome:ASM357664v1:10:26014191:26020229:1 gene:itb10g20450 transcript:itb10g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMKSWKSLMKKRGDSFEGGTCGLRMKQLPFMGIVCTVMLFIVYRTTNYQHQQTQMESKFDPFYNSKDSSVAGTGLRSLPHGIIQAISDLELKPLWSTSSSKSKANIPGSHNLLAMPVGIKQKDNVNAIAQKFLPENFTIILFHYDGNLDGWWDLEWSKEAIHIVAHNQTKWWFAKRFLHPAVVSAYDYIFLWDEDLGVANFHPGRYLEIVKSEGLEISQPALDRNSTGIHHRITIRSKTQKFHRRVYEGRGSTRCTESNDGPPCTGFVEGMAPVFSRSAWHCAWHLIQNDLVHGWGLDIKLGYCAQGDRTKKVGVVDSEYIVHQSIQTLGGKSLKRVSNPRDLKKHVVDVRSEIRRQSTQELLKFKERWERAVSEDKDWVDPYKDSDRMSRKRRSKRRRNNRRNLKA >itb10g16250.t2 pep chromosome:ASM357664v1:10:22511741:22517107:1 gene:itb10g16250 transcript:itb10g16250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAFEEEAEPTVSIGEYLKDVEEQELEADLVLGGDEGKECTYNNGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFFCKLLASKDVENPQNLYNHNFRGLYCTCGRPYPDPDAEEQAEMIQCCVCEDWFHEEHLGLESSDMQVPRDEEGEPIYEEFICQGCASVCSFLMLYPPTVFASVPQKTATSSLKEKEVAENVPPLSSEETNNASSSQDTLMTNASKEELLLGKGIHEENDGKTTIMNHCNGVAGSSEKCVLGLNLSEAVAKLEKSKPMFLCKNWREVLCKCQNCVDFYNQKGVGYLLDPEDTIAEYEAMAKQKREQLEQQQSSEFLSKLGHIEKMEILTGIADIKNELHSYLASFDPSKAVTSADIHQVFENLAKKRKRME >itb10g16250.t1 pep chromosome:ASM357664v1:10:22511721:22517107:1 gene:itb10g16250 transcript:itb10g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAFEEEAEPTVSIGEYLKDVEEQELEADLVLGGDEGKECTYNNGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFFCKLLASKDVENPQNLYNHNFRGLYCTCGRPYPDPDAEEQAEMIQCCVCEDWFHEEHLGLESSDMVPRDEEGEPIYEEFICQGCASVCSFLMLYPPTVFASVPQKTATSSLKEKEVAENVPPLSSEETNNASSSQDTLMTNASKEELLLGKGIHEENDGKTTIMNHCNGVAGSSEKCVLGLNLSEAVAKLEKSKPMFLCKNWREVLCKCQNCVDFYNQKGVGYLLDPEDTIAEYEAMAKQKREQLEQQQSSEFLSKLGHIEKMEILTGIADIKNELHSYLASFDPSKAVTSADIHQVFENLAKKRKRME >itb11g23420.t1 pep chromosome:ASM357664v1:11:25431695:25434153:1 gene:itb11g23420 transcript:itb11g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSLAKFVHTPLHSTRSAEKPLFSDPLRPASSFLGGSSSHKLPLLNNLSASPHSHRRSTRILAVSDVVKEKKLKSSASNLLITKQEGLVLYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDSVVSTYRDHVHALSKGVSAREVMSELFGKTTGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFSSKYRREVYKEDCDDVTLAFFGDGTCNNGQFYECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPSEKSHYAARDPITALKKYLFDNNLATEEELKAIDKKIDEIVEDCVEFADESPVPARTQLLENVFADPRGFGIGPDGRYRCEDPKFTEGTAQV >itb04g26880.t1 pep chromosome:ASM357664v1:4:31042110:31047866:-1 gene:itb04g26880 transcript:itb04g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPNYEFQEWWNKQRVEEDNGVFSSGSSSGASPSSADAHSFLNAEIRSPSGDGALDKERTRSARQLSWIYLLKIQQIAGSVAFITNSFISIVRTANRRIAASSSSAPRAESRLYRVIKVFLTVSVLLLVFELIAYFKGWHFSPPTVETASAEVVDLVEYVYAHWLEIRANYLAPPLRGLTNMCIVLFLVQSLDRLVLVLGCLWIKFRGLKPVAQMEYSPDLENAQDYPMVLVQIPMCNEREVYQQSIAAVCILDWPKERMLVQILDDSDDSDVQDLIKAEVHKWQNKGVNIIYRHRLLRKGYKAGNLKSAMGCDYVKDYEFVAIFDADFQPAPDFLKKTVPHFKGNDELGLVQARWSFVNKDENLLTRLQNINLTFHFEVEQQVNGWFVNFFGFNGTAGVWRIKALEECGGWLDRTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFFDILRSKVSWAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPAWIVCYIPGIMSVLNILPDPQSFPFIVPYLLFENTMSVTKFNAMISGLFQLGCSYEWIVTKKLGRSSEADLLGMAETDSEPILQNSSVHRSSSESGLAVLSKLETTRKTKKKRKNRLYRKELGLSFILLTASVRSLLTAQGIHFYFLLFQGITFLVVGLDLIGEQVN >itb13g23290.t1 pep chromosome:ASM357664v1:13:29337003:29340446:-1 gene:itb13g23290 transcript:itb13g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGETACFDVSIETTSSSSSCERAKAYQEMQSNASSTSNEEAAINCKRRLCSFLSMFNKIFIRELMGVQVGLLEYAATASLTVVVVVFSIGPISGAHINPSVTLAFASLGPFPWPKTGKLAGFVVGVCIGLGVLISGPVSGGSMNPARSLGPAIASWSFDSNLWIYVVAPTTGAVAGVFLYRLLRLQGWTCKHADTSPNTPLHNNYNNPSN >itb15g00280.t1 pep chromosome:ASM357664v1:15:177483:183908:-1 gene:itb15g00280 transcript:itb15g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILENFQTFLLFRNQCFLDCRTNSLASPKHKLRRTCRKIKKFQRLQFSSPVKSQLTALDKAEANYESELRLFPHVQTLGQFPKEELFGKVVMVRFDSTILPVDKKKLAHQSASTVKYLCEARAKVILVGSWSSTINSKPLALEAVAEYLSSVLQLNVIPTKLGSSEMMAWIEKRTELDILLLENISQLKDDVSNCQKFAQQLSFGVDIFVNDSFSEVHKILASTVGIACFCSACIAGFHFQLGLSQLEKINRTDKKPYVAIIGGGNLIDKAAALHFLASICDALVFVGEMAFQIMHAFGLPVPIKFVERKGLKQAHAIVEAAKARNIEIVLPKDFWCINYSNPHQMEISSASSILDGWKPVDLGPSTLEEISSFLSKFKKIMWIGPVKFSSSNLNAGGASKLAAMIDALSQRNCDVSIVGKMACDAFHGNSSSATAENMIQNASVVWEFLKGRNLPGLLALDRAYPFEMDWKSIYNDPDRPLVVDIGSGNGLFLFGMARRRKDFNSLGLEINRKLVKRCLDHVSQSLMKNVHFVATNATSTFRSIISSYPGRLVLVSIQCPNPDFNKPEHRWRMLQRSLVEAIADLLASGGKVFLQSDIEEVAIRMKEEFSKYGKGKLTVENLDKAAIHQGGWLKENPFGIRSDWEQHVLDRGDPMYRFFNSLACPCSLLQFIPDQRELKLLISFRKMVENNQKHHAIVLPMPYQGHVNPAIHLSINLASRGFTVTFVNTELIDSLIIKARRGTTTAPTGDIFTQPRNSGLDIRYTTISDGFPLGFDRTRDAHGFMMGMMYVFSAHVDELVKKLAGLEPPPTCLIADSFYAWPSIIASKYNLVSVSFFTEPALVFAIDSHLDLLKENGHFGCKDCRKDSIDYIPGVEAIEPRDLPLYFQENNTTSAVYLTVYKGFEDAKKADIVICNTVQELEPQTISALEEKQPFYAIGPLFPQSFTEQTAFRSLLPESDCSKWLDSKPPGSVLYASFGSLAHLTQEHIIEIGRGLMLSKVNFIWVVRPGMAITDDETDFSMDGFQECVRDRGLIVPWCDQPAVISHPAVGGFMTHCGWNSILESIWCGVPMICFPFIVDQPTNRKLVVDEWKIGINLCNEDEKSISKEEVASKIKLLMKAESSIELRKAIKDVRKNLENALASNGSSVKNGDKFVEKIKAKFNQLSVRNRN >itb06g09570.t1 pep chromosome:ASM357664v1:6:13834606:13834954:1 gene:itb06g09570 transcript:itb06g09570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTRLGEEEKNLVLVRLKLFSEKEISRNEVSNWECINETTILYRNSLQERSRLPTACTFDRIFRGDCSTS >itb14g02890.t2 pep chromosome:ASM357664v1:14:2614680:2616094:-1 gene:itb14g02890 transcript:itb14g02890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKNGEGVHVLLIPYPAQGHILAILDFTHQLALRGITITVLITPKNLPILDPLLSTHPSIQTLLLPFPAGHALLPAGVEHVKDVGNWGNAPIISSLSRLRAPVVEWFGSHPNPPTAIIHDFFSGWAQDVAREIGVPGICFNSTSALLVCVLDCAWKRIKGLKGMEVVGFPEIPDSPRFERDHLPSIMKNYKESDPDWEAVRRVMVGNTGSWGFVVNTFGGLEGKYLEWLEKKKKEEEENGNPRPRVFSVGPLSLIGVPYGGGGDHRSLFSWLDGCPDESVLYVAFGSQKLMKKPQLEALSIGLENSGVRFVLVVKEPTVEQVEQGYGSIPVGFEDRVTGRGLVIRGWAPQVEILSHRAVGGFLSHCGWNSTLEAIAAGVLILGWPMEADQYVNVRLLVDELEVSVRVSEGADTVPDITELARIITIPT >itb14g02890.t1 pep chromosome:ASM357664v1:14:2614445:2616404:-1 gene:itb14g02890 transcript:itb14g02890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKNGEGVHVLLIPYPAQGHILAILDFTHQLALRGITITVLITPKNLPILDPLLSTHPSIQTLLLPFPAGHALLPAGVEHVKDVGNWGNAPIISSLSRLRAPVVEWFGSHPNPPTAIIHDFFSGWAQDVAREIGVPGICFNSTSALLVCVLDCAWKRIKGLKGMEVVGFPEIPDSPRFERDHLPSIMKNYKESDPDWEAVRRVMVGNTGSWGFVVNTFGGLEGKYLEWLEKKKKEEEENGNPRPRVFSVGPLSLIGVPYGGGGDHRSLFSWLDGCPDESVLYVAFGSQKLMKKPQLEALSIGLENSGVRFVLVVKEPTVEQVEQGYGSIPVGFEDRVTGRGLVIRGWAPQVEILSHRAVGGFLSHCGWNSTLEAIAAGVLILGWPMEADQYVNVRLLVDELEVSVRVSEGADTVPDITELARIISESMNGHIAEKVRAKELRDKACDAVKVGGSSIKALDELVEQLSQLNSPSK >itb14g02890.t3 pep chromosome:ASM357664v1:14:2614254:2616094:-1 gene:itb14g02890 transcript:itb14g02890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKNGEGVHVLLIPYPAQGHILAILDFTHQLALRGITITVLITPKNLPILDPLLSTHPSIQTLLLPFPAGHALLPAGVEHVKDVGNWGNAPIISSLSRLRAPVVEWFGSHPNPPTAIIHDFFSGWAQDVAREIGVPGICFNSTSALLVCVLDCAWKRIKGLKGMEVVGFPEIPDSPRFERDHLPSIMKNYKESDPDWEAVRRVMVGNTGSWGFVVNTFGGLEGKYLEWLEKKKKEEEENGNPRPRVFSVGPLSLIGVPYGGGGDHRSLFSWLDGCPDESVLYVAFGSQKLMKKPQLEALSIGLENSGVRFVLVVKEPTVEQVEQGYGSIPVGFEDRVTGRGLVIRGWAPQVEILSHRAVGGFLSHCGWNSTLEAIAAGVLILGWPMEADQYVNVRLLVDELEVSVRVSEGADTVPDITELARIISESMNGHIAEKVRAKELRDKACDAVKPTTTSGEKIH >itb06g22830.t1 pep chromosome:ASM357664v1:6:24999221:25021767:1 gene:itb06g22830 transcript:itb06g22830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASGLIAWILSRVLGASVGFRVAGWKCLRDVVVKFKKGAVESISIGEIRLSLRKSLVKLGVGFLSRDPKLQVLISDLEIVMRNSSKSSRPSQKTRSRSSQRTRKSGRGKWMVVANMARFLSVSVTELAIRTPKAAVEVKELTLDISKDGGSKAALFVKLSLVPVLVHLGDTRISYDQSSVYGEPVPLQQTLLSVTERTSAPFICEEFSVMCEFGHEREVGVVVKDVDLRSGEVFVNLNEELLLKRKSAAEDVFQAQEVMGTLEAGAAEKPQKKPAVLGISKYASMLPEKLSFNLPKLDMKFVHRGEGLMVENNIMGIQLKGSKSRSIEDVGESTRLDLQMEFSEIHLLREAGISVMEILKLDVVSSVHIPRQPTSPIGLEVEVKLGGTQSNLILTRLTPWMGLLALRKKKVVVENGGSTSESPKSSGHKAIMWTCTVSAPEMTIVLYDMSGSPLYHGCSQSSHVFANNISSTGTTVHMELGEFNLHMSDEYQECLNESLFGVETNTESLMHVAKISVDWGRKDTDLPEDGINCKLVLSVDVTGMGVFMNFRRLESLISAAFSFKSLIRSLSASSKKPAHNRSTKLSKPLGKGIQLIRFNLERCSLNFSGDAGLENAVVADPKRVNYGSHGGVVLINVSADGTPRTASIQSTASDELKKLKYSVSLDVSHLSICINKEKQYTQMELERARSIYQEFLEENNPGTEVTLLDLQNAKFVRRSGGLKDIAVCSLFSATDITFRWEPDLHIALFELGLQLKLMVHQHKLQESRKEVMNDNELKKEKSAESVELVKQHKKRESIFAIDVEMLNISAEVGDGVETTIQVQSIFSENARIGVLLEGLTLHFNNARIFRSSRMQISRIPYASSIASNSKTERGTEWDWVIQALDVHICMPYRLQLRAIDDSVEEMIRALKLITSAKTKLLFPNKEDNPKPKKSRSSKVGRVRFCIRELTAYIEEEPIQGWLDEHYQLLKNEASELAVRLNFLDELVSRSGPSSGATEQNASLLEGKFLFNGEEIDVQDTSAVQKLREEIYRKSFRSYYQACKNLKPSQGSGACSEGFQAGFTPSTSRTSLFSVCATELDVSLTRIEGGETGMIELLQKLDRVCQAQNIPFSRLYGSNINLRAGSLTVRIRNYTYPLFAATSGKCEGRLVLAQQATCFQPQVLKNVFIGRWRKVSLLRSASGTTPPMKTYSDLPLYFQKAEVSYGVGFEPSFTDISYAFTVALRRANLSTRDPNPDPPPPKKEKSLPWWDEMRNYMHGNITLYFSEAKWTVLATTDPYEMSDKLQIISGYMELQQSDGRVYVSAKDFKIIISSLESILKNSSVKHPTGFSCTLIEAPVFSVEVTMEWECDSGNSLNHYLFALPKELVPREKVYDPFRSTVLSLRWNLLLRPALPPCYGQSELSSMCDQHVLDGAAYGSNTPSIDFPTLNLGPHDLAWLIKFWNLNYAPPQKIRSFSRWPRFGVPRAPRSGNLSLDKVMTEFMFRVDATPACINHMPLENDDPAKGLTFKMTKLKYELYYGRGKQKFTFESKRGPLDLVYQGLDLHMPKAFINREDCTSVSQAVQMTRKTSQSGSMERARNVKSSSMVSGSTERHRDDGFLLSSDYFTIRRQSPKADPERLLAWQESDRRNVEVTYVRSEFENDSESDDHARSELSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSWVGGLSKAFQPPKPSPSRQYAHRKLLEENKMADKSEMSQDDNRKSPPSHGVNSSSPQHSGASGSQLRSSPSNSVKVEASFFNESAKFGSTDESEGEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHIGHEIIEQALGGGNVQIPESQPELTWNRMEFTMMLEHVQAHVAPTDVDPGAGLQWLPKIRKSSPKVKRTGALLERVFMPCDMYFRYTRYKGGTPDLKVKPLKELSFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKVSLSYPTDDDEDVEEEADEVVPDGVEEVELARINLEEKERFQKLILDDIRKLSLCNDVSAEVYSEKDRDPWMVTGGRSILVKKLKKELISAQKSRKAASASLRMALQKAAQQRLTEKEKNKSPSCAMHISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVARFTTKYFVVRNCLPNAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNCPLELFQVDIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWISTTAGSRRAKKGSSAYEVPVSSSHLAKDSQVLYKSNTSVLPITCGTSQSSSADASEASKLQNLNTNIVSGATSQLRRTSSFDRTWEETVAESVANELVLKAHCSDASSAKCEPLASMEQPDEASRNKSKDSKLIKSGRSSHEEKKVGKANDEKRSRPRRMREFHNIKISQVELLVTYEGSRFAVSDLRLLMDTFHRPEFTGTWRKLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHSQKEAGATGVPNIDINLSDSDGGSAEKSEQYPLTWPKRSSDGAGDRFVTSVRGLFNSQRRKARNFVLRTMRGEAENDVHGGEWSDSEAEFPPFARQLTITKAKKLIRRHTKKFRTRGQKGLSSSQQKESVPSSPRDTPFCSDFSSGSSPYEDFNE >itb03g24180.t1 pep chromosome:ASM357664v1:3:22789069:22792262:1 gene:itb03g24180 transcript:itb03g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAELLVRLMEEDTAKEAHEENEQISVLQNSYPSSVGSFWPELVESVMKPLLDFLRQTGSYMMVNCYPFFAYESNSDVIPLDYALFRENPGVVDAGNSLC >itb12g25160.t1 pep chromosome:ASM357664v1:12:26440399:26443324:1 gene:itb12g25160 transcript:itb12g25160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MATHSLSFFSIPSPSQSCKRPQSENSPAPNLKSLPCSFLGRELSIRVSNWNVPTSKRRSCVVATVAFDLPSTDVEKAPSGKQPKWSARALKAFVMAELEARKLRYTTTGTEALLMGILVEGTSLAAKALRESGVTLFKARDETVKLLGQSDIYIFSPEYPPLTKPARKALEWAVDEKLKSGEDGELTVSHLLLGIWAQEVSAGHIVMATLGFTDEKAKELAKTIDKDIILSFK >itb05g05410.t1 pep chromosome:ASM357664v1:5:5127225:5130198:1 gene:itb05g05410 transcript:itb05g05410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKNRKLQAPLVCFILCFLLVLIHNSNTANAQDQGQQIGSGYKVISSAVDASGKSLTAQLQVIQNSSVFGPDIVNLSLVASIETAGRLRVKITDADNERWEIPEDIIPRTSTIANNNSSSPSPSPSPSPSPPQCPPCPCACGQVAQAQVSANNSSNSSSSSLSISDPNSDLVFTLYNTAPFGFSVQRKSSNDTLFDSSPVVGSNDTYLIFKDQYLQLSSSLPANSSSLYGLGEHTKTTFKLLSPQTLTLWTADIPSSNRDVNLYGSHPFYMDVRSLPQPGVTHGVLLLNSNGMDVVYNGDRITYKVIGGILDLYFFSGPTPELVMEQYTELIGRPAPMPYWSFGFHQSRYGYANIEDVEGVVAGYKNASIPLEVMWTDIDYMDAYRAFTFHPVNFPPEKMKAFVDNLHQNAQKYVLILDPGIAINDSYPSFTRGMEADIYIKRDGVPYQGQVWPGNVYFPDFINPAATAYWSNEIKIFWDVAQFDGLWVDMNEIANFITSPSNESSNLDNPPYKIGNKAINERTVPASAIHFGNIPEYNVHNLYGFLNERATNLGLANVTGKRPFVLGRSTFVGAGKYTAHWSGDNAASWDDLGFSIPAILNFGLFGIPMGGVDICGFFRSTTEELCQRWIQVGAFYPFSRNHGDKSSNRHELYLWESVAASARQVLGLRYRLLPYFYTLMYEAHTRGVPIARPLFFSFPQDINTYEVYTQFLLGQGVLISPALTEGAVSVEAYFPSGTWYDLFNYTSVVAESGKNVTLDAPRDKINVHLREGHILALQGEAMTTQAARNTSFELLVALSTTGNSSAGQVFLDDGEEIEMGGAGGRWSLVHFQTTGVGTNVTLTSEVTNPDFAANSAWIIEKVTVLGMNSNNNSSSNSSGAVEITGLNLPIGKAFTLPLTPQ >itb09g03710.t1 pep chromosome:ASM357664v1:9:2044293:2049151:1 gene:itb09g03710 transcript:itb09g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGCGLFGSGCGDFQSPEEPLLTPDDGVFLTWEDLWVTVSVKEGTKAILKGLTGYARPGELLALMGPSGSGKSTLLDALAGRLELTTRQSGDILINGRKQTLAYGTSAYVTQDDTLISSLTVREAVHFSAQLQLPNSVPRSEKKAIADMTIKEMGLQGAMDTRIGGGWGSKGISGGQKRRVSICLEILTRPKLLFLDEPSSGLDSAASYYVMRKIMDLASEGRTVVASIHQPSAEVFSLFTNLCLLSSGSVVYFGPASAAIQFFETCGFPCPALQNPSDHFLKTINKDFDGYDEESLRGRGRSMPTEEIINILIRSYRSSDRYQEVQTQVAEICREEGEILKKSSHASFMNQSIVLTKRSFLNMYRDLGYYWLRLAIYIIMGAGLGTMYTDIGHSYTSIQARCSVIMFVASFLTFMAIGGFPSFVEDMKVFQREKLNGHYGCGAFVLGNSFSSVPYLLLVSLIPGLLAYYPIGLQRGFQHFLFFALVLFTSMLLVESLMMIVASLVPTYLMGIIAGAGIQALMILCGGYFRLPGDMPKPLWKYPLYYVSFHRYAYQGLFKNEFLGVWFEGIRAGEVMSGDEVLRGLLQVEMGYSKWVDLLVLVGMVVLYRVLFFLVVKGTDQAKPAIKSLMRAKPNHSHQMPTYVV >itb02g16990.t1 pep chromosome:ASM357664v1:2:12995204:13002412:-1 gene:itb02g16990 transcript:itb02g16990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNVVDNDQSSGWMQVKKKHRSGSKSSTHGWAGGLPGRSDSASPHSCLLDVNREKNEGTSQPLKAERRYASKASAGVANSCSVPHKGETTTHYLDKCVVSQGNTNFNTPLSVGANVSGKGVIDEHLQRNNQDVLPKIKWGDLDDTALMLHFGDNVGAEIKFGEIANHNLVGRKYEKVNDSVSQICSIYPEENELPIDQDPQSHLMSQNNEFVGEKSMEVDEIFSEDVKIEITSEKILDPPSAAVPNHRGHHMHSKTKNDDSFNKFNQTNSGVFVEELGPSAIPAASCTLENSKVPIIDGNSKMAGTSQDSESADPDKVQPEIHGESSLEASIGDIRAQGDSESKTQNIGAIDAYDNSESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQTKEAILVLEEAASDFKELNSRVKEFEKMKRTSSRVIDGAPLIMKSDHRRPHALSWEVRRMTTSPHRAEILASSLEAFRKIQQERARARANDAGKLGSDCETSDLLRKYTERTDIMSNEKEPVAKARKVSGASDLSHRNSSKEKRNGDAGRSNSHASRLPHKDAAFVTVKNKKDKVIADNMIEKNSKSVDHSKKQVPLSEREKEKRNEMPWKSMDAWKEKRNWEDILASPYRVSSRFSHSPGLSRKSAERARILHDKLMSPEKKKKSALDLKKEAEEKHARAMRIRSELENERVQRLQRTSEKLNRVNEWQTVRNMRLREVMYARHQRSESRHEAYLAEIARRAGDETIKVNEVRFITSLNEENKKLILRQKLHDSELRRAEKLQVLKTKQKEDMAREGAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASTAAREARAMEQMRRKQVRAKAQQEEAELLAQKLAERLRESEQRRNFYLEQIRERASMDFRDQSPLLRRTSNKEGQNRSTPNSNGEDSLGNNCSGSEGNALASSNATLQHSLKRRIKRIRQRLMALKYELIETSVGAENNGFAYRTAVATAKIKIGKWLQELQKLRQARKAGAASFGLIAAEIIKFLEGRDAELQASRQAGLLDFIASALPASHTSKPEACQVTVHLLRLLRVVLSTHANRSYFLAQNLLPPVIPMLAASLENYIKIAASSNSPGSTNLISSKTSVENLELISDVLDGFLWTVAAIIGHTSSGERDLQLQDGLTELVIAYQVIHRLRDLFALYDRPHVEGSPFPSSILLSINLLSVLTSRFRNLCLIDCESIPKISTEEVKPAELAEDKQELSTSYRQEIKLPSVAITEGVAITLTDISEDKSHDDCIKVNGNSSTLVDQSSGTVRETATASCELQSNMVDAILGSSVSHKDENPINNSEEPKTGNELGSKQPVAFLLSAIYETGLVCLPSMLTAVLLQANNRFSEQTSYVLPSNFEEVATGVLKVLNNLALIDISFIQKMLARPDLKMEFFHLTSFLLSHCTNKWALATDQVMLSTLCFNFNFFKTISLFACRARNLSCTETYLFD >itb04g27770.t1 pep chromosome:ASM357664v1:4:31703201:31703784:-1 gene:itb04g27770 transcript:itb04g27770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTSFPPQVELELIRKGLLICQPALTNRPHSVFYGDNPFFHTIPRFYATVFFVALITLFLRILLKPLRQPRIVCEILVSSFLYTHSIVCIIIITFSFAMHL >itb02g06980.t1 pep chromosome:ASM357664v1:2:4351206:4352139:-1 gene:itb02g06980 transcript:itb02g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYIGKATKIFIFIVTVLVVTGLVLGFGLLRHRTHKESNKSCYGDSCNPITSNPDPQASPIVIPNPSPNPPPITASPSSSNPITQLPPPSSDNNPNSAPPPPPLPPQLPDTQPPPPQPQDTQPPPPPPSVSAPPPTLSPPGAALVASGPVHS >itb12g06980.t1 pep chromosome:ASM357664v1:12:5208259:5209606:1 gene:itb12g06980 transcript:itb12g06980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQSSSASSRISDDQIIQLVSKLQQLLPELRSRRSAKASAGKVLQETCNYIRNLHKEVDDLSDRLSQLLSTIDADTPEAAIIRSLIM >itb10g25820.t2 pep chromosome:ASM357664v1:10:28981385:28987688:1 gene:itb10g25820 transcript:itb10g25820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPLLVEDVEYQTAGSGARSRRTTFSTTKSKSPNDRVASLDVFRGLCVFLMTFVDYAGSIFPIIAHSPWNGLRLADFVMPFFLFVAGVSLAIVYKDPSHKERSTWKVVARTLKLFLLGILLQGGFLHGITSLTYGVDLERIRWLGILQRISVGHIVAALLEIWDPYEKRKKDSFFMNYIWHWFTAFVLSAIYMGLSYGLYVPDWQFSLPQSASFGSSSESYIYKVNCSVRGDMGPACNPAGMIDRTILGIGHLYAKPVYRNLKECKISYPPSWCHAPFEPEGILSSLTSAVTCIIGLHYGHILVQLKDHKERLSNWSIVSFPFLVLGLFLALLGIPLNKSLYTISYMLVTTATAGITFSILYILVSLETEL >itb10g25820.t3 pep chromosome:ASM357664v1:10:28981385:28987688:1 gene:itb10g25820 transcript:itb10g25820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPLLVEDVEYQTAGSGARSRRTTFSTTKSKSPNDRVASLDVFRGLCVFLMTFVDYAGSIFPIIAHSPWNGLRLADFVMPFFLFVAGVSLAIVYKDPSHKERSTWKVVARTLKLFLLGILLQGGFLHGITSLTYGVDLERIRWLGILQRISVGHIVAALLEIWDPYEKRKKDSFFMNYIWHWFTAFVLSAIYMGLSYGLYVPDWQFSLPQSASFGSSSESYIYKVNCSVRGDMGPACNPAGMIDRTILGIGHLYAKPVYRNLKECKISYPPSWCHAPFEPEGILSSLTSAVTCIIGLHYGHILVQLKDHKERLSNWSIVSFPFLVLGLFLALLGIPLNKSLYTISYMLVTTATAGITFSILYILIDVYGLRKLFFLLEWIGKHSLCIFVLITSNIAVIAIQGFYLRSPENNIVSF >itb10g25820.t1 pep chromosome:ASM357664v1:10:28981220:28987688:1 gene:itb10g25820 transcript:itb10g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPLLVEDVEYQTAGSGARSRRTTFSTTKSKSPNDRVASLDVFRGLCVFLMTFVDYAGSIFPIIAHSPWNGLRLADFVMPFFLFVAGVSLAIVYKDPSHKERSTWKVVARTLKLFLLGILLQGGFLHGITSLTYGVDLERIRWLGILQRISVGHIVAALLEIWDPYEKRKKDSFFMNYIWHWFTAFVLSAIYMGLSYGLYVPDWQFSLPQSASFGSSSESYIYKVNCSVRGDMGPACNPAGMIDRTILGIGHLYAKPVYRNLKECKISYPPSWCHAPFEPEGILSSLTSAVTCIIGLHYGHILVQLKDHKERLSNWSIVSFPFLVLGLFLALLGIPLNKSLYTISYMLVTTATAGITFSILYILIDVYGLRKLFFLLEWIGKHSLCIFVLITSNIAVIAIQGFYLRSPENNIVNWIVTSVVQK >itb10g25820.t4 pep chromosome:ASM357664v1:10:28981385:28987688:1 gene:itb10g25820 transcript:itb10g25820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPLLVEDVEYQTAGSGARSRRTTFSTTKSKSPNDRVASLDVFRGLCVFLMTFVDYAGSIFPIIAHSPWNGLRLADFVMPFFLFVAGVSLAIVYKDPSHKERSTWKVVARTLKLFLLGILLQGGFLHGITSLTYGVDLERIRWLGILQRISVGHIVAALLEIWDPYEKRKKDSFFMNYIWHWFTAFVLSAIYMGLSYGLYVPDWQFSLPQSASFGSSSESYIYKVNCSVRGDMGPACNPAGMIDRTILGIGHLYAKPVYRNLKECKISYPPSWCHAPFEPEGILSSLTSAVTCIIGLHYGHILVQLKDHKERLSNWSIVSFPFLVLGLFLALLGIPLNKSLYTISYMLVTTATAGITFSILYILVSLETEL >itb12g06350.t2 pep chromosome:ASM357664v1:12:4723560:4725767:-1 gene:itb12g06350 transcript:itb12g06350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGNRYRNEDEPLGKPHYMSKHNDVDDQLLECTTSGSSSSLSSPVESPSSSSSSSSSPPFCYDDQPDSPEPQSPIPVSYPDITTSPHKWSMISASPIGQPSGYDPNRIPLSVFGSRSSAPMEWSVASTESLFSIHVGNNSFSRDRAMSLSRSEDGSCEDKRCNNGRKSFSSTLAPVIEGALDSEEKSELASEALQVQESNHGSPQQSSEEINKIAASQEVHSFAIKSNSSDGRETSPASNPHHLSDDSGTSSSSSFAFPVLVNDSVHGSSLKSVPEKPESEQKSQPEEDSKSQVEAESSKEEEEVSAYGIGWFSYLFCLRRCC >itb12g06350.t1 pep chromosome:ASM357664v1:12:4723560:4725796:-1 gene:itb12g06350 transcript:itb12g06350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGNRYRNEDEPLGKPHYMSKHNDVDDQLLECTTSGSSSSLSSPVESPSSSSSSSSSPPFCYDDQPDSPEPQSPIPVSYPDITTSPHKWSMISASPIGQPSGYDPNRIPLSVFGSRSSAPMEWSVASTESLFSIHVGNNSFSRDRAMSLSRSEDGSCEDKRCNNGRKSFSSTLAPVIEGALDSEEKSELASEALQVQESNHGSPQQSSEEINKIAASQEVHSFAIKSNSSDGRETSPASNPHHLSDDSGTSSSSSFAFPVLVNDSVHGSSLKSVPEKPESEQKSQPEEDSKSQVEAESSKEEEEVSAYGIGWFSYLFCLRRCC >itb15g24240.t3 pep chromosome:ASM357664v1:15:27085527:27092797:1 gene:itb15g24240 transcript:itb15g24240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATGGASSGPISSDSSPELRKKWIQIQDFLKGKLITRDDFKWRLPTKDDEEEAVKSGEVLKFVGGVDLSFSRADPSVACGSLVVLDLQTLRVVYEDYSVVRLHIPYIPGFLAFREAPVLLGLLQKMKANSHPFYPEVLMVDGNGLLHPQGFGLACHLGVLADLPTLGVGKNLHHVDGLTKSRVTKLLEATENSTIDTLSLTGDSGCTWGAAMQSTRCSLKPIFISVGHRISLDTAVKIVRMTCKFRIPEPIRQARKLASTRKLVVLLIVTVKCIRNVATRV >itb15g24240.t1 pep chromosome:ASM357664v1:15:27085527:27092213:1 gene:itb15g24240 transcript:itb15g24240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATGGASSGPISSDSSPELRKKWIQIQDFLKGKLITRDDFKWRLPTKDDEEEAVKSGEVLKFVGGVDLSFSRADPSVACGSLVVLDLQTLRVVYEDYSVVRLHIPYIPGFLAFREAPVLLGLLQKMKANSHPFYPEVLMVDGNGLLHPQGFGLACHLGVLADLPTLGVGKNLHHVDGLTKSRVTKLLEATENSTIDTLSLTGDSGCTWGAAMQSTRCSLKPIFISVGHRISLDTAVKIVRMTCKFRIPEPIRQARKLASTRKLVVLLIVTVKCIRNVATRV >itb15g24240.t4 pep chromosome:ASM357664v1:15:27085527:27088850:1 gene:itb15g24240 transcript:itb15g24240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATGGASSGPISSDSSPELRKKWIQIQDFLKGKLITRDDFKWRLPTKDDEEEAVKSGEVLKFVGGVDLSFSRADPSVACGSLVVLDLQTLRVVYEDYSVVRLHIPYIPGFLAFREAPVLLGLLQKMKANSHPFYPEVLMVDGNGLLHPQGFGLACHLGVLADLPTLGVGKNLHHVDGLTKSRVTKLLEATENSTIDTLSLTGDSGCTWGAVSELLKFWYPLNDDFSVEQL >itb15g24240.t2 pep chromosome:ASM357664v1:15:27085554:27092213:1 gene:itb15g24240 transcript:itb15g24240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKLITRDDFKWRLPTKDDEEEAVKSGEVLKFVGGVDLSFSRADPSVACGSLVVLDLQTLRVVYEDYSVVRLHIPYIPGFLAFREAPVLLGLLQKMKANSHPFYPEVLMVDGNGLLHPQGFGLACHLGVLADLPTLGVGKNLHHVDGLTKSRVTKLLEATENSTIDTLSLTGDSGCTWGAAMQSTRCSLKPIFISVGHRISLDTAVKIVRMTCKFRIPEPIRQARKLASTRKLVVLLIVTVKCIRNVATRV >itb01g27370.t1 pep chromosome:ASM357664v1:1:32204213:32209666:1 gene:itb01g27370 transcript:itb01g27370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSESTLVGRKPARRLGGMSDALSIAADIGFSVSPSPSQEEVKSLLGGTDGKEDSLIRVLKELTAVQRKTADLQVELQGRKEDKNVAHLTHASEMANKIETLTRITTILKDVIQNKDRIVARLQQPYSMDCIPVEAEYQNQFSELLMRAASDYGALTASAADLQWSQNFKEPPSVWGEMLRPIPVALSSCTRFFEATSAMRDSFATLQSLKAGPSNDPSRRTPLDSDCVTPVQRTDSSFDDSSIKSLRRQEIELQDPEDMNSKRGEVDSASHRRLSWPPVKKVGI >itb15g21230.t1 pep chromosome:ASM357664v1:15:23918113:23923943:1 gene:itb15g21230 transcript:itb15g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFNPNLIPPQGNGHERSMLFPLHLPSPRTSAEKLHACCSDSTQLTQFESRKKAVGDDSRVCIIVNSSTDQGPGKFYSKSDWEKLAPSSPAGSVCPNKGSKLNIGINTRQHKSPKQVVAIRGQPVKAALSATATDKPVHPSKQTDVLLEYDLSGPLDKSGINLQPENMDDSHVGSFNGDATIIRDVEDGNSSILMRDFLTEEQMITINMVSDTESQKDKGYKSLRTGNVCPGEDSSETSMVGCISGVAIIPDDIVGLIGKNHFWKARKSIIHQQRLFAFQVFELHRLIKVQKLIAGSPNLLDGSAYLAKSLKRSSAKSLPLEYIVKEPQDVSKHKNDLEMPEFRMEFSAENTTRKASFSSVQNGDQPPLSCRPFSGNPTVAAISNESEMGPWCFHQPAGHQWLIPVMTPSEGLVYKPYPGPGFMAPVCGGCGSPGLSPVKGHFPVPAYQGMGVPFAPPAFNGYFPPYGMNPAISTSGVEEMKQFAGMGSQGQVSGGANSNSQHQNSCHVRNQKNSTAAPNLGILHPFMENEVQASTASSPSEKSPGVVPVVSTEMERRGGALCLSSTSTARYAHHQPTSVIRAVPRHARSATESAARIFQSIQEERKQYD >itb15g21230.t2 pep chromosome:ASM357664v1:15:23918151:23923943:1 gene:itb15g21230 transcript:itb15g21230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLHLPSPRTSAEKLHACCSDSTQLTQFESRKKAVGDDSRVCIIVNSSTDQGPGKFYSKSDWEKLAPSSPAGSVCPNKGSKLNIGINTRQHKSPKQVVAIRGQPVKAALSATATDKPVHPSKQTDVLLEYDLSGPLDKSGINLQPENMDDSHVGSFNGDATIIRDVEDGNSSILMRDFLTEEQMITINMVSDTESQKDKGYKSLRTGNVCPGEDSSETSMVGCISGVAIIPDDIVGLIGKNHFWKARKSIIHQQRLFAFQVFELHRLIKVQKLIAGSPNLLDGSAYLAKSLKRSSAKSLPLEYIVKEPQDVSKHKNDLEMPEFRMEFSAENTTRKASFSSVQNGDQPPLSCRPFSGNPTVAAISNESEMGPWCFHQPAGHQWLIPVMTPSEGLVYKPYPGPGFMAPVCGGCGSPGLSPVKGHFPVPAYQGMGVPFAPPAFNGYFPPYGMNPAISTSGVEEMKQFAGMGSQGQVSGGANSNSQHQNSCHVRNQKNSTAAPNLGILHPFMENEVQASTASSPSEKSPGVVPVVSTEMERRGGALCLSSTSTARYAHHQPTSVIRAVPRHARSATESAARIFQSIQEERKQYD >itb10g21550.t1 pep chromosome:ASM357664v1:10:26738330:26739202:-1 gene:itb10g21550 transcript:itb10g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLFFFATQHSHLPSLPSSISKPSITAMKKLYRKGTVHPTPPLISDHLAFLPAAILTLAAALCQEDKEVLAYLISCSSVNSGGRRKAAGAAACGGAGHPPCFNCNCFSCYMSYWVRWDSSPNRQLIHEIIDAYEDGLQVQSKKMEKNKREKRRNNKANNNGSKGCATAAGGEEKTKAEASLTAAEHRRDDDSSGGEEEEGDGGEKGAVRRIVSFLGERIWTTIWT >itb01g05200.t1 pep chromosome:ASM357664v1:1:3572306:3574531:1 gene:itb01g05200 transcript:itb01g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKNEKDGGKIYRKIDHDEEKYEERINGVVHEEKGNNSSKKYVFACAIFASLNCVLLGYDIGVMSGAIIFMQEDLKLTEVQEEVFVGTLSIISLLGSLAGGRTSDAIGRRWTMAFAAIVFQSGAAIMALATTFAVLMVGRLLAGIGLGFGIMIAPVYIAEISPAIERGSFTSLPEIFTNLGILLGYVSNYAFSGLPAHISWRVMLAVGIVPSVLVGFSLCIIPESPRWLVMQNRVEEARVVLLRTNGDVGEVEERLGDILKAAGGKNEGKSVWFELMNPSPGVRRMLITGCGIQCFQQMTGIDATVYYSPTIFKDAGMGSNSKLLAATMAVGLTKTLFILIAIFLIDRVGRKPLLYISTIGMTFCLFGLGITLSLPKHGSDSSSDYAQISLAILFVCGNVAFFSVGIGPICWVLSSEIFPLRLRAQAAALGAVGSRVSSGVVAMSFLSVAHAITVGGTFLVFAAISAASIVFVHKCCPETKGKSLEQIEMMFQNDGQWVDGGVELEDAQHLMHNTGR >itb13g23510.t1 pep chromosome:ASM357664v1:13:29484728:29486737:1 gene:itb13g23510 transcript:itb13g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSPTFTESQHHILIFNGNFCIHFTSTPPPPPPRPCHSRRRRRLLTMKRTVPFGEQVDISSDEDDVKPDNDLNKLLTKTFIDDQHLEELFEDDLVRSCRMYQEYMQKLPIPSHHGSVIPFTSWMGLAASLKRVYGQPLHYLTNIQMRQWDQLRIGAAKEGVPLDTIIHPSKAEASIWAIEEIHRRTSSHLYLSKLWQADPRHYAYIDPIVPKLPMPPK >itb14g18350.t1 pep chromosome:ASM357664v1:14:21415338:21417883:1 gene:itb14g18350 transcript:itb14g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEKKNVHNRESLKAQSSFNNYPKWKQKLRENCYTRVQEDRTRLLWKLRSTQAKNHQEIVNSTFRDIVSDELQKIKVLVEDNGTSKIAPETDEIIWEYDGLHTAYQGDCEEMLLEMQRIFYEDLRVEETRKEQERSSTSWEDEEDDFLARAVYDHMNLNDEQVAKEVWCPICKHGELKENRHLISCTQCGVTLDRGDEVNLEVLQNRLAEAHSEHLDRGCRLKPEFCVETKFGLSALYIRCEPCKTFEIVI >itb03g06490.t1 pep chromosome:ASM357664v1:3:4735910:4738553:-1 gene:itb03g06490 transcript:itb03g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRHSRSPSPWEERSRSRSRSRSLSRPRGRSTSRGRGRDPPPSNPGDTLYVTGLSTRVTERDLEDHFSKEGKVKSVFLVVEPRSRVSRGFAFVTMDNVDDANRCVKHLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGLKNSRAEGYRGDRGDRGDRGRYRGYDDYAYHRRSPRRSPYRGGRDYSPRRSPYGGGRDYSPRRSPYGGGRDYSPPRRSPYGGRSRREMSRSYSPYHPLERNYGHGSRI >itb06g07970.t1 pep chromosome:ASM357664v1:6:11822137:11826670:-1 gene:itb06g07970 transcript:itb06g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKDMVLSRPIDMADHSPIVADEYLLPPTNFVSIDCPDWINLSNQNENQNENQIMDGHGMSLPSVLQGEAMNSFVSVANFSQPVNCETVKDLLVGSSYPINGAPQIQNDFLRERTPLSAVSLAYLLAARNGAYENPEKFEASAATLPYSTQVTRGLGSNSYSDIFNPSCGSLANHEFSVLPVDMSRKCDFDKSNAPPEIMGTVMGRTTGSQPFQLPGNINPGVWFSAERASSSSSSPSGSSRFSNELSLSLTTTPPQVACGTSIQDQFSELSCSGVTSNPFRERAFGLEQAPCTGNKNLSLNFDSYKPVQLSQFLSGSRYLAVMQEILSQIAKYSLENLSSISYPTNLTDDGEHSPFSLSCSAGTGRGLAVLGSDPFPYGAHRTINRVDAETKRKHLLALLQAVDDRYSQCLDELHMVVSAFHAVTELHHPTSIHTHFALQTVSFLYKNLRERISTFILAMGEQYSSSSSSTRNAREEKSFEASFIQKQWTLQQLRKKDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDTEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNRRNHNHNHHQNEEEMNGCRNQRC >itb15g21010.t1 pep chromosome:ASM357664v1:15:23693687:23695698:-1 gene:itb15g21010 transcript:itb15g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAEMEIPLIPESENDERRKCWDEVSGEVKKLIVLAAPLSFVNLLLTSLQFISLMFVGKRGGELSLSGASMATSFASVTGYTLTRGFAGALDTLCGQSYGAKQYKMLGIFMQRGMLVMLLICIPVAGVWAYTDRILRLCGTDPEISNAAGEYARFLIPSIFPFAILRCLVSFLQAQNNVVPMMFTAGIGALVHVLSCWILVFKSGMGFNGAAMANAISYWVNVVLLGVYVRVSPSCKETWTGFSKDMFHGIIKFLRLGIPSTAMLCLEHWSFELVVLLGGLLPNPKLETSVLSIT >itb06g19410.t1 pep chromosome:ASM357664v1:6:22763564:22766578:1 gene:itb06g19410 transcript:itb06g19410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSLLLPADVRPKLHHFKGNGKWGKHFEVKAKPKHLKFAFSCRAQAIEIPNHWYNLIADLPVKPPPPLHPKTFQPAKPEDLSPLFADEMIKQETSNDPFIQIPEEVLDVYRLWRPTPLIRAKRLEKLLDTPARIYYKYEGTSPAGSHKPNSAVPQVWYNAQQGVKNIVTETGAGQWGSALSFACSLFGLNCEVWQVRASFDQKPYRRLMMQTWGAKVHPSPSTMTEAGRTILKGDPSSPGSLGIAISEAVEVAAANADTKYCLGSVLNHVLLHQTVIGEECIKQMEALGETPDVIIGCTGGGSNFAGLAFPYLREKLRGKLNPVFRAVEPSACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHNFVPDPIHAGGLRYHGMAPLISHVYELGFMEAISIPQTECFQGAIKFARSEGLIPAPEPTHAIAAAIREALNCRETGESKVILMAMCGHGHFDLSSYEKYLQGGMVDLTYSDEKIKASLAEIPQPVS >itb06g19410.t2 pep chromosome:ASM357664v1:6:22763857:22766578:1 gene:itb06g19410 transcript:itb06g19410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSSGNGKWGKHFEVKAKPKHLKFAFSCRAQAIEIPNHWYNLIADLPVKPPPPLHPKTFQPAKPEDLSPLFADEMIKQETSNDPFIQIPEEVLDVYRLWRPTPLIRAKRLEKLLDTPARIYYKYEGTSPAGSHKPNSAVPQVWYNAQQGVKNIVTETGAGQWGSALSFACSLFGLNCEVWQVRASFDQKPYRRLMMQTWGAKVHPSPSTMTEAGRTILKGDPSSPGSLGIAISEAVEVAAANADTKYCLGSVLNHVLLHQTVIGEECIKQMEALGETPDVIIGCTGGGSNFAGLAFPYLREKLRGKLNPVFRAVEPSACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHNFVPDPIHAGGLRYHGMAPLISHVYELGFMEAISIPQTECFQGAIKFARSEGLIPAPEPTHAIAAAIREALNCRETGESKVILMAMCGHGHFDLSSYEKYLQGGMVDLTYSDEKIKASLAEIPQPVS >itb10g01060.t1 pep chromosome:ASM357664v1:10:781371:783490:-1 gene:itb10g01060 transcript:itb10g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKARGNSAYVVVSLFIFFGLLYNRCIYHAAVSYYAVPFSGVAQPRTTKSAADFTANKLITENFRLGAGGAAPGRRVISTAPAIPAVAVAVPDWEVFVVASPEYSPLNLDEDDPYVCVFEQGESSPAIPVGVLPFPDRSLFKCESPRSARRRKVNKQPILTLSSSLINFTSPPENSQPSLLQWNFLAYDSITTADDVVLFVKGVNNRQGINRNPTELRCVFFPGDDTINAVKTAVTSSIQEVFRCKLPEFPAGKKQLIKVSLEIVGPNPIIVPTVAFYNPPLHLPEPETEPGTEPGNKKSKLCAATMVYNVAKNLKEWVMYHSRIGVERFILYDNNSDDELRQTVKNLVQQQGIDVTTYFWPWPKTQEAGFSHSTVYANSSCKWMIFTDVDEFLYSKSWDDFHAPSQSLLHSVLPETGDVAQLSVACLEFGPSNRTSHPTAGVTQGYNCRRKKENRHKSIVLLDAVDFSLVNVIHHFKLKPGFKTVKVKLEDMAVNHYKYQAWPEFKAKFRRRVSAYVIDWTRQENPNSNDRTPGLGYRPVEPEGWAMRFCDVYDEGLKLLTRRWFGVESPAGLRLAWQSR >itb12g16090.t1 pep chromosome:ASM357664v1:12:16579434:16581256:-1 gene:itb12g16090 transcript:itb12g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTFRLLDAVQLAETLGTAGIRSPQVSVLWGTVKHIRQGSRGISLLHSSGRSKVPSDVQQAVSRSGMRARKFALYTPAGRKAAGEGGGHWARSISSEFPIQIEAPIKKILRRLRDRGLISRRRPWPIHVACLTNVSDGDIVNWSAGIAISPLSYYRCRDNLYQVRTIVDYQIRWSAIFTPAHKHKSSARNIILKYSKDSHIVNQEGGKTLAEFSNSIELGKLGSGQDPNNKEHSTTSLV >itb12g27380.t2 pep chromosome:ASM357664v1:12:27790910:27793888:-1 gene:itb12g27380 transcript:itb12g27380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSSLGERLKIGGSEVGQKITAGMSSMSFKMKEFFQGPNQADKLVEEATAETLDEPNWATNLELCDMINHDRVNSVELIRGIKKRIQLKSPRIQYLALALLETIAKNCEKAFSEIASERVLDEMVKLIDDPQTVVNNRNKALMMIESWGESSNELRYLPVYEETYKSLRSRGVRFPGRDNESLAPIFTPPRSVAASELNATLAQQMHNDVPPPPTLSAEQTKEAFDVARNSIELLTTVLSSSPQQDALEDDLTTTLVQQCRQSQNTVQRIIETAGDNEALLFEALNVNDEILKALSKYEDIIKPAVVPSAPEPAMIPVAVEPDESSYVGKEDALVRKPAGSRPGAHNGPNDEMMDDLDEMIFGKKVGGSSESGHDQKKQQPPKDDLISF >itb12g27380.t1 pep chromosome:ASM357664v1:12:27790876:27794140:-1 gene:itb12g27380 transcript:itb12g27380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSSLGERLKIGGSEVGQKITAGMSSMSFKMKEFFQGPNQADKLVEEATAETLDEPNWATNLELCDMINHDRVNSVELIRGIKKRIQLKSPRIQYLALALLETIAKNCEKAFSEIASERVLDEMVKLIDDPQTVVNNRNKALMMIESWGESSNELRYLPVYEETYKSLRSRGVRFPGRDNESLAPIFTPPRSVAASELNATLAQQMHNDVPPPPTLSAEQTKEAFDVARNSIELLTTVLSSSPQQDALEDDLTTTLVQQCRQSQNTVQRIIETAGDNEALLFEALNVNDEILKALSKYEDIIKPAVVPSAPEPAMIPVAVEPDESSYVGKEDALVRKPAGSRPGAHNGPNDEMMDDLDEMIFGKKVGGSSESGHDQKKQQPPKDDLISF >itb01g33870.t1 pep chromosome:ASM357664v1:1:36811742:36813580:-1 gene:itb01g33870 transcript:itb01g33870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINRESIKNQVDLSLKLAKHIFSTKAGGDSNLVFSPLSINVILSLIAVGSDSPTHDQLLAFLKSDSTDDLNTFYSQIIGHILADDSLTGDPSLSMANGLWMDQTLPIKPSFKHVVDTDYKAASESVDFLQKDHEFHLLNGSSIQVPFMSNYVKQYVKAFNGFKVLKLSYNRGNDYKERCSFSMYFFLPDAMDGLPSLVDKASSESGFIERHLPTHMVSVGKFLIPKFQISFQFEGSRVLEELGVVAPFNPTGGGLTEMVDSPEGSELYVSKILHGSFIEVNEGGTEAADHEFHLLNGSSIQVPFMRTCVKQYVKAFSGFKVLKLSYNKGNDDNKRRRFSMYFFLRDAMDGLPSLLEKASSESGFLERHLPTKLVSVGKFRIPKFQISFQFEVSRVMGELGVKAPFNPRGGGLTEMVDSPESSDLYVSKILQKSFIEVNEGGTEAATVSISFLAPVTG >itb05g21390.t1 pep chromosome:ASM357664v1:5:27170406:27171215:-1 gene:itb05g21390 transcript:itb05g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFFSIAIVVMALASSFANASDPNPLQDFCVAIDDPHHVLFVNGRFCKNPDDVNADDFLFRGLNKPGNTSNPLGSKVTAVNVNNFPGLNTLGISIARIDFAPYGLNPPHTHPRATEVLVVLEGTLYVGFVLSNPPPGMKNKLFAKILHPGDVYIFPQGLIHFQLNVGKSNAVAFASLSSQNPGVITIANAVFGSDPPISLDVLTKAFQVDDKVIKYLQSRFWYDNN >itb12g09440.t1 pep chromosome:ASM357664v1:12:7406245:7413023:1 gene:itb12g09440 transcript:itb12g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGSEYKEALLLSNNQKKKCYYESCPGCKVEQQKRLHRGLPIKNIITVWIIVLATALPTSSLFPFLYFMVRDFEIAKREEDIGFYAGYIGSSLMVGRALTSVFWGMVADRYGRKPVLVFGTMAVVVLNTLFGLSVNFWMAIITRFLLGSLNGLLGPVKAYAAELFHQEHQALGMSMISISWGIGLVIGPSLGGFLSQPAEKYPSVFSPDSLFGRFPYLLPCLIISLFALVVAIGSFWIPETLHKHDSVSESNEALEGAGHQVGRDEVEAAETCSKQSLFKNWPLISSIIVYCVFSLHDMAYTEIFSLWAESPRRIGGLSYSSNDVGIVLAISGFGLLVFQSCLYPFIDRNFGPRLIARVGGVVSIPLLTSYSYIATLSGITLSLLLNCASVMKNVLSVSIVTAMFMLQNKAVDQNQRGAANGLAMTTMSIFKAIAPAGGGTLFSWAQTRQDAAILPGSQMVFFVLNVVEAIGVLLTFKPFLVERQSAK >itb06g17600.t1 pep chromosome:ASM357664v1:6:21394749:21399396:-1 gene:itb06g17600 transcript:itb06g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPIPTSSAHAGWRNKSGGNSNNNSDSRALISPLAFFFFSFVLLGSIAGLYTRLLLAPNVHSSLSTSGCHEDNEGSWAIGVFYGDSPFSLKPIEDMNEERPKAAAWPVANPVVTCASASQAVPSNFVADPFLYVKGDTLFLFFETKNAITMQGDIGVARSTDKGATWEQLGIALDEDWHLSYPYVFDYNGNTYMMPEGSAKGDLRLYRAVNFPTEWKLERVIIKKPLVDSFIIPHDGKYWLFGSDHSGIGTQKNGQLEIWYSTTPLGPWKPHKKNPIYNTDKSMGARNGGRPFVYNGNIYRAGQDCGSTYGRRVRLFKIEALTTHEFKETEVSLGIKESLKGQNAWNGARSHHLDAQQLSTGEWIAVMDGDRVPSGDVNRRFVLGCTTIIGVAALVMLLGMLLGAVKSIVPLSWCPHNVGKRSDVLLTWERPNSLSSNLRLFCSRLNRASLSLRARIKSNTCTGRLVIACTFVVAAVLMCLGVNYIYGGNGAQEPYPLNGQYSQFTLLTMTYDARMWNLKMYIKHYSRCSSVREIVVVWNKGTPPELSEFDSAVPVRIRVEEKNSLNNRFKVDPLIKTRGVLELDDDIMMTCDDVERGFKVWREHPDRIVGFYPRLANGAPLKYRAEKHAREHNGYNVILTGAAFVDSHLAFERYWSEGAKPGREAVDKLFNCEDVLLNYLYANASSSTTVEYVKPAWAVDTSKLSGVAISRNTQAHYGVRSNCLQKFSEIYGSITHRKSVFGSRTDGWDV >itb01g21790.t2 pep chromosome:ASM357664v1:1:27830774:27833803:-1 gene:itb01g21790 transcript:itb01g21790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTAASLFLGAALLLAFHGCAALKQGERCVSNSRCDAGLHCETCIAGGMLTPHCTRIQPLNPFSKVKGLPFNRYSWLTTHNSFAKLGVKSGTGDALLTTMNQQDSITEQLNLMVDMMQNGVRGLMLDMYDFNNDIWLCHSFGGKCYNFTAFQPAINVLKEVRVFLEANPTEIITLIIEDYVTSPNGLTKVFDAAGLRKFWFPVSRMPKNGADWPSVDDMARKNQRLLVFTSKSAKESSEGIAYQWRYMVENQYGDDGMKNSSCPNRGESAAMNTRSRSLVLMNYFPSAPDLAQACKHNSESLRSMMNSCFEASGKRWSNFIAVDFYKRSDGGGAPEAVDEANGRLVCGCSNISSCRNNMTLGACELPKAEVAPTAAVAKRETSSSSVDRRPLLWLLVTSLLPLFLS >itb01g21790.t1 pep chromosome:ASM357664v1:1:27830719:27833803:-1 gene:itb01g21790 transcript:itb01g21790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTAASLFLGAALLLAFHGCAALKQGERCVSNSRCDAGLHCETCIAGGMLTPHCTRIQPLNPFSKVKGLPFNRYSWLTTHNSFAKLGVKSGTGDALLTTMNQQDSITEQLNNGVRGLMLDMYDFNNDIWLCHSFGGKCYNFTAFQPAINVLKEVRVFLEANPTEIITLIIEDYVTSPNGLTKVFDAAGLRKFWFPVSRMPKNGADWPSVDDMARKNQRLLVFTSKSAKESSEGIAYQWRYMVENQYGDDGMKNSSCPNRGESAAMNTRSRSLVLMNYFPSAPDLAQACKHNSESLRSMMNSCFEASGKRWSNFIAVDFYKRSDGGGAPEAVDEANGRLVCGCSNISSCRNNMTLGACELPKAEVAPTAAVAKRETSSSSVDRRPLLWLLVTSLLPLFLS >itb07g01710.t1 pep chromosome:ASM357664v1:7:1032085:1042241:1 gene:itb07g01710 transcript:itb07g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLGKTFEKEFEGLGIVKGTVRSYESESGLFEVVYEDGDSEELDAIEVVALLGGGSHSADYGFSREPCPVVGRKPKKRRRIGEEAGNSGCNLTAAAAAGMGESSGVSSDGQEKGGVTLNLNLNDHHPMLSFDLNGDVDLNDDGGGGVGRVDLDLNLNEGLDLNTGVDVSVEYNTLGRVEENLSRSNIIDLNADPNEDANCAFAKDDSLECVSLVIKEKSHSFDLNLEAGEEEPTNLDVKCDMKEKGITVPQADGSSQKHERPPIVLALCCQNVGRPSLNLHRGLTGKKLVDGSLKEVELKLVDTGPAGVGCGNGISSISGKGRRGRKRKSLSDAPDDMTEPMLRRSTRKARRAGLSSHENDPETVVPDAVNDPLSSPAQSVVSDEKLTVSSHEDSEERNFLPPKPELPPSSDKLDLEGMSVLDIFSVYALLRSFSSLLFLSPFELEDFVASIKCNTPTVLFDSVHVSLLQMLRKHLESLGSESSESSSNCLRSLNWDLLDSVTWPIFLVEYLLTHNSGIKVAFDICHLKLFESDYYKQPTSVKIEILHCLCDDVIEVEAIRSELSRRTVITKPNIDFDQNMKLDSIKKRRVTTDLATGSCLTEDVDDETDDWNSDECCLCKMDGNLICCDGCPAAFHSKCVGIASSLLPDGDWYCPECIINRKVPWIKVGKSIRGAELLGIDPYGQLFYSCCSYLLVSDSWGDGSSFKYYDRNDLPAVIRALKSSEVVYRTLLVAISKLWDASSLIDGATSDLYSQNKVVCEDFPMMPLQQNNDIVNGEKPMEMVMISTCSGDQGCEKSESVDLSAKMEKQLGSSEESTDLSQAQLSNQNSSRSGMQYDSESKQQYIDAYVNFYSFAHSASSIVEELTHKPSDKSTEDALRSEEEIISAQLKAISKRSADFCWSNIQNLNVAARKEKCGWCFSCKVPECKRNCLFLMNDTGPAPERFSSEALCASKRNIRKGHLVDIMYHIICIEDRLHGLLLGPWLSPMYSQMWRESVLAASDVASLRIPLLNLELNLRQLALSAEWFKNVDSLATIGSACHIVTNRGRVSSRHGMGKKVLHSDLKSNPSSNAGSGLGLFWWRGGRLSRQLFSWKVLPRSLASKAARQGGCKKIPGILYPDGAELAKRSKFVAWRAAVETSGSVEQLALQVRDLDAHIRWNDIGNVNILTMIDKESKKPDRSFKKVIIRRRSSRGQVVKYLLDFGKRRFLPEIVLKYGSMLEESLDKRKRYWLEETYVPLHLLKAFEEKRIACKSNKLSPIKPSESKNIIKKPFKKKGLSYLFSKAEKSENDKCGHCNRDVLIREAVSCQYCKGFFHKRHVRKSTGTIPAQCVYTCYKCLDGKHVKSKTKVKLGTKKNKNTSKILMALHSRTKRRCTKDKLLAHSQNNTNKDPVVMPLRRSARRAKILSVQEKNIKKKIGMTSGKFMKSRRGRPKILSVQEKNIKKIGMTSGKFMKSRKRTSKKPTEAIQKKKRTQFFHIYWLNGLLLSQKPNDERVALFRSKKLFVLSGPLGATVDSPKCSLCGELKSTLALNYIACEVCGDWFHGDAFGLTSERLDILIGFKCHKCRGSSHPVCPCLPIIRGAEAKLVGLKSDAMIESANLRHLEEKFQSHVESNRSCLSGDDDKKQLSNATNVDNEEDGSLHVIGIAQSTLAMDGSGRTDIQLQDEPILLNENFVNSSQKDQKPPEEWAFPNDKSSIEGDAMEIDDAPIGLWSKTWRQRKPC >itb08g10560.t1 pep chromosome:ASM357664v1:8:10150709:10154868:1 gene:itb08g10560 transcript:itb08g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGIYIQQPGEAEEVFGSVDTATLFKAFLTNRDPRPPRIPNQSVAKVLYEKRGETVSLPPWLTQQDINYYATKFGLAGFTGGFNYYRNMDRNWELTAAWSGSQIQVPVKFIVGDQDLTYHFPGVKELIHEGGLKKIVPNLQEVVVMEGVAHYINQEKPEEVNNHILDFIQNFH >itb10g01010.t1 pep chromosome:ASM357664v1:10:726407:727894:-1 gene:itb10g01010 transcript:itb10g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREVDGRTGKEDKGGDSGRRGGRGSRRGRWRENGDEVDDGGGCEDGMERRYERGVCLVGFSDFEKPLVVFSIMSIIYELTGDGSEMVFPENTNRMYSVVGAKFSDSGFSYLGQALNGSITVSFILYANFGLVLPYGTRLHFPFIGTQS >itb06g12460.t1 pep chromosome:ASM357664v1:6:17053721:17059340:-1 gene:itb06g12460 transcript:itb06g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGVFFILFSLTSLVQSSSGRVGNFWILNASSGSASLYSWAICSAGIFVLVALVLSMYLIFEHLSSYNQPEEQKFLIGLILMVPVYALESFLSLLDSKAAFNYEVIRDCYEAFALYCFERYLIACLGGEKNTIEFMESHSMVTSSAPLLDEVYAYGVVEHPFPLNCFISGWSLGPEFYQAVKVGIVQYMLLKMICALLAMIFQFFGIYGEGKFEWKYAYPYLAVVLNFSQTWALYCLVQFYSVTKNKLEPIKPLAKFLVFKSIVFLTWWQGVAVAFLFSFGAFKGRLAQVLKTRIQDYIICIEMGIAAVVHLYVFPAAPYKRGERCVRNVSVMTDYASLDSPPDPEEVKDCERTTRLRVARHDEREKRPKFHQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINRTFHEISENVKRHEEERKKNSKDDSCLVPLNSWTKEFLDGGDDSLQGSISDGGSPQVKTLKRTSSSSSRFRYR >itb06g12460.t2 pep chromosome:ASM357664v1:6:17053721:17059339:-1 gene:itb06g12460 transcript:itb06g12460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGVFFILFSLTSLVQSSSGRVGNFWILNASSGSASLYSWAICSAGIFVLVALVLSMYLIFEHLSSYNQPEEQKFLIGLILMVPVYALESFLSLLDSKAAFNYEVIRDCYEAFALYCFERYLIACLGGEKNTIEFMESHSMVTSSAPLLDEVYAYGVVEHPFPLNCFISGWSLGPEFYQAVKVGIVQYMLLKMICALLAMIFQFFGIYGEGKFEWKYAYPYLAVVLNFSQTWALYCLVQFYSVTKNKLEPIKPLAKFLVFKSIVFLTWWQGVAVAFLFSFGAFKGRLAQVLKTRIQDYIICIEMGIAAVVHLYVFPAAPYKRGERCVRNVSVMTDYASLDSPPDPEEVKDCERTTRLRVARHDEREKRPKFHQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINRTFHEISENVKRHEEERKKNSKDDSCLVPLNSWTKEFLDGGDDSLQGSISDGGSPQVKTLKRTSSSSSRFRYR >itb06g12460.t3 pep chromosome:ASM357664v1:6:17053721:17059339:-1 gene:itb06g12460 transcript:itb06g12460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVYALESFLSLLDSKAAFNYEVIRDCYEAFALYCFERYLIACLGGEKNTIEFMESHSMVTSSAPLLDEVYAYGVVEHPFPLNCFISGWSLGPEFYQAVKVGIVQYMLLKMICALLAMIFQFFGIYGEGKFEWKYAYPYLAVVLNFSQTWALYCLVQFYSVTKNKLEPIKPLAKFLVFKSIVFLTWWQGVAVAFLFSFGAFKGRLAQVLKTRIQDYIICIEMGIAAVVHLYVFPAAPYKRGERCVRNVSVMTDYASLDSPPDPEEVKDCERTTRLRVARHDEREKRPKFHQSVRDVVVGSGEIIVDDMKFTVSHVVEPVERGIAKINRTFHEISENVKRHEEERKKNSKDDSCLVPLNSWTKEFLDGGDDSLQGSISDGGSPQVKTLKRTSSSSSRFRYR >itb09g04210.t1 pep chromosome:ASM357664v1:9:2344290:2351521:1 gene:itb09g04210 transcript:itb09g04210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKLGKAPPAFPVFPQPRPHHQALFRILCCGDKNSDASSSSSASENSKFRFKLVGRSLGDGKWKFNDIDANSVQESLTQWLSKTQNFLHDVTSPFVKTVNDRRSTSRDHAQDVDEIFLSEQTVDRQTPNGELSVAAILSVEQFSRMNGLTGQKMQKIFKALVPESIYNDARNLVEYCCFRFLSRDSSEIHPCLKEPAFQRLIFVTMLAWENPYSSKNDSSTESLQKDSFQLKGRLVREEAFVRIAPAVSGVADWSIAHNLFKALADNEQGISITSWSTYINELLKVHDGWKSYEHRQLPQISNNERILCIASGGKYPVLKWKNNIAWPGKLTLTDRALYFEAVGLKGQRDVSRLDLTMDGCNAKKARVGPLGFDLLDSAVSVSSSPESETWVLEFVDLGGEMRRDVWYACINEVIALYKFVRRFGPEDGDQSVYNVYGAQKGKAKATTYATNAIARLRALQISRKLLDDPSKLVQFSYLQDSPYGDVVLQTLAVNCWGGPLISKVAEEYQPGSQSRPPNEMAETHAFDIDGSVYLRNWMRSPTWASSSSLSFWKNSSARQGGIVFSKNLVVADRNLMEKAAMAWRDRCRVVEKTQATINAAIIEGIPSNIDLFKELMLPLVITASNFEKLRRWEDPPLTASCLALVYTLIFRNMLSYVFPATLMVLAAGMLLLKGLKEQGRLGRYFGKVTIQDQPPSNTIQKIIAVKEAMREVEKYLQGLNVSLLKIRSIILSGQPQITMEVALVLLFGATILLIVPFKYILAFVIFDLFTRELKFRRQMVLRFMSFLKERWDTVPAAPVVVLPYEEGKPETVSQRKELNSSVRKSEKHLDN >itb04g11500.t1 pep chromosome:ASM357664v1:4:11126548:11127892:1 gene:itb04g11500 transcript:itb04g11500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQKEKVRPCSPTPQTLRNYKLSLLDILAGTFYTPIVFFYDSCARRHDYDELKDSLMETLSVLYPLAGRIKDGSTIECNDEGADFVRANVTNCDLGEFLRHPKLEDIQQLLPLHPYPNAIDPTQPMLAVQVNRFRCGGTAVAVCIWHGLADGAAMIGLFNTLAAINRGEGPINPDGLVVDASAIFRPGDLVRSPLMPHSLNNQGNYSSKRFVFSKRDIERLRNDYYHPSEHRRRPSRVETLSAFIWAAVIRAILPSAL >itb01g03790.t1 pep chromosome:ASM357664v1:1:2487226:2492672:1 gene:itb01g03790 transcript:itb01g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASIDDDSNKELNEPLLEPKRQPAAGEEISSELEDTLSDTSLSNLRRLQKATAIELRNLFRLAAPAIIVYLLNNVTSMSTQIFCGHLGNLQLAAASLGNDGIQLLAYGVMLGMGSAVETLCGQAYGAHKYEMLGIYLQRSTILLMLTGLPLMATYLFSKQILLLLGESKTVASASALFVYGLIPQIFAYAANFPIQKFLQSQSIVNPSAYISGATLILHIFLTWLVLYVWDWGLLGGALVLSLSWWIIVGAQFGYILCSKKCRRTWSGFSWLAFSGLWDFFKLSLASAVMLCLETWYYQIMVLVAGLLPDPEVALDSLAVCSTILGWVFMISVGFNAAASVRVSNELGAGHPKSASFSVIVVTLSSFIVAVVIGIVVILLRRRLSYAFTGGETIANAVSDLAPLLTVSILLNGIQPVLSGVAVGCGWQSFVAYVNVGCYYVVGIPVGVLLGFKFKLEAQGIWLGLLGGTAMQTLILIWVTFRTNWDKEVEVAQNRLKKWQDKEI >itb11g13970.t1 pep chromosome:ASM357664v1:11:11046438:11051110:-1 gene:itb11g13970 transcript:itb11g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAIDSRSLEAFGVMFGWLWRTGNTNVLKMEDINGKTALQLAAQQHPCKSSSALLRTRPQRHVNGNSERGASGGLVAVLVATAAYQATLTPPEAVFQSTDDPTSSKINMMMMTHTHTHTHKHHFKVFVTMNTLAFNLAIGVMLFVLPFVLYSVFLHLALYFMSLSFLTMMHVTGGVEQRPLASVLMYFSVVVFSITYCARFFIASLKTVLWTPWWMGKPYRTLCKLIKCMGMKDGFDQLQLQIQTVGWSDDPHGSYYRQGDAIRAPLCFLLCAFLHLALYFMKVSFLIMLYVTGEQEKKATTTTALWAPWWMGKPYRMMCKLIGCMGKDMQNGFHELQLQIQTVGWSGDLLAFYYRQ >itb10g20040.t1 pep chromosome:ASM357664v1:10:25710681:25711836:-1 gene:itb10g20040 transcript:itb10g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFQAKPFILVVFLSSFSVLGHENGGAESWALAPHSLTGLLSPAPAPHKGGHHHHHHLHTPPATLPPPPAHSPPAATPPTTPPPKPHHHHSSPPPHVKPPAAPIPPXVKPPTASPPLQRKAIAVRGLVYCKSCQYRGVDNLNKATPLEGAVVKLACNNTKYHLVEKAKTDKNGFFLIMPKTVTSAGFHKCKVFLVASPKPECSVFTNYKFGQAGAPLIPTAPPPELKSPPYVLFTVGPFAFEPSKKTPCPH >itb14g21720.t2 pep chromosome:ASM357664v1:14:23591486:23599779:-1 gene:itb14g21720 transcript:itb14g21720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKQQKQLLAKGSQVEVCTDEEGFTGAWFEAVILDPNPLPPSSSPSSSTRSGSKKKNAKVYVEYVNLLSDEDGHKPLRELVDPDFIRPRPPSPSPSQTAKGFELYDVVDAFYKDGWWTGVVTRLLDSFRYTVTFQNPPDELEFGLSDLRFHRQWLNGKWVRPRKQKTAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTQRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPGMGSSVPYDVDAKDTIKSQRGHRKSGGRIRKMALAKVSNQKNRATILKSEKHTLKRGKRKIIEVNIESQIPDSADTPGGKDAECIAVEKVIAEVPSNGFEDQPLSKWIEEMHPPTAVDGSRSQVRNVEQHSEAREKSKEIVVRNPAIGNGEVTPSSDLQSLPFVKNTLLWATIESMDVFQKIPQKPHFRPLEQSKDSSREGLAIGYMVTFSSIVDKACRLVFDDPRSTIDEMLDTLRDLESHGFDVEPVRGRLNEMLSFKDKQENLEGLLTETQGEIGKQNMEKAKIEEEVDDLKKHIAKLEKKLSQAMSRKGTKEDDIASLRHRMGEISEEIRNLRCDYEALTSKPF >itb14g21720.t4 pep chromosome:ASM357664v1:14:23591585:23599528:-1 gene:itb14g21720 transcript:itb14g21720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWKVGPTQEAAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTQRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGKEGKEVGNAAECNETDLPIIIGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPAGMGSSVPYDVDAKDTIKSQRGHRKSGGRIRKMALAKVSNQKNRATILKSEKHTLKRGKRKIIEVNIESQIPDSADTPGGKDAECIAVEKVIAEVPSNGFEDQPLSKWIEEMHPPTAVDGSRSQVRNVEQHSEAREKSKEIVVRNPAIGNGEVTPSSDLQSLPFVKNTLLWATIESMDVFQKIPQKPHFRPLEQSKDSSREGLAIGYMVTFSSIVDKACRLVFDDPRSTIDEMLDTLRDLESHGFDVEPVRGRLNEMLSFKDKQENLEGLLTETQGEIGKQNMEKAKIEEEVDDLKKHIAKLEKKLSQAMSRKGTKEDDIASLRHRMGEISEEIRNLRCDYEALTSKPF >itb14g21720.t8 pep chromosome:ASM357664v1:14:23591585:23599528:-1 gene:itb14g21720 transcript:itb14g21720.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWKVGPTQEAAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPAGMGSSVPYDVDAKDTIKSQRGHRKSGGRIRKMALAKVSNQKNRATILKSEKHTLKRGKRKIIEVNIESQIPDSADTPGGKDAECIAVEKVIAEVPSNGFEDQPLSKWIEEMHPPTAVDGSRSQVRNVEQHSEAREKSKEIVVRNPAIGNGEVTPSSDLQSLPFVKNTLLWATIESMDVFQKIPQKPHFRPLEQSKDSSREGLAIGYMVTFSSIVDKACRLVFDDPRSTIDEMLDTLRDLESHGFDVEPVRGRLNEMLSFKDKQENLEGLLTETQGEIGKQNMEKAKIEEEVDDLKKHIAKLEKKLSQAMSRKGTKEDDIASLRHRMGEISEEIRNLRCDYEALTSKPF >itb14g21720.t5 pep chromosome:ASM357664v1:14:23591486:23599548:-1 gene:itb14g21720 transcript:itb14g21720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKQQKQLLAKGSQVEVCTDEEGFTGAWFEAVILDPNPLPPSSSPSSSTRSGSKKKNAKVYVEYVNLLSDEDGHKPLRELVDPDFIRPRPPSPSPSQTAKGFELYDVVDAFYKDGWWTGVVTRLLDSFRYTVTFQNPPDELEFGLSDLRFHRQWLNGKWVRPRKQKTAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTQRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGKEGKEVGNAAECNETDLPIIIGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPGMGSSVPYDVDAKDTIKSQRGHRKSGGRIRKMALAKVSNQKNRATILKSEKHTLKRGKRKIIEVNIESQIPDSADTPGGKDAECIAVEKVIAEVPSNGFEDQPLSKWIEEMHPPTAVDGSRSQVRNVEQHSEAREKSKEIVVRNPAIGNGEVTPSSDLQSLPFVKNTLLWATIESMDVFQKIPQKPHFRPLEQSKDSSREGLAIGYMVTFSSIVDKACRLVFDDPRSTIDEMLDTLRDLESHGFDVEPVRGRLNEMLSFKDKQENLEGLLTETQGEIGKQNMEKAKIEEEVDDLKKHIAKLEKKLSQAMSRKGTKEDDIASLRHRMGEISEEIRNLRCDYEALTSKPF >itb14g21720.t3 pep chromosome:ASM357664v1:14:23591585:23599528:-1 gene:itb14g21720 transcript:itb14g21720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKQQKQLLAKGSQVEVCTDEEGFTGAWFEAVILDPNPLPPSSSPSSSTRSGSKKKNAKVYVEYVNLLSDEDGHKPLRELVDPDFIRPRPPSPSPSQTAKGFELYDVVDAFYKDGWWTGVVTRLLDSFRYTVTFQNPPDELEFGLSDLRFHRQWLNGKWVRPRKQKTAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTQRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGKEGKEVGNAAECNETDLPIIIGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPAGMGSSVPYDVDAKDTIKSQRGHRKSGGRIRKMALAKVSNQKNRATILKSEKHTLKRGKRKIIEVNIESQIPDSADTPGGKDAECIAVEKVIAEVPSNGFEDQPLSKWIEEMHPPTAVDGSRSQVRNVEQHSEAREKSKEIVVRNPAIGNGEVTPSSDLQSLPFVKNTLLWATIESMDVFQKIPQKPHFRPLEQSKDSSREGLAIGYMVTFSSIVDKACRLVFDDPRSTIDEMLDTLRDLESHGFDVEPVRGRLNEMLSFKDKQENLEGLLTETQGEIGKQNMEKAKIEEEVDDLKKHIAKLEKKLSQAMSRKGTKEDDIASLRHRMGEISEEIRNLRCDYEALTSKPF >itb14g21720.t1 pep chromosome:ASM357664v1:14:23591486:23599779:-1 gene:itb14g21720 transcript:itb14g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKQQKQLLAKGSQVEVCTDEEGFTGAWFEAVILDPNPLPPSSSPSSSTRSGSKKKNAKVYVEYVNLLSDEDGHKPLRELVDPDFIRPRPPSPSPSQTAKGFELYDVVDAFYKDGWWTGVVTRLLDSFRYTVTFQNPPDELEFGLSDLRFHRQWLNGKWVRPRKQKTAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTQRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPAGMGSSVPYDVDAKDTIKSQRGHRKSGGRIRKMALAKVSNQKNRATILKSEKHTLKRGKRKIIEVNIESQIPDSADTPGGKDAECIAVEKVIAEVPSNGFEDQPLSKWIEEMHPPTAVDGSRSQVRNVEQHSEAREKSKEIVVRNPAIGNGEVTPSSDLQSLPFVKNTLLWATIESMDVFQKIPQKPHFRPLEQSKDSSREGLAIGYMVTFSSIVDKACRLVFDDPRSTIDEMLDTLRDLESHGFDVEPVRGRLNEMLSFKDKQENLEGLLTETQGEIGKQNMEKAKIEEEVDDLKKHIAKLEKKLSQAMSRKGTKEDDIASLRHRMGEISEEIRNLRCDYEALTSKPF >itb14g21720.t6 pep chromosome:ASM357664v1:14:23591513:23599779:-1 gene:itb14g21720 transcript:itb14g21720.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKQQKQLLAKGSQVEVCTDEEGFTGAWFEAVILDPNPLPPSSSPSSSTRSGSKKKNAKVYVEYVNLLSDEDGHKPLRELVDPDFIRPRPPSPSPSQTAKGFELYDVVDAFYKDGWWTGVVTRLLDSFRYTVTFQNPPDELEFGLSDLRFHRQWLNGKWVRPRKQKTAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPGLLLFL >itb14g21720.t7 pep chromosome:ASM357664v1:14:23591513:23599779:-1 gene:itb14g21720 transcript:itb14g21720.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAKQQKQLLAKGSQVEVCTDEEGFTGAWFEAVILDPNPLPPSSSPSSSTRSGSKKKNAKVYVEYVNLLSDEDGHKPLRELVDPDFIRPRPPSPSPSQTAKGFELYDVVDAFYKDGWWTGVVTRLLDSFRYTVTFQNPPDELEFGLSDLRFHRQWLNGKWVRPRKQKTAGLMFSVGKKVEVSFDRDDCQDAWFPSDIIEVSGNSSFLVEYRRLNDGNENELTTVTVDALHIRPCPPLLKNKNFNLLDKVDAYYDFGWWRGVVNKVLADNSYSVFFKHGKKERVLNHSELRPHMDWKDGKWYTSQDASVPPDCTIEEVDTCNDPNVIQSVVQPGSPVTDTTNETSREKMSCFLKSDGDRSEQPTISIKKPSNARVSPMKRKQRLELPKEGISTRSPSLSKFKQKTNECETPTEDIPSGFRNPTSKGTGPNVSTSVNADELPDQPSQGKRTQRKRHKIDEQEGYMSSAQIKVGGATKLQVKGQQSSAQGKEGKEVGNAAECNETDLPIIIGLECIPPSVTKKPRRSYGKECSDPTGDQEQNLSNRTIDAIKESKEDTLTGQRKKRGRPPKKLLSTPCASPGLLLFL >itb08g15680.t1 pep chromosome:ASM357664v1:8:17847271:17853200:1 gene:itb08g15680 transcript:itb08g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSAAPSIEFKDSNQQNLNHAVGNSSNNGKGTQENEHKDDAPNANYNGTEYEEDDEEEDVDFNPFQREVICPEASSSLSSEVEGLDADVADSGDNVSEVLKGYDGANSLGLPQNCLAGDTEHGEEIVMQTEASSGKLMEKQTVSIPQTENETVNIKKNVSNRGTDCIQELAPKESTTGANSKMAIMDRDDEDAICRRTRARYSLAGFTLDELETFLQETDDEDDIQNADDEEEYRKFLAAVLQGVDGSSTNAQENENVDDEDEDNDADFELEIEEALESDLDENVKDDIVEEYEAVDQRPKTRLSRRQKTFVEHKKKVAGESSRPLRPLLPYSPIASYSALAGKGLVPRLATHCLRPVNDGFINGFTPYQIGQLHCLIHEHVQLLIQIFSICVLDPVRRDIASDIQKLISEMLCKRDEVLAQGRVPYPQFCFFPQHIHPSVAGEAPDVLPGQSTHGFSSASDMQKDFSSVQPSNRTSPSTGQVGYASNEQEGCLRTPEGSSWMPYLGDPTLSVLDVAPLKLVRKFMDDVLSVAQEYQRQPLGATNDVRAEKIPLFPACNIQQFAETDCHTPSSSSVDLTSSSNVQIAKKTLAASLVERAKKESIALVPKKIAKLALQFYPLFNPTLYPHKAPPAAVVNRVLFTDAEDKLLALGLMEYNTDWKAIQQRFLPCKSKHQIFIRQKNRSSAKAPENPIKAVRRMKNSSLTAEEIARIEEGLRVFKLDWMSVWKFIVPYRDPSLLPRQWRIAIGTQKSYRSDANKKERRRLYESQRRKSKAAALASLHSSSEKMDNGIGKNVEDMNSANDCTDKDDEAFVHEAFLADSRAGVSVISATNPIPNLADESRPFPPEMGGSQVGERINESGCRDPLPQINQFPISLKSSNTEVFMRPYRARKSSTARVVKLAPQLPPVNLPPTARVMSQSAFKSYQGVVCTKVSGAKACQDVNATTDNGDLQVASAAKTGLNCSRRDVEVRSITAESNTSTRHHDDESEVLRDRQVVEEKDGPDLQMHPLLFRAPEDGQLLYYPLNSGPNAYSSFNFFPGSPPQLNLSLYRQTNRGFNFFNKALKPKGKASTSCGLGFHPLLQRAADANCVSETANSVAQPSTYSELPRERLAQPPSSLDASRTESCMNNSLVAAHLKPTSPIGNYSEPDLEMHLSLTSRKQKALERCVTDHDVGRSSRSISETEVRSPNTSTLNRMSSNLGSSAQALAVSNEKDIGNNVDDISGQSLPGIVMEQEELSDSEEEEEENVEFECEEMADSEGEISHSEQITGGQIEEADRVGSDEDSDDHVPVRRGISKENSSNPSQLVLRDRERYRQPNSLCLNLNSFPLASPPSKLKDTTSGSVGKTQKPAGSKRSGVNVIDDENSAKIEKPAANIILEELNLGCLASSLRKPRKRVRRSDSIPNTGGSKKGMPSLNSGISIESSMKVKSID >itb08g03480.t1 pep chromosome:ASM357664v1:8:2850575:2851497:-1 gene:itb08g03480 transcript:itb08g03480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDWKDQDLGLNQVSFDESTMPVPVCSCTGVLRPCYKWGNGGWQSSCCTTNLSMYPLPAIPNKRHARIGGRKMSGGAFNKLLSRLAAEGHDLSNPVDLKEHWAKHGTNRYITIK >itb12g03380.t1 pep chromosome:ASM357664v1:12:2196719:2199691:1 gene:itb12g03380 transcript:itb12g03380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMEEQSPINSTSSPPDSYAAVVLGGTFDRLHDGHRLFLKAAAEVSRERVVVGVCAGPMLTKKKYADLIEPIEQRMQNVEDFIKSIKAELVVQAEPIVDPYGPSIIDENLEAIVVSKETLPGGLSVNKKRAERGLSQLKIEVVDLLSEELTGDKLSSTALRELEAKKLTNTRPEGGMNL >itb05g16870.t1 pep chromosome:ASM357664v1:5:23967599:23969132:-1 gene:itb05g16870 transcript:itb05g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHEFVKAYSAHLKRSGKMELPEWTDIVKTGRLKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFQRIYGSNKRNGSCPRHFCKSSGAIARHILQQLQTMSIIEVDPRGGRRITSSGQRDLDQVAGRIVVVAP >itb15g02850.t1 pep chromosome:ASM357664v1:15:1815336:1816475:-1 gene:itb15g02850 transcript:itb15g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEENDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb04g29690.t2 pep chromosome:ASM357664v1:4:32995968:33001015:1 gene:itb04g29690 transcript:itb04g29690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLLSLKSLLRVAATPSSQLCRSFLHRPRVATSTIRAFSAAMSPPSKAIVYDQQGPPDSVTRVTELPPVEIKDNDVCVRMLAAPINPSDINRIEGVYPVRPPPPAVGGYEGVGEVHAIGAAVKSLSPGDWVIAFPPTSGTWQTYVVKEQSLWYKIDKNTPMEYAATVIVNPLTARRMLEDFVVLKSGESIVQNGATSIVGQCLIQLAQVQGIHSINILRDRPGSDEAKEKLIKLGADEVFTESQLEVKNVKTLLGSVPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWLSSDKAEQCHGMIDYLLGLARDGKLTYEMELVPFDDFHTALDKALGKQGSQPKQVIKF >itb04g29690.t1 pep chromosome:ASM357664v1:4:32995968:33001015:1 gene:itb04g29690 transcript:itb04g29690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLLSLKSLLRVAATPSSQLCRSFLHRPRVATSTIRAFSAAMSPPSKAIVYDQQGPPDSVTRVTELPPVEIKDNDVCVRMLAAPINPSDINRIEGVYPVRPPPPAVGGYEGVGEVHAIGAAVKSLSPGDWVIAFPPTSGTWQTYVVKEQSLWYKIDKNTPMEYAATVIVNPLTARRMLEDFVVLKSGESIVQNGATSIVGQCLIQLAQVQGIHSINILRDRPGSDEAKEKLIKLGADEVFTESQLEVKNVKTLLGSVPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWLSSDKAEQCHGMIDYLLGLARDGKLTYEMELVPFDDFHTALDKALGKQGSQPKQVIKF >itb03g05380.t2 pep chromosome:ASM357664v1:3:3713090:3714168:1 gene:itb03g05380 transcript:itb03g05380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDLLGSFSFHQRLGMQDQRMVLPEETLVPKSRKKKASAQKTSISQNQGDTLSRMQDTHLTSIQVGKKASKRGQAVDASTSGDDYRALRRKYLLLEEESFTLGKELMEVEDDIKALEEEKLGLLDELVVLEGLVDPSQIQSHGQQLQ >itb03g05380.t1 pep chromosome:ASM357664v1:3:3712346:3714168:1 gene:itb03g05380 transcript:itb03g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQRMVLPEETLVPKSRKKKASAQKTSISQNQGDTLSRMQDTHLTSIQVGKKASKRGQAVDASTSGDDYRALRRKYLLLEEESFTLGKELMEVEDDIKALEEEKLGLLDELVVLEGLVDPSQIQSHGQQLQ >itb03g05380.t3 pep chromosome:ASM357664v1:3:3712623:3714168:1 gene:itb03g05380 transcript:itb03g05380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWMATKFVSSFHQRLGMQDQRMVLPEETLVPKSRKKKASAQKTSISQNQGDTLSRMQDTHLTSIQVGKKASKRGQAVDASTSGDDYRALRRKYLLLEEESFTLGKELMEVEDDIKALEEEKLGLLDELVVLEGLVDPSQIQSHGQQLQ >itb03g05380.t4 pep chromosome:ASM357664v1:3:3712403:3714168:1 gene:itb03g05380 transcript:itb03g05380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQRMVLPEETLVPKSRKKKASAQKTSISQNQGDTLSRMQDTHLTSIQVGKKASKRGQAVDASTSGDDYRALRRKYLLLEEESFTLGKELMEVEDDIKALEEEKLGLLDELVVLEGLVDPSQIQSHGQQLQ >itb11g14230.t1 pep chromosome:ASM357664v1:11:11291781:11294021:1 gene:itb11g14230 transcript:itb11g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGYWVVGFSPWRKSKRTNLIVRFCSGILKILSFLSERDSNGGVILYELREKSRVVKQRLETTRNFFLPAGSELTCNWISSEAMGIMNSFINDIFEKLAQEASRLARYNNKPTITSREIQTTIRLVLPGELAKHAVSKCTKAVTKFTSS >itb04g09720.t1 pep chromosome:ASM357664v1:4:8984525:8989449:1 gene:itb04g09720 transcript:itb04g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MEALLGSSPSTSPSPSSLFIITKPPRTKPISPSQVMLWDSLYSRGIEARLCSPLSCKKRSERIEATSYYKFYPLGQNHGRRSSLRPIRRLSKKFSFEHDCLVTKSGCILELRKFTNNLRKAIKHSEICSTVPEMFARVAVGVMAVMAISTAVNTTPSFALSEENQLFLEAWRTIDRAYIDKTFNGQSWFRYRENALRNEPMNTREETYAAIRKMIATLDDPFTRFLEPGKFNSLRSGTQSALTGVGISIGYPTVKSEATTGLMVISASPGGPADRAGISSGDVILAIDDTSTESMGIYDAAERLQGPEGSGVELTVQHGSETRHLSLIREKVKLNPVKSRVCKVSGSGDDASLIGYIKLTTFNQNASGAVREAIETLRKNNVKAFVLDLRDNSGGLFPEGVEIAKIWLDKGVIVYICDSRGVRDIYDTDGTNAVAASEPLTVLVNKGTASASEILAGALKDNKRAVLLGEPTFGKGKIQSVFKLSDGSGLAVTVARYETPAHTDIDKVGVIPDHPLPVSFPKDDESLCGCLQNPASACHLGRAELFSR >itb06g19750.t1 pep chromosome:ASM357664v1:6:22954080:22977159:1 gene:itb06g19750 transcript:itb06g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPSRWKGKCEEGDHFNSSNCNRKLIGARYYGVSSARDDDGHGTHVSSTAAGSLVDDASYYSVANGTAKGGSPSSRIAMYKVCKRSGCPGSAILKGFDDAIKDGVDVLSLSVGDSRRIKPDFTTNVVALGAFHAVEKGIVVVCAAGNSGPDPSSVENEAPWIFTVAASTIDRDFQSQVVLGDKTVIKGRGIHFGNLTKTSVHPLATGLSVKLDNATSRDARDCVPLSLDPVKVKGKIILCETHTIYGKPPREDEVKSASGVGTILIVTEVERTFLTPSYNLSSTVIIEKEGNQIYNYINSTRNPVATILPTVTTIGNKPAPVVIDFSSRGPCLTSTNLLKPDICAPGVDILAAWPTDPDLNPVIPGKSPPGYNLISGTSMACPHISGIVASVKAQNPKFSASAIRSAIMTTAIQTNNQNAPITTSSGPNATPYDIGAGEANPTASIEPGLVYETETADYALFLCATGYNTSQIKLISKTIPKDFQCPDKLTEDAVSSMNYPSIAISKLKGGEPKTVTRTATNVGPEESVYTATIEEMTGIEATVTPNKLVFTKEKKKLTYKVTFTAPSSPKNDIFGSITWTSECKTTPLKQQNDGVYIVYMGSAAAPSNNGAMRKDHAQLVTSLIKRKKNALVYSYTNGFSGFSARLTEEEARSIAQEPGVVSVFPDPILQLHTTRSWDFLHSFSDKKISATTIRLGLARAQPSSSGEADIIIGIMDTGIWPESTSFNDNGMGPIPSRWKGKCEEGDHFNSSNCNRKLIGARYYDSSSARDDSGHGTHVSSTAAGSLVDDASYYGVANGTAKGGSPSSRIAMYKVCVSSECSGSAMLKGFDDAIKDGVDVLSLSLGDPSRIKPDFTTDPIALGAFHAVEKGIVVVCSAGNIGPAPSSVGNEAPWIFTVAASTIDRDFQSQILLGDKTVIKGGGIHFGNLTKTPVHPLVTAASVKLDNATGSDARDCLPESLDPLKAKGKIILCEPHNPIYGNDNRQDEVERAGGIGIILIVTEDARLTASIFDNFPGTTIIKKEGNQIFNYINSTRNPVATILPTVTITGNKPAPVVISFSARGPSLASTNLLKPDICAPGVDILAAWPTDPVLNRAIPGKNPPGYYIISGTSMACPHTSGIVAAIKAQNPKFSPSAIRSAIMTTAIQTNNQNAPITTSSGPNATPYDIGAGEANPTASIEPGLVYETETADYALFLCAKGYNTSQIKLISKTIPKDFQCPGKLTEDAVSNINYPSIAISKLKGGEPKTVTRTATNVGPEESVYTATIEEMTGIEATVTPNKLVFTKEKKKLSYKVTFTAPSSLKNDTFGSITWTSECKTTPLKQQIDGVYIVYMGAVAPSNNGAMREDQAQLVTALIKRKKNALLYTYTNGFSGFSARLTEEDARSIAQQPGVVSVFPDPILQLHTTRSWDFLHSFSDKKISAATVRPKSTRAKPSYSGEADIIIGIMDTGIWPESASFNDDGIGPIPSRWKGKCVEGDNFNSSNCNRKLIGARYYESSSARDYAGHGTHVSSTAAGSLVDDASYSGLAKGTAKGGSPSSRIAMYRVCQSFECSGSAMLKGFDDAIKDGVDVLSVSLGDPSGIKPDFTTNAIALGAFHAVEKGIVVVCSAGNSGPTPSSVVNEAPWIFTVAASTIDRDFQSQVVLGDKTVIKGGGIHFGNLTKTSLRPLATGASIKLDNNATGSMQGGVCFRECAPQSLDPAKVKGKIILCETHNPSYDNDIRMNAVKSVGGVGIILIVTEQERFIATKFNIFPNSMVIEKEGNRIFNYINSTRNPVATILPTETIIGNKQAPVVISFSARGPCLTSTNLLKPDICAPGVDILAAWPTDPDLNQPFPGKNPPGYNIISGTSMACPHISGIVAAVKAHNPKFSASAIRSAIMTTAIQTNNQNAPITTSSGPNATPYDIGAGEANPTASIEPGLVYETETVDYALFLCATGYNTSQIKLISKTIPKDFQCPDKLTEDIVSSINYPSIAISKLKDGEPKTVTRTATNVGPEESVYTATIEAMTGIETTVTPNKLVFTKEKKKLTYKVTFTTSSSPKKDTFGSITWTSECKTTPLKQQNGVYIVYMGAAAPSNNGVMRKDQAQLITSLIKKKKNALVYSYTNGFSGFSARLTKEEARSIAQEPGVVSVFPDPILQLQTTRSWDFLDSFSDKKISAASIRPKSAHAQPSSSGEADVIIGVLDSGIWPESASFNDKGMGPIPSRWKGKCQEGKNFNSSNCNRKLIGARYYELNSARDNFGHGTHVSSTAAGSLVEDASYYGLAKGTAKGGSPSSRIAMYKVCLAFSCSGSTILKGFDDAIKDGVDVLSISLGYPAGLKPDFSTDVVALAAFHAVERGIAVVCAAGNEGPKRSTVLNEAPWIFTVAASTIDRDFQSQVILGDKTVIKGGGINFGNLTTTSVHPLATGASVKPDNVTGSDDARDCVPNSLDPAKAKGKIILCESHNITHESSIRTLEVKRVGGIGIIIIVTKDQRNPVATILPTVTITGIKPAPVVTSFSSRGPSLTSVNLLKPDITAPGVNILAASRTVHDLAIPGKNPPHYITNSGTSMATPHTSGIVAAVKAHNPNFSASAIRSAVMTTAIQTNNRNAPITTSSGQPATPYDIGAGEVNPTASIEPGLVYETEIADYVLFLCATGYNTSQIKLISKTIPKDFQCPDKVTEDAVSSMNYPSIAISKLKDGEPKTITRTATNVGPEESVYAATIEAMTGIETTVTPNKLVFTKEKKKLTYKVTFTASSSPKNDIFGSITWSSGMYRVRSPIVVSVE >itb01g09420.t2 pep chromosome:ASM357664v1:1:7685701:7689194:-1 gene:itb01g09420 transcript:itb01g09420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWRYKAGLFLIAAVVIIWVTSAEVTQDIFTDYKQPFAVTYLGASLLVIYLPVAFLKDLIRSCFERHPNRSNKSTGNNDESCIGFSPTKVFEIEIQSLNRKDSDANITGEEGLPLIRNGTGDANQIKQDKQVSTREIAQYGFFIAPIWFVTEYLSNAALSYTSVASTTVLSASSGLFTLLFGVLLGQDTVNVAKVVAVFVSLGGVIMTTLGKTWAADDAELNSSLNGERSFIGDCFGLLSATTYGLFTVLLKKFAGEEGERVDMQKLFGYMGLFTLVAMWWLVWPLTALGIEPKFTIPHSAKTDEIVLANGLIGSVISDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSMVYILGSVQVFAGFVIANLSERIAKIL >itb01g09420.t1 pep chromosome:ASM357664v1:1:7685701:7689194:-1 gene:itb01g09420 transcript:itb01g09420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWRYKAGLFLIAAVVIIWVTSAEVTQDIFTDYKQPFAVTYLGASLLVIYLPVAFLKDLIRSCFERHPNRSNKSTGNNDESCIGFSPTKVFEIEIQSLNRKDSDANITGEEGLPLIRNGTGDANQIKQDKQVSTREIAQYGFFIAPIWFVTEYLSNAALSYTSVASTTVLSASSGLFTLLFGVLLGQDTVNVAKVVAVFVSLGGVIMTTLGKTWAADDAELNSSLNGERSFIGDCFGLLSATTYGLFTVLLKKFAGEEGERVDMQKLFGYMGLFTLVAMWWLG >itb01g09420.t3 pep chromosome:ASM357664v1:1:7685701:7689194:-1 gene:itb01g09420 transcript:itb01g09420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWRYKAGLFLIAAVVIIWVTSAEVTQDIFTDYKQPFAVTYLGASLLVIYLPVAFLKDLIRSCFERHPNRSNKSTGNNDESCIGFSPTKVFEIEIQSLNRKDSDANITGEEGLPLIRNGTGDANQIKQDKQVSTREIAQYGFFIAPIWFVTEYLSNAALSYTSVASTTVLSASSGLFTLLFGVLLGQDTVNVAKVVAVFVSLGGVIMTTLGKTWAADDAELNSSLNGERSFIGDCFGLLSATTYGLFTVLLKKFAGEEGERVDMQKLFGYMGLFTLVAMWWLVWPLTALGIEPKFTIPHSAKTDEIVLANGLIGSVISDYFWY >itb08g11490.t1 pep chromosome:ASM357664v1:8:11485092:11485829:1 gene:itb08g11490 transcript:itb08g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFETLKFEEGPIAMFNRFRKAMKLVHFLELLFPLVLIPSSWARVPAAVKISGEFVHELSVNVCNPHVVFLIGNAIIAVIFLLCRHTEALEAFDDSVRQGEIQPPADSCQVYAPPPQPETAEKIAVKTVAVREEIKQIVCSESVVPKQQSEEIFVALQMATKQIQKFHRTQSEKLKRETAFRSQRDLRRSQTELRLKAVSSSATGTMASVENLSNEEFRLRIEKFIRKNQEFFKLENGRDETQRA >itb04g09830.t1 pep chromosome:ASM357664v1:4:9077757:9080828:1 gene:itb04g09830 transcript:itb04g09830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTGQKVEANVAEVEVVGNENQLGLLWQQKLAEYKVKSKKSLRARYSYGIIFLITNLVAWFIRDYGERFIPVLHYSRACGIEGAKCFHTMGVLRIFFFAMFLLTCYTRKLCEARNAWHSGWWILKFVILIVCLAVPFFIPSDYIQLYGELARVGAGVFLVLQLVSVIEFITWWNNYWMPDETKKQSCSVGLFMSTVFYISSVCGIAVMYMLYASKSSCTLNIFFISWTALLLVVMMVISLHYKVNRGLLSSGIMASYLVFLCWSAIRSEPGMAKCSPQNKNSGGGGWTTVIGFLIAIVAIVMATFSTGIDSKTFQFRKGKAEMEDDIPYKYGFFHLVFSLGAMYFAMLFISWNLDSLPRKWSIDVGWASTWVKIVNEWFAAALYLWKLMYPTIRPIKVMDHEEQPGQEVENLASE >itb05g02550.t1 pep chromosome:ASM357664v1:5:2035884:2036417:1 gene:itb05g02550 transcript:itb05g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCQLILLTISMLLVSFAIPMITAYEHAPAKPAGKPIDAVVEGLVYCQSCDSYGSWSFTGAKAIAKAKVSVICKDYRRRVSFYKAFEADENGYFYAELKGFKMGHSFLDHPLHSCKVKLVSSPLENCSTFTNVNDGLNGAPLRYEDKTIVRPDYEAVIYTAGPLAFRPAYCPPKAN >itb04g14650.t1 pep chromosome:ASM357664v1:4:15297811:15306188:1 gene:itb04g14650 transcript:itb04g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAMSSSSSGIVGALKFDLKSEPSVKFSPVVLPSIRARRPRFVTLIKSSIDNGSGSSGPVKKLGMTDAECEAAVVAGNVPEAPPVPPKPAAPAGTPVVSSLPLNRRPRRNRRSPAMRSAFQETTLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLIEEVSKARDVGVNSVVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHNVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEMHEDESEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGALKMIDEERVMLESLMCLRRAGADIILTYFALQAARCLCGEKR >itb01g29450.t1 pep chromosome:ASM357664v1:1:33697843:33704194:-1 gene:itb01g29450 transcript:itb01g29450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIDEGSSGGEPGVGRVSTSYEAAGGRQRFTVELRPGETTIVSWKKLLKEATGLQSNGNGAPGPAPTASSAAAELQPLNLPLHNDSPDPGFSPEQPVEKEAKDAPPGNRLNAVIEKIERLYVGKQSDDDEDLDDVPDEDEYDTDDSFIDDTELDDYFQVDNSAIKHDGFFVNRGKLERIEPTSQQNQLPNKRRKKDQTKGQSGSDDGCNPNKPAKVGKKAGKSVPHAAGTSSPSYSVVMPTLYHENANVQNQEVNFDMQKTEVLHSKNVVDKLKESSETSNQRSNERISVPQEKSSGRSANISNGLDQSFQQGEKSGIYDQRLDPSAPEGKRSTQTVRRDGSSVRSKITMLEKAIRDLEKFVAESRPPNAEVQDGDNSSQAIKRRLPPEIKQKLAKVARYAQASHGKLSKELINRLMSIVGHLVQLRTLKRNLKIMINMGLSAKQEKDNRVQQIKREVAEMIKDRVPLMKSKAIEQQPGTSDDFQSVATEEKEAFKRKYCMDNALEDRICDLYDFYVEGLEEDAGPQVRKLYAELAAYWPNGFMDNHGIKRAICRAKDRRKTLYNRQKNQEKMRRNKILAQKEEDAYRVETTTHDQPVQIQEKSGVDARDHGSTATNKPISSTAAINVVGRIPVPSLGGTSLDRPKTEKVKGTGNSTDRHIATDALTKKKMKRKPEPESERELGEGQYLPEKLSSKQAEYKPQEPVAAPVRKPSIQLNEPPSFEELT >itb01g07700.t1 pep chromosome:ASM357664v1:1:6090344:6096012:1 gene:itb01g07700 transcript:itb01g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISVWASAAPKSFSFSRQTFPLLRQTQSATSLGSCSIYTRKVGENVFNKCKGRRYIYTSSKRLSPCSIIVRLAGEMRAFDDTHSPQEGAKQERVEFWTRIGKSLEVAPGSLGFKAMVPLTHLLCLFAPFCFSWDAVGVAFGLYIITGLGVTLSYHRNLSHRSYKLPKWLEYFFAYCGVHALQGDPIGWVSNHRYHHHYADTKNDPHSPIEGFWYSHIGWIYDTKSANERSERPTNVADLENQFFYKFIYNTYIFHPIILATLLYAIGGFPYIIWGMVVRIAFMHHVTYFVNSACHQWRNRAWNTSDLSTNNWLIALLTFGEGWHNNHHAFEFSARHGLEWYQLDVTWGIVWALQAVGLATDVKLPTNAQKRKMAFKNSSMETIENMQSLK >itb06g12700.t1 pep chromosome:ASM357664v1:6:17304411:17305256:1 gene:itb06g12700 transcript:itb06g12700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRGRQRIEMVKIQNKSSLEVTFSKRRAGLFKKASMLSTLCGADVAIIVFSPAGNKVFSFGHPTVEAVVERFLGENIPAPVNETGGGALATEQIIEAQRNARVQELNMELTRLEAIFELEKKRGEAIDGVVEANREAHGWMRSSYDDLSFEQLVTLKSGMENLMKEILQKAHDQLMAVHGNGTPFNPYASGFYPTGNIISGDPTSEFNFGTSGGTPGTLPFTSGVPGAHPSTSGGAAATSAFPGCSSMAGGNNAGSPWAAGTSSDACGNFGAGRPFF >itb12g03390.t1 pep chromosome:ASM357664v1:12:2199201:2202500:-1 gene:itb12g03390 transcript:itb12g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGPTIYAREGDRVRINVTNHAQYNISIHWHGLKQYRNGWADGPAYITQCPIKTGNSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPQQGTPFPFPQPDREEVIVLGEWWNADVEAVENQGNQLGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKLYMLRIINAALNDELFFGLSGHSMTVVEIDAVYTKPFSTNAILIAPGQTTTVLVRTNQIPGRYFMAARPFMDVPIPVDNKTATAIFQYKGIPDTILPTLPLLPAPNDTNFAMSYNAKLRSLNTPNFPANVPLNVDRHMFFTIGLGVNPCPTCLNGTKLVASLNNISFVMPETALLQAHYFDMNDVYTLDFPDKPPTPFNYTGAPLTANLRTVRGTRLSKIAFNSSVELVIQDTNLLSVESHPFHLHGYNFFVVGTGVGNFDPAKDPANYNLVDPPERNTVGVPTGGWAAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGSDHNILPPPADLPPC >itb12g03390.t2 pep chromosome:ASM357664v1:12:2199201:2202775:-1 gene:itb12g03390 transcript:itb12g03390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSIRRDVFVAFLCLVVCLSAAEAAIKTYQFDIQESNVSRLCHAKPIVTVNGMFPGPTIYAREGDRVRINVTNHAQYNISIHWHGLKQYRNGWADGPAYITQCPIKTGNSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPQQGTPFPFPQPDREEVIVLGEWWNADVEAVENQGNQLGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEQGKLYMLRIINAALNDELFFGLSGHSMTVVEIDAVYTKPFSTNAILIAPGQTTTVLVRTNQIPGRYFMAARPFMDVPIPVDNKTATAIFQYKGIPDTILPTLPLLPAPNDTNFAMSYNAKLRSLNTPNFPANVPLNVDRHMFFTIGLGVNPCPTCLNGTKLVASLNNISFVMPETALLQAHYFDMNDVYTLDFPDKPPTPFNYTGAPLTANLRTVRGTRLSKIAFNSSVELVIQDTNLLSVESHPFHLHGYNFFVVGTGVGNFDPAKDPANYNLVDPPERNTVGVPTGGWAAIRFRADNPGVWFFHCHLELHTGWGLKTAFVVEDGPGSDHNILPPPADLPPC >itb03g11990.t2 pep chromosome:ASM357664v1:3:10850487:10855720:1 gene:itb03g11990 transcript:itb03g11990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVQEGDGKLEAQIEALLNVEKQMRQAGDVAGTRKAVSEILQLCFEARAWKTINDQIVLLSKRRGQLKQAVQAMVRQAMEYIDQTPDLETKIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKINPRVFEADTSKEKKKPKEGEAVVEEPPADIPSLLELKRIYYELMIRYYSHSNDYLEICRCYKAIYEIPSVKEDPEKWIPVLRKICWYLVLSPHDPMQSSLHNATLEDKNLSEIPHFKVLLKRLITMEVIQWTVLWKTFKDEFDNETNMLGGSLGDKAAEDLRLRVIEHNILVVSKYYSRITLNRLSNLLCLTIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQPAKDSNDILNSWASNLEKLLDLVEKSCHQIHKEMMVHKAALKA >itb03g11990.t3 pep chromosome:ASM357664v1:3:10850316:10855807:1 gene:itb03g11990 transcript:itb03g11990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVQEGDGKLEAQIEALLNVEKQMRQAGDVAGTRKAVSEILQLCFEARAWKTINDQIVLLSKRRGQLKQAVQAMVRQAMEYIDQTPDLETKIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKINPRVFEADTSKEKKKPKEGEAVVEEPPADIPSLLELKRIYYELMIRYYSHSNDYLEICRCYKAIYEIPSVKEDPEKWIPVLRKICWYLVLSPHDPMQSSLHNATLEDKNLSEIPHFKVLLKRLITMEVIQWTVLWKTFKDEFDNETNMLGGSLGDKAAEDLRLRVIEHNILVVSKYYSRITLNRLSNLLCLTIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQPAKDSNDILNSWASNLEKLLDLVEKSCHQIHKEMMVHKAALKA >itb03g11990.t1 pep chromosome:ASM357664v1:3:10850314:10855807:1 gene:itb03g11990 transcript:itb03g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGKLEAQIEALLNVEKQMRQAGDVAGTRKAVSEILQLCFEARAWKTINDQIVLLSKRRGQLKQAVQAMVRQAMEYIDQTPDLETKIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKINPRVFEADTSKEKKKPKEGEAVVEEPPADIPSLLELKRIYYELMIRYYSHSNDYLEICRCYKAIYEIPSVKEDPEKWIPVLRKICWYLVLSPHDPMQSSLHNATLEDKNLSEIPHFKVLLKRLITMEVIQWTVLWKTFKDEFDNETNMLGGSLGDKAAEDLRLRVIEHNILVVSKYYSRITLNRLSNLLCLTIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQPAKDSNDILNSWASNLEKLLDLVEKSCHQIHKEMMVHKAALKA >itb13g19310.t1 pep chromosome:ASM357664v1:13:26306190:26307030:1 gene:itb13g19310 transcript:itb13g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGHQPRRLCHHNIHHRTTTAAATFLPTLCRVSINDVKLTQHKSSPAAATAVSFSDDPSSPRVSCIGQVKRTNKVLGFPGPTPHHNAAAAQSFKNPNLKRLFAGKSLTPTTVTAAGCRRASRNCTRRSSRKVNNNKDSHDENSGGGGVDINELDPPLPVVKKAPQPGAGGEGSLWKRRSGGGGLKTLQIQLDKSSNQLIIPPSTV >itb06g04630.t1 pep chromosome:ASM357664v1:6:7428598:7432711:1 gene:itb06g04630 transcript:itb06g04630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWNFLMAEDTEREHLEKASEELLQTLRGSAEIAAELLKIITREIESCVSEREKASVALTILITKFKYYFFHPIPTVSLDDGAAMLSFLENLSSLQACLLQGKSNGGAAIKKLETKIRNFALKAEDDIEIQLSNFLLAKYTEHEGEASQELHRTLREAAEIAAELLKIINKEKEDEREREMACFELTSLRAAIEVIMNSLHEEAGIKCNFLEDLSTLQDFLREECSGGATTQDLKTKIRNFALKAEYDIQIQLSNFFQAKEKESQEEASRGLYQAWQEASENATELLKIITKEKEDYGSARQMASNALYIFMKKFHLDFLHPTPIVLLDDKAVMISFFEKLSSLQAILQRESSGGAAIKDLETKIRDFVLKVEDDIETQIKFLIQAKYDIEYQEQASQLNQTLQEAAKNAEELLMIFNSENECDDEIEMACVALSSLMGTIKQEFLDDEAVMKSILVKISSLQAFLQKESRDGAAVKDLETKIKNFALRAGDGIKIQLNNFLQARDTEYQEKFSEELHQTMREAAESAAELLKIINSRSNEVDEANETQTSNSWLKHASYSANVESDGSSQRFLKLEGRMVVRHHDYGVITNQLLSSYGQPPKTIISIVGMVGIGKTMLARNVYEDPLVASHFHVRGWSTIPQDYNKIRMLCDLLKSITPTKPNLIKKGSTPDELEMQIRKCLLGRRYLIVLDNILSNQAWIDFIQCVPNDIDGSCILLTTSHFKREYYRSNYIHHMTLLDPEESWNLFCNILPIKEHMAPKFENIKNDVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGILDRNALYALYNPLPHHLKVCFLYFGIFPKRYKIQVKTLIRLWIAEGFVKPLEHKELYNQAYGYLQELIDRSLLLIENQSFDGKTKTCRMHSALHSFCVGEAQKHGILCAVNIQQHSGLPLKEFANSCRWLSFCSHSFDYYVLFSTNISRSIFFFHDNPEIFVPPKLLRVMAFDPSVFLQRVPVNVRDLVFLRYLSITQWFEGLDHVVSNNPYLLSLVVSSNESQFGAPPVHLPSSIWEAPQLRHLELGDSYRVDSPSMVKENLQSLSWVVRLIHCRKEVYDKLPNIKKLKISLKDDDIEASHTGGSYSNPIILDYLDYLEELEKLTISISVGCVLTLTARLVFPSQLKKLRLSGTNLSERDLTVIGMLPQLMVLKLENAFQGTVWKVSKGGFRRLRFLLLEDIKLKQWEWETCDDDNFPVLEHIVLRFCYSLKQIPGIFKDVFTLKLIVFEECCPSLVASAKKIQYEHWACGDVTFEIKIMGPEYDTDVSLEDDLASLTDTELSVEDDLANPTLSE >itb13g13520.t1 pep chromosome:ASM357664v1:13:20035644:20040501:1 gene:itb13g13520 transcript:itb13g13520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWCSKLRSVAVLRSTQQKPYFHPYRLLHSSPNLLHKPLFHSLISSNFKHLPYAHLSTPLPSIAAHSSLYPSSLMQVRHITAKQKKRKLKSRKPMTPVVSKVKKIKIKGYSSFKDRFRVMKDGQIRRWKEGKRHNAHLKSKKAKRRLRKPGTVPLAYAKVMKKLNFCG >itb09g03940.t1 pep chromosome:ASM357664v1:9:2169855:2172579:1 gene:itb09g03940 transcript:itb09g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLSLNNHKSGTSAVNEVSQGEMCKRQRMSPFLWEDNPRLIPSLPDEISIQILARVPRSLYLVAKLVSRSWRDAITSSELYRLRKELGTTEEWLYILTKINGDNLLWYALDPLSRKWQRLPPMPNVSAEDGSRRGFSGLRVWNMVGSTIIADAIRGWLGRKDSLDQIPFCGCAIGAVDGYLYVLGGFCRASAMKCVWRYDPMLNAWSEVSPMSIGRAYSKTGVLNGKLYVVGGVTRDHGGLTPLQSAEVFDPHTGEWTEVPSMPFSKAQMVPTAFLADLLKPIATGMTSYRGKLYVPQSLYCWPFFVDVGGEVYDPETNIWVEMPMGMGEGWPAKQAGTKLSVIVDGELYALDPSSSLDSARIKVYDYQDDTWKSIEGDVPIRDHTDSESPYLLAGFLGKLHVITKDTNHNILVMQADRQNQPVHSPSTSSASLSTLSQEFCEPVSESDANIWKVIATMSGGSAELVSCQILDM >itb11g02770.t1 pep chromosome:ASM357664v1:11:1415172:1418967:-1 gene:itb11g02770 transcript:itb11g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKAPGEQRKRTLEALERRFAQAETDLRRQEEHNNKKQRTLIATTPQGNKNKTPSQITNTPSSSDPSISNAPSRKGNVSFLGHSSSQDVEANHPAYFQLFHSVDGNLLSTVSEVSNSKSTVDYVLHELLQHGDSAQKYMQGSKSVKIDNYILLDNVVHKSSMSSNASLRALKSCSKRSKKHMSLKQHKKCGSFDLPPELHKYEIYKQMHEMWKCYINKLVKSVGKNQLAQCLLNADLHGALILVAHCKLDGYTGLNGIMIRETAETFGIITEDNRFKVVPKKLSVFMLQADSWKVTILGDKLASRNMIS >itb11g02770.t2 pep chromosome:ASM357664v1:11:1415541:1418958:-1 gene:itb11g02770 transcript:itb11g02770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKAPGEQRKRTLEALERRFAQAETDLRRQEEHNNKKQRTLIATTPQGNKNKTPSQITNTPSSSDPSISNAPSRKGNVSFLGHSSSQDVEANHPAYFQLFHSVDGNLLSTVSEVSNSKSTVDYVLHELLQHGDSAQKYMQGSKSVKIDNYILLDNVVHKSSMSSNASLRALKSCSKRSKKHMSLKQHKKCGSFDLPPELHKYEIYKQMHEMWKCYINKLVKSVGCSLQARWLYWTKWYHDPRNCRNIWNNYRRQ >itb10g17300.t1 pep chromosome:ASM357664v1:10:23488919:23494089:-1 gene:itb10g17300 transcript:itb10g17300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLTSASSTAATSAKAVAGTAYPRFALHSLSTGANCFSNRQQFLRTPYKLTFHSIKAMAETLATPAPGSKSSTSGKRQALISLSDKKNLSILGNGLQELGYTIVSTGGTASALEAVGVSVTKVEELTHFPEMLDGRVKTLHPSIHGGIMARRDQDHHMEALDKHGIGTFDVVVVNLYPFYEKVSSSTGISFEDGIENIDIGGPTMIRAAAKNNKDVLVVVDSEDYPALLEFLRAKSDDQQFRRKLAWKAFQHVASYDSAVSEWLWKQTGGDNFPPGLTVPLSLKNSLRYGENPHQKAAFYVDKSLSEVNAGGIATAVQHHGKEMSYNNYLDADAAWNCVCEFSKPTCVVVKHTNPCGVATRSDIIEAYRLAVKADPVSAFGGIVAFNVEVDEDLAKDIREFRSPTDGETRMFYEIVVAPKYTEKGLEVLRGKSKTLRILEASKNSKGKLSLRQVGGGWLAQESDDKTPEDIQFNVVSGKTPQESELSDAQFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRMALKKARDEVKGAALASDAFFPFAWNDAVEEACQSGVSVIAEPGGSIRDQDAIECCNKYGVSLLFTNIRHFRH >itb12g17800.t1 pep chromosome:ASM357664v1:12:20009833:20010790:-1 gene:itb12g17800 transcript:itb12g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISVFLTCDTLYIISLFISSREAWPLDGMKFETLKEQHARRNPEDLEIDYEDQFPSENEDDLNEEEIISYNSDDTEADTVTDNEELEDEQEETAAATADLGTGWGRIVYSPIRRGKRVEMDVCRASDHEGTKGSFDRIIITKSKNPTLHHQARRSLWGDLWPF >itb11g15490.t1 pep chromosome:ASM357664v1:11:13149217:13150143:-1 gene:itb11g15490 transcript:itb11g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLITRKLWNGLSAAASLRAPPLCGQIQARYSSRRWYGLVPMVIEHSSRGERAYDIFSRLLKERIICINGPINDDTSHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRSLPNTHFTR >itb09g16360.t1 pep chromosome:ASM357664v1:9:11565760:11570060:-1 gene:itb09g16360 transcript:itb09g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLSRGAKTVNALHLKHCIQSYNVFDFLREVVSKVPDYGHSDTGAEVPKRRKIATDDLNDSDDECKRSRMEMSHPSGSGRGRGRGRGRGRGRGARADRDHRHDVEFDSSVTSEHTSIQNPDLGISKENCFESKDSPRPDANATDGDKPSVKDVNLNANLDETADKSVTPVAAAAAPAAPVDVTDEPSVLTEIDRMAVDPLHVAQFNSNVEEEEEDYDEEE >itb05g01130.t1 pep chromosome:ASM357664v1:5:960475:962331:1 gene:itb05g01130 transcript:itb05g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSKLGSVTLLLLLLCGIVAEVKHANAQSSKPCPRNCDGRADIMLCPASHDSKVKKVGQLCTNCCVAESMGCILYDQNGTPYCQEESSSSFRKIVTVV >itb04g18830.t1 pep chromosome:ASM357664v1:4:22583497:22583757:-1 gene:itb04g18830 transcript:itb04g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIKYQIVAVNAGFVLAGLVLQAPNDEIGIRNNIAKSDLVLECGAKYSVVAAESVSPAVVEPPWLNNRRKRGEVFGGGGGLHITC >itb15g08140.t1 pep chromosome:ASM357664v1:15:5706845:5708412:-1 gene:itb15g08140 transcript:itb15g08140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSTSLNTIIYLKFPETFEFYTALQPIKNELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEENDVSLEAPPVVPELSNSTEIIVFDKRTSKHWGLNTISKFFNLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb10g07930.t1 pep chromosome:ASM357664v1:10:9680242:9686012:1 gene:itb10g07930 transcript:itb10g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 9 [Source:Projected from Arabidopsis thaliana (AT4G19960) TAIR;Acc:AT4G19960] MSSGMEIDEDENETKGGMWVLDQKLDQPMDEEAGRIRNMYREKKFSTMLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPRGIKDTEDVIGALSLIIYSLTLVPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEDLTTYSRNTFEEHSCAAKTKRWLEAYAFRKNALLILVLVGTCMVIGDGILTPAISASGGIKVDHPKMSNDVVVLVAVFILVGLFSMQHYGTDKVGWMFAPVVLLWFLIIGGIGIFNIWKYDTSVLKAFSPLYIYHYLRRGRKEAWISLGGIMLSITGTEALFADLAHFPVSAIQLAFTVIVFPCLLLAYIGQAAYLTQHKDHVAEAFYRSIPGNLCPISTSGLSFIYLVRVDSFRPLHSSSANFSLIDSIFFTIDSSLANFSLRIFHYNSKFFADHLTPRPLLPYIESELQLSFQPLRSLTTTSVY >itb10g07930.t2 pep chromosome:ASM357664v1:10:9680242:9686012:1 gene:itb10g07930 transcript:itb10g07930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 9 [Source:Projected from Arabidopsis thaliana (AT4G19960) TAIR;Acc:AT4G19960] MSSGMEIDEDENETKGGMWVLDQKLDQPMDEEAGRIRNMYREKKFSTMLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPRGIKDTEDVIGALSLIIYSLTLVPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEDLTTYSRNTFEEHSCAAKTKRWLEAYAFRKNALLILVLVGTCMVIGDGILTPAISVLSASGGIKVDHPKMSNDVVVLVAVFILVGLFSMQHYGTDKVGWMFAPVVLLWFLIIGGIGIFNIWKYDTSVLKAFSPLYIYHYLRRGRKEAWISLGGIMLSITGTEALFADLAHFPVSAIQLAFTVIVFPCLLLAYIGQAAYLTQHKDHVAEAFYRSIPGNLCPISTSGLSFIYLVRVDSFRPLHSSSANFSLIDSIFFTIDSSLANFSLRIFHYNSKFFADHLTPRPLLPYIESELQLSFQPLRSLTTTSVY >itb06g14040.t1 pep chromosome:ASM357664v1:6:18639072:18654742:1 gene:itb06g14040 transcript:itb06g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRRKSIEVPPKIRSFIRTVTGTPLENIEEPLKGFTWEFDKGDFHHWVDLFNHFDSFFEKHIKPRKDLQLEDSFLEPDSPFPREAVLQVLRVIRIILENCTNKHFYSSYEHHLSALLASTDADVVEACLQTLAAFLKKTIGKYILRDALLKSKLFALAQGWGGKEDGLGLVTCALQSCSDSIASELACTLHFEFYATDESPNELTSAKLPAQGLQIIHLPNINTRQESDLELLNALIVEYRVPPSLRFSLLTRLRYARAFCSPAAREQYTCIRLYAIIVLVQACSDIDDLNSFFNSEPEFINELVTLLSYEDTVSEKIRILSLLSLVALCQDRSRQPSVLTAVTSSGHRGILSSLMQKAVDSVVSHSSKWSVVFAETLLSLVSVLTSTSSGCSAMREAGFIPTLLPLLKDTDPHHLHLVSMAVNVLEAFMDFSNPAAVLFRDLGGLDDTISRLKLEVSQVEDGSKLQSANSDLENSEPSGSQVVTGTSSESDNSHVLYSDVLIAYHRRLLMKALLRAISLGTYAPRTTACIYGSEESLLPHCLCIIFKRAKDFGGGVFSLAATVMSDLIHKDPTCFPVLEAAGLPTAFIDAIMDGVICSGEAITCIPQCLDALCLNNNGLQAVKDRNALKCFVKIFTSKAYMRALTGDIPSSLSSGLDELMRHTSSLRGSGVEMVIEILTNITNIGLKPESVTSSNDAPSCSIPVPMETDTEDKSAAMLDDKDAHKDGSADVPELCSNSLSLETESFLPECISNVARLLETILQNSDTCRIFVERKGIEAVLQLFTLPCMPLPNSVGQTVSVAFKNFSPQHSASLARAVCSFLREHLTLTNDLLLSVGGTQLAQVEGATRTKVLRSLSSLEGLLSLSSFLLKGSTSVVSELGTADADILKDLGKAYREVQWQISLCCDSRMDEKKNVEVDPHSADASLSNVIGRESDDDTNASSIRYMNHVSIRNNSRSQWGADHDFLPVVRSSEFFNRRSRHGLACIRGRASRHLECLQADSEVAARVSETSAQEVKKKTPDVLVLEIIKKLVCSLRSFFTALVKGFTLGRRRTEHGSLHSASKSIGTALAKLFLEALGFSGYPNSAGPDLYLSVKCRYLGKVVDDMVSLTFDARRRTCYATLVNNFYVHGTFKELLTTFEATSQLLWTLPCSVPTSSAAAEKGDEESKLSHGSWLLDTLQSYCRMLEYFVNSSMLLSSSSTSQAQLLVQPIAVGLSIGLFPVPRDPEVFVRMLQSQVLNVILPIWNHPMFPTCGAGFVTSTIMLMTHICCGVGDVKRKYDGSSGTANQRSTVPPPDEATIATIVEMGFPRARAEEALRRVETNSVEMAMEWLFSRGEDPVQEDDELARALALSLGGSSETSKTESTEKSMDFLTEEGETKPPPVDDVLAATMKLFQSCNTLVFPLMDLLATLCNRNKGEDRAKVISYLIQQLKLCPFEFSKDTSALCMISHTIALILSEDVNAREIAAQNGVVSVVLDVLMNFKAKVEFGNELLIPKCLSAMLLILFNLSQTRPKFSGDGTEKTQGESLPGSSEGHTSSLVLEALMEKKSAAASQAYETGAGLERIFGKPTGYLEIEDSCQVLSLACDLIKKHAPPMVMQAALQLCARLTKVHALAMQFLEDGGIAALFGLPRRCFFPGYDTLVSAIIRHLLEDPQTLQMAMEMEIRQTLNGNRNAGRVSVKTFLTSMAPVICRDPDVFLKAAHTVCQLESLGGRSFVVLSKDKDKDKEKEKEKGRVSGAEFGGSSNECPRISENKALDESGKCFKGHKKVPVNFSQVIDHLLEIVSTFPADKGEENCLGINTMEVDEPTIKVKGKLKVDETQKVELDVVTEKSARLAKVTFVLKLLSDILLMYAHAVGVILRRDIKMCQHRESNSLENPPHGIIHHIVHQLLPLSVDKSAGPDEWRDKLSEKASWFLVVLSGRSNEGRRRVINELVKSLSSFLNWGNNSSSNTLLPDKKVLALVDLAYSILSKNSSSSSLPSSGCSPDIAKSMIDGGVVQCLSGILQAIDLDHPDAPKVVNLILKALESLTRAAYASEQLFRSDVLHKKKSSGMSDRSIDHMNTTSASQSADDAGNSRQDGVPDTGSGQQQPETQNNCDSNPNQLMEQEMRTEEEETSTANPTLDLGMGYMRDEMEDGGILSNTQQIGMPFHDENRVHDDMGEEDEEMGDDGEEEDDDDGDEEDEDIAEDGTGLMSLADTDVEDHDDTGFGDEYNDVVDEEEDEFHENRVIEIRWREALDGLDHLQVLGQPGTGGGLIDVANEPFEGVNVDDLFGLRRPFGIERRRQTTRNTFDQSTIEGAGLQHPLLSRPSHSSESIPLWSSVGNSSHNLEALSGGTFDVSRFYTFDSPVHSYDNAPSSVFGDRLSVGDRLSGTVPPFTDFSVGMESLLLSGRRGPGDGRWSDDGQPQAGGQASTVAEMVEEHFISQLNRAVLTNSPAEMVTRNTGLSESQQADVLVVGDSQHVLEGPNNDVSCGNDQHHENGDDISQPGEVQSCGGVNLEVIASQEGERPEAHDPAMNSMEVTEANGTGTELFEITPDLPSQSVSCQGDLSAPNMPPIDGGHEMPIAPDSQPDDNGLLISGEAVHDSIMHPTSLVQENSDIDMTGADTDGTLHPISSSENQEDPSSRQNVDEVQDSHQTNETNLHNEDPIANGIDPTFLEALPEDLRAEVLASQLAQPAQPPSHAPPTAEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLNALPSPLLAEAQMLRDRAMSHYQARSLFGGNHRLNGRRNGLGFARQTVMDRGVGVSIGRRASTSLSQSLKLKELEGESLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHGRTRGILVRLLLEAIMPETGSSTTNSQRLYGYHSNVICCRSQFTGLPPLVLRRILEIFTYLATNHTAVSDLLFYFDPLLAPECSDLKRSNNKKGKEKMVIGGDSSNSFISSNGDVPLVTFLKLLSQPVFTRSIAHLEQVMGLLRVIVYTAASKLDSHSHSEIVRPPAESSTGNEMESDNQKSPLDAKSLQDDHSACPERQVSDGHKSLNYHDIFMRIPHSDLHNLCTLLGDEGLSDKVYMLAGEVLKKLASVAAPHRKYFILELSELAKKLSTSAVTELITLRNTHMLGLSTGLVAGAAILRVLQTLCSLSSTSTRDNGDTTKDEEWDEHATMWKLNVALEPLWEELSECIGTMEADLAQSNFSSVMSNINIGEHINGPASVSRPLPPGTQRLLPFIEAFFVLCEKLQAKNSIMQQDLVHATAREVKESAGTSFPLPMKSSMDSLKRVDGATTFVRFAERHRRLLNAFVRQNPGLLEKSLSMMLRAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRIGVRRAYILEDSYNQLRMRPTQELKGRLNVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFRFVGRVVAKALFDGQLMDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDFELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELIPGELISLFNDKELELLISGLPEIDLEDLKCNTEYSGYTVASNAVQWFWEVVKNFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYASKEQLRERLLLAIHEASEGFGFG >itb14g02940.t1 pep chromosome:ASM357664v1:14:2671815:2674557:1 gene:itb14g02940 transcript:itb14g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRSTSKVDETVERAKAEGGLPLYGFHDPESFVLSIQKPRVIIILVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERRAKEAAEMGLLYLGMGVSGGEEGARRGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNSELHEVFSEWNKGELLSFLIEITADIFGIKDDKAEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDSRFLSGLKDERVEAAKIFKSGGIDDAIADNNVVDKKQLIDDVRKALYASKICSYAQGMNLIRAKSIEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNPELASLLVDEEFAKEIIERQSAWRRVVCLAISSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERIDMPGSFHTEWFKIAQQSKI >itb09g13060.t1 pep chromosome:ASM357664v1:9:8385027:8387220:-1 gene:itb09g13060 transcript:itb09g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLLQDSLDPPPPFPCIRFSKPHNPLIDHSFEQNCRTTCSLLLSQTRSRLLPKGLALHAHIIKSGISAVPLVCHHLINFYSKLQCPVESTVVFREAPVKSPTTWSSVISSLAQNEAPCLALRYFREMIRFGVRPDDHTFPCATKSSAMLSDYNVGGMVHCFSVKTGFDSDVFVGSSLVDMYAKCGKIDVARKVFDEMPERNVVSWSGMICGYALIGENDEALRLFKEAVVEDLDVNDFTYSSVIRVCGSSTLLELGKQIHGLCLKTSYDSSSFVGSSLISLYSKCGLVEGAYQVFDEVPVKNLGMWNAMCIACAQHGHTKKVFDLFTQIERAGLKPNFITFLCVLYACSHAGLVQEGKHYFGLMKDYGIEPGDQHYASFVDCLGRAGKLQEALKVVEEMPMQPTESVWGALLIGCRIHRNTELAAYVADRVFELGLVSPGLHVLLSNTYAAAGRYEDAAKARKMLREQGVRKETGLSWVEEGNRVHTFATGDRRHAKYKEIYQKLEELGDEMERAGYVADTNFVLQQVGDQERSEAIRYHSERIAIAFALITFPPERPIRVMKNLRVCGDCHNAIKFMSKCTGRIIIVRDNNRFHRFENGKCTCGDYW >itb09g08680.t1 pep chromosome:ASM357664v1:9:5173233:5173535:1 gene:itb09g08680 transcript:itb09g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSISSKSSSGVGGGAAARVSIPSSVWKTIQQIKEITGNHSEEEIYAMLKECSMDPNETAQKLLFQGGPTLPAHPLSPSIFLSSVSLRLCVFISIWLS >itb13g16680.t1 pep chromosome:ASM357664v1:13:23644336:23645062:1 gene:itb13g16680 transcript:itb13g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL32 [Source:Projected from Arabidopsis thaliana (AT4G14010) UniProtKB/TrEMBL;Acc:A0A178UXD6] MRSTARNLLLLLIHSLLLLPATAVSPGEGSRCNGSIAECSADEIEMLMESEVSRRFLEERKHISTGALKRDEPVCNSGGPGKPYTRSCVPEPSNPHDRGCSSYYRCRDDQ >itb11g21920.t1 pep chromosome:ASM357664v1:11:23719959:23727265:1 gene:itb11g21920 transcript:itb11g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKAYVSRAFICTWFTSIAAVVHHQFPLMKATLKYLAGIAGPSGYGSKTTAIQISQDCSLSHHHHHHPLTAIVTGATSGIGAETARVLAKSGVRIIIPARDMKKAAKVKEGIEKESPWADIIVLEIDLSSLASIQRFCAHFLSLGMPLHILINNAGKFSQKLEFSEDKIELTFATNYLGHFLLTEMLLEKMVETAAETGIEGRIINVTSVVHNWVKSDHFHFGQLLNPKQYNGTRAYAQSKLANILHAKELARQLKARKANVTINAVHPGIVKTGIIRDHKGFLTDSLYFVASKLLKSTSQGAATTCYVALSPKTEGVSGKYFADCNESHCSSLANDEMQAHKLWNHTRALIHRRLLLPPSP >itb14g04600.t1 pep chromosome:ASM357664v1:14:4088420:4090752:-1 gene:itb14g04600 transcript:itb14g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEKNKKLGVLGIASILFLAFVVAATATATESGSESAGSGAESCPSGGSGTGAESCPSSGAESGSVSGPGSAGSCAAGDTNKETCVEKNPEGNAVNKMSTHEVMRAAFSLDSIADAIGKSEHLQQSVKSLCQSTDFKELCEKSLARANHSHDPRKLMNAAFSVAWENLAETVSHSELLKRADKDPRTHEALDICKEVLDHSIEDLKRSSHKVEESTTINAEHGNDLKVWLSAAITFEQTCLDAFENTTGDTGEKMKHLLKTAMELTSNGLAMVTKLTDFLKTLEIPGFSRRLLEDQEAAESPENIDSDDEVFPQFVDPPTRHLLRAHPHSIRADIVVAKDGSGKFKTINSALASIPPKNTRQIIIFIKAGVYKEYVLVPRKMNNIVFLGEHPTKVVITGNKNFIDGVGTYKTATVAVEGDGFVCRDLTIENRAGAAKHQAVALRVSADMAVIHNCHIDAYQDTLYTHSYRQFYRACTITGTIDFIFGDAAAVFQTCKMIVRKPMENQACMVTAQGRKDRRGVGGTVLQNCSILPDPALRATHPPVKVYLGRPWKEYSRTIIMSSFIDGFIAPEGWSPWEGNFALNTLWYAEYKNRGPGANTARRVNWKGIQKNISPQTARQFTPGVYLEGDAWIRRGHIPYFSGWI >itb05g16760.t1 pep chromosome:ASM357664v1:5:23888668:23891834:1 gene:itb05g16760 transcript:itb05g16760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLYSEIPVLLKKPKIFFSIKPRSLLFSTLTGENPPFYIPSLSVKLASSLENCSDTNSLKKLHACILTRGLEHDSSLGSKLLNSYAKFDLLAESKWIFHRISDRDFSIWNPTFVGYFRAFHYDEVLGLYVELKRRSIGIYGAAVTFTVKSCGVLGDLKFGRAVHLDAVKLGLNTDENVGSSLVKLYSERAAIGNAAKVFDEITERDVVVYTVMISGYAQGGDRYAYEAFRVAHEMQRENIEPNRVTLVSLLQAASSMGALQEGNAVHGYALRRGIDCSNDVFVTTLMDMYIKCGVPDKATAVFVNTRTRSIGSWNALIGGYLRLGQSLEALELFVQMMTENHKPDLIAIANGLSSCGDRQYLRGGKSLHAYILRAGCKLDLVATTTLMDMYSKCNCVIQAEAVFDRTERKDVVLFNVMIAGYLQNRLPNQAVKLFHEMLRMGFQPNISTIISVLSAVSDLKDAIQGNGIHGFLLKRGLQSNTELANQLVEMYARCGVIKSARQVFDRIEHKDVVSWTSAIMGHVNNGEAEEAVLLFHLMQREKINPDSITLVGLLQAIAQLGCLSFARETHGCVHQLLSVQKDTHLVNSLIIAYSRCGDLSAARLLFERMPERDLASWNTMISAYGAHGDCVQALNLFNQMRKDEIEPDNYTFTSLLSACSHSGSLAEGLSIFRLMIEEHTVVPTDEHFGCMVDLLSRGGRLEEAYHLLNHAPLRENASALGAFLASCMVHRNAKMGETAGRQLLDLEPGNPSAYGLVSNLYAGQEKWEEVAQMGTMAKEKGLKRVSGRSIIEYTV >itb12g02420.t1 pep chromosome:ASM357664v1:12:1589297:1595132:1 gene:itb12g02420 transcript:itb12g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISQPARRTLLLPRLKALVTLQKFNETLAGDGVQLESTTDRAADSNFQPDKCQNEFSNWRKLDARSFGITGSKISSSSWIVLNVLKKKGFKAYLVGGCVRDLILNRIPKDFDVITTARLNQIKKQFHRAEIVGRRFPICRVHIRGSIVEVSSFDTVANKGDETKRKNSFVPRMPKGCNENDVICWRNSLHRDFTINSLFYDPFVYQIYDYANAMADIKSQKLRTLIPAQLSFEEDCARILRGLRLAARLKFSFSMEIETAIRKLSPSVMSLDKSRLMMEINYMLSYGAAVPSLCLLQRYNLLEGLLPFHAAYLTQQANKQSRDTSMLMKLFSSLDQLVSCERPARESLWVALLAFHLTLVNNPQPKFVVLTLASVMYHRKWKQGVEFARQHVKAASLYVPEISDSDGSMSEDELAKRVENMAVQVNMLTDADNLREVTSKFSGSSHSGLVFVSNKTVKKIVEEVFGILTNDVTSLNEKRCSLKIQNPSPQKGTAHKKENGIAHETRYLLSKIILDTLGLGGVILEEGESKRRNSEYDEIDGLQKVKENSHSQMETKQEDYKLCNISPMGGEFEPARAKKRKSTHTSDSLSEEGAVTKHKKSADQQTAFDHSKCLQKVHESATKHKKSVDESEFTQQESSEELVKRKPNLHRKSLGADDKVEQKKSKKTLFDLFK >itb01g28430.t2 pep chromosome:ASM357664v1:1:32866144:32869378:1 gene:itb01g28430 transcript:itb01g28430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGQTVNDPGQEIKINFGYQCNYSNNNSCDESDGIDISRGIKLRRANSSFSCLSGAALSANATLVNTNICNGLMGAEILPALDSPKSFRRIPSSTSFSNLLSSSLPSSFTNFSFGPSSPSDGPEYDSFTLKSLSAASRNESFLSATEVQVAGGAAGEDRVQAVCSEENGWLFCGIYDGFNGRDAADFLAGTLYETVRYYLNLLDWELEQESIADSECLDLDETLQDVNKKPIGVHSFGSGLSSMELEKPCRSFKHKVLNSLQRAVNQAENDFLYVVEQEMEDRPDLVSVGCCVLLVLLHGKDMYVLNLGDSRAVLATYNEGGRTYDNNGLQAVQLTVSHTVDNECEKTLLLNGHPDDPSPIVAGKVKGKLKVTRALGVGYLKRKIMNDALMGILKVRNLISPPYVSVQPSLTVHEISSTDHFVILGSDGLFDFFTNDEVVQLARSYILNNASGDPAKFLVEQLVLRAADCAGKIF >itb01g28430.t1 pep chromosome:ASM357664v1:1:32866144:32869378:1 gene:itb01g28430 transcript:itb01g28430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGQTVNDPGQEIKINFGYQCNYSNNNSCDESDGIDISRGIKLRRANSSFSCLSGAALSANATLVNTNICNGLMGAEILPALDSPKSFRRIPSSTSFSNLLSSSLPSSFTNFSFGPSSPSDGPEYDSFTLKSLSAASRNESFLSATEVQVAGGAAGEDRVQAVCSEENGWLFCGIYDGFNGRDAADFLAGTLYETVRYYLNLLDWELEQESIADSECLDLDETLQDVNKKPIGVHSFGSGLSSMELEKPCRSFKHKVLNSLQRAVNQAENDFLYVVEQEMEDRPDLVSVGCCVLLVLLHGKDMYVLNLGDSRAVLATYNEGGRTYDNNGLQAVQLTVSHTVDNECEKTLLLNGHPDDPSPIVAGKVKGKLKVTRALGVGYLKRKIMNDALMGILKVRNLISPPYVSVQPSLTVHEISSTDHFVILGSDGLFDFFTNDEVVQLARSYILNNASGDPAKFLVEQLVLRAADCAGFSMEELMSVPAGRRRKYHDDVTVIVIILGMNQRTSKASTCL >itb07g08150.t1 pep chromosome:ASM357664v1:7:6360971:6364553:-1 gene:itb07g08150 transcript:itb07g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSISKNYVLSIFLLGAFTITASPCYASPNAVSGIVTGAFFDGIAGKASPSCEAKGFYTRAAFLKAAESYPEFGTVGSADVAKREIAAFFAHVTHETIQMCYINEINGTTRDYCDETNTEYPCTAGKMYYGRGPIQLSWNFNYGPAGKSIGFDGLNDPDVVARDSVISFKTALWYWMKYCHSLIISGQGFGATIRAINGQIECDGGSPSSVSNRVEYFKEYCRQFGIQPGDHLSC >itb05g10430.t1 pep chromosome:ASM357664v1:5:15834623:15837649:-1 gene:itb05g10430 transcript:itb05g10430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSHLLTVLVFLCLKSPADGYFAEEGAGSVKMRCLEKERDALLSFKKGVEDDYGLLSSWGSEKDKEECCKWRGVKCSNQTGHIIGLDLPRVGEIAYQPLRGKLTPALLELKHLSYLNLQDNEFMGTQIPEFMGSLSNLEYLNLAAAGFVGEAPEQLGNLSKLRTLDISRNYALSLNSLTWITHLSSLTHLYLSHVDLSSATDWLQAVAKLPTLVELHLAGCNLPPGHGHGTLPINSSSKLSLSVIDLSGNSLKHSSRYSWLFNLSSSLSDVDLSQNELQGTITDAFGNLISLTHLNLSLNHLEGGVPSSFGNLSNLQLLDLSFNSLNAELDYLFHNLSGRLEKRLQTLILSGNKLGGSLPDVKTFSSLHILLLNGNLLSNFIHKSFGQHPSIEVLNLSGNQLVGSLPDFNPSTLPLSLRELYVHHNHLNGTLTKTINNLPKLEVLDLSFNSLQGVVSDPHLHNLSLLWHLDLSYNSLVLNMSADWVPPFQLDVFRLANCKLGSPFPRWIRTQTHLSELDISGTGISDSVPGWFWDVAAGTVEFLNLSSNQMHGFLPDLSSKFGEYPQLDFSSNNFSGPIPQFPPNLTSLNLSKNKFWGSISFICNNSDSLSDLDLSNNHLSGKLPDCWANLDQLAILNLANNNFSGNIPNSIGSLYQIQALHLRNNKFIGELPGSLNQCTELRIIDVGKNKLSGRIPEWIGENLVNLIVLSLRSNELNGRIPLQLCHLSLIQILDLSVNKISGSIPTCLKNFTAMSNETSSVKTITLSYYNAKGSKSFEDASYADSALLVWKGREYEYSNTLGLVKSIDLSSNRLSGEIPEDITFLVGLIALNLSRNQLTGRIPLKIGKLRLLNFLDLSRNKLFGRIPQSLSELSYIGVLDLSNNNFSGKIPLSTQLQSFNASSYTGNVGLCGLPLPKLCPEDQKHPLPAAENDEFQDGERLLRQPGLYASAAVGFVVGFWGLIIWPIFLKTTWTLAYLSFLDRTGQRLGVTIALMMAKLKSLLQG >itb03g17480.t1 pep chromosome:ASM357664v1:3:16098506:16099351:-1 gene:itb03g17480 transcript:itb03g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWWTGQLGLQGVVEASSSGGAPGLKKPDLGISGGGAREEEEEEEREPSEEPKEGAIEVGTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVLEVASGADVAESIAQFARRRQRGVCVMSASGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGSLVAAGPVMVIGSTFSNATYERLPLEPDEEEAAAANTGAAPPEPQLGGGGSPPPGMAGAGIGDPSPIPGVYNLPPNMMASNEAFAWAHGRPPY >itb05g20670.t1 pep chromosome:ASM357664v1:5:26712257:26714341:1 gene:itb05g20670 transcript:itb05g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPVIEEVEAEKKLQDDAPVVEDVKEEEEHDDDDLDDSDGDEDDKEDGAQAGNESSKQSRSEKKSRKAMLKLGMKPVPGVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDVGSVIPKPDASTATPAAVQADEEEEEVDETGVEPRDIDLVMTQAGVSRSKAVKALKTNSGDIVSAIMELTT >itb14g06240.t1 pep chromosome:ASM357664v1:14:5506994:5509807:1 gene:itb14g06240 transcript:itb14g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMSGFAKAFGAVSDVASTAWAYAKELSNSPVLQPKLNILGVQSSQTRDLQGSSLTPLEDSLNGMFTGMVKSGEMIVEIWMKYDGKDVEVFQHFTLLASELVSNASLGSNCNIFPATGGIVKKNGEMDSKELLVANQESEKSSKISIEEVADEYKALCYVGCVATTSLLGWAIHKLSRDKNLQERARKEVIEMFGCQNPNLDGIARLKIVDEIIEDCQRQYPLLPFTKGTAQEESVPFKSPCYSAGTHLGLNFAKIEAKVVLSMILKRFAFTLSPSYIHSPVQGFTVTPKHGIRVILQKLEQEDSQMTRIVKTVGVIATIGVAAWGVYKVVGGLGLEEEMDQGKKKTMKNPGRPWENIPKNEFRNDPVARKAIFDRTHKLKKAGKCVKGML >itb09g14610.t1 pep chromosome:ASM357664v1:9:9840298:9844057:-1 gene:itb09g14610 transcript:itb09g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSGKRNGLVGFQGLGRGGGGRGGGGGGRGAGEVVVEVEVGEAESDDCSSWNYFPSMCFLGVKRKNRIVREEMERRNRKLYMENCYIMAENERLRKKAALLNQENKALLHQLISSSSAVKATTTSSSIMSPTANAAASNSTNN >itb12g14500.t1 pep chromosome:ASM357664v1:12:14178103:14183611:1 gene:itb12g14500 transcript:itb12g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSASDTVETVLRTLITRGWSFRDIDQVKLLLSAQASSPTIDSIESELINLDLRSIGGKSLPDSSSLRKISHLRGPLVLQISSVRDISCSKMTESSGNTKNRRLLRLKLTDGHSEVTAIEYSYIPTIPDDVIPGTKVRLENKTVVRSGIVCLNAKTIVVLGGLVESLYEEWQMNQKYSGVPRSSLRQLQEEGYSGPPPFEKLQVRAYQKNLAQQKRDSQFSMSSSKSSVFKPTGKNDSSMTPQIHNDSRNDTMDDDLKQPTHSEKNEEKPTSSEARPKEVAESFPVQNQAASQKLLQKMSQPTRGNHRTRGQRHRGKGKEEDSHLLTLDEWERSKTGNFSGTQKLSDISQDEDLARQLQEQFDLEDVHVQNDSSTTEAENIRLSMFRFDRDDARAHGTTGFRGRGRGRGRGRRGGRGRT >itb12g14500.t2 pep chromosome:ASM357664v1:12:14178103:14183611:1 gene:itb12g14500 transcript:itb12g14500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSASDTVETVLRTLITRGWSFRDIDQVKLLLSAQASSPTIDSIESELINLDLRSIGGKSLPDSSSLRKISHLRGPLVLQISSVRDISCSKMTESSGNTKNRRLLRLKLTDGHSEVTAIEYSYIPTIPDDVIPGTKVRLENKTVVRSGIVCLNAKTIVVLGGLVESLYEEWQMNQKYSGVPRSSLRQLQEEGYSGPPPFEKLQVRAYQKNLAQQKRDSQFSMSSSKSSVFKPTGKNDSSMTPQIHNDSRNDTMDDDLKQPTHSEKNEEKPTSSEARPKEVAESFPVQNQAASQKLLQKMSQPTRGNHRTRGQRHRGKGKEEDSHLLTLDEWERSKTGNFSGTQKLSDISQDEDLARQLQEQFDLEDVHVQNDSSTTEAENIRLSMFRFDRDDARAHGTTGFRGRGRGRGRGRRGGRGRT >itb04g04780.t1 pep chromosome:ASM357664v1:4:2943997:2945098:1 gene:itb04g04780 transcript:itb04g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFSPSSVFSGATAKPPQQQAKPPQVSIPPPSKPPLANITTAITATALATAILTAAPPTLAESPAFSVYYGTAASAANYGGYGGNSDKKATAEYIYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKKKEKEYLTFLAGFRQLAPKEVVLNNLALSDVDLQDLIASADGGVKSEERKDENGQVYYEYEIDGAAAHSLISVTCAKNKLYAHFVNAPLPEWNRDQDTLRHIHQSFKTVG >itb09g14900.t3 pep chromosome:ASM357664v1:9:10195640:10198471:1 gene:itb09g14900 transcript:itb09g14900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESVTDSWFSNFWRTSRKSSASEPEKSTIGILAFEVATLMSKVINLWQLVGDRQIARLREEITTSLGIQKLVSEDDDYLMDLALAEIINNVRCVAKSVVRLGKRCTDPTYQNLEKLFDDPVEMDLNGCGWEYKLKKMERKVKKMERFVASTMQLTQELEVLAEHEQTLRRLQAGANSGHVKLIEFQHKVVWQRQEVKNLREMSPWVRTHDYIVRLLLRSIFTIIVRIKYAFGINQIGDIEESTNSEDFSTDSLVRSRSISAILQSSIYPSESNMSRLYLGPLGRSFSSLGLSSDKSKSSNRKLLTRQPSVLCGKPSQMRSRRLAPIASFGGCIKAGNDSPVIESCMPTNGDVLKSNDCYQRYTDDSKDTNGLALCGGIASAKVSFFNLKHKLLVAPPSTLGYAALALHYANIIILIEKLAASPHLISVDARDDLYGMLPSSVRNSLRAKLRLFTKTLASSVYNPSLASEWALALGRILEWLSPVAHNTVRWHSERNFEKQTTISGSNVLLVQTLYFANQAKTEAAIVELLMGLNYLSRFGEEIYNKNTVESSCSRACDDYFLHKGISQSAVNIGP >itb09g14900.t2 pep chromosome:ASM357664v1:9:10195640:10198471:1 gene:itb09g14900 transcript:itb09g14900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESVTDSWFSNFWRTSRKSSASEPEKSTIGILAFEVATLMSKVINLWQLVGDRQIARLREEITTSLGIQKLVSEDDDYLMDLALAEIINNVRCVAKSVVRLGKRCTDPTYQNLEKLFDDPVEMDLNGCGWEYKLKKMERKVKKMERFVASTMQLTQELEVLAEHEQTLRRLQAGANSGHVKLIEFQHKVVWQRQEVKNLREMSPWVRTHDYIVRLLLRSIFTIIVRIKYAFGINQIGDIEESTNSEDFSTDSLVRSRSISAILQSSIYPSESNMSRLYLGPLGRSFSSLGLSSDKSKSSNRKLLTRQPSVLCGKPSQMRSRRLAPIASFGGCIKAGNDSPVIESCMPTNGDVLKSNDCYQRYTDDSKDTNGLALCGGIASAKVSFFNLKHKLLVAPPSTLGYAALALHYANIIILIEKLAASPHLISVDARDDLYGMLPSSVRNSLRAKLRLFTKTLASSVYNPSLASEWALALGRILEWLSPVAHNTVRWHSERNFEKQTTISGSNVLLVQTLYFANQAKTEAAIVELLMGLNYLSRFGEEIYNKNTVESSCSRACDDYFLHKGISQSAVNIGP >itb09g14900.t1 pep chromosome:ASM357664v1:9:10195332:10198471:1 gene:itb09g14900 transcript:itb09g14900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESVTDSWFSNFWRTSRKSSASEPEKSTIGILAFEVATLMSKVINLWQLVGDRQIARLREEITTSLGIQKLVSEDDDYLMDLALAEIINNVRCVAKSVVRLGKRCTDPTYQNLEKLFDDPVEMDLNGCGWEYKLKKMERKVKKMERFVASTMQLTQELEVLAEHEQTLRRLQAGANSGHVKLIEFQHKVVWQRQEVKNLREMSPWVRTHDYIVRLLLRSIFTIIVRIKYAFGINQIGDIEESTNSEDFSTDSLVRSRSISAILQSSIYPSESNMSRLYLGPLGRSFSSLGLSSDKSKSSNRKLLTRQPSVLCGKPSQMRSRRLAPIASFGGCIKAGNDSPVIESCMPTNGDVLKSNDCYQRYTDDSKDTNGLALCGGIASAKVSFFNLKHKLLVAPPSTLGYAALALHYANIIILIEKLAASPHLISVDARDDLYGMLPSSVRNSLRAKLRLFTKTLASSVYNPSLASEWALALGRILEWLSPVAHNTVRWHSERNFEKQTTISGSNVLLVQTLYFANQAKTEAAIVELLMGLNYLSRFGEEIYNKNTVESSCSRACDDYFLHKGISQSAVNIGP >itb09g29060.t1 pep chromosome:ASM357664v1:9:29731177:29744390:-1 gene:itb09g29060 transcript:itb09g29060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSSTASAVLNETFSGAGDDVSGMAMLMWGRIRGFRLPPVVPLLLNIAVYLCLIMSTMLFVERVYMGVVMFLVKLLRRTPEKRFKYAPLEPDAELGNAIYPMILVQIPMYNEREVYKLSIGAACALTWPSDRIIIQVLDDSTDPIIKNLVETECQKWASNGVDIKYEIRDNRNGYKAGALKEGMKRYYVKQCDYVVIFDADFQPEPDFLERTIPFLVHNPKIALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAAIDEAGGWNHRTTVEDMDLAVRASLRGWKFLYIGTLTVKNELPSTFKAFRYQQHRWSCGPANLFRKMFMEIVRNKNVNAWKKVHVIYSFFFVRKVIAHIVTFVFYCVVLPTACLVPEVDVPKWGAVYIPVVITILNSVGTPRSFHMLSFWLLFENVMALHRTKGTFIGLLEVGRVNEWIVTEKLGDAFKLKSAAQAIKTPRFKIAERVLVLELCFGAYLLFCGCYDLIFGKQLYYWYIILQSIAFFIIGFGYVGTIVPTS >itb09g29060.t2 pep chromosome:ASM357664v1:9:29732323:29744390:-1 gene:itb09g29060 transcript:itb09g29060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSSTASAVLNETFSGAGDDVSGMAMLMWGRIRGFRLPPVVPLLLNIAVYLCLIMSTMLFVERVYMGVVMFLVKLLRRTPEKRFKYAPLEPDAELGNAIYPMILVQIPMYNEREVYKLSIGAACALTWPSDRIIIQVLDDSTDPIIKNLVETECQKWASNGVDIKYEIRDNRNGYKAGALKEGMKRYYVKQCDYVVIFDADFQPEPDFLERTIPFLVHNPKIALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAAIDEAGGWNHRTTVEDMDLAVRASLRGWKFLYIGTLTVKNELPSTFKAFRYQQHRWSCGPANLFRKMFMEIVRNKNVNAWKKVHVIYSFFFVRKVIAHIVTFVFYCVVLPTACLVPEVDVPKWGAVYIPVVITILNSVGTPRSFHMLSFWLLFENVMALHRTKGTFIGLLEVGRVNEWIVTEKLGDAFKLKSAAQAIKTPRFKIAERYKFFLSLASPQYYILYTFLYLLLLTLLTIYDLSLTYTDKLK >itb07g04190.t1 pep chromosome:ASM357664v1:7:2815626:2818638:-1 gene:itb07g04190 transcript:itb07g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILISLPLSLSLFLLFFFSFSCADSQTTITTEESHESSETTTESYSNTFDKVYAFGGSSTDTGNAQSMGVVKEESSSSSTTTTTRHESSSKQTTTTEYSDGRMSNGGLVVDYLCKDLDIPPLPPYKAKEESSSSSSSEERSEESSESSSSSSSSVSGKGSASAGGGGAGSAGGSAGAGGSAGGSASGGGSAGADGSAGGSASADGSAGAGGSAGGSAGAGGSAGAGGSAGGSAGAGGSIGGSAGASGSIEGSAGAGGSAGGSAGAGGSAGGSAGAGGSIEGSAGASGSIGGSAGASGSAGGSAGAGGGAGAGGSAGGSVGGSASASGSIRGSISAAGSAGAGAGGGAGGGVGAGASASGRISVSVNFSFGINFAIAGSTFLSKRNYSNQGTNPLFYTGLPLPFDREIDWFKEFLASKGCNNLGDAGCKEEVENALFWLGAVGASDYTRTHGHSVVNLRGISQLCIFHISKLLRVVLQAGAKYVVVQGLPPVGCLPIAISRPFILRDRNGCSIAVNAATDIHNRLLMRVLAKFRIQFPQSTILYADYWKAFMEIYTNNFKYKFTERSKACCGAGGGPLNFNPLQICGSDGASICKNPHEYISWDGVHFTAAMNKKLSHLFFKEGYCQPSFKSLIKKRKQAMKQKQ >itb06g01790.t1 pep chromosome:ASM357664v1:6:3095909:3096920:-1 gene:itb06g01790 transcript:itb06g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRYLRTSRKVGKYIRTVLTAWTSSAYGAILGMLGDCRCPFCKTSNYAVEYRGVKTKEEKGMELIEEQRVIEAKIRMRHQELQDEEERMLKRREMYSCSSSNGPSEEYCSTAGTEFSPRVDPPLRVNVSEILINNDRFTEH >itb08g08430.t1 pep chromosome:ASM357664v1:8:7401926:7402867:1 gene:itb08g08430 transcript:itb08g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPHPPHHHPHHHKPPSGRTNLASCIVATIFLIFLLIVVFIVYFTVFKPKDPTITVNAIQLPTFSTANSTVNFTFSQYVSVQNPNRAVFTHYDSSLLLLYSGTQVGFMFIPAGKIRAGKTQYMAATFSVQSFPLSAAGQPLTVGPTVTDGLSGFRVGPELEIESRLEMAGRVRMLHFFTHHVEAKADCRVSVSVSDGTVMGFHC >itb13g21500.t2 pep chromosome:ASM357664v1:13:28107550:28113700:-1 gene:itb13g21500 transcript:itb13g21500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MEEATEQKKKSEKNRVPVLPWMRNPVDISVIDECPLNNLPFLDPRLEAALKNMGITSLFPVQVAVWQETIGPGSFERDLCINSPTGSGKTLSYALPIVQMLSNRTVKCLRALVVLPTRDLALQVKEVFETLVPAVNLRVGLAVGQSSIADEISQLIKKPKLESGMCYDPEDFSRELQSAVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQLTRPCDMLFPSDSFSPSAFSSLKTIRRFGVERGFKGKSCPRLVKMVLSATLTQDPGKLAQLDLHHPLFLTTGEMRYKLPEQLKSFKVICESKLKPLYLIALLQVLKGEKSIVFTSSVESTHRLCTLLNFFGDLQIQIKEYSRLQRQSLRSKTLRAFRDGEVQVLISSDAMTRGMDVEGVRNVINYDMPAYIKTYIHRAGRTARAGQTGYCFTLLRKDEVKKFKKMLQKVDNNSCTAHPIESQAVESLRPIYTSALEKLKESVESEKFKKRRTSLKLSNASKRKGEERLE >itb13g21500.t1 pep chromosome:ASM357664v1:13:28107309:28113684:-1 gene:itb13g21500 transcript:itb13g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MEEATEQKKKSEKNRVPVLPWMRNPVDISVIDECPLNNLPFLDPRLEAALKNMGITSLFPVQVAVWQETIGPGSFERDLCINSPTGSGKTLSYALPIVQMLSNRTVKCLRALVVLPTRDLALQVKEVFETLVPAVNLRVGLAVGQSSIADEISQLIKKPKLESGMCYDPEDFSRELQSAVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQLTRPCDMLFPSDSFSPSAFSSLKTIRRFGVERGFKGKSCPRLVKMVLSATLTQDPGKLAQLDLHHPLFLTTGEMRYKLPEQLKSFKVICESKLKPLYLIALLQVLKGEKSIVFTSSVESTHRLCTLLNFFGDLQIQIKEYSRLQRQSLRSKTLRAFRDGEVQVLISSDAMTRGMDVEGVRNVINYDMPAYIKTYIHRAGRTARAGQTGYCFTLLRKDEVKKFKKMLQKVDNNSCTAHPIESQAVESLRPIYTSALEKLKESVESEKFKKRRTSLKLSNASKRKGEERLE >itb13g21500.t3 pep chromosome:ASM357664v1:13:28109049:28113700:-1 gene:itb13g21500 transcript:itb13g21500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MEEATEQKKKSEKNRVPVLPWMRNPVDISVIDECPLNNLPFLDPRLEAALKNMGITSLFPVQVAVWQETIGPGSFERDLCINSPTGSGKTLSYALPIVQMLSNRTVKCLRALVVLPTRDLALQVKEVFETLVPAVNLRVGLAVGQSSIADEISQLIKKPKLESGMCYDPEDFSRELQSAVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQLTRPCDMLFPSDSFSPSAFSSLKTIRRFGVERGFKGKSCPRLVKMVLSATLTQDPGKLAQLDLHHPLFLTTGEMRYKLPEQLKSFKVICESKLKPLYLIALLQVLKGEKSIVFTSSVESTHRLCTLLNFFGDLQIQIKEYSRLQRQSLRRL >itb03g09600.t1 pep chromosome:ASM357664v1:3:7405118:7407997:1 gene:itb03g09600 transcript:itb03g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWCFKPLKDCFDGEDDDVLQWDMDLKRHATGDYSMAVIQANSLLEDQGQVYATPSATYVGVYDGHGGPEASRFISNHLFRYIQGFARELGGLSEEVLRKALDAIEEEFLRMVKRSWLAQPQMASVGSCCLTAAISNGVLFVANLGDSRAVLGRRVMADGGVSNSVVAERISTDHNVGVEEVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDIYLKKPEMNRDPLFLQYGCPVPLRRAVMSAEPSLLTRQLRPEDLFLIFASDGLWEQISDEEAVDIVVKNPRSGIAKRLAKAALNEAAKKREMKYEEIKRIEKGTRRHYHDDITVIVLYLDHSQGTPSGRVTDRDRDNSNCTTTPVDIFSLNSDQAEDSPSASPSVPIRSF >itb03g09600.t2 pep chromosome:ASM357664v1:3:7405143:7407795:1 gene:itb03g09600 transcript:itb03g09600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWCFKPLKDCFDGEDDDVLQWDMDLKRHATGDYSMAVIQANSLLEDQGQVYATPSATYVGVYDGHGGPEASRFISNHLFRYIQGFARELGGLSEEVLRKALDAIEEEFLRMVKRSWLAQPQMASVGSCCLTAAISNGVLFVANLGDSRAVLGRRVMADGGVSNSVVAERISTDHNVGVEEVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDIYLKKPEMNRDPLFLQYGCPVPLRRAVMSAEPSLLTRQLRPEDLFLIFASDGLWEQISDEEAVDIVVKNPRSVSIHLPISYIPTLPEKRTFSYNNTC >itb06g02550.t1 pep chromosome:ASM357664v1:6:4401944:4402327:-1 gene:itb06g02550 transcript:itb06g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSGSNKMKVQNKKRKSKLEFLGWGSKRLIEFLDSIGKESRQYSQVEVDEIMKKYVSSNNLIDPTNKRRILCDEKLEKLFKKKTVLRKNAYHLLEVHFRENHVDDDDVDNATEKKLFCCHKCTKH >itb06g18010.t1 pep chromosome:ASM357664v1:6:21735621:21738145:1 gene:itb06g18010 transcript:itb06g18010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKKLIALGFEGSANKIGVGVVALDGSILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALDAAGVAPKDIDCLCYTKGPGMGAPLQVSAVVVRVLSQLWKKPIVAVNHCVAHIEMGRIVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLKLSNDPSPGYNIEQLAKKGEKFIELPYVVKGMDVSFSGILSYIEATTEEMLKNNECSPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRTMCSERGGKLYATDERYCIDNGAMIAYTGLLAYAHGASTAMEESTFTQRFRTDEVLAVWREKESTNTSNTTEVSI >itb09g29900.t1 pep chromosome:ASM357664v1:9:30606351:30614367:-1 gene:itb09g29900 transcript:itb09g29900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYFKFKSAKDYDSIPIDGHFISVLNLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAALIPKNTSVLIRRVPGRPRMPIVTAPVTELEESMVENITEEAETVKSGFVGVESSATKYPDDEWDEFGHDLYAIPETIPVQSSNPVQEAPPSSKADEESKIKALIDTPALDWQQQPADGFGAGRGYGRGPGGRMMGGRGFGRGGGFERKTPPPGYICHRCKVPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLVTTPDGSYALPSGASAVLKPNEAAFEKEVEGMPSTRSVGELPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDCIISKLMCVCGATNILADDLLPNKTLRDTINRILESNNSSEHGGSALQVQDMESARNPQPKVPSPSQSAASRGEKMPNQSLLQKEETSRVQEVIEEVKVEIAPPQPLEKGRSSKVVDISEATHESISVKEPASQGSAPLVDEEVQQKPAVSDAGKKKKKKKARLPLTAAEMQWRASQDIAAENYMMPMGPSYNPYWTGMQQGMEGFVGPYPGQMPYMNYGLGPLDVPFGPMIPPNPFGGPGCMLPPNPFGPPQRDLANIAMGFNAGPPIMSREEFEARKADLKRKRELEMRRERDSKDREFTREVSSSGDISSLKPKSRPPPPSSSDHYHHRRSERSPPNRRSSDPELPRPSSKRKADDRTDHYHREKSHHDDHYHREKSHHDDRDHHRDRDRDHHGRDREHHQRSKLSDGSAKPSSSSSEPPIAASKAAVDDKKSKRSVFSRISFPEEEAAAAAASKKQKMSSSNEAPASASASHRATSNGHVEDQKALVVSRSRKTVAAATDYDSSDDERHFKRRPSRYEPSPPPQQEREREGDEHPRHSKGSRDREQERDRSGYRSKHR >itb09g29900.t2 pep chromosome:ASM357664v1:9:30606351:30614364:-1 gene:itb09g29900 transcript:itb09g29900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYFKFKSAKDYDSIPIDGHFISVLNLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAALIPKNTSVLIRRVPGRPRMPIVTAPVTELEESMVENITEEAETVKSGFVGVESSATKYPDDEWDEFGHDLYAIPETIPVQSSNPVQEAPPSSKADEESKIKALIDTPALDWQQQPADGFGAGRGYGRGPGGRMMGGRGFGRGGGFERKTPPPGYICHRCKVPGHFIQHCPTNGDPNFDIKRVKPPTGIPKSMLVTTPDGSYALPSGASAVLKPNEAAFEKEVEGMPSTRSVGELPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDCIISKLMCVCGATNILADDLLPNKTLRDTINRILESNNSSEHGGSALQVQDMESARNPQPKVPSPSQSAASRGEKMPNQSLLQKEETSRVQEVIEEVKVEIAPPQPLEKGRSSKVVDISEATHESISVKEPASQGSAPLVDEEVQQKPAVSDAGKKKKKKKARLPLTAAEMQWRASQDIAAENYMMPMGPSYNPYWTGMQQGMEGFVGPYPGQMPYMNYGLGPLDVPFGPMIPPNPFGGPGCMLPPNPFGPPQRDLANIAMGFNAGPPIMSREEFEARKADLKRKRELEMRRERDSKDREFTREVSSSGDISSLKPKSVCTFVIFLFSYVHLYCGR >itb08g06560.t1 pep chromosome:ASM357664v1:8:5561324:5564371:1 gene:itb08g06560 transcript:itb08g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQHTIKIKGVQFKFPNNHKPHPSLSCAATTFTIAVAVADWRLESIGGERHCHCAKPAGAPATTGHQEAHKQGRMPHRARPMTGLLVFVGLNAALVSTIEPVYDFVCFLPYWERRRDCRRKDLEATLGKDSG >itb02g04290.t1 pep chromosome:ASM357664v1:2:2551550:2554303:1 gene:itb02g04290 transcript:itb02g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDPHSFTDSAHPFTVHISLTLYFDFPSSTISAATLLSLAAPYSGDLTLDTRSLSISAVLDPVSLSPLPFSLSPAQPDPVIGQSLTVSLSGQSQVLVVSKTSPSSSALQWLSPPQTFNKTHPFVYTQCQSIHARSVFPCQDTPAARIKYSAKLNIPSQLSAVMSAKHEGRRPPLPAEAHGVCEDAKWCGDDRIVEEFVMEQPIPPYLFAFAIGELGFREVGPRTRVYSEAAPTVLDAAAREFAETEEMIKAGEALFGPYDWERFDLLVLPPSFPYGGMENPRMVFLTPTLIKGDSSGAQVVAHELAHSWTGNLITNKNNNHFWLNEGWTTYAERRIVEAVQGENRAALSIALGWKALVEEMERFKDNLEFTKLKMNQAGIDPDDVYSRVPYDKGFQFLWRIERQIGRPAFDDFLKKYIATFKFQSIDTDMFLDFLKENIPGIENEIDLKLWTEGTGLPPDAMELVSNIYSKIVSLVNEFNLGKIPREDEVSDWRGQEWELYLKSLPKSVEASQIKALDACYRLSESKDYEVRVAFLQLAISARCGDYYNEVEKTLKEVGRMKYLRPLYTALVQGDGREEEKAVARRIFSDACGGYHPIAKGIIEAILAKHV >itb09g07420.t1 pep chromosome:ASM357664v1:9:4315712:4316775:1 gene:itb09g07420 transcript:itb09g07420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAAMAYDGAARSLRGAKAKTNFPPPPSVAARPGLTLDLNLPTDNPNNHHHRRCWPSPSGRIMIGEFLQTGVLKDVVPPNVVNAAPPSASPPSDAGGGAANFFSIVRRGLPIDLNEPPPLWM >itb05g21700.t1 pep chromosome:ASM357664v1:5:27361368:27365311:-1 gene:itb05g21700 transcript:itb05g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAFSGISIILTVLLAVSAASAQGEDEQKEHVLTLDHTNFTETVSKHEFIVVEFYAPWCGHCKRLAPEYEKAASLLSSHDPPITLAKIDLSDKASSEIATKFEIQFYPTIKILRSGGNAIQEYKGPREADGLVAYLKKQSGPSSAEIKSREDSATLIDEKRLFVAGVFPVFSGESFQNFSIVAEKLRADYDFGHTIDAKLLPHGGPVDKPTLRLLKPFDELFADFQDFQVDQLEKFIEEASIPTVTIFGKNQENRRFVEKFFYSPNTKALLFVNFSRDFDAFKSKYHEVASGQRKEISFLLGDTETDVEDQAPVILIQSNDRKNYLKPNVKPDDIATWVKDYKEGKLKPHIKSEPIPEVNNEPVKVVVADNLEDFVFNSGKNVLIEFYAPWCGHCKKLAPILDEVAVSFENDPDVTIAKMDATANDITSNRFVVERFPTLYFVSASGNLVEYEGDRSKGDFIDFIQKNRDIRSNSDSAASKEPAKDEL >itb05g28300.t1 pep chromosome:ASM357664v1:5:31688818:31689183:-1 gene:itb05g28300 transcript:itb05g28300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTHPETLVFCKRLQRLELPPSATSSNPNPRSSILQWFSSLMVHQRQAYLTTVDSNFTQVLFRMLRKLKSNGHGFFLILPDIPDNTISGAAGNSLPSVCFRKSHGLLSRVAENNEAERLI >itb11g05560.t1 pep chromosome:ASM357664v1:11:3292254:3293952:-1 gene:itb11g05560 transcript:itb11g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSQTRLVDQPPSASSSEDEEESDPEEETQNSEEESEEEDEAEEEEEQEPVKAPEQAPKKAPSSPPPLSKKPTVEKPQSSSGSESESDEEGSDSSQHTPDFTIKPNVPSNAPPASKPPAKRPLETPVKESARKKLKSGEEDGNAEEKKLPGSASAGFARLWSEDDEIAVLEGMIDYKNQKGTDPSADLSAFHEFIKGKLRADISRTQMYEKIRRLRKKFFTNIEKGDTPDFTKPHDHKTFEVSKKVWGSSAVSNGVNENTKSPNGKGKKTAEIKKISEPKKSAKVSNFGVTKEEPKKGAKVSDFGVTKEEEKEKPIITKDKDTGISLKDEGDFKSMYPLLVKSFDKANMPDLSEGALAIYQENLSLIGSSKAEEFEEQWTKIYKEEAELFLKMTDFMGEQVKLIVETIKR >itb07g23540.t1 pep chromosome:ASM357664v1:7:27917114:27921605:1 gene:itb07g23540 transcript:itb07g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAQRTSNLLKPLSAAASYIRHLSTDSAAAITVETSLPFSSHNIDPPSRTVETSAKELMTFFRDMALMRRMEIASDSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRKDCIITAYRDHCIYLARGGTLLETFTELMGRKDGCSKGKGGSMHFYKKENGFFGGHGIVGAQVPLGIGLAFALKYSKEEHVSFSMYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKYAKEHALKNGPLILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDIATEKELKDIEKEIRKEVDDTIAIAKECQMPDPSELFSNVYAKGLGTEAFGADRKELKATLP >itb07g23130.t1 pep chromosome:ASM357664v1:7:27619450:27621684:1 gene:itb07g23130 transcript:itb07g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02750 [Source:Projected from Arabidopsis thaliana (AT2G02750) UniProtKB/Swiss-Prot;Acc:Q1PFA6] MRRQIAELVRDGLYREALTLYSHLHSSSSSLRNGFTFPALLKACAKLRAIPQAQMIHTHLVKTGFQSDIYAATALTHLYMKINSLGCALKVFDEIREPTIDSMNAFISGFSQNGYYGESFRTFGLLDSWNLRPDSVTIAGVVSGCESVIHGGQMHCWAVKIGVEMCVYVATSLLTMYSSSGDVVSATKLFGLVENKNVVCYNAYLTCLLQNGVSGTVLVLFEEMKRSSDEGPNSVTLVSVLSACAELKNLKFGMQVHGSAVKTDEIHTMVATALVDMYSKCGSWQCAFAVFEELDSERSLVTWNSMIAGAMLNGRSENAVELFTRLESEGLKPDLATWNTMIIGFSQLGKAAEAVMFFRKMVSAGVKPSEKLVTSLLTACSALCMLGSGKQIHGYSIRTGCSTDAFLTTAVIDVYMKCGQVSLACKVFDEFEHKRDDPALWNVMISGYGTNNQSEAAFGTFNRMLQEKVKPSLATFNCILSVCSHTGQTNKGWELFRTMIMDFGLTPTSKQLNILIDLLARSGQLDEARELLQKIPVPSAPVFATLLGASECHSHPNLGEEMARKLMELEPGSPIPLVILSNLYAGQGKWNEAERIRETINEKGLKKLPGYSLIGVA >itb02g16280.t1 pep chromosome:ASM357664v1:2:12116205:12117761:1 gene:itb02g16280 transcript:itb02g16280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKVTLHGMWASPYMKRVELALKIKAISFEYVEEDLLNKSSLLLQYNPVYKKVPVLVHNGNPLSESMVILEYIDEAWNNKPRLIPEDPYERAVVRFWADYIQQVAVSKYKMINAAGEEGFGEACEELYEKLRVLEEGMKDLFPRTRRVHCSWDLGLLDILVFSLFGADKAYEEGFGIGTQILHPMRNPILHSWVTSLMELPVIKETAIPHDKLVSLFQVLKQANFKFSTN >itb01g22200.t1 pep chromosome:ASM357664v1:1:28125776:28126863:1 gene:itb01g22200 transcript:itb01g22200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINPQSLLNHKMASVNDEIAFQMSPYFTLYKDGRIERLRGPESAPNSDDPDAAVWSKDVVVEPETGVSVRLFLPKLTDADKKLPLVIYIHGGAFVIGSARSEVFHSFISSIAEKAKVIAVSVEYRLAPEHALPIAYDDSWLAFQWIMSHSDGLGPEPWLNNHADFSNIFLGGESAGANIAHDVAIRAGNSADFKDDRKMSGLFLVHPFFGGKDEDKLYKFLCPSSSARDDDPRLNPAADPRLAQMPCKKVVFHVAENDFLLARAKAYYEALKSSPWKGEVEILEIEGEGHGFHLINPSHPKAGIVTQDLVTFFTS >itb04g22780.t3 pep chromosome:ASM357664v1:4:28059083:28066924:1 gene:itb04g22780 transcript:itb04g22780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MLRTSSQICLVLPKTAHTLENVIHYSPGALKSDWHMRFLIYQLLSALAYMHGLGLSHGNLSPSCIALFDLSWCWLPIVDKFLLSSYSSAIAEAGKCLSHSGFDACFSQGLYADLRLSQYKDWHSSFNRWWKGELSNFEYLLILNKLAGRRWGDHAFYTVMPWVVDFCVEPDENNDAGWRDLCKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYCDPQIFHSIHPGMSDLAVPSWAGTPEHFIKLHRNALESNRVSSQIHNWIDITFGYKMSGQAAVAAKNVMLPASVHMIPRSMGRRQLFTRPHPPRLVGTIAICDRTNGSTMCEKPVSDVAGEASFLQELEEAALFSEHSRVLSPVYYPHLNDAIELDCSVIKHSTKDTEHPKSSADGDSSNFIMPSVTDLNYLLKNIEVGDDTSVGYQELLLWRQKSSFLSTASQNASNDIFAVGCILAELHLRRPLFDPTSLGVYLESGFLPRMIQELPPETQVFVEACVQKDWRRRPSAKCLLESPYFPSTIRASYIFLAPLQLLATDKSRLCYAATFAKKGAFRAMGPFASEMCAPNCLKCLLNPLTDAEAEWGCIILTEFLRCLNPIAIKKLLVPAIQKILQAVGPSHLKISILQGSFVQEIWDRIGKQAYLETIHPLVISNLFLSSHKTSAAAASVLLIGSSEELGIPIMVHQTIMPLIYCFGKGLCDDGTDVVVRIGCLIGANFIVKQILPLLRSVIKCCIDNSNANKPEPIQSWSASALTDCLTTLDGLIPSLPREMIVRELIEDGKCLHLQVLMQDNLGIQVLQVTSRSLIAICQQIGPDLTALHVLPTLKELFDDLAFSQEKTNRSEIQGGKPRVPRIQAGEDTDKGSYTDIVLFLYPSFASLLGIEKLRQCCTTWLLLEQFLLRNYNWKWESISESSRCRAENTYARRPTVGESFVSGYSPAKMLLKGAGWSTPQSQGHRGTKNVVPNKSLSNHHPNSGERQAGGSDFGTLEPWYWFPGPMASSERPDLIGRHGGPKDEFPWKIRASILHSVRAHHGVLRSIAVCQDECSIFTAGVGAGFKGTVQKWELSRVDSVSGYYGHEEVVNDICILTSSGRVASCDGTVHVWNGQTGKLVSVFSEFSNSMDIRRPPSNASKSNADQANSLHFNSLSSGILNTAFDSSLYTSMHYLEFLNMLAVGTGNGSLRFIDVRQGQKLHLWRSEAVESNFPSLTSSICSCGSTEVQVDGATTFPSWIAVGQSSGHCRLFDLRSGNIIASWQAHDGFVTKLAAPEAHLLISSSLDRTLRIWDLRR >itb04g22780.t4 pep chromosome:ASM357664v1:4:28060476:28065288:1 gene:itb04g22780 transcript:itb04g22780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MPWVVDFCVEPDENNDAGWRDLCKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYCDPQIFHSIHPGMSDLAVPSWAGTPEHFIKLHRNALESNRVSSQIHNWIDITFGYKMSGQAAVAAKNVMLPASVHMIPRSMGRRQLFTRPHPPRLVGTIAICDRTNGSTMCEKPVSDVAGEASFLQELEEAALFSEHSRVLSPVYYPHLNDAIELDCSVIKHSTKDTEHPKSSADGDSSNFIMPSVTDLNYLLKNIEVGDDTSVGYQELLLWRQKSSFLSTASQNASNDIFAVGCILAELHLRRPLFDPTSLGVYLESGFLPRMIQELPPETQVFVEACVQKDWRRRPSAKCLLESPYFPSTIRASYIFLAPLQLLATDKSRLCYAATFAKKGAFRAMGPFASEMCAPNCLKCLLNPLTDAEAEWGCIILTEFLRCLNPIAIKKLLVPAIQKILQAVGPSHLKISILQGSFVQEIWDRIGKQAYLETIHPLVISNLFLSSHKTSAAAASVLLIGSSEELGIPIMVHQTIMPLIYCFGKGLCDDGTDVVVRIGCLIGANFIVKQILPLLRSVIKCCIDNSNANKPEPIQSWSASALTDCLTTLDGLIPSLPREMIVRELIEDGKCLHLQVLMQDNLGIQVLQVTSRSLIAICQQIGPDLTALHVLPTLKELFDDLAFSQEKTNRSEIQGGKPRVPRIQAGEDTDKGSYTDIVLFLYPSFASLLGIEKLRQCCTTWLLLEQFLLRNYNWKWESISESSRCRAENTYARRPTVGESFVSGYSPAKMLLKGAGWSTPQSQGHRGTKNVVPNKSLSNHHPNSGERQAGGSDFGTLEPWYWFPGPMASSERPDLIGRHGGPKDEFPWKIRASILHSVRAHHGVLRSIAVCQDECSIFTAGVGAGFKGTVQKWELSRVDSVSGYYGHEEVVNDICILTSSGRVASCDGTVHVWNGQTGKLVSVFSEFSNSMDIRRPPSNASKSNADQANSLHFNSLSSGILNTAFDSSLYTSMHYLEFLNMLAVGTGNGSLRLVIFFSS >itb04g22780.t1 pep chromosome:ASM357664v1:4:28059083:28066879:1 gene:itb04g22780 transcript:itb04g22780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MLRTSSQICLVLPKTAHTLENVIHYSPGALKSDWHMRFLIYQLLSALAYMHGLGLSHGNLSPSCIALFDLSWCWLPIVDKFLLSSYSSAIAEAGKCLSHSGFDACFSQGLYADLRLSQYKDWHSSFNRWWKGELSNFEYLLILNKLAGRRWGDHAFYTVMPWVVDFCVEPDENNDAGWRDLCKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYCDPQIFHSIHPGMSDLAVPSWAGTPEHFIKLHRNALESNRVSSQIHNWIDITFGYKMSGQAAVAAKNVMLPASVHMIPRSMGRRQLFTRPHPPRLVGTIAICDRTNGSTMCEKPVSDVAGEASFLQELEEAALFSEHSRVLSPVYYPHLNDAIELDCSVIKHSTKDTEHPKSSADGDSSNFIMPSVTDLNYLLKNIEVGDDTSVGYQELLLWRQKSSFLSTASQNASNDIFAVGCILAELHLRRPLFDPTSLGVYLESGFLPRMIQELPPETQVFVEACVQKDWRRRPSAKCLLESPYFPSTIRASYIFLAPLQLLATDKSRLCYAATFAKKGAFRAMGPFASEMCAPNCLKCLLNPLTDAEAEWGCIILTEFLRCLNPIAIKKLLVPAIQKILQAVGPSHLKISILQGSFVQEIWDRIGKQAYLETIHPLVISNLFLSSHKTSAAAASVLLIGSSEELGIPIMVHQTIMPLIYCFGKGLCDDGTDVVVRIGCLIGANFIVKQILPLLRSVIKCCIDNSNANKPEPIQSWSASALTDCLTTLDGLIPSLPREMIVRELIEDGKCLHLQVLMQDNLGIQVLQVTSRSLIAICQQIGPDLTALHVLPTLKELFDDLAFSQEKTNRSEIQGGKPRVPRIQAGEDTDKGSYTDIVLFLYPSFASLLGIEKLRQCCTTWLLLEQFLLRNYNWKWESISESSRCRAENTYARRPTVGESFVSGYSPAKMLLKGAGWSTPQSQGHRGTKNVVPNKSLSNHHPNSGERQAGGSDFGTLEPWYWFPGPMASSERPDLIGRHGGPKDEFPWKIRASILHSVRAHHGVLRSIAVCQDECSIFTAGVGAGFKGTVQKWELSRVDSVSGYYGHEEVVNDICILTSSGRVASCDGTVHVWNGQTGKLVSVFSEFSNSMDIRRPPSNASKSNADQANSLHFNSLSSGILNTAFDSSLYTSMHYLEFLNMLAVGTGNGSLRFIDVRQGQKLHLWRSEAVESNFPSLTSSICSCGSTEVQVDGATTFPSWIAVGQSSGHCRLFDLRSGNIIASWQAHDGFVTKLAAPEAHLLISSSLDRTLRIWDLRR >itb04g22780.t2 pep chromosome:ASM357664v1:4:28059083:28067035:1 gene:itb04g22780 transcript:itb04g22780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MLRTSSQICLVLPKTAHTLENVIHYSPGALKSDWHMRFLIYQLLSALAYMHGLGLSHGNLSPSCIALFDLSWCWLPIVDKFLLSSYSSAIAEAGKCLSHSGFDACFSQGLYADLRLSQYKDWHSSFNRWWKGELSNFEYLLILNKLAGRRWGDHAFYTVMPWVVDFCVEPDENNDAGWRDLCKSKWRLAKGDEQLDFTYSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYCDPQIFHSIHPGMSDLAVPSWAGTPEHFIKLHRNALESNRVSSQIHNWIDITFGYKMSGQAAVAAKNVMLPASVHMIPRSMGRRQLFTRPHPPRLVGTIAICDRTNGSTMCEKPVSDVAGEASFLQELEEAALFSEHSRVLSPVYYPHLNDAIELDCSVIKHSTKDTEHPKSSADGDSSNFIMPSVTDLNYLLKNIEVGDDTSVGYQELLLWRQKSSFLSTASQNASNDIFAVGCILAELHLRRPLFDPTSLGVYLESGFLPRMIQELPPETQVFVEACVQKDWRRRPSAKCLLESPYFPSTIRASYIFLAPLQLLATDKSRLCYAATFAKKGAFRAMGPFASEMCAPNCLKCLLNPLTDAEAEWGCIILTEFLRCLNPIAIKKLLVPAIQKILQAVGPSHLKISILQGSFVQEIWDRIGKQAYLETIHPLVISNLFLSSHKTSAAAASVLLIGSSEELGIPIMVHQTIMPLIYCFGKGLCDDGTDVVVRIGCLIGANFIVKQILPLLRSVIKCCIDNSNANKPEPIQSWSASALTDCLTTLDGLIPSLPREMIVRELIEDGKCLHLQVLMQDNLGIQVLQVTSRSLIAICQQIGPDLTALHVLPTLKELFDDLAFSQEKTNRSEIQGGKPRVPRIQAGEDTDKGSYTDIVLFLYPSFASLLGIEKLRQCCTTWLLLEQFLLRNYNWKWESISESSRCRAENTYARRPTVGESFVSGYSPAKMLLKGAGWSTPQSQGHRGTKNVVPNKSLSNHHPNSGERQAGGSDFGTLEPWYWFPGPMASSERPDLIGRHGGPKDEFPWKIRASILHSVRAHHGVLRSIAVCQDECSIFTAGVGAGFKGTVQKWELSRVDSVSGYYGHEEVVNDICILTSSGRVASCDGTVHVWNGQTGKLVSVFSEFSNSMDIRRPPSNASKSNADQANSLHFNSLSSGILNTAFDSSLYTSMHYLEFLNMLAVGTGNGSLRFIDVRQGQKLHLWRSEAVESNFPSLTSSICSCGSTEVQVDGATTFPSWIAVGQSSGHCRLFDLRSGNIIASWQAHDGFVTKLAAPEAHLLISSSLDRTLRIWDLRRNWTSKPLVVRGHSDGVSGFSVWGQDVISISRNKIGLSCLARSADEEGQHVVPQYLYMGDGESRNMSVLSSISILPFSRLFVVGTEDGHLKICC >itb05g02830.t1 pep chromosome:ASM357664v1:5:2313138:2313707:1 gene:itb05g02830 transcript:itb05g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGSGRTDAGMTVSPAAYYARPITAYYARPITAYYARPITAYYARPITAYYARPITAYYARLITAYYARPITVLTASGYYSLRGMAENRPIPAANVDEAGPSNMWVKVEHMGDLVKFKLALPLLKELKAAVKSRFRHLKTRGTVLKFMYKDEEGNMIVIACDEDLKFCFEYFNALNRTVRLSLVAIYR >itb04g32490.t1 pep chromosome:ASM357664v1:4:35021103:35022948:-1 gene:itb04g32490 transcript:itb04g32490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQSDVFHFVMKSGNGVKGLLAKGVETVPRECVQPLEERIDRAEQVSDEDSIPLIDFSDPETAEHKIQEAAKTWGFFQVINHGIPLQVLDNVIEAAHVFFELPAEEKMKYLRENSSSSAVELFHSAIGGDDDNSKVLEWRDSVHHECIPGDDGKFWPPETRDQVLEFSKWAKPLARRLVEMLVRGLSVKTIDQTLEPVLMGKIAVVMNYYPPCPPNPNLTIGCRRHCDISTISMLLQDDTGGLYVRGRDSDKWVHVAPVRGALAVNIGNSLQFMSNGRYKSVEHCAAVDSCKTRVSVPMFVNPSFDSVVGPLPETLDAGEKASYKVFRFSDYWDYFMTIRPSGRASIEYARI >itb09g25670.t1 pep chromosome:ASM357664v1:9:25769126:25770035:-1 gene:itb09g25670 transcript:itb09g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENSLMELVCATHNPNDGINFDANVVLSAIEKILNFEKATVKEDTKEMLEDFDLNYRELSLKIQHLCFELTSKSLSIIDGHLTTICLLSILSVYSWEAKMVLMLAAFSICNGKLNIFSRLHYTKGLAKQLAIVMQITNSTSNDPNPIDDLTKCIIEINQSSSYSLSQSIISALPMASYWIGRSIACIVAHCACFPLTNIK >itb01g24910.t1 pep chromosome:ASM357664v1:1:30445620:30447751:1 gene:itb01g24910 transcript:itb01g24910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSSESERLLASHEVEKTGNDLKGRVYDESKKIWVVALPSILARVSSFGSLVVTQSFVGHISEADFAGYALIQILARSWVVELIILTLLQPFFIFATPIFKLLGQEDSIATSAGYISLWFIPVNYSFIFSWTIQMYLQAQQKNMIIAWLSIAQFIIHIPLSWLLVYKLEFGVPGAMVGILISSWFIVIGEFVYILGGWCPNTWKGFTMAAFKDIFPVVKLSISSGLMLCLEIWYNAILVLLAGYMQNAEVAISAFSICLNVNAWEFMISLGFLGAACVRVANELGRGDAKATKFSIKVTLTTSVLIGLFFWALCLAFGNQIGYLFTNEKEVADAVADLSVLNAFSILLNSIYPVLSGVAVGAGLQSAVAVINLVCFYVIGIPIGALLGYVANLQVKGIWVGMICGVVTETLALCYMIWRTEWDSEVTKAQKRLQRFYLTSEHSNQLLS >itb02g22150.t1 pep chromosome:ASM357664v1:2:21325525:21326839:1 gene:itb02g22150 transcript:itb02g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACFALMIEYTQELEAAGFSGVSKEEMRCSTTAELRRRRGEKRPLSSEEEDDHPTTKPLFYSSPQSQHDMSAMVSALSQVIGCCNNTTTYAPAPALYHQSPQPNLHHQQQPPAGGVNQRKRHYRGVRQRPWGKFAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGNKAKLNFPERVQGRTQFGYLTTRQDLPIVSSSNNHLYSSASHTTTYPNVDHYAHLLGHGRRDLLPNFEVLSTTHHIFQPPPPTTSNHNIIINARTPAACFQQSPNNYNYLFPFNFGTTTCSSSTSAPNNNEDDDLDFKNTTK >itb12g05240.t1 pep chromosome:ASM357664v1:12:3483210:3484762:1 gene:itb12g05240 transcript:itb12g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGEAGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPHDGKILAMDINRENYELGLPVIQKAGVAHKIEFKEGPALPVLDQMIQDGKYQGSYDFIFVDADKDNYLNYHKRLIDLVKVGGLIGYDNTLWNGSVVAPADAPLRKYVRYYRDFVLELNKELAADPRIEICQLPVGDGITLCRRVY >itb11g14970.t1 pep chromosome:ASM357664v1:11:12303881:12304651:-1 gene:itb11g14970 transcript:itb11g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCKLLLLLTILFFSLSLSSLATPHASASVEQIGNPKAVLNFVDKANREDVSSQRGLSRRRMDAKEEDYSDPGANPRHDPKPPS >itb09g16500.t1 pep chromosome:ASM357664v1:9:11685643:11688911:-1 gene:itb09g16500 transcript:itb09g16500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILLVSLALAVLFTVGQCSGRGNCKVEEKGSTLQVLHVYSSCSPFRPSGSSPSLSWEETVLQMQTKDEARLEYLSSLVAGKSAVPIASGRQMMQSPTYIVRAKIGTPPQTLLLAMDTSSDAAWIPCTGCAGCPASTHFSPPKSTTFKNVSCGAPQCHLMPKSTCEGGACSFNVSYGVSTIAGNLSQDTVTLATDAVPGYTFGCVRRATGSSMPPQGLLGLGRGPLSLLSQTQSLYKSTFSYCLPSFKSPNFAGSLRLGPTGQPLRIKYTHLLKNPRRSSLYYVNLVGIKVGRRVVDIPPGAFAFNPVTGAGTVIDSGTVFTRLVEPAYAAVRNEFRRRMGKNTTVTSLGGFDTCYTVPVTVPTITFMFQGMNVTLPQDNFLIHSTAGSTTCLAMAAAPDNVNSVLNVIANMQQQNHRILFDVPNSRLGVARELCS >itb06g08690.t1 pep chromosome:ASM357664v1:6:12717712:12718781:1 gene:itb06g08690 transcript:itb06g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSTKKNWSTLIEFFVPWFFLAGKQVFPVNYEDEVSQREASHSNDLAATLECLQDSFADVNFVGDVCLKVRKAEVVLREESPNEIGYEEFKTDVTALFLAVHNGNVALVRKLLSIGADVNQKLFRGYSTSIAVRENHLEILEMLLKAGASQQVCEGALLEASCYGHARMDILVYYLQGGQVVCTVYEMVVVWVQNQLKQKVVKEKVFYSKGVNDPDYVLEVGDGLFEVLSTSAAKTNDLAEQESTKLKGNLSEVISKNVDQLKYLHSQVAEQRVELANNASKTSFLVNQLEVDLRMYFAYQELSSAFEKKTVQLLVGISLCLSLSLSC >itb02g10670.t1 pep chromosome:ASM357664v1:2:6917468:6923919:-1 gene:itb02g10670 transcript:itb02g10670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSESGWQRSDSSRGLNSLPFSDRNLRLLHGSSIRSSSNASLDSGIIPARKGRERVLLPQTNRLSAHTGFSEDGLAPGRVSSGLDGREVSLRKWLDNPERTVDALECLHIFTQIVEIVNMAHSQGIVVHNVRPSCFVMSSFNRVAFIESASCSNSSSDSLEDGLNSQTAQCKGSTSPLPRESVQEGRQSLTQRLQPGRNTTNPSPIVSEAICQQQQSGHAMPCSDGNKNQQTEEVEEEKKHPFPMKQILLLETNWYTSPEEVAGAPSSCASDIYRLGVLLFELFCTFSSQEEKSTTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPNLGELLQSEFLNNPRENFEDREAAIELREKIDEQELLLEFLLLTQQRKEEAADNLKETISFLSSDIEEVTNMQTALRKKGGSNMEVGKDPASNRTNKTDDDDSGNSGSRKRSRPGICTCNLEESGDHPDKYQISKTGVENQGSFLSKSSRLMKNFKKLESAYYLMRRRAVKPTDKPSNRHSQISSDGRGSIVATERSSVSNLSSKPNSDKETPSGWINSFLEGLCKYLSFSKLEVKADLKQGDLLNSSNLVCSLSFDRDGEFFASAGVNKKIKIFEYNSILNEDHDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVSRSQLFMEMREHERRVWSVDFSVADPTMLASGSDDGSVKLWNINQGVSVGTITTKANVCCVQFPFDSGHSLAFGSADHRIYYYDLRNAKMPLYTLIGHNKTVSYVKFIDSTTLVSASTDNTIKLWDLSMCSSRILDCPLQSYTGHMNVKNFVGLSVSEGFIATGSETNEVFIYHKAFPMPAFVSNKFTSTDPLSGDVVEDAAQFISSVCWHGKSSTLVAANSMGNIKLLEMV >itb12g07660.t1 pep chromosome:ASM357664v1:12:5891201:5891893:1 gene:itb12g07660 transcript:itb12g07660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLFLVESFKRVLLYGLSPVSQALGGDTAHHLNLKRVCFYFCIPAIEEEEEEEGCLEASEICVDWLKKRISRPDFFLRLGPRDYRDIR >itb08g07770.t1 pep chromosome:ASM357664v1:8:6668132:6670740:-1 gene:itb08g07770 transcript:itb08g07770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATVVGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGIGAVFANQMVKWEVKAQEDLDKLLLKAKEANERRYFDDED >itb08g07770.t2 pep chromosome:ASM357664v1:8:6669297:6670583:-1 gene:itb08g07770 transcript:itb08g07770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATVVGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGIGAVFANQMVKWEVKAQEDLDKLLLKAKEANEPLL >itb01g07090.t1 pep chromosome:ASM357664v1:1:5291092:5294585:1 gene:itb01g07090 transcript:itb01g07090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQVLFHRFYCKKSFARFKVKMVAASCVWLASKLEECPRKARQVLIVFHRMECRRENLPIEHLDTSSKEYVDLKADLIRTERHLLKEMGFICHVELPHKFISNYIAILGMPDELIQEAWNLANDSLRTTLCLRFKSEVVACGVVYAAARRFHIPLPENPPWWKAFDADKAGIDEVCRVLAQLYNLPNK >itb01g07090.t3 pep chromosome:ASM357664v1:1:5291093:5294532:1 gene:itb01g07090 transcript:itb01g07090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRLPFKSLDVILFKKMLFSLWINTWLMYLLNVVICRPQAVMATGQVLFHRFYCKKSFARFKVKMVAASCVWLASKLEECPRKARQVLIVFHRMECRRENLPIEHLDTSSKEYVDLKADLIRTERHLLKEMGFICHVELPHKFISNYIAILGMPDELIQEAWNLANDSLRTTLCLRFKSEVVACGVVYAAARRFHIPLPENPPWWKAFDADKAGIDEVCRVLAQLYNLPNK >itb01g07090.t2 pep chromosome:ASM357664v1:1:5291093:5294532:1 gene:itb01g07090 transcript:itb01g07090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLTDEQLKNSPSRRDGIDEATETTLQIFGCDLIQESEILLKLPQAVMATGQVLFHRFYCKKSFARFKVKMVAASCVWLASKLEECPRKARQVLIVFHRMECRRENLPIEHLDTSSKEYVDLKADLIRTERHLLKEMGFICHVELPHKFISNYIAILGMPDELIQEAWNLANDSLRTTLCLRFKSEVVACGVVYAAARRFHIPLPENPPWWKAFDADKAGIDEVCRVLAQLYNLPNK >itb01g11610.t1 pep chromosome:ASM357664v1:1:10652073:10653318:1 gene:itb01g11610 transcript:itb01g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLWRSLFPDRAVTTGRKGSAAVPFSLFPVSRRGSMVAGLAHRVAVRRWLATSLLLSDSCLPQQRRFLYWMIHFYLQDDSKLYIFLDVVHRDIKCANICVDAAGRVKLADFGLAKATKLNDVKFCEGTAF >itb05g16000.t1 pep chromosome:ASM357664v1:5:23318395:23318850:1 gene:itb05g16000 transcript:itb05g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKRPRDLKDIIKEHALPFLPAKSLFRFLAVCRAWKLHISTPSFHHNQSLCCRSISGLFCQTSENAPVFIPINPESCGVPDPSLSFLPERVVIKASSNGVLCCQGRNGDRYYYLCNPVTKQWKKLPKPTACHGYDPKVVLIFEPSLLDF >itb11g09820.t4 pep chromosome:ASM357664v1:11:6662739:6664607:-1 gene:itb11g09820 transcript:itb11g09820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVRWLKGLLGIKKDKEFPDKKVNKRWSFGRLSGDSREAGCQVPGDMTPVVVGSPWRVRSYLSDSEKEQNKHAIAVAAATAAAADAAMAAAQAAAAVVKLTSQGKGGGVAYGRREKWAAVKIQTFFRGYLARKALRALKGIVKLQALVRGYLVRKRAAATLYSMQALIRAQAAVRSQRVRPSLSNDPRFAADIRARKSIERFEPESPRTVEIDTYNLKSRSGWRISAGMSDSGDDPMNSPLLISTPPPCRIPGRLSIPERRHLCDYYDWSYLGDDGKFPTAHNTPRARGSGRFNAPPTPAKSVSGDSLFRQHSNCPSYMANTQSFNAKLRSQSAPKLRPETGEKKKKRVSLTEIMAARSSFSGVGMLKSRFQVDREYGF >itb11g09820.t2 pep chromosome:ASM357664v1:11:6662739:6665779:-1 gene:itb11g09820 transcript:itb11g09820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVRWLKGLLGIKKDKEFPDKKVNKRWSFGRLSGDSREAGCQVPGDMTPVVVGSPWRVRSYLSDSEKEQNKHAIAVAAATAAAADAAMAAAQAAAAVVKLTSQGKGGGVAYGRREKWAAVKIQTFFRGYLARKALRALKGIVKLQALVRGYLVRKRAAATLYSMQALIRAQAAVRSQRVRPSLSNDPRFAADIRARKSIERFEPESPRTVEIDTYNLKSRSGWRISAGMSDSGDDPMNSPLLISTPPPCRIPGRLSIPERRHLCDYYDWSYLGDDGKFPTAHNTPRARGSGRFNAPPTPAKSVSGDSLFRQHSNCPSYMANTQSFNAKLRSQSAPKLRPETGEKKKKRVSLTEIMAARSSFSGVGMLKSRFQVDREYGF >itb11g09820.t1 pep chromosome:ASM357664v1:11:6662739:6665793:-1 gene:itb11g09820 transcript:itb11g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVRWLKGLLGIKKDKEFPDKKVNKRWSFGRLSGDSREAGCQVPGDMTPVVVGSPWRVRSYLSDSEKEQNKHAIAVAAATAAAADAAMAAAQAAAAVVKLTSQGKGGGVAYGRREKWAAVKIQTFFRGYLARKALRALKGIVKLQALVRGYLVRKRAAATLYSMQALIRAQAAVRSQRVRPSLSNDPRFAADIRARKSIERFEPESPRTVEIDTYNLKSRSGWRISAGMSDSGDDPMNSPLLISTPPPCRIPGRLSIPERRHLCDYYDWSYLGDDGKFPTAHNTPRARGSGRFNAPPTPAKSVSGDSLFRQHSNCPSYMANTQSFNAKLRSQSAPKLRPETGEKKKKRVSLTEIMAARSSFSGVGMLKSRFQVDREYGF >itb11g09820.t3 pep chromosome:ASM357664v1:11:6662739:6665793:-1 gene:itb11g09820 transcript:itb11g09820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVRWLKGLLGIKKDKEFPDKKVNKRWSFGRLSGDSREAGCQVPGDMTPVVVGSPWRVRSYLSDSEKEQNKHAIAVAAATAAAADAAMAAAQAAAAVVKLTSQGKGGGVAYGRREKWAAVKIQTFFRGYLARKALRALKGIVKLQALVRGYLVRKRAAATLYSMQALIRAQAAVRSQRVRPSLSNDPRFAADIRARKSIERFEPESPRTVEIDTYNLKSRSGWRISAGMSDSGDDPMNSPLLISTPPPCRIPGRLSIPERRHLCDYYDWSYLGDDGKFPTAHNTPRARGSGRFNAPPTPAKSVSGDSLFRQHSNCPSYMANTQSFNAKLRSQSAPKLRPETGEKKKKRVSLTEIMAARSSFSGVGMLKSRFQVDREYGF >itb01g06560.t1 pep chromosome:ASM357664v1:1:4763848:4765244:-1 gene:itb01g06560 transcript:itb01g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGNHGWKVLSGSDHWKDLLEPLDSDLRRYLIHYGTMIEPVGDAFINEPASKNVGLPRYARRNLFQNTGLVKGNPFKYEVTKYFYAPSQLSLDALGYTLSESNWIGYVAVATDEGKAALGRRDILVVWRGTIRPFEWITNIIFLFIREEVARLVELYKDEEISITVTGHSLGSSMATLNATDLAFNPINNNKDIPITAFLYASPKVGDENFKNAISNQQNLRVLRISDVNDPVPLVPPYGWGVGETEESKIDYVDVGVGLAIESKKSNYLKPEGLNYHDLMLYLHGIDLYQTPEGAFERKGDFDLAKVNKYQDALKDENRIPIAWFNIKDKGMVQQDDGNYILDDHEPDE >itb13g19680.t1 pep chromosome:ASM357664v1:13:26631126:26641764:1 gene:itb13g19680 transcript:itb13g19680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGESLNPNPSKIHPASDPSTDSSYSLEKFRLYETQARFYLIGSDRNKQFFRVLKIDRMEPSELNISEDPVVYPVQEVKSLLQRIDEGNRATGGLTFVAKVYGIVGCIKFLESYYLVLVTKRRQIGSLCGHAIYSIDESQIITIPHVSVQTDLAHSKTELRYKKLLSSVDLTKDFFYSHTYPIMRSLQKNVLSMSEEGMPYDNIFVWNAYLTETIRSRCKNTMWTIALVHGHFRQVRLSIFGREFSVSLISRRSRHFAGTRYLKRGVNDHGRVANDVETEQIVLDEQAGSCKGRMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATKMHFEDLANRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILSEERQLKFIHWDFHKFAKSKSANVLAVLGGVASEALDLTGFYCSGKPFFVRKRATKISRTNTGRDSSVRDLRANSGDLSRIGSGNDILNSLSKQDKEMDSSNQQVGKDNSTNVAPQFQSGVLRTNCIDCLDRTNVAQYAYGLEALGRQLHAMGLTDKPKVDADSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGMADDVVTEKCSSVEGKPAGQGTTLAPIPACREDFSRMKFTSFDKLMERTCSFIRNVRLCSETEQKSGNSGVAPDAAEIQLKSPNWLFGQRKYEDGNTATKLGSNEVPNGGSQDERRIDGLCDLSWLTTITDTSEEDTYQRYLDMTTVNKANGWYGGTLLGDQDETSEIYRNYAELIQGPAMVPFGNDSEKEKYYANLLRKGSIGAIDDTAIVSEMEAELSGYDKIGNDLGIFPESCKALAGDPSQLTRWLVGEDRLPRA >itb02g02320.t2 pep chromosome:ASM357664v1:2:1313992:1320428:1 gene:itb02g02320 transcript:itb02g02320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMSSWLMSACMRVGCGKENEKVLFECSSICSRPESGLGSVACRSSSCGSIMRRSIGLSSSDSGFVDNAFSSLSGCRRRSKSSGVTHSAEVMAAVVQPAMEVATKKKPSTKERRVVVTGMGVATPVGHEPNVYYENLLEGVSGISDIETFDCSQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADAGINNDLMDEMDKKKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILSAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNKDPTKASRPWDSGRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPEGTGVILCIEKALAQSGVSREDVNYINAHATSTPAGDLKEYQALVHCFGQNPELRVNSTKSMIGHLLGAAGGVEAVATVQAIRTGWVHPNINLENPSDGVDLKFLVGPKKEKLDIKVALSNSFGFGGHNSSILFAPYK >itb02g02320.t3 pep chromosome:ASM357664v1:2:1313997:1320412:1 gene:itb02g02320 transcript:itb02g02320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMSSWLMSACMRVGCGKENEKVLFECSSICSRPESGLGSVACRSSSCGSIMRRSIGLSSSDSGFVDNAFSSLSGCRRRSKSSGVTHSAEVMAAVVQPAMEVATKKKPSTKERRVVVTGMGVATPVGHEPNVYYENLLEGVSGISDIETFDCSQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADAGINNDLMDEMDKKKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILSAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNKDPTKASRPWDSGRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPEGTGVILCIEKALAQSGVSREDVNYINAHATSTPAGDLKEYQALVHCFGQNPELRVNSTKSMIGHLLGAAGGVEAVATVQAIRTGWVHPNINLENPSDGVVRTFCSL >itb02g02320.t1 pep chromosome:ASM357664v1:2:1313992:1320428:1 gene:itb02g02320 transcript:itb02g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMSSWLMSACMRVGCGKENEKVLFECSSICSRPESGLGSVACRSSSCGSIMRRSIGLSSSDSGFVDNAFSSLSGCRRRSKSSGVTHSEVMAAVVQPAMEVATKKKPSTKERRVVVTGMGVATPVGHEPNVYYENLLEGVSGISDIETFDCSQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADAGINNDLMDEMDKKKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILSAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNKDPTKASRPWDSGRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPEGTGVILCIEKALAQSGVSREDVNYINAHATSTPAGDLKEYQALVHCFGQNPELRVNSTKSMIGHLLGAAGGVEAVATVQAIRTGWVHPNINLENPSDGVDLKFLVGPKKEKLDIKVALSNSFGFGGHNSSILFAPYK >itb15g05650.t1 pep chromosome:ASM357664v1:15:3638883:3652237:-1 gene:itb15g05650 transcript:itb15g05650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLNPQPLDQEEEEMLVPHSDLVEGPQPLVEGPQPMEVTPAENANAAENQAVDEPQASRFTWTIENFSRLNLKKLYSEVFVVGGYKWRVLIFPKGNNVECLSMYLDVADSATLPYGWSRYAQFSLAVVNQINSKYTVKKETQHQFNQRESDWGFTSFMLLNELYDPSKGYIVNDTVVIEADVAVRKVIDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICDVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGKEIYFDLIDHEKVHSFRIQKQMPFNQFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQTVGQLREVSNKSNTAELKLFLEVEYGLDLRPIPPPDKSKEDILLFFKLYDPEKEEFRYVGRLFVKSTGNPIGILMKLNEMAGFAPDQEIELFEEIKFEPTVMCERLEKTVSFRFSQIEDGDIICFQKRPTGEIEEHIRYSDVPAFLEYVKNRQIVHFRALERPKEDDFCLELAKNHTYDDVVDRVAQHLGLDDPSKIRLTPHNCYSQQPKPNPIKYRSVDHLVDMLIHYNQISDILYYEVLDIPLPELQCLKTLKVAFHQATKDEVVIINVRLPKQSTVGDVLNEIKTKVELSHPNAELRLLEVFYHKIYKIFPNSEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKETSQNQLQVQNFGEPFFLVIHEGETLAEIKVRIQKKLQVPEEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNTPKRAYAASQNRHTFEKPVKIYN >itb14g00960.t1 pep chromosome:ASM357664v1:14:730100:738936:1 gene:itb14g00960 transcript:itb14g00960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDSSLPDLIGKVKSWRGISDPTYLSGGLGMDSNRTCCECKMKFTESCLKYHCQICNRAFCGDCLQGNQSLDVVASGGMESTKEADVGILSCKFCSDLSTWHRSARKYSDVIHPSDSPRQSPEPPSPNYSSDRFDGYSPNAAKSSFASFSSNPSSVFVHHSPSRSDDDEGEDSTNEFLCPSSDCCHDTSSDIDSSSISARHELHSFKSVGSSPNDSPSRIHFTSNRVGHSVQQEQMETHRSLNYSSFDQETLNVLRRVDKGTEDIETTNDFGNNLSLYQSQFENNGLIWFPPPPEDEYDETENNFFTYDDEDDEVGESAAAFSSSGSLANTFLLKEKQNGGHKEAIRDVVQGHFRALVSQLLEAEGVMPGKEGSAEEWLDIVTTIAWQAASYVKPDTSRGGSMDPVNYVKVKCVASGRPCDSTFIRGIVCTKNIKHKRMTSHYKNARLLLLGGALEYQRVPNQLASFNTLLQQERDHLKTIVSKIEAHHPNVLLVEKSVSSYAQEQLLAKEISLVLNVKRPLLERIARCTGASIIPSIDNITAARLGHCELFYLERVSEEHEATNQLNKKPSRTLMFFDGCTRRLGCTVLLKGCCREDLKKVKMVVQYAVFAAYHLSLETSFLTDEGASLPKMAIPHSIATQKIADRESVTLSLEVGVPESLSQHHRSCSEDGVSDALPTTTYNGNLASSVSVDDLTSGEFIESRCHERDRSQDTSCQEDGEPGEISEHPTAERVNGIEASTDYYSTGDSQQSILVSFSSRCVLNDTLCERSRLLRIQFYGFFDKPLGRYLQDDLFSRASCRSCKEPTEAHVICYIHQHGNLTINVRRIPSVKLPGEKDKKIWMWHRCLRCAQVEGVPPATRRVLMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRYYGSGSMVAIFRYSPINILSVRLPPSILEFSSKAEQAWTRREAAELLSKAKSFYGEIASAFNRIEEKSSSIGCELYDTSELQNYMLDLKDLLMKEQEDYNVLLQPTDEGISDKEWEAIDILEINRMRHSLIVASHVWDRRLYSMDSLLSKSSGSPTLEQATSTNLERWRSDVSLKNGIIEQVPEENTLELSKLEECHEKPPVSKDGPDRREDSMTSCDDEKCQESEILVENADKSPSEFASCSAAVLSDKIDSAWTGIDQSQKMQLRASLQDVPETVPSRQLSQIEHLSVRKFRSPARVHSFDSAMRLHERIGKGLPPSSLYLSTLRSFHASGDYRNMIRDPVSTVHRTYSQLLPGEAQKLYPLQSSSIAFISRASLLPEGARLMVPQNGQNDVVIAIYDNELTSIISYALSSREYKDWINDKPSVSSEGGLSFGDANRENSLVSKFSTWQPFGSLDLDYIHQVSYGSEDTSSTISSFFSDPKSSPHLRISFGDESPNAGGKVKFSVTCYFAKQFDTLRKKSCPNELDFIRSLSRCRRWSAQGGKSNVYFAKSFDERFIIKQIQKTELDSFEEFGPEYFKYLTDSLTSGSPTCLAKVLGIFQVTVKYLKGGKDTKMDLIVMENLFYGRSISRVYDLKGSVRSRYNSDTKANKVLLDLNLLETLQTKPIFLGSKAKKCLERAVWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVVSPKQYKKRFRKAMTTYFLTVPDQWSS >itb14g21170.t1 pep chromosome:ASM357664v1:14:23300998:23303395:-1 gene:itb14g21170 transcript:itb14g21170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKIPSHENEEAEEQTQLAVVAAIATPSPPIASISLSLSTILPTHFLNPPKISPHPNKIKIPSQISSLSRLSLAASLSPTKPLLKSTISATPLHSPLSLNPLRPSDPSNAAALRRASIVWFRNDLRVHDNECLNAANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRAAFVIESVTDLRKSLQARGSDLVVRVGKPETVLVELAKAVGAEAVYAHREISHDEIKSEDKMETVMKNEGIDVKFFWGSTLYHVDDLPFKLEEMPTTYGGFKEKVQNLEVRKTIEALDQLRGMPARGDVEPGEIPSLVDLGLNPSGQNGKPAANASLIGGESQALQRVKKFAAECQEQANQGNQTGGNDSIYGANFSCKISPWLAMGCLSPRSMFDELKKCTLRKFPVAANLKDGGSGGTGPNWLMYELMWRDFFRFITKKYSSARQTSTAPVTVCAGAAVN >itb02g01160.t1 pep chromosome:ASM357664v1:2:644122:648121:-1 gene:itb02g01160 transcript:itb02g01160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPMVPPNAAMGNPNLDGGVPAPPQPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNEQLRMRSIHPLDLSQLTKMTGIEFSLSEVMEPHLFVFRKQKRDSPEKVTPMLTYYVLDGSIYQAPQLCNVFAARLGRALFHISKAFTFAATKLEKIGYVDAENESGHTESKAPKETIDFKELKRVDHILASLQRKLPPAPPPPPFPDGYTRPSTAEASENQPAEAQPPPVDPIIDQGPSKRMRV >itb14g09510.t1 pep chromosome:ASM357664v1:14:9933698:9934662:1 gene:itb14g09510 transcript:itb14g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRSPMSSLVPDRLGKSAKLLEPSWTSDSMRDCFRSSLEVLDNQIRVVLEVAQHLGENMVRTIAMDDLGIGFFFSDECGGDGDNDFGRVVLSSALQFDDFIPNIETKKPCREISFPSYDKSLLESFPQDVLIRIILGLDHDDLSRLFHVSKTIREVAGKPHQFWEIKNFD >itb02g03210.t1 pep chromosome:ASM357664v1:2:1845016:1848345:1 gene:itb02g03210 transcript:itb02g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINSFKGYGKVDPAEEQAYRRKTRKRIIIVVVSAVLLVGLIVGVVAGTVAHRKNKSGGSGDDSPGSPASVEALCSVTEYKESCMKSMSPVAGGKANDPLKLFVLSLKIAAESLTKLASRPAGWVKGTKDKNLRQAFEVCREVFDDAVDRLNDSIASMEVNGVDGKLLSSATIADLKTWLSAAITDQETCLDALEEIKANATVVDNVKGAMKNSTEFASNSLAIVAHIISFLGNFHFPIHRKLLAANQDFHGFPEWVKPGDRRLLQAENPTPDVIVDKNGSPGSVKTIMEALGQIGMKSTSRFVIYVMAGEYKEKLELEKSKWNVMMYGDGKGKTIITGNTNFIDGTPTFKTATFAVEGIGFIAKDITFQNTAGAKKHQAVAMRSGSDQSVFYRCSFDAYQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCKIQPRQPLPNQYNTITAQGKTDPNQNAGISIQKCDISPLGEVTVPTYLGRPWKPYSTTVIMQSTIGSFLKPQGWIEWETNVDPPATIFYGEYMNTGAGAGVEQRVKWTGYKPSITSDQASKFTVQSFIRGDEWLSGKVTYELAL >itb03g18460.t1 pep chromosome:ASM357664v1:3:16738728:16741963:1 gene:itb03g18460 transcript:itb03g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPEGSQFDARQYDAKMQELLTVEGGQEFFTSYEEVHDSFDAMGLKENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYETVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVMVEELPANVADLL >itb03g18460.t3 pep chromosome:ASM357664v1:3:16738875:16741963:1 gene:itb03g18460 transcript:itb03g18460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYETVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVMVEELPANVADLL >itb03g18460.t2 pep chromosome:ASM357664v1:3:16739035:16741963:1 gene:itb03g18460 transcript:itb03g18460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPEGSQFDARQYDAKMQELLTVEGGQEFFTSYEEVHDSFDAMGLKENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYETVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVMVEELPANVADLL >itb11g02400.t1 pep chromosome:ASM357664v1:11:1210669:1213187:1 gene:itb11g02400 transcript:itb11g02400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MAELAEERRKLRRLSKESHPTTAASELEAAPYYCYTPHNINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVDRSVRDLSLPGVFPNIETAVSSARPGDTILIAGGGSHRVSNIQIKKPLCLIGAGEIPDDTILTCSRGSDSALEFLSTCKLANLTVRAELGCCLLHRSGRLTIDGCILQCESNPLDHLSYAIVSTASSPRDLPTTLKTNADSVSVLRTRIEGGAKAVLTSGTLTLQQVRVIYSRTSLFFWFNVEQDGSSTPQAPSGEVVVG >itb02g01570.t1 pep chromosome:ASM357664v1:2:880720:882968:1 gene:itb02g01570 transcript:itb02g01570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTLIRTSFSLMNRLVSQNSTRNTQQLVSQTLEITPKLFPSISSQSQAPLNLPESNADLIRNLSSEGFLYPCGLPSLRFFLPEVTPGETSSSEPLLCHTNRTYQPSNIRRKRNHGFRARKATKGGRRVIARRIAKGRARIAV >itb12g08750.t1 pep chromosome:ASM357664v1:12:6861385:6862562:-1 gene:itb12g08750 transcript:itb12g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSNQQEEDERLSNIPHPTSKAMMNTSNNTDENSNKRAVAEVKDFQIMVADKEEGGKRQHQLAPPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGSMPASALAAAGASISMPMAIGMGLHNQNLDELGAQVQIGAVDSNSRAAWPLIGGNLGRHHHMSTPGLWPPAAAFMSSPSSSSSTSTTNLGNETSNYQHNKGGFPGFDLPFTQINPMSFTSLLSATNPQLPGLELGLSQDAHIGALNNQALTHIYHQQQQMEQPRMQEQPRMQEQHQPSPKDDSQGSE >itb12g00270.t1 pep chromosome:ASM357664v1:12:276679:277207:-1 gene:itb12g00270 transcript:itb12g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MEFWRRKIATSALEKCYDNRTAGRSASGGALFVNNNKSKDFLNEDLVSSVVPPVTVVLEGRSICHRISLHKHASYGSLAKALRQMFVDGGNADDAGLDLSNAIPGHLIAYEDMENDLLLVGDLSWKDFVRVARRIRILPKKSNSRKGSIC >itb11g04130.t1 pep chromosome:ASM357664v1:11:2203080:2206966:-1 gene:itb11g04130 transcript:itb11g04130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMFSSSPFLFFFFLFFLSVTALNQEGLYLQRLKHSLSDPNGAFSSWSERDLSPCNWTGIACDGAGSVVSVSLSGANLAGPFPDFLCSLPSLSYLNLSNNFLNSSLPVSISKCRSLTLLELSENLLGGPIPPTIADLLYLRHLDLSNCYFSGEIPASFGRFRALEHLDLTENLLTGGIPAVLGNITSLKWIGLAFNPFAPSQLPSELGNLKTLEYLWLSGCHLVGPIPESIWKLSRLTNFDASNNQLTGSIPSTITQMKSVVQIELFNNSLTGELPAAEWSNMTALRRFDVSMNALTGTIPPELCELPLASLNLFNNRLEGLIPESIANSPNLREFKLYGNRLVGSIPSQLGANSALQLIDLSFNNLSGRIPERLCDKGGLNELILLNNSFSGRIPAILGRCRSLTRVRLCSNRLFGEIPAEIFTLPRVFLLDLKDNEFSGNISRTISAAKSLSNLQISRNKLSGSIPSEIGEVVNLVKFQASDNELEGEIPGTMVNLKQLATLDLSNNKLSGEIPKGIQSMKQLSDLNLANNGLSGEIPDEIGSLPVLNYLDLSRNFFSGKIPLSMENLKLNTFNLSYNQLSGEIPPFFEKGVYKDSFLGNPGLCDRANGLCSGKREGKHEGYFWLLRTIYVLAGIVFLVGIVCFIWKYKKFKKMNKGVALSKWTSFHKFGFSEFEILDCLDEAHVIGNGASGKVYKATLSNGETVAVKKLRERAKKDNEGYGDSEKDEFDIEVETLGRIRHKNIVKLWCCCDAGDCKLLVYEYMPNGSLGDLLHSCKGGSLDWSARFKIACDAAEGLSYLHHDCVPPIVHRDVKSNNILLNEHFGAKISDFGVAKIVQRTNKGCPESMSVIAGSYGYIAPEYAYTLHVNEKSDIYSFGVVMLELVTGKRPVDPEFGEKDLASWACLTVDKKGVDQVIDPRLDSSFKQHICKVLDIALLCISPNPVNRPSMRRVVKLLQESDGNFSPMTGKKDTKLSVENFPEMEQVMKV >itb09g01020.t2 pep chromosome:ASM357664v1:9:642946:645343:1 gene:itb09g01020 transcript:itb09g01020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSWVTVDEPGSSKVVYWSENSERKKVAKGNIRTYTYFNYTSGYIHHCTIRNLEYNTKYYYEVGIGNTTRTFWFMTPPEVGPDVPYTFGLIGDLGQSFDSNRTLTHYERNPIKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFVERSTAYQPWIWTAGNHEIDFAPEIGETKPFKPFTKRYHVPYKASGSTETFWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEEELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQHKVDLVFAGHVHAYERSERISNVAYNIVNGKCTPVRDQSAPIYITIGDGGNLEGLATNMTDPQPEYSAFREASFGHATLDIKNRTHAYYSWHRNQDGYAVEADSMWVSNRFWHPVDDSTTTKL >itb09g01020.t1 pep chromosome:ASM357664v1:9:642323:645343:1 gene:itb09g01020 transcript:itb09g01020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRTGCYLLAVVLAAVMNAAIAGITSSFIRKVEKTVDMPLDSDVFRVPPGYNAPQQVHITQGDHVGKAMIVSWVTVDEPGSSKVVYWSENSERKKVAKGNIRTYTYFNYTSGYIHHCTIRNLEYNTKYYYEVGIGNTTRTFWFMTPPEVGPDVPYTFGLIGDLGQSFDSNRTLTHYERNPIKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFVERSTAYQPWIWTAGNHEIDFAPEIGETKPFKPFTKRYHVPYKASGSTETFWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEEELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQHKVDLVFAGHVHAYERSERISNVAYNIVNGKCTPVRDQSAPIYITIGDGGNLEGLATNMTDPQPEYSAFREASFGHATLDIKNRTHAYYSWHRNQDGYAVEADSMWVSNRFWHPVDDSTTTKL >itb05g04000.t1 pep chromosome:ASM357664v1:5:3456359:3463134:1 gene:itb05g04000 transcript:itb05g04000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRENLVKFLKLVKPYLVVILLQFGYAGLGIITKFALNDGMSPFTYSVYRSFFAAAFLAPFAVAFERNKRPKMTVPIFLKIMTLALLEPTIDMNLHFIGLKYTTATFATTLSNVVPAITFILAWILRIFGAGMIVIGLYMVLWGKKQDEDPQESIHDQVAAIDKPPSTLVKFPTKQEPIDTPRAIAGDEAV >itb03g10390.t1 pep chromosome:ASM357664v1:3:8199745:8201265:-1 gene:itb03g10390 transcript:itb03g10390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLALFALCSLAAAATIATATVSDKPFLVKGKVYLDTCNCGFETTATKYLPKSHVRLECKKRDSDAVTYTANAVTNSQGEYSILVNGDRGDDLCDVVLVKSSDPLSGKPDSGRDRARVILSRNNGLVNDVRFANNLGFTTKQPLASCAQVVAQYHLNDDNF >itb09g04160.t2 pep chromosome:ASM357664v1:9:2313146:2317056:-1 gene:itb09g04160 transcript:itb09g04160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKSKGSRPRLDRRNAIKGIDYEASASMTTASRPSCSFNDQSTPRTRSLDFVPLSERTSFRIEGTDGEFDQICRSLGLSPEDFAIPTAAWEARRCSSASNSVRSSRLTRSGVLDADRLADSFATSAVIRNDDELEDCLDVAGVEHEARARIGEDIGTTDENLCKDGCRGIKGARPPRLAAPESVVDHLSKPWGLDRSFSHPNDEDSGSLEDSPTDSEDFQRTEDVGNAIENEERSDDNLIDGAGLVPESFSDSHSDCNEERSDNNLIDGAGLVPESFSDSHSDCNEDDDPDTLKAESESLYTVSAHASFRCSIKTWQKGDFLGSGSFGTVYEGYTDDGFFFAVKEVSLLATGNQGQQSIFQLEQEISLLSQFEHENIVRYLGTDKGDSKLYIFLELVPKGSLASLYRKYQLRDTQVSAYTKQILSGLHYLHLRDVVHRYTCNSFHIFRFNTVFFFKI >itb09g04160.t1 pep chromosome:ASM357664v1:9:2310680:2317133:-1 gene:itb09g04160 transcript:itb09g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKSKGSRPRLDRRNAIKGIDYEASASMTTASRPSCSFNDQSTPRTRSLDFVPLSERTSFRIEGTDGEFDQICRSLGLSPEDFAIPTAAWEARRCSSASNSVRSSRLTRSGVLDADRLADSFATSAVIRNDDELEDCLDVAGVEHEARARIGEDIGTTDENLCKDGCRGIKGARPPRLAAPESVVDHLSKPWGLDRSFSHPNDEDSGSLEDSPTDSEDFQRTEDVGNAIENEERSDDNLIDGAGLVPESFSDSHSDCNEERSDNNLIDGAGLVPESFSDSHSDCNEDDDPDTLKAESESLYTVSAHASFRCSIKTWQKGDFLGSGSFGTVYEGYTDDGFFFAVKEVSLLATGNQGQQSIFQLEQEISLLSQFEHENIVRYLGTDKGDSKLYIFLELVPKGSLASLYRKYQLRDTQVSAYTKQILSGLHYLHLRDVVHRDIKCANILVDVNGSVKLADFGLAKAAKLNDIKSCKGTPFWMAPEVVNRKNNGYGRAADIWSLGCTVLEMLTGQIPYSNLEGMQALFRIGRGERPPIPNSLSKDAQDFICKCLQVNPTDRPTAAELLEHPFLRTASPVPPRP >itb01g23530.t2 pep chromosome:ASM357664v1:1:29508830:29510784:1 gene:itb01g23530 transcript:itb01g23530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDIRTDSGSSSVILYKTNDYYNGYFLGGTRGEKIRPYTRKWETSVMDTIDELDLVVKGQKFGVHHGCDVQHSVPAVFFSTGGYTGNLYHEFNDGILPLYITSQRFEKRVVFVILEYHNWWITKYGNILSQLSDYPAIDFRDNRTHCFPEVHVGLRIHDELSIDSSLTKGNKGIGDFRQLLDRAYWPRIRGLIQDEEREARVNMERSALSPSANTSIEMPILHKQNSVAPKLVMITRNDSRAITNEDSLIKMAEEIGFQVEVLRPARTTELAKAYRLLNSSDVMVGVHGAAMTHFLFLKPGATFIQIIPLGTDWAAETYYGEPAKKLGLRYIGYKILPKESSLYDEYDEDDPVLKDPNSVNEKGWEFTKKIYLDHQNMRLHLGRFRKRLLRAYYHSVIKTNEQFGLQSQ >itb01g23530.t1 pep chromosome:ASM357664v1:1:29507848:29510784:1 gene:itb01g23530 transcript:itb01g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYQRSHQSGKKGADVDHEDEESHHPLGLVCGNNGYNSKRAKPKLLSLLFLSLISCCFILAPQLLSSFGFEGDGLAHETDSKASLCSSISNGNICCDRSSFRSDVCIMKGDIRTDSGSSSVILYKTNDYYNGYFLGGTRGEKIRPYTRKWETSVMDTIDELDLVVKGQKFGVHHGCDVQHSVPAVFFSTGGYTGNLYHEFNDGILPLYITSQRFEKRVVFVILEYHNWWITKYGNILSQLSDYPAIDFRDNRTHCFPEVHVGLRIHDELSIDSSLTKGNKGIGDFRQLLDRAYWPRIRGLIQDEEREARVNMERSALSPSANTSIEMPILHKQNSVAPKLVMITRNDSRAITNEDSLIKMAEEIGFQVEVLRPARTTELAKAYRLLNSSDVMVGVHGAAMTHFLFLKPGATFIQIIPLGTDWAAETYYGEPAKKLGLRYIGYKILPKESSLYDEYDEDDPVLKDPNSVNEKGWEFTKKIYLDHQNMRLHLGRFRKRLLRAYYHSVIKTNEQFGLQSQ >itb10g11290.t1 pep chromosome:ASM357664v1:10:16747639:16750024:-1 gene:itb10g11290 transcript:itb10g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILAKPIQLADQVVKNADGVCSFRQECLEIKSKTEKLLGLLRQAARASSDLYERPTRRIIDDTEQVLDKALTLIFKCRASGLRKVFTIIPAAAFRKTSQQLENSIGDVSWLLRVSAPADDRDDEYLGLPPIAANEPILCLIWEQIAILCSGSVEDRSDAAASLVSLARDNDRYGKLIIEEGGVAPLLKLAKEGRMEGQENAARAIGLLGRDPESVEHIVNAGVCQVFAKILKEGHMKVQVVVAWAISELAANHPKCQDHFAQTNTIRLLVSHLAFETIQEHSKYAIASKHNMDIHTVVMANSGSGGTNMSDEDKHFETQVAHPMVNERATEMHNVVTNTLAMKPNANAKSQPQPQPQPNNNFKGGGSGKANSGKVNQRQKGQHHVAFPGNSIKGREYEDPQTKAEMKAMSARSLRHLCAGNASICRNITESRALLCFAVLLEKGADDVQYHSAMALLEITAVSEQNSDLRRSAFKPTSPAAKAVVDQFLRIITKSDSDIFIPSIASIGNLARTFRASETRMIAPLVALLDDREPEVTREAAIALNKFACTDNFLHVNHCKAIIQEGGTKHLVPLIYFGELMVQIPSIMLLCYMAMSVPDSEDLAKEDAFIVFEWATKQGHLMQDPMVVTLVQEARKSLELYQSRGSRGY >itb14g01070.t1 pep chromosome:ASM357664v1:14:810513:815271:-1 gene:itb14g01070 transcript:itb14g01070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGSMFPILYRRAMKNSVAGKKWLYALFSAAFISVLILLSSITGFSSSYYAFSSDRPFASIVNRGPGHPPAFAYYISGGKGEGERMFRLLLALYHPRNRYLLHVGVEGSEEERRKLGVLVKSVPAIRAFGNVDLVGKPDPATYMGSTHIAAILRAAAILLKVDSGWDWFITLSARDYPLVSQDDLSNVFSYIRRDLNFIDHSSDLGWKKDQRVLPVVVDPGIYLARRTQIFYATQKRPMPESFRVFTGSPWVILSRSFLEFCIFGWDNLPRTLLMYFTNSVLSQEVYFHSVICNSLEFKNTTVNGDLRYFVWDNPPKMEPHFLNASDYEDMVQSGAAFARQFGKDGAVLDMIDKNILERGHNRVTPGAWCTGRKSLLLDPCSQWGDVNVLKTGRPEAKRLGASVSKLIEDSLSESNQCR >itb03g09120.t1 pep chromosome:ASM357664v1:3:6986175:6989551:1 gene:itb03g09120 transcript:itb03g09120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTITLPVFGSSPTQRQDRNFTPSGPATRTFLPYKVSFSGGAALDPALPARKLRSLIGYSSHTEPVSLHSGNSHFLRKVLVHSLTPQVESSGETNSKTTFEPEYLDPPKETLNIGVLEVKTSSKPATPSKKGRNPKLLNSLASKTTTLDYSQILKYPIVTEAGMKNILQKNTLVFAVDKRADKTIIKDSASKIFKIKIKKVNTSILPDGTKKAFLILAPDQSAVDVAKRIKVI >itb04g30390.t1 pep chromosome:ASM357664v1:4:33513936:33514770:-1 gene:itb04g30390 transcript:itb04g30390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLALISLCIFTIFVGFSLQGNALSSCNGPCRTLNDCSGQLICIGGKCKDDPDVGTNICGGGSTPSPPTSGDCKPAGSMTCNGETKPTYRCSPPVKSSTPAILTLNDFSEGGDGGAPSECDGKYHDNNERVVALSTGWCGKMIRITASNGRSTTAKVVDECDSMHGCDKEHAGQMPCDNNIVDGSAAVWNALGLNQDLGRVPVKWTMA >itb06g02450.t1 pep chromosome:ASM357664v1:6:4213925:4217083:-1 gene:itb06g02450 transcript:itb06g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVQLRQYTTFSGPTCACLMNHEFSSKFKVFIVIFFVKLPPPPQITYDIRYFTAAKIAELGFTVNTLMDMKKDEIDDMMTNLFHIFPWDLLVGERYDIKPLFGRSGVTLRWRTCGRATSSPATSPTPSMLSLKKVFTIILQISTLISLTVVSLRSWFF >itb15g18710.t1 pep chromosome:ASM357664v1:15:20483155:20490336:-1 gene:itb15g18710 transcript:itb15g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MSEESPSSSSSDSTILSLQHHLASCSRSIEAGDYSDSDRSVSELVEFLNSTSDTVLQEAENEDSERKAFQILTEIHRFTASPSLNLEILEALSFELPKAVCKLACASKRCSDLAESFIDHLLDKCGPREMLSILCDALSSPNELFQTSLYYAPLLSGVAKVLIRIQRRQFEQVKAAVPVVLQILNFMALQTDDEDADAFDLFSKAIDIADSVQAVCAKLMDNQKLHALFGLLILQLMGLVSLASRARTADFLSIVLHFSHFLHFCGLSYIGLITGCDVDKITNIMVQSDRDDEITQFSYVKHGASLTVIWGYKFGDVAVAAAEDIAAVGKELQNNQTKRWQAVGMLKHVFSCAKLSWDLKRHALDFLLSIMDGCECHEAQDEEIDCSYMPSLHAGLLAIQSVIMYGPDAVIRKNAYEAFNKVLADIPSSLRFDILKALIKNCDSSSMIGILMDCVRREMHAEYSKRTSVDNGGLEAKIVASKCPIFWDDSILDLVELVLKPPTGGPPSLPEYTDAVLAALNLYRFVLITESAGKTNYTSVLSKDKLERAHSGWLLPLRTLVTGVMAENQKDYDQLAQDTMCSLNPIVLVLFRCIELVEEKMKLAV >itb15g18710.t2 pep chromosome:ASM357664v1:15:20483910:20490336:-1 gene:itb15g18710 transcript:itb15g18710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MSEESPSSSSSDSTILSLQHHLASCSRSIEAGDYSDSDRSVSELVEFLNSTSDTVLQEAENEDSERKAFQILTEIHRFTASPSLNLEILEALSFELPKAVCKLACASKRCSDLAESFIDHLLDKCGPREMLSILCDALSSPNELFQTSLYYAPLLSGVAKVLIRIQRRQFEQVKAAVPVVLQILNFMALQTDDEDADAFDLFSKAIDIADSVQAVCAKLMDNQKLHALFGLLILQLMGLVSLASRARTADFLSIVLHFSHFLHFCGLSYIGLITGCDVDKITNIMVQSDRDDEITQFSYVKHGASLTVIWGYKFGDVAVAAAEDIAAVGKELQNNQTKRWQAVGMLKHVFSCAKLSWDLKRHALDFLLSIMDGCECHEAQDEEIDCSYMPSLHAGLLAIQSVIMYGPDAVIRKNAYEAFNKVLADIPSSLRFDILKALIKNCDSSSMIGILMDCVRREMHAEYSKRTSVDNGGLEAKIVASKCPIFWDDSILDLVELVLKPPTGGPPSLPEYTDAVLAALNLYRFVLITESAGKTNYTSVLSKDKLERAHSGWLLPLRTLVTGVMAENQKDYDQLAQDTMCSLNPIVLVLFRCIELVEEKMKLAV >itb12g23180.t1 pep chromosome:ASM357664v1:12:25020104:25021978:-1 gene:itb12g23180 transcript:itb12g23180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDSLSKPASKLRWGELEEDDAEDLDFLLPPKQTIGPDENGIKKVIEYKFSDEGNKVKITTTTRVRKLAKARLSKRAIERRSWPKFGDAVREDVGSRLTMVSTEEILLERPRAPGSKAEEAKAADALSALNKPGAVLMVCRTCGKKGDHWTSKCPYKDLAVQTDTFVDKPPMSDASMPPGATKGAYVPPSMRSGAERPAGTDMRRRNDENSVRVTNLSEDTREPDLMELFRPFGAVTRVYVAIDQKTGVSRGFGFVNFVNKEDAQRAINKLNGYGYDNLILRVEWATPRSN >itb05g16300.t1 pep chromosome:ASM357664v1:5:23529254:23532495:1 gene:itb05g16300 transcript:itb05g16300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVMASLKSVLLAPFYNFIHKDFHEVVDRMTLLDKLLFLGIHFVDKLGLWHKLPVFLGLVYLSIRRHLHQEYNLFNVGETPTGVRYNPADYPYRTADGEYNDPFNEGVGEESSFFGRNILPVDQSNKLKKPEPMVVATKLLARRNLIDTGKQFNMIAASWIQFMIHDWIDHLEDTQQIELVAPKEVANQCPLKSFKFYKSKEEPTGFYDIKSGYRNRRTPWWDGSAIYGSNAGALQKVRTFKDGKLKIGKDGLLLQDKDGNIVSGDVRNTWAGLLSLQALFVMEHNAVCNALKKEYPELKDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLLAGMRANWYGLLGKKFKDTFGHLGWYLLSGLVGMKKPENHGVPYSLTEEFTSVYRMHQLLPEKLQLRNINAAPGPNKSPPLSKEVDMMDLIGQKGEQKLSEIGFARQMVSMGHQACGALELWNYPSWMRNLVPQNPDGTDRPDPIDLIALEVYRDRERSVARYNDFRRAILLIPISKWEDLTDDEEAIRTLREVYGDDVEGLDLLVGLMAEKKIKGFAISETAFIIFLLMASRRLEADRFFTTNYNEETYTKKGLEWVNTTESLKDVLDRHYPEMSEKWMNSTSAFSVWDSPPEPHNPVPLYLRLPQ >itb15g07940.t2 pep chromosome:ASM357664v1:15:5587855:5591864:1 gene:itb15g07940 transcript:itb15g07940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGTTANSSAASGGGGPTTHHRRRFADFLNDHEKSSDHVQIACEDSSGDAGNPESNNSNSSSRCGGGGGGGAGYHPVLHQHPVIRQLLVRKRMPESVAVELDECLLGLRSISQTLRSRKKMGRTVSGLLLVFVVVSVFLRFSFFASDNGEEVSSRLVLQNFKNEWANAQNIVSETDSLSSSSGDLIRNRQMKELPVPEIWKKANSDNYYQCITRPKNRIRTGTATNGYILVHANGGLNQMKAGICDMVAIAKIMNATLVLPSLDHDSFWTDPSGFKDIFDWKHFIEVLRDDIEIVESLPPKYAKVKPLSKAPVSWSKASYYRKEIIPILKKHKVIKFTHTDSRLANNGLASSIQRLRCRANYEALKYSPEIEEFGKRLVDRLRDNGEPYIALHLRYEKDMLAFTGCSHNLTAAEAEELRVMRYNVKHWKEKEIDSKAKRLVGGCPMTPREAALFLKAMGYPSTTRIYIVAGEIYGNSSMDAFRLEYPNVFSHSTLATEEELGAYKQYQNRLAALDYILALESDLFVYTYDGNMAKAVQGHRRFEGFRKTISPDRFVASQSSTSCAYSLNQSIISVFLFVFRASFVTLIDFLDKGAITWEEFSTEVKSLHSNRLGAPYLRQIGESPRLEENFYANPFPGCICDRSQDQASKQRSEIIKPSLEVNFAAQR >itb15g07940.t1 pep chromosome:ASM357664v1:15:5587855:5591864:1 gene:itb15g07940 transcript:itb15g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGTTANSSAASGGGGPTTHHRRRFADFLNDHEKSSDHVQIACEDSSGDAGNPESNNSNSSSRCGGGGGGGAGYHPVLHQHPVIRQLLVRKRMPESVAVELDECLLGLRSISQTLRSRKKMGRTVSGLLLVFVVVSVFLRFSFFASDNGEEVSSRLVLQNFKNEWANAQNIVSETDSLSSSSGDLIRNRQMKELPVPEIWKKANSDNYYQCITRPKNRIRTGTATNGYILVHANGGLNQMKAGICDMVAIAKIMNATLVLPSLDHDSFWTDPSGFKDIFDWKHFIEVLRDDIEIVESLPPKYAKVKPLSKAPVSWSKASYYRKEIIPILKKHKVIKFTHTDSRLANNGLASSIQRLRCRANYEALKYSPEIEEFGKRLVDRLRDNGEPYIALHLRYEKDMLAFTGCSHNLTAAEAEELRVMRYNVKHWKEKEIDSKAKRLVGGCPMTPREAALFLKAMGYPSTTRIYIVAGEIYGNSSMDAFRLEYPNVFSHSTLATEEELGAYKQYQNRLAALDYILALESDLFVYTYDGNMAKAVQGHRRFEGFRKTISPDRASFVTLIDFLDKGAITWEEFSTEVKSLHSNRLGAPYLRQIGESPRLEENFYANPFPGCICDRSQDQASKQRSEIIKPSLEVNFAAQR >itb13g19030.t1 pep chromosome:ASM357664v1:13:26058701:26063229:1 gene:itb13g19030 transcript:itb13g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPIVLRSQPHSPQYYYPIPYLPRSSFFSSIPKPSLKPNILKLKSFSTSCSYSHDNVRLREAPLLSEESGTSRGVNCKNSRKTKRVFFLDVNPLCYKGCTPSLQSFAHWVSLFFSQVSHSDPVIAVIDGERANEYRRKLLPTYKAHRKKLLGSTSKRFTAVERSHKLILDVLKKCNVPVVKLESHEADDVVATLVGQVLQKGFRVVIASPDKDFKQLISEDVQIVVPVPHLNRWSFYTLKHYRAQYNCDPQSDLSLRCILGDEVDGVPGIQNVVPSFGRKTALKLLQKHGNLENLLAAAAVRTVGRDYIQNALTQYADYLRRNYEVLSLKSDVDVRIDDNWLSERHTGNDSVILSNFTDFLKGNQTGR >itb13g19030.t3 pep chromosome:ASM357664v1:13:26058701:26062940:1 gene:itb13g19030 transcript:itb13g19030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPIVLRSQPHSPQYYYPIPYLPRSSFFSSIPKPSLKPNILKLKSFSTSCSYSHDNVRLREAPLLSEESGTSRGVNCKNSRKTKRVFFLDVNPLCYKGCTPSLQSFAHWVSLFFSQVSHSDPVIAVIDGERANEYRRKLLPTYKAHRKKLLGSTSKRFTAVERSHKLILDVLKKCNVPVVKLESHEADDVVATLVGQVLQKGFRVVIASPDKDFKQLISEDVQIVVPVPHLNRWSFYTLKHYRAQYNCDPQSDLSLRCILGDEVDGVPGIQNVVPSFGRKTALKLLQKHGNLENLLAAAAVRTVGRDYIQNALTQYADYLRRNYEVLSLKSDVDVRIDDNWLSERHTGNDSVILSNFTDFLKGNQTGR >itb13g19030.t2 pep chromosome:ASM357664v1:13:26058701:26063229:1 gene:itb13g19030 transcript:itb13g19030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPIVLRSQPHSPQYYYPIPYLPRSSFFSSIPKPSLKPNILKLKSFSTSCSYSHDNVRLREAPLLSEESGTSRGVNCKNSRKTKRVFFLDVNPLCYKGCTPSLQSFAHWVSLFFSQVSHSDPVIAVIDGERANEYRRKLLPTYKAHRKKLLGSTSKRFTAVERSHKLILDVLKKCNVPVVKLESHEADDVVATLVGQVLQKGFRVVIASPDKDFKQLISEDVQIVVPVPHLNRWSFYTLKHYRAQYNCDPQSDLSLRCILGDEVDGVPGIQNVVPSFGRKTALKLLQKHGNLENLLAAAAVRTVGRDYIQNALTQYADYLRRNYEVLSLKSDVDVRIDDNWLSERHTGNDSVILSNFTDFLKGNQTGR >itb13g14840.t1 pep chromosome:ASM357664v1:13:21433461:21437936:1 gene:itb13g14840 transcript:itb13g14840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRNSVERRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENGKLIVNCNGKGVLFVEAKANVKLEQLSEKSMQPPCPYLKQLLNNVPGSKGIIDCPLLLIQVTRFTCGGLALGIPFNLLEIIINHS >itb13g14840.t2 pep chromosome:ASM357664v1:13:21433461:21435064:1 gene:itb13g14840 transcript:itb13g14840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRNSVERRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENGKLIVNCNGKGVLFVEAKANVKLEQLSEKSMQPPCPYLKQLLNNVPGSKGIIDCPLLLIQVLQVI >itb10g08780.t2 pep chromosome:ASM357664v1:10:11245325:11250149:-1 gene:itb10g08780 transcript:itb10g08780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSQTNQLILPSSSSSLPRYWHYDVFLSFRGEDTRKSFTDHLFAALCRVGVHTFRDAEELRKGKDISTDLIKAIQESKISIIVFSKTYASSRWCLEELVKIVECEEKMNQVVFPVFYDVDPSQVRKQTGDFADAFSQHQQRFKPEKVCQWKTALTKVADFSGWSLQNDTDGYESRLIDKIVEQVLHVVNRTFLNVAKYPVGIHTRVREILSLLQSKSNGDVCMIGIYGMGGVGKTTLAKAIFNQISATFEGSCFLDVRSKYPKGGSVGLKCLQKQLLCNTLETMRFEVNHVDEGISLIKLRLRSKRVLIVVDNVEHESQLEALVGERDWFGSGSTILITTRNVNLLNGFGKDCEKYNVAVLSSKESLKLFCWHAFKDPNPLETFAELSNVIVRYAHGLPLALIVLGSHFRSRSSIQHWTNDFEKLRKIPHEDILNILKISYDALDDDTQRIFLDIACFFVGEYIWKEDIVKILNACGFFAQSGVSTLIDRCLLKEDLCMHDLIRDMGREIVRKESVMQPEKRSRLFLHDEVLNVLVSNKGTEAIETMIIDLSKGVHLHTKVFSKMNRLRLLKILSMNDGRHLKYLSDELRLLYLENCPLRRISSHLCLKRLVSLNIISSTIKEFQPKLQHFKCLEILRLEHCRQLKRAPSFVGAHSLKILSFMFCSNLVELPRSIGDLKSLVKLQMGFCKNLIGLPSTIGNLKSLKLLSVGGCSKLKQLPINLGKLEQLCVLDAGRTTASDKPISYMTSSSSLEILRAPYQYLQHLDLQICDGSLSWLINLDLSYSYFHALPFNLSHHFRLSNLKLDSCQNLQVIQDLPPNLHILSASNCPILESVQGLSGLSGLQNLKLCNCSNLIELQGIENLVRLKYMNIRGCSVLSSKYWCDNFFKAHFKTPTLGRFRMSVSKDMVTQYLWSNGAVGCSSANYTSPLFLKKKRIFIVVMISCLFHKWCLLEYANDGSGDYYRRGEYNDKGLECRVYNHFTEPNKVEEVEVVIELNSSSVEQGAKENFIIQTCIVHEEEEDDEKMKVGWE >itb10g08780.t1 pep chromosome:ASM357664v1:10:11245325:11250149:-1 gene:itb10g08780 transcript:itb10g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSQTNQLILPSSSSSLPRYWHYDVFLSFRGEDTRKSFTDHLFAALCRVGVHTFRDAEELRKGKDISTDLIKAIQESKISIIVFSKTYASSRWCLEELVKIVECEEKMNQVVFPVFYDVDPSQVRKQTGDFADAFSQHQQRFKPEKVCQWKTALTKVADFSGWSLQNDTDGYESRLIDKIVEQVLHVVNRTFLNVAKYPVGIHTRVREILSLLQSKSNGDVCMIGIYGMGGVGKTTLAKAIFNQISATFEGSCFLDVRSKYPKGGSVGLKCLQKQLLCNTLETMRFEVNHVDEGISLIKLRLRSKRVLIVVDNVEHESQLEALVGERDWFGSGSTILITTRNVNLLNGFGKDCEKYNVAVLSSKESLKLFCWHAFKDPNPLETFAELSNVIVRYAHGLPLALIVLGSHFRSRSSIQHWTNDFEKLRKIPHEDILNILKISYDALDDDTQRIFLDIACFFVGEYIWKEDIVKILNACGFFAQSGVSTLIDRCLLKEDLCMHDLIRDMGREIVRKESVMQPEKRSRLFLHDEVLNVLVSNKGTEAIETMIIDLSKGVHLHTKVFSKMNRLRLLKILSMNDGRHLKYLSDELRLLYLENCPLRRISSHLCLKRLVSLNIISSTIKEFQPKLQHFKCLEILRLEHCRQLKRAPSFVGAHSLKILSFMFCSNLVELPRSIGDLKSLVKLQMGFCKNLIGLPSTIGNLKSLKLLSVGGCSKLKQLPINLGKLEQLCVLDAGRTTASDKPISYMTSSSSLEILRAPYQYLQHLDLQICDGSLSWLINLDLSYSYFHALPFNLSHHFRLSNLKLDSCQNLQVIQDLPPNLHILSASNCPILESVQGLSGLSGLQNLKLCNCSNLIELQGIENLVRLKYMNIRGCSVLSSKYWCDNFFKAHFKTPTLGRFRMSVSKDMVTQYLWSNGAVGCSSANYTSPLFLKKKRIFIVVMISCLFHKWCLLEYANDGSGDYYRRGEYNDKGLECRVYNHFTEPNKVEEVEVVIELNSSSVEQGAKENFIIQTCIVHEEEEDDEVCFYPMNPVIKFHHPNRSTLRSKESAVITIKHRTRSPEPHGAPH >itb02g20020.t1 pep chromosome:ASM357664v1:2:17597030:17599854:1 gene:itb02g20020 transcript:itb02g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKLRFVEFVGDEKLAVGRKIGIWEYGKWEILNKQVGAPPVKWNTTLADFAESYATKRSADCAAQHSQGSYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWRDTTSIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb14g03570.t1 pep chromosome:ASM357664v1:14:3158791:3161573:1 gene:itb14g03570 transcript:itb14g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSTLCNNITFPTLACTFVLLFFLYKLFLANHKRHAHNANPQPPELPGALPIIGHLHLLIGAGKPAHLIFGSMADKHGPFFRIKLGPQQTFVVTNSEIAKECLTTNDKVLATRPKSLASQIIGYNYTILAVAPYGAYLRKIRRILLKELMSSSRIKWLRPIKESHIRKSIKRTFAANYCVDQEYKNKGALTVEMKQWFGRLIMNLTISLIFGEQKVEEGEESKVQESIRRMLELFAEPVVADFLPWLRWLDIGGHEKAMRQTAMEMDSFAQRCLEEHRRKRNFKAEDEDFMDIMLSLFDGASNNQSLPNGYDTDVVIKSTCLSVLTGAADTSIVTLTWALCLILNNYNVLERIQDELNTHVGKQRWVEESDLKQLIYLQAVIKETLRLYPAAPLGVPHEAIEDCTINGYHIQKGTRILLNIAKIHRDPIVWVEPDKFKPERFLTSHKDIDVKGNNFELIPFSSGRRICPGISLGLPTIQLTLASLFHSFDMRRLSNEPIEMTESSGMTNIKATPVQALLIPRLASNLYG >itb03g26830.t1 pep chromosome:ASM357664v1:3:26467200:26467675:-1 gene:itb03g26830 transcript:itb03g26830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIDISHERLEFIRSFEKRHYKPANATVKNRGHDILIKWHGDAGSFCIKGIEYPLISAHWHSPSEHTINGRRYALELHMVHQSRDQTLQNQRAVTTVLYRIGKPDAFLSRLILRFTHYSSLY >itb15g06750.t1 pep chromosome:ASM357664v1:15:4524574:4526451:-1 gene:itb15g06750 transcript:itb15g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLMNNSAKEAETVPAPIPPPPGAGARDAESQTAAGMGTGVGNIVRRWRREDLLKKSSLGARAAALLFSLLAFIIMASNKHGDWKDFDRYEEYRYVLAISILSTLYSGLQTFRQLHELSTGRIYSSGRNWAMIDFFGDQVAAYLLISSASSAVPMTNRMRESNDNLFTDSSASAISMEFLAFLAMAISAVISGYKFSRQSYI >itb03g04120.t1 pep chromosome:ASM357664v1:3:2555301:2559985:-1 gene:itb03g04120 transcript:itb03g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDIDEVLVENPASVGREEREEEEEVDEDVIFGDDQGKKDGGDGEFNEPSPRGVLEITNTCDSDNGTGEKQMSMLSHVDSLREQLFDPNSVQWKSLFSSIKRKSARSISIIPLFGGVEMIARKSRKKLLPTKSEDCGDFLVPKPSWRNFSFQELTEATDNFSPDKLLGKGGHAEVYMGCIADGQTVAVKRITKKDKNDEDRVGDFLAELGIVAHINHPNAAKLIGFSAESGLHLVLQYLPNGSLATVLHGSQERLEWKARFKVAIGVAEGLQYLHSDCQRRIIHRDITASNILLADDFEPQISDFGLAKWLPEKWVNHVVSPIEGTFGYMAPEYFMHGIIDEKTDVFAFGVLLLELITGRRAVDSSRQSLVMWAKPLLEKDDIKAIADPRLGDEYDVDEMKRAMSAAATCIHHIPSNRPSMIKVSRKLKGEKEEIPRAGSAVFPESVCMDDYNTSTTYLRDLDRHMQLVME >itb10g02540.t1 pep chromosome:ASM357664v1:10:2189725:2191389:1 gene:itb10g02540 transcript:itb10g02540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSSLKFIVALALVFATSAYLATSRTLYDSLMVERHEQWMAQYGRVYTDELEKAKRYNIFKGNVEYIESFNKAGTEPYKLGINQFVDLTNQEFQAYRNGYKLLPSSKKLFRYENVGVVPAAVDWRTKGAVTPVKDQGQCGSCWAFSAVAAVEGITKLSTGKLMSLSEQELVDCDVKGEDQGCEGGLMDDAFQFIINNKGLTTESNYPYQGTDGSCKKSKSSNSAAKITGYEDVPANSESALEKAVAKQPVSVAIDASGADFQLYSSGVFTGECGTDLDHGVTVVGYGKTENGTKYWLVKNSWGTSWGEKGYIRMQKDIDAKEGLCGIAMKASYPTA >itb04g03920.t1 pep chromosome:ASM357664v1:4:2448277:2449629:-1 gene:itb04g03920 transcript:itb04g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKKLVSILTILATICAAAEAEEAKKNGYGGFTVELIHRDSPASPLYNPLLSQRQRMSIAFHNSMNRAAGAASASVQVLPDPKGTYLIRLSVGGPTPAPKLAVADTGSDLIWLQCCHDCSHKKPPDFNPNRSLTYKPIPCDSKACSALPGSRCAAVSATVCGGDDRGKNTTCLYSATYNDGSFSYGELATDTFTLDVVSGGENASFPDVIFGCGFKNHFENNDTEVSGIVGLGSSPYSLINQIGGARFSYCLVPLSNLNASSTLHIGHRSAAVHGGPGVVSTPLVIKPPTIFYYLTLLSISVENKTLNLVDESSKSVPKGNMFIDSGTTFSFLPADIYFKLEETVKNSISLKPMEPNRRRFLRLCYRDLGAEDVPVITARFDGGGELKLKAVNSFVDIGDGVGCLGFAPTKGIPVFGNVAHTNFLVEYDVEKMVVSFVPTDCVKWKYK >itb05g00830.t1 pep chromosome:ASM357664v1:5:709501:712897:-1 gene:itb05g00830 transcript:itb05g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSLNTFAATTMLSFGLFILFFAGFLDFPSASSSIPSPKDSIFASLSDSKPDPFQNLLGAFKKWDSKVGCAQFREKHRGDFRNGSGLISSNSLQDVDEGELECGELKMNHVSVLVKGWTWIPDGMDNLYSCRCGLSCLWTKLPVMADKPDALLFETSTPPLKRRKGEPLRVYMDLEAGRKRSGYEDLFISYHAKDDVQSTYAGSIFHKNRNYHLSSYKRNDTLVYWSSSRCLPERNKLAKQLLRLLPHHSFGKCLNNVGGLDNALTLYPDCIKDPNEAPKWWDHLHCAMSHYKFVLAIENTMTESYVTEKLFYALESGSVPIYFGAPNVQDFVPPHSIIDGTKFKSLEELASYVKALANDPAAYAEYHAWRRCGVMGNYRKTRAASLDTVPCRLCEAVSKKNGRNAEAS >itb15g24180.t1 pep chromosome:ASM357664v1:15:27032559:27033455:-1 gene:itb15g24180 transcript:itb15g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSNRVSSSMALFFALNLVLFASVSGCGSCVKPKPKPKPACPPPSTPVPVPVPAPKASCPIDTLKLGVCADVLGLVKVVIGADKEPCCSLLSPLADVDAALCLCTALKANVLGINLNVPISLSLLLNACSKVDPAGFKCE >itb10g23990.t1 pep chromosome:ASM357664v1:10:28041580:28043492:-1 gene:itb10g23990 transcript:itb10g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAARKRFEEDDVDAPLKHQSVALILGVTGLIGNSLAEILPLADTPGGPWKVYGVARRPRPAWNADHPIQYIQCDISDEEDAHSKLSVLSDVTHVFYVTWANRPTELENCEVNGKMLRNVLNAVIPNSPDLKHICLQTGHKHYVGSFEYFGKFAHDTPYTEDLPRLETPNFYYVLEDLLFDEVKKKEGLTWSVHRPGTIHGFSPYSMMNMVGTLCVYAAICKHEGAPLRFPGVKAAWDEYSVCSDADLIAEHEIWAAVDPHAKNEAFNVSNGDVYKWKHFWKILAEQFGLEDGGFEEGERVTLQEMMKGKGAVWDEIVKKHDLQATKLEDVGVWWFVDLILSGICPLDTMNKSKEHGFLGFRNSQKAFVLWIDKVKAHKIVP >itb01g33030.t1 pep chromosome:ASM357664v1:1:36318758:36321530:-1 gene:itb01g33030 transcript:itb01g33030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQILCAPFLFLAFYVISYHFFHKLRNHPPSPFPALPIIGHFHLLSQPFHRALIKISNRYGPVLLLRFGSRPVLLVSSPSAAEECFTKNDVVLANRPKFLGGKIFGYEYTSLAWSSYGEHWRNLRKLSTIEVLSAHRIQVLSEIRTDEAKSLIRRLFRSSQNTSDNMVEVKSAVYDYTFNVITRMVTGKRFFGEKVENADEAKLYKEIGDETTRLVIQSGVLDYFPVLKWIGYKGIVKEMKKMQERRNQFMHNIIEQHRQKTKSNGAGENGGKNSKTILEVLLGLQETDADYYSDEIIISLLYVLLHAGSETSATTLEWAFSYLLENPRIMKKAKAEIDLQVGHTRLVEESDMGKLPYIRCIVNETLRLQPAAPLLVPHFSGEECHVGGYRVPKGTIVLVNAWGIQRDPKVWEDPETFNPERFESFKSSKDHAFKFMPFGSGRRSCPGENLAIRVVELALGLLLQCFDWENPSKETIDKTDSSGFIAAKLTPLVAKCSPRSEMVTTLSQI >itb05g05480.t1 pep chromosome:ASM357664v1:5:5218509:5223740:1 gene:itb05g05480 transcript:itb05g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKMRVLRPLVHLLVPLCVHWIAEEMTKSVLVDVTTNALCDGQSSCSEAIYINGLQQTVVGIFKMVVLPVLGQLSDDYGRKPLLLVTVSTTIIPFTLLAINKSRGFVYAYYVLRTISYIISQGSIFCISVAYAADVIEESNRAAAFSWITGLFSASHVVGDLLARFLPEDYIFVVSICLLIFVPIYMALALVETVKPPSGVNQSLPHMNKAKKILQDRYKSMRSAVNVVINSPTLKVISLVSFFYELGMSGIDAVLLYYLKAAFGFDKNQLSEVLMVVGVGAIVSQIVVLPLVNPFVGEKIILCIALLASIAYSLLYGFAWAAWVPYLSASFGVIYILVTPATYAVISRASSSTDQGKAQGFVAGVQAIASLLSPIGMTPLTTWFLSDNAPFDCKGFGIICASLCMVIALCCACMLKLDAPAKKSSEDDAESIEAPLLS >itb05g05480.t2 pep chromosome:ASM357664v1:5:5218509:5223740:1 gene:itb05g05480 transcript:itb05g05480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKMRVLRPLVHLLVPLCVHWIAEEMTKSVLVDVTTNALCDGQSSCSEAIYINGLQQTVVGIFKMVVLPVLGQLSDDYGRKPLLLVTVSTTIIPFTLLAINKSRGFVYAYYVLRTISYIISQGSIFCISVAYAADVIEESNRAAAFSWITGLFSASHVVGDLLARFLPEDYIFVVSICLLIFVPIYMALALVETVKPPSGVNQSLPHMNKAKKILQDRYKSMRSAVNVVINSPTLKVISLVSFFYELGMSGIDAVLLYYLKAAFGFDKNQLSEVLMVVGVGAIVSQIVVLPLVNPFVGEKIILCIALLASIAYSLLYGFAWAAWVPYLSASFGVIYILVTPATYAVISRASSSTDQGKAQGFVAGVQAIASLLSPIGMTPLTSEFKKTLLQLHSITRPLQFK >itb01g27300.t1 pep chromosome:ASM357664v1:1:32153135:32158550:-1 gene:itb01g27300 transcript:itb01g27300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSCHYHFKLLFLFLIIHSAVSEIFFEERFDDGWRGRWVMSDWKRSEGKAGTFKHTAGKWPGDPDDKGIQTHSDAKHFAISAKIPEFSNKNRTLVVQYSIRLEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDICGTQTKKLHVIVSYQGQNYPIRKDLTCETDKLTHFYTLIIRPDATYSILIDNRERESGSLYTDWDILPPRKIKDIHAKKPADWDDREYIDDPNDEKPEGYDKIPPEIPDPKATKPADWDDEEDGAWKPGKVPNPAYKGPWKRKKIKNPNYKGKWRTPWIDNPEFEDDPDLYVLRPIKYVGIEVWQVKAGSVFDNILICDDPQYAKEVVNEIWAKNREAEKEAFEEAEKVRKAQEEEEARRAREEGEKRRRERDHRYKRRRRHDPRDYMDDYHDEL >itb14g04620.t1 pep chromosome:ASM357664v1:14:4099750:4101220:1 gene:itb14g04620 transcript:itb14g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVSQRLSFRERGVVFEEAKRVITAHSRHFFALCHLFLFPLCFSIIIYPSLFTAFSQLDGFHPLQWLLSFTADPTHLRARPILFALLFDLFALCLAACALGTIIYSTFNGHHGRPVTLSSSVKSLLGSFLPILSTLIVSQIIVCLVGIGLGVLVALLLTGLRIVGVEVNYYDNYSCNWGLVIFYVVLLVPIIVWLQINWSLACVIVVAESKWGYEPLRRSGQLVKGTRGVAASILVLYGQVFLLMVLCTSLLGKIGANRGVLFWMGTIIVVYGSSSVTELILYSLAANVVLYIYCKALHEKGALLEIAEVEGKDASLAFGGGKVSQVVNHG >itb14g14300.t1 pep chromosome:ASM357664v1:14:16746194:16752540:1 gene:itb14g14300 transcript:itb14g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDNNGAAEALPPPPPVPSDVIPVKAEADSTKKKAPRLPMARRGFGSKGQKIPILTNHFKVALYYEDGRPVDSKGIGRKVLDRVHETYDTELAEKEFAYDGEKSLFTVGALPRNKLEFTVVLEDVTSNRNNGNCSPGSPNEGDKKRLRRPYRSKTYKVEISFAAKIPMNAIANALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFASVGGGVLGCRGFHSSFRTTQGGLSLNIDTSTTMIIQPGPVVDFLIANQNAKDPFSLDWAKKSKDDDGEVQNTEVTVYDYFVNQRNIDLRYSADLPCINVGKPKRPTYFPIELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMQVLSNALKINNYDAEPLLNSCGISINNNFTQIEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPAKIERWAVVNFSARCDIRGLVRDLMKCGEMKGISVEPPFDVFEENPQFRRAPPVVRVEKMFEDIQSKLPGAPKFLLCLLPERKNCDVVSSRQWPLISRYRASVRTQSAKVEMIDNLFNRVSDTQDDGIMRDGVSESQFNQVLNIELDQIIEKNHHTKFFQPGSPDNVQPVAPICYAHLAATQLGQWMKFEDTSEKSSSHGGGGSKAGPAPVSQLPRLEEKVSSSMFFC >itb03g06130.t1 pep chromosome:ASM357664v1:3:4509933:4514158:1 gene:itb03g06130 transcript:itb03g06130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSFPILKQLLQRSTENPQPFLCRSVTYMPRPGDGTPRPVTLIPGDGVGPLVTNAVEQVMDAMHAPVYFERYEVHGDMKSVPPEVIKSIQKNKVCLKGGLHTPVGGGVSSLNVQLRKELDLFASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVSKKYPGIQYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADTAVFEQGASAGNVGNPNLVEQKRANPVAVLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGESTTQEVVDAVIDALD >itb04g18960.t2 pep chromosome:ASM357664v1:4:22831414:22833213:1 gene:itb04g18960 transcript:itb04g18960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLGLRSADAGVEWCGINAITREYQGDCWPEFAGGDGSVNAVLLEGTTVSEASLPVMSSSDVVGDQIFTTPQPQVGVSSHVLDAVAGEHGVLAKPQKGLILDIEGREIVGIGRQLMAERRWWRRQVARKKDVECGWFSDRLREDYDITPHRSWATIDMFLFPLSDRGHYYIISVDLKKMKMDIIDNCPTTATNKSRYGNAPTDLQAFFALFFVGVNLHKRADRVRRLTPNRM >itb04g18960.t1 pep chromosome:ASM357664v1:4:22831414:22834063:1 gene:itb04g18960 transcript:itb04g18960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLGLRSADAGVEWCGINAITREYQGDCWPEFAGGDGSVNAVLLEGTTVSEASLPVMSSSDVVGDQIFTTPQPQVGVSSHVLDAVAGEHGVLAKPQKGLILDIEGREIVGIGRQLMAERRWWRRQVARKKDVECGWFSDRLREDYDITPHRSWATIDMFLFPLSDRGHYYIISVDLKKMKMDIIDNCPTTATNKSRYGNAPTDLGWLHWMWFCAALVAMLV >itb15g03580.t1 pep chromosome:ASM357664v1:15:2265528:2270025:1 gene:itb15g03580 transcript:itb15g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDIRNIAIVAHVDHGKTTLVDAMLRQAKVFRDNQFVQERIMDSNDLERERGITILSKNTSITYNNTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDYVVNSTFELFIELNASDEQCDFQVIYASGIKGKAGLEPENLANDLGPLFESIIRCIPGPRIEKDGALQMLATNIEYDEHKGRIAIGRVHAGTLRRGMDVRICTSDDECRFGRVSELFVYEKFNRVPADSVEAGDICAVCGIDDIQIGETIADKSNGKALPTITVEEPTVKMAFSVNISPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKVNDKLLEPFEIAIVEVPEEHMGSAVELLGKRRGQMFDMQGVGSEGTTLLKYKIPTRGLLGLRNAILTATRGTAILNTIFDSYGPWAGDISTRDQGSLVAFEDGTSTSYALSSSQERGQMFIAPGVDVYKGQIVGIHQRPGDLSLNVCKKKAATNVRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPQSIRMCKNPKITITKKTK >itb06g13180.t1 pep chromosome:ASM357664v1:6:17814080:17814862:-1 gene:itb06g13180 transcript:itb06g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSINTITKLSSLVMLLLLLLLLLPSFDEKMTTMRVEGGGSRLCETKSNRFKGLCWSDTNCGSVCRSEGFAGGNCRGFRRRCFCSRSCQHA >itb03g20810.t1 pep chromosome:ASM357664v1:3:18642952:18649372:-1 gene:itb03g20810 transcript:itb03g20810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSRIIMLYDRLVVGILASFFICHVLLHAGVLSHVSAKDTLAPGEKLSSDTGDYLESSNQRFRLRFVGRPGSTSSWYLCIQLTQYVALSTSEETAIWVAWSGESQAFPPYLTMDEEGHLVVSTGNAFVVNKEQHVGTMLWQSFDRPANTWLPGMKMGWFGLKTRQPQQRLLTSWTSEENPSPGAFTLGVDPNNTKQIVAMHRGIVYWQSGEWSGTKFRFLDGDFPLRYFSNHNDSYFVLDGNSSYIATVLAMGKVKVRIKGKFKDIYTISGCYSDKFYNNKGCIRPKQYNCSVGDWFNSTNGVIDGVWEQYLFSSGLGISDCKEICETNCSCNAYAAVRTDGTGCKFSSSTAYHYVSNGEVLYIRNAKSDHPPTALQKRKPHNMRTTMIAALTAAPLVTLAMIFIICGYMSPEYAMDGLFSEKSDVFSFGVMVLEIVSGKRNNGFYNPTRISNLLGYVYYVFKKVQRIDLPCQMCGSFVQETSASLAAVRGKAETLGFFDLVYRMGDGLKNFDVEKLMLWSNDLVEVLKDGEDVNSLKQLLEQSNSLQSQCNTDFNETQRSIEDYEKKVDMCKRKTLEAKSEASTDAEIESLQKDLEEELQRESLLREELR >itb13g02020.t1 pep chromosome:ASM357664v1:13:1857150:1860728:-1 gene:itb13g02020 transcript:itb13g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPVVSLQAMVISHVLSGVLRCYCFEKFPWYLTEKLNLRSIKLDWRGPSLVASAKQIQYWQVEMGNCSFEILNGTFNFDQMLNDKAQEFPFKWTMVNFSSPDIAKEIHDSLESLKRFTSEFENALCEKAMVISHVSGDSRLGKLKWETENLRCFCLRFCYCREEIPKSSVDIFKLQSVELDQCRPSVVTAPSIFEKGYIHYKEECLRSKPSVLNMRDHLIHM >itb07g09610.t1 pep chromosome:ASM357664v1:7:9193975:9200257:-1 gene:itb07g09610 transcript:itb07g09610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGYHRLAPLPPRRTEGAMPAANGREATAIFLRKSAGERTEVYSTPARCRCLPEPSPPSSVVTVDEGGKMGKWCTEAYSYCYCFALVKSEAGDGAQPTLANTTVGKPPLLLLVNGREAEKEASRNRCLPKVEPKKSGELTESKWRRRRDHCYCLRPNATTSFLTLRPEDGMEAEQSSAFPSPSGVSCERRWRSAAAGSAMAFTSPTSGYASEWRCSPSPLVNNSRGRNPQRLRWQRRWRNGLPVMEHQLSTFLVPSKSGESYRQQGGGLLQRVRSRYDPPPLFPASVRRQRSRRHPSPSPTAVAHPSPQSASAAASSRSREARPPNRSGEALPLNRTAKHSRRARRPAPPLRCGKPLIIGSEKSCSKIGKSKVGGSASNRAMDTGEFVFWDCLHLELPNTVRSAKFIARKQWVVAGADDMFIQVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNNFASASLDRTIKVRDILFYVFG >itb13g00640.t1 pep chromosome:ASM357664v1:13:596087:596635:1 gene:itb13g00640 transcript:itb13g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADDNTNANMNADNAAAAGPQRRRTAQKDIPLSTVLKIMREAIPRSARIADEAKETVQKCVTEFIHYVTAKANERAKQERRKTVTTEDLIWAMRALGLGSYAEELTDFITDYRAKHVHGRAASHPLPPPVQQVPFMQGFPVPGFAPGPMNDGAGGSASSSSPSFGGDYFFDPSYPPTNFNG >itb12g19920.t1 pep chromosome:ASM357664v1:12:22361870:22364005:1 gene:itb12g19920 transcript:itb12g19920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVCIPFPAQGHINPMLQLAKLLHHKGFHITFVNNEFNHDRLLRSRGSKAMEGLPSFKFEAIPDGLSPSNPDATQDVAFLTVSSTNYCLDPFRELVKRLNDDPSSESPPVTCIVSDGSMSFTHKVADELCIPNVFFWTCSTCGLDGYVHYRQLAEKNLTPIKEPNYLTNGYLDTIIDWIPGLKGIPAKYLPSFIWNSGQDDDPNYVISQFAIREVEAIPKASAIILNTFDELEPEAINALRSKFPGIYTIGPLHLLRNQFPLGDDLKSIGCNLWKEDPYCLEWLDTKEAGSVVYVNYGSVTVMTPEQLLEFAWGLANSKFTFLWIIRPDLVKGESAILPPEFLTETRERGLLASWCPQEQVLNHPSVGCFLTHCGWNSTLESISAGVPMLCWPFFSEQPTNCWIKISKIARQFRPSPLPVSTKSTFCMHDTLSPFSVCMMAEVYQNLYWLFLRLLPESFLFSSGLNYMAANA >itb12g19920.t2 pep chromosome:ASM357664v1:12:22361870:22364005:1 gene:itb12g19920 transcript:itb12g19920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVCIPFPAQGHINPMLQLAKLLHHKGFHITFVNNEFNHDRLLRSRGSKAMEGLPSFKFEAIPDGLSPSNPDATQDVAFLTVSSTNYCLDPFRELVKRLNDDPSSESPPVTCIVSDGSMSFTHKVADELCIPNVFFWTCSTCGLDGYVHYRQLAEKNLTPIKDWIPGLKGIPAKYLPSFIWNSGQDDDPNYVISQFAIREVEAIPKASAIILNTFDELEPEAINALRSKFPGIYTIGPLHLLRNQFPLGDDLKSIGCNLWKEDPYCLEWLDTKEAGSVVYVNYGSVTVMTPEQLLEFAWGLANSKFTFLWIIRPDLVKGESAILPPEFLTETRERGLLASWCPQEQVLNHPSVGCFLTHCGWNSTLESISAGVPMLCWPFFSEQPTNCWIKISKIARQFRPSPLPVSTKSTFCMHDTLSPFSVCMMAEVYQNLYWLFLRLLPESFLFSSGLNYMAANA >itb04g00810.t1 pep chromosome:ASM357664v1:4:439035:444549:1 gene:itb04g00810 transcript:itb04g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal binding protein AN [Source:Projected from Arabidopsis thaliana (AT1G01510) UniProtKB/Swiss-Prot;Acc:O23702] MSMKKRENQSSSSSRSPAPMELRNNPTPEKQQMPLVVTLNCIEDTGFEQDCLAGIVVVEHVPLSRLAEARIESASAVLLHSLAFLPRAAQRRLRPWQLILCLGSSDRAVDSALAADLGLTRLVHVDCSRAEEVADTVMALILGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIIGRSASARSLASRSLAFKMSVLYFDIQEGNGKVSQTPIRFPPAARRMDTLNDLLAASDVISLHCALTNETVQIINADCLQHIKPGAFLVNTGSCQLLDDCAVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAIAMLQAFFLDGVIPKDSISDEEEEESEIAYGNEECNIRDNQSVMQGPVGERYTEDVNLTAESSQTKIMSESREPPVQPQGSVLSQNVSERSEVKRSRSGKKAKKRHARQKSQQKADEHLKFEKESTSQNDDGAALSGTDQVLSSSPRFSSPEDVRSRKTPIEFIQESSSEKLLKSNMDLSRKSGELLKDGYIIALYARHHPALHVSRQRVQGGGWFLDSMSNITKRDPAAQFLVVFRSKDTIGLRSFTAGGKLLQINRRMEFVFASHSFDVWESWTFEGSLEECRLVNCRNPLAILDVRIEVLAAVGEDGITRWLD >itb05g16590.t1 pep chromosome:ASM357664v1:5:23769898:23776260:-1 gene:itb05g16590 transcript:itb05g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELASQKVQEWGEAYMDYNSLKGVLKDISRFRRRNAAAQSSVPAAATATRKNSLKRRLSMYRAFSGLTNRLSSPRGGTPRSDHEDEVILVNAVEQEGIGGEQYQTMFLMSSEAGGEYELVFFRRLDDEFNKVVRFYREKVEEVRKEADELSKQMDALIALRIVVEKPPVDINADQTRDSAAIEANKSHMDAIQEIEMSGEEVGEDDGGTSAGTATMADQNTRIIEEKTNNFTDFRPAPLHVLYDVRVNIQPETPISTLKNILKASNSELKYSRAELRKAEERLRKAFMEFYRKLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEVSRLMERTEATFIKHFANGNRRKGMSVLRPHVKREKHRITFFLGLFSGCSIALIVAIIVSIRARNLLQHQGSNQFMENIFPLYSLFGFIVLHMLMYAGDIYFWRRYRINYPFIFGFKQGTELGYREVLLLASGIFVLALAAVLSHLDMEMDPVTRTYKVLTESVPLILISVLLIITFCPLNIVYRSSRFFLIRCAWHCICAPLFKVTLPDFFLADQLTSQVQAIRSFEFYICYYGWGNFRQRLNKFGIWDSHFCENMKFGKELASQKVQEWGEAYIDYNSLKGVLKEISRFQRRNAAAAAATRKNSLKRRLSMYRAFSGLTNRLSIPRGGTPRSDHEDEVILVNAVEQEGIGGAPQYQTMFLMSSEAGGEYELVFFRRLDDELNKVVRFYREKVEEVRKEADELSKQMDALIALRIVVEKPPVPVDINGDQIRDSAAIEANKSHMDAIQEIEMSGEGMGEENTRIIEEKTTNKFTDFRPAPLHVLNDVRVNIQPETPISTLKNILKASNSQLKYSRAELRKAEERLRKAFMEFYGKLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEEVRVRHMFKEQNIVTYHLSKLAIHWRICWAKLENPPPRVWLSCLKTT >itb10g19940.t3 pep chromosome:ASM357664v1:10:25593996:25595950:-1 gene:itb10g19940 transcript:itb10g19940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKSVFSSAAICARAAATSSIRTAATRAAAGAKRRTSASPFAIPTQRPLTSRIFRSPVELSCVRVETMLPYHTATASALLNSMLSVAPRCYGWTLEDL >itb10g19940.t1 pep chromosome:ASM357664v1:10:25593996:25597807:-1 gene:itb10g19940 transcript:itb10g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKSVFSSAAICARAAATSSIRTAATRAAAGAKRRTSASPFAIPTQRPLTSRIFRSPVELSCVRVETMLPYHTATASALLNSMLSVAPRCYGWTLEDL >itb10g19940.t4 pep chromosome:ASM357664v1:10:25593996:25595950:-1 gene:itb10g19940 transcript:itb10g19940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKSVFSSAAICARAAATSSIRTAATRAAAGAKRRTSASPFAIPTQRPLTSRIFRSPVELSCVRVETMLPYHTATASALLNSMLSVAPRCYGWTLEDCNDGV >itb10g19940.t5 pep chromosome:ASM357664v1:10:25594869:25595880:-1 gene:itb10g19940 transcript:itb10g19940.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKSVFSSAAICARAAATSSIRTAATRAAAGAKRRTSASPFAIPTQRPLTSRIFRSPVELSCVRVETMLPYHTATASALLNSMLSVAPRCYGWTLEGQKRTR >itb10g19940.t2 pep chromosome:ASM357664v1:10:25593996:25597807:-1 gene:itb10g19940 transcript:itb10g19940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKSVFSSAAICARAAATSSIRTAATRAAAGAKRRTSASPFAIPTQRPLTSRIFRSPVELSCVRVETMLPYHTATASALLNSMLSVAPRCYGWTLEDCNDGV >itb12g28330.t2 pep chromosome:ASM357664v1:12:28290115:28292607:-1 gene:itb12g28330 transcript:itb12g28330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAFRCPSSPSLCRAPKISAISSSPPPPSSICFRSSPSSINRQKFPSIPLASVQAAGEVAPAISLTDNALKHLNKMRAERNEDLFLRIGVKQGGCSGMSYTMEFERRENARPDDSIIEYNGFVIVCDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >itb12g28330.t1 pep chromosome:ASM357664v1:12:28290115:28292607:-1 gene:itb12g28330 transcript:itb12g28330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAFRCPSSPSLCRAPKISAISSSPPPPSSICFRSSPSSINRQKFPSIPLASVQAAAGEVAPAISLTDNALKHLNKMRAERNEDLFLRIGVKQGGCSGMSYTMEFERRENARPDDSIIEYNGFVIVCDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >itb12g28330.t3 pep chromosome:ASM357664v1:12:28290115:28292607:-1 gene:itb12g28330 transcript:itb12g28330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAFRCPSSPSLCRAPKISAISSSPPPPSSICFRSSPSSINRQKFPSIPLASVQAPAAAGEVAPAISLTDNALKHLNKMRAERNEDLFLRIGVKQGGCSGMSYTMEFERRENARPDDSIIEYNGFVIVCDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >itb02g15780.t1 pep chromosome:ASM357664v1:2:11491327:11494046:1 gene:itb02g15780 transcript:itb02g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVQVDQSKVAIKERFGKYEDVLEPGCHFVPWCLGSRIAGHLTLRVQQLDVRCETKTKDNVFVNVVASIQYRALAEKANDAFYKLSNTKGQIQAYVFDVIRASVPKLNLDNVFEQKNEIAKAVEDELEKAMSTYGYEIVQTLIVDIEPDVRVKKAMNEINAAARLRQAANEKAEAEKIIQIKKAEGEAESKYLAGLGIARQRQAIVDGLRDSVLGFSVNVPGTTSKDVMEMILVTQYFDTMKEIGAANKSSAVFIPHGPGAVRDVANQIRDGLLQATHSAEH >itb02g21220.t1 pep chromosome:ASM357664v1:2:19855558:19857514:-1 gene:itb02g21220 transcript:itb02g21220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEHAEYHIEKVLRVRKAPPRLNITDAIERYLQSNNRLLILGFNAVLTEMVDTAGRRGGDQIKEMELKLHPNLNGPLSALCMDPKTTIVVLSGSDRNVLDDNFGEYNMWLAAEHGMFLRSTKGEWMTTMPELLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGQRSVEVRAVGVTKGAAIDRILGEIVHNKAITTPIDYVLCIGHFLGKDEDVYTFFEPDLPCDGMSIPRSKTTDALKVPGDRRPTPKLSSSKSNSKSSQSRTKPIPNLEKKTPNHASSKNNSKPTPENASWNVLDLKKENYFSCSVGRNRTNARYVMSGSGDVVAFLRQLAESSTSTASYSH >itb06g19730.t3 pep chromosome:ASM357664v1:6:22935087:22937105:1 gene:itb06g19730 transcript:itb06g19730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCNSPKKHKSPPPPSSPIPWAQVVRGDSEAKASSTPRSPSNPSENNNALLNKENKFENKAATLPVIGGEVSWPALSECTRSNSPKIAASLPQVSQEPVVQQSSQKQHNHNSHPNSNSKHSMAGRQRSMKHRSGAPGQGGFSRQPPLSPSPPPFPIFEMPYGNLIPAVMDPSMVGLRPAVGGVVHLRPNYSSPNNLPRRESSGARPHGDWTYNQGNMHNHGRRDPRHGGFIPSPPPSSPPFLPQAVGSLGCPIGFDVASPFMSYIPTLQGMPPPPPSMIYPIDLSTSLLKQIDYYFR >itb06g19730.t2 pep chromosome:ASM357664v1:6:22935087:22937105:1 gene:itb06g19730 transcript:itb06g19730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCNSPKKHKSPPPPSSPIPWAQVVRGDSEAKASSTPRSPSNPSENNNALLNKENKFENKAATLPVIGGEVSWPALSECTRSNSPKIAASLPQEPVVQQSSQKQHNHNSHPNSNSKHSMAGRQRSMKHRSGAPGQGGFSRQPPLSPSPPPFPIFEMPYGNLIPAVMDPSMVGLRPAVGGVVHLRPNYSSPNNLPRRESSGARPHGDWTYNQGNMHNHGRRDPRHGGFIPSPPPSSPPFLPQAVGSLGCPIGFDVASPFMSYIPTLQGMPPPPPSMIYPIDLSTSLLKQIDYYFR >itb06g19730.t1 pep chromosome:ASM357664v1:6:22935057:22937180:1 gene:itb06g19730 transcript:itb06g19730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADCNSPKKHKSPPPPSSPIPWAQVVRGDSEAKASSTPRSPSNPSENNNALLNKENKFENKAATLPVIGGEVSWPALSECTRSNSPKIAASLPQVSQEPVVQQSSQKQHNHNSHPNSNSKHSMAGRQRSMKHRSGAPGQGGFSRQPPLSPSPPPFPIFEMPYGNLIPAVMDPSMVGLRPAVGGVVHLRPNYSSPNNLPRRESSGARPHGDWTYNQGNMHNHGRRDPRHGGFIPSPPPSSPPFLPQAVGSLGCPIGFDVASPFMSYIPTLQGMPPPPPSMIYPIDLSTSLLKQIDYYFSDTNLVQDHFLRSKMDSEGWVPINLIANFPRVRQLTNNVLFILNCLKASKVVEVQGERIRRRDNWKKWIHSS >itb12g03000.t1 pep chromosome:ASM357664v1:12:1970888:1974667:-1 gene:itb12g03000 transcript:itb12g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFPALIFCIVLVSSACAAQRSAENLAEIQALNSFKLSVHDPLDALADWDSSSPAAPCDWGGVKCENGRVSELDLPRRQLGGPLTPQIANLRLLRKLSISSNSFNGSVPSSLAKCSLLHSVFLQENSFSGGFPPEVSKLAGLKIFNVAGNKLSGGIPGDLPQSIRYFDLSSNSFTGEIPRNISSLSQLQYINLSSNRLSGEIPASLGELQQLQYLWLADNDLEGTLPSAIANCSSLVNLNAQGNAISGVIPAAIGALPKLQVISLSHNNLSGSIPASMFCSVSVYPPSIRIVQLGFNAFTDIVPPESSLCLTTLKMLDLQQNSIHGNFPLFLTNNSSLTSLDVSGNYFSGKIPSSIGNLWALEELKMANNSFEGVIPVEITNCTSLKILDLGGNQLKGGIPAFLGQLRNLTSLSLGRNQFNGSIPSTFGDLLNLGNLDLGGNALTGSLPEELMSLSSLSTLDLSSNKFSGSMPVSIGNLQQLSVLNLSSNGFSGRIPGSIGSLYKLEALDLSRQNLSGELPFDLSGLPNLQVIALQENKLSGEVPEGFSSLLGLQYLNLSSNSFFGPIPSTFGFLKSLVVLSLSNNRVSGLIPPELGNCSVLEVLSLHSNSLSGQIPVDLSHLSHLRILDLGQNNFTGEIPVDISNCSSLTSLVLDSNHLSSHIPESLSHLSNLVILDLSSNNLTGEIPENFTLLLNLASFNVSNNKLVGQIPSKLGSRLNDPSDYTGNQGLCGKPLSKECEGGGDGKGNRLVMLIAIAASGALLLASCCCFYTYSLLRWRNKLKEKAAAGEKKHSPARASSRTSGGRLSSENGGPKLVMFNNKITLAETIEATRQFDEENVLSRTRFGVVFKACYNDGMVLSVRRLPDGSLDENMFRKEAESLGRVKHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTSSILHGDIKPQNVLFDADFEAHLADFGLDKLTLAAPSQPSTSTPVGTLGYVSPEAGLTGETTRESDVYSFGIVLLELLTGKRPVMFTESEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLNRPTMTDIVFMLEGCRFGPDIPSSADPTCQPSPA >itb09g07240.t1 pep chromosome:ASM357664v1:9:4187151:4191316:1 gene:itb09g07240 transcript:itb09g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVKELKSKEELEKLVADGSPAVVHFWATWCEASKHMDQVFSHLSIDFPHVHFLRVEAEEQPEISEAYSVSAVPYFVFFKDGKTVDTLEGADPSTLANKVSKISGQITPGEPAAPASLGMAAGPIIIETVQNLVKNNGASQVESLAPSGLSDGLKTRLGQLINSHPVMLFMKGSPGEPKCGFSKKVVEILKNEKVNFGTFDILTDNEVRDGLKKFSSWPTFPQLYCKGELLGGCDIAIAMHESGELKDVFKDHGVEISSSDKTPSTEPGEGKGGISQPSGLSAALTSRLEGLINSSPVMLFMKGKPEEPKCGFSRKVVDILKQEKVDFNSFDILTDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELNKVLLEKGILQKDTLEDRLKKLVNSSPVILFMKGSPEAPRCGFSSKVVNALKEEGIEFGSFDILSDEEVRQGLKTFSNWPTYPQLYYKGELVGGCDIILELKNNGELKSTLSE >itb10g25120.t1 pep chromosome:ASM357664v1:10:28565555:28570181:-1 gene:itb10g25120 transcript:itb10g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASTKFKHSLKKKSSRRKSIGRLGSVSIEDIRDAEELQAVDAFRQELILDELLPEQHDDYYMMLRFLKARKFDIEKAKNMWADMLQWRKEFGVETTLKEFEFNELNEVLKYYPHGYHGVDKEGRPVYIERLGKVDPNKLMQVTTMERFIKYHVKEFEKCFSIKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARELIMQLQKIDCDNFPETLHQMFIINAGPGFKILWNTVKSFLDPKTTSKIHVLGNKYQNKLLEVIDASELPEFLGGTCLCAGQGGCLFSDKGPWKIPEILKMVGEASRCRQVVKVLNNEGKVVYVKPRYPMVKVSDTSAGESGSEAEDIASPKAARTYSHLQLTPVREEAKGIGATSYPINYSGYDEYVPMVDKAVDSGWKKQTESEKPCVPTVILPPPDSQKTPAEGLHARFLGALMAFFFTFFILLRSVASSVTKKLPIASSNQSKLCEFSSESIPKEEFRPPSPTPAPSEAELLSTVLGRLHELEKKVNVLQEKPSKMPYEKEELLHAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQQEAKFRKKKFCL >itb02g18560.t1 pep chromosome:ASM357664v1:2:14903547:14904455:-1 gene:itb02g18560 transcript:itb02g18560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIELKLISNRKRRFVTFQKRKKGLEKKAYELSTLCDVKVCLIMYGPKGNTENQIPEPNIWPENPEFVSTMIESYQNQVESNKKIRTQDLSTFYDNLAKKAECERVKKLHERKNGVNAVKYPTWDERFNRLSACELRNVISELDVKVKASKERIDLLKGKRITVSPQKPDLAAQPPLISRRLVGTGSLQMESIQQTSYNINPPTINPVPYHLRHDGRHLLAAAEVMVTKNRVTMTKVEPMPSSLLSCAQPAAEMRPYLQNLPPPATVPYSWNLPAAVIPYGQYQWMHYSDNVMRNGYYP >itb11g12680.t2 pep chromosome:ASM357664v1:11:9636930:9640370:-1 gene:itb11g12680 transcript:itb11g12680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMTTSLRSSITVCSGPVKSGRRFTTDAPGFVRFGSKALVPAPSIKLYPSISQVKLGNRRVAFGSTKCNSIRASLPLSNSGGSAMPIAPLKLESPIGQFLTQILVSHPHLVSAAVDQQLEQLQTDRDLEKQNDEPPASGTDIVLYRRIAEVKANERRKALEEILYALVVQKFMDADVPLVPAITSHSQDSSGSIDTLPSKDEQLARLHSPEAYEMIQNHLSLILGNKSGANSSVQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKALPQGLDKEDSGIQQVGGDSSDTSLRSSPSHPEVVSWPDGGIGSRGFGTELKPSRLRTYVMSFDGETLQRYATIRSKEAINIIEKHTEALFGRPEITITPEGTIDSSKDELIKISFSSLRRLVLEAVTFGSFLWDVESSVDSRYHFVGH >itb11g12680.t1 pep chromosome:ASM357664v1:11:9636930:9640370:-1 gene:itb11g12680 transcript:itb11g12680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMTTSLRSSITVCSGPVKSGRRFTTDAPGFVRFGSKALVPAPSIKVKLGNRRVAFGSTKCNSIRASLPLSNSGGSAMPIAPLKLESPIGQFLTQILVSHPHLVSAAVDQQLEQLQTDRDLEKQNDEPPASGTDIVLYRRIAEVKANERRKALEEILYALVVQKFMDADVPLVPAITSHSQDSSGSIDTLPSKDEQLARLHSPEAYEMIQNHLSLILGNKSGANSSVQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKALPQGLDKEDSGIQQVGGDSSDTSLRSSPSHPEVVSWPDGGIGSRGFGTELKPSRLRTYVMSFDGETLQRYATIRSKEAINIIEKHTEALFGRPEITITPEGTIDSSKDELIKISFSSLRRLVLEAVTFGSFLWDVESSVDSRYHFVGH >itb07g02580.t1 pep chromosome:ASM357664v1:7:1656270:1659805:1 gene:itb07g02580 transcript:itb07g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVDLVTSGNGDPWDDHSLGQVVGIVISHSSIYICSLRFLCVKDNIHQMSKQHGNGSGNSEMIILDHPTEFFIGVYGFYYNSSSNTNVIRSIIFVTNKFRYGPYGGPRCSSAETEFSFQLWGKESNRIARFYGIGRLASGHARVVENSEKPHMGASKMYSPPPIQRLLLLPDNHHHLLKNKSTQKMKKSSTTINFSASQARSSSGSFISSLTGDTEIYNLHFPLVSR >itb04g12210.t1 pep chromosome:ASM357664v1:4:11974327:11976461:1 gene:itb04g12210 transcript:itb04g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVPRIKLGSQGLEVSAQGLGCMGMSSFYGPPKPDEDMIKLIHHAIDSGVTLLDTSDMYGPHTNEILLGKALKGGVREKVQLATKFAYSFGEGGMDIRGDPEYVRAACEASLKRLELDCIDLYYQHRVDTRVPIEVTMGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQIEWSLWARDVEEEIIPTCRELGIGIVAYSPLGRGFLSSGSKLLENFSEGDTRQYLPRFNGENAEQNKKLYERINKLAQQKGCTPSQLALAWLHHQGNDVCPIPGTTRIENFNQNIGAFSVKLTADDISELECIASAVKGDRYPPGMNTWKESDTPPLSAWKAAA >itb13g24260.t4 pep chromosome:ASM357664v1:13:29970151:29976734:-1 gene:itb13g24260 transcript:itb13g24260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVNNNNVDIALAMGFSDRTVKTKLNDDSGAGVNAGSGLHIFAAPDPLAELVWTPRKGLSLKRADNSLAKKKPILLWNVAPSNEVSTPPEIISFNGINDQNDIGEGSVNGMENINPAEIDGNVDTNQNKESQRENEEKDLSVLQKIQTIGEGERGENCAGENMESSIIKEVSVQNEARLLRNDSVPIEASPTNVKISGHKRKGKGKALSDSDDSNGKMSSSEEGSHESVESCNSARMFPESKNLENFEQPWYSGSKRVKMQMQRSVAPTSTIGHGSSFLTWISNMVNGLSKPCQEEVPPLALAVAHSNLGHEGNHNIWSTFAIPEIRISKDDISAGESKDVVVDDKMIIEVSPTQCPWENDGSDEQIIVSNDKINTSASANVEGVSTKSPITSQNVALAWEACKSTSAENKASGSLECSKAKTGGCCSCSMCMLRTGNRESSSPSHLSENKTLKNMSDRGSLQSIWITRFSSRDPITALNLADCRDNRDETTNGAKPNPNAQITSEILIYHKGSEQKVPFPDEPGNDILKDMPSYALNDDASVGFDNYEKSIHKLNPTIPFPKFKSSEAMTCLFARRLEAFKHNIPPDARNEATCTKAICLFCGKSGDGFCNCSEATESDAFLAKEEGTYNIIVNHIGCCKEKSGIVTDTNNLAQTPESISVERKARSSDVPENVASNPGENVLKGTGVLPLSTFGSKETVSVPKGAFDAIRRLRLSRGDILKWLDSNAPLSHLDGFFLRLRLGKWEGALGGTGYYIARIAGSQQEYSAKDAKKCISVSICGITCSVGSQYISNHDFLEDELMAWWCKTSESGGQVPSEDELRLKVEHRMKLGF >itb13g24260.t2 pep chromosome:ASM357664v1:13:29970151:29976747:-1 gene:itb13g24260 transcript:itb13g24260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVNNNNVDIALAMGFSDRTVKTKLNDDSGAGVNAGSGLHIFAAPDPLAELVWTPRKGLSLKRADNSLAKKKPILLWNVAPSNEVSTPPEIISFNGINDQNDIGEGSVNGMENINPAEIDGNVDTNQNKESQRENEEKDLSVLQKIQTIGEGERGENCAGENMESSIIKEVSVQNEARLLRNDSVPIEASPTNVKISGHKRKGKGKALSDSDDSNGKMSSSEEGSHESVESCNSARMFPESKNLENFEQPWYSGSKRVKMQMQRSVAPTSTIGHGSSFLTWISNMVNGLSKPCQEEVPPLALAVAHSNLGHEGNHNIWSTFAIPEIRISKDDISAGESKDVVVDDKMIIEVSPTQCPWENDGSDEQIIVSNDKINTSASANVEGVSTKSPITSQNVALAWEACKSTSAENKASGSLECSKAKTGGCCSCSMCMLRTGNRESSSPSHLSENKTLKNMSDRGSLQSIWITRFSSRDPITALNLADCRDNRDETTNGAKPNPNAQITSEILIYHKGSEQKVPFPDEPGNDILKDMPSYALNDDASVGFDNYEKSIHKLNPTIPFPKFKSSEAMTCLFARRLEAFKHNIPPDARNEATCTKAICLFCGKSGDGFCNCSEATESDAFLAKEEGTYNIIVNHIGCCKEKSGIVTDTNNLAQTPESISVERKARSSDVPENVASNPGENVLKGTGVLPLSTFGSKETVSVPKGAFDAIRRLRLSRGDILKWLDSNAPLSHLDGFFLRLRLGKWEGALGGTGYYIARIAGSQQEYSAKDAKKCISVSICGITCSVGSQYISNHDFLEDELMAWWCKTSESGGQVPSEDELRLKVEHRMKLGF >itb13g24260.t1 pep chromosome:ASM357664v1:13:29970151:29976747:-1 gene:itb13g24260 transcript:itb13g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVNNNNVDIALAMGFSDRTVKTKLNDDSGAGVNAGSGLHIFAAPDPLAELVWTPRKGLSLKRADNSLAKKKPILLWNVAPSNEVSTPPEIISFNGINDQNDIGEGSVNGMENINPAEIDGNVDTNQNKESQRENEEKDLSVLQKIQTIGEGERGENCAGENMESSIIKEVSVQNEARLLRNDSVPIEASPTNVKISGHKRKGKGKALSDSDDSNGKMSSSEEGSHESVESCNSARMFPESKNLENFEQPWYSGSKRVKMQMQRSVAPTSTIGHGSSFLTWISNMVNGLSKPCQEEVPPLALAVAHSNLGHEGNHNIWSTFAIPEIRISKDDISAGESKDVVVDDKMIIEVSPTQCPWENDGSDEQIIVSNDKINTSASANVEGVSTKSPITSQNVALAWEACKSTSAENKASGSLECSKAKTGGCCSCSMCMLRTGNRESSSPSHLSENKTLKNMSDRGSLQSIWITRFSSRDPITALNLADCRDNRDETTNGAKPNPNAQITSEILIYHKGSEQKVPFPDEPGNDILKDMPSYALNDDASVGFDNYEKSIHKLNPTIPFPKFKSSEAMTCLFARRLEAFKHNIPPDARNEATCTKAICLFCGKSGDGFCNCSEATESKREDLLRNIGSYDWTENSHSLFSRCLQLDHWATTCPIVSSGDAFLAKEEGTYNIIVNHIGCCKEKSGIVTDTNNLAQTPESISVERKARSSDVPENVASNPGENVLKGTGVLPLSTFGSKETVSVPKGAFDAIRRLRLSRGDILKWLDSNAPLSHLDGFFLRLRLGKWEGALGGTGYYIARIAGSQQEYSAKDAKKCISVSICGITCSVGSQYISNHDFLEDELMAWWCKTSESGGQVPSEDELRLKVEHRMKLGF >itb13g24260.t5 pep chromosome:ASM357664v1:13:29970151:29976734:-1 gene:itb13g24260 transcript:itb13g24260.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVNNNNVDIALAMGFSDRTVKTKLNDDSGAGVNAGSGLHIFAAPDPLAELVWTPRKGLSLKRADNSLAKKKPILLWNVAPSNEVSTPPEIISFNGINDQNDIGEGSVNGMENINPAEIDGNVDTNQNKESQRENEEKDLSVLQKIQTIGEGERGENCAGENMESSIIKEVSVQNEARLLRNDSVPIEASPTNVKISGHKRKGKGKALSDSDDSNGKMSSSEEGSHESVESCNSARMFPESKNLENFEQPWYSGSKRVKMQMQRSVAPTSTIGHGSSFLTWISNMVNGLSKPCQEEVPPLALAVAHSNLGHEGNHNIWSTFAIPEIRISKDDISAGESKDVVVDDKMIIEVSPTQCPWENDGSDEQIIVSNDKINTSASANVEGVSTKSPITSQNVALAWEACKSTSAENKASGSLECSKAKTGGCCSCSMCMLRTGNRESSSPSHLSENKTLKNMSDRGSLQSIWITRFSSRDPITALNLADCRDNRDETTNGAKPNPNAQITSEILIYHKGSEQKVPFPDEPGNDILKDMPSYALNDDASVGFDNYEKSIHKLNPTIPFPKFKSSEAMTCLFARRLEAFKHNIPPDARNEATCTKAICLFCGKSGDGFCNCSEATESKREDLLRNIGSYDWTENSHSLFSRCLQLDHWATTCPIVSSGKQYWRGHGNSRVNHNSTNNLQLCSGDAFLAKEEGTYNIIVNHIGCCKEKSGIVTDTNNLAQTPESISVERKARSSDVPENVASNPGENVLKGTGVLPLSTFGSKETVSVPKGAFDAIRRLRLSRGDILKWLDSNAPLSHLDGFFLRLRLGKWEGALGGTGYYIARIAGSQQEYSAKDAKKCISVSICGITCSVGSQYISNHDFLEDELMAWWCKTSESGGQVPSEDELRLKVEHRMKLGF >itb13g24260.t3 pep chromosome:ASM357664v1:13:29970151:29976747:-1 gene:itb13g24260 transcript:itb13g24260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVNNNNVDIALAMGFSDRTVKTKLNDDSGAGVNAGSGLHIFAAPDPLAELVWTPRKGLSLKRADNSLAKKKPILLWNVAPSNEVSTPPEIISFNGINDQNDIGEGSVNGMENINPAEIDGNVDTNQNKESQRENEEKDLSVLQKIQTIGEGERGENCAGENMESSIIKEVSVQNEARLLRNDSVPIEASPTNVKISGHKRKGKGKALSDSDDSNGKMSSSEEGSHESVESCNSARMFPESKNLENFEQPWYSGSKRVKMQMQRSVAPTSTIGHGSSFLTWISNMVNGLSKPCQEEVPPLALAVAHSNLGHEGNHNIWSTFAIPEIRISKDDISAGESKDVVVDDKMIIEVSPTQCPWENDGSDEQIIVSNDKINTSASANVEGVSTKSPITSQNVALAWEACKSTSAENKASGSLECSKAKTGGCCSCSMCMLRTGNRESSSPSHLSENKTLKNMSDRGSLQSIWITRFSSRDPITALNLADCRDNRDETTNGAKPNPNAQITSEILIYHKGSEQKVPFPDEPGNDILKDMPSYALNDDASVGFDNYEKSIHKLNPTIPFPKFKSSEAMTCLFARRLEAFKHNIPPDARNEATCTKAICLFCGKSGDGFCNCSEATESKREDLLRNIGSYDWTENSHSLFSRCLQLDHWATTCPIVSSGKQYWRGHGNSRVNHNSTNNLQLCSGDAFLAKEEGTYNIIVNHIGCCKEKSGIVTDTNNLAQTPESISVERKARSSDVPENVASNPGENVLKGTGVLPLSTFGSKETVSVPKGAFDAIRRLRLSRGDILKWLDSNAPLSHLDGFFLRLRLGKWEGALGGTGYYIARIAGSQQEYSAKDAKKCISVSICGITCSVGSQYISNHDFLEDELMAWWCKTSESGGQVPSEDELRLKVEHRMKLGF >itb07g07150.t1 pep chromosome:ASM357664v1:7:5441634:5443218:1 gene:itb07g07150 transcript:itb07g07150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYRHFAASEKRKTVEPPAPQISPVLLSQDELKKLAAYKAVEYVESGMVVGLGTGSTAKHAVDRIGELLRLGKLEKIVGIPTSKATHAQAVALGIPLSDLNAHPILDLAIDGADEVDPHMNLVKGRGGSLLREKMVEAASKKFVVIVDESKLVGHLGGSGLAMPVEIVPFCWEFTLKRLEMLFMEAGCVGRLRTAAGDSNQPFVTDNGNYIIDLYFKKDMGDLKAASDAILRLAGVVEHGMFLDMATTVIVAGKLGVTVTNQVGN >itb12g00320.t1 pep chromosome:ASM357664v1:12:304453:309550:-1 gene:itb12g00320 transcript:itb12g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMMAGKVFFLLIFLNGISNIVIAAGPPTCPADIKSDCASDSGEWEGEFFPGIPKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWMRFSVAFWHTFRGTGSDPFGAPTKLWPWEDGTNSLAMAKRRMRANFELLDKLGVNRWCFHDRDIAPDGKTLEEANANLDEVVALAKELQGSKIRPLWGTSQLFVHPRYMHGGATSSELGVYVYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELNHLARFMQAAVAYKKKIGFNGTLFIEPKPQEPTKHQYDWDAATSANFLRRYGLIDEFKLNIECNHATLSGHSCHHEVETARINGLLGNIDANSGDPQTGWDTDQFPMDVAEATLVMLSVIKNGGLAPGGFNFDAKLRRESTDVEDIFIAHIGGMDTLARGLRSAAKLIKDGSLNELVRKRYQSFDSELGAAIEAGKADFELLEKKAMEWGEPKVPSAKQELAEMILQSAI >itb03g22380.t1 pep chromosome:ASM357664v1:3:20446086:20448724:-1 gene:itb03g22380 transcript:itb03g22380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFLAFLLFHLFISGAGAGSPAYTPPDYFLLNCGASSSQQFQGRTWETDNFTTFIPPNAAHISLTFTAIEQGPSVPQVPYTTGVRIFTSQFTYSFPVSAGPKFLRLYFHAAHFPPAEFDLTKSFFSVRANEYTLLSNFSAYLTSVDSLVQKEYIVNVNDNYQKLNITFTPSSSSYAFVNGIEIVSIPTGYYIKGEAAGVDPVKWVPGANNFYIFNNTALETLYRLNIGGQTLPAINDTGMYRTWYSDDEYVIGYGYYTPDSDVNITYTSLTPSYSAPEIVYTSARTIAHYSSSVNWSFPLDSGFLYLFRLYFCEFQKVDGGNERVFSVDIANTTAERHVDVFILAGGSNIPIFQDYVVIVPDKDGRRSKQDVWFSISPNMDTRPVYANAILNGLEIFKLNDTQGSLAALNPQLSLPAKQSSPPPPPLKKKSRTVVVGAIVGGGVAVIMSLGILIFRRRRVGNFTPSFTKISWDILPAVSAAVRMRGRLGSSSLSSHHHNFPLEDLKSATGDFDENLVIGKGGFGKVYRGILDINGAPITVAIKRLNPESRQGAREFETEIEMLSKLRHIHIVSFIGYCNENKEMIIVYDYMGGGTLRDHLYKTNNPSLPWKKRLEICIGAAKGLHFLHTGTKCTIIHRDIKSSNILLDDKWVAKVSDFGLSKVGPICVGETQTQTHVSTAVKGSVGYVDPEYYRRRQLTEKSDVYSFGVVLFEALCARPAVDPTLTREKANLAEWGRKCCRKGMVDQMVDSRLRDEISPECLKSYAEIAYKCLMDEGVERPAMSDVICSLEFALQLQEKADGFLSTSLHRDTESNTASEISGEGIFSSSGEFAPKL >itb10g21290.t1 pep chromosome:ASM357664v1:10:26578476:26580435:1 gene:itb10g21290 transcript:itb10g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFPLIFGEMALIVIFVFKTPLRKLVILGLDRVKRGRGPIVLKTVAGTVFVVMLSTIYNVISIRNRWIEDEGGDITPTDQILLAQHLLEASLMGFSLFLGLMIDRLHHYIRELRMRRKTMEAVKKQNRAIEEGKAGISEEIKSLEGQASSLRERIKQLESDVEEKSKEVSSSEANAIALKKQSEGFLLEYDRLLEENQHLRSQLQSLDRKLSHSDSKKVS >itb14g21550.t1 pep chromosome:ASM357664v1:14:23508688:23509068:-1 gene:itb14g21550 transcript:itb14g21550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISGISSSNITSRRVLGKASDSQNGQAPCRLNNPLWLWKRPKQFRPVAAAPDKLSDKVAESVKNAEQTCAEDPASGECVAAWDEVEELSAAASHARDRQKANSDPLENYCKDNPETDECRTYDN >itb12g07260.t1 pep chromosome:ASM357664v1:12:5418156:5419061:-1 gene:itb12g07260 transcript:itb12g07260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHTDIVHDVSMDYYGKRVATASSDAMIKITRVSNNSTTQHLATLSGHRGPVWQVAWAHPKFGSLLASCSYDGKVIIWKEGNQNEWTQYRVFSEHKSSVNSISWAPHELGLCLACGSSDGCIFVYSARSDGSWDTKRIDQAHPVGVTAVSWAPPMVPGAIVGSGLLDPVRKLASGGCDNTVKVWKLCNGVWKMDSLPALQKHSNWVRDVAWAPNLGLPKSTIASASEDGTVVIWSVAKEGDQWEGKVLNDFKNPVWRVSWSLTGNMLAVASGDNNVTLWKEAVDGEWQQLKTDH >itb09g04120.t1 pep chromosome:ASM357664v1:9:2291076:2291399:-1 gene:itb09g04120 transcript:itb09g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENYVFPHKHIFTLLLKAFSKAKAGNPLKVFAQLLKFGFGSDHFVQNSLVSTFVVCAYIELSRKVFVEMQKRDVISYTALIDGFKRNRRSAEALELFLEMKKLRLV >itb06g03890.t1 pep chromosome:ASM357664v1:6:6370650:6371652:-1 gene:itb06g03890 transcript:itb06g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB27 [Source:Projected from Arabidopsis thaliana (AT3G53200) UniProtKB/Swiss-Prot;Acc:Q9SCP1] MEEETLRKGPWLEEEDEKLAAAVASLGERRWDALAKASGLRRTGKSCRLRWMNYLRPNIKRSRITTEEELLIIELQRKWGNKWAKIAKQLPGRTDNDIKNYWRSHLRKKILREQECFKNTSNKAQQMNTSWHSCTTADRASLKDGSLGSSADSSEVVELPDYTLVSSPYEERLWNWMSSWSHEDSEMEHHGDGESCSRYQWCCQPQWTSDYSCIGTIWDYASSSIWDNTS >itb07g20750.t1 pep chromosome:ASM357664v1:7:25106070:25107606:1 gene:itb07g20750 transcript:itb07g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSKALLDLEGKSTPSASSLESKLSFCNGEESFLSRSTKLNPPDKKPAISSIPQSEFLGKVKDFLGVILEANKNLELDSKTNPGKNYDIEALTGEESEYIEMDLMLGVAELQTEEAVAAAESALAGYQPVIPLAASSSETESEESSDDDDDSDEDSDGDDDDNGDGDEAERPSPKKGKTANPVEKDCSSSEASKNKQPRKKPKIVELS >itb01g08020.t2 pep chromosome:ASM357664v1:1:6362744:6369568:-1 gene:itb01g08020 transcript:itb01g08020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLEKAMAGKESKGQSCTFEFDGESSGLLGSRDSRWHFTNGQWVCIEYSYYRSCHIREFICYAGDRHRNEKLRPGTGLVVGRDRDQKDMEVVEVLHMNGGIGHTSYANNSLLQQNVILRAKPITDEAIRSLYANLNPKNICIADLGCSSGPNTFLAVSNLVKAVENQRKKLGRRQSPEFQIYLNDLPSNDFNTIFRSLPKHQEDFRREMGDGFGVPFFNAVAGSFYGRLFPTDSLHFVHSSFTLHWLSQVPQGLEGNKGNICMATTSPLNVIKAYYNQFNNDFSIFLKCRSKELVKGGKMVLTIMGRKNDNPTFHLMELLARALNDLVAEVIKYSFNFNYRICY >itb01g08020.t1 pep chromosome:ASM357664v1:1:6361895:6369568:-1 gene:itb01g08020 transcript:itb01g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLEKAMAGKESKGQSCTFEFDGESSGLLGSRDSRWHFTNGQWVCIEYSYYRSCHIREFICYAGDRHRNEKLRPGTGLVVGRDRDQKDMEVVEVLHMNGGIGHTSYANNSLLQQNVILRAKPITDEAIRSLYANLNPKNICIADLGCSSGPNTFLAVSNLVKAVENQRKKLGRRQSPEFQIYLNDLPSNDFNTIFRSLPKHQEDFRREMGDGFGVPFFNAVAGSFYGRLFPTDSLHFVHSSFTLHWLSQVPQGLEGNKGNICMATTSPLNVIKAYYNQFNNDFSIFLKCRSKELVKGGKMVLTIMGRKNDNPTFHLMELLARALNDLVAEGFVEEEKLNSFNTPVYTPSLAEVKSLVENDGSFTIECLETFQIHWTGDEYDNDDNIIGNNNNAAYKVARGLRAVIEPVLVSHFGEGIIEEVFQRYRKMIADSMSREKTEYTNFVISLIKK >itb01g08020.t3 pep chromosome:ASM357664v1:1:6362051:6365200:-1 gene:itb01g08020 transcript:itb01g08020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEVLHMNGGIGHTSYANNSLLQQNVILRAKPITDEAIRSLYANLNPKNICIADLGCSSGPNTFLAVSNLVKAVENQRKKLGRRQSPEFQIYLNDLPSNDFNTIFRSLPKHQEDFRREMGDGFGVPFFNAVAGSFYGRLFPTDSLHFVHSSFTLHWLSQVPQGLEGNKGNICMATTSPLNVIKAYYNQFNNDFSIFLKCRSKELVKGGKMVLTIMGRKNDNPTFHLMELLARALNDLVAEGFVEEEKLNSFNTPVYTPSLAEVKSLVENDGSFTIECLETFQIHWTGDEYDNDDNIIGNNNNAAYKVARGLRAVIEPVLVSHFGEGIIEEVFQRYRKMIADSMSREKTEYTNFVISLIKK >itb13g05130.t2 pep chromosome:ASM357664v1:13:6083047:6088526:-1 gene:itb13g05130 transcript:itb13g05130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKKPKPKPQFWRWAAASIVFRLVLIYFPKNLNFATRPEVSTPVTSLRRLAEGYWLKQSSMSPYAGSMYHGSPLLLSVLGPLTGNKIDGFSYHLLCSLVSVVADFISAMLIRATGQSLQRASEKSLKSLGLGKLLEDSDILPSGDIAALVYLWNPFTIVTCMGFNTTPLENMFVIISIYGACKGLAPLAAFGWTIASHLSLYPAVLIVPVILLLGYGPDAPPRKLFLRIHSAKDEDISLSESNTSKGLTSQKKELTSFSWRPVVLFLSWAFIWTSYILVLCGIFMRDFGGLQEMFKRTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFHVNILFMILPLAMRLYHRPCFLAFVYMAICSMLKSYPSVGDSALYLGLLALFINELSEMPFSFFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFATAMGYACFQVSFSLSLGHSF >itb13g05130.t1 pep chromosome:ASM357664v1:13:6082964:6088547:-1 gene:itb13g05130 transcript:itb13g05130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKKPKPKPQFWRWAAASIVFRLVLIYFPKNLNFATRPEVSTPVTSLRRLAEGYWLKQSSMSPYAGSMYHGSPLLLSVLGPLTGNKIDGFSYHLLCSLVSVVADFISAMLIRATGQSLQRASEKSLKSLGLGKLLEDSDILPSGDIAALVYLWNPFTIVTCMGFNTTPLENMFVIISIYGACKGLAPLAAFGWTIASHLSLYPAVLIVPVILLLGYGPDAPPRKLFLRIHSAKDEDISLSESNTSKGLTSQKKELTSFSWRPVVLFLSWAFIWTSYILVLCGIFMRDFGGLQEMFKRTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFHVNILFMILPLAMRLYHRPCFLAFVYMAICSMLKSYPSVGDSALYLGLLALFINELSEMPFSFFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFATAMGYACFQVILVVESVSSTLNHDRKITKLIKTSNA >itb03g24310.t1 pep chromosome:ASM357664v1:3:23004340:23004750:-1 gene:itb03g24310 transcript:itb03g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDHLREQHISRILSKLAWWLLTNFDARSCSLCVQDGNELHITEEDFALTLGFPRGNIRIEKRTKGDEDTTLVEEWKQQLRRTNLSITPTKLCKAMVECRDGGEWLKRRLAKLIATIFVESNSSDYVNTNLIKNF >itb12g06330.t2 pep chromosome:ASM357664v1:12:4710560:4714539:-1 gene:itb12g06330 transcript:itb12g06330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTTTPATVSGPSAQLVGNAFVEQYYLILHHSPDQLYRFYQESSVLSRPDPDGSMTSVTTMDRINDKIRSLDYKNYDAEIKTADSQSSYNGGVIVLVTGCLTGKDNLKRKFTQTFFLAPQDKGYYVLNDVFRYVEQDEADSNAKMVNEADDEPTVPSPDPEPAHVPDCLNANPASSDTEEVTNVGTKIHDSLEGEEQVSDEREIFVATESHINENQTSASLESTTSAIQDDAPKKSYASILSSQMNKGPTKIYVPTNASRMKTEKQSASMVAQVPVPEAESPAPIARSTAIDESQNAQEEAEGHSIYVRNLPFNITVAELEAEFNKYGPIKHGGIQVRSNRQQGFCFGFVEFHALNSMNNAIQVHDL >itb12g06330.t1 pep chromosome:ASM357664v1:12:4710560:4714549:-1 gene:itb12g06330 transcript:itb12g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTTTPATVSGPSAQLVGNAFVEQYYLILHHSPDQLYRFYQESSVLSRPDPDGSMTSVTTMDRINDKIRSLDYKNYDAEIKTADSQSSYNGGVIVLVTGCLTGKDNLKRKFTQTFFLAPQDKGYYVLNDVFRYVEQDEADSNAKMVNEADDEPTVPSPDPEPAHVPDCLNANPASSDTEEVTNVGTKIHDSLEGEEQVSDEREIFVATESHINENQTSASLESTTSAIQDDAPKKSYASILSSQMNKGPTKIYVPTNASRMKTEKQSASMVAQVPVPEAESPAPIARSTAIDESQNAQEEAEGHSIYVRNLPFNITVAELEAEFNKYGPIKHGGIQVRSNRQQGFCFGFVEFHALNSMNNAIQDSPIMIGDRQAVVEIKRTTTRVGMGRGRFGSGRGFRNDRFREHGDFRGGRGSYGRGDFGDGEFGRGQGGRGRGGGRRGGWSRNAASSSPH >itb06g20780.t3 pep chromosome:ASM357664v1:6:23624881:23629173:1 gene:itb06g20780 transcript:itb06g20780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHQFRIAFLFFVLFNAVVAQQGRLSSNSEWRALLGLRSSLGIRARDWRRKVDPCSKWTGVKCREGRVIGINLSGLTRTRQGRINPRFDVDSLANFTTLEVFNSSGFSLPGSIPDWFGQRLSALQVLDLSSSSILGLLPSSLGSLSRLSHLSLSNNSIAGTIPPALGKLLFLESLDLSRNELAGSIPNAFSALQNLTSLNLSSNYLSGSIPIEFGSLHSLRSLDLSSNSVSGSIPDQLANLSQLVELDLGQNYLSGSLPEVLGRLRSLEKLLVGNNQLEGAIPVSLFSNLTRLKDVVLRGNNLGGELPDNFLTMSHLRFLDISGNNFTGGFPNLMSFNATGASFNFSNNKFYGNLTSEIGNFRSIDLSSNYFQGFVPSNVGSSVNITNNCLQKKTGQRNPEDCRMFYANQNGPVRIPLQETHSRKNRLVYILVGVIGGFIVLLLLAIIALYWKKRSSRTPIQSESANVRPVQEAANTAPPDKASVDLSALGELFMYEKVLEATNHFSDTNFMTHGHSGDIYRGMLENGCPVAIKKLNLQSLENKSYILELEFYRDFNHPRLIPLLGHCLDQESDKCLIYKYMPNGDLSNLLYRVSNSEGEDVQSLDWITRLKIAIGTAEALSYLHHECYPPLVHRDIQSSSILLDDKYEVRLGSLSKVCVQGSDNRENMISKFLRIPQSSGKGTHSMRKPPSSNCAYDVYCFGKVLLELVTGKVGISKPDDNSTKEWLDRTLPFISISEREKVAGIVDQSMIVDEDLLEEVWAVAVVAKSCLNPRPSRRPIMRHVLKALESPFKVVRQDFSSGKLRTASSRRSWTSAFFGSWRHSSSDSTNASQGSKEGTSGVKQTSRLGSHSSGNEHSSSRNKSSSEIFPEPVESHDLERQDTSQLSREL >itb06g20780.t1 pep chromosome:ASM357664v1:6:23624855:23629173:1 gene:itb06g20780 transcript:itb06g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMMRFREGAMEVHQFRIAFLFFVLFNAVVAQQGRLSSNSEWRALLGLRSSLGIRARDWRRKVDPCSKWTGVKCREGRVIGINLSGLTRTRQGRINPRFDVDSLANFTTLEVFNSSGFSLPGSIPDWFGQRLSALQVLDLSSSSILGLLPSSLGSLSRLSHLSLSNNSIAGTIPPALGKLLFLESLDLSRNELAGSIPNAFSALQNLTSLNLSSNYLSGSIPIEFGSLHSLRSLDLSSNSVSGSIPDQLANLSQLVELDLGQNYLSGSLPEVLGRLRSLEKLLVGNNQLEGAIPVSLFSNLTRLKDVVLRGNNLGGELPDNFLTMSHLRFLDISGNNFTGGFPNLMSFNATGASFNFSNNKFYGNLTSEIGNFRSIDLSSNYFQGFVPSNVGSSVNITNNCLQKKTGQRNPEDCRMFYANQNGPVRIPLQETHSRKNRLVYILVGVIGGFIVLLLLAIIALYWKKRSSRTPIQSESANVRPVQEAANTAPPDKASVDLSALGELFMYEKVLEATNHFSDTNFMTHGHSGDIYRGMLENGCPVAIKKLNLQSLENKSYILELEFYRDFNHPRLIPLLGHCLDQESDKCLIYKYMPNGDLSNLLYRVSNSEGEDVQSLDWITRLKIAIGTAEALSYLHHECYPPLVHRDIQSSSILLDDKYEVRLGSLSKVCVQGSDNRENMISKFLRIPQ >itb06g20780.t2 pep chromosome:ASM357664v1:6:23624888:23629171:1 gene:itb06g20780 transcript:itb06g20780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMMRFREGAMEVHQFRIAFLFFVLFNAVVAQQGRLSSNSEWRALLGLRSSLGIRARDWRRKVDPCSKWTGVKCREGRVIGINLSGLTRTRQGRINPRFDVDSLANFTTLEVFNSSGFSLPGSIPDWFGQRLSALQVLDLSSSSILGLLPSSLGSLSRLSHLSLSNNSIAGTIPPALGKLLFLESLDLSRNELAGSIPNAFSALQNLTSLNLSSNYLSGSIPIEFGSLHSLRSLDLSSNSVSGSIPDQLANLSQLVELDLGQNYLSGSLPEVLGRLRSLEKLLVGNNQLEGAIPVSLFSNLTRLKDVVLRGNNLGGELPDNFLTMSHLRFLDISGNNFTGGFPNLMSFNATGASFNFSNNKFYGNLTSEIGNFRSIDLSSNYFQGFVPSNVGSSVNITNNCLQKKTGQRNPEDCRMFYANQNGPVRIPLQETHSRKNRLVYILVGVIGGFIVLLLLAIIALYWKKRSSRTPIQSESANVRPVQEAANTAPPDKASVDLSALGELFMYEKVLEATNHFSDTNFMTHGHSGDIYRGMLENGCPVAIKKLNLQSLENKSYILELEFYRDFNHPRLIPLLGHCLDQESDKCLIYKYMPNGDLSNLLYRVSNSEGEDVQSLDWITRLKIAIGTAEALSYLHHECYPPLVHRDIQSSSILLDDKYEVRLGSLSKVCVQGSDNRENMISKFLRIPQSSGKGTHSMRKPPSSNCAYDVYCFGKVLLELVTGKVGISKPDDNSTKEWLDRTLPFISISEREKVAGIVDQSMIVDEDLLEEVWAVAVVAKSCLNPRPSRRPIMRHVLKALESPFKVVRQDFSSGKLRTASSRRSWTSAFFGSWRHSSSDSTNASQGSKEGTSGVKQTSRLGSHSSGNEHSSSRNKSSSEIFPEPVESHDLERQDTSQLSREL >itb06g20780.t4 pep chromosome:ASM357664v1:6:23625302:23629173:1 gene:itb06g20780 transcript:itb06g20780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHQFRIAFLFFVLFNAVVAQQGRLSSNSEWRALLGLRSSLGIRARDWRRKVDPCSKWTGVKCREGRVIGINLSGLTRTRQGRINPRFDVDSLANFTTLEVFNSSGFSLPGSIPDWFGQRLSALQVLDLSSSSILGLLPSSLGSLSRLSHLSLSNNSIAGTIPPALGKLLFLESLDLSRNELAGSIPNAFSALQNLTSLNLSSNYLSGSIPIEFGSLHSLRSLDLSSNSVSGSIPDQLANLSQLVELDLGQNYLSGSLPEVLGRLRSLEKLLVGNNQLEGAIPVSLFSNLTRLKDVVLRGNNLGGELPDNFLTMSHLRFLDISGNNFTGGFPNLMSFNATGASFNFSNNKFYGNLTSEIGNFRSIDLSSNYFQGFVPSNVGSSVNITNNCLQKKTGQRNPEDCRMFYANQNGPVRIPLQETHSRKNRLVYILVGVIGGFIVLLLLAIIALYWKKRSSRTPIQSESANVRPVQEAANTAPPDKASVDLSALGELFMYEKVLEATNHFSDTNFMTHGHSGDIYRGMLENGCPVAIKKLNLQSLENKSYILELEFYRDFNHPRLIPLLGHCLDQESDKCLIYKYMPNGDLSNLLYRVSNSEGEDVQSLDWITRLKIAIGTAEALSYLHHECYPPLVHRDIQSSSILLDDKYEVRLGSLSKVCVQGSDNRENMISKFLRIPQ >itb01g16360.t1 pep chromosome:ASM357664v1:1:20590587:20591756:-1 gene:itb01g16360 transcript:itb01g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQIAAVQQALCATKIIKEDNVPCKIEEGLYLGSLGAANDKTRLKSLNITHILTVANALAPAHPNDFTYKVITVLDREDVEISQYFDECFKFIEEGKRVGGILVHCYMGRSRSVTAVVSYLMKKHGMSMSEALKLVKSKRQLACPNAGFMLQLQNYEKSLRGIAF >itb06g24830.t1 pep chromosome:ASM357664v1:6:26237834:26242621:1 gene:itb06g24830 transcript:itb06g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKQAVSVTPAVDHSGVLRDDVVGSGRGRVGSGGLGMGLEFDLKKVKKRGDSVLSAGSELGESGRASSNGCGSESVSFRLGNLHKYVEGEQVAAGWPAWLSAVAGEAIQGWVPLRTESFEKLEKIGQGTYSTVFRARDLESGRIVALKKVRFDNFEPESVRFMAREIMILRKLDHPNIIKLEGIITSRLSCSIYLVFEYMEHDVSGLLSSPDVKFSESQVKCYMKQLLSGLEHCHSRGIMHRDIKGANLLVNNDGILKIGDFGLANYYNLGNRHPLTSRVVTLWYRPPELLLGSTDYGASVDIWSVGCVFGELLTGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSKLPHATLFKPQHPYESCLWETFKDLPKTAVSLIESFLTVEPNKRQNATSALGSEYFKTKPYACDPSSLPKYPPCKEIDAKHREEARRKRPSGRTRGPETTRKPTRKQNGINKLAPEENLNVQTQGAHRSKASSLCSHKEGDSVIALQQPKPSIDVMEEASYAKNSSEADVPFSGPLQVSGSSGFSWAKRRSNDSSVRSRSRSSSRSLVFEPSIGLPTRSNLESKRQENGELRNGSRTNSKGQNSYDNAKRAAIEHWSQLERPDSFDASDSYHSQDLSLALYLKEEMALKRMNVVQDHGDKVEFSGPLLSQSHRVDELLEKHERHIRQAVRRSWFQRVRRNGK >itb13g20220.t1 pep chromosome:ASM357664v1:13:27045344:27048002:-1 gene:itb13g20220 transcript:itb13g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWGAFWGTRVMEIVKKHDSGGLVWKRIKLTTTRKANAKKRLRRVWQNEAVLRACSEAPASGTTHAGAGETDATQSTSKQG >itb10g19560.t1 pep chromosome:ASM357664v1:10:25377960:25379627:1 gene:itb10g19560 transcript:itb10g19560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHEGKLGRFSSCRGVAFEIKPHADPFAIPTAAMDSGRSSKRSWFPWGSLSKRIVPNYGDLMQRSTSHASSHFCDLELEDDDDDDAATLPDIEEGREYEKADPVPLPLALPPAKSKREKPKPPPSSRLSVILLDQGLFTVYKRLFVVSLAVNITLLIFAATGNFGYARQRPALFSIGNILALTLCRSEAVLRVVFWLAVNCLGWSWVPLRLKTMTTSLLQSLGGIHSSCGISAVAWLIYALVLTLKDRENTSPEIIAVASAILSLLVLSCMAAFPLVRHLHHNIFERTHRFTGWSALGLLWAFIILTISYDPTTESYSNDIGSRLVKQQEFWLTLAITILIILPWITVRRVPVRISSPSGHASIIKFAGGIKAGILGRISPSPFSEWHAFGIISNGKDEHMMLAGAVGDFTKSLVANPPSHLWVRQVHFAGLPYLTNMYNRILLVATGSGICVFLSFLLQPCSAEVCLLWVTKGVEQNFGEEIKRMLSGHPKEKVIIHDTAVLGRPNVSQMSVDTAKRWGAEVVIVTSNPEGSRDVVDACKASGIAAFGPIWDS >itb14g18700.t1 pep chromosome:ASM357664v1:14:21636062:21637923:-1 gene:itb14g18700 transcript:itb14g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRHLLLASFCCTIFCSIFTAVKSTDALDSYCNSDKSPTNSPTPATVQKLIANVVAGASRAGFATASLGGQGTKAYGLAQCRGDVSAKDCSSCLQDAGKEIGNRCAAGGRDARIWYDFCFLRYSADAFFGKVDTGYNVLWANVGQVSDPEGFSRKLRNLMSEISKEAISPENQGLGKGKRKISAFQNLYALVQCTKDLAPVDCAQCIAIAVGDNFQSFCKDSKGCRVINASCYIRYELYPFYFPIDSPVNSTVAAAFDERNYRSTVVYKYKA >itb06g04540.t1 pep chromosome:ASM357664v1:6:7168689:7171475:1 gene:itb06g04540 transcript:itb06g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASIHFLCVNPQSLPHSKLNRSPVSLSLFSFPSVNRSLSLSCSVRNSSLGSRVVRNVAFSDQVEEVDDLEEDIPSGGASYSYADEPSFPPELKLFVGNLPFSVESATLAGLFSKAGSVEMAEVIYDKQTGRSRGFGFVTMSTVEEVEAAAQQFNGYELEGRPLRVNSGPPPPKRETSFRESRGAGGYGGSFGNANRLHVGNLSWGVDEDALESLFCEHGKVMEAKVVYDRESGRSKGFGFVTYSSAEEASSAVENLNGAELNGRPIRVSPAESRPPRRF >itb09g19320.t1 pep chromosome:ASM357664v1:9:15550963:15551817:-1 gene:itb09g19320 transcript:itb09g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIENNLLVGKFSHGRHLLSEIQTHFAKPYVLKRTVEVGLVDPRHVFLAFSSLEDCIDILIKGQILFNGRCPMQLFRWTSDFDTRFETSLAPVWVLLPNLKANYFSIPCVKQLVKPIEQFLHVDAATTKFSRPNVAKVKVEIDLLKPLLRRIFIRLGNNCPGFEDVGFWQPIEYENMLAYCLTCRKQGYSTHECRSGGLGVNSHSGSTQPPRGPSVPNFVFAGTSGAGFAKGQLSVRAHVPQPQVDRPPITRGQGDSHGTKELAQWPQGASEAPFDVQDLNAK >itb01g30710.t1 pep chromosome:ASM357664v1:1:34623886:34626578:-1 gene:itb01g30710 transcript:itb01g30710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAICRPHAVFSSITCCCRGLLVKSAVRVPVRIPSPGPRWTTKPPAFSTWFDSSRRIEPWDGVNRRQRKSIATNSYNWNNSKSPYETLELEGDADEEQIKVAYRRLVKFYHPDVYDGSGTLEEGETAETRFIKIQAAYELLLDKEQRRQYDKENRVNPMKASQAWMEWLMKKRKAFDQRGDMAIAAWAEQQQRELNLKARRLARSKMDPEEERKILEKERKASLENVNTTLRRHTLVLRKRDIMRRKAEEEKKRMIRQLLAAEGLELEEDDKSS >itb01g30710.t2 pep chromosome:ASM357664v1:1:34623886:34626518:-1 gene:itb01g30710 transcript:itb01g30710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAICRPHAVFSSITCCCRGLLVKSAVRVPVRIPSPGPRWTTKPPAFSTWFDSSRRIEPWDGVNRRQRKSIATNSYNWNNSKSPYETLELEGDADEEQIKVAYRRLVKFYHPDVYDGSGTLEEGETAETRFIKIQAAYELLLDKEQRRQYDKENRVNPMKASQAWMEWLMKKRKAFDQRGDMAIAAWAEQQQRELNLKARRLARSKVQDYLGHSCGII >itb01g20380.t1 pep chromosome:ASM357664v1:1:26664587:26665102:-1 gene:itb01g20380 transcript:itb01g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHDSQKASIHYFNAIEYKVHSYNRNGLCALGFMDDPYPVRSAFSMLNQVVDEYQKNFGETWRFAQSDSTQQWSYLFEALNKFQDLAEADKPL >itb01g29170.t2 pep chromosome:ASM357664v1:1:33461005:33463811:1 gene:itb01g29170 transcript:itb01g29170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPEPPECPVCLQPYDSVATIPRVLACGHSACEPCLAQLPNAFPDTLRCPACTQLVKLPKPLSSLPKNIDLLRLSSLLLHNDNSSLSNTANSLREPEKDSTVFLPVLWSREFYLYWKRWLLPENSVSTEPRNSGTKSPDDFCYLLYGCFRNHDSRSNRCSVEKEEVCLVKVGMFIDKNESCSKFKYSYEVKVLIVLYGMAEGVRNELGLILNAGSKQSGACKVYGFWYNVDDNCVYLVCQRFQGSVSMLTDLFAGENGEEKVRCMTSWAMVGLGICEAVYNLHLQGLSIGCLGASCFGLDEFGHAYVDICETLIAGRRVGKMIAGKEDQNLSASLENENTVECSFVSPEAMFELLKVEGVQVGCGSSECDVGFGLDVWSIACLLLWLLIGKPFTEEMQIYLRYLVTVASDKKGCDFVGWYTVWKERIVSLFVCKLGPNFISLREILGKCLDFNPENRPLVLELWKSLREVIVKPDFDVLTNLKQVSKKESMPHCLLFGDLCLSIWRTNKQSMGENQRKDAEEVEISKIDKDVVEGIPDGQVKCIDLKEHRDCITGFAIGGGFLFSSSFDKVVNVWSLQDYSHVHAFKGHEQRVTAVAFVDDEKPLCISGDNGGVICIWGASVPLGPEPIKKIYEQKDWRYSGIHALVVSASKYMYTGSGDKSIKAWSLQALYYYPFILIQFNGC >itb01g29170.t1 pep chromosome:ASM357664v1:1:33460991:33465285:1 gene:itb01g29170 transcript:itb01g29170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPEPPECPVCLQPYDSVATIPRVLACGHSACEPCLAQLPNAFPDTLRCPACTQLVKLPKPLSSLPKNIDLLRLSSLLLHNDNSSLSNTANSLREPEKDSTVFLPVLWSREFYLYWKRWLLPENSVSTEPRNSGTKSPDDFCYLLYGCFRNHDSRSNRCSVEKEEVCLVKVGMFIDKNESCSKFKYSYEVKVLIVLYGMAEGVRNELGLILNAGSKQSGACKVYGFWYNVDDNCVYLVCQRFQGSVSMLTDLFAGENGEEKVRCMTSWAMVGLGICEAVYNLHLQGLSIGCLGASCFGLDEFGHAYVDICETLIAGRRVGKMIAGKEDQNLSASLENENTVECSFVSPEAMFELLKVEGVQVGCGSSECDVGFGLDVWSIACLLLWLLIGKPFTEEMQIYLRYLVTVASDKKGCDFVGWYTVWKERIVSLFVCKLGPNFISLREILGKCLDFNPENRPLVLELWKSLREVIVKPDFDVLTNLKQVSKKESMPHCLLFGDLCLSIWRTNKQSMGENQRKDAEEVEISKIDKDVVEGIPDGQVKCIDLKEHRDCITGFAIGGGFLFSSSFDKVVNVWSLQDYSHVHAFKGHEQRVTAVAFVDDEKPLCISGDNGGVICIWGASVPLGPEPIKKIYEQKDWRYSGIHALVVSASKYMYTGSGDKSIKAWSLQDYELSCTMNGHKSVVSSLAVCNEVLYSGSWDGTVRLWCLSDHSPLAVLGESTPGNLTSVLSIAADEHTLVAAHENGIIKIWFNDTLLKSTQAHNGAVFSACKKGRWIFTGGRDKTVSVQELSEEDTQTDATAFGSIPCDSVVTALLYWQGKLFIGQADRVIKVYYFSER >itb13g06470.t1 pep chromosome:ASM357664v1:13:7745147:7746637:1 gene:itb13g06470 transcript:itb13g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTDDSYSSPTLLEDSSTQGDASSAAPTSLGLQTLVKGQGTGLCHLNTEQATIPTVSTAAQHTKAPVAKCTRRTRSTSEQSNVTGFYREAYDDDSDSSDLWLVEWLYLNLRDIVGSLRGATARPLRLLKCHLVAGSLDLRERLRDSLWAELRSVLDRVPSGVPWSVVGDFNYLLSVDEKKGSLPYPHRKTTNFRECVSTCDLNDFTTYGSCFTWWNGRRRESAIWMSLDRLKKVLAPWSKETFGGIFSKLQELEDKVLVLEEVGQQNPDDDRALIEYKECVALL >itb13g16800.t1 pep chromosome:ASM357664v1:13:23729540:23735245:1 gene:itb13g16800 transcript:itb13g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAQMAFGLGAGVPWIMCQQTDAPEYIIDTCNGYYCDDFSPNSDKKPKIWTENWDGGFASWGGRIPHRPAEDIAFAVARFFQRSGSLSNYYMDIGGPNQITSYDYDALIDEYGLLRQPKWGHLKDLHAAIKLCEPALVAVDSPQYISLGPLQEAHVYSGNTLNNGQNLSLHKSTCSAFLANIDEHHTARVTFYGQVYTLPPWSVSILPDCRNVAFNTAKVGVQTSIKTIGQDVAFSQAMIQRKVMAQSWMTVMEPIGVWGKTFTYQGILEHLNVTKDLSDYLWYTTRYVNMGKYE >itb08g03500.t1 pep chromosome:ASM357664v1:8:2857478:2859706:-1 gene:itb08g03500 transcript:itb08g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKGYASAIGAGISAALAAVAAKFFSSQVLKYGMVVLCNVIMWGCYVNSLKALSSLQATVTNFATNFLSSGLAGFLLFKEPLPLQWFVGAALIVAGVFVMTKSSIESKTHTS >itb14g11320.t1 pep chromosome:ASM357664v1:14:12951691:12956882:-1 gene:itb14g11320 transcript:itb14g11320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGTLDDADIGTGYYVEKTMAEGKDYCERKINLLQSNYDQLLDPPPLPTDDLGPRPPSTSYEPSAEPPRDAPPPPRFDPSRMIGIIRRKALIKDLAAIYHAEGLTYCQQLLELQRKFEEPHIEIKTPEDTRKEMARPTKRMKKTR >itb14g11320.t2 pep chromosome:ASM357664v1:14:12952232:12956882:-1 gene:itb14g11320 transcript:itb14g11320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGTLDDADIGTGYYVEKTMAEGKDYCERKINLLQSNYDQLLDPPPLPTDDLGPRPPSTSYEPSAEPPRDAPPPPRFDPSRMIGIIRRKALIKDLAAIYHAEGLTYCQQLLELQRKFEEPHIEIKTPEDTRKEMARPTKRMKKTR >itb04g31560.t1 pep chromosome:ASM357664v1:4:34382414:34382843:-1 gene:itb04g31560 transcript:itb04g31560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNEKKKPIISAINNLTLVLQINCSQVSTLIVSHLDDAQTPSGHRRSLLRRLCLSDSPWVREPVSGYPETLDRRSSSNPSIRDLAIK >itb11g23600.t1 pep chromosome:ASM357664v1:11:25635110:25637101:-1 gene:itb11g23600 transcript:itb11g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHLGEGAWWTASPLALAFGGGLMTLESKESVPIRNLDIDSCLFPEIERGSEGEKKRIGNSDPRNTNESVRTKNRGEPIVEILEAEWENSASIERRCTLIGRFGRVRPPLEVIRANLRMILQIGGELQIGSLSRRCILMRFSSEDDCASVLLRNRAMVEGSRVWFTRWTPEWRADRDSPMALVWILLPNLPFHLFNFQMISRVCAPIGKVLVLDQATNRRTRPNVAKARIEIDVSKPLVGKIWVEIVSEKGVSRGFWQQIDYERAPSYCLHCGRFGHIVNICRRKGLGETNGGEVVGAVMDEGAEGDCRCNSAEVLRHEQGEGNGIGPGQVRTGPGEMGLTEGLVSPELGQAQEGVTSSSISLSFNAWAEKLKSKLGHDSEVVQIVEEAKNEVMTFFEDAYKNLGPLEYEAAEDLVHKATAIFIGKIASKGQCVESSVSGPEIKEAQIGLENGVENIDQGVGPVEKIKKDLKDGQGTVSSNILGMESVGSSSKTLVAQKSGDTVSKETQKWKDVDVGSCSLTIIGSIESTEASSVSKKKPKWKENWDKVNVKEWCKKVDENFDEDEEFASIVLETMSEVRGIAKKKIWKNGNEGYDIESMEEAVKIMRNKINASKVKEKYGPKSTIGFGLRHRVTVGLWLCLWSEGYFEGGECTWDYSGLEN >itb10g04720.t1 pep chromosome:ASM357664v1:10:4548843:4555841:1 gene:itb10g04720 transcript:itb10g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYCRTTYMPMSYLYAKRYHGPLTNLVLSLRSEIHVKPYDQIDWNNTRMACCKEDSYYPHSFIQDLLWNTLQYCTEPIMRRWPFKKIREMAMRKVIMHMRYGAEESRYITIGAIEKSLQMMCWWAEDPNCDELKYHLARVPDFLWLAEDGMTMQTFGSQNWDTTFATQAVISSGMVEEYGDCLKKAHFYLKQSQIKENPKGDFKSMYRHFSKGAWAFSDQDHGWAVSDCTAEAIKCLLLLGQISPEIAGEKVDAQRLYEAVDICLYLQSRESGGFAVWEPPVPQPYLQMLNPSELFADIVVQGEHVETTGSIVSALAAFKHHYPDYRAKEVAMSIAKAAEYLENQQKPDGSWYGYWGICFLYGTCFALLGLTATGRSYENSVAIRKAAQFFLSKQNQEGGWGECLESCPNMKYIPFEGNRSNVVQTSWAMLGLMYTGQAERDPTPLHRAAKLLINAQMEDGDFPQQDITGAYMKNCMLHYASHRSYFPLMALSEYRKRVWISESH >itb01g35040.t1 pep chromosome:ASM357664v1:1:37469054:37469893:-1 gene:itb01g35040 transcript:itb01g35040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRSNKLSQTAVIKQIVKKCSGLGGNKKQHGYDEHGLPVDVPKGHFVVYVGENRSRYIVPISFLTRPEFQSLLRRAEEEFGFDHDMGLTIPCDEDFFESLTSMLR >itb03g05760.t1 pep chromosome:ASM357664v1:3:4093636:4097634:1 gene:itb03g05760 transcript:itb03g05760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSLSPLLFFFIALFIPLFLHLLPPSYCLDTHYPLHSTQDLVVEEKTRLGSTPPSCHSKCNQCHPCMAVQVPTLPSHSGGGGARAKPLDYFDLPGSNRGAIFLQRRELIVAAKEIQRGSTVE >itb03g05760.t2 pep chromosome:ASM357664v1:3:4093636:4094874:1 gene:itb03g05760 transcript:itb03g05760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSSLSPLLFFFIALFIPLFLHLLPPSYCLDTHYPLHSTQDLVVEEKTRLGSTPPSCHSKCNQCHPCMAVQVPTLPSHSGGGGARAKPLDYFDLPGSNRYSNYKPLGWKCRCGDHFYNP >itb04g24700.t1 pep chromosome:ASM357664v1:4:29455116:29457842:1 gene:itb04g24700 transcript:itb04g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAETETNDRLSPPATASTSITETVNGSHDFMITGYSLSKGIGIGKYVTSDTFVVGGFSWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLIDQSGKEMHKVHSHFGRALESGPYTLKYRGSMWGYKRFYKRTTLESSDYLKDDCLQVHCCVGVVRSRTEGPKTYAISVPPSDIGLHFGQLLESGKGTDVNFEVNGETFAAHKLVLSARSPVFRAQLFGPMKDQNTSIEVEDMEAPVFKALLHFIYWDVLPDMEELTGMNSMWASTLMAQHLLAASDRYGLDRLRLLCEANLCEDVTINTVATTLALAEQHHCFQLKSVCLKFIALPENLRAVMQTNGFEYLKESCPHVLTELLEYVARVNEHPAIASKLLKEAIQDGSDTNGRRVKQRL >itb04g24700.t2 pep chromosome:ASM357664v1:4:29455116:29457329:1 gene:itb04g24700 transcript:itb04g24700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAETETNDRLSPPATASTSITETVNGSHDFMITGYSLSKGIGIGKYVTSDTFVVGGFSWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLIDQSGKEMHKVHSHFGRALESGPYTLKYRGSMWGYKRFYKRTTLESSDYLKDDCLQVHCCVGVVRSRTEGPKTYAISVPPSDIGLHFGQLLESGKGTDVNFEVNGETFAAHKLVLSARSPVFRAQLFGPMKDQNTSIEVEDMEAPVFKALLHFIYWDVLPDMEELTGMNSMWASTLMAQHLLAASDRYGLDRLRLLCEANLCEDVTINTVATTLALAEQHHCFQLKSVCLKFIALPENLRGQFHLQRTCRGFANT >itb07g22980.t2 pep chromosome:ASM357664v1:7:27455359:27460748:1 gene:itb07g22980 transcript:itb07g22980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MMEAVTIKVPYRNLKQEVELVGADELHQRRLQIDSQSSPPRVSNGDSTDSSHHQSPFQSSLLTLILSCTVAAGVQFGWALQLSLLTPYIQTLGIQHAFSSFIWLCGPITGLVVQPCVGIWSDKCSSKYGRRRPFILIGSLMISISVIIIGFSADIGYILGDTKEHCSTFKGTRTRAAIVFIIGFWLLDLANNTVQGPARALLADLSGPDQRNTANAVFCSWMAVGNILGFSAGASGNWHRWFPFLMSRACCEPCGNLKAAFLIAVVFLALCTLVTLHFAKEVPLTPNLSPRLADSSPLLDSPSNPDFELAQAKDDMQPINFVSDNKSENGYMDNNPIHEDQKGVNDQADSFNDSPAAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDVSEVKAYNQGVREGAFGLLLNSVVLGITSFFIDPLCQWIGARLVWAVSNFMVFICMAGTTVISFVSLNESSEGVQHVIGASKATKVASLVVFALLGIPLAITYSVPFSVTAELTADAGGGQGILLYT >itb07g22980.t1 pep chromosome:ASM357664v1:7:27455359:27460748:1 gene:itb07g22980 transcript:itb07g22980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MMEAVTIKVPYRNLKQEVELVGADELHQRRLQIDSQSSPPRVSNGDSTDSSHHQSPFQSSLLTLILSCTVAAGVQFGWALQLSLLTPYIQTLGIQHAFSSFIWLCGPITGLVVQPCVGIWSDKCSSKYGRRRPFILIGSLMISISVIIIGFSADIGYILGDTKEHCSTFKGTRTRAAIVFIIGFWLLDLANNTVQGPARALLADLSGPDQRNTANAVFCSWMAVGNILGFSAGASGNWHRWFPFLMSRACCEPCGNLKAAFLIAVVFLALCTLVTLHFAKEVPLTPNLSPRLADSSPLLDSPSNPDFELAQAKDDMQPINFVSDNKSENGYMDNNPIHEDQKGVNDQADSFNDSPAAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDVSEVKAYNQGVREGAFGLLLNSVVLGITSFFIDPLCQWIGARLVWAVSNFMVFICMAGTTVISFVSLNESSEGVQHVIGASKATKVASLVVFALLGIPLAITYSVPFSVTAELTADAGGGQGLAIGVLNLAIVMPQMIISLGAGPWDALFGGGNIPAFALASIFALGAGIIATLKLPSLSRNSYRSSGFHF >itb05g15180.t1 pep chromosome:ASM357664v1:5:22563892:22564865:1 gene:itb05g15180 transcript:itb05g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITSFSRRPNTLAARRFMGTPPRTTGDKKMTRRIIAAARRGDNDQDHRCSGRNKIVDENMIVLRLRIKEMKALEGDDGDRTAEMHWMGWEKEYVKHYNEDICEFIGLLQRFLMENRPALGLGLMAVVLGSVSLSTFVGIMHVMEMAKGLLSHLN >itb14g20940.t1 pep chromosome:ASM357664v1:14:23177813:23180757:-1 gene:itb14g20940 transcript:itb14g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKEEQEAAEDRKCDFCGSATAVLYCRADSASLCLGCDREVHATNPLFTKHTRSLLCDACDASPATIFCCNHAAVFCQNCDWESHTPCLSPPHVRRPLEGFSGCPSVAELLAVFGFQDVGKKALVQDDHYGGAGAGDDDDGISDYLVWDTPAVVSLDDLIAPSDSKPNFQAMGVPPLPKNRNAACGQHKEEILAQLRKMSKLEPDICADQEEFEPVVGIQAMENELNDFFGEKNSGFKQNMEPTLVPYSETTGFQWYNDVGEFADQGFGPTLLQGFNETTCLVPDKDSDVGDSSGAANNHNEVQSNHSSHTETFQVPPPIVAPRELNSRERETAISRYKEKKKTRRYEKQIRYESRKVRAETRIRIKGRFAKMDRRDPGTTH >itb14g20940.t2 pep chromosome:ASM357664v1:14:23177814:23180727:-1 gene:itb14g20940 transcript:itb14g20940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKEEQEAAEDRKCDFCGSATAVLYCRADSASLCLGCDREVHATNPLFTKHTRSLLCDACDASPATIFCCNHAAVFCQNCDWESHTPCLSPPHVRRPLEGFSGCPSVAELLAVFGFQDVGKKALVQDDHYGGAGAGDDDDGISDYLVWDTPAVVSLDDLIAPSDSKPNFQAMGVPPLPKNRNAACGQHKEEILAQLRKMSKLEPDICADQEEFEPVVGIQAMENELNDFFGEKNSGFKQNMEPTLVPYSEIKGLVLPYYKDSTRQPVWFLIKIQMLAIVQVQQIIITKCNRTILAIPKPSKCLLL >itb13g05150.t1 pep chromosome:ASM357664v1:13:6099385:6104731:1 gene:itb13g05150 transcript:itb13g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNQEAVDTFMNITGVSQATAIQRLEEHAGDLNAAVNAHFSEPDRSIRHDATAVAPSEDDVMDIDDPIPIASHRPPFSHLPSTGSTNPFSLLDPNFTRSIFDSGLDFERTAPLVSHPREVREIPIEVKDGDGHSGHSGRAPTIEDVTDTEHAHGPETHGTVTIDDDDDEIPNVRSTQTFRHDIASENIFGDVSTAIHRRPSAPEINILPDSNNDIEEEMIRAAIEASKWDAEMSNQKFDVHSDSRDAMSHQGRAPVEDDDIAHAVSLSLKTAEQEKAKHELERKETSGLEVHNLTAVDDPAKATSSNTRLELGSTSINDEAGDVEEQPLVGRRSKRASSSSIDTDRNEVNEVSPVSSPRRDDNLNNIQHNGADYPTDEWGGISSEEHDEAVMLEAAMFGGIPEGSGFRLPHVAHQFMQNGSDRSVGPYPWQMPRPPSPSLVAQRLLREQQDDEYLASLQADREKELKAKQEAEAALVEEKRKEEELLKKLEEEQEAERQLAAKEGSLPQEPTPDDENAVNLVVRMPDGSRRGRRFLKSDRLQCLFDFIDVGRVVKPGTYRLVRPYPRRAFSSGETSSTLNELGLTSKQEALFLELI >itb04g25000.t1 pep chromosome:ASM357664v1:4:29678808:29683124:1 gene:itb04g25000 transcript:itb04g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRWSEKTLANGVTLPESPREPMEFLSRSWSASALQLCKALAPPSNPPSLPPKASAAGCGGNPIPETTADAETEAAKLSGNTFSFGSSATSQLVLERIMSQSMHNGQEISPLTSGRLSHSSGPLNGSLTEETDSPPISPSEEFEDVIKYLRANNTLQPLFTNMKSGNSSGANTPSGNAVRKWLKERKDKKKEETRARNAQVHAAVSVAGLAASVAAVAATTAAASSTGKDEVTAKTDMAVASAAMLVAAQCVEAAEAMGAERERLMSSISSAINVRSHEDISTLTAAAATALRGAATLKARALKEVWNISTVNSLEKGHVIGAGSNNNNYNGGSFYEGLEVEENFLGVCNQELLARGRELLKRTRNGDLHWKIVSVYIHYGGKVILKMKSKYVGNTITKKKENVVLDVCKDIPAWPGRHLFEDGEQRKYFGLRTEVRGLVEFECKNQKEYELWTQGVSRLLSIAAERRRRFRR >itb12g25720.t3 pep chromosome:ASM357664v1:12:26871104:26873824:1 gene:itb12g25720 transcript:itb12g25720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYEDQCSHCSETELDGYGDTTITSTEALECNKTSQDFEQNCILTDHPIDDTSVHHDLNGLEHNPKLQKPLERHHRWLSSTDISLGYTSDGSIIDAASVAKEDLSGDIVQERDADIRECENIKLQAEDQRFSNASETEMEISRAKFEELTRELQQEKHLRDRLKSRLQETEDSNSELIITIKHLNQKLEQKNKESEEMKQKIEKLLQEREVLCKENEDLELQKDQLLSDYAILKKENEESETDKVKLQQEFSEALDKIKQFEVEVKELEDEIQRQSVQFLESMQTINKQKTEIMSLEKEARAFEDALEAIAQSKVEQEKRAIQAEEEISRLAAEMVSKIYEKEKMANNARAEANALLWNNNVLEESLEKAKEQLKLTKDHYERELHHLSTQNNLKLLEESTKMQTLEDENERIKETLLSEIEKLQAQHNEAARGSDLLRLENDNLKKQLHKLTNGQAVNTCDLDKVLTEEVASLREKNKHMEDELKEMEERYSEISLKFAEVESERQQLVMVLRNLKNGKKN >itb12g25720.t1 pep chromosome:ASM357664v1:12:26870262:26873824:1 gene:itb12g25720 transcript:itb12g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSAKWRKEKIKAVFGMQFQATQVPQLKAKSLMISLVSADTRRTSVKMGRAEIVEGACSWEGPVCETIKLERDPKTGRIQEKIYYFVVATKSSKSGFLGEVGVDFAKLAEMTEPLVLSLPLMPSDSGVILHVTVQKMQGTLDQRSKEDNEVMMTYEDQCSHCSETELDGYGDTTITSTEALECNKTSQDFEQNCILTDHPIDDTSVHHDLNGLEHNPKLQKPLERHHRWLSSTDISLGYTSDGSIIDAASVAKEDLSGDIVQERDADIRECENIKLQAEDQRFSNASETEMEISRAKFEELTRELQQEKHLRDRLKSRLQETEDSNSELIITIKHLNQKLEQKNKESEEMKQKIEKLLQEREVLCKENEDLELQKDQLLSDYAILKKENEESETDKVKLQQEFSEALDKIKQFEVEVKELEDEIQRQSVQFLESMQTINKQKTEIMSLEKEARAFEDALEAIAQSKVEQEKRAIQAEEEISRLAAEMVSKIYEKEKMANNARAEANALLWNNNVLEESLEKAKEQLKLTKDHYERELHHLSTQNNLKLLEESTKMQTLEDENERIKETLLSEIEKLQAQHNEAARGSDLLRLENDNLKKQLHKLTNGQAVNTCDLDKVLTEEVASLREKNKHMEDELKEMEERYSEISLKFAEVESERQQLVMVLRNLKNGKKN >itb12g25720.t2 pep chromosome:ASM357664v1:12:26870262:26873824:1 gene:itb12g25720 transcript:itb12g25720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVSADTRRTSVKMGRAEIVEGACSWEGPVCETIKLERDPKTGRIQEKIYYFVVATKSSKSGFLGEVGVDFAKLAEMTEPLVLSLPLMPSDSGVILHVTVQKMQGTLDQRSKEDNEVMMTYEDQCSHCSETELDGYGDTTITSTEALECNKTSQDFEQNCILTDHPIDDTSVHHDLNGLEHNPKLQKPLERHHRWLSSTDISLGYTSDGSIIDAASVAKEDLSGDIVQERDADIRECENIKLQAEDQRFSNASETEMEISRAKFEELTRELQQEKHLRDRLKSRLQETEDSNSELIITIKHLNQKLEQKNKESEEMKQKIEKLLQEREVLCKENEDLELQKDQLLSDYAILKKENEESETDKVKLQQEFSEALDKIKQFEVEVKELEDEIQRQSVQFLESMQTINKQKTEIMSLEKEARAFEDALEAIAQSKVEQEKRAIQAEEEISRLAAEMVSKIYEKEKMANNARAEANALLWNNNVLEESLEKAKEQLKLTKDHYERELHHLSTQNNLKLLEESTKMQTLEDENERIKETLLSEIEKLQAQHNEAARGSDLLRLENDNLKKQLHKLTNGQAVNTCDLDKVLTEEVASLREKNKHMEDELKEMEERYSEISLKFAEVESERQQLVMVLRNLKNGKKN >itb10g16800.t1 pep chromosome:ASM357664v1:10:23055880:23056155:1 gene:itb10g16800 transcript:itb10g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVITKLLRGNSRIRFEILEAITKLLNHNVTPCLTLRDMIVASNDLVPLSYIIGLLTSCPNSKVAGLNGEALMWRRPFRRLEPSLGSSSCN >itb08g10640.t1 pep chromosome:ASM357664v1:8:10293590:10295310:-1 gene:itb08g10640 transcript:itb08g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVELFFFPALGMGHLLSAVEMAELLIHRDHHISITIFILKPPLDLKITSFIQSQTSERRLKFVTLPVDESIPIDSTKPTPLMIPIDPYKPRIREYVQETIRSIRLGGFVVDMFSTAMIDVADEFGVPTYVFYTSGAAVLGFLLHMQRISLEGLRGCERDLNIPTYANPFPSKLTPSALLEEHGFAMFLTISKLISGTKGVIVNTFLELESHAIKTLSDDPNSPPVYPVGPILNLAGPGAGEGSKQILEWLDDQPEGSVVFLCFGSGGYFPEEQVKEIAIALERSGQRFLWALRRMPEKGSLIPGEYIDPREVLPDGFLERTQGVGKVIGWAPQAAILSHPGVGGFVSHCGWNSTLESIWFGKPMAAWPIAAEQQANAFEIVKEIGIGVDLKMDYKRDFKDATKFSEMVRAEEIERGIKSVMDPLNPIRLKAKEMSEKSRSAIVEGGSSYTYVGRFIQDVFSNIN >itb12g07620.t1 pep chromosome:ASM357664v1:12:5838214:5841536:1 gene:itb12g07620 transcript:itb12g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MAGATMLHSSSQSLLPSLARRYSLLFDSCFHFRRLMSTGRLFHSINPNKPSPLAVRAQARRSLSSNKVKDELASPADLCFEGPLKIIVYPDPILRAKNKRIGTFDDNLKKLVDEMFDVMYRTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVTRYSRKMLPYNEGCLSFPGIYADVERPDSVKVDAQDINGARFTVGLTRLPARVFQHEYDHLQGILFFDRMSDEVLDTVREDLEALEKKYEDSTGLPSPERINTRKRRQAGAGFGKS >itb09g25290.t1 pep chromosome:ASM357664v1:9:25275916:25278622:1 gene:itb09g25290 transcript:itb09g25290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGIHQVLVKLLDGKHRIINFSTPSVSIQTLKHRIQTLTLIPTHLQLLIPSDSPYLLQDNQTLNLTTGHQQSKFPVVVNLLLRLRGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAEAEERKLEKMAEDYINKKAKELAKKGSGSRSKSGESADKYVAKYREDSAKCMEEVERSVRESLKGFVASKRKAAAELNDSDSKKLKIWMGKRKFDDSDSEDMDEDYSDEDEENEKSVIIDNGNNSDSSRGTNGDVDLVLGQKIDSESGSEEEKDTFVENNPDSNNIVHASPLYTSFASESGNFKAEREASNSSGSAVAEEIIDQQTGYSPSGEEGTSVIEASSDARPKAGAVQEGEGSVKVADPERALNFDEISSAEELEVLGMEKLKSELQVRGLKCGGTLQERAARLFLLKTTPLEMLPKKLLAKK >itb10g17360.t1 pep chromosome:ASM357664v1:10:23575475:23580944:1 gene:itb10g17360 transcript:itb10g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLQTTLKLERTLSIQSCFPLRTSTPTSNESSEVYTLFSTADQHVISLQQTTHHVRTQPKAAAAPLPPPPPSSNSLQDQAASPVAASFPRPRTQSANLAMYIPLYQAALKGDWEKANEFISQHPDAVTAKISKGWETALHIAAGANHIHFVEQLVGLMDPMDLALRNKHDNTALCFAAASGITRIAETMVTKNTDLPVVRGSKGVTPLHMAALLGHREMVWYLYSVTDSRCLSKEDYVGLLIATINSDLFDVSLHILMQKPALAIERDPNGETVLHILARKPSAFSGKHGLGFWQKWMYRSISVHPQSRFSRSSHDKKYTEVHPFHARLLGYLQELFGVADMKKRKLMCMEALELFKCSWEQVLLLNCSQIGNLLKSPFNPLFVAAELGNIQFVLHLIRSYPDLIWKVNEQSQSVFHIAVVHRQESIFRLIYSIGAHKDLIASYQSADNENMLHLAAKIAPSNRLNIVSGAALQMQRELLWFKEVEKIVQPSYREMKDSRGQTPQMLFTEQHKSLVKQGEKWMKDTASSCMLVATLITTIMFAAILTVPGGDNNGTGNPIFLQDKLFVIFAVSDAIALFSSVTSILMFLSILTSRYAEEDLVSTLPKRLVLGLATLFLSITAMLVAFGSSFSIVLRRQLAWVVLPVELVACLPVTLFAFLQFPLLADTIRSTYGSGMFTLRQKDMLY >itb03g18810.t3 pep chromosome:ASM357664v1:3:17012443:17016386:-1 gene:itb03g18810 transcript:itb03g18810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSDSVSIDVETIYLGGKEHIIHTGSGSVSVIVYGDHEKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAGSICPEDPVPSVDDLADQVLEVLNYFGLGAVMCMGVMAGAYILTLFAIKFRERVVGLILVSPLCKGPSWTEWFYNKVMSNLLYYYGMCGLLKECLLHRYFSKEVRGSAEVPESDIVQACRRLLDERQSINVLRFLQAIDR >itb03g18810.t2 pep chromosome:ASM357664v1:3:17012443:17016386:-1 gene:itb03g18810 transcript:itb03g18810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSDSVSIDVETIYLGGKEHIIHTGSGSVSVIVYGDHEKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAGSICPEDPVPSVDDLADQVLEVLNYFGLGAVMCMGVMAGAYILTLFAIKFRERVVGLILVSPLCKGPSWTEWFYNKVMSNLLYYYGMCGLLKECLLHRYFSKEVRGSAEVPESDIVQACRRLLDERQSINVLRFLQAIDRRPDITEGLKTLQCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVYALSYIFTFCNFYPIFNKLGNRVKTAFKLPDQF >itb03g18810.t1 pep chromosome:ASM357664v1:3:17012443:17016388:-1 gene:itb03g18810 transcript:itb03g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSDSVSIDVETIYLGGKEHIIHTGSGSVSVIVYGDHEKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAGSICPEDPVPSVDDLADQVLEVLNYFGLGAVMCMGVMAGAYILTLFAIKFRERVVGLILVSPLCKGPSWTEWFYNKVMSNLLYYYGMCGLLKECLLHRYFSKEVRGSAEVPESDIVQACRRLLDERQSINVLRFLQAIDRRPDITEGLKTLQCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVQACGSMVTEEQPHAMLIPLEYFLMGFGLYRPSQFTGSPRSPLSPSCIAPELLSPESMGLKLKPIKTRLTPHQLPSTRR >itb08g00410.t1 pep chromosome:ASM357664v1:8:342662:344982:1 gene:itb08g00410 transcript:itb08g00410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFSFLLPDGGACASVPANPGLGDLPESCVASVLVHLDPQQICQLAMLNRAFRGASSADFVWESKLPTNYESLIQRVFEDKKFPVNLCKRDIYARLCVPNSIDGGTKKVWLDKSTGKICLSMASNGLAITGIDDRRYWSRIPTEESRFGSVAYLQQIWWFEVNGEVAFPFPVGSYSVYFRLHLGRAARRFCRRVCSSEHVHGWDIKPVRFQLSTSDGQQATTQCYLNEPGKWIYHHSGDFIVTDSSSPMKLSFSMTQIDCTHTKGGLCVDSVLVRPMKPKRG >itb15g11820.t1 pep chromosome:ASM357664v1:15:9677429:9679190:1 gene:itb15g11820 transcript:itb15g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTKNKFVSSSIYTPRNGILSLPSFFFFVVLLLLSPVVPRAAAQQPPTDDSTYYRNFSPSMAVIIVVLVAALFFMGFFSIYIRHCNESSAGNSVRQALSMRRRAAARGLDASVIETFPTFSYAEVKDQRIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLEAHVTCPVCRANLAPQQGDEPVQTPEVPTQDQQQEDGNGNGNDQPQNEEISVHVVSDQEQRQQDGEGNAVRAKSVKRNLSFNVPNRPPRSFSIKRPKMFSKFRSHSTGHSLVLPGENLDRYTLKLPEDVRKEMMNRALLNRARSMAVHLPRDASSRRGYRTGGGEGSSRGGRSYRRMDRLDGEGRSDRWLFSIAPPFFSRWPSMKSPKVVADNGEASTSTGGKTAVRMPSFKCLEPKGDEPELIPSSSARPPV >itb06g25490.t1 pep chromosome:ASM357664v1:6:26520297:26523558:-1 gene:itb06g25490 transcript:itb06g25490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNKQKHSQARDLKHRVLTCLHKLSDRDTHSAAASELDSIVKTLSPETIPLFLSSISATDSSDKSPVRKQCLRLISLLSEEHGDTLSPHLSKLLTAVVRRLRDPNSSVRSACIAACASISSHLTKPPFPSIVKPFLEALFTEQEINSQIGAALCLSAAIEAAPDPDAAYLRKLMPRFEKLLKCDSFKAKAALLTLIGSVVGIGGASNQLVVRNLVPCLVQFVNSDDWAARKASVEALTRLAAMEKEMLSEFKPACLKTIQAKRFDKVKAVRETMNQMLEAWKEIPDLPDDNVSPRPQSNSSSKENASDGHYPPGSMSSGARNQRGRYTTPSKSSVHDSSPATTARRRSLTEGTQKKAATAIFRKLDCKKSSECKIEVSAPQGSSVPVASKDDLKATKPETRRALFGQKAAGSRVVPYHEEKSDVHTVVMSNETGDLYRNQKECEELSQIRKQLVQIETQQSNLIDTLQKFIGSTQSGMHSLETRVHGLELALDEISHDLAVSTQRMSNRKTTPICWALPGARFFSSRLWKRTGRSSSSQLPVSGEPPSLVAARSKAGVREDFKLESRRFQLQSNHGFIRNPLAEMYHESQGVSEVSSSGVSKSVNNVVL >itb07g19750.t1 pep chromosome:ASM357664v1:7:24198639:24199312:1 gene:itb07g19750 transcript:itb07g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAPYLQVSFANPCKRSIISRIHLVTPAWCIAACTDVPCAKSIERLRVVYQITLLCHANDDCQSSTCPRDDCFRQQPGPQDTSFVKLPTPRSLRNDLASQSSDKSGDLSIVLDSQKEMAQLAKPISSPVPVSMYPTLAFFMIVVGLVVTASFFIYEATSSRKNRSLAKELTIASVASIFMGFGSLFLLLALGVFV >itb01g28690.t1 pep chromosome:ASM357664v1:1:33084271:33086258:1 gene:itb01g28690 transcript:itb01g28690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEQKLGELAEQTSTLSLHESPPLLANLPQEIIEEVLARLPVECLLRFRCVSKSWLALITSHYFVNTHLDVCKNKHESGRNRLFLIASFSGLGKICSISSIVPENSSPTLIELSRFSKSPCRSPRILGSCNGLLCLSTVNFKLILWNPSTRKSVEFPDSFIQTSSGCYIRYGFGYDERTNDYKVVKMFSFEKNGGRHENKVKVFSLRANSWTMMSGFSSAYIYGKCGVFLNGAIHWEIRDTDVSNSSTASWEIVALDLGSERYRTMALPSAENGKFYWTLGVSRGCLLACCIYYPKRTHLWVMKEYGVVGSWTKVASITLSDDHRGYITVLHMTENCEEVLLKLGTQLALYNSRDGSFKHVECVATPRTIEVQSATYDESLALLDMGHDGQI >itb03g19940.t1 pep chromosome:ASM357664v1:3:17871931:17877652:1 gene:itb03g19940 transcript:itb03g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.5 [Source:Projected from Arabidopsis thaliana (AT5G19600) UniProtKB/Swiss-Prot;Acc:Q94LW6] MTNTETNEDRPAVNFAEPRSFRTVLNSKIKETLFPDDPFREFKNEPFSRRVTMGIKYFVPIFQWLPKYNLQLFKYDLLAGITIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYAVFGSSKHLAVGTVAACSLIIAATIEEAVNPQENLQLYLSLVFTATLISGLIQTTLGILRLGIIVDFLSHSTITGFMGGTAMLICLQQLKGMLGLQHFTTHTDMVSVLRAVFRNRNEWKWESAVVGIIFLAFLQFSRYVKKKRPNLFWVSAIAPMVTVIVGGLFAYFAHADKHGIPIVGHLDKGVNPSSIQKLNFDPKYISAPIKAGIITAFVALAEGISIARSFAIMKNEQVDGNKEMIAFGLMNIIGSFTSCYLTTGPFSKTAVNFNSGCKTAMSNVVMSVCMLFTLLFLAPLFSHTPLVALAAIIMSAMLGLIEYDKAYHLYKTDKFDFLICMVAFFGVSFVSMNVGLTLSIGLAVVRALLYIARPATCKLGNIPDTGMYRDVEQYPGAKGVPGILILQLGSPIYFANCNYIRERILRWIREDEDSKGNDIEYLLLDLGGITSIDITGVETLLEIRRSLEAMGIKMILINPRVGVMEKLIVTKCIDVIGRDAVFLSIEDAIHSCQFSLRKSAVLTKIQEPETV >itb09g16540.t1 pep chromosome:ASM357664v1:9:11715739:11716173:-1 gene:itb09g16540 transcript:itb09g16540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSEENHQTSPYLNTGTQKQKPGFCFVSVSFDFSEEKKKTIKHFYKHLKHTQHQMNKEALPKRKPLLPVPRVRFLGGRERLGQKERCYIGRNKKKKKKKQIVKNNHEDPELGPSVSLFALYKLIDLKKRASVSFVLALSAMMR >itb04g16520.t1 pep chromosome:ASM357664v1:4:18204217:18205253:1 gene:itb04g16520 transcript:itb04g16520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPTHQQLDLKFLTLKLCCIEISTFLRVLPITPSNNNIRCKEKSTIIAELLSRHTNPLKKAPAYVIVKKTFVSPEDVVAQDIVVQRGSSRGIHFRGAGPREKESILLSAR >itb06g03030.t1 pep chromosome:ASM357664v1:6:5124393:5133493:-1 gene:itb06g03030 transcript:itb06g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVMEVSSDDIKLIGIWGMGGVGKTTLARTVFAEVSRGFKHSCFLSNIRENNLSTGGMEGLQATLLKDALNDYSIQIPNVHQGIDEIKKRLLTIKVLIVLDDVDDEEQLEQLVGDCKWLKNGSRVIITTRDKHVFCKLGAVAYEVKGLDGENALKVFSLGAFKKESPENGFEYLSSCFVTHACGLPLALKVWGSFLYGRNKKVWQSALEKIKNIPHDKVIEKLRISYDGLDEENKKIFLNIACFFRNKSRDYVEDVIQSCGLHVCIGVSVLIDRCLLFESNGNIDMHDLIQEMGWLIASQEKPRSRVWQPEEVKKVLSGKGELENIEGMLFSSSTNTSRDDVNNSGTLKDFQVMENLKILIVKEESYASANNILGVMDNYFPSSLRCLDFPRYGFPSLPKTFHPSGMDNNPSELELVGFCLHRSSLQDCTITKELKKLTHLDLSSSRSLLATPNFELMPNLKRLYLSYCVELKEIHPSLGHLKKLVLLDLSHCSDLEKLPIFIQVSSLEVLKLENCGSLKNFPEIQATIPGVVELNLKLIPITDLPSSIRQLCCLTKLRFYYCEYLERLSNDLCELENLKVIEIIGCDQLSSLPENLGNLSNLEELRVFGGTAIFEIPPSITRLSSLECLSFQGNEEFDMETLNFLPSVSDLCSLKRLELSHFNLLDGLPSDLGHLISLEYLCLRGSNFDHLPKSFFQLPRLQYLDIRQCEQLKQLPKLPKTIRELYADCDFAFKGKSSTIPKLAITYPELYSVSFSSGGGEHYDSELSRGETLLAEKSIQFPFQRITPFGVSYTFHYNYMDEEEINILRSFKYRHYESNGISVNLNSSWYNQNFVGFAIYFLSLEGDIWGQHSYQGIDDEAHHCVLIAKLSHKDDENEVFRTKCVIAAGFNDCDEPQGHICFAYIPLSSLWPTFKPIMEANDYSRFEVELMHSKASADWGCNLLYKGQTRARMHMASHGESDSGLTTDDIGYLTWSKLETEYLNLSRRNFSRLPQGYSKLPHLQYLDISGCLKLTMLPELPATIRELYTNSSLASEGNIAKLATKYSALYSISFSDRYKKVALSAEELARKFVDMPFPAGRNCPLVVTHSAFNDGWTEINIKRWFKYPSWQSNKVSIDLKPSWYNQTFVGFVVCLFYSSYEDTIIWKSSPDVRPFRYGKVIAKLVHKHNANKVLQTDCVIGRLYDEEFDDMFKEKEIICFAYIPLCSLLSSNFGVNPNDYLVFEVAFEDSNASTDWSCGLLYKNDESLSEAIRSNASPNDSDSDIHTPFFYTIRSNASPNDSDSEHIDEEAPIFIEEAPLQEEIPEAIIGEEIQINHNHHVEQDSDTTPSQQNLAYIEHGAADDAAMAKHNAEVHTNEERGIRFWTCIESLTWLRQKLASVCIGTTSDPGEEIKDDEMGESPADEGHGMEQRNKAYPDKSKPQANEDDKEEENIHRMEQRKKDYLDKGKAPKEEEEEEGHRMEERKKAYLDKGKAVATSP >itb06g03030.t2 pep chromosome:ASM357664v1:6:5124393:5133493:-1 gene:itb06g03030 transcript:itb06g03030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVMEVSSDDIKLIGIWGMGGVGKTTLARTVFAEVSRGFKHSCFLSNIRENNLSTGGMEGLQATLLKDALNDYSIQIPNVHQGIDEIKKRLLTIKVLIVLDDVDDEEQLEQLVGDCKWLKNGSRVIITTRDKHVFCKLGAVAYEVKGLDGENALKVFSLGAFKKESPENGFEYLSSCFVTHACGLPLALKVWGSFLYGRNKKVWQSALEKIKNIPHDKVIEKLRISYDGLDEENKKIFLNIACFFRNKSRDYVEDVIQSCGLHVCIGVSVLIDRCLLFESNGNIDMHDLIQEMGWLIASQEKPRSRVWQPEEVKKVLSGKGELENIEGMLFSSSTNTSRDDVNNSGTLKDFQVMENLKILIVKEESYASANNILGVMDNYFPSSLRCLDFPRYGFPSLPKTFHPSGMDNNPSELELVGFCLHRSSLQDCTITKELKKLTHLDLSSSRSLLATPNFELMPNLKRLYLSYCVELKEIHPSLGHLKKLVLLDLSHCSDLEKLPIFIQVSSLEVLKLENCGSLKNFPEIQATIPGVVELNLKLIPITDLPSSIRQLCCLTKLRFYYCEYLERLSNDLCELENLKVIEIIGCDQLSSLPENLGNLSNLEELRVFGGTAIFEIPPSITRLSSLECLSFQGNEEFDMETLNFLPSVSDLCSLKRLELSHFNLLDGLPSDLGHLISLEYLCLRGSNFDHLPKSFFQLPRLQYLDIRQCEQLKQLPKLPKTIRELYADCDFAFKGKSSTIPKLAITYPELYSVSFSSGGGEHYDSELSRGETLLAEKSIQFPFQRITPFGVSYTFHYNYMDEEEINILRSFKYRHYESNGISVNLNSSWYNQNFVGFAIYFLSLEGDIWGQHSYQGIDDEAHHCVLIAKLSHKDDENEVFRTKCVIAAGFNDCDEPQGHICFAYIPLSSLWPTFKPIMEANDYSRFEVELMHSKASADWGCNLLYKGQTRARMHMASHGESDSGLTTDDIGYLTWSKLETEYLNLSRRNFSRLPQGYSKLPHLQYLDISGCLKLTMLPELPATIRELYTNSSLASEGNIAKLATKYSALYSISFSDRYKKVALSAEELARKFVDMPFPAGRNCPLVVTHSAFNDGWTEINIKRWFKYPSWQSNKVSIDLKPSWYNQTFVGFVVCLFYSSYEDTIIWKSSPDVRPFRYGKVIAKLVHKHNANKVLQTDCVIGRLYDEEFDDMFKEKEIICFAYIPLCSLLSSNFGVNPNDYLVFEVAFEDSNASTDWSCGLLYKNDESLSEAIRSNASPNDSDSDIHTPFFYTIRSNASPNDSDSEHIDEEAPIFIEEAPLQEEIPEAIIGEEIQINHNHHVEQDSDTTPSQQNLDDAAMAKHNAEVHTNEERGIRFWTCIESLTWLRQKLASVCIGTTSDPGEEIKDDEMGESPADEGHGMEQRNKAYPDKSKPQANEDDKEEENIHRMEQRKKDYLDKGKAPKEEEEEEGHRMEERKKAYLDKGKAVATSP >itb13g12490.t1 pep chromosome:ASM357664v1:13:18674112:18677308:1 gene:itb13g12490 transcript:itb13g12490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNLVEEVVRVSQPSQQGINLMSVIRISSWILRPHFLLSFGYLLESDFFFPRSVDLGLVEKAAARSKEEREIAEERRKAKEAEAKMNLHEAKARHAAEKLQAKQAHLYPAAGPQHHHHEPVGTVAPTTGAVMPGYPLGGYPHGHGRHTRNI >itb03g19910.t1 pep chromosome:ASM357664v1:3:17848807:17850351:1 gene:itb03g19910 transcript:itb03g19910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCYQPSSSLNLNRLKRSVSSTKQPNPLLNIRRSSSPLFSALTSPSLTISDPEPVYASVKSFAPATVANLGPGFDFLGCAVDGIGDFVTVRVDPHVPPGQVSISEISGAGNKLSKDPLSNCAGIAAIAVMKMLNIQSVGLSLSLDKGLPLGSGLGSSAASAAAAAVAVNDLFGSRLSVSDLVFAGLESESKVSGYHADNVAPCIMGGFVLIRSYNPLELIQLKFPQEKNLFFVLVNPEFEAPTKKMRAALPAEITMSSHVWNCSQAGALVASVLQGDLAGLGKALSSDKIVEPRRAPLIPGMEAVKKAGIEAGAFGCTISGAGPTAVAVTDDEERGMEIGKRMVEAFFQEGHLKALAMVKRLDRVGARVVSSTPIS >itb13g24530.t1 pep chromosome:ASM357664v1:13:30192994:30193404:1 gene:itb13g24530 transcript:itb13g24530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF096 [Source:Projected from Arabidopsis thaliana (AT5G43410) UniProtKB/Swiss-Prot;Acc:Q9LSX0] MADHNTGKTTVDGGSGSGSGGEVRYRGVRKRPWGKYAAEIRDMTKPAGARVWLGTYNSAEEAARAYDRAAFATRGHLAILNFPEEYNLPRSSSHFYSASATSSSSSREVIEFECLDDKVLEDMLDYDQNPGKKNRG >itb04g23840.t1 pep chromosome:ASM357664v1:4:28764589:28770207:-1 gene:itb04g23840 transcript:itb04g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSIMSSSSGSWIASLSCSAPEEDVSVSSAIRWLRFIFLSPCPQRTLLSAIDVLFLLTLVVFAIQKLYSKFSSDRQSNGSSNGIEKPLIQSQRVRVQTNVWFKLSIILSAILGIASLALCIFTFSRSSSSSWYVIDGLFWLFQAITHLVITVLIGHEKKFQAVTHPMSLRLFWIADFVVMTLFLGSGITRVVSAQESDPNLMLDDISSFVAFPISVVLLIVAVKGSTGITVTRDSESEVDGEASEYETVLGKSNVTGYASASLLSRTFWIWMNPLLRKGYKAPLKLDDVPTLSPEHRAERMSELFERNWPKPEENSKHPVRTTLLRCFWKEVLFTATLAIIRLCVMYVGPLLIQRFVDYTSGKRTSPYEGYYLVGILMVAKFVEVLTSHHFNFNSQKLGMLIRSTLITSLYKKGLRLTCSARQDHGVGQIVNYMAVDAQQLSDMMLQLHAVWLMPVQVSIALAILYLNLGASTVVTLVGLVAVLLFVVFGTRRNNRFQFNIMKNRDSRMKATNEMLNYMRVIKFQAWEDHFNERIQSFRNIEYGWLSKFMYSIAGNLIVLWSTPLLVATLTFGSAILLGIPLDAGTVFTATSLFKMLQEPIRTFPQSMISLSQAMISLERLDKYMISKELADKSVERVEGCGDGIAVEVKDGTFSWDDERGEKVLKDVNFEVKKGELTAVVGTVGSGKSSLLASVLGEMHKLTGKVRVCGSTAYVAQTSWIQNGTIQENILFGSPMNRQRYEEVIKVCCLEKDLEMMEYGDQTEIGERGINLSGGQKQRIQLARSVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALRNKTTILVTHQIDFLHNVDLILVMRDGMIVQSGKYNDLLESGLDFKSLVAAHESSLELVDVETTSGSKDSPRMEKTKQRSFKQGDENEDGALQQSEGGTGGSKLIKEEERETGTVGFHVYKLYCTEAFGWWGVAAVVLLSLLWQGTQMASDYWLAYETSEERSFNPSLFLEVYGIIAVLSAVVVVVRMYSVTLMGLKTAQIFFGQILYSILHAPMSFFDTTPSGRILSRASNDQTNIDVFLPFFMNLTLAFYITLIGIIIMTCQYTWPTVVLLIPLGWLNFWCRGYFLATSRELTRLDSITKAPVIHHFSESIAGVMTIRCFRKQEGFSQENVTRVNENLRMDFHNNGSNEWLGCRLEMIGSFILCISAMFMIVLPSSIIKPENVGLSLSYGLSLNSSLFWTIFISCFVENKMVSVERIKQFTNIPSEAEWRKKDLLPPPNWPSKGNVELENLQVRYRPNTPLVLKGITLSIEGGEKIGVVGRTGGGKSTLIQVFFRLVEPAAGRIVIDDIDISILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYSDEDIWKSLERCQLKDVVASKPEKLDSPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTDGVIQRIIREDFASCTIISIAHRIPTVMDCNRVLVIDAGRAKEFDRPSRLLERPSLFGALVQEYANRSSEL >itb08g05230.t1 pep chromosome:ASM357664v1:8:4279048:4281540:-1 gene:itb08g05230 transcript:itb08g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLQEENGAVSPPAFTDFPEDVQLCILSFLTPAEISAFACTSKRFVSLCRDDERLWFSMCDRRWGSKTLIGKWGNGEISYKLLYMTLLEYEHLIGFWRRSGTGTGLNSGNSGRLVFFEWGPFYVSGSWVTPVKTSSYKVIKSPFLWMGINSRGETVNYLDLEGRLEFSENFMSSSEDSWLQEKDLVAVYVSFIGTCHVAIEENVNSSSPKNGDFRKVSSSGNVVKEDEYEDLCGSPGSLPDRPMSEIYQYFANRISPGGNGSWRRQRRREKERQGRRKWDMEHFVKIVDCSPTPARPLQGLWKGISDDRSLEFYLVSYDNIGGITCRRVGELSQLFSGCTLVFWTSNATFIESPFTSEEEDIYMSREHLQPYAEGDSFDDCLPCSDNRDVMRMLSMNSSYDLVIPDLTGTNLNPRQVEGRIWQYGNGTFGFGFLRNDYIIDLKQIARDGHILDTINFSDD >itb05g22950.t1 pep chromosome:ASM357664v1:5:28222646:28223322:1 gene:itb05g22950 transcript:itb05g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDINLVLQALLVIALLSASPALGAETRQTPKTIEGGEAAAVGADGQHVGDMKPVNYGYKWGIKGGAGHSIGYGWPYKGGHGWGWKGGYGGCKLGCCFHFAGKCKYCCKSVEEAQAYNKNYEPKLTPP >itb14g18520.t1 pep chromosome:ASM357664v1:14:21495561:21496308:1 gene:itb14g18520 transcript:itb14g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPESEENQYIMKYIMRVLGVSKISREVALHCITGLANVLNRVCQNPKNPIFNHYLFESVVVLVRRACEKDPSFVPGFEGSPDDIGERXAAMADLLAKHHSIYFHVLLDYYGANKLHQLTNDTAVAATMFQATRFVNIADLKGGKVRFSPHDNGGGLPATFVKAVEEIPYNISIIHINSVLPSAVAKAPALSQARQTSLS >itb03g18790.t1 pep chromosome:ASM357664v1:3:16999540:17004258:-1 gene:itb03g18790 transcript:itb03g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRQKSVKDRSLDVAKRVVLVCVKASREIPRSALAWTLTHVVQPGDCVKLLVVIPDHHSISKLWGFSRFHSDCAHTNGKSFSGTVFDQKDYITDSCAQIMLQLNDLYDPNKIQLKIKVISGSECGVVAAEAMKTQTHWVVLDKKMKKEAKICLEELECNVVMMKNSHPKILRMNFVGSPSTENEVIAWSQISRNLLTEIRVPNVTPVSSPEHVSSTTTAARTSSISSSELEACLLATSDFRWDLKKGGFPHRDVRYLFDESDSDTDIEKLISPSTSISSKQSTADTFSSSGEYSKFLKKGSQKLSKVKMRNFTGQDIHGKFFELDPNLEVGGERERLSMELSKNVRKMVLLSKSSPPDPPPLCSICQHKAPVFGKPPRWFTYAELETATRGFSKANFLAEGGYGSVHRGVLPDGQVVAVKQHKSASSQGDREFCSEVEVLSCAQHRNVVTLIGFCIEDGRRLLVYEYICNGSLDSHLYGHNRDPLNWSARQKIALGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPGGDLGVETRIIGTFGYLAPEYARSGQITEKADVYSFGVVLVELITGRKVMDIYRPKGQQCLTEWARPLLKENAIADLIDPCIRNCYLEQEVRRMMHCASSCIQPDPLSRPRMSQVLRMLESDI >itb03g18790.t2 pep chromosome:ASM357664v1:3:16999540:17004198:-1 gene:itb03g18790 transcript:itb03g18790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRQKSVKDRSLDVAKRVVLVCVKASREIPRSALAWTLTHVVQPGDCVKLLVVIPDHHSISKLWGFSRFHSDCAHTNGKSFSGTVFDQKDYITDSCAQIMLQLNDLYDPNKIQLKIKVISGSECGVVAAEAMKTQTHWVVLDKKMKKEAKICLEELECNVVMMKNSHPKILRMNFVGSPSTENEVIAWSQISRNLLTEIRVPNVTPVSSPEHVSSTTTAARTSSISSSELEACLLATSDFRWDLKKGGFPHRDVRYLFDESDSDTDIEKLISPSTSISSKQSTADTFSSSGEYSKFLKKGSQKLSKVKMRNFTGQDIHGKFFELDPNLEVGGERERLSMELSKNVRKMVLLSKSSPPDPPPLCSICQHKAPVFGKPPRWFTYAELETATRGFSKANFLAEGGYGSVHRGVLPDGQVVAVKQHKSASSQGDREFCSEVEVLSCAQHRNVVTLIGFCIEDGRRLLVYEYICNGSLDSHLYGHNRDPLNWSARQKIALGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPGGDLGVETRIIGTFGYLAPEYARSGQITEKADVYSFGVVLVELITGRKVMDIYRPKGQQCLTEWV >itb03g16590.t1 pep chromosome:ASM357664v1:3:15488647:15492549:1 gene:itb03g16590 transcript:itb03g16590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MGIHWDDGVLIEHGKKTGDPTVVTVNCPDKAGLGCDLCRIILEFGLYVTRGDISTDGKWCYIVLWVVPRPSSLRIDWESLKNRLVSACPSCMIPFFVEQQSSSCSHSPIYLLKVFCVDRKGLLHDVTKVLCELELSIQRLKVMTTPDGKVLDLFFITDGLDLLHTKQRRDNTCENLSKILGEYCISCELQLAGPEYEGQQAFTSLPQPVADELFRCELSEKESSDATKVKSAVVTVDNFLSPAHTLLQIQCIDQKGLIYDILRTSKDCDIQIAYGRISSTAKGYRTMDLFIQKTDGKKIIDHESQEALCSRLKEEMLHPLRVMITSRGPDTELLVANPVELSGKGRPRVFHDVTVALKTLGICVFSAEIGRHSTSDREWEVYRFLLDDTPKFPLASKQARSDIVDRVKKTLMGW >itb06g24730.t2 pep chromosome:ASM357664v1:6:26173837:26178840:1 gene:itb06g24730 transcript:itb06g24730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFREPDFLFPSWIVKNHSEMAESRVFILSCVVAGLIGILTIFYTAFQWRRNINLGWMKAIARSKKHPKARHKVPAAPHNWDLDDVSRGKSLNCFVCLKSISPSQTLGPLEGVFNRCSVCGAAAHLSCSSAAHKDCKCVSMVGFKHVVHQWAVRWTEVADLPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMCNEIGDICDLGPFRRLILSPLYVKELRRTSSGGLLSSITHGANEFASSVRATIRSQSKKYKHGHEKHRNEVSAETGNANAVDDPSTESTADSSQAVNGSHIMDENCNGGIHSESVDKEQDGDVKKLETKASLKKSSSINQKDEAQSIGMRQKYEVTELPSDVRPLLVFINKKSGAQRGSSLRRRLNILLNPVQVFELSSAQGPEAGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGRGLGSVEREGGLCTLLNDIEQAAVTVLDRWKVSVSYQQGKQLEPPKFMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDESYDNLDPQSMHDKILEVVSISGTWHLGKLQVGLSKARRLAQGQSIKIQLFAAFPVQIDGEPWYQPPCTLTITHHGQAFMLKRAAEEPLGHAAAIIADVLESAESSQVINASQKRALLQEMALRLS >itb06g24730.t1 pep chromosome:ASM357664v1:6:26173427:26178809:1 gene:itb06g24730 transcript:itb06g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFREPDFLFPSWIVKNHSEMAESRVFILSCVVAGLIGILTIFYTAFQWRRNINLGWMKAIARSKKHPKARHKVPAAPHNWDLDDVSRGKSLNCFVCLKSISPSQTLGPLEGVFNRCSVCGAAAHLSCSSAAHKDCKCVSMVGFKHVVHQWAVRWTEVADLPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMCNEIGDICDLGPFRRLILSPLYVKELRRTSSGGLLSSITHGANEFASSVRATIRSQSKKYKHGHEKHRNEVSAETGNANAVDDPSTESTADSSQAVNGSHIMDENCNGGIHSESVDKEQDGDVKKLETKASLKKSSSINQKDEAQSIGMRQKYEVTELPSDVRPLLVFINKKSGAQRGSSLRRRLNILLNPVQVFELSSAQGPEAGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGRGLGSVEREGGLCTLLNDIEQAAVTVLDRWKVSVSYQQGKQLEPPKFMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDESYDNLDPQSMHDKILEVVSISGTWHLGKLQVGLSKARRLAQGQSIKIQLFAAFPVQIDGEPWYQPPCTLTITHHGQAFMLKRAAEEPLGHAAAIIADVLESAESSQVINASQKRALLQEMALRLS >itb03g23120.t1 pep chromosome:ASM357664v1:3:21284478:21285542:-1 gene:itb03g23120 transcript:itb03g23120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVCTIIAVALSCLVVVALGVPPLNFNNDVTMYFGNHLGQVQDNGNTMALNLDQQTGSGFNTKNEYIFGRFDMQLKLMKGFSAGTVTTFYLTSPIGQAHDEVDFEFLGNATFQPFTVHTNVFSEGKGNREQQFHLWFDPTAEFHTYTILWNSQRIIWMVDNIPIRVFENQESIGVPFPKTKPQRIFCSLWEASAWATQGGNVKVDWSRAPFTAHYKNFVVDACVITNGASSCANNKQPWQTYTLDADAKAKLQNVQKKNMIYNYCTDTKRFAQGLPPECKPAQEHPSA >itb11g04720.t5 pep chromosome:ASM357664v1:11:2521325:2524343:-1 gene:itb11g04720 transcript:itb11g04720.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSNLKMEMKKLLFQYSTPFPSSISKCIWNFNSKARVLFFSNEAKTLDSFFPPPTDDGLFDRLMAVERNRASIVPILEQWVGEDRPIKLDNLRYIIKGLRKHRRSKHALQIFEWIDVSKRLQLSPRDIAVRVDLIQKTRGLEAAEKYFYSIPIHLRADKVYAALLNCYAHRKSVEKAECVLQKLRKEFGYVHCLAFNVMLNLYSKVGKFEELHSLAQEMEEKRVIVDKFFYTIRLNAYATARDVDGMEMLLKKMEANPMMVADSVVCYTIVANAYIRAGDFEKALAAAKKCEHLTRRQRTEREYECLLTLYGSMGRKGDVYRIWNEYKGVGKLRNNGYLSMISALEKLDDLDAMEKIVEEWESHKTSFDIRFPNFLVYVYCKKGNLRKAEAIIERIIKSGQKASAGTWSRMACGYCENKQMDKAVQALKKTILAAHTQANLPLHLLASCLTYLESNGKFQAAEDIIKLLKKRVLVSEEFEQRLEDYIRKGKAGKLR >itb11g04720.t1 pep chromosome:ASM357664v1:11:2520393:2524343:-1 gene:itb11g04720 transcript:itb11g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSNLKMEMKKLLFQYSTPFPSSISKCIWNFNSKARVLFFSNEAKTLDSFFPPPTDDGLFDRLMAVERNRASIVPILEQWVGEDRPIKLDNLRYIIKGLRKHRRSKHALQIFEWIDVSKRLQLSPRDIAVRVDLIQKTRGLEAAEKYFYSIPIHLRADKVYAALLNCYAHRKSVEKAECVLQKLRKEFGYVHCLAFNVMLNLYSKVGKFEELHSLAQEMEEKRVIVDKFFYTIRLNAYATARDVDGMEMLLKKMEANPMMVADSVVCYTIVANAYIRAGDFEKALAAAKKCEHLTRRQRTEREYECLLTLYGSMGRKGDVYRIWNEYKGVGKLRNNGYLSMISALEKLDDLDAMEKIVEEWESHKTSFDIRFPNFLVYVYCKKGNLRKAEAIIERIIKSGQKASAGTWSRMACGYCENKQMDKAVQALKKTILAAHTQANLPLHLLASCLTYLESNGKFQAAEDIIKLLKKRVLVSEEFEQRLEDYIRKGKAGKLR >itb11g04720.t3 pep chromosome:ASM357664v1:11:2520385:2524343:-1 gene:itb11g04720 transcript:itb11g04720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSNLKMEMKKLLFQYSTPFPSSISKCIWNFNSKARVLFFSNEAKTLDSFFPPPTDDGLFDRLMAVERNRASIVPILEQWVGEDRPIKLDNLRYIIKGLRKHRRSKHALQIFEWIDVSKRLQLSPRDIAVRVDLIQKTRGLEAAEKYFYSIPIHLRADKVYAALLNCYAHRKSVEKAECVLQKLRKEFGYVHCLAFNVMLNLYSKVGKFEELHSLAQEMEEKRVIVDKFFYTIRLNAYATARDVDGMEMLLKKMEANPMMVADSVVCYTIVANAYIRAGDFEKALAAAKKCEHLTRRQRTEREYECLLTLYGSMGRKGDVYRIWNEYKGVGKLRNNGYLSMISALEKLDDLDAMEKIVEEWESHKTSFDIRFPNFLVYVYCKKGNLRKAEAIIERIIKSGQKASAGTWSRMACGYCENKQMDKAVQALKKTILAAHTQANLPLHLLASCLTYLESNGKFQAAEDIIKLLKKRVLVSEEFEQRLEDYIRKGKAGKLR >itb11g04720.t4 pep chromosome:ASM357664v1:11:2520393:2524343:-1 gene:itb11g04720 transcript:itb11g04720.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSNLKMEMKKLLFQYSTPFPSSISKCIWNFNSKARVLFFSNEAKTLDSFFPPPTDDGLFDRLMAVERNRASIVPILEQWVGEDRPIKLDNLRYIIKGLRKHRRSKHALQIFEWIDVSKRLQLSPRDIAVRVDLIQKTRGLEAAEKYFYSIPIHLRADKVYAALLNCYAHRKSVEKAECVLQKLRKEFGYVHCLAFNVMLNLYSKVGKFEELHSLAQEMEEKRVIVDKFFYTIRLNAYATARDVDGMEMLLKKMEANPMMVADSVVCYTIVANAYIRAGDFEKALAAAKKCEHLTRRQRTEREYECLLTLYGSMGRKGDVYRIWNEYKGVGKLRNNGYLSMISALEKLDDLDAMEKIVEEWESHKTSFDIRFPNFLVYVYCKKGNLRKAEAIIERIIKSGQKASAGTWSRMACGYCENKQMDKAVQALKKTILAAHTQANLPLHLLASCLTYLESNGKFQAAEDIIKLLKKRVLVSEEFEQRLEDYIRKGKAGKLR >itb11g04720.t2 pep chromosome:ASM357664v1:11:2520385:2524343:-1 gene:itb11g04720 transcript:itb11g04720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCSNLKMEMKKLLFQYSTPFPSSISKCIWNFNSKARVLFFSNEAKTLDSFFPPPTDDGLFDRLMAVERNRASIVPILEQWVGEDRPIKLDNLRYIIKGLRKHRRSKHALQIFEWIDVSKRLQLSPRDIAVRVDLIQKTRGLEAAEKYFYSIPIHLRADKVYAALLNCYAHRKSVEKAECVLQKLRKEFGYVHCLAFNVMLNLYSKVGKFEELHSLAQEMEEKRVIVDKFFYTIRLNAYATARDVDGMEMLLKKMEANPMMVADSVVCYTIVANAYIRAGDFEKALAAAKKCEHLTRRQRTEREYECLLTLYGSMGRKGDVYRIWNEYKGVGKLRNNGYLSMISALEKLDDLDAMEKIVEEWESHKTSFDIRFPNFLVYVYCKKGNLRKAEAIIERIIKSGQKASAGTWSRMACGYCENKQMDKAVQALKKTILAAHTQANLPLHLLASCLTYLESNGKFQAAEDIIKLLKKRVLVSEEFEQRLEDYIRKGKAGKLR >itb05g03030.t1 pep chromosome:ASM357664v1:5:2442680:2444896:1 gene:itb05g03030 transcript:itb05g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVECIGHILIFPYPAQGHVNSMLKLAHLLDLANFHITFFVSSNIHARLLALTDVDSGRFSPRFRLQSFPPGIYDGGIDSKEGALELYHSLHTIGKPFLKQYIAQNRDSGLPFTCFISDLVLSIALEVSEQSFNLPVYYFCVISACGFWAYFSLPDLFEAGELPIKENGLESSITKVKGMEDFLRARDLPSCCRYEEEGPTRIIYTETRRAVKARALILNTFEDLEGPILSQIRTKCPKVYTIGPLHARVKATLASKSTHSNSLLQEDETCMAWLDSQEPKSVIYVSFGSISVLTRQQLMEFWYGLVNSGKKFLWVMRPDLVAGKDEETPIPSELEEGTKANGYIIGWAPQEAVLDHPAVGGFLTHSGWNSTLESIAAGVPMICWPFFADQQTNSRFVGEVWKLGMDMKDVCDRSSVEKLIRELMEKRKGEFLERAENMVKLAKEAISEGGSSYCNFDCLIQDIIKLNESMSEEDTVKCAG >itb07g21450.t2 pep chromosome:ASM357664v1:7:25838828:25843789:1 gene:itb07g21450 transcript:itb07g21450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEAAVGIGYWLRWQVVVCALILILPATIALRLLRRRHKDPIDPSHFWVPSWRGLHPRWLLFYRAFAFASMAFLLYQTVATFGFFVFFFYTQWTFALVMVYFALGTLVSAQGCLWSSRSPFAQCGETDRFLKKDPDETNSHDKEQACVEQHLGLSENLMHIVYQTCAGAVMLTDIVFWCLLLPFMTGENFQLTLLIGCMHSVNAIFLFLDSALNKLPFSWYGLVYFILWSCVYVAFQWILHACCYTWWPYPFLDLSTPWAPFWYFALALVHIPCYGIYVLLIKLKDGILSRMFTEAYVRVTMEKKGT >itb07g21450.t3 pep chromosome:ASM357664v1:7:25838828:25843789:1 gene:itb07g21450 transcript:itb07g21450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEAAVGIGYWLRWQVVVCALILILPATIALRLLRRRHKDPIDPSHFWVPSWRGLHPRWLLFYRAFAFASMAFLLYQTVATFGFFVFFFYTQWTFALVMVYFALGTLVSAQGCLWSSRSPFAQCGETDRFLKKDPDETNSHDKEQACVEQHLGLSENLMHIVYQTCAGAVMLTDIVFWCLLLPFMTGENFQLTLLIGCMHSVNAIFLFLDSALNKLPFSWYGLVYFILWSCVYVAFQWILHACCYTWWPYPFLDLSTPWAPFWYFALALVHIPCYGIYVLLIKLKDGILSRMFTEAYVRLS >itb07g21450.t1 pep chromosome:ASM357664v1:7:25838828:25843789:1 gene:itb07g21450 transcript:itb07g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEAAVGIGYWLRWQVVVCALILILPATIALRLLRRRHKDPIDPSHFWVPSWRGLHPRWLLFYRAFAFASMAFLLYQTVATFGFFVFFFYTQWTFALVMVYFALGTLVSAQGCLWSSRSPFAQCGETDRFLKKDPDETNSHDKEQACVEQHLGLSENLMHIVYQTCAGAVMLTDIVFWCLLLPFMTGENFQLTLLIGCMHSVNAIFLFLDSALNKLPFSWYGLVYFILWSCVYVAFQWILHACCYTWWPYPFLDLSTPWAPFWYFALALVHIPCYGIYVLLIKLKDGILSRMFTEAYVRLS >itb07g21450.t4 pep chromosome:ASM357664v1:7:25838828:25843789:1 gene:itb07g21450 transcript:itb07g21450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEAAVGIGYWLRWQVVVCALILILPATIALRLLRRRHKDPIDPSHFWVPSWRGLHPRWLLFYRAFAFASMAFLLYQTVATFGFFVFFFYTQWTFALVMVYFALGTLVSAQGCLWSSRSPFAQCGETDRFLKKDPDETNSHDKEQACVEQHLGLSENLMHIVYQTCAGAVMLTDIVFWCLLLPFMTGENFQLTLPFSWYGLVYFILWSCVYVAFQWILHACCYTWWPYPFLDLSTPWAPFWYFALALVHIPCYGIYVLLIKLKDGILSRMFTEAYVRVTMEKKGT >itb07g05480.t1 pep chromosome:ASM357664v1:7:3794962:3795607:1 gene:itb07g05480 transcript:itb07g05480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLMATTSILLSSGLAAVISSTYSIKKPLNDAVYGAHGEFMVALKYVALLLVFLFSFLSHSLSIRFINQVNFLINCPPDSAGIVCPEYVSELLEKGLTLNTAGNRLFYGALPLMMWIFGPVLVFLCYVTMVPVLYNLDFVFVGAKGKQKMKPDYHENDAHNNGPVNV >itb12g22270.t1 pep chromosome:ASM357664v1:12:24391252:24392701:1 gene:itb12g22270 transcript:itb12g22270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGKYYNGYSERYYSKGNGYNIPERQGISDTKFTKNRQIAVPVVPTIRVIVTFTKFEELPPLEEEFSTPPSSPTHFQDAKSTDFESSTSWNTWLRGNQGEQSGESESESFQNEFDPFQIPSDYAWVDAKEKKRRRKAAKRAKLKKQRKQTATRHPDGGGGHKTREKAES >itb12g03350.t1 pep chromosome:ASM357664v1:12:2179627:2182735:-1 gene:itb12g03350 transcript:itb12g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRAIYTVGFWIRETGQALDRLGCRLQGNYYFHEQLSRHRTLMNLFDKAPAVHREAFVAPSASIIGDVQVGRNSSIWYGCVLRGDVNSISIGAGTNIQDNSLVHVAKSNLAGKVLSTVIGNNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKNAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEIAFISQSAANYSNLAQVHATENAKSFDEIEFEKVLRKKFARKDEDYDSMLGVVRETPQELILPDNILPDKAPKAT >itb13g08290.t2 pep chromosome:ASM357664v1:13:10509645:10511925:1 gene:itb13g08290 transcript:itb13g08290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKYGIIGVGMMGREHLINLYHLRAEGVAVVCIADPHVPSQQHAQKLALSFDWPIQVFSGHKQLLDSGLCDVVIVSSPNMTHYEILMDILNHPKPHHVLVEKPLCTSVQHCKHVLEAARQRSDMLVQVGLEYRYMPPVAKLIDVVNTGSLGRIKMVAIREHRFPFLVKVNNWNRFNCNTGGTLVEKCCHFFDLMRLFVGANPVRVMASGAMDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVAGDIGKVRK >itb13g08290.t1 pep chromosome:ASM357664v1:13:10509645:10511925:1 gene:itb13g08290 transcript:itb13g08290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKYGIIGVGMMGREHLINLYHLRAEGVAVVCIADPHVPSQQHAQKLALSFDWPIQVFSGHKQLLDSGLCDVVIVSSPNMTHYEILMDILNHPKPHHVLVEKPLCTSVQHCKHVLEAARQRSDMLVQVGLEYRYMPPVAKLIDVVNTGSLGRIKMVAIREHRFPFLVKVNNWNRFNCNTGGTLVEKCCHFFDLMRLFVGANPVRVMASGAMDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVAGDIGKGEAFVPESIVRWGKREEGRDVVQTINIKDDRIKYDGLHHGSSYLEHLHFLGAIREEGGRAPAVGLHDGLISVAVGVAAQLSIEKGRFVAIKEVLSD >itb04g09040.t1 pep chromosome:ASM357664v1:4:8352429:8354751:-1 gene:itb04g09040 transcript:itb04g09040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAGFCGEQKKNQKGESGEQKKADGGKKEGGGGGGDNASVVLKADLHCEGCISKIVKTIRGCDGMEGVDKEKVQRKVYEMSKRSI >itb02g02080.t2 pep chromosome:ASM357664v1:2:1142247:1143782:-1 gene:itb02g02080 transcript:itb02g02080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSFETTREEDDDSIYLKGVKNLCDSRITRVPKKYIFPVGDRPNFRIDDEPHPNPNLNLPLVDFAQLLGPNRSHVVNSIAKACKEYGFFQVINHGIESDTIRRMIDAGRRFFHLPFEKRAQYMSGDMHSPVRCGTSFNQNKDGVFCWRDFLKLNCSPLSQFFQSWPTSPPGLREAAAIYSKQTRLLYLMLIEAILESLGIVKEEASKYGENESGGEIGFEEFEEGSQLLVVNCYPACPEPELTLGMPPHSDYGLLTLLLQDEVKGLEIIHDGRWETVHPIPNSFVVNVGDHLEVCYLSSD >itb02g02080.t1 pep chromosome:ASM357664v1:2:1141587:1143782:-1 gene:itb02g02080 transcript:itb02g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMSFETTREEDDDSIYLKGVKNLCDSRITRVPKKYIFPVGDRPNFRIDDEPHPNPNLNLPLVDFAQLLGPNRSHVVNSIAKACKEYGFFQVINHGIESDTIRRMIDAGRRFFHLPFEKRAQYMSGDMHSPVRCGTSFNQNKDGVFCWRDFLKLNCSPLSQFFQSWPTSPPGLREAAAIYSKQTRLLYLMLIEAILESLGIVKEEASKYGENESGGEIGFEEFEEGSQLLVVNCYPACPEPELTLGMPPHSDYGLLTLLLQDEVKGLEIIHDGRWETVHPIPNSFVVNVGDHLEIFSNGRYKSVLHRVVANSSRSRISVASLHSLPFSTLIRPSPRLIDQANPRRYRDTDFATFLQYISSREHKSKNFLDSMKLF >itb01g08130.t2 pep chromosome:ASM357664v1:1:6475695:6481103:-1 gene:itb01g08130 transcript:itb01g08130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATLLDYVLFQLTPTRTRCDLVIFSGKKSEKLASGLLQPFISHLKSAQDQISRGGYSITLRPSTPQSSSWFTKATLERFVKFVSSPEVLERFVTIEREIVQIESSVQPNEQPSVASEAEVESNGTGETSQGEDSKVRLQRILETRKAVLRKEQAMAYARALVSGFEADCLEDLISFANAFGALRLREACVNFLELCTKKSDDGMWMHEVAAMQASELPYFGKSGIVLAGEEKLYNQDMMMNQNELASNSSANHDNGLASTPQMQLPIWQNHFPQYLQHFTGPGFPQTMPFPGYVLPGMQVPPSYFPGNVPWPPNVDDCTRNIHRESEDSWKGKSSSKSKKKYSNGTNPKEDHGNDNSDLSSGGDSDNYLEHKEKHSSNNRKGSSKTIVIRNINYVTSRRNEEKDSSSSDSSSSDGSDSIKRQIEQAVGALERPENRKKRDGTKRHSSKNREGIENADMGTSQEDTKNKNWGIFQDLLMKEPNSPTVRIQEEFFATESLGDDDQSSPFNAQTEGLSKQHAPSNDSFIVAERDIHVEDQVHLQNFAAGETTYLVSRQGNTGEELVFANRTREMDSYSRAMLPIGATDTMIIRSQKEEDWFKCNQPDLSSNRGFKVDNSIFVGDNTAEENKKDVLADDSFIVQTNSVGKTSDYQPTADIFMVSDIIGANQPKLESVKSKVEATDVNEPDDLCMVLERDAAIDRVDAPWNPEMDYGNDKRCPDVSQTNSVSLKLPQNDKAPNTRDRTPGGKGVRKETSSRNSMGSLARSKSEITSRIKKSTSVKSKSVKEEESRKKMEELALQRQRRIAERSAGTVSNRPTSNKTMKDSKRSMATPVKVEKPKYQASTGEAEKLRKPICRSSTIDRLATARITHMPSKEPSRKTITRENGVVAGIEKKTNHQKVKPSDKSSMNKSKEVDDFRDIKELQTISSVEKIENHMISSSHTFVNEECDQMSNGRFSMPNEDQSVQPQDLKVSDAIQSVASAFEDVTSDDIASIKMKTDPSPCNALTSSDSTMYENSAASDEVKVETHHSRKKWITAGDSPQVTKGIRKLLLFGRKI >itb01g08130.t5 pep chromosome:ASM357664v1:1:6473974:6480033:-1 gene:itb01g08130 transcript:itb01g08130.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARALVSGFEADCLEDLISFANAFGALRLREACVNFLELCTKKSDDGMWMHEVAAMQASELPYFGKSGIVLAGEEKLYNQDMMMNQNELASNSSANHDNGLASTPQMQLPIWQNHFPQYLQHFTGPGFPQTMPFPGYVLPGMQVPPSYFPGNVPWPPNVDDCTRNIHRESEDSWKGKSSSKSKKKYSNGTNPKEDHGNDNSDLSSGGDSDNYLEHKEKHSSNNRKGSSKTIVIRNINYVTSRRNEEKDSSSSDSSSSDGSDSIKRQIEQAVGALERPENRKKRDGTKRHSSKNREGIENADMGTSQEDTKNKNWGIFQDLLMKEPNSPTVRIQEEFFATESLGDDDQSSPFNAQTEGLSKQHAPSNDSFIVAERDIHVEDQVHLQNFAAGETTYLVSRQGNTGEELVFANRTREMDSYSRAMLPIGATDTMIIRSQKEEDWFKCNQPDLSSNRGFKVDNSIFVGDNTAEENKKDVLADDSFIVQTNSVGKTSDYQPTADIFMVSDIIGANQPKLESVKSKVEATDVNEPDDLCMVLERDAAIDRVDAPWNPEMDYGNDKRCPDVSQTNSVSLKLPQNDKAPNTRDRTPGGKGVRKETSSRNSMGSLARSKSEITSRIKKSTSVKSKSVKEEESRKKMEELALQRQRRIAERSAGTVSNRPTSNKTMKDSKRSMATPVKVEKPKYQASTGEAEKLRKPICRSSTIDRLATARITHMPSKEPSRKTITRENGVVAGIEKKTNHQKVKPSDKSSMNKSKEVDDFRDIKELQTISSVEKIENHMISSSHTFVNEECDQMSNGRFSMPNEDQSVQPQDLKVSDAIQSVASAFEDVTSDDIASIKMKTDPSPCNALTSSDSTMYENSAASDEVKVETHHSRKKWITAGDSPQVTKGIRKLLLFGRKI >itb01g08130.t4 pep chromosome:ASM357664v1:1:6473464:6480033:-1 gene:itb01g08130 transcript:itb01g08130.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARALVSGFEADCLEDLISFANAFGALRLREACVNFLELCTKKSDDGMWMHEVAAMQASELPYFGKSGIVLAGEEKLYNQDMMMNQNELASNSSANHDNGLASTPQMQLPIWQNHFPQYLQHFTGPGFPQTMPFPGYVLPGMQVPPSYFPGNVPWPPNVDDCTRNIHRESEDSWKGKSSSKSKKKYSNGTNPKEDHGNDNSDLSSGGDSDNYLEHKEKHSSNNRKGSSKTIVIRNINYVTSRRNEEKDSSSSDSSSSDGSDSIKRQIEQAVGALERPENRKKRDGTKRHSSKNREGIENADMGTSQEDTKNKNWGIFQDLLMKEPNSPTVRIQEEFFATESLGDDDQSSPFNAQTEGLSKQHAPSNDSFIVAERDIHVEDQVHLQNFAAGETTYLVSRQGNTGEELVFANRTREMDSYSRAMLPIGATDTMIIRSQKEEDWFKCNQPDLSSNRGFKVDNSIFVGDNTAEENKKDVLADDSFIVQTNSVGKTSDYQPTADIFMVSDIIGANQPKLESVKSKVEATDVNEPDDLCMVLERDAAIDRVDAPWNPEMDYGNDKRCPDVSQTNSVSLKLPQNDKAPNTRDRTPGGKGVRKETSSRNSMGSLARSKSEITSRIKKSTSVKSKSVKEEESRKKMEELALQRQRRIAERSAGTVSNRPTSNKTMKDSKRSMATPVKVEKPKYQASTGEAEKLRKPICRSSTIDRLATARITHMPSKEPSRKTITRENGVVAGIEKKTNHQKVKPSDKSSMNKSKEVDDFRDIKELQTISSVEKIENHMISSSHTFVNEECDQMSNGRFSMPNEDQSVQPQDLKVSDAIQSVASAFEDVTSDDIASIKMKTDPSPCNALTSSDSTMYENSAASDEVKVETHHSRKKWITAGDSPQVTKGIRKLLLFGRKI >itb01g08130.t3 pep chromosome:ASM357664v1:1:6475695:6481103:-1 gene:itb01g08130 transcript:itb01g08130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATLLDYVLFQLTPTRTRCDLVIFSGKKSEKLASGLLQPFISHLKSAQDQISRGGYSITLRPSTPQSSSWFTKATLERFVKFVSSPEVLERFVTIEREIVQIESSVQPNEQPSVASEAEVESNGTGETSQGEDSKVRLQRILETRKAVLRKEQAMAYARALVSGFEADCLEDLISFANAFGALRLREACVNFLELCTKKSDDGMWMHEVAAMQASELPYFGKSGIVLAGEEKLYNQDMMMNQNELASNSSANHDNGLASTPQMQLPIWQNHFPQYLQHFTGPGFPQTMPFPGYVLPGMQVPPSYFPGNVPWPPNVDDCTRNIHRESEDSWKGKSSSKSKKKYSNGTNPKEDHGNDNSDLSSGGDSDNYLEHKEKHSSNNRKGSSKTIVIRNINYVTSRRNEEKDSSSSDSSSSDGSDSIKRQIEQAVGALERPENRKKRDGTKRHSSKNREGIENADMGTSQEDTKNKNWGIFQDLLMKEPNSPTVRIQEEFFATESLGDDDQSSPFNAQTEGLSKQHAPSNDSFIVAERDIHVEDQVHLQNFAAGETTYLVSRQGNTGEELVFANRTREMDSYSRAMLPIGATDTMIIRSQKEEDWFKCNQPDLSSNRGFKVDNSIFVGDNTAEENKKDVLADDSFIVQTNSVGKTSDYQPTADIFMVSDIIGANQPKLESVKSKVEATDVNEPDDLCMVLERDAAIDRVDAPWNPEMDYGNDKRCPDVSQTNSVSLKLPQNDKAPNTRDRTPGGKGVRKETSSRNSMGSLARSKSEITSRIKKSTSVKSKSVKEEESRKKMEELALQRQRRIAERSAGTVSNRPTSNKTMKDSKRSMATPVKVEKPKYQASTGEAEKLRKPICRSSTIDRLATARITHMPSKEPSRKTITRENGVVAGIEKKTNHQKVKPSDKSSMNKSKEVDDFRDIKELQTISSVEKIENHMISSSHTFVNEECDQMSNGRFSMPNEDQSVQPQDLKVSDAIQSVASAFEDVTSDDIASIKMKTDPSPCNALTSSDSTMYENSAASDEVKVETHHSRKKWITAGDSPQVTKEQACTNEVKVKKYGE >itb01g08130.t1 pep chromosome:ASM357664v1:1:6473464:6480033:-1 gene:itb01g08130 transcript:itb01g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYARALVSGFEADCLEDLISFANAFGALRLREACVNFLELCTKKSDDGMWMHEVAAMQASELPYFGKSGIVLAGEEKLYNQDMMMNQNELASNSSANHDNGLASTPQMQLPIWQNHFPQYLQHFTGPGFPQTMPFPGYVLPGMQVPPSYFPGNVPWPPNVDDCTRNIHRESEDSWKGKSSSKSKKKYSNGTNPKEDHGNDNSDLSSGGDSDNYLEHKEKHSSNNRKGSSKTIVIRNINYVTSRRNEEKDSSSSDSSSSDGSDSIKRQIEQAVGALERPENRKKRDGTKRHSSKNREGIENADMGTSQEDTKNKNWGIFQDLLMKEPNSPTVRIQEEFFATESLGDDDQSSPFNAQTEGLSKQHAPSNDSFIVAERDIHVEDQVHLQNFAAGETTYLVSRQGNTGEELVFANRTREMDSYSRAMLPIGATDTMIIRSQKEEDWFKCNQPDLSSNRGFKVDNSIFVGDNTAEENKKDVLADDSFIVQTNSVGKTSDYQPTADIFMVSDIIGANQPKLESVKSKVEATDVNEPDDLCMVLERDAAIDRVDAPWNPEMDYGNDKRCPDVSQTNSVSLKLPQNDKAPNTRDRTPGGKGVRKETSSRNSMGSLARSKSEITSRIKKSTSVKSKSVKEEESRKKMEELALQRQRRIAERSAGTVSNRPTSNKTMKDSKRSMATPVKVEKPKYQASTGEAEKLRKPICRSSTIDRLATARITHMPSKEPSRKTITRENGVVAGIEKKTNHQKVKPSDKSSMNKSKEVDDFRDIKELQTISSVEKIENHMISSSHTFVNEECDQMSNGRFSMPNEDQSVQPQDLKVSDAIQSVASAFEDVTSDDIASIKMKTDPSPCNALTSSDSTMYENSAASDEVKVETHHSRKKWITAGDSPQVTKGIRKLLLFGRKI >itb09g02750.t2 pep chromosome:ASM357664v1:9:1558750:1561612:1 gene:itb09g02750 transcript:itb09g02750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSVFDSSVVRAEFEKAGINTRFIPLIWKHVIQNPNCQWDDIPSLPSGAYPLLRSKFAPLTSTLHSAVESNDGVTTKLLIKLQNGAFVEAVIMRYDTRLGKINGKPRPGGPRSTLCISSQVGCKMGCRFCATGSMGFKNNLSSGEIVEQLVHASRLSPIRNVVFMGMGEPLNNYSALVEAIKVMITSPFQLSPRKITVSTVGIIHAINKLHNDVPNINLAVSLHAPVQDIRCQIMPAARAFPLERLMDALQAYQKRSKKSLLNT >itb09g02750.t3 pep chromosome:ASM357664v1:9:1558750:1561633:1 gene:itb09g02750 transcript:itb09g02750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDTRLGKINGKPRPGGPRSTLCISSQVGCKMGCRFCATGSMGFKNNLSSGEIVEQLVHASRLSPIRNVVFMGMGEPLNNYSALVEAIKVMITSPFQLSPRKITVSTVGIIHAINKLHNDVPNINLAVSLHAPVQDIRCQIMPAARAFPLERLMDALQAYQKRSQQKIFIEYIMLDGVNDEEQHAHQLGKLLETFQVVVNLIPFNPIGSLSDFKTSNDQKVIIFQKSLRDIYGIRTTVRKQMGQDISGACGQLVVNLPNKQSVGHTDVLTDIEDLRI >itb09g02750.t1 pep chromosome:ASM357664v1:9:1558733:1561633:1 gene:itb09g02750 transcript:itb09g02750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSVFDSSVVRAEFEKAGINTRFIPLIWKHVIQNPNCQWDDIPSLPSGAYPLLRSKFAPLTSTLHSAVESNDGVTTKLLIKLQNGAFVEAVIMRYDTRLGKINGKPRPGGPRSTLCISSQVGCKMGCRFCATGSMGFKNNLSSGEIVEQLVHASRLSPIRNVVFMGMGEPLNNYSALVEAIKVMITSPFQLSPRKITVSTVGIIHAINKLHNDVPNINLAVSLHAPVQDIRCQIMPAARAFPLERLMDALQAYQKRSQQKIFIEYIMLDGVNDEEQHAHQLGKLLETFQVVVNLIPFNPIGSLSDFKTSNDQKVIIFQKSLRDIYGIRTTVRKQMGQDISGACGQLVVNLPNKQSVGHTDVLTDIEDLRI >itb13g22590.t4 pep chromosome:ASM357664v1:13:28875805:28877594:1 gene:itb13g22590 transcript:itb13g22590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDETGVYKNLLQETAHRAGLNLPLYTTVRSGPGCAPLFTCSVELAGMSFKGEPAKTKKQAQKSAAMAAWFSLKNLSQQDSSGCSSSDGGKDEQEQVTVARYLSKFKLPERRRPLKAPVKNDVIPYHASRSIDRLQHQNYTYFPLSPDMSTYQNWHHHGMTLPSYLLSSPSAPSSISGPQIFPFVQSIFQSGIGPYIFPREREPVPLIQGGPFFYMAGDLMPACSNNSRVRIEEIEENSQVEEEWRNKDINSSCPRISQSSIASGSQGDVLKYPNEYASMRVRAQTCNREEFSWLSPGFLQNNPGAIAMNQPALHQQNANYSSFSPSRAQGTHAWRSSSPRPTRGPTLVPPETSQRNINAIATARSSASATLGVPRTASFSSRPSRTTVPSYSTRPWLERSLVPCTRTIAPAVQIRSVVPVCSAPAQRVPPAISQGPSSSKGDTRQTKETSVDISAASSEFSRLQI >itb13g22590.t2 pep chromosome:ASM357664v1:13:28873991:28877657:1 gene:itb13g22590 transcript:itb13g22590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKAKVNFNGEIFESPNFFSTLRQAEHASAEIALKTLSQRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPLYTTVRSGPGCAPLFTCSVELAGMSFKGEPAKTKKQAQKSAAMAAWFSLKNLSQQDSSGCSSSDGGKDEQEQVTVARYLSKFKLPERRRPLKAPVKNDVIPYHASRSIDRLQHQNYTYFPLSPDMSTYQNWHHHGMTLPSYLLSSPSAPSSISGPQIFPFVQSIFQSGIGPYIFPREREPVPLIQGGPFFYMAGDLMPACSNNSRVRIEEIEENSQVEEEWRNKDINSSCPRISQSSIASGSQGDVLKYPNEYASMRVRAQTCNREEFSWLSPGFLQNNPGAIAMNQPALHQQNANYSSFSPSRAQGTHAWRSSSPRPTRGPTLVPPETSQRNINAIATARSSASATLGVPRTASFSSRPSRTTVPSYSTRPWLERSLVPCTRTIAPAVQIRSVVPVCSAPAQRVPPAISQGPSSSKGDTRQTKETSVDISAASSEFSRLQI >itb13g22590.t1 pep chromosome:ASM357664v1:13:28873991:28877657:1 gene:itb13g22590 transcript:itb13g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKAKVNFNGEIFESPNFFSTLRQAEHASAEIALKTLSQRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPLYTTVRSGPGCAPLFTCSVELAGMSFKGEPAKTKKQAQKSAAMAAWFSLKNLSQQDSSGCSSSDGGKDEQEQVTVARYLSKFKLPERRRPLKAPVKNDVIPYHASRSIDRLQHQNYTYFPLSPDMSTYQNWHHHGMTLPSYLLSSPSAPSSISGPQIFPFVQSIFQSGIGPYIFPREREPVPLIQGGPFFYMAGDLMPACSNNSRVRIEEIEENSQVEEEWRNKDINSSCPRISQSSIASGSQGDVLKYPNEYASMRVRAQTCNREEFSWLSPGFLQNNPGAIAMNQPALHQQNANYSSFSPSRAQGTHAWRSSSPRPTRGPTLVPPETSQRNINAIATARSSASATLGVPRTASFSSRPSRTTVPSYSTRPWLERSLVPCTRTIAPAVQIRSVVPVCSAPAQRVPPAISQGPSSSKGDTRQTKETSVDISAASSEFSRLQI >itb13g22590.t3 pep chromosome:ASM357664v1:13:28875094:28877657:1 gene:itb13g22590 transcript:itb13g22590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKAKVNFNGEIFESPNFFSTLRQAEHASAEIALKTLSQRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPLYTTVRSGPGCAPLFTCSVELAGMSFKGEPAKTKKQAQKSAAMAAWFSLKNLSQQDSSGCSSSDGGKDEQEQVTVARYLSKFKLPERRRPLKAPVKNDVIPYHASRSIDRLQHQNYTYFPLSPDMSTYQNWHHHGMTLPSYLLSSPSAPSSISGPQIFPFVQSIFQSGIGPYIFPREREPVPLIQGGPFFYMAGDLMPACSNNSRVRIEEIEENSQVEEEWRNKDINSSCPRISQSSIASGSQGDVLKYPNEYASMRVRAQTCNREEFSWLSPGFLQNNPGAIAMNQPALHQQNANYSSFSPSRAQGTHAWRSSSPRPTRGPTLVPPETSQRNINAIATARSSASATLGVPRTASFSSRPSRTTVPSYSTRPWLERSLVPCTRTIAPAVQIRSVVPVCSAPAQRVPPAISQGPSSSKGDTRQTKETSVDISAASSEFSRLQI >itb04g32130.t1 pep chromosome:ASM357664v1:4:34822189:34823987:1 gene:itb04g32130 transcript:itb04g32130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAMAASASIAAADPPHEVENCRDVLWVYSDGSIVRSSKPSFDVAVIDDGSVVWKDVTFDAVHGLQLRLYKPAKPAKLPVFYYIHGGGFCINSRTWPSCHNYCLKLASEIPAVIVAPDYRLAPENRLPAAIEDGLASVKWLQAEAASEEPDAWLTEAADFDRVFISGDSAGGNIAHHLAVQLGAGSPDMNPVRVRGYVLLAPFFGGTVRTKFEMEGPKDAFLNLELIDRFWMLSIPIGATTDHPIVNPFGPDSPSLEEVEVDPILVVTGGGDLLRDRGEEYAKRLKSFGKKIEYVEFEGQQHGFFTINPKSEPAKELMLIIKRFIAENSA >itb06g13730.t1 pep chromosome:ASM357664v1:6:18404833:18412427:1 gene:itb06g13730 transcript:itb06g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGNPNQPGGGPFDMHKYFKPSAPSPTPPVSASGNPNPQNPNNPNLMISSPFPPPSASYPPPTGGGGGGGSVPPYSFAPQTSPFHHHPQFHLHIPQYSGPPPQGDGQFANVHQQRSMSFPTPPLQPPPASPHQYQNPNSSPNPGARLMALLSAPPSAHEIQQPSMPVPAIQPTTSGSDLSEFSVPTSVPLMPSVPSIGIIHAGSGPMRMPSSKLPKGRHLIGDHIVYDIDVRLPGEVQPQLEVTPITKYGSDPGLVLGRQIAVNKTYICYGLKLGAIRVLNINTALRSLLKGLAQRVTDMAFFAEDVHLLASASVDGRVYIWKITEGQDEDDKPQITGRIVIAIQIVGEGESFHPRVCWHCHKQEILVVGIGKKVLKIDTTKVGKGEVFSAEEPLRCPVDRLVEGVQLVGTHEGEVTDLSMSQWMTTRLVSASVDGTIKVWEDRKSTPIAVLRPHDGQPVNSVTFLAAPNHPDHIILITGGPLNREVKIWSSASEEGWLLPSDAESWNCTQTLELKSSAETRIGESFFNQVVALSQAGLLLLANAKKNAIYAVHLEYGPNPAATRMDYIAEFTVTMPILSFTGTSDLLPNGEQIVQVYCVQTQAIQQYALDLSQCLPPPLENAMFERSESSASRDATIEGFSQMESSGSKSTELPISSPTPKLPIHDSGSESAPSGRHPMSSASTDVTTQEFATYSMESKPDAISCSNTDTDIAPTSSPPLPLSPRLSRKLSGFRNPSNSFEPSSINDHGGDPKVVEYSVDRQMDSIHTNLSDVGPLDDEARNDESKVSHEDASSGLSHPIKFKQPTHLVTPSEILMDSSEMNHIIEQKSEDIQDVLVNNEARNVEVEVKVVGESRFNHNNDIGSRELHTFVSDNKEKAFCSQASDLGMEMARECRALSPETYIAEDPRQFDGTNGSEDLAQPSSNQEEEHDSTSNLSGKELDSKIPVPVQQQTEPSVKGKKPKGKNSQGSVPPSALPSAFNSTDSSNEAVVSSSNSSMEAAFSQILSMQEMLNQLMSMQKETQKQMGMMVAVPVTKEGRRLEAALGRCMEKAAKSNADALWVRLQEESAKQEKLLRDRTQQMTNMISNCLNKDLPGLVEKIVKKELSTIGQTVARSVTPIIEKAVSSAISEAFQKGVGDKTVGQLEKTINSKLEATVARQIQAQFQTSGKQALQETLKSTLEASVIPAFDMSCKAMFEQVDATFQKGMAEHTAAAQQQFESMHSPLAHALRDAINSASSMTQTLSGEFAEGQRKLLALASSKATNPIVSQLSNGPMLQIEKFEAPPDPTKELSRLLAERKYEEAFTAALQRSDVSIVSWLCSQVDLPGLLSMNPLPLSQGVLLSLLQQLACDISNETSKKLSWMRDVLSAINPTDPMIAMHVRPIFEQVYQIVNHHRGLPTTAAPELSNIRLIMHVINSMLMTCK >itb03g02740.t1 pep chromosome:ASM357664v1:3:1575752:1585993:-1 gene:itb03g02740 transcript:itb03g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSHSGVHYVGESDCAQEDVGTSLVHGEEPNCVKLTAEVQTADLKVDGMVINVEGAQKETQDGVQWTVEAMQTSEEHFTANAYHEFEEDGPKLSSDSHDSEDENTEIQAHAAGPGLAVESSKPFLNANEGGNPNDNQEGETKLCEPPLLERDEPLAVWVKWRGKWQAGIKCARADWPLSTVRAKPTHDRKKYLVIFFPRTRNFSWADVLLVCPINEFPQPIAYKTHKVGAKMVNDLTLSHRFIMQKLAVGILNIFDQLHREALVETARNVMVWKEFAMEASRCKDYSDIGRMLLKFQDMILPHYKTSNWRENSLQSWVQQCQNANSAEAAEILKEELVDAILWNDINSLPSDSAHLELSIEWKTCKHEVMKWFSVSHPVSTSGDLQKSTSDSPLSVGLQQSKKRPKLEVRRADTNTSQVDSQGHEAITLIDSGFFNGRDTVDNAASLDSEHTKGDTSLGEAPPTGSPGSLNDRWGDIIVEPENSEVIHTKDVELTPTQGIVTTKSFDHSNKNRQCIAFIEAKGRQCVRWANDGDVYCCVHLASRFASNSSKTEATPPVEAGMCEGTTVLGTKCKHRSLHGSSFCKKHRPKGDTNLSFSSPENKLKRKHDDGFDVSQTTNCKDIVVADGYELPLDVDPISVIGGDSFKGNSLIRMPEYHMHEYNDTEFLCIGLWPQDGEPCLEGAKRHSLYCEKHLPSWLKRARDGKSRIISKEVFIELLKSCHSREQKLYLHQACELFYRLFKSVLSRRNPVPKEVQFQWAISEASKDARIREFLMKLVYSEKERLKRLWGFAFNENMQDFSSNTGSVPISVSNDNNEEDDDENVIRCKICSGTFLNDQALGRHWMDNHTKEAQWLFRGYVCAICLDSFTNKKVLESHVKERHHVEFVEQCMLFQCIPCSSHFGNQEQLWSHVLAVHPGNFRSSNAPQHHNFSGSEDFNVEQGRPVPVENINSEDQSSVRKFICKFCGLKFDLLPDLGRHHQAAHMGPTSVSSRLSKRGIRFYAYKLKSGRLTRPKFKKSLASAASYRIRSRSAQNMKKRIQSSNLVGTGELGARSSVLEASSLDKLVDNQCLAIAKILFTETKKTKPRPNNSDILSVARSVCCRVSLKASLEANYGSLPERIYLKAAKLCSEQNILVNWHLDGFICPNGCGPFVDPHMPPLVPLPNCLNRPKYSTPNAVVSEWKLDESHYVIDSEQIRHEPSDRTIILCDDISFGQESVPITCVMEDNLLGSLHILPDDSDGQISANSLPWDSFSYITKPLLDQSLQLDIDSSQLGCSCPSSMCSSQTCDHVYLFDNDYEDAKDIYGQPMHGRFPYDERGRIILEEGYLVYECNQWCCCDKSCKNRVLQNGVKVKLEIFKTDTKGWAVRAREAILRGTFVCEFIGEVIDEQEANRRRSRYGREGCAYLLEIDTHINDMSRLVEGQSPYVIDATNYGNISRYINHSCLPNLVNHQVLVESMDYQLAHIGLYAGRDILAGEELTFDYRYKPLPGEGIPCLCGSSNCRGRLY >itb03g02740.t2 pep chromosome:ASM357664v1:3:1575752:1585681:-1 gene:itb03g02740 transcript:itb03g02740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSHSGVHYVGESDCAQEDVGTSLVHGEEPNCVKLTAEVQTADLKVDGMVINVEGAQKETQDGVQWTVEAMQTSEEHFTANAYHEFEEDGPKLSSDSHDSEDENTEIQAHAAGPGLAVESSKPFLNANEGGNPNDNQEGETKLCEPPLLERDEPLAVWVKWRGKWQAGIKCARADWPLSTVRAKPTHDRKKYLVIFFPRTRNFSWADVLLVCPINEFPQPIAYKTHKVGAKMVNDLTLSHRFIMQKLAVGILNIFDQLHREALVETARNVMVWKEFAMEASRCKDYSDIGRMLLKFQDMILPHYKTSNWRENSLQSWVQQCQNANSAEAAEILKEELVDAILWNDINSLPSDSAHLELSIEWKTCKHEVMKWFSVSHPVSTSGDLQKSTSDSPLSVGLQQSKKRPKLEVRRADTNTSQVDSQGHEAITLIDSGFFNGRDTVDNAASLDSEHTKGDTSLGEAPPTGSPGSLNDRWGDIIVEPENSEVIHTKDVELTPTQGIVTTKSFDHSNKNRQCIAFIEAKGRQCVRWANDGDVYCCVHLASRFASNSSKTEATPPVEAGMCEGTTVLGTKCKHRSLHGSSFCKKHRPKGDTNLSFSSPENKLKRKHDDGFDVSQTTNCKDIVVADGYELPLDVDPISVIGGDSFKGNSLIRMPEYHMHEYNDTEFLCIGLWPQDGEPCLEGAKRHSLYCEKHLPSWLKRARDGKSRIISKEVFIELLKSCHSREQKLYLHQACELFYRLFKSVLSRRNPVPKEVQFQWAISEASKDARIREFLMKLVYSEKERLKRLWGFAFNENMQDFSSNTGSVPISVSNDNNEEDDDENVIRCKICSGTFLNDQALGRHWMDNHTKEAQWLFRGYVCAICLDSFTNKKVLESHVKERHHVEFVEQCMLFQCIPCSSHFGNQEQLWSHVLAVHPGNFRSSNAPQHHNFSGSEDFNVEQGRPVPVENINSEDQSSVRKFICKFCGLKFDLLPDLGRHHQAAHMGPTSVSSRLSKRGIRFYAYKLKSGRLTRPKFKKSLASAASYRIRSRSAQNMKKRIQSSNLVGTGELGARSSVLEASSLDKLVDNQCLAIAKILFTETKKTKPRPNNSDILSVARSVCCRVSLKASLEANYGSLPERIYLKAAKLCSEQNILVNWHLDGFICPNGCGPFVDPHMPPLVPLPNCLNRPKYSTPNAVVSEWKLDESHYVIDSEQIRHEPSDRTIILCDDISFGQESVPITCVMEDNLLGSLHILPDDSDGQISANSLPWDSFSYITKPLLDQSLQLDIDSSQLGCSCPSSMCSSQTCDHVYLFDNDYEDAKDIYGQPMHGRFPYDERGRIILEEGYLVYECNQWCCCDKSCKNRVLQNGVKVKLEIFKTDTKGWAVRAREAILRGTFVCEFIGEVIDEQEANRRRSRYGREGCAYLLEIDTHINDMSRLVEGQSPYVIDATNYGNISRYINHSCLPNLVNHQVLVESMDYQLAHIGLYAGRDILAGEELTFDYRYKPLPGEGIPCLCGSSNCRGRLY >itb03g02740.t3 pep chromosome:ASM357664v1:3:1575752:1583217:-1 gene:itb03g02740 transcript:itb03g02740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSHSGVHYVGESDCAQEDVGTSLVHGEEPNCVKLTAEVQTADLKVDGMVINVEGAQKETQDGVQWTVEAMQTSEEHFTANAYHEFEEDGPKLSSDSHDSEDENTEIQAHAAGPGLAVESSKPFLNANEGGNPNDNQEGETKLCEPPLLERDEPLAVWVKWRGKWQAGIKCARADWPLSTVRAKPTHDRKKYLVIFFPRTRNFSWADVLLVCPINEFPQPIAYKTHKVGAKMVNDLTLSHRFIMQKLAVGILNIFDQLHREALVETARNVMVWKEFAMEASRCKDYSDIGRMLLKFQDMILPHYKTSNWRENSLQSWVQQCQNANSAEAAEILKEELVDAILWNDINSLPSDSAHLELSIEWKTCKHEVMKWFSVSHPVSTSGDLQKSTSDSPLSVGLQQSKKRPKLEVRRADTNTSQVDSQGHEAITLIDSGFFNGRDTVDNAASLDSEHTKGDTSLGEAPPTGSPGSLNDRWGDIIVEPENSEVIHTKDVELTPTQGIVTTKSFDHSNKNRQCIAFIEAKGRQCVRWANDGDVYCCVHLASRFASNSSKTEATPPVEAGMCEGTTVLGTKCKHRSLHGSSFCKKHRPKGDTNLSFSSPENKLKRKHDDGFDVSQTTNCKDIVVADGYELPLDVDPISVIGGDSFKGNSLIRMPEYHMHEYNDTEFLCIGLWPQDGEPCLEGAKRHSLYCEKHLPSWLKRARDGKSRIISKEVFIELLKSCHSREQKLYLHQACELFYRLFKSVLSRRNPVPKEVQFQWAISEASKDARIREFLMKLVYSEKERLKRLWGFAFNENMQDFSSNTGSVPISVSNDNNEEDDDENVIRCKICSGTFLNDQALGRHWMDNHTKEAQWLFRGYVCAICLDSFTNKKVLESHVKERHHVEFVEQCMLFQCIPCSSHFGNQEQLWSHVLAVHPGNFRSSNAPQHHNFSGSEDFNVEQGRPVPVENINSEDQSSVRKFICKFCGLKFDLLPDLGRHHQAAHMGPTSVSSRLSKRGIRFYAYKLKSGRLTRPKFKKSLASAASYRIRSRSAQNMKKRIQSSNLVGTGELGARSSVLEASSLDKLVDNQCLAIAKILFTETKKTKPRPNNSDILSVARSVCCRVSLKASLEANYGSLPERIYLKAAKLCSEQNILVNWHLDGFICPNGCGPFVDPHMPPLVPLPNCLNRPKYSTPNAVVSEWKLDESHYVIDSEQIRHEPSDRTIILCDDISFGQESVPITCVMEDNLLGSLHILPDDSDGQISANSLPWDSFSYITKPLLDQSLQLDIDSSQLGCSCPSSMCSSQTCDHVYLFDNDYEDAKDIYGQPMHGRFPYDERGRIILEEGYLVYECNQWCCCDKSCKNRVLQNGVKVKLEIFKTDTKGWAVRAREAILRGTFVCEFIGEVIDEQEANRRRSRYGREGCAYLLEIDTHINDMSRLVEGQSPYVIDATNYGNISRYINHSCLPNLVNHQVLVESMDYQLAHIGLYAGRDILAGEELTFDYRYKPLPGEGIPCLCGSSNCRGRLY >itb09g16340.t1 pep chromosome:ASM357664v1:9:11527491:11528630:1 gene:itb09g16340 transcript:itb09g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGEQVIDAEPVAQVVPPVLPKPLEEVAVEEKKNTPVKKTLCDSRTITKEMISSYFHLPISEAAVQLKVGVTTLKNRCRILGISRWPRIKLLKLEDEDDKEIPISSPEQDYRPFFNHAENHPLDYHFNNPTSSPTGKTLHQIQQSCCSAEQLDFDWGLVNDMLCGQNDVPLEAPPVVAELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb09g16080.t1 pep chromosome:ASM357664v1:9:11371506:11373252:-1 gene:itb09g16080 transcript:itb09g16080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNLFFMPLKIRDGEDGMLLNPLALSNLAASTLFLGCSLYGAGFREGVYLAFTTIAVSSFFLFFFLFFICIAFFFLFVLDYLILSQLICIIRLWRVIKIELFDISCSICAFQQHMKPFFNISFPTLLSSALFILYFRFMKQFLSQVAFNISSSLVFVYQQRNAIVVLHCTVAVQNL >itb12g27400.t1 pep chromosome:ASM357664v1:12:27800275:27801498:-1 gene:itb12g27400 transcript:itb12g27400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSQDSSGDMVGVHESTGGKAIENSPPPFLCKTYDMVDDPSTDGVVSWSKSNQSFVVWNVPRLTKDILPKYFKHNNFSSFVRQLNTYGFRKIDTDQWEFANEGFLRGDKHLLKTISRRKPSHSQVHQQPQQVQRATVEPCIEVGMLGLVEEVDALKRDKDFLMQEIGESRQHQQATDHMLQSVGQRVHLMEQRRLEMMSCLAKAIQSPFFLAQFVHQQGDNNRCILGVDKKRRLPT >itb09g07710.t1 pep chromosome:ASM357664v1:9:4556135:4558651:-1 gene:itb09g07710 transcript:itb09g07710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRISHMAQAYPNCGVKFNSAISTLFLLFLFLIIPSRITAECYTSIFAFGDSLTDVGNRVILSDDPSNLHMAYPPYGETFFHYPTGRCSDGRLIIDFIAEYYGLPYMPPSAAVMMNGSINGSDIEGGVNFAVAGAATVDSAFYEERGINNPNTNNSLRVQVGWLKELLPVFCGTPSECKERLKSSLFVVGPFGSNDYRNGLSQGKDIDETRSYVPIVIGAISDAINDLIELGAKTIMVPNTSPDGCLASVLTDFESSNKVDYDRDTGCLNWMNELDDYHNQHLQQQLDTIRYRNPGVDIIYADFYNISMELYHYPEKHGFVRSLASCCGVGGKYKFNDEVRCGDIEVKSCPEPWIHIEWDGFHMTERANKLVSMALLDGTRTSPPINTLCVSSPKFTYYS >itb01g00170.t1 pep chromosome:ASM357664v1:1:104824:108041:1 gene:itb01g00170 transcript:itb01g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYHRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELSKFGEIESLNVCDNLADHMIGNVYVQFKEEDHAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENSCNRGGYCNFMHVKMIGRELRRKLFGRYRRFRKSRSRSRSASPHNRRDRDRREYRDYRERERDDYRAGRRHGRHESDSGRRRHESPKLSRSPIREGSEERRARIEQWNREREERQS >itb14g07870.t1 pep chromosome:ASM357664v1:14:7257860:7260716:-1 gene:itb14g07870 transcript:itb14g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKRLKGKVALITGGVGGIGSRIAQLFCQHGAKVLIADIRDDQTQSICKDLGPSNASFVHCDVTSEPDVQNAVNKAVSIHGRLDIMINNAGIMGANVSDILDSDITDFINVFRVNVTGAFLGTKHAARVMKPVQKGSIINMASTSGVVAGSTPHAYTCSKHAIIGLTKNTAIDLGRYGVRVNCVSPYAVPTRMARAFLGLAEDDKFDVHSNLKGVDLMAEDVAEAVLYLASDESKYVNGHNLVVDGGFTISNLALNLYDQ >itb14g07870.t2 pep chromosome:ASM357664v1:14:7257861:7259432:-1 gene:itb14g07870 transcript:itb14g07870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKRLKGKVALITGGVGGIGSRIAQLFCQHGAKVLIADIRDDQTQSICKDLGPSNASFVHCDVTSEPDVQNAVNKAVSIHGRLDIMINNAGIMGANVSDILDSDITDFINVFRVNVTGAFLGTKHAARVMKPVQKGSIINMASTSGVVAGSTPHAYTCSKHAIIGLTKNTAIDLGRYGVRVNCVSPYAVPTRMARAFLGLAEDDKFDVHSNLKGVDLMAEDVAEAVLYLASDESKYVNGHNLVVDGGFTISNLALNLYDQ >itb12g05750.t1 pep chromosome:ASM357664v1:12:4284847:4287192:1 gene:itb12g05750 transcript:itb12g05750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKSAKSLCVEDEDLLKGAGGSRPEIYTEEHEKLLGSTDMSWDLFVDGYGMDGKRIYDPFRGKTCHQCRQKTMGLRTHCWKCNKVQGQFCGDCLFMRYGEHVVEANQNPDWICPVCRGICNCSLCRQAKGWAPTGALYKKVTGLGYKSVAHYLIQTRQAKTDHPENNSPNDGVPEKRSLPVSDVKTESVVTDKEPSEARNETQAEEKRMDYESTDGACSENDETNPKVVDKGSHIVVKVEHDCENAVLGVEITPKPPATYDNEVVIEGSKFSDGSDGILRPEISPESKKTHICSAEPILDSIAGRLRGRRPGRSNKNDSDDKPFDSIITPETSLKSKRKRQEVEPIPDSIAGRLRERRARNN >itb12g05750.t3 pep chromosome:ASM357664v1:12:4284847:4287192:1 gene:itb12g05750 transcript:itb12g05750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKSAKSLCVEDEDLLKGAGGSRPEIYTEEHEKLLGSTDMSWDLFVDGYGMDGKRIYDPFRGKTCHQCRQKTMGLRTHCWKCNKVQGQFCGDCLFMRYGEHVVEANQNPDWICPVCRGICNCSLCRQAKGWAPTGALYKKVTGLGYKSVAHYLIQTRQAKTDHPENNSPNDGVPEKRSLPVSDVKTESVVTDKEPSEARNETQAEEKRMDYESTDGACSENDETNPKVVDKGSHIVVKVEHDCENAVLGVEITPKPPATYDNEVVIEGSKFSDGSDGILRPEISPESKKTHICSAEPILDSIAGRLRGRRPGRSNKNDSDDKPFDSIITPETSLKSKRKRQEVEPIPDSIAGRLRERRARNN >itb12g05750.t2 pep chromosome:ASM357664v1:12:4284401:4287194:1 gene:itb12g05750 transcript:itb12g05750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGDNGKIHTQKQKKASEYEQSREERIKENLERMRKLGIFDLSLKFKSIKPIKTCKPSQRLSVSPLPPSQPTRRSSRLQNASPVSYSEMPLGKSAKSLCVEDEDLLKGAGGSRPEIYTEEHEKLLGSTDMSWDLFVDGYGMDGKRIYDPFRGKTCHQCRQKTMGLRTHCWKCNKVQGQFCGDCLFMRYGEHVVEANQNPDWICPVCRGICNCSLCRQAKGWAPTGALYKKVTGLGYKSVAHYLIQTRQAKTDHPENNSPNDGVPEKRSLPVSDVKTESVVTDKEPSEARNETQAEEKRMDYESTDGACSENDETNPKVVDKGSHIVVKVEHDCENAVLGVEITPKPPATYDNEVVIEGSKFSDGSDGILRPEISPESKKTHICSAEPILDSIAGRLRGRRPGRSNKNDSDDKPFDSIITPETSLKSKRKRQEVEPIPDSIAGRLRERRARNN >itb08g11640.t1 pep chromosome:ASM357664v1:8:11661046:11663158:-1 gene:itb08g11640 transcript:itb08g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRWTIARDCYDIYLDEKKNLNTLLHSHSVRVSLTTDTWTSLQRVNYMCLTCHFIDNDWKLHKRIINFFPITSHKGEDVGKAIEKCLRDWGIDNVFTITVDNASSNDVTVGYLRRKLNNLGTSVLQGKYLHMRCIAHIINIIVMDGMKDMNDSIAKVRGAVRYVRQSPSRLLKFKECVEMEKIQSKALLRLDVSTRWNSTYLMLDSAQKFERAFERFEEVDPNYKHDLLFGDGVPNHEDWESVKRLSMFLQHFYDLIVKIFGSLYITANVYLDEVCDVYSTLRSWLQGSDCEFSAMAKRMVEKYGECGENEYVALYCMCT >itb02g05630.t1 pep chromosome:ASM357664v1:2:3456657:3457545:1 gene:itb02g05630 transcript:itb02g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAYLSPLVPAYCICSYPAKLRFLSFFLYTQLISVLMASDSIMSFEASSSSVEFEDIDMAELDADTLMKLLEEEPAAGGEEQEGGGGCAIQPPAGGWIEESNGSRQKKEEEEVFGDEVEWLLDVTEMSPAPPCAVEVGGGMLDMGEYGLDYYYNYYNNNNNYCYYNNNNGAFLGEEMGFEEGLWQNSY >itb06g11180.t1 pep chromosome:ASM357664v1:6:15734583:15735611:1 gene:itb06g11180 transcript:itb06g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIRDACVSRNLSTDNHQTLWNHHCNHPIKSHRHLPTLITQKQTKNSNPPPTADCKRKISSSSSFLKMAKSKLIGCLALAITGALVFLPTRTQALMPYTRSPLFDLMFPPEDPFRILEQSPLTIPKAAESLALARADWKETAREHVITLDVPGMKKEDVKIEVEENRVLRISGERKAEEEVDSEKWHRAERTSGKFWRQFRLPGNADLEQIKARLEDGVLRVSVPKVAEERKKQTKVINIAGDDSAAAGEDIKSTKADM >itb07g18150.t1 pep chromosome:ASM357664v1:7:22570933:22575272:1 gene:itb07g18150 transcript:itb07g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MKIDEISSPDLRPIPHDDPHSNICSQMELSIKEIERHSPGKPLPETLSAELNRCIFQLTPLVPLPNSIKLQIWKLSYRLWNACVDLSNAAGVKSSAYKLNEEHAKLRQVSADLLFLAGDVSTVPSPAFKAASFFYKTGLIWHELRKFDLANNCFEKATDLTSKVEISSVSDNEEKRLLLDLNIARSRTAWEVADRNLCITLLNRSKNLLFGNAENYKAVANQYLMFAKTILSKNEVSGVNEESKLMNEALELCEKGLRIVKRTEETLALKELKSKTLRFMAASHLQRDEFESALKCVKFLRSGERDQHPSLSVLAMKAWLGLSRFGEAEQELRSMVLDKGIPEGVWVSAVESYFQAVGTAGAETAKGVFLGLVGRCHVSAGAAIRIVNKVIGSGGCDGKEARVRAKVVADVVSDDRVVELFAGEAAANERTAMHAILWNCAAEHFRSKDFQTSAEIFEKSMLYVPYDIENRTLRAKGYRVLCLCHLGLAQLDRAEEYINEAEKLEPNIASAFLKFKVYLQKKNDSAATTQVQTMSSCLDFTTDFLLLSAHEAIACHSLPVAVASLSHILDLYSAGKPMPTTEVIIFRTLVTVLSQDHINDSDILKQMKRAHARMSELGPKAFFGKGEVGKRERNWFSVNAWNSGVRTGKEKNYDLCAEFFRLASEFYSAVTDEETEGNNEMVCHSLILAVSAIIADEKQRKGTLMETEVKQAIALLDRVQKILLSSTSGSGENDNLLASIAPGLFFTYIWSAYDLYGRLSGMGPQQLVLIKQFANSKSCNIEHLLQIGLEASQGAQSNHEVAAFALNTCLSNLLASPFPDYSKVALILRKLISSGTILKGDTCDDAIMETYKQAYRIMVGLKEGEYPVEEAKWLSMTAWNRAAVPVRLGHIDTAKKWMGMGLELARTVPGMQTYRSCMEDFVVGFEEKIHGQNDSKSNATLVT >itb06g25430.t1 pep chromosome:ASM357664v1:6:26489832:26492351:-1 gene:itb06g25430 transcript:itb06g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MSTAEESNPSSDAAAVLDVIFAQKKALRSKIRRDLKSMDPTQRSQEDEAIQNAILKAPWFKDCKRLCAYICCSALREVDTSKILAQVLQTQSKDQKKLYVPRVEDKNRNMRMLNISSTEDLIANSMNILEPGLTDAEGNEREDVLLANEPVDLFLLPGLGFDKAGRRIGRGGGYYDTFLTKYQDLAKARNWKQPLKIALSYSVQIVDAIPVTPDDVLVDALITPSGVIPISQAAREFCL >itb14g09340.t1 pep chromosome:ASM357664v1:14:9644319:9648674:-1 gene:itb14g09340 transcript:itb14g09340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYMHYYCGISENASLRLRHSASTSAIAWPIRLFRHRHRHRQSILEVAAASAHLPSTVATALRRHSRTRSPVAPLGGSDSRCSPFAPLGGSDAHWSSAFSFVQQRNRRHPYSRDCKARTALFDGIEEGGIRASLSYSSHEIDEHENEKALEGLQDRVNLLKREDHWSDLLLVEDSQPVNSVANLDCTLPPVIQCW >itb01g06240.t6 pep chromosome:ASM357664v1:1:4442497:4449014:1 gene:itb01g06240 transcript:itb01g06240.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQMKSKPCHYQAILFKVLADTVGLECKLIVGLPREGVIKRDDSYEHICVTVVLNSVEFLVDLVRSPGKLIPYASQALILPHIRASRDIYSGESDSYSSPIEPNSPICLVSDQLGIEGISSEESIRPSYQHKLEASTNVSIPSLRNINSSQTEPYVADSFWWHNRKKIISEQPSPEHPLFRAHRRSVLGDQRYSAKGYSDDTSASRSAGASPIETRRRRRRCVSMIPEISDNIVRAVRAMNEAAKQNRLPREQEGLTLDSDDRASALRFHRDFHDEDSGRSSQAYDFQRMQINSHKAISLPSSPQYKKNQIPGMADGSGVFKNPDMISRFDKVLESSKLVNRPLLPFEEWNIDFSEINIGIRVGIGFFGEVFRGIWNGIEVAVKVYLEQDLSTENIEDFANEISLLSRIRHPNVILFLGACTRPPRLSMVTEFMEMGSLYHLIHASGHKNKLSWRRRLKMLCDICRGLICIHRMKIAHRDLKSANCLVNKHWKVKICDFGLSRLLTATPMRDSSAAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELFTLNRPWDGVPSVQVVYAVANDGKRLDIPEGPVGKLIAGY >itb01g06240.t4 pep chromosome:ASM357664v1:1:4438150:4449014:1 gene:itb01g06240 transcript:itb01g06240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRDDTGPTEASNSDAPWWPADFMEKLQSVSVVSSAETSTSKGNTNKHELESSAPERALRILWETGELAEPIPDGFYFVIPERRFKELFDTIPSLEDLFALDAEGLRPNVILVDTLKDKKLSMLKQLTLTLVKGLSSSPAAVIKKIAELVCDFYKRPKYRTNALEEVSNALGNQGIHMLCQMKSKPCHYQAILFKVLADTVGLECKLIVGLPREGVIKRDDSYEHICVTVVLNSVEFLVDLVRSPGKLIPYASQALILPHIRASRDIYSGESDSYSSPIEPNSPICLVSDQLGIEGISSEESIRPSYQHKLEASTNVSIPSLRNINSSQTEPYVADSFWWHNRKKIISEQPSPEHPLFRAHRRSVLGDQRYSAKGYSDDTSASRSAGASPIETRRRRRRCVSMIPEISDNIVRAVRAMNEAAKQNRLPREQEGLTLDSDDRASALRFHRDFHDEDSGRSSQAYDFQRMQINSHKAISLPSSPQYKKNQIPGMADGSGVFKNPDMISRFDKVLESSKLVNRPLLPFEEWNIDFSEINIGIRVGIGFFGEVFRGIWNGIEVAVKVYLEQDLSTENIEDFANEISLLSRIRHPNVILFLGACTRPPRLSMVTEFMEMGSLYHLIHASGHKNKLSWRRRLKMLCDICRGLICIHRMKIAHRDLKSANCLVNKHWKVKICDFGLSRLLTATPMRDSSAAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELFTLNRPWDGVPSVQVVYAVANDGKRLDIPEGPVGKLIADCWAEPDERPSCQQVLSRLLELEYAVY >itb01g06240.t3 pep chromosome:ASM357664v1:1:4438138:4448797:1 gene:itb01g06240 transcript:itb01g06240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRDDTGPTEASNSDAPWWPADFMEKLQSVSVVSSAETSTSKGNTNKHELESSAPERALRILWETGELAEPIPDGFYFVIPERRFKELFDTIPSLEDLFALDAEGLRPNVILVDTLKDKKLSMLKQLTLTLVKGLSSSPAAVIKKIAELVCDFYKRPKYRTNALEEVSNALGNQGIHMLCQMKSKPCHYQAILFKVLADTVGLECKLIVGLPREGVIKRDDSYEHICVTVVLNSVEFLVDLVRSPGKLIPYASQALILPHIRASRDIYSGESDSYSSPIEPNSPICLVSDQLGIEGISSEESIRPSYQHKLEASTNVSIPSLRNINSSQTEPYVADSFWWHNRKKIISEQPSPEHPLFRAHRRSVLGDQRYSAKGYSDDTSASRSAGASPIETRRRRRRCVSMIPEISDNIVRAVRAMNEAAKQNRLPREQEGLTLDSDDRASALRFHRDFHDEDSGRSSQAYDFQRMQINSHKAISLPSSPQYKKNQIPGMADGSGVFKNPDMISRFDKVLESSKLVNRPLLPFEEWNIDFSEINIGIRVGIGFFGEVFRGIWNGIEVAVKVYLEQDLSTENIEDFANEISLLSRIRHPNVILFLGACTRPPRLSMVTEFMEMGSLYHLIHASGHKNKLSWRRRLKMLCDICS >itb01g06240.t1 pep chromosome:ASM357664v1:1:4438089:4449014:1 gene:itb01g06240 transcript:itb01g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRDDTGPTEASNSDAPWWPADFMEKLQSVSVVSSAETSTSKGNTNKHELESSAPERALRILWETGELAEPIPDGFYFVIPERRFKELFDTIPSLEDLFALDAEGLRPNVILVDTLKDKKLSMLKQLTLTLVKGLSSSPAAVIKKIAELVCDFYKRPKYRTNALEEVSNALGNQGIHMLCQMKSKPCHYQAILFKVLADTVGLECKLIVGLPREGVIKRDDSYEHICVTVVLNSVEFLVDLVRSPGKLIPYASQALILPHIRASRDIYSGESDSYSSPIEPNSPICLVSDQLGIEGISSEESIRPSYQHKLEASTNVSIPSLRNINSSQTEPYVADSFWWHNRKKIISEQPSPEHPLFRAHRRSVLGDQRYSAKGYSDDTSASRSAGASPIETRRRRRRCVSMIPEISDNIVRAVRAMNEAAKQNRLPREQEGLTLDSDDRASALRFHRDFHDEDSGRSSQAYDFQRMQINSHKAISLPSSPQYKKNQIPGMADGSGVFKNPDMISRFDKVLESSKLVNRPLLPFEEWNIDFSEINIGIRVGIGFFGEVFRGIWNGIEVAVKVYLEQDLSTENIEDFANEISLLSRIRHPNVILFLGACTRPPRLSMVTEFMEMGSLYHLIHASGHKNKLSWRRRLKMLCDICRGLICIHRMKIAHRDLKSANCLVNKHWKVKICDFGLSRLLTATPMRDSSAAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELFTLNRPWDGVPSVQVVYAVANDGKRLDIPEGPVGKLIADCWAEPDERPSCQQVLSRLLELEYAVY >itb01g06240.t2 pep chromosome:ASM357664v1:1:4438089:4449014:1 gene:itb01g06240 transcript:itb01g06240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRDDTGPTEASNSDAPWWPADFMEKLQSVSVVSSAETSTSKGNTNKHELESSAPERALRILWETGELAEPIPDGFYFVIPERRFKELFDTIPSLEDLFALDAEGLRPNVILVDTLKDKKLSMLKQLTLTLVKGLSSSPAAVIKKIAELVCDFYKRPKYRTNALEEVSNALGNQGIHMLCQMKSKPCHYQAILFKVLADTVGLECKLIVGLPREGVIKRDDSYEHICVTVVLNSVEFLVDLVRSPGKLIPYASQALILPHIRASRDIYSGESDSYSSPIEPNSPICLVSDQLGIEGISSEESIRPSYQHKLEASTNVSIPSLRNINSSQTEPYVADSFWWHNRKKIISEQPSPEHPLFRAHRRSVLGDQRYSAKGYSDDTSASRSAGASPIETRRRRRRCVSMIPEISDNIVRAVRAMNEAAKQNRLPREQEGLTLDSDDRASALRFHRDFHDEDSGRSSQAYDFQRMQINSHKAISLPSSPQYKKNQIPGMADGSGVFKNPDMISRFDKVLESSKLVNRPLLPFEEWNIDFSEINIGIRVGIGFFGEVFRGIWNGIEVAVKVYLEQDLSTENIEDFANEISLLSRIRHPNVILFLGACTRPPRLSMVTEFMEMGSLYHLIHASGHKNKLSWRRRLKMLCDICRGLICIHRMKIAHRDLKSANCLVNKHWKVKICDFGLSRLLTATPMRDSSAAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELFTLNRPWDGVPSVQVVYAVANDGKRLDIPEGPVGKLIAGY >itb01g06240.t5 pep chromosome:ASM357664v1:1:4442487:4449014:1 gene:itb01g06240 transcript:itb01g06240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQMKSKPCHYQAILFKVLADTVGLECKLIVGLPREGVIKRDDSYEHICVTVVLNSVEFLVDLVRSPGKLIPYASQALILPHIRASRDIYSGESDSYSSPIEPNSPICLVSDQLGIEGISSEESIRPSYQHKLEASTNVSIPSLRNINSSQTEPYVADSFWWHNRKKIISEQPSPEHPLFRAHRRSVLGDQRYSAKGYSDDTSASRSAGASPIETRRRRRRCVSMIPEISDNIVRAVRAMNEAAKQNRLPREQEGLTLDSDDRASALRFHRDFHDEDSGRSSQAYDFQRMQINSHKAISLPSSPQYKKNQIPGMADGSGVFKNPDMISRFDKVLESSKLVNRPLLPFEEWNIDFSEINIGIRVGIGFFGEVFRGIWNGIEVAVKVYLEQDLSTENIEDFANEISLLSRIRHPNVILFLGACTRPPRLSMVTEFMEMGSLYHLIHASGHKNKLSWRRRLKMLCDICRGLICIHRMKIAHRDLKSANCLVNKHWKVKICDFGLSRLLTATPMRDSSAAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELFTLNRPWDGVPSVQVVYAVANDGKRLDIPEGPVGKLIADCWAEPDERPSCQQVLSRLLELEYAVY >itb09g11020.t1 pep chromosome:ASM357664v1:9:6893940:6895141:-1 gene:itb09g11020 transcript:itb09g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAHAQRKAILCNGTTSPSFRLRCQSLNSVRLRRIFDMFDRNSDGMITVEELSEALNLLGLDTDFSEMESTVRSFIKPGNIGLRFEDFDALHRSLDDAFFGSPLDCDLNGDEAAESTSTSCAAQDEKDLTEAFKVFDEDGDGFISATELQIVLAKLGLQEEGKEMDRVEQMISSVDQNRDGRVDFFEFKDMMRSVMVRTS >itb03g23480.t1 pep chromosome:ASM357664v1:3:21663855:21670849:-1 gene:itb03g23480 transcript:itb03g23480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWSMFCGASGCTDQNGKPCVADLVLVTHPSSCINNMLMICFDVILLLVLLFVVLSKSTLKPTSSRGIGISRLQLICAIFNGLVGVIYLSLGIWILEEEVRRTQSMLPMHWWLLMLFHGIAWLLLSLTFTLRGKYFSQTSLKLFTILALVFAGISCCFSLTIAIVYKETTVKIALDVLSVIGASLLLLCTYKGFRFSENDLYAPLNGTANGSNKIDHVGSVSKFAEAGTLSTMTFWWLNSLMRLGRAKTLEDDDIPKLREQDRAESCYLMFMELLNKQKQRDPLSQPSILKTLIMCNQKELFVSGFFAMLKIITVSAGPMILNAFIEVAEGNASFKNEGYILAVLLFVAKCLESLSQRQWYFRCRQIGLKVRSLLTAAIYKKQMQLSNAARLTHSNGEIMNYVTVDAYRIGEFPFWLHQTWTTSLQLCFALAILFQAVGLATFASLVVIILTVLCNAPLAKLQHKFQSKLMDAQDERLKAMSEALMNMKVLKLYAWETHFKNVIESMRKVEEKWLSAVQMRKAYNSFLFWSSPVLVSTATFGACYFLGVSLRASNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVSFVRIVKFLGAPELDNANVRQKHNVQNVASSAICLRSANLSWEENPLKPTLRNINLEVRHGDKVAICGEVGSGKSTLLAAILGEVPSIQGIVEVYGKIAYVSQSAWIQTGTIRDNILFGSSMDSQRYRETLEKCSLVKDLELLPYADLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMGALSGKTVLLVTHQVDFLPAFDIVLLMSDGEILQAAPYQQLLASSKEFQELVAAHKETVGSERLAEVTSSQKSERSTREIGKTQLENQTKDSTGDQLIKKEEREVGDTGLKPYLQYLSQNKGYLFFSTAVLSHIIFVIGQVLQNSWMAANVENSQVSTLKLIAIYLLIGVASMVFLLTRSLSTVTLGVQSSHSLFSQLLTSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFNLIFAIGASINFYSNLTVLAVVTWQVLFVSIPMVYLAIQLQRYYFSSAKELMRINGTTKSFVANHLAESVAGAMTIRAFEQEDRFFVKNLKLVDINASPFFYNFAANEWLIQRLEVISATVLASSALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCTIANYIISVERLKQYMHVPSEAPEIIEKNRPPVNWPTRGKVEIEDLQIRYREDTPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGRIVVDGIDISKIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQHTDNQIWEVLEKCQLKEAVEEKDKGLDSLVLEDGSNWSMGQRQLFCLGRALLRKSKILVLDEATASIDNATDMILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPMKLMKKEGSLYGQLVKEYWSYYQSAQSH >itb11g03960.t1 pep chromosome:ASM357664v1:11:2125539:2127713:-1 gene:itb11g03960 transcript:itb11g03960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE4 [Source:Projected from Arabidopsis thaliana (AT1G06230) UniProtKB/Swiss-Prot;Acc:Q9LNC4] MMMGGGSGGHEGSKEKPKLGDKVYTRKSFKGFKSYNSNPTQELQAQEAAEDDEWSQPLVNSLPNAALESSSSLSRALAAPLGGRGVPGGNEGGKLGVTRQENRVTISLSMKSKQEMRELRRKLQSELDTVRSLVKNIETKEIQKNDHIIDKAGGAKKVPAEAATTVTPRESRPLHQLSVSVLENSHGVSDNAEKEKRTPKANQFYRNSDFLLAKDKIPPAESNKKTKSNVKKVGGVESGYSFGLGKSSNQVFKNCCALLERLMKHKHGWVFNKPVDTVGLDLHDYFDIIKHPMDLGTVKSRMDSNWYKSPREFAEDVRLTFQNAMTYNPKGQDVHVMAEQLLQIFENKWPAIEADYMRELRLAADYDVGLPTPTSRKGPKLPNPEMRKKFGRSESMTLPTDPKSKPMNPPHTGRTPAPKKPKAKDPNKRDMTYDEKQKLSMNLQNLPSEKLENVVQIIKKRNSSLSQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAEKPELATQPIKEIEQNVREKKNPPVVVETTTEALNKAPTESKAEGKDISSAPAEEEKLENNAAKSSSSSTDSGSSSSDSHSESSSEGESDTEHSPRS >itb10g20580.t1 pep chromosome:ASM357664v1:10:26099750:26100742:-1 gene:itb10g20580 transcript:itb10g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGKDSGEKSGNGEDRSQPRAAVSPTPFVRKTYAMVENHQTDGIVSWNSTGNGFVIWDAHKFAAEILPCYFKHNTFSSFICQLNTYGFKKASWEKYEFRHEWFQKGRKRWLRKIRRSSRTDRPARRQDHQQHQQNGEEMSVLQMEKEIEEMQGVQRGILMEIKRLQEQQEILAKELLGMIKNAPSLGGKRRQLPKIMAESLLESICELDSSGVMQEKEINENIRNEIDDPNGKTPEEQDITRLETYDGLNMEKLVEERPDIVIGLDDLFEGPVDWRNYIKQLGEKGADNLRIMP >itb11g14910.t1 pep chromosome:ASM357664v1:11:12254915:12257159:-1 gene:itb11g14910 transcript:itb11g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGRGQEISETSKKKKKKTKMRSKKRKNSFRTETVQKLFETCKQVFADAKAGDVPPPADIQRLKSILGVVEDKLKSLKQRNNNGAMESLYSNVTRRS >itb09g09860.t1 pep chromosome:ASM357664v1:9:6042378:6045966:-1 gene:itb09g09860 transcript:itb09g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAGGSPHAHHRRHFSEAPNAAGEKLSDHAQSSFAGDLGDSEHSNGNCGSKCAGAGAGGHHHPAIRYLLVRKRVPEKFVYRGEEFVSGILAIVQSFRSRKNTGRSVIGLLLALLMFSVFLKFSFFINGNVGEVNESLMRLTDNGQLVLQNFKNDWANAHQKIVSESDSSSSSSGDVVLKRQMKEVFVPEIWMKPNSDNYYKCIARSKNEIRRQARNGYILVHANGGLNQMRTGICDMVAIAKILNAALVLPSLDHESFWTDTSDFKDIFDWRQFIEALKDDIEIVESLPAKYSAVEPLVKAPVSWSQASYYRGEILPLLKKHKVIQFTHSDSRLANNGVAASIQRLRCRANYEALRYTPEIEELGKKLVNRLRENDVPYIALHLRYEKDMLAFTGCSHNLSEAEAEELRVMRYNVQHWKEKEIDSEERRLIGGCPMSPREAAMFLKAMGYPSTTKIYIVAGQIYGNNSMDAFRLEYPNVFSHSTLATEEELEPFKPYQNRLAALDYIIALESDVFVYTYDGNMAKAVQGHRRFEGFRKTISPDRLNFVKLIDSLDKGDISWNEFCTEVKASHSNRLGSPYLREGIDDSPRLEENFYANPFPGCICNRTHNQFSSFQLIKNPIPEVASQR >itb12g27460.t1 pep chromosome:ASM357664v1:12:27832822:27836694:1 gene:itb12g27460 transcript:itb12g27460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATSSCGFFSLILPELSSPPSILSSVIKKPQCPIFPNYAFSFNYTSNFCSMSTGRNTRIRRASSSSSSCSFDGERGDLFSGGNGESDDEDNEEVRVEEDDEDSTSPMTVLPQRWDVLGLGQAMVDFSGTVDEDFLERLGLEKGTRKVVNHEERGKVLRAMDGCSYKAAAGGSLSNSLVALARLGGQAIGGPPLNIAMAGSVGSDPLGGFYRSKLQRANVKFLSESLKDGTTGTVIVLTTPDAQRTMLAYQGMSSTINYDPFLAGAISKTNILVVEGYLFELPDTVKTITKACQDAHRSGALVAITASDVSCIERHYDDFWEIMANYVDIVFANSDEARAFCHFSSKESPVCATRYLSHFVPLVSVTDGRSGSYIGIKGEALYIPPSPCVPVDSCGAGDAYASGILYGILRGMSDLKGIGSLAAKVASIVVGQQGTRLRVHDAVSLAKAFAIQQENSSVWSDIGSDQISNL >itb09g19580.t1 pep chromosome:ASM357664v1:9:15761107:15763475:-1 gene:itb09g19580 transcript:itb09g19580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRQQWLLAMLGGGEGREVLELLLLPVELPSEREDPPRRTRDPTNGQALSPKVSPLLWPEKTRGSRISLLLLPGEPGSPELVKSPIVVEVGKPELLLLSSPTPAVVGGFTVQLLPSTRKREEERVSHHRRSPPSSPLTKGEGKRSRWMLVTPKAREKRDEGTSRRCFAYTYVLLFVLEHHLPLTPTTEPPTPVDKHMTLKE >itb14g05720.t1 pep chromosome:ASM357664v1:14:4960173:4963196:-1 gene:itb14g05720 transcript:itb14g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSITRFWEKANMVGEGGSGYCSKKKDDMCSEYTGRALSMSRIKCILRGLDLKTYIFLFVMVPISLFGIYVHGQKITYFLRPLWEKPPKPFHEIPHYYHEDVPMENLCKLHGWGIREYPRRVFDAVLFNNEVDILTIRWKELYPYVTEFVLLESNSTFTGLPKPLHFAKNREKFDFVEPRLTYGQVPGRFRKGENPFVEEAYQRLALDYLLKQAGIQDDDLLLMSDVDEIPSRHTINLLRWCDDIPPVLHLRLKNYLYSFEFLVDNNSWRASVHRYQSGKTRYAHYRQSDDILADAGWHCSFCFRRINEFIFKMKAYSHFDRVRFSHFLNPKRIQRVICKGADLFDMLPEEYTFREIIGKMGPIPHSYSAVHLPSYLLENADKYKFLLPGNCMRENNRSES >itb10g10560.t1 pep chromosome:ASM357664v1:10:15257367:15261241:1 gene:itb10g10560 transcript:itb10g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGYAVSVLLLLAVLALVAAKKSGDVTELQIGVKYKPKTCELQAHKGDRVKVHYRGKLTDGTEFDASYERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGDSGSPPKIPGGATLIFDTELVAVNGKTSAGDKADESEL >itb10g23470.t1 pep chromosome:ASM357664v1:10:27810645:27814223:1 gene:itb10g23470 transcript:itb10g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKWVLKAVRFPVALSQRCSGSPYVARPILASRSDVSWMERFTTAVLSNVRFWALDAVNRSKLKDRILMIGFSLLCTGVACSFFELFQRDFRVLEIFISFDFIYIRCSLNSVIIALIRRR >itb01g24500.t1 pep chromosome:ASM357664v1:1:30139006:30139683:-1 gene:itb01g24500 transcript:itb01g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCFSKTQQMSLAFLSLLLLLLLQLKAPHYAADGSNGKSYRFNGGAAVMTALKSHRGSSSAHKDNQNLGGGDDDEIFGVDKRKVRTGPNPLHNR >itb01g25370.t1 pep chromosome:ASM357664v1:1:30817132:30818177:1 gene:itb01g25370 transcript:itb01g25370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPDWLLFIISPPHSLAAKPTLTLTLAPYRYRSISTLLIGLVPLFLDIEKDLRELEGERRRDAQKEKYFIFRCIFERMASSPVVQHHNRGDLRLRESLLGE >itb12g01620.t1 pep chromosome:ASM357664v1:12:1092610:1097058:-1 gene:itb12g01620 transcript:itb12g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLLASRTLRSSRVFSPAGRNFFLRSIGSTPELRNAEASAAQEPAAAADLPKRNPVGGARVHFPNPDDAIEVFVDDYPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLINHPLDCPICDQGGECDLQDQSMAFGSDRSRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGILGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVLRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKTVSWRDALAVVADVIHKVKPEEIVGVAGKLSDAESMMALKDILNRLGSNNVLCEGNGISSNADLRSEYIMNTGISGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATQAKVGYIGPATDFNYDHEHLGTGPQTLTEIAEGRHQFFSALSNAKNPVIIVGAGIFEREDQDAVFAAVQNIAKKANVVRPDWNGLNVLLMNAAQAAALDLGLVPESDKCIDSAKFLYLMGADDVNLDKLPSDAFVVYQGHHGDRSVYRANVILPASAFSEKEGTYVNTEGCAQTTVPAVPTVGDARDDWKIVRALSEVAGVPLPYDSLGAIRSRMRTVAPNLLEIDERQPATFSTLLKPECKQTISPAPFKPVVDNFYMTDSITRASKIMAQCSATLLKK >itb12g16440.t1 pep chromosome:ASM357664v1:12:17348829:17353214:-1 gene:itb12g16440 transcript:itb12g16440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAVTVSLLPLPRRGSHQSRRATFVCDFSVLTTSTAKHIAPSRTALSPQFYLSRRKRWSLNSTEEGRGEVDYEVLTALTSRYNDIVILDTAESRVLLLDSSGNVHSILHKSKKWTNAYWDDFATFPAIVPKGPIAILGLGGGTAAHLMLELWPSVQLEGWEVDDILIEKAREYLGLSDLEEHNEVGGALKVHIGDALSPSVAIPGGYAGIIVDLFSDAKVLPQLQEVATWLTLKDMLMPNGRIMVNCGAATNITSRPEFASFGPWELNETIKALCTAFPGQINWKKLPRTAGENYLALTGPLPDLAVWSACLPEELSSIVKQWKACQFS >itb13g12130.t1 pep chromosome:ASM357664v1:13:18244395:18247378:1 gene:itb13g12130 transcript:itb13g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METQVRSNKDYGGSLPVQNVQELASNNFIHIPYRYIRPEMEQDEVCSDESLQIPVIDLSKLHADDLELAKLHEACKDWGFFQLINHGVGEAIEEMKCVTEEFFKQPLKEKMGCAQLPNNIEGYGQAFVVSEDQKLDWGDMLFILSLPVSGRNMRFWPTNPSSFRPTLDKYSSELHKVAMRLFKFFEINLGIEKGNLTSKFEDGIQGIRMNYYPPCMQAEKVIGLTPHSDATGLTLLVQVNEVKGLQIKKDAKWVPIKPIPGAIIINIGDIMEIMSNGEYRSIEHRAVVSGQKERLSIAAFHSSNIGTDVGPLSDLLKNGSKYKTLSSEEYPAVT >itb12g25680.t1 pep chromosome:ASM357664v1:12:26847206:26850257:1 gene:itb12g25680 transcript:itb12g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSPVVNTYPLSSYSFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLSSKLAANSSTVQPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMIHP >itb01g34760.t1 pep chromosome:ASM357664v1:1:37295590:37297415:-1 gene:itb01g34760 transcript:itb01g34760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNSNTEPSIPHQFITPYAMTSQPQVWSQNCGDLGTNSIHSIGERMSRAVDLVHSPQARNVMDLLGSQKLSLSLGMVNPGFVNPGMGCFSSDYSSSSSTSVSTFSGAESFAAIIAHSKYLRPAQSLLEEVVSVGGKATDSSNERYIRRLSPSGKKGSLGFRSMVNAELPSNEKHELHSRIMALIALLEGVERRYEQYHDRMEELVSSFEAIAGVGAGKSYTGLALQAMSKHFCSLREAIVSQINTLLQKLSEELPTGLLDREAGLHKMFLQQLGMIQSSRQSWRPIRGLPETSVATLRAWLFQHFLHPYPNDSEKLILASQTGLTKNQVSNWFINARVRLWKPMIEEMYRDEFAESSDPLLASSATRQSVADSAEE >itb01g11400.t4 pep chromosome:ASM357664v1:1:10261665:10263813:-1 gene:itb01g11400 transcript:itb01g11400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHQVEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSILFLGYKQFSVIVCDNEGSRAAIACQEILLTVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAVISGKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLDELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYMHPQLKSLSKNSVKERIDEEKIDMIVDNLDVREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCIGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLIASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVALYKR >itb01g11400.t1 pep chromosome:ASM357664v1:1:10261639:10266905:-1 gene:itb01g11400 transcript:itb01g11400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRDGCMLPSCHTVIMGASGSEGFGSIYPTPIAARFPAVDSFPKGRKRMKTSEVPTNQISSLCWREEFEERLLKRSSGILDYSDPYSISNLWESLECGKYGSVTKEIEELMAQSRCYIDSCYARDPTLPYKFLELEKNYTKENKGDQISTSVIDLEDERVARSVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTFEGIPSINTVGGSYLKDHLVQDSPGTKTPRGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTADASSNKDKAEDEDEECEHSFILKEDIGHVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKSSHEFEITEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSILFLGYKQFSVIVCDNEGSRAAIACQEILLTVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAVISGKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLDELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYMHPQLKSLSKNSVKERIDEEKIDMIVDNLDVREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCIGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLIASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVALYKR >itb01g11400.t2 pep chromosome:ASM357664v1:1:10261665:10266828:-1 gene:itb01g11400 transcript:itb01g11400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSEVPTNQISSLCWREEFEERLLKRSSGILDYSDPYSISNLWESLECGKYGSVTKEIEELMAQSRCYIDSCYARDPTLPYKFLELEKNYTKENKGDQISTSVIDLEDERVARSVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTFEGIPSINTVGGSYLKDHLVQDSPGTKTPRGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTADASSNKDKAEDEDEECEHSFILKEDIGHVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKSSHEFEITEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSILFLGYKQFSVIVCDNEGSRAAIACQEILLTVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAVISGKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLDELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYMHPQLKSLSKNSVKERIDEEKIDMIVDNLDVREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCIGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLIASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVALYKR >itb01g11400.t3 pep chromosome:ASM357664v1:1:10261639:10266828:-1 gene:itb01g11400 transcript:itb01g11400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGSEGFGSIYPTPIAARFPAVDSFPKGRKRMKTSEVPTNQISSLCWREEFEERLLKRSSGILDYSDPYSISNLWESLECGKYGSVTKEIEELMAQSRCYIDSCYARDPTLPYKFLELEKNYTKENKGDQISTSVIDLEDERVARSVPVARFVPPAQLVPSAGPLLILDSDDEDNKKPNCTFEGIPSINTVGGSYLKDHLVQDSPGTKTPRGSANLAFQTEKIKDKGVYVGVEDDSETEDGNDANFDGLDDIWNEMSFAIECSKDVTADASSNKDKAEDEDEECEHSFILKEDIGHVCRICGVIKKSIESIIDYQYSKSAKNARTYRYEGRTTKDSGPSENLFEPNKSSHEFEITEISAHPRHKKQMKPHQVEGFNFLLNNLVTDNPGGCILAHAPGSGKTFMIISFLQSFMAKYPFARPLVVLPRGILGTWKKEFLRWQVEDIPLYDFYSVKADNRAQQLEVLKQWAGERSILFLGYKQFSVIVCDNEGSRAAIACQEILLTVPSILILDEGHTPRNQDTDVLTSLEKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLEDSKAIKRRILSRAVISGKRNLIKKGSDNEFFELVEHTLLKDENVTRKATVIQDLREMTRKVLHYYKGDFLDELPGLVDFTVILKLHPKQKIEVAGLKNLRRKFKISAEGSALYMHPQLKSLSKNSVKERIDEEKIDMIVDNLDVREGVKAKFFLNLLALCESHKEKLLVFSQYLLPLKFLERLTIKFKGYCIGKEIFMITGDSDNEVRESSMERFNTSADARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQEKKVYTYRLIASSTPEEEDHTTCFRKESIAKMWFEWNQYYGLDDYEMEKMDPKQCGDEFLETARFSDDIVALYKR >itb12g24390.t1 pep chromosome:ASM357664v1:12:25934623:25936076:1 gene:itb12g24390 transcript:itb12g24390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRNGGDESPHVLAVDDNLVDRKLVEKLLKNSSCRVTTAENGLRALEYLGLGDEHNTSNDNGSKVNMIITDYCMPEMTGYELLKKIKESSNMKDIPVVIMSSENIPTRINQCLEEGAQMFMLKPLKHADVKRLRGELMQCRG >itb13g18710.t1 pep chromosome:ASM357664v1:13:25626794:25627165:1 gene:itb13g18710 transcript:itb13g18710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISAISRCRAKAKASSIFPALDGMRRQRNMGQHQQAFDCVPPIVTPPITTPTDATAVPESPFVPDFDADPPIRPGDDDPPPVAAGRDSDTAPGSPPRGPEAPQPPVSPPGQPEVFPPHGRM >itb09g00260.t1 pep chromosome:ASM357664v1:9:269374:271250:-1 gene:itb09g00260 transcript:itb09g00260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKSEAARARKSAADSDRKEREAREKEDQYWREAEGAKSRASKKREEEAEKRAEAAAKKAEARRLAEQEEKELEKSLKKPDKKANRVAVPVPKMTEAELRRRREEEQAAIQKRAEEEKKRQSRIAEEEEYERVVLVTNTNRDDSIIEARTVDEALAHMTIADNLPVDKHPEKRLKASFKAFEEAELRRLKEEKPGLTHTQYKDLIWKLWKKSPDNPLNQISE >itb15g13810.t1 pep chromosome:ASM357664v1:15:11892930:11898389:1 gene:itb15g13810 transcript:itb15g13810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFALHPPALMSIGCSSLRRLHFHTHMLSSALPQFDRFICTNTAIPLPSNSETNPTPPLCLLADHIPKSTVSDLIAKQHWSKLRNLIKPTDPTDFLQQLFESGFNSDAILAFFKWSQTAYHISYPPQFLCRLLVLLAQEKRYPKIRSLLHSSVKDGKIQSVSFFFHALLTCSNNHYANSIIVDMLILGFVNNLKLDFALDAFKRAGDYGFRLSVNSCNPLLVLLLKENKTGEMEFMYKEMIRRRIGLNLFTFNEVVNGLCKAGKLNKAKDVLEDMKVRGVMPNVVTYNTLIHGYCKRGGAAKMYKADAVLKEMVEKGIHPSEITYNTLIDGFCKDNNIKAALKIFREMQGHGTRPDIVTYNSLINGLCGDGRVCEALGLQAEMVRLGLRANVVTYNALINGFSKNKLLMEARELFDDILKRGLVVNVLTFNSMIDAYCKAGKMEEAISLLDLMLSKMIQPNVSTYNCLIGGYYREGNVETARKLLDEMVEKGLKADLVTYNIQIDAMCKRGESRKAVRFLDEISEKGLIPSHVTYNKLMAGYCQEGNPRAAATVRRRMEREGKQPNVATFNVLIKGFCSKGKLEEANVLLNEMLEKGLAPNRTTYDIINEEMIEKGFVPDIDVHL >itb05g28570.t1 pep chromosome:ASM357664v1:5:32263728:32264941:-1 gene:itb05g28570 transcript:itb05g28570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGVVAYLVAATQKLHHREKEEFYAEFGATGTKSRKDSFLAYTHKSQPEKLKEMRKEIESSFASSRTSSTPFLSYQGSKAKTKKSAKKQPSESGGFAKHSMDDDCLKGKNKKRRTEKGNDVIDSTSAGGC >itb01g02700.t2 pep chromosome:ASM357664v1:1:1755832:1758923:-1 gene:itb01g02700 transcript:itb01g02700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQPQSSNLSLGFSSHLSAVAPPKRSQMADDSISFQIDSSFRDHSHPVPSVPLQLMERQTAEVKEKDAGESRNEEKDVEEIRILGHSMCLKRKRDTDSVSMTSPLSNGFRVPNSSEHQQGLEMRKNAVRAWGNQSLRLADPDVFEIMEKEKLRQFKGIELIASENFVCKAVMEALGSHLTNKYSEGMPGARYYGGNQFIDEIETLCCERALAAFGLDPENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYLPNGRKVSGASIFFESLPYKVNPQTGYVDYNKLEERAFDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLVAAKVCDSPFDYCDIVTATTHKSLRGPRGGIIFYRKGSKPRKRGMLLNQGDGCDKYDFEEKINFAVFPALQGGPHNNHIAGLAIALKQVATPEYKSYMQQVKKNAHALGAALLRRNCRLVTGGTDNHLIIWDLRNLGLTGKNFEMICELCHITLNKVMIFDDNGTITPGGVRIGTSAMTTRGCLEPDFETIADILLKAAQIASSVQREHGKLSKTFSKGIENNKDVIELRALVESFASQFAMPGFDV >itb01g02700.t1 pep chromosome:ASM357664v1:1:1755832:1758923:-1 gene:itb01g02700 transcript:itb01g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKQPQSSNLSLGFSSHLSAVAPPKRSQMADDSISFQIDSSFRDHSHPVPSVPLQLMERQTAEVKEKDAGESRNEEKDVEEIRILGHSMCLKRKRDTDSVSMTSPLSNGFRVPNSSEHQQGLEMRKNAVRAWGNQSLRLADPDVFEIMEKEKLRQFKGIELIASENFVCKAVMEALGSHLTNKYSEGMPGARYYGGNQFIDEIETLCCERALAAFGLDPENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYLPNGRKVSGASIFFESLPYKVNPQTGYVDYNKLEERAFDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLVAAKVCDSPFDYCDIVTATTHKSLRGPRGGIIFYRKGSKPRKRGMLLNQGDGCDKYDFEEKINFAVFPALQGGPHNNHIAGLAIALKQVATPEYKSYMQQVKKNAHALGAALLRRNCRLVTGGTDNHLIIWDLRNLGLTGKNFEMICELCHITLNKVMIFDDNGTITPGGVRIGTSAMTTRGCLEPDFETIADILLKAAQIASSVQREHGKLSKTFSKGIENNKDVIELRALVESFASQFAMPGFDV >itb01g02700.t3 pep chromosome:ASM357664v1:1:1755836:1758913:-1 gene:itb01g02700 transcript:itb01g02700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTAEVKEKDAGESRNEEKDVEEIRILGHSMCLKRKRDTDSVSMTSPLSNGFRVPNSSEHQQGLEMRKNAVRAWGNQSLRLADPDVFEIMEKEKLRQFKGIELIASENFVCKAVMEALGSHLTNKYSEGMPGARYYGGNQFIDEIETLCCERALAAFGLDPENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYLPNGRKVSGASIFFESLPYKVNPQTGYVDYNKLEERAFDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLVAAKVCDSPFDYCDIVTATTHKSLRGPRGGIIFYRKGSKPRKRGMLLNQGDGCDKYDFEEKINFAVFPALQGGPHNNHIAGLAIALKQVATPEYKSYMQQVKKNAHALGAALLRRNCRLVTGGTDNHLIIWDLRNLGLTGKNFEMICELCHITLNKVMIFDDNGTITPGGVRIGTSAMTTRGCLEPDFETIADILLKAAQIASSVQREHGKLSKTFSKGIENNKDVIELRALVESFASQFAMPGFDV >itb04g07490.t1 pep chromosome:ASM357664v1:4:5094033:5100671:1 gene:itb04g07490 transcript:itb04g07490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGALSASEQETLVDSFLEIAVGQSADTARQFLQATSWKLEEALQLFYVGNDGGVAAPSSYSPLENTAPLPDPSLSSISGSRKGSGDESEKQADRDEVRPPLPVIRDVLYDTAVIRGLPAMGGSAHEARSVVPFRNFEQEMKQPGVWETDKASNSADNLASLYRPPFALMYHGSFEKAKDAARVQNKWLLVNLQSTREFSSHMLNRDTWANEAVSQTIKTNFIFWQVYDDTEEGSKVRTYYKLDSIPVVLVVDPITGQKMRSWRGMVQPETLLEDLLPFMDGSPSDHVSLSHKRPRESPQAPPLHQDDAKEEDEELLQALAASMEGMKDTNVDALKESIESGIEGKETDVVKKPVYPPLPEEPKGDRNLVCRVGIRLPDGRRLQRNFLRSDPIQLLWSFCSSHLEETDAKPFRLTQAIPGATKSLDFDSNLTFEESGLANSMISVTWD >itb05g19240.t2 pep chromosome:ASM357664v1:5:25838615:25840079:-1 gene:itb05g19240 transcript:itb05g19240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSQACFSGMGMDDLSFLDQFQILQSIEQELADVLCSSSPEEGSPPPAEATTSHLGEDDRPLKQLKIHHHPIVDVNVNQPEQSQELIASNASAEQETEPPETTTKKAKKSGRRGRPPTHTYDHIMAERKRRELLSGQFLALSTIVPGLRKMDKTSVLGDTIKYLKQIQERVKTLEEESSKRTMESVVFVKKSQVSFDDNEEMSSGENGNSDEQPLPEIETRLCDDHILLRIYCERQKGIIGKIFSEVEKLNLAVVNSSVARFGSLALDITIIVEVITIILIFN >itb05g19240.t1 pep chromosome:ASM357664v1:5:25838010:25840079:-1 gene:itb05g19240 transcript:itb05g19240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSQACFSGMGMDDLSFLDQFQILQSIEQELADVLCSSSPEEGSPPPAEATTSHLGEDDRPLKQLKIHHHPIVDVNVNQPEQSQELIASNASAEQETEPPETTTKKAKKSGRRGRPPTHTYDHIMAERKRRELLSGQFLALSTIVPGLRKMDKTSVLGDTIKYLKQIQERVKTLEEESSKRTMESVVFVKKSQVSFDDNEEMSSGENGNSDEQPLPEIETRLCDDHILLRIYCERQKGIIGKIFSEVEKLNLAVVNSSVARFGSLALDITIIVEMEKEFDLPMKHIAKILRSAIQHATQTS >itb03g06480.t1 pep chromosome:ASM357664v1:3:4733633:4735569:1 gene:itb03g06480 transcript:itb03g06480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYTSLPPGLQWDEASPAWLNKGDNAWQLTAAAMVGLQTVPGLVLLYGSMVKKKWAVNSAFMALYAFAAVLICWVLWAHRLAFGSSRAHIIGKPEQAMGESFLLSQYREYLLPTADYVFYQFAFAAITVVLLGGSLLGRMNFYAWMMFVPLWLTLSYTVGAYTIWGSGFLYQKIIDFSGGYVIHLSSGVAGFTAAYWVGPRQQQDREHFPPNNIIHILGGAGFLWMGWAGFNGGSPLAADLVTSLAILNTHICTATSILIWLSLDMIFYKKSSVIGAVQGMITGLVCITPGAGIVDSWAAMLMGVLAGSVPWYTMMVLHKKSAFFQRVDDTLGVFHTHAVAGILGGILSGIFAKPNLLRLFYLHSEPSDSTGFLYTLLHGQVQRGFRQMGYQLAGAAFITAWNVGMTSLICIFISRIVELRLNDDDLEIGDDAVHGEEAYALWGDGERDPPPLRFNMTPKIPSFCRRHGTRKPHV >itb13g19510.t1 pep chromosome:ASM357664v1:13:26499868:26508557:-1 gene:itb13g19510 transcript:itb13g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFEALGRTYTEEEFEELCFKFGIELDDVTTEKAIKRKEQHLEEEETSEDEEIIYKIEIPANRYDLLCLEGLVQALRIFNGLDPIPTYKVANISEESMLKMHVKPETSKIRQFVVCAVLRGVTFDEARYNSFIDLQDRLHQNICRRRTLVAIGTHDLDTIQSPFTYEALPPSEINFVPLKQKESFRADKLMEYYREHDLKLKKFLHIIEDSPVFPVIYDRNRTLLSMPPIINGAHSAIKLNTRNVFIECTATDLTKANIVLNTMVTMFSAYCERKFEVEPVKVIYPDGKSHICPDLSVYEMDVSLSYINNVVGASLAENQVADLLHKMQLHAKKSVSKDEQVKFIVSVPPTRSDVLHACDVAEDVAIAFGYNEIPKRKPASLKPLPLSQLSDLIRMEVAMAGYTEVLTWILCSKKEIASLLKHGEDNSAVVIADSRTSEFEVVRTRLMPGILKTVGHNKDHPKPIKIFEVGDVVFLDNTKDVGAINRRHLAALYCGANSGFELIHGLVDRIMEVTGTPFVTPGNTSGYYIESSDEPELLQGRQARVICRGKHIGNFGIVHPEVLANFDIPDPCSFVELDLEKLL >itb09g11100.t1 pep chromosome:ASM357664v1:9:6940142:6942554:-1 gene:itb09g11100 transcript:itb09g11100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQDAHEESKTVTKASAMKEIKQRMEKDVEEVGKIAQFIKSKIEEFDKENLANRNKPGCGKGSAVDRSRSATTVAVKKKFKDKMAAFQTLRETIHQEYREVVERRVFTVTGNRVDEETIDRLIETGDSEQIFQKAIREQGRGQVMDTLAEIQERHDAVREIEMKLLDLHQVFLDMAVLVDVQGDMLNDIESQVSAAVDHVQSGNTALQRAKTLQRKNRKWMCMAVIILLLVVAIIVVCVLKPWTTKHGA >itb02g07750.t1 pep chromosome:ASM357664v1:2:4865853:4868343:-1 gene:itb02g07750 transcript:itb02g07750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIDSTAFATCFSTKPRAALRLSPPSSALFHRRSLPNLSAFKLSANSKISRRAASIVCEASEIALEVPSVTADTWESLVLQSSGPVVVEFWASWCGPCRMFHPVMNELSKQYSGRVKCFKLNTDDSPSVASQYGIRSIPTVMIFLNGKKKDAIIGAVPKTTLTACVERYL >itb09g11120.t3 pep chromosome:ASM357664v1:9:6953936:6957964:-1 gene:itb09g11120 transcript:itb09g11120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQENRRTVRVGNLSDLATDREVREFFSFSGEIEHIEIRREQEQYRTAYVTFKDTKALEIALLLSGATIVDQIVNISLAENYVPQPEIQEVRIVVDNASSIAGEDYSPFAEDKQTSPGSGRKVYVSKAQDVVSSVLAKGSAIGQDAMNKARAFDEKHRLTATASAKVSSFDQKVGLSEKLTVGISAVNQKVKSVDQRLQVSDKTMAALMAAERKINDTGSAVKSSRYVTAGAAWLNGAFTRVAKAGQAASTKTREKWNLAFSNMTAKVCIPFPLLIK >itb09g11120.t2 pep chromosome:ASM357664v1:9:6953899:6958078:-1 gene:itb09g11120 transcript:itb09g11120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENRRTVRVGNLSDLATDREVREFFSFSGEIEHIEIRREQEQYRTAYVTFKDTKALEIALLLSGATIVDQIVNISLAENYVPQPEIQEVRIVVDNASSIAGEDYSPFAEDKQTSPGSGRKVYVSKAQDVVSSVLAKGSAIGQDAMNKARAFDEKHRLTATASAKVSSFDQKVGLSEKLTVGISAVNQKVKSVDQRLQVSDKTMAALMAAERKINDTGSAVKSSRYVTAGAAWLNGAFTRVAKAGQAASTKTREKWNLAFSNMTAKDSPLVV >itb09g11120.t1 pep chromosome:ASM357664v1:9:6953899:6958078:-1 gene:itb09g11120 transcript:itb09g11120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENRRTVRVGNLSDLATDREVREFFSFSGEIEHIEIRREQEQYRTAYVTFKDTKALEIALLLSGATIVDQIVNISLAENYVPQPEIQEVRIVVDNASSIAGEDYSPFAEQDKQTSPGSGRKVYVSKAQDVVSSVLAKGSAIGQDAMNKARAFDEKHRLTATASAKVSSFDQKVGLSEKLTVGISAVNQKVKSVDQRLQVSDKTMAALMAAERKINDTGSAVKSSRYVTAGAAWLNGAFTRVAKAGQAASTKTREKWNLAFSNMTAKDSPLVV >itb06g18290.t1 pep chromosome:ASM357664v1:6:21989388:21997962:-1 gene:itb06g18290 transcript:itb06g18290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLTHLPFKLKFTTTVPLRERTFPPRTAGRVVVAVSSPQRRTRKKKQQSPSQTKTAGDDDGGGALVASGTEKVLRLVFMEELMERARDADVSGVSDVIYDMIAAGLYPGPRSFHGLIVSHVLNLDVEGAMHALRRELSEGLRPLHETFVALIRLFGSKGLATRGLEILAAMENLKYDIRQAWLILVEELVRSNHLENANTVFFKGAKGGLRATDQIYDLLIEEDCKAADHSNALTIAYEMEAAGRMATTFHFNCLLSVQATCGIPEVAFATFENMEYGEAYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNMRTYALLVECFSKYCVVREAIRHFRALKNFEGGMRLLHNEGKYGDPLSLYLRALCREGRIVELLEALEAMLKDNHTIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYVEEGGLTGERKRWVPRRGKTPLDPDAEGYIYSNPMETSFKQRCLEEWRIYHRKLLNTLRNEGPAILGNVSESDYLRVEERLKKIVKGPEQKALKPKAASKMIVSELKEELEAQGLPTDGTRNVLYQRVQKARRINLSRGRPLWIPPVEEEEEEVDEELDELISRIKLHEGDTEFWKRRFLGEGFNENNSQQLEVNDLELPDVLDDVETVDDVAKDVEDDEADEEEEEEEEEEVEVEVEQTETQAEVGDRVKEKEVKAAKPLQMIGVQLLKDSDQTSSSKKSRRRSRITAMDDDDEDWIPLDIHEALKEMRKRKIFDVEDMYTIADVWGWTWEKELKNKPPRRWSQEWEVELGIEIMSKVIALGGTPTVGDCAIILRAAIRAPMPSAFLKILQITHSLGYVFGSPLYDEIVMQCLDLEELDAAVAIVADLETSGIKVPDETLDRVISARNKK >itb07g23970.t1 pep chromosome:ASM357664v1:7:28275879:28278124:-1 gene:itb07g23970 transcript:itb07g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNTNIVSCRSTAFSYLFPHRRKYAAAAIAHAEKRNLAENNSSPTQQKPIFPLKLSKTLLAQSALGIFALGFIDAGEMLGIYIYPSKVALQAGNPRRQSMITSVAAL >itb07g23970.t2 pep chromosome:ASM357664v1:7:28275879:28278124:-1 gene:itb07g23970 transcript:itb07g23970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNTNIVSCRSTAFSYLFPHRRKYAAAAIAHAEKRNLAENNSSPTQQKPIFPLKLSKTLLAQSALGIFALGFIDAGGDWSRIGVISKESENLLKISAFFVVPLCLFLIFYSSKKIKD >itb10g20470.t1 pep chromosome:ASM357664v1:10:26024306:26026893:-1 gene:itb10g20470 transcript:itb10g20470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSWVNYPTTKLPSRVFCHSNKDAHFLPNHIGIHRNPPNLFPRNCLNFSTDPIVSLPTNLASVMDEPKNLRIGSVTADPNDKYSRELGVAVRAVHMACLLCQNVQENLISQGSQQVHSKDDNSPVTIADWSVQATVSWVLSEAFGSENVSIVAEEDVEELSKAGATGLLDGVVKTVNECLADAPRFGLTAPALALSAEEVLEAINRCNPSGAKSSRFWVLDPVDGTLGFVRGDQYAIALALIEDGVPVLGVLGCPNYPMKKEWLQYHNGYQRILSRLSSPTSESWDKGCVIYARRGSGNAWMQPLLRGEKKFIWPNSAAQIEVSSIDNPALATFCEPVEKANSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEVFMKFARAGYKEKIWDHAAGVVIIEEAGGMVTDARGNPLNFSEGIYLEGLDRGIIACSKATLHQKIISAVDASWNSSCL >itb10g02990.t4 pep chromosome:ASM357664v1:10:2648522:2652318:1 gene:itb10g02990 transcript:itb10g02990.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLLIFFCVSLFWFGVTQASDSLLSPKGVNYEVAALMSMKIKMRDAFHVLDGWDINSVDPCTWNMVGCSQEGFVISLEMASMGLSGLLSPSIGNLSHLRTMLLQNNQISGPIPAEIGKLPELQTLDLSGNLFDGEIPGSLGSLTRLSYLRLNRNRLSGRIPKPVANLSGLSFLDLSFNNLSGPTPNILAKGYSIAGNRFLCTSSSTQSCMGVAKPVNDTISTKKVSNHHRRIISAIIGVSCTFVVSVMLLVLWVDWHRSRRLLFTSYVKQDYEFAIGHLKRFSFRELQNATRNFSSKNILGQGGFGVVYKGYLPNGTVVAVKRLKDPNFTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPEERLLIYPFMPNGSVADRLRGLICAKNIGRDKPSLDWNKRMRVALGAARGLLYLHEQCSPRIIHRDVKAANILLDESFEAVVGDFGLAKLLDCRESHVTTAVRGTIGHIAPEYLSTGQSSEKSDVFGFGILLLELITGLKALNAGNAQLQKGTILDWVRSLYDEKRLEVIVDKDLKGCFNTEELEKTVDVALECTQPNPNQRPKMSQVSRILEGIAGQMAPPVVDDSQGGGSNAAASETRAFSFSRNFSSVEESSFIIEAIELSGPR >itb10g02990.t1 pep chromosome:ASM357664v1:10:2648522:2652389:1 gene:itb10g02990 transcript:itb10g02990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLLIFFCVSLFWFGVTQASDSLLSPKGVNYEVAALMSMKIKMRDAFHVLDGWDINSVDPCTWNMVGCSQEGFVISLEMASMGLSGLLSPSIGNLSHLRTMLLQNNQISGPIPAEIGKLPELQTLDLSGNLFDGEIPGSLGSLTRLSYLRLNRNRLSGRIPKPVANLSGLSFLDLSFNNLSGPTPNILAKGYSIAGNRFLCTSSSTQSCMGVAKPVNDTISTKKVSNHHRRIISAIIGVSCTFVVSVMLLVLWVDWHRSRRLLFTSYVKQDYEFAIGHLKRFSFRELQNATRNFSSKNILGQGGFGVVYKGYLPNGTVVAVKRLKDPNFTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPEERLLIYPFMPNGSVADRLRDIGRDKPSLDWNKRMRVALGAARGLLYLHEQCSPRIIHRDVKAANILLDESFEAVVGDFGLAKLLDCRESHVTTAVRGTIGHIAPEYLSTGQSSEKSDVFGFGILLLELITGLKALNAGNAQLQKGTILDWVRSLYDEKRLEVIVDKDLKGCFNTEELEKTVDVALECTQPNPNQRPKMSQVSRILEGIAGQMAPPVVDDSQGGGSNAAASETRAFSFSRNFSSVEESSFIIEAIELSGPR >itb10g02990.t2 pep chromosome:ASM357664v1:10:2648522:2652389:1 gene:itb10g02990 transcript:itb10g02990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFLLIFFCVSLFWFGVTQASDSLLSPKGVNYEVAALMSMKIKMRDAFHVLDGWDINSVDPCTWNMVGCSQEGFVISLEMASMGLSGLLSPSIGNLSHLRTMLLQNNQISGPIPAEIGKLPELQTLDLSGNLFDGEIPGSLGSLTRLSYLRLNRNRLSGRIPKPVANLSGLSFLDLSFNNLSGPTPNILAKGYSIAGNRFLCTSSSTQSCMGVAKPVNDTISTKKVSNHHRRIISAIIGVSCTFVVSVMLLVLWVDWHRSRRLLFTSYVKQDYEFAIGHLKRFSFRELQNATRNFSSKNILGQGGFGVVYKGYLPNGTVVAVKRLKDPNFTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPEERLLIYPFMPNGSVADRLRGLICAKSCL >itb10g02990.t3 pep chromosome:ASM357664v1:10:2648522:2652318:1 gene:itb10g02990 transcript:itb10g02990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGLSGLLSPSIGNLSHLRTMLLQNNQISGPIPAEIGKLPELQTLDLSGNLFDGEIPGSLGSLTRLSYLRLNRNRLSGRIPKPVANLSGLSFLDLSFNNLSGPTPNILAKGYSIAGNRFLCTSSSTQSCMGVAKPVNDTISTKKVSNHHRRIISAIIGVSCTFVVSVMLLVLWVDWHRSRRLLFTSYVKQDYEFAIGHLKRFSFRELQNATRNFSSKNILGQGGFGVVYKGYLPNGTVVAVKRLKDPNFTGEVQFQTEVEMIGLAVHRNLLRLYGFCMTPEERLLIYPFMPNGSVADRLRDIGRDKPSLDWNKRMRVALGAARGLLYLHEQCSPRIIHRDVKAANILLDESFEAVVGDFGLAKLLDCRESHVTTAVRGTIGHIAPEYLSTGQSSEKSDVFGFGILLLELITGLKALNAGNAQLQKGTILDWVRSLYDEKRLEVIVDKDLKGCFNTEELEKTVDVALECTQPNPNQRPKMSQVSRILEGIAGQMAPPVVDDSQGGGSNAAASETRAFSFSRNFSSVEESSFIIEAIELSGPR >itb01g26870.t1 pep chromosome:ASM357664v1:1:31918009:31918898:-1 gene:itb01g26870 transcript:itb01g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVILLQGRYAGRKAVIVRAFDEGTRDRPYGHCLVAGVSKYPKKVIRKDSAKKQAKKSRVKVFVKLVNYNHIMPTRYTLDVDLKDVVSGDCLQSRDKRVTAAKETKARFEERFKTGKNRWFFTKLRF >itb07g03440.t1 pep chromosome:ASM357664v1:7:2310479:2316468:1 gene:itb07g03440 transcript:itb07g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMNGQQFGDTTYTKVFVGGLAWETQKETMEKYFEQFGEILEAVVISDKATGRSKGYGFVTFREAEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGGVRNFRAMSGFQGGFGATATAFPSATTFPHHYAIQQGLPYNLYGYSSYSPEFSYPTGYYGMYGGATAAQYAAMYGSGTNGMLSAAAAAAAFYPYLNFGEGSGGATTTGGYTASQAAYGVQYPHHLLQYSAAINTTAGFPAQHYATPISLAAHTTPVQSVCFAVPQA >itb07g03440.t2 pep chromosome:ASM357664v1:7:2310468:2316781:1 gene:itb07g03440 transcript:itb07g03440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNMNGQQFGDTTYTKVFVGGLAWETQKETMEKYFEQFGEILEAVVISDKATGRSKGYGFVTFREAEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGGVRNFRAMSGFQGGFGATATAFPSATTFPHHYAIQQGLPYNLYGYSSYSPEFSYPTGYYGMYGGATAAQYAAMYGSGTNGMLSAAAAAAAFYPYLNFGEGSGGATTTGGYTASQAAYGVQYPHHLLQYSAAINTTAGFPAQHYATPISLAAHTTPVQSGVTVAAAAARAPIPHR >itb03g11260.t1 pep chromosome:ASM357664v1:3:9373249:9373560:-1 gene:itb03g11260 transcript:itb03g11260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMELGAESPVVIFSKSSCCISHSIETLIRGFGANPSVYKLDEQAEGAQMEKALVEMGCSPGGVPAVFIGKHFVGGSNEIMSLSVKGKLKKMLIDANAIWV >itb14g17990.t1 pep chromosome:ASM357664v1:14:21137172:21139573:1 gene:itb14g17990 transcript:itb14g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKFQQPELIPPDFHPEIVVSAAHDGLQFWQFMVAGSIAGMVEHMAMFPVDTVKTQMQALGSCPIKSVGVRQALQSILRSEGVSGLYRGIGAMGLGAGPAHAVYFSVYEFCKETFSGGNPNNSAAHAAAGVCATVASDAVFTPMDMVKQRLQLTSSPYKGVMDCMRVVFREEGIRAFYASYKTTVLMNAPYTAVHFATYEAAKRGLLEVSPESASDERLIVHATAGAAAGTSAALFTTPLDVVKTQLQCQGVCGCDRFRSSSIRDVLKTIVKKDGYSGLMRGWIPRMLFHAPAAAICWSTYEAAKSFFQELNTDSSNNIT >itb10g22350.t1 pep chromosome:ASM357664v1:10:27219635:27224090:1 gene:itb10g22350 transcript:itb10g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPTTPTDGEDHGNSYCYSGESSPSSDAVTNWQIAHGSLESAVTFESSDSPIDPDSIPKSPVIVKPPSLDSGPCEIKICFAQRHEIQQVYVRSTARVYEIYYASSLHGENQYLCTVRCSVAEREGEILLAGIEDASKQHVKVIRVKNGSNSEEDWVDVEVPDLPVVENGINCLVKQAAKEGGRSVQELYEATAQISDAEPCASLTIRLLSLQSKDCLYIDEVYIFGDPFVSTDSENHSTPVESSAQSSLMAMLVPTLLQLSKSGASRTNDKYASDRQGKDDDAGPTARGHDMTDDMNGIHCEQRSTVDEQHVSLHADDQLTSEPVQSLLPTLDLNKKEQVVGNGLKPQSPKQLTADEKNNMPDIERVLVQLVDRVNRIEDICLRFEESMLKPINSMEVRLQRVEEQVEILAKNSQYTGIPSCNRISAPSFSCTDSNFGSFYNDGNDHPPNLASELKMDFPDDKLASQIDNSSISASSPRMHPSCVIAAPEFSCGEEEEDSHVLEPSKNSPEVKRNLASSIDNALAAALSGFLSTSVTSPAECTQNFEVTASEHSGEIHEKDGDPLECSPSGPVLRDDCIRDEKNEGMLSKYRRILTVTAPEFTLEDLDDVHSSPNRNDYSPPPSTQNKSIPNSVSISSDITIELDAGQKLSDKHTEASEVVDFKDESCTCESNEANDLANPIDHAIIKETTSKYPPSILFPENNCTKRPLRDETETKPESLGSAGEAAEDDASEQSSTDILGGCRYSVPSLDFEIPILEVNFGLQDDSGTKLPLEVLLGDTAGICATAEETNITVVDDDVERDPLTITDILVDYTCANVDDAPSHPYADPAAFISLL >itb04g02530.t1 pep chromosome:ASM357664v1:4:1512121:1515235:1 gene:itb04g02530 transcript:itb04g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MGMGDYLNIYPAELKFPFELRKQSTCSLQLTNKTDRYIAFKVKTTNPKKYCVRPNAGVVLPNSTCNVIVTMQAPREAPPDMQSKDKFLIQSTIAPDGSTSKDLSPELFNMEAGKVINELKLRVVFVPANPPSPVPEESEEGSPPRALNENETKVSSLSEAVSRSLEEPKEKLASSEAWSLISRLTEEKGSAVQQNQKLSQELEAVRKELNKSKAGGFSMLFVVLVGLIGILLGYFIRKR >itb04g02530.t2 pep chromosome:ASM357664v1:4:1512942:1515229:1 gene:itb04g02530 transcript:itb04g02530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MQAPREAPPDMQSKDKFLIQSTIAPDGSTSKDLSPELFNMEAGKVINELKLRVVFVPANPPSPVPEESEEGSPPRALNENETKVSSLSEAVSRSLEEPKEKLASSEAWSLISRLTEEKGSAVQQNQKLSQELEAVRKELNKSKAGGFSMLFVVLVGLIGILLGYFIRKR >itb04g08110.t2 pep chromosome:ASM357664v1:4:5698309:5700644:1 gene:itb04g08110 transcript:itb04g08110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQLLGPPEIDRAKLSSVADHGGETNTPPVDTHGSDSSTKPQGVQPDPNPLMGFTENGSATFLSTGNPCLDFFFHVVPNTPPQELIGRVDVAWAHNPLTTLKLICNLRGVRGTGKSDKEGFYLAALWLHYNHPKTLAGNLKALAEFGYFKDFLEILYRILEGPYVRKFEKEQRENSSRRRRRSLRGRRRFFYSDDDDENDDEVYSDGVYGSEENDDDENHDEGNEEEEEKIKKDSDELMSEKDEIKKDSEELMAEKDEMEKDWEEEKDQMEKDWEEELLAEAEKEKIKKNLEKLKPKKEKIKKTPEELMALKEKARAEKEKARVLRKQRELNKAVKGLQTYITDEKYRLLHDKISDLFAEMLEADLEKLKSGNLGDISLAAKWCPTIASSYDKTTLICESIARKLFPRDKYTEYEGLKEAHYVYKVRDRLRKQVLVPLHKALELPEVYMSAKQWNVLPYKRVASVAMKNYTKKFAKHDNERFREYLRKVNTGEAKIAAGALLPHEIIKSLQRADPEEAEVAEVAELQWKRIVDDLAKKGKLSNCIAICDVSGSMFGIPMEVCVALGILVSELSEEPWKGSVITFSADPQLHKIEGETLQEKTEFVKTMDCGMNTNFQKAFDRILEIAVEGNLSEEQMIRRVFVFSDMEFDQVRRVFDYNDMFLVTRSSQNSWETDYEVIQRKFREKGFKNVPEVVFWNLRASQSTPVLANQSGVALVSGFSKNLLTIFLENGGIVNPEEVMELAISGEEYKKLAVLD >itb04g08110.t1 pep chromosome:ASM357664v1:4:5698309:5700644:1 gene:itb04g08110 transcript:itb04g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQLLGPPEIDRAKLSSVADHGGETNTPPVDTHGSDSSTKPQGVQPDPNPLMGFTENGSATFLSTGNPCLDFFFHVVPNTPPQELIGRVDVAWAHNPLTTLKLICNLRGVRGTGKSDKEGFYLAALWLHYNHPKTLAEMLEADLEKLKSGNLGDISLAAKWCPTIASSYDKTTLICESIARKLFPRDKYTEYEGLKEAHYVYKVRDRLRKQVLVPLHKALELPEVYMSAKQWNVLPYKRVASVAMKNYTKKFAKHDNERFREYLRKVNTGEAKIAAGALLPHEIIKSLQRADPEEAEVAEVAELQWKRIVDDLAKKGKLSNCIAICDVSGSMFGIPMEVCVALGILVSELSEEPWKGSVITFSADPQLHKIEGETLQEKTEFVKTMDCGMNTNFQKAFDRILEIAVEGNLSEEQMIRRVFVFSDMEFDQVRRVFDYNDMFLVTRSSQNSWETDYEVIQRKFREKGFKNVPEVVFWNLRASQSTPVLANQSGVALVSGFSKNLLTIFLENGGIVNPEEVMELAISGEEYKKLAVLD >itb07g19210.t1 pep chromosome:ASM357664v1:7:23650894:23655631:1 gene:itb07g19210 transcript:itb07g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMAEENGSEDYTRDGTVDLKGRPVLRSKTGRWKACYFIVGYEVFERMAYYGISSNLVLYLTRELHEGTVKSANNVTNWVGTVWMTPLLGAYIADTHLGRYWTFIIASAIYLMGMVLLTLAVSLKALKPPSCGNGIKDEDCKKHASSLQIGVFYLALYIIAIGTGGTKPNISTMGADQFDDFEPKERFRKLSFFNWWMFSIFLGTLFSNTILVYIQDNRSWSLGYAVPTVGLLVSVLVFVAGTPFYRHKTPSGSPLTRMAKVIVAAFRNVKVPVPADPKQLHELTLEHYSNSNISRIDHSSALRFLDKAAVESGESGPWRLCPVTQVEETKRMLKLVPILTATIIPSTVVAQAHTLFIKQGTTLKRHLGPGNFEIPPACLTAFITIFMLLSIVLYDRLFVPFIRRYTKNPRGIRLLQRMGIGLVIHVIVMVTAFLAERKRLSVAKENGIVKGIVPLSIFILLPQFALLGVADNFLEVGKLELFYDQAPEGMKSLGTAYFTTSLGVGFYLSSVILSAVADLTKRHGHHGWILDNLNVSRLDYYYAFCAVLSFLNLLFFLVVAKNFSYNTEGPGELQE >itb01g14170.t2 pep chromosome:ASM357664v1:1:15747271:15752163:1 gene:itb01g14170 transcript:itb01g14170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MENPTAAPPPPIESPPQSKNTQMTSAITQLPDDPVASSSLFDWSDFLEFNLDDHLNISFDSSEQQPNFPSPQPPITEADPGRVRKRDPRLVCSNFLAGRIPCACPELDEQLEEEELAALGSAGKKKPRTARASAAGQSSARCQVPDCEADISELKGYHRRHRVCLSCANAASVVLDGESKRYCQQCGKFHVLLDFDEGKRSCRRKLERHNNRRRRKSADSKGSAEKEPQQVLVADEGDFDEDSSKDGTGMGSQIIERDVLLESEGHLSTLCSTIGSQTIQSDSIRPFAASGEEQSEKEKENLKYTHSPSYCDNRSALSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTLFITMPHFKWAKLLEEPAIQLRDLMLSPGNMLHGRGTFLIYLNNMVFRATKGGTSIMKVKLKGKTPKLYYVHPTCFEAGKPMEFFVCGSNLLQSKFRFLVSFSEQYLANDFRVSSCCKIEGDSISLDHQLLKVNVPQTNADLFGPAFIEVENECGLSNFVPILIADKDICAEMGRMLEKLNRSLNPRGQQFASSCPSCEHSNLRDTELSDFMLDVAWLLREPVVESTQLLTSTLIQRFRRLLNLLIENESTSILERALSNIKIVMDNGSDAGIAESELNIFRGTLDAAHTFLSQRLINVRESLVGSCATDARREKSLTQIYKNEMQPVIPAINQVSPSYPAYLHIHIIIRMISIVYR >itb01g14170.t1 pep chromosome:ASM357664v1:1:15747227:15753188:1 gene:itb01g14170 transcript:itb01g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MENPTAAPPPPIESPPQSKNTQMTSAITQLPDDPVASSSLFDWSDFLEFNLDDHLNISFDSSEQQPNFPSPQPPITEADPGRVRKRDPRLVCSNFLAGRIPCACPELDEQLEEEELAALGSAGKKKPRTARASAAGQSSARCQVPDCEADISELKGYHRRHRVCLSCANAASVVLDGESKRYCQQCGKFHVLLDFDEGKRSCRRKLERHNNRRRRKSADSKGSAEKEPQQVLVADEGDFDEDSSKDGTGMGSQIIERDVLLESEGHLSTLCSTIGSQTIQSDSIRPFAASGEEQSEKEKENLKYTHSPSYCDNRSALSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTLFITMPHFKWAKLLEEPAIQLRDLMLSPGNMLHGRGTFLIYLNNMVFRATKGGTSIMKVKLKGKTPKLYYVHPTCFEAGKPMEFFVCGSNLLQSKFRFLVSFSEQYLANDFRVSSCCKIEGDSISLDHQLLKVNVPQTNADLFGPAFIEVENECGLSNFVPILIADKDICAEMGRMLEKLNRSLNPRGQQFASSCPSCEHSNLRDTELSDFMLDVAWLLREPVVESTQLLTSTLIQRFRRLLNLLIENESTSILERALSNIKIVMDNGSDAGIAESELNIFRGTLDAAHTFLSQRLINVRESLVGSCATDARREKSLTQIYKNEMQPVIPAINQDLENNMCKSESRLTFVDDSSTAPLLTMTSLNLKERPWKSCSPSFGKTVLTSRPLVFAITAMAVCFGVCAVALHPSSVGEFTTTIRRCLFDNS >itb08g11530.t1 pep chromosome:ASM357664v1:8:11534251:11537858:1 gene:itb08g11530 transcript:itb08g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTSSVIPLAQEPPHLTIQAPTSSAETQSQPTSSQFYVIFLPIHPFSRSCSPSFFRSSSSLRFRSVLRRWFKDRLLHLCIRDVARLLAGGDGGRCTGVLLLTTGGAAVTASGIFCATGRPEAYREALLVGDAEEMAGLESPLLVEDVWLPPLSELLGRLSYGICDQ >itb11g01910.t2 pep chromosome:ASM357664v1:11:945076:947558:1 gene:itb11g01910 transcript:itb11g01910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNMELGLSLGDSSSKPAEDHHNHHRPRTVSSKKDGLNFCMALGILSSADIEERPGNARKPPKQNDSDDHISNDNDDQTASEEEEEEGGHCRALVPIRGSSENGSSGNMELQTTARGFNVSRLPKTATEEVSSSFCMESSIFKRGGSGMLSICEAAGEAAERASSRAASDEDENNGGVNNARKKLRLSKQQSAFLEESFKENHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEIDCDYLKRCCETLTEENRRLHKELQELRALKTSNPFYSNLPATTLTMCPSCERVATTTTTTATVTTAIATISDSYPTATATVATATNPESIPKAIPFLTRAGSFPFTTSHNHAPS >itb11g01910.t1 pep chromosome:ASM357664v1:11:945076:947558:1 gene:itb11g01910 transcript:itb11g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNMELGLSLGDSSSKPAEDHHNHHRPRTVSSKKDGLNFCMALGILSSADIEERPGNARKPPKQNDSDDHISNDNDDQTASEEEEEEGGHCRALVPIRGSLQLDLLPLAPVPVSRHHPFPWSSDNGSSENGSSGNMELQTTARGFNVSRLPKTATEEVSSSFCMESSIFKRGGSGMLSICEAAGEAAERASSRAASDEDENNGGVNNARKKLRLSKQQSAFLEESFKENHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEIDCDYLKRCCETLTEENRRLHKELQELRALKTSNPFYSNLPATTLTMCPSCERVATTTTTTATVTTAIATISDSYPTATATVATATNPESIPKAIPFLTRAGSFPFTTSHNHAPS >itb05g13540.t1 pep chromosome:ASM357664v1:5:20534954:20545519:-1 gene:itb05g13540 transcript:itb05g13540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MVRKPWTIIPRPVMEATLSNYAQNPRVPQPLILHGPRGVGKTTLILERLFPKWNTGPHVTAYVDFAESIKDHHPTYGHSFPWASWSTCPPPPLSTLRAQLETCLESLAWKGIKLSTISSHQIFTALNKWHGLSAALTQILSADDSVGPRTTRVPSALNLWERAVLTASARLNAEEIGGLDGEAEEGSYNRESLAALKLAKAVIRVQQKWRHNAIKHLNQTGGFSRSLANSTTDWPCLLLELLSSAAEVDYFQPKLVINNIEVLKKAVLKDDSSVSASMYHDCLIWRIIALGANERCLPIVFVTSDSYYSYRAYIDFGFPDIFISREMFGWTAAQAKIHFVDQYFDELECKLIVHLLGANIRHIFEVYALTQSNYYKKIINSGGTFQDIVEAYLAYLQITVVNPAMDEALLILQKFASDAHSGRIPKDKLCFGAPWRNLPHTGDQDSCREWAKIQLMDFVQCLVNAYFGLNYLKDCSLEILDDPCCVALIEVGLLYVQRYLPLIRPVSRGIQRCMIRWLVQEQMEMSFKNMLQFKWQRVMRGRSYRHLLKPSK >itb10g25800.t1 pep chromosome:ASM357664v1:10:28973870:28975846:-1 gene:itb10g25800 transcript:itb10g25800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHLSDVFDCSTGESKHKKRKQLQTVEVRVKMDCEGCERKVRRSVEGMKGVSSVQIDPKQHKLTVIGYVDPDKVVARVAHRTGKKAELWPYVPYDVVAHPYAQGVYDKKAPAGYVRRADDDPQRYQLARASSTEVRYTTAFSDENPAACVVM >itb03g04050.t1 pep chromosome:ASM357664v1:3:2502775:2504285:1 gene:itb03g04050 transcript:itb03g04050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSRFSWWLWGGKEKGESLAAKGSSVNSLQDWGPGFREQAETVKFWSVSPSTRKVKRKWNSREERRRRGIDKEYDVVLVPSDDVCLSGSESDDSDWSIGWLEPHAPDFQSDDEADNSFAVLVPCYRDDCKALVVEEPSNQFLSAIKSLSNEYSPEGKKYMEQWLSSLQKF >itb14g19310.t1 pep chromosome:ASM357664v1:14:22027194:22031687:1 gene:itb14g19310 transcript:itb14g19310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRVKVLFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECKECKFCKSGKTNLCGKVRSATGVGIMMNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIDPKAPLDKVCLLGCGVPTGLGAVWNTAKVEAGSIVAVFGLGTVGLAVAEGAKAAGASRVIGIDIDSKKFDTAKNFGVTEFINPKDHDKPIQQVIVDQTDGGVDYSFECIGNVQVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYMKKEIKVDEYITHNLTLAEINQAFDLMHEGGCLRVVLNMDA >itb13g00650.t1 pep chromosome:ASM357664v1:13:605140:605649:1 gene:itb13g00650 transcript:itb13g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGENNANMNADNAAAAGPQRRRTAQNDIPLSTVLKIMREAIQRSARIAEEAKETVQKCVTEFIHYVTAKANERAKQERRKTVTTEDLIWAMRALGLGSYAEELTDFITDYRAKHVHGRASTHPLPPPPVQQVPFILGFPVPGFAAGPMNDGAGGSASSSSSPSFGGV >itb07g23250.t2 pep chromosome:ASM357664v1:7:27681008:27681906:-1 gene:itb07g23250 transcript:itb07g23250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKALCIFWNCCFCIEIRNAIEKPLSANGTCRFFMTYHGMQYFLQTAVHQGIDQKKRGHGYMKFSNKHGHKITSLSWKRHLRDLIELVTTRSGIK >itb07g23250.t1 pep chromosome:ASM357664v1:7:27681008:27681906:-1 gene:itb07g23250 transcript:itb07g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKALCIFWNCCFCIEIRNAIEKPLSANGTCRFFMTYHGMQYFLQTAVHQGIDQKKRGHGYMKFRLRPSTKLINKICSNKHGHKITSLSWKRHLRDLIELVTTRSGIK >itb01g04710.t1 pep chromosome:ASM357664v1:1:3206072:3210507:1 gene:itb01g04710 transcript:itb01g04710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRDLSVRVVKKEAVTAALPMQHHWLPQSNLDLLLPPLSFGVFFCYQKPKFTVGSVSGVLKAALAEALVSYYALAGEVVQNAGGEPELLCNNRGVDFAEAVADVELSELNLYNPDESVEGKLVPGKKHGGVLAVQVTGLKCGGVVVGCSFDHRVADAYSANMFLVSWSEVAQAKPLSQLPCFRRSFLFPRRPGHYDLSVDAMYTLISSLPPPTAAAEPEALQTDEQSVISRIYYIESDEIIRIQSLANSQKGSAKHHRITKLQAFSAFLWKTIAAGMCKDHNFKNLKLGVVVDGRARLIDGDEKKAELLKGYFGNVLSIPFGDRKMEDLQEKPLSWVANAVREFLEEALTREHFLGLIDWVEAHRPKPALAKIYAGGNGPAVVVSSGQQFPVRKIDFGWGKPAFGSYHFHWGGEAGYVMPMPNPKGNGDWIVYMHLQKWEVELIEAHASNVFKPVTSEYLGLV >itb13g21210.t1 pep chromosome:ASM357664v1:13:27831141:27833252:1 gene:itb13g21210 transcript:itb13g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKDEVVSDLTKGIEGLFKKNKVNYVKGYGKFFSPSEITVDTIDGGETVVKGKSIIIATGSDVKGLPGITIDEERIVSSTGALALKEIPKKLVVIGAGYIGLEMGSVWGRLGSETLLHHSHLYHEATHSSANWFKFPSVEVDLPALMAQKDEVVSDLTKGIEGLFKKNKVNYVKGYGKFFSPSEITVDTIDGGETVVKGKSIIIATGSDVKGLPGITIDEERIVSSTGALALKEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDGEVRRQFQRTLEKQSEVRRQFQRTLEKQKMKFMLKTKVVSVDRMKFMLKTKVVSVDSSGDGVKLTLEPAAGGDQTVLEADVVLVSAGRTPFTAGLQLDKIGVETDKIGRILVNGRFATTVPGVYAIGDVIPGPMLAHKAMKDGVACVECIAGKEGHLDYDMCPAVVYTHPEVASVGKTEEQVKALGVSYRVGKFPFMANGRAKAISDAEGLVKILVEKETDKILGAHIMASNAGELIHEVMLGGSSEDLARKWHAHPTMIEAVKEAAIATYDKPMHI >itb01g02310.t1 pep chromosome:ASM357664v1:1:1492160:1492999:1 gene:itb01g02310 transcript:itb01g02310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAKLICSGGGYNGDLAAEGEEKCAAIAAISSAVAEINNGDSSGEGEADYHHRDDGGDSDYLPRKLRKYRSIAAIYQSTKPSEIAINTTAKRRQGKNNGKKVAKRTMKKAAADDEEMENKAAATGGDSNFIEVESLEEAVEMEEACPTRKPKFRSIFELYEITQLLPATSCDS >itb06g22810.t1 pep chromosome:ASM357664v1:6:24989743:24993176:-1 gene:itb06g22810 transcript:itb06g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAKTTSDMDEGISRQAPQLIGVLKEMKEGLDTVRSKVQALTTKVKANQFPTADGISYLEAKHLLLLNYCQSLVYYLLRKAKGLSIDGHPVVQSLVEIRLFLEKMRPIDKKMQYQIQKLTRVTESVSEKSVLTEKGSDTTQKEDLLKYRPNPEMLTSKTTPEDSTGVYRPPKLAPAIMNEDKTSRQERNALRKEQEMLRQAKQSTYMKELIDDIEGRPEEWREAVGTESRELKRYMAKMEKRAQQEEEQFSRAPLTKLEKKKMKHLKKSRNGLLGLTESFYDEIKTLPFEEGVSDHKDLGSSATVERKQKKRKRKN >itb03g27970.t1 pep chromosome:ASM357664v1:3:28601969:28604446:1 gene:itb03g27970 transcript:itb03g27970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDGYYPLKMKRKDLEQVSDEFSDFSLSAPARKIRRLDAELPPILEEIEDDRDIPVAFEPSPSGQSFGGYGRRGVQIEELPDMPDNKETAIVLFKPMDTPFVLSPQNFSVKIDPQFISGLKNQVPWRSQSNQLGQDEDGTREMGNTSAARNQCLAVVPWVPSQFPTQTDITDMMDAEELEEATMEVEDNGFAGADQRSMDMGGNEGLQQWQQQHCMVPQPPQNVSTPITWYR >itb03g26400.t1 pep chromosome:ASM357664v1:3:25941932:25943822:1 gene:itb03g26400 transcript:itb03g26400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVIQRPVLGGRKVRGPYCRFCESVEDIVKVNVPYGAKLLCQELFRGDNDIVSFERLHSNSTPAVMELWKHSPRLAAKLQALQFRVSKNLKPIVLSAFSKTQRFHLYGFGNLLPNYVKKKFASSFCFMIPATRLCHCVKYSGRSSTLCCRLSNTTALATGFTDRIWPVVRHTFPYFTAKAAKTISTFAFNE >itb04g13840.t1 pep chromosome:ASM357664v1:4:14016932:14021559:1 gene:itb04g13840 transcript:itb04g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFVKLSSSSSSWIGQKPASAQLHGSAPCAPRRVSVIRAAGSYADELVKTAKTVASPGRGILAIDESNATCGKRLDSIGLENTETNRQAYRQLLLTTPGLGEYISGSILFEETLYQSTTDGKKFVDCLRDGKIVPGIKVDKGLSPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAERVWAEVFYYLAENNVLFEGILLKPSMVTPGAEHKEKASPETIAKYTLTMLKRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWKGQPENVEAAQKALLVRAKANSLAQLGKYAADGESDEAKKGMFVKGYTY >itb09g26470.t1 pep chromosome:ASM357664v1:9:27100188:27107689:-1 gene:itb09g26470 transcript:itb09g26470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MAGNWLPPAQITGSGRPVLHPGEVECSLLSMVDLLSEENPSFPHLRSGLLILTTHRLLWLPDSTIPASTGAAVFIPLASVTHIFSAKKSIKAMFASPRIRFQASADPQGRIEERGSKSVVITLIIRGKSDVDSFLEKFWEAWRGRAWEEAAKSKSGSDDPSSSGSVSSSGGGSLAVRMPVVGVAGILRKEQEMWENTDKSLQDAFQDLNALMSKAKEMVMLAEKMRLKLLSSSSSHTGTNDEDLGTKEEMQDWLLSVGIASPVTKESAGALYHQQLSRQLADFIRIPLDRAGGMINLIDAYCLFNRARGTELISPDDLMRACSLWEKFDVPVMLRKFDSGVVVIQNKSQSDNEVFARIKSLVTKADALRAGISASDAAMTLGVAPAMAKEHLLAAEGKGLLCRDVSPDGFRFFINLFQDIDADDIYLVKDHGTYAKWIDSASA >itb09g26470.t2 pep chromosome:ASM357664v1:9:27100188:27107689:-1 gene:itb09g26470 transcript:itb09g26470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MAGNWLPPAQITGSGRPVLHPGEVECSLLSMVDLLSEENPSFPHLRSGLLILTTHRLLWLPDSTIPASTGAAVFIPLASVTHIFSAKKSIKAMFASPRIRFQASADPQGRIEERGSKSVVITLIIRGKSDVDSFLEKFWEAWRGRAWEEAAKSKSGSDDPSSSGSVSSSGGGSLAVRMPVVGVAGILRKEQEMWENTDKSLQDAFQDLNALMSKAKEMVMLAEKMRLKLLSSSSSHTGTNDEDLGTKEEMQDWLLSVGIASPVTKESAGALYHQQLSRQLADFIRIPLDRAGGMINLIDAYCLFNRARGTELISPDDLMRACSLWEKFDVPVMLRKFDSGVVVIQNKSQSDNEVFARIKSLVTKADALRAGISASDAAMTLGVAPAMAKEHLLAAEGKGLLCRDVSPDGFRFFINLFQDIDADDIYLVKDHGTYAKWIDSASA >itb13g07880.t1 pep chromosome:ASM357664v1:13:10070039:10074123:1 gene:itb13g07880 transcript:itb13g07880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSNSSKLDYGQCNWISSDEAASWLAIFGSFPASFLTGDDGDVSITMETTTAHGDDEDTDEWMKLMEKMISSWLKVMIIRFWIDNGWMMRLRRFQQANPTKSDEPAADEGSASPVIVADEGSVSPTITAHYRRFCYYVLVAGNTDGITAFHMDIKVLIGKISVKRTKAFVILRLRLVIRNFP >itb09g14090.t1 pep chromosome:ASM357664v1:9:9308586:9310588:-1 gene:itb09g14090 transcript:itb09g14090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITANQSRQQPHFMVFPIMLPGHMIPLIDVARMLARCDGVIVTVLTTPVNAKRFRPALDRERRCGFKIREHELRFPCVEAGLPEGCENADLIPKGQNLAANFMAAVGMLRPQVEEAVKQSKPPPSCIVSDMLLTWTAEVAAGLNIPRLVFNGSCCFSDVCEARISDSEILGEVGSESEQFTVPEVPHNIQVCKSQVKLCTTDPNLLDDDPRKQWSVKIRQTSKSAYGVIVNSFDELEPDYVKEYRKMHHGRVWCVGPVSLCNQNYQDQSLRGYNNNYSDQQECLKWLDLQAPGSVVYVSLGSMAALSPRQMAELAMGLESNKRPFLWVLGKRGKNIDAFEDWNVSSGFEQRNRGRGLLVRGWAPQVLILSHTSIGGFLTHCGWNSTLEAISAKVPMVTLPLIAEQFLNEKLVVEVLGIGVSLGLKMSIDWDGEDKDDVVMVKNEEINEAIDKIMDERGIEIRRKVRELGEMAKKAVQKGGSSQLNLISLVQNVSSFAQP >itb13g24540.t1 pep chromosome:ASM357664v1:13:30193881:30194874:-1 gene:itb13g24540 transcript:itb13g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSNNKGKAQQKEEVMYHGVRRRPWGKFGAEIKDSALDGGRQWLGTFDSAEEAARAYDRAAFSLRGHLATLNFPHCYYHPSSASTPPPPAADSSSVPAPAGKEVFEIEKLGSQQLLKNSL >itb03g04850.t1 pep chromosome:ASM357664v1:3:3189006:3191621:1 gene:itb03g04850 transcript:itb03g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGRWWWWWFAVLIMVVSLSEPALANPQTYQLAKGCSPYNTSDPPEDFVRKINATFAHLRNQFSRGNKSFATAEHIPIYAMAQCRNYLSKPDCVACFDAAVLVSRQCSIATGARVIFDGCFLRYEMNDFYNKITDEGNRQICGKRTAHNQDVFNTTAQQLLNELVLATPRIHGFFAAAKQEISGGGATAYAVAQCIETITQTGCMECLAIAYSNIQNCLPKSGSGRAVDVGCFLRYSNKHIFANNQTTNLAPFLGGGGRSGKKKAIIAGVAGGVGIILVLAALFLYQQSKKPKAARRGDILGATQLRGPENYGYKDLKTATKGFSEENKLGEGGFGDVYKGTLKNGDVVAVKKLVISSRAKADFDSEVRLITNVHHRNLIRLLGCSAKGEELLLVYECWEKSHFL >itb07g03670.t1 pep chromosome:ASM357664v1:7:2440226:2441280:-1 gene:itb07g03670 transcript:itb07g03670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGEESQRRYRGVRRRKWGKWVSEIRVPGTQDRLWLGTYATPEAAAVAHDIASVCLRETASLDKLNFPALMPPAGVGRGMSPRSVQKVASDAGMAVDAHQRLLLQPPQAVELSGGVENRWGGGEAAEEIFRWAETPCCSSREGSGISEEALSISVDDYLV >itb06g19210.t1 pep chromosome:ASM357664v1:6:22612818:22614974:1 gene:itb06g19210 transcript:itb06g19210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRGGTRLARSLTGHVAPRYFSTAVLRRPATAAGAEAGIGGVFRGGAAAAFLHGNPAKASEEVLVAWMRFPVMGSRRMSTVAVGDDKQKEKDEKNAAAATTGGRTDSAVVSYWGVAPTKVAKEDGTEWKWHCFRPWETYKADLSIDLKKHHVPVTFLDKMAYWTVKALRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVSQPRWYERALVFAVQGVFFNAYFAAYLISPKLAHRIVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIALDYWRLPPGSTLRDVVLVVRADEAHHRDVNHFASDIHYQGQELKDSPAPLGYH >itb11g11200.t1 pep chromosome:ASM357664v1:11:8179102:8182448:1 gene:itb11g11200 transcript:itb11g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASGGIVSGLCSGVRVIEKQPISIRGVLRGSFELGSVHRIGIVPKRLALSASTCPKASSSAVETAEVPTPKVIIDLDSDPDATVVEVTFGDRLGALIDTMNALKNLGLNVTKANVYLDSSGKHNKFAITKASTGRKVDDPELLEAIRLTILNNMLEFHPESSSQLALGEAFGVVQPSQKVDVDIATHVHVYDDGPERSLLCVDTVDRPGLIVDLVKMITDINIDVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYFLRRPTTEESSF >itb11g11200.t2 pep chromosome:ASM357664v1:11:8179347:8182061:1 gene:itb11g11200 transcript:itb11g11200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASGGIVSGLCSGVRVIEKQPISIRGVLRGSFELGSVHRIGIVPKRLALSASTCPKASSSAVETAEVPTPKVIIDLDSDPDATVVEVTFGDRLGALIDTMNALKNLGLNVTKANVYLDSSGKHNKFAITKASTGRKVDDPELLEAIRLTILNNMLEFHPESSSQLALGEAFGVVQPSQKVDVDIATHVHVYDDGPERSLLCVDTVDRPGLIVDLVKMITDINIDVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVPILLSLPNG >itb06g24580.t1 pep chromosome:ASM357664v1:6:26090824:26092864:-1 gene:itb06g24580 transcript:itb06g24580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTESCEDALYRIPSSVFAPDTPTNRAEWSIASNESLFSIQIGSFTRDEFLWTELGSPGADSVSSPANNPISSEVITDGKGDEIGKHEAWEGVVRENEDQINQKSNAGVHISHNSADSMASEISFAFPILTGHGNKDGPDCIGCGLSQEQRAMSETQPIQEKEPLKSSGSENQQAEAQPAQTTWFSWFFSCCASVLRK >itb04g20530.t1 pep chromosome:ASM357664v1:4:25309699:25325331:-1 gene:itb04g20530 transcript:itb04g20530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLPILPLPAPTEDGNLGPLPPAQLTEKEIDELKLVNEEDKSKSNSAPTSVATHTRTIGIIYPPPDIRSIVDKTAQFVVKNGPEFEKRIIQSNAGNAKFNFLSASDPYHAYYQHRLSEGRAQNQDSAQQQPSQPPDSDAPESTPAVPAADAVDPTAKSDPSAQFRTVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLRKSATDMTTVLERCLNRLEWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDHDLPPPMTLEEVIRRSKMSGVEEEEFVEPGKEVEMEMDEEEVQLVEEGMRAATLEENAVQNSEVKAITEDQEPPMRIVKNWKRPEERIPAERDPTKYVVSPITGELIPIIEMSEHMRISLIDPKYKEQKERMFAKIKETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKEEPKQVIWDGHTGSIGRTASQAMSQNNAEDQYDFANDARNLPGPQAPLPPRPGVPSVRPLPPPPGLALNIPRPPNSFPYPTPGNPGVMPPPLPRPPMVNTVPHVQPPPPNIPPMPGQHLMVNRPAMHPSMSMNAPNISLPPPPGSQFTPLGAPRAFVPHPMSQPGMTIVPPPPMPHGMPPPPPPEEAPPLPEEPEPKRQKLDESVLIPEDQFLAKHSGPACISIAVPNVDEGNLKGQVLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKAGFLKDNLSLAYYNVAPGETLNLSLRERESQARSSHLTSSVARCLTAARSITGVLVVIHILEEMGVQLAAEQDVMPEVENVDLSDSELVYHVRDALKSAIQGDLDNYSQLVGVINRDQYLLPEEVALLVTCLKALSGAVSCIDIVHHQSLLSSILRMSMWNYGTDVMDALMELIVSLAASSGQYIDLCLEMLVSNFMPPYTFLQLLNQPRGLTRKGQVLDRVHSKLKDIADLVPLSPLRLEKIIKERMPNIFTKEALIVMYVENMLKLESSPLGELVGSTMLVAIMDRLVDLDVDISWDAILQDDLTKGIFDIELEDLEGPIDDGQQESFDFEIQRDMWIHRFFGDSASAQKLDSLMVLIFEYFISCNESGRLGRVFDTLLLSFEKTVLTAYKSKFAQFVMFYACSLDPENCGKRFANMLIHIFETSVHLEWRMSAVAYLASYLARARFMDMPFVADCLERLVNWCYNYCKSKTGEINPNPRAHKDFYAGCQAIMYIICFRRGSIHSLFRIKSHLLRMRIEDILRHSLSPLMVCLPSIVEEFLRVAETTCLFSFPDNSAPIGSGVFESELSMAFGGNQGLDSFFPFDPCLLKKSDRFIRPNFIYWSMVRNTYDEVEDDECTSDEDDVEVCIPGNGMDIVDGAPRSSQGDVGDLDEFDYNLNKMSITPKSTLLKRFGGEQAGLQMPSRIRPCPESL >itb12g11010.t2 pep chromosome:ASM357664v1:12:9152947:9155446:-1 gene:itb12g11010 transcript:itb12g11010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNILWNMTKYVFTGSLIGVTISDRYASVVSLKGGSMSPTFNPHGDGLLTRDRVLLEKLCLEKYKFSQGDVVVFRSPSNHQEKHMKRITALPGDWISTPHSYDAVIIPEGHCWVEGDNAAWSFDSRSYGPIPLGLICGRVTHIIWPPQRIGAVERSLPQSIPPL >itb12g11010.t1 pep chromosome:ASM357664v1:12:9152772:9155446:-1 gene:itb12g11010 transcript:itb12g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNILWNMTKYVFTGSLIGVTISDRYASVVSLKGGSMSPTFNPHGDGLLTRDRVLLEKLCLEKYKFSQGDVVVFRSPSNHQEKHMKRITALPGDWISTPHSYDAVIIPEGHCWVEGDNAAWSFDSRSYGPIPLGLICGRVTHIIWPPQRIGAVERSLPQSIPPL >itb07g15010.t1 pep chromosome:ASM357664v1:7:17741498:17743910:-1 gene:itb07g15010 transcript:itb07g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLQWLFAALATIFLLATFLRNKEAASRRKGPRKLPEPRFAWPIIGHFHLLAGNNRLPHKVLGDMADIYGPIFGLRLCAHRVMVVSDSRIAKECLTVNDRALAGRPKTIASEHIGYNHADLALCPPNLFWRDARKVVVLELLSSRRMEALRRVRESAVKRFTQEIYRRMTDGSGEVVKLDMSEWFGRLIIGVMLEIMFGHSYEDVGSWVAATFRRNFELLGLSVVGDFLPWLRWLDIGGYEKAIKENTKEMDDVVDCWLQQHRMKLNTKPKEEEDFLDALISHYDNHKEIPNGYDADTAIKATCTGVLSAAIDTTTTTLIWALSLVLNNGDVLDKIRNELDNHVGRERHVNESDLNNLTYIQAVVKETLRLYPPGPLLVPHEAIEDCVVDGYHVSKGTRLLVNVAKIQRDLKFWSDPDAFKPERFLTEHKEVDVRGNHFDLLPFGSGRRMCPGVSLGLQSVQLGLAGVIHGFDIRRSLDEKIDMTEAAGLSVTKATPLEALLTPRLPLHLYS >itb06g24540.t2 pep chromosome:ASM357664v1:6:26077100:26081769:1 gene:itb06g24540 transcript:itb06g24540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLHSLADDNPDLHKQIGCMKGILQLFDRQHIRIAGHSPEALTAGSLHFSDDTSEQNLCSTYQRSAAAEKQKFSRESSTYSFSSLQSSSVSVDCRKPAQREHLAFDRATFPETPSRDPAMNEVKASQQYGRQVLDIRDVVKDSMNREGQGLSTKSKVREEVAESMLKHTVFDGDYETTLDVKQNLPEDVKDSLRVLAKLREASWYSSEPREPSRSSPYHSKDASLSALSFESLNIPKSNFASKPSRQDNGGTNARSARQQTSGAQARPPSVVAKLMGLETLPDSMSPSETKMGSNKTCQNEDLIPLARSSEVSGRCCNAVQISNPTRSPSKEPNSTHWNPNLVMKPISRFPIEPAPWRKFDGTQVSKKPAARNSKSLKTPLSSFPSAYSEIEKRFMDLEFTESGNDLRALKQILETMQRKGLLAAQKDCQDSNFASQRENNEQNCTSSFQSANQRTRQSHQIGTATRGSNSLRHFESSIVIMKPAKLLGKSGTPSSSVIPLDDLSNIPKLQGNEGFRGRNGAATRVTVKDQNLKTSKRVNTPISSAKTNNRTPISPQVSVRSKENTSGSVKTSGFITPGLQQKKLELEKRSRPPTPSSISRRQATKQQMKSSPPGGKHGQKSSNIPQNDVQLIRGEISNQSNGSIIADLKEVTEEVTSTLQSFEKSSNQSPLAKTFGLLENELLAERAILAPEYPSPVSVLDNVGYTDESPSPVKHISEALEDDRSEYRDCNPDDNATSSTFGYPVTLGINRKKLKKIENLVQKLRRLNSSHDEVHTDYIASICESTNPDHRYVAEILLASGLLLGDLGSSTTTYEFHSSGYPINPELFSVLEQTKESTLGKEECTKENSNALKPKEKIHRKLIFDFVNELLAAKLALVGPFSEPWLRPRVAATKAPNSQKLLRELCAEIEQLHVKPSRFSLDQDDEPRNISWEDQPESWTDFAGETSNIVLSLERLVFKDLVDEIVRGDGNSLRTKPTRQKQLFKK >itb06g24540.t3 pep chromosome:ASM357664v1:6:26077278:26081735:1 gene:itb06g24540 transcript:itb06g24540.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVKASQQYGRQVLDIRDVVKDSMNREGQGLSTKSKVREEVAESMLKHTVFDGDYETTLDVKQNLPEDVKDSLRVLAKLREASWYSSEPREPSRSSPYHSKDASLSALSFESLNIPKSNFASKPSRQDNGGTNARSARQQTSGAQARPPSVVAKLMGLETLPDSMSPSETKMGSNKTCQNEDLIPLARSSEVSGRCCNAVQISNPTRSPSKEPNSTHWNPNLVMKPISRFPIEPAPWRKFDGTQVSKKPAARNSKSLKTPLSSFPSAYSEIEKRFMDLEFTESGNDLRALKQILETMQRKGLLAAQKDCQDSNFASQRENNEQNCTSSFQSANQRTRQSHQIGTATRGSNSLRHFESSIVIMKPAKLLGKSGTPSSSVIPLDDLSNIPKLQGNEGFRGRNGAATRVTVKDQNLKTSKRVNTPISSAKTNNRTPISPQVSVRSKENTSGSVKTSGFITPGLQQKKLELEKRSRPPTPSSISRRQATKQQMKSSPPGGKHGQKSSNIPQNDVQLIRGEISNQSNGSIIADLKEVTEEVTSTLQSFEKSSNQSPLAKTFGLLENELLAERAILAPEYPSPVSVLDNVGYTDESPSPVKHISEALEDDRSEYRDCNPDDNATSSTFGYPVTLGINRKKLKKIENLVQKLRRLNSSHDEVHTDYIASICESTNPDHRYVAEILLASGLLLGDLGSSTTTYEFHSSGYPINPELFSVLEQTKESTLGKEECTKENSNALKPKEKIHRKLIFDFVNELLAAKLALVGPFSEPWLRPRVAATKAPNSQKLLRELCAEIEQLHVKPSRFSLDQDDEPRNISWEDQPESWTDFAGETSNIVLSLERLVFKDLVDEIVRGDGNSLRTKPTRQKQLFKK >itb06g24540.t1 pep chromosome:ASM357664v1:6:26077095:26081769:1 gene:itb06g24540 transcript:itb06g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLHSLADDNPDLHKQIGCMKGILQLFDRQHIRIAGHSPEALTAGSLHFSDDTSEQNLCSTYQRSAAAEKQKFSRESSTYSFSSLQSSSVSVDCRKPAQREHLAFDRATFPETPSRDPAMNEVKASQQYGRQVLDIRDVVKDSMNREGQGLSTKSKVREEVAESMLKHTVFDGDYETTLDVKQNLPEDVKDSLRVLAKLREASWYSSEPREPSRSSPYHSKDASLSALSFESLNIPKSNFASKPSRQDNGGTNARSARQQTSGAQARPPSVVAKLMGLETLPDSMSPSETKMGSNKTCQNEDLIPLARSSEVSGRCCNAVQISNPTRSPSKEPNSTHWNPNLVMKPISRFPIEPAPWRKFDGTQVSKKPAARNSKSLKTPLSSFPSAYSEIEKRFMDLEFTESGNDLRALKQILETMQRKGLLAAQKDCQDSNFASQRENNEQNCTSSFQSANQRTRQSHQIGTATRGSNSLRHFESSIVIMKPAKLLGKSGTPSSSVIPLDDLSNIPKLQGNEGFRGRNGAATRVTVKDQNLKTSKRVNTPISSAKTNNRTPISPQVSVRSKENTSGSVKTSGFITPGLQQKKLELEKRSRPPTPSSISRRQATKQQMKSSPPGGKHGQKSSNIPQNDVQLIRGEISNQSNGSIIADLKEVTEEVTSTLQSFEKSSNQSPLAKTFGLLENELLAERAILAPEYPSPVSVLDNVGYTDESPSPVKHISEALEDDRSEYRDCNPDDNATSSTFGYPVTLGINRKKLKKIENLVQKLRRLNSSHDEVHTDYIASICESTNPDHRYVAEILLASGLLLGDLGSSTTTYEFHSSGYPINPELFSVLEQTKESTLGKEECTKENSNALKPKEKIHRKLIFDFVNELLAAKLALVGPFSEPWLRPRVAATKAPNSQKLLRELCAEIEQLHVKPSRFSLDQDDEPRNISWEDQPESWTDFAGETSNIVLSLERLVFKDLVDEIVRGDGNSLRTKPTRQKQLFKK >itb03g26300.t1 pep chromosome:ASM357664v1:3:25816429:25819997:-1 gene:itb03g26300 transcript:itb03g26300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNELYLRSFFQDVQKNDFGEVTFEMHDLIHDLAHFVMEGSRISQQMLLRDHYLSLPLVITTQILKEPSKFSPLRVLDASWQLEKITKVPSAIGSLYHLRYLSFSHTRIQMLPDRICDLWNLQILNLDFCRVLQSLPKRISRLKNLRHLCLLGCPLIEMPPSIGQLTCLKTLNMFVVGKQRGFKISELRDLNLGGILHITNLEKVESFEDAKEANLGGKQDLRHLWLSWDSEKDTSSNAHIHHDHDILNCLVPHSQLHSFRIYGFRGTTFPFWMSSPIMKDVIEICLLNCKNCSNLPLLGELPLLKILNLVGLPIEYVDNEFPGQDKGLIRIRRFPSLTELNMNNLPKLRKLSRQEGQELLLFPHLCKLRISNCPSFISLPENLLHNLNHLEVLSISRLDKLQMLPTSLSSLTRLQFLHIDGCPKLVSLPQSIQRMENLKVLSITQGCPELQRRYDKGNGEHWCDIAHIPNVYIVP >itb03g26300.t2 pep chromosome:ASM357664v1:3:25815467:25819997:-1 gene:itb03g26300 transcript:itb03g26300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNELYLRSFFQDVQKNDFGEVTFEMHDLIHDLAHFVMEGSRISQQMLLRDHYLSLPLVITTQILKEPSKFSPLRVLDASWQLEKITKVPSAIGSLYHLRYLSFSHTRIQMLPDRICDLWNLQILNLDFCRVLQSLPKRISRLKNLRHLCLLGCPLIEMPPSIGQLTCLKTLNMFVVGKQRGFKISELRDLNLGGILHITNLEKVESFEDAKEANLGGKQDLRHLWLSWDSEKDTSSNAHIHHDHDILNCLVPHSQLHSFRIYGFRGTTFPFWMSSPIMKDVIEICLLNCKNCSNLPLLGELPLLKILNLVGLPIEYVDNEFPGQDKGLIRIRRFPSLTELNMNNLPKLRKLSRQEGQELLLFPHLCKLRISNCPSFISLPENLLHNLNHLEVLSISRLDKLQMLPTSLSSLTRLQFLHIDGCPKLVSLPQSIQRMENLKVLSITQGCPELQRRYDKGNGEHWCDIAHIPNVYIVP >itb12g24500.t1 pep chromosome:ASM357664v1:12:26000339:26004614:-1 gene:itb12g24500 transcript:itb12g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESPKKKAFFFLILLLSSATFLGNFPWLTLLLLLFLGVSLFLFNTLWLQPQILRWKIQRQGIKGPKPSLLYGNAPEMHKIQAASMKASSYAGEFVAHDYTSTLFPYFEQWRKQYGCIYTYSTGNKQHLYVNKAELVKEMNQKSSMELGKPSYVTKRLSPMLGNGLLRSNGHMWALQRKIIAPEFFMDKVKHHVNLMLESAELLARKWDEAIEAQGGEMAEIAVDEDLRSFSADVISRACFGSSYSKGKQIFSKLRNLQAILSSQSYLFGLAALGFLPQRKHKGIASLEMEIDTLIWEAVKKKEASSAEKDLLQCILEAAINDESMDENSSRKFTVDNCKNLYFAGHEATATAASWCLMLLALHPEWQSRIREETNQLCPNGQLDAESITKMKMVTMVIQEVLRLYPPAAFISREALQETHIGHIHVPKGVCVWTLIPTLHRDPDLWGQDAHEFKPERFANGISNACKMPQVFIPFGVGPRLCVGRNLAMVELKAAVSLIVSKFSFSLSPKYKHSPAFRMIVSPGKGVRIVIQRLKK >itb15g08630.t1 pep chromosome:ASM357664v1:15:6032631:6039020:1 gene:itb15g08630 transcript:itb15g08630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRIARSVSKSSPSTVNRGLISGGYGVRTKVLDELTAQSTCIARVEGGIGLVRSYLTSVGAGKGILNRAYLSDIDSIFTNPRLRRLFCSQAPKKKNYENYYPKNKKEIPRTNNQKSESGREDSSSGQQGSPQDNFLKQYQNLITSLLFIGFVLSSMVLSPRTQNEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVKTSAPTTNQSGSDTVLGPTTDTNGRRNVSQYRYYFNIGSVESFEEKIEEAQEALGIDPHNFIPVTYVNELNWFQEIMRYGPTVLLLATLIFMGRRMQGGISVGGPGGKGGRGIFNIGKAHFTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPARVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFQTTSGVVVLAGTNRPDILDRALLRPGRFDRQISIDKPDIKGREQIFRIYLNKLKLDQDASYYSQRLAALTPGFAGADIANVCNEAALIAARNESTLITMDHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPNDNAFEMSKPYSNKTAAIIDNEVREWIAKAYECTVQLIEEHKEHVAQIAELLLEKEVLHQEDLVRVLGDRPFKSSEPTNYDRFKDGFVEDKETETKDTAENKSTKDDDSSPLQPEVVPV >itb06g20760.t1 pep chromosome:ASM357664v1:6:23604060:23607344:1 gene:itb06g20760 transcript:itb06g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPDFKLILGSSSVARRKIVADMGYEFITMSADIDEKGIRKEKPEDLVMALAEAKADAIISKLQNGETQENDAIPTLLVSADTVVVYEGMVREKPSGKEEARQFMKDYSNGHASTVSSVIVSNLKTGVRKGEWDKVEIYFHEIPDEAIDKLIEEGRVLYVAGGLIIEHPLVLPYVKQVVGTTDSVMGLPKALTERLIKEVL >itb15g20620.t2 pep chromosome:ASM357664v1:15:23291190:23297542:1 gene:itb15g20620 transcript:itb15g20620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTVYVLEPPTKGKVILKTTYGPLDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKSYLIQGGDPTGTGTGGESIYGGVFPDEFHSRLRFKHRGLVACAGAGSPNTNGSQFFITLDKCDFLDKKHTIFGKITGDSIYNLLSLGEVETEKDDRPLDPAPKILSVEVLWNPFDDIVPRVTTTRALASSADTDKKDKNQKPKKNLNLLSFGEEAEEEEKELATINVRIRSSHDVLDDPRLLKEENADEVLLSEKKTARDVQSVKEALRSKKDEVSRESEARSPESHTESDDDDDANFDARMRRQILEKRKELGDMPTKLKSSNENSKAKIRSASPSRSAEESDAQPKVEKLFIKKKGIGSDAKAERMATADADLQLLSGAERERQLLKQKKRRRHGQEDEILAKLEKFKASISKKPNASNGENEGEKGEDLSDWASVKLKFTPEPAKNNMSRSNDPNDYVVHDPLWEKGKEKFNKMQAKQKRREREWAGKSIT >itb15g20620.t3 pep chromosome:ASM357664v1:15:23291190:23296997:1 gene:itb15g20620 transcript:itb15g20620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTVYVLEPPTKGKVILKTTYGPLDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKSYLIQGGDPTGTGTGGESIYGGVFPDEFHSRLRFKHRGLVACAGAGSPNTNGSQFFITLDKCDFLDKKHTIFGKITGDSIYNLLSLGEVETEKDDRPLDPAPKILSVEVLWNPFDDIVPRVTTTRALASSADTDKKDKNQKPKKNLNLLSFGEEAEEEEKELATINVRIRSSHDVLDDPRLLKEENADEVLLSEKKTARDVQSVKEALRSKKDEVSRESEARSPESHTESDDDDDANFDARMRRQILEKRKELGDMPTKLKSSNENSKAKIRSASPSRSAEESDAQPKVEKLFIKKKGIGSDAKAERMATADADLQLLSGAERERQLLKQKKRRRHGQEDEILAKLEKFKASISKKPNASNGENEGEKGEDLSDWASVKLKFTPEPAKVVKSLSLSLSCSFYIQWIYIFLRLMDDSCIIYVT >itb15g20620.t1 pep chromosome:ASM357664v1:15:23291182:23297694:1 gene:itb15g20620 transcript:itb15g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTVYVLEPPTKGKVILKTTYGPLDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKSYLIQGGDPTGTGTGGESIYGGVFPDEFHSRLRFKHRGLVACAGAGSPNTNGSQFFITLDKCDFLDKKHTIFGKITGDSIYNLLSLGEVETEKDDRPLDPAPKILSVEVLWNPFDDIVPRVTTTRALASSADTDKKDKNQKPKKNLNLLSFGEEAEEEEKELATINVRIRSSHDVLDDPRLLKEENADEVLKLSEKKTARDVQSVKEALRSKKDEVSRESEARSPESHTESDDDDDANFDARMRRQILEKRKELGDMPTKLKSSNENSKAKIRSASPSRSAEESDAQPKVEKLFIKKKGIGSDAKAERMATADADLQLLSGAERERQLLKQKKRRRHGQEDEILAKLEKFKASISKKPNASNGENEGEKGEDLSDWASVKLKFTPEPAKNNMSRSNDPNDYVVHDPLWEKGKEKFNKMQAKQKRREREWAGKSIT >itb08g03800.t1 pep chromosome:ASM357664v1:8:3077279:3080913:-1 gene:itb08g03800 transcript:itb08g03800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYAIKTVALSSVSWATLFVVTTKVLPKRSFDFRSRIVATFHAFLAITLASLSVQDWSCPLCPLATKPSPQQNQALAITTGYMIYDLMCCVYHHQQKTEDLVHHLVTIFGLGAGLAYQRCGSEMVGALWVSEISGPFLHLRDLLKELGYRDTALNLAVDICFAVIFSLSRMIGGTYLTYLTVSNENPILIKVMSVGLLSVSAFWFYKIARMVIYKLSKRSKAKSFNSKSL >itb08g03800.t2 pep chromosome:ASM357664v1:8:3077279:3080913:-1 gene:itb08g03800 transcript:itb08g03800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYAIKTVALSSVSWATLFVVTTKVLPKRSFDFRSRIVATFHAFLAITLASLSVQDWSCPLCPLATKPSPQQNQALAITTGYMIYDLMCCVYHHQQKTEDLVHHLVTIFGLGAGLAYQRCGSEMVGALWVSEISGPFLHLRDLLKELGYRDTALNLAVDICFAVIFSLSRMIGGTYLTYLTVSNENPILIKVLLFFHLLRYIKGFIFIVLSIGWVLCR >itb12g17170.t1 pep chromosome:ASM357664v1:12:19104866:19111158:-1 gene:itb12g17170 transcript:itb12g17170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDPVSAPSKMIETPYFTALTTLFSYILLFAFSLFRDFFRKIFDCWHSTAIADETYFGQAACESDCIKEFLAAHNAARETVGAPPVKWNSTLADFAESYATKRSADCAAQHSQGPYGENIAMASDELSPADSVKLWMDEKPNYDHASNSCTSGECHHYTQVVWRDTASIGCARATCKTGWMFVTCNYYPPGNYVGERPY >itb10g18700.t1 pep chromosome:ASM357664v1:10:24559043:24561220:-1 gene:itb10g18700 transcript:itb10g18700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEEEEGRVLQSHTTVQEEAIRVQNPSQNAENCGATGGWLRRHGRRWLEISAYSVFVLVGQTMATILGRVYYERGGNSKWMGTLVQVSGFPILIPFLLIKTSPAAADDAASRPNPPSCLLRAATYLLFGTLVTGASLLYSIGMEYLTVSTFTLISASQLGFNALFAFFMNVQKITPLIINSIFLLTISSVLLFFQPPDSRQSQENQSTARKKHFIIGCICTLIGSALFGLTLAVGQFIINKYLNKPTLRDLLNFIITQSLIATCAVVIGLFASGEWRTLGTEMRGFQLGEVWYVMTLVCAALSWEMFTVGVLGLLLKVSGLFANVLIMLSVPVVPAAGVLVLHDQMNGVKVISMVLAVWGFLSFAYDEYVEEKKEKDENENGDGNAPSEVPLVERGNQA >itb15g05340.t1 pep chromosome:ASM357664v1:15:3420508:3429787:1 gene:itb15g05340 transcript:itb15g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCPVKMAHHFLLSFIVFVAAFLLASSQGWVKIVDIESTILWVVVGTKETCGATVIAREGCWSFLKGGFYLEAPLNTSVLHFEDYNGGSVHIDVESMSLQQFTEEQWRAHQDEAIQQVRKRNSIITVSDQHGAQLQGANIKVEQISRDFPFGVAISQAILDNPSYQEWFKKRFTVTVFENEMKWPENEPRPGTVNFATADKMVEFVKKNKIPTRGHNVIWQDPVFTPSWARNLTGQRLRQFATSRLRSVMERYKDTFIHWDVNNEMLHYDFYERSNVDGYIAKIREFQLNGIKLLGMGLEGHFFDGLNPVFSRAVMDKFATVGVPVWLTEVDVNITYGVEKQAQYLERVLREGYAHPGIGGIVIWAAINPYGACWQMCLTDKDFNNTPVGDVVDKLLAEWHTGTLTGKTDSNGSFSFSGFLGNYRVTVEHPSRRFETTVSLSKGVGPQQFQIHMAREAGNSLPALPPLFLYLFLISKQFSSPSICDEMDLSNPAVFVNAELLRMYVGRRVRAVIQVIRSDGGTVTGKSTDDQQIFIKGQPPGPLSTFVEVIGVADSNQSIRAEIWSNFGDNLDTVSYNRICQLANGDYKHLFI >itb11g07380.t3 pep chromosome:ASM357664v1:11:4525217:4529463:-1 gene:itb11g07380 transcript:itb11g07380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAENSAPSTAAATPTRRTFGEPWPEFNEGLAYHDLVRPSDAGLTLIEFYSRKYKNSAPLQGWLQRIQNEQITIDGRVVSNPDASLSPGAQLLYHRLPWKEPNAPYVLEVLFEDDDLIALNKPSGLQVLPGGLFQQRTVLTQLQWRASKMSSLSSSGEPHPVPVHRLGRGTSGILLCAKTKHAKSRLSAYFADGTSIVQEKRYSNVEVMRVRKILKIYRALVSGVINEDELVIEQPIGMVRYPGVAKGLYVASPSGKPALSNVRVLERQVEKNCTLVEVEIQSGRPHQIRIHLSFIGHPLIGDPLYIDGGQPMCFDPDLIDESFAQDGGYRRPENPVPGDCGYNLHAHKLALPHPITDELIAINAPLPSILKASQEC >itb11g07380.t2 pep chromosome:ASM357664v1:11:4524955:4529463:-1 gene:itb11g07380 transcript:itb11g07380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAENSAPSTAAATPTRRTFGEPWPEFNEGLAYHDLVRPSDAGLTLIEFYSRKYKNSAPLQGWLQRIQNEQITIDGRVVSNPDASLSPGAQLLYHRLPWKEPNAPYVLEVLFEDDDLIALNKPSGLQVLPGGLFQQRTVLTQLQWRASKMSSLSSSGEPHPVPVHRLGRGTSGILLCAKTKHAKSRLSAYFADGTSIVQEKRYSNVEVMRVRKILKIYRALVSGVINEDELVIEQPIGMVRYPGVAKGLYVASPSGKPALSNVRVLERQVEKNCTLVEVEIQSGRPHQIRIHLSFIGHPLIGDPLYIDGGQPMCFDPDLIDESFAQDGGYRRPENPVPGDCGYNLHAHKLALPHPITDELIAINAPLPSILKASQEC >itb11g07380.t1 pep chromosome:ASM357664v1:11:4524954:4529457:-1 gene:itb11g07380 transcript:itb11g07380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAENSAPSTAAATPTRRTFGEPWPEFNEGLAYHDLVRPSDAGLTLIEFYSRKYKNSAPLQGWLQRIQNEQITIDGRVVSNPDASLSPGAQLLYHRLPWKEPNAPYVLEVLFEDDDLIALNKPSGLQVLPGGLFQQRTVLTQLQWRASKMSSLSSSGEPHPVPVHRLGRGTSGILLCAKTKHAKSRLSAYFADGTSIVQEKRYSNVEVMRVRKILKIYRALVSGVINEDELVIEQPIGMVRYPGVAKGLYVASPSGKPALSNVRVLERQVEKNCTLVEQVEIQSGRPHQIRIHLSFIGHPLIGDPLYIDGGQPMCFDPDLIDESFAQDGGYRRPENPVPGDCGYNLHAHKLALPHPITDELIAINAPLPSILKASQEC >itb11g07380.t4 pep chromosome:ASM357664v1:11:4525134:4529536:-1 gene:itb11g07380 transcript:itb11g07380.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAENSAPSTAAATPTRRTFGEPWPEFNEGLAYHDLVRPSDAGLTLIEFYSRKYKNSAPLQGWLQRIQNEQITIDGRVVSNPDASLSPGAQLLYHRLPWKEPNAPYVLEVLFEDDDLIALNKPSGLQVLPGGLFQQRTVLTQLQWRASKMSSLSSSGEPHPVPVHRLGRGTSGILLCAKTKHAKSRLSAYFADGTSIVQEKRYSNVEVMRVRKILKIYRALVSGVINEDELVIEQPIGMVRYPGVAKGLYVASPSGKPALSNVRVLERQVEKNCTLVEQVEIQSGRPHQIRIHLSFIGHPLIGDPLYIDGGQPMCFDPDLIDESFAQDGGYRRPENPVPGDCGYNLHAHKLALPHPITDELIAINAPLPSILKASQEC >itb15g14180.t1 pep chromosome:ASM357664v1:15:12416116:12416595:1 gene:itb15g14180 transcript:itb15g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKQGRKGGGNKRWTRGTVAPFFSQSLPLSSFPLQKRTIRLSLYLSLSLSSVQAFLYSIRSRHSHHLFRRCRSQQSKEHLNLQYPSLNLQ >itb11g05590.t1 pep chromosome:ASM357664v1:11:3305054:3307355:-1 gene:itb11g05590 transcript:itb11g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTISRVLCHLTGVKDLLLFFSTIFIFYLLYLLRHLPTPTAITAVTTTTATAPVSLSQILFSVAGSSPSFPLRTPYLNLWYNPNSTNAVVFLDRPNTRTPNRSLPAPPVFISSDTSRFPYTFPAGKRSAIRVARVVKDTLDLELKSNFDFSDIRWFVFGDDDTVFFVENVARILSKYDDRKWYYIGAHSESYEQNEKYSFDMAFGGGGFAISAPLARVLARILDSCLFRYPNLYGSDARIFACLAELGVSLTHELGFHQVDVRGDIFGMLSAHPLASAASLHHLDTVDPIFPGMSRIQAVEHLFEAVRADSARILQQSVCYDHSNVFTVSVAWGYAVQVFQGNRLLPDLLQLHRTFRPWKRGRKAFLSHYMFNTKDFPSDPCEMPAIFYLNSVLSLTNGSRTTYTRQNVWNCERNTAIKELVNVKVFSGRLDFDVGQLKAPRRHCCDISSPVNETLVIGIRECGEDELIRMQT >itb15g11470.t1 pep chromosome:ASM357664v1:15:9265590:9270521:-1 gene:itb15g11470 transcript:itb15g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSLFSITFAIFLLMQSLMPTLALSSMTNITTDQFSLLSLKAHITSDPLKTLATNWSTRTSPCDWIGITCGSPHLRVTRINLSGLQLVGEVPEKLADMSFLVSINFQGNSFSGNLPGWFNRLPKLKYLDLQNNTFTGSLPSLLGNISTLEVLSLGGNFLHGVIPEEIGRLRRLKVFGVEDNRLTGTIPSAIFNIPTLQVIAFTANDLSGNLPPNMCDSFTSLVSLSLAQNKLYGEIPKGLSRCVHLQNLSLSYNNFQGQIPSGIGNLSKLENLALAGNLLRGAIPEEIGNLISLKTLRLEQNEQITGSIPLGIFNMSSLKYLNLGANRLVGTLPTNICHHLPGIEKVLLDYNLLHGSIPIMLDKCFALRTLNLGNNRFTGTITPAIFNISTLVGLSLAFNSIHGSLPSSMCYGVPNIQVIYLSENSFSGVLPESISNCSMLNRLDLGANEFSGPIPNSLGKLGYLTDLVLPLNNFRNEPSSSSELSLINSLANCKYLEVLDLSANPLQVVLPASIGNLSTSLQYLSIQSGELKGGIPEEIGNLSNLGVLLLSFNSLTGHVPKSLGNLHNIQRLSISKNNIIGEISSGFCQLHNLVELYMAMNQISGPLLDCFGNLTSLTRLSLGYNRLTFGLPASLWNLTHLLQLSLNSNFLNGSISNEVGSLSELVTLDLSYNQFSGGIPHTIGALQNLLNFSLSYNALQGTIPDSVGDILSLEILDLSYNNLTGSIPKSLEKLRNLKRFNVSFNDLSGEIPSGGCFANFTYQEFVSNGPLCGLPRFHVPPCKANQVHNSSKKRLLLVATVPSVVVITISVIVVVYLLDSLPNGVAQVVDTNLLGQQDENSSAVLECVSTLLELALNCAAESPDARPNFKDIVVTLKKIQGQYQKVFL >itb08g12590.t1 pep chromosome:ASM357664v1:8:12794245:12794556:1 gene:itb08g12590 transcript:itb08g12590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMWAITHDPQIWNDPWAFRPERFMEEEVSIMGSDLRLAPFGSGRRVCPGRALGLSTVHLWLARFLQHFKWLPGPSVDLSECLKLSLEMKKPLAIRAFRRQL >itb03g17630.t1 pep chromosome:ASM357664v1:3:16170158:16170911:-1 gene:itb03g17630 transcript:itb03g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKLLPSLFKNREILQSSWQWPSCSQAKTLSFRAPSGKKTAIFKTVNSVFSDGADESPESWFTNTPESTMTDHSEDSHVETIIAGVRSSERLFFEPGEQIMADQIKEEDGESPFKESVILAMESDDPYRDFKKSMQEMVESYSGVKDWEWLQELLGWYLKMNGNGNHGFIVGAFVDLLIELSAPKTSEDSLSTSYSSAVSSLSSPTSPWSPLGHNEIVEEQG >itb01g09380.t1 pep chromosome:ASM357664v1:1:7605848:7609041:-1 gene:itb01g09380 transcript:itb01g09380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFCFYALFAFLCKASFSLPGNVSDHTALLSFKANIIGDSLQSWNESTHFCNWVGISCGRKHQRVVAIHLNSSNLQGSLSPAIGNLSFLRDLWLDNNTLAGEIPSEGIFKNKTEVELGGNSNLCGACSMELPRDRMSIGNAVKELHSIKDTLAELGDIRTIPR >itb15g04610.t1 pep chromosome:ASM357664v1:15:2943838:2949093:-1 gene:itb15g04610 transcript:itb15g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEFFLLIFSIFLDFPIVTSNAGAGADDHRDFTYFKSTPAGFTKPQAAAAAENIKNQSLSLKLSGSLPAGSSCGVWTRKCSDELLRVAGERENVEWITAVRRKIHRNPELAFEEFETSRLIRDELDKMGVPYEFPLAVTGIRATVGTGGPPFVALRADMDALPIQEAVEWEHKSRVAGKMHACGHDAHVAMLLGAAKILKIRENYLKGTVVLIFQPAEEAGNGAKRMIKDGALDKVEAIFAVHVSHQHPTGVIGSRPGALLAGCGFFRAVITGGKKGLASVDPVVAASAAVISLQGIVSREANPLDAQVVSVTSVDTGDSLHTIPETVVLCGTFRAFSNSNFYQLLKRIREVFTEQARVFRCSATVEFFEDKDTIYPPTVNEERMYEHVKKVAVDLVGPTNFRVVPPMMGAEDFSFYSEVIPAAFYYIGIMNETLGSVHTGHSPRFMIDEDVLHVGAATHAAIAERYLYEHGT >itb11g21900.t1 pep chromosome:ASM357664v1:11:23686402:23687120:1 gene:itb11g21900 transcript:itb11g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSFLTTHPPPTAPSPLPPMAENTYRNPQNQPHHFTHGSDHGMKSYKDDDNFTTTPHNNNNGPTTQQILAFVTLLPLGALLLGLSGLTFVGTLIALAIATPLFLLFSPVIVPAALTIALAVAGFLASGAFGITALSSLYWLANYVRRNRGKAREQIEHAKWRLHEYPAGQKIRDLGQKIQESVR >itb07g20740.t1 pep chromosome:ASM357664v1:7:25097990:25102291:-1 gene:itb07g20740 transcript:itb07g20740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGQSRAKDGLQIDRGAVWAPVRSVRGKEESIRRLGLWVTEGYPERLGVSDCAYYMRTGFCGFGSKCRFNHPRGFGSVGLPQMRIWVYPERPGEPTCQYYLRTGLCKFGASCRFHHPRNAGGSLSNAPLNIYGFPLRPEEKECSYYLKTGHCKFGITCTFHHPQPAAISGQATAHPFYLTVQSLPGPSPEQYSGASSSFRVARHPFLPDSYTPSAYGPVLLHSGVVPVPNWSQSGHVIPALSSTPAFAGPYSPLHTTTGLSSCAQREKCFFPERPGQPECEYYKKTGNCKFGSSCKFHHPPDWVPPNSNCSFSPLGLPLRPGVQACCFYLRKGFCKFGGNCKFDHPMETVNYSPSVSSSLTDAPYMLQPGFADTSFSRSRLPLPSSASVGFKLSHTAPPTSRPNMRSHC >itb07g20740.t2 pep chromosome:ASM357664v1:7:25097990:25102141:-1 gene:itb07g20740 transcript:itb07g20740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGQSRAKDGLQIDRGAVWAPVRSVRGKEESIRRLGLWVTEGYPERLGVSDCAYYMRTGFCGFGSKCRFNHPRGFGSVGLPQMRIWVYPERPGEPTCQYYLRTGLCKFGASCRFHHPRNAGGSLSNAPLNIYGFPLRPEEKECSYYLKTGHCKFGITCTFHHPQPAAISGQATAHPFYLTVQSLPGPSPEQYSGASSSFRVARHPFLPDSYTPSAYGPVLLHSGVVPVPNWSQSGHVIPALSSTPAFAGPYSPLHTTTGLSSCAQREKCFFPERPGQPECEYYKKTGNCKFGSSCKFHHPPDWVPPNSNCSFSPLGLPLRPGVQACCFYLRKGFCKFGGNCKFDHPMETVNYSPSVSSSLTDAPYMLQPGFADTSFSRSRLPLPSSASVGFKLSHTAPPTSRPNMRSHC >itb02g12420.t1 pep chromosome:ASM357664v1:2:8485298:8490453:1 gene:itb02g12420 transcript:itb02g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPEEAAKADYKPNHEKKVRDRRPNPYAESPAPIRVLKDFIPKARISDKYVLGRELGRGEFGVTYLCTDRETREAMACKSISKKKLRTAVDIEDVRREVAIMSSLPEHANIVKLRATYEDNEAVHLVMELCEGGELFDRIVARGHYSERAAAGVAKTVAEVVRMCHANGVMHRDLKPENFLFANKKENSALKVIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALAILRGVLDFKREPWPQVSESAKSLVKQMLDPDPKKRLTAQQVLDHPWIQNAKKASNVPLGDIVRTRLKQFSVMNKFKKKALRVIAEHLSVGEVEVIRDMFTLMDTDGNGQVTYDELKAGLRKVGSQLAEPEIKLLMDVADVDGNGHLDYGEFVAVIIHLQRMENDEHIRRAFMFFDKDGSGYIDIDELREALADESGDCDINVVNEIMREVDTDKDGQISFEEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSLQLQDGLTGQTFLV >itb04g29120.t1 pep chromosome:ASM357664v1:4:32610123:32612154:1 gene:itb04g29120 transcript:itb04g29120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISLPHFAPPTFLYFLLFSSSLAIDITTLLSSYPNLTDFTSLLSTTNVAADLSSRTSLTLLAVPNAFLPSPHHPPSPTNIADVLRYHVLLEYLSWSDLRRVPPAGKLVTTLFQTTGRASSNFGSVNITRDPVSGAVTVHSPVSNATVISLVRNVPYNVSVFSVNSLLVPYGIDLMASETRPPLGLNITKTLVDDHGFNVAASMLAASGVEEEFEKDEGGAGITLFVPTDEAFSDLPSSARFQSLPADKKAVVLRFHVLHSYYPLGSLESIVNPLQPTLATEQNGAGSFTLNISRINGSVTIDTGIVRALVTQTAFDQNPVAIFGISQVLLPKEFFGKNPIKGDKPGNGGIPSGVAQPPDISLSPENSPGLYGPSSHLSSPPGLRQDLSSVGTKNIVARIFLSVCCIGFHFLVCHLQLFSPLNL >itb14g19630.t1 pep chromosome:ASM357664v1:14:22224354:22227369:1 gene:itb14g19630 transcript:itb14g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPSFLDLNSWSLSNLKNICNWSGIVCNGDGSVSEINLGDEVYFIIGTLHHLNFTSFISSLKRFDIGENDFYGSIPLAIGNLFNLVHLNLSYNKFTGAIPHQIGNLKKVRFLDLGFNLFEAHHDWSKFKSFPVLRHLSFSAVTLRSFPDFILDCRNLTFLDLSETVLNGSIPNSLFTNLEKLEHLDLSSNDFSGSLSPYIGNLSNLNYLQLSENSFEGGIPSPIGQLKHLQFLDISANLLNSSIPFEIGCCTSLTYLSLSYNSLYGVWPSSMSSLTKLSELDLSNNFLFGQAEKIKDKKKKKLALHVNACFSPHA >itb14g19770.t1 pep chromosome:ASM357664v1:14:22343710:22346097:-1 gene:itb14g19770 transcript:itb14g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRIYFPAKSFSGFGLPDGKCLPCSGLSGGVVNISPCSSPGKWKKFPKANILHLESTTRSSSFVCSASSSNPRNNPDFSKYSKHGGFRRQNEDRDGYDNLEESEMFSSENGPLLTASNTSKVQGTATPGPREKKIVELFRKVQAQLRERAAVKEGNKVPEPKAKGKGKESETVDSLLKLLRKHAVQKEKKTDSTTDFILDQSDERSTDSIESKSILKRQQQESEAPVGNRPMSNFRRRSPVSRVKFQPSYSEEDTVNPVAPAETDGEPLGINSKLKSLNNTGSKVDELESLTEPIFSDVFDELSEDESTDIHDEDDNDEEHNQVGANKFDEMTVPELRAIAKTRGVKGYSKMKKVELIELLSQT >itb12g15100.t1 pep chromosome:ASM357664v1:12:15516613:15518122:-1 gene:itb12g15100 transcript:itb12g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFDTAEEAARAYDEAAILMSGRNAKTNFPITPQDSHRPADSSSSIRSSLSEILHAKLRKCSKVPSPSLTCLRLDIESSHIGVWQKRAGQPSDSKWVMTVELQKNNRSSSSNGGEGTKKAESVSVRGMDEEERLALQMIDELLHINTPTPTPSFQDNIYIAS >itb13g14500.t1 pep chromosome:ASM357664v1:13:21087901:21096162:-1 gene:itb13g14500 transcript:itb13g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLRNHRRPPSTAILIARHHHHASLSRSSRERGKPPEEALFTPNEREPEPEAQNDGRKRNNSNKRKMSHDEVIEICIESMLNVAKLISNTIHFNLSQTVATLALATFRPKPLLPSSTPPLALAVGQQPPEASSCEMNVEKLQRMAGSVRTGGKGTMRRKKKAVHKATTTDDKRLQSTLKRIGVNAIPAIEEVNIFKEDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPEIIHQLGPDNLENLKKLAEQFQKQMPGTADAATGANAPQEDDDEVPQLVEGETFEAAADEGQK >itb01g22110.t1 pep chromosome:ASM357664v1:1:28070539:28073661:1 gene:itb01g22110 transcript:itb01g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQPERENVTASNAHQDNKSKDIMIIGAVNGEAKHKWGSGTSSSTNSGAANANNNFKLNVQAPEFVPRSVAQMPVSGYFYPYFQYQDWIYVGDQDPTPFYNNQNLSPPQTQQKNSLPDEVKQKIIKQVEYQLSDMSLLANENLAKQMSKDPEGYVPISAVASTKKIKSLLSSNSTQVLAQALQSSTKLIVSSDGKKVKRKQPFTEKDKEELQSRTVVAENLPDDHSHHNIEKIFNVVGSVKTIRICHPQDPNSSRSKGEYFISNKLHALIEFESPEIADKAVEKLNDERNWRKGLRVKLLLRRSPRSVLKGRKSEFEGCLEEDDQAPPLESAPEDSVTSPLHHQSESTETNNVEESSGGGAAKKGWGKGRGKTRLRTQIHGGRGLLASSPQCSSSSSSGGQCESPMKQASKGPRMPDGTRGFTMGRGKPLNTTAAPPSSVPCI >itb15g08830.t3 pep chromosome:ASM357664v1:15:6247771:6249452:-1 gene:itb15g08830 transcript:itb15g08830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRARLANVPMPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSGKGSSSGGGGSGNNNKSPSNSSERQASSSCSGVSTSSIMSGNSGGGLLGGPQIPPLRFMSPLGQLSEHYPTGNDSIGLNINYSGLSAMAGRDNFPFQFGNNNNNNNNNNNNNNLLGGGGGGGIASLLSGGIEQWRLQTPILGGLDLSQPGLYQFPGGSEAAPSGFLGGETSETRPKFTSSSMLTTQMASVKMEDHNNNQESSMARQLLGFQPGNDQWGGAAAAAWSDVSASFSSSSTTNRL >itb15g08830.t2 pep chromosome:ASM357664v1:15:6247771:6251302:-1 gene:itb15g08830 transcript:itb15g08830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPAYLDPANWQQLNHQVGTTTQVPSVPPAAAAAPPPPHGSAGTIRPGSMADRARLANVPMPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSGKGSSSGGGGSGNNNKSPSNSSERQASSSCSGVSTSSIMSGNSGGGLLGGPQIPPLRFMSPLGQLSEHYPTGNDSIGLNINYSGLSAMAGRDNFPFQFGNNNNNNNNNNNNNNLLGGGGGGGIASLLSGGIEQWRLQTPILGGLDLSQPGLYQFPGGSEAAPSGFLGGETSETRPKFTSSSMLTTQMASVKMEDHNNNQESSMARQLLGFQPGNDQWGGAAAAAWSDVSASFSSSSTTNRL >itb15g08830.t1 pep chromosome:ASM357664v1:15:6247771:6251302:-1 gene:itb15g08830 transcript:itb15g08830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPAYLDPANWQQLNHQVGTTTQVPSVPPAAAAAPPPPHGSAGTIRPGSMADRARLANVPMPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSGKGSSSGGGGSGNNNKSPSNSSERQASSSCSGVSTSSIMSGNSGGGLLGGPQIPPLRFMSPLGQLSEHYPTGNDSIGLNINYSGLSAMAGRDNFPFQFGNNNNNNNNNNNNNNLLGGGGGGGIASLLSGGIEQWRLQTPILGGLDLSQPGLYQFPGGSEAAPSGFLGGETSETRPKFTSSSMLTTQMASVKMEDHNNNQESSMARQLLGFQPGNDQWGGAAAAAWSDVSASFSSSSTTNRL >itb15g08830.t5 pep chromosome:ASM357664v1:15:6247771:6249452:-1 gene:itb15g08830 transcript:itb15g08830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MADRARLANVPMPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSGKGSSSGGGGSGNNNKSPSNSSERQASSSCSGVSTSSIMSGNSGGGLLGGPQIPPLRFMSPLGQLSEHYPTGNDSIGLNINYSGLSAMAGRDNFPFQFGNNNNNNNNNNNNNNLLGGGGGGGIASLLSGGIEQWRLQTPILGGLDLSQPGLYQFPGGSEAAPSGFLGGETSETRPKFTSSSMLTTQMASVKMEDHNNNQESSMARQLLGFQPGNDQWGGAAAAAWSDVSASFSSSSTTNRL >itb15g08830.t4 pep chromosome:ASM357664v1:15:6247771:6251300:-1 gene:itb15g08830 transcript:itb15g08830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPAYLDPANWQQQLNHQVGTTTQVPSVPPAAAAAPPPPHGSAGTIRPGSMADRARLANVPMPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSGKGSSSGGGGSGNNNKSPSNSSERQASSSCSGVSTSSIMSGNSGGGLLGGPQIPPLRFMSPLGQLSEHYPTGNDSIGLNINYSGLSAMAGRDNFPFQFGNNNNNNNNNNNNNNLLGGGGGGGIASLLSGGIEQWRLQTPILGGLDLSQPGLYQFPGGSEAAPSGFLGGETSETRPKFTSSSMLTTQMASVKMEDHNNNQESSMARQLLGFQPGNDQWGGAAAAAWSDVSASFSSSSTTNRL >itb15g06280.t1 pep chromosome:ASM357664v1:15:4112790:4113356:1 gene:itb15g06280 transcript:itb15g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAASMVVMMSMVVAAAMMGGLHAQSMTCSTVATDLYPCLNYVKNGGAVPMSCCDGIKSLYRGAVTTADRQTVCKCLKNVTGSITGLKLDLAAGLPQKCGVSIPYNISPSINCATYVLLTTLYLPKTLWSSGAPSTLTGRSLMGCWLFVYGPRVMTLCVMGLEFMQHPGPLQRPGPLLCQGTKIAPD >itb09g03000.t1 pep chromosome:ASM357664v1:9:1701812:1702748:1 gene:itb09g03000 transcript:itb09g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSAKKKQTQGRRKIEIKKIDNVSHRHVAFSKRRIGLFNKASELCILCGTQVVAIVESYGGKRVFTFGHPSADAVIERYLSNAPPRPASEDDAPEENAVAQRYHRAWQELAGEKEKAAERDDGFGGGGDHWWDLPIEDMGAIELEEFTAALEELKKKAVLRADELEAAAAIIAHNNYPNNINNNNLSSSEVLTMLMNNNDGHSYDTIDECFNWFAAS >itb09g17220.t1 pep chromosome:ASM357664v1:9:12463957:12464577:-1 gene:itb09g17220 transcript:itb09g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKINELTVLCDAVVAMIMYSSFEQGPVIWPPTVERFEELIVRFLNLPDEQQTRRMMDHETLNRGRIEKLSTQLLNLKNNNRKREMNALMHQILTGEQTIDSLNLTDLNDIGWVLNTNLADVGLRFRELVRDSSPTLAPFLSAAASPSVLGPGTGNVVASADVISGQSYQGMVGVQTPMSPNLNPGMASNYQTQGGPGPSTSQNP >itb13g01930.t1 pep chromosome:ASM357664v1:13:1760264:1761030:-1 gene:itb13g01930 transcript:itb13g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRSFAAALLFVMLFMATELGTNRIMVAEARMCESASSKFKGPCSRDSNCATVCHTEGFTGGDCKGFRRRCFCTKPC >itb12g06490.t1 pep chromosome:ASM357664v1:12:4818713:4819478:-1 gene:itb12g06490 transcript:itb12g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSTPPSGGLPMRKSGRRSGSRRRSCELHHHKTQRPLSGTGDSVSDKLEALKQLIPSHDDEEIKGDQLFQETADYIVLLRTQVLVLQKLIDFYGSSNNSGTQNPLS >itb07g04810.t1 pep chromosome:ASM357664v1:7:3255052:3257950:1 gene:itb07g04810 transcript:itb07g04810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRKKLLVGWVRYVERDLNEIVISLSLVNGWVYDDGVVSSDDDEYSGGEAEEQDSAVRGEDRELKSRLLQKYGGHISSLKLEFSKKKKKGKLPKDARQILLEWWKGHYRWPYPTEDDKISLAELTGLDQKQINNWFINQRKRHWKPSEHMQLAVMDNLAGQFFTQD >itb01g06280.t1 pep chromosome:ASM357664v1:1:4468314:4472810:-1 gene:itb01g06280 transcript:itb01g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPPNHDGATPPPATAEGSSRSGSADQPPLSRYESQKRRDWNTFGQYLKNQRPPVSLSHCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPSPCTCPLRQAWGSLDALIGRLRAAYDENGGSPENNPFGNGAIRVYLREVKECQAKARGIPYKKKKKRKLNPVRGINDQNTTLKQSTTNMVK >itb05g27010.t2 pep chromosome:ASM357664v1:5:30607831:30617147:-1 gene:itb05g27010 transcript:itb05g27010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MAYSDDEAEAEINSVSNYDFVDDNDEPISFSELSVQWKEYELLNGKKKHIFLRGDADNGNMKVYEEVSAWKFDLSSIKPDIWVLHKKNSWILLLKPRKAFEDTIIRTILVSLHCLHFFRKTPDSSVKAFWDQMHKVFSFEPRPSENDLVDHMDLISEVVKRDDILAKSKVLLTLLKERPKKRIILDEVNDKTLSGFIVDDLGDETFEDGSDDDEDDQFESVCAICDNGGEIICCEGKCLRSFHATKEAGRESDCESLGYTNEQVDAIQKFYCKNCEYQKHQCYACGELGSSDLSSGAEVFRCINASCGRYYHPHCVAKLLHREDQLNVDNHQKKIAAGEPFACPMHQCFVCNQIEDKNNKELQFAICRRCPRSYHRKCLPSGIAFEDKEDQGIVQRAWDDLIPNRVLIYCLVHEIEEDLMTPSREHLKFPGINQEKMKKNLEVLEKKKIAREDSSAVSETGVVNQKHIVSKQSKGLQKLSTGVVGVSSKKREGRLPPPNLPKKQKVMDGPRKSLENKASNANQDRPTAAEGKVSLGQKLFQLNQLMCDDAEPIESDEEAKVSLANGTGSSLVLDDDSKKRILDIVKDASSITLESMLKKHKVPTENAYSSKFGLDKSITLGKVDGSIKAIRTALQRLEEGGSVDDAKVVCDPAQLTQIMKWKNKLKVYLAPFLYGLRYTSFGRHFTQVDKLKEIVNMLHWYVGDGDTVVDFCCGSNDFSCLMKKKLDEMGKNCSFKNFDILQATDDFCFEKRDWLTVQSKELPPGSKLIMGLNPPFGVNAALANKFINKALEFKPKLLILIVPRETQRLDDKKNPYDLIWENDELLCGKAFYLPGSVDVNDKTLDDWNVSTPPLYLWSRPDWTPKHKKIAKVAGHLSKARENQDENQCEKAAPGDDLGSRDCQVESSRRGEDRCFPDNKPELAESQTDINGCLEEQSRSNSNAVEVQNDHDSGVKQSDENSKQQGIQCSKEQRELTDITVENKSTEKRSLLSQPSPGRSRNRNRNRKRKGKSTGKRDLPRDLSPGKVSDRSIQAEDKLYGKTFASRDPSPGKASSKQVEAKDKYTGKRKRSSPLKGRTSRPSHTQSGREDYRQSNSQRMEGKKSMPTQPYSPKKGHDRPLPPSKRHEMPSQTQAGRGDDYRHFTGGNSGSGTQGRIPPIAFHDDDEVVVRKYLPGPGPEPYSNNLSNRWQHISSPGGAEYGMRGSDEHFMGHESTDVSHYGFNRMDEYGGRQSQSDIQRQIQLYGQTQQAQDYMRQRSPNMVGPNQGGLPFYGQHPLDNDPTYGGMNAAAAAAAAAAVRWHPAPQLHEVNHPMMSNPGFDPHLAARSGLYGSVNPPPPPHHVNAMSFAQGPYRPFSQNNSGWLND >itb05g27010.t1 pep chromosome:ASM357664v1:5:30607793:30617147:-1 gene:itb05g27010 transcript:itb05g27010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MAYSDDEAEAEINSVSNYDFVDDNDEPISFSELSVQWKEYELLNGKKKHIFLRGDADNGNMKVYEEVSAWKFDLSSIKPDIWVLHKKNSWILLLKPRKAFEDTIIRTILVSLHCLHFFRKTPDSSVKAFWDQMHKVFSFEPRPSENDLVDHMDLISEVVKRDDILAKSKVLLTLLKERPKKRIILDEVNDKTLSGFIVDDLGDETFEDGSDDDEDDQFESVCAICDNGGEIICCEGKCLRSFHATKEAGRESDCESLGYTNEQVDAIQKFYCKNCEYQKHQCYACGELGSSDLSSGAEVFRCINASCGRYYHPHCVAKLLHREDQLNVDNHQKKIAAGEPFACPMHQCFVCNQIEDKNNKELQFAICRRCPRSYHRKCLPSGIAFEDKEDQGIVQRAWDDLIPNRVLIYCLVHEIEEDLMTPSREHLKFPGINQEKMKKNLEVLEKKKIAREDSSAVSETGVVNQKHIVSKQSKGLQKLSTGVVGVSSKKREGRLPPPNLPKKQKVMDGPRKSLENKASNANQDRPTAAEGKVSLGQKLFQLNQLMCDDAEPIESDEEAKVSLANGTGSSLVLDDDSKKRILDIVKDASSITLESMLKKHKVPTENAYSSKFGLDKSITLGKVDGSIKAIRTALQRLEEGGSVDDAKVVCDPAQLTQIMKWKIVNMLHWYVGDGDTDDFCFEKRDWLTVQSKELPPGSKLIMGLNPPFGVNAALANKFINKALEFKPKLLILIVPRETQRLDDKKNPYDLIWENDELLCGKAFYLPGSVDVNDKTLDDWNVSTPPLYLWSRPDWTPKHKKIAKVAGHLSKARENQDENQCEKAAPGDDLGSRDCQVESSRRGEDRCFPDNKPELAESQTDINGCLEEQSRSNSNAVEVQNDHDSGVKQSDENSKQQGIQCSKEQRELTDITVENKSTEKRSLLSQPSPGRSRNRNRNRKRKGKSTGKRDLPRDLSPGKVSDRSIQAEDKLYGKTFASRDPSPGKASSKQVEAKDKYTGKRKRSSPLKGRTSRPSHTQSGREDYRQSNSQRMEGKKSMPTQPYSPKKGHDRPLPPSKRHEMPSQTQAGRGDDYRHFTGGNSGSGTQGRIPPIAFHDDDEVVVRKYLPGPGPEPYSNNLSNRWQHISSPGGAEYGMRGSDEHFMGHESTDVSHYGFNRMDEYGGRQSQSDIQRQIQLYGQTQQAQDYMRQRSPNMVGPNQGGLPFYGQHPLDNDPTYGGMNAAAAAAAAAAVRWHPAPQLHEVNHPMMSNPGFDPHLAARSGLYGSVNPPPPPHHVNAMSFAQGPYRPFSQNNSGWLND >itb06g03340.t1 pep chromosome:ASM357664v1:6:5639504:5640727:1 gene:itb06g03340 transcript:itb06g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTRAKEKLKDFFREVRWEVGDLDERLGERMVRVNLREGVPDKAVWKPKADGQFSYAAAKEFERRVESNWVCYKHWSRRVWMKHVPWKMAFMAWRVFKRKVPVDDVLTKFGYQLASRCDCCTIPSTCTLQHVFCTGEVAREVWGYFGRSLGINVQLRTLEQVCYHWWGRKVKNRLEKFLVERLPVVIIWELWVGFTQYKYGGTKSSASRIKFKIARDMADYITRKWSTWDPFPPNWMAILKRVRNFGTHRVVVKDGWCKPPRGWIKINLAEGTGGNSCGFFIRNARGEFCLAGIYSVQEGQSLNEVRGLMIQDIWAWCRRKRLVKVEFESDEPSLLRERAVIQGGETVWRRCSLNVNCMACCITERCEGQNLVFLCEGGLPDGFLRLLALEGIPHFAVFPGHDYV >itb04g02580.t1 pep chromosome:ASM357664v1:4:1555976:1563972:-1 gene:itb04g02580 transcript:itb04g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVEFGKCKMVRFSPTSVCTCRPSYPFPKAAALKRPVYPKFSLKLVFDGPKYALRASRAIFARRQRLRLIAVAARAEGDLLGAAGTPEEVNPASDSLAREKSSQLTKRVVFGLAIGFSAGGVVLAGGWVFTLALAAAVFVGAREYFELVRSRGIAAGMTPPPRYVSRVCSVICALMPILTLYLGQIDVSVTFAAFVVATTLLLQRRIPRFSQLTSAMFGLFYCGYLPCFWVKLRCSLAVPALHTRIAQAWPVLLGGQTQWTVGLVASLISISSIIAADTYAFFGGKVFGRTPLTDISPKKTWEGALAGIGGCIATTAVLSKLLSWPQSMLSAVAFGVLIFFGSLFGDLTESMIKRDAGVKDSGSLIPGHGGVLDRVDSYIFTGALAYSFVKMFLPLYGV >itb04g02580.t2 pep chromosome:ASM357664v1:4:1556794:1563972:-1 gene:itb04g02580 transcript:itb04g02580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVEFGKCKMVRFSPTSVCTCRPSYPFPKAAALKRPVYPKFSLKLVFDGPKYALRASRAIFARRQRLRLIAVAARAEGDLLGAAGTPEEVNPASDSLAREKSSQLTKRVVFGLAIGFSAGGVVLAGGWVFTLALAAAVFVGAREYFELVRSRGIAAGMTPPPRYVSRVCSVICALMPILTLYLGQIDVSVTFAAFVVATTLLLQRRIPRFSQLTSAMFGLFYCGYLPCFWVKLRCSLAVPALHTRIAQAWPVLLGGQTQWTVGLVASLISISSIIAADTYAFFGGKVFGRTPLTDISPKKTWEGALAGIGGCIATTAVLSKLLSWPQSMLSAVAFGVLIFFGSLFGDLTESMIKRDAGVKDSGSLIPGHGGVLDRVDSYIFTGALAYSFVKMFLPLYGV >itb01g27970.t1 pep chromosome:ASM357664v1:1:32522319:32529444:-1 gene:itb01g27970 transcript:itb01g27970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTLKLEVPQGSNAIVVKNLYLSCDPYMRIRMSKPHDSYFQSLTPGSPIVGYGVAKVVDSDNPNFKKGDLVWGMTGWEGYTIITSIELSLGLFKIEHTDVPLSYYTGLLGMPGMTAYVGFYDVCSPKKGDTVYVSAASGAVGQLVGQLAKLFGCYVVGSAGSNEKVDLLKNKFGFDEAFNYKEEQDLDATLKRYDNIANNSQTCLVLFRYFPNGIDIYFENVGGKMLDAVLPNMNLQGRIAVCGMISQYNLEKLEAFNYKEEQDLDATLKRYFPNGIDIYFENVGGKMLDAVLPNMNLQGRIAVCGMISQYNLEKLEGIHNLFCLIWKRIRMEGFIVIDSYHLYPKYLEMILPCIKEGKVTYVEDVADGLESAPAALVGLFSGQNVGKQLVAISHE >itb04g32900.t1 pep chromosome:ASM357664v1:4:35294719:35297835:1 gene:itb04g32900 transcript:itb04g32900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA lyase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G26800) UniProtKB/Swiss-Prot;Acc:O81027] MDGRFSSNACRPVGDDGMGERWIDGRNCSSSNSCDEDFDEYGRGSYGWKRQFRDRPYNGVSNRRSFSGGWRNVLFNGVPKYVKIVEVGPRDGLQNEKNNVPTSVKVELIRKLVSCGLPVVEVTGFVSPKWVPQLSDAKDVMQAVKNLEGARLPVLTPNLKGFEAAIASGAKEVAVFASASESFSKANINCSIEESLVRYRAVTSAAKKLSIPVRGYISCVVGCPVEGAISPSKVAYLAKELHDMGCFEISLGDTIGVATPGTVIPMLEAVMAVVPVEKLAVHFHDTYGQSLPNILVSLQMGVCTVDSSVSGLGGCPYAKGATGNVATEDVVYMLNGLGVKTNVDLGKLLQAGEFISKHLGRQSSSKAAIALTRVSADASKI >itb01g19740.t2 pep chromosome:ASM357664v1:1:26016927:26018598:-1 gene:itb01g19740 transcript:itb01g19740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKKRKLEDNGVVSDPSFLPRLSLEDARKILESFTRDQLFEIVQNALVRHPDVLGAVRSIADQDTTQRKLFIRGLGWETTTDKLRSLFSAYGELEEAVVILDKATGKSKGYGFITFKHIDGAMLALKEPSKQIDGRMTVTQLAAAGMQGGPGGGSSNNPVDISLRKIYVGNVPYDMPTERLLQHFSMYGEIEEGPLGFDKVTGKSKGYALFVYKTAEAARASVMEPVKNIDGKQLNCKLAIDGKKGKSGPSVPGGTQGPREAFGNDIGLGMQNGIGAQYGGPGGIHSGAGAFSGAMATLGGVSGTGVNLSGPGLPSIGNQAGVSPMVGGASGPYSRSHFSIGGSTGYGGFSASTGGLGGGTNGYGAPGAGSGLPGVGGGLGAATGRGSSSYALPQSSAGMPSGDYPSQGMHYNLPSSGNQNRQNQPAGASPVPRVMPGGMYQGMPSYY >itb01g19740.t1 pep chromosome:ASM357664v1:1:26015435:26018598:-1 gene:itb01g19740 transcript:itb01g19740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKKRKLEDNGVVSDPSFLPRLSLEDARKILESFTRDQLFEIVQNALVRHPDVLGAVRSIADQDTTQRKLFIRGLGWETTTDKLRSLFSAYGELEEAVVILDKATGKSKGYGFITFKHIDGAMLALKEPSKQIDGRMTVTQLAAAGMQGGPGGGSSNNPVDISLRKIYVGNVPYDMPTERLLQHFSMYGEIEEGPLGFDKVTGKSKGYALFVYKTAEAARASVMEPVKNIDGKQLNCKLAIDGKKGKSGPSVPGGTQGPREAFGNDIGLGMQNGIGAQYGGPGGIHSGAGAFSGAMATLGGVSGTGVNLSGPGLPSIGNQAGVSPMVGGASGPYSRSHFSIGGSTGYGGFSASTGGLGGGTNGYGAPGAGSGLPGVGGGLGAATGRGSSSYALPQSSAGMPSGDYPSQGMHYNLPSSGNQNRQNQPAGASPVPRVMPGGMYQGMPSYY >itb15g12460.t1 pep chromosome:ASM357664v1:15:10363126:10366197:1 gene:itb15g12460 transcript:itb15g12460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQIQYNYPLFEFFLPFHCKCIRLTLIVLVVHYRAWTESTQHKFEDTWQEQQPLELVIGKEKKEMTGLAIGISGMRSGERALLHVGWELAYGKDGSFSFPNVAPMANVMYEVELIGFDETKEGKARSDMTVEERIGAADRRKMNGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLLKLNRYDEAIAQCSIVLVEEENNVKALFRRGKARAELGQTDAAREDFLKARKFAPQDKAISQELRLLAEHDKAVYQKQKELYKGLFGKSPEPKPEKKSLLLVIWHWLLSLFYYLFRRKAGKTD >itb13g14080.t1 pep chromosome:ASM357664v1:13:20616992:20619954:-1 gene:itb13g14080 transcript:itb13g14080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPFLGEFCGVTRSRVADTTIAKQSPPSSPVHPPPSAQPSTTAVGPAFTHRRQPSLRPTVSHSGEVAIDEDDRHLTCETPLRYISGDVR >itb05g24180.t2 pep chromosome:ASM357664v1:5:29046267:29049037:-1 gene:itb05g24180 transcript:itb05g24180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNIPPLYELNFGVPMTGAVLSALNTKLDSPTLSKKLALLKPKTIFVDYEYVEVVVESLDLAKISPPPLLILILEDTKLDHHENGAYDSKFHNKIFKNGESGSKIQDKFLENGHSERKRYDKLLKKKGFNFKIYSEFIETGNPDFKTVYPDDECDPIAINFTSGSTGNPKGAVYSHRATYLNTIAQIFRTEMAGKSPVFLWTVDMFRCNGWCLPWTMAALGGTNICSRDSSGQAILDAISRHNVTHLCGQPLILTKIAETIEKKNTNFQLPPNKVDVIVAGLLPQPEILSKLEAKGFKISYAYGMTEALGPITSIPWNSRENSKQKVLEGENSKQKVLEGMHNIMVHGADVKDPVTMKSVPADGETTGEIMFRSNTMMLGYYKNKQGTQEAFEGGWYRTRDIGVKHPSGYIELKDRRADVIKRGTEIISSLEIESVLVRHPVVLEAAVVGKPDEVLGQTVCAFVKFKEGRSAEEGDIIKFCEENLPHHMVPQAVIFGDLPLNSTGKIQKFALREKAKCLCKTFNNGKCNHF >itb05g24180.t1 pep chromosome:ASM357664v1:5:29044868:29049037:-1 gene:itb05g24180 transcript:itb05g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNIPPLYELNFGVPMTGAVLSALNTKLDSPTLSKKLALLKPKTIFVDYEYVEVVVESLDLAKISPPPLLILILEDTKLDHHENGAYDSKFHNKIFKNGESGSKIQDKFLENGHSERKRYDKLLKKKGFNFKIYSEFIETGNPDFKTVYPDDECDPIAINFTSGSTGNPKGAVYSHRATYLNTIAQIFRTEMAGKSPVFLWTVDMFRCNGWCLPWTMAALGGTNICSRDSSGQAILDAISRHNVTHLCGQPLILTKIAETIEKKNTNFQLPPNKVDVIVAGLLPQPEILSKLEAKGFKISYAYGMTEALGPITSIPWNSRENSKQKVLEGENSKQKVLEGMHNIMVHGADVKDPVTMKSVPADGETTGEIMFRSNTMMLGYYKNKQGTQEAFEGGWYRTRDIGVKHPSGYIELKDRRADVIKRGTEIISSLEIESVLVRHPVVLEAAVVGKPDEVLGQTVCAFVKFKEGRSAEEGDIIKFCEENLPHHMVPQAVIFGDLPLNSTGKIQKFALREKAKCLCKTFNNGKCNHF >itb05g24180.t3 pep chromosome:ASM357664v1:5:29046918:29049037:-1 gene:itb05g24180 transcript:itb05g24180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNIPPLYELNFGVPMTGAVLSALNTKLDSPTLSKKLALLKPKTIFVDYEYVEVVVESLDLAKISPPPLLILILEDTKLDHHENGAYDSKFHNKIFKNGESGSKIQDKFLENGHSERKRYDKLLKKKGFNFKIYSEFIETGNPDFKTVYPDDECDPIAINFTSGSTGNPKGAVYSHRATYLNTIAQIFRTEMAGKSPVFLWTVDMFRCNGWCLPWTMAALGGTNICSRDSSGQAILDAISRHNVTHLCGQPLILTKIAETIEKKNTNFQLPPNKVDVIVAGLLPQPEILSKLEAKGFKISYAYGMTEALGPITSIPWNSRENSKQKVLEGENSKQKVLEGMHNIMVHGADVKDPVTMKSVPADGETTGEIMFRSNTMMLGYYKNKQGTQEAFEGGWYRTRDIGVKHPSGYIELKDRRADVIKRGTEIISSLEIESVLVRHPVVLEAAVVGKPDEVLGQTVCAFVKFKEGRSAEEGDIIKFCEENLPHHMVPQAVIFGDLPLNSTGKIQKFALREKAKCLCKTFNNGKCNHF >itb03g12090.t1 pep chromosome:ASM357664v1:3:10957486:10960585:-1 gene:itb03g12090 transcript:itb03g12090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDELRLILSKSKTDVWTLIEAAIEVASVDCAEELKDRRDGIVEKLYSNRCRNCNDVVNGHFAPNGVANYNSVTNIERDISKSPSSPESNHHRHDDNEREEGEDEEEEEADPYGGLFDDEQTKILRIKEQLEDPEQPEESVIELLQNLEDMDITFQALKDTDIGRHVNRLRKNPSNEVRRLVKQLVRKWKETVDEWVRLNQPEQASSNLIADGDSPQQNLHKNQHNGHHQVPDFGYSPNPRNGSSSSDRNNSEPEQKPKSVPRNEAPPRPLQSAPKPASAPPPSRPPRESVMDMEKLNSARRRLQENYQEAQNAKKQRTIQVMDIHEIPKPKNAFFAKNKGGFQGRHHR >itb03g12090.t2 pep chromosome:ASM357664v1:3:10957486:10960562:-1 gene:itb03g12090 transcript:itb03g12090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDELRLILSKSKTDVWTLIEAAIEVASVDCAEELKDRRDGIVEKLYSNRCRNCNDVVNGHFAPNGVANYNSVTNIERDISKSPSSPESNHHRHDDNEREEGEDEEEEEADPYGGLFDDEQTKILRIKEQLEDPEQPEESVIELLQNLEDMDITFQALKDTDIGRHVNRLRKNPSNEVRRLVKQLVRKWKETVDEWVRLNQPEQASSNLIADGDSPQQNLHKNQHNGHHQVPDFGYSPNPRNGSSSSDRNNSEPEQKPKSVPRNEAPPRPLQSAPKPASAPPPSRPPRESVMDMEKLNSARRRLQENYQEAQNGLVLLLWNLVDLLYLSSHLAID >itb11g22650.t1 pep chromosome:ASM357664v1:11:24583404:24584439:1 gene:itb11g22650 transcript:itb11g22650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISGKVISTKPVSLSDAAVIISDFAATETGASDAVSVYIRRAADAFNQLVQFHHDLRYPNSGTKFLAKERVDYALKSSQNREIFVKQEESVKVEQFPEEKLQKKKHKKQGNDKIRDLDVKKEAFVKTEPDSLATEENRKNKKYGAEKVKKEDKVKIEKGEEDGKYQESNYEKVKTEPEVDVVEGDSMKKKDKKNKKRKLSEGNEGEGGETLVVETKKRKSEDIDGSAEQNSKKKKSKKQRVEAK >itb13g10090.t3 pep chromosome:ASM357664v1:13:14648253:14653030:-1 gene:itb13g10090 transcript:itb13g10090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >itb13g10090.t1 pep chromosome:ASM357664v1:13:14648109:14653030:-1 gene:itb13g10090 transcript:itb13g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >itb13g10090.t2 pep chromosome:ASM357664v1:13:14648109:14652977:-1 gene:itb13g10090 transcript:itb13g10090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGMRVDLAKELKVSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >itb13g10090.t5 pep chromosome:ASM357664v1:13:14648109:14653008:-1 gene:itb13g10090 transcript:itb13g10090.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >itb13g10090.t7 pep chromosome:ASM357664v1:13:14648650:14653008:-1 gene:itb13g10090 transcript:itb13g10090.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRYLITTLIYRCARFNHLNLQLMRVAYCDAGT >itb13g10090.t8 pep chromosome:ASM357664v1:13:14648650:14653008:-1 gene:itb13g10090 transcript:itb13g10090.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRYLITTLIYRCARFNHLNLQLMRVAYCDAGT >itb13g10090.t9 pep chromosome:ASM357664v1:13:14648253:14652281:-1 gene:itb13g10090 transcript:itb13g10090.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >itb13g10090.t4 pep chromosome:ASM357664v1:13:14648109:14653008:-1 gene:itb13g10090 transcript:itb13g10090.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >itb13g10090.t6 pep chromosome:ASM357664v1:13:14648650:14653008:-1 gene:itb13g10090 transcript:itb13g10090.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCFQSTVRKQFPGHENPVVLASETAFSVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRGLNVFHPNAPLEDKINFSYRLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFLEADTNQDGKIDKCEWNTFVTKNPSLLKIMTLPYLRYLITTLIYRCARFNHLNLQLMRVAYCDAGT >itb06g11760.t2 pep chromosome:ASM357664v1:6:16319268:16323029:1 gene:itb06g11760 transcript:itb06g11760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALEGSKKVADRYLKRGTLGEGTYGVVFKAIDTKTGQTVAIKKIRLGKEKEGVDFTALREIKLLKELKDPNIIELSDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARLFGSPDRRFTNQVFARWYRAPELLFGAKHYGPGVDVWAAACIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKSSQWPDMVFLPDYVEYQYVPGQPLRTLFPMASDDAIDLLSKMFMYDPKARISAQQALEHRYFSSLPPPTEPALLPRPPPKRDSGNPKVSDFNPQDGPVVLSPRRKSRRVMPTREGFEGNAAQAVRTDVHGNEFRQAAGERSEQAPMSLDFSVFGAGPPSRPTINSADRSHLKRKLDLEFQPED >itb06g11760.t1 pep chromosome:ASM357664v1:6:16319249:16323087:1 gene:itb06g11760 transcript:itb06g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALEGSKKVADRYLKRGTLGEGTYGVVFKAIDTKTGQTVAIKKIRLGKEKEGVDFTALREIKLLKELKDPNIIELSDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARLFGSPDRRFTNQVFARWYRAPELLFGAKHYGPGVDVWAAACIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKSSQWPDMVFLPDYVEYQYVPGQPLRTLFPMASDDAIDLLSKMFMYDPKARISAQQALEHRYFSSLPPPTEPALLPRPPPKRDSGNPKVSDFNPQDGPVVLSPRRKSRRVMPTREGFEGNAAQAVRTDVHGNEFRQAAGERSEQAPMSLDFSVFGAGPPSRPTINSADRSHLKRKLDLEFQPED >itb03g08370.t2 pep chromosome:ASM357664v1:3:6251385:6252875:-1 gene:itb03g08370 transcript:itb03g08370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLSVVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVAGVHMLLESFEPIHGVLLCEGEDLDPSLYEAGATDLSPEEMEEIRRLHASDTAIDKEKDTIELRLAEVCLKRNIPYLGICRGSQVLNVACGGTLYLDVEKEVSKKISLGQKVEHINYDDYDGHRHVVKVVENTPLHHWFKDSLEEDGKMEIWVNSYHHQGVKKLAQRFVPMAFAPDGLIEGFYDPDSYNPEDGKFIMGLQFHPERMRKTDSDQFDYPGCPFAYQQFVKAVIAYQKNLTSSTNTIPKAPKLNQEMEKRRKIILKSFSLARNIYNHGTGMHPSRESDLEAGAEFLEV >itb03g08370.t1 pep chromosome:ASM357664v1:3:6250641:6252875:-1 gene:itb03g08370 transcript:itb03g08370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLSVVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVAGVHMLLESFEPIHGVLLCEGEDLDPSLYEAGATDLSPEEMEEIRRLHASDTAIDKEKDTIELRLAEVCLKRNIPYLGICRGSQVLNVACGGTLYLDVEKEVSKKISLGQKVEHINYDDYDGHRHVVKVVENTPLHHWFKDSLEEDGKMEIWVNSYHHQGVKKLAQRFVPMAFAPDGLIEGFYDPDSYNPEDGKFIMGLQFHPERMRKTDSDQFDYPGCPFAYQQFVKAVIAYQKNLTSSTNTIPKAPKLNQEMEKRRKIILKSFSLARNIYNHGTGMHPSRESDLEAGAEFLESNTALSVQQEKRLKQMGATVRNASWYVERVKLNEEKEGLARKVMGKMTVDQLYDLRSFYHMMGKISSEILENKLRDMVVYEAAAS >itb14g03220.t1 pep chromosome:ASM357664v1:14:2860160:2861753:1 gene:itb14g03220 transcript:itb14g03220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILIGLVILQCTISIYAAHSHSFLYYAEQVVEEEESFVTAFAEEDAADLNSDLFYYYVEQWPGSSCDTKKGCCYPTTGKPATNFSIHGLWPTLLNGTWPEYCNPNTLHDESKISDLIERLQSEWPTISCPSSNGSKFWKHEWEKHGTCSILDQYAYFESTLNIKDRVNFLQVLENAGIKPDGNVYEVGAIKEAIKAGVGVSPVIECNADASKVSQLYQIYICVHPNGKDIIECPTTLKRNCNTTVEFPPF >itb12g00770.t4 pep chromosome:ASM357664v1:12:541595:546343:-1 gene:itb12g00770 transcript:itb12g00770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKMTSRRLNRTAEDENREETQLINRRIRRLSMHLTPATFPDHSVPGLEMLTCAARAKVLTVNSKELSVYLRGKHRDLQEKVYEYFSSRPGLQTPLEILKDEHRELCMRQLSGLVREAGVRPLRYVVEEPSKYFAIVEAVGAVDISLAIKMGVQYSLWGGSILNLGTKKHWDKYFEGIDNLEYTGCFAMTELHHGSNVQGLQTVATYDQLEDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLILPSNDSNGVSDMGVHAFIVPIRDQKTHKTLPGVEIHDCGQKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVSVLKLAVTIATRYSLLRQQFGPPKQPEVSILDYQSHQHKLMPMLASAYAFHFASLHLIEKYSEMKKSHDEDLVADVHALSAGLKAYVTSYTAKSLNTCRESCGGHGYAAVNRFGDLRNDHDIFQTFEGDNTVLLQQVAGFLLKQYREKFKGGTLAVTWNYLRQSMNSYLSQPNPVTARWESEDHLRDPNFQLDAFRYRTSRLLQGVSVRLQKHLKTLGGFGAWNRCLNHLLTLAESHIESFILENFIEAIKRCPNANSRAALKLVCDLYALDRIWNDIGTYRNVDYLAPNKAKVLQARLIPICLSV >itb12g00770.t1 pep chromosome:ASM357664v1:12:541595:546343:-1 gene:itb12g00770 transcript:itb12g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELHHGSNVQGLQTVATYDQLEDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLILPSNDSNGVSDMGVHAFIVPIRDQKTHKTLPGVEIHDCGQKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVSVLKLAVTIATRYSLLRQQFGPPKQPEVSILDYQSHQHKLMPMLASAYAFHFASLHLIEKYSEMKKSHDEDLVADVHALSAGLKAYVTSYTAKSLNTCRESCGGHGYAAVNRFGDLRNDHDIFQTFEGDNTVLLQQVAGFLLKQYREKFKGGTLAVTWNYLRQSMNSYLSQPNPVTARWESEDHLRDPNFQLDAFRYRTSRLLQGVSVRLQKHLKTLGGFGAWNRCLNHLLTLAESHIESFILENFIEAIKRCPNANSRAALKLVCDLYALDRIWNDIGTYRNVDYLAPNKAKAVQKLMEYLCFQVRNIAKELIDGFDIPEYVIRAPIALQDAEEAYSQYAHNAGF >itb12g00770.t3 pep chromosome:ASM357664v1:12:542563:546311:-1 gene:itb12g00770 transcript:itb12g00770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKMTSRRLNRTAEDENREETQLINRRIRRLSMHLTPATFPDHSVPGLEMLTCAARAKVLTVNSKELSVYLRGKHRDLQEKVYEYFSSRPGLQTPLEILKDEHRELCMRQLSGLVREAGVRPLRYVVEEPSKYFAIVEAVGAVDISLAIKMGVQYSLWGGSILNLGTKKHWDKYFEGIDNLEYTGCFAMTELHHGSNVQGLQTVATYDQLEDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLILPSNDSNGVSDMGVHAFIVPIRDQKTHKTLPGVEIHDCGQKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVSVLKLAVTIATRYSLLRQQFGPPKQPEVSILDYQSHQHKLMPMLASAYAFHFASLHLIEKYSEMKKSHDEDLVADVHALSAGLKAYVTSYTAKSLNTCRESCGGHGYAAVNRFGDLRNDHDIFQTFEGDNTVLLQQTLACFIT >itb12g00770.t2 pep chromosome:ASM357664v1:12:541595:546343:-1 gene:itb12g00770 transcript:itb12g00770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKMTSRRLNRTAEDENREETQLINRRIRRLSMHLTPATFPDHSVPGLEMLTCAARAKVLTVNSKELSVYLRGKHRDLQEKVYEYFSSRPGLQTPLEILKDEHRELCMRQLSGLVREAGVRPLRYVVEEPSKYFAIVEAVGAVDISLAIKMGVQYSLWGGSILNLGTKKHWDKYFEGIDNLEYTGCFAMTELHHGSNVQGLQTVATYDQLEDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLILPSNDSNGVSDMGVHAFIVPIRDQKTHKTLPGVEIHDCGQKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVSVLKLAVTIATRYSLLRQQFGPPKQPEVSILDYQSHQHKLMPMLASAYAFHFASLHLIEKYSEMKKSHDEDLVADVHALSAGLKAYVTSYTAKSLNTCRESCGGHGYAAVNRFGDLRNDHDIFQTFEGDNTVLLQQVAGFLLKQYREKFKGGTLAVTWNYLRQSMNSYLSQPNPVTARWESEDHLRDPNFQLDAFRYRTSRLLQGVSVRLQKHLKTLGGFGAWNRCLNHLLTLAESHIESFILENFIEAIKRCPNANSRAALKLVCDLYALDRIWNDIGTYRNVDYLAPNKAKAVQKLMEYLCFQVRNIAKELIDGFDIPEYVIRAPIALQDAEEAYSQYAHNAGF >itb05g05900.t1 pep chromosome:ASM357664v1:5:5829961:5833953:1 gene:itb05g05900 transcript:itb05g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLGAQPGVPIPPTMQQPNPFGNAFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLQGRFSPEALNWLFMKGLVGWFLQVSLLKMTLFSLGSGEAPLLDIVAYAGYTFAGLSVAILGKILWNYSYYFLMPWAGLCMGIFLVKTMKRVLFAEVRTYDSSRHHYLLLFIALSQFPLLFWLGKISISWFF >itb04g24500.t1 pep chromosome:ASM357664v1:4:29283687:29284064:1 gene:itb04g24500 transcript:itb04g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKRKQVAKRYAPKTPAKKVRISGPSSNGVQNIIERNMLNIIKPESSEKKEKRQKIDQEEEESANYQSMGEAVGAGEGASSASMTPFEIHILSRMDAFLLEQREQRNRLVMLCDSVNNLQTSKR >itb15g01080.t2 pep chromosome:ASM357664v1:15:610068:618904:1 gene:itb15g01080 transcript:itb15g01080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLSQIPDDNFHQLPKFAAPGAGVPAYESHSGEKNKAKGDKGFDWDLIDHRMIQSQNRIGTPGYPASIGLQRQSSGSSFGESSISGDYYMPSLSNPDASYGQLNDGGGDLRLGGGGSSSSKSWAQQTEESYQLQLALALRLSSEATCADDPHFLDPVPDEGASRSSASTASAESMSHRFWVNGCLSYSDKVPDGFYSIHGIDPYVWTVCSELQENGRIPSIESLKAADPSILHSVEVILIDKHSDSNLKDLQNRVRSMSNSCITTEEVVEELARLACNHMGGATSSGEDDLVPVWKECRDDLKDCLASVVIPIGSLYAGLCRHRALLFKVLADSIGLPCRIAKGCKYCNRNDASSCLVQFGVDREYLVDLAGRPGCLCEPDSLLNGPSSILISSPLCFPRFRQVETTTDFSSLAKKYFSDSESLHLVFDDSSTGTAIDGDASVPMCAKQMDRNYIDRNGCVPSSSNQDEISRLPLPHTNAQRKAHYKESQHSEIYNPSNAISPLNIGIDTHPLIVQSDPRVDNHLLSHKPGKELALDVEDLDIPWTDLVLKEKIGAGSFGIVHRADWNGSDVAVKILMEQDFHPERLQEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKHGAREMLDERRRLSMAYDVSKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKCKRLEIPRDLNPQVAKIIEACWANEPWKRPSFANIMDMLRPLIKPATTPQQGRTDVQLLT >itb15g01080.t4 pep chromosome:ASM357664v1:15:610068:612837:1 gene:itb15g01080 transcript:itb15g01080.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLSQIPDDNFHQLPKFAAPGAGVPAYESHSGEKNKAKGDKGFDWDLIDHRMIQSQNRIGTPGYPASIGLQRQSSGSSFGESSISGDYYMPSLSNPDASYGQLNDGGGDLRLGGGGSSSSKSWAQQTEESYQLQLALALRLSSEATCADDPHFLDPVPDEGASRSSASTASAESMSHRFWVNGCLSYSDKVPDGFYSIHGIDPYVWTVCSELQENGRIPSIESLKAADPSILHSVEVILIDKHSDSNLKDLQNRVRSMSNSCITTEEVVEELARLACNHMGGATSSGEDDLVPVWKECRDDLKDCLASVVIPIGSLYAGLCRHRALLFKVLADSIGLPCRIAKGCKYCNRNDASSCLVQFGVDREYLVDLAGRPGCLCEPDSLLNGPSSILISSPLCFPRFRQVETTTDFSSLAKKYFSDSESLHLVFDDSSTGDNIYYT >itb15g01080.t3 pep chromosome:ASM357664v1:15:610068:616323:1 gene:itb15g01080 transcript:itb15g01080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLSQIPDDNFHQLPKFAAPGAGVPAYESHSGEKNKAKGDKGFDWDLIDHRMIQSQNRIGTPGYPASIGLQRQSSGSSFGESSISGDYYMPSLSNPDASYGQLNDGGGDLRLGGGGSSSSKSWAQQTEESYQLQLALALRLSSEATCADDPHFLDPVPDEGASRSSASTASAESMSHRFWVNGCLSYSDKVPDGFYSIHGIDPYVWTVCSELQENGRIPSIESLKAADPSILHSVEVILIDKHSDSNLKDLQNRVRSMSNSCITTEEVVEELARLACNHMGGATSSGEDDLVPVWKECRDDLKDCLASVVIPIGSLYAGLCRHRALLFKVLADSIGLPCRIAKGCKYCNRNDASSCLVQFGVDREYLVDLAGRPGCLCEPDSLLNGPSSILISSPLCFPRFRQVETTTDFSSLAKKYFSDSESLHLVFDDSSTGTAIDGDASVPMCAKQMDRNYIDRNGCVPSSSNQDEISRLPLPHTNAQRKAHYKESQHSEIYNPSNAISPLNIGIDTHPLIVQSDPRVDNHLLSHKPGKELALDVEDLDIPWTDLVLKEKIGAGSFGIVHRADWNGSVRPLSFDCHC >itb15g01080.t1 pep chromosome:ASM357664v1:15:610068:619731:1 gene:itb15g01080 transcript:itb15g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLSQIPDDNFHQLPKFAAPGAGVPAYESHSGEKNKAKGDKGFDWDLIDHRMIQSQNRIGTPGYPASIGLQRQSSGSSFGESSISGDYYMPSLSNPDASYGQLNDGGGDLRLGGGGSSSSKSWAQQTEESYQLQLALALRLSSEATCADDPHFLDPVPDEGASRSSASTASAESMSHRFWVNGCLSYSDKVPDGFYSIHGIDPYVWTVCSELQENGRIPSIESLKAADPSILHSVEVILIDKHSDSNLKDLQNRVRSMSNSCITTEEVVEELARLACNHMGGATSSGEDDLVPVWKECRDDLKDCLASVVIPIGSLYAGLCRHRALLFKVLADSIGLPCRIAKGCKYCNRNDASSCLVQFGVDREYLVDLAGRPGCLCEPDSLLNGPSSILISSPLCFPRFRQVETTTDFSSLAKKYFSDSESLHLVFDDSSTGTAIDGDASVPMCAKQMDRNYIDRNGCVPSSSNQDEISRLPLPHTNAQRKAHYKESQHSEIYNPSNAISPLNIGIDTHPLIVQSDPRVDNHLLSHKPGKELALDVEDLDIPWTDLVLKEKIGAGSFGIVHRADWNGSDVAVKILMEQDFHPERLQEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKHGAREMLDERRRLSMAYDVSKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKCKRLEIPRDLNPQVAKIIEACWANEPWKRPSFANIMDMLRPLIKPATTPQQGRTDVQLLT >itb15g01080.t5 pep chromosome:ASM357664v1:15:610068:619726:1 gene:itb15g01080 transcript:itb15g01080.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLSQIPDDNFHQLPKFAAPGAGVPAYESHSGEKNKAKGDKGFDWDLIDHRMIQSQNRIGTPGYPASIGLQRQSSGSSFGESSISGDYYMPSLSNPDASYGQLNDGGGDLRLGGGGSSSSKSWAQQTEESYQLQLALALRLSSEATCADDPHFLDPVPDEGASRSSASTASAESMSHRFWVNGCLSYSDKVPDGFYSIHGIDPYVWTVCSELQENGRIPSIESLKAADPSILHSVEVILIDKHSDSNLKDLQNRVRSMSNSCITTEEVVEELARLACNHMGGATSSGEDDLVPVWKECRDDLKDCLASVVIPIGSLYAGLCRHRALLFKVLADSIGLPCRIAKGCKYCNRNDASSCLVQFGVDREYLVDLAGRPGCLCEPDSLLNGPSSILISSPLCFPRFRQVETTTDFSSLAKKYFSDSESLHLVFDDSSTGTAIDGDASVPMCAKQMDRNYIDRNGCVPSSSNQDEISRLPLPHTNAQRKAHYKESQHSEIYNPSNAISPLNIGIDTHPLIVQSDPRVDNHLLSHKPGKELALDVEDLDIPWTDLVLKEKIGAGSFGIVHRADWNGSDVAVKILMEQDFHPERLQEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKHGAREMLDERRRLSMAYDVSKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKCKRLEIPRDLNPQVAKIIEACWAK >itb15g02430.t2 pep chromosome:ASM357664v1:15:1482090:1485135:1 gene:itb15g02430 transcript:itb15g02430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSTLGNPANVFWHQCAVGKADREKLLNQQGCVVWITGLSGSGKSTLACSLGRELHSRGKLSYVLDGDNVRHGLNKNLGFSPDDRTENIRRVGEVAKLFADAGLICIASLISPYRNDRDACRAMLPDAFVEVFMNMPLEVCEGRDPKGLYKLARAGKIKGFTGIDDPYEAPLKCEVIVVAKSRKMRQHVAINCRHLFLD >itb15g02430.t4 pep chromosome:ASM357664v1:15:1483109:1485135:1 gene:itb15g02430 transcript:itb15g02430.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSTLGNPANVFWHQCAVGKADREKLLNQQGCVVWITGLSGSGKSTLACSLGRELHSRGKLSYVLDGDNVRHGLNKNLGFSPDDRTENIRRVGEVAKLFADAGLICIASLISPYRNDRDACRAMLPDAFVEVFMNMPLEVCEGRDPKGLYKLARAGKIKGFTGIDDPYEAPLKCEVIVVAKSRKMRQHVAINCRHLFLD >itb15g02430.t1 pep chromosome:ASM357664v1:15:1482090:1485135:1 gene:itb15g02430 transcript:itb15g02430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSTLGNPANVFWHQCAVGKADREKLLNQQGCVVWITGLSGSGKSTLACSLGRELHSRGKLSYVLDGDNVRHGLNKNLGFSPDDRTENIRRVGEVAKLFADAGLICIASLISPYRNDRDACRAMLPDAFVEVFMNMPLEVCEGRDPKGLYKLARAGKIKGFTGIDDPYEAPLKCEIELQQKGGACPTPNEMAGQVASYLEGRGFLQTL >itb15g02430.t3 pep chromosome:ASM357664v1:15:1483109:1485135:1 gene:itb15g02430 transcript:itb15g02430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MSTLGNPANVFWHQCAVGKADREKLLNQQGCVVWITGLSGSGKSTLACSLGRELHSRGKLSYVLDGDNVRHGLNKNLGFSPDDRTENIRRVGEVAKLFADAGLICIASLISPYRNDRDACRAMLPDAFVEVFMNMPLEVCEGRDPKGLYKLARAGKIKGFTGIDDPYEAPLKCEIELQQKGGACPTPNEMAGQVASYLEGRGFLQTL >itb12g08840.t1 pep chromosome:ASM357664v1:12:6924130:6927791:1 gene:itb12g08840 transcript:itb12g08840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METALLKSFLSFQRPLLSIPTGYLFFEPPKTAFPITSPRFKLSSNSSLLFHMTSCMNPSRKCNSLGAKSLNYDIDEIEEDEYEIEDEDEEISEVSGGESESVDWEAKFVGEMAKKSKKQPKSRLLHDTEVMDWCVKARKIALKSIETRGLTPVIKNMVSGSKKKKKKSKRKSKIEKVNKLAKVEEGLDFESEEDDHDFDLDDGIDGNLSDNRNDLRRNISMFADGMFEERRAKTMETFIERLSQFTGPSDRRKEINLNKAIIDAQTAEEVLEVTADTIMAVAKGLSPSPLSPLNIATALHRIAKNMEKVSMATTRRLAFARQKEMCMLVAIAMTALPECSAQGISNIAWALSKIGGELLYLSEMDRVAEVALAKVDDFNSQNVANIAGAFASMRHSASELFPGLSRRACAIIHTFQPQEIAQLLWAFASLYEPANPLLDAFDGVFNDANQFQCSLNDKISPYSEERGAESATNEPSRVFSSPVLVFNRDQLGNISWSYAVFGQMSRVFFSHVWNTMSQFEEQHISEQYKEDIMFASQVHLANKCLKLEYPNLHLSLEGDLEEKISHVGRTKRFNQRVISSFQEEVARLLVSTGLDWVREYDIDGYTLDAVVVDKKVALEIDGPTHFSRNSGSPLGHTMLKRRYITAAGWKILSVSHQEWENLQGGFEQLDYLRGILKDHI >itb12g08840.t2 pep chromosome:ASM357664v1:12:6924179:6927007:1 gene:itb12g08840 transcript:itb12g08840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METALLKSFLSFQRPLLSIPTGYLFFEPPKTAFPITSPRFKLSSNSSLLFHMTSCMNPSRKCNSLGAKSLNYDIDEIEEDEYEIEDEDEEISEVSGGESESVDWEAKFVGEMAKKSKKQPKSRLLHDTEVMDWCVKARKIALKSIETRGLTPVIKNMVSGSKKKKKKSKRKSKIEKVNKLAKVEEGLDFESEEDDHDFDLDDGIDGNLSDNRNDLRRNISMFADGMFEERRAKTMETFIERLSQFTGPSDRRKEINLNKAIIDAQTAEEVLEVTADTIMAVAKGLSPSPLSPLNIATALHRIAKNMEKVSMATTRRLAFARQKEMCMLVAIAMTALPECSAQGISNIAWALSKIGGELLYLSEMDRVAEVALAKVDDFNSQNVANIAGAFASMRHSASELFPGLSRRACAIIHTFQPQEIAQLFLNDKISPYSEERGAESATNEPSRVFSSPVLVFNRDQLGNISWSYAVFGQMSRVFFSHVWNTMSQFEEQHISEQYKEDIMFASQVHLANKCLKLEYPNLHLSLEGDLEEKISHVGRTKRFNQRVISSFQEEVARLLVSTGLDWVREYDIDGYTLDAVVVDKKVALEIDGPTHFSRNSGSPLGHTMLKRRYITAAGWKILSVSHQEWENLQGGFEQLDYLRGILKDHI >itb01g00080.t1 pep chromosome:ASM357664v1:1:40516:44601:1 gene:itb01g00080 transcript:itb01g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNGFPPPPTQEPPPPPPRPRHKRWVGCWGGSSCFGKQKGGKRIVPASRIPEANSLANQPNGPQVGAINNQTTGLTLSLLAPPSSPASFSNSAIASTAQSPNCFLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPYAQFLSSSANLKTTNKSQYGITNDLQSAYLLDPGSPASSLRSPTSRTAGDCLSTSFTDSELPAEWDPSVPSQEFKYQVCDSGGLHGTGTAETSKLSQDSNFFCPATFAQFYIDHSLFPHSGGRISVSKESDAYSNVHQNKQNKTCKQDTEEMEAYRASFGFSADEIVTTTQYVEISDVFDDSFTMMPFASSKPQEKNIMIVSTDEVTKVEKSRKNVSSPQYLKSSSYHAKGPYSNIADHSLQKHSKDFWGQNALSNHAVSDDEDVFSKMGNSRHGRKHPPGLSSSDAEIDYRKGRSLREGKSYF >itb14g13490.t1 pep chromosome:ASM357664v1:14:15523830:15524616:-1 gene:itb14g13490 transcript:itb14g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPIHCQRTKASLMENHESPPLENRASLLFEKPCRSISRETFRSIPEERCNSDSQKLYKSNSEESFKSNSEEPCKSNSGEPCKSNSGESCKSNSGEPCKSNSGEPCKSNSEEPCKSDSVELCKSDSVELCKSDSEEPCKLCLRGFVIAFLNHCWDLRLRGFPSFFTKT >itb07g23500.t2 pep chromosome:ASM357664v1:7:27886924:27891341:1 gene:itb07g23500 transcript:itb07g23500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQIEQLMDCKPLTEQEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGKAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb07g23500.t1 pep chromosome:ASM357664v1:7:27886924:27891341:1 gene:itb07g23500 transcript:itb07g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHADLDRQIEQLMDCKPLTEQEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGKAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >itb09g09360.t2 pep chromosome:ASM357664v1:9:5643626:5646656:-1 gene:itb09g09360 transcript:itb09g09360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRAEEDALLRAYVKQYGPKEWHLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIHLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENNKIVEPVEEGKYDHILETFAEKIVKERSVPGLLMASSKGGFLHADPPAPTPSNLLPPWLSNTTTTSAVRPPSPSVTLSLSPSTVPPSPVIPWLQSDRGPDKTPLTLSGFPPHHGISPPCGENPMVTELVECCKDLEEGHRAWAAHKKEATWRLRRVELQLESEKSCKIREKREEFDSKMKALMEEQKSSLDRIESDYKEQLAGLRRDAEAKEQKLAEQWASKHLRLTKFLEQMGCPPRLAEPNGQ >itb09g09360.t1 pep chromosome:ASM357664v1:9:5643626:5647101:-1 gene:itb09g09360 transcript:itb09g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRAEEDALLRAYVKQYGPKEWHLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIHLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENNKIVEPVEEGKYDHILETFAEKIVKERSVPGLLMASSKGGFLHADPPAPTPSNLLPPWLSNTTTTSAVRPPSPSVTLSLSPSTVPPSPVIPWLQSDRGPDKTPLTLSGFPPHHGISPPCGENPMVTELVECCKDLEEGHRAWAAHKKEATWRLRRVELQLESEKSCKIREKREEFDSKMKALMEEQKSSLDRIESDYKEQLAGLRRDAEAKEQKLAEQWASKHLRLTKFLEQMGCPPRLAEPNGQ >itb09g20020.t1 pep chromosome:ASM357664v1:9:16629988:16633273:1 gene:itb09g20020 transcript:itb09g20020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASTSMAAAAVFRPRFPVCSTPARRSAMPYLPPRFSNTAFVKLAEPKRSSLLQVKATSSEDTSVDPNELLTDLKEKWDAVENKSTVIVYGAGAIVAVWLSATLVGAINSIPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIEQLKKKITGAE >itb06g22430.t1 pep chromosome:ASM357664v1:6:24706624:24709699:-1 gene:itb06g22430 transcript:itb06g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFHFHFHHLYLFLFSLIIFSILKISPARDTISLDDPLPENETIISSGGTFEMGIFSPSFTFSYVGIWYKNIPARPVVWVANRKVPLSKNVTSLVLKIVNSSHLALLSNANDVILSVNTSLSFSSPVMQLLDSGNLVVRDAGDENPENFLWQSFDYPTDTYLPGMKMGINFETGHEVYLSAWKSAEDPSPSDYTSNLLVTGYPQGIIRQGKALICRSGPWNGVRWSGIPSPDINPIYNYVLVINKTEIYTNYSLVNKSVISVLYMNYTGVVHRLMWVEGMRDWITIGKLPTDDCDRYGNCGGNGLCNIGNFPVCGCLDHFLPRNQADWGMAKFAGGCGRKKSLECHTGKDAGGFLKYSGIKVPDTQNSWYNETMTLQECQQECLRNCNCTAFSSLDVRNGGSGCLIWFGDLVDIRQMAGRGQDIFIRLAASELVFFSFWFRVQKGAQKNSGNSCSHFVISYWIVSDIRAKLDVGVLENGQEIAVKRLSKASVQGIXCCIQGEEQMLIYEYMPNRSLDLFIFDPTKRKLLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDVEMNPKISDFGLARSVAGNETGADTSRVVGTHGYMSPEYAVLGIFSIKSDVFSFGVSVLEIVSGKRNKGFSLDDQYHTLLGHAWKLYREGRSDELVDDDLLVESGDLSEVLRSIHVGLLCVQQYPDDRPIMSSVVQMLSNDAALPEPKEPGFFTGKWLTSECSSSTQAASSLNEVSISLFDPR >itb13g13230.t1 pep chromosome:ASM357664v1:13:19750331:19754972:1 gene:itb13g13230 transcript:itb13g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRAIGSRRDYSNGKQDPTQAVASGIFQINTAVSTFHRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHRSEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSYTASEIDVSSDKNPEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHDQGAMIDDIGSNIENSHAATAQGRSQLAKAAKTQRSNSSLACLLLVIFGIVLLIVIILLAA >itb15g11170.t1 pep chromosome:ASM357664v1:15:8988766:8990339:1 gene:itb15g11170 transcript:itb15g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELRAANSSGYMGWVRWLPEKGVQQHEKEAVGSGVGSSGGGGGTATLVAAPFSSCAMTAAARLQRPWQQLHEPAVAMDRTTTDTRPPLFPASIATAMVELFLSSMATGVSGGLLLQYLFRFKHYPLHILATPVIPTNMYSSALPRRRSENSTSQQLPPIFTASKYK >itb05g18080.t1 pep chromosome:ASM357664v1:5:24886054:24886582:-1 gene:itb05g18080 transcript:itb05g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENLHGECEGENNGDSNMESSNDGPHQKGNTKAAGPSKGKRATVQVSEKQIEGNNKHGVHKKTTEGQNKNTPATRRDETGRTTPNQAAAENEHTLITGDRNGASSS >itb04g30660.t1 pep chromosome:ASM357664v1:4:33720622:33721405:1 gene:itb04g30660 transcript:itb04g30660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGFCDYRQCPLRISNPPGSNYRECVILGINAGIDMVMVPFKFEILWNDFPSSAESGEILMARTDHAVERILRVKFITGVFDHTFTDRSLLDVVGCKAHRELACEAVRKSLRSLLQEPALMILVTSVEAGHQLGWEPVAESQLVSYS >itb14g18300.t1 pep chromosome:ASM357664v1:14:21366497:21367915:1 gene:itb14g18300 transcript:itb14g18300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFRCYPCLDLESMVFPIAFAVMMILVFPVGEAELSARSLDALLQEQAFRALVRPKTGVPYAGEAPSSLAGIGVSAVRLRSGSMRRKGFGNFKEFTVPVGVVAQPYVERLVLVYHNLGNWSDVYYPLPEGYVYLTPVLGLLAYDAANLSASELPELELRASENPMLIKFSSLKPPPPPPATAAPGTMSAKCVFFDLHGSLEFDNVVDGNTCRGTKHGHFSIILEFTAPVPPPAAATGENNANKKENKKGDNKILWVSFGTVIGAVVMAIFLISIFVCTRKNREQKRIQRMEEASERGVPLPMANVGHTRAPVASKTRTRPLLENEYVI >itb05g14810.t1 pep chromosome:ASM357664v1:5:22101498:22102340:-1 gene:itb05g14810 transcript:itb05g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNITTDESSLLALKSSININSHPIIMTNWSNASSVCEWKGVTCGTRKQRVIALDISQMGLSGTIPPQIGNLSFLVSLNASGNSFSGSLPDDLTHLRRLRVFDVSSNNLVGEVPSRIGFLSNLRILILHHNLFTGSVPLSFLNLSKLETVDLSFNQFSGSITSTIFNVSTLESLRIIDNHFSGTIPLDLCLQLINLRRLEVTSNALSGEVPKSLSICSKVRYLGLNYNHFVGTIPPELGNLTSLEALRLGGNNLVGTYDIILNSLQINIIAIPFEIFIYC >itb05g13320.t1 pep chromosome:ASM357664v1:5:20259753:20263473:1 gene:itb05g13320 transcript:itb05g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSAALAEVYVAKKIYEESMNTTTGNKTDRRDQRKNTTETATSSYSYGGCFPLFFKKVHPNAAASPDSAVTPAALAEVYVAKKIYEERMKTTTGNKKARRDQRKKTTATATSSYSYGGCFPLFFKKVHPNAAVSPDSAVTPAAYNN >itb01g23830.t1 pep chromosome:ASM357664v1:1:29715490:29716529:-1 gene:itb01g23830 transcript:itb01g23830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQKPCSYLLIFFFFFVPNLFVANSHDFSVTLSKRAAGIGREKLSHLHFFFHDTVTGKNPTAVTIARAATTNESHTEFGLMAVMDDPLTAGPNLSSKLVGRAQGIYASAAMDDVCLLMVVNFAFVDGKYNGSGLSVVGRNEVFSGVRELPIVGGSGVFRFARGYAQAKTHTLDLKTGNAVVEYNVYVFHY >itb12g25790.t1 pep chromosome:ASM357664v1:12:26906032:26908846:1 gene:itb12g25790 transcript:itb12g25790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWDVVCAEHGIDSTGRYSGDNDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYDYEDEEEELQDS >itb08g01040.t1 pep chromosome:ASM357664v1:8:762874:769951:1 gene:itb08g01040 transcript:itb08g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVVKEKNHVEENIICYAPTMITTHGIWQGDNPLDYSLPLFILQLTMVVVTTRILVFILKPLRQPRVISEILGGIILGPSVLGRNKTFAFMVFPLRSVLVLETMANLGLLYFLFLVGLEMDLTVIRRTGKRAMAIAISGMVLPFLIGVSFSFTLHKGTQVMKQGTFILFLGVALSITAFPVLARILAELKLLNTDIGKIAMSAALINDVCAWVLLAFAITLAENDTIPLATLWVFLSSVAFVCFCVFVVRPLIEIVIRQTPEGETINEFYIYLILTGVMISGFVTDAIGTHSVFGAFVFGLVIPSGSLGLTLIERLEDFVSGLLLPLFFATSGLKTEISAIKGVGTWSILVVVIILSCAGKVAGTLLVALYYKMPFNEGLTLGLLMNAKGLIEMIVLNVGKDQKVLDSESFAIMIVVAVIMTAIISPLVTAIYKPARKFIPYKRRTVQRTKPDSEFRISVCVHTPRNVPTIINLLEASNPTKRSPICVYVCHLVELTGRASAMLIVHNNRNSGRQALNCTQAQSDHIINAFENFEQHAGGGVSVQPLTVISPYSTMHEDICNFAEDKRVAIVIIPFHKQQTVDGGMESTNPAYRAINQNVLANAPCSVGILVDRGLSGSTRLAANQVSHHVAVLFFGGPDDREALAYGWRMSDHPGISLTVMRFVAGDSAVEPSESGSMRNNNNTKNSGVLTVVTDTDREKQLDEDYLNQFQARTADNEMVAYTEVVVNHGEETVAAIRSIDSMHDLFIVGRGQGNISPLTAGLTDWSECPELGAIGDLMASSDFASTVSVLVVQQYVGIGDDADPLSTPDTPTNQNGPFDSGNFANRRTPTGRASQAYHPQP >itb12g27820.t1 pep chromosome:ASM357664v1:12:28014738:28019316:1 gene:itb12g27820 transcript:itb12g27820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCISTSSTSSNLSVVLQSSSYSARFKPSFPVSVPVFSSSNHRALRLCCYAAAKQQTGPVKKRTNSKTKKNKRSSSSTALEAEVIDEDVELEFYERGAASSERYHPLPLPKPPAGFVLDEQGRVLMVSNKRIVTIVDSSNNLPLECIIRRVFRSTQGVECLLLCPLDMPILVLKSANFEGWSAVSDDEVETILPTAAYALAKIHMHLVHSGFCYTARGGFCYTEGDIFDLCTDDGGSMEGVPTEGVEITCFHLDGSHYMIYTPSDPLLFVAVKDKDGVFQIAEDELLEDPAIVSAIDEETEFNALVEEEAALFESLLGER >itb13g04640.t1 pep chromosome:ASM357664v1:13:5551321:5551887:-1 gene:itb13g04640 transcript:itb13g04640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLFLMLALACITQSCVAASRLAAAGACTPSGKIRGKKPPPGECNQENGSDCCKAGKMYTTYKCSPPVTGNTKATLTLNSFQKGGDGGGPSECDNQYHSDDMPVVALSTGWYSGGSRCLNHIKISANGRSVRAMVVDECDSTMGCDDEHDYQPPCENNIVDASKAVWEALGLDQNEGEHDITWTDA >itb04g17370.t1 pep chromosome:ASM357664v1:4:20184150:20187620:-1 gene:itb04g17370 transcript:itb04g17370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMIGSSQAHLGRSDLGSREIGVCSFGRNFNAKTSSLKNPKLCFGQTFSWPSRTSACLSLKASAASQTEAVVSDKVCGAKRSKPIDSVKLYVGLPMDTVSVSNTINHARAIAAGLKALKLLGVDGVELPIWWGIAEKEAMGKYDWTGYLAVAEMIQKLGLKLHVSLCFHASMEHKISLPEWVCQIGESDPSIFFTDRSGHQYKDCLSLVVDDLPVLDGKTPLQVYKGFCESFKSAFSKFMDSTITGISIGLGPDGELRYPSHHQPAKSNHKHVGAGEFQCYDKNMLSHLKQHAEMFGNPLWGLGGPHDAPACNEPPISNNFFKEHGGSWETTYGDFFLSWYSNQLITHGDQLLSVGASTFSDVPQITVSGKVPLIHCWYKTRSHPAELVAGIYNTENRDGYEAIVEMFSKNKCKIILPGMDLSDEQQPKESLSSPESLLAQVTLCCRKHGVEILGQNSTASNAAASGFEQIRKNLVGESAAAISLFTYQRMGAYFFSPNHFPSFTKFMRNLRELELELDSDDQPGKEETTAGSSLSARNLQEQAA >itb13g26350.t1 pep chromosome:ASM357664v1:13:31656080:31656968:1 gene:itb13g26350 transcript:itb13g26350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLSQVGRARSPFGAIHRQVLIQQSDKTHQVSIAVCRSKLWKEPLCVKDVIEDVSAASSSQSQFAQSI >itb07g23450.t1 pep chromosome:ASM357664v1:7:27840152:27843564:1 gene:itb07g23450 transcript:itb07g23450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTTFPTVDKCSSIDREKDTVVADMDGTLLRGRSSFPYFALIAFEAGGVFRLLFLLLATPLVGVLYYFVSENAGIQVLIFATFAGMKVSSIESVARAVLPKFYTGDLHPESWRVFSSCGGARCVLTANPTVMVEAFLKDFLGADMVLGTEISTWKGRATGLVKKPGVLVGKNKADALKKAFGETRPEIGLGDRHTDAPFMELCKEGYIVPPNPKVKAVTIDKLPKPVIFHDGRLVQKPNPIVALLTILWIPVGFFLACLRIAAGSLLPMPLVYYAFWALGVRVTVKGTPPPPPAAHNGRSGVLFICSHRTLLDPIFLSAALGRRIPVVTYSVSRLSELISPIKTARLSRDRAADACMITRLLKDGDLAICPEGTTCREPFLLRFSSLFAELTGELVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPPELTCHSGKSSHEVANYIQRVIAATLSYECTTFTRKDKYQALAGNDGTVPEKPRIKANNIMGC >itb06g23260.t1 pep chromosome:ASM357664v1:6:25305658:25307560:1 gene:itb06g23260 transcript:itb06g23260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPFSAYKKGSKLKHFTDHKFCRVESEMGSLRSELDKPHAVCIPFPAQGHINPMLKLAKILHHRGFHITFVNTEFNRRRLERSRGPESLRGLPSFRFETIPDGLPLSEADSTQDIPSLCESTSATCLGPFKELLARLNDTAVSNAPPVSCIVSDGVMSFTVAAAEELGVPEVLFWTPSACGLLGYMHYTQLLDKGYTPFKDESYLTNGYLETELDWVKGMKGIRLRDLPSFFRTTNPDEYMVKFMIQETERSRRASAIILNTFDALEHEALMALQSMLPPVYAVGPLQFLHTQVKDSNVRSLASNLWKEDTSCLEWLDTKAPNSVLYVNYGSITVMTPDQLSEFAWGLANSKKPFLWIVRPDLVTGEAAILPQEFVEETKDRGMLKNWCPQEQVLGHPAVGGFLTHNGWNSTLESICSGVPMLCWPFFAEQPTNCHYACSKWGIGREIDSNVKRDEVEKLVRELMEGEKGGEMTKKAMEWKKLAEEAATFSIGSSQINIDKLINLHLLPPKY >itb01g24230.t3 pep chromosome:ASM357664v1:1:29921459:29923029:1 gene:itb01g24230 transcript:itb01g24230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRYLCINLTISISYVLAELLLLCFFLITGLFEIERPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAQRLEKGFKLVLEEYGESEIPVESAGKQLMKDTTKDEERNLLPGIYAPEREYQFSSIFVEAFEDSVLSLLSIMGGAMALEVLLHWLSRIPLRSTSMRCILRMICGRNKPFPS >itb01g24230.t6 pep chromosome:ASM357664v1:1:29921459:29922794:1 gene:itb01g24230 transcript:itb01g24230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRYLCINLTISISYVLAELLLLCFFLITGLFEIERPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAQRLEKGFKLVLEEYGESEIPVESAGKQLMKDTTKDEERNLLPGIYAPEREYQFSSIFVEAFEDSVLSLLSIM >itb01g24230.t2 pep chromosome:ASM357664v1:1:29921366:29923682:1 gene:itb01g24230 transcript:itb01g24230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAQRLEKGFKLVLEEYGESEIPVESAGKQLMKDTTKDEERNLLPGIYAPEREYQFSSIFVEAFEDSGGRYGTRSTSALAIKNSLEVNFYEMHLENDLWKEQTISFMIEKSPTPAHKYYGSV >itb01g24230.t1 pep chromosome:ASM357664v1:1:29921366:29923682:1 gene:itb01g24230 transcript:itb01g24230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAQRLEKGFKLVLEEYGESEIPVESAGKQLMKDTTKDEERNLLPGIYAPEREYQFSSIFVEAFEDSVLSLLSIMGGAMALEVLLHWLSRIPLRSTSMRCILRMICGRNKPFPS >itb01g24230.t7 pep chromosome:ASM357664v1:1:29921366:29923682:1 gene:itb01g24230 transcript:itb01g24230.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAFSMYVLAR >itb01g24230.t8 pep chromosome:ASM357664v1:1:29921366:29923682:1 gene:itb01g24230 transcript:itb01g24230.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKVTKKSLLLAS >itb01g24230.t5 pep chromosome:ASM357664v1:1:29921366:29922811:1 gene:itb01g24230 transcript:itb01g24230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAQRLEKGFKLVLEEYGESEIPVESAGKQLMKDTTKDEERNLLPGIYAPEREYQFSSIFVEAFEDSVLSLLSIM >itb01g24230.t4 pep chromosome:ASM357664v1:1:29921459:29923029:1 gene:itb01g24230 transcript:itb01g24230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFQWKAHPIYPFLLFLNRDEYYDRYLCINLTISISYVLAELLLLCFFLITGLFEIERPTKALAWWDDGVILGGRDVLAGGTWLGCNLKGKIAFLTNVREVVDSPSSHTKSRGDLPVRFLRSNKSPRDFAEELMGEADHFGGFNLIVVDICSMTMFYITNRPKDRGVSATEVSPGIHVLTNASLDSPWPKAQRLEKGFKLVLEEYGESEIPVESAGKQLMKDTTKDEERNLLPGIYAPEREYQFSSIFVEAFEDSGGRYGTRSTSALAIKNSLEVNFYEMHLENDLWKEQTISFMIEKSPTPAHKYYGSV >itb01g09460.t1 pep chromosome:ASM357664v1:1:7728089:7728925:-1 gene:itb01g09460 transcript:itb01g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSQQVPIVKIDARERCTLIGRFPRRRPSLESIRMQFRSIPRLECDVQIRSLDRRTVLLRFATEDECKRTWMRSHAEVDGVRVWFSRWTPEWYAKKDSPVALIWIQLPFLPIHLFQFDTIAAICEPIGKEITLGSATIHKAEPNLAKVKVEIDVSKHVSEKIWIKIDNDWRGFWQNIVVEKVLSYCGTPMSVDSWTSDENSHIDIHPAVNHHLKEGEIIRINVKNKPSTRAGMLSAAGLTSGLTRIGKSKTLGLAPLLIEEILIRTCLFLGLLGAN >itb14g07200.t1 pep chromosome:ASM357664v1:14:6601042:6602361:1 gene:itb14g07200 transcript:itb14g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVSDEIPLANFFNNVGDSLLVEILGRLPSRAAIRVKSVCRRWRSLISSDYFMSFFHHRRHDPSLPPLDDHDSLSYSMLIQLAYSDNLDLCLSLIRCRYTTHSAKLHAHHSLDFSFLPCRPQTKVELKASCDDLVVCSFKNTFFYVCNLLTRQWVALPPAPHFIEQAIGLLCLPVPKPCSINNCHRQCVHHKKFMVVRIHRHDELGVPQSKFKVQFFSSEEGEWTTRVVSSPQALTTFTFNTCYGTVWNKSYNYLVAHKGLLYWQICSSVVVFDPFNSPQTFSHVIDLPLESDYHRPSSIAVCRDRVCVQIWLLSPKHHRGEKSSVDIWELEDYVMGKWNLVHKHCNENLKPDPEGNNICVEYVHPDGNVLYQRKNWTVWLWNFECGEQYELIHRTPGHQLFSEGNILQIVDQWWPTPVPSLPGPPLHLHLHHMIKSL >itb12g03990.t3 pep chromosome:ASM357664v1:12:2618273:2620994:-1 gene:itb12g03990 transcript:itb12g03990.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVPLLSEKRPSAVTTKDVFFAELKKLARIAIPMLVVTVCQQMVIFVSTMMLGHISELSLSGGAVATSFTNVTGFSLIFGMASALETLCGQAYGAGQYHKLGTYTYGAIMSLTVVAIPISILWVFMDKLLILVGQDPLISAEAGRYSVWLISAIFPYAILQCLVRYLQMQSLIVPMLLSSALSLCFHIPVCWALSFRSGLGSAGPALAIGLSYWFNVILLVVYVKYSSACEKSRVCLWNDSFARVGEFFRLAVPSASMACLEWWTFEIVILLGGLLPNPQVETSVLSICLMICSLHFYIPFSIGAGAR >itb12g03990.t1 pep chromosome:ASM357664v1:12:2617266:2621005:-1 gene:itb12g03990 transcript:itb12g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVPLLSEKRPSAVTTKDVFFAELKKLARIAIPMLVVTVCQQMVIFVSTMMLGHISELSLSGGAVATSFTNVTGFSLIFGMASALETLCGQAYGAGQYHKLGTYTYGAIMSLTVVAIPISILWVFMDKLLILVGQDPLISAEAGRYSVWLISAIFPYAILQCLVRYLQMQSLIVPMLLSSALSLCFHIPVCWALSFRSGLGSAGPALAIGLSYWFNVILLVVYVKYSSACEKSRVCLWNDSFARVGEFFRLAVPSASMACLEWWTFEIVILLGGLLPNPQVETSVLSICLMICSLHFYIPFSIGAGASTRISNELGAGNTELARMAVWGVTIIGMIELAIAAVVLMSLRSVVGYAFSNETEVVNYIKRMTPLVSLMLVSDGISGLLSGVARGSGWQHLGAYVNLGAYYLVGAPAAGMLGFMTNLRGMGLWMGLNLASLVQNVVLALITIFTDWKKQESKAQERLLNGSDSPAVENGSC >itb12g03990.t2 pep chromosome:ASM357664v1:12:2617266:2619949:-1 gene:itb12g03990 transcript:itb12g03990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAGQYHKLGTYTYGAIMSLTVVAIPISILWVFMDKLLILVGQDPLISAEAGRYSVWLISAIFPYAILQCLVRYLQMQSLIVPMLLSSALSLCFHIPVCWALSFRSGLGSAGPALAIGLSYWFNVILLVVYVKYSSACEKSRVCLWNDSFARVGEFFRLAVPSASMACLEWWTFEIVILLGGLLPNPQVETSVLSICLMICSLHFYIPFSIGAGASTRISNELGAGNTELARMAVWGVTIIGMIELAIAAVVLMSLRSVVGYAFSNETEVVNYIKRMTPLVSLMLVSDGISGLLSGVARGSGWQHLGAYVNLGAYYLVGAPAAGMLGFMTNLRGMGLWMGLNLASLVQNVVLALITIFTDWKKQESKAQERLLNGSDSPAVENGSC >itb07g13040.t1 pep chromosome:ASM357664v1:7:15137908:15138883:1 gene:itb07g13040 transcript:itb07g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGRSPMSSLVPDRLGKSANLLEPSWTSDSMRDCLRSSLEVLDNQIRVVLEVAQHLGENMVRTIAMDGTEGLVCGQHPLSYHCELSEAVPSFCYFQRSWNWVFFSDECGGDGDNDFGRVVLSSALQFDDFIPNTETKKPCREISFPSYDKSLLESFPQDVLKMFWIILGLDHDDLSRLFHVSKTIREVAGKPHQFWEIKNFD >itb03g21210.t1 pep chromosome:ASM357664v1:3:19017640:19019400:-1 gene:itb03g21210 transcript:itb03g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRQIKVQKRQIKFCIFELREIMREIKNSHYFLDSWTQFNSVGSFIHIFFHQERFLKLFDPRIFSILLSRNFQGSTSNRSFTIRGVILFVVAVLIYRINNRNMVERKNLYLTGFLPIPMNSTGPRNDRLEEAVGSSNINRLIVSLLYLPKGKKISESSFLNRKESTGVLSITKRNSSCKISNETVAEIEILFKEKDSKSLEFLFVYYMDDDSTHKDHDWKLADPILLERLAKDWISYLMSAFREKRPIEAGVFFKQHEHVSHLFSRGAISLQNCTQFHMWKFRQDLFLLFPSWGNNPPESYFWLGNVWLGKKDRFFSKVRNVWSNIQYDSTRSSFVQVTDSSQLKGSSDQSRDHLDSNHLDSISNEDSEYRTLINQREIQQLEERSIPWDPSFLQTERKEIESDRFPKNLSGYSSMSQLFTEREKPMINHLFPEEMEEFLGNATRSVRSFFSDRWSELHLGSNPTERSGM >itb06g09620.t1 pep chromosome:ASM357664v1:6:13877298:13879091:1 gene:itb06g09620 transcript:itb06g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSAATPLRATTSKSRAAEQSCLAILQLCNSLPKLSQVQTQILKLGLESNPLVLTKFASVSSELGAINYASAFIFGPATEPRVFDAFLFNTVIRGFAQTRDSKRNAVFHYQKMCNLGVLPNKFTYPFVLKACAGIGDLRLGETVHGLVVKLGFGDDRNVLNTLVHMYCCCSGGVEYGRKVFDEMPKWDSVGWSVMIGGYARWGMSTEAVGLFRKMQMAGVRPDEITMVSVLSACRELGALELGKWLEGYIEREKVQKSLELCNALVDMFAKCGDVDKALSLFSSMEERNIVSWTCVIDGLAMHGRGLEAVSLFEKMRRAGEMPDEVAFIGLLTACSHSGLVEEGRKYFTSMTKEFGIVPKIEHYGCMVDLFCRGGLVKEALRFVHRMPIEPNAVIWRTLVTACRAHGELKLGEKITKELIKDEPMHDSNYVLLSNIYAKMLNWEKKTMVREVMVKKGMRKTPGSTMVELDNEIYEFVAGDKSHGQYKEIYEMMDEMAKQIKKAGYVATTSEVLLDIDEEDKEDTLNRHSEKLAIAFALMNTPPHTCIRIVKNLRVCEDCHSATKFISKVYKREILVRDRNRFHHFKDGICSCKDFW >itb12g23740.t1 pep chromosome:ASM357664v1:12:25359481:25364219:1 gene:itb12g23740 transcript:itb12g23740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYNYYKMFGFLNRKFKISEREPPPDVRDAFSLYAGNGPHMNAEQLARFMVEYQGEEGRTADDAAEIIQQIHDRRQHLAKHNHLYLDLDGFFEFLFQDDLNGPLNTQVSNDMTAPLQHYFIYTGHNSYLTGNQLSSRCSEKPIIKALERGVRGIELDLWPNSAKDNIHVLHGRTLTTPVTFYKCLESIKKHAFVKSPYPVIITLEDHLTPDLQAKAAEMLSEVFGEMLYYPESGCLEELPSPEELKYRILLSTKAPKEYLESRQQSEKETPASPSGKDSSEDDSSIKEKTENAAAEENEAEGRQVNSDPDGGCSQASTSQPGGTECNAEQQDRVEAEKANASEAEKTNAEQQDRGEAEKIDAEQQDRVEAEKANASEAEKTNAEQQDRGEAKKTNAEQQDRGEAKKTNAEQQDRGEAKKTNAEQQGRGEAKKTNAEQQDCGEAEKTDAENQDCGEAEKTNAGQQDCGEAEKTDAENQVRGEAEKTDAEQQDRAEAEKTDAEQQDRAEAEKTDAEAEKTDAEPQDSDRGKNDLGNEGGDASTQSALRSGPEYKRLIAIRAGKAKQGMKHALTTVQERVKRLSVNEGDLEKAAVSYGTDVVSFTQKSILRVFPKGTRVTSSNFKPMLGWMHGAQMVAFNMQGYGKSLWLMHGMFRANGGCGYVKKPRFLIERGPEDEVFDPKVTVPLKFTLKVTVYLGDGWSLDFSHTHFDAFSPPDFYTKLFIVGAPADCAKRKTRIIEDDWGPIWGEEFEFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSELRPGIRSVPLHDKKGEKYKSVRLLMRFQFM >itb11g02700.t1 pep chromosome:ASM357664v1:11:1379075:1382637:1 gene:itb11g02700 transcript:itb11g02700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLRFAAVSSGSIWYSPRTRIQKDLKPSCSRRDSPQQNGDNGGDRGDKLSTDWDKAWASFKKRGKKNIFSQFSDNVSLYQLMVVEHFFAEFLSGALWLNPFFGNSSSHIAKEETTTMKGRHGNTMDPPWFSPSKSYVHIVGNIALLLDKDSNSSLEGVNMARTCLKHNDNDALLAINSTKKGPGEVLMHSRVVHFVHIRSSVSMAPSGDFAKPKKTKKARFPPKRGQIKARIIQHFVKFVCKAVSSAVKGMQPQKQKNGERK >itb08g15030.t2 pep chromosome:ASM357664v1:8:17016827:17019263:1 gene:itb08g15030 transcript:itb08g15030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSRVFRLSSPSPAKNPKFSLFQTHNSSYIPSNIQWVYLKTQFSLASLSSSPKKGSSSVKAVETETLSTPRNDSNATIRKKNLAVFVSGGGSNFRSIHEAAAQGTVHGEVVVLVTNKPDCGGADYARGRGIPVIVFPKPKNSDEGFSPEDLVAALRTYNVDFILLAGYLKLIPTKLIRAYPRSILNIHPSLLPAFGGKGYYGMKVHKAVIASGARYPSSRQPED >itb08g15030.t1 pep chromosome:ASM357664v1:8:17016811:17020737:1 gene:itb08g15030 transcript:itb08g15030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSRVFRLSSPSPAKNPKFSLFQTHNSSYIPSNIQWVYLKTQFSLASLSSSPKKGSSSVKAVETETLSTPRNDSNATIRKKNLAVFVSGGGSNFRSIHEAAAQGTVHGEVVVLVTNKPDCGGADYARGRGIPVIVFPKPKNSDEGFSPEDLVAALRTYNVDFILLAGYLKLIPTKLIRAYPRSILNIHPSLLPAFGGKGYYGMKVHKAVIASGARYSGPTIHYVDEEYDTGRILAQRVVPVLANDTAEELAARVLHEEHKLYVEVAAALCEERIVWRDDGVPLIQNKENPNQYT >itb05g17560.t1 pep chromosome:ASM357664v1:5:24440922:24443545:-1 gene:itb05g17560 transcript:itb05g17560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGKSGHINGADDDDPNSIPLILTDEQQAYVQELNRKAASLSRAIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSATKEQAQQREVTLQEENAEYEKAISNCKNKIEEKLQEADTLQIRLKEMELFEENLRSELESALAAVASQSVKSNKTVSNSTIMVDSGTESSKSTLAEKVEDKKNELASMEELVQDLEKKWAQVQDKALKQPSPAQREKLLDKQLHSLIEQLAAKQAQAEGLANEIHIEEMNLERLKGLQRRSESSSARNRYARSSSFDKGRASSDYIVDPRQKPPYQVAGRTEAIQKLVLLRSGFVLYILALHILVFIKISF >itb04g29530.t1 pep chromosome:ASM357664v1:4:32868319:32869341:-1 gene:itb04g29530 transcript:itb04g29530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRIAIGSVGEATSPDALKAAVAEFISMLIFVFAGSGSGMAFNKLTDNGAATPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLLRSVVYWIAQLLGSVVACLLLKFATGGLETPAFGLSAGVAPWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWSWECHWVYWLGPFLGAAIAALVYQVIFICQNTHEQLPTTDY >itb03g03760.t1 pep chromosome:ASM357664v1:3:2252508:2254062:1 gene:itb03g03760 transcript:itb03g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRVLDDLKDPRMVCDDCSPGADCSQDCTLVIPVSPPSSVKYHMPAYFISMLCLLGALFVILCYVTILKRYRLSQRNSRRTTPSPVEESRRDFIDGEQTQQVDHPIWYIRTVGLPDAVIESISVFKYKRAEGLTEGTDCSVCLTEFQEDESLRLLPKCSHAFHVPCIDTWLRSHKNCPLCRAPIISETANVVEQANNVVAVADQETLPENQESEEVIPEIRPPIASIEAINHKRIRAQSDLAEHRVIVDENGNFEPPRRSVSMDDSSSASMIEAAKRNFEIGSSKPSSSSSSSSASRSSRWYSAMKSSSFGRSLQKVPIGMKRSSSTSSGKCSLPTTNSKSQDF >itb08g04680.t1 pep chromosome:ASM357664v1:8:3833535:3834121:1 gene:itb08g04680 transcript:itb08g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSILTKFNREEEISRLNAYLSKVEDDAKAHHKKLEHAMEEIGRDYCKTDTMRLELLKENADLKQKLQLSEEKLQLSEEQKEETEESNKGKQIEVDQLKTALGFMRESNNTDRESFGLYAHSLINKIATLEKKLEMIKDILTDEVAEEVAED >itb11g00990.t1 pep chromosome:ASM357664v1:11:424918:427972:1 gene:itb11g00990 transcript:itb11g00990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGYSASTVVFFLVVALFINLSCHSHVEAAAGSPVKFLPGFDGPLPFHLETGYMGVGKNEEVQLFYYFIKSDSNPEEDPLILWITGGPGCSPLRAIIQEIGPLLIEPVEYNGSLPRLLPFPYSWTKVASFIFLDLPVGTGFSYAKTSNGAQSDNLLTGNNAYEFLRKWLADYPQFLSNPFYVGGDSYSGITVPIVTEMISNGNEIGIKPFIQLKGYIVGNGLTFPDEGNYRIPFAHRMALISDELYESLKTNCKGEYFDTDPTNLLCQQDLQTYNQLIEGIYPNNILEPICLSDDSSTSSSLIMLNGQRRFLYEKHQKLQNPDLLPGLKCRDDWNKLSEYWANDYRAREALHVRKGTKGEWEHCTSNLPFAYIINNTIPYHVSLSKKGYRSLVYSGDHDMLITYLSTQAWIKLLNYAIVDDWRPWMVEGQVAGYTRTYANQMTFATVKGGGHTAPEFRPSECQAMFERWISYKDL >itb05g18060.t1 pep chromosome:ASM357664v1:5:24880287:24882648:-1 gene:itb05g18060 transcript:itb05g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQRKAAWIKEANAEIDRAFMREMNTGGDKAIERMMRLRWNPSTCCRHMINVDGSVKVVLQKAGIGGVLRDANGQWIDGGKVTLSSSGYYYASSLRLQLVYSGNLDLVFHLYMETGKTKNIMSLQISFPFMVGMKIEVGLDQFVYTELNLHLAGLVCCGLGTDTSSNTVKWAMTELLNNPDANSKSSSRACRRYWQRQAIKRS >itb02g01910.t1 pep chromosome:ASM357664v1:2:1051551:1054021:1 gene:itb02g01910 transcript:itb02g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLTSIVNTFGFFFFFLAILVQSQQNVNPPGSQSPPPPPPPPPLQSPPPPPLSPPPPPPPISSPPPPPPPPTSPTPPPPPSPPSHRRKFSPPPPHPPKEGSHKGHNANGSSHTSHGRSSQKLNLGKKLGLLFVGIAALLQVFVVAFLVIKRRQLLNAESIL >itb11g20700.t1 pep chromosome:ASM357664v1:11:21948371:21962063:1 gene:itb11g20700 transcript:itb11g20700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLALAIFFAFYLSMQSVYSIQIISKSKLQKCEKASDSNQQLNCTNKIVIDLAVPSESSGNEASMVAEIVEVEEDATNMRTLRSPPVITVQKSSAYALYELTYIRDVAYKPEELYVKTRKCKRNAGSNVVRICERLRDENGNVIDHTQPICCPCGGQRRIPSSCGNFFDKMTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTQRDI >itb11g20700.t2 pep chromosome:ASM357664v1:11:21948371:21962063:1 gene:itb11g20700 transcript:itb11g20700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLALAIFFAFYLSMQSVYSIQIISKSKLQKCEKASDSNQQLNCTNKIVIDLAVPSESSGNEASMVAEIVEVEEDATNMRTLRSPPVITVQKSSAYALYELTYIRDVAYKPEELYVKTRKCKRNAGSNVVRICERLRDENGNVIDHTQPICCPCGGQRRIPSSCGNFFDKMTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTLIVLVWLLHQKGIFDPIYDWWEDHFSVPEGRRSSLKHHSNPHQLKLHLKKHHHKHHETRHHHHHHHKHQRGTTTRHPSGEADYRYYLHHVHKDSRHRRAKGGSSGIKKQVHAREHHNKGAVASSAYAFISQV >itb11g20700.t6 pep chromosome:ASM357664v1:11:21948371:21958175:1 gene:itb11g20700 transcript:itb11g20700.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEIVEVEEDATNMRTLRSPPVITVQKSSAYALYELTYIRDVAYKPEELYVKTRKCKRNAGSNVVRICERLRDENGNVIDHTQPICCPCGGQRRIPSSCGNFFDKMTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTRKLLIHSTCCPSPEKKS >itb11g20700.t7 pep chromosome:ASM357664v1:11:21952845:21958175:1 gene:itb11g20700 transcript:itb11g20700.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTRKLLIHSTCCPSPEKKS >itb11g20700.t3 pep chromosome:ASM357664v1:11:21948371:21962063:1 gene:itb11g20700 transcript:itb11g20700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEIVEVEEDATNMRTLRSPPVITVQKSSAYALYELTYIRDVAYKPEELYVKTRKCKRNAGSNVVRICERLRDENGNVIDHTQPICCPCGGQRRIPSSCGNFFDKMTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTQRDI >itb11g20700.t8 pep chromosome:ASM357664v1:11:21953264:21958175:1 gene:itb11g20700 transcript:itb11g20700.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTRKLLIHSTCCPSPEKKS >itb11g20700.t4 pep chromosome:ASM357664v1:11:21948371:21962063:1 gene:itb11g20700 transcript:itb11g20700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEIVEVEEDATNMRTLRSPPVITVQKSSAYALYELTYIRDVAYKPEELYVKTRKCKRNAGSNVVRICERLRDENGNVIDHTQPICCPCGGQRRIPSSCGNFFDKMTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTLIVLVWLLHQKGIFDPIYDWWEDHFSVPEGRRSSLKHHSNPHQLKLHLKKHHHKHHETRHHHHHHHKHQRGTTTRHPSGEADYRYYLHHVHKDSRHRRAKGGSSGIKKQVHAREHHNKGAVASSAYAFISQV >itb11g20700.t5 pep chromosome:ASM357664v1:11:21948371:21962063:1 gene:itb11g20700 transcript:itb11g20700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLALAIFFAFYLSMQSVYSIQIISKSKLQKCEKASDSNQQLNCTNKIVIDLAVPSESSGNEASMVAEIVEVEEDATNMRTLRSPPVITVQKSSAYALYELTYIRETKLFIQDVAYKPEELYVKTRKCKRNAGSNVVRICERLRDENGNVIDHTQPICCPCGGQRRIPSSCGNFFDKMTKGKANTAHCLRFPGDWFHVFGIGHRSVGFSIRIEVKTRSQVSEVTVGPGNRTAASNDNFLRVNLVGDYVGYTDIPSFEDYYLVIPRQGGAGQPQNLGSNFSMWMLLERVRFTLDGLECNKIGVGFDAFNSQPDFCSAPFWSCLHNQLWNFWDADQNRIARNQVPLYCVQGRFERINQHPNAGNRAFSIGITEVLNTNLLIELSADDIEYVYQRSPGKIVDIIALTFEALTQLGTAKITTKNIGEVEASYSLTFDCSGGISLMEEQFYIMKPNELVTRAFKLNPSSDQAANYFCSAILKDSDFGEVDRAECQFTTTATVFDNGSQIPFQPPKSSIHGFFETIEELWNKLWEGLVDFLTGESCRRNCSGIFDVGCHVQYICMNWIVLVALFAAIFPTLIVLVWLLHQKGIFDPIYDWWEDHFSVPEGRRSSLKHHSNPHQLKLHLKKHHHKHHETRHHHHHHHKHQRGTTTRHPSGEADYRYYLHHVHKDSRHRRAKGGSSGIKKQVHAREHHNKGAVASSAYAFISQV >itb07g11790.t1 pep chromosome:ASM357664v1:7:13296721:13299639:-1 gene:itb07g11790 transcript:itb07g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKCHRKDLSISLGWDMRKGTENDKMWIVWSEEVRVDVVDVTEQAITLKVGLAEVDKEVFMTFIYASCNTGVRRELWEYLESFAEGITGEQCWIALGDFNCILSSEEKKGGTPYNMAKSREFQECVDKAGLRDVAYYGGSFSWWNGRQGEGAVWKRLDRGLVNTGWEDKLKTYIQYLSKTSSDHSPMVLDVESHMKIGKKPFMFLNMWSDHEQFSKVVRGVWEERVEGNAMYRFKTKLKKVKRELIRWNWEVFGNIFENIKKREGEMRVAEEAGAIAKVLGIEGKTRAKENLCDFYRNGRWEVEELDDRLSERVLRMTLREGVTDKALWKPESSGQFTFAAAKEF >itb09g07550.t1 pep chromosome:ASM357664v1:9:4432802:4434186:-1 gene:itb09g07550 transcript:itb09g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSLPPGFRFHPTDEELITCYLMKKVASSADPTASMIAEADIYKFNPWELPGRGYFGEKEWFFFSPRDRKYPNGHRPNRAAASGYWKATGTDKPILSATASSSSRCIGVKKALVFYRGRPQKGIKTDWTMWEYRLLNNGHQPFRQKGSMRLDDWVLCRVRNKSNNVAEGRFGNNGKEDIISEKINPSYDHHCYAGGSQVLDGLEYFGHFQFQTGSSSNHSNPQANIMGDSVKEALENIKRVLLFEPCIYAQPQILNQDESELNPTS >itb03g07390.t1 pep chromosome:ASM357664v1:3:5415874:5417194:-1 gene:itb03g07390 transcript:itb03g07390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGDQHHYGRRTDEYGNPVRQTDEWGNPVGDKDVWGNPVRHQTDDYGNPVHHGTGGTMGQYENTTAATGPTGGTYGGTTGTAAYGTTGIGGVVGEHYRPHETAGREHHGTVGGILRRSGSSSSSSSEDDGHGGRRKKGMKEKIKEKLPGGGHKDQDYYQSQSTTTTTGGYGGTGYGGEEHHEKKGIMEKIKEKLPGHH >itb04g10560.t1 pep chromosome:ASM357664v1:4:10084251:10085209:-1 gene:itb04g10560 transcript:itb04g10560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRMVTEKPAVIFSKSYCCMSHSIKALFSDLGVYPAVHELDQMPRGRDVEQALAALGCNPTVPAVFIGGQLVGGESEVMTLHLQRSLKPMLKKAGALWV >itb06g16750.t9 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKHSAQGMTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t7 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t4 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t5 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKHSAQGMTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t10 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t6 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t1 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKHSAQGMTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t3 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKHSAQGMTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t2 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGRKHSAQGMTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb06g16750.t8 pep chromosome:ASM357664v1:6:20794037:20800028:1 gene:itb06g16750 transcript:itb06g16750.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDSCTLAECQKPALVYNDDRWKASKQSSRCQTKDPDVGKFETKIPGITGMEMILEEICKGLVAGEWWVQMMLINKLLVNYLWTGAFLQVTQSRIL >itb15g03370.t1 pep chromosome:ASM357664v1:15:2149599:2150396:1 gene:itb15g03370 transcript:itb15g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAKPFATAAGMLKSRLSSGLRTRGGHGPNPFLTPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQEAIKRLELESE >itb05g05240.t1 pep chromosome:ASM357664v1:5:4980224:4983925:1 gene:itb05g05240 transcript:itb05g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTPFIAALSCLLLLLPFFSQCQAQLSPTFYDNTCPNALNIIRTAVRQAVSTERRMAASLVRLHFHDCFVQGCDASILLDESPTIKSEKAALPNLGSVRGYDVIEAAKGELEKACPGIVSCADVLSVAARDATVSVGGPSWTVKLGRRDSTMASHIIDLPSPFDNLDRLISNFASKGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNRTDIDAGFANTRRRNCPKDTGHGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDSIVSEYAKSPQAFQADFASAMIKMSEIQPLTAQPSPSKCRRLAVAASSATTTDDYRPLSLTETSSLRASSCGRRFLAAAWVVVVSVVPDWPTMQSQRFLLLHSCFQAANYCAKERGHGPKKSQCNEY >itb14g02270.t1 pep chromosome:ASM357664v1:14:1884901:1886524:-1 gene:itb14g02270 transcript:itb14g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLLFLLLSLFSLALATVAGAGIGAGESTFQYLKLPLLHNRPFPSQILSSDSTRLISLHRRRAQLPVTSGSASGTGQYFVEFHLGTPPQRLLLVADTGSDLVWVTCSACRNCSERVPHSAFLARHSASFAPVHCYDEKCKLVPHPRRVACNHTRLHSPCRYEYFYSDGSVTSGFFATETTAFNASSGLPVRFKNVKFGCGFRASGPSITGPSFNGAQGVLGLGRGPISLATQLGRRFGNKFSYCLMDYTLAPTPRSYLVIGDSQGGGATNGSRMSFTPLIHNSVSPTFYYIGIESVNIEDTKLPISPSVWAIDELGNGGTVMDSGTTLTFLAQPAYTRIVNEFKRRVKLPQPAELTPGFDLCINVSGLPNPNPNLPKITFRFAGGSVFAPPTGNYFLDTAPDVKCLALQPVASPAAFSVIGNLMQQGFTFEFDRDQSLLGFSRHGCSQP >itb05g20600.t1 pep chromosome:ASM357664v1:5:26639646:26643037:-1 gene:itb05g20600 transcript:itb05g20600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNQRILVPFKLFFVVAVLLFLNVLVCAAALLETKVYIVYMGARQHDDVELITSSHHDMLATVLGRHGFSGFAAIMTKSQAQTVADFPGVVKVIPNSLYKLRTTRTWDYLGLSLNSATNLLHDSRMGDGIIVAVLDTGVTPENEAYNDRGLGPIPSKWKGYCESGEKFDPKKHCNRKLIGARYFIAGYLAEIGQPGNVTGINDYISPRDGNGHGTHTSSTAVGSVVSNVSYKGLALGTFRGGAPRARLAIYKIGWEDGKITDADSLKAFDEAIHDGVDVISASFGGDVPLSAELFPQADATSFGSFHAVAQGITVVAAGGNSGPAAQTVSNGEPWILTVAANTPDRAFPTLITLGNAQTFTGQSLFTGKDTGVVDLFYPTDPNSGLLCDDLTPENISPNDTQLVAGKVVLCFAGKHGLDLDVAGIVAEARAVVREIGASGIIVSQKPFTLLDAYDDDFPSVQVDYEVGTKILLYVRSTRNPKVRLSPSKTRIGKPLSSVVAKYSSRGPYTIAPAILKPDIAAPGTNILAAYVPENPAIPISTFQIISGTSMATPHVAGIVALLKATNPNWSPAAIKSAIVTTAWTIDPSSGQPIFNEGETMSKLADAFDYGGGIINPNKARYPGLIYDMSTADYLETLCAMGYDSRVISGLAGQTTSCNNGLSIFNVNFPSITIPNLNGPVTLTRTVTNVGPVNSVYKVMVEPPKGITVVVTPSTLNFSPNVKKISFSVQISTSYGSDTGYYFGSLTWNDGVNNVRIPISVKTMY >itb05g20600.t2 pep chromosome:ASM357664v1:5:26639703:26643014:-1 gene:itb05g20600 transcript:itb05g20600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNQRILVPFKLFFVVAVLLFLNVLVCAAALLETKVYIVYMGARQHDDVELITSSHHDMLATVLGSREAAVGLMIYSYRHGFSGFAAIMTKSQAQTVADFPGVVKVIPNSLYKLRTTRTWDYLGLSLNSATNLLHDSRMGDGIIVAVLDTGVTPENEAYNDRGLGPIPSKWKGYCESGEKFDPKKHCNRKLIGARYFIAGYLAEIGQPGNVTGINDYISPRDGNGHGTHTSSTAVGSVVSNVSYKGLALGTFRGGAPRARLAIYKIGWEDGKITDADSLKAFDEAIHDGVDVISASFGGDVPLSAELFPQADATSFGSFHAVAQGITVVAAGGNSGPAAQTVSNGEPWILTVAANTPDRAFPTLITLGNAQTFTGQSLFTGKDTGVVDLFYPTDPNSGLLCDDLTPENISPNDTQLVAGKVVLCFAGKHGLDLDVAGIVAEARAVVREIGASGIIVSQKPFTLLDAYDDDFPSVQVDYEVGTKILLYVRSTRNPKVRLSPSKTRIGKPLSSVVAKYSSRGPYTIAPAILKPDIAAPGTNILAAYVPENPAIPISTFQIISGTSMATPHVAGIVALLKATNPNWSPAAIKSAIVTTAWTIDPSSGQPIFNEGETMSKLADAFDYGGGIINPNKARYPGLIYDMSTADYLETLCAMGYDSRVISGLAGQTTSCNNGLSIFNVNFPSITIPNLNGPVTLTRTVTNVGPVNSVYKVMVEPPKGITVVVTPSTLNFSPNVKKISFSVQISTSYGSDTGYYFGSLTWNDGVNNVRIPISVKTMY >itb01g18510.t2 pep chromosome:ASM357664v1:1:24054203:24058288:-1 gene:itb01g18510 transcript:itb01g18510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSAQWQMRIAKSSTEASPMASKMWGSVVMKPNKRLAVKSSAKFRVFALQSDNSTVNRMEQLLNLDVTPYTDKIIAEYIWIGGSGIDTRSKSRTISKPVQDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPAGEPIPTNKRYRAAQIFSDPKVVSEVPWYGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHRDHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAETTLLWQPTLEAEALAAQKLALKV >itb01g18510.t1 pep chromosome:ASM357664v1:1:24054115:24058652:-1 gene:itb01g18510 transcript:itb01g18510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSAQWQMRIAKSSTEASPMASKMWGSVVMKPNKRLAVKSSAKFRVFALQSDNSTVNRMEQLLNLDVTPYTDKIIAEYIWIGGSGIDTRSKSRTISKPVQDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPAGEPIPTNKRYRAAQIFSDPKVVSEVPWYGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHIWCARYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHRDHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTALLAETTLLWQPTLEAEALAAQKLALKV >itb09g19270.t1 pep chromosome:ASM357664v1:9:15525251:15526549:-1 gene:itb09g19270 transcript:itb09g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHLSDLSSVVGVCATCLREKLFLLIEAQSHLHRSSNAAPAPRIVFPQSVSPYASRRESDTAATWRLSRHGLADQRFHATPQVGPTRELISNKKDKKKKNFRFSLFHHMFRSKSGDLDSDSDDRCNTMPSSSPSWFSTILAGRRGKKTRSLSLDEATIGVRQRTLRNCDRGMSPARYSDDDNEEDYQGGSSGQSSPAWKQTPRRTPAYQRGGGGGKAGLSRNAFCLSPLVWASPNQRWNQKGMPPEIVYPGEIRSPAKSRFHNATSFCKNRSRKLADFGRSRHNH >itb13g09450.t1 pep chromosome:ASM357664v1:13:13178334:13179131:-1 gene:itb13g09450 transcript:itb13g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGSQLHSLPSICSFGSSGMVTTCSSFISEMELKFVLNLVSVIVFLSFVFCIYTLRRRSKSARRQKLPPGPWKLPLIGSLHHFVGGSLPHRFLRNLSRKYGPITHLQLGEISTIVISSPELAKAITNTHDLVFANRPKMMSFDIVYYKCTDVGFSPYGDYWRQMKKICVLELLSSKMVKSFGSFTHEEELSVLISSINAGSPAPINLTEKICWFTSSTIAKAAFGRLRREYQERFIVLVKEALSLAGGFDVADMFPSKEMDSLH >itb11g17540.t1 pep chromosome:ASM357664v1:11:17490086:17492411:-1 gene:itb11g17540 transcript:itb11g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISWAKKEFARLKLQNPKRISLPPPPSLSPVVSISAAASQPAKDVELAVDLRCANCQKRIATAISNIDDLESIEVDVLVKTVKITRKSRST >itb05g18600.t1 pep chromosome:ASM357664v1:5:25335887:25337921:1 gene:itb05g18600 transcript:itb05g18600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETTVLTGILIICLYIFLKIFRTARRNRLPPFPGVPIPIIGHLHLLKPPLHRTLYRLSQNHGPIFSLRLGSRLVVVVSSPSLAEECFTTHDAVLANRPRNLAGKYIGYNNGTMAGLPYSDQWRHLRRLSAQEIFSAARLNSFLSIRQDEVKHLLQGLYRDSKTSFAKVEIKPKLGQAAFNVIMRMIAGKRYFGENDNQETNNVPELINEVLETAEASNPEDFFPLLRWLDCRGLKKKLAALGDRLDDFQQSLIDEHRREKRTSTMIGHLLSLQESQPLFYTDLTIKGLINNMIIAGTDTSAVTMEWVMSALLNHPEVMKKARAELDRVVGCGRLVDEPDLSELPYLQCIISETFRLFPAGPMLLPHYSSQPCKVGGYEIPSDTMLLVNAWAVHRDPGLWDDPMRFVPERFEGREGESSQLLMPFGMGRRSCPGAGLARRMIGLVLASLIQCFEWERVTKDQVDMTEGKGLTMPKAKPLEAMCKAREEMHKVLALS >itb06g16200.t1 pep chromosome:ASM357664v1:6:20396567:20397745:1 gene:itb06g16200 transcript:itb06g16200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRMEKKKQMKTSPPSQPAPSAVIHVSAVSGLAATQPPSSPRLPPLPTWPPSWHPGGSPSPRLAGQTPPSLSIKILHGENHTKLVVLPERGEAACKECGYWRWKLWVVRKQAAVGFFLGANCKEMRGGGDAVVDGGAAAVIECVDQLSEQIRSHEQGRRYMVINFE >itb08g04260.t1 pep chromosome:ASM357664v1:8:3380593:3381335:-1 gene:itb08g04260 transcript:itb08g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKLMMLTCGIFLALLFSFGVHVSHERPLNKAPNFLPNNVHGSHSLRHHDDHKVGPSDDDTDGGGAYGFVTGTNPGHSPGVGHSGGFQTIGSNV >itb13g25540.t1 pep chromosome:ASM357664v1:13:30892718:30896836:1 gene:itb13g25540 transcript:itb13g25540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFRTRKSIIKTLLPSPSTLIPYISSSPFSTLCRQHLRPIGGQTPPVAKKVPFTVSAHGKSWVDPYHWMRNPDDPDFITYLQQENSYAEAFMEDTHNLQKILYTEMISRMPSTIFTPPERWGPWLYYQYIPEGKEFPILCRKLAADSKGWMGKIANHIRSAYKKEDILLDWNEIAENYGTCRVSPDHNFLAYTIDISGDEEFVLQIKDLQSDCILPNLNINGVVSLEWAQDSRILFYTLTDQQQRPYRLQSLRLGSDCVDHAALFTENDSNFCLDIASTKDGKFITMNSNSRTSSEERNFTFLISVYFINATNPKTGLKKFCNRVSGVQYFLEHHHGYFYVLTNAPIIDDMALPESGNFYLARCRVENSQSTNLQNIIVPSGDIFLKDMDMFNEHLVLFINKEGSSSICSIPMKTIVSCEKQKDIEDLSPWFFPLPSDMCSVAPGSNHDFMNSVYRVIVSSPVMPDVIIDYDMSRKLSNVVMQEELTNISSWNDNGLEDRQGCEIQKTEGDIWRDVSGIYACESTEVISHDGVRIPLTILYSRKAHQKGRSPALLHAYGAYGEILDKSWCGNRLSLLDRGWAIAFADVRGGGGGPHPSWHRSGSGLNKLNSIRDFVSCGQYLVNEGYAHGNKLGAAGISAGSLLVGAAIGLHPGLFQAAVLKVPFLDICNTLLDPTLPLTILDYEEFGNPQIESYFNYILKYSPYDNISHELCFPATLVTASYNDSRVGVWEAAKWVAKIRDEACSRCCGSVILQTDMNGGHFREGGRLGHCQEAAYEYAFLMKALGDLQRDETTSANEVFGI >itb13g25540.t2 pep chromosome:ASM357664v1:13:30892718:30896836:1 gene:itb13g25540 transcript:itb13g25540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFRTRKSIIKTLLPSPSTLIPYISSSPFSTLCRQHLRPIGGQTPPVAKKVPFTVSAHGKSWVDPYHWMRNPDDPDFITYLQQENSYAEAFMEDTHNLQKILYTEMISRMPSTIFTPPERWGPWLYYQYIPEGKEFPILCRKLAADSKGWMGKIANHIRSAYKKEDILLDWNEIAENYGYVHVGTCRVSPDHNFLAYTIDISGDEEFVLQIKDLQSDCILPNLNINGVVSLEWAQDSRILFYTLTDQQQRPYRLQSLRLGSDCVDHAALFTENDSNFCLDIASTKDGKFITMNSNSRTSSEERNFTFLISVYFINATNPKTGLKKFCNRVSGVQYFLEHHHGYFYVLTNAPIIDDMALPESGNFYLARCRVENSQSTNLQNIIVPSGDIFLKDMDMFNEHLVLFINKEGSSSICSIPMKTIVSCEKQKDIEDLSPWFFPLPSDMCSVAPGSNHDFMNSVYRVIVSSPVMPDVIIDYDMSRKLSNVVMQEELTNISSWNDNGLEDRQGCEIQKTEGDIWRDVSGIYACESTEVISHDGVRIPLTILYSRKAHQKGRSPALLHAYGAYGEILDKSWCGNRLSLLDRGWAIAFADVRGGGGGPHPSWHRSGSGLNKLNSIRDFVSCGQYLVNEGYAHGNKLGAAGISAGSLLVGAAIGLHPGLFQAAVLKVPFLDICNTLLDPTLPLTILDYEEFGNPQIESYFNYILKYSPYDNISHELCFPATLVTASYNDSRVGVWEAAKWVAKIRDEACSRCCGSVILQTDMNGGHFREGGRLGHCQEAAYEYAFLMKALGDLQRDETTSANEVFGI >itb07g03100.t1 pep chromosome:ASM357664v1:7:2109572:2114012:1 gene:itb07g03100 transcript:itb07g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAPTADQTSELLQSLSLDTQTKALEIPEPTKKVSVDSTHAANGQIQPADRSLTPLIPDFMDPTLCYLPNGYPYYYGGYDGTGSEWEGYPRYVNAEGVEMPGVYGDNSSLVYQHGYGYTPYGPYSPATSPVPTVGHDGQLYSAQHYQYPTPYFQPLTSGPYPTPAAPAKGEIPISAAAADQATLTVDSANANSNGNANAGGIKGNIGSAPLRPAYQNSSFNMNGSYGRGTMPGGVASGYQDPRFAFDGLQSPLPWLDTTFSDGHSRAVNSNSFTPSNRSGIPSSRNQNSRQMGLQHPRPLSGTNTNYTTNGYMNRMYPNNYYGQYGNTFGSGLVYGSSGYNSRTNNRGWLAADNKFKPRGRGGGYYGFDNENLDGLNELNRGPRAKGSKNQKGFAPVALAVKGQQIPLTATNDNEKENSSLVPDREQYNRSDFPETYSDAKFFVIKSYSEDDVHKSVKYNVWSSTPNGNKKLDEAYKEAQLKSSGCPVFLFFSVNTSGQFVGVAEMVGPVDFNKKVEYWQQDKWIGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLQMIKIFKDHTSKQCILDDFEFYEDRQKRIQDKKAKQQLFLKQATEIKAAEEKKKEATNGELKSQIPAEVACEAIKKATPIAQSNGDIKPSESGSVANSGDLPSTAKVTPSESKSIANGVANGS >itb12g05930.t1 pep chromosome:ASM357664v1:12:4429891:4435855:1 gene:itb12g05930 transcript:itb12g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREDKGHNPEVIRESQRRRSANVDIVDEVIELDKVWRQRQFELDNLRKEFNKINKEVAKLKIAKQDASELIKNTEENKKLTAKKEEEVQEARAALYKRLEVIGNLVHDSVPVSDNEDNNAIIRQWGDKRTESGLKNHVELVKLLGIADLDKGAKIAGGRGYYLKDAGVDLNQALIMFALDFLRKRGYSRLQTPFFMRQDIMGKCAQLAQFDEELYKVTGEGDDKYLIATSEQPLCAYHMDDWIHPSELPLRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCMTSPNGNDSWDMHEEMMNNSEEFFQQLKIPYHVVSIVSGALNDAAAKKYDLEGWFPASATHRELVSCSNCTDYQSRRLEIRYGQKKGNDQSAKQYVHLLNSTLTATERTLCCILENYQKEDGVEVPKVLQPYMGGVTFLPFKSIPTGKDKKSK >itb01g20180.t2 pep chromosome:ASM357664v1:1:26462372:26463993:1 gene:itb01g20180 transcript:itb01g20180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAAAFSLLLLLLSFLSGGTFALKNQQKTWCVAKPSSDETTLKNNINYACSNVDCKILQKGCSCFYPDNFINHASIAMNLYYQANGRNXKQNFNSKDER >itb01g20180.t1 pep chromosome:ASM357664v1:1:26462372:26463993:1 gene:itb01g20180 transcript:itb01g20180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAAAFSLLLLLLSFLSVGGTFALKNQQKTWCVAKPSSDETTLKNNINYACSNVDCKILQKGCSCFYPDNFINHASIAMNLYYQANGRNXKQNFNSKDER >itb12g12410.t1 pep chromosome:ASM357664v1:12:11092833:11099739:-1 gene:itb12g12410 transcript:itb12g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSDSFEIPRDQNSRNGDIEMGNQRPMNSELGLDQFFKQVQDIEKQYDKLNKLLKKLQDAHEESKAVTKASSMKGIKQRMEKDVDEVGKIARLIKSKIEELDKDNLANRNKPGCGKGSAADRSRTATTVALKKKLKDKMAEFQTLRESIHQEYREVVERRVFTVTGARADEETIDRLIETGDSEQIFQKAIREQGRGQVMDTLAEIQERHDAVRELERKLLELQQIFMDMAVLVDAQGDMLDNIESQVSTAVDHVQSGNVALQKAKKLQRNSRKWMCIAIIILLLIVAIVVVGVLKPWNSNKGA >itb03g14630.t2 pep chromosome:ASM357664v1:3:14256923:14269814:1 gene:itb03g14630 transcript:itb03g14630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKQLRPHRSVGIRGKQAPGAELCDNNDARAEKDKLVEVDEPFFVEVDRSTWLSDEHMDISEIVLSDLSIKEEFYGYRLSDELYGDSRYLLRFRLDGVSQHLSRIKLGHWPVLSASSIVVELVAKHVKEGGEEHVVMVSGNLDGPDEGVSGLVHLASLKLLTLRPAMAVTFLEGLLSFRIRLEILRAAFEACETLLDNTRQLWKKSMISVMAWLRPEVTTSEARYGYNVIGDKDTGLGTDENSSASRKCAKLDVVSFYEAIKPSKEDPMLDDPLPNLVPELRPYQRRAVYWMVQREKGASEHSEPSKINPLISPLCMPLSLIDKSAAVYYNPFSGNVSLHPDSSFCYVSGGILADEMGLGKTVELLACVFAHQVTSSAIGSLSNSTQLEQDQRKNLKRLKRARVECICGSVSESIRYKGLWVQCDVCDAWQHADCVGYSPKKHKKMKASEEEMHRQDSSGKLKNRAKRKGIEEIVEMDGVYICRACSELIQATEAPVASGATLIVCPTPILPQWHAEIIRHTKPGSLRTCIYEGVRNSTLSETPLMDINELLSSDIVLTTYDVLKEDLSHDSDRHDGDRRFLRFEKRYPVIPTLLTRILWWRICLDEAQMVESNAAAATEMALRLHTVHRWCITGTPIQRKLDDLYGLLKFLKASPFDVFRWWTDVICDPYERGDEGAVAFTHKFFKPLMWRSSKGHVADELQLPPQEECVSWLSLSPIEKHFYQRQHETCVNDAHELIADLKDNIHKKKPQDSNDSLSGVVITNMDAAKLFNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILSVLVGKTKVEGEEELRKVVVALNALAGIAIIEKNIPQAISLYKEALALTEEHSEDFRLDPLLNIHIHHNLAEILPQNSDGLETVQSAPGSSKENSFMTEGVDDDIQSSLKSETRSMEAANFTIKDLYNPVVDSATNYSGDGSSDVEPEKQRLRTSCEILKQRYLSVFNSKLYMAQQEFRKSFEQVCNAFTDRKNQHTAWWLESLHHIEQNKDLSSELIRKIGEAVSGTMNTNRASRIASCFRSITALKYFIQTGLDSLEGSRKTLLDRLLEIDQTMGNPRKEDIERVRYCPKCYANTEGPMCVHCELDDLFQAHEASLFRLNKGKYGEAITSAEEAVNLQKKMSALNRFYSTLSQSNKNPTSLTLENEDNGSKRDTGERVMVSKSPSDLEVVLGIIKSNSRGLLDREGMSVATKQLLLLEAMRKEYPQARYLSIAQAQVLRAYDEISMATSRLRLREDENDKSIDALDLGELDVASAEFSSEKFLALSSLSRVKGQLRYLKGLVQSKQKQQAGSTHDTTSTQAMVTSRTSEENQNGSFTKAEEDACPICHEKLNSQKMVFQCGHVICCKCLFALTEQRSGHLGKPVTSWVMCPTCRQHTDYRNIAYAVDREHKSDEIPFDISENSEASITVQGSYSTKVEAVTRRILWINSKNSTAKVLVFSSWNDVLDVLAHAFAANDISYIRMKGGRKAHAAINHFRGQNSNSIGRGRSEDRQPETKPVQVLLILIQHGANGLNLLEAEHVILVEPLLNPAAEAQAISRVHRIGQAKKTLVHRFIVKDTVEESIYKLNKSRTADSFVSGNRKNQDQPVLTLKDIESLFRVDQNPSSGSLMHLPPSVAAALAAERRLAENTTSS >itb03g14630.t1 pep chromosome:ASM357664v1:3:14256923:14269814:1 gene:itb03g14630 transcript:itb03g14630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKQLRPHRSVGIRGKQAPGAELCDNNDARAEKDKLVEVDEPFFVEVDRSTWLSDEHMDISEIVLSDLSIKEEFYGYRLSDELYGDSRYLLRFRLDGVSQHLSRIKLGHWPVLSASSIVVELVAKHVKEGGEEHVVMVSGNLDGPDEGVSGLVHLASLKLLTLRPAMAVTFLEGLLSFRIRLEILRAAFEACETLLDNTRQLWKKSMISVMAWLRPEVTTSEARYGYNVIGDKDTGLGTDENSSASRKCAKLDVVSFYEAIKPSKEDPMLDDPLPNLVPELRPYQRRAVYWMVQREKGASEHSEPSKINPLISPLCMPLSLIDKSAAVYYNPFSGNVSLHPDSSFCYVSGGILADEMGLGKTVELLACVFAHQVTSSAIGSLSNSTQLEQDQRKNLKRLKRARVECICGSVSESIRYKGLWVQCDVCDAWQHADCVGYSPKKHKKMKASEEEMHRQDSSGKLKNRAKRKGIEEIVEMDGVYICRACSELIQATEAPVASGATLIVCPTPILPQWHAEIIRHTKPGSLRTCIYEGVRNSTLSETPLMDINELLSSDIVLTTYDVLKEDLSHDSDRHDGDRRFLRFEKRYPVIPTLLTRILWWRICLDEAQMVESNAAAATEMALRLHTVHRWCITGTPIQRKLDDLYGLLKFLKASPFDVFRWWTDVICDPYERGDEGAVAFTHKFFKPLMWRSSKGHVADELQLPPQEECVSWLSLSPIEKHFYQRQHETCVNDAHELIADLKDNIHKKKPQDSNDSLSGVVITNMDAAKLFNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILSVLVGKTKVEGEEELRKVVVALNALAGIAIIEKNIPQAISLYKEALALTEEHSEDFRLDPLLNIHIHHNLAEILPQNSDGLETVQSAPGSSKENSFMTEGVDDDIQSSLKSETRSMEAANFTIKDLYNPVVDSATNYSGDGSSDVEPEKQRLRTSCEILKQRYLSVFNSKLYMAQQEFRKSFEQVCNAFTDRKNQHTAWWLESLHHIEQNKDLSSELIRKIGEAVSGTMNTNRASRIASCFRSITALKYFIQTGLDSLEGSRKTLLDRLLEIDQTMGNPRKEDIERVRYCPKCYANTEGPMCVHCELDDLFQAHEASLFRLNKGKYGEAITSAEEAVNLQKKMSALNRFYSTLSQSNKNPTSLTLENEDNGSKRDTGERVMVSKSPSDLEVVLGIIKSNSRGLLDREGMSVATKQLLLLEAMRKEYPQARYLSIAQAQVLRAYDEISMATSRLRLREDENDKSIDALDLGELDVASAEFSSEKFLALSSLSRVKGQLRYLKGLVQSKQKQQAGSTHDTTSTQAMVTSRTSEENQNGSFTKAEEDACPICHEKLNSQKMVFQCGHVICCKCLFALTEQRSGHLGKPVTSWVMCPTCRQHTDYRNIAYAVDREHKSDEIPFDISENSEASITVQGSYSTKVEAVTRRILWINSKNSTAKVLVFSSWNDVLDVLAHAFAANDISYIRMKGGRKAHAAINHFRGQNSNSIGRGRSEDRQPETKPVQVLLILIQHGANGLNLLEAEHVILVEPLLNPAAEAQAISRVHRIGQAKKTLVHRFIVKDTVEESIYKLNKSRTADSFVSGNRKNQDQPVLTLKDIESLFRVDQNPSSGSLMHLPPSVAAALAAERRLAENTTSS >itb03g30110.t1 pep chromosome:ASM357664v1:3:31451000:31454945:1 gene:itb03g30110 transcript:itb03g30110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTRLKSRHQRRSEHPPPPPHRRRSWCCSFAVPPHSPDNPTSVHSSSKNPSSQKNELPFLGKSSISFPNSPQSQGSSKPNSLVVVTRIGPRRILSPGRVSPIDSLDETLLAPNPTLRGRGHSPSASIEIAKSPMPRECEVSSDDNAVREGGNLGIFDVRLNLKGKNGGGSLVLELSSQVLTANTLVFADLIADFRKKSKGLCRIEVPDVDNLGIFRETIELMFEEDIPKRLLKIGVYRTIDVLEVSASIKFTRGILSCLEYIEAMPWTEEEEEKLRNLFAKVKFDDETISRDIVARLYTQDSLDSQQKLARNLVLSVTTCNDANARNELKPLVKGLLCKNSFYEKECPDLNTEDIFAICRSCLGSLVTLLEEATSTNPSRKLAKEKDKPLIERISNQVDNINWLLEILLDHQMAEGLVDMWTHQVKLLHLHKCASPMLRYELSRVSAKLFIAMGTRKMHCRSETRLGLTQAWFKPMLLDFGWLQRCKKGLDIKALEEAMGQALLTLPLKEQYMLFMDWFRYFSKNGTECPNLSKAFQIWWRRSFLRGSDPYAVESR >itb01g08420.t1 pep chromosome:ASM357664v1:1:6779447:6783746:-1 gene:itb01g08420 transcript:itb01g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTVAATAGNNIGKVLQKKGTVILPPLSFKLKVIKAYAFNKAWVIGFLMDLFGAALMLRALSLAPVSVVQPVSGCGLAILSVFSHFYLKEIMNAIDWLGIAFAGIGTIGVGAGGEEQKASSVSIFHLPWLAFAVAILFVLLNGCLRIYKRQRREQELMQYEVLEEIIYGLGSGILFGMASVISKLGFIFLEQGFSKLLVPICISISICCSASGFIYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPSAPTARLFILLGWLCIIIGVMLLVTSKRVLRCLPRPLRRVLQTGTDRNLGQRQSSIGRNRDPSSTVIPAATLHHLISSLPKEKA >itb01g08420.t3 pep chromosome:ASM357664v1:1:6780549:6783746:-1 gene:itb01g08420 transcript:itb01g08420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTVAATAGNNIGKVLQKKGTVILPPLSFKLKVIKAYAFNKAWVIGFLMDLFGAALMLRALSLAPVSVVQPVSGCGLAILSVFSHFYLKEIMNAIDWLGIAFAGIGTIGVGAGGEEQKASSVSIFHLPWLAFAVAILFVLLNGCLRIYKRQRREQELMQYEVLEEIIYGLGSGILFGMASVISKLGFIFLEQGFSKLLVPICISISICCSASGFIYQVF >itb01g08420.t2 pep chromosome:ASM357664v1:1:6780227:6783746:-1 gene:itb01g08420 transcript:itb01g08420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTVAATAGNNIGKVLQKKGTVILPPLSFKLKVIKAYAFNKAWVIGFLMDLFGAALMLRALSLAPVSVVQPVSGCGLAILSVFSHFYLKEIMNAIDWLGIAFAGIGTIGVGAGGEEQKASSVSIFHLPWLAFAVAILFVLLNGCLRIYKRQRREQELMQYEVLEEIIYGLGSGILFGMASVISKLGFIFLEQGFSKLLVPICISISICCSASGFIYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPSAPTARLFILLGWYLYFLMSCSICFLSKP >itb05g26380.t1 pep chromosome:ASM357664v1:5:30303029:30305946:-1 gene:itb05g26380 transcript:itb05g26380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVLPSKGVKEFIKVKVVSRRLSYRVLVYSLLAFMLFRFTFLLTAVNTIHEESKCSGIGCLGRKIGPRILGRQPEPKVPDVIYQVLEQPADQTDIELRPEIPQTLEDLIAEFKGDKPDPKTFAVKLKAMVTQLEQRTRTAKIQEYFYRHVASSSIPKELNCLDLVLANEHSTNANARLQLPSPELVPALVDNSLFHFVLASDNVLAASVVASSLVKNSFHPEKVVLHVITDRKTYSPMQAWFSLHPLAPAIIEVKGLHHFDWLTKGKVPVLEAMEKDQKARSQFRGGSSAIVANKTEKPRIIAAKLQALSPKYNSLMNHIRIYLPELFPSLNKVVFLDDDVVIQTDMSSLWDIDMNGKVNGAVETCRGEDKYVMSKRFKSYLNFSHPLIAKNFDPNECAWAYGMNIFDLEAWRRTNISQTYHHWLEENLKSDLSLWQLGTLPPGLIAFHGHVHAIDPFWHMLGLGYQDNTSIADAESAGVVHFNGRAKPWLDIAFPQLRPLWTKYVDFSDKIIKSCHIRAH >itb11g16570.t1 pep chromosome:ASM357664v1:11:14815811:14817710:-1 gene:itb11g16570 transcript:itb11g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSHQLAGLFGILGKKHHLVHGVLVSTHVVFLITINSIGMFIETIYLVLYFVYAKKRGRILTSVAVLVFNIGVLGLIVVLTYLLSEGDKRVKIVGWILSVIAVCVFAAPLSIMMKVIRTKSVEYMPFNLSLFLTLCAVVWLLYGICIRDFYIATPNVLGFVCGIAQLILYAIYRDRKTAAVVAPNGEIQGVSIVVDATAAEMLQKVTDDSSDEIQETTSSVVVDMKAVEMQQGKTSTTNNGTVVPVRDDPIVATRN >itb07g24510.t1 pep chromosome:ASM357664v1:7:28789351:28792616:1 gene:itb07g24510 transcript:itb07g24510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHFSQEMGLQQQQQNAGVLRSMLPEQPSPSPSPKSPPPSTWLNTTTNNSSLLLLRQQQRHHFSSSDFLHLQTSNNNNNSGDSSTTSNHWLSPTADVKKHGGGESPPNEGASWERDKCKAELLNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSSLLAHTQPPLHDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDEDYEAGAGAGGDSDTNFFDGVADTMGFGPLVPTETERSLMERVRQELKHDLKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSSQKTKRKSAAEKLSSEHFM >itb07g24510.t2 pep chromosome:ASM357664v1:7:28789351:28792616:1 gene:itb07g24510 transcript:itb07g24510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHFSQEMGLQQQQQNAGVLRSMLPEQPSPSPSPKSPPPSTWLNTTTNNSSLLLLRQQQRHHFSSSDFLHLQTSNNNNNSGDSSTTSNHWLSPTADVKKHGGGESPPNEGASWERDKCKAELLNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSSLLAHTQPPLHDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDEDYEAGAGAGGDSDTNFFDGVADTMGFGPLVPTETERSLMERVRQELKHDLKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSSQKTKRKRYATLLA >itb07g24510.t4 pep chromosome:ASM357664v1:7:28789351:28793836:1 gene:itb07g24510 transcript:itb07g24510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHFSQEMGLQQQQQNAGVLRSMLPEQPSPSPSPKSPPPSTWLNTTTNNSSLLLLRQQQRHHFSSSDFLHLQTSNNNNNSGDSSTTSNHWLSPTADVKKHGGGESPPNEGASWERDKCKAELLNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSSLLAHTQPPLHDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDEDYEAGAGAGGDSDTNFFDGVADTMGFGPLVPTETERSLMERVRQELKHDLKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSSQKTKRKSAAEKLSSEHFM >itb07g24510.t3 pep chromosome:ASM357664v1:7:28789351:28791776:1 gene:itb07g24510 transcript:itb07g24510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHFSQEMGLQQQQQNAGVLRSMLPEQPSPSPSPKSPPPSTWLNTTTNNSSLLLLRQQQRHHFSSSDFLHLQTSNNNNNSGDSSTTSNHWLSPTADVKKHGGGESPPNEGASWERDKCKAELLNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSSLLAHTQPPLHDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDEDYEAGAGAGGDSDTNFFDGVADTMGFGPLVPTETERSLMERVRQELKHDLKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTVSTRINHKHFSHPHPLLH >itb14g15620.t1 pep chromosome:ASM357664v1:14:18933915:18938840:1 gene:itb14g15620 transcript:itb14g15620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT2G28305) UniProtKB/TrEMBL;Acc:A0A178VS96] MEVGNKEKEALQQSKFKRICVFCGSSPGKKNSYKEAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVYNGGRHVLGVIPKTLLPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVEGYYNSLLTFIDKAVEEGFISPNARQIFVSAPNAKELMNELEEYNPCHERVASKQKWEKDQLNY >itb10g22900.t1 pep chromosome:ASM357664v1:10:27552207:27553911:-1 gene:itb10g22900 transcript:itb10g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAMAACKICEQELAVYNHGGVYCRNCQNRVSVGDGQGGIQEPGNTSYGRRKFGNILMKKSSGGLKSLSSLSIMPSLSSISSREELPSGKRALLCGVTYQKEKFKLRGTLQDVKNMRDLLVEHFSFQPASILILAEEEPYRAPTRKNILEGFKWLMKNLRPGDSLVFYFSGHGLRQPEFDGDEIDGFDETICPLDFKSEGMILDNAINDIIVRPLRQGIKLHAIVDACHSGTILDLPRVYNRKEKRWANNEPPSRTYKGTLGGKAICFGACEDHQMAADTSAFSSGKEMNGAMTYTFIRAIKENPNITYGGLLNYMHQSIVAANRGRCLPSLNLFNRKLEQDTVLSSSQDFDINQKLNL >itb09g10760.t1 pep chromosome:ASM357664v1:9:6642260:6642769:-1 gene:itb09g10760 transcript:itb09g10760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVATKLKEMAQELSPVVLGSRSGQPATKTKSDETKLCIYRSTYKQCSMIDEEEQSDDHPLLLSKYGFSLLLPLQPTEFYVKAKALSFTADTVIVAIGEHLQIMKPNNLHGNSVSLSIQLNYSSSSSSTSRNSDDDVAQNKISLRDQIVIVIIFILLYQVFWYIISWF >itb14g08090.t1 pep chromosome:ASM357664v1:14:7417701:7425535:-1 gene:itb14g08090 transcript:itb14g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFSIFTSTTERRQLFHRIINVDSPLLPADEDRVLASIMENPELLESLTDKKSHQLRALARNVTAEPWQIPLAISDNEPVLAVSQFMPSTQTSTVRSLPISVEGTSSIPTARKLDMSIILPEMFTASLSSELLFAPSVPKSGLSIPIPSGDPYDPATHNLPSFMPVNVSHLPGLVTLSDFVNSVTSNLVIRNVAQFAKMHPIPSSGPDISILVSVPMSSVKSEHAHDPVSRTQESIPSILVPLAHSSQKGKEKMSFAGTSEKRKLDSLDEVVFISETKQHKKGSSSFPPRMTRSHTRSLPVAPTASAPSEIKKSYRPILLSPTLLSTSSTVPKEQTTLLARKQLAATIQTSLAHLRSSIESQQVTITGLEVILEDQTQEIARLENIHARIIAACRARGPTSSSSEDDDVFLQIIHHVRAPRQQAQEGESHRLRDGIGGSVELRESGHATASSEDVGSSGGVRSFLLAQQPEIMRAAEKDEH >itb10g21440.t1 pep chromosome:ASM357664v1:10:26647930:26648577:-1 gene:itb10g21440 transcript:itb10g21440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTSRGKRTTFFHLIRFFIFFLTCFNGGEANCGSGCGLALASYYVWQGCNLSYISNIFGREIPEIVQYNPGIHNSDSISSDIRINVPFSCDCINGDFLGHTFEYETVAGDTYRKIATSAFANLTDEYWLNRVNRFRPNDIPDRVPINVTVNCSCGDGSVSEDYGLFLTYPLRRGQNLSSVAEECGVPANLLRRFNPGADFAAGSGIVFVPAKG >itb02g03310.t1 pep chromosome:ASM357664v1:2:1908306:1911280:1 gene:itb02g03310 transcript:itb02g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDIIPPSRSSRRLTADLLWGPADLSSNNGGKKKNRNSNSSGSFYSRPSRSGIADIDNDFEADFQHFKDYSDEEVEVKPFDFSASKQPGFSSGSNSDLTKDTDKSSKRKRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTFNTAEEAARAYDVEARRIRGKKAKVNFPDEAPISGPGCNVKPNARKVLQKESPQPDMSFTNIMDNAYYEPVNSLDEKPLTKQNEIIDVYAAPGDMSHTSYAPSDTANLFFNSEEGSNSFGCSELGWGDLSSRTPEISSVLSAAVEADEALFAEEANQAKKLKSSSENLVASDGNTLNKLSDELSAFEAEMKFFQIPYLEGNWEASVDTFLNGDATQDGGSAMDLWSFDYAPTLMGNEF >itb02g03310.t2 pep chromosome:ASM357664v1:2:1908317:1911280:1 gene:itb02g03310 transcript:itb02g03310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDIIPPSRSSRRLTADLLWGPADLSSNNGGKKKNRNSNSSGSFYSRPSRSGIADIDNDFEADFQHFKDYSDEEVEVKPFDFSASKQPGFSSGSNSDLTKDTDKSSKRKRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTFNTAEEAARAYDVEARRIRGKKAKVNFPDEAPISGPGCNVKPNARKVLQKESPQPDMSFTNIMDNAYYEPVNSLDEKPLTKQNEIIDVYAAPGDMSHTSYAPSDTANLFFNSEEGSNSFGCSELGWGDLSSRTPEISSVLSAAVEADEALFAEEANQAKKLKSSSENLVASDGNTLNKLSDELSAFEAEMKFFQIPYLEGNWEASVDTFLNGDATQDGGSAMDLWSFDYAPTLMGNEF >itb14g04290.t1 pep chromosome:ASM357664v1:14:3868323:3870139:-1 gene:itb14g04290 transcript:itb14g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKPFVFKAIVCLSFIVELVKSDPDPLQDYCVADTKNTKNLFLNGVECINPDLAVASNFATSTLAKPGNTKNTFGFNVTATNVAVLPGVNTQGLTLARVDLAPGGLVPPHTHPRASEVTIVLKGALLVGFVDTSNRLFTQQLRAGDSFVFPKALIHFLFNMDTMKPALALSGLSSQNPGAQLTSLATFASKPPVPDEVLKKAFQINGVDLAKIRKNLGG >itb01g22020.t1 pep chromosome:ASM357664v1:1:27975603:27976058:1 gene:itb01g22020 transcript:itb01g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNEQQVAAARISFSNDFADSSQHPITLRQDFSYKEAPVSSDNFEFSVSGYTMISADQVFCKGKLLPLKDSKTTTTLRDELLQGNDDDNDYGDLFPRIMQPNKGWRERLGLKRSHIIFPRKPAHNKNNNLERIDETKVPDLFNATQHKSK >itb06g06830.t1 pep chromosome:ASM357664v1:6:9528314:9533861:-1 gene:itb06g06830 transcript:itb06g06830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGFAIPDQAPSMIIPTQMKRVPEDDSAADAAGEEAMATVEEDAVDPVVRGFVKDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAIREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRLELGGVDLTKLLAEKLSKSNPMVKLKMSDVEKIKEQYACCAEDDIAYEKMQQSCLEEEHTLPDGQVIKIGKERYTIGEAFFQPSILGLETHGIVEQLVHSISTVSSENHRQLLENTVLCGGTTSMTGFEDRFQKEASLCSSAISPALVKPPEYMPENLVMYSAWVGGAILAKVVFPQNQHITKADYDETGPSIVHKKCF >itb12g11490.t1 pep chromosome:ASM357664v1:12:9792146:9793204:-1 gene:itb12g11490 transcript:itb12g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDWVPQTQVLAHKSIVCFVNHCGYGSMWEALMSDSQLVFVPNIIDQILNTRLMADELGVAVEVEKGGNGWISKENLCKAVRSMMDEDSQIGCLMGIFQWKRGVELLL >itb10g02630.t1 pep chromosome:ASM357664v1:10:2245170:2246730:-1 gene:itb10g02630 transcript:itb10g02630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLKLLVALALVFATSAYLATSRTLSDSLMVVRHEQWMAQYGRVYKNEAEKTKRFNIFKENVEYIESFNKAGTKPYKLGINAFADLTNQEFKASRNGYKLPHDCSSNTPFRYENASAVPTTVDWRTKGAVTPVKDQGQCGCCWAFSAVAAMEGITKLSTGNLISLSEQELVDCDVKGIDQGCEGGLMDDAFTFIINNKGLTTESNYPYQGTDGSCKKSKSSNSAAKITGYEDVPANSESALEKAVANQPVSVAIDAGGSDFQFYSSGVFTGECGTELDHGVTAVGYGIAEDGSKYWLVKNSWGTSWGEKGYIRMQKDIEAKEGLCGIAMQSSYPSA >itb13g03110.t1 pep chromosome:ASM357664v1:13:3066833:3069392:-1 gene:itb13g03110 transcript:itb13g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVNISIATKRLSPSVLQSRCKSPDSCLMSQQENTIGIISGLSAMATLTFLEKLQFWSSRNAKQQHVPPFIVCSDPATRKNNNIAENLLQKRLFLEESGAGCIVMPCHMCHQWYPHVSKGCSVPFLDVSECVATEVKEANLKPVEAGRSNVRIGVLAAADSPLVATFYQHKLHSQGLECILADKPTTEHMVIPMMEALKQKDMEGARNLLRIAVQVLLVRGVNLVILAADEFQGLLPCDDPLLNKCIDPMDALARSAIKWAHQSKQIIIDQ >itb13g03110.t2 pep chromosome:ASM357664v1:13:3066833:3069392:-1 gene:itb13g03110 transcript:itb13g03110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETISVQKFNYSPIHRGNAYKKRADLMTTKVNISIATKRLSPSVLQSRCKSPDSCLMSQQENTIGIISGLSAMATLTFLEKLQFWSSRNAKQQHVPPFIVCSDPATRKNNNIAENLLQKRLFLEESGAGCIVMPCHMCHQWYPHVSKGCSVPFLDVSECVATEVKEANLKPVEAGRSNVRIGVLAAADSPLVATFYQHKLHSQGLECILADKPTTEHMVIPMMEALKQKDMEGARNLLRIAVQVLLVRGVNLVILAADEFQGLLPCDDPLLNKCIDPMDALARSAIKWAHQSKQIIIDQ >itb07g13420.t1 pep chromosome:ASM357664v1:7:15681135:15681577:1 gene:itb07g13420 transcript:itb07g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQYKEDHDEVTVMGFEVPRSPDSSYNNVYPGNEDEGREPPLFPPHLHQTVLNHPANRDQSASLPSPQNVVLNHLYIENSNRETPRTVVALGTTHRFRSKYVTVVLYKPVPRRGGST >itb04g13460.t1 pep chromosome:ASM357664v1:4:13546521:13549160:-1 gene:itb04g13460 transcript:itb04g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSSGGAISTTPTATATVTAAATVTTTAPPPSSSAHATYADSDFSPRSRNTESWDEPPSFPGGGGGKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIMVVDRHTSLSDLSLRLSKTLLNGRAFLLKYQLPSEDLDSLISVTTDEDLENMIDEYDRINANSTAARASRLRLFLFPSKSEAMSSIGSLIESSTKSDDWFLNALNGATSTSTKVFSESSSVNCLLGLDDDIGNGNSTGKDAETQLDGSFSGKPGRVNNAKINVPDIQSVPDSPMVDTTSSFGSTSSSPSMANLPPIKVHVDENQRAGIEEQLSQMSVGARVEQKQEDGGFAALSSPPPPPLPAMVAGTVVSTVPAVVGGEYPNRVFSDDERSDYSAPVGHRKPPQTQHFQQQPKASPPPDLPSPSDSISSDSSITNPLSRQKHYVYQEPIVQIQAGNRVSANPLEPKSSDQNTRAPVPQDSGYVLQSQYDQHQQFVHTGQFIHHAPAGAVPITSYYPIYPPQQQHHPQHPALEHQYPFYIVQARPTQAYNLPVQQTSYSDSAPAATPNRPQTPPQPTIVAPSSAYNPSRSIPASKPEMATGTYRTPTGTAQQLVQIAPGQHPQQYVGFSQIHHPSQSIAPTSAAATNYAYEFSDPAHAQIYYTQPLPPQMGAQHQTMTSVHPAVLPEASSQLPVENFKQQIRTSQP >itb15g00950.t1 pep chromosome:ASM357664v1:15:549284:549772:1 gene:itb15g00950 transcript:itb15g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEIFGFVLLFLAWVAWAIVNERSHRRLEEVGQLPPGPRRWPVVGNIFQLGWTPHVSFTDLASKHGPIMTLWLGSMSTVVISSDEATREMFKNHDVVLAGRKIYEAMKGDFGNEGSLITAQYGPHWRMLRRLCTTEFFVTSRLDALRGVRTKCIDHMLRYC >itb14g09650.t1 pep chromosome:ASM357664v1:14:10177220:10181454:1 gene:itb14g09650 transcript:itb14g09650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDVDVKEDSDRLNVEAYENDELSLVDVKESICEGDLSKCPALMFNKIVYNVEHAWENTSARNANFFMMISQRNSTTVMNVEYVDNFRRIVDPTILINAKGSKPRKQIVLDGPVSCGKSIALAMLVHWAREEGWLVFYVPRGREWTHGGLFYKNPTTGLWDTPVQAANILKDFIKYNEQHLQKLPCKIFDPISLGEGAGVGHPKGDQTMAIPEGLTLFDLVESGKHVSTGHKSYWILSQSESINSAFDKARMLNNQGLRTMWNVLRWSKPPQGRIKVSVDGSSNPMTNSASCGELARNNQVD >itb07g00510.t2 pep chromosome:ASM357664v1:7:358881:361599:-1 gene:itb07g00510 transcript:itb07g00510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGFILDHTFIHITESKEAMKEFLKLGHEDAFKVMEVELNFMYDAMFTKMASVQWISWFGYLYRFVLHISLIVVTIIFFYRNKRNIHVRDISVTYILLGGAVLLDSIAMVKLVFSEWTVVIMKDAQEEYERLKRRRDEEYHRIDPRGMVKYVKKRFEEWVLCVKRNERIIKIVNFLKNRISPKQRWSETMKQYSLLNHSLNSRWEWVDKILDYLGLIERIDSFQYTKLEPVEKSLRKMVFEYIKKEAERHHPPESGGETAPHEDKDPIWAKDGQMPELSKICSTLQKYRYKYDIRVLILHIATDICYYAIDDEEEEKDENRETCQNISEYLAYLLVVEGKITSAMPGNVGMRFRDICWEEVEHTREELTSRLASKQPSYFKYMKYMLESTWEDIKEAFTNLKNSRNKNAAGKNKKRVRTRRELHIRRWEDRKRRVGSEKLLWDVGDEEGKCSGSKSVLKEAVQLAKGLKRFSDHVPNAGGGGGGDGGSRSKEMWWFLSDMWVKLLLYAGSHCRGDVHYLNKGGEFLTFVRLMMAHSGLKQQFKNEVAGGNQVDAQIDQTFL >itb07g00510.t1 pep chromosome:ASM357664v1:7:358881:362549:-1 gene:itb07g00510 transcript:itb07g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGNPVQQLQKVLRSVWGKWDIQTIILGSLLFQTILVLLGPMRKNRKSAMTLLLIWVIYLTAENVASFAIGLINSSDASDPSFQIAAFWAAFLLLHLGGPHTITSFAIEDNDLWHRHLLSLVVQVFLVLLVFYRSKIYLNPDLRVPTLIVFVIGVVKYAERTRSLYLASQPIMRRSMRKEKPRDETEAEQETGYRDLEADTATRDEVEIVHKGYKLYKMFRGFILDHTFIHITESKEAMKEFLKLGHEDAFKVMEVELNFMYDAMFTKMASVQWISWFGYLYRFVLHISLIVVTIIFFYRNKRNIHVRDISVTYILLGGAVLLDSIAMVKLVFSEWTVVIMKDAQEEYERLKRRRDEEYHRIDPRGMVKYVKKRFEEWVLCVKRNERIIKIVNFLKNRISPKQRWSETMKQYSLLNHSLNSRWEWVDKILDYLGLIERIDSFQYTKLEPVEKSLRKMVFEYIKKEAERHHPPESGGETAPHEDKDPIWAKDGQMPELSKICSTLQKYRYKYDIRVLILHIATDICYYAIDDEEEEKDENRETCQNISEYLAYLLVVEGKITSAMPGNVGMRFRDICWEEVEHTREELTSRLASKQPSYFKYMKYMLESTWEDIKEAFTNLKNSRNKNAAGKNKKRVRTRRELHIRRWEDRKRRVGSEKLLWDVGDEEGKCSGSKSVLKEAVQLAKGLKRFSDHVPNAGGGGGGDGGSRSKEMWWFLSDMWVKLLLYAGSHCRGDVHYLNKGGEFLTFVRLMMAHSGLKQQFKNEVAGGNQVDAQIDQTFL >itb01g21650.t1 pep chromosome:ASM357664v1:1:27725047:27729309:1 gene:itb01g21650 transcript:itb01g21650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSVLRRASVYCRRFTAVLGDENSLSSGFFLRRCHCATTTAQAGAEREGESAKRRDKWFTLPPYTPSIDGAALGKELAGRRSDTMGKTVTTLTALKWVLHCCPELPRSLVQKLFRLRQVRRVSAPDQEQQCKRVNAKDFMNTGDKILLPVTVEKFPAEKEVEHLYSEEQREFLHSIELYKDPTILVVNKPPGMPVQGGVGIKRSLDELAAKYMRYGYPEPPRLVHRLDRDCSGILVMGRTQLSATVLHSIFREKTFEASNDDLESTKRNLQKKYWALVIGCPRRAGGIISVPLGKVMVDNGKSDRITVMDDDKAQSAQFAVTEYRVIEASDKGYTWLELSPLTGRKHQLRVHCAEVLGTPILGDYRYGWQAHRKLKHLHLPRSASDTLSERLLKEDPFGLGLGNGSVSDKQPHLHLHCKEMVLPNISLALQRAQQVSDSNLADVETIKLVAPLPPHMQRTWDHLNS >itb07g09590.t1 pep chromosome:ASM357664v1:7:9137118:9138663:1 gene:itb07g09590 transcript:itb07g09590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKPFHLHLLLDKTNDRRERRPLVTSGGVDRQAAKTNVVWFRFPSKSNDSRRPSSCRRHHMKKTGGEHRHGRRRCYYSRKWWPAVVLGWPDGGTVVCRAGVKEGWWWFGKV >itb09g17000.t1 pep chromosome:ASM357664v1:9:12214784:12222527:1 gene:itb09g17000 transcript:itb09g17000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTLLLPPLVFFILFSLSSPSALSLRHGSRQIRALTQHYKAKRSSNSGIDTTTHFTSEIYSELDSLILPQEGLKEKDRIERLPGQPTVRFDQYGGYVTVDEAAGRAFFYYFAEGYSKKESLPLLLWLNGGPGCSSLAYGAMQELGPFRVLSDGKTLFQNKFAWNHGANVLFLESPAGVGFSYSNTTSDFEKGGDKKTAKDNYIFLLNWLERFPEYKDRDFYIAGESYAGHYVPQLAHTILTHNLHANKTIINLKGILIGNAVVHDEEDSKGMYQYFASHALISDETLDQILKYCSFSEGSDDDKQSTKCGAAMEIVGHNTGVIDIYNIYAPWCKDTNLTAKPNKPSLVIDPCSDYYVYAYLNRPDVQKALHANVTKMQYDWEPCSDVLRDWVDSAFTVIPLIEDLMSNGIRVWIFSGDIDGRVPVTSTKNSLKKMKVSPKSEWHPWFLDGEVGGYAQKFERNLTFATVRGAGHQVPSYQPARALSLLLHFVSGTDLRDSSRHS >itb02g12740.t1 pep chromosome:ASM357664v1:2:8795275:8795562:-1 gene:itb02g12740 transcript:itb02g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEWGSIPSSKEFRECSHCKATETPQWCKGPMGLNTICNPCGVRYRTGRLHSSYHRKVVEMRRKGDEEAATVEDDSVKRDAKEEMKKKMEEAKK >itb10g07310.t1 pep chromosome:ASM357664v1:10:8629299:8633511:-1 gene:itb10g07310 transcript:itb10g07310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRSSLKLFFFVIIFILLAPQALSESDECAAEANNSCNNKARALKLKIIAIVSILMTSMIGVCLPLVTQSVPALSPERSLFVIVKAFASGIILATGFMHVLPDSFDMLSSACLKDNPWHKFPFTGFVAMLSAIVTLAIDSMATSLYAKKQSPGTSGHVVPEGDREMGVEVVSGGENNNFQFHGHHHGSVAVGKDDGSKLLRKRVIAMAEYKLVKKVVMAFFFSVTTPFGIALGIALSTTYRENSPRALITVGLLNASSAGLLIYMALVDLLAADFMGSKMQGSIKLQIKSLAAVLLGAGGMSLMAKWA >itb08g00290.t1 pep chromosome:ASM357664v1:8:273594:274653:1 gene:itb08g00290 transcript:itb08g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEENPPAHHSPEKKNERALSLVPLSPASQSETDGAGSAKDRDDALARVELEKRLALIKAWEENEKTVADNKAQKKLTAIGSWENINKATMDAQLRQIEENIEKRKAEHRAKMKNKVAEIHKVADEKRAVVEAQRKEEILKAEDMAAKFRSSGYTPKRFLGWFGC >itb12g03120.t1 pep chromosome:ASM357664v1:12:2070417:2077173:-1 gene:itb12g03120 transcript:itb12g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAIGNGLDLAIVIATAVMVAAGAVWLVVARRKETRKPDNNDGEIDGRVPRGSSGWPLLGETLDFIASGYTSRPVSFMEKRKSLYGKVFKTHLLGKPIIVSTDPEVNKVVLLNHGNIFVPSYPKSVTELFGKNSILQINGSLHKRVHALIGTFLKSPLHKARLTTHIHNTVRRSLSAWADKNGLVIYLQDHAKQIAFEVLVKVLLSVEPGPDLDFMKREFEEFMKGLICFPVKLPGTTLYKSLKAKKKLLKMVGKMVEERKNEIEKRDDQRSSTIDAIDVLLRDTGESDVTRQRLPSDFITSNLIEMMIPGEETLPTAMTLVIKFLSDNPVALAQLVEENMELRKLKLNSGEEYIWTDYMSLSFTQNVISETLRIANIINAVWRKAQKDVKIKGHLIPKGWCVLASLTSVHMDEENYENPYEFDPWRWEKPGININSSKFTPFGGGQRLCPGLELSRLEIAIFLHHFVTTYRWVAEEDEIVYFPTVKMRRKLPIAITPLAVEHLL >itb06g03310.t1 pep chromosome:ASM357664v1:6:5596223:5597055:-1 gene:itb06g03310 transcript:itb06g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNKVVRPVTNFFPSLWGDEFQHFVFDNEVAERYAQEIEVLKRQVRSMLKSIRMSELAEKLNFIDTIERLGISYHFDEEIDAMLKEIYNDNSKFKVGEDLCTCALMFRLLRQHGHNISSGIFDNFQENGKFKDTLSNNVEGLLNLYEASHVIGHNDNNLKDAYTFSRNHLEVVVLQLKSTLKKQVRHALEQPLHKGIPRVEISYFVRVYQEDESKNDVLLHFAKMDFNLLQMYHKQELCEMKR >itb11g21530.t1 pep chromosome:ASM357664v1:11:23247780:23253734:-1 gene:itb11g21530 transcript:itb11g21530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSAPMEVSMENAPDGGVSDHNGVLEEPPPLPKSPPRVQEEKILVSVEVCLKPSSTARLDDIRLAVERMLEKRSMSYVDGPIPVPLDDPLLAENVQRICVCDTDMWLENGHILLFWQVKPVVHVFQLSEEGPCEEMSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIVLLHGPPGTGKTSLCKALAHKLSIQFNSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFSKIQEMVEEESNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKSAPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRAGILSNSHTLGAEQLIFPVFSSLRDHIGKAVTPGSQPQFQLCKLLLEAAESCEGLSGRSLRKLPFLAHAALANPHSCEPDKFLHALIETAKRQRAEASD >itb05g24830.t2 pep chromosome:ASM357664v1:5:29514104:29515642:-1 gene:itb05g24830 transcript:itb05g24830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRFSRLGSQYLIRRRSQQLLRAGNPTPHFHTLKPSHSKSNLSRTHFPIASNYTTSAPETKPISSEAVLGIVDEISGLTLLEVSDLTETLRKKMGVEEMPVMTMMMPGMGFSPGGLKGKGGAAKAEEKAEKTVFDLKLEGGFDSGAKIKIIKEVRSFTDLGLKEAKELVEKAPAVLKKGVTKEEAEKIIEKMKGVGAIITME >itb05g24830.t1 pep chromosome:ASM357664v1:5:29513103:29515682:-1 gene:itb05g24830 transcript:itb05g24830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRFSRLGSQYLIRRRSQQLLRAGNPTPHFHTLKPSHSKSNLSRTHFPIASNYTTSAPETKPISSEAVLGIVDEISGLTLLEVSDLTETLRKKMGVEEMPVMTMMMPGMGFSPGGLKGKGGAAKAEEKAEKTVFDLKLEGGFDSGAKIKIIKEVRSFTDLGLKEAKELVEKAPAVLKKGVTKEEAEKIIEKMKGVGAIITME >itb15g12990.t1 pep chromosome:ASM357664v1:15:10898115:10899017:-1 gene:itb15g12990 transcript:itb15g12990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSKTTKGAGGRKGGERKKAVTKSIKAGLQFPVGRIARYLKKGRYAQRCGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAVRNDEELGKLLQGVTIAAGGVLPNINPVLLPKKSAASEEKASQKTPKSPKKA >itb06g16330.t4 pep chromosome:ASM357664v1:6:20495087:20500463:1 gene:itb06g16330 transcript:itb06g16330.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVKKGKPDKVCDVAEKVMVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSNTSGRKWGFPRFAGDCASGHKKSHSGTSSEEKSDITDSCSQMILQLHDVYDPNKINVKIKIVSSSPCGVVAAEAKRIHANWVVLDKQLKHEEKRCMEELHCNIVVMKRSHPKVLRLNLVGSPKKGTEATTSLSPKQSEVYEKREADKNGPLNSTRGPLVTPTSSPEIFTATEGGTSSVSSSDPGTSPFFNVEVSRDLNKEVLLAQKEDQDGSESSSGSDDEKLSTSSSLRFHPRMSDIVNQQCLPSQNQQEGSGRCSRLAQISTSKVLLEKFSDEGCFGSPSLRSDMDFSGNVREAVSLSKSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATCGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYTQSGQITEKADVYSFGVVLLELVTGRKAVDLNRPKGQQCLVEWARPLLEEYAIEELIDPRIQKCYSEDEVYCMLHAASLCIRRDPQARPRTSQVSNLFLIIVMLELYMHLL >itb06g16330.t2 pep chromosome:ASM357664v1:6:20495087:20500463:1 gene:itb06g16330 transcript:itb06g16330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVKKGKPDKVCDVAEKVMVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSNTSGRKWGFPRFAGDCASGHKKSHSGTSSEEKSDITDSCSQMILQLHDVYDPNKINVKIKIVSSSPCGVVAAEAKRIHANWVVLDKQLKHEEKRCMEELHCNIVVMKRSHPKVLRLNLVGSPKKGTEATTSLSPKQSEVYEKREADKNGPLNSTRGPLVTPTSSPEIFTATEGGTSSVSSSDPGTSPFFNVEVSRDLNKEVLLAQKEDQDGSESSSGSDDEKLSTSSSLRFHPRMSDIVNQQCLPSQNQQEGSGRCSRLAQISTSKVLLEKFSDEGCFGSPSLRSDMDFSGNVREAVSLSKSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATCGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYTQSGQITEKADVYSFGVVLLELVTGRKAVDLNRPKGQQCLVEWARPLLEEYAIEELIDPRIQKCYSEDEVYCMLHAASLCIRRDPQARPRTSQVSNLFLIIVMLELYMHLL >itb06g16330.t3 pep chromosome:ASM357664v1:6:20494838:20500463:1 gene:itb06g16330 transcript:itb06g16330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVKKGKPDKVCDVAEKVMVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSNTSGRKWGFPRFAGDCASGHKKSHSGTSSEEKSDITDSCSQMILQLHDVYDPNKINVKIKIVSSSPCGVVAAEAKRIHANWVVLDKQLKHEEKRCMEELHCNIVVMKRSHPKVLRLNLVGSPKKGTEATTSLSPKQSEVYEKREADKNGPLNSTRGPLVTPTSSPEIFTATEGGTSSVSSSDPGTSPFFNVEVSRDLNKEVLLAQKEDQDGSESSSGSDDEKLSTSSSLRFHPRMSDIVNQQCLPSQNQQEGSGRCSRLAQISTSKVLLEKFSDEGCFGSPSLRSDMDFSGNVREAVSLSKSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATCGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYTQSGQITEKADVYSFGVVLLELVTGRKAVDLNRPKGQQCLVEWARPLLEEYAIEELIDPRIQKCYSEDEVYCMLHAASLCIRRDPQARPRTSQVLRILEGDLIMDSGYMSTTPGYDVGSRSGRMMWSDSSPKYQRYSGPMLDEVLDGFNPKLSFDKWRNPNPNRDIR >itb06g16330.t1 pep chromosome:ASM357664v1:6:20494825:20500553:1 gene:itb06g16330 transcript:itb06g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVKKGKPDKVCDVAEKVMVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSNTSGRKWGFPRFAGDCASGHKKSHSGTSSEEKSDITDSCSQMILQLHDVYDPNKINVKIKIVSSSPCGVVAAEAKRIHANWVVLDKQLKHEEKRCMEELHCNIVVMKRSHPKVLRLNLVGSPKKGTEATTSLSPKQSEVYEKREADKNGPLNSTRGPLVTPTSSPEIFTATEGGTSSVSSSDPGTSPFFNVEVSRDLNKEVLLAQKEDQDGSESSSGSDDEKLSTSSSLRFHPRMSDIVNQQCLPSQNQQEGSGRCSRLAQISTSKVLLEKFSDEGCFGSPSLRSDMDFSGNVREAVSLSKSAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATCGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYTQSGQITEKADVYSFGVVLLELVTGRKAVDLNRPKGQQCLVEWARPLLEEYAIEELIDPRIQKCYSEDEVYCMLHAASLCIRRDPQARPRTSQVLRILEGDLIMDSGYMSTTPGYDVGSRSGRMMWSDSSPKYQRYSGPMLDEVLDGFNPKLSFDKWRNPNPNRDIR >itb05g03730.t1 pep chromosome:ASM357664v1:5:3183718:3184437:1 gene:itb05g03730 transcript:itb05g03730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGNIFVLTLTDNDEHRLHPVLINSISAALRQARAEATGPSVLITTAQGKFFCNGYNLNWLLQDAARRSKIMCSLVQRLVADFFALPMPTIAALTGHACGGGFGLALCHDYILMWRDRGFLCMNELDIGRKLPAWFFALMKHKIASPSAQRDIALRATMLTGDMALQKGIVHSVYNSAEETFKAAEQLGLELVSRNWNGKIYAEMRTTMFAEVLHLLQNDDTAENETVEIASRL >itb09g25330.t1 pep chromosome:ASM357664v1:9:25311522:25314102:1 gene:itb09g25330 transcript:itb09g25330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRHFELRLISAPLPLPRHDATVHHCHHCLNPPQSPVVSPLRLHQSPPSSRRLASATMTKIIKEMLPPDWCFSLNHIEKLLIFLHSTKASAKEGSVASYGGIGPSRRCIVLDIEGTTTPISFVSDVLFPYGRDNVGRHLDITYNTAETQDDIKLLRAQVEEDLQNGVAGAVPIPHDDAGKEEVIAALVTNVEAMIKADRKITSLKQLQGHIWRTGFQHNKLEAIVYDDVPEALEKWNALGIKVYIYSSGSRLAQRLLFGNTNHGDLRNFLCGFFDTTVGNKKEMKSYIEIIESLGVDNPSEILFVIDVYQEATAALDQFMKRSLNKPSRVLVQCYWR >itb02g19400.t1 pep chromosome:ASM357664v1:2:16509597:16511569:1 gene:itb02g19400 transcript:itb02g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRASGGVDSALSNGVVCPKPRRVGGFFNSPSAFDPLESIRPSCYLQFSQQMEACDSDAGTELLDIILTKGDYGEGRSNYQMDSSPPFFCGSPPSRASNPLIQDAHFGQEFFDPFSPTLEAVVALPPPLSPPPPPSPLSSSARKNGGGGCGMKFGHKPAPVRIEGFSCGRNCSISAVA >itb09g23780.t1 pep chromosome:ASM357664v1:9:23329665:23333105:1 gene:itb09g23780 transcript:itb09g23780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A13 [Source:Projected from Arabidopsis thaliana (AT3G61060) UniProtKB/TrEMBL;Acc:F4JD33] MGANVSSHDLEPNGGHGQPPLKARLADIPESCVALVLSYMEPPEICKLARIDRAFRAASSADFIWEPKLPSNYRYILEDLLGFSAAGMPKRDIFSMLCRPISFDGGTKDVWIDKTYGGVCLAISAKGMRITGIDDRRYWNHIPTEESRFQTVAYLQQIWWLEVDGDLEFQFPAGEYSLFFRLQLGRITKRLGRRGCNAENVHGWDIKPVQLKFTLQDGQQATSRCFLDNLGTWVHYHVGDFVVEDANASTKIKFSLTQIDCTHTKGGLCVDSVLICPSRMGKEFRLSSG >itb01g33110.t1 pep chromosome:ASM357664v1:1:36361401:36362007:-1 gene:itb01g33110 transcript:itb01g33110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFYAVLGFLLLIMAAAQIATAQCRVLLSETANKKAGGVPPSGKSTTNSFNSRTGGGAAKSNNAFVLASGPSKKGSGH >itb15g12030.t1 pep chromosome:ASM357664v1:15:9928252:9931239:1 gene:itb15g12030 transcript:itb15g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAMPLQQDLFCFNYWNYDDENGSFDFPGYPQIPEDYHYPDWDSPISHEFQQWVLPNSSPSSELLPSNTEEPAAAADCGGVTMPARQRKRRPRTKKNQEEIENQRMTHIAVERNRRKQMNDYLAVLRGLMPESYVQRGDQASIIGGAINYVKELEHELQFLSGTKHEKETIHESSSSSSSSTFAEFFNIPQYSTGITGNVESLSGGAPNELSSGYQLAAAGAADIEVTMVENHVNLKLRSKRRPKLLPRMISGIESLGLTVLHLNVTKAAAFLLCSLGLKVENGCKLASVDEIAAAVNQILGRIHEG >itb04g21230.t1 pep chromosome:ASM357664v1:4:26328115:26328896:-1 gene:itb04g21230 transcript:itb04g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFHSSSSPHVTLIMCTQSHHSCGPAYAALPTNPNPNLNPLLSPADRNDDAPSSASISICSSPIHFLGMIFTSWLNRRRLSRCLFLLFCSPLLLPLLCATFPLILSLEFFFRFCRRRRRRGKLRSPEGFDGRDGDGLRRSEEGRCDEKPLLHRYLQDQLVLVIGSVYSGEEEEDGNGVGLM >itb03g21870.t1 pep chromosome:ASM357664v1:3:19815574:19821979:1 gene:itb03g21870 transcript:itb03g21870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGIVIGIAFGLALIIAFVKSENSRSKRRCELATTVAAYARMSVEDSRKIFTPDQYPSWVVFSKYQKLKWLNSHLEKIWPYVNEAASELIKMNVEPVLESYRPVILASLKFSRFTLGTVAPQCIGISIIEDGSEGITIELQVQWDGNPSIILDIKTYLGVALPVQVKNIGFTGVFRLILGPLVDELPCFGAVCVSLRHKKKLDFTLKVIGGDLTAIPGISDAIEGTIRDAIEDSLMWPVRKVIPILPGDYSDLELKPVGLLEVKLIQAKGLTNKDLIGKSDPYATLYVRPLRDKTQKSKTINNDLNPVWNEHFQFVVEDPLTQHLVVKIYDDEGLQSSELIGCARVSLNELEPCKVKNVWLELVKDLEVQRDQKYRGQVNLELLYCPNGMKNGFLNPFVKDYSMTALEKIFESEAEAKEATENGADTDKRKEVIVRGVLSVTVISADDLAPTDLMGKADPYVVLTLKKAGIKNKTRVVTDNLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYMGRCILTLTRVLLEGEYKETFVLDGTKSGKINLHLRWTPQPIFRDT >itb03g24880.t2 pep chromosome:ASM357664v1:3:23755641:23758954:-1 gene:itb03g24880 transcript:itb03g24880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKQGIMAVQLPSQNPIEQFQAKYNELASVVKAWLSKQSLPVEAAFVTAASAAQGGVIGAILGNLSPDLQPTPPPGVNLDPNAMATFNQAQALTGGPLVQARNFAVMTGVNAGISCVLKRVRGKEDVQSSMAAAFGSGVMYSLVSGMGGPDVVPAALTSGVFFALVQGGLFKLGQQFSQPPTEDTQYVKTRSLLSGLGLEKYEKNFKRGLLTDTTLPLLTDSALKDVSIPPGPRLLILDQVHRNPDFRRK >itb03g24880.t1 pep chromosome:ASM357664v1:3:23754817:23758954:-1 gene:itb03g24880 transcript:itb03g24880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKQGIMAVQLPSQNPIEQFQAKYNELASVVKAWLSKQSLPVEAAFVTAASAAQGGVIGAILGNLSPDLQPTPPPGVNLDPNAMATFNQAQALTGGPLVQARNFAVMTGVNAGISCVLKRVRGKEDVQSSMAAAFGSGVMYSLVSGMGGPDVVPAALTSGVFFALVQGGLFKLGQQFSQPPTEDTQYVKTRSLLSGLGLEKYEKNFKRGLLTDTTLPLLTDSALKDVSIPPGPRLLILDQVHRNPDFRRK >itb03g24880.t3 pep chromosome:ASM357664v1:3:23755641:23758954:-1 gene:itb03g24880 transcript:itb03g24880.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKQGIMAVQLPSQNPIEQFQAKYNELASVVKAWLSKQSLPVEAAFVTAASAAQGGVIGAILGNLSPDLQPTPPPGVNLDPNAMATFNQAQALTGGPLVQARNFAVMTGVNAGISCVLKRVRGKEDVQSSMAAAFGSGVMYSLVSGMGGPDVVPAALTSGVFFALVQGGLFKVIARLELLK >itb08g09560.t1 pep chromosome:ASM357664v1:8:8771338:8772752:-1 gene:itb08g09560 transcript:itb08g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKSKGSRPRLDRRNTIKDIDYEASTTSTASTPSCSFNDQSTPRTRSLDYIPLSERTSFRIEGTEDEFDQICRSLGLSPEDFAIPTNVWEARRYFSASNSVRSSRVTRSGILDANRLADSFATRVVIRNGDELENCLGVEQSFADVKLGFFFFFFFFL >itb12g12610.t3 pep chromosome:ASM357664v1:12:11347155:11350418:-1 gene:itb12g12610 transcript:itb12g12610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLICKAVGLCGVVLVSLCGLAIFQFVWWRPKRVEMKLRQQGLRGTSYRFLYGDMVDMNKLMAQSWSKPMALHHAIAQRVNPFIFNMVQTHGRISLSWNQRRARLTVADAKLIRQILEDKHGNFRKPPQNPLIDLLTMGVSNLEGQVWSNRRKLITPAFHHDKLQGMVPAFLSSCSSLVNKWKELMGSQECYELDVMPQMQIFSSDVIARAAFGSSYEQGKRIFELQKEQVVLVIEAAQSLYLPGFSYLPTKKNKRRYEIDNEIKSLLRDMIEQKQMAMQDGKMDGEDLLSLLLQCKDEGGRELSIEDVIEECKLFYFAGQETTANWLTWTLIVLSMHPNWQDKAREEVLKICGNKTPALQSLNQLKVVSMHAF >itb12g12610.t2 pep chromosome:ASM357664v1:12:11346252:11350418:-1 gene:itb12g12610 transcript:itb12g12610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLICKAVGLCGVVLVSLCGLAIFQFVWWRPKRVEMKLRQQGLRGTSYRFLYGDMVDMNKLMAQSWSKPMALHHAIAQRVNPFIFNMVQTHGRISLSWNQRRARLTVADAKLIRQILEDKHGNFRKPPQNPLIDLLTMGVSNLEGQVWSNRRKLITPAFHHDKLQGMVPAFLSSCSSLVNKWKELMGSQECYELDVMPQMQIFSSDVIARAAFGSSYEQGKRIFELQKEQVVLVIEAAQSLYLPGFSYLPTKKNKRRYEIDNEIKSLLRDMIEQKQMAMQDGKMDGEDLLSLLLQCKDEGGRELSIEDVIEECKLFYFAGQETTANWLTWTLIVLSMHPNWQDKAREEVLKICGNKTPALQSLNQLKVISMILNEVLRLYPPVTTLFRYTLKTTNIGNICVPPGVELHLPVILLHHDTDYWGDDADEFNPERFRRGVSNAATAEKQEVAFYTFGWGPRYCLGQSFAVMEAKLALAMILQNFWFELSPSYAHAPHTIITLQPQYGAPLLLHRI >itb12g12610.t1 pep chromosome:ASM357664v1:12:11346252:11350418:-1 gene:itb12g12610 transcript:itb12g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMVPAFLSSCSSLVNKWKELMGSQECYELDVMPQMQIFSSDVIARAAFGSSYEQGKRIFELQKEQVVLVIEAAQSLYLPGFSYLPTKKNKRRYEIDNEIKSLLRDMIEQKQMAMQDGKMDGEDLLSLLLQCKDEGGRELSIEDVIEECKLFYFAGQETTANWLTWTLIVLSMHPNWQDKAREEVLKICGNKTPALQSLNQLKVISMILNEVLRLYPPVTTLFRYTLKTTNIGNICVPPGVELHLPVILLHHDTDYWGDDADEFNPERFRRGVSNAATAEKQEVAFYTFGWGPRYCLGQSFAVMEAKLALAMILQNFWFELSPSYAHAPHTIITLQPQYGAPLLLHRI >itb03g06080.t1 pep chromosome:ASM357664v1:3:4456027:4461684:-1 gene:itb03g06080 transcript:itb03g06080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDANVAGNNDGDNSKNNENSAAAACGSDGEAVNINIRCSNGSKFSVQATLDSSVGSFKSLLAQHCDIPSEQQRLIYKGRILKDEQTLDSYGLKADHTVHLVRGFAPATSTNNAGATSPGAPNTNQNASRDAAPNVGGAFGGSGPGASLFPGLNLNGLGSGGGGGLFGAGLPDFEQVQQQLNQNPNMMRDILNMPLVQNLMNNPEVIRNLIMNNPQMREIMDRNPELAHILNDPATLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGDARSDVGSNPFAALLGVQGGGQGNPPRAGSEATTNPPAPNTNPLPNPWASASAGAEQTNTTARSTPAAGEARVAPLGGLGGLGLPDLLGGMPDPNAVNQLMQNPAISQMMQSMLSNPQYMNQILGLNPQLRSMLDSNSQLREMMQNPEFIRQLTSPETMQQLLTFQQSLMSQLGRQQTNQETGPNCGRPGSLDNVGIDMLMNMFGGLGTGGLGVPNRPNVPPEELYASQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNPGQ >itb03g06080.t3 pep chromosome:ASM357664v1:3:4456027:4461684:-1 gene:itb03g06080 transcript:itb03g06080.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDANVAGNNDGDNSKNNENSAAAACGSDGEAVNINIRCSNGSKFSVQATLDSSVGSFKSLLAQHCDIPSEQQRLIYKGRILKDEQTLDSYGLKADHTVHLVRGFAPATSTNNAGATSPGAPNTNQNASRDAAPNVGGAFGGSGPGASLFPGLNLNGLGSGGGGGLFGAGLPDFEQVQQQLNQNPNMMRDILNMPLVQNLMNNPEVIRNLIMNNPQMREIMDRNPELAHILNDPATLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGDARSDVGSNPFAALLGVQGGGQGNPPRAGSEATTNPPAPNTNPLPNPWASASAGAEQTNTTARSTPAAGEARVAPLGGLGGLGLPDLLGGMPDPNAVNQLMQNPAISQMMQSMLSNPQYMNQILGLNPQLRSMLDSNSQLREMMQNPEFIRQLTSPETMQQLLTFQQSLMSQLGRQQTNQETGPNCGRPGIFIFS >itb03g06080.t2 pep chromosome:ASM357664v1:3:4456027:4461684:-1 gene:itb03g06080 transcript:itb03g06080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDANVAGNNDGDNSKNNENSAAAACGSDGEAVNINIRCSNGSKFSVQATLDSSVGSFKSLLAQHCDIPSEQQRLIYKGRILKDEQTLDSYGLKADHTVHLVRGFAPATSTNNAGATSPGAPNTNQNASRDAAPNVGGAFGGSGPGASLFPGLNLNGLGSGGGGGLFGAGLPDFEQVQQQLNQNPNMMRDILNMPLVQNLMNNPEVIRNLIMNNPQMREIMDRNPELAHILNDPATLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGDARSDVGSNPFAALLGVQGGGQGNPPRAGSEATTNPPAPNTNPLPNPWASASAGAEQTNTTARSTPAAGEARVAPLGGLGGLGLPDLLGGMPDPNAVNQLMQNPAISQMMQSMLSNPQYMNQILGLNPQLRSMLDSNSQLREMMQNPEFIRQLTSPETMQQLLTFQQSLMSQLGRQQTNQETGPNCGRPDMLMNMFGGLGTGGLGVPNRPNVPPEELYASQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNPGQ >itb11g21120.t1 pep chromosome:ASM357664v1:11:22565731:22581306:-1 gene:itb11g21120 transcript:itb11g21120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPASVVPPPVKSESPTGADSSNTISAWSQPQPSRPSKVAILADLNVEPPEADAQDSFQTAVAALLPNTTAPRIVIDESSQLKTSKDVEGMDVEGKQKKLGKCRSRIGKVDNHLDCNGADADAEQNSQGTPSSREEKVSSLKNGLIHVVRKMPKNAHAHFMLGLMYQRMGQPQKAASEYEKAADILVRPDEEVDRPELLSLIQIHHAECILLGSLEDCSSGNELEPKELEEILVKLKESVQSDVRRVSIWNILGLKLLRTGRLKSAISVFSALLVIAPDNLDCLGNLGITYLQSGDLELSEKCLQDLIMKDPNHPGALVNFAALMLCKYGSVVAGAGANADGVALCDQEAAANVAKECLLAATNSDPKAAHIWTNLANAYYLTGDHKSSGRCLEKAGKLEPNCLATRYAIGVHRIRDAERSQNPSEQQLSWAGNEMASIIREGDSGMIEPPIAWAGLAMVHKAQHEIAAGFEINHNELSEVKERANYSLKQAIAEDPEDAIHWHQLGLHFLCTQQFKMSQKYLKAAVACLKSSYAWSNLGISLHLSAESSCAEEVYKQALLSATPQQAHTIFSNLGNLYRQLKDYESAKAMLTKSLELQPGYAPAYNNLGLVFVAEGKWEEAKFCFDKAILHDPLLDSAKSNMIKARNMHRMYESMSSYL >itb13g12870.t1 pep chromosome:ASM357664v1:13:19289966:19291544:1 gene:itb13g12870 transcript:itb13g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLFRRSKRRRASSTHLHMGFFFLLFSGVCVCWGEEVVKSPLMESNLGSTNGMPEASEESPATSIDVNVVLEDDKHKLEQRILTLEGEIVNLRLKQRSLDEKRREALNKIIDIKGCIRLFCRVRPCLPTDKRRYHQPLSVESERIMKLQFSFCRVCVRGSESVQTHEMKMAGFKMWFKIVQRKAVGEIGLGDILNLHVEDIPKRMGRCLHAVDNFMLSLYFSD >itb12g14220.t1 pep chromosome:ASM357664v1:12:13590792:13596373:1 gene:itb12g14220 transcript:itb12g14220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVVVPLVCHGHSRPVVDLFYSPVTPDGVFLISASKDSKPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKIWDALTGDELHSFEHKHIVRACVFSEDTNFLLTGGVEKILRIYDLNRPDAPPREVAKSPGSVRAVSWLHSDQTILSSCTDMGGVRLWDVRTGDIVRTLETKSPVTSAEVSQDGRYITTADGFSVKFWDANHFGLVKSYNMPCTVESATLEPKYGFKFVAGGEDMWVRVFDFHTGEELACNKGHHGPVHCVRFAPTGESYASGSEDGTIRIWQTGPLTNDDTDAITANGKVKVSAEEVSRKIEGFHIADEAKPREEEVSGSP >itb12g14220.t2 pep chromosome:ASM357664v1:12:13590822:13596197:1 gene:itb12g14220 transcript:itb12g14220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVVVPLVCHGHSRPVVDLFYSPVTPDGVFLISASKDSKPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKIWDALTGDELHSFEHKHIVRACVFSEDTNFLLTGGVEKILRIYDLNRPDAPPREVAKSPGSVRAVSWLHSDQTILSSCTDMGGVRLWDVRTGDIVRTLETKSPVTSAEVSQDGRYITTADGFSVKFWDANHFGLVKSYNMPCTVESATLEPKYGFKFVAGGEDMWVRVFDFHTGEELACNKGHHGPVHCVRFAPTGESYASGSEDGTIRIWQTGPLTNDDTDAITANGKVKVSAEEVSRKIEGFHIADEAKPREEEVSGSP >itb03g15530.t2 pep chromosome:ASM357664v1:3:14852821:14857137:-1 gene:itb03g15530 transcript:itb03g15530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRQSEEAIVPGIMSTETEVDDQREEGRVQNEPMLGFKSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGVLLQIFYGLLGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPKWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQVEGVTHSGPKKIVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTIPSAAAMYWAYGDQLLSNANAFALLPQNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMVTYRKASARQNAAEKPPFFLPSWTAVYVMNAFIVVWVLVVGFGFGGWASMNNFIKQVDTFGLFAKCYQCKHKPPETNHHT >itb03g15530.t3 pep chromosome:ASM357664v1:3:14852960:14856873:-1 gene:itb03g15530 transcript:itb03g15530.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRQSEEAIVPGIMSTETEVDDQREEGRVQNEPMLGFKSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGVLLQIFYGLLGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPKWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQVEGVTHSGPKKIVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTIPSAAAMYWAYGDQLLSNANAFALLPQNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMVTYRKASARQNAAEKPPFFLPSWTAVYVMNAFIVVWVLVVGFGFGGWASMNNFIKQVDTFGLFAKCYQCKHKPPETNHHT >itb03g15530.t1 pep chromosome:ASM357664v1:3:14852821:14857534:-1 gene:itb03g15530 transcript:itb03g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRQSEEAIVPGIMSTETEVDDQREEGRVQNEPMLGFKSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGVLLQIFYGLLGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPKWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQVEGVTHSGPKKIVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATLYVFTLTIPSAAAMYWAYGDQLLSNANAFALLPQNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMVTYRKASARQNAAEKPPFFLPSWTAVYVMNAFIVVWVLVVGFGFGGWASMNNFIKQVDTFGLFAKCYQCKHKPPETNHHT >itb15g21290.t1 pep chromosome:ASM357664v1:15:23960248:23961326:1 gene:itb15g21290 transcript:itb15g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIADNGGAPFPPYRSQLFPSNFPWFPNGFTGVPLSRSQFGYGYIGCVPIRPQFYTQVWQEEEDREEVEVNVEEVMEDSENKTLHKCCKFLADWFHRFYDNLYHFKQFFVDWFHQFYENFDFKQFLSNSKHYRERSTVFLEHLAEFMASLNRIMEELEEWNDMDANKAGDSYHCYYYYNKGIPLSSRPECCPCIEFRRGAPLRHPIGYTGACCRCCKLCNPPRAEANNRTEEAASGDSYHCYYYYYYYYHHDSYSRLFPGRPPSECPCIKYRIDVSMEYPIHRARLEEVVQKCCECCKLCNIPGRPHSTI >itb09g17960.t1 pep chromosome:ASM357664v1:9:13357747:13358298:1 gene:itb09g17960 transcript:itb09g17960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQTRILSSKYLKAAQEILKEVVNVIRGIKTSTESAKRANSHAKVSPEIPPTLKPSVMDKPKSRLAALTATPRHSKNLNSVKSLPLNFGIFVGRAEVQTISSADALNGLEAIPVPERLASVSQFAMLLRTTKATFALLAHGRELHEEKSPSDVYPFLE >itb13g11430.t1 pep chromosome:ASM357664v1:13:16186322:16187848:-1 gene:itb13g11430 transcript:itb13g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLISVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITDPGIWSYEGVAGAHIMFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLSGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQPVSPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSFSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLIDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDVQVEFGAFQKIGDPTTRRQGV >itb14g01000.t1 pep chromosome:ASM357664v1:14:749989:751580:-1 gene:itb14g01000 transcript:itb14g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGGKKVAKVMRVDGQTTEFKIPVDAGEVVKANPGHILVDSEAVKNFGFRAKPLEAEQQLQPKKVYFLVTEPAPAPAERAAPRRVRSSGIHMSAEARLESLMLARSKSASDFSFLKSPENGGGVRLKLRLPKAEVEKLIKQSKHDGGGEVGEKIMRLCMGNNSVAVLNKSSRSRPPAPIPGIIKKDLKSSQKRVGFREIQQEAEA >itb12g01480.t1 pep chromosome:ASM357664v1:12:1012091:1014643:-1 gene:itb12g01480 transcript:itb12g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGVGSVVAAGCVRWGWRRCTYVGGDDSASWPSATVEEFSPVPRLCRTILANYEDDLRNPKFSPAAGYGLNPNSVVKRVSYEDTRGRVPPYMIYLNHDHREIILAIRGLNLARESDYKVLLDNRLGQQMFDGGYVHSGLLKSAIWVFNSESDTLKRLWEETGRGYRMVFAGHSLGAGVAALLTLIVVNHRDMLGGIPRNLIACFAVAPSRCMSLNLAVKYADVIHSIVLQDDFLPRTPTPLEDIFSSIFCLPCLLFLVCMRDTFVSETAKLQDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHVVLSCNATSDHAIVWVEREAEKALQRLKEMSREDARAAPKLQKMERQQTFEREHKDAMERAVSLKIPHAVVMGEEGEEGFLEKSTIAEPYVQSEDVLELKAKFFNSRTNWHEVVEKLFKKDESGQLLLHRDPTAID >itb04g27960.t3 pep chromosome:ASM357664v1:4:31838113:31840248:1 gene:itb04g27960 transcript:itb04g27960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYIKWFFFIDFRLSESDVLWIVMLNRAERKPGDQGLRRILQATGSFGPTRNKRSTRGRRNSRSLSPSPSPQPSFSAAPISSPIAAPLSSISVPPSIPMSVSPSPSEFSVPSPAPSFPKSPAPKPSVGPEVVSRPQHTSSVSLPPAPSPSEAPKYAKNFRRYMVYVLGGIAGAIVLVVIGSLMYFFCRNNKVVTVKPWATGLSGQLQKAFVTGVPKLQRSELETACEDFSNIIGSLPNGTVYKGTLSSGVEIAVTATAARSGGDWSENVETQFRNKIDTLSKINHKNFVNLIGYCKEENPFTRMMVFEYAPNGTLFEHLHIKESEHLDWSMRLRIAMGIAYCLEYMYQLNPPIVHRNLQSSSVYLTEDYAAKLSDFNFWNEVIAAKKGASEPSDLPSSDEDEDCIFSFGLILFEMITGKLLPYSDGNGSLENWVTGCLKEGKRHTEMVDPTLKSYGEKELEKLFALMKDCILSDPTQRPAVKEVVSRLKEITAMGPDGAMPKTSPLWWAELEILSTDSI >itb04g27960.t2 pep chromosome:ASM357664v1:4:31836476:31840248:1 gene:itb04g27960 transcript:itb04g27960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKWRWMSCFELRLATTFVLFCVFHHNLGLCWSPNSEGLALLRFKERVIEDPFGALSSWKYNGDGDLNPCCWFGVECSDGKVVTLNLRDLQLGGTLAPELSKLTYIQVIILRNNSFTGIIPKDIGELKALEVLDLGHNNFSGQFPIDLGNNFSLSILLLDNNKYIGSTAPEIHELGILSESQVDESLLSGAAEMANCKEQPCFWAERKPGDQGLRRILQATGSFGPTRNKRSTRGRRNSRSLSPSPSPQPSFSAAPISSPIAAPLSSISVPPSIPMSVSPSPSEFSVPSPAPSFPKSPAPKPSVGPEVVSRPQHTSSVSLPPAPSPSEAPKYAKNFRRYMVYVLGGIAGAIVLVVIGSLMYFFCRNNKVVTVKPWATGLSGQLQKAFVTGVPKLQRSELETACEDFSNIIGSLPNGTVYKGTLSSGVEIAVTATAARSGGDWSENVETQFRNKIDTLSKINHKNFVNLIGYCKEENPFTRMMVFEYAPNGTLFEHLHIKESEHLDWSMRLRIAMGIAYCLEYMYQLNPPIVHRNLQSSSVYLTEDYAAKLSDFNFWNEVIAAKKGASEPSDLPSSDEDEDCIFSFGLILFEMITGKLLPYSDGNGSLENWVTGCLKEGKRHTEMVDPTLKSYGEKELEKLFALMKDCILSDPTQRPAVKEVVSRLKEITAMGPDGAMPKTSPLWWAELEILSTDSI >itb04g27960.t1 pep chromosome:ASM357664v1:4:31836476:31840248:1 gene:itb04g27960 transcript:itb04g27960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKWRWMSCFELRLATTFVLFCVFHHNLGLCWSPNSEGLALLRFKERVIEDPFGALSSWKYNGDGDLNPCCWFGVECSDGKVVTLNLRDLQLGGTLAPELSKLTYIQVIILRNNSFTGIIPKDIGELKALEVLDLGHNNFSGQFPIDLGNNFSLSILLLDNNKYIGSTAPEIHELGILSESQVDESLLSGAAEMANCKEQPCFWAERKPGDQGLRRILQATGSFGPTRNKRSTRGRRNSRSLSPSPSPQPSFSAAPISSPIAAPLSSISVPPSIPMSVSPSPSEFSVPSPAPSFPKSPAPKPSVGPEVVSRPQHTSSVSLPPAPSPSEAPKYAKNFRRYMVYVLGGIAGAIVLVVIGSLMYFFCRNNKVVTVKPWATGLSGQLQKAFVTGVPKLQRSELETACEDFSNIIGSLPNGTVYKGTLSSGVEIAVTATAARSGGDWSENVETQFRNKIDTLSKINHKNFVNLIGYCKEENPFTRMMVFEYAPNGTLFEHLHIKESEHLDWSMRLRIAMGIAYCLEYMYQLNPPIVHRNLQSSSVYLTEDYAAKLSDFNFWNEVIAAKKGASEPSDLPSSDEDEDCIFSFGLILFEMITGKLLPYSDGNGSLENWVTGCLKEGKRHTEMVDPTLKSYGEKELEKLFALMKDCILSDPTQRPAVKEVVSRLKEITAMGPDGAMPKTSPLWWAELEILSTDSI >itb05g10170.t1 pep chromosome:ASM357664v1:5:15117976:15120242:1 gene:itb05g10170 transcript:itb05g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSFYDSSTTLLYPHKEEAMKDNLVYKKGEFMGGMLEYEESMEEMNVKKKRLSAEQVKALEKVFEADRQLDPERKVKIAQETGLQPRQVAIWFQNRRARHKTKQMERDYTLLKANYEALHLNYTKVEQEKQGLIAELKGLKQKIGEENTAGLHHSAKEPTINLGSQNQEHRTANYAAAYNTSQHSPDSDSSGVLNNNEDCSLNTVQLMHKISTVHQCSDFANVEDRSGGLGCTEDSCNIFSVDEAPDEFYW >itb14g11360.t2 pep chromosome:ASM357664v1:14:12998212:13005032:1 gene:itb14g11360 transcript:itb14g11360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNTQLQTVAAAGAAGTVSNTGIPMPSPSNSISHSPSVDLPQIPMTPSQQQPQMLQQQQQQQQQQQMQQLQQQSSNSNNNNNSSSNNNNNMMAAAMASNFQMQQSLQRSPSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGGVPQQQQQQSQQQQQQNPQQQQMGQMVGSGNLSRSALIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQPHPGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQTLQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQIPTLSSSPNSPSFRLQQQRQLLIQQQLASSQQLHQNSMALNQQQLSQIVQQQQMGHPQMQQQQQAPPAPAQQQSQPADQQQQQPGQQLLHQQQQSSPHMAAPTCQKSMSLTGSQPDVTASGATTPGGSSSQGTEASNQLLGKRKIQDLVSQVGSLGKLDPDVEDLLLEIADDFIDSVTAFACNLAKHRKSSTLESKDVLLHLEKNWHLTIPGFSSEERKQQSEHVSLISLSEF >itb14g11360.t3 pep chromosome:ASM357664v1:14:12998212:13005032:1 gene:itb14g11360 transcript:itb14g11360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNTQLQTVAAAGAAGTVSNTGIPMPSPSNSISHSPSVDLPQIPMTPSQQQPQMLQQQQQQQQQQQMQQLQQQSSNSNNNNNSSSNNNNNMMAAAMASNFQMQQSLQRSPSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGGVPQQQQQQSQQQQQQNPQQQQMGQMVGSGNLSRSALIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQPHPGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQTLQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQIPTLSSSPNSPSFRLQQQRQLLIQQQLASSQQLHQNSMALNQQQLSQIVQQQQMGHPQMQQQQQAPPAPAQQQSQPADQQQQQPGQQLLHQQQQSSPHMAAPTCQKSMSLTGSQPDVTASGATTPGGSSSQGTEASNQLLGKRKIQDLVSQVGSLGKLDPDVEDLLLEIADDFIDSVTAFACNLAKHRKSSTLESKDVLLHLEKNWHLTIPGFSSEERKQQSEHPSSDIHKKRLDVIRSLMEASHAETSTSANNAKQMIRQGMGDHIGQNHMIRAPSSEQLVSQANASKMLQQMTRF >itb14g11360.t1 pep chromosome:ASM357664v1:14:12998212:13005032:1 gene:itb14g11360 transcript:itb14g11360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNTQLQTVAAAGAAGTVSNTGIPMPSPSNSISHSPSVDLPQIPMTPSQQQPQMLQQQQQQQQQQQMQQLQQQSSNSNNNNNSSSNNNNNMMAAAMASNFQMQQSLQRSPSMSRLSQVQQQQQQQQFGMTRQQMAAGLYGQMNFGGGGGGVPQQQQQQSQQQQQQNPQQQQMGQMVGSGNLSRSALIGQTGQLSMLPGQNVMAAAAATAQFNLQSQFLSAPRQKTGLVQGSQPHPGSSHGQPLQGIQAMGMMGPLNLSSQLRANGSLYAQQRMNQAHLRQQVSQQTPLTSNQTLQAQNLQRTSFMNPQLSGLTPNVQSAMIQNTLAQQQWLKQIPTLSSSPNSPSFRLQQQRQLLIQQQLASSQQLHQNSMALNQQQLSQIVQQQQMGHPQMQQQQQAPPAPAQQQSQPADQQQQQPGQQLLHQQQQSSPHMAAPTCQKSMSLTGSQPDVTASGATTPGGSSSQGTEASNQLLGKRKIQDLVSQVGSLGKLDPDVEDLLLEIADDFIDSVTAFACNLAKHRKSSTLESKDVLLHLEKNWHLTIPGFSSEERKQQSEHPSSDIHKKRLDVIRSLMEASHAETSTSANNAKQMIRQGMGDHIGQNHMIRAPSSEQLVSQANASKMLQQMTRF >itb12g21160.t2 pep chromosome:ASM357664v1:12:23556432:23561359:-1 gene:itb12g21160 transcript:itb12g21160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDILAEEELVPAVFQKTANAVGIFDCCDDMNKVGAGMEVEMPFWLARELYVKLAVKIKVPSCFDTKERPRDRHRDEPLKRRDRTKDEIGADAAHVDLRGRCPYFYDFGCKIARLTGDKTIGPFLLVAFRTRYKEVLIKAYTAASAVASKHLTILTQEEMKLYEAGQSSTMAFKKWRMGGPRLQKASVLGGKRKGRF >itb12g21160.t1 pep chromosome:ASM357664v1:12:23556432:23561359:-1 gene:itb12g21160 transcript:itb12g21160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDIDDILAEEELVPAVFQKTANAVGIFDCCDDMNKVGAGMEVEMPFWLARELYVKLAVKIKVPSCFDTKERPRDRHRDEPLKRRDRTKDEIGADAAHVDLRGRCPYFYDFGCKIARLTGDKTIGPFLLVAFRTRYKEVLIKAYTAASAVASKHLTILTQEEMKLYEAGQSSTMAFKKWRMGGPRLQKASVLGGKRKGRF >itb15g16810.t1 pep chromosome:ASM357664v1:15:16794802:16795149:1 gene:itb15g16810 transcript:itb15g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELRLIFDVHILKCLSIQGLSSAGNTSFKGQASPKGSSSSVSRAIVKEKYKSFNMLFEELHQRQSQWTIPDSELRESLRLLNFSCLLLGISISILGMLTPSIPALSIITLSTLC >itb06g22990.t1 pep chromosome:ASM357664v1:6:25144084:25145685:1 gene:itb06g22990 transcript:itb06g22990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIGDDLTREQYFYMAKLAEQAERYEDMAKFMDKLVRSCTPASELTAEERNLLSVAYKNVIGSLRAAWRIISSIEQKEEFRRNDDHVSLAKDYRAKVETELSQVCATIIELLDSNLIPSTSSGESKAFYLKLKGDYHRYLAEFLVGDKRREAADDTMASYSDAEDIAVFDLPPTHPTRLGLALNFSVFHYEILNSCEKARAMAKKAFEDALAELDTVKEDSAMLMQLLQDNLALWSSNPQDQLDET >itb03g13320.t1 pep chromosome:ASM357664v1:3:13511809:13514649:-1 gene:itb03g13320 transcript:itb03g13320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDFVECCAHLNLIDAPSSGNLFTWTNGRVKAKLDRILINIPWLNSGLACFVEFLKFDCISDHCPMVVKLFNNSGGGNRPFKFLNMWTTHPNFQSILEERWGSIVRGSKQYILAIRLKAFKRPLRELNKLEFGHISEKAKRANEEFQAFIQNFEVESARDEDRERLKSLREHACFLAEAERLYFCQKLKTKHLLHADKGSKYFHDLIRKKHRDGAINFVLDHNGEPTTSIDQIFEARDKISLAKGGLQNAKEFLHNSVNNSKFQVSQIYDLLREKSQPAFAWRFVWRSYIPRKFSFITWLAVHQRLPTKDRLAFLDINTECSMCVGDKETAQHLFFKSPFSLQVWNQVRMHFGFHKCTNAIKSSIKWINRLHGGARMRSKAITIALICTIYHLWRNRNRVHHDEDRLPIDGLVKNIAKDVYRVIFYLYPIT >itb04g04950.t3 pep chromosome:ASM357664v1:4:3083134:3085964:1 gene:itb04g04950 transcript:itb04g04950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWWRGFGDNGMPTFGQETNTNGSISFETNESQGNAEGGNKEKETNSTAQSGSNESNSQDEQHLKHASSIPAVMSEQLGANSQMELVGHSIMLASYPYADPQYGGMISYCSPVQSHLFGVHHARMPLPLEMEEEPVYVNAKQYNGILRRRRVRAKAELEKKAIKARRPYLHESRHQHALRRARGSGGRFLNTKTLNDMNSKTDEHTQSGATATTNSGHSSGSEHLSTNSEGQSAVKEMRRAHASSNGDSHGHGFPSVHFTESTGNEKGSFLRQNWSLMGNQAPHGAPPSN >itb04g04950.t2 pep chromosome:ASM357664v1:4:3082458:3086065:1 gene:itb04g04950 transcript:itb04g04950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWWRGFGDNGMPTFGQETNTNGSISFETNESQGNAEGGNKEKETNSTAQSGSNESNSQDEQHLKHASSIPAVMSEQLGANSQMELVGHSIMLASYPYADPQYGGMISYCSPVQSHLFGVHHARMPLPLEMEEEPVYVNAKQYNGILRRRRVRAKAELEKKAIKARRPYLHESRHQHALRRARGSGGRFLNTKTLNDMNSKTDEHTQSGATATTNSGHSSGSEHLSTNSEGQSAVKEMRRAHASSNGDSHGHGFPSVHFTESTGNEKGSFLRQNWSLMGNQAPHGAPPSN >itb04g04950.t1 pep chromosome:ASM357664v1:4:3082458:3086065:1 gene:itb04g04950 transcript:itb04g04950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWWRGFGDNGMPTFGQETNTNGSISFETNESQGNAEGGNKEKETNSTAQSGTFANCRSNESNSQDEQHLKHASSIPAVMSEQLGANSQMELVGHSIMLASYPYADPQYGGMISYCSPVQSHLFGVHHARMPLPLEMEEEPVYVNAKQYNGILRRRRVRAKAELEKKAIKARRPYLHESRHQHALRRARGSGGRFLNTKTLNDMNSKTDEHTQSGATATTNSGHSSGSEHLSTNSEGQSAVKEMRRAHASSNGDSHGHGFPSVHFTESTGNEKGSFLRQNWSLMGNQAPHGAPPSN >itb03g13560.t1 pep chromosome:ASM357664v1:3:13636841:13637593:1 gene:itb03g13560 transcript:itb03g13560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLATMVDAASWYCGIVVLCLLLFSSVKENYGGDGDVVHHVRGKLQLSNNRAACDEIYVVGEGETLHTISDKCGDPFIVEQNPHIHDPDDVFPGLVIKITPTIAPTT >itb14g21680.t2 pep chromosome:ASM357664v1:14:23557111:23559986:-1 gene:itb14g21680 transcript:itb14g21680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLQESSVPPQSSSADGDDSSSSSSSSPPDDVDSSSSSSSSSSPQLPDDSSQSSSSKNNDNASPDHLPQSSDGDNGDGDSSYPPPPPLHGKHKSKKHSSDKGLTDDEKVMIAGVAAGAGLLILILIVFLISCCRRRKRRKQKHQHVQMHYYTDAPHGNGGGGYYQGGQNGNWHNNNAQSSEHFVNNMPPQYMQMQMQMPPSGVMSSEYGWAMGPPPPPPPMMSSSDMSSAAFSSGPHGSAMAPPPPPPTVTLGFNQSSFSYNDLAAATGGFSQSNLLGQGGFGYVHKGVFPNGKVVAVKSLKSNSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGPQRMLVYEFVSNNTLEYHLHGTARPIMDFPTRLKIALGSAKGFAYLHEDCHPRIIHRDIKAANILLDHNFDAKVADFGLAKLSSDNNTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSYGVMLLELITGRRPIDVNNDDDDTLVDWARPILMRAAEGGSFEQAVDPRLEKNYNPQEMKRMVICAGACIRHSGRRRPKMSQIVRALEGAVSLDDLNMNAAGGKTEAENNSTAFSSGASSSSQEFSSREH >itb14g21680.t1 pep chromosome:ASM357664v1:14:23556993:23560130:-1 gene:itb14g21680 transcript:itb14g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLQESSVPPQSSSADGDDSSSSSSSSPPDDVDSSSSSSSSSSPQLPDDSSQSSSSKNNDNASPDHLPQSSDGDNGDGDSSYPPPPPLHGKHKSKKHSSDKGLTDDEKVMIAGVAAGAGLLILILIVFLISCCRRRKRRKQKHQHVQMHYYTDAPHGNGGGGYYQGGQNGNWHNNNAQSSEHFVNNMPPQYMQMQMQMPPSGVMSSEYGWAMGPPPPPPPMMSSSDMSSAAFSSGPHGSAMAPPPPPPTVTLGFNQSSFSYNDLAAATGGFSQSNLLGQGGFGYVHKGVFPNGKVVAVKSLKSNSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGPQRMLVYEFVSNNTLEYHLHGTARPIMDFPTRLKIALGSAKGFAYLHEDCHPRIIHRDIKAANILLDHNFDAKVADFGLAKLSSDNNTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSYGVMLLELITGRRPIDVNNDDDDTLVDWARPILMRAAEGGSFEQAVDPRLEKNYNPQEMKRMVICAGACIRHSGRRRPKMSQIVRALEGAVSLDDLNMNAAGGKTEAENNSTAFSSGASSSSQEFSSREH >itb08g09430.t1 pep chromosome:ASM357664v1:8:8685160:8685618:1 gene:itb08g09430 transcript:itb08g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSFMAMAIVAVFAISAAMATAAAPTRPYRGRRLAQYATPATPPWPTLPPTAPSSSISPPYNPETPGSSDIPATPATPSWPINPETPGTSEIPETPGTPSWPTVPAISPSINNSPSYWPPVPATPSAASPSWIPGKPMASPPWPTLPPY >itb04g24940.t1 pep chromosome:ASM357664v1:4:29639986:29640600:1 gene:itb04g24940 transcript:itb04g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKAYGRLSAVVTASLVLILSGILRSNGQINTACTTTALRTFTPCFNYLTGSNGKGGSSSPTEDCCNTLKSAMTDSIDCACLIVTGNVPVSIPFVRTLALALPQMCNAGVPVQCKATGAPLPATGPAVLSPPAPHSPHRTPAPHPPAAPPGSHRGTTACTYNCTI >itb04g24940.t2 pep chromosome:ASM357664v1:4:29639986:29640354:1 gene:itb04g24940 transcript:itb04g24940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKAYGRLSAVVTASLVLILSGILRSNGQINTACTTTALRTFTPCFNYLTGSNGKGGSSSPTEDCCNTLKSAMTDSIDCACLIVTGNVPVSIPFVRTLALALPQMCNAGVPVQCKGTMNV >itb06g03680.t1 pep chromosome:ASM357664v1:6:6118913:6121025:1 gene:itb06g03680 transcript:itb06g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTVEEQFHFVLLPFMAPGHTIPMIDLAKLLASLGVRITILLTPQNASRVKSVIVRAKESGLSIQILQISFPCTEVGLPEGSENVDLLPSFDLLVQFYGAVRMLQPQVEGLLREMKPPPSCMIADMNFPWATNVAQKLKIPRIVFNGMCCFSLLCVNNIRNWSGFQSVESDSQYFQVPGLPDSIEVTKAQVLQMFTPISDGQKDIAKEVEDAEGNAFGIVMNSFEELELEYTKEFKKAKGKKVWSIGPVSLCNKEDSDMVERGNKATIDKHQCLKWLDSKETTSVLYVCLGSLARLPTSQMIELGIALESSKRPFIWVIKHISNEFQNWLQLEKYEERVKGQGLIIFDWAPQILILSHPSIGGFLNHCGWNSTLEAITSGVPLITWPMFGEQFLNERLVVDVLKTGVRAGVELPVLVGREEETGVQVNRDDIALAIEKVLGGGEEAEMRRKRMKGLGEMARTAVEEGGSSFLNIAKLIQDVAEETNTLKSG >itb06g01460.t2 pep chromosome:ASM357664v1:6:2682801:2683660:-1 gene:itb06g01460 transcript:itb06g01460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDADLIKQRSTEYAMAKRRTIEESSNIVDIETIKHIAENKRLIGETIEQKVADWNAQKDSFYQQTGCGRQLAIESLQQEEPMQVDGNLEEAGELQEDNNDDFSKELEDVLLSEQLLLENEEAEDFN >itb06g01460.t1 pep chromosome:ASM357664v1:6:2682801:2684517:-1 gene:itb06g01460 transcript:itb06g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDVMMHTNSFTGELKRLQNLVADAKANNINVVCALVKRMLDRNMFLFGFVDINEGSATERVNELTEIQNARVQTAYAKLFANTKIEHYTHLDMGMELDADLIKQRSTEYAMAKRRTIEESSNIVDIETIKHIAENKRLIGETIEQKVADWNAQKDSFYQQTGCGRQLAIESLQQEEPMQVDGNLEEAGELQEDNNDDFSKELEDVLLSEQLLLENEEAEDFN >itb06g16680.t11 pep chromosome:ASM357664v1:6:20741046:20747538:1 gene:itb06g16680 transcript:itb06g16680.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t1 pep chromosome:ASM357664v1:6:20741046:20747539:1 gene:itb06g16680 transcript:itb06g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t3 pep chromosome:ASM357664v1:6:20741046:20747539:1 gene:itb06g16680 transcript:itb06g16680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t4 pep chromosome:ASM357664v1:6:20741046:20747539:1 gene:itb06g16680 transcript:itb06g16680.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t5 pep chromosome:ASM357664v1:6:20741046:20747218:1 gene:itb06g16680 transcript:itb06g16680.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t12 pep chromosome:ASM357664v1:6:20741046:20747539:1 gene:itb06g16680 transcript:itb06g16680.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t14 pep chromosome:ASM357664v1:6:20741047:20747538:1 gene:itb06g16680 transcript:itb06g16680.t14 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t8 pep chromosome:ASM357664v1:6:20741060:20747566:1 gene:itb06g16680 transcript:itb06g16680.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t10 pep chromosome:ASM357664v1:6:20741047:20747538:1 gene:itb06g16680 transcript:itb06g16680.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t13 pep chromosome:ASM357664v1:6:20741060:20747521:1 gene:itb06g16680 transcript:itb06g16680.t13 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQVIDQIQSKWKRFTDGISCSC >itb06g16680.t2 pep chromosome:ASM357664v1:6:20741046:20747539:1 gene:itb06g16680 transcript:itb06g16680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t9 pep chromosome:ASM357664v1:6:20741046:20747539:1 gene:itb06g16680 transcript:itb06g16680.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t7 pep chromosome:ASM357664v1:6:20741313:20747566:1 gene:itb06g16680 transcript:itb06g16680.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb06g16680.t6 pep chromosome:ASM357664v1:6:20741047:20747538:1 gene:itb06g16680 transcript:itb06g16680.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSALKSQAVAFCQQIKASPSICSLCIERLCISNLVQVQFWFLQCLHEALRVRYSSMSPEEKSFIRKSVFLMACYESIDGKSSVRVLDAPAFVKNKLAQVVVTLIYFEYPETWPSVFLQFLPNLGKGALIIDMFCRVLNVLDDELISLDYPRSQEELAVAGRVKDAMRQQCIGQIVGAWYEIVSIYKNSDFDLCTTVLDSTKRYVSWIDIGLIANDSFIGLLFELILANGLPDQLRAGAADCVHAVVSKRMDPKAKLMLLQNLQIRRVFGLVAADNDSELVSSIASLLTGCAIEGLECFKQLNLEDSRAVSMEVLNEILPSVFYVMQNSVIDETSSILQFLSGYVGTMKSLSSLTENQLYHVGQMLEVIRSQIRFDPSYRSNLDVLDRIGKEEEDRMLEFRKDLFVLLRSVGRVAPHVTQTFIRNSIASAIAPTAEKNAEEIEAALSLFYALGESVNNDMMSTGSAFLDELVAMLLSTKFPCHSNRLVALVYLETITRYMKFVQENTQYIPLILGVFLDERGIHHPNIHVKQRASYLFMKVVKLLKAKLVPYIETILQRLQDMVAQFTTMYCTSEEHSCSEDGIHIFEAIGLLIGMEDVPLERQSEYLSALLTPLCQQVETLLLNANWEESPLKITNIQQIVMAINALSKGFSERLVTASRPGIGLMFKKTLDVMLQILVVFPKKEPLRSKVISLIHRMVDTLGASVFPYLPKALGPLLAESEPKEVVSFLVLLNQLICKFNTAISDMLEQVYPPIAGRIFSILPRDAFPSGPGCNTEEIRELQELQRMFYTFLHVIATHELSSVFLSPQSQVYLDPMVQMLLNGCCNHKDILVRKACIQIFIRLIKDWCAYGEEKVPGFQSFVIETFATNCCIRSVLDESFEFRDANMLILFGEIVLAQKVMYEKFGNDFLIHFVSKGLPSANCPQDLAEQYCQKLQGNDMKALKSFYQLLIEKLRHQRNDSTVFR >itb05g25390.t1 pep chromosome:ASM357664v1:5:29810055:29812916:-1 gene:itb05g25390 transcript:itb05g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRVAASGLRAFASYGNPSLLTRQLHASPGSKKIVGVFYKANEYASMNPNFVGCVENALGIRDWLESQGHQYIVTPDKEGPESELEKHIPDLHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHIDLNAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFLPGYHQVIKGEWDVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMDPALEKEIGATFEEDLDTMLSKCDIVVINTPLTDKTKGMFDKNRIAKMKKGVLVVNNARGAIMDTQAVADACSSGHIAGYSGDVWYPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGTKDMLDRYFKGEEFPAQNYIVKDGQLASQYR >itb13g22070.t1 pep chromosome:ASM357664v1:13:28464807:28466552:1 gene:itb13g22070 transcript:itb13g22070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAHKNVAVNGINMHIAELGQGPLVLLLHGFPELWYSWRHQILFLAAKGYRAVAPDLRGYGDTTGAPNDDPSKFTVFHIVGDLIELLRSIAPEEGKVFVVGHDWGAIIAWHLCMFRPDKVKALVNLSVHFLPRHPHLDLVESFRAIYGDDHYIYRFQEPGEIEAEFAAVEVKTCLKNLFAFRRPEPFYFPKGKGFSAITDGSTALPSWLSAQDLDYYVSRSEKTGFTGGLNYYRALKLNWELTAPWSEAKVMVPTKLIVGDMDLVYHIKGAKEYIHDGGFKNDVPLLEDVVVLEGVAHFINQEAPQEVNNHIYNFIKKF >itb14g08430.t1 pep chromosome:ASM357664v1:14:7884877:7887757:1 gene:itb14g08430 transcript:itb14g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDISLSRQNEEDNSMERISGRNNDHNNNNDETNGKIEISLATASSMFPGFRFSPTDEELISYYLKKKLEAFDECVEVIPEVEIWRHEPWDLPAKSVVQSDNEWFFFSPRGRKYPNGSQSRRATESGYWKATGKERNVKSGSKVIGTKRTLVFHAGRAPKGQRTQWIMHEYCIGEKEYQDSMVVCRLRKNNEFHLNDTLGNSRNQSIVNTSNNAFSELEYTGSLGGLNAVDSCSKECSNSLNSHSVEQIDPGFDCDLVNESGSSSHQKDDGNAEDWFADIMRDDIVKLDDTSLNTSLDVLSVSNKKPGPDIKPKQPAQGLIPHVLPFQGTANRRLRLRRDRVAFFETSWYEAYQIKNANIHPKKISQSDKKQFSRRFISLLSSQRLKSLSIYIVLVSLVLLFLFFFSLEGSYPVKWFRGFLL >itb07g01280.t1 pep chromosome:ASM357664v1:7:800281:805337:1 gene:itb07g01280 transcript:itb07g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKRLQGERFVTRRDELKKRVKDMLKNEEMKELEKMEMIDELQRLGCSYHFEDEIKAALMDIYMKNSNTYMSSSEEKDLYATALEFRLLRQNGYNISQDIFDCFMDGDRSGFNAIFCEDIKGLLNLYEASFLSLEGETTLELAKIFSTKHLKRVAHEKNLNNLELLASVQRALELPLHWRMPRSEARCFIDSYDKGKRNPILLELAKLDFNMVQAVHQEDLRFVSRWWENSYITERLTFVRDSMVENFFWAIATNSNPKFSNWRRTKAKLNCLICTIDDIYDVYGTLDELHLFTDVIERWDDVTEIDHLPKYMRFCYLALHNFINEVAYDVLKEHDIFILHYLRKWWKDLLKAYLQEAKWYHSGYTPTFEEYIENAWISSSCPLILMHAFLLVNHPHMEEAAAQNCLTEYHEIIRLSSMIVRLTDDKGTSPYEMKRGDVPKAIQCYMNEAKVSIDEARDFVDFQINETWKKMNKARVEDCPFSETFIEVAMNLARVSHCMYQHGDGHGTKNLETQTLIQATLFEPIIRNKF >itb12g12030.t1 pep chromosome:ASM357664v1:12:10541866:10542534:-1 gene:itb12g12030 transcript:itb12g12030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYQDSSSSTSSPKTTNSNNTPERNEGVRSRWNPKPEQILILESIFNSGMVNPPKEETVRIRKLLEKFGAVGDANVFYWFQNRRSRSRRRQRQLQLQATLGPPPATADHPHPAAAAAGVAISSCVFPPSSSASNSAVSSYFPNLLGSSSSSSSSPCCGGGVDDEMLCLSGGETMMSMNSAGIEPAGSSITPSSLMSSHDASHLQYPQPGTSYVVLPNNYFF >itb09g13890.t6 pep chromosome:ASM357664v1:9:9114561:9117195:1 gene:itb09g13890 transcript:itb09g13890.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKQPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t4 pep chromosome:ASM357664v1:9:9114185:9117150:1 gene:itb09g13890 transcript:itb09g13890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKQPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t5 pep chromosome:ASM357664v1:9:9114224:9117151:1 gene:itb09g13890 transcript:itb09g13890.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t1 pep chromosome:ASM357664v1:9:9114185:9117195:1 gene:itb09g13890 transcript:itb09g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKQPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t3 pep chromosome:ASM357664v1:9:9114224:9117151:1 gene:itb09g13890 transcript:itb09g13890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t8 pep chromosome:ASM357664v1:9:9114224:9117151:1 gene:itb09g13890 transcript:itb09g13890.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t7 pep chromosome:ASM357664v1:9:9114561:9117195:1 gene:itb09g13890 transcript:itb09g13890.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKQPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb09g13890.t2 pep chromosome:ASM357664v1:9:9114224:9117151:1 gene:itb09g13890 transcript:itb09g13890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTMQSVFSKEHEGIGQNPMVPWWSVAQPTAFVETLGHLKDASGNHKQGEGEGALEQSLSKGIPPQFTVFSGNETKFPQVQATISVQPTTTEYRGSFELGFGQSVVCAKNPYSEQCYGVYSAYGPQVAGRIMLPLNLSSDEGPIFVNAKQYHGIMRRRKCRAKAEMENKVKPRKPYLHLSRHLHAVRRPRGCGGRFLNTKDVKGATGSKRKGSEVSSMFDREDPEDHCPHPINIHLHSSLQALPDMMNTGHDFLMAGKWGVAAAADSCCNLKV >itb06g08640.t1 pep chromosome:ASM357664v1:6:12678537:12678803:-1 gene:itb06g08640 transcript:itb06g08640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRTIGTRSSSNSLISPASPEGFNGAVTSPGTSAASPLLNASDSHGPLFATPPPSADRDTKSRRRCLKPATSTSNRSVSSHGRRKK >itb03g14130.t1 pep chromosome:ASM357664v1:3:13985626:13990181:-1 gene:itb03g14130 transcript:itb03g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRHFLLAIAFSFIISSATAGSSDEYCVYSLYVQTGAFVTAGTDSKISVELGDKAGNSVRVPELRQWGLMSRDHDYFEGGKLDMFSVRGACLEFPLCRLNVTSDGSGHYPGWFCQFIEVTSTGPHQGCSQSIFHINWWLAGDELSVVFDACGWAVKPGRPLVVETGPIFFSFHFSELDLRRLQPNFGFERICQTPWIQIYLLLLRRQESLGLLQSHLLVLAELRVKSNSEQNQAGTMLLMMKFPTLVFSGEPMKLGHIKKLQDSCIIFSSPSNLKNLYAWICSLQEHLNRGKPKAEKKAAQVVFSHGVASSAPIRTYGNPREGTSDSAGLKGSGYGDPRDLVALPSNTNVDVDEDRLAKAESIKKKKREYREPWDYKNSYYPITLPLRKPNSGDPEILDEEEFGEAARNVEYDETKIHPSSDLGLLDEQDEDERRLFFIQIPNNLPSYKSIGDETALPAASTKGKEVVEVPSSAKGKEKVENPTVLRRRSSPNKGCSLEELPGGYMGKMLVYKSGAVKLKLGNILYDVSPGVGCSSAQEVVAINTVDKTCCKLGGIYKHAVVIPDIDSLLD >itb07g19090.t1 pep chromosome:ASM357664v1:7:23545065:23545952:1 gene:itb07g19090 transcript:itb07g19090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGGVSLPERPGSGGSKGILSRVSSTLNQSPIVYRGKRAASEAAVVGKKLLKSTGKAAWIAGTTFLILVVPLIIEMDREAQLNELEMQQASLLGTPPPGIAAPK >itb14g04940.t1 pep chromosome:ASM357664v1:14:4268975:4280541:1 gene:itb14g04940 transcript:itb14g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGYDIRKASNVTTDIARSGFEDTTHQRLLSDTNFKKNDPGSVFEKRSSIGHSDPLKHLNRTASELYLQGLREYIAERKGTLGVGWNVEFKFCHKRCKTSAVYHGPDGSTFESMADVASHLGLPSGVRTIEMENGGNRFSLVHKESNNMLRRKDASGSMQTRSCNQSSNAPRSSFLESGAFLQNIKDGFPVQFEDFLLISTGHLDSRPSYHSNNQIWPVGYRSSWHDKLSGSIFVCDVTDGGDSSPKFRVQRYPCSIQSYVASSTVLSRPQLSSSSEYDKVEKDDSTVFGVLDDDSISVQIMLGDCSPPTLDNDNDTYTGQREDEDFAVEKLNSSLPVSVGNKTFDVIGQGDSLGEFLVEGSSLSSVWEMVSQTLLLASHEAYKQKGAVQFCCSHDICELDVKQLDSLGPLSKFSCLAAPSNFPRAVHSDSEFNSTCEMLVKWFEQDRFGLDADFVQEILEQLPGILNCSGYKLLSERKHKSTLRTVGSGFLQSKRKSHMQDDRESYEFFRTSKRLRKLEEESEVRGPCPLGKPFMSKLPSYLIGDALQVWDFSLRFSEVLGLEDPFSFWELEDELLSPWIDGLNPSVNQKSDIVDAGDTTVYGDGVKSSQGNVTYNQESRCTGLVLAKTHSFLLNVLVKDLLMKVAVYVDPNFDVAESKPRRGRKKDADNLATLKKAKLDMFPVNEVTWPEIARRYILAVLSMEGNLDSTETACRESGKIFHCLRGDGGTLCGSLMGVAALEADAVLLAEAKRKIYGSLKIGSDVISIDEKESDAACTNDGEVPEWARVLEPVRKLPTNVGARIRKCINEALDKNPPEWARKILEHSISKEVYKGNASGPTKRAVISVLADLNNENVQLKPEKKEKVKSVSSLSDIIMKQCRIVLRQAIVADEDRVFCNLLGRTVLMPNDNDDEGRLGYPAMVSRPLDFRTIDLRLAAGSYGGSYEAFVDDVREVWNNICTAYGDQPDLLSLAGTLSQKFEELYEKEVLSFVQKTVECKDNNCLNSEAEKESDDFISRVNESSLPKAPWDEGICKVCGMDKDDDNVLLCDSCDSEYHTYCLDPPLVRIPDGNWYCPSCVAKKSLSRSATYITQNVGQCRKKRYQKEFSHKLLEALSELAKAMELKEYWELTLQERIFLMKFLCDEALNSAIIRDHIDQCASLSADLQQKLRSLNSELKVLKLREEFFTADLAKVKNNVGHGGDSGSNVFSSGVVSDGKLNGQVPESGAQSLSSSLRQLDNGGQLNELMDNNKKPRSCTSKDSLEETCTTSVNRLKSTDTLSNLQYQQAVKDKYQPDNYCHTQSSTQVARLQNELVQGNACSNVDFQQESPESSSNSLPSTAHVLPDHNSTGSLSSSCVNQSSNPGDVSFSQAFNLQLASLKSEIRSLQDSISVKESELYKVSIRKEFLGRDSEGRPYWILGRSGSCLQIVANAGVSAQQRLSPNFYHSGMDNSRQFGVLDWYASGDNVGIPNFCQWTTYQSDEEVKELLEWLRDNDTRERELKESILQWVSYKSKHSNFADGLIQKKKDLSASDSSKGRKVSDSGSSKGRKVLDSCFLVTKAMTVLSKKFGSFNEMDGTEVCKNPGLPVKVPCKGGIYRCLCLEPLWISRPHCYSCHQTFSNAEELAQHASSKCKSNSEFHESSQIMENSSKRKKVTRSESCQEKSLASNGINQASKSRKQGSVPAFRNEKHNSESASVEHQDQAECPFKFEEIKRKFIVQSSLKEEIKKIGLIGSNGVPSFIPCRSPYLDYPVGLFHTTEDEVATETTTVAETCQQQSNTGPSIPGKVHSLDNLPSNENGIFDDELELGRGRSTLSNEKNQLSSVKVKSQALGINKSFTVRESSVRPLVGRDFEILRRLKINLLDMDAALPEEALRASRSHSDRRYSWRAFVKSAATVYEMIQATIILEDTIKTEYLRNDWWYWSSPSAAAKISTLSALALRLYALDSAILYEKHLANEEATEASKPECQSEKAAPQNSKSGSPPTQKQLDSEPAESSKAKTRASKRRKDSGG >itb06g09440.t1 pep chromosome:ASM357664v1:6:13736060:13744545:1 gene:itb06g09440 transcript:itb06g09440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHKRNELVRIRHDSDSAPKPLKNLDSQICQICGDTVGVTANGDIFVACNECAFPVCRPCYEYERKDGNQACPQCKTRYKRLKGSPRVDGDDDEEDVDDLDNEFNYGEGNGKARRKWQGEDVDLSSSSRHESHQPIPLLTNGQPISGEIPLSATPDTQSVRSMSGPLGPGDKHGHSLPYLDPRQPVPVRIVDPSKDLNSYGLGSVDWKERVEGWKLKQDKNMTQITNRYTEGKGDIEGTGSNGEELQMADDARQPLSRVIPIPSSHVTPYRVVIILRLIILGFFLQYRLTHPVNDAYPLWLVSVICEVWFALSWLLDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKFNIEPRAPEFYFSQKIDYLKDKIQPSFVKERRAMKREYDEFKIRINALVAKAQKMPEEGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGLQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGGSGNKKYIDKKRAAKRTESTIPIFNVEDIEDGVEGYDEEKSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCVPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERIAYINTIVYPITSIPLLAYCILPAICLLTGKFIVPEISNYASAWFILLFISIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSFAINSGYQSWGPLFGRLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDTAKAAAGGQCGINC >itb13g02050.t1 pep chromosome:ASM357664v1:13:1875051:1880082:-1 gene:itb13g02050 transcript:itb13g02050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVGVASLRATMEFEIQRPTPRVLPDDLQQMRTLLQNLATLQAFLDKYYIKAYEDAEIKQVEVNIKSFALESENKLEIQLRNILLAKDAKRKEDACRELHRSIGEISTHLGELLRIVNARDASLADSSTHLSDQDTTPTSPLTQQREEMVGHRQELKEIMEKLGRNGEPRRVISIWGMGGIGKTTLARRLYDDPVTNRFDVRAWTTVSQQYEGKQIITALLRCIKTMTPEEIKNATKDELAEQLQKLLKGRRYLIVVDDVWSYEAWDELQRCFPKDCEGSCVLLTTRHKEVAEYTHSEKVPYGLSLLDQEDSWVLFSRNPKLKQPLSSSKFEAAGREIVTQCKGLPLSIVVVAGILISKESLDEWMNIKKELALILTVDEQCTKIPALSYKHMPPHLKPCFLHLGVFPEDSEMPIKNLIRLWIAEGFVKASGKRLEDAALEYLQDLVGRSIILVRNWSSNGLIKTCSMHDILHEFCVSEAENENLLYAGSMRRFRREQTTNAGTGSEGDGRTVKHEGFRWLSFWPNYGNVYFCYNLDRSRSLSFLHRDAIPTLIGQCLPSNLLRVLDLIQLPPLSTGIFRSLRGLVLLRYMDVTLDEHLSPHELTNIVSKIQNLQTLVVSLKMSSSSNGTRLPYEIWESPQLRHVEVSYSLSVDPPTEVKEGLHTLHWLSLDHCTEEVFSRISKVKKLGIICGCESNLDGIKSENLNNIDRLGELETLMIAFRKGSSLGLQNLNYLPKCLNIKKLKLKRTSLPWSEISVISLLPHLEVLKLKEASSESNWEGTDRSFSKLKSLFLEAKNLISWQLNEEEQFPCLERLVLKRCSSLEMIPTDFECIIPLKSIEIKNCSPSVLESAHSVNENRQDNYAYDPIAIRHVDQIEEGVDDDDDDDDDDDDEEEE >itb13g19750.t1 pep chromosome:ASM357664v1:13:26675285:26678881:1 gene:itb13g19750 transcript:itb13g19750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGRTILSDAETPFLQDDVVDGSVDFKGRPAVRSTTGRWRSASFIIGVEVAERFSYYGISSNLISYLTGPLGQSTAAAAANVNAWSGVASLLPLLGAFLADSFLGRYRTIVISSLLYTLALGFLTISTVIPFESSDSQFQVIFFFFALYLVALAQGGHKPCVQAFGADQFDTQDPQECKAKSSFFNWWYFGMCFGVMVTLWILNYVQDNLSWGLGFGIPCVVMALALVIFLLGTYTYRFRQDRDEKNPFVRIGRVFIYAARNHKTSSAAIALEQETQGILPNEGSQQFKFLNKALLAPDGSKEDGEVCSISEVEEAKVILRLAPIWVTCLVYAIVFAQSSTLFTKQGATMNRSISSNLQVPPSTLQTFISLFVVIFIPIYDCILVPVARAVTGKPSGITMLQRIGTGIFLSVVSMVIAAIVEQRRLQIASDYGLIDKPDATVPMSVWWLIPQYALFGISDVFTMVGLQEFFYDQVPKELKSIGLALYLSIFGIGSFLSSFLISIIEQATSKDGGDSWFSDNLNRAHLDYFYWLLAGLSALSLLAYLYFSRSYVYNKAIVI >itb08g00650.t1 pep chromosome:ASM357664v1:8:491049:497418:1 gene:itb08g00650 transcript:itb08g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFRNGSLSKGAPKMDTNRSLSLNFAPKPSSFKPKTSAPAPGSGPRRNSTGSAATPGKDDAGVSGRVRVAVRLRPRNAEEMEADADFADCVELQPELKRLKLRRNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTIMAYGQTGTGKTYTLGRLGEENAADRGIMVRAMEDILAELSPQNEAVSVSVSYLQLYMETVQDLLDPSNDNISIVEDPKTGDVSLPGATTIEIRDQKSFVELLRLGEAHRFAANTKLNTESSRSHAILMLHIKRHVKGRGSTIYSENGTSHLATKTLKAPIVRRSKLVVVDLAGSERIDKSGSEAHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTISFGQRAMKVENMLKIKEEFDYKGLSRRLDIQLDKLIAEHERQQKSFQDEIERIAQEAQNRIIEAERNYADLLENERMVYQKEYADAIKKLEEQWAVNQERRGKVVHDDSCNKFSSREPTICSASEDATEIKKLLQQETLLRKAAEEEIIDLKNQVAQWKRSEAAGNSEILKLRKMLEDEAQQKARLDEEISILQNRLLQLSFEADKTTRDLDRGTTTLPSSLDSPHHQFIHQQLRDSGDEEKASMANLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEEANQEKIVKAGGLTSLLTILRSSNDETILRVAAGAIANLAMNETNQELIMSQGGISLLSLTSMNAEDPQTLRMVAGAIANLCGNDKLQARLSGEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRAHTQGTKMGKSLLIENGATTWIIQNANNEASPIRRHTELALCHLAKLEVNAKDLINGGALWELVRIARDCSRDDIRTLAHQTLTSSPSFQAEMKRMRIDYS >itb08g00650.t2 pep chromosome:ASM357664v1:8:491235:497418:1 gene:itb08g00650 transcript:itb08g00650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFRNGSLSKGAPKMDTNRSLSLNFAPKPSSFKPKTSAPAPGSGPRRNSTGSAATPGKDDAGGRVRVAVRLRPRNAEEMEADADFADCVELQPELKRLKLRRNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTIMAYGQTGTGKTYTLGRLGEENAADRGIMVRAMEDILAELSPQNEAVSVSVSYLQLYMETVQDLLDPSNDNISIVEDPKTGDVSLPGATTIEIRDQKSFVELLRLGEAHRFAANTKLNTESSRSHAILMLHIKRHVKGRGSTIYSENGTSHLATKTLKAPIVRRSKLVVVDLAGSERIDKSGSEAHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTISFGQRAMKVENMLKIKEEFDYKGLSRRLDIQLDKLIAEHERQQKSFQDEIERIAQEAQNRIIEAERNYADLLENERMVYQKEYADAIKKLEEQWAVNQERRGKVVHDDSCNKFSSREPTICSASEDATEIKKLLQQETLLRKAAEEEIIDLKNQVAQWKRSEAAGNSEILKLRKMLEDEAQQKARLDEEISILQNRLLQLSFEADKTTRDLDRGTTTLPSSLDSPHHQFIHQQLRDSGDEEKASMANLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEEANQEKIVKAGGLTSLLTILRSSNDETILRVAAGAIANLAMNETNQELIMSQGGISLLSLTSMNAEDPQTLRMVAGAIANLCGNDKLQARLSGEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRAHTQGEWLILFLCPAKIRKHTYARFSISTSLAERDIYN >itb02g05880.t1 pep chromosome:ASM357664v1:2:3654557:3657826:1 gene:itb02g05880 transcript:itb02g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MGMMKVMSSYRKGRIKDAAFRRLISWMLLIVLGVLLLLVLLRTNTISGLITSYRYEGFESFEIEDFSRPPPTKKVKLKLKLPKQNRLSISLEVRNQLPPRNMDLYPRLAKDHIVIVLYVHNRPKYLRVVVDSLSRVTGISETLLIVSHDGYFDEMNEIVEGIRFCQVKQIFSPYSPHIFNGSFPGVSPRDCKDNDDPAEKHCEGTPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLRETRNHVGHILFIEEDHFIFPNAYRNLQLLAELKPRKCPDCYAANLAPSEVKSRGEGWDSLIAERMGNVGYAFNRTVWKKIHMKAAKFCAFDDYNWDITMWATVYPSFGTPVYTLRGPKTSAVHFGRCGLHQGADKVACIDNGAVSIDLLDDDKVTNIKSDWGVHVHEHQSGYQAGFKGWGGWGDKRDRQMCMDFAKMYA >itb07g16160.t1 pep chromosome:ASM357664v1:7:19487486:19491453:-1 gene:itb07g16160 transcript:itb07g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEALADRSDDDGLGYVEYDPTGRYARLDEMLGKGAMKKVYKAIDMVVGMEVAWSQIKLNDLLHSPDDLQRLYSEVHLLSTLNHDSIIKFYTSWIDVQNTTFNFITEMFTSGTLRGYRKKYTRVDIRAIKIWACQILTGLVYLHCHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILHGSHRAHSVIGTPEFMAPELYDENYNELVDVYSFGLCILEMLTGEYPYSECNNPAQIYKKVTSGKKPRAFYKVEDLEAQQFIGKCLEPVSKRLSAKELMVDPFLAPDDSIGKPLTMVPCQKPFLNDNIIGMEDLNLNEDVPATSMTIKGKLNPEDDTIILKVQIADKEGAVRNVYFPFDILSDTPTEVANEMVKELEIRDWKPAEIANMIDGEISDEAYEETVSQSSSHSSGNYSNLNYFSADHESSPRRQSPAGIGVSHSSHSRFCPGESSSTGEAVARMCHRQCRAMIEQKQDNMRRLTRNKSLVDMRSQLLHRTLIEEVHKRRLFKTVGAVENIGFEVPREGSCRSKRLSDHCGHKPKRNNS >itb04g30310.t1 pep chromosome:ASM357664v1:4:33445448:33449366:-1 gene:itb04g30310 transcript:itb04g30310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEPGYWDGIATGANGDNFHDLLSILDFPMESLEGDGFDDDWDASNLQCLGPIPSDALMGLHPVPQPYTRNGSLGVTIKSEASVDSISRKNQLLNYGEKTPGAALLCPDNYSEDHKSGMFQTQTPTRISENRALYLGKMIAAIKSNFIVPVGTRSKRMRSSKFNPWPFISATFAYKNTQSTKKKRERKLSQRSIAAKDIESDPCEQKGRQSFPVKKCLHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFIPSLHSSSHKEVTEMRRKAGQEAVTHGECQPWLRTHTK >itb04g30310.t2 pep chromosome:ASM357664v1:4:33445448:33449366:-1 gene:itb04g30310 transcript:itb04g30310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSMVEPGYWDGIATGANGDNFHDLLSILDFPMESLEGDGFDDDWDASNLQCLGPIPSDALMGLHPVPQPYTRNGSLGVTIKSEASVDSISRKNQLLNYGEKTPGAALLCPDNYSEDHKSGMFQTQTPTRISENRALYLGKMIAAIKSNFIVPVGTRSKRMRSSKFNPWPFISATFAYKNTQSTKKKRERKLSQRSIAAKDIESDPCEQKGRQSFPVKKCLHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFIPSLHSSSHKEVTEMRRKAGQEAVTHGECQPWLRTHTK >itb04g30310.t3 pep chromosome:ASM357664v1:4:33445556:33448281:-1 gene:itb04g30310 transcript:itb04g30310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEPGYWDGIATGANGDNFHDLLSILDFPMESLEGDGFDDDWDASNLQCLGPIPSDALMGLHPVPQPYTRNGSLGVTIKSEASVDSISRKNQLLNYGEKTPGAALLCPDNYSEDHKSGMFQTQTPTRISENRALYLGKMIAAIKSNFIVPVGTRSKRMRSSKFNPWPFISATFAYKNTQSTKKKRERKLSQRSIAAKDIESDPCEQKGRQSFPVKKCLHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFIPSLHSSSHKEVTEMRRKAGQEAVTHGECQPWLRTHTK >itb04g00230.t3 pep chromosome:ASM357664v1:4:157457:163257:-1 gene:itb04g00230 transcript:itb04g00230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTWERHGSGHRPDAPVADLWHQGLSTKDHLPELENLRFSTNPKRVNENSSDCNAEADGAHSRLVSSQSGNHFSGALPETYENSTLYAQNQRSNKNLITSRVSDHVTRETTSHQDLHTDRSQRSLKSDNLVNEIQGRFVFARTQSSPELTDSYGDMSSQGRRSRLPEGVDMHPTPKKVDNSNRRKNAGSENSTSNSGHSLNDDTSSVRHAPSHQSLDAATNSNSGSNSYHHDSGLDALNEELASTGGTQALHEEEQDAVNMMASTSFHGFNGQVHLPFNLASSHLPFPISPSFLASMGYPQRNMPGLVPTNFPMIDPTFSNVQFPHGLLPPHMTHYFPSLGLSPSSEDPNERNSENFNSTDVSVSDAENDFWPEQDAGSTVGFDRNSGNFEMHQSEEKLHSMPSGQNFVAPSWVSGSVSSLRYQAKHTKEKRGQIREDWRDNFQVQDNGTSDVYAEERMASSRFSSTAHSSPLRSKPSSESSWDGSSAKSSKSARERRGKKTVAAEAATGYGKGKMMSEPVHNQNEDDDQEWNSMPNICTDVVERGQGVQSVSSYSVPMPFVPEYELVQTSGSDSMMPIAPMLIGPGGSQQRVGDNSGVIAFYPTGPPVPFFTMLPFYNIPPKAGTPDASTNHCGGEESIDNSDSGQNFDSSEGLDQSEVLNPSHSFRGAGMDVSSDEHKSDILNSDFASHWQNLQYGRSCQSPRYPGPLVYPSPVMVPPMYLQGRFSWDGPGRPVSTNANVFTEFMSYGHHVPIAPIQSVSDRPANMFQHDMPRYRSGTGTYLPNHPKVSARDRNSSGTRRGNYNNERSDNGDREGNWNANSKPRGAGRGHNRNPNEKSNSRTDRFSSSENRADRPWNSYRNGSFNSYPSQNGPMHANSNQISGPNVTYGMYPLTSMNPMGASSNGPPVVMIYPFDHNAGYGSHGEQLEFGSLGPVGISGTNEQALLSEGGRPRGGFEQRRFHGQRSSPDQPSSPRHPR >itb04g00230.t2 pep chromosome:ASM357664v1:4:157457:166326:-1 gene:itb04g00230 transcript:itb04g00230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAEPARLLPNGLLPNAAPVIQGLDSERWLKAEERTAELIARIQPNKPSEERRNAVADYVQRLITKCFPCQVEVFTFGSVPLKTYLPDGDIDLTAFSDNQSLKDTWAHQVRDMLEKEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLISQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFTGPLEVLYRFLEFFSNFDWDNFCVSLWGPVPIISLPDVNAQPPRKDSGELLLTKRFLDSCSAVYAVLPGIQETPGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFTFGAKRLERLLDCPKENVIYEVNQFFMNTWERHGSGHRPDAPVADLWHQGLSTKDHLPELENLRFSTNPKRVNENSSDCNAEADGAHSRLVSSQSGNHFSGALPETYENSTLYAQNQRSNKNLITSRVSDHVTRETTSHQDLHTDRSQRSLKSDNLVNEIQGRFVFARTQSSPELTDSYGDMSSQGRRSRLPEGVDMHPTPKKVDNSNRRKNAGSENSTSNSGHSLNDDTSSVRHAPSHQSLDAATNSNSGSNSYHHDSGLDALNEELASTGGTQALHEEEQDAVNMMASTSFHGFNGQVHLPFNLASSHLPFPISPSFLASMGYPQRNMPGLVPTNFPMIDPTFSNVQFPHGLLPPHMTHYFPSLGLSPSSEDPNERNSENFNSTDVSVSDAENDFWPEQDAGSTVGFDRNSGNFEMHQSEEKLHSMPSGQNFVAPSWVSGSVSSLRYQAKHTKEKRGQIREDWRDNFQVQDNGTSDVYAEERMASSRFSSTAHSSPLRSKPSSESSWDGSSAKSSKSARERRGKKTVAAEAATGYGKGKMMSEPVHNQNEDDDQEWNSMPNICTDVVERGQGVQSVSSYSVPMPFVPEYELVQTSGSDSMMPIAPMLIGPGGSQQRVGDNSGVIAFYPTGPPVPFFTMLPFYNIPPKAGTPDASTNHCGGEESIDNSDSGQNFDSSEGLDQSEVLNPSHSFRGAGMDVSSDEHKSDILNSDFASHWQNLQYGRSCQSPRYPGPLVYPSPVMVPPMYLQGRFSWDGPGRPVSTNANVFTEFMSYGHHVPIAPIQSVSDRPANMFQHDMPRYRSGTGTYLPNHPKVSARDRNSSGTRRGNYNNERSDNGDREGNWNANSKPRGAGRGHNRNPNEKSNSRTDRFSSSENRADRPWNSYRNGSFNSYPSQNGPMHANSNQISGPNVTYGMYPLTSMNPMGASSNGPPVVMIYPFDHNAGYGSHGEQLEFGSLGPVGISGTNEQALLSEGGRPRGGFEQRRFHGQRSSPDQPSSPRHPR >itb04g00230.t1 pep chromosome:ASM357664v1:4:157457:166332:-1 gene:itb04g00230 transcript:itb04g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAEPARLLPNGLLPNAAPVIQGLDSERWLKAEERTAELIARIQPNKPSEERRNAVADYVQRLITKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSDNQSLKDTWAHQVRDMLEKEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLISQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFTGPLEVLYRFLEFFSNFDWDNFCVSLWGPVPIISLPDVNAQPPRKDSGELLLTKRFLDSCSAVYAVLPGIQETPGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFTFGAKRLERLLDCPKENVIYEVNQFFMNTWERHGSGHRPDAPVADLWHQGLSTKDHLPELENLRFSTNPKRVNENSSDCNAEADGAHSRLVSSQSGNHFSGALPETYENSTLYAQNQRSNKNLITSRVSDHVTRETTSHQDLHTDRSQRSLKSDNLVNEIQGRFVFARTQSSPELTDSYGDMSSQGRRSRLPEGVDMHPTPKKVDNSNRRKNAGSENSTSNSGHSLNDDTSSVRHAPSHQSLDAATNSNSGSNSYHHDSGLDALNEELASTGGTQALHEEEQDAVNMMASTSFHGFNGQVHLPFNLASSHLPFPISPSFLASMGYPQRNMPGLVPTNFPMIDPTFSNVQFPHGLLPPHMTHYFPSLGLSPSSEDPNERNSENFNSTDVSVSDAENDFWPEQDAGSTVGFDRNSGNFEMHQSEEKLHSMPSGQNFVAPSWVSGSVSSLRYQAKHTKEKRGQIREDWRDNFQVQDNGTSDVYAEERMASSRFSSTAHSSPLRSKPSSESSWDGSSAKSSKSARERRGKKTVAAEAATGYGKGKMMSEPVHNQNEDDDQEWNSMPNICTDVVERGQGVQSVSSYSVPMPFVPEYELVQTSGSDSMMPIAPMLIGPGGSQQRVGDNSGVIAFYPTGPPVPFFTMLPFYNIPPKAGTPDASTNHCGGEESIDNSDSGQNFDSSEGLDQSEVLNPSHSFRGAGMDVSSDEHKSDILNSDFASHWQNLQYGRSCQSPRYPGPLVYPSPVMVPPMYLQGRFSWDGPGRPVSTNANVFTEFMSYGHHVPIAPIQSVSDRPANMFQHDMPRYRSGTGTYLPNHPKVSARDRNSSGTRRGNYNNERSDNGDREGNWNANSKPRGAGRGHNRNPNEKSNSRTDRFSSSENRADRPWNSYRNGSFNSYPSQNGPMHANSNQISGPNVTYGMYPLTSMNPMGASSNGPPVVMIYPFDHNAGYGSHGEQLEFGSLGPVGISGTNEQALLSEGGRPRGGFEQRRFHGQRSSPDQPSSPRHPR >itb01g29020.t1 pep chromosome:ASM357664v1:1:33311386:33313807:-1 gene:itb01g29020 transcript:itb01g29020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPKFAGLIDLNQNHDNYGLSQNFYHKLGEGSNMSIDSYPSLQMSNGGGSVAMSMDNSSVGSNDSNTRILNHQGLKRVHNNYSVAASVNRGKVSHGLSDDALAQALMDPHYATQGLENYDEWTIDLRKLNMGPAFAQGAFGKLYKGTYNGEDVAIKLLERPENDLERAHLMEQQFQQEVMMLARLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLAKRQNRSVPLKLAVKQALDVARGMEYVHGLNLIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGVVLWELITGMLPFQNMTAVQAAFAVVNKGVRPAIPNDCLPVLAEIMSRCWDPNPDVRPPFSEVVRMLEAAETEIMTTVRKARFRCCMTQPMTMD >itb09g07700.t2 pep chromosome:ASM357664v1:9:4540040:4546677:1 gene:itb09g07700 transcript:itb09g07700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLSFLFIILLAVVLVQGRFLSDDDGEMVSDGVHEHYHAHAANNQSSVEQSSFLALGGPRVSSSSTCDHAYGFFPCAENIPGYLFQIVIFQYLMSVAEQLVSSSSKKIFDTLGTGIFGATVFRILMVFPRIIMTISSGIFNSQEGAQNQVFFGIRVNAGATIFNLTILWGLCVIVGRRDRAAQENKDSQSQPSTQSFASNWLKNLTECGISTDASTSFTAGLMLLSLVPFVLVELVNVVSSASGQRIVVLVALIITVAFLLAYFAYQIIDPTIQKRSLHYSRFENLLTQNGKPNVSRIRLFFHLYDSDNNEQMSSQELEKFLRLIVTDEVQRMTAVRTMMKDLDADGNSRITEQEFLNGIENLLANNSSADNAAAIPTSTPENDQEKTEEVTNGGAAGDVEQAGGNEILTWDFLVTVLQVILGVVILTFCAQPLMTNVISFSGAVGVPTFIISFVVLPIVINGRMAIAAIFPASQKSSKAASLTFSEKTEEVTNGGAAGDVEQAGGNEILTWDFLVTVLQVILGVVILTFCAQPLMTNVISFSGAVGVPTFIISFVVLPIVINGRMAIAAIFPASQKSSKAASLTFSEIYNGVTMSNLTGLLSLLAVVYARELTWDYSAEVLVVFVISLTIGFLAYCKTTYQLWTSIFAFFLYPFSLALFYVLQLMGWD >itb09g07700.t1 pep chromosome:ASM357664v1:9:4539719:4546929:1 gene:itb09g07700 transcript:itb09g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLSFLFIILLAVVLVQGRFLSDDDGEMVSDGVHEHYHAHAANNQSSVEQSSFLALGGPRVSSSSTCDHAYGFFPCAENIPGYLFQIVIFQYLMSVAEQLVSSSSKKIFDTLGTGIFGATVFRILMVFPRIIMTISSGIFNSQEGAQNQVFFGIRVNAGATIFNLTILWGLCVIVGRRDRAAQENKDSQSQPSTQSFASNWLKNLTECGISTDASTSFTAGLMLLSLVPFVLVELVNVVSSASGQRIVVLVALIITVAFLLAYFAYQIIDPTIQKRSLHYSRFENLLTQNGKPNVSRIRLFFHLYDSDNNEQMSSQELEKFLRLIVTDEVQRMTAVRTMMKDLDADGNSRITEQEFLNGIENLLANNSSADNAAAIPTSTPENDQEKTEEVTNGGAAGDVEQAGGNEILTWDFLVTVLQVILGVVILTFCAQPLMTNVISFSGAVGVPTFIISFVVLPIVINGRMAIAAIFPASQKSSKAASLTFSEIYNGVTMSNLTGLLSLLAVVYARELTWDYSAEVLVVFVISLTIGFLAYCKTTYQLWTSIFAFFLYPFSLALFYVLQLMGWD >itb15g10020.t1 pep chromosome:ASM357664v1:15:7300821:7308605:1 gene:itb15g10020 transcript:itb15g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLGGGAEAHARLNRFEYRANSGLVLTSDSRPRDTHEPSGEVETHPGNIDPKTFGDRVYKGKPAELEKFKKGKKKKERELPSSESIPTGQSKRRRLQEESVLSATEEGVYQPKTHETRAAYGAMLCVIQQQLGGQPLNIVNGAADEILAILKNENIKNPDKKKEIEKFLNPIPSNIFDELVSLGKCITDYQDGSDAVVSATANGDEALDDDFGVAVEFEENEEGEEGSDLDMVLEDEEEEDGLEENDAGAMQMGGGIDDDVMQEADEGMALNVQDIDAYWLQRKISQAYKQRIDPQRIDPQQSLKFAEDVLEILAEGDDREVENKLLLHLGYEMFGLIKYLLRNRLKVVWCTRLARAEDQDMKKKIEEEMMDLGPDHTAILDQLHATRASAKERQKNLEKSLREEVLRLKDETSRGGDRGQRLISDRNVESGWMEGHSQLLDLDSLAFHQGGLLMANKKCELPLGSYRNLKKGYEEVCVPALKPKPLSEDETFVKISSMPEWVQPAFRGMTQLNRVQSKVYQTALFTPENILLCAPTGAGKTNVAMLTILQQIALNRNEDGSFNHNKYKIVYVAPMKALVAEVVSNLSNRLQVYGVNVKELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYAELVKLLIIDEIHLLHDNRGPVLESIVARTVRQIEMTKEHIRLVGLSATLPNYDDVAVFLRVDLQKGLFHFDNSYRPVPLAQQYIGITVKKPLQRFQLMNDVCYEKVIAVAGKHQVLIFVHSRKETAKTARVIRDTALGNDTLGKFLKEDSASREILQSHTELVKSNDLKDLLPYGFAIHHAGLVRADREIVEQLFAYGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTALGPLDVMQMLGRAGRPQFDTYGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAKEACKWLLYTYLCIRMVRNPSLYGLAADALKSDITLEERRADLVHSAATLLAKNNLIKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGEIELCRLFSLSEEFRHVAVRQDEKIELAKLLERVPIPVKESIEEPSAKINVLLQSYISQLKLEGLSLTSDMVFITQSAARLMRALFEIALKRGWAQLALKALKWCKMISKRMWSVQTPLRQFHGIPNEILMKLEKKDLAWERYYDLSSQELGELIHYPKLGRTLHKYIHQFPKLNLAAHVQPITRSVLRVELTITPDFIWEDKVHGFVEPFWVIVEDCDGEYILHHEYFMLKKQYSDEDHTLNFTVQIYEPLPPQYFIRVISDRWLGSQTILPVCFHHLILPEKYPPPTELLDLQPLPVTALRNPVYEALFQEFKHFNPVQTQVFSVLYNSDDNVLVAAPTGSGKTLCAEFAILRNHQKESASIMRAVYIAPVEALAKERYSDWKRKFGEGLGMRVVELTGETATDLKLLEKGQIVISTPEKWDALSRCWKQRKHVREISLFIIDELHLIGGEGGPILEVVVSRIRYIASQLEKKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIKSIDIANFEARMQAMTKPTYTAIVQHAKSGKPAIVYVPTRKHARLTAVDLLTYSSLDSGERPMFLSESANKLEPFIEGIKEPMLKETLKYGVGYLHEGLISIDQDVVKTLFENGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGKENAHSDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPQKDYYKKFLFEAFPVESHLQHYLHDNLNAEVVSGVIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDYLSELAENTLSDLETSKCVDVYDDLILSQNNLGMIASYYYINYTTIERFSSSLTPKTKLKGLLEILTSASEYQQLPIRPGEEELIRRLINHQRFSFENPKYLDPHVKANALLQAHLSRQVVGGNLAADQQQVLLSANRLLQALVYVVSSNGWLNLSLLTMEVTQMVTQAMWERDSMLLQLPHFTKELVKKCQENPGKSIETIFDLLEMEDDERRELLQISDSQLMDIARFCNCFPNIDLAYEVVDGENVSVGGKDISMQVTLERDLEGRTEVGPVFAPRYPKTKDEGWWLVVGDPKTNQLLAINRVSTLQRKSRVKLNFAAPAEAGKKTYTLYFMCDSYMGCDQEYQFTVDVKEAMTADD >itb01g31900.t1 pep chromosome:ASM357664v1:1:35526759:35529711:-1 gene:itb01g31900 transcript:itb01g31900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEGNLSREQYVYLAKLAEQAERYEEMVQFMDKLVLGSTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVSLVKDYRGKVENELSQVCAGILKLLESNLVPSASSSESKVFYLKMKGDYHRYLAEFKVGDERKEAADDTMNSYKAAQEVALADLSPTHPIRLGLALNFSVFYYEILNSSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDAQDQLDEP >itb08g02190.t6 pep chromosome:ASM357664v1:8:1759586:1765335:1 gene:itb08g02190 transcript:itb08g02190.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t4 pep chromosome:ASM357664v1:8:1759583:1765412:1 gene:itb08g02190 transcript:itb08g02190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t7 pep chromosome:ASM357664v1:8:1759666:1765503:1 gene:itb08g02190 transcript:itb08g02190.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t8 pep chromosome:ASM357664v1:8:1759586:1765503:1 gene:itb08g02190 transcript:itb08g02190.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t1 pep chromosome:ASM357664v1:8:1759547:1765503:1 gene:itb08g02190 transcript:itb08g02190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t2 pep chromosome:ASM357664v1:8:1759547:1765503:1 gene:itb08g02190 transcript:itb08g02190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t3 pep chromosome:ASM357664v1:8:1759583:1765412:1 gene:itb08g02190 transcript:itb08g02190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t5 pep chromosome:ASM357664v1:8:1759586:1765335:1 gene:itb08g02190 transcript:itb08g02190.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb08g02190.t9 pep chromosome:ASM357664v1:8:1760070:1765412:1 gene:itb08g02190 transcript:itb08g02190.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKDEDWRDIVRKMLPPGAPLPEEETNLDYSIALEYNGPPVSYEVPCVEPIDVNSSAIPTASVAQPSSESRRSMSHEGVPVIEPIPLIASRVAGITSPSNQSPRLSGSTESQVSVVLNLESLSGSPSISPGSIHNPPNDAPKQSVNPGRRAPVVTFNTVDRSEKKGEYSENQAFPAYVGVSRENKKKKTRVCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCISMPIDESKRFKLGRHSRVLSRLLCPLEAKQIMKAEKECSANQLRPEQLIVNGYPLKPEEMAELFECPIPPQKLKPGRYWYDKESGLWGKEGGKPDRIVSSNLNFTGKLSPHASNGNTRVYINGREITKLELKVLKFANVQCLRDTHFWVYDDGRYEEEGQNNIKGNMWEKTRTRIICSLFSLPILNGQPHYQRNEPSNYSTVPYLEQKRVQKLLLFGLDGSGTSTIFKQAKFLYGNKFTAEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSKLKAEGSEEDASPNNHCVYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQEAYKRKDELHFLPDAADYFLNKAVEVSSNEYEPSEQDILYAEGVTKGKGLAFMEFSLDDRSPMSETYTDNLEAPPPPLMRYQLIRVNANGMNEGCKWLEMFEDVSAVVVCVALSDYDQMSIAPESNGSGVLLQNKMMKSKDLFETMIQHPCFKDTPFVLILNKYDIFEAKVNKVPLGTCEWFTDFSPVPTHHTNQSLAHQAYYYVAKKFKDLYASVTGRKLFVWQSRARDRATVDEAFKFIREVVKWDGEKGEDYYGAAEDSFYSTTDVSSSPFMMQQ >itb03g01260.t4 pep chromosome:ASM357664v1:3:691725:694303:1 gene:itb03g01260 transcript:itb03g01260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNRASRALRSKLTALFASATFTSRTHPVIDNTRAILSPFGGILSAPFCSRSRQNSKDASNIDLSQYPSDNIRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFHRHNFLGSNTDFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKAQLKSMFDLDPNDALLTSAKTGKGLEHVLPAVIERIPPPPGKITSPLRMLLLDSYYDEYKGVICHVAIVDGVLRKGDKISSAATCQTYEVLDVGFMHPELVPTGVLLTGQVGYIVSGMRSTKEARVGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSTALDVVS >itb03g01260.t1 pep chromosome:ASM357664v1:3:691725:696738:1 gene:itb03g01260 transcript:itb03g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNRASRALRSKLTALFASATFTSRTHPVIDNTRAILSPFGGILSAPFCSRSRQNSKDASNIDLSQYPSDNIRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFHRHNFLGSNTDFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKAQLKSMFDLDPNDALLTSAKTGKGLEHVLPAVIERIPPPPGKITSPLRMLLLDSYYDEYKGVICHVAIVDGVLRKGDKISSAATCQTYEVLDVGFMHPELVPTGVLLTGQVGYIVSGMRSTKEARVGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHGAHVISTVPTVPYIFEYSDGSKVQVQNPASLPSNPKHRLVACWEPTVIATIIIPSEYVGPVITLCAERRGDQLEYSFIDSQRALMKYRLPLREIVVDFYNELKSLTSGYASFDYEDADYQASDLVKLDILLNAQPVDAMATIVHKSKAQRVGRELVDKLKKFIDRQMFEITIQAAIGSKVVARETVSAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVS >itb03g01260.t2 pep chromosome:ASM357664v1:3:691747:695593:1 gene:itb03g01260 transcript:itb03g01260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNRASRALRSKLTALFASATFTSRTHPVIDNTRAILSPFGGILSAPFCSRSRQNSKDASNIDLSQYPSDNIRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFHRHNFLGSNTDFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKAQLKSMFDLDPNDALLTSAKTGKGLEHVLPAVIERIPPPPGKITSPLRMLLLDSYYDEYKGVICHVAIVDGVLRKGDKISSAATCQTYEVLDVGFMHPELVPTGVLLTGQVGYIVSGMRSTKEARVGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHGAHVISTVPTVPYIFEYSDGSKVQVQNPASLPSNPKHRLVACWEPTVIATIIIPSEYVGPVITLCAERRGDQLEYSFIDSQRALMKYRLPLREIVVDFYNELKSLTSGYASFDYEDAE >itb03g01260.t3 pep chromosome:ASM357664v1:3:691747:694795:1 gene:itb03g01260 transcript:itb03g01260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNRASRALRSKLTALFASATFTSRTHPVIDNTRAILSPFGGILSAPFCSRSRQNSKDASNIDLSQYPSDNIRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFHRHNFLGSNTDFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKAQLKSMFDLDPNDALLTSAKTGKGLEHVLPAVIERIPPPPGKITSPLRMLLLDSYYDEYKGVICHVAIVDGVLRKGDKISSAATCQTYEVLDVGFMHPELVPTGVLLTGQVGYIVSGMRSTKEARVGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSTALDVVS >itb04g00890.t1 pep chromosome:ASM357664v1:4:484364:486390:1 gene:itb04g00890 transcript:itb04g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKLPFALCFSSFLLFFNLVLSSSAFSPIDHYLINCGSHGSTVDLDHRRFVGDSNSPLLSSSGGESLAVSNPLPGSSPIYHTGRVFTRPSKYVFSVRDPGAHLVRLHFQVLNTGLDYSNAQFYVLADGYLLLDSSSIEKTWNSGVIKEYIIRVDSDKLVITFVPVKKSKFAFVNAIEVISAPKDLVADLAQYVSSEKNEQISGLLKNGFETVHRVSVGGPKVTPFNDSLWRTWLPDDEFLKSHDDSLSKVYYSGHIQYQNGGASREVGPDNMYNTARIIKSSSDSIPNLNMSWAFPVDEGYKYLVRMHFCDIASVARGMLYFNVYVNGYMAYENLDLTMITGILASPFYADFVVDEGLSGVLTVSVGPSNMSETRAVDAILNGVEIMKINNSVGSFDGEFCAHSVLKTWRTGNGGVVLFLLAAVFLLLTATMLIKRRSSVIGDSIVWSRLPMEIPDAISKRYNQLSSSKV >itb08g06970.t2 pep chromosome:ASM357664v1:8:5949977:5967220:1 gene:itb08g06970 transcript:itb08g06970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MESQPSLSSGRFEAQESFNSKVSRSTSESNSHSQSLASILNNPHAGKSDTWWWSASASVPIPEFAALPPPSKPGSDLARPDFVTYLSSISEPYARFHDIQQHTKFESLEGQNGENALVACLREVPALYFKEDFQLEEGATFKAACPFRTTTENLVLQEKLSQYLDVVELHLVREISLRSSSFFEAQGQLEDLNGKIVEGCNRIRELKESIRLLDATLVGSARKVHDLNEQRGDLISLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQHLLDEDELAGLHCFRHLRDQLAASVESINSILSAEFMRTSIHDTGDTDASITSKFKARATIAMNGEGNEVRLDEEESSNFRDRLLPLVIGLLRTAKLPAVLRMYRDTLTADMKTAIKISVEELLPVLGAQPLDSDFVTGERAGDTDGGGSSLASRLRSLSPESFVQLLKAVFMIVQAHLARASEVKKAIEWIMCHVDGHYAADSVAAAIALGAAAAETAQETDSPVSSFLPYSTQRSSNKVSSTQGKGSEASTASNLSRNFRADILRENTEAVFAACDAAHGRWAKILGVRSPIHPKLRLQEFLNIYNITQEFITATERIGGRLGYSIRGTLQSQAKGFLEFQHESRMAKMRAILDQENWAEIDVPDEFQAIVTSFFCSETLVTSETATSNGPVAPGSEGSPVDEGLSNSSQNMEQHDSVAVHLDSAAQGNSSGLRRTDSNESGNVDPESNSAHAVESNSRERGKASPRMLYFNEIGYHMVNCGLILVKMLSEYIDMNNSLSGLSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIKRVLFLKVPETRKGLLMLEIDRVAQDFKVHRDEIHSKLVQIMRERLLIHLRSLPQIVENWSRQEDTDSQPSQFARSITKEVGLLQRVLSRTLHEVDVQTIFRQVVQIFHTQISEALSRVDISTPQVKNRMHRDIQHILGCIRSLPSDELSKSNPPNWGKLDEFVAQNFGAEAS >itb08g06970.t3 pep chromosome:ASM357664v1:8:5950031:5965891:1 gene:itb08g06970 transcript:itb08g06970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MESQPSLSSGRFEAQESFNSKVSRSTSESNSHSQSLASILNNPHAGKSDTWWWSASASVPIPEFAALPPPSKPGSDLARPDFVTYLSSISEPYARFHDIQQHTKFESLEGQNGENALVACLREVPALYFKEDFQLEEGATFKAACPFRTTTENLVLQEKLSQYLDVVELHLVREISLRSSSFFEAQGQLEDLNGKIVEGCNRIRELKESIRLLDATLVGSARKVHDLNEQRGDLISLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQHLLDEDELAGLHCFRHLRDQLAASVESINSILSAEFMRTSIHDTGDTDASITSKFKARATIAMNGEGNEVRLDEEESSNFRDRLLPLVIGLLRTAKLPAVLRMYRDTLTADMKTAIKISVEELLPVLGAQPLDSDFVTGERAGDTDGGGSSLASRLRSLSPESFVQLLKAVFMIVQAHLARASEVKKAIEWIMCHVDGHYAADSVAAAIALGAAAAETAQETDSPVSSFLPYSTQRSSNKVSSTQGKGSEASTASNLSRNFRADILRENTEAVFAACDAAHGRWAKILGVRSPIHPKLRLQEFLNIYNITQEFITATERIGGRLGYSIRGTLQSQAKGFLEFQHESRMAKMRAILDQENWAEIDVPDEFQAIVTSFFCSETLVTSETATSNGPVAPGSEGSPVDEGLSNSSQNMEQHDSVAVHLDSAAQGNSSGLRRTDSNESGNVDPESNSAHAVESNSRERGKASPRMLYFNEIGYHMVNCGLILVKMLSEYIDMNNSLSGLSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIKRVLFLKVPETRKGLLMLEIDRVAQDFKVHRDEIHSKLVQIMRERLLIHLRSLPQIVENWSRQEDTDSQPSQFARSITKVVL >itb08g06970.t1 pep chromosome:ASM357664v1:8:5949977:5967714:1 gene:itb08g06970 transcript:itb08g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MESQPSLSSGRFEAQESFNSKVSRSTSESNSHSQSLASILNNPHAGKSDTWWWSASASVPIPEFAALPPPSKPGSDLARPDFVTYLSSISEPYARFHDIQQHTKFESLEGQNGENALVACLREVPALYFKEDFQLEEGATFKAACPFRTTTENLVLQEKLSQYLDVVELHLVREISLRSSSFFEAQGQLEDLNGKIVEGCNRIRELKESIRLLDATLVGSARKVHDLNEQRGDLISLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQHLLDEDELAGLHCFRHLRDQLAASVESINSILSAEFMRTSIHDTGDTDASITSKFKARATIAMNGEGNEVRLDEEESSNFRDRLLPLVIGLLRTAKLPAVLRMYRDTLTADMKTAIKISVEELLPVLGAQPLDSDFVTGERAGDTDGGGSSLASRLRSLSPESFVQLLKAVFMIVQAHLARASEVKKAIEWIMCHVDGHYAADSVAAAIALGAAAAETAQETDSPVSSFLPYSTQRSSNKVSSTQGKGSEASTASNLSRNFRADILRENTEAVFAACDAAHGRWAKILGVRSPIHPKLRLQEFLNIYNITQEFITATERIGGRLGYSIRGTLQSQAKGFLEFQHESRMAKMRAILDQENWAEIDVPDEFQAIVTSFFCSETLVTSETATSNGPVAPGSEGSPVDEGLSNSSQNMEQHDSVAVHLDSAAQGNSSGLRRTDSNESGNVDPESNSAHAVESNSRERGKASPRMLYFNEIGYHMVNCGLILVKMLSEYIDMNNSLSGLSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIKRVLFLKVPETRKGLLMLEIDRVAQDFKVHRDEIHSKLVQIMRERLLIHLRSLPQIVENWSRQEDTDSQPSQFARSITKEVGLLQRVLSRTLHEVDVQTIFRQVVQIFHTQISEALSRVDISTPQVKNRMHRDIQHILGCIRSLPSDELSKSNPPNWGKLDEFVAQNFGAEAS >itb09g00810.t1 pep chromosome:ASM357664v1:9:508690:508989:1 gene:itb09g00810 transcript:itb09g00810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSKLPQAAALKQIIRRCSSQFKHDGDGLPVDVPKGHFAVYVGENRSRYIVPISFLDHPQFQCLLRRAEEEFGFHHQMGITIPCDEMAFRSLTAMLR >itb04g29980.t2 pep chromosome:ASM357664v1:4:33209725:33225767:1 gene:itb04g29980 transcript:itb04g29980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSCKDKLAYFRIKELKDVLTQIGVSKQGKKQDLVDRILTILSDDRVSGSWAKKNAVGKQQVAKIVDDIYRKMQVSGAPDLASKSLVSGAPDLASKSQASGAPDLASKSQGFSDSSSARFKDEIEDSYKMDKIQCPCGSTLQTESMIKCEDPKCHVWQHISCVIIPEKAGENGIPPIPPGTFYCELCRLSRADPYWAPVANPLPPVKLTITDVPSEGTNPVQSIEKTFQLTRADRDLLAKQEYDLQAWCMLLNDTVHFRMHWPLYTNLQINGVPVRAINRPGSQLLGANGRDDGPIITPCTRDGINKISLTGVDSRVFCFGVRIVKRRTVQQILNCIPKESDGERFEDALARVCRIGGGNVTENADSDSDIEIVADFIPLNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNQRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCEEDVAEIEVKPDGSWRAKVEGDINSLGDLGQWHLPNGNLCISSDADSMPKPEVLKQVKQDGGSNGHGGLRVGLKKNPNGIWEISKHEDVLTNKMRDNFFSDQDIIPMSSSGTGSGKAGEDPSVNQDGIGNLDLPTNNRIDFESVSLNFNSEYGISDRNPSIPLGDAEVIVLSDSDEENEPLMLHGNVQGNTRADAARVSFPLQQHGITDSYHEDPALANEGNSCPGLFGATNNDDFEMHTWPLSHNTQGGPGFQLFGSDAGVSGSLAVVQPHSIDFPSSIDGYEFPIDGAIGSVGVAPESSVGCRNANLNDGLIVNPMSFAGADPPLQIFLPTRPSNASIDTSTRDQPDVANGVQTEDWFSLTLGSGGIGATADSAAANGLNSDQQLQSKDGGSYSMPDTASLLLGMNDNKSNKICRERSDSPFSFPRKRRTATARPRSYLNFDSDSE >itb04g29980.t3 pep chromosome:ASM357664v1:4:33210012:33225767:1 gene:itb04g29980 transcript:itb04g29980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSCKDKLAYFRIKELKDVLTQIGVSKQGKKQDLVDRILTILSDDRVSGSWAKKNAVGKQQVAKIVDDIYRKMQVSGAPDLASKSLVSGAPDLASKSQASGAPDLASKSQGFSDSSSARFKDEIEDSYKMDKIQCPCGSTLQTESMIKCEDPKCHVWQHISCVIIPEKAGENGIPPIPPGTFYCELCRLSRADPYWAPVANPLPPVKLTITDVPSEGTNPVQSIEKTFQLTRADRDLLAKQEYDLQAWCMLLNDTVHFRMHWPLYTNLQINGVPVRAINRPGSQLLGANGRDDGPIITPCTRDGINKISLTGVDSRVFCFGVRIVKRRTVQQILNCIPKESDGERFEDALARVCRIGGGNVTENADSDSDIEIVADFIPLNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNQRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCEEDVAEIEVKPDGSWRAKVEGDINSLGDLGQWHLPNGNLCISSDADSMPKPEVLKQVKQDGGSNGHGGLRVGLKKNPNGIWEISKHEDVLTNKMRDNFFSDQDIIPMSSSGTGSGKAGEDPSVNQDGIGNLDLPTNNRIDFESVSLNFNSEYGISDRNPSIPLGDAEVIVLSDSDEENEPLMLHGNVQGNTRADAARVSFPLQQHGITDSYHEDPALANEGNSCPGLFGATNNDDFEMHTWPLSHNTQGGPGFQLFGSDAGVSGSLAVVQPHSIDFPSSIDGYEFPIDGAIGSVGVAPESSVGCRNANLNDGLIVNPMSFAGADPPLQIFLPTRPSNASIDTSTRDQPDVANGVQTEDWFSLTLGSGGIGATADSAAANGLNSDQQLQSKDGGSYSMPDTASLLLGMNDNKSNKICRERSDSPFSFPRKRRTATARPRSYLNFDSDSE >itb04g29980.t1 pep chromosome:ASM357664v1:4:33209725:33225748:1 gene:itb04g29980 transcript:itb04g29980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGSCKDKLAYFRIKELKDVLTQIGVSKQGKKQDLVDRILTILSDDRVSGSWAKKNAVGKQQVAKIVDDIYRKMQVSGAPDLASKSLVSGAPDLASKSQASGAPDLASKSQGFSDSSSARFKDEIEDSYKMDKIQCPCGSTLQTESMIKCEDPKCHVWQHISCVIIPEKAGENGIPPIPPGTFYCELCRLSRADPYWAPVANPLPPVKLTITDVPSEGTNPVQSIEKTFQLTRADRDLLAKQEYDLQAWCMLLNDTVHFRMHWPLYTNLQINGVPVRAINRPGSQLLGANGRDDGPIITPCTRDGINKISLTGVDSRVFCFGVRIVKRRTVQQILNCIPKESDGERFEDALARVCRIGGGNVTENADSDSDIEIVADFIPLNLRCPMSGSRIKVAGRFKPCVHKGCFDLEVFVEMNQRTRKWQCPHCLKNYSLEHIIIDPYLNRITSKLRNCEEDVAEIEVKPDGSWRAKVEGDINSLGDLGQWHLPNGNLCISSDADSMPKPEVLKQVKQDGGSNGHGGLRVGLKKNPNGIWEISKHEDVLTNKMRDNFFSDQDIIPMSSSGTGSGKAGEDPSVNQDGIGNLDLPTNNRIDFESVSLNFNSEYGISDRNPSIPLGDAEVIVLSDSDEENEPLMLHGNVQGNTRADAARVSFPLQQHGITDSYHEDPALANEGNSCPGLFGATNNDDFEMHTWPLSHNTQGGPGFQLFGSDAGVSGSLAVVQPHSIDFPSSIDGYEFPIDGAIGSVGVAPESSVGCRNANLNDGLIVNPMSFAGADPPLQIFLPTRPSNASIDTSTRDQPDVANGVQTEDWFSLTLGSGGIGATADSAAANGLNSDQQLQSKDGGSYSMPDTASLLLGMNDNKSNKICRERSDSPFSFPRKRRTATARPRSYLNFDSDSE >itb11g06360.t2 pep chromosome:ASM357664v1:11:3783550:3788046:1 gene:itb11g06360 transcript:itb11g06360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPDPLFGLRNNFYLGAYQAAINNSEVPNLSPDDVVERDALVFRSYIALGSYQLVISEIDASASTPLQAVKLLAIYLSGPEKKEMVISSLHELLGDPAVGSNPILRLIAGIIFMHEQDYNEALKYTNAGGTMELHALNVQIFIKMHRSDYAEKQLRIMQQIDEDHTLTQLANAWLNMAVGGSKIQEAYLIFQDFSEKYPMTSLVLNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKPSSRYLSQLKLSHPNHMLCVTLFCSQLKLSHPNHMLVKRSSSAEENFDRAVQTIA >itb11g06360.t1 pep chromosome:ASM357664v1:11:3783398:3788271:1 gene:itb11g06360 transcript:itb11g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPDPLFGLRNNFYLGAYQAAINNSEVPNLSPDDVVERDALVFRSYIALGSYQLVISEIDASASTPLQAVKLLAIYLSGPEKKEMVISSLHELLGDPAVGSNPILRLIAGIIFMHEQDYNEALKYTNAGGTMELHALNVQIFIKMHRSDYAEKQLRIMQQIDEDHTLTQLANAWLNMAVGGSKIQEAYLIFQDFSEKYPMTSLVLNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKPSSRYLSQLKLSHPNHMLVKRSSSAEENFDRAVQTIA >itb15g03240.t1 pep chromosome:ASM357664v1:15:2075795:2082127:1 gene:itb15g03240 transcript:itb15g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERALFELHSDHHHNHHHLHHHHQDNNSGDKVFVGVPLMDSGKSGGHQQQQHSSSVECTNQISFAQPAAVVGPPQLHFQLQDHHHHQPPAQSNQISFGMLHSPPSSSSAIPPPHPGNFISKDNSGAYDLGELDQALFLYLDGHQESSSIQDHRQNSGMRPPTLNIFPSQPMHVGIDPSSTKGNAGLVSLETGSSRRASQPSMEVSATPKNDVVAGASASSTPEPPKPPKREGHRRSSSAGTGDPADGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQEIQRARAQGIYFGGNAIMGGEQGLPVMGNISSDAAVFDMEYARWQEEHHRLLCELRSAVQEHLPENELRMYVDNCLAHFDQMIHLKSIIAKSDVFHLVSGMWKTPAERCFMWIGGFRPSELLKVIVSQIEPLTEQQLIGLCGLQQSTHEAEEALSQGLGALNQSLSDTIAADSLSYPSNMGNYMGQMAAAISKLSTLEGFVRQADNLRHQTIHRLHQILTTRQAARCLLAIAEYFHRLRALSALWLARPKQE >itb14g15210.t1 pep chromosome:ASM357664v1:14:18407153:18407449:1 gene:itb14g15210 transcript:itb14g15210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDFFYLMKTLRHCTASQTVEWLLSQAMPPINTVISGNVAQPLLVHAITPKEEPTMNPIQGLVKSNSDFFCGIELEYSPMEMAWIVFQSPVVNQLV >itb14g01440.t1 pep chromosome:ASM357664v1:14:1108778:1109476:-1 gene:itb14g01440 transcript:itb14g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTFSTSFLIIVTEMSRDLRSNAEGGYGVGISVLWLALLGLSFISAVIFSCSDGKLPKEDTTRDTNYVGSAGCGGACGGGCGG >itb13g11110.t1 pep chromosome:ASM357664v1:13:16012786:16013586:1 gene:itb13g11110 transcript:itb13g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQLILESGALSYRVGFFGGDKIASSQAYPRPDRQLLGFPISPKLPPVFGPSCMRQKLVPRTVRRPSPTPAVRVRLRSTNTKKIQFTQRLPLGSELHMGKERCCLRGLDHLHGPTFHSICGNLMIYKPSLTNDRLMLEHDESLRADLLPIHFPASYENGKLEQFFSLISRKSMKNHEQKNFCLTMFPEKRYFQETTSTTEVAIHTNLFTDLYALIGTGSGRKGGWYTTIMKKPFLFSIRKGFSMASSGGSRSLLRKFKGDRWPWG >itb13g00680.t1 pep chromosome:ASM357664v1:13:634675:636501:-1 gene:itb13g00680 transcript:itb13g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDIKYVFRYTERIRPSSPTPQNLRTYKLSLLDQLINCLYVPLLSFYNNSPGDHDLDQLKKSLSRALSYIYPFAGRLKDGKTIDCNDEGADFVVARVENKMSEVMQYPRLDRLRMLFPCSPYPEVVDSTIPLLAVQVTRFSCGGSAVAICGWHGLVDAAGGYGFLGTWAAINRGQDFGHIWDMPENTRGLVVDASTTFVPTDLLSSLTALTDRNQIMVAEKYVTKRFIFRGSTVNAIRDRYTQPDHRPSRVVALAAFLWAAVIRATREVDQDFKTHTLTMSMDLRKRFNPPFPTYCLGSINQVVGARWERGGDGKESSAAVDGGVLVRKVQEAISKIDDNYIQKMHIGGGYLKELMAISNSFSVDKKHNKGLNISSWCKVPFYEVDFGWGKPRWISTILVLKDLAIFMDMDDGGVEVWLGLPQGIMSNLEMDEQFLAHLSYSQTVWDYNLHSVMKSKM >itb01g21500.t1 pep chromosome:ASM357664v1:1:27632548:27633926:1 gene:itb01g21500 transcript:itb01g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCNENCILRESLQGITTPQAQANATLFVAKFFGRAGLMSFLSSVPASQRPALFQSLLFEACGRTVNPVHGAVGLLWTGNWHLCQTAVETVLRGGVLHAPSDFAGDSAEETDDAKLFNPHEASVRSVIDDLDLGLRMSSEGKVNRQTAMRRRSRRRGTPSEESEITTSESCYAYHQNEGNDVKLLRLFF >itb08g02780.t1 pep chromosome:ASM357664v1:8:2367466:2375341:-1 gene:itb08g02780 transcript:itb08g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAMGSQGGFGQSKEFLDLIKSIGEARSKAEEDRIVLSEIETLKRRIVEPDIPKRKMKEYIIRLVYVEMLGHDASFGYIHAVKMTHDDSLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQVTELLGHPKEAVRKKAVMALHRFYQKSPSSVHHLISNFRKKLCDNDPGVMGATLCPLLDLITFDVDSYKDLVTSFVSILKQVAERRLPKSYDYHQTPAPFIQIKLLKILALLGCGDKKTSEHMYTIISDVMRKCDSTSNIGNAILYECICCVSSIHPSPKLLESAADAIAKFLKSDSHNLKYLGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISLNDSHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNVKVAHNLMRLIAEGFGEDDNSADSLLRSSAVESYLRIMGEPKLPSAFLQVICWVLGEYGTADGKYSAAYITGKICDIAEAHSTDDTVRAYAISALTKIYSFEIAAGRKIDILSECQSFIEELLASHSTDLQQRAYELQAVIGLDARTLENIMPMDGSCEDIEVDKSLSFLNAYVQESLEKGAQPYLPESERSGMSGVANFRNQEQNGTYAHSLRFEAYELPKPSQPSRASPVSLSSSTELVPVPQSSYHGDVYETVVSKPSASDSGSSEVKLRLDGVQKKWGKPSYSAAPSTSDSSVVKTQSGATQPSQRDVSSLSSKAPVLNDSRKQQVEIDPEKQRLAASLFGGTSKSERKHASATHKAQKPNSRTADKPHMEKSAPSDSGAVKTTPQPPPPDLLDLGEPTSSSAPPLDPFKQLESLLDLSQSSSAQGSDGVSSTKMADFMSLYGDATSSGQSEGGVINPLSTGTGNTNLMFGFSDASDRNGHGGNTTPQLTSQNSKGPNLRDAIEKDALVRQMGVTPSTQNPNLFSDLLG >itb13g13970.t1 pep chromosome:ASM357664v1:13:20544838:20546013:-1 gene:itb13g13970 transcript:itb13g13970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGGAWKNTEDEILKAAVMKYGNNQWARNSSLLIEWTREEDEKLLHLTKLMPTQWRTIAFIVGRTPSQCLEHYEMLLDENYLRNWKLRPGEIDPNPESRPARPDPVDMDEDEKEMLSEACARFANTRGKKAKRKAREKQLQEARRLACLQKRRELKAAGIDDVDVRHRNRKRKKGFIDYNAEIAFEKKPPPGFYDVTHENRTVEQPNKFPTTIEEVEGERRVDKEARLRKQDNIARNKIAPSAILHDYPETLRKRTKLNLPAPQISDHELEAIASYQRRCWF >itb09g00530.t2 pep chromosome:ASM357664v1:9:416217:419424:-1 gene:itb09g00530 transcript:itb09g00530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAIHKAQTSNFLFFLLFTNHFAYSLEAMAASEEDSNKLSSTLLDPTQPLLSKPYPTIDDPIAVPHHPSSPSDPEDQSQFLQISYNHGPRSIKDLPYLILFLLLVLSTFGFGIYASVNRNPNRFQVSSYVYDYSSFSCTLRDSSNLLSLSVSDSSVLESLIWTLVFTLLFSFPFVLFVLFLLKRYTKQIVYSLLPFFIIIPVALNLYWFVACTVSSTCSDAFPLAYRILVLVFVFLIIAVIVWIFVVNWHRIELTVTIIGVASNALSKNLRLFVVLPALTLGLFVYYAPIVVFLVFARMNGEIVPKEEHGEYSCHWRQDKFVPAYYALAILTMLWSATTLIEAQVYVISGTIAQWYFSKDDTSPKKSMRSSLRNAFGPSSGTICFSGLIVCVVRMVRAMVDNARQEAPGIVNLILRFCVNTLLSAIDFLNKFTIIFAAITGESYCTSAEMTYELLERNLLSTVIVEIVSTRILAGISFVLSAIYAIVVSRGSYLVFSFPPSAWNPKLAAFSLSGLHYIESCRSPRNGGIPCLCCGMAASDRGSQFLCPRFGQRCRYGLRVLCNRQG >itb09g00530.t1 pep chromosome:ASM357664v1:9:416217:419424:-1 gene:itb09g00530 transcript:itb09g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAIHKAQTSNFLFFLLFTNHFAYSLEAMAASEEDSNKLSSTLLDPTQPLLSKPYPTIDDPIAVPHHPSSPSDPEDQSQFLQISYNHGPRSIKDLPYLILFLLLVLSTFGFGIYASVNRNPNRFQVSSYVYDYSSFSCTLRDSSNLLSLSVSDSSVLESLIWTLVFTLLFSFPFVLFVLFLLKRYTKQIVYSLLPFFIIIPVALNLYWFVACTVSSTCSDAFPLAYRILVLVFVFLIIAVIVWIFVVNWHRIELTVTIIGVASNALSKNLRLFVVLPALTLGLFVYYAPIVVFLVFARMNGEIVPKEEHGEYSCHWRQDKFVPAYYALAILTMLWSATTLIEAQVYVISGTIAQWYFSKDDTSPKKSMRSSLRNAFGPSSGTICFSGLIVCVVRMVRAMVDNARQEAPGIVNLILRFCVNTLLSAIDFLNKFTIIFAAITGESYCTSAEMTYELLERNLLSTVIVEIVSTRILAGISFVLSAIYAIVVCIILKAVVHLGMEAYLVSAAAWLLLIVVLSFFVLVLDNVVDTVYVCYAIDRDRGEVSKQKVHEVYVHLPISRSIRSPFAAARSPPLSV >itb06g24220.t2 pep chromosome:ASM357664v1:6:25867445:25870063:-1 gene:itb06g24220 transcript:itb06g24220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPKFAGKFSPAQYLVGRTDLDQMPDTPTRGSRHRRTQSETFFRFPDLDDDIMLDDVVGDFNHDALAADAAMQPENSADSSSAGPPRSAGPAFDHKAATHFRSLSVDSDFFDGLEFGGSAAAGGATTPVSSEKMAAMGPGPRHRHSSSMDGSFATASFEVDSISEKKAMEADRLAELALIDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATTLSAQITRLQRDTSGLTAENKELKLRLQALEQEAHLRDGMRSSTSYAIVCCNARLERIFDVCILYVDIIISSKRSSEG >itb06g24220.t1 pep chromosome:ASM357664v1:6:25867445:25870118:-1 gene:itb06g24220 transcript:itb06g24220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPKFAGKFSPAQYLVGRTDLDQMPDTPTRGSRHRRTQSETFFRFPDLDDDIMLDDVVGDFNHDALAADAAMQPENSADSSSAGPPRSAGPAFDHKAATHFRSLSVDSDFFDGLEFGGSAAAGGATTPVSSEKMAAMGPGPRHRHSSSMDGSFATASFEVDSISEKKAMEADRLAELALIDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATTLSAQITRLQRDTSGLTAENKELKLRLQALEQEAHLRDALNEALKDELQRLKIAAGQAQSANGNSMNRGLGPQLHPQSQAFAHFGNHEAQQLHMPRPTTSMPNVGGHPQPGFLDFNQRA >itb06g24220.t3 pep chromosome:ASM357664v1:6:25868325:25870087:-1 gene:itb06g24220 transcript:itb06g24220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPKFAGKFSPAQYLVGRTDLDQMPDTPTRGSRHRRTQSETFFRFPDLDDDIMLDDVVGDFNHDALAADAAMQPENSADSSSAGPPRSAGPAFDHKAATHFRSLSVDSDFFDGLEFGGSAAAGGATTPVSSEKMAAMGPGPRHRHSSSMDGSFATASFEVDSISEKKAMEADRLAELALIDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATTLSAQITRLQV >itb07g19320.t1 pep chromosome:ASM357664v1:7:23717593:23720695:-1 gene:itb07g19320 transcript:itb07g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVKGLFKGLKYISQIFEEEKEAEIQIGFPTDVKHVAHIGWDGPSAQDNPSWMKDFKGQSAPLDATPGLGSGDPNTNTEASDIKWASEDKKSRRSRGTGTGGETPELPKSTRRQSPSSSAAASDNPDSPKAAASKPRQNRRHHSKDGSDVSKSSELGGLTASESSAPHLPKKTRRKKSKESDAIGTPSSCRKSSRAAPSHPAAAAAATSAPDGEADNGDHCEEAPAKEDYGGK >itb12g11210.t2 pep chromosome:ASM357664v1:12:9418081:9421384:1 gene:itb12g11210 transcript:itb12g11210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKFFLQRVLNYVINEFVVDRLANSHSFQRFAVRTSRTLDEVSKLAEQKKREIAEQIKDASKNFESFKNQ >itb12g11210.t1 pep chromosome:ASM357664v1:12:9418081:9421378:1 gene:itb12g11210 transcript:itb12g11210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKFFLQRVLNYVINEFVVDRLANSHSFQRFAVRTSRTLDEVSKLAEQKKREIAEQIKDASKNFESFKNQ >itb05g18140.t1 pep chromosome:ASM357664v1:5:24958806:24962740:-1 gene:itb05g18140 transcript:itb05g18140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMGLRCLVFAVLVLWSFSSMVKGEDDYKYFTWTATYGTASPLGVPQQVILINGQFPGPRLDLVTNDNVILNLINKLDQPLLLTWNGIKQRKNSWQDGVFGTNCPIPPNTNYTYKFQTKDQIGSYTYFPSTFMQKAAGGFGALNVYARSVIPVPYPKPAGDFSLLVGDWYKTSHTALQQILDSGKSLPFPDALLINGQSQSSFSVNQGNTYMFRISNVGLSTSINFRIQGHKMKLVEVEGSHVVQNMYDTLDVHVGQSVSVLVTLDQPPKDYLIIASTRFTGNVLNSTSVLHYINSVSPVSGPIPDAPAGQFDWSIVQARTFRWNLTANAARPNPQGSFHYGKITPSMTIVLANSAPIIGGKQRYAVNRVSHIDPDTPLKLADYFNITGVFSLTAIQSSPSDGTPSLATAVLPTSLHQFIEIVFQNDEDTMQSWHLDGYDFWVVGFGLGKWTGASRESYNLVDALTRHTTQVYPKSWSAILVSLDNQGMWNLRSAIWERRYLGQQLYLRVYNPIQNFANEYDIPNNALLCGRAVGRRH >itb05g18140.t2 pep chromosome:ASM357664v1:5:24959413:24962693:-1 gene:itb05g18140 transcript:itb05g18140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMGLRCLVFAVLVLWSFSSMVKGEDDYKYFTWTATYGTASPLGVPQQVILINGQFPGPRLDLVTNDNVILNLINKLDQPLLLTWNGIKQRKNSWQDGVFGTNCPIPPNTNYTYKFQTKDQIGSYTYFPSTFMQKAAGGFGALNVYARSVIPVPYPKPAGDFSLLVGDWYKTSHTALQQILDSGKSLPFPDALLINGQSQSSFSVNQGNTYMFRISNVGLSTSINFRIQGHKMKLVEVEGSHVVQNMYDTLDVHVGQSVSVLVTLDQPPKDYLIIASTRFTGNVLNSTSVLHYINSVSPVSGPIPDAPAGQFDWSIVQARTFRWNLTANAARPNPQGSFHYGKITPSMTIVLANSAPIIGGKQRYAVNRVSHIDPDTPLKLADYFNITGVFSLTAIQSSPSDGTPSLATAVLPTSLHQFIEIVFQNDEDTMQSWHLDGYDFWVVGFGLGKWTGASRESYNLVDALTRHTTQVTNKTLKPQINSIALVRRLTW >itb05g19160.t1 pep chromosome:ASM357664v1:5:25739611:25742118:-1 gene:itb05g19160 transcript:itb05g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLAAVFRRSFDKPYAILRPFSTSAAAAAGVEPYEEETAGVTMKGVKISGRPLYLDMQATSPVDPRVLDAMLPYYLSRFGNPHSRTHLYGWESDQAVETARAQVATLINASPKEIIFTSGATESNNISVKGVLHFYREKKRHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVESDGLINLDKLREAIRPDTGLVSVMMVNNEIGVIQPMEEIGKICKEFNVPFHTDAAQALGKIPIDVDKMNISLMSLSGHKIYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGFGAACELAMKEMEYDDKRVKALQERLLDGIRAKLDGVVVNGSVERRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRYGIGRFTTEEEIDRAVELTVRQVEKLREMSPLYEMVKEGIDIKSIEWTQH >itb14g07730.t1 pep chromosome:ASM357664v1:14:7090416:7090691:1 gene:itb14g07730 transcript:itb14g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSVCMAAFMLLLISSSLISGTPPPSPSSSSLGEGVVDTKTEPLHSSLKGCQGNMMKGKNEGNSDEDWVGNSNEDVVYDNDKDDIKSR >itb13g16910.t1 pep chromosome:ASM357664v1:13:23850305:23858630:-1 gene:itb13g16910 transcript:itb13g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQAGEKKEGQEFTVASISELSSSSSSSADSALVSGSPRVLARFGLDSGVAELRFGGESEQDKWIVFDLRTSQLFRLSPVQLLCVSEASETNKETCSRGVTIQFTKEKESSAFYCAFEHWRKQGVAQGAHLQNGALQTSNSKFDDKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRSDFNGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPLYAERITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLGPNGKMFPTVGRIHMAPFTDEYLYLEIANKAVFWQQENYFGVNLRSLHGSAFQGYFSQPVVEAFDPRSLVAPAISHVINFASIKEEDLYEIDIPLKFVSSVSTRIHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMPGQEITGRLHLVAHKAQSYTIYLTLSAQVGDMLQSSTGKLDLKEPYYRMSQPQTYSSSQDQPNQLLQTQDAQMQSRDDDDAILMQQLSPNSNADLQSL >itb08g02860.t1 pep chromosome:ASM357664v1:8:2437561:2439782:1 gene:itb08g02860 transcript:itb08g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKAFIVSHKEPELLGPAKPTPQETKELSDIDDQKGLRFHFCMIMFYRANPIMKAKDPVETIRDAVAKALVWYYPLAGRLIQGAKDKFMVDCSAQGISFIEADCNFSLEDLGDAIKPPCLYSKEFLYEVPGSDEMLGCPLMIVQVTRLICGGFVVAIRVNHVLTDGLGLSQFVKAVRELAQGASSPSTKPIWNRELLTAKNLPFQITYHHPEYGVVSHENDPTMDHQNLVSHSFFFGPKELKAIRRKLPPEARRSSKFDVITACIWRSRTRALKVKRDEQVSIACMVNIRNKSPVPLPNGYYGNEAITPAAATDAGSLCENPLEYAVELVEKAKEKVDEDYVKSVVNFMDLKGRPTILRSERNYVVSDSSKLGFDGVDFGWGKPVYGGTMEGGSGNNSIYAPYRKTDGEAGVLVPVFLPPAAMKRFEVELEKLIAMESGEFLEPSKPFINSAL >itb02g13120.t1 pep chromosome:ASM357664v1:2:9146533:9148864:1 gene:itb02g13120 transcript:itb02g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRNDRRILTFPAVHPCEGISAATLLGSLIALSRNICSFKSKFFYTQRRNARETIRQIGILLIFFEEIRDHFPGISDSIVLCLSELHITFQNIHFLLEDCTREGAKLWILMKALSVATQFRVLIRSVATALDVLPLQSIAVSGEIKELVLMVANQAQRGKMELDSDDENAMKWVILILNQFENRLEPDPLLIKKVLDYIGVTNWGECHKEIRFLEEEISVECSENHGREVTLLSGLVGLMSYCRGALFEDSSYGRNIDQSEGMNSTETVSCLNPEDFRCPISLELMTDPVTVSTGQTYDRASIQKWLSSGNLLCPKTGKTLTSTELIPNSTLRKLIQQFCSDNGISLSKSRKTSCDISRTIVPGSPAAAEAIKFLSEFLARRLRSGSDEQRNKAAYEIRLLAKSNIYNRCCLINAGAIPPLLHLLRSAEGADPSTQENAISALLKLSKHSNGKAAIVEHRGLNPILEVLKNGAKLEAKQIAAATIFYLSSAREHRKQIGDTPGLIEALIELMKIGTPCGKKNAIVAIFALLVNHRTNHKKILSTNAIEILVNLLSSSQKEDLVTDALAVLATLTDTKEGSTAALQASAVPVISTKLQTVSSRPGKEYCVSILLSLCTHCGGDVVAVLARDQSLMPRLYPLVSEGTAQARKKARLLIRILHKFCESSSSTLPREIAQEQFIGVR >itb15g09510.t1 pep chromosome:ASM357664v1:15:6773787:6776657:-1 gene:itb15g09510 transcript:itb15g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITYLSSIFALAAVLLVSLSWRRARKSSRSAPEAGGAWPIVGHLHLLRAPVPLVKTLSALAEKHGSVFMIRLGMPRALVVSSWEAVKDCFATNDKLLATRPTTCAGKYLGYDYAVFSFSTYNSYWRKIRKLVVVELLSNRRLEKLKHVWVTELQANIKELYTTCSISVGSNINPSKNKVINMSQWFEHLTLNLIVKVVAGRRYEYRSDGLVDQEAECMKKVFKEVMFLWGEVVSGDTIFPLWLFRWLDYEGHVKTMKRVAKALDAILQDWVDARKRENGKNEDQGFIDVMLSMIDDQFLEGQTYTRDTIIKATVLSMLQDGSETFSVHFIWILSLLLKNREALERLQEEIDANVGRERWVEDSDIKNLPYLHAVVKETLRLYPPAPFLVPHEAIEDCTIGGYHIPKGTQLYVNVWKLHRDPQVWPDPEKFSPERFLTNPEDSGAHNRQFQFVPFGFGRRSCPGMLYATQITHLAVARLVQGFNFNTPSNEALDMSEGLGITMPRANPLEVVITPRLPSALYGLHH >itb07g02040.t1 pep chromosome:ASM357664v1:7:1219596:1222050:1 gene:itb07g02040 transcript:itb07g02040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGAAVVDADMEQAPSNTARRPRILLAASGSVAAIKFANLCHCFSEWAEVKAVASKASLHFIDKTSLPKDVVLYTDEDEWSTWKRIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYNKPLFVAPAMNTFMWTNPFTERHLMAIDELGISLIPPVSKRLACGDYGNGAMAEPSLIFTTVRLLFESRAQSGSGNSG >itb07g02040.t2 pep chromosome:ASM357664v1:7:1219915:1222050:1 gene:itb07g02040 transcript:itb07g02040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGAAVVDADMEQAPSNTARRPRILLAASGSVAAIKFANLCHCFSEWAEVKAVASKASLHFIDKTSLPKDVVLYTDEDEWSTWKRIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYNKPLFVAPAMNTFMWTNPFTERHLMAIDELGISLIPPVSKRLACGDYGNGAMAEPSLIFTTVRLLFESRAQSGSGNSG >itb10g02840.t2 pep chromosome:ASM357664v1:10:2477568:2482284:1 gene:itb10g02840 transcript:itb10g02840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTIHGIQFSYLALLILVSDVHGCCSLNSEGLALLEFRARVEYDPHRALENWNVDDCDPCLWSGIHCVDGNVQMLDLNGHELEGTLAPELGNLPHLKVLVLSKNHFSGVIPQEVGQLEMLEVLDLTDNNLSGTIPAQIGGLQLLRSLLLCNNNFEGSIPSEIGKLNFLTQFQFDPNLTSDFASGTGCVNRKFGDCIWHVSWNPLRKADFLLTSIKVVLMRYLNRISVFNLGKGSLHQNTSCYSDDLPSSPSPHIIHTLESPPNPTRRKLLEGSNNLAAAPANGGAPLGNVIAQPSSRSSGSFPAVPGNVAPSSTTSPPQQESGTGPGPDQGEADIPVPDSEKSRLSWKYIVAIFLGLLLLIIIIAIICICRSRAARSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISTHAAFTMYKGTLSSGVEIVVASTAISSMKDWSKRAEIAFRKKIDTLSRINHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWNTRMRIIMGTAYCIQYMHDLNPPLPHSNISSKFIYLTDDYAAKIAEMSFWEELATKSKSGENEENSELPPLVDPETNIYSFGLVLLETVTAKLPYSEEQGPLLNWAAQYMSNRQSYSQLVDPTLKSFNEDELALVCEVIQECVRQDTRKRPTIKEVIEKLRKVLDISPEAAVPRLSPLWWAELEILSAEAP >itb10g02840.t5 pep chromosome:ASM357664v1:10:2476881:2481478:1 gene:itb10g02840 transcript:itb10g02840.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTIHGIQFSYLALLILVSDVHGCCSLNSEGLALLEFRARVEYDPHRALENWNVDDCDPCLWSGIHCVDGNVQMLDLNGHELEGTLAPELGNLPHLKVLVLSKNHFSGVIPQEVGQLEMLEVLDLTDNNLSGTIPAQIGGLQLLRSLLLCNNNFEGSIPSEIGKLNFLTQFQFDPNLTSDFASGTGCVNRKFGDCIWHVSWNPLRKADFLLTSIKVVLMRYLNRISVFNLGKGSLHQNTSCYSDDLPTGSPSPHIIHTLESPPNPTRRKLLEGSNNLAAAPANGGAPLGNVIAQPSSRSSGSFPAVPGNVAPSSTTSPPQQESGTGPGPDQGEADIPVPDSEKSRLSWKYIVAIFLGLLLLIIIIAIICICRSRAARSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISTHAAFTMYKGTLSSGVEIVVASTAISSMKDWSKRAEIAFRKKIDTLSRINHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWNTRMRIIMGTAYCIQYMHDLNPPLPHSNISSKFIYLTDDYAAKIAEMSFWEELATKSKSGENEENSELPPLVDPETNIYSFGLVLLETVTAKLPYSEEQGPLLNWVSLCICILLG >itb10g02840.t4 pep chromosome:ASM357664v1:10:2477568:2482260:1 gene:itb10g02840 transcript:itb10g02840.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTIHGIQFSYLALLILVSDVHGCCSLNSEGLALLEFRARVEYDPHRALENWNVDDCDPCLWSGIHCVDGNVQMLDLNGHELEGTLAPELGNLPHLKVLVLSKNHFSGVIPQEVGQLEMLEVLDLTDNNLSGTIPAQIGGLQLLRSLLLCNNNFEGSIPSEIGKLNFLTQFQFDPNLTSDFASGTGCVNRKFGDCIWHVSWNPLRKADFLLTSIKVVLMRYLNRISVFNLGKGSLHQNTSCYSDDLPSSPSPHIIHTLESPPNPTRRKLLEGSNNLAAAPANGGAPLGNVIAQPSSRSSGSFPAVPGNVAPSSTTSPPQQESGTGPGPDQGEADIPVPDSEKSRLSWKYIVAIFLGLLLLIIIIAIICICRSRAARSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISTHAAFTMYKGTLSSGVEIVVASTAISSMKDWSKRAEIAFRKKIDTLSRINHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWNTRMRIIMGTAYCIQYMHDLNPPLPHSNISSKFIYLTDDYAAKIAEMSFWEELATKSKSGENEENSELPPLVDPETNIYSFGLVLLETVTAKLPYSEEQGPLLNWVSLCICILLG >itb10g02840.t1 pep chromosome:ASM357664v1:10:2476851:2482284:1 gene:itb10g02840 transcript:itb10g02840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTIHGIQFSYLALLILVSDVHGCCSLNSEGLALLEFRARVEYDPHRALENWNVDDCDPCLWSGIHCVDGNVQMLDLNGHELEGTLAPELGNLPHLKVLVLSKNHFSGVIPQEVGQLEMLEVLDLTDNNLSGTIPAQIGGLQLLRSLLLCNNNFEGSIPSEIGKLNFLTQFQFDPNLTSDFASGTGCVNRKFGDCIWHVSWNPLRKADFLLTSIKVVLMRYLNRISVFNLGKGSLHQNTSCYSDDLPSSPSPHIIHTLESPPNPTRRKLLEGSNNLAAAPANGGAPLGNVIAQPSSRSSGSFPAVPGNVAPSSTTSPPQQESGTGPGPDQGEADIPVPDSEKSRLSWKYIVAIFLGLLLLIIIIAIICICRSRAARSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISTHAAFTMYKGTLSSGVEIVVASTAISSMKDWSKRAEIAFRKKIDTLSRINHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWNTRMRIIMGTAYCIQYMHDLNPPLPHSNISSKFIYLTDDYAAKIAEMSFWEELATKSKSGENEENSELPPLVDPETNIYSFGLVLLETVTAKLPYSEEQGPLLNWAAQYMSNRQSYSQLVDPTLKSFNEDELALVCEVIQECVRQDTRKRPTIKEVIEKLRKVLDISPEAAVPRLSPLWWAELEILSAEAP >itb10g02840.t3 pep chromosome:ASM357664v1:10:2476881:2482284:1 gene:itb10g02840 transcript:itb10g02840.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTIHGIQFSYLALLILVSDVHGCCSLNSEGLALLEFRARVEYDPHRALENWNVDDCDPCLWSGIHCVDGNVQMLDLNGHELEGTLAPELGNLPHLKVLVLSKNHFSGVIPQEVGQLEMLEVLDLTDNNLSGTIPAQIGGLQLLRSLLLCNNNFEGSIPSEIGKLNFLTQFQFDPNLTSDFASGTGCVNRKFGDCIWHVSWNPLRKADFLLTSIKVVLMRYLNRISVFNLGKGSLHQNTSCYSDDLPTGSPSPHIIHTLESPPNPTRRKLLEGSNNLAAAPANGGAPLGNVIAQPSSRSSGSFPAVPGNVAPSSTTSPPQQESGTGPGPDQGEADIPVPDSEKSRLSWKYIVAIFLGLLLLIIIIAIICICRSRAARSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISTHAAFTMYKGTLSSGVEIVVASTAISSMKDWSKRAEIAFRKKIDTLSRINHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWNTRMRIIMGTAYCIQYMHDLNPPLPHSNISSKFIYLTDDYAAKIAEMSFWEELATKSKSGENEENSELPPLVDPETNIYSFGLVLLETVTAKLPYSEEQGPLLNWAAQYMSNRQSYSQLVDPTLKSFNEDELALVCEVIQECVRQDTRKRPTIKEVIEKLRKVLDISPEAAVPRLSPLWWAELEILSAEAP >itb10g02840.t6 pep chromosome:ASM357664v1:10:2476851:2482260:1 gene:itb10g02840 transcript:itb10g02840.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWTIHGIQFSYLALLILVSDVHGCCSLNSEGLALLEFRARVEYDPHRALENWNVDDCDPCLWSGIHCVDGNVQMLDLNGHELEGTLAPELGNLPHLKVLVLSKNHFSGVIPQEVGQLEMLEVLDLTDNNLSGTIPAQIGGLQLLRSLLLCNNNFEGSIPSEIGKLNFLTQFQFDPNLTSDFASGTGCVNRKFGDCIWHVSWNPLRKADFLLTSIKVVLMRYLNRISVFNLGKGSLHQNTSCYSDDLPSSPSPHIIHTLESPPNPTRRKLLEGSNNLAAAPANGGAPLGNVIAQPSSRSSGSFPAVPGNVAPSSTTSPPQQESGTGPGPDQGEADIPVPDSEKSRLSWKYIVAIFLGLLLLIIIIAIICICRSRAARSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISTHAAFTMYKGTLSSGVEIVVASTAISSMKDWSKRAEIAFRKKIDTLSRINHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVKEVEHLDWNTRMRIIMGTAYCIQYMHDLNPPLPHSNISSKFIYLTDDYAAKIAEMSFWEELATKSKSGENEENSELPPLVDPETNIYSFGLVLLETVTAKLPYSEEQGPLLNWVSLCICILLG >itb02g18830.t2 pep chromosome:ASM357664v1:2:15377488:15394559:-1 gene:itb02g18830 transcript:itb02g18830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLHLAIEIFIETVFEFFHKAAHCVFSPLDTLRKVIKWFSSNSTDHGKIPNDGVAVSVATNTLSDNDPTPGERKTSFYHSLNTDARTCKDVITELGYPYEALRVVTADGYVLVLERIPRRDARKVAYLQHGILDSSMGWIANGVVGSPAFAAYDQGYDVFLGNYRGLVSREHVNKNISSRQYWNYSINEHGTQDIPAMIEKIHEIKVSELKSEQPELEEETNNDQPYKLCAICHSLGGAGILMYVITRRIERKPHRLSRLILLSPAGFHHDSNSVFTFMEYLFLVLAPILKPLIPAFYIPTKFFRMMLNKLARDFHNLPAVGGLVQTLVSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFSVAHHLAQIKHLKKFAMFDYGSAAENMRVYGTPKPLDLGEHYNLIDIPVDLVAGRKDKVIRPSMIKKHYKVLKDEGVEVSYNEFEYAHLDFTFSHREELLAYVMSRLMLVDSAPKQQSPPKPLKLKKSQKPDDLLVALHATLHAALHSLSWCKGSSIGWHSDNNRPYLKQRDFAVLPPSTVPRTSQPSGWSDAVTRLSPATATTTTGGPSRYLTPADTNGEAEKKGD >itb02g18830.t1 pep chromosome:ASM357664v1:2:15377488:15394514:-1 gene:itb02g18830 transcript:itb02g18830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLHLAIEIFIETVFEFFHKAAHCVFSPLDTLRKVIKWFSSNSTDHGKIPNDGVAVSVATNTLSDNDPTPGERKTSFYHSLNTDARTCKDVITELGYPYEALRVVTADGYVLVLERIPRRDARKVAYLQHGILDSSMGWIANGVVGSPAFAAYDQGYDVFLGNYRGLVSREHVNKNISSRQYWNYSINEHGTQDIPAMIEKIHEIKVSELKSEQPELEEETNNDQPYKLCAICHSLGGAGILMYVITRRIERKPHRLSRLILLSPAGFHHDSNSVFTFMEYLFLVLAPILKPLIPAFYIPTKFFRMMLNKLARDFHNLPAVGGLVQTLVSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFSVAHHLAQIKHLKKFAMFDYGSAAENMRVYGTPKPLDLGEHYNLIDIPVDLVAGRKDKVIRPSMIKKHYKVLKDEGVEVSYNEFEYAHLDFTFSHREELLAYVMSRLMLVDSAPKQQSPPKPLKLKKSQKPDDLLVALHATLHAALHSLSWCKGSSIGWHSDNNRPYLKQRDFAVLPPSTVPRTSQPSGWSDAVTRLSPATATTTTGGPSRYLTPADTNGEAEKKGD >itb05g21100.t1 pep chromosome:ASM357664v1:5:27010928:27012381:-1 gene:itb05g21100 transcript:itb05g21100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFLTAFFIFPLAFAANSPPLPSFKRIYQFGDSLSDTGNLLRLPGGRMFYPANNLPYGETYFGKATGRYSDGRLIVDFIAAALKLPFLDAYLNANGSFAHGVNFAVAGATALDGEFFAKRNISISNSKPPISKQLEWFETHLNSAASPTRASSRSKGLDDSLFIFGEFGGNDYFPFFRQGKSMEEVRTLVPHVVAAIIHGIKRIVHLGAKRIVVPGPFPFGCMPSQLAESNSSDPAAYDTLGCLKAFNAFSAYHNRYLKRALSSLNRQLSGQGVVIVYGDYEGAFLKILQKSSAYRFDKGWLLKACCGGGGKYNFDYSKPCGTNGTVTCSRPGSAVHWDGVHLTDASYHRIAQILIDQAITKLI >itb04g30630.t2 pep chromosome:ASM357664v1:4:33704699:33705124:1 gene:itb04g30630 transcript:itb04g30630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAKAGSIIFTASAATAVYGDVSHIYATSKNAVLGLSKNLGVELGQHGIRVNVVSPYTLSTPLALKTLGISEKEKEKADALFSEAGNLKGALLEEEDVAKATLYLASDDSKYVSGLNLIVDGGYSTTNVSLTETYKKLFI >itb04g30630.t1 pep chromosome:ASM357664v1:4:33704081:33705124:1 gene:itb04g30630 transcript:itb04g30630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPQEPQAKRLDGKVALITGGASGIGAATAKLFVQHGAKVTIADIQDSLGVSLVGELGPENATFLYCNVAVEVDVQRAVDATVEKYGKLDVVFSNAGVMGKPISSISEVDYGVIKDVFDVNVFGAFFTAKHAARVMIPAKAGSIIFTASAATAVYGDVSHIYATSKNAVLGLSKNLGVELGQHGIRVNVVSPYTLSTPLALKTLGISEKEKEKADALFSEAGNLKGALLEEEDVAKATLYLASDDSKYVSGLNLIVDGGYSTTNVSLTETYKKLFI >itb06g25040.t1 pep chromosome:ASM357664v1:6:26312341:26313496:-1 gene:itb06g25040 transcript:itb06g25040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHSENNVFSFPIPPPPPQSNGGHFRLYLEDNGWDPQFSDFCIEDNSCDSSSLMEMFLNDPLLSTLDMEPEVLTLTTQGDDNGGAGNEWVSCGLSIGDGQIQTYQNHHFVGSLGTANSSHDDNGGKKDDNGGGGCKSALMLSKKTISNYFYMPINQAAKELNVGLTQLKKRCRMLGIRRWPHRKLNSLQTLINNVQKIGNSEGVVMAREAIELLEREKKQVEEIPDVELEDTTKRLRQACFKANYKKRRLLEIQQSFLVQQP >itb10g04230.t1 pep chromosome:ASM357664v1:10:4027159:4030053:1 gene:itb10g04230 transcript:itb10g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEERLLDITSCDESEEAKENQGSKSSGAEQSDWKWWLQVAFFTFSTLAGIVASTLLGKVYYDQGGKSKWLVAALQTAGFPFLVPFLVISSPKTPNPEARNPLSLVVLASVYIVLGVVLAAAGIFYSVAIDYIPASTYTLVNSSQLAFNALFSFFLNAQKFSPCIINSVVLLTFSPMLLLFGQESGESEVMGNENYVLGILFTLAASAFPALLFSLTQLAFEKVIRSESLKDVIEMTIFQSLVATLVTLVGLVVTGEWATLSREMLDYEPGVLSYVMVLVWTAISCQCYTFGAIALTFKVSSLFSNIVIRLGTPIIPFLSVIFLGEEMNGLKVMALGLALWGFASYVYQHYLDEKEANNNINGVGDEAQDSTEQLKISAF >itb07g15630.t1 pep chromosome:ASM357664v1:7:18661823:18665361:-1 gene:itb07g15630 transcript:itb07g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGDQDSSGNVQSWLADISDFTKAAEFAEMERESFVEIGSYLYRASSVLMELQINKKTPTHVAEILQSLRRSFGLAEDIIKKAGRGSRETTSAAVEELQGLVKEIGKGLTLMPSSAYGDQGYAAIAAKSLSIEIKTARFLPGKTRNEPAVHQEVSRAETERTETDLYSIDVDVSMENLQVVDSTSIHFSDSSLSLNGRDQWMERERSRKHKLSMDLHFPQMAQSMEPMYETFFCPLTKKIMDDPVTTDTGITYERRAIVEWISKFGSREEIVCPKSGQKLKTRILRPNMALKATIDEWKDRNEAVRIKMAREALSSAKTQDIVLDAIEDLRTICRGKPYNQVQVCSTGMVPVLAKFLDYKNRTIRRVTLELLHELAEDNNDGKETITREIDVATIIRMLSSNHSPVKHASASLLLGLSKCQSFCDTIKEVPGAILMLITTKYKENDDFTSETADKVLKSLERSSHNIKHMAENGYWEPLINYLNEGNEETKMEMASYIGEIVLVPDRSTYVAERASPALIKMLHTGNSLSRNAAFKALNQISLHHTNGNILVEAGIIQVMVEEIFNRKIYDEPMDSKKEAAGILANILEFGLQLESLEVNVHGHRFDSEYTVHNIVHMIRNSSPDELNINLIRILQCLMKFQKTSAAIVSAVKETDAGYILIEFINYPNEELGVASIKFLIALSPLMGHTLSDRLCKTRGQPVSLVQPSTVGDCITEKQAVSAIFLAKLPHQNLTLNLALVEGKTVPTIINQINKLQNGGAGTRRHVNAYFEGLVGILARFTSTLYDHQILQLVKAYNFTLIFSELLMKPSSDEIQKLSATALGNLSKQSVSLSKAPTKKAKYLKASLLRRCFSLNPTKHINVPLCPVHRGTCSSEETFCLVDAKALERLLACLEHKNAEVVEASLSAISTLLDDKVDIDKSVSLLMEKQAIQHVLNVVKEHREEALWHKSFWMIEKILSKGGDQSTSDISQDRLFPATLITAFHHGDVLTRQMAEKILMHLKKMPQLTATSFTM >itb11g06840.t2 pep chromosome:ASM357664v1:11:4141031:4143825:-1 gene:itb11g06840 transcript:itb11g06840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKELGYKPPSDFVEDKRDPLVELKLTDSTELWLIQWPINHSSDFDGQVSLKLHNDGHLGSFESSSGKSYDVFNLKAQDPVGTVFLSSASEAKAVGKISRHVSFIHYPEPSEFQKNNKMSLKEIAQRSSTMTKTSATKSSRHKNSQSTSIYTTNTPSSQQKSSKSKLGKPSKTPKKRSGGEPTKSMDQSLQDSGRGNSGVTTSGSAGHSQERKAKKLRAN >itb11g06840.t1 pep chromosome:ASM357664v1:11:4141031:4143825:-1 gene:itb11g06840 transcript:itb11g06840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKELGYKPPSDFVEDKRDPLVELKLTDSTELWLIQWPINHSSDFDGQVSLKLHNDGHLGSFESSSGKSYDVFNLKAQDPVGTVFLSSASEAKAVGKISRHVSFIHYPEPSEFQKNNKMSLKEIAQRSSTMTKTSATKSSRHKNSQSTSIYTTNTPSSQQKSSKSKLGKPSKTPKKRSGGEPTKSMDQSLQDSGRGNSGVTTSGSAGHSQERKAKKLRAN >itb13g15730.t2 pep chromosome:ASM357664v1:13:22643797:22646931:1 gene:itb13g15730 transcript:itb13g15730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIGIDYGATTDAHTPTSPPHRHSRRKLQLHYRRRRVLLRCSLLLLPLLYFSGLISCLRPLFSLFHTPSPQAAVYRSHEIFRRLWTDIDADNSSTLELSHVWIYKRKLREQKHCSNTSAALRLVAVAGLLNATLVIPHLEFHNVWRDSSEFADIYNEDHFISTLKDYVEVVKELPAELMAIYGYNISNIPNIHVQAWAPANYYLEEVYPFLLEERVVRISPFANRLAVNVPSHIQFLRCLANYEALKFSSAISILAKKLVSRMIEKSSSFQGNYVSIHLRFEEDMVAFSCCVYDGGEAEKAEMDALREKGWGNKFKQRGRVDSPGLNRINGRCPMSPLEVRYIYTLIFD >itb13g15730.t1 pep chromosome:ASM357664v1:13:22643797:22646931:1 gene:itb13g15730 transcript:itb13g15730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIGIDYGATTDAHTPTSPPHRHSRRKLQLHYRRRRVLLRCSLLLLPLLYFSGLISCLRPLFSLFHTPSPQAAVYRSHEIFRRLWTDIDADNSSTLELSHVWIYKRKLREQKHCSNTSAALRLESSNLYIIIEANGGLNQQRSSICNAVAVAGLLNATLVIPHLEFHNVWRDSSEFADIYNEDHFISTLKDYVEVVKELPAELMAIYGYNISNIPNIHVQAWAPANYYLEEVYPFLLEERVVRISPFANRLAVNVPSHIQFLRCLANYEALKFSSAISILAKKLVSRMIEKSSSFQGNYVSIHLRFEEDMVAFSCCVYDGGEAEKAEMDALREKGWGNKFKQRGRVDSPGLNRINGRCPMSPLEVRYIYTLIFD >itb15g12370.t1 pep chromosome:ASM357664v1:15:10280139:10281279:-1 gene:itb15g12370 transcript:itb15g12370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYVSSSSHSHSLSCSDFEDTSFWNELNLPSLLQIENELYTLLEPSVEGKTLHQIQQSCCSAEQLDFDWGLVNDMLCGQNDVPLEAPPVVAELSNSTEIIVFDKRTSKHWGLNTISKFFHLPAAQAARELNVSEDKLKRMCTKLGIKRWPYRKLQSMDNLLENLQYLSKDKTYAVNKEKVIELQKEKERMLKDPNIELRAETQVIRESSRKKRRYQHLMDIAHAAKYDNSWKLHGVKSEVIDEPP >itb03g07890.t1 pep chromosome:ASM357664v1:3:5889763:5892119:1 gene:itb03g07890 transcript:itb03g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSLTGRYDNGASGAVASATLNAGDFELKGKTTFINGPSFEDLSLLVEKPGSFVIDYNVPKKDVKFQFMNTARVLEKQLNLTYSHWRGDNRTALDGTLVIDSSNKLSANYELNSRNCKVKYSYMYGGLLTLEPSYDFGKNSWDVAVSRRVLDDDVVRASCQSSSKVLELDWCRNTSINGSFKVSASINLADPLKTPTFSVESRLNFGV >itb10g19330.t1 pep chromosome:ASM357664v1:10:25189294:25192044:-1 gene:itb10g19330 transcript:itb10g19330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSASTIMSIIDGPKGSAEGSSRSSGAGDHPQPSPALSRYESQKRRDWNTFGQYLKNQRPPVSLSQCTCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPENNPFGNGAIRVYLREVKECQAKARGIPYKKKKKKRLNPIKGINHHDQHQTNLKHST >itb04g10750.t1 pep chromosome:ASM357664v1:4:10327920:10329402:-1 gene:itb04g10750 transcript:itb04g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVCKIFSHNGRSSIVRISPTEGLCFLQLAPFSSSIAVESSSATARKASFACSYFINELGFAQERALRASRYVNFETPEKPDSVRSFLRNHGFTESQISNMVRRFPPVLLCDPEKTLLPKIEFFKSSGLSQEDLIDLLTATPQIFWNSVENKLAPTFDFLNSMFGSSSNTVMAMKTFQRNLNFEHLQCNVRVLRDAGVPKSNIVNLLKHCPRVFHTDKEKFGKIVEDVKAMGFSPSKVMFVLAIKAFATMNKVTWSQKMEAYKKFGFSEAEILETFEKHPWCMMVSTEKIVAVVDFLVNKMGFERSVLLKRPVLLSLSLKKRIAPRYLVYQTLLANGLVKDDLNLLTMLMASEEKFLKNYIECHTSEASELLKLYTKLRCRLECT >itb04g10750.t2 pep chromosome:ASM357664v1:4:10327985:10329402:-1 gene:itb04g10750 transcript:itb04g10750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFPPVLLCDPEKTLLPKIEFFKSSGLSQEDLIDLLTATPQIFWNSVENKLAPTFDFLNSMFGSSSNTVMAMKTFQRNLNFEHLQCNVRVLRDAGVPKSNIVNLLKHCPRVFHTDKEKFGKIVEDVKAMGFSPSKVMFVLAIKAFATMNKVTWSQKMEAYKKFGFSEAEILETFEKHPWCMMVSTEKIVAVVDFLVNKMGFERSVLLKRPVLLSLSLKKRIAPRYLVYQTLLANGLVKDDLNLLTMLMASEEKFLKNYIECHTSEASELLKLYTKLRCRLECT >itb01g10950.t1 pep chromosome:ASM357664v1:1:9595894:9599815:1 gene:itb01g10950 transcript:itb01g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGGKCKRGQTAQKGIEEKMRSGYEGSLTIAAAPSSSTSKHHRRSISHAHTDTAAVLRLTPSRRPPPYALASRPVAAAPRRRTPASQRRSPPPTMFKCPLASPANKTLAAALHRLSPLLPSEQPRTAASSSFAAVAQ >itb09g05810.t1 pep chromosome:ASM357664v1:9:3299594:3302151:1 gene:itb09g05810 transcript:itb09g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNILHYLSILYFALPDSHYVDFPYRLCDVPGSFTQNSRLQSNIDASFLVLSSNASYHNFYNTSIGNGSDTVYSMFLCYNYTTPESCKQCIESAKNDVRGDDCSHKKEAIVWEEDCQLRYSTQMFLGSLNSSGNFALDNKHNNSDPELFRSTVNQTLNNLTKLAAFNRSTMSATGTAPFVDGDMIYALVQCTLDLSHHDCQKCLEIATAEILESFYFSRGARLLSRSCYLRYELYAFYNGDTEGTNHNPKSGLSKKWTITVVAAAVTLLIAAVGFTAYYLAHYGKKIAVKRLLTSSEQGSEEFINEVELILKLQHKNLVTLLGFCIHEDERLLMYEYMPNGSLDVFFSDENKLVQLDWSQRLNIINGIARGMLYLHVDSRLRIIHRDLKLSNVLLDADMTPKISDFGMARIFAGNDGRTNTSVIVGTFGYMAPEFAMEGLYSIKSDIFSFGVVLIEIITAKKNSAFHLTKTAPSLIAHAWNMWNEGRGLELVDPLLESSLCGDEFLRCLQIGLLCVQEDPHDRPNMASIVFMLRGESHALSQPKRPAFSVGRFTDHYESLFTDISVNSLTVSAVLPR >itb07g18090.t1 pep chromosome:ASM357664v1:7:22502674:22503814:1 gene:itb07g18090 transcript:itb07g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPAESYAVSLCDISKSNRTLEKTSADLEKLIEKVFTEESVFDFFANPMMSDEKKREVVAEIAKSFELQLQVVNFLNILVDMDCMELIKDIMQEFEMVYNKITDSRVGEVDQGSCTVDSWTQLCPKFTSETRNETLLDSSLVMIIQKGGLPELRWCSSAVDSPEKLLLFCAKVPTDKLLIIKEKDG >itb07g04520.t1 pep chromosome:ASM357664v1:7:3015241:3015898:1 gene:itb07g04520 transcript:itb07g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNFPGPAEGVLPVLVMNTVTSVAGIKNTVRSILQVVGATGFYSAAAASQEDEDLPAAGLGGAARPRRVSITRYDSLCRNRSETSGGTATECCCVCLCRFEAKEKVSELSCKHFFHTRCLDKWFDNHHATCPLCRSML >itb01g03710.t1 pep chromosome:ASM357664v1:1:2411504:2413324:-1 gene:itb01g03710 transcript:itb01g03710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEQAPPGDARAGEKIFKMKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKESTA >itb04g27690.t1 pep chromosome:ASM357664v1:4:31655268:31656357:-1 gene:itb04g27690 transcript:itb04g27690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHVEEITHPITADEVLKNNPNHVLSQPSSQGMVRRILILSPTSELKRGSIYFLIPASSVPADKKKNAGAAVKPAKKSGEKSFHANGAATAGCDRYSTEKKSSSASHRRRRSGKVGEWRPHLASISED >itb14g16810.t1 pep chromosome:ASM357664v1:14:20165652:20171156:-1 gene:itb14g16810 transcript:itb14g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLISSPSFLGTPLPSFSRHGSFPHRRLVSTRVRFSFHELPPIHSLNSAVDFGAILTRAESLLYTIADAAVATDAATGGAASGDPAAVPQKNSGWFGFISDSMEFVLKILKSGLEAVHIPYSYGFAIILLTIIVKVATLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWQETAAYLVLPVLLVVSQFVSMEIMKPPETDDPNQKNTLLVFKFLPLMIGYFSLSVPSGLTIYWLTNNVLSTAQQVWLRKLGGAKPVVTENASGIISAGKAKRTAVQPSQTGDRFRQLKEEEKKKSSKALSAAQEQISASASDSEDESEESDSKNEELLEEAYTSGNSKKVPDYSGPRRSKRSKRKRAV >itb14g16810.t2 pep chromosome:ASM357664v1:14:20165652:20171156:-1 gene:itb14g16810 transcript:itb14g16810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLISSPSFLGTPLPSFSRHGSFPHRRLVSTRVRFSFHELPPIHSLNSAVDFGAILTRAESLLYTIADAAVATDAATGGAASGDPAAVPQKNSGWFGFISDSMEFVLKILKSGLEAVHIPYSYGFAIILLTIIVKVATLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWQETAAYLVLPVLLVVSQFVSMEIMKPPETDDPNQKNTLLVFKFLPLMIGYFSLSVPSGLTIYWLTNNVLSTAQQVWLRKLGGAKPVVTENASGIISAGKAKRTAVQPSQTGDR >itb13g11920.t1 pep chromosome:ASM357664v1:13:17282274:17284879:-1 gene:itb13g11920 transcript:itb13g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSAEKPMDSSCLAQLVPLEAVLFDIDGTLCDSDPIHLLAFQQMLQEIGYNGGVPIDEEFFVKHIAGKHNDDIGDYLFPDDRVRAAKFLDDKEALFRSLAKEKVKPIDGLCKLKKWIEDRGLKRAAVTNAPRPNAELMIEILGLSDFFNAVIVGSECEHAKPFPDPYLKALEMLKVSKDHTIIFEDSVSGIKAGVAAGVPVIGLTTQNPAHLLMEAKPAFLIKDYADPKLWAALEDIDKKAGRITA >itb11g23060.t1 pep chromosome:ASM357664v1:11:24927120:24929716:1 gene:itb11g23060 transcript:itb11g23060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCCTQYGSNGHNSRSCGESSSVSAASGGSGEIMLFGVRVKVDPMRKSVSLNNLSQYEQPNDDNKNSGNNDSTKAAAAADEGYASADDAVPNQSSSGRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTESVSPYSMDEGKASQESQVPPVVSPTSLSISESSQMNAFQGPPIPVTACPVFVPLQNEKPIENLMLGRGDQVNNASSMFVQPLSILSVPNASKMIDLNMNQNSTMDPSSLSLRLSLSGDQSQPTHPAFLGMSGFSNGDSVISVA >itb14g04750.t1 pep chromosome:ASM357664v1:14:4150854:4153271:1 gene:itb14g04750 transcript:itb14g04750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLARQVYEDSSVSIHFDVRAWVVASQLHNKRQMLTGLLNSISRQGSLEESTDEDLALKLYQCLKRQRYMVVVDDVWSGEAWDDVSNCFPEDGNGSRVLLTTRLAEVANYSSSNSDFSHHMQLLDQSDSWNLFCEKSGKFRGVEFEIIGRSIVEKCKGLPLAIIVVAGLFSKLSTLNEWENIAKVLDSSTTTTIAAICSKILLLSYNHLPHHLKACFLYLGVFPEDYAINANQLARLWSAEGLVNTSENENFDVVADRHIHELMDRNLILVNKWSCCGRKIKVFGVHDLLHAFCVNEAQKENLLLVVRENGSDFPQRCFRWVSIQSSKLDVSTLCYSSRSCRSFFCFSHNDISLNWEQFKLVRVLFFTSPLMHTNIVDFVHLRYLSVPGNQNIAKLFKAWNLQTLSTINAVDKNYLEFPQLQYFACISIRAHSPKFVHQNLQCLSLFEPEHCTKEFFTHVPHVKKIRIARGDRRESNDCIENLVNLQHLERLDINANKWDNTSPNIVQINSHIVLLKSLKRLRFQGNHFEWNGINVLCKLPRLEVLKLNGGSCVGKQWKLPEDDKFCQLIVLKIDSTHLKGWKATGDNFPKLEHLSLSSFSTLKEIPSGFAEISKLKSIQLAYCRPSMVASAEKIKEEQLDYLNNIVDVVVVER >itb10g00780.t3 pep chromosome:ASM357664v1:10:568594:572256:1 gene:itb10g00780 transcript:itb10g00780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVENSSAIQMHPVSDANCGDSVHCSSLTRGAAQGIDDHGSIHPPLAFGLTLNLGLTFDANKPCVEDGNSAVSGHSRLFRNLHELTISTLDKPKLLSQLTSLLSKNGLNIQEAHAFSTTDGYSLDVFVVDGWAHEEIDQLKNSLVAEIQEFENQSVSRQDLISPDVELDRLKPHSEVAPDATDVWEIDPKLLKFERKITSGDVYKGSFRSQDVAIKVLRAELINEDTQRDFAQEIYILRKVRHKNIVQFIGACTRPPLLCIVTEYMSGGSVYDFLHKQKGVFKLPAILKVAIDVSKGMSYLHGNKIIHRDLKAANLLLDENLVVKIADFGIARLQVESGVMTAETGTYRWMAPEVIGHRPYDHKADVFSFGILMWELLTGKLPYEHLTPLQAAVGVSQKGLRPTIPEGTHPMLADLLKRCWDQDSLLRPEFSDITEDLQRISNVVAEQERNAKRRNLEEPHKVRGKLTMKAG >itb10g00780.t2 pep chromosome:ASM357664v1:10:567159:572256:1 gene:itb10g00780 transcript:itb10g00780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMSCSSSNDYRPPPPYEAAPTTQTARKRRGKAEVFHDVLRRLRESSNEEASQPGFEDELWTHFSKLPLRYALDVNTERAQDVIMHKKLLHLARNRRTRPAIEVRLVQMHPVSDANCGDSVHCSSLTRGAAQGIDDHGSIHPPLAFGLTLNLGLTFDANKPCVEDGNSAVSGHSRLFRNLHELTISTLDKPKLLSQLTSLLSKNGLNIQEAHAFSTTDGYSLDVFVVDGWAHEEIDQLKNSLVAEIQEFENQSVSRQDLISPDVELDRLKPHSEVAPDATDVWEIDPKLLKFERKITSGDVYKGSFRSQDVAIKVLRAELINEDTQRDFAQEIYILRKVRHKNIVQFIGACTRPPLLCIVTEYMSGGSVYDFLHKQKGVFKLPAILKVAIDVSKGMSYLHGNKIIHRDLKAANLLLDENLVVKIADFGIARLQVESGVMTAETGTYRWMAPEVIGHRPYDHKADVFSFGILMWELLTGKLPYEHLTPLQAAVGVSQKVYFLLISVS >itb10g00780.t1 pep chromosome:ASM357664v1:10:567159:572256:1 gene:itb10g00780 transcript:itb10g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMSCSSSNDYRPPPPYEAAPTTQTARKRRGKAEVFHDVLRRLRESSNEEASQPGFEDELWTHFSKLPLRYALDVNTERAQDVIMHKKLLHLARNRRTRPAIEVRLVQMHPVSDANCGDSVHCSSLTRGAAQGIDDHGSIHPPLAFGLTLNLGLTFDANKPCVEDGNSAVSGHSRLFRNLHELTISTLDKPKLLSQLTSLLSKNGLNIQEAHAFSTTDGYSLDVFVVDGWAHEEIDQLKNSLVAEIQEFENQSVSRQDLISPDVELDRLKPHSEVAPDATDVWEIDPKLLKFERKITSGDVYKGSFRSQDVAIKVLRAELINEDTQRDFAQEIYILRKVRHKNIVQFIGACTRPPLLCIVTEYMSGGSVYDFLHKQKGVFKLPAILKVAIDVSKGMSYLHGNKIIHRDLKAANLLLDENLVVKIADFGIARLQVESGVMTAETGTYRWMAPEVIGHRPYDHKADVFSFGILMWELLTGKLPYEHLTPLQAAVGVSQKGLRPTIPEGTHPMLADLLKRCWDQDSLLRPEFSDITEDLQRISNVVAEQERNAKRRNLEEPHKVRGKLTMKAG >itb10g05150.t2 pep chromosome:ASM357664v1:10:5206482:5212495:1 gene:itb10g05150 transcript:itb10g05150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGYVIGNGVTLPVDNYEYTYGTARGLCLISEEQYTTVKDCIAINFSTPNCKNEGASMDVPQNIYWPNVIGEENDAKRFRGYNVHAFDRSVILLWINDDKVLVALHVRKGCGEKWTKCRVPLPYERTVLDTRPYHANLSAKGYRSLIYSGHADLTVSSLYTEAWTKSLNYSIIDDWRPWLVNNRVVGYTRIFSNNMTYAKILGSDHIAPTITPAECFKMFKRWISYEQL >itb10g05150.t1 pep chromosome:ASM357664v1:10:5206482:5212495:1 gene:itb10g05150 transcript:itb10g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGYVIGNGVTLPVDNYEYTYGTARGLCLISEEQYTTVKDCIAINFSTPNCKNEGASMDVPQNIYWPNVIGEENDAKRFRGYNVHAFDRSVILLAALISSQWINDDKVLVALHVRKGCGEKWTKCRVPLPYERTVLDTRPYHANLSAKGYRSLIYSGHADLTVSSLYTEAWTKSLNYSIIDDWRPWLVNNRVVGYTRIFSNNMTYAKILGSDHIAPTITPAECFKMFKRWISYEQL >itb01g32640.t1 pep chromosome:ASM357664v1:1:36063485:36066735:1 gene:itb01g32640 transcript:itb01g32640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVAFSRALALHHRFKFGTRYFTAQAASSLKPICDPSTYSAEEYANIDWNNLGFGLTPTDYMYVMKTTGDGSFEQGKLDRFGNLELSPASGVLNYGQGLYEGMKAFRRENGGIFLFRPDQNAARMQIGAERLCMPSLTTEQFLDALRQTVLANKRWIPPPGKGSLYIRPMLLGSGPILGLAPAPHYTFLVYVSPVGNYFKEGTAPLNIYIEEEYHRAVHGGAGGVKSITNYAPVMKPITMAKGRGFSDVLYLDSVHKRYVEEVSSCNIFIVKGNIISTPTVGATILPGITRKSIIDIARDSGYKVEERLVEVEELCEADEVFCTGTAVGVATVGSITYKGKRIEYKLGEEHVSKKLGSTLVGIQKGVIEDKRGWVMEIGEKTSWSNSI >itb01g32640.t2 pep chromosome:ASM357664v1:1:36063485:36066735:1 gene:itb01g32640 transcript:itb01g32640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVAFSRALALHHRFKFGTRYFTAQAASSLKPICDPSTYSDSAEEYANIDWNNLGFGLTPTDYMYVMKTTGDGSFEQGKLDRFGNLELSPASGVLNYGQGLYEGMKAFRRENGGIFLFRPDQNAARMQIGAERLCMPSLTTEQFLDALRQTVLANKRWIPPPGKGSLYIRPMLLGSGPILGLAPAPHYTFLVYVSPVGNYFKEGTAPLNIYIEEEYHRAVHGGAGGVKSITNYAPVMKPITMAKGRGFSDVLYLDSVHKRYVEEVSSCNIFIVKGNIISTPTVGATILPGITRKSIIDIARDSGYKVEERLVEVEELCEADEVFCTGTAVGVATVGSITYKGKRIEYKLGEEHVSKKLGSTLVGIQKGVIEDKRGWVMEIGEKTSWSNSI >itb03g03270.t1 pep chromosome:ASM357664v1:3:1881014:1882774:-1 gene:itb03g03270 transcript:itb03g03270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHNKTDSEVTSSLAPSSPNRPVYYVQSPSRDSHDGEKTTNSFHSTPIISPAGSPGRHSRGSSSTRFSGSLKPGSQKSTSRHHDRRPRKTDRHWKEFDAIEEEGLLDDDRRYNGFPRRCYFLAFLVGFFVLFGFFSLILWGASRNQKPHITLKSISFDQFGIHAGMDNSGVATEMVSMNSTVKLLFRNTGTFFGVHVTSTPFDLSFSEITVATGAIRKFYQSRRSQRTVTVTLRGSGIPLYGGGASLSSEDGKPTAPVPLTLSFMVRARAYVLGRLVKPRFERRVQCWVVMEPKKMNVAISLRKSCNYS >itb02g20220.t4 pep chromosome:ASM357664v1:2:17976530:17981576:-1 gene:itb02g20220 transcript:itb02g20220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVENKLQETVSHAVELLPELWKQAGSCSEAMSAYRRALLSQWSLDNECCARIQKAFAVFLLYSGVEAGPPSLFVQIDGSYVPRNNLEEAILLLMILMRKFYLGKATWDPSVLEHLTFALSVCCQTAVLAKQLEEIMPGILNRIERWKVLALCYSGAGQSSTALNLLRKSLLQNEDPDDIMSLLLAAKLCSEDVLLGYEGVKYAQRAVVNAQDSNAHLKGIGLCMLGRCLGNQAKISPSDSERSRLQSEALKSLEGAIAIEHENTDLIFELGVQYAEHRNLNAALRYAKQYLDATGGSVIKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKTSQSLHTNAIETYRSLLALVQAQKKSYGPLRSAPLLQVEDAKVNEYEVWHGLANLYSSLSRWPDAEICLAKARALVEYSPDTLHIEGVMHERRGEIGKAMAAYVNALLLEPNYVPCKILLCALVNKMGPKMQPVARTLLSDALRLDPTNRMAWYFMGCVHRKDGRIADAADCFQAASMLEESDPIENFSSLL >itb02g20220.t1 pep chromosome:ASM357664v1:2:17976530:17981576:-1 gene:itb02g20220 transcript:itb02g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSMTEKQPAKKGKTRSESGSVVPQHAASLLLEAIYLKAKSLQKLGKLTEAANECQSILDAVEKIFFNGIPDMSVENKLQETVSHAVELLPELWKQAGSCSEAMSAYRRALLSQWSLDNECCARIQKAFAVFLLYSGVEAGPPSLFVQIDGSYVPRNNLEEAILLLMILMRKFYLGKATWDPSVLEHLTFALSVCCQTAVLAKQLEEIMPGILNRIERWKVLALCYSGAGQSSTALNLLRKSLLQNEDPDDIMSLLLAAKLCSEDVLLGYEGVKYAQRAVVNAQDSNAHLKGIGLCMLGRCLGNQAKISPSDSERSRLQSEALKSLEGAIAIEHENTDLIFELGVQYAEHRNLNAALRYAKQYLDATGGSVIKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKTSQSLHTNAIETYRSLLALVQAQKKSYGPLRSAPLLQVEDAKVNEYEVWHGLANLYSSLSRWPDAEICLAKARALVEYSPDTLHIEGVMHERRGEIGKAMAAYVNALLLEPNYVPCKILLCALVNKMGPKMQPVARTLLSDALRLDPTNRMAWYFMGCVHRKDGRIADAADCFQAASMLEESDPIENFSSLL >itb02g20220.t2 pep chromosome:ASM357664v1:2:17976530:17981576:-1 gene:itb02g20220 transcript:itb02g20220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSESGDLAQNENDPSVREVWANGVCMQTSDVEAKLDEGNIQEAESALRDGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLHAAVQRMQPSMTEKQPAKKGKTRSESGSVVPQHAASLLLEAIYLKAKSLQKLGKLTEAANECQSILDAVEKIFFNGIPDMSVENKLQETVSHAVELLPELWKQAGSCSEAMSAYRRALLSQWSLDNECCARIQKAFAVFLLYSGVEAGPPSLFVQIDGSYVPRNNLEEAILLLMILMRKFYLGKATWDPSVLEHLTFALSVCCQTAVLAKQLEEIMPGILNRIERWKVLALCYSGAGQSSTALNLLRKSLLQNEDPDDIMSLLLAAKLCSEDVLLGYEGVKYAQRAVVNAQDSNAHLKGIGLCMLGRCLGNQAKISPSDSERSRLQSEALKSLEGAIAIEHENTDLIFELGVQYAEHRNLNAALRYAKQYLDATGGSVIKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKTSQSLHTNAIETYRSLLALVQAQKKSYGPLRSAPLLQVEDAKVNEYEVWHGLANLYSSLSRWPDAEICLAKARALVEYSPDTLHIEGVMHERRGEIGKAMAAYVNALLLEPNYVPCKILLCALVNKMGPKMQPVARTLLSDALRLDPTNRMAWYFMGCVHRKDGRIADAADCFQAASMLEESDPIENFSSLL >itb02g20220.t3 pep chromosome:ASM357664v1:2:17976530:17981576:-1 gene:itb02g20220 transcript:itb02g20220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRDLIFAFALVSTGGRADMSDSESGDLAQNENDPSVREVWANGVCMQTSDVEAKLDEGNIQEAESALRDGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLHAAVQRMQPSMTEKQPAKKGKTRSESGSVVPQHAASLLLEAIYLKAKSLQKLGKLTEAANECQSILDAVEKIFFNGIPDMSVENKLQETVSHAVELLPELWKQAGSCSEAMSAYRRALLSQWSLDNECCARIQKAFAVFLLYSGVEAGPPSLFVQIDGSYVPRNNLEEAILLLMILMRKFYLGKATWDPSVLEHLTFALSVCCQTAVLAKQLEEIMPGILNRIERWKVLALCYSGAGQSSTALNLLRKSLLQNEDPDDIMSLLLAAKLCSEDVLLGYEGVKYAQRAVVNAQDSNAHLKGIGLCMLGRCLGNQAKISPSDSERSRLQSEALKSLEGAIAIEHENTDLIFELGVQYAEHRNLNAALRYAKQYLDATGGSVIKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKTSQSLHTNAIETYRSLLALVQAQKKSYGPLRSAPLLQVEDAKVNEYEVWHGLANLYSSLSRWPDAEICLAKARALVEYSPDTLHIEGVMHERRGEIGKAMAAYVNALLLEPNYVPCKILLCALVNKMGPKMQPVARTLLSDALRLDPTNRMAWYFMGCVHRKDGRIADAADCFQAASMLEESDPIENFSSLL >itb02g20220.t5 pep chromosome:ASM357664v1:2:17976547:17981576:-1 gene:itb02g20220 transcript:itb02g20220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSESGDLAQNENDPSVREVWANGVCMQTSDVEAKLDEGNIQEAESALRDGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLHAAVQRMQPSMTEKQPAKKGKTRSESGSVVPQHAASLLLEAIYLKAKSLQKLGKLTEAANECQSILDAVEKIFFNGIPDMSVENKLQETVSHAVELLPELWKQAGSCSEAMSAYRRALLSQWSLDNECCARIQKAFAVFLLYSGVEAGPPSLFVQIDGSYVPRNNLEEAILLLMILMRKFYLGKATWDPSVLEHLTFALSVCCQTAVLAKQLEEIMPGILNRIERWKVLALCYSGAGQSSTALNLLRKSLLQNEDPDDIMSLLLAAKLCSEDVLLGYEGVKYAQRAVVNAQDSNAHLKGIGLCMLGRCLGNQAKISPSDSERSRLQSEALKSLEGAIAIEHENTDLIFELGVQYAEHRNLNAALRYAKQYLDATGGSVIKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKTSQSLHTNAIETYRSLLALVQAQKKSYGPLRSAPLLQVEDAKVNEYEVWHGLANLYSSLSRWPDAEICLAKARALVEYSPDTLHIEGVMHERRGEIGKAMAAYVNALLLEPNYVPCKILLCALVNKMGPKMQPVARTLLSDALRLDPTNRMAWYFMGCVHRKDGRIADAADCFQAASMLEESDPIENFSSLL >itb08g03990.t1 pep chromosome:ASM357664v1:8:3204632:3212463:1 gene:itb08g03990 transcript:itb08g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTDFDSRPGQISKDMQGSGPLSPQWLFPKSGENKTGIATGENHFNPHSVYPGGSEPPKSPGMGEDVHDHQKKKEVFRPSVMDVESARRDRWREEERDTNSSIRRDRWREGDKGLGDGRKVDRWTDSAGRHYGEGRRVPVERFSDSGNRESSHDQRRESKWNTRWGPDNKEVDGLREKWSDFSKDDDFPIEKGPSGLVYHGKDEREGDHYRPWRPNASQSRGRVDSPHHLTLTPNKHAFTASHGRGRGENAPTFSHGRGRIPSVGSSMNDVSSHIQSHSVLAEKVESGHDESSPLRYTRTKLLNVYSTTDMRSSSKYLEGVAQIPSLMQEEPLAPLAIGAPSAEELIVLKGIENGEVLSSGAPQINKDSSIGRNSTDFLQSRRNKQGSRNELLHGLDDSRDETIDNGRGGHSDGFYDRQLHSFESNAKVEAAQDYEKFSELKLNTEGVWRSPSIRERSHVASKEFLEIPGVVGSNIGWSGAQKGLADERERSISDSSYTKSEGLKWQLGNDPLLKRQHSAILDKELDKQKLPQASPEDFMLYYKDPQGEIQGPFSGSDIIGWLEAGYFGIDLLVRLVGAPPDTPFAQLGDVMPHLRAKARPPPGFGTPKPSTDASAEMNMNPFAMLHSGPTEIDISRSEQRYNHSSTADAENRFLESLMSGSTSNAPPEKFVHPEGMPGYIGNTAGTMPSVGSESGDNLYLLAKKIALERQRSLPNPYSYWPGRDVASIVPTSDVLHDSLPHSKLPLVADNARQQPHNQNVDLMSLLQGLPDRSASMNSGTSGWSNFPTQGGSENLQNRLDMHNGQRLNTQAAFGIQQPRLPAQNPPLMNFLGQTLDNPSSILAPEKLLSSGISQDPQMLNLLQQQYLLQLQTQTPLSPQQLLLLDKLLLLKQQQQKQEQQQLVLQQQQLLSQMLSEHHSSQHFPEPSYGQLQTGGIPTGNVTTDHAQLQPSRELLNLGTQSQLPARQEDRAPNFVLPTSISLDGSHSVGSEPSSIHLPHQVFGDYHQRSSGNYQEQLAVVQQRGSLISTGGIDPLPGMDTTNKYPLEHKSENIEPATVMSSVAAPSLSPPEGLATSVDLPPTTSHGSELTLDQQSEYVQPLPERHEKPQYEGGKNSSDSSSVKEVKNIESSVKDMKIVESSEVKKSTEKKSKKQKSAKMQAVDTANGVSKTKQLKLSESKEATVSDAKSDIHGGPTGVGVASEPETMERKNNKVAVDDVNVLGENLLHVNVAREGENDVTKGDPEQIGAVSPIRSQGVSGARAWKPSPGFKPKSLLEIQEEEQRRAQAEIVVTEITSQNPVNVSTPWAGVIASSADQKHLRETELDASSRELNLRKSDSSFNQKSKKSQLHDVLAENFVVKSSEREEVANSEPSLPAVPHTGFQADAGDDDAFIEAKDTKKSRKKSAKAKAAASRTSAPVASVEIPTGSSPIDKVKISRQVQMEKEMLPAVPSGPSLGDFVIWKGETANTSAAPAWSTDSGKTPKPTSLRDILKEQQKKGSTGPQHIPVPTPQKSVPTQPARGGTGSWSHTASSPSKAATPIQVNLQAASQSKTQVEDDFFWGPIDQPKQEAKQSDFPHLGNHGSWGVKNTPVKGSVGGSSNKQKSTGRPMERVMSSSPASAHSSLKGKKDFQTKHSEAMDFREWCESECARLIGSKDTSFLEFCLRQSRSEAETLLIENLGSFDPDHEFIEKFLNYKDFLPADVLEIAFQRQNDRKVTGKGAGDVTSDPVGIVEAGEGGNAGGALDVATKGGGKKKGKKGKKVNLSELGFNVVSNRIMMGEIQSIED >itb08g03990.t2 pep chromosome:ASM357664v1:8:3204635:3212423:1 gene:itb08g03990 transcript:itb08g03990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVHDHQKKKEVFRPSVMDVESARRDRWREEERDTNSSIRRDRWREGDKGLGDGRKVDRWTDSAGRHYGEGRRVPVERFSDSGNRESSHDQRRESKWNTRWGPDNKEVDGLREKWSDFSKDDDFPIEKGPSGLVYHGKDEREGDHYRPWRPNASQSRGRVDSPHHLTLTPNKHAFTASHGRGRGENAPTFSHGRGRIPSVGSSMNDVSSHIQSHSVLAEKVESGHDESSPLRYTRTKLLNVYSTTDMRSSSKYLEGVAQIPSLMQEEPLAPLAIGAPSAEELIVLKGIENGEVLSSGAPQINKDSSIGRNSTDFLQSRRNKQGSRNELLHGLDDSRDETIDNGRGGHSDGFYDRQLHSFESNAKVEAAQDYEKFSELKLNTEGVWRSPSIRERSHVASKEFLEIPGVVGSNIGWSGAQKGLADERERSISDSSYTKSEGLKWQLGNDPLLKRQHSAILDKELDKQKLPQASPEDFMLYYKDPQGEIQGPFSGSDIIGWLEAGYFGIDLLVRLVGAPPDTPFAQLGDVMPHLRAKARPPPGFGTPKPSTDASAEMNMNPFAMLHSGPTEIDISRSEQRYNHSSTADAENRFLESLMSGSTSNAPPEKFVHPEGMPGYIGNTAGTMPSVGSESGDNLYLLAKKIALERQRSLPNPYSYWPGRDVASIVPTSDVLHDSLPHSKLPLVADNARQQPHNQNVDLMSLLQGLPDRSASMNSGTSGWSNFPTQGGSENLQNRLDMHNGQRLNTQAAFGIQQPRLPAQNPPLMNFLGQTLDNPSSILAPEKLLSSGISQDPQMLNLLQQQYLLQLQTQTPLSPQQLLLLDKLLLLKQQQQKQEQQQLVLQQQQLLSQMLSEHHSSQHFPEPSYGQLQTGGIPTGNVTTDHAQLQPSRELLNLGTQSQLPARQEDRAPNFVLPTSISLDGSHSVGSEPSSIHLPHQVFGDYHQRSSGNYQEQLAVVQQRGSLISTGGIDPLPGMDTTNKYPLEHKSENIEPATVMSSVAAPSLSPPEGLATSVDLPPTTSHGSELTLDQQSEYVQPLPERHEKPQYEGGKNSSDSSSVKEVKNIESSVKDMKIVESSEVKKSTEKKSKKQKSAKMQAVDTANGVSKTKQLKLSESKEATVSDAKSDIHGGPTGVGVASEPETMERKNNKVAVDDVNVLGENLLHVNVAREGENDVTKGDPEQIGAVSPIRSQGVSGARAWKPSPGFKPKSLLEIQEEEQRRAQAEIVVTEITSQNPVNVSTPWAGVIASSADQKHLRETELDASSRELNLRKSDSSFNQKSKKSQLHDVLAENFVVKSSEREEVANSEPSLPAVPHTGFQADAGDDDAFIEAKDTKKSRKKSAKAKAAASRTSAPVASVEIPTGSSPIDKVKISRQVQMEKEMLPAVPSGPSLGDFVIWKGETANTSAAPAWSTDSGKTPKPTSLRDILKEQQKKGSTGPQHIPVPTPQKSVPTQPARGGTGSWSHTASSPSKAATPIQVNLQAASQSKTQVEDDFFWGPIDQPKQEAKQSDFPHLGNHGSWGVKNTPVKGSVGGSSNKQKSTGRPMERVMSSSPASAHSSLKGKKDFQTKHSEAMDFREWCESECARLIGSKDTSFLEFCLRQSRSEAETLLIENLGSFDPDHEFIEKFLNYKDFLPADVLEIAFQRQNDRKVTGKGAGDVTSDPVGIVEAGEGGNAGGALDVATKGGGKKKGKKGKKVNLSELGFNVVSNRIMMGEIQSIED >itb07g03600.t1 pep chromosome:ASM357664v1:7:2412693:2419878:-1 gene:itb07g03600 transcript:itb07g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MDSERSDTRESGHGSEFRVGQRVHYAGDSRRIGSVKYVGPVEGYSGTWIGIDWDNGDGKHDGCHNGVRYFEAAGTTTASFVRPHNLSVGIPLLQALELRYRTASSKEEEDEMYVFSASNKRVTVELLGKEKIQNKLSHFEELTSASLSYLGVSSAGDPFHISTTIPNLKELDLTGNLLSEWEDVGIICKELPGLAVLNLSHNIMAHDISGMPLLNNIQILVLNHTGISWKHVEILKDSFPFIEELHLVGNKLKEITPSSSTFVKGFDSLHLLNLDDNCIDSWEEIVKLSQLKSLEQLFLNHNNLSCIWYPDHGTLHETANGDGCLGKGSKPFKNLHSLLLGNNNIKDVESVDSLNYFPNLLEVRLSENPVTDPVKSGLSRFVLVARLAGVKIINGSEISPRERKESEIRYVRLVMSKCHEYPQEIDRLHPRFAELKRYHGIDDEKPQSGATGPQKMAAGLISITMKCIGASIGEKPPLTKKLPVTITVGKLKNLCESFFNIRSIKPKLFLQEAGAPFPTLLDDDMATLLDAGVGNESTILVDEE >itb07g03600.t2 pep chromosome:ASM357664v1:7:2412693:2419878:-1 gene:itb07g03600 transcript:itb07g03600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MDSERSDTRESGHGSEFRVGQRVHYAGDSRRIGSVKYVGPVEGYSGTWIGIDWDNGDGKHDGCHNGVRYFEAAGTTTASFVRPHNLSVGIPLLQALELRYRTASSKEEEDEMYVFSASNKRVTVELLGKEKIQNKLSHFEELTSASLSYLGVSSAGDPFHISTTIPNLKELDLTGNLLSEWEDVGIICKELPGLAVLNLSHNIMAHDISGMPLLNNIQILVLNHTGISWKHVEILKDSFPFIEELHLVGNKLKEITPSSSTFVKGFDSLHLLNLDDNCIDSWEEIVKLSQLKSLEQLFLNHNNLSCIWYPDHGTLHETANGDGCLGKGSKPFKNLHSLLLGNNNIKDVESVDSLNYFPNLLEVRLSENPVTDPVKSGLSRFVLVARLAGVKIINGSEISPRERKESEIRYVRLVMSKCHEYPQEIDRLHPRFAELKRYHGIDDEKPQSGATGPQKMAAGLICICSINGFN >itb02g02560.t1 pep chromosome:ASM357664v1:2:1498879:1500783:-1 gene:itb02g02560 transcript:itb02g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLFPRLLFVVLLVMVVGNQQSSLSVVDGLACNLGTRSSHPLPGDIVVKLMKDNGFNKVKLFEADPPILKALGRSGIQVMVGIPNDMLAALASSVQAAENWVSQNVSSFISKNGVDIRYVAVGNEPFLKTYKDRFVQTLFPALKNVQAALIKAGLGRQVKVTVPLNADVYDTPTGVPSGGNFRSDIHQLMVSIVKFLSDNGGPLTINIYPFLSLYADPHFPVDYAFFNGAASPVVDGSTTYTNVLDANYDTLVWALEKNGFGSLPIIIGEVGWPTDGDKNANVEYARKFNQGLMDRIIQGRGTPKRPTSPPDVYLFGLIDEDEKSIDPGNFERHWGLFNYDGTIKYQLNLGNNGSLTPAKGVRYLARQWCVMAPNANLMDRNLPQSINYACSYADCTSLGYGSSCGTLDARSNASYAFNMYYQTMNQQKGACGDQFHNLSVLTRIDPSPPMSPQGRTCRFEIMIDVGTHEKRSPYPGTSAAATKHSFSVLAAFQAAFTLVLIATALP >itb04g27370.t1 pep chromosome:ASM357664v1:4:31408673:31411828:1 gene:itb04g27370 transcript:itb04g27370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENQTAMKEELGEVIAPFDPTKKKKKKKVTIVDAADDPVDSLAEKTENLSVSEGLETTFSGKKKKKKQVHTDLLNDDQENAGEDMDDHFEDDDEAEGIVLQQLPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMAFLLAEMGTSGSLDGQQRVVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRKAGT >itb12g26930.t1 pep chromosome:ASM357664v1:12:27597786:27598127:1 gene:itb12g26930 transcript:itb12g26930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDKEIRHQEAAAPQQIPKGFVAVIVGTGGGGDEEEQQRRFVIPVTYLNHPLFLRLLKEAEEENGFHHDGPLSIPCPVEEFRRVQGLIDKETARHRHHLGGNHSWCFKIRA >itb01g19460.t1 pep chromosome:ASM357664v1:1:25565206:25566081:1 gene:itb01g19460 transcript:itb01g19460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTSGIVFRSNYCDDFGKVLTVSVENYEPPLIHRAEISYQEQTLPVFQKIEDLSTKVHELRKEHAAFKR >itb07g21290.t1 pep chromosome:ASM357664v1:7:25681226:25685176:1 gene:itb07g21290 transcript:itb07g21290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGKKLEYKGEKALKEIERLTSNAGEVQEEILIRIMEMNGGCEYLKKFNLKNKNSSSSVQDLVSDFKRCVPVIAYEDIKPYILRIANGDHFSLITGQPITEMLCSSGTSGGEPKIMPSIAEDLDRRTFLYNLIMPIMDQYIPGMDGGKAMYLYFVKAEKSTPCGLPARSVLTSYYKSKHFKCRAFDPYNDLTSPDQAILCSDSNQSMYCQLLSGLVYRRQVLRLGAVFASAFLRAISFLQHNWPALCLDIRTGQLSGAITDSECRAAMSKILLAPDPELAGEIEEICGAPSWKGIVYRLWPKAKYIEAVITGSMSQYVPALRFYSDGKLPLICTMYASSECYFGVNLKPLSDPADVAFTLLPNMCYFEFIPLGDENGTFLSNLNKEEEESIPLVDLVDVRLGCYYELVVTTFSGLYRYRIGDVLQVTGFHNKAPQFKFICRRNVVLSIDTDKTNEEDLHRSITAAAKKLLEPHQALLVEYTSYADTSSVPGHYVIYWEIIMHAAAAIDDAQVLQDCCIAIEEQLDYVYRRCRRHDKCIGPLEIRVVKPGTFDLLMGNFINEGSSINQYKTPRCIKSNSALKILESNVRGRYFSPRDPAWTP >itb01g00740.t1 pep chromosome:ASM357664v1:1:337924:342911:1 gene:itb01g00740 transcript:itb01g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTDEFTRERSQDLQRVFRNFDPNLRTQEKATEYVRALNAAKLEKIFARPFIGAMDGHIDAISAMAKNPNHLKGIFSGSMDGDIRLWDIANRKTVCQFPGHQGAVHGLSASTDGRILVSCGTDCTVRLWRVPVPSLMEPDDASDDSAKSLAVYTWKNAFRAVDHQWDGNLFATAGAQVDIWDHNRSQPVNSFEWGKDTVISVRFNPGEPDILATSASDRSIAIYDLRMSSPARKVIMRTKTNSIAWNPMEPMNFTAANEDCNCYSYDARKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYLISGSDDTNLRLWKAKASEQMGVLLPRERKNHEYLEAVKNRYKHLPEVKRIVRHRHLPKAIYKASNLRREMTESERKKEDRKRAHSAPGSMPKEPLRKKRIIQEVE >itb09g23140.t1 pep chromosome:ASM357664v1:9:22296376:22302436:-1 gene:itb09g23140 transcript:itb09g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSEAVMAWNVFRFCTALRGLGSIMIVLVLGVVGVTYYAVVISNYGPLLAGGGGVIGILISFVVLVLFHCLLVMLLWSYFSVVFTDPGSVPPNWKPASEEERGDTDPLTASEFGSLPPDTAQSRIRFCKKCNQLKPARCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPNFLAFFGDGDITGSAGALATTFLAFVLNLAFALSVLGFLIMHISLVSRNTTTIEAYEKMTPARWRYDLGRKRNFEQVFGMDRQYWFIPAYSEEDLRSLPALHGLEYPSKPDIDGQEF >itb04g34270.t1 pep chromosome:ASM357664v1:4:36284460:36285635:1 gene:itb04g34270 transcript:itb04g34270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPKQLSVLLFSLCLFRLSPAQDLVGLSIPLTALTDAHDVLAQYGLPKGLLPDVVESYDIADDGSFTVDLSTTCYVQFSNLVYYDKTITGKLSSGKIYDITGIQVKKAFIWLSVTGIDIVADSGELNFHVGFLSETLPASQFQTIHSCKSHLSSSSGESRVQIA >itb02g09950.t3 pep chromosome:ASM357664v1:2:6410669:6415681:1 gene:itb02g09950 transcript:itb02g09950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDQPVVTASKSKLARTFAKVMHIRAVASSVHGNQRSKSHEKVKNDVIKSDFLKNMQFNICDEEEKMMEKLAMEAFIAKLFASISAVKAAYAELQYAQSPYDPDGIRAADQMVVSELKSLSELKQCFLKKQIEDYSPESSLVLAEIQEQKSIIKTYEVMGKKLDSQVRLKDSEITFLREKLEEANKENKIIEKRLNSSGQLSVPDNLHFSGLNPCHFITILRQATKSIRSFVRLLSREMESSGWDLDLAASAIVPRVSFWQVNHKCYAFESFVCREMFEGFNVLNFSVSREPLPEGKKLQRLLFDRFKELKSVKPADYLAWKPKSTFARFCCKKYLRLIHPRMEQSLFGNLDQRSMVKSGEYPETTFFSSFTEMAKRIWLLHCLAFSFDPEASIFQVSRGSRFSDVYMESMSDEAFLSSEGTPETQPRVAFTVMPGFRIGKAVIQAQVYLR >itb02g09950.t1 pep chromosome:ASM357664v1:2:6410669:6415681:1 gene:itb02g09950 transcript:itb02g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDQPVVTASKSKLARTFAKVMHIRAVASSVHGNQRSKSHEKVKNDVIKSDFLKNMQFNICDEEEKMMEKLAMEAFIAKLFASISAVKAAYAELQYAQSPYDPDGIRAADQMVVSELKSLSELKQCFLKKQIEDYSPESSLVLAEIQEQKSIIKTYEVMGKKLDSQVRLKDSEITFLREKLEEANKENKIIEKRLNSSGQLSVPDNLHFSGLNPCHFITILRQATKSIRSFVRLLSREMESSGWDLDLAASAIVPRVSFWQVNHKCYAFESFVCREMFEGFNVLNFSVSREPLPEGKKLQRLLFDRFKELKSVKPADYLAWKPKSTFARFCCKKYLRLIHPRMEQSLFGNLDQRSMVKSGEYPETTFFSSFTEMAKRIWLLHCLAFSFDPEASIFQVSRGSRFSDVYMESMSDEAFLSSEGTPETQPRVAFTVMPGFRIGKAVIQAQVYLR >itb02g09950.t2 pep chromosome:ASM357664v1:2:6410669:6415681:1 gene:itb02g09950 transcript:itb02g09950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDQPVVTASKSKLARTFAKVMHIRAVASSVHGNQRSKSHEKVKNDVIKSDFLKNMQFNICDEEEKMMEKLAMEAFIAKLFASISAVKAAYAELQYAQSPYDPDGIRAADQMVVSELKSLSELKQCFLKKQIEDYSPESSLVLAEIQEQKSIIKTYEVMGKKLDSQVRLKDSEITFLREKLEEANKENKIIEKRLNSSGQLSVPDNLHFSGLNPCHFITILRQATKSIRSFVRLLSREMESSGWDLDLAASAIVPRVSFWQVNHKCYAFESFVCREMFEGFNVLNFSVSREPLPEGKKLQRLLFDRFKELKSVKPADYLAWKPKSTFARFCCKKYLRLIHPRMEQSLFGNLDQRSMVKSGEYPETTFFSSFTEMAKRIWLLHCLAFSFDPEASIFQVSRGSRFSDVYMESMSDEAFLSSEGTPETQPRVAFTVMPGFRIGKAVIQAQVYLR >itb02g09950.t4 pep chromosome:ASM357664v1:2:6413848:6415681:1 gene:itb02g09950 transcript:itb02g09950.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDQPVVTASKSKLARTFAKVMHIRAVASSVHGNQRSKSHEKVKNDVIKSDFLKNMQFNICDEEEKMMEKLAMEAFIAKLFASISAVKAAYAELQYAQSPYDPDGIRAADQMVVSELKSLSELKQCFLKKQIEDYSPESSLVLAEIQEQKSIIKTYEVMGKKLDSQVRLKDSEITFLREKLEEANKENKIIEKRLNSSGQLSVPDNLHFSGLNPCHFITILRQATKSIRSFVRLLSREMESSGWDLDLAASAIVPRVSFWQVNHKCYAFESFVCREMFEGFNVLNFSVSREPLPEGKKLQRLLFDRFKELKSVKPADYLAWKPKSTFARFCCKKYLRLIHPRMEQSLFGNLDQRSMVKSGEYPETTFFSSFTEMAKRIWLLHCLAFSFDPEASIFQVSRGSRFSDVYMESMSDEAFLSSEGTPETQPRVAFTVMPGFRIGKAVIQAQVYLR >itb12g19870.t3 pep chromosome:ASM357664v1:12:22309016:22312103:-1 gene:itb12g19870 transcript:itb12g19870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSVSPVRRRNTDRRYSPNFDNSNGPPHGRGFGGGRDPGRYRDYSPPYGRGREGGRFPVRGYDRHGFVPGSVRGEGVARNNPNVQPREGDWICSDPLCNNLNFARREYCNNCNRPRYAPAGSPRRGHASLLPITGRLGPSGPPMDRSPGRLMNGYRSPPRGWARDDPRDFRAGMPPPRYEGRFHDHPPLRRDRPDFPEDDYRDRGRFDRPLPLNWGHRDRGRETYIGERRLPSPPPPPLPSLPPRGQWPRDIRERSRSPIRDRAPHPKDYRRPMFVDRGRDDRRAGRDAF >itb12g19870.t1 pep chromosome:ASM357664v1:12:22309016:22313549:-1 gene:itb12g19870 transcript:itb12g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSVSPVRRRNTDRRYSPNFDNSNGPPHGRGFGGGRDPGRYRDYSPPYGRGREGGRFPVRGYDRHGFVPGSVRGEGVARNNPNVQPREGDWICSDPLCNNLNFARREYCNNCNRPRYAPAGSPRRGHASLLPITGRLGPSGPPMDRSPGRLMNGYRSPPRGWARDDPRDFRAGMPPPRYEGRFHDHPPLRRDRPDFPEDDYRDRGRFDRPLPLNWGHRDRGRETYIGERRLPSPPPPPLPSLPPRGQWPRDIRERSRSPIRDRAPHPKDYRRPMFVDRGRDDRRAGRDAF >itb12g19870.t4 pep chromosome:ASM357664v1:12:22309128:22313584:-1 gene:itb12g19870 transcript:itb12g19870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKEQTTPHLSSLVVRPTDSGGGGAGVAAAGGAGGGSDYEPGEVRRDQPTYARSDRFPDSHGYRMRAGSVSPVRRRNTDRRYSPNFDNSNGPPHGRGFGGGRDPGRYRDYSPPYGRGREGGRFPVRGYDRHGFVPGSVRGEGVARNNPNVQPREGDWICSDPLCNNLNFARREYCNNCNRPRYAPAGSPRRGHASLLPITGRLGPSGPPMDRSPGRLMNGYRSPPRGWARDDPRDFRAGMPPPRYEGRFHDHPPLRRDRPDFPEDDYRDRGRFDRPLPLNWGHRDRGRETYIGERRLPSPPPPPLPSLPPRGQWPRDIRERSRSPIRDRAPHPKDYRRPMFVDRGRDDRRAGRDAF >itb12g19870.t2 pep chromosome:ASM357664v1:12:22309016:22313549:-1 gene:itb12g19870 transcript:itb12g19870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKEQTTPHLSSLVVRPTDSGGGGAGVAAAGGAGGGSDYEPGYRMRAGSVSPVRRRNTDRRYSPNFDNSNGPPHGRGFGGGRDPGRYRDYSPPYGRGREGGRFPVRGYDRHGFVPGSVRGEGVARNNPNVQPREGDWICSDPLCNNLNFARREYCNNCNRPRYAPAGSPRRGHASLLPITGRLGPSGPPMDRSPGRLMNGYRSPPRGWARDDPRDFRAGMPPPRYEGRFHDHPPLRRDRPDFPEDDYRDRGRFDRPLPLNWGHRDRGRETYIGERRLPSPPPPPLPSLPPRGQWPRDIRERSRSPIRDRAPHPKDYRRPMFVDRGRDDRRAGRDAF >itb13g20940.t1 pep chromosome:ASM357664v1:13:27647127:27648176:1 gene:itb13g20940 transcript:itb13g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSGGEWSSLNASSRRFWDCDTVVYGSPVSGDVIRSDHGVWAKAIYYAEGLLKILAEKETDKILGVHIMAPNAGDLIHEALKALQLGTSGEDLARAWF >itb01g35440.t1 pep chromosome:ASM357664v1:1:37645535:37646327:1 gene:itb01g35440 transcript:itb01g35440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWVLLALFLPCASMSAVFIVYFCSFWYAIRYRAGDGANQEVVKNSRQRGLSATQLNKLPRIAGKDLVLGNDCAVCLEDIGSDEPVRLIPGCNHGFHVECADAWLAKHPDCPLCRSKLHPELLDPPPQSNPC >itb08g12710.t1 pep chromosome:ASM357664v1:8:13093351:13095221:1 gene:itb08g12710 transcript:itb08g12710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDNPGHSKNISYFPSSVVVDDSYSPLLPGLPDDVAKYCLALVPRYYFPAMGGVNKRWRSFIRSKEFIMVRKLACLLEEWLYVLTVDADGKESYWEVLDCLGHKHHQLPQMPAPTRAGFGVAVLNAKLVVMGGYSMIDGSGSVSAEVFQYDSCLNSWSKLASMNVARYDFACAEVNGMVYAVGGNGVDGESLSCAEVYDPDTDKWRVIESLRRPRWGCFGCGFEGKLYVMGGRSSFTIGNSRFVDVYNPERQSWCEMKNGCVMVTAHAVVGKKLFCIEWKNQRKLGIFNPEDNSWKMVGVPVTGSSSIGFRLGILDEKLLLFSLQEDPAYTTMLYDPNAAAGSEWQTCEIKPSGSCLCSVTIKA >itb11g11960.t1 pep chromosome:ASM357664v1:11:8855858:8856471:-1 gene:itb11g11960 transcript:itb11g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLRLQKRLAASILNCGKRKIWLDPNPNETNNISTAISRMNVRKLIKDGLIIKKPAKIQSRFRARRALEAKRKGRSCGYGKRKGTREARTPLKVVWMKRVRVLRRLLHRYRDCTRIDRHVHHDLYMKVKGNRFKNKRCLMECLHKLRDEKLREKRLPLHHHQLKVTMVKRL >itb15g18500.t1 pep chromosome:ASM357664v1:15:20185939:20190180:1 gene:itb15g18500 transcript:itb15g18500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSWDSLRKQARKLEAQLDEQMQLYRKLVNTKVDNATDNDLEIGIDQLLKQLQQVNSQMQTWVSSGGSEIFSHTLTRHQEILQDLTQEFNRLRSSHRAKIEHASLLDDFREFDRTRLDLEDDGGSYDQALLNERASLHRSTGQMDGVISQAQETIKALLYQRSTFGGINSKLSNVSSRLPSVNNILSSIKKRKSMDTIVLSLVASACMFLILIYWLTK >itb15g18500.t2 pep chromosome:ASM357664v1:15:20185962:20190021:1 gene:itb15g18500 transcript:itb15g18500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSWDSLRKQARKLEAQLDEQMQLYRKLVNTKVDNATDNDLEIGIDQLLKQLQQVNSQMQTWVSSGGSEIFSHTLTRHQEILQDLTQEFNRLRSSHRAKIEHASLLDDFREFDRTRLDLEDDGGSYDQALLNERASLHRSTGQMDGVISQAQETIKALLYQRSTFGGINSKLSNVSSRLPSVRISLSLFMMFRLYHSLNIKDYFI >itb05g24350.t2 pep chromosome:ASM357664v1:5:29163986:29167210:1 gene:itb05g24350 transcript:itb05g24350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKYGRGLPRKTTSSATTAFAVFVILSLVVLMLLAFGILSMPSSSRGSQKAHDLSTIAHNTLEREEQDEGDGIKGDSWVEVISWEPRAFVYHNFLSKDECEYLINLAKPHMQKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFLDDYNTKNGGQRIATILMYLC >itb05g24350.t1 pep chromosome:ASM357664v1:5:29163911:29167299:1 gene:itb05g24350 transcript:itb05g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKYGRGLPRKTTSSATTAFAVFVILSLVVLMLLAFGILSMPSSSRGSQKAHDLSTIAHNTLEREEQDEGDGIKGDSWVEVISWEPRAFVYHNFLSKDECEYLINLAKPHMQKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKIIRNIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFLDDYNTKNGGQRIATILMYLSDVEEGGETVFPSAKGNITAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDGSLDPSSLHGGCPVIKGNKWSSTKWMRVREYKA >itb05g28080.t1 pep chromosome:ASM357664v1:5:31215504:31219539:1 gene:itb05g28080 transcript:itb05g28080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSLLRSVPASPVLHASSSSHRHQASLTGFNQGCNSVKFESSIFGSALPNVHSSSVQGSGSSSIQPVKATATELPPTIPKSRTTGKTRVGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDANYMAYMFKYDSTHGVFKGTIRVLDESTLEINGKPIKISNKRDPSDIPWGDLGADFVVESSGIFTTVEKASVHKKGGAKKVVISAPSADAPMFVVGVNENTYKTTMDVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLNDSLMKLVSWYDNEWGYSNRVLDLIEHMALVAATATN >itb05g28080.t2 pep chromosome:ASM357664v1:5:31215800:31219371:1 gene:itb05g28080 transcript:itb05g28080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGASLTGFNQGCNSVKFESSIFGSALPNVHSSSVQGSGSSSIQPVKATATELPPTIPKSRTTGKTRVGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDANYMAYMFKYDSTHGVFKGTIRVLDESTLEINGKPIKISNKRDPSDIPWGDLGADFVVESSGIFTTVEKASVHKKGGAKKVVISAPSADAPMFVVGVNENTYKTTMDVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLNDSLMKLVSWYDNEWGYSNRVLDLIEHMALVAATATN >itb08g06780.t2 pep chromosome:ASM357664v1:8:5806901:5811267:-1 gene:itb08g06780 transcript:itb08g06780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSQFMQVSVAVALNGDSSLQRSALKANSITFNNRSPISFQPFDLKTRNGKSRSQYVVCMSVQQASIPKVTVSPLSLEDAKEPPLNLYKPKGPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASSRYGDSFDGKTTSLCVRRAVYYDPETGKEDPSKNGVCSNYLCDSKPGDKVQITGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRMFMESVPTFKFNGLAWLFLGVANTDSLLYDDEFAKYLQDYPDNFRYDRVLSREQKNKKGGKMYVQDKIEEYSDEIFKLLDEGAHIYFCGLKGMMPGIQDTLKRVAEERGLSWETKLSQLKKNKQWHVEVY >itb08g06780.t1 pep chromosome:ASM357664v1:8:5806901:5809816:-1 gene:itb08g06780 transcript:itb08g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSQFMQVSVAVALNGDSSLQRSALKANSITFNNRSPISFQPFDLKTRNGKSRSQYVVCMSVQQASIPKVTVSPLSLEDAKEPPLNLYKPKGPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASSRYGDSFDGKTTSLCVRRAVYYDPETGKEDPSKNGVCSNYLCDSKPGDKVQITGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRMFMESVPTFKFNGLAWLFLGVANTDSLLYDDEFAKYLQDYPDNFRYDRVLSREQKNKKGGKMYVQDKIEEYSDEIFKLLDEGAHIYFCGLKGMMPGIQDTLKRVAEERGLSWETKLSQLKKNKQWHVEVY >itb09g10580.t1 pep chromosome:ASM357664v1:9:6548464:6551587:1 gene:itb09g10580 transcript:itb09g10580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVFGNPLVVIGPEYCAPHHLQISIHKKLYLLAGHGYEVKDAANDTILFKVENIPTFFNSSKVVVFDPAGNSILTLRRKAFAWRTRWEVYRGESKEEKDMIFSATTSSVFQISTNLDVFLAGNTSEQMCDYKMKTSFTQWTWDIYVGASSSTLIAQMQEKVSMWSILLGEDNFMVTLQPNVDQAFIVALIVILQEIVRAPARRIRYRSRPGNYKFPKRIGAISIIPNPNSIQLSLSFDLHKMMMDGESTSYPPQPVPVPPVISPQFIVPYPVDLAVVRKVMTLQEGKFEVLDINGTVMFKIKSKLLSIRDRRILVDTNDTPIVTFQQKILTAHRRWQAFRGESTDPKDLLFSVKKSSLFQLKTKLDVFLAGNTKGEVCDFHIKGSWLERSCVIYAGDSSTIVAQMHKKHTAGSVFLGKEHFGVTVYPNVDYAFIVALVVILEEINQDRSGED >itb12g05520.t1 pep chromosome:ASM357664v1:12:4057831:4065222:1 gene:itb12g05520 transcript:itb12g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMPVNWEALDTLIFDFAKSENLIDDSASPSSPPSPSPSTPSSSSFHLRLLIRQIRRCLEAGEVDAAMDLLRLHAPSVLDDHRLLFRLQKQKFIELLRKGTDEDRESAIDCLRTSLAPCALDAYPEAYEEFKHVLLALIYARSDRNSPVANEWSEKRRFEIAGLLSSILRAHLHAYDPLFAMTLRYLISIHKNFCSRQGVLSPISDLTERLLLEDRDPPATPIESLYEAPPFDEVDIQALAHAVELTRQGSVDSLRFAKGDLFQAFQNELCRMGMNVSVLDELVHEYCIYRGFVDFSVASPSGIQGTVRAINDDQSESGCLPQNSCLEVGNGNSKLSDGEASLTDVHMEASPNSSIDTVSMQSTDVEERFLCETNNHEDCSTSGTHLVGKVQKNRSHRIAERNKRKRWRGRDQKLEYTSEATVERGREEASQKLASVNIACSREDEYETLLGIKELASKGMAAEVFEEISAIDPNFFAQNPSLLFQLKQVEFLKLVSSGDHSGALKVACSYLGPLASSNAQLLKPLKETLLTLLKPNEVALSESLPLHALATSLQVATSKRLGIEQPQLMKILKATLYTHNEWFKLQMCKDRFEGLLKIDSLKDISGHSLFAAASKSDVDMGTHGSSQFTASSSNRMQEDGSSPVQSSARDMECDETAILKVMEFLALPRADAIHLLAQYNGNAEMVIQQIFA >itb07g16730.t1 pep chromosome:ASM357664v1:7:20621695:20622578:-1 gene:itb07g16730 transcript:itb07g16730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTNVSAEFQKPDLLPVTQQPNYHRKQEVSATHDGLRFWEFMVAGSIAGMVEHMAMFPVDTVKTQMQAVGFCPIKSVGIRHAVQSILKSEGFAGFYRGIGAMALGAGPAHAVYFSVYELCKETFSGGNPNNSAAHAASGVCATVASDAVFTPMDMVKQRLQLSSSPYKGVFDCVRRVLKEEGFKTFYASYKTTVLMNAPYTAVHFATYEGAKRGLMEISPENARDERLIVHATAGAAAGGFAALFTTPFDVVKTQLQCQVLFNPLLL >itb07g15450.t1 pep chromosome:ASM357664v1:7:18543606:18544043:1 gene:itb07g15450 transcript:itb07g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDIPGGAEGCSSNESGWTMYIASFSQGYDDQDGYEDDHRDSERVRGKNFGGVAGTEHGDSDDSMASDASSGPSYQEICRGMERSHGKEKLKYAGEKVPGKYSVKKHQKEEGVKASKNSKQVREDAHRGKSSYGQSRSFLRKK >itb11g00560.t2 pep chromosome:ASM357664v1:11:247622:250180:-1 gene:itb11g00560 transcript:itb11g00560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKPGLIALFDVDGTLTAPRKVVTTKMLEFMQELRMVVTVGVVGGSDLVKISEQLGNSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEQYDKVNKIREQMVSVLREKFAHLDLTFSIGGQISFDVFPRGWDKTYCLRYLDEFNEIHFFGDKTYKGGNDYEIFESERTVGHTVTSPDDTAEQCRDIFL >itb11g00560.t1 pep chromosome:ASM357664v1:11:247607:250508:-1 gene:itb11g00560 transcript:itb11g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPRSTTKCYKYLKLQEQNSPCSISDLQQHFLSLTAKLFPFCPHYSRTLRNRCCRLKVPDSTLTTLDPMAARKPGLIALFDVDGTLTAPRKVVTTKMLEFMQELRMVVTVGVVGGSDLVKISEQLGNSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEQYDKVNKIREQMVSVLREKFAHLDLTFSIGGQISFDVFPRGWDKTYCLRYLDEFNEIHFFGDKTYKGGNDYEIFESERTVGHTVTSPDDTAEQCRDIFL >itb10g04410.t1 pep chromosome:ASM357664v1:10:4199173:4203132:-1 gene:itb10g04410 transcript:itb10g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPSPGPDVDLDRLGQVVVCFSQTIYRSNGVWEGPDPLAPMIPLFILQIMMAILSSRICNFVLRPLNQPPIVAEILSGIILGPSMLGHIEGFRKHHFPNHNFETIETMGHFALVLYAFLTGLQMDVKSLVHTGTKSRIVAASGSIIPFLIGAAIFFILQAEAQSMIGFIFCGAALTVTGFSVLAKILDSKRLLNTEIGKIAASSALINDAFSWVFLTLGLLFAGSLGNVQWAVLSTVGFVVFFANYVRPLLNWLISKTPEGQGFSEFYICSFIAVISAVGCFTDAIGTHPMIGAFVFGLIMPNNEVLSTAILDRLEDFVMGIFMPVFFLVCGLRTNTFTLTNGNTSIFTVLALILLACSAKIIGALVASCYTGMSAKEAIGLGILSNTKSTMVMIILEVGQVQQVLSVQQYTVMVIAVLIMTIVATPLAAMYCPTQDLVPYKRRTIQNAKSEEELRILACIHGTNNLPAVISLLESSNSTVSSPITVFGAQLVELVGRAPAMMVVHSSGSGRHVGGSQEDIQTSQIISTFDNYELRSHGVSTQVLTARSPYDTMADDICKMAKEKRAAFIILPFHKQQGEDGEMEDMNSAIQTVNEGVLLSAPCSVGILVERGRPSKYAKNIAVLYLGGPDDREALTYAWRMSALPDVHLTIIRFVPGKDVSAEVEEDADADLELTRENSFVSVSIDPAKDKAEDEDLLNTFRTGTEGDESKKLIELVLDDEEEFTNAIKSLDDRSFDLYVVGRGRGVSSALTSGLADWCECPELGAVGDLLVTSEFESSFSVLVVQQYVRMNKNGPSPSSSSGGGSMASAAESMSQRMDTDMQMDMGFRMSFSEHEPDTSYDSPTSSIGRRQQEHHYMV >itb15g01600.t1 pep chromosome:ASM357664v1:15:955705:957597:1 gene:itb15g01600 transcript:itb15g01600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQLHSKTRFLSNFQITRTAAGWNAKLRELSKQGQFEEGLGVYRQMLRSGATPNAFTFPFVLKSSAALSLTVAGELLHCHVVKSGCEPEPFVQTALISMYCKCGVVENAYKVFDQSPQSRKLTVCYNALIAGYVKNSGFRKGCLLFREMRSMGVAFSAVTMLGLVPGCTAPMLLGVGMSLHSMNIKCGLDTDLAVLNCLLTMYVKCGSIEFARKLFDHIPEKGLITWNAMITGCAQNGLAALVLELYCKMELSGICPDAMTFVAVLSACANLGAQRTGFEVEQKIESCGLRFNTFLKNALINMYARCGKLARARAIFDEMPEKNLVSWTAIIGGYGMHGLGDTAAEIFDEMINSGVKPDGTVFVSVLSACSHAGLTDKGLTYLAMMERDFGLKPGSEHYSCIVDLLGRAGRLQEAFELIADMKVEPDGAVWGALLGACKIHKNVELAELAFNKVVELEPMNTGYYILLSNIYTEAKNSEGIQRVRVMMRDRKLKKDPGYSYVELKGKIHLFVAADRSHPQLKAIHDMLERLEESLNTLAGPEKMEEKIINQEHRSSARLHSERLAIAFALLNTNMGADILVIKNLRICADCHFFLRQVTKVLEDRLFVVRDATRFHHFRNGACSCNDYW >itb15g04480.t1 pep chromosome:ASM357664v1:15:2830610:2835970:-1 gene:itb15g04480 transcript:itb15g04480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDIDGHKSMIRKALAVTRKHLATEVDKRIQMWKDGTKTVEEDILDVLLMLKDDAGRPMLNDTEIKTQLLEIMIATIDNPSNNAEWALAEMLNQPKLLEKAVEEVDNVVGRDRLVEESDLPKLNYIKACVKEGFRLHPFAPFTPAHMSIADTVVGGYFIPKGSQVIASRIELGRNRKAWEEPLKFKPERHLKEDGGEVVLSDSDLNMISFSAGRRGCPGVKLGSLMCAMLMARLVQGFTWSVPPDLSCIDLTESKHDDFLANSLFALAKPRLSKNLYNGHSARLSGTLPFKLQTGYIGVGEYEDVQLFYYFVESEKNAEKDPLVLWLTGGPGCSGLSGLAYEIGPFTFDIPSFDGTLPSINLNPYSWTKIASIIFIDSPVGTGFSYAKSPKNYPSTDTTWTNHLYTFFQKWLSDHPQFQKNPLYIAGDSYAGKIVPMGYFVGNPVTSYNHDINWRIPYAHKLAIISDKYYKFAESSCNGEYVNPDPSNFKCLYALQPIQQVINLFRFTIIFSLSPNMNWVTILRCVQCIEGIFLGNVLEPTCRVTSPNPNAGKEDQSDETTSMTQIDIDIFLRSEEEPWCRSHNYIPSYFWANDPRVQEALGIEKGTIPDWKRCNKSLAFESDVPSAFEYHQLFSNSTPFQVLVYSGDHDMDVPYLGTLNWIHSLNLTVDCNWKPWFVNGQIAGYYERYMRAGNEFYLTFATVKGAGHTAPEYKPKECLALVDRWLSSFPL >itb15g10110.t1 pep chromosome:ASM357664v1:15:7355198:7356181:-1 gene:itb15g10110 transcript:itb15g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDGGGGGGFNHHHHQAPPPPGFVHQLFSTPELQLHHQSNFSQHQPSGGGGAAALPTTPTSDSSDQKEQKSDNDAAATSSGGGGGGGSTSSRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHVLEVSAGADIVDSVTSYARRRGRGVCVLSGSGTVTNVTLRQPSSPAGTVVTLHGRFEILSLSGTVLPPPAPPGAGGLSIFLSGGQGQVVGGSVVGPLMASGPVVLMAATFANAVFERLPLEEEAEGGAGNATAAAAGQVQSSTAASQTSGVTGGGEGGGGTSFGNTQQPQPPPPTNYPFSAEVFGWAGNTAAAAARPPQF >itb09g04980.t1 pep chromosome:ASM357664v1:9:2845347:2846802:-1 gene:itb09g04980 transcript:itb09g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKMRGVVAVAVAVVVLVVAVGGGGGGVTAQVLHVVGGDRGWETTNIIHDWSSGRVFRVGDSVCFAHSGQDSIVELGSLEEYESCDLSNPIRMLTDGLDKISLDGEGIRYFASGNIDNCRNGLKLPVNVQPQVYGPISAESPLGYWPNNVESPIVEGPSQETKQAQSPSMGQEYGPISSFSAAKSPLGYWPKDAESPIVYGPSMETDQAQSPSMGQEYGPMSSLTSAESPLISGSEGPTASLPFTFQQFWKTKHTQNSLMDGTYGPTSSSAMNSHNGLPIIGQKKWAKRLSENTVDGPKSLSAPIYLSGLFGLWAVGLLLHVINYY >itb11g00060.t1 pep chromosome:ASM357664v1:11:25624:33576:1 gene:itb11g00060 transcript:itb11g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTSDLVGQVDPAQSFNTEALLRYSSAHVDGFPPSPSQFSVSQFGHGQSNPTFLVEVHSGTKVKKYVLRKKPPGELLQSAHAVEREYEVLHALGSRTLVPVPKVFCLCTDSSVIGTPFYIMEYLEGRIFIDPKLPDLPPKQRKAIYHATAQALASIHSVDVDAIGLGNYGKRNNYCKRQVERWAKQYLLSTGEGKSERNQKMLELADWLQKHIPLEDSSGATAGLVHGDFRIDNIVFHPIEDKVIGILDWELSTLGNQMCDVAYSCLNYIVNIASMNLEENDGFELKSFPEGIPSLVEFLADYCSAAGRQWPATQWKFYIAFSLFRGASIYAGVHNRWIMGNASGGERARLAGERANSLIRTAWSYIGRQSVLPPDFPAEKIASDGMQQSGHESQNLVFPVGAKFVPNKKIQDLRDRLIKFMEHHIYPRENEFYKLAQSTMRWTVHPDEEKLKELAKREGLWNLFIPFDSAARARKLLFGESSDTTSNSKYDCLLGAGLSNLEYGYLCEIMGRSIWAPQVFNCGAPDTGNMEVLLRYGNAEQMREWLIPLLEGKIRSGFAMTEPQVASSDATNIECSIKRLGDSYIINGKKWWTSGAMDPRCKLLIVMGKTDPTAPMHKQQSMILVDINSPGIKIERPLTVFGFDDAPHGHAEILFENVCVSEKNIVLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRASERRAFGKFIAEHGSFRSDVARCRIEVEKTRLLILEAADQLDRLGNKKARGTIAMAKVAAPDMALKVVDMAMQVHGAAGLSGDTVLAHLWATARTLRIADGPDEVHLGTIAKLELRRAKL >itb03g03410.t1 pep chromosome:ASM357664v1:3:1983275:1989258:1 gene:itb03g03410 transcript:itb03g03410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPMAKYKLVIVGDQSVGKTSIITRFMFDKFDTTYEATIGIDFLSKTMYLGNRPVRLQLWDTAGQERFRSCIPSYIRDFSIAVIVYDVTNRQSFLNTSKWIEEVQAERGNDVIIVLVGNKIDLVDKRQVSIKEGGAKAREFSFMFIETSAKDRFNIESCSSTLPCQNSDNSVNPLSSFSIIPSFSQAWWTSFFLLTKKPACDQEISISVAFLLKLVSVSASHCSQLKALDRANFLPKGGRQSLSQRKLKRRGKSTTLRVARLPSPIESSDASASKKIELALEFRFEEAIIKGKFLVFDWVENVLFP >itb03g00070.t1 pep chromosome:ASM357664v1:3:37918:38193:1 gene:itb03g00070 transcript:itb03g00070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISLLRGGAYSHRRSLEIKGCDFVFVREMSWLLLWPELILLLRFSPPTHIVVAPISINKKETPNLCRRRHRLFLMTRWRNGFPAKQAAE >itb03g28600.t1 pep chromosome:ASM357664v1:3:29300898:29302272:1 gene:itb03g28600 transcript:itb03g28600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITTVVVTTGRRLAGVLADRENLLSPATARAWRCRFLHRPKRKAIASHRLLPPSNATVSIAYRRIKARLHKIAGTDPKRGIEEVCMV >itb08g01480.t1 pep chromosome:ASM357664v1:8:1091061:1098445:-1 gene:itb08g01480 transcript:itb08g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRQHRGKKNEPGTQYKRVPSRPGGSAQLQYKRVSSGKGGGGTGLPPPSLSSSSAASPSLVYRIVKKSGGEGSQSSLPGGSAESVSGYVQSDAPVSETSGAPTFDATIKPINTYDQEDVEIASKAQSSQSASGISDTETATTPVKDNTSKEVSVQFGSFGPGVGNGTQVPARTNSAPPDLNEQKRNKAWHGSSKGVEYFPTTASRQPQPWEVNVQTKDPEPKPRPRKDLHTQISAAPFLCTMQNSSFIPHPEVSVTMPYQQPHVPMQGGFPRPQLQQQQHPGMPFSSYQMPMQLPGGDNRQVWQPPFIPGLQPRPLPPQGMMHQGPALNFPPRLDYKPRAQFGGVGGVGASPQYVGKSTAPRVKITHPETHEELILVDAKLDGGTARMPSYHNRDSQPQTFYYGPSHARTSFSHMQPNPFRQSPTSAMLKNSQMPQDLPTLEQKSSGMCSIAVSPNRELISPGLSADRSNGDFLAPMSANSSDVKTLMRTMQPNPKKAESQIHSKFSTKSSTFVPRSSVQSSMIPSHDAAFIEKNIGVRRERAFQGVDSHANQLNKSSKTAPDGSASEEPEHARRAILSANASIYVVSAANDLQVGHATANESPQCNVVINTNNKALKTEKQDDDSPSDIPAERIKASSKHSKANGVEQCSLDDNGKGEDIHGSRERNFLASGSLESRCQPLNVSEVLLSDSESKQPMSGQSLNPSSPTSPFKAKGKAFEEVNLDHSPVSNPNRAKSAFSRRRDKRKEIVKKADGDLCMACKSPKKKLEPPDSSVSLQVSSSGSFEEDATTNQDYRSKTETDYWKNELVFHHNKAKHPEDGKKRYSPDFLITLSSNFTNLPTDFKLASDVENLLWSNAITPKVVKHSPKGTSPSNAQTVSRTGGSSRLEYRAISVTDNVRRTKSQATFASGLEPCNGANRSANNNGIRPGQRGNYDVGRNLRVHAPMQRNSRNGNKWQRAPRSVKGPSPQSPLHRAQKKYEVRKANDVEEGKQRQLKAILNKLTPQNFERLFQQVKDVSIDNAATLAGVISQIFDKALMEPTFCEMYVDLCYHLSSELPDFVEDDQRITFKRLLLNKCQEEFERGEREQAEAEKMEGDGEFKQSDQEREEKRIQARRRMLGNIRLIGELYKNKMLTERIMHECIQKLLGDYQNPDEEDVEALCKLMCTIGEMIDHAKARDQMDTYFEILSNLANNTDLSSRLRFMLMDVIDLRKNNWQQRRKVEGPKKLEELHRDAHQERQGHQTNRFTRAPNNIPSPRRGHPPDIDNHATTMLSTSQINAVHGMPSHIHGFGGQDVRLEDKHLHEGQASSFPLPHTSINDNTIYLDPWGISASGPLMLSVSPPTDINSGFGETIIIQGGPGGYSPVSELLPYNIRQEPISRYSMESFVRPSVYDHSIQQGNVLFASRDAANLNCSFGRSSELSSACLQTHPFAPNPITEKVLPEERLAELSISAIREFYSAVDENEVVLCIRELNSPSFIPTLISLWVSDSFERKDRDRILLSRLLVNLTKSRGIMDSGQLISGFESVLATLEDAITDAPKAAAFLGSMFGTMIIENVVSLEKIGNLLRESIKKPGHLQIGLAHEVLENTFAMIRSVKGESFLREICLSSKIELDDFAPRNLPSQLVSQSG >itb08g01480.t2 pep chromosome:ASM357664v1:8:1091061:1093019:-1 gene:itb08g01480 transcript:itb08g01480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSQINAVHGMPSHIHGFGGQDVRLEDKHLHEGQASSFPLPHTSINDNTIYLDPWGISASGPLMLSVSPPTDINSGFGETIIIQGGPGGYSPVSELLPYNIRQEPISRYSMESFVRPSVYDHSIQQGNVLFASRDAANLNCSFGRSSELSSACLQTHPFAPNPITEKVLPEERLAELSISAIREFYSAVDENEVVLCIRELNSPSFIPTLISLWVSDSFERKDRDRILLSRLLVNLTKSRGIMDSGQLISGFESVLATLEDAITDAPKAAAFLGSMFGTMIIENVVSLEKIGNLLRESIKKPGHLQIGLAHEVLENTFAMIRSVKGESFLREICLSSKIELDDFAPRNLPSQLVSQSG >itb08g01480.t3 pep chromosome:ASM357664v1:8:1091061:1091684:-1 gene:itb08g01480 transcript:itb08g01480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQLISGFESVLATLEDAITDAPKAAAFLGSMFGTMIIENVVSLEKIGNLLRESIKKPGHLQIGLAHEVLENTFAMIRSVKGESFLREICLSSKIELDDFAPRNLPSQLVSQSG >itb02g05930.t1 pep chromosome:ASM357664v1:2:3687617:3690778:1 gene:itb02g05930 transcript:itb02g05930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVVLSSSSSSALPGTHKRCVESQRMALAQLRRDFIDHPSPSAPFYAPFYDQSTTKVMKWDMGEDCCSWNGVTCDHAGYVTGLDLSSSMLSGHISSIFKLQYLQSLNLARNNFQLSPIPSGFEKLRDLMHLNLSYSCFSDQVPAGISKLTRLVSLDLSTMSFCELPPLFNDPDSDRIFEFEELHRLRLEKPNLESFFRNLRGLKVVYLDYVDLSAQGSNWSQALSSALPNLEVLSLSHCRLNGPIHPSFGGLKSLSYLWIDNNNLSSEVPPLLENFVNLASLNLASCQLYGDFPENIFLLPNLQRIDISTNGLLNGQFPEFPKNSSLQYLALYETNFHGELPKSIGNLQFLESLLIYTCNFSGLLPPSLSNLTRIFELDISYNKFTGFLPPFHSGSVPNLSDLRMSFNLLTGGIHSSVFTLPDLKTLYLNDNKFSGELDVDEVSDASSSVLETLYLNGNQLNGVVPATIFELPNLIRLSLASNNFSGSVKMEMLQKLKNLTNLDLSSNSLTVETDDRIFEMPQLEELHLRKCNLSEFPIFLKSQVQLRILNLSDNHIQGYVPDWLGNNILDELDLSHNPVDFLELGNGSYATLGKLVMRSCNMFKLPEFLKGLGSLWFLDLSDNKIDGQTPSWIWKNSLQYVNVSHNLLSVIAEFHSNVSLNNLETLDLRHNLLQGSLPEGLCKLSKLSILDASHNNLSGLIPDCLVRISTLFVLNLQSNKYHQMPSNFASAARLRSLNLNGNRLKGKLSRSLANCRMLEVLDLGNNLISDTFPFWLEKLPALKVLVLRNNSLYGSVEKHPGAKFVLPRLSFNRLTGELSWEFLQSLSAMVMVGGNESVPKGESSETEAMFDWKFAGAGFGFGVVAGLTIAFTFLADMIVQWLVRDKKKSRKNK >itb11g03560.t1 pep chromosome:ASM357664v1:11:1903747:1906834:-1 gene:itb11g03560 transcript:itb11g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKERDHFVYVAKIAEQAERYDEMVDAMKNVAKMDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEESRGNEQNVKRIKEYRQKVETELTNICSDIMTVIDEHLIPSSNPGESTVFYYKMKGDYYRYLAEFKAGNDKKEVADLSLKAYETATTTAEAELPPTHPIRLGLALNFSVFYYEIINSPERACHLAKQAFDEAIAELDSLNEDSYKDSTLIMQLLRDNLTLWTADIPEDAEDGQKGDATNKPGGPDDAE >itb12g23920.t1 pep chromosome:ASM357664v1:12:25560756:25564007:1 gene:itb12g23920 transcript:itb12g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAAQTKPRPHAGGREDCWSEGATETLIEAWGDRYLKLNRGNLRQKDWKEVADAVNSRGNGVKPQRTDVQCKNRVDTLKKKYKLEKSKSSPSIWPFYDRLDFLVGTNAATPPSLNRKSTTITLTVKPGSSPNSTKPPALVYSGGSSKPNSSGSNNSSLGGAGDDDLLFTGPGKKRKLDYVDLSEETTAYKELARAILRFGEIYERIESSKQQQIMELEKQRMEFSKDLEVQRMNMFMEAQLQLEKSKRTAKHSPAAGNEAVGEAT >itb02g06950.t1 pep chromosome:ASM357664v1:2:4336920:4341054:-1 gene:itb02g06950 transcript:itb02g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISGDQKDVCQRGVVLPLLDNAVLNRILDIHGPENIEFNMSPIIKVIQDIVYKSDPFPDTDREKPTFRYEDVMKTMHESLAFKISVISCEILGSCYRTEDILETTLSMFTALSSYSWMAKGVVALAAFAVNYGQFRLVVEPRSGGGDDTATVVAFLEQVPEEMCKTDLYRDITDLADALLLLIRTIWKLSCFYTKFIKPGGEAIKRLNHQLPTIISWIIQSIVVCQSLITNLAHTGYEYMSSSAEEWELSCLKQKVTSYFNEFEISAAEELANLFPKDNITVLKQVICSEEIMNMALSVGASTEQGPQYIKSEVEEGSAAAVPILVPPMSTKQPGLAKVDTLEKKRVLLYLSALDLDDAEIKAVERINEIVQKSNMVLVWLPVLDKPEDWKKVEGKDNTGLFEKKRGLMPWHVVRKPQYLDPTIIAFIKSSWKLEKKSKIMVFNSEGKLETDNALPMILVWGSLASPFTTSKEEELWRSEKQWNLELLLTAPMRADLKLNIDGKKYICLFGGEEVNWIEQFTELTESTVKDSGISMEMRYIGGSIPRNTEEIHKAKVADSWNNIFIDSSLSWLFWARINVMFDYCLKRGINWDKESGNPVLEGLVTMRSFDKSRRGWAMICKGSDKITRAFSDVMLKCFEEYDDKWKREVKGGKDFHEALKARVEQNCETLYFPQASEGIPEKKICLVCRRVMRKSFTFSCWGEDDAGDGKQETPAKTKDAGSAMADSAGSSRANQS >itb12g04570.t1 pep chromosome:ASM357664v1:12:3012748:3015178:-1 gene:itb12g04570 transcript:itb12g04570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSEKVTCNSHGQDSSYFLGWQEYEKNPYDEVKNPNGMIQMGLAENQLSFDQLETWLAQNPDAAGMRKDGESIFRQLALFQDYHGLPSFKNALVQFMSEIRGNKVTFDSNKLVLTAGATSANETLMFCLANPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIQCWSSNGFRITESAIEEAYQEAQKRNLKVKGVLVTNPSNPLGTTLTRQELNLLLTFIDKKDIHLISDEIYSGTVFDSPGFVSATEVLTERGYTNTDVWNRVHIVYSLSKDLGLPGFRVGAIYSNEETVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFTKKYVAKNQKRLKKRHDMLVNGLRDAGIGCLESNAGLFCWVDMRHLLSSNTFEAEIDLWKKIVYQVGLNISPGSSCHCTEPGWFRACFANMSEDTLNLAMQRIKAFVVRSSSPGDEGIFVHSPKHCSSKNSKRKGFSKWVFRLSFNDRQRER >itb15g22530.t1 pep chromosome:ASM357664v1:15:25217121:25220560:-1 gene:itb15g22530 transcript:itb15g22530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAMVLDQMNPVLYKAVVKGNVEDYHEALEQMPEEEARGRQVTPKGNTVLHVAAINGHKHLVEEILQDDDAAMSLLFAKNNRNQSALHCVAEKGYAGVVSVIFSAIKKHKDLESAFGRVREMIEMKDDVKDTALHKAVRMGHLEVAKLLIQEDPEFEYPANDDGETPIYIAAELRFHDCLVEMLNTCKKPTYGGPLGRNALHAAILSGLGNISKVKSTQEFSSECTQSLLEKKMCLCEETDKSGWTPLHYALKIENDKATCMILERKTSAAYICAGGSDEWTTTFHIAARQGNVEMMEEISNRCPDCWEMVNSKGQNVLHEAILSKKVNVIQHIEESSDQFENLVTHKDEDGNTPLHLLAITDRNIFFHQFITERPMLNYFAFNKKHQTLFDTAYLDIWDESQITGLLEVSTL >itb11g14020.t1 pep chromosome:ASM357664v1:11:11073956:11075483:-1 gene:itb11g14020 transcript:itb11g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLSSTAIASRATAAQANMVAPFTGLKSTASFPVTRKSADFTSIATNGSRVQCMQVWPPYGKKKFETLSYLPTMSTEQLLKQVEYLLNNGWVPCLEFETEKGFVYRECHSSPGYYDGRYWTMWKLPMFGCTDATQVVAELEECKKAYPGSWVRILGFDNVRQVQCIMFIAYKPHD >itb01g23840.t1 pep chromosome:ASM357664v1:1:29717507:29719431:1 gene:itb01g23840 transcript:itb01g23840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFSRGFRKRGTIALTTYLRIFHIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRIEHVQPSRCTEEFKERVKKNDQLKADAKAKGVVISTKRQPKGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >itb04g05720.t1 pep chromosome:ASM357664v1:4:3713079:3715501:1 gene:itb04g05720 transcript:itb04g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDEQRVLTKALSGFGIDEKPLVSVLGKWNAEQRKAYRKSVVPEMFIDDERQFEKWNGLHLLHLRQEFLRFMDAVVLYAMHPWERDARLLNEALVNGNGPLHDVIIETACTRSSNELLGAKRAYHSLFEHSIEDDIASYIPNPERKLFFALVSPYRYEGPIVDQDIAQSDALMFERAIRCSRNNILQDEDVLRVLTTSSKMHFKAIYEHYRLIRGKDLDKDLEAEFALKCAVQCLCTPHAYFSEVLGSSLRAEVNDTAVSRVIVTRAEADMKQIRQEYLRKYRFNLPNRIEELANGSYKDFLLTLVAKSDY >itb13g03230.t1 pep chromosome:ASM357664v1:13:3172812:3173388:-1 gene:itb13g03230 transcript:itb13g03230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLKRCIVALLSVVYVTLTTHIVDAANNFCATALNKPVCENMVKGSANWDEAITKAITAAMTEVAKASKSGHPECKQAYKDTDADLKESMEAVSSGSKRSLNIKLSAALTSLEDCTNALKELKQDVAAATTLNDGVDQAIRACLAVDKSKTP >itb12g20900.t2 pep chromosome:ASM357664v1:12:23320730:23324533:1 gene:itb12g20900 transcript:itb12g20900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIMSMPRFKCSVLTLEPAEVGLLRPLVSSLMALGFRFQRPYPYSFSTVATMSDLHNVNITGGSSTSNSLIEKFAVYCYQRDLPRAMNALYAMEKHKISADAITYSELIKCCVTRRAVEHGKRVHQHIFSNGYQPKTFLLNILLNMYVKFNMLDEAHTLFNQMPERNVVSWTTIVGAYSSSKLNYKAFKLLTLMLRDGVQPNMYTYSSVLRSCDALSNLTPLHCSIIKVGLESDVFVRSALIDVYSKIGQLKSALDVFNEMKTHDRVVWNSIIGGFAQNSDGYEALTLYIKMKRAGFPADQSTLTSGLRACTSLSLLEVGRQLHVQILKFDQDLILHNALLDMYCKCGSVDDANRTFTRMVEKDVISWSTMIMGLAQNGFSKKALELFEEMKSSGMKPNYITVLGVLFACSHAGLVEDGQYYFRSMKTLFGIDPRREHYGCMVDLLGRAGKLDEAVKLIHEMECEPDAVTWRTLLGACRLHRNMDLAEYAVKQILKLDPSDAGTYILLSNIYARTQKWEEASNVRRAMSNKGVKKEPGCSWIEVNKQIHTFILGDKSHPQINEIGKELKQIITRLKEVGYVPDTNFVLQDLEGEQMEDSLLYHSEKLAIAFGIMSLPREKTIRIRKNLRICGDCHLFAKLLTKVEHRTIVIRDPIRYHHFQEGICSCSDYW >itb12g20900.t1 pep chromosome:ASM357664v1:12:23320766:23325056:1 gene:itb12g20900 transcript:itb12g20900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIMSMPRFKCSVLTLEPAEVGLLRPLVSSLMALGFRFQRPYPYSFSTVATMSDLHNVNITGGSSTSNSLIEKFAVYCYQRDLPRAMNALYAMEKHKISADAITYSELIKCCVTRRAVEHGKRVHQHIFSNGYQPKTFLLNILLNMYVKFNMLDEAHTLFNQMPERNVVSWTTIVGAYSSSKLNYKAFKLLTLMLRDGVQPNMYTYSSVLRSCDALSNLTPLHCSIIKVGLESDVFVRSALIDVYSKIGQLKSALDVFNEMKTHDRVVWNSIIGGFAQNSDGYEALTLYIKMKRAGFPADQSTLTSGLRACTSLSLLEVGRQLHVQILKFDQDLILHNALLDMYCKCGSVDDANRTFTRMVEKDVISWSTMIMGLAQNGFSKKALELFEEMKSSGMKPNYITVLGVLFACSHAGLVEDGQYYFRSMKTLFGIDPRREHYGCMVDLLGRAGKLDEAVKLIHEMECEPDAVTWRTLLGACRLHRNMDLAEYAVKQILKLDPSDAGTYILLSNIYARTQKWEEASNVRRAMSNKGVKKEPGCSWIEVNKQIHTFILGDKSHPQINEIGKELKQIITRLKEVGYVPDTNFVLQDLEGEQMEDSLLYHSEKLAIAFGIMSLPREKTIRIRKNLRICGDCHLFAKLLTKVEHRTIVIRDPIRYHHFQEGICSCSDYW >itb01g35940.t1 pep chromosome:ASM357664v1:1:37870456:37871112:-1 gene:itb01g35940 transcript:itb01g35940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQVTINPAYSPKPYRYQMFNVYCYNYHFRTTVTATPGVVRDWIFRMRRRHAYQLRSRKLIVGLGVQWTPITNPAATLQLAVGRECLIFQLTHAVRAPSALHRLLEDPDITRVGVNNQMDVAKVESSELRLTVGEVVDLVHVARACCTDLHLHQGKVSMEALAENILEMAGIKKPQVVAMSDWEAESLSEEQVQYACLDASISFLMGKALEAWNWRR >itb06g23770.t2 pep chromosome:ASM357664v1:6:25565642:25570818:-1 gene:itb06g23770 transcript:itb06g23770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDDDVPGDSADSVQGLCTCTSSFEGEERDGTCPHCNRRRSSLPSSASTCSLLSLESYPVEDYDKLWRVYTASVKGFTIGAGLKGGLALFSVLARLRRRRSLPFAKKELMTSSSDDVILAVKETLRYGLFLGTFAGTFVSVDEIISALGGHRRTATWRALLAGAIAGPSLLLTGFDNQHTSLAIYILMRAAVLASRCGIKSERFGHICKPLTWRHGDIFLMCLSSSQILSAYILKQDSLASSYKSFLNKHGGKDLAILKGVKELACGRPVKNLAEIEKYYKSTGVDIKLDPQMKVPCSMIHGNQACGAHFVTFLIQAYKRALPVYLPVYLIPALIVHRQGLLKRHQTILWKGLFGTARSSLFLSVYCASAWFWTCILFRLLKRCNVPMVAVGTVLNKKKKSYLHGPLIKNNKYIC >itb06g23770.t1 pep chromosome:ASM357664v1:6:25565642:25570818:-1 gene:itb06g23770 transcript:itb06g23770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDDDVPGDSADSVQGLCTCTSSFEGEERDGTCPHCNRRRSSLPSSASTCSLLSLESYPVEDYDKLWRVYTASVKGFTIGAGLKGGLALFSVLARLRRRRSLPFAKKELMTSSSDDVILAVKETLRYGLFLGTFAGTFVSVDEIISALGGHRRTATWRALLAGAIAGPSLLLTGFDNQHTSLAIYILMRAAVLASRCGIKSERFGHICKPLTWRHGDIFLMCLSSSQILSAYILKQDSLASSYKSFLNKHGGKDLAILKGVKELACGRPVKNLAEIEKYYKSTGVDIKLDPQMKVPCSMIHGNQACGAHFVTFLIQAYKRALPVYLPVYLIPALIVHRQGLLKRHQTILWKGLFGTARSSLFLSVYCASAWFWTCILFRLLKRCNVPMVAVGTFPTGLALGIEKKSRRIEISLYCLARAIESFFTTMADVGYLPQTKNLKRADVVVFSISTAIIMHCYAIERDVFRSKYLNVLDWVFGVPLPPYETTPRKKRR >itb05g15450.t1 pep chromosome:ASM357664v1:5:22811014:22816167:1 gene:itb05g15450 transcript:itb05g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSLPWLSFLPLLPILQFFILIPQLHPANLDPAIFDPQDETYYKSCGNMFSCGDISDVGYPFRSENGPAYCGYPGFELSCNEGNTTIDIMNQTYRVLEINQSSKTMKIAREDMMEGKCPQNFVNTTLDNSFFEYTTSYINLTFLYGCHGGINNIPGIATIPCGDINDAYVLPPGGTRVSVNCSRSMIVPVPVEVGGSINSTLLMKELKEGQEIRWKMDSKACDDCTKSKGRCGFSMFTNQTTCYCHSPPYISDTCSVSIPTASPAIPANNNNNNNNNKTPLMAIGLGIAGAVLAGVGIGWLIFRHKRKRVAANGQVLLVQNIEALVRTNGFQSTKLYTYSDIKKMTNSFSDKIGQGGFGSVYRGKLPDGCPVAVKLLTNTKGNGEDFINEVASISRTSHVNIVTLVGFCYKKKRALVYEFMPNGSLDNYIGSMESPNKNCRLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDKDFTPKISDFDLAKLCKKNESIVSLSMYGARGTIGYIAPEVVFRSIGSVSYKSDVYSYGMTVIDMVGVRENVGADKTSDLYFPNWIYEHLEQGLDFSLEGITDEEDKEMAIKMILVSLWCIQTNPADRPSIRKVVEMLEGSTATLQIPPKPYFSHQIDDSPQQSPTSSVTTEI >itb03g05110.t1 pep chromosome:ASM357664v1:3:3439071:3443392:1 gene:itb03g05110 transcript:itb03g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALLWVVSPSSELSNGTGIFYSVREGIRIVDSSRFLGRNRSLVCKGRAKKGKKQRCTLASFNADSRYLCSGGSSLKNGGKSYVLSNAVVSPAGEMAMSSEQKVYDVVLKQAALVNRKLRSIDNLEVKPDIVLPGDLGVLSEAYDRCGEVCAEYAKTFYLGTMLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDVFRGRPFDMLDAALSDTVSRFPVDIQPFRDMIEGMRMDLWKSRYDNFDELYLYCYYVAGTVGLMSVPVMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDDDIYAAKVTDKWRNFMKKQIKRARKFFDEAERGVTELSSASRWPVWASLLLYRKILDEIEANDYNNFTRRAYVSKPKKLLALPIAYAKAVIRPSTTASPLAKA >itb01g11870.t1 pep chromosome:ASM357664v1:1:11239625:11240786:1 gene:itb01g11870 transcript:itb01g11870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAGRAAPWVDLPRELTANILQRLRVVDIFQSAQVCTAWWRICQDPSMWRYVDLAKQLRHLRISSSKVSDEGFSKTVKEFPVLEELQLEFSAVSKQGIEAAGQSCPFLNSFSFTKKLSKFLYVPSDEEAVAIAENMHGLKRLTLNLNQLTDKGVEAILDACPGLQSLNVDRCGFVRLAGELGKRFSQQINNKDKNHIHNLDAYMINLLLSFL >itb12g22920.t1 pep chromosome:ASM357664v1:12:24826317:24829571:1 gene:itb12g22920 transcript:itb12g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVRIKAESPLHAGARELSKKLSFASAPMTPRHESDILESSNLKNFTFNELKIATRNFRPDSVLGEGGFGCVFKGWIDENTFKAARPGTGLIIAAKRLNQEGLQGHKEWLTEITYLGQLSHPNLVKLIGYCLEDDHRILVYEFMPRGSLENHLFRRSSYFQPLSWNLRMKVALGAAKGLAYLHGPEAKVIYRDFKSSNVLIDSNYNAKLSDFGLAKDGPIDGESHVSTRVMGTYGYAAPEYMATGHLTASSDVYSFGVVLLEMLTGRRVVDKNRPNGEQNLIDWAKPYLASNRKILRVMDPRIQGQYSLSGALRVALLAVKCISPEPKSRPNMNEVVAELEKLQDSNDSTASLKQEAIKNHQRCRAIEEASSRNNEDSNGSTENLKQEAIENPQRSCAIEEASRGNNAACATPSASAIVA >itb12g22920.t2 pep chromosome:ASM357664v1:12:24826569:24829544:1 gene:itb12g22920 transcript:itb12g22920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIWLSVLIFFPCVEQTEITYLGQLSHPNLVKLIGYCLEDDHRILVYEFMPRGSLENHLFRRSSYFQPLSWNLRMKVALGAAKGLAYLHGPEAKVIYRDFKSSNVLIDSNYNAKLSDFGLAKDGPIDGESHVSTRVMGTYGYAAPEYMATGHLTASSDVYSFGVVLLEMLTGRRVVDKNRPNGEQNLIDWAKPYLASNRKILRVMDPRIQGQYSLSGALRVALLAVKCISPEPKSRPNMNEVVAELEKLQDSNDSTASLKQEAIKNHQRCRAIEEASSRNNEDSNGSTENLKQEAIENPQRSCAIEEASRGNNAACATPSASAIVA >itb03g17690.t1 pep chromosome:ASM357664v1:3:16198953:16200123:-1 gene:itb03g17690 transcript:itb03g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLRVETSSSWSKQTAMAATTQMIFFLMLFLCSCSSASSLTRTSPSGTIWEKSKLSGGGRGGMSNGGGQSGQPDGGSTNQNPHGSSAVIPIYAAANSHHNTHRNAAADNSPTAIIPPLFSATLLAFLL >itb07g21050.t1 pep chromosome:ASM357664v1:7:25417151:25420726:-1 gene:itb07g21050 transcript:itb07g21050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHHLHHQLKPFSSSSTSPKKKLLHMGANFSFPEWQSMSSLVALFLVILIGFGIGNVVADEDEEFCRTNITSILPPPYGTMKNMICQNVWNTFTIRYSQTKDNVITIVLSAIYTTGWVGMGFSSDGMMLNSSCMVGWIPPGGRGQIRQYHVEGLMPSEIKHNKGELPLTKTPPLAVLHNGTIYLAFQLKYPSPLGLQPVILAYSTDYPHQDLRLTHHDDKTSIIFDFSSSSKSAAMESIKTVKMIHGVVGILGWGFFLPCGAMVARYLKHHNPLWFYLHICCQVTGFLLGFVTVIVGLQLYNQLDSDIPTHKGIGILLLVLSILQMFALFLRPHRDSQYRKYWNWYHSWVGRTALFYGALNIVLGMYYAESGSAWKTTYGILVGFIMLTCIVLEVFVEQKKLNDLPPPPPTS >itb07g21050.t3 pep chromosome:ASM357664v1:7:25417151:25420706:-1 gene:itb07g21050 transcript:itb07g21050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGANFSFPEWQSMSSLVALFLVILIGFGIGNVVADEDEEFCRTNITSILPPPYGTMKNMICQNVWNTFTIRYSQTKDNVITIVLSAIYTTGWVGMGFSSDGMMLNSSCMVGWIPPGGRGQIRQYHVEGLMPSEIKHNKGELPLTKTPPLAVLHNGTIYLAFQLKYPSPLGLQPVILAYSTDYPHQDLRLTHHDDKTSIIFDFSSSSKSAAMESIKTVKMIHGVVGILGWGFFLPCGAMVARYLKHHNPLWFYLHICCQVTGFLLGFVTVIVGLQLYNQLDSDIPTHKGIGILLLVLSILQMFALFLRPHRDSQYRKYWNWYHSWVGRTALFYGALNIVLGMYYAESGSAWKTTYGILVGFIMLTCIVLEVFVEQKKLNDLPPPPPTS >itb07g21050.t2 pep chromosome:ASM357664v1:7:25417151:25420706:-1 gene:itb07g21050 transcript:itb07g21050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHHLHHQLKPFSSSSTSPKKKLFPEWQSMSSLVALFLVILIGFGIGNVVADEDEEFCRTNITSILPPPYGTMKNMICQNVWNTFTIRYSQTKDNVITIVLSAIYTTGWVGMGFSSDGMMLNSSCMVGWIPPGGRGQIRQYHVEGLMPSEIKHNKGELPLTKTPPLAVLHNGTIYLAFQLKYPSPLGLQPVILAYSTDYPHQDLRLTHHDDKTSIIFDFSSSSKSAAMESIKTVKMIHGVVGILGWGFFLPCGAMVARYLKHHNPLWFYLHICCQVTGFLLGFVTVIVGLQLYNQLDSDIPTHKGIGILLLVLSILQMFALFLRPHRDSQYRKYWNWYHSWVGRTALFYGALNIVLGMYYAESGSAWKTTYGILVGFIMLTCIVLEVFVEQKKLNDLPPPPPTS >itb04g05280.t1 pep chromosome:ASM357664v1:4:3278705:3281768:-1 gene:itb04g05280 transcript:itb04g05280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVSLLPWLLFALQIFPLSAQQRSTYIVHMDKSFMPAAFSGHHHWYSSAVDSLGGGPKLVYSYDNVIHGFSAVLSEEELQALKKSPGFVSAYKDRTFELQTTYTPSFLKLNSETGLWPASKFGEDVIVGVVDSGVWPESRSFRDDGMPAIPKRWKGVCKEGTEFNSSLCNRKLIGANYFNAGVLAANPGINISMNSARDTDGHGTHTASTATGNYVQDVSYFGYAAGTAKGVAPRARLAVYKVSWDEGAYTSDLLAGLDQAVADGVDVISISIGYRFIPLYEDTIAIAAFGAMMKGILVSGSAGNSGPNVGTLNNAAPWMLTVGSGLTDRWFAGTVTLGNGVQIRGWSLFPGRALVRDVNLLYNKSISACSSSEMVAQVPEPQFTILICEKPESEDGFSDQMRYVTDAGIRAAIFIDDYPGIFRSTTFPTPGAVITPKEGKQVIKYAKSADNPSATITFQETFFGHKPAPTVAASSSRGPSRSYLGISKPDILAPGVLILAAYPPNSFATSIGANIQLGTDYNLESGTSMACPHAAGIAAMLKGAHPNWSPSAIRSAMMTTAITVDNTGKPIKDSDNNAAATPLDMGAGLVDPNAALDPGLVYDATPQDYVNLLCAMNFTQAQFQSIARSSAAHNCSNSNPDLNYPSFIALYPLGGDNGVYNWIVQTFKRTLTNVGPGAATYKAKLEVPKNATISVSPTTLVFTKKMEKQSYSLRIRYRGDENQSKNVGSITWVEVNGNHKVRSPIVVSNTVDVWE >itb09g26260.t1 pep chromosome:ASM357664v1:9:26674676:26689754:-1 gene:itb09g26260 transcript:itb09g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDIEKAVIAYLKKKGFKQTELAFQEEHQKQSKNINNSSSLSNTNSSASSHTDPDIAKQILSFSQSENVPAKYHEGYSKLRSWADSSLDLYKHELLRVLYPVFLHCFMDLVAKGHIQEARAFFNSFREDHEMMHLRDLQKLEAVLSPSHLEEMEFAHFLRQSKVNIKMCQYSYDLLLQYLHKAQAIVMLGIINEHINFQVSPGQPSSVSDDAEVVTLIGSGQDAASLINQKEIQWGLLEDSIEERFEKAGGLLSDSEKVEGESKEGEAEENKTKSVEGGKQGPSLKKLKKDKVGGPTGKVSHIEGGTTSAAPHVKPELPLPAIPMEVEHSILEDLRNRVQLSSMALPSVNMYTFLNTQNGLNCASISHDGSLVAAGFSDSSLKLWDMAKLGQQPGNASLQGDIDSPPSEQVLGANGQRRCYTLFHGHCGPVYSASFSPYGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGKIMVWDLASGRCITPLVGHASCVWTLAFSASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGKIMVWDLASGRCITPLVGHASCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKMPKSEENKSGSTNRLRSLKTLPTKSTPLYALRFSRRNLLFAAGAFSKSA >itb07g18180.t1 pep chromosome:ASM357664v1:7:22606881:22607228:-1 gene:itb07g18180 transcript:itb07g18180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSRDGVGASRGGGAEKKKRGPKQKNPCKGIRMRKWGKWVAEIRDTNTRLWLCSYYTPVAAARAYDVALFYLRGPGAKLNFPDCLVGDGHHRQLTPKEIQNRATTVGYRIDAI >itb15g08850.t1 pep chromosome:ASM357664v1:15:6286064:6288980:1 gene:itb15g08850 transcript:itb15g08850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDILLTALSMENHHPSTLLSMDSSASSSHDELDLEMNRQVVITRPPDINLPLSAEQSPPPQSWNSEHCDILDVGLGTQVYETESFLSVPKVGRKCAKRVDSIWGAWFFFSFYFRPVFSGKSKAKIVRDSNGVSGFDKSDLHLDVFMVQHDMENMYMWVFKERPDNALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVQSPNLKVLDEEDRKRWAELTGRELNFTIPPEASDYSSWRNLPNTDFELERPPQPIKTNHHSHPKKSLKCNGLNLSTQPSNHSNGDAMDLSPDSSKRRKDLFPEEECYLQVNPPDRVPDSEVHPNEPHWLNEFSGVMREVYGPVTAAKSIYEDKEGYLIVISLPFVDPQRVKVSWRNTLTHGIIKVSCFSICRMPFLKRQKRTFKLADSSSEHCPPGEFVREIPLSTRIPEDANIEAYFDGSGTVLEILVPKLQEGSEEHEVRVCLHPHLGGNDLILN >itb05g19650.t5 pep chromosome:ASM357664v1:5:26052747:26054954:-1 gene:itb05g19650 transcript:itb05g19650.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPVKVVLGSIAFAIFWVLAVFPAVPFMPVGRTAGSLLGAMLMVLFRVVTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLVSAISSALFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAMQSKISFGRFLLGIFPAMLVGVLINALLLLGMYWKLLSAQKDEEDAAAEVVTEDDVTSHNFSPATMSHLNSMNSQELISALESASPNVNGHANYSETLRNRGSLSESEIEKYNSSRVSNVSSDRSEHRPVEHVSWKKASFVNGTETEHSTFDEEKESITKTWKRKAWKICIYLVTIGMLISLLMGLNMSWTAITAALVLVVLDFKDARPCLEKISYSLLIFFCGMFITIDGFNKTGIPSAVWDVMEPYAKIDHLSGVAVLAAVILFLSNLASNVPTGVCVTLCTSITSL >itb05g19650.t2 pep chromosome:ASM357664v1:5:26051928:26055282:-1 gene:itb05g19650 transcript:itb05g19650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPVKVVLGSIAFAIFWVLAVFPAVPFMPVGRTAGSLLGAMLMVLFRVVTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLVSAISSALFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAMQSKISFGRFLLGIFPAMLVGVLINALLLLGMYWKLLSAQKDEEDAAAEVVTEDDVTSHNFSPATMSHLNSMNSQELISALESASPNVNGHANYSETLRNRGSLSESEIEKYNSSRVSNVSSDRSEHRPVEHVSWKKASFVNGTETEHSTFDEEKESITKTWKRKAWKICIYLVTIGMLISLLMGLNMSWTAITAALVLVVLDFKDARPCLEKISYSLLIFFCGMFITIDGFNKTGIPSAVWDVMEPYAKIDHLSGVAVLAAVILFLSNLASNVPTVLLLGGRVAASAAAISPESEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHLGYNLSFWSHLKFGVPSTLIVTAIGLALIRG >itb05g19650.t3 pep chromosome:ASM357664v1:5:26051928:26055311:-1 gene:itb05g19650 transcript:itb05g19650.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPVKVVLGSIAFAIFWVLAVFPAVPFMPVGRTAGSLLGAMLMVLFRVVTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLVSAISSALFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAMQSKISFGRFLLGIFPAMLVGVLINALLLLGMYWKLLSAQKDEEDAAAEVVTEDDVTSHNFSPATMSHLNSMNSQELISALESASPNVNGHANYSETLRNRGSLSESEIEKYNSSRVSNVSSDRSEHRPVEHVSWKKASFVNGTETEHSTFDEEKESITKTWKRKAWKICIYLVTIGMLISLLMGLNMSWTAITAALVLVVLDFKDARPCLEKISYSLLIFFCGMFITIDGFNKTGIPSAVWDVMEPYAKIDHLSGVAVLAAVILFLSNLASNVPTVLLLGGRVAASAAAISPESEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHLGYNLSFWSHLKFGVPSTLIVTAIGLALIRG >itb05g19650.t1 pep chromosome:ASM357664v1:5:26051914:26055311:-1 gene:itb05g19650 transcript:itb05g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPVKVVLGSIAFAIFWVLAVFPAVPFMPVGRTAGSLLGAMLMVLFRVVTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLVSAISSALFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAMQSKISFGRFLLGIFPAMLVGVLINALLLLGMYWKLLSAQKDEEDAAAEVVTEDDVTSHNFSPATMSHLNSMNSQELISALESASPNVNGHANYSETLRNRGSLSESEIEKYNSSRVSNVSSDRSEHRPVEHVSWKKASFVNGTETEHSTFDEEKESITKTWKRKAWKICIYLVTIGMLISLLMGLNMSWTAITAALVLVVLDFKDARPCLEKISYSLLIFFCGMFITIDGFNKTGIPSAVWDVMEPYAKIDHLSGVAVLAAVILFLSNLASNVPTVLLLGGRVAASAAAISPESEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHLGYNLSFWSHLKFGVPSTLIVTAIGLALIRG >itb05g19650.t6 pep chromosome:ASM357664v1:5:26053223:26054954:-1 gene:itb05g19650 transcript:itb05g19650.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPVKVVLGSIAFAIFWVLAVFPAVPFMPVGRTAGSLLGAMLMVLFRVVTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLVSAISSALFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAMQSKISFGRFLLGIFPAMLVGVLINALLLLGMYWKLLSAQKDEEDAAAEVVTEDDVTSHNFSPATMSHLNSMNSQELISALESASPNVNGHANYSETLRNRGSLSESEIEKYNSSRVSNVSSDRSEHRPVEHVSWKKASFVNGTETEHSTFDEEKESITKTWKRKAWKICIYLVTIGMLISLLMGLNMSWTAITAALVLVVLDFKDARPCLEKVNYKPNLCF >itb05g19650.t4 pep chromosome:ASM357664v1:5:26051914:26055045:-1 gene:itb05g19650 transcript:itb05g19650.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAPVKVVLGSIAFAIFWVLAVFPAVPFMPVGRTAGSLLGAMLMVLFRVVTPEQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLVSAISSALFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAMQSKISFGRFLLGIFPAMLVGVLINALLLLGMYWKLLSAQKDEEDAAAEVVTEDDVTSHNFSPATMSHLNSMNSQELISALESASPNVNGHANYSETLRNRGSLSESEIEKYNSSRVSNVSSDRSEHRPVEHVSWKKASFVNGTETEHSTFDEEKESITKTWKRKAWKICIYLVTIGMLISLLMGLNMSWTAITAALVLVVLDFKDARPCLEKISYSLLIFFCGMFITIDGFNKTGIPSAVWDVMEPYAKIDHLSGVAVLAAVILFLSNLASNVPTVLLLGGRVAASAAAISPESEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHLGYNLSFWSHLKFGVPSTLIVTAIGLALIRG >itb05g21310.t1 pep chromosome:ASM357664v1:5:27133921:27134695:-1 gene:itb05g21310 transcript:itb05g21310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLIVAIAILALASSFAQASDPSPLQDFCVAVNDSKAAVFVNGKICKNPMEVNADDFLFQGLNKPGNISNPLGSAVSPVNVNNLPGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTVLEGTLYVGFVLSNRAPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNIGHSKAIAFAGLSSQNPGVITIANAVFGSDPPISPNVLTKAFQVEKNVINYLQSQFWYNNN >itb08g05490.t1 pep chromosome:ASM357664v1:8:4530023:4533604:-1 gene:itb08g05490 transcript:itb08g05490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGWWGAKESHMGTPVVVKMENPNNWSMVELEGASEEDFLCTAADDDDHHGPSMPAFRPREKVRNKNAKQLTWVLLLKAHKAAGCLTSIAAALLGLASVVRRRVASGRTDCADTTPAENPAVKTRFFSCIKVFLALSLVLLGFEIAAYFQGWHFSATDLQFQYLYTLTNPFAVKGLFDWIYSKWVLVRVEYLAPPLQFLANVCIVLFLIQSLDRLILCLGCFWIRFKKIKPIAKEGAMDLEGGEGAGYFPMVLVQIPMCNEREVYQQSIAAVCSLDWPKSSFLIQVLDDSDDTATQSLIKDEVHKWQKEGANIVYRHRVIREGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPAPDFLKRTVPYFKDNEDLGLVQARWSFVNKDENLLTRLQLINLAFHFEVEQQVNGIYLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIINSKISIWKKSNLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEATLPSWIVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKLGRSSEGDLASLVDEKPKPQRGVSVPDLDELREEMKQKEQKASRKKKHNRIYTKELALAFLLLTAAARSLMSAQGIHFYFLLFQGVSFLLVGLDLIGEQVD >itb01g07200.t1 pep chromosome:ASM357664v1:1:5411858:5416082:1 gene:itb01g07200 transcript:itb01g07200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLSYSAPRIAQSSLPAPISLCPLPEDNEITVPPMSPLEFKDRLIFGSPSPSSTSSSKNPFKDPKSTLDSLDFTSQTFASSSICQSSDQKSNLDLNSWVLDPNHQSQIPCSPSDPKSKIDQSSWFLDSNYPTWSKSNLHRSRTAPAMATINDIENQSSTSKPPRALSIVSQAVVLLGLYLILGVAIYSFFKEHFRGTETHPVVDALYFCIVTMCTIGYGDITPASPATKLFSIMFVLVGFGFIDILLSGMVSYVLDLQETYLLKTIKSRGAHDPGSYIIDVKKGRMRIRMKVTLALGVVVLCIGVGVLFMHYVERLGWLDSLYLSVMSVTTVGYGDRAFESLSGRVFASFWLLVSTLAVARAFLYLAEARVDKRHRMMAKWVLDQDMTVAQFLAADIDNNGFVTKSEYIIYKLKEMGKISEKDILLICKQFQRLDTGNCGRITLADLMEGHH >itb11g12940.t3 pep chromosome:ASM357664v1:11:9887724:9891997:1 gene:itb11g12940 transcript:itb11g12940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPVSTRSQISSSKTRGKPENQELGAAAMRNPHHGLKEKMKALTLLYEQQKQAAMALKNQSLKADEPRFTTHPSVDLLNSAKKDGKEDKEPRPFGNVMKENTMPIYQPPRQPPPDEGKENVAAAAAATVGGGERIRRAIETRNVARKLSLGTSVNSEPKGTNKENVQELEKVNNEGGGGTRILVFVRLRPMSKKEREAGARNCVRIVDCRDVYLTEFATENDYLRLKRVRGRHFTFDAAFPESASQQQVYSTTTAELVEAVVQGRNGSVFCYGATGAGKTHTMLGTVENPGVMVLAIKDLFTKIRQMSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVMVEYRFKDSSDNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLVFGETQNTLHWADRAKEIRTKAYDAHEEMQIPESETDQAKLVLELQKENRELRAHLARQQQKLLTIQAQNLAANASPAPSTLSSHLSPAPSSEKRRTRPSFLGANCFTPESRKKGEDEAAVKELRKTVKALEAEIERMKKDHALKIKQKDNFIQELSRKSGKDGAKRVVTRAGLRPKMPHEDDLKSPRHRFMSPVPTSKKRSFWDTTTANSPSLATLNGRKTRSHVNSELSAAPSKLLQLAYPCFWFVFMVGPHVVLNYIAQPGFAWPRPETVKP >itb11g12940.t5 pep chromosome:ASM357664v1:11:9887717:9892366:1 gene:itb11g12940 transcript:itb11g12940.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSQNPPLNNKSIPQPELVEAVVQGRNGSVFCYGATGAGKTHTMLGTVENPGVMVLAIKDLFTKIRQMSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVMVEYRFKDSSDNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLVFGETQNTLHWADRAKEIRTKAYDAHEEMQIPESETDQAKLVLELQKENRELRAHLARQQQKLLTIQAQNLAANASPAPSTLSSHLSPAPSSEKRRTRPSFLGANCFTPESRKKGEDEAAVKELRKTVKALEAEIERMKKDHALKIKQKDNFIQELSRKSGKDGAKRVVTRAGLRPKMPHEDDLKSPRHRFMSPVPTSKKRSFWDTTTANSPSLATLNGRKTRSHVNSELSAAPSKLLQLAYPCFWFVFMVGPHVVLNYIAQPGFAWPRPETVKP >itb11g12940.t6 pep chromosome:ASM357664v1:11:9887717:9892347:1 gene:itb11g12940 transcript:itb11g12940.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSQNPPLNNKSIPQPELVEAVVQGRNGSVFCYGATGAGKTHTMLGTVENPGVMVLAIKDLFTKIRQMSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVMVEYRFKDSSDNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLVFGETQNTLHWADRAKEIRTKAYDAHEEMQIPESETDQAKLVLELQKENRELRAHLARQQQKLLTIQAQNLAANASPAPSTLSSHLSPAPSSEKRRTRPSFLGANCFTPESRKKGEDEAAVKELRKTVKALEAEIERMKKDHALKIKQKDNFIQELSRKSGKDGAKRVVTRAGLRPKMPHEDDLKSPRHRFMSPVPTSKKRSFWDTTTANSPSLATLNGRKTRSHVNSELSAAPSKLLQPGFAWPRPETVKP >itb11g12940.t1 pep chromosome:ASM357664v1:11:9887717:9892366:1 gene:itb11g12940 transcript:itb11g12940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSQNPPLNNKSIPQPELVEAVVQGRNGSVFCYGATGAGKTHTMLGTVENPGVMVLAIKDLFTKIRQMSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVMVEYRFKDSSDNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLVFGETQNTLHWADRAKEIRTKAYDAHEEMQIPESETDQAKLVLELQKENRELRAHLARQQQKLLTIQAQNLAANASPAPSTLSSHLSPAPSSEKRRTRPSFLGANCFTPESRKKGEDEAAVKELRKTVKALEAEIERMKKDHALKIKQKDNFIQELSRKSGKDGAKRVVTRAGLRPKMPHEDDLKSPRHRFMSPVPTSKKRSFWDTTTANSPSLATLNGRKTRSHVNSELSAAPSKLLQLAYPCFWFVFMVGPHVVLNYIAQPGFAWPRPETVKP >itb11g12940.t4 pep chromosome:ASM357664v1:11:9887724:9892366:1 gene:itb11g12940 transcript:itb11g12940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPVSTRSQISSSKTRGKPENQELGAAAMRNPHHGLKEKMKALTLLYEQQKQAAMALKNQSLKADEPRFTTHPSVDLLNSAKKDGKEDKEPRPFGNVMKENTMPIYQPPRQPPPDEGKENVAAAAAATVGGGERIRRAIETRNVARKLSLGTSVNSEPKGTNKENVQELEKVNNEGGGGTRILVFVRLRPMSKKEREAGARNCVRIVDCRDVYLTEFATENDYLRLKRVRGRHFTFDAAFPESASQQQVYSTTTAELVEAVVQGRNGSVFCYGATGAGKTHTMLGTVENPGVMVLAIKDLFTKIRQMSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVMVEYRFKDSSDNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLVFGETQNTLHWADRAKEIRTKAYDAHEEMQIPESETDQAKLVLELQKENRELRAHLARQQQKLLTIQAQNLAANASPAPSTLSSHLSPAPSSEKRRTRPSFLGANCFTPESRKKGEDEAAVKELRKTVKALEAEIERMKKDHALKIKQKDNFIQELSRKSGKDGAKRVVTRAGLRPKMPHEDDLKSPRHRFMSPVPTSKKRSFWDTTTANSPSLATLNGRKTRSHVNSELSAAPSKLLQPGFAWPRPETVKP >itb11g12940.t2 pep chromosome:ASM357664v1:11:9887717:9892347:1 gene:itb11g12940 transcript:itb11g12940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSQNPPLNNKSIPQPELVEAVVQGRNGSVFCYGATGAGKTHTMLGTVENPGVMVLAIKDLFTKIRQMSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRVNETSSRSHAILQVMVEYRFKDSSDNIVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLVFGETQNTLHWADRAKEIRTKAYDAHEEMQIPESETDQAKLVLELQKENRELRAHLARQQQKLLTIQAQNLAANASPAPSTLSSHLSPAPSSEKRRTRPSFLGANCFTPESRKKGEDEAAVKELRKTVKALEAEIERMKKDHALKIKQKDNFIQELSRKSGKDGAKRVVTRAGLRPKMPHEDDLKSPRHRFMSPVPTSKKRSFWDTTTANSPSLATLNGRKTRSHVNSELSAAPSKLLQPGFAWPRPETVKP >itb11g14370.t1 pep chromosome:ASM357664v1:11:11455584:11457632:-1 gene:itb11g14370 transcript:itb11g14370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPATFETIVPSRHITFTLPNPLLHLNHFNASLLRVAVLDSPTAAGPAMIAAMLVPLYRESDWTFSTEPGHLQLLLSFPHLSRLVLIGNSPDSPLPTSYKPPLPANAVSETSVIEESLMPLLIALSPKEAFHRTGGGLPQIPFLSYEDEVIRSVVLEICVGDRVGEMLVEDVGLEMTNGDREFRRRLRFKRMPNLIQTQIRIHPDSLDFQELGTVELRLDNGILVHPYLTPMVAGLSVIASYLDNQIQSGFRPKALCLGVGGGALLSFLNAQLGFEVLGIEEDEAVLRIARKYFGLKDSESMHLCVGDGIQMIEKLGLGVPNGIDARTDDILDNVNGKFDAIMVDLDSSDAQMGTSAPPPEFVQKSILFAAKTLLSEHGVLIINVIPSCKRFYETLTDAFQDVFEELYEIDVADKENFVVIATVSQIQRVSGDAGNIFLSKLKSVISGSSYIDSIRVFSSATAAIDGG >itb06g25560.t1 pep chromosome:ASM357664v1:6:26543876:26547673:-1 gene:itb06g25560 transcript:itb06g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNVGIPTRQLFIGGEWIEPVKRNRLPIINPATEETIGDIPGATPEDVDIAVEAARRAMAGEWGSTTGAQRAKYLRAIAAKVKERKPELGTLEAIDSGKPWLEADADIDDVAACFEYYADLAEALDSRQKTPVPLHLDSFNTYVLREPLGVVGLITPWNYPMLMATWKVAPALAAGCAAILKPSELASITCLELGEVCKEVGLPPGALNILTGLGPEAGAPLASHPHVDKIAFTGSNATGVKIMTAAAQLVKPVTLELGGKSPIVIFEDFSDLDKAAEWTLFGCFWTNGQICSATSRLILQESIAAEFLDRLVEWTKNIKISDPLEDDCKLGPLVSHGQYEKVMKFISTARSEGATILYGGQRPEHLKKGYYIEPTIITDVNTNMQIWREEVFGPVLCVKTFKTEAEAIELANDTQYGLGAAVLSKDLARCERLTKAFQSGIVWINCSQPCFWQPPWGGKKRSGFGRELGEWGLENYLNIKQVTQYMSDEPWGFYKSPSKL >itb01g03110.t1 pep chromosome:ASM357664v1:1:2013930:2016293:1 gene:itb01g03110 transcript:itb01g03110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAFSSTAIHGSSLSQHTKGSQMGCFPAWDGAHGPPPAVRFSGRHSAVRPLKDEAKRNESAVASASASAAAIVTPDVAEKVEAEDYGKLAKQLGNASPFEIMDMALEKFGDEIAIAFSGTEDVALIEYAHLTGRPFRVFSLDTGRLNPETYRFFDVIEKHYGIHIEYTFPDAVEVQALVRNKGLFSFYEDGHEECCHVRKVRPLRRALKSLRAWITGQRKDQSPGTRSEIPVVQLDPSFEGKDGGVGSLVKWNPLANMEGNDVWSFLRTMNVPVNSLHSQGYISIGCEPCTRPVLPGQHERDGRWWWEDAKVKECGLHKGNIKDGGSLNGTGNGAFHATKADILDSKHIVTLSRPGIENMLKTEERREPWMVVLYAPWC >itb06g02440.t1 pep chromosome:ASM357664v1:6:4206991:4207892:1 gene:itb06g02440 transcript:itb06g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSERKREMEENGNTWHHVKWSSGKFVKRFRLPKNAKADQVKASMDNGVLTVTVPKEEEKKQRDMKSIDISS >itb11g23100.t1 pep chromosome:ASM357664v1:11:25044638:25046953:-1 gene:itb11g23100 transcript:itb11g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSHYRRNFGALLDPTLNIHSMHQTKSSAGAGAKHRRAPPPDPTIIRTVSEHNKSLSATHLLHPPSTVIHSVALGKSKSQRKATQQKSSDESKKKEKCEIELMTHLFSHHNPNPNNNAKVVSVDMPPFMQIHALNFATKAYHTLQNITPKALALTLKKEFDGVYGAAWHCIVGSSFGSFVTHSVGGFIYFSMDHKLYVLLFKTSIKRPT >itb03g10970.t2 pep chromosome:ASM357664v1:3:8871550:8873058:-1 gene:itb03g10970 transcript:itb03g10970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MQRQRWYIADNGVYSKLISVMGRKGQTRMAMWLFSEMRNSGCRPDTSVYNSLISAHLHSKDKAKALAKAVGYFDKMKGMERCMPNVVTYNILLRAFAQARDVEKVNDLFKDLEESVVTPDIFTFNGVMDAYGKNGMIKEMESVLTRMKRYKLKPDIITFNLLVDAYGRKQEFEKMEQVFKSLLRSKEKPTNPTFNSMITNYGKARLRDKAEGIFQKMNDMGYKPSYITYECLIMTYGRCDSVSRARDIFDEMVETEKEKKVSTLNSMLDVYCMNGLTMEAHMLFESIHHSKIFPIDSSTYKLLYKAYTKADMKGLVEKLLKYMDGDGIIPNKRFFLDALGAFGSSPSSQKSTGKSIQSRTQASRARN >itb03g10970.t1 pep chromosome:ASM357664v1:3:8871550:8873579:-1 gene:itb03g10970 transcript:itb03g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MEFLVVPSSSGYLQLSSNPKFTHAFSLDYTKNPSCAPRKKTTIISCLSTQTRRKSGSASRSPSSEAEELVAQMMNSFTDKKPLVATLNKYVKMVRTEHCFLLFEELGKSDKWLQCLEVFRWMQRQRWYIADNGVYSKLISVMGRKGQTRMAMWLFSEMRNSGCRPDTSVYNSLISAHLHSKDKAKALAKAVGYFDKMKGMERCMPNVVTYNILLRAFAQARDVEKVNDLFKDLEESVVTPDIFTFNGVMDAYGKNGMIKEMESVLTRMKRYKLKPDIITFNLLVDAYGRKQEFEKMEQVFKSLLRSKEKPTNPTFNSMITNYGKARLRDKAEGIFQKMNDMGYKPSYITYECLIMTYGRCDSVSRARDIFDEMVETEKEKKVSTLNSMLDVYCMNGLTMEAHMLFESIHHSKIFPIDSSTYKLLYKAYTKADMKGLVEKLLKYMDGDGIIPNKRFFLDALGAFGSSPSSQKSTGKSIQSRTQASRARN >itb01g19980.t1 pep chromosome:ASM357664v1:1:26282809:26286579:-1 gene:itb01g19980 transcript:itb01g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSSSSPLARCCEPEKRGKNQSPLLSLSDLLCLGRSHSHLHETDRSKTMAMIWSLHKPTIELSSGGAPAGVAAATRRREEDNKDGSRRTLAQKSQSLKEDAFTLQFTEKRSYRYEKLNSTIDEWETKKTKKAKRHLEETEADLDTTRAKALAHYCNEVERIVNISEGANRQAEQNQQTEELKVK >itb12g24330.t1 pep chromosome:ASM357664v1:12:25878648:25881233:-1 gene:itb12g24330 transcript:itb12g24330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] GTEMVMVDEIPFPPQVNLDNKLLSLMGHGITDVEIHFLQIKYTAIGVYLDPEIVSHLHKWKGKTPVDLAQDDDFFEAIINAPVDKVLRVVVIKEIKGSQYGVQLENSVRDLLAEVDKYEEEEEDALEKVVGFFQSKYFKKSSVITFSFPANTATAKIVFATEGKEDSAIEVENANVAGMIKKWYLGGTRAVSPSTISSLANTLPV >itb08g16070.t1 pep chromosome:ASM357664v1:8:18234609:18239167:1 gene:itb08g16070 transcript:itb08g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIKNQQISIYLFFLLLVVAATLQADIAEYDAYLKKKADEALQSSLQAYYDNPEEVTDDFNAEVGHTIMNWTTTRRHLKEKRGSHCKATNPIDRCWRCDRNWAKNRKRLALCARGFGRGTTGGIKGRFYVVTDSSDNDLENPRPGTLRYAVIQEEPLWIIFKKSMVITLKQELIINHDKTIDGRGVSVHIAYGAGLTIQFVRNVIIHGIKIHHILPKMGGMIRDSVNHIGLRTMSDGDGISVFGSNHVWLDHLTMSKCSDGLIDAIMASTAITISNCKFNHHDHVLLLGASDSFSEDAIMQVTVAFNRFGKGLVQRMPRCRWGFFHIVNNDYYKWGMYAIGGSSRPTIVSQGNRFKASSNPFTKQVTKREIVPKNVWGNWQWRSEGDLFLNGAYFVESGKDLNRNNTRFNNTNRIKHKPGSYVGRLTRTSGALKCKVGRFC >itb10g22560.t1 pep chromosome:ASM357664v1:10:27364354:27368063:-1 gene:itb10g22560 transcript:itb10g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTQNLLHDLKPAIIMLSVQTIFAGVNVLYKIAASDGMNLSILVFYRFLFAAGFIGPVSYFAERKKRPKLTWTILFLAFLCGLFGGSLGQNLYLKSLSYTSATYATAIFNLIPGITFIVAVILGLEKLGLNTAAGKGKALGTMFGIGGAMLLTFYQGPELHLWSTNIHLLHKTPPSKVVKTNYFLGTVIALAGCLCYSCWLILQAKAAERYPCAYSFTALMMSMGTVQSFVYSVCTVRDWNEWKLGWNVRLFVVAYAGIVGSGLMFSLIAWCVRKKGPVFVSIFNPLMLIMVAIAGSLFLDEKIHLGTLLGGGLIVIGVYVVLWGKGKEVEVSQLVLEGSVEGKGNQVEIEIQSPSQKDPSFHGGSFHGGSMHRRGVGEEEEEDAIEEGRLSLAGSEVMGGYYMILNKSMNQ >itb09g08560.t1 pep chromosome:ASM357664v1:9:5097618:5099052:1 gene:itb09g08560 transcript:itb09g08560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVIVVSSSALSIDSTLLTKHYSPSFPTTKLFSHSSPPCSGRRRAIHFRVSANLGGGGGGEAGGEVKKGGKKKFITKEEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >itb12g07920.t2 pep chromosome:ASM357664v1:12:6070689:6073075:1 gene:itb12g07920 transcript:itb12g07920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEDRRNRKRKRGKRGQKPAASPPPPSGDDASFAKHKSGNSSSVSALQSKTISKPNKTSSFIDKMRARLSGGHFRMINEKLYTCSGDEALNYFKQDPQLFSVYHAGYQEQMSHWPDQPVNIMIKWLKDHDPSFVVADFGCGDARLSRSVKNKVFSIDLVSNDPSVIACDMSDTPLGSSSVDVAVFCLSLMGINYPSYLQEAHRVLKPGGWLLIAEVKSRLDPNTGGADPVKFVKAVCELGYTSVLKDFSNKMFVLLHFKKKVIRFKLLI >itb12g07920.t1 pep chromosome:ASM357664v1:12:6070689:6073075:1 gene:itb12g07920 transcript:itb12g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEDRRNRKRKRGKRGQKPAASPPPPSGDDASFAKHKSGNSSSVSALQSKTISKPNKTSSFIDKMRARLSGGHFRMINEKLYTCSGDEALNYFKQDPQLFSVYHAGYQEQMSHWPDQPVNIMIKWLKDHDPSFVVADFGCGDARLSRSVKNKVFSIDLVSNDPSVIACDMSDTPLGSSSVDVAVFCLSLMGINYPSYLQEAHRVLKPGGWLLIAEVKSRLDPNTGGADPVKFVKAVCELGYTSVLKDFSNKMFVLLHFKKKDKQKLQGREIDWPELKPCIYKRR >itb14g18740.t1 pep chromosome:ASM357664v1:14:21658170:21659380:-1 gene:itb14g18740 transcript:itb14g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPTHNPKHHSSISPIKSNLHANNSTIILSTPPPTRPVFSHLALFGTATYTAVLSRPPRPTGSEIKKMSYFSLLLLVLGALLMSPAAALQCTSQTFSTKAHYDTCSDLPTLKAFLHWTYNETKSTLSVAFVAAPAAADGWIAWGINPTGAGMIGTQAFIAFKDAQGKVAVKTYNLTSYGAITPQKLSLTVLDSRAEAAADGKMRIFATVELPEKMATVNHVWQVGASVKDGIPQKHQMLQENLDAKASLQLTAGNTISDAPSPTAAAAPGGGDGKNTAISPASGQTAGKDAGGASRNWKSNTNLPAIFFTVVGILGLVL >itb07g04120.t1 pep chromosome:ASM357664v1:7:2771872:2774303:1 gene:itb07g04120 transcript:itb07g04120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTVKLYSVLFKFLLKRRLQALSEYLISPNSDPLYGVVTRPDEAIAAANPSFSDDGVATKDLHIDPLTALSLRIFLPDSAVASPTAIPKLKARVSSGNLSSRKDNGLIQGYGGYVPDKNARNCRKLPVILQFHGGGWVTGGSDTAANDLFCRRIAKLCDAIVIAVGYRLAPESRYPAAFEDGLKALNWLGKQANLAECSRSFVNGRMVSSEDGRRRQIVDGFGSSMVEPWLAAHADHSRCVVLGVSCGANIANYVTRYAIEARKHLDPVKLVAQILFYPFFIGNTPTRSEIKLANSYLYDKAMSILAWKLFLPEKEFSLDHPAANPLVPVRGEIPLKHMPPTLTVVAEHDWMRDRAIAYSEELRKANVDAPLLDYKDAVHEFATLDVLLKTPQAQACLEDISIWVKKYISLRGHEFSY >itb05g18350.t4 pep chromosome:ASM357664v1:5:25143469:25147830:1 gene:itb05g18350 transcript:itb05g18350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQAIGSRCRIHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDTSSRHSRAPQRTEEEEELEEFVNFERYRDLIKHRRRGFNDEEGLQHVNQEMEAKTAALFGADRPQVTQPSTSKGSYSQVGFSYDGEIKDETHYSDAEEDGDDEEEEEEDFNSDDSNDEAMESVAKEFGVKRYGWLVYMDKKAKEEERRQKEVIKGDPAIRKLSRKERRKASQLEREREREAARFTGTRLSHHDPYRESRRSPTYEAYSRSRRSRSKSRSWSPSHSRRHARGTHYDDVEQGRTPKIEYITEFGGDDDNEPKFEGYSPPRSPPSQADSLNRSEHSTFHSCKARLDSLYSAADYHPLCYLIQT >itb05g18350.t3 pep chromosome:ASM357664v1:5:25143481:25146702:1 gene:itb05g18350 transcript:itb05g18350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQAIGSRCRIHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDTSSRHSRAPQRTEEEEELEEFVNFERYRDLIKHRRRGFNDEEGLQHVNQEMEAKTAALFGADRPQVTQPSTSKGSYSQVGFSYDGEIKDETHYSDAEEDGDDEEEEEEDFNSDDSNDEAMESVAKEFGVKRYGWLVYMDKKAKEEERRQKEVIKGDPAIRKLSRKERRKASQLEREREREAARFTGTRLSHHDPYRESRRSPTYEAYSRSRRSRSKSRSWSPSHSRRHARGTHYDDVEQGRTPKIEYITEFGGDDDNEPKFEGYSPPRSPPSQADSLNRPSSGRILEALHVDPASGVSVDKEKNAKLQKTPASTTSAVAKLSKATPGSSISKQQGEKKETPQERLKRIMSKQLNKQSIIILMFI >itb05g18350.t2 pep chromosome:ASM357664v1:5:25143491:25147276:1 gene:itb05g18350 transcript:itb05g18350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQAIGSRCRIHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDTSSRHSRAPQRTEEEEELEEFVNFERYRDLIKHRRRGFNDEEGLQHVNQEMEAKTAALFGADRPQVTQPSTSKGSYSQVGFSYDGEIKDETHYSDAEEDGDDEEEEEEDFNSDDSNDEAMESVAKEFGVKRYGWLVYMDKKAKEEERRQKEVIKGDPAIRKLSRKERRKASQLEREREREAARFTGTRLSHHDPYRESRRSPTYEAYSRSRRSRSKSRSWSPSHSRRHARGTHYDDVEQGRTPKIEYITEFGGDDDNEPKFEGYSPPRSPPSQADSLNRPSSGRILEALHVDPASGVSVDKEKNAKLQKTPASTTSAVAKLSKATPGSSISKQQGEKKETPQERLKRIMSKQLNKQIKKDTAVEMAKKREQEKLRLEKLAETNRLSRHRYRSRSRSYSRSPPR >itb05g18350.t5 pep chromosome:ASM357664v1:5:25143469:25147833:1 gene:itb05g18350 transcript:itb05g18350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQAIGSRCRIHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDTSSRHSRAPQRTEEEEELEEFVNFERYRDLIKHRRRGFNDEEGLQHVNQEMEAKTAALFGADRPQVTQPSTSKGSYSQVGFSYDGEIKDETHYSDAEEDGDDEEEEEEDFNSDDSNDEAMESVAKEFGVKRYGWLVYMDKKAKEEERRQKEVIKGDPAIRKLSRKERRKASQLEREREREAARFTGTRLSHHDPYRESRRSPTYEAYSRSRRSRSKSRSWSPSHSRRHARGTHYDDVEQGRTPKIEYITEFGGDDDNEPKFEGYSPPRSPPSQADSLNRPSSGRILEALHVDPASGVSVDKEKNAKLQKTPASTTSAVAKLSKATPGSSISKQQGEKKETPQERLKRIMSKQLNKQIKKDTAVEMAKKREQEKLRLEKLAETNRLSRHRYRSRSRSYSRSPPRLLLLSLVQKIPSQSQSQS >itb05g18350.t1 pep chromosome:ASM357664v1:5:25143469:25147833:1 gene:itb05g18350 transcript:itb05g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQAIGSRCRIHRDDAVYQATEDQQGLIPWNGKQDILIDRFDGRALLDFIRDTSSRHSRAPQRTEEEEELEEFVNFERYRDLIKHRRRGFNDEEGLQHVNQEMEAKTAALFGADRPQVTQPSTSKGSYSQVGFSYDGEIKDETHYSDAEEDGDDEEEEEEDFNSDDSNDEAMESVAKEFGVKRYGWLVYMDKKAKEEERRQKEVIKGDPAIRKLSRKERRKASQLEREREREAARFTGTRLSHHDPYRESRRSPTYEAYSRSRRSRSKSRSWSPSHSRRHARGTHYDDVEQGRTPKIEYITEFGGDDDNEPKFEGYSPPRSPPSQADSLNRPSSGRILEALHVDPASGVSVDKEKNAKLQKTPASTTSAVAKLSKATPGSSISKQQGEKKETPQERLKRIMSKQLNKQIKKDTAVEMAKKREQEKLRLEKLAETNRLSRHRYRSRSRSYSRSPPRRYRRSRSRSRSRSRSPSRGRSSRRHHSRSRSHSRSPSRSYSSSHSHSRSVSRSRSPRARRRSRY >itb07g20370.t1 pep chromosome:ASM357664v1:7:24743977:24748174:1 gene:itb07g20370 transcript:itb07g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMPMFNLAPDMRVSRLCLGTMTFGEQNTFSQSIQILDRAFDAGINFFDSAEMYPVPQRAETQGRSEEFFGRWIKERKIPRDNVIFATKVSGPSGQMSWIRNGPESLDAWNITEAIDNSLLRAKTDYIDLYQIHWPDRYVPMFGETEYDPLLNFSSVSFEEQISALSRAVDAGKIRYIGLSNETPYGIMKFLQVAESGTGYPRIVSVQNSYNLLCRTFDLAMAECCHNERVSLLAYSPLAMGILSGKYFSPDGGPDDARLNLFRGRYVEGESRYNLSKARIRQAAISYCEIADKYGIHPVSLAIGFVLRHPLVASAVFGATKIWQLEEVVGAITVELGPEILADINKVHWRLPNPCP >itb10g21410.t1 pep chromosome:ASM357664v1:10:26623182:26630347:-1 gene:itb10g21410 transcript:itb10g21410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQFEIHSRLRLLSQAPGPPFCRRDLLISTHFRPLLRRRSFSFLRLRLSNCVSKTNGTISCVKVEDDEQKENEEQQVRRAYPFHEIEPKWQKFWEENKTFRTPDEIDTSKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPRITTIRNINRFRLQLKSLGFSYDWDREISTTEPEYYRWTQWIFIQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAEMEFCVLDGDGQDRDLKITVYTTRPDTIFGANYLVLAPEHTLMSSIVSESEKQNVEAYTELASRKSDLERTELQKEKTGVFTGCYARNPANGKAIPIWVADYVLGSYGTGAIMAVPAHDTRDHEFAQKYNIPISLVIMPVDDTLRNLEKAYIGEGTLINSSSSLTGLDINGLPSKTAASRVIEWLEKSRCGKKQVNYKLRDWLFARQRYWGEPIPVMFLDETGECVPVPDTELPLILPELDDFTPTGTGEPPLSKAASWVETKDHLSGKPALRETNTMPQWAGSCWYYLRFIDPRNSSALVDKEKERYWSPVDVYVGGAEHAVLHLLYARFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYIAYKDQNGNLISADLIDELTECNQERIPEEKVIKSGNSFVLKDDPSIRLIARAYKMSKSRGNVINPDDVVFEYGADSLRLYEMFMGPLRDSKQWSTSGIEGVHRFLARVWRLITGPPLPNGTFQDGTVTVNEKPSIEQHRCLHRTIEKVTEEIEGTRFNTGISAMMEFINAAYKWDKLPTSIIEQFVLLLSPYAPHMSEELWSRLGHAKSLAYQPFPKADPAYLKDTTVVLPVQINGKTRGTIEVEEGCTEEDAFRLASVDAKLSKFLEGITVSKRIYVPGKILNVVVEAPKKVKVAQQ >itb12g15090.t1 pep chromosome:ASM357664v1:12:15497110:15503130:1 gene:itb12g15090 transcript:itb12g15090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] MSRSLVIASTSPFPHSVVTHSRQYWIWSTSCFSFSGKGLPSFTMSNKLNAPYHVCQTISSYASLGTFDGRDRSTNLTLSCFQVAEEKIRKLFDIVELSVSPYDTAWVAMVPSPHSPGMPCFPECVDWVLNNQNQDGSWGLHHTHPMFLKEALLSTLACVLALKRWDVGEEQINKGLLFIELNFPSAADNGQLCPTGFDIIIPGMLNYLNDSSLKLNLEPRTLNSLHHKRDVELSRCMGSTPKELEAYLAYVSEGLGPLQDWEMAMKYQRKNGSLFNSPSTTAAAFIHHHDPGCLSYLHSVLKMFGNKVPTVYPLDVYARLCVVDNVEKLGISRHFNKGIRNVLDETYRRWLQGDEEIFMDASTCAMAFRILRTNGYSIPSGPLSQFLDESINSIACGRPKDAYAILDLYQALEVICNSDEYVSEKHNRWYENLRQELSSDSLFSDIHTRQIHKQVEDAVNFPFYANLERIANRRYIEHYNVENTRFSKTSYCSSNFSNQDFLTLAVEDFNLCQSIHREELKQLERWVVKNRLDTLKFARQKSAYCYFSAAATLFPPELSDARISWAQNGVLTTVVDDFFDVGGSIEELKNLIHLVEKWNVNVSTDCCSEEVQIIFSALHGTISEIGEKAFRWQERDVMSHIIEIWLNLLNSMLREAEWTKDMTVPTLDDYMENGYVSFALGPIVLPALYLVGPKLSEEIILHPEYHHLFKLMSTCGRLLNDFRGFERESKEGKLNALSLRVACGNGSVTEEAAIGEMTHLIDAKRRELLRLVLQDSVVPRDCKDLFWKMSKVVHQFYVKDDGFTSQGLIGTVKAILHDPIDLHLI >itb11g01970.t1 pep chromosome:ASM357664v1:11:976978:981066:-1 gene:itb11g01970 transcript:itb11g01970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGIFDLASGLGGKIDKAEVLSSVDKYEKYHGYYGGKEEERKKNYTDMVNKYYDLATSFYEYGWGECFHFAPRWKGESLQESIKRHEHFIALQLNLKPGQTVLDIGCGIGGPLREIARFSRTKVTGLNNNEYQISRGKVLNRAAGLEQTCGFVKIELELGNSLPEIRLTSQCLEAAKKVGFEVVWEKDLAEDSPIPWYSSMDTSFSLSSFRLTAAGRFMLRNLVKALECVGIAPEGSQRVYEFLAKSGEALVAGGKKGIFTPMYFFVVRKPISASE >itb03g12600.t1 pep chromosome:ASM357664v1:3:12118685:12121255:1 gene:itb03g12600 transcript:itb03g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLFYLFFAAIYTAAAQQRSTNITLGSFLTPTGNSSWLSPSGQFAFGFYRQGSGFAVGIFLASIPERTPVWTANRNTNPIFPSNSTLVLKSDGRLVVEVGQGQDIDIIDNGLPITLASMLDNGNFVVYSSDGMMWQSFDNPTNTLLPGQILSNGKELVSSASESDDSTGIFRIKMQSDGNLVQYPVQTTDTGGNAYWASNTAGRGNQITLNLEEDGYLYLINSTNNISVDNLTTGGFPKEKYIYIAKLDVDGIFRLYSHPFGHGNWSVLWSTTADKCAPKGVCGMNAFCTIMDNEPQCRCLPGFSVVVPGKWSSGCNRNFTARSCEGDIDYYEMRALENTVWQSNSYASLKTSTKEDCEQACLEDCNCEAAQFKDGNCNKDRLPLIYGRRSMDDSNAALIKVGLPANIGGGGGGSQVGHPTETKKQLRIDILVLSISLLAFALLVLLISGLLIHKSRVWRYRKISESRDGHLCEDVGPRGFTYAELQQVTNDFNEELGRGAFGTVFKGVLAEGQKLVAVKRLEKVLEEGETEFQNEMKVIGKTHHRNLVKLLGYCIDGPKRLLVYEYMSNGSLADILFTPEKQPCWEERIRIARDIARGILYLHEECETQIIHCDIKPQNILMDNRCVAKISDFGLAKHMKQDQTRTYTGVRGTKGYVAPEWHRKMAVTVKADVYSFGIVLLELITRRKSVDWSLSEDEAVLEEWVYSCFDAGEVRWFYIYILNNGSAIC >itb07g04220.t1 pep chromosome:ASM357664v1:7:2838919:2839884:-1 gene:itb07g04220 transcript:itb07g04220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIATTAMLNAKSLTTAKASINPVKPKPIISLLSMKNLPKGLSACNTDKSVVSSALTGTALAGAIFSALSVSEPAFAAQQIAEIAADGDNRGLALLLPIIPAIAWVLFNILQPALNQINKMRSTKGVIIGLGLGGLTAAQFIHPYAASAASEVAALADAANDSRGQLLLIVVAPALLWVGYNILQPALNQLNRMRSE >itb10g11610.t1 pep chromosome:ASM357664v1:10:17225054:17228775:1 gene:itb10g11610 transcript:itb10g11610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSQSDLKIFSVRIVSMDYYMSPPIPGLDISYSSFQGGKVNEVPVIRVYGSTPAGQKTCLHIHGALPYFYVPCSDLFLQPDQEGEECTRGISHALEKALKLKGNVASKRQHVHSCSLVRAKKFYGYHSSEELFVKIYLYYPQDVSRAANLLLQLCSLCFCEHFLPFSFLQRSKEERKRKGK >itb02g06280.t1 pep chromosome:ASM357664v1:2:3908667:3912924:-1 gene:itb02g06280 transcript:itb02g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESMGGRRQFHGAKELFLSDHFDIQSAHTIEDKCIVHSFKNYTKLENVGPEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLAHFLCSDCSAEEEEPKRPLNSFHGPPSIEQKVETKRRKR >itb07g00920.t1 pep chromosome:ASM357664v1:7:579233:582257:-1 gene:itb07g00920 transcript:itb07g00920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLLSSLISSTSLYNAALIHTNRSFSSAIIRPPAKHFLSLQFPRHPISGDLSGLSGPTRFDQAQRAYSLLSLNDLQDNKGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKILKDSGAVGKQIGDGVRLMGRGAENIEWPIHLEVSRVTVRAKAAVEAKGGSVRRVHYNKLGLRALLKPEWFEKKGRLLPRPARPPPKLRDKVDSIGRLPAPTKPIPFTPGEKHEASASPA >itb07g00920.t2 pep chromosome:ASM357664v1:7:579967:582257:-1 gene:itb07g00920 transcript:itb07g00920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLLSSLISSTSLYNAALIHTNRSFSSAIIRPPAKHFLSLQFPRHPISGDLSGLSGPTRFDQAQRAYSLLSLNDLQDNKGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKILKDSGAVGKQIGDGVRLMGRGAENIEWPIHLEV >itb08g14290.t1 pep chromosome:ASM357664v1:8:16050606:16056011:1 gene:itb08g14290 transcript:itb08g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKQNNEIPINESSERARLSSSSVMHPFFSDFQSKIEKALKSNFNRLRRDGDALMSSIVGKKGASVILDVDLEKQMQPWKENPTWTDHPPEIKVTVPKGSLCNLNVKVNVGLPPDAVYNIVTDPENKRVFKNIQKVISRKVLLDEGPRQVVELEQAAMWKFLWWSGTISVHVLVDQNREDHSMNFKQVKTGFMKRFEGCWRVEPLLVDEELCHPYRPNNLADYVSYTKGKGRIGSKVSLEQLIQPALVPPPPISWYVRGITTKTTEMIINDLQAETARIRRSSSTDNLRSLGLSEESCGESQTDSLCNIKERWALKRRKARNRNRRHSFTGPAK >itb08g14290.t2 pep chromosome:ASM357664v1:8:16050864:16055848:1 gene:itb08g14290 transcript:itb08g14290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKQNNEIPINESSERARLSSSSVMHPFFSDFQSKIEKALKSNFNRLRRDGDALMSSIVGKKGASVILDVDLEKQMQPWKENPTWTDHPPEIKVTVPKGSLCNLNVKVNVGLPPDAVYNIVTDPENKRVFKNIQKVISRKVLLDEGPRQVVELEQAAMWKFLWWSGTISVHVLVDQNREDHSMNFKQVKTGFMKRFEGCWRVEPLLVDEELCHPYRPNNLADYVSYTKGKGRIGSKVSLEQLIQPALVPPPPISWYVRGITTKTTEMIINDLQAETARIRRSSSTDNLRSLGLSEESCGESQTDSLCNIKERWALKRRKARNRNRRHSFTGPAK >itb07g22570.t1 pep chromosome:ASM357664v1:7:27124658:27129816:1 gene:itb07g22570 transcript:itb07g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLALALGVIMLSWVFIHRVSQRKGGGGGPRSWPVIGASVEQLVNYGRMHDWLVGYLAKSTSVVVPMPFTTYTYIAHPDNVEHVLKTNFPNYPKGEVYHSYMEVLLGDGIFNADGELWRKQRKTASLEFASKNLRDFSTVVFRDYSLKLFTILTQASILNQQVDMQDLLMRMTLDSICKVGFGVEIGTLAPNLPENRFAKAFDAANIIVTLRFIDPLWKIKKFLNVGSEAILDQSIRTIDDFTYAVIRKRKAEIEETQKTEKSMKHDILSRFIELGKDPENNMNDKSLRDIVLNFVIAGRDTTATTLSWAIYMIITHEDVAEKLRSELESVEEMRAKEENVSLHQYSSDDPESLNKRAIQFAGLLNYDTLAKLYYLHSVVTETLRLYPAVPQDPKGILEDDVLPDGTRVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKDGVFQSASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFFKFKLVEGHSVEYRMMTVLSMAHGLKLTVSPRTNNPA >itb13g13150.t1 pep chromosome:ASM357664v1:13:19700340:19703872:1 gene:itb13g13150 transcript:itb13g13150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKSVKSEELLSPAGRRSLCMVNCENKCVKRRRRSPPAIAIQPPGETSLQNAADSTTPKQPNVPTTPTVKRSSRFRGVSRHRWTGRYEAHLWDKGSWNATQKKKGKQVYLGAYDEEESAARAYDLAAIKYWGTSTFTNFPISDYGKEIEIMQNVTKEEYLASLRRHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGANSLALQEDHHHLVSEPQPLQSNNTVNAASDQRQAFSFNSSNFFSTTETPLSVAIPQDPIVVRKPPLSPCSKSSNSPTALSLLLRSSMFRSLVEKNSNADSEENESQVVGAEEGEDFAFYAAGDKLPGLDSLEKPGPSFWDLMS >itb07g12420.t4 pep chromosome:ASM357664v1:7:14239907:14243201:1 gene:itb07g12420 transcript:itb07g12420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVVYDESRFEKRPEGSGADESGTSNSSMLNAEASSNAGDDDTCSTRAGDVVPFNFDILKVGSRNEDDDGDDENRQAALPEFVTRQLFPVSEGAWNTGQTSGRQDWAMDLSFRQAEMRMVEQQQQQQQQQQPPPQPQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIKFRGVDADINFHLSDYEEDMKQMENLSKEEFVHMLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGGTAFDPHNEGSQHDLDLNLGMTSSSPNKNMDKCDQTTIGSSHLNRQPFTSEQPQLLNGFYSHFLPNYQQIASEKRMGIGGSSQGSPNWMREMRYGTTPVAMFSTAAASSGFSTPATNDCTTAFTSALAGPYSAAFSSSPSMNLSFASYPTASTNTSQHYYHIRPPP >itb07g12420.t2 pep chromosome:ASM357664v1:7:14239907:14243201:1 gene:itb07g12420 transcript:itb07g12420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVVYDESRFEKRPEGSGADESGTSNSSMLNAEASSNAGDDDTCSTRAGDVVPFNFDILKVGSRNEDDDGDDENRQAALPEFVTRQLFPVSEGAWNTGQTSGRQDWAMDLSFRQAEMRMVEQQQQQQQQQQPPPQPQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIKFRGVDADINFHLSDYEEDMKQMENLSKEEFVHMLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGGTAFDPHNEGSQHDLDLNLGMTSSSPNKNMDKCDQTTIGSSHLNRQPFTSEQPQLLNGFYSHFLPNYQQIASEKRMGIGGSSQGSPNWMREMRYGTTPVAMFSTAAASSGFSTPATNDCTTAFTSALAGPYSAAFSSSPSMNLSFASYPTASTNTSQHYYHIRPPP >itb07g12420.t3 pep chromosome:ASM357664v1:7:14239584:14243196:1 gene:itb07g12420 transcript:itb07g12420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVVYDESRFEKRPEGSGADESGTSNSSMLNAEASSNAGDDDTCSTRAGDVVPFNFDILKVGSRNEDDDGDDENRQAALPEFVTRQLFPVSEGAWNTGQTSGRQDWAMDLSFRQAEMRMVEQQQQQQQQQQPPPQPQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIKFRGVDADINFHLSDYEEDMKQMENLSKEEFVHMLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGGTAFDPHNEGSQHDLDLNLGMTSSSPNKNMDKCDQTTIGSSHLNRQPFTSEQPQLLNGFYSHFLPNYQQQIASEKRMGIGGSSQGSPNWMREMRYGTTPVAMFSTAAASSGFSTPATNDCTTAFTSALAGPYSAAFSSSPSMNLSFASYPTASTNTSQHYYHIRPPP >itb07g12420.t1 pep chromosome:ASM357664v1:7:14239584:14243273:1 gene:itb07g12420 transcript:itb07g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVVYDESRFEKRPEGSGADESGTSNSSMLNAEASSNAGDDDTCSTRAGDVVPFNFDILKVGSRNEDDDGDDENRQAALPEFVTRQLFPVSEGAWNTGQTSGRQDWAMDLSFRQAEMRMVEQQQQQQQQQQPPPQPQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIKFRGVDADINFHLSDYEEDMKQMENLSKEEFVHMLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGGTAFDPHNEGSQHDLDLNLGMTSSSPNKNMDKCDQTTIGSSHLNRQPFTSEQPQLLNGFYSHFLPNYQQQIASEKRMGIGGSSQGSPNWMREMRYGTTPVAMFSTAAASSGFSTPATNDCTTAFTSALAGPYSAAFSSSPSMNLSFASYPTASTNTSQHYYHIRPPP >itb04g03090.t1 pep chromosome:ASM357664v1:4:1907022:1907930:-1 gene:itb04g03090 transcript:itb04g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFSVILCAVAAVKLYQDLTDLEDFSQDTFNRLAEIYSTVFLVSTFMTMGQFLQIEDELGGSSTLLALAGSLYALLVVPEHNEFHQYCFLGITFCFGVFRTGPLWIQTRESCHVLLWHALLYHTINGMGWLYFVPL >itb12g07130.t1 pep chromosome:ASM357664v1:12:5324144:5326754:-1 gene:itb12g07130 transcript:itb12g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFKKAKSVRLKSHHDKYLLADSDKESVFQDRSGTRKSAKWTVEFVEGFDNVIRLKSCYGKYLTAMDEQFLLGVTGRRVRQTTPKKLDSKLEWEPIIEDSRGRRGVKLKTRYGNYLRANGGLPPWRNSITHDIPHRHQNWILWEVDVVETLPESPKKVPRSEDGDDDLTDFRLSSSTLRRSASESFASSQGGRLIYYYVADDRGKVNDAVEGQSFQFKGHCLEQLTEKLEELTGLESIIVCLRNPFTGKFSPLRLALPPNNATMHIVVLPPTSRAAREFMPESAPR >itb09g25020.t1 pep chromosome:ASM357664v1:9:24949418:24952497:1 gene:itb09g25020 transcript:itb09g25020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGILAISTVREEPVGFPLPVHIEEPILIYVAVPGSKMPLRVLESDSIGSVKLRIQTCKGFVVKNQKLVCGGRVLDRSNSLVRDYGVTDGNVLHLVLRLSDLQVINVTTCCGKEFTFHVERSRDVGYVKRQIQEKGGLADSEEQEVFCNGKQLEDHRLIHNISKSSDAVIHLFVQKSAKIRARPVEKNFELSVVAPQPGGVVKETTRVNDTENKLIVPRKPPDRETFLEPFIVNPKIELPSVIYDMIDSTREGLYQGNYPIRTSEGTGGAYFMLDASGSTYISVFKPIDEEPMAVNNPHALPVSFSGEGLKKGTRVGEGAYRECAAYVLDHPKSGRRSFSGQVNGFAGVPPTTLVECLHSGFHNPEGVNIKIGSLQMFMENDGSCEDMGPGTFPVEEVHKIAVLDMRLANADRHAGNILMRKLEDGQTQLIPIDHGYCLPESFEDITFDWLYWPQTRKPFSSETLDYIKSLDAEEDIALLKHYGWELPLECARTLCISTMLLKKGAERGLTPFAIGNIMCRETLNKESGIEKIVQRALDSMLPDSSETAFLESVSQIMDQYLAEMAL >itb13g02370.t1 pep chromosome:ASM357664v1:13:2230798:2232420:-1 gene:itb13g02370 transcript:itb13g02370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMMELLVVMTVFLSAVTNVQRSDAATYTVGDSSGWSTPFNGDSSTYTTWANQHQFVTGDILVFNFNTGLHTVATVNNKNDYDSCNPANANVQNNGPVSIPLNSTGLHYYFCTVHCSQGQKLTVNVGSTNNNNGSPPPPPGTIPSPGFNSPPPPPPPPPSAATSATLFSGLIILPLLLAVL >itb02g11270.t3 pep chromosome:ASM357664v1:2:7518497:7522002:-1 gene:itb02g11270 transcript:itb02g11270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MATILGLFCLASLFLKSESISRADFPHGFIFGTSSSAFQFEGAVNEGNKGPSIWDTFSNKPGRILDFSNANTTVDQYHRFRGDIDLMKDMGMDAYRFSISWTRIFPNGTGEPNPKGIEYYNSLIDALLEKGIQPFVTLYHWDLPQSLEDKYGGFLSNQIVKDFEHYAFTCFKFFGDRVKNWITFNEPHGYTIQGYDLGVQAPGRCSILGHLFCKEGKSSTEPYIVAHNILLSHAAVYHSYHHNFKRSQGGRIGIALDVKWYEPISESDEDKAAASRAMDFGLGWILDPLLLGEYPVSMQSLVAERLPEISGEVSRQLRGSLDFIGINHYTTYYARNDRFRIRKFFMQDASSDAAVITTAFKHGVAIGETVSPLLTKDCCKCVIQLSA >itb02g11270.t1 pep chromosome:ASM357664v1:2:7518497:7522002:-1 gene:itb02g11270 transcript:itb02g11270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MATILGLFCLASLFLKSESISRADFPHGFIFGTSSSAFQFEGAVNEGNKGPSIWDTFSNKPGRILDFSNANTTVDQYHRFRGDIDLMKDMGMDAYRFSISWTRIFPNGTGEPNPKGIEYYNSLIDALLEKGIQPFVTLYHWDLPQSLEDKYGGFLSNQIVKDFEHYAFTCFKFFGDRVKNWITFNEPHGYTIQGYDLGVQAPGRCSILGHLFCKEGKSSTEPYIVAHNILLSHAAVYHSYHHNFKRSQGGRIGIALDVKWYEPISESDEDKAAASRAMDFGLGWILDPLLLGEYPVSMQSLVAERLPEISGEVSRQLRGSLDFIGINHYTTYYARNDRFRIRKFFMQDASSDAAVITTAFKHGVAIGETSASHWLRIVPWGIRKLLNYVREKYGNPLVLITENGMDDPNKHHMPLEEALLDQKRINYHQNYLSNISAAIREDNCNVRGYFVWSLLDNWEWNMGFSVRFGLYFVDFKNNLTRTPKSSAKWFKNMLRA >itb02g11270.t5 pep chromosome:ASM357664v1:2:7518497:7522002:-1 gene:itb02g11270 transcript:itb02g11270.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MKGDIDLMKDMGMDAYRFSISWTRIFPNGTGEPNPKGIEYYNSLIDALLEKGIQPFVTLYHWDLPQSLEDKYGGFLSNQIVKDFEHYAFTCFKFFGDRVKNWITFNEPHGYTIQGYDLGVQAPGRCSILGHLFCKEGKSSTEPYIVAHNILLSHAAVYHSYHHNFKRSQGGRIGIALDVKWYEPISESDEDKAAASRAMDFGLGWILDPLLLGEYPVSMQSLVAERLPEISGEVSRQLRGSLDFIGINHYTTYYARNDRFRIRKFFMQDASSDAAVITTAFKHGVAIGETSASHWLRIVPWGIRKLLNYVREKYGNPLVLITENGMDDPNKHHMPLEEALLDQKRINYHQNYLSNISAAIREDNCNVRGYFVWSLLDNWEWNMGFSVRFGLYFVDFKNNLTRTPKSSAKWFKNMLRA >itb02g11270.t2 pep chromosome:ASM357664v1:2:7518497:7522002:-1 gene:itb02g11270 transcript:itb02g11270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MKDMGMDAYRFSISWTRIFPNGTGEPNPKGIEYYNSLIDALLEKGIQPFVTLYHWDLPQSLEDKYGGFLSNQIVKDFEHYAFTCFKFFGDRVKNWITFNEPHGYTIQGYDLGVQAPGRCSILGHLFCKEGKSSTEPYIVAHNILLSHAAVYHSYHHNFKRSQGGRIGIALDVKWYEPISESDEDKAAASRAMDFGLGWILDPLLLGEYPVSMQSLVAERLPEISGEVSRQLRGSLDFIGINHYTTYYARNDRFRIRKFFMQDASSDAAVITTAFKHGVAIGETSASHWLRIVPWGIRKLLNYVREKYGNPLVLITENGMDDPNKHHMPLEEALLDQKRINYHQNYLSNISAAIREDNCNVRGYFVWSLLDNWEWNMGFSVRFGLYFVDFKNNLTRTPKSSAKWFKNMLRA >itb02g11270.t4 pep chromosome:ASM357664v1:2:7518497:7522002:-1 gene:itb02g11270 transcript:itb02g11270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MATILGLFCLASLFLKSESISRADFPHGFIFGTSSSAFQFEGAVNEGNKGPSIWDTFSNKPGRILDFSNANTTVDQYHRFRGDIDLMKDMGMDAYRFSISWTRIFPNGTGEPNPKGIEYYNSLIDALLEKGIQPFVTLYHWDLPQSLEDKYGGFLSNQIVKDFEHYAFTCFKFFGDRVKNWITFNEPHGYTIQGYDLGVQAPGRCSILGHLFCKEGKSSTEPYIVAHNILLSHAAVYHSYHHNFKRSQGGRIGIALDVKWYEPISESDEDKAAASRAMDFGLGWILDPLLLGEYPVSMQSLVAERLPEISGEVSRQLRGSLDFIGINHYTTYYARNDRFRIRKFFMQDASSDAAVITTAFKHGVAIGETVSPLLTKDCCKCVIQLSA >itb04g04340.t1 pep chromosome:ASM357664v1:4:2686787:2687942:-1 gene:itb04g04340 transcript:itb04g04340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKHFSHNHGLVFHQMPQGAQIQCSGCHCPGTGPVYACWRCSFFLHDQCFRANRSLKHPSHPLHPLTLTPYPTYPSNSFYCNSCTLIGSGFSYSCSECDFDLHVHCAHSPIPNQAIPCTHPNPNPNPSPIPSFSPPTSAPAAASPSVHSPVPAKPAPEPEPPVGFPTWKDRETTHFSHPHRLKLTEVQEKDGKKCSGCEYIITGPVYTCVDPDCGVDFNLHKKCFELPREVRHKSHLDHALTLLPSPPYQDGDGFACNACLQKGSAFVYHCGACSFDLHVECVRWPEKVQRDDHKHALSLFYAPPAPPVPPKWRGRNGVQPPVDKQEDADDAAAAAAIQEAQMKLALLQLLVNGGGPIEFTFS >itb10g23900.t1 pep chromosome:ASM357664v1:10:27996032:27999755:1 gene:itb10g23900 transcript:itb10g23900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVTLSAGRELSNPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDASANVLRGEFLHGGAVLDCCFHDDSSGFSASIDNTVRRLVFNYGRDDILGRHDAPVRCVEYSYTGQVITGSWDKTLKCWDPRGASGQEHTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSDSGQSKKYAFKCHRKSEAGRDIVYPVNAIAYHPIYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPSSIAALSFSRDGRLLAVASSYTFEEGQKPHEPDTIFLRSVNEVEVKPKPKVLPNPTM >itb02g09690.t1 pep chromosome:ASM357664v1:2:6219383:6222077:1 gene:itb02g09690 transcript:itb02g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESKQSHHHHHKAEDAEAPPPPQYGTFQGVANYPPQPVVGFPQPTAPPGATGAPPEYYSHGYQAVPGYAVVEGTPITPVRQRRLPCCGCGIGWFLFIIGFFIAAIPWYIAAFIMLCARVDPREKPGYIACTIAAVLATIALIFGLTAD >itb14g19860.t1 pep chromosome:ASM357664v1:14:22380208:22381833:-1 gene:itb14g19860 transcript:itb14g19860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWLVECQNSMGCLWDDESLPFNVNDSQEMVLLEVLAAETSGKESSEEEVSSEEPKKEEKSWRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEAAAMAYDQAAFAMRGSMAVLNFPEEVVRESLREMKCGFKEGCSPVVELKRRHSMIRKRSACRRRNGAKKNVVVLEDLGAEFLEQLLTSSESATTSTW >itb12g05950.t1 pep chromosome:ASM357664v1:12:4444912:4447080:-1 gene:itb12g05950 transcript:itb12g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASLAAEVPNGKIRAFETFRNIILPILTTLILIAFSVSSYSTSNSLLQSFTFSSNNASTSMGIERRCDIFKGNWVPYPEGPYYTNKSKCVIEDRQNCMKFGRPDSEFMKWRWQPDECDLPMFDAGRFLEVVRGKTLAFVGDSVARNQMQSLVCLLASVADPVDVSYVTNTKFRRWLYKDYNFTIQALWSPHLVKSRDVDPNGTSANSLMSLYLDEPDSAWADHVQEADIVIISAAQWFFRPFLYHKRGRLIGCHKCTQTNTTKLTNFFGYRMAFRTAFKTLSRIAKPRGLVILRTFSPSHFENGDWDKGGNCVRTKPFVKSEVKVEGYVLEMYLIQIQEFRAAQRRRGTVKLRLLDTTEAMILRPDGHPNHYGHWAYQNITVADCVHWCLPGPVDTWNQLLLQILKTEEDELKHLLNHN >itb13g23410.t1 pep chromosome:ASM357664v1:13:29419214:29422242:1 gene:itb13g23410 transcript:itb13g23410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITTASVSSPLTTIAPGAAHPRPLSTAISSAASLSHLKQIHAQIFRNNLHRSNSLLLDFVLSSLPFSSDYALAVFFNIHNPEPHLCNKLLRKLSRSREPRKALLVFGALRKKGFSVDRFGFPPLLKAASNALALVEGREIHGLGCKLGYDSDPFVQTALLGMYASCGLIPEARQVFDKMSYRDVVTWDIMIDGYCESGLFDDALSLLEEMKSSGIETDERIFTTILSSCARARNLAVGKLIHNFVSENDITIDSQLQTALVNMYASCGSMDVAQSLYDELSPKNVVASTAMLFGYSKVGRIEAARLIFDQMASRDLVCWSAMISGYAESDRPQEALKLFNEMQAASRIRPDQVAMLSVISACANLGALDQAKHIHMFVKKNGFEEDLRINNALIDMYGKCGSLDGAIGVFDRMHRKNVISWTSMINAFAMHGDANNALLLFNQMKKEKVEPNGVTFLGLLYACSHAGLVEEGQKMFSSMVNEYGLAPKHEHYGCMVDLYGRANLLKEALKVIETMPMAPNVVIWGSLMAACRIHGEFELGEFAAKQILVLEPDHSGAHVLLSNIYAKERRWGNVREVRKLMTLNDITKEQGCSKIEINRDTHEFLTADRSHKQAGEIYAKLDIVVDKLKEAGYAPDTSSVLLDLDEDEKRDAILWHSEKLAFCYGLINSERGSCIRIIKNLRVCEDCHNFMKLASRVFDRKIVMRDRTRFHQYADGLCSCKDFW >itb12g09110.t1 pep chromosome:ASM357664v1:12:7152354:7153937:1 gene:itb12g09110 transcript:itb12g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/TrEMBL;Acc:A0A178W4Z3] MSQNQNSFSDYGSLPHPTPICTGKPPRPPPRAFKPHLPPTTPILRARSDYATRRPWRVFFDYTSFSRPYDSAEAMTRVRRNLNYFRVNYAMVILVILFISLVYHPISMIVFLVIFIAWFFLYFYREDPVMIFGKNFGDRPVSILLGLVTVVALVFTHVGVNVLVALIIGVLVSGLHAAIRGTEDLFLDENEASEGGLVSVVSEGQMRPGHNSPTMR >itb12g23470.t1 pep chromosome:ASM357664v1:12:25173110:25174927:1 gene:itb12g23470 transcript:itb12g23470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGQGERVRLYTRGTILGYKRSKSNQYPNSSLIQIEGVNTKEEVDWYLGKRMAYVYKAKTKISGSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGDKVRVFMYPSNNV >itb12g23470.t2 pep chromosome:ASM357664v1:12:25173107:25175019:1 gene:itb12g23470 transcript:itb12g23470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGQGERVRLYTRGTILGYKRSKSNQYPNSSLIQIEGVNTKEEVDWYLGKRMAYVYKAKTKISGSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGDKVRVFMYPSNNV >itb12g22340.t3 pep chromosome:ASM357664v1:12:24435688:24440746:-1 gene:itb12g22340 transcript:itb12g22340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSASSGGSNTQISPPAKEKRIDWEKPDKPDKSRSKKKVPENHTFGDTPTLRRSLSFSSGSFYDGWVGQMNCQDQSGSPHGDANIKKSNRSSSRSRAITPERQRSKFFEMDGVENSYKVGNGPHGYGSSFRPHLDSFESSSHCSSNVSSKVLDRYIDGEQEQETSGLTSDFSIKYHADIGCGGVQLPPRSQSKAAASLPNANKQNPKAQSFGEARGPQLQELMENGFGNESPRKLAKKVVERLSQSRLLAQENKKEYGADTPITIEDIYHGSASRYPSSYLDGNSQKDYLIDGLNEATSKYHLEEMTTFQERKIFSGVNYSTMDNVNAKEDSDLELFRKFKDAEEREMVLSEDLEEQNFLQSRGLSVPTLIQKIRSLIEDKVQMAREVSAALQGQIAERASAREEVKLLRAELDSQKRRLEEEKNELQYSLEKELDRRSSEWSLKLERYHTEEHRLRDRVRELAEQNVSLQREVSSFSEKEVDNKSRISYLEKQLDDLVKRVEEESEENQNLQQNFSELQEKYRGVQEDQDCIRRNCEEKVKECKDLHRSITRLQRTCNEQEKTIDGLRLFYEEINKKRSAEEFDNQLMKSRMEQVRLVGMECTLRKELESYRLEVDRLRHENIHLLSRLKGSGQDAGLLTLRLDQELLNRVNSLQNQGLLLLKDSTLLCEKLLEYAKSTTGDMSKGGLCTLDGGLQGQFAIESDVKLQGFKRGLENLARSLQNVSVVLDEKSCTGKSKSHPSTLENKIHQSDDQKFELYIQELDMEQLQAELATAVRGNDMLKCELQNVRDNLSCVTHKTKNLELQILKKDENIKKLQNDLEECMKELTVAKGILPKVSQERDMLWEEVKQYSESNMLLNSENNLLKKKIETLDEDILLKEGQITILKDTLGKPIDLLSIPGSTREFLVE >itb12g22340.t2 pep chromosome:ASM357664v1:12:24435688:24439681:-1 gene:itb12g22340 transcript:itb12g22340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSASSGGSNTQISPPAKEKRIDWEKPDKPDKSRSKKKVPENHTFGDTPTLRRSLSFSSGSFYDGWVGQMNCQDQSGSPHGDANIKKSNRSSSRRAITPERQRSKFFEMDGVENSYKVGNGPHGYGSSFRPHLDSFESSSHCSSNVSSKVLDRYIDGEQEQETSGLTSDFSIKYHADIGCGGVQLPPRSQSKAAASLPNANKQNPKAQSFGEARGPQLQELMENGFGNESPRKLAKKVVERLSQSRLLAQENKKEYGADTPITIEDIYHGSASRYPSSYLDGNSQKDYLIDGLNEATSKYHLEEMTTFQERKIFSGVNYSTMDNVNAKEDSDLELFRKFKDAEEREMVLSEDLEEQNFLQSRGLSVPTLIQKIRSLIEDKVQMAREVSAALQGQIAERASAREEVKLLRAELDSQKRRLEEEKNELQYSLEKELDRRSSEWSLKLERYHTEEHRLRDRVRELAEQNVSLQREVSSFSEKEVDNKSRISYLEKQLDDLVKRVEEESEENQNLQQNFSELQEKYRGVQEDQDCIRRNCEEKVKECKDLHRSITRLQRTCNEQEKTIDGLRLFYEEINKKRSAEEFDNQLMKSRMEQVRLVGMECTLRKELESYRLEVDRLRHENIHLLSRLKGSGQDAGLLTLRLDQELLNRVNSLQNQGLLLLKDSTLLCEKLLEYAKSTTGDMSKGGLCTLDGGLQGQFAIESDVKLQGFKRGLENLARSLQNVSVVLDEKSCTGKSKSHPSTLENKIHQSDDQKFESELKSETLLTNLLREKLYIQELDMEQLQAELATAVRGNDMLKCELQNVRDNLSCVTHKTKNLELQILKKDENIKKLQNDLEECMKELTVAKGILPKVSQERDMLWEEVKQYSESNMLLNSENNLLKKKIETLDEDILLKEGQITILKDTLGKPIDLLSIPGSTREFLVE >itb12g22340.t1 pep chromosome:ASM357664v1:12:24435599:24440746:-1 gene:itb12g22340 transcript:itb12g22340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSASSGGSNTQISPPAKEKRIDWEKPDKPDKSRSKKKVPENHTFGDTPTLRRSLSFSSGSFYDGWVGQMNCQDQSGSPHGDANIKKSNRSSSRSRAITPERQRSKFFEMDGVENSYKVGNGPHGYGSSFRPHLDSFESSSHCSSNVSSKVLDRYIDGEQEQETSGLTSDFSIKYHADIGCGGVQLPPRSQSKAAASLPNANKQNPKAQSFGEARGPQLQELMENGFGNESPRKLAKKVVERLSQSRLLAQENKKEYGADTPITIEDIYHGSASRYPSSYLDGNSQKDYLIDGLNEATSKYHLEEMTTFQERKIFSGVNYSTMDNVNAKEDSDLELFRKFKDAEEREMVLSEDLEEQNFLQSRGLSVPTLIQKIRSLIEDKVQMAREVSAALQGQIAERASAREEVKLLRAELDSQKRRLEEEKNELQYSLEKELDRRSSEWSLKLERYHTEEHRLRDRVRELAEQNVSLQREVSSFSEKEVDNKSRISYLEKQLDDLVKRVEEESEENQNLQQNFSELQEKYRGVQEDQDCIRRNCEEKVKECKDLHRSITRLQRTCNEQEKTIDGLRLFYEEINKKRSAEEFDNQLMKSRMEQVRLVGMECTLRKELESYRLEVDRLRHENIHLLSRLKGSGQDAGLLTLRLDQELLNRVNSLQNQGLLLLKDSTLLCEKLLEYAKSTTGDMSKGGLCTLDGGLQGQFAIESDVKLQGFKRGLENLARSLQNVSVVLDEKSCTGKSKSHPSTLENKIHQSDDQKFESELKSETLLTNLLREKLYIQELDMEQLQAELATAVRGNDMLKCELQNVRDNLSCVTHKTKNLELQILKKDENIKKLQNDLEECMKELTVAKGILPKVSQERDMLWEEVKQYSESNMLLNSENNLLKKKIETLDEDILLKEGQITILKDTLGKPIDLLSIPGSTREFLVE >itb01g00660.t5 pep chromosome:ASM357664v1:1:299611:302711:1 gene:itb01g00660 transcript:itb01g00660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTIVLSQLKIDGEDQGVHAFIAQIRDTNGNVCPNIRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVSPDGKYLTAIKDPDRRFGAFMAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQNDIFCLRERHLLNLFAAEVSQHQASGEGKENAFLLSYQLAEDLGRAFADRTIYQTFVNAEASVNSGSLKNILGLVRSMYAMVILDEDAAFLRYGCLTTDNAAAVRKEIVTLCSELRPHALALVTSFGIPDAFLSPIAFNWVDSNAWSSL >itb01g00660.t1 pep chromosome:ASM357664v1:1:298224:302711:1 gene:itb01g00660 transcript:itb01g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNFRAKVLSGHLTPQHLQCSLSPSPCLSYAPPELVSEPAGALDVFEMRKLMDGHNLQDRDWLYGIMIQSHLFNPRERGGKVFVAADYNQCMEQQREITMRRIEHLLDCGVFKGWLTQNCPEAELRKLALLDVIALFDHSLSVKLGVHFILWGGAIQFLGTKRHHDEWLRDTENYAVKGCFAMTELGHGSNVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTIVLSQLKIDGEDQGVHAFIAQIRDTNGNVCPNIRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVSPDGKYLTAIKDPDRRFGAFMAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQNDIFCLRERHLLNLFAAEVSQHQASGEGKENAFLLSYQLAEDLGRAFADRTIYQTFVNAEASVNSGSLKNILGLVRSMYAMVILDEDAAFLRYGCLTTDNAAAVRKEIVTLCSELRPHALALVTSFGIPDAFLSPIAFNWVDSNAWSSL >itb01g00660.t2 pep chromosome:ASM357664v1:1:299611:302711:1 gene:itb01g00660 transcript:itb01g00660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRGGAIQFLGTKRHHDEWLRDTENYAVKGCFAMTELGHGSNVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTIVLSQLKIDGEDQGVHAFIAQIRDTNGNVCPNIRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVSPDGKYLTAIKDPDRRFGAFMAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQNDIFCLRERHLLNLFAAEVSQHQASGEGKENAFLLSYQLAEDLGRAFADRTIYQTFVNAEASVNSGSLKNILGLVRSMYAMVILDEDAAFLRYGCLTTDNAAAVRKEIVTLCSELRPHALALVTSFGIPDAFLSPIAFNWVDSNAWSSL >itb01g00660.t4 pep chromosome:ASM357664v1:1:298226:302611:1 gene:itb01g00660 transcript:itb01g00660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNFRAKVLSGHLTPQHLQCSLSPSPCLSYAPPELVSEPAGALDVFEMRKLMDGHNLQDRDWLYGIMIQSHLFNPRERGGKVFVAADYNQCMEQQREITMRRIEHLLDCGVFKGWLTQNCPEAELRKLALLDVIALFDHSLSVKLGVHFILWGGAIQFLGTKRHHDEWLRDTENYAVKGCFAMTELGHGSNVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTIVLSQLKIDGEDQGVHAFIAQIRDTNGNVCPNIRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVSPDGKYLTAIKDPDRRFGAFMAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQVIKFYFYPQL >itb01g00660.t3 pep chromosome:ASM357664v1:1:298263:302711:1 gene:itb01g00660 transcript:itb01g00660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTIVLSQLKIDGEDQGVHAFIAQIRDTNGNVCPNIRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVSPDGKYLTAIKDPDRRFGAFMAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQNDIFCLRERHLLNLFAAEVSQHQASGEGKENAFLLSYQLAEDLGRAFADRTIYQTFVNAEASVNSGSLKNILGLVRSMYAMVILDEDAAFLRYGCLTTDNAAAVRKEIVTLCSELRPHALALVTSFGIPDAFLSPIAFNWVDSNAWSSL >itb01g00660.t7 pep chromosome:ASM357664v1:1:300478:302611:1 gene:itb01g00660 transcript:itb01g00660.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRFGAFMAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQNDIFCLRERHLLNLFAAEVSQHQASGEGKENAFLLSYQLAEDLGRAFADRTIYQTFVNAEASVNSGSLKNILGLVRSMYAMVILDEDAAFLRYGCLTTDNAAAVRKEIVTLCSELRPHALALVTSFGIPDAFLSPIAFNWVDSNAWSSL >itb01g00660.t6 pep chromosome:ASM357664v1:1:300004:302611:1 gene:itb01g00660 transcript:itb01g00660.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTTGRVTIPSIVTYSAKVGIAIAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANFLKRMYVKRKPEMIKTLHVVSSAFKATLSWHNMRTLQECREACGGQGLKTENRIGQAKSEYDVHSTFEGDNNVLMQQVSKALLAEYVTCKRKGKGFKGLGLEHMNESCPVIPSKLSNDALRSIQFQNDIFCLRERHLLNLFAAEVSQHQASGEGKENAFLLSYQLAEDLGRAFADRTIYQTFVNAEASVNSGSLKNILGLVRSMYAMVILDEDAAFLRYGCLTTDNAAAVRKEIVTLCSELRPHALALVTSFGIPDAFLSPIAFNWVDSNAWSSL >itb06g08800.t1 pep chromosome:ASM357664v1:6:12905491:12910288:-1 gene:itb06g08800 transcript:itb06g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPIPSDGATGPITVVAVDKDKNSASAVKWTVDNLLISNPFVILLHVRHKSSSNQNGGSDANGGMNDHDGHCVFTPFRAYSARRGIILKEVFVEDTEVAKAIIGYINTHRVSNVVLGASSRSAISRKFWTHDVPTIVNKTAPDFCTVYVISKGKQQSIRPAARPLANLPNQMWAQGSLSRASRISSAASAAAAAAADDGSCRAGSMRGEHSGRARRSMDRDPDGSVRRQPRNSSCRNNSIVEDNNDLFAPISDGLDNAAAAQNLDFTRISDAASDACSVASKDLEAEMKRLKLELKQTMDMYNTACKEAITAKNSAKELQQMKIEESQRFEQARQAEEAALRMVEMEKAKCKAAMEAAEKAQKIAEMEAQRTKYAEMKAKKEMAEKNRALSVLSKSDCRYRKYSIDEIEFATEKFSKSMKIGEGGYGPVYRGRLDHTPVAIKVLRPDAAQGRKQFQQEVEVLSLMRHPNMVLLLGACPEYGCLVYEYMDNGSLEDRLFRKGNTPPIPWEIRFKISAEIATALLFLHQSKPEPLVHRDLKPANILVDRNFVSKISDVGLARLVPPSVADDVTQYHMTSAAGTFCYIDPEYQQTGKLGTKSDIYSLGVMLLQIITARPPMGLTHHVERAIEKGTFGDLLDPTVSDWPVEEALKFAKLSLQCAELRKKDRPDLGAVILPELNRLKELGIQSLSSKNGSQSFGRYC >itb01g10900.t1 pep chromosome:ASM357664v1:1:9516302:9525549:-1 gene:itb01g10900 transcript:itb01g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYRSFPHNPLQPLFFSRKIPFTSCPLPLESPPQSATGALPDGPRVMCFSALEQLIRRGLVNSAQKLVQRIIKHSSSVHEAVSAVDFAVSRGVELDIKSYDFLIRKLATCGKARMAEAVYVDFILSRGVEPDHYLLNSMVLCYSKLGKLEEAKSQFDRLVAMEIMPCSAACSEIISEFCAQNRVLEGFCVFLEVCDFEFVLNLRCYNGLVDGLSSRGYIDEALCVYGFMCDKGVPPTVHLLKKLVFMLCRSERVEEAELLSLNMESFGFFLDKVMYTALINGYCLKRSMRMAMRLFYRMLKTGCQPDNYTYNTLMHGFLNLGMLEKIPVLHHQMEELGLKLNVVSYQIMISKCCKVCKVDCALALLNSMIQCNLAPTVYCYTPLLAALYKENRLAEVDQLYNQLFDHGFLPDEVLFFTVVKNHVEGHEINLAHNFVAEIARNGCGIDLSDICSTSSKSADDIMLETDLLLEEIFSRNSVLACVAFNIYMIALCYGGRLEAALLCMDKMSNLSLQPSLPAYNSMIRCLYQKGRGEDAKSLVKVMQDQGLVPSMLTFLIMANEQCKQGDLPSAIGILDKLEDSGMKPSVAIYDSIIGHLIREKRIPEALELFARMREAGTLPDETMFVTMINGLSKNGQAIAAHELFEKMLECGIRPGYRAYTALINGFVKKNMIAKGCLYLDRMLKEGFMPNAALYTALINQFLRKGEIGFALQLVDLMERSQIEQDMITHVALWSLDDSAISTLDSTVDLEGFYLMRGSSLKLKVYICCYLIDLLTSRKKLLYLKRRSNQLVAAPDTTPTSSSDGYYKRRKNQLVRTITENHVKQGAAVVDDGLNSERQVAEKAITKKQTVLPNVHNRSKFSLVWTLNGKSYCSQEKRDTVYTRSAYGLSLRRSKVLSVCGASLKWSKSIERNSRKANQEATLAVAAAEKRKRGQNSIAPSNSNRRNNVSRERIFRIGSERYKMDPTGKTLQRISSDEGPAEDVPQSESNAKTSYIPRRLLIGNDDGGRNTHMSALQLGIALKNDRGRYFGSPLRILISEDKSSDEDFFFKEGRFVDFISLDANVEEQQSSVERCEEGGPLHLQIAAAAADFDELIKPIRLINRNRTVDSSSMGSDSPSEMSTSYDVSEESHSHSHCCKNESL >itb12g14950.t1 pep chromosome:ASM357664v1:12:15310459:15315386:-1 gene:itb12g14950 transcript:itb12g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGDQIDSIKSIQFRQVITQAVTLGMIVTSALIIWKGLMCITGSESPVVVVLSGSMEPGFKRGDILFLRMTEDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTGEVDILTKGDNNFGDDRALYAHGQLWLQRQHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >itb04g24970.t1 pep chromosome:ASM357664v1:4:29660157:29660675:1 gene:itb04g24970 transcript:itb04g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIMADQLIDDRDSQISLSCGCEEKFVLQGNFFSKTFRRQLLLCLFCWTWRIAVQISGVRVERSEVVSTVTVKLGDQKFWFNCLVQ >itb10g03580.t3 pep chromosome:ASM357664v1:10:3312012:3317234:1 gene:itb10g03580 transcript:itb10g03580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMKKPLTTFLFLLFLHILACNAKPQVYVVYLGGHSGGKTAKEIEGQHRTYIHHVKRSKEEASASLVHSYKNVINGFSALLTSEEATTLADMDGVMSVFPSKSLELQTTRSWDFIKMYEGNGNPTTSGEELLPKAGGGKDVIVGVIDTGIWPESQSFNDEGMKPVPKSWKGTCQEGLHFTSSHCNRKIIGARYHVKGYEASWGPVNHTFDFRSARDISGHGTHTASTVAGRKVANASALGGFANGVATGGAPLTRLAIYKACWQVPFDDIKATFCQDDDVLAAFDHAVADGVQVISVSLGLFPQDNYFTQDGVSLGALHAMKRNIAVVGSAGNYREPYSVTNVAPWIFTVGASSIDRVFSAPVLLGNNMLVKGESITEIKKQETLPVVFAGDVEIPGSTTIPGFCRQDTLSPEKVKGKVVFCLAGGLFQTLEVQRAGGAAVIVGNIGSEIPVESFLIPATNIFNPSGTDAILKYILTNKNPVATLIPGKTIFGAKPSPAMAPFTSLGPSFPEPNILKVNCN >itb10g03580.t1 pep chromosome:ASM357664v1:10:3312012:3317234:1 gene:itb10g03580 transcript:itb10g03580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMKKPLTTFLFLLFLHILACNAKPQVYVVYLGGHSGGKTAKEIEGQHRTYIHHVKRSKEEASASLVHSYKNVINGFSALLTSEEATTLADMDGVMSVFPSKSLELQTTRSWDFIKMYEGNGNPTTSGEELLPKAGGGKDVIVGVIDTGIWPESQSFNDEGMKPVPKSWKGTCQEGLHFTSSHCNRKIIGARYHVKGYEASWGPVNHTFDFRSARDISGHGTHTASTVAGRKVANASALGGFANGVATGGAPLTRLAIYKACWQVPFDDIKATFCQDDDVLAAFDHAVADGVQVISVSLGLFPQDNYFTQDGVSLGALHAMKRNIAVVGSAGNYREPYSVTNVAPWIFTVGASSIDRVFSAPVLLGNNMLVKGESITEIKKQETLPVVFAGDVEIPGSTTIPGFCRQDTLSPEKVKGKVVFCLAGGLFQTLEVQRAGGAAVIVGNIGSEIPVESFLIPATNIFNPSGTDAILKYILTNKNPVATLIPGKTIFGAKPSPAMAPFTSLGPSFPEPNILKPDITAPGLNILAAWSEASSPLELPEDHRSVKYNIVSGTSMSCPHVSAVVALLKAIHPDWSGAAIKSAIMTTATTKNVKGEAIKDAYGEVAGPFHYGAGHIQPSKAADPGLVYDSTYTDYLLFVCSSIGTTLDPSFKCPKKASSPSNLNYPSLAIAGLKGSMVVKRTVTNVGSANATYSVEVKAPTGYSVKISPTELKFMEVGEKQSFFVSVKAESVKKLAGEFEFGWYKWSDGIHMVKSPIVVSSA >itb10g03580.t2 pep chromosome:ASM357664v1:10:3312012:3316357:1 gene:itb10g03580 transcript:itb10g03580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMKKPLTTFLFLLFLHILACNAKPQVYVVYLGGHSGGKTAKEIEGQHRTYIHHVKRSKEEASASLVHSYKNVINGFSALLTSEEATTLADMDGVMSVFPSKSLELQTTRSWDFIKMYEGNGNPTTSGEELLPKAGGGKDVIVGVIDTGIWPESQSFNDEGMKPVPKSWKGTCQEGLHFTSSHCNRKIIGARYHVKGYEASWGPVNHTFDFRSARDISGHGTHTASTVAGRKVANASALGGFANGVATGGAPLTRLAIYKACWQVPFDDIKATFCQDDDVLAAFDHAVADGVQVISVSLGLFPQDNYFTQDGVSLGALHAMKRNIAVVGSAGNYREPYSVTNVAPWIFTVGASSIDRVFSAPVLLGNNMLVKGESITEIKKQETLPVVFAGDVEIPGSTTIPGFCRQDTLSPEKVKGKVVFCLAGGLFQTLEVQRAGGAAVIVGNIGSEIPVESFLIPATNIFNPSGTDAILKYILTNKNPVATLIPGKTIFGAKPSPAMAPFTSLGPSFPEPNILKPDITAPGLNILAAWSEASSPLELPEDHRSVKYNIVSGTSMSCPHVSAVVALLKAIHPDWSGAAIKSAIMTTGIYNV >itb13g20090.t1 pep chromosome:ASM357664v1:13:26919082:26925157:1 gene:itb13g20090 transcript:itb13g20090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATTLPCDGDGVCMVCKSKPAWTETLTCKTCATPWHVACLPVPLESMADTDEWECPDCSPSVVAADAHLAVKPASVTPSSTSGDLIAAIRAIEADATLTEQEKAKRRQELMSGGAKVDDADREKRNASGDNEVLNLLDGSLNCSICMQLPDRPVTTPCGHNFCLKCFEKWVGQRKLTCANCRAAIPHKMASQPRINSALVIAIRMAKLSKQNVSDGPSRAYHFIHNQNRPDKAFTTERAKKPGKANAASGKIFVTIPQDHFGPIPPEHDPERNMGVLVGESWDDRLECRQWGAHFVHVGGIAGQGDYGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKQQSFDQKFERGNEALRVSCRKGYPVRVVRSFKEKRSSYAPEKGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDNLGDRPRSLPDVKEIKKGATDITERKGAPAWDYDEEKGCWLWKTPPPASRMGSFECDEDGNVVKKARTKKKQVSVKERLLKEFSCLLCHKVMVNPLTTPCAHNFCKACLDGAFAGQSFMKQRNCGGRRTLRTQKNVMKCPSCQTDISDFLQNPQVNRELMTLIESLQQKVEEEKAESSEGTSGGIDGADEDISEEIDGETDCLNEECAEENGVVADDNNNAINEDSVEGNKADEAKKPTTKPKQSRKGKRATSCDSTGITGAADEVKKTITRKKAKAA >itb14g08340.t2 pep chromosome:ASM357664v1:14:7772148:7775907:1 gene:itb14g08340 transcript:itb14g08340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKVGSHRRRASAGSEKILQPDHSLQSVCLMEVDQAQPHGNSKTRHRLKDVRHGLTTSKELLKVLSRVWGLEEQQATCLSLFAALKTELDRACAQVTKLIQEQKDNRGEIDFLVKQFEEEKAVWKIKEQDRIQSTIVSIAGELKTEKKLRKQTERLNKKLGRELADAKACLSKATKELESEKRAREILEQVCDELARGIGEDRAEVEELKRESAKVREEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNAAVDQLKTELEAYLKFKRGEEGSESPNYDRIKALEKHLRETLPGLIYQDKEKRAEGEETKEEGEEEDGDDSADSDLHSIELNMDDNSKSYEWSNVVYNNSNRLSSASDRTKGRKSTSAKAPRQSICLERQTSEGIVLEFSAGSGGKENQGVHSNGYSHLYDKGGSLESEPHTWKREHEDEIERYNMIKDLRDHIVSASKTTPSQDFTSPSKNWSHQTFASLEPSMIADAFTVLQGAE >itb14g08340.t1 pep chromosome:ASM357664v1:14:7772148:7775907:1 gene:itb14g08340 transcript:itb14g08340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKVGSHRRRASAGSEKILQPDHSLQSVCLMEVDQAQPHGNSKTRHRLKDVRHGLTTSKELLKVLSRVWGLEEQQATCLSLFAALKTELDRACAQVTKLIQEQKDNRGEIDFLVKQFEEEKAVWKIKEQDRIQSTIVSIAGELKTEKKLRKQTERLNKKLGRELADAKACLSKATKELESEKRAREILEQVCDELARGIGEDRAEVEELKRESAKVREEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNAAVDQLKTELEAYLKFKRGEEGSESPNYDRIKALEKHLRETLPGLIYQDKEKRAEGEETKEEGEEEDGDDSADSDLHSIELNMDDNSKSYEWSNVVYNNSNRLSSASDRTKGRKSTSAKAPRQSICLERQTSEGIVLEFSAGSGGKENQGVHSNGYSHLYDKGGSLESEPHTWKREHEDEIERYNMIKDLRDHIVSASKTTPSQDFTSPSKNWSHQTFASLEPSMIADAFTVLQGAE >itb14g08340.t3 pep chromosome:ASM357664v1:14:7772148:7775907:1 gene:itb14g08340 transcript:itb14g08340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSLNGDGGNGRSCKVRKRGGSSSSSSSLVRTYRLKRAVLVGKRGGSSTPVPMWKMMDASRSPASQNSCKYLEGNGGGDRGKEFLVSARKLAATLWEINGVSSLRGKENVEKMSEEGENGRKGRGLLKSSKLPSNSLPLQLSHPSHSPVSEEMERSKVGSHRRRASAGSEKILQPDHSLQSVCLMEVDQAQPHGNSKTRHRLKDVRHGLTTSKELLKVLSRVWGLEEQQATCLSLFAALKTELDRACAQVTKLIQEQKDNRGEIDFLVKQFEEEKAVWKIKEQDRIQSTIVSIAGELKTEKKLRKQTERLNKKLGRELADAKACLSKATKELESEKRAREILEQVCDELARGIGEDRAEVEELKRESAKVREEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNAAVDQLKTELEAYLKFKRGEEGSESPNYDRIKALEKHLRETLPGLIYQDKEKRAEGEETKEEGEEEDGDDSADSDLHSIELNMDDNSKSYEWSNVVYNNSNRLSSASDRTKGRKSTSAKAPRQSICLERQTSEGIVLEFSAGSGGKENQGVHSNGYSHLYDKGGSLESEPHTWKREHEDEIERYNMIKDLRDHIVSASKTTPSQDFTSPSKNWSHQTFASLEPSMIADAFTVLQGAE >itb07g19530.t1 pep chromosome:ASM357664v1:7:23962906:23967684:-1 gene:itb07g19530 transcript:itb07g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEKIKMPKKDAAGTNLSPPDTSVFWIETSNTVSRCFQFEPDGQLSVKIVDDSRPVAQKIVESFVNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHVGKIICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGIGAGIQLASTICSSMQGKMVVGPLLAAVHIYSVTEEMKATPVNTLNPQRTALIVKTFVKTGKISSPADLRYQEDLLFPGRLIEDAGNVKVGRALSSVVRPSDLHRFKEVLPEEKFILCRGPRCTDMILEHNATGEDALRGWLVAAYASAMEKSFHGSRENVLQEAYEKMNDVFCPFLSELQAKGWHTDQFLDGRGSRFAF >itb07g19530.t2 pep chromosome:ASM357664v1:7:23962906:23967684:-1 gene:itb07g19530 transcript:itb07g19530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEKIKMPKKDAAGTNLSPPDTSVFWIETSNTVSRCFQFEPDGQLSVKIVDDSRPVAQKIVESFVNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHVGKIICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEMAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGIGAGIQLASTICSSMQGKMVVGPLLAAVHIYSVTEEMKATPVNTLNPQRTALIVKTFVKTGKISSPADLRYQEDLLFPGRLIEDAGNVKVGRALSSVVRPSDLHRFKEVLPEEKFILCRGPRCTDMILEHNATGEDALRGWLVAAYASAMEKSFHGSRENVLQEAYEKMNDVFCPFLSELQAKGWHTDQFLDGRGSRFAF >itb01g35730.t1 pep chromosome:ASM357664v1:1:37772930:37779168:-1 gene:itb01g35730 transcript:itb01g35730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCRRETPSIAGIINPGSEGFQKLFFGQEEIAIPVHLGIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVVIIAEGVPESDTKQLVAYAKANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIHCKLYRPGSVGFVSKSGGMSSELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMVVVLGELGGRDEYSLVEALQQGKINKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAVVPTSYEAFEGAIKETFEKLVEAGKITPVKEVVPPQIPEDLNTAIKSGKVRAPTHIITTICDERGEEPCYAGVPIFSLVEQGLGVGDVISLLWFKRSLPRYCSRFIEICIMLCADHGPCVSGAHNTIVTSRAGKDVVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVAGIGHRLQRVVRMSDLNKSDVFGGAPDRNRCIDDVENNDDNMKAVKSRPAADEVEEWGDSTEISWFSSCQILDKDGDETARKEGPLLPRHKHEHQLQLVVDCMSLDDLDFDEEASSVQPFSGNQELSESKRKRAENALPSSLKLLYNFQNRFRRLTGEKVNAAAPSCSSSHDESREMNCCSSTRLWINEILQLAAHKFIENSSHGDSELNNLFPNSCWGLHGEDYKDVELLLHLLASAERVGQKKFDSAKGFLSMCDKLSSKNGNLVQRLVYYFSEALRDKVDWQTGEKSPENFGKKQIEYLKEELTCPKKCILETHQNFPFLQVIEFASVQAVIEHVAEAKKIHIIDLEINHGMQWTILMQALAAARHAESSIENLKISALVIKSGPLIEETGKQLTSFADSLKIPFSFKTVKVQDILEVNEQSFEVEEDEALAVYGLFFFMTMISKQDRLEHLMRVMRTIRPRVMVITEVEANHNSPVFVNRFTESLFFYSAFLDSLEHFLKHDEYIRANLEREQLSHRIRNIVAAEGEERVIRHVSMNVWRAFFARFGMKEVEMSMSSVLQANLVFNNFPYGKYCTLDNDGKSMIIGWKKTPLFSVSAWKLSN >itb02g00840.t1 pep chromosome:ASM357664v1:2:467497:472581:1 gene:itb02g00840 transcript:itb02g00840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKAGFYLTARALSCPNSWFLRFISRKGLAFIYSQRRLYSSAQKSTIYMDLVKEVASKGPDSFGSIAIKADQKCCTYLQLISCAKGICSLLSNLDLKTEDNIRQNNDLGGARVGIVAKPCPEFVAAILGIWLSGGVAVPLALSYPEAEILHVMNDSDISMILSTEDHQELMKNVAAKTGAQLSLLPTIPLPSDDGKSLQIEFSHNVKGEDPALILYTSGTTGRPKGVVHTHKSILAQVQMLKDAWGYSSEDHFLHCSKVEFIPKFSVRGIWQRWRESYPEDGTKTDDAITVFTGVPTMYTRLIQGYEAMDPELQSTSSSAAKNLRLMMCGSSALPLPIMQRWETITGHTLLERYGMTEFVMAISNPLRGKRKGGTVGKPFPGVQAKILSEDGSVDDTTGVGELCIKSPSLFKGYWKLPEVTKDSFTNDGFFKTGDAVRVDEDGYFIILGRTNADIMKVGGYKLSALEIEAILLEHPAISECCVLGLPDKDYGEAVCAIVVPEAELKQKCELESRSVLTLQELSAWAKEKLAPYKVSPIFMSVVMCKLHFWIAF >itb04g31680.t3 pep chromosome:ASM357664v1:4:34442920:34450195:-1 gene:itb04g31680 transcript:itb04g31680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSNGSSSSSSNQNRSLTSLPSSSSSSSSALVQPQTTSNNQVSSAGKDNELGAEIQEQVSLDEFSVDCFESKPVKSEKSEDFSPENLSNDLRADEEKIVDNDFVSEDLLKGLGGLGVVEEENEGSSLHAAAGSSYPPPPPVPPPKPTSMNSNLRRYPSGSSNAVRTGPSRGPLGRPIVSVRTSPTRSRPSSPRSHCESEGYNSADEQGPSLGPSYDDAERERQFEIDLRRVKGLEVKKMMEDGNCLFRAVADQVYGDSEVYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALCEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFRCLEGRNVDKDQVKAAIKAQQDQQIDNILLAEGRFISDLELTEKEIERMVMEASRAEYLANDKYKQQPGHRESSTSGAEPSSSGARSSGSDTRHDGGRESRLPDSVLSDSMQIMLSMGFSYPQVIEAYSIFGDDVDSMVCYLVETSGSSSRRKGKATE >itb04g31680.t1 pep chromosome:ASM357664v1:4:34442920:34450195:-1 gene:itb04g31680 transcript:itb04g31680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSNGSSSSSSNQNRSLTSLPSSSSSSSSALVQPQTTSNNQVSSAGKDNELGAEIQEQVSLDEFSVDCFESKPVKSEKSEDFSPENLSNDLRADEEKIVDNDFVSEDLLKGLGGLGVVEEENEGSSLHAAAGSSYPPPPPVPPPKPTSMNSNLRRYPSGSSNAVRTGPSRGPLGRPIVSVRTSPTRSRPSSPRSHCESEGYNSADEQGPSLGPSYDDAERERQFEIDLRRVKGLEVKKMMEDGNCLFRAVADQVYGDSEVYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALCEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFRCLEGRNVDKDQVKAAIKAQQDQQIDNILLAEGRFISDLELTEKEIERMVMEASRAEYLANDKYKQQPGHRESSTSGAEPSSSGARSSGSDTRHDGGRESRLPDSVLSDSMQIMLSMGFSYPQVIEAYSIFGDDVDSMVCYLVETSGSSSRRKGKATE >itb04g31680.t2 pep chromosome:ASM357664v1:4:34442920:34450195:-1 gene:itb04g31680 transcript:itb04g31680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSNGSSSSSSNQNRSLTSLPSSSSSSSSALVQPQTTSNNQVSSAGKDNELGAEIQEQVSLDEFSVDCFESKPVKSEKSEDFSPENLSNDLRADEEKIVDNDFVSEDLLKGLGGLGVVEEENEGSSLHAAAGSSYPPPPPVPPPKPTSMNSNLRRYPSGSSNAVRTGPSRGPLGRPIVSVRTSPTRSRPSSPRSHCESEGYNSADEQGPSLGPSYDDAERERQFEIDLRRVKGLEVKKMMEDGNCLFRAVADQVYGDSEVYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALCEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFRCLEGRNVDKDQVKAAIKAQQDQQIDNILLAEGRFISDLELTEKEIERMVMEASRAEYLANDKYKQQPGHRESSTSGAEPSSSGARSSGSDTRHDGGRESRLPDSVLSDSMQIMLSMGFSYPQVIEAYSIFGDDVDSMVCYLVETSGSSSRRKGKATE >itb08g13630.t1 pep chromosome:ASM357664v1:8:14774106:14775449:1 gene:itb08g13630 transcript:itb08g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSHAFDSELRGRDFAEVLFRHFAWNFKEQYNIDVYSNARAFVSMRAACEKFKIVLSANMEAPLNIEFLMDEKDVRGFIRREEFEKLSYDLLEKISIPCQKALLDSGLPLDNIHNIELVGSCFWPLFVTLCASVGYNVSSIGFPMVSWCCLWASSWSKFGFAVLGFEEGSSTSSSMAGLAFLMSQSTALQGRSESFLDIIELNSSVGLAGFGGP >itb07g19400.t1 pep chromosome:ASM357664v1:7:23784382:23791757:1 gene:itb07g19400 transcript:itb07g19400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MEALHSSFLCSIPLKTPSSNSSKKPNFKRTKFSCSLRPDPWTLSDGNDKNLNKPKPRSKNPKNPLSDDNARRIIKAKARYLSVLRRNQGSQAQTPKWIKRTPEQMVQYLEDDRNGHLYGKHVVAAIRIVRSLSSKPDGSYDLRKVLGSFVAKLTFREMCVVLKEQRGWRQVRDFFAWMKLQLSYRPSVIAYTIVLRAYSQAGKIGLAEQTFLEMVEAGCEPDEVACGTMLCAYAKFGHHKAMMSFFSAVQQRGITPPTAVFNFMLSSLQKKGLHENVICIWKHMVDKAVVPSRFTYSIVIASLVKGGLFEEAFKTFKEMKSLGFVPEEATYSLLISVSSKRGNYDESCGLYDEMCSQGIVPSNFTCASLLTVYYKNGDYSKALALFVEMKKYGVTVDEVIYGLLIRIYGKLGLYQDAQDTFDEIEKLGMLSDEKTYTTMAQVHLNAGNIEKALQIMEVMKSKNILRSRFAYSVLLQCYIMKGDLSSAEVAFQTLSKFELPDCISCNNMLNLYLRLGLTEKAKDFILRIRKDRVEFDEELLRSVMKVYCKDGMVRDTEKLLEELSTSKIFGCAIVQTLLMVIQGKDRLSEAKITSKPLDQPSYMAFELSLTLTLADGNNAMVQEILEILLKAANGLSIVSKVVKIFAKEGDISKAENLLELLMKLGYKPEDSASASMISLYGKQQKLRQAEQIFATVADSARNKTLLYDSMIDVYNRCNKHEEAYFFYKEEIKKGHVMGPVALSMLVNALTNCGKYQEAEDVIHSTFCANFELDTVAYNTFIKAMLSAGKLEDATSIYERMVSLKIDPSIQTYSTMISVYGRGRNLDKAMEMFNMARSRGIALDEKAYTNLISYYGKAGKIDEASSLFSKMQEEGIKPSELCYSIMIKAYTCAGAYDEAEEVYYSMQRNGAQPCSYPSIYNGDGVKVRRQGGKG >itb07g19400.t2 pep chromosome:ASM357664v1:7:23785465:23791757:1 gene:itb07g19400 transcript:itb07g19400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MEALHSSFLCSIPLKTPSSNSSKKPNFKRTKFSCSLRPDPWTLSDGNDKNLNKPKPRSKNPKNPLSDDNARRIIKAKARYLSVLRRNQGSQAQTPKWIKRTPEQMVQYLEDDRNGHLYGKHVVAAIRIVRSLSSKPDGSYDLRKVLGSFVAKLTFREMCVVLKEQRGWRQVRDFFAWMKLQLSYRPSVIAYTIVLRAYSQAGKIGLAEQTFLEMVEAGCEPDEVACGTMLCAYAKFGHHKAMMSFFSAVQQRGITPPTAVFNFMLSSLQKKGLHENVICIWKHMVDKAVVPSRFTYSIVIASLVKGGLFEEAFKTFKEMKSLGFVPEEATYSLLISVSSKRGNYDESCGLYDEMCSQGIVPSNFTCASLLTVYYKNGDYSKALALFVEMKKYGVTVDEVIYGLLIRIYGKLGLYQDAQDTFDEIEKLGMLSDEKTYTTMAQVHLNAGNIEKALQIMEVMKSKNILRSRFAYSVLLQCYIMKGDLSSAEVAFQTLSKFELPDCISCNNMLNLYLRLGLTEKAKDFILRIRKDRVEFDEELLRSVMKVYCKDGMVRDTEKLLEELSTSKIFGCAIVQTLLMVIQGKDRLSEAKITSKPLDQPSYMAFELSLTLTLADGNNAMVQEILEILLKAANGLSIVSKVVKIFAKEGDISKAENLLELLMKLGYKPEDSASASMISLYGKQQKLRQAEQIFATVADSARNKTLLYDSMIDVYNRCNKHEEAYFFYKEEIKKGHVMGPVALSMLVNALTNCGKYQEAEDVIHSTFCANFELDTVAYNTFIKAMLSAGKLEDATSIYERMVSLKIDPSIQTYSTMISVYGRGRNLDKAMEMFNMARSRGIALDEKAYTNLISYYGKAGKIDEASSLFSKMQEEGIKPSELCYSIMIKAYTCAGAYDEAEEVYYSMQRNGAQPCSYPSIYNGDGVKVRRQGGKG >itb02g10810.t1 pep chromosome:ASM357664v1:2:7046209:7048143:1 gene:itb02g10810 transcript:itb02g10810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERYYPNEMAAFIPETTTLVQEIAPHGTKDSLAKLLSLPHNTISKRLKQDALTLKDEVVRETWGARGRRVTDYTLYTGALGTAFLLLKAYQVTANNDDLSLCLEIIKACDTAASHASGPVTFLCGQAGIYALGAVAAKHCGDNELLDHYLTKFKEIKLPVDLRDELLYGRAGYLWACSFLNKNLGKGTVSSSHMRAIANEVIKSGRKLSKLENLKSPLMYEWQGRRYWGAAHGLAGIMYALMDVELKPDEQEDVKATLRYMIKNRFPSGNYTAAEGDDEADNLVHWCHGAPGIALTLTKAAKVFDSEEFVQAAVDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGKEEYLYRAKAFACFLHDRAHTLISDGIMHRGDHPFSLFEGIGGMSYLFLDLAEPSKALFPAYEL >itb05g18640.t1 pep chromosome:ASM357664v1:5:25363489:25365831:1 gene:itb05g18640 transcript:itb05g18640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIATMDSAYFVGRSEILAWINSTLHLNLSKVEEACTGAVQCQLMDAVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHLEVNKLVKGRALDNLEFMQWMKRYCDVNGGIIQNYNALERREASKSCKDVSKKSAPSQPSAKNPTAASKHPPHNGRRNDVPSTNSMNPSGKTSRPSSSGGPPVYSEAERTANEQQITELKLSVDSLEKERDFYFSKLRDIEILCQCPEIENIPVVQAMKRILYAADDDASLLTEAQAMISEHHQVEPLGFISESAEDRLQIDSQKRKNITNDDLDAAAINTLSPRQRISDASDVHCSGSPLVTF >itb10g19050.t2 pep chromosome:ASM357664v1:10:24832835:24833756:-1 gene:itb10g19050 transcript:itb10g19050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP5 [Source:Projected from Arabidopsis thaliana (AT4G18830) UniProtKB/Swiss-Prot;Acc:Q8VZN1] MMKWKKDRASASASSSSHHSLITHVFPVSWFSKFKQKNLSSHQYQATTKAIKHKGVGKQDLPSPSLSVHSSGLKEGRFYSREDDPYWRLSFSEDRIEGEKSTATAGRNSFSSASINELEVPFSRIVDPMVNGKTKSLKDEKLRKMSRRALEERLAEMEIGCNGGGEEIIPKSIEKDIFEIEPEKEVQRDYLFEGSSLEESNPISEEEFGSERDKLEEMKINQERKSIHIPRHYQRRRRKQGVKVGAYSPRTVAKIECKIKALEDMKRVHSTQNKTSKIQWWR >itb10g19050.t1 pep chromosome:ASM357664v1:10:24832585:24833924:-1 gene:itb10g19050 transcript:itb10g19050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP5 [Source:Projected from Arabidopsis thaliana (AT4G18830) UniProtKB/Swiss-Prot;Acc:Q8VZN1] MMKWKKDRASASASSSSHHSLITHVFPVSWFSKFKQKNLSSHQYQATTKAIKHKGVGKQDLPSPSLSVHSSGLKEGRFYSREDDPYWRLSFSEDRIEGEKSTATAGRNSFSSASINELEVPFSRIVDPMVNGKTKSLKDEKLRKMSRRALEERLAEMEIGCNGGGEEIIPKSIEKDIFEIEPEKEVQRDYLFEGSSLEESNPISEEEFGSERDKLEEMKINQERKSIHIPRHYQRRRRKQGVKVGAYSPRTVAKIECKIKALEDMKRSRMKMRKKMKEKDRTAFDSFAVVKSSFNPEQDFKDSMVEMITQIGIKQPEELEELLACYLTLNCDQYHHIIINVFRQVWFELNQAYVSAHIQNNFCCDHLHKLT >itb12g17330.t1 pep chromosome:ASM357664v1:12:19345105:19348825:1 gene:itb12g17330 transcript:itb12g17330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSERTKWALLMTGRAVNDVLGFVVFSVLDILDFVLCYTYKVIDFIVEAEWRSCYCSSSKEAITNGGTILVSEQGESKILCLTSSSKLQLEEVSDTLYTRPSLVTQVSKSTVNELKMRRRVESIRQSCGEHHHNRMKKGRVRSKFTINSTIVEILQGRIGGKPSHPIPRWSDCDCETCNSWASSCKDTLFVKVDGAKENAQEDVLFIHGFISSSAFWTETLFPNFSKSTKSTYRLFAVDLLGFGRSPKPTDSLYTLREHLDMIENSVLHPYKVKSFHIVAHSLGCILALALAVKHPGLVKSLTLLAPPYFPAPKGERATQYTMRQLAPRRVWPPIAFGSSVACWYEHVSRTVCLLICKNHRVWDFLTKLITRNRIRTYLVEGFCCHTHNAAWHTLHNIIFGTACKMEGYLEVVKNRLKCDVTVIHGRDDELIPVECSYNVQSRIPRAHVKVVEDKDHITIVVGRQEAFARELEQIWRNSSSSTT >itb07g03750.t1 pep chromosome:ASM357664v1:7:2528947:2531615:-1 gene:itb07g03750 transcript:itb07g03750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIQLKRIENPVHRQVTFCKRRAGLIKKAKELSVLCDADVGVLVFSSNGKLYDLATQGNMQGMIDKYVKFTGGAQVTEVTEQEPRDKHVLVDEEYRRMITSIYGIN >itb13g00950.t1 pep chromosome:ASM357664v1:13:906388:908308:1 gene:itb13g00950 transcript:itb13g00950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTVFNLFLLVLIVEFQTSFGQDSVNGAYWLADGGLAASDIDSTLFTHLFCAFANVDSQTYEVTLPSDSSESFSQFTATVQAKNPSVKTLLSIGGGNIADPNTFPAMASQPSSRKSFIDSSIAVARSNGFSGLDLDWEYPRTPGQMADLGSLLDEWRAAVAAEASSSGEAALLITAAFYYASTIVNASDDSIDYPAEAIARSLDWVNAMAYDFYDPSWYKFTQAHSALYDPSGPFSGSFGITAWIAAGVPAEKLVLGMPFYGKAWKLVDAGNPGFLVPADGPAIGDGNIAYKDVKEFIAENGATEGYNSTVVSNYCYAGTTWIGYDDVESISAKVSYAKENGLLGYFAWQVSQDLNWALSQQAKESWEA >itb11g22330.t1 pep chromosome:ASM357664v1:11:24215666:24223308:1 gene:itb11g22330 transcript:itb11g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEEASSSKRLHTKACLPRLYGKAYQQQLARLRESTTAWDSVQRCFPDDNNGSRILLTSRLKEVAEYASSEDYEISVKELVRLWAAEGFLSTVKHVDLEKVAMDCLQDLVDRSLVIVSKHSYNGEMKRIKIHDLLRDLCLREARLEKFLNVDGVKKHCRWLSFLESNLQWHELSAISMLPNLEVLKLIDACRGPKWETSEGGFYRLKRLVIEETNLRCWNAVGEDFPMLECLEIKPRPSTLSPEITHFRSLSKPEQKTQTLFPFADPSVLILFCARFAFSWKCRALRAVNQFYVDGLVLILGKS >itb05g10900.t3 pep chromosome:ASM357664v1:5:16800547:16805143:-1 gene:itb05g10900 transcript:itb05g10900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVALYIWAPEEEGHYYPGRVSRWWLKQSLGHLDSFLRSLGTSLVTKRSTDTISSLLEVIKSTGATHLFFNHLYDPLSLVRDHRVKEILTAQGVSVRSFNSDLLYEPWEVLDDEGRPFTTFKEFWDKCLNMPYDPEAPLLPPKRIISADVSRCRVDNLVFEDESEKASNALLARAWSPGWSNADKALTAFINGPLIEYATNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVVWANEGNKAGEDSCNVFLKSIGLREYSRYMSFNHPYSHERPLLGHLKHFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLSWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPVVEIDAAKVRLQEALVHMAQHEAASRAAMENGMEEGHGDSTESAPLAFPRDAQMEMDHEPIRNNPSATAIRHYEDQMVPSITSSFFRAEDEETSLDLRNSAEDSRAEVPENVNVTEEPRGNRTGQAVFQTIQSNNNALLQSPFAVGRRNSEDSMAESSSSSRGAVPVWSPSSTSYSDQFAR >itb05g10900.t1 pep chromosome:ASM357664v1:5:16800547:16805143:-1 gene:itb05g10900 transcript:itb05g10900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVALYIWAPEEEGHYYPGRVSRWWLKQSLGHLDSFLRSLGTSLVTKRSTDTISSLLEVIKSTGATHLFFNHLYDPLSLVRDHRVKEILTAQGVSVRSFNSDLLYEPWEVLDDEGRPFTTFKEFWDKCLNMPYDPEAPLLPPKRIISADVSRCRVDNLVFEDESEKASNALLARAWSPGWSNADKALTAFINGPLIEYATNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVVWANEGNKAGEDSCNVFLKSIGLREYSRYMSFNHPYSHERPLLGHLKHFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLSWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPVVEIDAAKVRLQEALVHMAQHEAASRAAMENGMEEGHGDSTESAPLAFPRDAQMEMDHEPIRNNPSATAIRHYEDQMVPSITSSFFRAEDEETSLDLRNSAEDSRAEVPENVNVTEEPRGNRTGQAVFQTIQSNNNALLQSPFAVGRRNSEDSMAESSSSSRGAVPVWSPSSTSYSDQFAR >itb05g10900.t2 pep chromosome:ASM357664v1:5:16800547:16805143:-1 gene:itb05g10900 transcript:itb05g10900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVALYIWAPEEEGHYYPGRVSRWWLKQSLGHLDSFLRSLGTSLVTKRSTDTISSLLEVIKSTGATHLFFNHLYDPLSLVRDHRVKEILTAQGVSVRSFNSDLLYEPWEVLDDEGRPFTTFKEFWDKCLNMPYDPEAPLLPPKRIISADVSRCRVDNLVFEDESEKASNALLARAWSPGWSNADKALTAFINGPLIEYATNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVVWANEGNKAGEDSCNVFLKSIGLREYSRYMSFNHPYSHERPLLGHLKHFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLSWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPVVEIDAAKVRLQEALVHMAQHEAASRAAMENGMEEGHGDSTESAPLAFPRDAQMEMDHEPIRNNPSATAIRHYEDQMVPSITSSFFRAEDEETSLDLRNSAEDSRAEVPENVNVTEEPRGNRTGQAVFQTIQSNNNALLQSPFAVGRRNSEDSMAESSSSSRGAVPVWSPSSTSYSDQFAR >itb08g05970.t1 pep chromosome:ASM357664v1:8:5100709:5103303:1 gene:itb08g05970 transcript:itb08g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPYHERHYQNGGHPFSVSVQSQSQKLMSNGKFSSPLPSFGFGVWRLLGGMRYSRRNVLSRCLILFFLGFVLGLTLFGDFEDLNSINFPGKMKLPLVNDRLELKDAVIPRPSALLDRVQLQIEEKKEMNEQLDLNPQKQLIVVTPTYNRALQAYYLNRLGQVLKLVEPPLLWIVVEMNAASLETADILRKMGIMYRHLVCSKNMTDIKDRGVHQRNSALEHIERHRLDGIVYFADDDNIYSLELFESMRKISRFGTWPVAMLEQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKLWRRPTAAPIRQLDTVKEGFQETTFIEQLVEDESQMEGIPPGCSRVLNWHLHLEARKLVYPKGWSIQENLDTVIATTT >itb12g15390.t1 pep chromosome:ASM357664v1:12:15676190:15677071:-1 gene:itb12g15390 transcript:itb12g15390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSEAAETASTGQNRAVEQAGPSSRQWETKSPSNLNSSDLRSLARCFEEPGDEASSLPVAQEALPQAPAPAPHPAAPNPPGIPQFLPLLSDAERLAKLRETLLLNAHTDFESFEEKLELAVPIEKSVEAALLENGFNAERIRQQLNEIRGVLFCHPKSPLYSKYAFLGALRSAAQMVPYEVSIGLILIVRLVSAFGSAKAIARMFP >itb08g10750.t1 pep chromosome:ASM357664v1:8:10384619:10385792:1 gene:itb08g10750 transcript:itb08g10750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSAGSVVFLCLGLIAAFSLGLSSAQNCGCAARGLCCSKFGYCGTGNDYCGEGCREGPCYGSSANCGCAARGLCCSRYGYCGTGNDYCGEGCREGPCYSSPGGGGGGSGSGSGSVSNIVSDSFFNGIANQAGPSCEGKGFYTRNSFLEAAKSYPKFGTTGSTDDIKREIAAFFAHITHETGHLCYIREINGASRNYCDPNNRQYPCAPGKMYYGRGPIQLSWNYNYGAAGQSIGFDGLNNPDIVATNPIISFKTALWFWMNNCHSCLLSGQGFGCTIRAINGPLECNGANPDTVTKRVNYYTKYCQQLGVNPGNNLRC >itb05g02090.t1 pep chromosome:ASM357664v1:5:1609846:1614361:1 gene:itb05g02090 transcript:itb05g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWISRKVQHLEIAIVPQPTRIITLDAIICKIQTSKLYLILQENGESRYRHHPSDPTELVIIELRALNLHSPPLLPSYPCDERKSSMKVREWNMEEEDCCSWDGVSCDPATGYVIGLDLSFSMLSGEIFPIFNLHHLQTLNLSCNNFNSTPFPSGFEKLRNLMHLNLSQTSFSGQIPVEISTLTRLVSLDLSSMFTASVELRKTNLECFFMNMSSLKEVYLDGVDLSAQASNWSQVLSSALPHIQVLSLSNCDLHGPIHSSFTTLKSLSYLQLSFNYLSSDFPENVFLLPKLKTIDISYNLLLSGQFPEFPKHTSLQTISLSQTKFQGELPESIGNLQSLKFLYISSCNLSGLFPSSLANLTSIIELDISYNRFTGSLPPFHSTSVPNLSYLDMSSNLLTGGIRSSLFTLPSLEYLHLDDNKFSGELEEFSNTSSSILKELYLNHNQLSGVVPKSIFQLPNLIHLALGSNNFHGSVKIEMLQNLKNLTLLDLSSISLSVEENDDRSFDLPQLQNLFLHKCNLSDFPIFLNSKLKLRYLNLADNHIRGYVPSWLGNNTLETLDLSGNPLDFLEPSSAQGNNSFVSLNRLVMNSCNISKFPKFLKGLDALKILDLSNNKIKGEIPSWIWKNQLQFVNISHNLLCVVDEFPSNISLNVFYLYLHGNCIKGSLPSGICNMSHLQVLDASDNKLSGLIPECLVKLASLSVLNLKGNRYDQMPSTFTFSHNNLLSLNLNGNRLKGKLPRSLANCKKLEILDLGNNMIYDTFPFWLEKLPSLKVLILRNNMFYGQHRMMRAWKVKKPCLIGGLPLLDVGLDWLLD >itb13g26360.t1 pep chromosome:ASM357664v1:13:31663414:31664871:1 gene:itb13g26360 transcript:itb13g26360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLAQVYVICRLLFFISLLLIFPIIAVQVTPDDKMGTPLHPSHGGPSADLGQTPPKGNQPARQSRDHLSYEALNPQFACNETRSGSCGKNLNPPAKQSCNHRSRTCLRP >itb15g14410.t1 pep chromosome:ASM357664v1:15:12613350:12617182:1 gene:itb15g14410 transcript:itb15g14410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGIEQPQRYGGEAYGVLLYYKYATIPDLQQLLNFYRSNCTSLSLVGRVRLSPYGVNVTVGGKLCALEEHISAVKLNSLFEGTDFKLASCHEPLDDRVAKECGFTSLSIRIVKELVTLSSFPLPKSAEVSDAGRHLSAVEFHSVLSDAGNIQDERENRTVLLDARNLYETRIGKFSTPNVETLDPEIRQYSDLPSWIDNNAEKLQGKNILMYCTGGIRCEMASAYIKSKGAGFENVFQLYGGIQRYMEQFPDGGFFKGKNFVFDHRVSVGSLDSKILGTCLLCNSSFDDYSSRTRCMYCRMLVLICKNCQDTNSFYACELCQKNGRNVDSVLAMKAEKSVEISEGDEPEAVSTLNKTQHLPIVARQNGTHTPRRLRILCLHGFRQNASGFKGRTASLAKKLKNIAELVFIDAPHVLPFIYQLRPPEQNCNHTSSSSSLSKDTLPTSGCNRKFAWLVGCGYTAESNSDWKIADCTFDSLQYQQQTKGFDRSLSYLKTTFSEAGPFDGILGFSQGAAMAALLCAHKEKLKGELDFRFAILCSGFAVNMDECQQGSINCPSLHIFCNDKGNDRQIKNQASRRLASMFDDGCSVVIEHDFGHIIPTQSPFIDQIKDFLHRFL >itb07g22770.t1 pep chromosome:ASM357664v1:7:27297307:27300903:1 gene:itb07g22770 transcript:itb07g22770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILISVHRKIPTMAAILISGYLAQPSSLPLPLPFSPKLYLSNPLSQNSHWVSLRRSTQALNRRFSKTSCLYTSGNQPDNKSSQPLPNEIYRYDWREPFDFQRGWLLWATIGLVGALIAIALTGVATSFLNSEPPQRETDALVRLLPLIGSSTISTASLLGITGVLAPILEETIFRGFLMVSLTKWLPTPLSILLSASVFAVAHLAPGEFPQLFVLGTALGFSYAQTRNLLTPITIHACWNSGVILLLTFLQLQGYDIKEIIQAS >itb04g18080.t1 pep chromosome:ASM357664v1:4:21389279:21391372:-1 gene:itb04g18080 transcript:itb04g18080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGKSKQTKRTSPKSDDVYLKLLVKLYRFLVRRAESKFNAAILKRLFMSKINKAPLSLSRLIQFMQGKEDKIAVLVGTVTDDTRAYEVPKMKVVALRFTETARARIEKAGGECLTFDQLALQAPLGQNTVLLRGPKNSREAVKHFGRAPGVPHSHTKPYVRSKGRKFERARGKRNSRGYKI >itb06g11840.t1 pep chromosome:ASM357664v1:6:16389075:16390499:1 gene:itb06g11840 transcript:itb06g11840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRIHNFAAEDDDDAMMMPNTNQQPNPKRIRPSFASVIKEVVTMKYLDNFCSALEPMFKKVVQEEVENAVRRLYSPSPAMISSSSSLLKTHNSFHGRLPALSLIFRQKMAAQIFTGTRILSEDGATPLEIMLVDTSGGSGLIPAALPFPIKLEVVVLDGDFPREGDVAAGPWTIHDFNKNVVKERTGKRPLLAGDAFVSMTNGLAHLSNNIEFTDNSSWIRCRRFRLGARVVHVGNGAGESVDIREAITNSFMVKDHRGECKLFYTIFLRSLYISLLFLL >itb14g04960.t3 pep chromosome:ASM357664v1:14:4298186:4307424:1 gene:itb14g04960 transcript:itb14g04960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSEEKQKGGIPLNIDELLRDREDEPPPELVVVSTAAVKPPSTVMDDDERRDDLQELSDETLRVKIERTNRLLSCKNLPDGGEKLRHFIKRLRNELERRQRDHLRKEVDKQEGHDHIGAGLSNGFMQPAPSSSTPNSQSSFAACFSKRLEPGNHKVGNAFESEMSTLNPCDSKRVPKRQIPPRRRQERGLSSRSASFKARQRLSNTGLKRSISSTTSHSEEDFYSSVTKKNNSSEVQGSNRPRCKNGETVVLVDEEEQEAKQMDQLDFMDESTKDLKLYYPSRDDPDPIELCYSDMECLAPEAYLSSTIMNFYIRYLQQTKSSPDRGGCNYHFFNTYFYNKLKEAMLNKQNDKESSFVKLRRWWKGVNLFEKAYLFLPIHESLHWSLVIICIPDKEDESGPIVLHLDSLMFHCSKPIFNNTRKFLIEEWKILKDEGPHFPIADKIWEKLPRRIEEKEIEHNFCW >itb14g04960.t2 pep chromosome:ASM357664v1:14:4298186:4307424:1 gene:itb14g04960 transcript:itb14g04960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSEEKQKGGIPLNIDELLRDREDEPPPELVVVSTAAVKPPSTVMDDDERRDDLQELSDETLRVKIERTNRLLSCKNLPDGGEKLRHFIKRLRNELERRQRDHLRKEVDKQEGHDHIGAGLSNGFMQPAPSSSTPNSQSSFAACFSKRLEPGNHKVGNAFESEMSTLNPCDSKRVPKRQIPPRRRQERGLSSRSASFKARQRLSNTGLKRSISSTTSHSEEDFYSSVTKKNNSSEVQGSNRPRCKNGETVVLVDEEEQEAKQMDQLDFMDESTKDLKLYYPSRDDPDPIELCYSDMECLAPEAYLSSTIMNFYIRYLQQTKSSPDRGGCNYHFFNTYFYNKLKEAMLNKQNDKESSFVKLRRWWKGVNLFEKAYLFLPIHESLHWSLVIICIPDKEDESGPIVLHLDSLMFHCSKPIFNNTRKFLIEEWKILKDEGPHFPIADKIWEKLPRRIEEKEIEVPQQKNDYDCGPFVLFFIERFIDEVSERLKRKDLDMFGKKWFKPHEASNLRQKLKSILAKEFKRASKALKNGTDDLT >itb14g04960.t1 pep chromosome:ASM357664v1:14:4298186:4307941:1 gene:itb14g04960 transcript:itb14g04960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSEEKQKGGIPLNIDELLRDREDEPPPELVVVSTAAVKPPSTVMDDDERRDDLQELSDETLRVKIERTNRLLSCKNLPDGGEKLRHFIKRLRNELERRQRDHLRKEVDKQEGHDHIGAGLSNGFMQPAPSSSTPNSQSSFAACFSKRLEPGNHKVGNAFESEMSTLNPCDSKRVPKRQIPPRRRQERGLSSRSASFKARQRLSNTGLKRSISSTTSHSEEDFYSSVTKKNNSSEVQGSNRPRCKNGETVVLVDEEEQEAKQMDQLDFMDESTKDLKLYYPSRDDPDPIELCYSDMECLAPEAYLSSTIMNFYIRYLQQTKSSPDRGGCNYHFFNTYFYNKLKEAMLNKQNDKESSFVKLRRWWKGVNLFEKAYLFLPIHESLHWSLVIICIPDKEDESGPIVLHLDSLMFHCSKPIFNNTRKFLIEEWKILKDEGPHFPIADKIWEKLPRRIEEKEIEVPQQKNDYDCGPFVLFFIERFIDEVSERLKRKDLDMFGKKWFKPHEASNLRQKLKSILAKEFKRASKALKNGDL >itb04g29150.t1 pep chromosome:ASM357664v1:4:32633502:32637141:-1 gene:itb04g29150 transcript:itb04g29150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSPFSSALPLASFRRGFYRTTVHMEMKTSRRSPEGLEANDNKVYVAVGKSFEKAVVLLQWACRTFGNSQICILHVHQPSPWIPTPVGRLLASKANAEVVSAFRNEEREVMRKRLYNYLNVCCQFKVKMSIITTEAGEVQKGIVDLVKEHNIRKLVFGAIPDSMKAKKSSRKASYVARNAPLFSEILFVYKGKLVFIRKSCQFPKSAAAQSQLSCNNEAVFVPKSPGSSSVTHIPSAGIQNLTRIKGIPTDGALSLRVPNSISICNLQTSTAISNNASSSTSVAYTSVGQKASPGLETNNRYATKLKLLQVSTSTLKKEKQVLQQQKDGWANHRGKSHGRGEGAIEFTKDSSELVEFSYSDLQIATCNFSESFKIGESGYGTVYKGEMLDRTVVIKKLHTHNLQSHSEFLQQVEILGKLHHHHLVTLLGICPESWSLICEYLPGGNLHDRLFPRTNVGRLGWKIRTKIVADIAKGLLFLHSSSPEKIVHGNLKPENILIGPDNTCKICDFGIYRLLLPQTLRCPSFSRLSEPKGVFSYTDPEFLETGNLTPKSDIYSFGLIILEILTERALPGLSSEVRRALVSGNLESVLDSSAGEWPAFVARKLAELGIDCCELYSRYRPELTPSIVNELEGLQCLDERAVPSIFLCPISQEIMYDPRVAADGFTYEAEAIREWLGSGHHTSPLTNLKLRHLELTPNDSLRLAIQDWLCKSE >itb04g03290.t1 pep chromosome:ASM357664v1:4:2023513:2027391:1 gene:itb04g03290 transcript:itb04g03290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSRLGGSLSSSSSPPKRSSSASGSSHSRPKASKNRLSSLLCGASTSRSTLELEDYPQNSPISSLENRAPINDISQESVTESSIFSAEVGYTSSEFEPGTSSESSSSFKEDISCDALPNVEARSHRTRNLRNKQPIAHLASRELISEIETVSMVEDIDAHDSGKDGENSRNVVQEVKNSCPEGDFVEHPGTDDDTSSSSGPVSEVPESARRLTLPGDDNPQVTTTSSSRFLLAGNDQDRSVRDLFHVDVVSISSNILSSSVADINNREARRNSRRLFWDALSRHSLRRHNDSPTLVFATGNADDLGSHDRWLIDLNGDLHFDGVGYGSSYLGETGHRRNERRLPSRSEISERVHRGHGNRVSQTSFCPSGLHPDGTCSCESFFTAEEFSTLASISRIIMLAEALFEVIDEIHRQSFSLSTFSLPAPEATVDAFPLKYHKTLDPVENALGDTQQCYICLAEYEDGDKLRVLPCHHEYHMTCIDKWLKEVNRVCPVCRCNVCNGPEQSSISTTETPLQ >itb04g03290.t2 pep chromosome:ASM357664v1:4:2023513:2026650:1 gene:itb04g03290 transcript:itb04g03290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSRLGGSLSSSSSPPKRSSSASGSSHSRPKASKNRLSSLLCGASTSRSTLELEDYPQNSPISSLENRAPINDISQESVTESSIFSAEVGYTSSEFEPGTSSESSSSFKEDISCDALPNVEARSHRTRNLRNKQPIAHLASRELISEIETVSMVEDIDAHDSGKDGENSRNVVQEVKNSCPEGDFVEHPGTDDDTSSSSGPVSEVPESARRLTLPGDDNPQVTTTSSSRFLLAGNDQDRSVRDLFHVDVVSISSNILSSSVADINNREARRNSRRLFWDALSRHSLRRHNDSPTLVFATGNADDLGSHDRWLIDLNGDLHFDGVGYGSSYLGETGHRRNERRLPSRSEISERVHRGHGNRVSQTSFCPSGLHPDGTCSCESFFTAEEFSTLASISRIIMLAEALFEVIDEIHRQSFSLSTFSLPAPEATVDAFPLKYHKTLDPVENALGDTQQ >itb10g15010.t1 pep chromosome:ASM357664v1:10:21337581:21338603:1 gene:itb10g15010 transcript:itb10g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTYETAEDAARAYDEAARLMCGQMARTNFPHNAGDHQSSSSRKFLSANLIAKLQRCQMTSHSVAKRPTAQARAPEQEYPPPAVSRGDGAPAKNLEQSGAETPENWVACQGQEGSGQEFKALEDHHIQQMIEELLDYGSIELCSVLQE >itb10g00560.t1 pep chromosome:ASM357664v1:10:361809:365709:-1 gene:itb10g00560 transcript:itb10g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFAVHPKQPIPSQGKRCKYVGQSVRALPIRILTVGKKRSPGVQLIVDDYLEKLRHYCCVDDVRIKSNPKNARDVIAQIEHEGISVMSVIRSDDWVVILDERGLDVCSEQMASLIGDAGNMGASNLVFCIGGPYGHGRQLQERANLSIKLSSLVFNHEIALVVLVEQLYRAWTILKGQNYHH >itb10g00560.t2 pep chromosome:ASM357664v1:10:361809:365709:-1 gene:itb10g00560 transcript:itb10g00560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFAVHPKQPIPSQGKRCKYVGQSVRALPIRILTVGKKRSPGVQLIVDDYLEKLRHYCCVDDVRIKSNPKNARDVIAQIEHEGISVMSVIRSDDWVVILDERGLDVCSEQMASLIGDAGNMVDLMDMDDNYKSGLTYQSSYRLWSSIMRSH >itb10g05230.t1 pep chromosome:ASM357664v1:10:5292788:5293330:1 gene:itb10g05230 transcript:itb10g05230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRTDLNCRIFPEPVDHFDRMPDSLIVLVFNKIADVKALGRCGVVSRRFNSLVSQVENVVVRVDRVISADHDFSSSAAVDYKTDNRFCSLFTLFIYCLIEYLDSFTQFFANSRRRADACSPPIQVLKNFNEIKSLRIELPSGELGIDERGFVEVENRFRFHARLLRYPWRLLVGDSKL >itb08g13780.t1 pep chromosome:ASM357664v1:8:15114756:15116252:1 gene:itb08g13780 transcript:itb08g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSPTNIKFLCSYGGKILPRHPDGMLRYYGGETRVLSVERSISFAELLAKLGKMFGAGVSLRCQLPTEDLDALVSITCDEDLVNLIEEYDRLESPPCSFKIRAFLSPPKSNKRASPSPSFGSLSTTNDALSLKSYPASRYFGSSSTKRCACQMSNPPMVFPPCHEKGAAKLPQYAYHHGPGNTGHIYLIHHGNHWQ >itb02g16640.t1 pep chromosome:ASM357664v1:2:12593791:12596564:-1 gene:itb02g16640 transcript:itb02g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP1-interacting protein-like 2 [Source:Projected from Arabidopsis thaliana (AT1G74410) UniProtKB/Swiss-Prot;Acc:Q8LBA0] MELVHHCLCGHDRGFSAQACASCGSISFPPATLVGSGLPDFHEEGSRDRELTLNQYLLSLITSTASLALAVIFVIVGALLGAVFGALAGWAAKSGVFRGAGLCTIAGAVLSLEVLEASHAYWCSECCGSHRSSSSSLVDFIKELLHHRFVDGQFQQPVLRPYDQQGIINSSSDDYGGEVPLRGLSGDSLKNLPSHVLTSEVKAADTICCTICLQDVRVGETARNLPRCNHTFHLSCVDKWLARHASCPVCRRDV >itb04g23200.t1 pep chromosome:ASM357664v1:4:28356904:28361521:1 gene:itb04g23200 transcript:itb04g23200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRVEEGREGRDGQPSVGPIYRNLLAKDEFPPINPDLSTAWELFRASVEKHPRNRMLGWRELVNGKWGPYVWKTYSEVFEEILQAGSALRAHGVEPGARIGIYGSNCPQWIVAMEACNAHSLICVPLYDTLGSGAVNFIIDHSEIDVVFVQDKKVKQLFSDECPQVKRLKLLVCLSSLTNEEKDMAISKGIKPYSWNEFLQIGKENPLEVSPPQPFNICTIMYTSGTSGEPKGVILTHENVAYGARGVDLFMEQFEDKMTVDDVYISFLPLAHILDRIIEEYFFFKGASVGYYHGNINEIRDDLEELKPTFLAGVPRVFERIHEGVLKALDELNPFRRTAFSILYKYKLKWMQLGYKHRDASPLADLLAFRKVKARLGGRLRLIVSGGAALGSEIEEFLRVTSCAFVVQGYGLTETCGLSAVGYPDEMCMIGAVGSPFLYSELRLVEVPEMGYNPLGDVPRGEICVKGKTNFAGYYKNPELTKEVYRDGWFHTGDIGEMLPNGVMKIIDRKKNLVKLSQGEYVAVEYLEKVYGIAPIVEDIWVYGDSFKSTLIAVVVPNEENSRKWAHQNGYLGSLQELCSLNKLKSYVLLELKATAERNKLRGFEYIKGVILEPRLLELDTNLVTATLKKRRDKLFKHYKAEIENLYEQLNGEAAKH >itb04g23200.t2 pep chromosome:ASM357664v1:4:28356884:28361538:1 gene:itb04g23200 transcript:itb04g23200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRVEEGREGRDGQPSVGPIYRNLLAKDEFPPINPDLSTAWELFRASVEKHPRNRMLGWRELVNGKWGPYVWKTYSEVFEEILQAGSALRAHGVEPGARIGIYGSNCPQWIVAMEACNAHSLICVPLYDTLGSGAVNFIIDHSEIDVVFVQDKKVKQLFSDECPQVKRLKLLVCLSSLTNEEKDMAISKGIKPYSWNEFLQIGKENPLEVSPPQPFNICTIMYTSGTSGEPKGVILTHENVAYGARGVDLFMEQFEDKMTVDDVYISFLPLAHILDRIIEEYFFFKGASVGYYHGNINEIRDDLEELKPTFLAGVPRVFERIHEGVLKALDELNPFRRTAFSILYKYKLKWMQLGYKHRDASPLADLLAFRKVKARLGGRLRLIVSGGAALGSEIEEFLRVTSCAFVVQGYGLTETCGLSAVGYPDEMCMIGAVGSPFLYSELRLVEVPEMGYNPLGDVPRGEICVKGKTNFAGYYKNPELTKEVYRDGWFHTGDIGEMLPNGVMKIIDRKKNLVKLSQGEYVAVEYLEKVYGIAPIVEDIWVYGDSFKSTLIAVVVPNEENSRKWAHQNGYLGSLQELCSLNKLKSYVLLELKATAERNKLRGFEYIKGVILEPRLLELDTNLVTATLKKRRDKLFKHYKAEIENLYEQLNGEAAKH >itb04g23200.t3 pep chromosome:ASM357664v1:4:28356547:28361437:1 gene:itb04g23200 transcript:itb04g23200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRVEEGREGRDGQPSVGPIYRNLLAKDEFPPINPDLSTAWELFRASVEKHPRNRMLGWRELVNGKWGPYVWKTYSEVFEEILQAGSALRAHGVEPGARIGIYGSNCPQWIVAMEACNAHSLICVPLYDTLGSGAVNFIIDHSEIDVVFVQDKKVKQLFSDECPQVKRLKLLVCLSSLTNEEKDMAISKGIKPYSWNEFLQIGKENPLEVSPPQPFNICTIMYTSGTSGEPKGVILTHENVAYGARGVDLFMEQFEDKMTVDDVYISFLPLAHILDRIIEEYFFFKGASVGYYHGNINEIRDDLEELKPTFLAGVPRVFERIHEGVLKALDELNPFRRTAFSILYKYKLKWMQLGYKHRDASPLADLLAFRKVKARLGGRLRLIVSGGAALGSEIEEFLRVTSCAFVVQGYGLTETCGLSAVGYPDEMCMIGAVGSPFLYSELRLVEVPEMGYNPLGDVPRGEICVKGKTNFAGYYKNPELTKEVYRDGWFHTGDIGEMLPNGVMKIIDRKKNLVKLSQGEYVAVEYLEKVYGIAPIVEDIWVYGDSFKSTLIAVVVPNEENSRKWAHQNGYLGSLQELCSLNKLKSYVLLELKATAERNKLRGFEYIKGVILEPRLLELDTNLVTATLKKRRDKLFKHYKAEIENLYEQLNGEAAKH >itb04g23200.t5 pep chromosome:ASM357664v1:4:28356547:28361521:1 gene:itb04g23200 transcript:itb04g23200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRVEEGREGRDGQPSVGPIYRNLLAKDEFPPINPDLSTAWELFRASVEKHPRNRMLGWRELVNGKWGPYVWKTYSEVFEEILQAGSALRAHGVEPGARIGIYGSNCPQWIVAMEACNAHSLICVPLYDTLGSGAVNFIIDHSEIDVVFVQDKKVKQLFSDECPQVKRLKLLVCLSSLTNEEKDMAISKGIKPYSWNEFLQIGKENPLEVSPPQPFNICTIMYTSGTSGEPKGVILTHENVAYGARGVDLFMEQFEDKMTVDDVYISFLPLAHILDRIIEEYFFFKGASVGYYHGNINEIRDDLEELKPTFLAGVPRVFERIHEGVLKALDELNPFRRTAFSILYKYKLKWMQLGYKHRDASPLADLLAFRKVKARLGGRLRLIVSGGAALGSEIEEFLRVTSCAFVVQGYGLTETCGLSAVGYPDEMCMIGAVGSPFLYSELRLVEVPEMGYNPLGDVPRGEICVKGKTNFAGYYKNPELTKEVYRDGWFHTGDIGEMLPNGVMKIIDRKKNLVKLSQGEYVAVEYLEKVYGIAPIVEDIWVYGDSFKSTLIAVVVPNEENSRKWAHQNGYLGSLQELCSLNKLKSYVLLELKATAERNKLRGFEYIKGVILEPRLLELDTNLVTATLKKRRDKLFKHYKAEIENLYEQLNGEAAKH >itb04g23200.t4 pep chromosome:ASM357664v1:4:28356547:28361521:1 gene:itb04g23200 transcript:itb04g23200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVRVEEGREGRDGQPSVGPIYRNLLAKDEFPPINPDLSTAWELFRASVEKHPRNRMLGWRELVNGKWGPYVWKTYSEVFEEILQAGSALRAHGVEPGARIGIYGSNCPQWIVAMEACNAHSLICVPLYDTLGSGAVNFIIDHSEIDVVFVQDKKVKQLFSDECPQVKRLKLLVCLSSLTNEEKDMAISKGIKPYSWNEFLQIGKENPLEVSPPQPFNICTIMYTSGTSGEPKGVILTHENVAYGARGVDLFMEQFEDKMTVDDVYISFLPLAHILDRIIEEYFFFKGASVGYYHGNINEIRDDLEELKPTFLAGVPRVFERIHEGVLKALDELNPFRRTAFSILYKYKLKWMQLGYKHRDASPLADLLAFRKVKARLGGRLRLIVSGGAALGSEIEEFLRVTSCAFVVQGYGLTETCGLSAVGYPDEMCMIGAVGSPFLYSELRLVEVPEMGYNPLGDVPRGEICVKGKTNFAGYYKNPELTKEVYRDGWFHTGDIGEMLPNGVMKIIDRKKNLVKLSQGEYVAVEYLEKVYGIAPIVEDIWVYGDSFKSTLIAVVVPNEENSRKWAHQNGYLGSLQELCSLNKLKSYVLLELKATAERNKLRGFEYIKGVILEPRLLELDTNLVTATLKKRRDKLFKHYKAEIENLYEQLNGEAAKH >itb04g11030.t1 pep chromosome:ASM357664v1:4:10579333:10583199:-1 gene:itb04g11030 transcript:itb04g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTSSFWGPVTSTKEWCEPNYVHSSYIAEFFNTISNVPCILLAFIGLVNALRQGFEKRFSVLHISNIILAIGSMLYHATLQRMQQQGDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGAVFAIAHSQVRFAIGFKVHYALLCLLCIPRMYKYYIYTEDRLAKRLAKLYLATLLVGTMCWLFDRQFCKDISRWSLNPQGHALWHVLMGFNSYFANTFLMYCRAQQRGWNPTIKHLIGFFPYVKVQKPKSQ >itb11g08600.t1 pep chromosome:ASM357664v1:11:5651717:5657736:-1 gene:itb11g08600 transcript:itb11g08600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIGHVSAVSLPSSSRVGCRVGSAEVNALSFGSSYSMGSKLRIPTSNGVMPKLGRGYRPLKVVCMDYPRPELENTVNYIEAAYLSSSFRSAPRPSKPLEVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNMQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPSPFNGVWAILRNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGISVKDWMRKQGIPDRVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCLPIVEHIKSRGGKVQLNSRINKIELNEDGSVKSFLLSNGTVIQGDAFVFATPVDILKLILPEDWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWVSKSDSEIIEATMKELAKLFPDEISADQSKAKILKYHVVKTPRSVYKTVPGCEPCRPLQKSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAILKDYESLLARQQKMLAEASVNIS >itb06g24070.t1 pep chromosome:ASM357664v1:6:25775427:25778991:-1 gene:itb06g24070 transcript:itb06g24070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MDTHILRLSSSFQFRPKNPIFSKPQPFVSLYRTSPFSFKVEASSKRSSGGGAAFDEAAYEAERLRLDAAARDAMAEASEREAQNENDPKAWKWAIRKRIWDLMEAQNIAQFPRPVHHRIPNFVGASVAADKLSELEAFKAANCVKVNPDTPQKQVRFLTLNGGKTLLTPQPRLRTGFFSVLESHMLSPGTIKEACTSAGVAKYGRPIGLDEKIRVDLIVIGSVAVDPRTGARLGKGEGFAELEYGMLRYMGAIDDSTPIVTSVHDQQLVDDIPVEKLLIHDVPVDIICTPTQVIFTNTTIPKPQGIYWEKLSPEKLSQVRILRELKNRIERETGKKLPCGPSEKLPPTAQRRR >itb13g11640.t1 pep chromosome:ASM357664v1:13:16280935:16282894:1 gene:itb13g11640 transcript:itb13g11640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRDNRKQRILNTIRNSEELRGKAIEQLEKARARLKKVEMDADQFRVNGYSEIEREKMNLINSTYKTLEQFENYKNETIQFEQQKAINQVRQRVFQQALQGALGTLNSCLNNELHLRTINANIGMFGAMNEITD >itb08g01760.t1 pep chromosome:ASM357664v1:8:1411120:1425633:-1 gene:itb08g01760 transcript:itb08g01760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVTEKYTRANRRNRSAKPNPEQGSSNNNTGSAGLDNSCCAEEHLEVECPKRFNLSPSVKSLMKTNVATFDAGFRSTSNYKNLQNQSQAASSLLPSGYSESQNSKNQDVVNSVLSRFRDLNLDESTEHGHLQMDKEDEIIVSLIHQIKDLERQRKQQEEWAHQKVIQAARKLIDDLTELKMLRMEREDTQSVKKGNFMKKHTELENALRKARGEVDRIKSAVRKLETENAEVRAEIEACKLTESESATTSMEFAKREKKWLKKISALEKQRTKLQEDIAAQKQKCSDLAEQLAQDEADQKKDEENWCNEQAAKEVARTQIEEEQKFKEAAEAGYKRKLEALRLKVELDIQRLKDDLQHLEHDLSMLKSSELSADRECILCLKDEASVVFLPCAHLAVCADCNKSYGKKGRAACPCCRVPIEQRFPDKWCKKQAAKEVSLTQVEEEQRMQNWRILSVKQVVKWTVSILLEKQIFKLQEDIAAQKQKFSDLAEQLAQDEADQKKAEAKPKPEQGNINNNTGSSLQRTPLSKFIMESGIKPLHCFIGGHDSAQKTNPSPTRDGRLDSNGWGYCTEEQFDLPVLEKWCNEHATKQRKNDSPKSLPEVECSKRFNLSPSEKWCNEHATKQRKNDSSKSLPEVECPKRFNLSPSVKSLVKKNVATFDAEFRSNYKNIQNQSQTTSNLLPSGYLLLKRRSPAIYSVFRIGMGCNVREKHIRANRRNRSAKPDPEQGSSNNNTGNAVERTPLSKSIMESGIKPLNCFMGSHDSALSTNPSPTPGGGFDSNGWGYCTEEQLEDLVMKNLEFLYNEAIVKLVSLGYDEDVALKAILKNGFCYGGMDVLNNILHNAISYLKSGTSSEESENNFTDLRQMQEYSLAGMVCILQQVKPQFSKGDAMWCLLMSDLNVGRASVMDIPVLPQPNGNGSASVSVTGNSNVEGVGNSPVGIPPAICRFHGGWGFGNEGPNDFNRFFSYSSETPLQREVECPKRFNLSPSMKSLLKKNVATFAAGFRSNSKNIQNQSQAASSLLPSGYTSSLNGSGVEGIVAKGEESQNSKNQDVVNSVLSKFRDLNLDESTEHGHPQMDQKDEIIVSLIHQIKDLERQAKERKEWAHQKAMQAARKLSNDLTELKMLRMEREETQSVKKGKQTIEDNTMKKLTEMENALRKASGEVDRANSAVKKLETENAEIRAEMEACKLSASESATTFMEVAKREKKCLKKISAWEKQKSKLQEDIATEKQKCSDLAEQLAQAEADQKKAEERWTKEQAAKEVALTQVEEERRLKEATEAGNKRKLEALRLKIEIDFQRQKDDLQRLQQDLSRLKSSELSAEQHHQSVRLTTGNSDGVNAHGDIARLLHELDNLENSAEKEVGCDRECILCLKDEVSVVFLPCAHQVVCANCNESYGKKGRAACPACRIPIEQRIRVFGATS >itb08g08940.t1 pep chromosome:ASM357664v1:8:8169385:8171890:1 gene:itb08g08940 transcript:itb08g08940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIAILLIASFASLSHSLPLSRSSRWIIDDESGERVKLACANWPGHLKPMLPEGLDRRPLSEIASHVSHMGFNCVRITWATYMFTRHANVTVAKSFGDLGLNESIAGLAQHNPDLLSLSLVDAHKAVIEEVGRHGIMMVLDNQVSEPMWCCANNDGNGFFGDKYFDPKEWLQGLATVASLYKDTPMVVGISLRNELRGPLQNESVWYKNVEKGAKTLNRANPNLLVIISGLDYDLDFRFLKQKPLGLNMMRKKMVYETHRYAFSEGQSKMWEAGPLNKVCYNITQEMEDKEGFLLIGKDAAPLFVSEFGIDQREVSEPDNLHLPCILAYLADKDLDWAVWALQGSYYFREGKRGMEETFGMFNGNWTALRNPTFHSKLEFLQTKLQDPGSSEATYYLLYHPLSGKCARVDSGRLHMSDCLGASRWAHGADGMPIHLLGTSTCLAAERDGHPATLSGDCMNEGSTWNLASMLQLANKGDDGVELCLDYDSSYSSSLILTRKCVGLQEGDMRNPQTQWFKLIAANVK >itb14g02090.t1 pep chromosome:ASM357664v1:14:1696241:1698556:-1 gene:itb14g02090 transcript:itb14g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVEEDIVVGFGEEAKIIKDLLFIESMNLRVISIVGMIGMGKTTLARMLFNDVDLQYEFFTRIWVNVSRACDRRRIFYDILSRFTSISNDFHEMSEEHLAEKVKEYLKGSKYLIVLDDVWTEHDWFRLRIAFPNNVRGGKILVTTRSQDVASFIGSIGISHELKGLSDDECWELLEKKIFGDSRCTDTSLEAVGRSIAKKFEGVLLLVGKISDVLRENRTVAEWERIKSNPFASIEYENDEVMMEMINYDMMRDYSKMKVCLLYLAAFPRGFEIDARELVYLWVAEGLISGDDDLEYKAEYYIREFVRINLLMVVSRRTDDRIKRVRIHGFLHEICIREAAKPQNNFMREFQVEVEVEGGGAYGRGLFIHSSSSALALQAGISKTTKSWWPSSSSSDHVRSFLSFSSQGSIQEIAEEVVAAIPNAFPNLQVLNIRGFKFPNLPKELYRLKHLTYLGITIIHHELLPKEFGSLTQLQTLVLHTTREHNICVKIEADIWSMPNLRHLLTTTSRLQLRLLSPIIRRSSRIVIGRRKSSSGVVSKITTLSTISPTSCTPEILDKTPQIKKLGICGNLDELMDVNQEGVCLFDNLHKLDRLENLKLININDALESNTLGSFRFPPAESFPSRLRKMTLSNTSFQWWDFSVLGSLYTLEVLKLENNAFRGEFCDVSSVVFKQLQYFRIESTDLVSLAVSKDSFPVLKCLSLRYCTELAAVPVEFGEIESLKLLELYCTNEWALRSAHQILMRKGDVNGDTKKGGFQLSVNTTPEP >itb11g12450.t1 pep chromosome:ASM357664v1:11:9311560:9313486:1 gene:itb11g12450 transcript:itb11g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESNNIRLRLELPRPNLTILSPSPVSPLTSPSQIHIATAPPRHAVTDCPPLPSARPPSPTAPWLHQSASPPGLFAQLP >itb11g08350.t2 pep chromosome:ASM357664v1:11:5477564:5487854:1 gene:itb11g08350 transcript:itb11g08350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 27 homolog B [Source:Projected from Arabidopsis thaliana (AT2G20000) UniProtKB/Swiss-Prot;Acc:Q8LGU6] MEAILVDSVQSSLRHFMCRNAIFMCERLCAEFPSETNMQLLAACYLQNNQAYAAYHILKGTQMAQSRYLFALSCFQMDLLNEAEMALSQTNDPTSEVPNGAAGHYLLGLIYRYTDRKKSAVHHFNQALSLDPLLWAAYEELCILGAADEAASVFNEAASLCVQKKHEHHGSQSQILAASAEDHNVVSNKSFVSEDASPRQLRHMHSNSLREFSGNYNGVIASGGVTSQSLSSGPANNAFCNTPSPMASQISGLAPPPVCRNAQQNGVHPSAPGGDNSLRSAVNSNVQPPRRKFVDEGKLRKISGRLFSDSGPRRNSRLAGESVSNTSSNASVASGNVTGKSSKFLGSSKLSSMTLRSMTTRKSQPWSSENIDEGVRDVCDDSRLNIPTVSPSGDCTTVEQDRSNKCPVGTNMSGPNLLSGALEILELLRTLGEGFRLLCLYRCQDALDMYNKLPHKHYNTGWVLSQIGKAYFEMVDYLEADRAFSLARLTSPYSLEGLDVHSTVLYHLKEDMKLSYLAQELISTDKLAPQSWCAMGNCYSSQKDHETALRNFQRAVQLNSRFAYAHTLCGHEYVAVEDFENGIKSYQSALRVDSRHYNAWYGLGMIYLRQEKFEFSEHHFQK >itb11g08350.t1 pep chromosome:ASM357664v1:11:5477564:5488734:1 gene:itb11g08350 transcript:itb11g08350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 27 homolog B [Source:Projected from Arabidopsis thaliana (AT2G20000) UniProtKB/Swiss-Prot;Acc:Q8LGU6] MEAILVDSVQSSLRHFMCRNAIFMCERLCAEFPSETNMQLLAACYLQNNQAYAAYHILKGTQMAQSRYLFALSCFQMDLLNEAEMALSQTNDPTSEVPNGAAGHYLLGLIYRYTDRKKSAVHHFNQALSLDPLLWAAYEELCILGAADEAASVFNEAASLCVQKKHEHHGSQSQILAASAEDHNVVSNKSFVSEDASPRQLRHMHSNSLREFSGNYNGVIASGGVTSQSLSSGPANNAFCNTPSPMASQISGLAPPPVCRNAQQNGVHPSAPGGDNSLRSAVNSNVQPPRRKFVDEGKLRKISGRLFSDSGPRRNSRLAGESVSNTSSNASVASGNVTGKSSKFLGSSKLSSMTLRSMTTRKSQPWSSENIDEGVRDVCDDSRLNIPTVSPSGDCTTVEQDRSNKCPVGTNMSGPNLLSGALEILELLRTLGEGFRLLCLYRCQDALDMYNKLPHKHYNTGWVLSQIGKAYFEMVDYLEADRAFSLARLTSPYSLEGLDVHSTVLYHLKEDMKLSYLAQELISTDKLAPQSWCAMGNCYSSQKDHETALRNFQRAVQLNSRFAYAHTLCGHEYVAVEDFENGIKSYQSALRVDSRHYNAWYGLGMIYLRQEKFEFSEHHFRMALQINPHSSVIMSYLGTALHALKKNDEALEMMEKAILADKKNPLPMYQKANILVSMEKFDAALEVLEELKEHAPRESSVYALMGKIYKRHNIHDKAMLHFGLALDLRPSATDVATIKAAIEKLHVPDELEDSL >itb08g16120.t2 pep chromosome:ASM357664v1:8:18289009:18291876:1 gene:itb08g16120 transcript:itb08g16120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAHALKRIPRIKFPQRHPNPSGSTSQHSQHQATSASKDAPQTFFSSSSSKMSVGGKASDQPKRTPVSQEEIDSIMLGGCF >itb08g16120.t1 pep chromosome:ASM357664v1:8:18289009:18291876:1 gene:itb08g16120 transcript:itb08g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAHALKRIPRIKFPQRHPNPSVDYSAGSTSQHSQHQATSASKDAPQTFFSSSSSKMSVGGKASDQPKRTPVSQEEIDSIMLGGCF >itb13g25890.t1 pep chromosome:ASM357664v1:13:31300507:31301537:1 gene:itb13g25890 transcript:itb13g25890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSVETTGINYFAADSPFTLPPIDFTFNSSPSIDYFTRFLNINNNPQNALPITPPDFSLSASISNNSTSDESEEQHHRIIDERKQRRMVSNRESARRSRMRKKRHLDELWSQVLAFRTENCNLLDRLNQISEHHDKVLQENARLKEEASGLRRLVVELRDGGGDDPFSDLRDLEDIKCSFT >itb15g01010.t1 pep chromosome:ASM357664v1:15:565503:567207:1 gene:itb15g01010 transcript:itb15g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEWKYLVWSAIFLIPGLVFLFARKKSCSYRLPPGPPGLPVIGNMLDLGALPHQAIAEMKNEYGPVIWLRIGSVGTMAILSAKAAAKLFKNHDVSFADRKVIDAMKVQGYHKGSLAFAPYGSYWRLLRRIGTVELFVHKRINETVPVRRKCIDDMLLWIEKEASSVQTGTGVHVAHFVFLSTFNLLGNLFLSRDLVDPMSDKASKFFDALAGVVQWFGTPNISDIFPGLRWLDLQGLRRKADRDVKTTLEIVSTLVKEHMNEDRQESRKRKDFLDVLLEFEGNGKDEPAKLSEHEINIFVTEMFFGGTETTSSSVEWALSELLCNPKAMAKVKEEIYEVVGPNRRFEESDIDNLHYMQAVVKETLRLHPPVAFLVPRRAIQDTKFMEYDIPKDTQVFVNVWAIGRDPESWDDPLSFKPERFLGSNIDFKGQDFEFLPFGAGRRICVGLPLGNRMLHFILGSLLHAFDWELKCNVTSQSLDMKERMGIAVSKSEPLKAIPRRIVA >itb10g21500.t1 pep chromosome:ASM357664v1:10:26698953:26702141:-1 gene:itb10g21500 transcript:itb10g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tyrosine-protein phosphatase DSP4 [Source:Projected from Arabidopsis thaliana (AT4G03960) UniProtKB/Swiss-Prot;Acc:Q940L5] MHIHTQQPLNGALFGAKAMMRLENCEAPMCHAISDSAAAEASPGGAAADEGVFVPPLNFAMVDYGVFRSGFPDAANFAFLQTLGLRSIICLCPEPYPEENVEFLNANRIQLFQFGIEGSKEPFVNIPADMIKEALKIVLDEKNHPLLIHCKRGKHRTGCLVGCLRKVQRWCLTSIFDEYQRYAAEKARVSDLRFIELFDISGFDQPTQFFSCSKN >itb14g19340.t1 pep chromosome:ASM357664v1:14:22048778:22051808:-1 gene:itb14g19340 transcript:itb14g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESDMYDGIRAQFPLTFGKQAQSQTPLEIVHSATRRSGDGKPNPSSSASKDKPFPSISSTSQAWLESFKKPNPSNSKKSVTFGPPRPGADLDSGNGKEEEEEEEAAMIGPPRPSVATEDEDKDGPVIGPPRPPPVDEEDDGPMIGPPAPPPASIGSDSDDEMEEEVEGENRYRIPLSNEIMLKGHSKIVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNARLQSFRQVEPCEGHQVRSLSWSPTSDRFLCVTGSAQLKIYDRDGLTLAESERGDMYIRDLKNTKGHISGLTCGEWHPKAKETILTSSEDGSLRTWDVNNFKCQKQVIKPKQSRPGRVHVTTCGWDREGKSIAGGIGDGSIQIWNLKPGWGSRPDLYVANAHSDDITGLKFSSDGRVLLSRSFDGSLKVWDLRKMKEPLQTFNDLPNHYAQTNIAFSPDEQIFLTGTSVERDSTTGGLLCFYDRAKLELVSRVGISPTCSVVQCAWHPRLNQVFATTGDKHEGGTHILYDPTLSERGALVCVARAPRKKSVDDFQAQPVIHNPHALPLFRDQPSRKRQREKMLKDPLKAHKPELPITGPGHGGRVGASKGSLLTQYLLKQGGLIKETWMDEDPREAILKYADVAANQPKYVAPAYAQTQPQPLFQASDDEDEEK >itb15g23500.t1 pep chromosome:ASM357664v1:15:26334292:26337030:-1 gene:itb15g23500 transcript:itb15g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATSLSAFSSLSLRNHPPTPLRSHPTAPFFLLTPKPSTFALFFPANTSKPTPIKAGSSDIDTTFFDNVDPDQVFTFDPPARPEGYVPPPYFDEAPEESEEDIARAYEELYGPAYSGESLLGNDLYVMDSKVKKTIGFGSGAKKEKPKDGFDERVVQVRRVTKVVKGGKQLHFRAVVVVGDKKGQVGVGVGKAKEVIAAVQKSALNARRNIITVPMTKYSTFPHRAEAKYGAAKVMLRPASPGSGVIAGGAVRVVLELAGLQNALGKQLGSNNALNNARATVEAILQMRQFREVAEERGIPMEELWK >itb15g09840.t1 pep chromosome:ASM357664v1:15:7101517:7103087:-1 gene:itb15g09840 transcript:itb15g09840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPIIVSGLNPAAILRSTVGAPAEDRKRIVPLTKDALNDFIKSIVLKKQEAKDPFYVMDLGEVVSLMDKWTTAFPNVRPFYAVKCNPEPSFLTVLAAMGANFDCASRSEIDFVLSLGVAPDRIVFANPCKPEADVVYAANVGVNLTTFDSEHEVEKIKRFHPNCDLLLRIKPVRDGNARCPMGPKYGALPEEVEPLLRAARAARLNVTGVSFHIGSGDADAGAYRGAIAAARTVFDTATRLGLPKLQLLDIGGGFTAGHQFNDAVKAVNQSLHEFFGDEPGLTIIAEPGRFFAETSFTLATTVIGKRVRGELREYWINDGLYGSMNCILYDHATVTATPLAGASDPGSICRESKTFASTVFGPTCDALDTVLRDYQLPELQVNDWLVFPNMGAYTKAAGSNFNGFNTSTILTHMAYAYPN >itb14g01650.t1 pep chromosome:ASM357664v1:14:1314571:1318789:1 gene:itb14g01650 transcript:itb14g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALSLSSAYRPENSTFRRKFLGPVPGPRRRRRVAITMALNKPRIEGVSDELNTIASQNLDFAPARRRVRSAFLSVQQQLDHLLFKMAPAGIRMEEWYERNSKGQEIFCKSWLPKPGVRIKGSLCFCHGYGDTCTFFFEGIAKYIAASGYGVYAVDHPGFGLSDGLHGYVRQFDDIVDNANEQFRKIKVRPEVRGLPHFIFGQSMGGAIALKAILKEPLEWDGIVLVAPMCKIAEEMTPPVPLQKALILMSNFMPKAKLVPTQDLAELAFREPVKRKLAPYNVICYSDRTRLKTAVELLNATKDIESQLEKVSSPILILHGASDRVTDPRISQFLHERASSKDKTLKLYEGGYHCILEGEPDDRILNVLNDIISWLDSHCTAK >itb09g10230.t4 pep chromosome:ASM357664v1:9:6365484:6375427:-1 gene:itb09g10230 transcript:itb09g10230.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADLPAMYSLLTNSLSADQSVRKPAEAALAQSESRPGFCSCLMEVIAAKDLTAQGDVRLMASVYFKNSINRYWRNKRDSMGISSEEKLYLRQKLLAHLREENYQVALTLSVIISKIARIDYPREWPDLFSSLAQQLQSADILTSHRIFMIIFRTLKELSTKRLASDQRNFAEISTQFFEYCWHLWQTDVQTILHGFSALAQNFGTNTSELCHDDLYLTCERWFLCSKIVRQLVISGFPSDAKTLQEVRHVKEVSPVLLNAIQSFLPFYSSFQEQHPKFLNFVKQACTKLMKILVAVQQRHPYSFGDKCVLQPIIDSCVKTIVDPDPHIMSFEQFLIQCMIMLKTVLECKEYKATLTGRVVDENGSTFEQMKKNISSSVSRLLASLFYHDRVILLCNVLIRRYFVLTASDLSEWYQNPELFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVLSILQEAMNGCPFSVNEIAPALLLKDAAYGAAAYVYYELSNLLSFKDWFNGALSIELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCSLIKLLQDGDLCVRLAACRSLYFHIEDANFNEPEFSDLLPICWDLCFKLIDEVQEFDSKVQVLNTISALVAHITEVIPFANKLVVFFQKAWEESSGESLLQIQLLTALKNFVVALGYQSPICYNILLPILRSVINVDSPDELLEDGMQLWEATLINAPSMVSELLGCFPCLVEILERSFDHLKVAANIIEDYIILGGREFLSMHTSSVTKFLDLVVGNVNDRGLLSILPVVDILVQCFPVEVPQLISISLQKLIVICLTGGDDHDPSKTAVKASAAALLARILVMNTNYLGQLTSEPSLSASLQKAGFPSEENILLCLVDIWLDKADNVTSHQKKTISLALSIILTLRLPQVLDKLEQILSVCTSVILGGGEEDLTEEESSSDNISSSRPNFPSKELRRRQIKFSDPINQISLENSVRENLQTCSALFGESFNAAIGKMHPSVFAQVKQALKMP >itb09g10230.t2 pep chromosome:ASM357664v1:9:6365797:6375495:-1 gene:itb09g10230 transcript:itb09g10230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYFKNSINRYWRNKRDSMGISSEEKLYLRQKLLAHLREENYQVALTLSVIISKIARIDYPREWPDLFSSLAQQLQSADILTSHRIFMIIFRTLKELSTKRLASDQRNFAEISTQFFEYCWHLWQTDVQTILHGFSALAQNFGTNTSELCHDDLYLTCERWFLCSKIVRQLVISGFPSDAKTLQEVRHVKEVSPVLLNAIQSFLPFYSSFQEQHPKFLNFVKQACTKLMKILVAVQQRHPYSFGDKCVLQPIIDSCVKTIVDPDPHIMSFEQFLIQCMIMLKTVLECKEYKATLTGRVVDENGSTFEQMKKNISSSVSRLLASLFYHDRVILLCNVLIRRYFVLTASDLSEWYQNPELFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVLSILQEAMNGCPFSVNEIAPALLLKDAAYGAAAYVYYELSNLLSFKDWFNGALSIELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCSLIKLLQDGDLCVRLAACRSLYFHIEDANFNEPEFSDLLPICWDLCFKLIDEVQEFDSKVQVLNTISALVAHITEVIPFANKLVVFFQKAWEESSGESLLQIQLLTALKNFVVALGYQSPICYNILLPILRSVINVDSPDELLEDGMQLWEATLINAPSMVSELLGCFPCLVEILERSFDHLKVAANIIEDYIILGGREFLSMHTSSVTKFLDLVVGNVNDRGLLSILPVVDILVQCFPVEVPQLISISLQKLIVICLTGGDDHDPSKTAVKASAAALLARILVMNTNYLGQLTSEPSLSASLQKAGFPSEENILLCLVDIWLDKADNVTSHQKKTISLALSIILTLRLPQVLDKLEQILSVCTSVILGGGEEDLTEEESSSDNISSSRPNFPSKELRRRQIKFSDPINQISLENSVRENLQTCSALFGESFNAAIGKMHPSVFAQVKQALKMP >itb09g10230.t5 pep chromosome:ASM357664v1:9:6365797:6375495:-1 gene:itb09g10230 transcript:itb09g10230.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYFKNSINRYWRNKRDSMGISSEEKLYLRQKLLAHLREENYQVALTLSVIISKIARIDYPREWPDLFSSLAQQLQSADILTSHRIFMIIFRTLKELSTKRLASDQRNFAEISTQFFEYCWHLWQTDVQTILHGFSALAQNFGTNTSELCHDDLYLTCERWFLCSKIVRQLVISGFPSDAKTLQEVRHVKEVSPVLLNAIQSFLPFYSSFQEQHPKFLNFVKQACTKLMKILVAVQQRHPYSFGDKCVLQPIIDSCVKTIVDPDPHIMSFEQFLIQCMIMLKTVLECKEYKATLTGRVVDENGSTFEQMKKNISSSVSRLLASLFYHDRVILLCNVLIRRYFVLTASDLSEWYQNPELFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVLSILQEAMNGCPFSVNEIAPALLLKDAAYGAAAYVYYELSNLLSFKDWFNGALSIELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCSLIKLLQDGDLCVRLAACRSLYFHIEDANFNEPEFSDLLPICWDLCFKLIDEVQEFDSKVQVLNTISALVAHITEVIPFANKLVVFFQKAWEESSGESLLQIQLLTALKNFVVALGYQSPICYNILLPILRSVINVDSPDELLEDGMQLWEATLINAPSMVSELLGCFPCLVEILERSFDHLKVAANIIEDYIILGGREFLSMHTSSVTKFLDLVVGNVNDRGLLSILPVVDILVQCFPVEVPQLISISLQKLIVICLTGGDDHDPSKTAVKASAAALLARILVMNTNYLGQLTSEPSLSASLQKAGFPSEENILLCLVDIWLDKADNVTSHQKKTISLALSIILTLRLPQVLDKLEQILSVCTSVILGGGEEDLTEEESSSDNISSSRPNFPSKELRRRQIKFSDPINQISLENSVRENLQTCSALFGESFNAAIGKMHPSVFAQVKQALKMP >itb09g10230.t3 pep chromosome:ASM357664v1:9:6365484:6375427:-1 gene:itb09g10230 transcript:itb09g10230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADLPAMYSLLTNSLSADQSVRKPAEAALAQSESRPGFCSCLMEVIAAKDLTAQGDVRLMASVYFKNSINRYWRNKRDSMGISSEEKLYLRQKLLAHLREENYQVALTLSVIISKIARIDYPREWPDLFSSLAQQLQSADILTSHRIFMIIFRTLKELSTKRLASDQRNFAEISTQFFEYCWHLWQTDVQTILHGFSALAQNFGTNTSELCHDDLYLTCERWFLCSKIVRQLVISGFPSDAKTLQEVRHVKEVSPVLLNAIQSFLPFYSSFQEQHPKFLNFVKQACTKLMKILVAVQQRHPYSFGDKCVLQPIIDSCVKTIVDPDPHIMSFEQFLIQCMIMLKTVLECKEYKATLTGRVVDENGSTFEQMKKNISSSVSRLLASLFYHDRVILLCNVLIRRYFVLTASDLSEWYQNPELFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVLSILQEAMNGCPFSVNEIAPALLLKDAAYGAAAYVYYELSNLLSFKDWFNGALSIELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCSLIKLLQDGDLCVRLAACRSLYFHIEDANFNEPEFSDLLPICWDLCFKLIDEVQEFDSKVQVLNTISALVAHITEVIPFANKLVVFFQKAWEESSGESLLQIQLLTALKNFVVALGYQSPICYNILLPILRSVINVDSPDELLEDGMQLWEATLINAPSMVSELLGCFPCLVEILERSFDHLKVAANIIEDYIILGGREFLSMHTSSVTKFLDLVVGNVNDRGLLSILPVVDILVQCFPVEVPQLISISLQKLIVICLTGGDDHDPSKTAVKASAAALLARILVMNTNYLGQLTSEPSLSASLQKAGFPSEENILLCLVDIWLDKADNVTSHQKKTISLALSIILTLRLPQVLDKLEQILSVCTSVILGGGEEDLTEEESSSDNISSSRPNFPSKELRRRQIKFSDPINQISLENSVRENLQTCSALFGESFNAAIGKMHPSVFAQVKQALKMP >itb09g10230.t1 pep chromosome:ASM357664v1:9:6365797:6375495:-1 gene:itb09g10230 transcript:itb09g10230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYFKNSINRYWRNKRDSMGISSEEKLYLRQKLLAHLREENYQVALTLSVIISKIARIDYPREWPDLFSSLAQQLQSADILTSHRIFMIIFRTLKELSTKRLASDQRNFAEISTQFFEYCWHLWQTDVQTILHGFSALAQNFGTNTSELCHDDLYLTCERWFLCSKIVRQLVISGFPSDAKTLQEVRHVKEVSPVLLNAIQSFLPFYSSFQEQHPKFLNFVKQACTKLMKILVAVQQRHPYSFGDKCVLQPIIDSCVKTIVDPDPHIMSFEQFLIQCMIMLKTVLECKEYKATLTGRVVDENGSTFEQMKKNISSSVSRLLASLFYHDRVILLCNVLIRRYFVLTASDLSEWYQNPELFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVLSILQEAMNGCPFSVNEIAPALLLKDAAYGAAAYVYYELSNLLSFKDWFNGALSIELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCSLIKLLQDGDLCVRLAACRSLYFHIEDANFNEPEFSDLLPICWDLCFKLIDEVQEFDSKVQVLNTISALVAHITEVIPFANKLVVFFQKAWEESSGESLLQIQLLTALKNFVVALGYQSPICYNILLPILRSVINVDSPDELLEDGMQLWEATLINAPSMVSELLGCFPCLVEILERSFDHLKVAANIIEDYIILGGREFLSMHTSSVTKFLDLVVGNVNDRGLLSILPVVDILVQCFPVEVPQLISISLQKLIVICLTGGDDHDPSKTAVKASAAALLARILVMNTNYLGQLTSEPSLSASLQKAGFPSEENILLCLVDIWLDKADNVTSHQKKTISLALSIILTLRLPQVLDKLEQILSVCTSVILGGGEEDLTEEESSSDNISSSRPNFPSKELRRRQIKFSDPINQISLENSVRENLQTCSALFGESFNAAIGKMHPSVFAQVKQALKMP >itb09g10230.t6 pep chromosome:ASM357664v1:9:6365484:6375427:-1 gene:itb09g10230 transcript:itb09g10230.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADLPAMYSLLTNSLSADQSVRKPAEAALAQSESRPGFCSCLMEVIAAKDLTAQGDVRLMASVYFKNSINRYWRNKRDSMGISSEEKLYLRQKLLAHLREENYQVALTLSVIISKIARIDYPREWPDLFSSLAQQLQSADILTSHRIFMIIFRTLKELSTKRLASDQRNFAEISTQFFEYCWHLWQTDVQTILHGFSALAQNFGTNTSELCHDDLYLTCERWFLCSKIVRQLVISGFPSDAKTLQEVRHVKEVSPVLLNAIQSFLPFYSSFQEQHPKFLNFVKQACTKLMKILVAVQQRHPYSFGDKCVLQPIIDSCVKTIVDPDPHIMSFEQFLIQCMIMLKTVLECKEYKATLTGRVVDENGSTFEQMKKNISSSVSRLLASLFYHDRVILLCNVLIRRYFVLTASDLSEWYQNPELFHHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVLSILQEAMNGCPFSVNEIAPALLLKDAAYGAAAYVYYELSNLLSFKDWFNGALSIELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCSLIKLLQDGDLCVRLAACRSLYFHIEDANFNEPEFSDLLPICWDLCFKLIDEVQEFDSKVQVLNTISALVAHITEVIPFANKLVVFFQKAWEESSGESLLQIQLLTALKNFVVALGYQSPICYNILLPILRSVINVDSPDELLEDGMQLWEATLINAPSMVSELLGCFPCLVEILERSFDHLKVAANIIEDYIILGGREFLSMHTSSVTKFLDLVVGNVNDRGLLSILPVVDILVQCFPVEVPQLISISLQKLIVICLTGGDDHDPSKTAVKASAAALLARILVMNTNYLGQLTSEPSLSASLQKAGFPSEENILLCLVDIWLDKADNVTSHQKKTISLALSIILTLRLPQVLDKLEQILSVCTSVILGGGEEDLTEEESSSDNISSSRPNFPSKELRRRQIKFSDPINQISLENSVRENLQTCSALFGESFNAAIGKMHPSVFAQVKQALKMP >itb03g11390.t1 pep chromosome:ASM357664v1:3:9567113:9567968:-1 gene:itb03g11390 transcript:itb03g11390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKFVFWILNMDCQFRSETLRLVSIPKSEYFAEEHERRAGAGIDDYESSYGKVRPNDTILKLQRTTPYYKRNGAHVCSFYVRGQCTRGLECPYRHEMPETGELSLQKIKDRYYGVNDPVALKLLSKPEFDEARQQATVAHSGLLPRAVISQQQNQPLPLPGGSQDQPPPMPYFNIPPMPPPDRALECDNNMEFT >itb15g05440.t1 pep chromosome:ASM357664v1:15:3496266:3498998:1 gene:itb15g05440 transcript:itb15g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRTTLFPASATHHQLPVSTAGSGGDQSPATLVSSLKSFLKKPHAIPFLLSFFLLLTWVTLRFQRPSEHFPSMGLGSGIGIMGRRHWSSDEDNSANLVRFPASSPLIAKDKRGWLLNPISLALHSPISGGTTSCASIHVGEIKPGGVRGNHRHHTCNETFVIWGAHTLFRLENNAIQKGYAEVKVGADEIAVAVSPSGTAHALVNIDTSQSTFFMGCQDSVVNYTDSKTDFSVWKDL >itb15g05440.t2 pep chromosome:ASM357664v1:15:3496318:3498998:1 gene:itb15g05440 transcript:itb15g05440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRTTLFPASATHHQLPVSTAGSGGDQSPATLVSSLKSFLKKPHAIPFLLSFFLLLTWVTLRFQRPSEHFPSMGLGSGIGIMGRRHWSSDEDNSANLVRFPASSPLIAKDKRGWLLNPISLALHSPISGGTTSCASIHVGEIKPGGVRGNHRHHTCNETFVIWGAHTLFRLENNAIQKGYAEVKVGADEIAVAVSPSGTAHALVNIDTSQSTFFMGCQDSVVNYTDSKTDFSVWKDL >itb15g05440.t3 pep chromosome:ASM357664v1:15:3496266:3498969:1 gene:itb15g05440 transcript:itb15g05440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRTTLFPASATHHQLPVSTAGSGGDQSPATLVSSLKSFLKKPHAIPFLLSFFLLLTWVTLRFQRPSEHFPSMGLGSGIGIMGRRHWSSDEDNSANLVRFPASSPLIAKDKRGWLLNPISLALHSPISGGTTSCASIHVGEIKPGGVRGNHRHHTCNETFVIWGAHTLFRLENNAIQKGYAEVKVGADEIAVAVSPSGTAHALVNIDTSQSTFFMGCQDSVVNYTDSKTDFSVWKDL >itb09g09490.t2 pep chromosome:ASM357664v1:9:5723536:5726309:-1 gene:itb09g09490 transcript:itb09g09490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFATLLVCSFIFLSIPVSSQQDGFIYTRFNAPNNNISLTGVATVTGRGILQLTNQTRRLMGHAFYSSPFQFKNSSNGSAFSFSTCFAFAIVPEYQKLGGHGFAFAISQSVDFTAALPSQYLGLLNATDVGNFSNHLFAVEFDTVQDFEFGDINDNHVGIDLNSLVSNKSAAAAFFTDDSQKQDLNLKNGKVILAWVEYDSASNLLNVTLSPTSSKPKIPLFSYRLDLSAILKERMYVGFSASTGLLSSSHYILGWSFKMNGEAKSIDLDSLPLLPGIKKTLHMGFVVTVSVLGVVFVLLFIFVGIYVYKKMKNGDVIESWELEIGPHRYSYLELKKATGNFKEKELLGQGGFGQVYKGILPSSKTEVAVKRISNESKQGLREFVSEISTIGRLRHRNLVQLLGWCRKRGDLLLVYDFMPNGSLDRFLFDRPRRVLSWEQRLNIIKCVASGLLYLHEEYEQAVIHRDIKASNVLLDSEMNGRLGDFGLARLQEHGTNPGTTKVVGTLGYIAPELTKTGKATTKSDVYAFGAFLLEVVCGRRPIETKARIEEMVLVDWVWVKFKEGNVVDVVDWRMRGNFDESQVVMVLRLGLMCSGNEPSARPSIRQVLSYLDGECEMPAEVVVEPDKSSDVGLLEMDATFASLSSPQSLLSTSEHRKSDTYTS >itb09g09490.t1 pep chromosome:ASM357664v1:9:5723528:5726252:-1 gene:itb09g09490 transcript:itb09g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFATLLVCSFIFLSIPVSSQQDGFIYTRFNAPNNNISLTGVATVTGRGILQLTNQTRRLMGHAFYSSPFQFKNSSNGSAFSFSTCFAFAIVPEYQKLGGHGFAFAISQSVDFTAALPSQYLGLLNATDVGNFSNHLFAVEFDTVQDFEFGDINDNHVGIDLNSLVSNKSAAAAFFTDDSQKQDLNLKNGKVILAWVEYDSASNLLNVTLSPTSSKPKIPLFSYRLDLSAILKERMYVGFSASTGLLSSSHYILGWSFKMNGEAKSIDLDSLPLLPGIKKTLHMGFVVTVSVLGVVFVLLFIFVGIYVYKKMKNGDVIESWELEIGPHRYSYLELKKATGNFKEKELLGQGGFGQVYKGILPSSKTEVAVKRISNESKQGLREFVSEISTIGRLRHRNLVQLLGWCRKRGDLLLVYDFMPNGSLDRFLFDRPRRVLSWEQRLNIIKCVASGLLYLHEEYEQAVIHRDIKASNVLLDSEMNGRLGDFGLARLQEHGTNPGTTKVVGTLGYIAPELTKTGKATTKSDVYAFGAFLLEVVCGRRPIETKARIEEMVLVDWVWVKFKEGNVVDVVDWRMRGNFDESQVVMVLRLGLMCSGNEPSARPSIRQVLSYLDGECEMPAEVVVEPDKSSDVGLLEMDATFASLSSPQSLLSTSEHRKSDTYTS >itb01g33290.t1 pep chromosome:ASM357664v1:1:36455226:36457167:1 gene:itb01g33290 transcript:itb01g33290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMMEANSSSAPAPALPPDQDEFVRNLLTVARQLIDQNMPSQALQAVVMAMRARDGEGAVHQLLHRARELYSNRVQASAAADELASLLAECAIAEATPPNSEPYEHNTADESAELEKSGTSILSETGRKQVMLDAFSDGSSFICIQCGGLVSANRRDEHYSFWCGKM >itb05g25940.t3 pep chromosome:ASM357664v1:5:30069147:30076033:-1 gene:itb05g25940 transcript:itb05g25940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MKMETLPSSFSYGDEDRKNLQQLLEAFGSVVSLEDIASAYCKAGRDPSFAGDILCNLPASTSTTLSSENTEKSDTVHNDVEEFLFKMLGDGFSLDMSTIQNVVGQCGFDVNKSMDTLIDLSAANLEKSDDIVSIDSEKSPDLKSNSSRDSAGSSKTNNTAADELQLQKKKRRNELQKEILQSLFSHPGRYVDEEVAVRPSRESRRSRFIVDKPLELPEIEHRTVIKRPHVTQMNDDDDEESYEVLREAVKEYWTTMKEYYKAAIEAFVKDDRVRAYNLLEEGNFFMKKAREADEKSAQKLLEPRYADDEVSFDVHHFEPKGAIDFLKLQFNAYVGLPLRPFQCFNVITGVNDDDTTNGPRKRLVAKYLSKHSIPWTEEENGKVLVIKAEDIDPQKLTSYKSEPRNRVFPKA >itb05g25940.t1 pep chromosome:ASM357664v1:5:30068394:30076040:-1 gene:itb05g25940 transcript:itb05g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MKMETLPSSFSYGDEDRKNLQQLLEAFGSVVSLEDIASAYCKAGRDPSFAGDILCNLPASTSTTLSSENTEKSDTVHNDVEEFLFKMLGDGFSLDMSTIQNVVGQCGFDVNKSMDTLIDLSAANLEKSDDIVSIDSEKSPDLKSNSSRDSAGSSKTNNTAADELQLQKKKRRNELQKEILQSLFSHPGRYVDEEVAVRPSRESRRSRFIVDKPLELPEIEHRTVIKRPHVTQMNDDDDEESYEVLREAVKEYWTTMKEYYKAAIEAFVKDDRVRAYNLLEEGNFFMKKAREADEKSAQKLLEPRYADDEVSFDVHHFEPKGAIDFLKLQFNAYVGLPLRPFQCFNVITGVNDDDTTNGPRKRLVAKYLSKHSIPWTEEENGKVLVIKAEDIDPQKLTSYKSEPRNRVFPKA >itb05g25940.t2 pep chromosome:ASM357664v1:5:30068394:30076040:-1 gene:itb05g25940 transcript:itb05g25940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MKMETLPSSFSYGDEDRKNLQQLLEAFGSVVSLEDIASAYCKAGRDPSFAGDILCNLPASTSTTLSSENTEKSDTVHNDVEEFLFKMLGDGFSLDMSTIQNVVGQCGFDVNKSMDTLIDLSAANLEKSDDIVSIDSEKSPDLKSNSSRDSAGSSKTNNTAADELQLQKKKRRNELQKEILQSLFSHPGRYVDEEVAVRPSRESRRSRFIVDKPLELPEIEHRTVIKRPHVTQMNDDDDEESYEVLREAVKEYWTTMKEYYKAAIEAFVKDDRVRAYNLLEEGNFFMKKAREADEKSAQKLLEPRYADDEVSFDVHHFEPKGAIDFLKLQFNAYVGLPLRPFQCFNVITGVNDDDTTNGPRKRLVAKYLSKHSIPWTEEENGKVLVIKAEDIDPQKLTSYKSEPRNRVFPKA >itb05g25940.t4 pep chromosome:ASM357664v1:5:30069147:30076033:-1 gene:itb05g25940 transcript:itb05g25940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MKMETLPSSFSYGDEDRKNLQQLLEAFGSVVSLEDIASAYCKAGRDPSFAGDILCNLPASTSTTLSSENTEKSDTVHNDVEEFLFKMLGDGFSLDMSTIQNVVGQCGFDVNKSMDTLIDLSAANLEKSDDIVSIDSEKSPDLKSNSSRDSAGSSKTNNTAADELQLQKKKRRNELQKEILQSLFSHPGRYVDEEVAVRPSRESRRSRFIVDKPLELPEIEHRTVIKRPHVTQMNDDDDEESYEVLREAVKEYWTTMKEYYKAAIEAFVKDDRVRAYNLLEEGNFFMKKAREADEKSAQKLLEPRYADDEVSFDVHHFEPKGAIDFLKLQFNAYVGLPLRLL >itb02g10660.t2 pep chromosome:ASM357664v1:2:6912591:6915357:1 gene:itb02g10660 transcript:itb02g10660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPFAISALICVFLLATFFNMGMISSNFPSRVSLNQTNSYYAEVKVKQPLPPGSPSVPRFAYLVSGSKGDLEKLWRALLALYHPRNYYVVHLDRESSVEERLELAYRVETESLFVEVGNVHMITRANIVTYRGPTMVANTLHACAILLKKYQDWDWFINLSASDYPLVTQDDLLYTFANLKRELNFVEHTSRLGWKEGQRAMPLIVDPGLYKDTKSDIFWVTPGRPLPTSFKLFTGSAWMILSRAFVDYCIEGWDNLPRTLLMYYTNFVSSPEGYFQTVICNTPQFIPTVVNHDMHYISWDVPPQQHPHALTLNDTRRMIRSDAAFARKFNQGDPVLDKIDKKLLGRKNVNFTPGGWCAGNPPCSEVGDPENLKPGSGAKRLRSLIGKIVLSEKFRKQQCK >itb02g10660.t1 pep chromosome:ASM357664v1:2:6912112:6915357:1 gene:itb02g10660 transcript:itb02g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPFAISALICVFLLATFFNMGMISSNFPSRVSLNQTNSYYAEVKVKQPLPPGSPSVPRFAYLVSGSKGDLEKLWRALLALYHPRNYYVVHLDRESSVEERLELAYRVETESLFVEVGNVHMITRANIVTYRGPTMVANTLHACAILLKKYQDWDWFINLSASDYPLVTQDDLLYTFANLKRELNFVEHTSRLGWKEGQRAMPLIVDPGLYKDTKSDIFWVTPGRPLPTSFKLFTGSAWMILSRAFVDYCIEGWDNLPRTLLMYYTNFVSSPEGYFQTVICNTPQFIPTVVNHDMHYISWDVPPQQHPHALTLNDTRRMIRSDAAFARKFNQGDPVLDKIDKKLLGRKNVNFTPGGWCAGNPPCSEVGDPENLKPGSGAKRLRSLIGKIVLSEKFRKQQCK >itb10g04260.t1 pep chromosome:ASM357664v1:10:4074595:4078937:1 gene:itb10g04260 transcript:itb10g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDIPEMKSQLPPGEEAKEENDNKNAVVNEQSKKFWRGNRYKWWAEMSMYSIFLLSSQSVGTLLGRLYFDKGGNSKWMATLVQVVGFPILIPLLFLPSTKTTKPQDQDGTIRSRSPSLKIVAPLYIFMGLSNAAICMLYTVGIEYLPVTTYSLICASQLGFNALFSFFLNAQKFTPYIVNSLVLLTLSSALLVLQPDSASDSPARPTRRKYIIGFASTLAASAGYAFLLALMQLAFQRVFKRAETFRLVIEMTIYQSAVASAAIVAGLFGSGEWRGLGAEMEGFEMGRTAYVMNLGWTAVGWQVFSVGCIGLIFKVSSLFSNVISILGLPIPPVLAVFILNDKMNGVKVVSMVLAIWGFVSYIYQHYLDDLKAKAKAKAEEEVSQVALVQRV >itb07g10820.t1 pep chromosome:ASM357664v1:7:12105075:12109898:-1 gene:itb07g10820 transcript:itb07g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTSNVYIHVIEDVINKVRDEFINNGGPGESVLNELQGIWEMKMMQAGAILGPIERNSAPKAVPGGPITPVHDLNVPYEGSEEYETPTADLLFPPTPLPTPAPTPMPGTAQTPLPGTAHTPTVDLLFPPTPLPTPAPTPLPGVQTPLPGTAQTPPGTADSSLYNIPTGGTPITPNEYSTVNENDIPDVKPAQGRPSPYMQPPSTWMNQRPPLDVNVAYVEGREDSERVASQQQPLTQDFFMVPPSGKRKRDFASQYQPGGYIPQQDGAGDALYYDPKSVQGSAIQHGSVAAGKEIVIEGLSISRIPQLDGPIPDPYDEMLSTPNIYNYQGAVNEDYNVANTPAPDMQAPTPAPVPQNDAVDDDDEEPLNENDDDDLDDVDQGEDLNTAHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >itb06g21960.t1 pep chromosome:ASM357664v1:6:24437669:24438743:1 gene:itb06g21960 transcript:itb06g21960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKLKAAGKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKADVYTCFPHLKK >itb09g05350.t1 pep chromosome:ASM357664v1:9:3051697:3053027:-1 gene:itb09g05350 transcript:itb09g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSFPSSSFSSASASNPSLLGTLPPSSSADQISTMSDIQPKPRLKWTPELHGRFCEAIQFLGGVQCATPKAILELMNDRDLTLKQVTNHFQIHRSHCFDLEQLARETQAAVAQMAWVPPLPYLYGYPAPLYSAPPPALYSPFPPQPPQQLPTPPPPGRLVMDYSAPAPKTIIFKKLFPKGRPIDAEEDVNPGPSSPARNEGEDDDPLDLTLSIRPPNRG >itb02g10890.t1 pep chromosome:ASM357664v1:2:7094731:7096122:-1 gene:itb02g10890 transcript:itb02g10890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMITVFVFDLIAFGLAVGAEQRRSTAKIQMDEEQTYSYCVYDSDISTGFGVGAFLFLMASQVLIMVASRCFCFGKALSPGGSRACAVLLFIICWVTFFIAEVCLLAGSVRNAYHTKYRSSYLINDQPVSCETVRKGVFGAGAAFVFFTAILSQFYYVSYSKARGSVGPYVGEAAVGMAAYK >itb09g06040.t1 pep chromosome:ASM357664v1:9:3458720:3461871:1 gene:itb09g06040 transcript:itb09g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGNRRSGNYKPSIWDDDYVQSRTAIYTENEFSKRAAELKEGVRKMVEDKMDPLEKLELVDLLQRLGVSYHFEDEIQHVLEHIYTSSCWCNGDQDLYATALHFRLLRQHGYKVPQEVFCGFMDEEGNFRRSLSEDTKGILSLYEATYLCMEGESIMEAAQHLCTKHLREIQNMKVLEEDLVEHALEMPVYWRMQRFEARWFISVYEKRHNMKPVLLEFAKLDYNMVQAKYLEELKQMSKWNKDIRLAEKMSFARDRLVEGFLWAMGFTPDPQFEYCRKISTKLAVLLTILDDLYDVYGASNELEIFTDVVQRWDVNAAETVLPDYMKICFLAIFNSMNELGYDVLKDQGLSIITNIRKQCANLCKLYLLEVKWNLGRYTPSLNEYLDTAFITSTGPLLLMHAYFCITNPINIEDLQHLEQYPGIIRSSAMILRLANDLGTSPDEMLRGDIPKSIQCYMRESGCSEEKAREYINDLIAETWKKLNTELVKMDRPLPKEFRRIVTNLPRISQFIYQHGDGFGDRPDGMKDRIVDLFFEPIPMP >itb02g07970.t1 pep chromosome:ASM357664v1:2:4990580:4992151:1 gene:itb02g07970 transcript:itb02g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDNCGDHLHKFHPSHQLFLNRKYLREPEIPPRKLLSRRPSGEPEMPPPPHMESPTKMEDTQFQKFLPYNNSDDDDDDPYSSDNFRMFEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRKYHYSGSVCAEFRKGSCVRGDNCEFAHGVFECWLHPTRYRTEACKDGKNCKRKVCFFAHTPRQLRVLPPDCHDSNNNSPMNSPNMNHCCACCHSPNSTLMAISHMSPPVSPATAKSPFSRFGGPENCGIMDQFEQNPNSMADLVSSLESMNLNVTNAAYSHHMKIPAMVDLNFNVNNLSADPPTPTPSKLLTAGGTAATTKYYNDSGLPGIDLGWVNDLLT >itb06g25570.t1 pep chromosome:ASM357664v1:6:26548523:26548774:-1 gene:itb06g25570 transcript:itb06g25570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSTYESSWADQWDFNSEHTTTSSKETKKSRSGNGGMKVKVGEGLVKTKAMAATGIKKAKHGTSVGLQWIKAKYHKTTQKN >itb02g18460.t1 pep chromosome:ASM357664v1:2:14840168:14841255:-1 gene:itb02g18460 transcript:itb02g18460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNEFDVAGEKGWVIPSAKDDQFYNEWASKNRFKVNDTLKFEYQKDSVMVVSQEEYEKCRSAHPMFFSNAGKTVVKLERAGLYYFISGVSGHCERGLKMIVKVLELETPPPSDDHTPGNSPPAIGAATPARMPFLFVSLLGTIIFM >itb02g05070.t1 pep chromosome:ASM357664v1:2:3030088:3034065:-1 gene:itb02g05070 transcript:itb02g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSRVTVSVSLTAPSSSSGCCNPKTFSSNSLPFRPASIRWKSSFRNRNSRMKVSASAQPLTNADQLIDSVETFIFDCDGVIWKGDKLISGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLSVTEEEIFASSFAAAAYLKSIDFPKDKKVYVIGEEGILKELELAGFQYLGGPTDGDKKIELKPGFMMEHDEDVGAVVVGFDHYFNYFKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSTQREPLVVGKPSTFMMDYLANEFNIQKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSTLQDPKNKIQPDFYTNKISDFLSLKAATV >itb11g05740.t1 pep chromosome:ASM357664v1:11:3409726:3410061:1 gene:itb11g05740 transcript:itb11g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSWGSSHYYIGIWYKNISPQTVVWVANRVAPIPSSSKLRVLDGDLVLVDGAQNLVWSTNLSVTTVKQNSVLATLGDSGNLVLSEDGMSSTTPLWQSFDHLSCGCQVGI >itb03g27360.t1 pep chromosome:ASM357664v1:3:27133403:27134073:1 gene:itb03g27360 transcript:itb03g27360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTYEQVLDGVYLGRGEEKRYGVHFIVTIPSRKQRKLAMGSTENVAEAQTSEQVQTAFVAATGRWKALGLKVAWAEPQMRAVRRGSWWRRPNLGETWTDLNFVLLWF >itb15g11290.t1 pep chromosome:ASM357664v1:15:9103544:9105459:-1 gene:itb15g11290 transcript:itb15g11290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLYKTYTPSTRNGTVNSQVKSTRGKNLIYGQHRCGKGRNARGIITARHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLIHYGNGEKRYILHPRGAIIGDSIVSGTEVSIKIGNALPLTEMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATIKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGAKRWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPTTPWGYPALGRRSRKRNKYSEKFILRRRSK >itb10g23910.t1 pep chromosome:ASM357664v1:10:28003186:28004589:1 gene:itb10g23910 transcript:itb10g23910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAMKSAAVVTPPLPPVPGVEVDDVNGFKKKRTKRPRGDETPAPPTEEERYLALCLIMLARGEDPSRHRDAPPEAKVVIKEKETLLLPPPPQAAAAEAPHEQLYKCSVCNKCFHSYQALGGHKASHRKLNSATASDDNNNPSPSNSAAGGGGASHSSVLNPTGRAHECNICHKSFPTGQALGGHKRRHYEGNLGGSAANRDGGSAPSGSAVTSSEGGVSSHAPRPFDLNLLPSTELQLGLSVDCSVKSQRPGDQEVESPMPAKKPRLSFPFDWDLRPNSNS >itb06g09280.t2 pep chromosome:ASM357664v1:6:13481595:13484187:1 gene:itb06g09280 transcript:itb06g09280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCQNLLRRASLTLPLRPHSSSSSSSSNYKSTFRHIIIGSNAAASSPSVSIWRRKKEMGKEGLMVAKELKRLQSDPIRLERFMKSNVSRLLKSDLLAVLAEFQRQDLIFLSMKVYEVVRKEIWYRPDMFFYRDMLLMLAKNKRVDEAKKVWEDLKSEGVLFDQHTFGDLVRAFLDSGLCDEAMHIYDEMRSSTDPPLSLPYRVILKGLLPYPDLREKVKDDFLELFPDMIIYDPPEDLFDDEEWRKGSEDN >itb06g09280.t1 pep chromosome:ASM357664v1:6:13481595:13484240:1 gene:itb06g09280 transcript:itb06g09280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCQNLLRRASLTLPLRPHSSSSSSSSNYKSTFRHIIIGSNAAASSPSVSIWRRKKEMGKEGLMVAKELKRLQSDPIRLERFMKSNVSRLLKSDLLAVLAEFQRQDLIFLSMKVYEVVRKEIWYRPDMFFYRDMLLMLAKNKRVDEAKKVWEDLKSEGVLFDQHTFGDLVRAFLDSGLCDEAMHIYDEMRSSTDPPLSLPYRVILKGLLPYPDLREKVKDDFLELFPDMIIYDPPEDLFDDEEWRKGSEDN >itb01g10090.t1 pep chromosome:ASM357664v1:1:8398790:8401128:-1 gene:itb01g10090 transcript:itb01g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAHPNTALTLTPIPLPPSPIAHSSPLTPRIVSLCLQFSAWMSPALHHRRLQLSNLGALIVSLSCSLSVSGRKAQSTPGLKIVVAYGGDQYFHRWNQQMSMGTLKLGQGNCQQMSHSQPGTVGQNQPTGVDVQALAKLTLALEKRCIW >itb14g02470.t2 pep chromosome:ASM357664v1:14:2110167:2113770:-1 gene:itb14g02470 transcript:itb14g02470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNFSLIFSLLIIGAVLCSTTTVVVGTPPGPRGGAPFPPIHNPVGTPPQLNKVAGRRGLLSVPGASPNTDSLASPNNNLVQVPTPPSPKSPNSPAHGSPKAGIPTPPSPKSPNSPAHGSPKGVPGASPNTDSLASPYNNLVQVPTPPSPKSPNSPSHGSPKGVPGASPNTNSLASPYNNLVEVPATPSKSPVHGSPKGAPPRA >itb14g02470.t1 pep chromosome:ASM357664v1:14:2110167:2113770:-1 gene:itb14g02470 transcript:itb14g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNFSLIFSLLIIGAVLCSTTTVVVGTPPGPRGGAPFPPIHNPVGTPPQLNKVAGRRGLLSVPGASPNTDSLASPNNNLVQVPTPPSPKSPNSPAHGSPKAGIPTPPSPKSPNSPAHGSPKGVPGASPNTNSLASPYNNLVEVPATPSKSPVHGSPKGAPPRA >itb04g26660.t1 pep chromosome:ASM357664v1:4:30917539:30920296:-1 gene:itb04g26660 transcript:itb04g26660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPNLLSCLAGFIFFVLLYITIINPNNKNRNLLLPPVAAGALPIIGHIPILNSQNQILSRTLGDMADKHGPLFIVRSGMTPIAMICGLEAAKDCFTTHERDVASKPEFAVGEHLGYNYAMFSFSSDNAYWRVMRKFVVSELLSNVKLDKVKHIRMSELETSIKELYLFVTASPEPNKAAVVGMDEWLAQLTLNTSCRIVAGRRYKFKVGGAVGDDDSAEYKEAQDIIKVFREFQYLSGLFVLQDAFPLRLFKWFDFQGHVRAMKRNNKKVDKILQAWIDQHIERRQLGNAPAPAAGDDQDLIDLMLSTIDKEFVKGLPHTHQMAIKGTTQSMIIDGSDTTGTHMTWVLAVLVKYGDVMKRCREEIEAQVGTDRWVEDSDVKKLQYLQAVVKESLRLYPSVPLLTPRMTSKDCKIGGYDLPKGTQFNVNLWKIMRDPKFWPEPDKFMPERFLNRETTEADNPLKRFEYVPFGCGRRICVGMTYALQISHLTIARLIQGFNFSTPGNVELDMEEGLGVTLPRATPLQLVVTPRLPPNFYGL >itb01g24640.t1 pep chromosome:ASM357664v1:1:30244732:30246869:-1 gene:itb01g24640 transcript:itb01g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRCSHWCYTCRQPVYLTRENVACPNCHGGFVQELDEIMTTNPEYENQRPRFMGAISSFLRRQRSVRGSGSGNRGISDREPEHNSSWNPLLIFSGDMPVMIPDNGGVLEFLNEALGFRREHGGDYFIGPGVEEFFDDIISTNRTVPPPASRSSIESLPTVKISRKHVRSDLHCAVCKEKYEMGTEVRKLPCKHLYHSDCIIPWLQQRNSCPVCRRELTPERLGDSNSHSQNSRSQNRSNSRRFDRRESRRESTSENRERRRPWSFLWPFGSSRSHARHTAVAVPETNIETSQEYRHYSEYSNWPFE >itb01g24640.t3 pep chromosome:ASM357664v1:1:30244763:30246654:-1 gene:itb01g24640 transcript:itb01g24640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRCSHWCYTCRQPVYLTRENVACPNCHGGFVQELDEIMTTNPEYENQRPRFMGAISSFLRRQRSVRGSGSGNRGISDREPEHNSSWNPLLIFSGDMPVMIPDNGGVLEFLNEALGFRREHGGDYFIGPGVEEFFDDIISTNRTVPPPASRSSIESLPTVKISRKHVRSDLHCAVCKEKYEMGTEVRKLPCKHLYHSDCIIPWLQQRNSCPVCRRELTPERLGDSNSHSQNSRSQNRSNSRRFDRRESRRESTSENRERRRPWSFLWPFGSSRSHARHTAVAVPETNIETSQEYRHYSEYSNWPFE >itb01g24640.t2 pep chromosome:ASM357664v1:1:30244732:30246869:-1 gene:itb01g24640 transcript:itb01g24640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRCSHWCYTCRQPVYLTRENVACPNCHGGFVQELDEIMTTNPEYENQRPRFMGAISSFLRRQRSVRGSGSGNRGISDREPEHNSSWNPLLIFSGDMPVMIPDNGGVLEFLNEALGFRREHGGDYFIGPGVEEFFDDIISTNRTVPPPASRSSIESLPTVKISRKHVRSDLHCAVCKEKYEMGTEVRKLPCKHLYHSDCIIPWLQQRNSCPVCRRELTPERLGDSNSHSQNSRSQNRSNSRRFDRRESRRESTSENRERRRPWSFLWPFGSSRSHARHTAVAVPETNIETSQEYRHYSEYSNWPFE >itb09g07220.t1 pep chromosome:ASM357664v1:9:4179091:4179723:-1 gene:itb09g07220 transcript:itb09g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLVCMAFMASMALLLALSFSSAQSAEPSSPQHISTINADGGEDASGGLKARVLGDYSDRHHKHRRHRHHHYHYWPYWYWRGYWPHWGWRGYWPRWGWGWRGHWGLRARRGLVEDHGKAREGTGAGSGGGFGSGNGRAGAGGGEAAGGGSGSGYGTGSGGGDIHGYGEGHGGGYGGVPTPGSYQCKPQNCIGKDCNEIMIHLDEVYT >itb10g18190.t1 pep chromosome:ASM357664v1:10:24190799:24193526:-1 gene:itb10g18190 transcript:itb10g18190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKLKFNAGRSAGVGETKTSSSAARIAEEVKWEMRPGGMLVQKRGDNSDDAVSPPKLQIRVAYGAARYDLSVNSQATFGEVKKLMTAETGLQPGEQRLIFRGKERENGDYLDMSGVRERSKLIMIEDPESKEKRFIQMRRNAKIQTARRFIDDVAVEVDKLSDQVCAIEKSIGNGNKVAELQITTLIEMLMAQAVKLDNVHAEGDAFGHKNLQSERVKKCVESLDKLKVANAQVKPPVVVTTAKWKFLDDPPPPATTNWEIFD >itb10g14790.t2 pep chromosome:ASM357664v1:10:21085281:21086883:1 gene:itb10g14790 transcript:itb10g14790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMQQGKRGEVGVDDEAMELKKKKKSVNDGRYGDGVAWRRGAMLGKGSFGCVYMASLKKPRSKYGYFPSVMAVKSAEVSVSASIQKEREVLAQIKGNPYVIRCFGEETTTGENGEMVYNLLLEYGSAGTLADRIKKSGGEKGLPELEPDNIFLVPSSGEVNKGIGLRAKIGDFGLTKREKQSKKRRLEPYWRGTPMYLSPEVVKDSVQESPSDVWALGCIVLEMLTGKPPWAEEGQLDVKEILSKIEQGQLPKIPTHLSKVAMEFLKGCFVKNDMYRLTAEMLLNHSFVQGLDEDEDWRFEEVEEVEDINVIDSIVLVSDFGDEFGAGGWSCVSEDEDSMYYWSDEDDMEGIEDYEDSSCYDDEERGLVGNENPGDLTPSTDTGFDLSETSLEVSSEVPSHGRQTYPIDYTIRAGV >itb10g14790.t1 pep chromosome:ASM357664v1:10:21085243:21087063:1 gene:itb10g14790 transcript:itb10g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMQQGKRGEVGVDDEAMELKKKKKSVNDGRYGDGVAWRRGAMLGKGSFGCVYMASLKKPRSKYGYFPSVMAVKSAEVSVSASIQKEREVLAQIKGNPYVIRCFGEETTTGENGEMVYNLLLEYGSAGTLADRIKKSGGEKGLPELEVRFHTRSLLRGLNHIHLTGYVHCDLKPDNIFLVPSSGEVNKGIGLRAKIGDFGLTKREKQSKKRRLEPYWRGTPMYLSPEVVKDSVQESPSDVWALGCIVLEMLTGKPPWAEEGQLDVKEILSKIEQGQLPKIPTHLSKVAMEFLKGCFVKNDMYRLTAEMLLNHSFVQGLDEDEDWRFEEVEEVEDINVIDSIVLVSDFGDEFGAGGWSCVSEDEDSMYYWSDEDDMEGIEDYEDSSCYDDEERGLVGNENPGDLTPSTDTGFDLSETSLEVSSEVPSHGRQTYPIDYTIRAGV >itb15g07740.t1 pep chromosome:ASM357664v1:15:5304907:5307888:1 gene:itb15g07740 transcript:itb15g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKRRVEQGIVKGCSTVEPEKCLFGKYSDAEMLVQLRRIALDPCDPEVTLKNVGSLFSQTLRVREVLSLSQTDCPRRKRKLQQYVKEKLRSAPKLALEAFDQESEGKKSRKQLTHMSSVSSLLGSVKSTRSSQQKPISTSHSTKSLLTFEDSFQERVFSESYLDAVNMEPPTKYISPLIDSDESVNGSNPLSPVDLTLTPTKGPLLALNEVINNSKSLATKGKRLQSPRRSIRLLNLIGDHLQTMVVPVGPRFQAEVPEWTVPLGNVRADSADGDSDDSRWLGTRVWPVEIDDTKLSTRSIGKGREESCNCQAPGSVDCVRRHVLEERLLLQCDLGPAFSTWKFDQMGEQMMKSWTVKEQQIFQPLVKIKPQTNGKNFLKHALKSIPSEYRTTVINFYFNVFLPGRIGMQTRMSSEVQVDTDDDEAGDSNYLHVPNRCHGKTGMPVKSNDVKKTRYLRVRT >itb15g07740.t2 pep chromosome:ASM357664v1:15:5304907:5307888:1 gene:itb15g07740 transcript:itb15g07740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKRRVEQGIVKGCSTVEPEKCLFGKYSDAEMLVQLRRIALDPCDPEVTLKNVGSLFSQTLRVREVLSLSQTDCPRRKRKLQQYVKEKLRSAPKLALEAFDQESEGKKSRKQLTHMSSVSSLLGSVKSTRSSQQKPISTSHSTKSLLTFEDSFQERVFSESYLDAVNMEPPTKYISPLIDSDESVNGSNPLSPVDLTLTPTKGPLLALNEVINNSKSLATKGKRLQSPRRSIRLLNLIGDHLQTMVVPVGPRFQAEVPEWTVPLGNVRADSADGDSDDSRWLGTRVWPVEIDDTKLSTRSIGKGREESCNCQAPGSVDCVRRHVLEERLLLQCDLGPAFSTWKFDQMGEQMMKSWTVKEQQIFQPLVKIKPQTNGKNFLKHALKSIPSEYRTTVINFYFNVFLPGRIGMQTRMSSEVQVDTDDDEAGDSNYLHVPNRCHGKTGMPVKSNDVKKTRYLRVRT >itb15g07740.t4 pep chromosome:ASM357664v1:15:5306288:5307888:1 gene:itb15g07740 transcript:itb15g07740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSLLGSVKSTRSSQQKPISTSHSTKSLLTFEDSFQERVFSESYLDAVNMEPPTKYISPLIDSDESVNGSNPLSPVDLTLTPTKGPLLALNEVINNSKSLATKGKRLQSPRRSIRLLNLIGDHLQTMVVPVGPRFQAEVPEWTVPLGNVRADSADGDSDDSRWLGTRVWPVEIDDTKLSTRSIGKGREESCNCQAPGSVDCVRRHVLEERLLLQCDLGPAFSTWKFDQMGEQMMKSWTVKEQQIFQPLVKIKPQTNGKNFLKHALKSIPSEYRTTVINFYFNVFLPGRIGMQTRMSSEVQVDTDDDEAGDSNYLHVPNRCHGKTGMPVKSNDVKKTRYLRVRT >itb15g07740.t3 pep chromosome:ASM357664v1:15:5304907:5307888:1 gene:itb15g07740 transcript:itb15g07740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKRRVEQGIVKGCSTVEPEKCLFGKYSDAEMLVQLRRIALDPCDPEVTLKNVGSLFSQTLRVREVLSLSQTDCPRRKRKLQQYVKEKLRSAPKLALEAFDQESEGKKSRKQLTHMSSVSSLLGSVKSTRSSQQKPISTSHSTKSLLTFEDSFQERTMVVPVGPRFQAEVPEWTVPLGNVRADSADGDSDDSRWLGTRVWPVEIDDTKLSTRSIGKGREESCNCQAPGSVDCVRRHVLEERLLLQCDLGPAFSTWKFDQMGEQMMKSWTVKEQQIFQPLVKIKPQTNGKNFLKHALKSIPSEYRTTVINFYFNVFLPGRIGMQTRMSSEVQVDTDDDEAGDSNYLHVPNRCHGKTGMPVKSNDVKKTRYLRVRT >itb01g01840.t1 pep chromosome:ASM357664v1:1:1088443:1089584:1 gene:itb01g01840 transcript:itb01g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIALLFALSLCYLLPNPTHSTFNPLRLHTADVAVASDNTPVLDTDGNELRVGETYYITIPFAVPVGVQLAWLNSTTQCASNVVILSRCLADGDPIRITPADPTATVVSPSTPLSFSFSVSTSPRCASSVNWGIMHDPRFDLYFLNSGEFVPNVSDRFKIEANPDPDHPNTYRIAYCQFGGDKCYYLGGFIISADAALRIGLTSTYPFPFMFRRAPSCNDALNITEVTQGVGSWAEKFGVSVL >itb04g13910.t1 pep chromosome:ASM357664v1:4:14049926:14050504:-1 gene:itb04g13910 transcript:itb04g13910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFLFSTSILHASDLDVLLKMKASIASDLDVLLKMKASMVRQRSSRLSDWRDADNASSGAAHCFFSGVKCDGGAAHCFFSGVKCDGDSRVIAINIVKCDGDSRVIAINISGVPLFGTLIAINISGVPLFGTLPPEIGLLDRLVNLTLIGDNLTGELPPLTLIGDNLTGELPPEMAKLTAVRVIGQVGRRG >itb15g05950.t1 pep chromosome:ASM357664v1:15:3897745:3898916:1 gene:itb15g05950 transcript:itb15g05950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METDGDATAAQPQCTSAKKSMTTSTSFRLRSPSLNSVRLRRIFDMFDRNNDGMITVEELNKPLNLLGLNTDLSEVDSMIRSFIKDGNDGLTFEDFEALHRSLDNVFFGSDGGEDGEEAISKAQEETEMKEAFRVFDEDGDGFISARELQTVLSKLGLLESGNEIDRVQQMISSYDQNSDGLVDFSEFKDMMRCVIQKN >itb13g00530.t1 pep chromosome:ASM357664v1:13:440455:440779:1 gene:itb13g00530 transcript:itb13g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLNKVTFVALLVCFILIASYETQMVEAKHCMKHNSWGGGCSLMRCGNWCKGMGGYKWYCQHQKCYCQYNCGS >itb04g05370.t1 pep chromosome:ASM357664v1:4:3459444:3465094:1 gene:itb04g05370 transcript:itb04g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METYLRENFDVEPKRPSEEALTRWRSAVWLVKNPRRRFRMVADLAKRARAERDRKKMQEKMRILLYVQKAALHFIDAASQMDQPSISDEMRQAGFQIDPEELAAIVRSHDSKRLGFHGGVGGLERKLSVSLENGVPSSEITRRQKLFGLNRFVEKPSRPFWMYVWEALQDLTLLILMVCAVVSIGIGIATEGWPKGMYDGVGIILCIFLVVLVTAVSDYRQSLQFKDLDKEKKNIKIHVTRNGGREKVSIYDLVVGDIVHLSIGDQVPADGLFISGYSLWIDESSLSGESEPVSIDERNPFLLSGTKVQDGSGKMLVTAVGMRTEWGRLMVTLCETEEDETPLQVKLNGVATIIGKIGLAFAILTFVVLTGRYLAVKASLGEIMEWDANDALRLMNFFAIAVTILVVAVPEGLPLAVTLSLAFAMKKLMSDRALVRHLSACETMGSVTCICTDKTGTLTTNHMVLTKMWISGETKAINQITDPRNTLKSSISEKTHTVLLHSIFQNTSAEVVKDKDGKNNILGSPTEMALLEFGLILEGDFQSRSRGTKIVKVEPFNSTKKKMSVLVALPGGGHRAFCKGASEIILSVCNKIINKEGQSIPLSDERRNAISNAINKFACEALRTLCLAFQDVEGYSPSSNIPENDYTLIALVGIKDPVRPGVKEAVQTCLAAGIKVRMVTGDNINTAKAIAKECGILTNDGIAIEGPDFRDKTPEEMKDMMPKLQVMARSLPLDKHKLVTMLRRDFQEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVVIMDDNFSTILNVAKWGRSVYINIQKFVQFQLTVNVVALIINFISACVTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELLKQPPIGRNVNFITRIMWRNIIGQSIYQMIILCVLQFRGKDLLNLDGPLATITLNTMIFNTFVFCQVFNEINSRDMERINVFSGMFSSGLFMGIIISTVAFQAVIVEFLGTFADTVPLSWNLWVASILMGAVSLIIGVLLKCIPVPPSNDSETIKNHDDYEQIPSGPDQA >itb11g22230.t1 pep chromosome:ASM357664v1:11:24085524:24086377:1 gene:itb11g22230 transcript:itb11g22230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTQQSAKQLQVISIVGMGGIGKTTLARKVYEDSSISFYFDKRAWVTISQEFNEEQMLQCLIGCVNATSHGNLAESLRKHLKDQRYLIVMDDIWNTTAWDSVQRCFLDDSNGSRILLTSRLREVAEYVSSSNSTINMPFLDVNEGWNLFCNVFGQTKFLSVFEKIGRDIVKKCEGLPIAIIVVASLLSKTDAAVEKWNNVAENVVGGRGIFEGRGSSKYGGSGHEVLARYC >itb03g03870.t1 pep chromosome:ASM357664v1:3:2310567:2314012:-1 gene:itb03g03870 transcript:itb03g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGILAENKVPLLTSSSSEVDTQHHTTDTNLSRRIWVETKKLWHIVGPAIFTRVSSYSMMIITQAFAGHIGDVELAAISITTNLILGFNFGLMLGMASALETLCGQAYGAKRYHMLGVYLQRCWVVLWLFSLAMIPLYLYATPVLKLLGQPEEVSELSGSVALAFLPLQFSFASWFPMQRCLQSQLKNFVVAWISFGALIVHILINWFIVYQLKLGIIATALALSFSWWLIVVGLFVYTVFGGCPLTWTGFSMEAFSDLWAFFKLSISSGIMLCLENWYYRILILMTGNLYNAEIVLDALSICMNINSMELMIPLSFFAGTGVRVANELGAGNGKAARFASIVSVMESIGIGIVFWVLIIFFHNQLALIFTTSQPILDEVHKLSLLLAFTILLNSVQPILSGVAVGSGWQAYVAYINLGCYYLLGVPFGLILGWVFNKGVMGIWSGMIGGTAVQTLVLAVITIRTDWDNEARNANRHVEKWDAVGHVKP >itb04g12930.t1 pep chromosome:ASM357664v1:4:12772038:12776063:-1 gene:itb04g12930 transcript:itb04g12930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHGGGPRRGRLLPQILVALVVLTAASVVSGNDHYVYASPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYYYHSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPKKSPPYYYNSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYHSPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPKKSPPYYYNSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYNSPPPPKKSPPPPYYYHSPPPPVKSPPPPYYYTSPPPPTHYYPPHHHLIVKVVGKVYCFRCYDWEKPEKSHDKKHLKGAVVEVTCQAGEKKIKAYGTTKINGKFSVTVEGFEYGKYGANACKAKLHAPPKYSKCSIPTNLHWGIKGAKLKVKSKTDYEVVLYAKPFAYAPKTPYEECEKPKPKPTPAPYYYKSPPPPSPTYVYKSPPPPHYYYKSPPPPTPTHPHPPYVYKSPPPPSPKPAPVYYYKSPPPPSPKPAPVYYYKSPPPPSPTPAPHYYYKSPPPPSPKPHPPYYYKSPPPPSPTPAPHYYYKSPPPPSPKPHPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPSPSPPPPYHYTSPPPPVKSPPPPVYIYASPPPPTHY >itb14g00860.t1 pep chromosome:ASM357664v1:14:602970:604589:1 gene:itb14g00860 transcript:itb14g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHSCGCDQRPRPAILTDGRRREPYCFTPAAATNASTEYCKMTSPSLNYSGSAAGFNAEFDSPVEDL >itb13g01470.t1 pep chromosome:ASM357664v1:13:1431099:1431695:1 gene:itb13g01470 transcript:itb13g01470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILMFNMLLLFSNLFTIMHALGHCLPDQKSVLLQIRSEITYYSSISTKLVLWDEGGDCCRWPGLSCNHAGYITSLDLSHERSIMGGFNVSLLYKLPSLSVIRLDGVYFSAPFPDFFTDFTNLTVLSLADCNFSGTVPHKVFQVPTLQTIDLSINHMLGGSLPDFPENGSLKSLTLWWTIFSGNLPESIGKPHTAVPY >itb03g04060.t1 pep chromosome:ASM357664v1:3:2506624:2511638:-1 gene:itb03g04060 transcript:itb03g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDPSAATTSSIFSQKSQRPPDDTVFFSIFPDFALHSSTSSAATTSELQALHLQILQTLAPYTSNYIWQHEPFNLSLSSTAPPHLSGKLRFGDNLEDEWFVVFLLYEISRNFPNLSIRVWDSDGEFLLIEAAFHLPRWLNPDTATNRVFIRCGRLHIIPKSIFHDTPSLRDALRLLIDGAEEKTKAPEPVQLQLENRLKEYPLRAENNVQRVRVRVPVSVAQVLKHEPCLISLAVEGFYDRDIDTMKFAAKMERFLRNGSREELVPVAVRMSRAMYAQLVQQAFQAPKCYPPLPPRSDVGAYLEAELGLKIACGLEMIYQLRKMQGMEGKGSTWEAFKKSLEKSGYFEGLLPGSNEYKRLMQNAEEYYRNSSLQARTSEMLNAPVRRIDEILALPHSVDDFKNLELPPSDDDSWLYGGEDELNAALHERQKEMEDYNSKHKKKQNSKEEQADSDNLNDYDLKNISKSMQAFVKQMSSYQGAEVPESSMRDVDFDVDRFMEDINSLARQKDSEDTGSEFDIEGSNSDMEFDESDDESDGEDNEVGGDPFMQSYAHVLNEELKGTSLSKSFARADEQPPKKDEGTSAGAEGMEEELAPVDVDFNLVKSLLDSFSSQQGNAGPASNLLGLLGLNLPQDANSKGK >itb03g04060.t2 pep chromosome:ASM357664v1:3:2509121:2511638:-1 gene:itb03g04060 transcript:itb03g04060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDPSAATTSSIFSQKSQRPPDDTVFFSIFPDFALHSSTSSAATTSELQALHLQILQTLAPYTSNYIWQHEPFNLSLSSTAPPHLSGKLRFGDNLEDEWFVVFLLYEISRNFPNLSIRVWDSDGEFLLIEAAFHLPRWLNPDTATNRVFIRCGRLHIIPKSIFHDTPSLRDALRLLIDGAEEKTKAPEPVQLQLENRLKEYPLRAENNVQRVRVRVPVSVAQVLKHEPCLISLAVEGFYDRDIDTMKFAAKMERFLRNGSREELVPVAVRMSRAMYAQLVQQAFQAPKCYPPLPPRSDVGAYLEAELGLKIACGLEMIYQLRKMQGMEGKGSTWEAFKKSLEKSGYFEGLLPGSNEYKRLMQNAEEYYRNSSLQARTSEMLNAPVRRIDEILALPHSVDDFKNLELPPSDDDSWLYGGEDELNAALHERQKEMEDYNSKHKKKQNSKEEQADSDNLNDYDLKNISKSMQAFVKQMSSYQGAEVPESRLVVFVLSLSLSEH >itb07g03190.t1 pep chromosome:ASM357664v1:7:2168239:2172288:1 gene:itb07g03190 transcript:itb07g03190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGS1-HXK1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G26410) UniProtKB/Swiss-Prot;Acc:Q84K90] MASDVPSAEIDETSSPVPAPPATPKQRDKPWHAYISEDLPRTVQESTDSAIRSARLLQNTSSGHLRSLQDFLPQLSSQYKAYEDAFVQKVKDEVEIAREHPVVVGGIAVATGLIFMRGPRRFLFRNTLGRFQSEEAQFNKAEKNVKELKLSVDLMKKESRKLFERAAIAHNDMKRGHSELMNAGTRIQNLAKAVNKAEAQATDLMDLLREIPGREPLKLRAEVASMASHLRQQKAAMDKRIAKISELGVPV >itb01g07060.t2 pep chromosome:ASM357664v1:1:5265320:5269399:-1 gene:itb01g07060 transcript:itb01g07060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTGAVVKRLKIKISSKGVRTHCERDSQESDVQNLQNSVDAVKKPVSIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGNILQVLMSHKHGWAFLKPVDPDAFNIPDYFVIITKPMDLGTVKSKLQGNMYFGVEEFAADVRLTFANSMRYNPPDNAFHTMAKELDSLFNKRWKLMEAKWKSKSGSAEQGCLPNRSGKDFQDMNKSCLKKGPLHVNPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRVLVAALDAVAAKAESAEGMHVFSAKTNHKVSLVDSQYASSGNSLRRCHKQSVCSAASSRDLSSKRVLEEVQCTSLKLDGEMKSSSTGSQLILDSNGSGSQKEIESPSSDHSSCATTTTIGEGCTSLGDAQLSPTKARRAAMLKSRFAETIFKAKHQVLVPQGDKANTLKLQQERERLQKQQLAEKARIDEQIRVAEAARMRAEAELKIRRVKEREAARIALEKVSANQFYVVSLYMSVIIRCQKRWKCIGQGKKKV >itb01g07060.t1 pep chromosome:ASM357664v1:1:5265257:5269399:-1 gene:itb01g07060 transcript:itb01g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTGAVVKRLKIKISSKGVRTHCERDSQESDVQNLQNSVDAVKKPVSIKFKLPVPANSNKRGPQGTLDGEKGKRQKMDWSIKQQCGNILQVLMSHKHGWAFLKPVDPDAFNIPDYFVIITKPMDLGTVKSKLQGNMYFGVEEFAADVRLTFANSMRYNPPDNAFHTMAKELDSLFNKRWKLMEAKWKSKSGSAEQGCLPNRSGKDFQDMNKSCLKKGPLHVNPMTKRLMRIEDKEKLKKELLELLRGKVIDNMQSTLQKFGLGKEKLNVEIDTLDDETLLELKRVLVAALDAVAAKAESAEGMHVFSAKTNHKVSLVDSQYASSGNSLRRCHKQSVCSAASSRDLSSKRVLEEVQCTSLKLDGEMKSSSTGSQLILDSNGSGSQKEIESPSSDHSSCATTTTIGEGCTSLGDAQLSPTKARRAAMLKSRFAETIFKAKHQVLVPQGDKANTLKLQQERERLQKQQLAEKARIDEQIRVAEAARMRAEAELKIRRVKEREAARIALEKMEKTVEFEDNLKILKDLERLCRCPYPDDLLGSGYGVNFSFGRTPLERLGLYIKEDFLEEDDDEAVLNGDGEDGEILQ >itb14g06470.t2 pep chromosome:ASM357664v1:14:5697672:5702427:-1 gene:itb14g06470 transcript:itb14g06470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASVIVYITIAVLLLLLISHSPDKGNKPHRHRRLKLRSNFTFADGHLHHDRIPFDPIVADIERKREDKEWEKMYIETHHPELKSHDAEHAPGEQAQPEWEDFIDAEDYLNDEEKFNVTNRLVLLFPKIDIDPPDGFVSERELTHWNLEQSRKDVLHRTEREMEAHDKNRDGLVSFAEYEPPTWVQKSEPNSVGYDMGWWKEEHFNASDMDGDGQLNITEFNDFLHPADTTNPKLLLWLCKEEIRERDSDKDGKVNFNEFFHGLFDLVRNYDDEGHNTSHHSDDSGSTPARKLFDELDKDGDGYLSDVELLPIIEKLHPSERYYAKQQADYIIQQADSDKDGRLTLSEMIDSPYVFYSAIFNEDEESDYDEYHDEFR >itb14g06470.t1 pep chromosome:ASM357664v1:14:5697672:5702429:-1 gene:itb14g06470 transcript:itb14g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASVIVYITIAVLLLLLISHSPDKGNKPHRHRRLKLRSNFTFADGHLHHDRIPFDPIVADIERKREDKEWEKMYIETHHPELKSHDAEHAPGEQAQPEWEDFIDAEDYLNDEEKFNVTNRLVLLFPKIDIDPPDGFVSERELTHWNLEQSRKDVLHRTEREMEAHDKNRDGLVSFAEYEPPTWVQKSEPNSVGYDMGWWKEEHFNASDMDGDGQLNITEFNDFLHPADTTNPKLLLWLCKEEIRERDSDKDGKVNFNEFFHGLFDLVRNYDDEGHNTSHHSDDSGSTPARKLFDELDKDGDGYLSDVELLPIIEKLHPSERYYAKQQADYIIQQADSDKDGRLTLSEMIDSPYVFYSAIFNEDEESDYDEYHDEFR >itb07g22310.t1 pep chromosome:ASM357664v1:7:26792125:26793186:1 gene:itb07g22310 transcript:itb07g22310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGGLSPRTSSGAREKPKGMDSNLQNLEWEVSNSGSALDHHFHSLNAVEILRETVWILRYNLMGFLSIAALLICPVSAVALSNVLVDQSMVKILTIRLLLVAKSSGLSLRPFVRQSVQKFSEMVISSAMCFPLYVVFLLLSKAAIVYSVDCTYSRRKFNPSKFFVIITKIWKRVVVTYLWACCLISGCLMLFLVLLVVVCSTFSIMGFPSDLILYPAMVVGMIFSIILANCTIICSVAVVISVLEEVSGPQALLRSSSLIKGQTQVGLLIFLGSTIGNAFVEGLFEHRVKALSYADGSSRAWEGPLLVIMYSFMLLIDSMMSTVFYFSCKSYRMERANEESQPVLEALAIP >itb04g12790.t2 pep chromosome:ASM357664v1:4:12532785:12538751:-1 gene:itb04g12790 transcript:itb04g12790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAGRSFLQVAATEEAAVPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPVREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSFQTVELIETFMNYQENIRRCVCIIYDPSRSNQGILALKALKLSDSFMDLYRNNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDFDRLQLSTNPYLERNVEFLIECMDDLSMEQQKFQFYYRNLSRQQSQQQAWLQKRRAENMARKAAGEEPLPEEDPANPIFKPIPEPSRLESFLITNQIANYCNQINGVAGQSFNRVYLMKALHDNNN >itb04g12790.t3 pep chromosome:ASM357664v1:4:12532785:12538751:-1 gene:itb04g12790 transcript:itb04g12790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAGRSFLQVAATEEAAVPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPVREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSFQTVELIETFMNYQENIRRCVCIIYDPSRSNQGILALKALKLSDSFMDLYRNNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDFDRLQLSTNPYLERNVEFLIECMDDLSMEQQKFQFYYRNLSRQQSQQQAWLQKRRAENMARKAAGEEPLPEEDPANPIFKPIPEPSRLESFLITNQIANYCNQINGYVSITRTIIFCISLTVLDTHLLGCGPEFQ >itb04g12790.t1 pep chromosome:ASM357664v1:4:12532785:12538751:-1 gene:itb04g12790 transcript:itb04g12790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAAAGRSFLQVAATEEAAVPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPVREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSFQTVELIETFMNYQENIRRCVCIIYDPSRSNQGILALKALKLSDSFMDLYRNNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDFDRLQLSTNPYLERNVEFLIECMDDLSMEQQKFQFYYRNLSRQQSQQQAWLQKRRAENMARKAAGEEPLPEEDPANPIFKPIPEPSRLESFLITNQIANYCNQINGVAGQSFNRVYLMKALHDNNN >itb10g12360.t1 pep chromosome:ASM357664v1:10:18311268:18319087:1 gene:itb10g12360 transcript:itb10g12360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENEDFNDTEAEAELSVEQKVEIAKWFLLNSPAGEIQYVSKDIRAVLNDENVYTMAAEEAFPLYNKSHLICLQLPDRTGDVLITSFGEISKNEYIDPRTANVAIVDHVKQVCKEVRPASDEELPSAYVEDYRCALEAEATKYVSEAYPKGVCAVYCTKGKDVEEQGSNFELVVVISAARHSPQNFCNGSWRSIWSAEFKDELQMVEVRGRMQVGAHYFEEGNVQFDAKHECKDTTLIQSPDDSALSLVHIIRQHETEYLNSLQKSYLNLSDTTFKDLRRKLPVTRTLFPWHNTMQFNLVKDISKELGVGK >itb13g22870.t1 pep chromosome:ASM357664v1:13:29059681:29061874:-1 gene:itb13g22870 transcript:itb13g22870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEAVSSSEDGGVKGDIEQGTLYPGLGYGENQLRWGFIRKVYGILAAQILLTTVVSAITVLYAPVNELLRSNSGLLIFLIFLPFILLWPLHVYQQKHPLNLVFLGLFTTSLSFTVGMTCANTDGRIVLEALILTSAVVSALTGYTFWAAKKGKDFSFMGPILFTGLFVLILTGFIQAFFPLGSTSSAIYSAMGAIIFCGYIVYDTDNLIKRFTYDQYIWASVTLYLDVLNLFLTILRMLRQGNN >itb04g00120.t1 pep chromosome:ASM357664v1:4:86857:94187:1 gene:itb04g00120 transcript:itb04g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNRLQRACTALGDHGEDSALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHRIDENREYAEFGHIPRKRFTDFAAVRKEISDETDRETGRTKAISSVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVHDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKAYKLPYPWIGVVNRSQADINKNVDMIAARRREREYFSSTPEYKHLAHRMGSEHLGKVMSKHLESVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRIFDGNFKEHLDGVRPGGDKVYNVFDNQLPAALKRLQFDKHLSMENVRKLITEADGYQPHLIAPEQGYRRLIESSVISIKGPAEASVDAVHAILKDLVHKAINETTELKQYPSLRVEVSNAAVESLERMRDESKKATLQLVEMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTELGKKEAKQLGKLLDEDPAIMQRRVSLAKRLELYRSAQAEIDTVALSK >itb04g00120.t2 pep chromosome:ASM357664v1:4:86887:93002:1 gene:itb04g00120 transcript:itb04g00120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNRLQRACTALGDHGEDSALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHRIDENREYAEFGHIPRKRFTDFAAVRKEISDETDRETGRTKAISSVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVHDIENMVRSYIEKPNSIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKAYKLPYPWIGVVNRSQADINKNVDMIAARRREREYFSSTPEYKHLAHRMGSEHLGKVMSKHLESVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRIFDGNFKEHLDGVRPGGDKVYNVFDNQLPAALKRLQFDKHLSMENVRKLITEADGYQPHLIAPEQGYRRLIESSVISIKGPAEASVDAVCIWSWFMLY >itb14g03980.t2 pep chromosome:ASM357664v1:14:3568705:3577018:-1 gene:itb14g03980 transcript:itb14g03980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQKAKVVRLKSHLEKYLVADNDQEHTRQSRRGGASRNARWHVELVDGNSHVVRLKSCHGRYLTASDAPFLLGMTGHKVLQTAPENMKDLRIEWQPIKDGFQIKLRAYGGTYLRANGGTPPWRNSITHDNPHTASSTHNWILWDVEAVEVPEDEQLSDYLSLVSTFSSLSDELSSLDMGSPVSMRSSFSPRVLKTTPSINLPAMEMFHRAKTVRLRSHHDKYLTAEEDEESVTQDRNGAARSARWTVEFPENTDNVVRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVREGNQVKLRTRYGQFLRANGGLPPWRNSVTHDIPHRTATQEWILWDVHVVDILVQSPLPKAPPPLVIHSDSFASESNSPSTASSKSQSFSGQESGDSLDNVARMVGDGRLIFYRISDDYGELDGVEERCMPFKGNGVGELKKMLEEETGLEGIIVCTRSVLNGKLYPLRLALPPNNADMAVVIVPSSSTGQFLFAIFHEYHTIVHSFSCATQIRS >itb14g03980.t3 pep chromosome:ASM357664v1:14:3568705:3577018:-1 gene:itb14g03980 transcript:itb14g03980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQKAKVVRLKSHLEKYLVADNDQEHTRQSRRGGASRNARWHVELVDGNSHVVRLKSCHGRYLTASDAPFLLGMTGHKVLQTAPENMKDLRIEWQPIKDGFQIKLRAYGGTYLRANGGTPPWRNSITHDNPHTASSTHNWILWDVEAVEVPEDEQLSDYLSLVSTFSSLSDELSSLDMGSPVSMRSSFSPRVLKTTPSINLPAMEMFHRAKTVRLRSHHDKYLTAEEDEESVTQDRNGAARSARWTVEFPENTDNVVRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVREGNQVKLRTRYGQFLRANGGLPPWRNSVTHDIPHRTATQEWILWDVHVVDILVQSPLPKAPPPLVIHSDSFASESNSPSTASSKSQSFSGQEVHYI >itb14g03980.t1 pep chromosome:ASM357664v1:14:3568705:3577018:-1 gene:itb14g03980 transcript:itb14g03980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQKAKVVRLKSHLEKYLVADNDQEHTRQSRRGGASRNARWHVELVDGNSHVVRLKSCHGRYLTASDAPFLLGMTGHKVLQTAPENMKDLRIEWQPIKDGFQIKLRAYGGTYLRANGGTPPWRNSITHDNPHTASSTHNWILWDVEAVEVPEDEQLSDYLSLVSTFSSLSDELSSLDMGSPVSMRSSFSPRVLKTTPSINLPAMEMFHRAKTVRLRSHHDKYLTAEEDEESVTQDRNGAARSARWTVEFPENTDNVVRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVREGNQVKLRTRYGQFLRANGGLPPWRNSVTHDIPHRTATQEWILWDVHVVDILVQSPLPKAPPPLVIHSDSFASESNSPSTASSKSQSFSGQESGDSLDNVARMVGDGRLIFYRISDDYGELDGVEERCMPFKGNGVGELKKMLEEETGLEGIIVCTRSVLNGKLYPLRLALPPNNADMAVVIVPSSSTVAGDFATAKMPL >itb03g18530.t2 pep chromosome:ASM357664v1:3:16780318:16782396:1 gene:itb03g18530 transcript:itb03g18530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDEDRVDASATRIPRNQLLSFAKGPCETFQSPNSSTQVQRRLIALREIQNKHDEFEVEYSKEKAALDAKYQKIYYPLYDKRFRIVSGADEVEGNDGTQNMGVEQDEDKGIPSFWLVAMKNNKNIAKTICEKDEGALAFLKDIEWSMVDTESFKIDFFFEKSNPFFSNPVLTKTYYMFDDEILLRTRGSVIEWYPGKSLTANTTRKKVDKRTVVLSAKNKEPMTESEEESFSFFNFFRPLEVLSADHPDAEEVKQLMQQDYRIG >itb03g18530.t1 pep chromosome:ASM357664v1:3:16780318:16782219:1 gene:itb03g18530 transcript:itb03g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDEDRVDASATRIPRNQLLSFAKGPCETFQSPNSSTQVQRRLIALREIQNKHDEFEVEYSKEKAALDAKYQKIYYPLYDKRFRIVSGADEVEGNDGTQNMGVEQDEDKGIPSFWLVAMKNNKNIAKTICEKDEGALAFLKDIEWSMVDTESFKIDFFFEKSNPFFSNPVLTKTYYMFDDEILLRTRGSVIEWYPGKSLTANTTRKKVDKRTVVLSAKNKEPMTESEEESFSFFNFFRPLEVLSADHPDVRIQSFNL >itb01g21710.t1 pep chromosome:ASM357664v1:1:27767022:27768464:-1 gene:itb01g21710 transcript:itb01g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDQLHVFFFPMMAQGHMIPTLDMAKLFASRGVRATIITTPRNQPVFSDSIVKATTELGRRIQIVVLDFPAARAGLPEGCERLDLAPDDAVPNFHAACAMLQEPLEELLEQHRPDCLVADMFFPWATDAAAKFRVPRLVFHGTSYIALCGWYNLRVYDPFKNVTSDCEPVSIPNLPHDIRLTRLQLSPVDRGLLDSYSGELMKRVRESDVNSYGVIFNSFYELEREYADYYRNDLGIKAWPVGPLSLCNESRAERGDKPSIDEHQCLEWLDSKAPNSIVYICFGSGMASFAPNQLGELARGIESSGLDFVWVIRKGRGEGAEDEKWMPEGFEERTKERGLVIRGWAPQVVILDHQAVGAFVTHCGWNSTLEGVCAGVPFVTWPMCAEQFYNEKLVTQVLRTGVGVGSKKWMRSGNDGVKSDAIAEAMKRVMMEGEELKSRAKAYKEMAKKAIEEGGSSYCGLTALLDELTTLHSSRKH >itb07g15860.t1 pep chromosome:ASM357664v1:7:18909138:18912568:1 gene:itb07g15860 transcript:itb07g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPVQTQMAVAPFKTPLGGEFKRMEGKATGRRRVFVQTETGCVLGMELDRSDNAHTVKRRLQLALHFPIEESSLTFGDMVLKNDLSAIRNDSPLLLTRNTMHRSSSTPCLSPTGRDNQQRDWSGPIEILGKSSSFAKTKQLVKEIVKAMKAGVEPLPVHGGLGGAYYFRNNRGESVAIVKPTDEEPFAPNNPKGFVGKGLGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNMNKPNNKRQFVSKIASFQQFIPHDFDASDHGTSSFPVATVHRIGILDVRIFNTDRHAGNLLVRKLDGVGRFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELEYIDKLDPIRDSEMLRSELPMIREACLRVLVLCTIFLKEAATYGLCLAEIGEMMTREFRSDEEEPSELEVVCIEARRLVAEKELPSPGVEVDEDEFQFEIDYEDTGYDYNPKMPFSDFTSRNPFHVPFGANGRTPLSKLEESIEEEEESSGEEEKISPDLLPIINKETVSKLSMSLKNTSLGEKNLKYPSFSGPKQESSSSGHRSANEQLPTSVSFVKLADMNEEEWAMFLEKFQELLLPAFAKRKSVAIGQRQRQRLGTSCQF >itb09g18610.t1 pep chromosome:ASM357664v1:9:14541740:14546818:-1 gene:itb09g18610 transcript:itb09g18610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigB [Source:Projected from Arabidopsis thaliana (AT1G08540) UniProtKB/Swiss-Prot;Acc:O22056] MSCLLPQFKCSPDTFAVKRTPHHHHQSGIHIPKVRHPVYFRTQCILSTASPSASTATATVLDIEKLELPSLDLCSDSIDSDRSWNPPTSAVTTSMLDMEKLKLPSFEDYSNSVAADRLWSYTGAVGPPTEANSKTAFPAETLLTSEEAVIAAAASEAVALAKAALKVAKDAALLVGGHNSSKLDDKHIDTADSTLFDRARLVEPGEKVDKSKINGISCNGSNVYDYHYTKSDDAEPTHEELELLQAQLSKNIAVRSKRQKERKARRANAAERAAANDVSVKSGSARRKKRATLQDIDYSDPLRYLRGTTSTSKLLTISEEQLFSEGIQDLKKLESLEEELKERCGGQPTFAQWAAAAGVDQKTLRKRLNHGIHCKDRMIKSNIRLVISIAKNYQGVGMNLPDLIQEGCRGLVKSAEKFDASKGFRFSTYAYWWIKQAVRRSLSHQSRTIRLPLHMVDATYRVKEARKQLYIENGRHPDDEEVAEAAGLSMKRLTAVMLTPKAPRSLDQKMGLNMDLKPSEVIADPEAETSEDFLIKQLMRQDLEKVLDTLNPREKQVIRWRFGMEDGRMKTLQEIGELMNVSRERIRQIEICAFRKLKSKKRTEHFKKYLTA >itb02g26280.t1 pep chromosome:ASM357664v1:2:27131307:27131892:1 gene:itb02g26280 transcript:itb02g26280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHQEGPNSSSSSQTARKSYAYGEWVSIEQCQCRQEMILKTSWTNENPGRRYWECSSCKDGSCGFVKWYDPPMCPRPKRIIPGLLKRINKNKEVIVKNEEEIAKLKAKVKSLRTREEMKDKNMGRNCTSKMVVVVLIVVLMCCLAIGKNESYGVPMLP >itb02g11530.t1 pep chromosome:ASM357664v1:2:7684630:7685681:1 gene:itb02g11530 transcript:itb02g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIFQLPFHVIHPNPSVLRKQFAIKCTSSSSTPEPETDPDFPTPSEGATTPADKFPIEKRRRSAIIRDRKSRIGLAKPEPRNFEIGWKRTKPIQIEEPVGFVIMDFLEKLEGLIMARQYGSTALLAKVGEIVAERAREEAEVLQEEGKVEERMVTELFRVLKLMEMDLAMVKAAVKEETLNERLEQATARCRQAILVASSF >itb15g05590.t3 pep chromosome:ASM357664v1:15:3599995:3603200:1 gene:itb15g05590 transcript:itb15g05590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPILRRELENLDKDADSRKSAMKALKSYVKELDSKAIPLFLAQVSETKEAGVSSGEYTISLYEVLARVHGPKIVPQIHNIMCTIIKTLTSSAGSFPLHQACSKVVPAIARYGMDPTTPEEKKRFIIHSLAKPLLDCLLGSQESLSSGAALCLKALVDSDNWRFASNEMVNEVCQCVTGALEKHGQTNNHMALVTSLAKHNSLIVEAYARLLIQTGLSILGCVNGEGNSQKRLSAVHMVNSLMRYLDPRSILSEMKMVIEEMEICQSDKMPYVRGAAFEALQMAKRICSEKGSKLENDVDSVTGSNFDSRGYTRRNMFGSGDHSPLTASPESQTLDSFQGFNSFTDSPLSTNQTSRYLSDGRRSVNRKLWKRHENGLDVSLKDGIFSEVTSRNGNQNSVHDEFTNNGEDYRDRFAGFFPGSGRNGFVRSATPSPQRSRSHVTPENVAIFTTPRKLIHSLQDVHNSPDFSEKKTRRYRSLSACEFEWSPASYRDGSLLNGKTMKNRDEKFSDESEQNHHQTSESVSSAEGASADTELEGIRNNTWETVKSRGFRLKLPQKFMCGTICCLLIVVLAIFVFLWNDSQGQGYNLVPT >itb15g05590.t1 pep chromosome:ASM357664v1:15:3599995:3603200:1 gene:itb15g05590 transcript:itb15g05590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPILRRELENLDKDADSRKSAMKALKSYVKELDSKAIPLFLAQVSETKEAGVSSGEYTISLYEVLARVHGPKIVPQIHNIMCTIIKTLTSSAGSFPLHQACSKVVPAIARYGMDPTTPEEKKRFIIHSLAKPLLDCLLGSQESLSSGAALCLKALVDSDNWRFASNEMVNEVCQCVTGALEKHGQTNNHMALVTSLAKHNSLIVEAYARLLIQTGLSILGCVNGEGNSQKRLSAVHMVNSLMRYLDPRSILSEMKMVIEEMEICQSDKMPYVRGAAFEALQMAKRICSEKGSKLENDVDSVTGSNFDSRGYTRRNMFGSGDHSPLTASPESQTLDSFQGFNSFTDSPLSTNQTSRYLSDGRRSVNRKLWKRHENGLDVSLKDGIFSEVTSRNGNQNSVHDEFTNNGEDYRDRFAGFFPGSGRNGFVRSATPSPQRSRSHVTPENVAIFTTPRKLIHSLQDVHNSPDFSEKKTRRYRSLSACEFEWSPASYRDGSLLNGKTMKNRDEKFSDESEQNHHQTSESVSSAEGASADTELEGIRNNTWETVKSRGFRLKLPQKFMCGTICCLLIVVLAIFVFLWNDSQGQGYNLVPT >itb15g05590.t2 pep chromosome:ASM357664v1:15:3599995:3603200:1 gene:itb15g05590 transcript:itb15g05590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPILRRELENLDKDADSRKSAMKALKSYVKELDSKAIPLFLAQVSETKEAGVSSGEYTISLYEVLARVHGPKIVPQIHNIMCTIIKTLTSSAGSFPLHQACSKVVPAIARYGMDPTTPEEKKRFIIHSLAKPLLDCLLGSQESLSSGAALCLKALVDSDNWRFASNEMVNEVCQCVTGALEKHGQTNNHMALVTSLAKHNSLIVEAYARLLIQTGLSILGCVNGEGNSQKRLSAVHMVNSLMRYLDPRSILSEMKMVIEEMEICQSDKMPYVRGAAFEALQMAKRICSEKGSKLENDVDSVTGSNFDSRGYTRRNMFGSGDHSPLTASPESQTLDSFQGFNSFTDSPLSTNQTSRYLSDGRRSVNRKLWKRHENGLDVSLKDGIFSEVTSRNGNQNSVHDEFTNNGEDYRDRFAGFFPGSGRNGFVRSATPSPQRSRSHVTPENVAIFTTPRKLIHSLQDVHNSPDFSEKKTRRYRSLSACEFEWSPASYRDGSLLNGKTMKNRDEKFSDESEQNHHQTSESVSSAEGASADTELEGIRNNTWETVKSRGFRLKLPQKFMCGTICCLLIVVLAIFVFLWNDSQGQGYNLVPT >itb07g02970.t1 pep chromosome:ASM357664v1:7:2012769:2013677:1 gene:itb07g02970 transcript:itb07g02970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHLEKRRKGEGNGATNTKRKFAEPETTETHPPIYSPAKAVRQVKDLGFGVQAFKKRKSRETFPPIHSSPNTTLGKTQVGALGPGVQTVKKRKFVGVRQRPSGKWVAEIKNTTQKIRMWLGTFDTAEEAAQAYDEAACLLRGSNTRTNFLNHIPPNPALSLKIRNLLTQKQQNLNKTKTPNNLNKTPKPPTNPQTFPSQILGDNNAYKPNFILGELGYDHHPQTPFLTDFDTFLVTQHQSSSSTYETRSLQIPELEQMKVERQICGGSVYGAINGVNEYWENSLSDAFLDLPMICQMFCPT >itb07g21260.t1 pep chromosome:ASM357664v1:7:25640417:25644583:1 gene:itb07g21260 transcript:itb07g21260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLNENEDSDQSISEDEDVDYKWSRNIVSLGSASEDKGNIQILSHLETLKDVHNLDCATKVTIECQQRQKNICIEDDVEHPVFNNEDHFGMASGCNSDEKIGIFPVLEQICSSEEEIISEDEKILAGSVKCKREGSPSRAGGHTEAALYLNENSGSSSVCGISPKLMNPSNGNQGKTKHKFLFRFNRKKEGIPFVAHDTNKSNTSLSLLPQSVELDTAPCMFGKKLTEDLLDNMQQNEIELIENDAVPSEIAVEHKSSERSMAELLDCFQEKRGLQFENSINHSNIKGRRVKNFPKRNISTLGDRNVIDDDIPEALDSEPPMDAEEIPQVLKSVAPERTIADQFHEALGSASMNDRRPYISVSGQHCGGLFANLQRVMLSEKERDINFLNHLQMDTDSNGEKVCIDVRILSSSLEAKLTICHCTFKEDDESSDLLNHPNLKRTKGGSVLTVIFSSRVCNDVELDKGNLIRIYPPWKEVHAKENDEVIILSRYFSRISSDHIMF >itb07g21260.t2 pep chromosome:ASM357664v1:7:25640417:25644284:1 gene:itb07g21260 transcript:itb07g21260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLNENEDSDQSISEDEDVDYKWSRNIVSLGSASEDKGNIQILSHLETLKDVHNLDCATKVTIECQQRQKNICIEDDVEHPVFNNEDHFGMASGCNSDEKIGIFPVLEQICSSEEEIISEDEKILAGSVKCKREGSPSRAGGHTEAALYLNENSGSSSVCGISPKLMNPSNGNQGKTKHKFLFRFNRKKEGIPFVAHDTNKSNTSLSLLPQSVELDTAPCMFGKKLTEDLLDNMQQNEIELIENDAVPSEIAVEHKSSERSMAELLDCFQEKRGLQFENSINHSNIKGRRVKNFPKRNISTLGDRNVIDDDIPEALDSEPPMDAEEIPQVLKSVAPERTIADQFHEALGSASMNDRRPYISVSGQHCGGLFANLQRVMLSEKERDINFLNHLQMDTDSNGEKVCIDVRILSSSLEAKLTICHCTFKEDDESSDLLNHPNLKRTKGGSVLTVIFSSRVCNDVELDKGNLIRIYPPW >itb05g14330.t1 pep chromosome:ASM357664v1:5:21405708:21408722:-1 gene:itb05g14330 transcript:itb05g14330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQGLTILLLSLIFLFLALANSQYVPTPTPCTCIETGLPPFSPPSNTGGGGQPPIPPPGSGQPTISPGSSGTPPITPPSNDGIIQPPVSPQSNKQPSISPPSNDQPPVSPPDNGQPPVSPPNNGQPPVSPLSNDQPPISPPSNVQPPFSPPQNAQPPLSPSSNGQPPISPDSAQPPISPPPPISPGSSGQPPSNVGNTQPPSPQGSGQPPISPTVSGQPPISPPSGSGQPPISPGSSGTSPITPPSKDNSTQPPVSPESNSQPSISPPSNDQPPVSPPDNGQPPVSPPNNDQPPISPPSNVQSPFSPQQNAQPPLSPPGNGQSPISPTGSGQPSIAPPGNGKPPVSPPGSSQPPISPLGSGQPPISPPGSGQPPFSPPHNAQPPLSPPSNDQPPVSPPDNGQPPVSPPNNGQPPVSHPSNDQTPISPPSNVQPPFSPPQNAQPPLSPPSNGQPPISPDSAQPPISPTSSGQPPLSPPSNDQPPVSPPDNGQPPVSPPNNGQPPVSPPSNDQTPISPPSNVQPPFSPPQNAQPPLSPPSNGQPPISPDSAQPPISPTSSGQPPLSPPSNDQPPVSPPDNGQPPVSPPNNGQPPVSPPSNDQTPISPPSNVQPPFSPPQNAQPPLSPPSNGQPPISPNSAQPPISPTSNGQPPLSPPSNDQPPVSPPDNGQPPASPPNNSQSPVSPPSNDQPPISPPSNVQPPFSPPQNAQPPLSPPSNGQPPISPTGSGQPPFSPQSNVSNGSQPSIAPPGNGKPPVSPPGSSQPPISPLGSGQPPISPPGSGQPPFSPPHNAQPPLSPPGSGQPPVSPPSFSSQPSISPPNNGGRSPISPTNDNDGGTLQPPIPPPGNRQPPTSPPGNGQPPVSPYSSSGEPPISPPSNGVNVTQPPISPPSNGVNVTQPPIPPPNNSGGTCPKDKNDLGVCALALRRLGSIFQADHSILIQPCCKCLRGLNNFEAATCLCTASNLLARLINNPNLAINTLLKMCEMRAPKGFNCIPRRML >itb02g01440.t1 pep chromosome:ASM357664v1:2:794202:800296:1 gene:itb02g01440 transcript:itb02g01440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSSLFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHIETPLSEFFNVEVTALNSFEEKEEQFREQVANLRQRFSHSIEPGGLAGDRRAVVPASGFSFSAQQIWKIIKENKDLDLPAHKVMVATVRCEEISNEKYAAFTANEEWCRLETEVQSHPVPGFGKMLSSILATRLSEYDAEATFFDEGVRMAKRKVLEEKLLQLVQPTYQSMLGHVRSQAMEKFKEMFDNALNGGKGFAVAASECSESCLVQFNERCKDAAIEQANWDSSKVKDKFRRDVDAHIAAIRAAKLAELTTLYEKKLNEALSGPVESLLDGASDDTWPAIRKLLNRETEAAVSGASAALSGFKMDEEARNNILSKLKDYATGVVETKAKEEAGRVLSRMKERFSTMFSHDSDSMPRVWTGNEDIKGITKTARLSSLKILSVMAAIRLGNEADNIAKILTLALLDGKSASAGNKSITTFDPLASSTWDEIPSPKTLITPVQCKSLWRQFTTETEYTVTQAINAQEASKRSNDWLPPPWAILALVVLGFNEFMTLLRNPFYLAFLFVAFLLLKALWVQLDIAGEFRNGALPGIISLSTKIVPTIMDILRRLAEEGAAQPTANPQRPNSSGRPSSKKSRSGGETSSSASSEVGSSESNAEFH >itb02g01440.t2 pep chromosome:ASM357664v1:2:794202:799621:1 gene:itb02g01440 transcript:itb02g01440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSSLFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHIETPLSEFFNVEVTALNSFEEKEEQFREQVANLRQRFSHSIEPGGLAGDRRAVVPASGFSFSAQQIWKIIKENKDLDLPAHKVMVATVRCEEISNEKYAAFTANEEWCRLETEVQSHPVPGFGKMLSSILATRLSEYDAEATFFDEGVRMAKRKVLEEKLLQLVQPTYQSMLGHVRSQAMEKFKEMFDNALNGGKGFAVAASECSESCLVQFNERCKDAAIEQANWDSSKVKDKFRRDVDAHIAAIRAAKLAELTTLYEKKLNEALSGPVESLLDGASDDTWPAIRKLLNRETEAAVSGASAALSGFKMDEEARNNILSKLKDYATGVVETKAKEEAGRVLSRMKERFSTMFSHDSDSMPRVWTGNEDIKGITKTARLSSLKILSVMAAIRLGNEADNIAKILTLALLDGKSASAGNKSITTFDPLASSTWDEIPSPKTLITPVQCKSLWRQFTTETEYTVTQAINAQEASKRSNDWLPPPWAILALVVLGFNEFMTLLRYFVCLYI >itb12g15610.t1 pep chromosome:ASM357664v1:12:16006659:16009885:-1 gene:itb12g15610 transcript:itb12g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G02630) UniProtKB/Swiss-Prot;Acc:Q84XI3] MTARLPCNMDATKFKEMESVKGDNETPAAAAAPRDAYKVAYIIHFLLGAGSLLPWNALITAVDYFGYCYPGRHVEKVFSVAYMSSSLAVLGAMLGLGRRNPITKLWFRLRMNLGFSMFVLSLIMTPALDWWFKDNKQPGRCAAAYSAVVASVVICGLADGLIGGTLFGSAGKLPKQYMQAIFAGTASSGVLICALRIITKASLPQTAQGLKTSAHLYFIVSTAIVIGCIGCCNLLYKLPVMQQLVDDDGGGRNSSPAPRFWSVARAIKWPAFGIFTIYTVTLSIFPGFLAENIESELLKDWYPILLITVYNISDFLGKSMTGIHVVKGIGKATWGCVGRLLLYPMFSLCLHGPRWLKTETPIVLLTVTLGISNGYLTSVLMILSPKSVPLSDSEIAAIVMAVFLGMGLVAGSVLGWVWII >itb04g05110.t1 pep chromosome:ASM357664v1:4:3157800:3159351:1 gene:itb04g05110 transcript:itb04g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACCEATARWRLGDDDEMMKRYEQWIVEYGRVYANETEKVARFNIFKENVNFIDSFNRAGSHTFTVGINEFADLTNDEFKSSRMGYKSPPYVESTPFMYENVTDVPPAIDWVKRGAVTAVKNQRQCGCCWAFSAVAAIEGVKQIRAGILSSLSEQQLLDCDIISLGCHGGWIGAGFLYVLFNGGITTESNYPYTMKMGHCQKDKASQVEAKLKGFQWVPRFKEAELMKAVANQPVSVAIDATGMAFQFYKGGVYGKECTTKVNHAVTVVGYGESSEGKFWLIKNSWGEHWGENGYIRLMKDSGDAKGACGIATKPSFPVA >itb04g16430.t2 pep chromosome:ASM357664v1:4:18084891:18089439:-1 gene:itb04g16430 transcript:itb04g16430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MSWCSLSTTTSRSMILDTSNSPLKQLKSWGKISYIKRDRRSHNRYPAGKVCAYYGLKAPPFELDALEPYMSRRTLEIHWGGHHRSYVDALNKQLEKNDVLYGFTMEELIRVTYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGDMPTLSLLKQIEKDFGSFTNFREKFIEEALKSFGSGWVWLVLKSEEKCLAVVRTSNAVNPLLWNDIPLICLDMWEHAYYIDYQNDRAKYVNMFMNHLVSWQSALVRMARAQAFVNLGEPKIPVA >itb04g16430.t1 pep chromosome:ASM357664v1:4:18084891:18089439:-1 gene:itb04g16430 transcript:itb04g16430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MSWCSLSTTTSRSMILDTSNSPLKQLKSWGKISYIGLKKRDRRSHNRYPAGKVCAYYGLKAPPFELDALEPYMSRRTLEIHWGGHHRSYVDALNKQLEKNDVLYGFTMEELIRVTYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGDMPTLSLLKQIEKDFGSFTNFREKFIEEALKSFGSGWVWLVLKSEEKCLAVVRTSNAVNPLLWNDIPLICLDMWEHAYYIDYQNDRAKYVNMFMNHLVSWQSALVRMARAQAFVNLGEPKIPVA >itb14g19060.t1 pep chromosome:ASM357664v1:14:21835648:21845359:-1 gene:itb14g19060 transcript:itb14g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQKCSLKELKSLLLTCKDRISISKIHTLLISSGLSTHGILNSQLITSYAKVGDIEFARKVFDELPKRGIDAWNTMIIAYSRKGHPCEAINLYKEMIFAGVKPDSSTFTAALKACTILSDLELGEQILQKARECGYENDVFVGSSSLNFYSKCGKMASAMSVFEKMERKDVVCWTTMITGFAQSGKAREAVEMYQRMQKDGLEGDEVVMLGLIHASANLGDIKFGCSIHGYMIRKGLPMNVLVQTSLVDMYAKNGELGLASRVFRKLHCRNSVSWSALISGYAQNGLAEDALKLLVEMQNEGFAPDMASLVSALLACSHIGFSKLGKSVHSYILRRSEMNRVLGTALIDMYAKCGLIARARTVYDRLILKDLICWNAIIASYGIHGLGGEALSLFCQMKDTIEPDHTTFAALFSALSHSGLVDEGRYWFDAMINQYKIQPTEKHYACLVDLLARAGQVEEAKKLISSMQNEPGIAVWVALLSGCHNHKKFLIGDLAARKVLELKPDSLGIYVLVSNFFAAAKKWEEVAAVRKFMRGTGMKKVPGYSLVEVNGRLHAFLMEDKSHPQHDQIAAMLRKLGQEMAAMGYSPKTESVLHNVEEDVKVAMLCSHSERLAIAYALLNTVPGARLMVTKNLRVCGDCHEATKFISIIVRREIIVRDVKRFHHFKDGVCSCGDYWSSFPSAISGFWKSSAKNGRNMAGSNVPKFGNWDTEENVPYTVYFDKARKNKGGKMINPNDPQENPEMFQSKAPSASTQPTPTPPTSKPRVQSEEPTGYGAVRPTDKRPVSREDGDLQQYGSSPARHDNVDRRRRASESAARPARQSAGSDRSFDKSPLHPIHQAKAATGRGGASPAREGKYSYESSHGTPGRSYNSSHGTPGRSYGNSHGTPGRSYDGSQVAAGRTRARPESPDRAAAVPKFGDWDEKDPQSADNYTYIFNKVRDERHAEPTNPSATPSRPTTRDQQDEHFQKRCCPWW >itb08g11020.t1 pep chromosome:ASM357664v1:8:10727918:10732377:1 gene:itb08g11020 transcript:itb08g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGILVVVISLVVLGLSLREASVSAAVTLPPVNGKPLVRQYYKKLNTCANAEAFVKYQVKMMWQRDRSLTAKLLKLLYADCMVNGCDGSILLNSKNSERNAIQNIGLRGYAAIDTIKRVLEIRCPGVVSCSDILVLATRDAVALAGGPSYPVLLGRKDGKESKASWIDYPSPSITWEEGLAYFKSKGLDVQDFVTLMGAHTMGRTHCRYILDRLYNYKNTNRSDPTMSSSLLKSLTKLCPPKTRKGQHDPLVYLTPEGRPEDYKFTNTYYSRILQNQSVLTIDQQLLYGPDTNELVNEYAAGFEDFKFGIALSMNRMTSLKVITGTDQGEIRRECSRTN >itb14g10870.t1 pep chromosome:ASM357664v1:14:12363636:12365400:-1 gene:itb14g10870 transcript:itb14g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKIESTRIVKPLYEGNPPLTTSHVPLSVFDKVSFDTHMGIIYAYRPPTPSNSTIELGLKKALAVYREWAGRLGKDEKGNSVILLNDKGVKFVEASGDTTLDNVMPFKPCASLLNLHPSLMGVAELVQVQVTRFKCGSLVVGFTNHHLVADGHSTSNFLVAWGKACREVMIQPLPLCDRTIFIPRNPPRIEYDHVGTEYMPKKNDHFLNKDDATFLDDIVVHKVHFTLEFLTKLKAKASIMNGDARPYSTFESLVAHLWRAITKARNLGDYETTHIRIAVDGRARLNPKVPNEYFGNLVLWAFPTTKVKDLLRQPLSYTAMLIHDAVSKVNNNYFRSFLDFANSKVIVEEDGFVPTADVDKLILCPNLEVDSLLRFPFYDLDFGTGCPYIFMPTFLPIEGLMFFLPSFTGDGSIDAFIALFQDNLATFKQICYSLD >itb09g18810.t1 pep chromosome:ASM357664v1:9:14885058:14888464:-1 gene:itb09g18810 transcript:itb09g18810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPWRIGDGLDSSWTEKMTVRRWRLLDSERESRDRVREGGQLTEQTADGGWSPGRWWSANPHSSSYSILSIFLALHHRPGDHPPSAVCSASCPPSRTLYLDSLSLSSSLHRRTVIFSVHEESRPSPIRHGATIFSGVYDVTPSHPRFLPTLSDYASRTFSQPPPATQHAATPPATQQATTPLLHRRRSPSTFRTSGDLLVAC >itb11g17950.t1 pep chromosome:ASM357664v1:11:18053495:18063308:-1 gene:itb11g17950 transcript:itb11g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPGGGEALILVTDEQRNVTCTKVPRRCTKEELIQVIPDTWISNYEKLHQNRRPIESNEATISRRKDGTVGISFNHAHLKRTEFLPSINMVEEARERQEEHVQEILDYDPDDDDAEPVFLGYGKTGMSEIVHSFDQFGKPVFWFKDPISNHCFFDLCEDDLQWSLPRRRQPTQGGSDELWTPAMLRTSKSVGDATQPPPPARQLGVVVVAGSRMAVRSDRWWLASSTVAVSSSVDGEQTGDGGAVDVG >itb07g01290.t1 pep chromosome:ASM357664v1:7:813178:814538:1 gene:itb07g01290 transcript:itb07g01290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISEELARFAIAEYNKKANANLVFDKVIYNVTIVASEGGQKKVYEAKVSVNESKNIKELQEFKLVGNETSKAAGGISSHARSRSPQAEFAPSQGYPKLRLRHVEATASRGCPKPRLRQAEAAPEEGRPPIHL >itb11g01870.t1 pep chromosome:ASM357664v1:11:918282:919515:1 gene:itb11g01870 transcript:itb11g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPLSLHNCSDTNPNSSPSRNSNSIFLAPASGFAPSGDNFRINLRLQLHHHGSNDDRNSLTTDTNLRHSQASEFSAQSSQQRIKVDSSILEKDPAICCPICKDELFLDLDLKVLPCKHKFHVNCISRWLKIKNSCPICRFQMPIKADSGAFDRQRSVESEEFLEDYDDDDEFSGAWHPPIRLRHQLSHIAETRNLVELIENFLQIELAIQIVMRLLDYGVGEF >itb11g01870.t2 pep chromosome:ASM357664v1:11:918282:919515:1 gene:itb11g01870 transcript:itb11g01870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPLSLHNCSDTNPNSSPSRNSNSIFLAPASGFAPSGDNFRINLRLQLHHHGSNDDRNSLTTDTNLRHSQASEFSAQSSQQRIKVDSSILEKDPAICCPICKDELFLDLDLKVLPCKHKFHVNCISRWLKIKNSCPICRFQMPIKADSGAFDRQRSVESEEFLEDYDDDDEFSGAWHPPIRRVPRSYNLSEMFSVRF >itb15g00750.t1 pep chromosome:ASM357664v1:15:435321:438213:-1 gene:itb15g00750 transcript:itb15g00750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MKCLKERIFGTVCRVCVQITGLHKMYGKKGSELVKELADGEPGQLAAYNSDLFTQVTEECNSHFLHLESLLRSGPIESSTSQPSEDADYYGSLIHLRSLIRNKRCLMAYVYNRAEVIRSLGWTLERVLPEEIEEKLSSSEKEYFKNHSKTIQEYMSKLDLDLGVDMVPPKDPYIKVRVLEDIGTVVLSDQLANLARHAILFLRRTDAEQYIAQGLMEELTS >itb15g00750.t2 pep chromosome:ASM357664v1:15:435321:438213:-1 gene:itb15g00750 transcript:itb15g00750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGKKGSELVKELADGEPGQLAAYNSDLFTQVTEECNSHFLHLESLLRSGPIESSTSQPSEDADYYGSLIHLRSLIRNKRCLMAYVYNRAEVIRSLGWTLERVLPEEIEEKLSSSEKEYFKNHSKTIQEYMSKLDLDLGVDMVPPKDPYIKVRVLEDIGTVVLSDQLANLARHAILFLRRTDAEQYIAQGLMEELTS >itb04g32760.t1 pep chromosome:ASM357664v1:4:35196311:35198181:1 gene:itb04g32760 transcript:itb04g32760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEGPMLKLTMEKGPLAGQAVEFKPGIAVRVGRVVRGNNLTITDSGISSKHLTIEFNSSDGKWFIRDLGSSNGTFLNDGKLDPSCAACLSDCDVVKIGELTSIKVQIGDTARVPTRSRRNAGRKAAIDTATSDAAAENCELGLVSEDANPASTRPRRNPRRKAAIDTASDDGNAAVKCELGLVGEDAKPRRNPRRKAATDTATADDGDAAEKCELGLVDEELGNNNKGKTGRPPRPRTRAKTQAQRDSESVKVELQPEGIGLENADISMTRSSSKAENFDGGASQVETGDNEIVENLSAIETAAQYADENGDQRRTRNARGRGRGRGRGNRELRNLVPIEQPLAKPKRVTRSKKQINLQAESVTTEEEEGAAKMSISKEHAQCKVEAIRSMEEERQVEGYNAVEELANLREEGHNGVLNTSGANKDENGVLERTKCQDESIQHMEEDGQVEGNHTVEEEEACHGSPNVSGGNMGKHDVEEVNLEILTLGEWLDYLEIYVPKQIIDVTEEMILEMRKKAEKLQEFMLHQKNAKENT >itb04g22430.t1 pep chromosome:ASM357664v1:4:27592628:27594473:1 gene:itb04g22430 transcript:itb04g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACLKKKAMVFLLWLFAVALVVQAEDPYVFFDWKVTYGKLSPLGVAKDAILINGKLPGPTINSTSNNNIVINVFNELDEPLLFHWNGIQQRKNSWQDGMPGTMCPIMPGTNYTYHYQVKDQIGTHFYFPSTDLHRSAGGYGALTVHSRELIPVPFDWPADELYIFLSDWYNKGHKELKKTLDDGQTIARPNGLVINAKHGEVGDKMEPLATFEAGKTYRFRVCNVGMRTSINFRFQGHNMVLVEMEGSHTVQNDYDSLDLHTGQCLSVLVTADQEPKDYYLVATSRFFKQQLSTVALISYANGKGAAAAELPPPPPDNTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQIEITRTLKLVNTKGEEGGKLRFAINGVSHKDPDTPLKLIEYFEMTDKVFKYDLMPDEPAGDASKITVAPNVKNATFRNFVEIIFENHEKVIQSYHINGYSFFAVAIEPGKWSPEKRKNYNLVDAISRHNIQVYPNSWAAVMTTLDNAGLWNVRSEMWERFYLGQQFYFSVLSPARSLRDEYNIPDNQLLCGAVKGKPMPKPYTI >itb07g23030.t1 pep chromosome:ASM357664v1:7:27517698:27521092:1 gene:itb07g23030 transcript:itb07g23030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFFCTILCNFLHFKVSLDDEASISVKSLFENLSSLQAFVQEKSGGGAAIRDLEIEIRDFALHAEDRIEIQLSNFVLAKDTQDQQKASQQLHQILGEAAENAADLLQIIINHADDHDEANKSDVQPLIPWLKHNPASEPSNHTSLRHSSMVGRRRDHTVMRNTLLSSDRNLRVISIVGMPGIGKTTLARSVFRDRTIVWRFGVRAWVSMCWGYTTSEMLHQLLWTIAEPDEIKKGSIQDDLAAEQVYNFLKHRRYLIVLDNLWNNQAWYDLRRCFPNDYSKSRIVITTTHFSRSSTDPYNYIHNMTLLDPEEIWDLFCNNLSLEHTAPKYENIRSQVVEKCEGLPHSIVVVAQRLSKCDNIHQEWKKVEKELELIGFLDSNALTFTYNQLPQQLKVCFLYFGVFPKRSAIKIKQLIRLWTVEGFVKPLEHEGLENQAYEYLQELIDRSLILIDTRSSDGKIKTCRMHSALHSFWVREAQKETIFCAVNTQQYPQGSINMFANSCRWLSLYKHSFDYYVLFRTNNPRSVFFFQEDAEIYVPFKLLRVLAFVPSSFLQRVPTRLHDLVFLRYLYVSEWFEGLNYVVLTNRNLQTLVVSSKESQLGTPTLHLPSTIWESRQLQHLELDKSYAIDPPSMDKDNMQTLSWLCPTHCRTGLYCRFPNIKKLKMFVFGSHPIILDNLEYLERLERLSILVLFGCAVTLPKPSMFPSQLKKLRLSGTNLSTMDLMVIGMLPQLEVLKLENAFYGKVWEVEAGFFRLKFLLLENKKLKQWRIDKDSFQCLKHLVLRFCYCLEEIPMIMEDIDTLESIELQQCCPSIITSAECILESQRDAGNNILEIKIMGPEYDESQESVP >itb05g20400.t1 pep chromosome:ASM357664v1:5:26493597:26495589:-1 gene:itb05g20400 transcript:itb05g20400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTFVEMTKECWNNKSRSGRNNNAPSYPSAGQAYVKLGTLNASDLRVSCRVEVITMTSWGIQENNVSSQINISLLEIYDALTYGFELSWFQAVCDQNCRWSGECELDDTTHEITCWNNYGFYRYRTIPTALGAVAGLACLITLLKIIIGVPCAIVFLIIKFHRRHLSVFDAIENFLSADNNLLPIRYSYRHIKNMTKGLKQKLGEGGYGTVYKGRLRSGSDVAVKIMSKPKSSGQDFINEVGSMGRIHHVNIVRLVGYCAENSKHALVYDFMSNGSLDKYINQGVNASLLNSERKFEIVVGVARGIEYLHRGCDIQILHFDIKPHNILLDDNFIPKISDFGLAKLFPTDKSIVTLTAARGTIGYVAPELINRSIGAISHKADVYSFGMLLMEMLGLKRTPVTEQDESSKYFPSWIYNDINKGKAIEMGEEDEDDKRITKKMTIVGLWCIQTSPIPRPSMSRVVEMLEGDVELLQMPTETFLSEPIMEVDQEQSSMPESSESIALLPNSANSNSIGIIVD >itb14g18270.t2 pep chromosome:ASM357664v1:14:21355526:21357697:1 gene:itb14g18270 transcript:itb14g18270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRFAADQPSSSEQRADVAMGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDANGNNAQEALRRAKFKFPGRQKIIVSRKCSSDAMGVLLIVNLEALFYL >itb14g18270.t1 pep chromosome:ASM357664v1:14:21355526:21357697:1 gene:itb14g18270 transcript:itb14g18270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRFAADQPSSSEQRADVAMGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDANGNNAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYVNYKKENRIQPDGVNAKLLGCHGRLANRKPGSAFLPVTA >itb06g09730.t1 pep chromosome:ASM357664v1:6:13976097:13977306:-1 gene:itb06g09730 transcript:itb06g09730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNEKKQRFLCLHGFRTSAEILKKQVVGRWSSSVVEKLDLVFVDAPFPCQGKSDVEGFFDPPYYEWFQFNKEFTEYQNFDNCLAYIEDCMIKYGPFDGLLGFSQGGVLSAALPGLQEKDLKLRNVSRFKLRKEDLLKEYGSELVESFVDPLVIHHPKGHTIPRFDEESLQQMLSFLERIQGDISMTEEKQTEIAKKDS >itb07g19570.t1 pep chromosome:ASM357664v1:7:24013837:24014504:-1 gene:itb07g19570 transcript:itb07g19570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAGSPCGACKFLRRRCVPGCVFTPYFCSDDGPAIFAAIHKVFGASNVSKLLLQLPVHRRFPAVFSIGIEAQARMEDPIYGCVSHIIALQQQVLNLRAQVMEARALQAQYLLNSMNTGNQVMVGGPALFPAVDLNATNPSTVEVDGGFWAPEMEAVPFPAEERSMQRASPSDLGELQALALRMTKTEPRL >itb01g26150.t1 pep chromosome:ASM357664v1:1:31372389:31377648:-1 gene:itb01g26150 transcript:itb01g26150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MQGLVLRNAHPFITPTKGTTIKIPLLPLVATLPTCPFVPARTTLVQPSFLRRSELPKLHWRHCRTTSHASNVGIGSGGYEEFSEPPIDTSAKDEKLPNQIPYPLSIALVLCGCALVFSLIAFVKGGPSSILTAFSKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYKRLLVLLGSMGALSLMTILSVVIGRIFHSVPAQFQTTLPIGEYAAIALLVFFGLKSIKDAWELPSEEVKTGDEYVEAEELVKEKASKRLSNPLEILWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVAGGAIAGHLVATSLAILGGAFLANYISEKLVGYLGGALFLVFAGATFFGVF >itb01g26150.t2 pep chromosome:ASM357664v1:1:31372389:31377636:-1 gene:itb01g26150 transcript:itb01g26150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MQGLVLRNAHPFITPTKGTTIKIPLLPLVATLPTCPFVPARTTLVQPSFLRRSELPKLHWRHCRTTSHASNVGIGSGGYEEFSEPPIDTSAKDEKLPNQIPYPLSIALVLCGCALVFSLIAFVKGGPSSILTAFSKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYKRLLVLLGSMGALSLMTILSVVIGRIFHSVPAQFQTTLPIGEYAAIALLVFFGLKSIKDAWELPSEEVKTGDEYVEAEELVKEKASKRLSNPLEILWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVAGGAIAGHLVATSLAILGGAFLANYISEKLVGYLGGALFLVFAGATFFGVF >itb09g27100.t1 pep chromosome:ASM357664v1:9:27554156:27554793:1 gene:itb09g27100 transcript:itb09g27100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKRWQKFASIRRKRISFPSLYDDADSCSTSSAVNKGHFTIYTADQKRFVVPLSYLENEIIRQLLSMSEEEFGLPSDGPITLPCDAVFMEYIISLLTRGLSQELENALLVSVTSHRCSSAPLHHEGWRNQELLVC >itb05g27710.t1 pep chromosome:ASM357664v1:5:30948598:30952195:1 gene:itb05g27710 transcript:itb05g27710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEHGDQNVLLQRPVSSAAEKEGDLEAQSSPRTPNKGATDLVKRLDRNFSGRKSPSSAKRPNREHSSPSASPLSRRVEASGFCNNNAGEDEVLGDSAPPEWALLLVGCLLGLATGLCVAVFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVFGGVIVGMLHGLLGILDQIKQSSTPSYQGQGFDLFAGIFPTVKAIQAATTLGTGCSLGPEGPSVDIGKSCGNACSVMMESNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLQAENCPPFTTAMIILASVISSTVSNAVLGEKQAFTVPTYDLKSAAELPLYLILGMLCGVVSVAFSFLVDWFSNAFQFIKENLGVPDVVCPALGGLGAGIIALKYPGVLYWGFTNVDEILHTGKTASAPGIWLLVQLSAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGLSGELINSTIPGNSAIAQSQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQPKVMEGSAANNLSRDYSIISPFDIKNGGSIWGNTADRNDFLELPITGNFNNLETVDEGVILENLKVSQAMSSDYLSLSLSQTVKEALSRMLDTQQHCAIVVDNEGYLEGILTYSDIKRRLFKNPADSTNKDMLHADASTSLVSSICTRGISYRGRECGLLTCYPDTDLATAKQLMEAKGIKQLPVIKRSREIQTERKRIIVGILHYDSIADSIRREINRWKSIYQQRKEENSNQMAANDH >itb04g05810.t1 pep chromosome:ASM357664v1:4:3756566:3760046:-1 gene:itb04g05810 transcript:itb04g05810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLATAHHPADAKDTETTVVQSLASTLAHSVVGRRLLAWSPVVSYPFPGLRLRPQKMTRSGRVERKTGSRAKLDRSGVQLPSFELTQNPLFRRLVEAELVAGTARGDWTVTPEVHPPLSANHLSITPELMDLNRILLLCNHRNTHSSCKLASQSRARDHHL >itb04g05810.t2 pep chromosome:ASM357664v1:4:3756129:3760046:-1 gene:itb04g05810 transcript:itb04g05810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLATAHHPADAKDTETTVVQSLASTLAHSVVGRRLLAWSPVVSYPFPGLRLRPQKMTRSGRVERKTGSRAKLDRSGVQLPSFELTQNPLFRRLVEAELVAGTARGDWTVTPEVHPPLSANHLSITPELMDLNRILLLCNHRNTHSSCKLASQSRARDHHL >itb06g13250.t2 pep chromosome:ASM357664v1:6:17924007:17932330:-1 gene:itb06g13250 transcript:itb06g13250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPAYNPGIKCDCSSTPCRITSMKVYALDVTGAIPDELWNLTSLIDLDLGQNYLTGTLSPSIGNLRGMQYLSLGINALSGELPKELELLTEIRSFAIGGNNFSGPLPSGLGNWKSLTQIYMDASGVSGAIPPTFANLLNLEILWASNNEFTGRIPDFIGNLSKLTTLKFEGNSFQGRIPSTLSNLTSMVDLRISDLLNGSSSLDFIWNMKNLSKLVLRNNNISGSIPSNIGEYQSLSLLDLSFNNLTGGVPDQLFKLSALQNLFLGSNKLTGSLPAQKSQNLLNIDLSYNEISGSFPSWIGGRGLELNLISNNFTIDRSNGSALPSGLNCLQKNFPCNRGNPIYSSFAIKCGGQEITSSNQIVYERDNATLGPATYYMTSTGRWAVSNVGLPSDSNNPKYTTSSSSQFTNTLDSELFQTARISAGSLRYYGLGLENGNYTVTLQFAESVIPNPNPLSWKSLGRRIFNIYIQGNLKEKDFDIKKLAGGSLRALSRQYKVQVSENHMDIHLYWAGKGTCCIPSQGTYGPLISAISATPDFVPTVSNKPPSEQKKNRTGMVVGIIVAVGIVSFLSVFAVYCFVQRRKRQETYDDEELLGMDVKPYTFSYAVLKAGTSDFNPSNKLGEGGFGSVYKGTLEDGRNVAVKQLSVASHQGRREFVAEIATISGVQHRNLVKLYGCCYEGNKRLLVYEYHENKSLDQALFGSSNLYLDWPRRFEICLGVARGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGRLTEKADVFSFGVVALEIVSGRPNSDSSLQQDKIYLLEWAWHLHENNRDVDVVDERLSDFNKDEVKRVIGVSLLCTQASPSMRPSMSRVVAMLSGDTEVPTVTSRPSYLTDWKFDDRTGFMTDIHGSQTAGDYSSVATTSVTTTDLNSSPLDASGPMLREIIREGR >itb06g13250.t1 pep chromosome:ASM357664v1:6:17924007:17935105:-1 gene:itb06g13250 transcript:itb06g13250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKPVTSGVGLMKYRARFYILVIIAFAISAPQLLPAAGAQTPPANNNATLDPAEARILNSIFQKWGIRANNQQWNLSGELCTGAAVDSTSMQDPAYNPGIKCDCSSTPCRITSMKVYALDVTGAIPDELWNLTSLIDLDLGQNYLTGTLSPSIGNLRGMQYLSLGINALSGELPKELELLTEIRSFAIGGNNFSGPLPSGLGNWKSLTQIYMDASGVSGAIPPTFANLLNLEILWASNNEFTGRIPDFIGNLSKLTTLKFEGNSFQGRIPSTLSNLTSMVDLRISDLLNGSSSLDFIWNMKNLSKLVLRNNNISGSIPSNIGEYQSLSLLDLSFNNLTGGVPDQLFKLSALQNLFLGSNKLTGSLPAQKSQNLLNIDLSYNEISGSFPSWIGGRGLELNLISNNFTIDRSNGSALPSGLNCLQKNFPCNRGNPIYSSFAIKCGGQEITSSNQIVYERDNATLGPATYYMTSTGRWAVSNVGLPSDSNNPKYTTSSSSQFTNTLDSELFQTARISAGSLRYYGLGLENGNYTVTLQFAESVIPNPNPLSWKSLGRRIFNIYIQGNLKEKDFDIKKLAGGSLRALSRQYKVQVSENHMDIHLYWAGKGTCCIPSQGTYGPLISAISATPDFVPTVSNKPPSEQKKNRTGMVVGIIVAVGIVSFLSVFAVYCFVQRRKRQETYDDEELLGMDVKPYTFSYAVLKAGTSDFNPSNKLGEGGFGSVYKGTLEDGRNVAVKQLSVASHQGRREFVAEIATISGVQHRNLVKLYGCCYEGNKRLLVYEYHENKSLDQALFGSSNLYLDWPRRFEICLGVARGLAYLHEESRLRIVHRDIKASNILLDSDLNPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGRLTEKADVFSFGVVALEIVSGRPNSDSSLQQDKIYLLEWAWHLHENNRDVDVVDERLSDFNKDEVKRVIGVSLLCTQASPSMRPSMSRVVAMLSGDTEVPTVTSRPSYLTDWKFDDRTGFMTDIHGSQTAGDYSSVATTSVTTTDLNSSPLDASGPMLREIIREGR >itb12g22490.t1 pep chromosome:ASM357664v1:12:24595330:24596827:1 gene:itb12g22490 transcript:itb12g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEMTILSSFHTLFIFFLFFALVNSRKLKLRTELDESLTYLWPLPSEFTSGNDTLTVDPNLSLSVSGNGGGSVIVKEAFERYKHIIFKHGSKVAGSGDYDIKQLSVTVHSDNEELQLGVDESYTLLVAKSNERSIVQGISIEANSVYGAVRALETMSQLCVFDYVTKDVQVQNAPWLINDKPRFKYRGLLLDTSRHYLPIEIIKQVIESMSYAKLNVLHWHIIDEESFPIEVPTYPNLWKGAYTKMERYTVEDAYDIVK >itb09g21860.t1 pep chromosome:ASM357664v1:9:20059383:20060047:1 gene:itb09g21860 transcript:itb09g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVNRYLLRYLLSLSHARFEVAPRQVGQEHDGGRRSEAAVLENPFASPSPLLTQSTPSVLLRATRTISADRQSNNYDLLVVWAFAFSERSKHGITE >itb09g13900.t1 pep chromosome:ASM357664v1:9:9116998:9120855:-1 gene:itb09g13900 transcript:itb09g13900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRMIDIAVNFTDSMFKGIYNGKQYHAADIQAVLKRAWTAGVDRIIVTGGSLEESKEALAIAESDARLFCTVGVHPTRCKEFDESGDPEKHFQELFLLAKEGVEKGKVVAIGECGLDYDRLHFCPSEIQKKYFEKQFELAYMMKLPMFLHMRAAAQDFCDIIERNKDKFCAGVVHSFTDSSEDRDKLLSFNSLFIGVNGCSLKTAENLEVLKGIPVDRMMIETDSPYCEIKNTHAGIHFVKSSWPSKKKDKHSEECIVKGRNEPCMVRQVLEVVAGAKGIADVEHLSKTLYHNTCRVFFPHDLDSAAEALLASSQKTV >itb09g13900.t2 pep chromosome:ASM357664v1:9:9117100:9119537:-1 gene:itb09g13900 transcript:itb09g13900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLFCTVGVHPTRCKEFDESGDPEKHFQELFLLAKEGVEKGKVVAIGECGLDYDRLHFCPSEIQKKYFEKQFELAYMMKLPMFLHMRAAAQDFCDIIERNKDKFCAGVVHSFTDSSEDRDKLLSFNSLFIGVNGCSLKTAENLEVLKGIPVDRMMIETDSPYCEIKNTHAGIHFVKSSWPSKKKDKHSEECIVKGRNEPCMVRQVLEVVAGAKGIADVEHLSKTLYHNTCRVFFPHDLDSAAEALLASSQKTV >itb15g23420.t1 pep chromosome:ASM357664v1:15:26232467:26234746:1 gene:itb15g23420 transcript:itb15g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTASIDDASSSPSSSTPPCLQLHNVSSNYDLENTMFGIEGGDEKIKRMLIQTSLSTQLQVVSISGLRGTGKTTLAKKVYEDPSIVSHFDIQAWTIEGGVHSLRDIQIHILSCIVSLTKEEISKQNDEQLAEQLRKLLRGQRYLIVIDDLFSTTPWNDRWTSQGIWDGFMRSSFPDDGNGSRVLITTRDMKVAEFASDSDMWIFYTDGLKPEESWKLLSRTATSNGKWSSVPPEFEKIGRCIVEKCMGMPLVIVWIGGLLATLNNSPRQWEDILLSIPSSRYGLEILLYTFELCYNYLPTHLKACFLYFGIFHENTEIHVKKLIKLWVAEGFVNSEMNKSLEEVAKDYLCDLINRRLVQIHKKSLDGKIKSCMVHDILHEFCLKKATKEDIVEVSWMAYNKFLEGHRWLSRQVDCWSDTTSYFTNSSLKTRSLFYLDENSYLAKSCLIFSSSLKLLRVLDLSLTKYWHGMPCEIVNLVHLRYLALKTIGSVCNSQLFKLQSLQTLILSAWTKEYQLQLPCDVLDLPWLRHVRFDKGSSSYLPNLIQENLQTLSWFKVIGRDSRTTNFTKVPNLKELGIYIEGEVLPNALDNLAQLHQLEKLKVKMGRVERFNLPNCFPSNLKQLTLSNTYLSWEDMDIIGNLPRLDLLKLKDFAFCGPEWTLRDGEFLQLRFLLIERSDLENWNANASHFPTLERLILRYCWDLEKLPNDFEEVCTLQLIELGNCCSSLFTSAKEIQQAQRDLGNEGLVVRDATKVSL >itb14g13990.t1 pep chromosome:ASM357664v1:14:16364114:16366554:-1 gene:itb14g13990 transcript:itb14g13990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAPSSPLPVATIAEHHLLAVNQPSPSPSPSTQPPSNPDVSLSLAIALPLPLCDYGRDVASPSPPRRCTASPVATSHRLLHRNLTPPTTKYSKSVDCLVSKPASELSFDSSCPWISDKKSEPWWRTADTEELAFLVAQRSHDLIENCDLPQPQTTSAKGDPCVRLGWVDHKRANALFLDPKARSSGQKNTNTQAQRNTLSKSRELVCKEDWAEADCNKGAVEL >itb12g19320.t1 pep chromosome:ASM357664v1:12:21692741:21701520:-1 gene:itb12g19320 transcript:itb12g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAKYQGVVGRVFGNDNSSSSEDSYVERLLDRISNGTLADDRRTSIMELQSVVSESHAAQLAFGAMGFPVILSVLKEERDDVEMVRGALETLVSALTPISHGKVPKNEVQPALMNSDLLSGDVKNISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNIIRNNASNQVLLRETVGFDSVIQILKLRGSAYKFTQQKTINLLSVLETINLLIMGSPETDAGKDANKLTNRTVLVQKKILDHLLMLGVESQWAPIAVRCAALQCIGDLIAGHPKNLEALATKVLGEEPQVEPALNSVLRILLRTSSRQEFVAADYVFKSFCEENPDGQSMLASTLIPQPLSTLYAPVEEDVNMSFGSMLLHGLTMGENDGYLETCGRAASVLSHILKGNNHCKEKVRRIELEAPMATFGGPEPLMHRLVKYLALTSSMRSKDGKSSTSGNIFVQAVILKLLVIWLSDCPSALESFLDSRPHLTYLLELVSDSSAIVCVRGLAAVLMGECIIYNKNNGTGRDALSIVDAISQKVGLTSYFQKFDEMQKSFLFTSAKPALARKPLTRSNAASMAGIEDVDDEAADQKNEDHPLLASIFDSQFVYFVKRLEAEIREKTVELYSQPKSQVAVVPAELEQKSGESDGDYINRLKNFVQKQCVEIQDLLSRNATLAEDLAKTGGDPSSQLEHRVSGGSERVQLETLRIDLQEASQRLEMLKTEKAKVEAEASMYKNLASKMESDLQSLSDAYNSLEETNFHLEKEVKSLKSSGATAAPDIEAIKAEAREEAQKESEAELNDLLVCLGQEQSKVEKLSTRLEELGEDVDKLLEGIGDDAGLGVDDDEDEQD >itb11g11480.t1 pep chromosome:ASM357664v1:11:8387282:8389438:-1 gene:itb11g11480 transcript:itb11g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSLVDTSLGLNAKPIRVVSGKPKQEVESNFIGLRMNIGNKDEAGELMEELNRVSAENRKLSEMLTVVCGNYNALREQVREYMNKQQQQSGSINDHNSSQVIMGSRKRKSPSNNNNNNNANSESSSSDEDSAKKPRRELEHQHHIKANTSKIYVKTEASDTSLIVKDGYQWRKYGQKVTRDNPCPRAYFRCSFAPTCPVKKKVQRSVEDQSILVATYEGEHNHDLPSKLEQPSATATAAARSLPPAAALNAQPRDLSPPKTAPLVAIANGAKTASTPAGSSLPDFQQFFIEQMASSLTKDPTFKAAIAAAISGKFSPHNNREKW >itb09g02260.t1 pep chromosome:ASM357664v1:9:1326435:1328218:1 gene:itb09g02260 transcript:itb09g02260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMGEGELHVFFFPFMAQGHMIPILDMAKLFASRGVKATIITTPANQPIFSKAVDKYAQLGFQIQIRLLEFPGVEVGLPESCQRSDQIPDDDALPSFFKACAMLQEPLEQILQELRPDCLVADMLFPWATNTAAKFDIPRLIFHGFSYLAFCALNSIRIHNPFKNASSDSELFTISNLPHEVKLTRLQVPPFQRSEVETPMTEFMKGVMESDDKSYGVIYNSFYELETDYAEHYKNVLGRRAWSIGPLSLYNRDVEDKAQRGKKSAIDEHECLEWLDSKNPHSVVYICFGSVANFAPSQLHETAMGIEASRMDFVWVIRNKREEDNGSEKWMPEGFEERTKGKGLVIRGWAPQVLILDHQAVGAFVTHCGWNSILEGVCAGVPMVTWPVFSEQFLNEKLMTDVLRTGIGVGSKEWNRSESDGVEREAIAEAIKRVMIGEESEEMRSRAKAMKDKAKMAIEEGGSSYLELSSLLDELRAYHAKQ >itb09g02260.t2 pep chromosome:ASM357664v1:9:1326435:1327482:1 gene:itb09g02260 transcript:itb09g02260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMGEGELHVFFFPFMAQGHMIPILDMAKLFASRGVKATIITTPANQPIFSKAVDKYAQLGFQIQIRLLEFPGVEVGLPESCQRSDQIPDDDALPSFFKACAMLQEPLEQILQELRPDCLVADMLFPWATNTAAKFDIPRLIFHGFSYLAFCALNSIRIHNPFKNASSDSELFTISNLPHEVKLTRLQVPPFQRSEVETPMTEFMKGVMESDDKSYGVIYNSFYELETDYAEHYKNVLGRRAWSIGPLSLYNRDVEDKAQRGKKSAIDEHECLEWLDSKNPHSVVYICFGSVANFAPSQLHETAMGIEASRMDFVWGKDWS >itb03g19650.t1 pep chromosome:ASM357664v1:3:17663518:17667941:1 gene:itb03g19650 transcript:itb03g19650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSGMVGTIGNSSRERDRELLIPVAVSAGVDDHDSKPSSSAASAHHLHSGRETFYKVVRSWASKKFMTGCVILFPIAVTFYITWWFIHFVDSFFSPIYMQLGINIFGLGFVTSITFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVVLQNYSGDEELCCVYVPTNHLYIGDIFLVNANDVIRPNLSVREGIEIVVSGGMSMPQILSTLDHRIVQS >itb11g04030.t1 pep chromosome:ASM357664v1:11:2149908:2152760:1 gene:itb11g04030 transcript:itb11g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPQHSKQDHPSDPPHSHPQTQPMEQEPQNPQIPEIPCNQEPEQVIPEEKPNLHHQEGPKSSLEENPDHQSSGKPLVSPIPGVDVPHSGGDMSDAPLISQPNPHKRLSKRKKGKCNARKQKAIDRRLNALLGKLRPIPFIPSKILDFCSHEKLLKGLGLWEFVHIGFNSDVRVDLIAQLVATYDPKSRRSCVNGEKIGVSRADLARALRLPVKKEKGDVVNLDVEAFCEESIGFIEDFVSIWVLLHDDTWMMPNEVLHWIKVIKDGSPEKVDWAGLVWFMVEKELTQGEQLGDCYYAVHLQYLIKSQREEVFFGKELEKAELGVDVKEVELEKAELGVDGNEVELEKAELGVDAKEVEMEKAELGIDVKEVELGVEVKELEKAELGVEDKEEELEKAELGVEAKEEELGKAELGVEVMEEESGVGFKEEELEKADFLGVEIKGEEDGDVKVGGVTEGRDEESVLREPNIELSLGQDMEKTEEVNDAAAMDVEEHIGEQLEEEEQEQWQPLDGNNDVLENNYLRLCTNEAVTLDDHEEEKDESEDLVDEEGVEGDYGEEQEREDGFTGIFLQTREASQIPFYSNGHLHDQPSIELVSPGTDLNTIAPSFACGSKREVEHNHDDDIAHQPHGSNKRLKIEGPSNNPSNNIPSDFGTIMGQMQQWMTKARTMYEEKERTEEQLNIHKQILLDECHRRDAAIAHLQTTTSEELQKRDNMIYRLERELFMMGNIVEGYKKALKDTHKAFAEYRQRIQLPEEPIYKDAGPGGLVLSTAEIEKLRVKQEEEYKSNCLMLHLKAEEALEDYTGEFEMYMDKVNLLGIRLMSISSNVEELKEIYAKRRKDLETHQKAEEAREAAAEE >itb05g15740.t1 pep chromosome:ASM357664v1:5:23111943:23113424:-1 gene:itb05g15740 transcript:itb05g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQLHVALLASPGMGHLIPVLVLGDHLATHHNVKVTVLAITTSAETQFIKSHQKSNSNSPIDIVPVPAVNVSGLIDEKTKVVTQLRITVREALPSVRSSVAAMDRRPDALFVDLFCTQALSIIAEELGIPRYAYYPSTAWTAALFMYFQVFDKIIEGEFVDRLEPLRIPGCVPVQPEDFSDTLLDRTDPEYREIVNLGIELTQFDGLLINTWEELEPNTVKALRENEELRGIVKVPIYPIGPLKRSVESKNEGEGRSEILKWLDKQPVESVLYVSFGSGGLLTAEQTAELAWGLEKSQQRFIWVVRHPCDYAPVNYLSSKLDTGNDADDPDYLPEGFLSRTRDVGFVVQMWANQVEILTHPSVGGFLSHCGWNSTLESLTNKVPMVAWPLFAEQKMNAAMLTNEVGVAIRPAVAPTVKVVRREEIERMVRTLLQHNEGKGIRERVKKVKASGDIALSKGGSSYNSMCELLKDIHIRMHTWKSQSISLSQIN >itb09g02790.t1 pep chromosome:ASM357664v1:9:1576576:1580376:-1 gene:itb09g02790 transcript:itb09g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLRHGQGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGLGSREKARLALKRMHQTPKQNESSMALANVAQSDEDTSETKPNATSSDSPRPGGSRRSIIRLDNLIMDAINNLKEPGGSNKTAISAYIEDQYWAPPNFKRLLSAKLKYLTATEKLVKMKRKYRIATTSTLSERRRNPPISLLEGRQKLSPKPDSDDIDMLTKSQIDSELSKMRSTTPEEAAAAAAQAVAEAEAAIAEAEEAAREAEAAEAEAEAAQAFAEAAMKTLQWRSTPRTMIRA >itb01g18280.t1 pep chromosome:ASM357664v1:1:23281790:23283448:1 gene:itb01g18280 transcript:itb01g18280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISRVPEQNRRVMVAMDDSEESFYALNWALDNVFKNGPSHEEEEEEHSGVITLVNVRPPFQPFVYPTGPVVFATSTVIDTYRKSQQQMAKVVLSKALQMCKEKMVKAETLVVEGDPKDKICQAAEEMRVDLLVVGSRGLGNITRAFLGSVSDYCAHYAHCPVLIVKPTPKESPRVAP >itb14g10620.t1 pep chromosome:ASM357664v1:14:12043890:12046162:-1 gene:itb14g10620 transcript:itb14g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKEARRKLSCSACFDALWFCYTPVHQMQQYYRLGNLDNCSDKWSALYDCLTLKTKRQAEVEEILEKREKTKPHIWSFRTPEEAASHWQKLYGHMHIYEDE >itb01g04620.t1 pep chromosome:ASM357664v1:1:3132885:3137300:-1 gene:itb01g04620 transcript:itb01g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFFFIFLESSIISNLDFSVAVDTLATNQTLSDGSGTTLVSASQTFVLGFFSPGSSRYRYLGIWFGNVPEQTVVWVANNNNPIPDLSGVIRLTPMGDLIISSSGNTKNQANIVWRSNSWSPGIKNPVLQLLDNGNLVVKNGDSFLWQSFDYPGDTLLPGMKLGLNLKTKQEWYLTSWRTPDDPSTGDFSFTYRLDLLGLPTFTLRKNSTVQFRDGTWDGRKFGRYSLGDFYKGIFKQTCICNDENAYCTFQCLQNSTISRFVVNQTGLLKFYIWNHKNKGEWFSTPIQVDQCDKYGVCGNNSLCNANRLPLCECVDGFEPRLPLDWESLQWSGGCVRRTPLNCSELQGFRKITGIKLPANSKMVGKNRTSLMSSKDCEKVCLGNCSCSAYAWAEGVGCAVWYGDELADMKLYYSEGQDLYIRMASVVSQLNGSNKKGRQRRALVASSVWIITGLLILLLTITTCYGFHALAARKKRRGQGTEEFKNEILLISKLQHRNLVRLLGYNIHGEEKLLIYDHMKNKSLDTILFDLMKKVQIDWAKRLNIIQGIARGLVYLHRDSCLRIIHRDLKASNILLDEDMNPKISDFGLARSFRVTEELANTHRVVGTFGYMSPEYVMRGLFSEKSDVYSFGVLLLEVVSGRRNCEIHNNEENFSLLNHAWQLWIESREVDLIDESISNSCSFTESLRCIRIGLLCVQDHASDRPTMSNVVLMLCSETDIPQPKQPTFTFQRLLDSDSRSHSSRNEITVSMTEGR >itb10g09260.t1 pep chromosome:ASM357664v1:10:12325228:12326617:-1 gene:itb10g09260 transcript:itb10g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLEDAHSRDAIAKITDSHAIVFNLQTRHRLQPPNTPSSSPHRHRRRPPPHAQLPLLLAARRSRRSPRSSPLLLARNVRRTSPKPSPPSTASRRRTPLPSVGARHCSSSQSVAGLLVLAV >itb13g20500.t1 pep chromosome:ASM357664v1:13:27317668:27319080:-1 gene:itb13g20500 transcript:itb13g20500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 8 [Source:Projected from Arabidopsis thaliana (AT3G02960) UniProtKB/Swiss-Prot;Acc:Q9M8T7] MHKLQGNKSEEGSNEQNPGGIIILGVYIHCKGCAETVRDSLIGFYGVEGIEIDESNHRATVKGKNADPIMVTERLRKKTEKYVELIFPIPKPKKEPKKEPKKEEPKVIEVILKIYMHCEACAKEVKHCIHKLPGVQTVDSDMESNTVRVKGNMSPESLVEFISQRAGRHAQVLKVNKVVSQKKDNKDHGETPPDSNKKGGAAAAVYKGYTHELLHAPQLFSDENPNACSIV >itb11g13060.t1 pep chromosome:ASM357664v1:11:10000479:10000955:1 gene:itb11g13060 transcript:itb11g13060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSARLFVFVCVAITAATPASTAQRFTGRPIIVNVTGVLLCGNGAPPAANATVSLVYRPFLTRVILGTFNTTPNGTINVSFSTNEPLIPFLSVPLTASGVMRSCYLSAPAQICSDSLPVSPAIIPFQRAPINASINPGNPPTFYITPAGRFRPSIR >itb02g01830.t1 pep chromosome:ASM357664v1:2:1014913:1016599:1 gene:itb02g01830 transcript:itb02g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYGLQRRHNREIQNPSFSSTLLDAIYRSIDAGEGEEEQENLVLDFQDSMRKKQSKCSVIKGSCKNGGFLEDEATAAGFRRACMIEKWMGEPAVVRRKSTAEVDRKMRAWNSSSSGSSDSSCGGVFSSSEAESVAGVSSGSSCYGLNRPKPNPVRTGNPPQVKTKKSRALKIYGDLKKAKQPISPGGKLASFLNSLFTAKKAKISADEDRKVKSANASTCSSASSFSRSCLSKNTTPSSTAAKTAGKRSVRFYPVSIIVDEDSRPCGHKNLELSVKEELQLRVMEKNRRVEEAARDLLRNYQKKVEREFDLIRNTNVVKNLKVFEDEEDEDDDDDDAASYASSDLFELDNLSAIGMERYSEELPVYETTHLDTNRAIANGLIL >itb04g02010.t3 pep chromosome:ASM357664v1:4:1245159:1249027:1 gene:itb04g02010 transcript:itb04g02010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] MIMESSSGMNSGPSGVTVIAPEAPSSYHVAPRNDGNSSLVPGASHLGPSPVNAGGIIGSSEKKKRGRPRKYGPDGAVARALSPTPASSSAPPAPGGSFLAEKMGAPRPASEKKQRSKIGAENLGDWISCTTGGNFLPHLITVDAGQDVTMKIISFSQQGPRAICIISANGLISNVTLRQPNSSGGTLTYEGRFEILSLSGSFTPTEFGGSRTSRTGGMSISLASPDGRVVGGMLAGLLIAASPVQVIVGSFLPSNYNEFKTPKKPKAEPKPIPNSQVSVAMPHNPNADTRNPGALAMVYPGSGTQSAVPPSNNWGAMATMDDSRKAATDINISLQGE >itb04g02010.t1 pep chromosome:ASM357664v1:4:1243140:1249027:1 gene:itb04g02010 transcript:itb04g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] MIMESSSGMNSGPSGVTVIAPEAPSSYHVAPRNDGNSSLVPGASHLGPSPVNAGGIIGSSEKKKRGRPRKYGPDGAVARALSPTPASSSAPPAPGGSFLAEKMGAPRPASEKKQRSKIGAENLGDWISCTTGGNFLPHLITVDAGQDVTMKIISFSQQGPRAICIISANGLISNVTLRQPNSSGGTLTYEGRFEILSLSGSFTPTEFGGSRTSRTGGMSISLASPDGRVVGGMLAGLLIAASPVQVIVGSFLPSNYNEFKTPKKPKAEPKPIPNSQVSVAMPHNPNADTRNPGALAMVYPGSGTQSAVPPSNNWGAMATMDDSRKAATDINISLQGE >itb04g02010.t2 pep chromosome:ASM357664v1:4:1245742:1248842:1 gene:itb04g02010 transcript:itb04g02010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] MIMESSSGMNSGPSGVTVIAPEAPSSYHVAPRNDGNSSLVPGASHLGPSPVNAGGIIGSSEKKKRGRPRKYGPDGAVARALSPTPASSSAPPAPGGSFLAEKMGAPRPASEKKQRSKIGAENLGDWISCTTGGNFLPHLITVDAGQDVTMKIISFSQQGPRAICIISANGLISNVTLRQPNSSGGTLTYEGRFEILSLSGSFTPTEFGGSRTSRTGGMSISLASPDGRVVGGMLAGLLIAASPVQVIVGSFLPSNYNEFKTPKKPKAEPKPIPNSQVSVAMPHNPNADTRNPGALAMVYPGSGTQSAVPPSNNWGAMATMDDSRKAATDINISLQGE >itb04g02010.t4 pep chromosome:ASM357664v1:4:1245635:1249027:1 gene:itb04g02010 transcript:itb04g02010.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] MIMESSSGMNSGPSGVTVIAPEAPSSYHVAPRNDGNSSLVPGASHLGPSPVNAGGIIGSSEKKKRGRPRKYGPDGAVARALSPTPASSSAPPAPGGSFLAEKMGAPRPASEKKQRSKIGAENLGDWISCTTGGNFLPHLITVDAGQDVTMKIISFSQQGPRAICIISANGLISNVTLRQPNSSGGTLTYEGRFEILSLSGSFTPTEFGGSRTSRTGGMSISLASPDGRVVGGMLAGLLIAASPVQVIVGSFLPSNYNEFKTPKKPKAEPKPIPNSQVSVAMPHNPNADTRNPGALAMVYPGSGTQSAVPPSNNWGAMATMDDSRKAATDINISLQGE >itb03g00160.t1 pep chromosome:ASM357664v1:3:84051:86312:1 gene:itb03g00160 transcript:itb03g00160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIIAPSMLSSDFANLASEAEKMIHCGADWLHMDIMSSSTLQTFLVFMPGVLKKMMGRLDFCSVLNDEHISIISSKQVLQIHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPLGKAGASGFTFHVEASRDNWQELVQRIKSKGMKPGVSLKPGTPIEEVYPLLEGENSVELVLVMTVEPGFGGQKFMPEMMQKVRELRKKYPSLDIEVDGGLGPSTIEMAASAGANCIVAGSSVFGAPDPAHVISVLRNSVEGAQKGSSSI >itb15g21710.t1 pep chromosome:ASM357664v1:15:24388107:24389493:1 gene:itb15g21710 transcript:itb15g21710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METNREPPVGKTHHSSNATASKKPPRLSMETLRRTISDMSFELTAPDPPAAGALPPIPEVAEEAKCECCGMSEECTAEYVRCVREKFAGKMVCGLCSEAVKMEMEKNGGKREEALQEHMNACVSFNRVGRAFPVLCQAQAMREVLKNSRGKSLSPRDNPMSHHKGGIARSSSCIPAIIAKDYLQK >itb07g12220.t1 pep chromosome:ASM357664v1:7:13856681:13860195:1 gene:itb07g12220 transcript:itb07g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWGFGLVIWALLIVFLGGFGSSFDPGDKYLINCGSPNDGKVSGRVFVADKSASKFLSTSQDILAVAPSNSIIPSEDSLLYQTARIFSEKSSYKFSISQGGRHWIRLYFYPFVFQDYNMRAARFSVSAQNHALLGNFSPQNVTVKEFSVNVASGDLVIAFSPSDNSFAYVNALEVVSVPDTLIDDTATSISPAGTFNGLSVQALETVARVNMGGPPLTPDNDTLWRYWVSDRGFLKEPKLAESLSKISSVKYTSQATEDSAPKTVYGTCTKMNVESDDPSANFNVTWEFSVDPGFQYFLRLHFCDIVSPSPNQLLYNIYIGSINVSPDFDPGSIVGLATAYYMDYVTASSDNDKLRVSVGPSSRSSFPDAFLNGLEIMKMNNSEGSLSSISSVSPSSGTGSKKKVGVIVGVAVGVTIALAMVGILFCLQRRRKHEQLGQSKTWLPFSINGTTSHTMGSKNSNWTTISGASNLSYRVPFAAVQEATNNFDESWVIGIGGFGKVYKGELSDGTKVAVKRGNPKSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDERNEMILVYEYMENGTLKSHLYGSDLPSLSWKQRLEACIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQQKGQLEQIIDPKLAGRIRPDSLRKFAETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAIIPNDPDENSTNLIELSPQVNDFSHTDTSASVAPRFETTDLNDLSGVSMSRVFSQLVKSEGR >itb09g15600.t1 pep chromosome:ASM357664v1:9:10813695:10830719:1 gene:itb09g15600 transcript:itb09g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MEEKVAGGGATKRPHLMKEKDYTALKELYAHHIESFDHMVDQGLETMLMAIKPVEVSDPFSGHKLRIWFGKPELFPPQKDRGYKSVQDALYPFECRQAKLSYTGKFMVDICLQYDDGAVVREKFNFGQFPIMLKSKLCQLRNVHSPRKLASCKEDPSEMGGYFILNGLERVVRLLILPKRNYPTSMVRNSFRDKREGYTDKAIAIRCVREDQSAVTVRLYYLSNGSARLGFWIQGREYLLPAGVILKALVDTTDRDIYVSLTCVYNERYDRAKGSVGTQLVGERAKIILDEVQSLSLFTRSQCLQHIGEYFQPVMSGMEKESYSAVAEAVLRNFILVHLDNNHDKFNLLIFMLQKLFSLIDQTSVPDNPDSLQNQEILLPGHLITIYLKEKLEDWLARTKQSLKEQIDSQNTKFQFSSLADVKKIIERNGPRQISTAIENMLKTGRLATQSGLDLQQRAGMTVMAERLNFLRFLSHFRAVHRGAALAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHLAAACRVTSYYDAKGNIKDFFKIRLSISSVLTSIGMTPSLPRLAQAGPPELLSVLLDGRVVGTMPFDLVEKAVAHLRRLKLSAVSAIPVDLEVGYIPPSMGGGYPGLFLFTCPSRFVRPVRNISVSPQDGNEIELIGPFEQVYMEISCPDGRDGGRRNLFPATHEEIHPTNMLSVVGNLTPWSDHNQSPRNMYQCQMGKQTMGFSSQAINCRADQKLYHLQTPQTPIVRTRAYEKYCIDDYPLGTNAIVAVLAYSGYDMEDAMVLNKSSVDRGMCHGHVYQTETIDLAEQSVKSDRSQKMFRRSNLEKSSHHLIDSDGLPYVGQRINPNEPYYSVYNEITSETKSIKLKGSESVVVDYVAVDTKTKNNIQKANIRLRRTRNPIIGDKFSSRHGQKGVCSQLWQDIDMPFSSVTGMRPDLIINPHAFPSRMTIAMLLESIAAKGGALRGNFVDATPFYNSVKRESKSGSDSLVDELGSLLKAHGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDSLLAHGAAYLLHDRLHTSSDHHIADVCSICGSILTSSLIQPPKREMRHIAGLPPARDPKKVVCVACKTSKGMETVSMPYVFKYLAAELAAMNIKMNLQMSNGSGA >itb09g25430.t1 pep chromosome:ASM357664v1:9:25481985:25486842:1 gene:itb09g25430 transcript:itb09g25430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWGIEVKPGRPVTHYFDPTRGRLRISQATLGIGDGVAKSLVQCNVGNKTPVFLCALLPDKTECCHLDLEFEEADDVIFSVLGPRSVYLTGYYVGKSLHRNDSDTESYGEDIANTDNEVSNQCSDEDDYEDSFINDDDDPVAFPSSPAPSDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGEGKHLENRKGNRKRRKKKHKIVIESEEDNSSQESEDEDDCYLSASISKIPTKKYYIFESDDDNNAHENKGEESCLLSEFKNKTHAKATSSKCREKSGNVTDEAACQAENGGSDEDGREENVDAVDMTSMSNISKDQLSGIDKRILAPEHDSVTGKIAMPSKCEDAKSPEVAVSDQGDMFTSLNTGQLTDFDKEHQVHVGTEHVQKVASDMDTVDHSDSLAPPTEEQVENSPKLTKNGSDRMGLHECKDSSPGDAVEEVKQVSEATDMDEDLLATTEDNNVLTSTQNIVDDSDSLEPAPEVKDENGPKLKKRKKGRDGVKLNECKDSGPDAAAEEVKPVVATDMDTDLLATTEDNKVLSSIQNVVDSSDSLETHAEEQAECGPKLKKRKKRSEGVRRLNECKDSSTSDSLEEAKQVDEATDIDKDLPAMTEDNEVLTSTRSIDVNSDGCQLEKKQKKKKKKKTKVKDAETNSMLESDRTANEIFAKSQDMDLNPESSQVRTLSNGLTIEDLAKGKEDGKVAIPGRKVKVYYTGKLKENGHVFCSNVGEAPYKFCLGDEQVLKGWNIGLEGMRVGDKRRLTIPPAMGYGSEGLEEDVPPNSWLIYDVELVGVRG >itb02g06360.t2 pep chromosome:ASM357664v1:2:3964658:3965179:-1 gene:itb02g06360 transcript:itb02g06360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDYCIDEDDCRAVDCSAVMCSDGSPAVFDAFLHSNFCLQPKGDGMTRRSMYDCMISGAIPVYFWRGTFKDQYVWHLPWISETFTVYVDNNDVRKSNGTVIKDVLKRVHPDNVRQMRETLIDLMPKMLYASRKEGLGSIRDAFDITLDRVLKRLKARKSHLLSGAADDYEIF >itb02g06360.t1 pep chromosome:ASM357664v1:2:3964265:3966288:-1 gene:itb02g06360 transcript:itb02g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNLPIPGNKQSTEQRPRPPLQLKYALQSVKSQVPLNQCVFLVATIFLQIWVLFSLIHSSPAKSGAGHHHLVPHRHDCSSGKVYVYEAPRMFNYELVENCKELDPWKATGCKVVANGGFGLPAKGLESVVPENLLPAWYWTDMYSAELIYHERMLNHQCRTMDPEEATGFFIPFYAGIAVGKFLFTEFNYTYQDRDRYCNMFLDWLEEQPSFARYKAVDHFIMLGRLTWDFRRLADNSADWGTSLLYMPRMKNVFRLGVEKHLKDRLEESVPYPTGFHPRSESDIRQWQTYIRSQKRDSLFTFVGAKRHKIKNDFRGMLMDYCIDEDDCRAVDCSAVMCSDGSPAVFDAFLHSNFCLQPKGDGMTRRSMYDCMISGAIPVYFWRGTFKDQYVWHLPWISETFTVYVDNNDVRKSNGTVIKDVLKRVHPDNVRQMRETLIDLMPKMLYASRKEGLGSIRDAFDITLDRVLKRLKARKSHLLSGAADDYEIF >itb04g30570.t1 pep chromosome:ASM357664v1:4:33670797:33675718:-1 gene:itb04g30570 transcript:itb04g30570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRLNSPHTSAIMFEALGYQLQFSQDPNSKHLGTTVWDASMVLVKFLEKNCRKGRFRPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQTEVLPLLMRNVERNTSRVTQMNPDSDSFGSIKVAELNWGDEDQIKAVEPPFDYIIGTDVVYAEHLLEPLLQTILALSGPKTTILLGYEIRSTNVHEKMLELWKRNFEVKTVPKAKMDSNYQHPSIQLFIMSLKPSVESAESIAHGPAQKTKLSGSRELKHGSDEEDDDDNSYKADEDDLRVNEVIVDDKLVVDLENKKLSEWETRRYGAIAARLLRDIKIT >itb15g19940.t1 pep chromosome:ASM357664v1:15:22446066:22448059:1 gene:itb15g19940 transcript:itb15g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRNAANVPKFFRFRQLAKATKNFSKENLVRSGGFGSVLSGDHPPSTVAVKRINATSHQVYQYMPNGSLDRYIGNNIFLNWETRFKILSGLAPALLYLHEECSSPVVHRDVKPNNVMLDSDYTARLGDFGLARLLHSGQGQGRDKASVTTMVAETPGYLALEVSYIGRVSQESNVYSYVMVVLETVCGRRSKGIMEENSLVDMVWRSYEEGVILSAVDSRLQDGKFEEGQAR >itb09g27600.t1 pep chromosome:ASM357664v1:9:27804438:27805305:-1 gene:itb09g27600 transcript:itb09g27600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSADQGVSEKYGTWMLVNRKERRNRYRRPNQPAYGPPTNRRQFTLMGQAPVFESFETQSRRMKLCAGLRLMLALIKEVLCRVRPTPIAKLGGQRLVGKFNLEIKAQSKSILMKVTRQLTQGDDRQLVGEDLNLVVGVDTRLPNMESIKCPTVAVTNLVTDNRGRHGRGGAPNRAAAETEHTVVCGLNRGRNITTTVVQHADGQRELPPMAGIDHSPKEDPPDLGGSNEFEFINPDTLMMDGDGLCEPMGVAADGRLRS >itb08g13210.t1 pep chromosome:ASM357664v1:8:13846731:13849060:1 gene:itb08g13210 transcript:itb08g13210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTQTHKSSFRESLNALEADIQHANSLAGGVAWDLSGHCVQMKVSYSPLAPFLLFLIEWMDYSCLDSLPTSLGLLHILVYKVYVDEMPTMSPQERKATLRDFYAVIYPSLKQLEGNLAGDRSRCSGNLSRVVDEGEDDECGICMESGANMVLPNCAHSMCINCFHHWYVSCE >itb08g13210.t2 pep chromosome:ASM357664v1:8:13846731:13848543:1 gene:itb08g13210 transcript:itb08g13210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTQTHKSSFRESLNALEADIQHANSLAGGVAWDLSGHCVQMKVSYSPLAPFLLFLIEWMDYSCLDSLPTSLGLLHILVYKVYVDEMPTMSPQERKATLRDFYGPHSLSIYLNSVILQNRSYHALTY >itb11g05150.t1 pep chromosome:ASM357664v1:11:3029021:3033500:1 gene:itb11g05150 transcript:itb11g05150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQRGNAYTFRPVGRDSGHPFLASAQCPSRSRARDAESVRHSECPTVYCAVETDLQAGADHRYELLWVVLIGLVFALIIQSLAANLGVSTAFPRSSFSTFHSSSYLLQPLPIHHFCSSGTLISHQPHSWPTYHPYPEKELYTVQRTGLHCLIYVSVPAVITD >itb08g15420.t1 pep chromosome:ASM357664v1:8:17574628:17576574:-1 gene:itb08g15420 transcript:itb08g15420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MLGILNETIKAGLLCHNPQNKFIGKERATLHPPPPLLGQSVSFMQFHIRNATMICGVRRRRRADIRTDTYVLMEPGKDEEFVSEEELRERLKGWLQNWPANALPADLARFENIDDAVEYLVKCVCELEIDGEAGSLQWFEVRLQQQDNMGD >itb10g13380.t1 pep chromosome:ASM357664v1:10:19575341:19588966:1 gene:itb10g13380 transcript:itb10g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFADSGPAVLSVETNVASLERLKLNLILSYYVVDIDPLKTQERRRSCSDPQDRPAIDESRSFGVNLKLGDPVSSFFVNRPNFICIQDEIVSVVIVSLSDPQVRFRLAFKHSIPMVENEDFLQAKLGDRAWDCAFAKAAFWERRGGWCFPSLFRRCMLQIVCGLKSETTSMAEVGEGSRRRRPPGPPSEAGVPAKRHRGPTLVGSSSHHENANDDFVDDPNVDIDELPQDRSSDAPDVGLTAEQQASFPTFTVQTAEQQASFPTFTVRVSHSFFVDALEDLTSRQRKDIIALGFGGLLDLKFKKLPTRLGRWLLSNFELPTRLGRWLLSNFDPTNMCINLGEGEVLPITEEDVSSIMGFPRGNGVITRRDRHVKSKLLNAWRLKFDKTKYDVKPSEVSNFIRTDLESGEWFQRHFMMLMISTLISCMGNGYCNQSVFHHLDDVESIPNLNWCRFLIEELVSTHALWRAGSNPRFTGPIVFLILLYFDRVRPTVRVLPRSIPAFQGLTEHMLFDRQSTEIRSGGFGTGELEDTYGVQPGVVPDSAPGVGDGEADVRSSRRASPVPSSPVVRPQMSLVERLAETTGEIARRILTLVQLVNEASTGSGVDVNFRQVLGAAQVLIGVKPQVFVPESTAQPLSQPTLTQYDDEFWGNPENIRALAEVERAIIERTNLNDVPSFSLGLTQDFGDGVWDCVAEIARGINQDLGGSREPVAADQPCGGADPVPEVNNVIVAEHVSGVGLDGTCVGNGDCAPIVDEMDLGGSREPVVADQPCGGADPVPEVNNVIVAEHVSGVGLDGTCVGNGDCAPIVDEMDLCGSREPVAADQPCGGADPLPEVNNVIVAEHVSGVGLDGTCVGNRDCARIVDEMGVSRVDGTPLCVAPLKANRPIRPAPDSIRHVGIGSTVPLPPSDVLDDVQISKWVIDCDTVDKNEELFLYNKCIARRDDLCSLAPDELVTAGVIEAWSCILNSRERSKPPNAPSRVFAERSKPPNAPSRVFASPFTAIDHVQFSTETPDKALVERFSKALEADLALSPYTDLRDVRLLFFPMLQSNHYYLLCVDFFSSRFHIIDNTTRCPSTKTKYGHIPDNLLLLLAGYFRSLKHFAKAEWCLHFEPKRMTMKWRDANNTIDCGVYLMRHMESYAGQLGLGWDCGLIKGDQATLDRFRLQYIQDICTENINSHRTSNVARAIQYLSSLGDTR >itb15g22110.t2 pep chromosome:ASM357664v1:15:24783412:24787757:1 gene:itb15g22110 transcript:itb15g22110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHFGTLPLMMRCSLERSSSIPKKSNNLISTLLSSSFKHNNVATTLKETSVRLLDAFVDLVYEFKDAGLSQEQTQYLED >itb15g22110.t1 pep chromosome:ASM357664v1:15:24783412:24784757:1 gene:itb15g22110 transcript:itb15g22110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHFGTLPLMMRCSLERSSSIPKKSNNLISTLLSSSFKHNNVATTLKETSVRLLDAFVDLVYEFKDAGLSQEQTQYLED >itb01g02240.t1 pep chromosome:ASM357664v1:1:1447855:1448834:-1 gene:itb01g02240 transcript:itb01g02240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTTAKATSLMPGYTFVSDEVYMGNLVCQALAILRKPVQYHEFFLINFNRPYISQACAKRIEGDESGHKHCTGQYFDYWHCIDKCVALKLFAKLK >itb10g16570.t2 pep chromosome:ASM357664v1:10:22880514:22884218:1 gene:itb10g16570 transcript:itb10g16570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSADEIKTPLLPKLEENEGRHGFASSSKSKVESLPGAEENSPIREVALTVPTTDDPSLPVLTFRMWVLGTFSCVLLSFLNQFFWYRTEPLTITGISAQIAVVPLGQLMAARITDRVFFRGTKWEFTLNPGPFNVKEHVLITIFANSGAGTVFAIHVITAVKVFYGKHITFFVSLIIVITTQVMGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEDRPKGGITRTQFLIIAFICSFAYYVFPGYLFQMLTSVSWICWFFPRSVLAQQLGSGLQGLGIGAIGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISAIIDSNFHLDLEAYDQEGKLYISTFFALTYGLGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYKQVPEWWFWCILVANIAFSVFACEYYKEQLQLPWWGVLLACVTAIIFTLPIGIITAITNQTLGLNIITEYIIGYIYPGYPVANMCFKVYGCISMIQAVVFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTTTTNTVWTCPSDHLFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVVVWVATKAFPRQEWIRLINMPVLISASSQMPPATAVNYTTWIMVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLQNVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16570.t4 pep chromosome:ASM357664v1:10:22880514:22884218:1 gene:itb10g16570 transcript:itb10g16570.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEDRPKGGITRTQFLIIAFICSFAYYVFPGYLFQMLTSVSWICWFFPRSVLAQQLGSGLQGLGIGAIGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISAIIDSNFHLDLEAYDQEGKLYISTFFALTYGLGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYKQVPEWWFWCILVANIAFSVFACEYYKEQLQLPWWGVLLACVTAIIFTLPIGIITAITNQTLGLNIITEYIIGYIYPGYPVANMCFKVYGCISMIQAVVFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTTTTNTVWTCPSDHLFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVVVWVATKAFPRQEWIRLINMPVLISASSQMPPATAVNYTTWIMVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLQNVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16570.t3 pep chromosome:ASM357664v1:10:22880514:22884218:1 gene:itb10g16570 transcript:itb10g16570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSADEIKTPLLPKLEENEGRHGFASSSKSKVESLPGAEENSPIREVALTVPTTDDPSLPVLTFRMWVLGTFSCVLLSFLNQFFWYRTEPLTITGISAQIAVVPLGQLMAARITDRVFFRGTKWEFTLNPGPFNVKEHVLITIFANSGAGTVFAIHVITAVKVFYGKHITFFVSLIIVITTQVMGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEDRPKGGITRTQFLIIAFICSFAYYVFPGYLFQMLTSVSWICWFFPRSVLAQQLGSGLQGLGIGAIGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISAIIDSNFHLDLEAYDQEGKLYISTFFALTYGLGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYKQVPEWWFWCILVANIAFSVFACEYYKEQLQLPWWGVLLACVTAIIFTLPIGIITAITNQTLGLNIITEYIIGYIYPGYPVANMCFKVYGCISMIQAVVFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTTTTNTVWTCPSDHLFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVVVWVATKAFPRQEWIRLINMPVLISASSQMPPATAVNYTTWIMVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLQNVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb10g16570.t1 pep chromosome:ASM357664v1:10:22880514:22884218:1 gene:itb10g16570 transcript:itb10g16570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRLFYQSLRRMKAAMVLHRAQSQRWNLYQGQKRTLQSERLLLQFPQQMTLLSLFSPSEWISAQIAVVPLGQLMAARITDRVFFRGTKWEFTLNPGPFNVKEHVLITIFANSGAGTVFAIHVITAVKVFYGKHITFFVSLIIVITTQVMGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEDRPKGGITRTQFLIIAFICSFAYYVFPGYLFQMLTSVSWICWFFPRSVLAQQLGSGLQGLGIGAIGLDWSTISSYLGSPLASPWFATANIAVGFFLTLYVFTPIFYWCDVFNAKTFPIFSDDLFTSSGQTYNISAIIDSNFHLDLEAYDQEGKLYISTFFALTYGLGFAALTATLVHVLLFHGREIWEQSKSSFKDKKMDIHTRLMSKYKQVPEWWFWCILVANIAFSVFACEYYKEQLQLPWWGVLLACVTAIIFTLPIGIITAITNQTLGLNIITEYIIGYIYPGYPVANMCFKVYGCISMIQAVVFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMETIPEICQTTTTTNTVWTCPSDHLFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLVGAIAPVVVWVATKAFPRQEWIRLINMPVLISASSQMPPATAVNYTTWIMVGFLSGYVVFRYRPDLWQRHNYVLSGALDAGLAFMGVLLYLCLGLQNVSLNWWGNDLDGCPYASCPSAKGIIVEGCPVIY >itb05g06590.t1 pep chromosome:ASM357664v1:5:7107237:7113758:1 gene:itb05g06590 transcript:itb05g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKWVLHWQPNAGTTVNTQILTEVSQCVEGINGVKEGRWKATLSFYKPMLKDIANANEFPRDFWGISLQEQPDKYYFVIRGQRLVMEAESSIQNIMEKLQSYKTRVALNFEGFQYQLGDFRLRVGKVVPIHSESLRGIVMEMEYLPISSWETSHQIMGEFFDIWKDALSKRSLPGHFMHIEPNFTEFGLPDQYTPQHTAVQYACIMAQMIATAQSAAQMRN >itb05g06590.t2 pep chromosome:ASM357664v1:5:7107237:7112514:1 gene:itb05g06590 transcript:itb05g06590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKWVLHWQPNAGTTVNTQILTEVSQCVEGINGVKEGRWKATLSFYKPMLKDIANANEFPRDFWGISLQEQPDKYYFVIRGQRLVMEAESSIQNIMEKLQSYKTRVALNFEGFQYQLGDFRLRVGKVVPIHSESLRGIVMEMEYLPISSWETSHQIMGEFFDIWKDALSKRSLPGHFMHIEPNFTEFGLPDQYTPQHTAVQYACIMAQMIATAQSAAQMRN >itb05g06590.t3 pep chromosome:ASM357664v1:5:7107258:7113174:1 gene:itb05g06590 transcript:itb05g06590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKWVLHWQPNAGTTVNTQILTEVSQCVEGINGVKEGRWKATLSFYKPMLKDIANANEFPRDFWGISLQEQPDKYYFVIRGQRLVMEAESSIQNIMEKLQSYKTRVALNFEGFQYQLGDFRLRVGKVVPIHSESLRGIVMEMEYLPISSWETSHQIMGEFFDIWKDALSKRSLPGHFMHIEPNFTEFGLPDQYTPQHTAVQYACIMAQMIATAQSAAQMRN >itb03g17410.t2 pep chromosome:ASM357664v1:3:16042817:16044514:1 gene:itb03g17410 transcript:itb03g17410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPSMHSQFPSSGIMQPGGAYLQHQQAAQQISTQSLMAAARSPMLYGQQQPLSAYQQQQAALHGQLGMSSAGGSGGGGLHILQSEAHNAAGSFADFSRGLGGGSKQELGGSLSMEPRTGSAADGGETLYLKAADDGN >itb03g17410.t1 pep chromosome:ASM357664v1:3:16042032:16044514:1 gene:itb03g17410 transcript:itb03g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLIQMQPMMAAYYPTTVTTDHIQQYLDENKSLILKIVESQNSGKLNECAENQARLQRNLMYLAAIADSQPQPPSMHSQFPSSGIMQPGGAYLQHQQAAQQISTQSLMAAARSPMLYGQQQPLSAYQQQQAALHGQLGMSSAGGSGGGGLHILQSEAHNAAGSFADFSRGLGGGSKQELGGSLSMEPRTGSAADGGETLYLKAADDGN >itb04g15130.t1 pep chromosome:ASM357664v1:4:16282178:16291336:1 gene:itb04g15130 transcript:itb04g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTTLLSWSIIDFGRSMGGELPHAVAAVKWATDYLLKATARDGVIYVQVGDASSDHKCWERPEDMDTPRTVYKVDRNNPGSDVAGETAAALAAASIVFRSRDPAYSRLLLDRAVKVFAFANKYRGAYTNGALNSAICHFYCDFNGYQDELLWGAAWLHKASRRREYREYIVTNEVILRAAETVNEFGWDNKHAGINILLSKEVVMGKGNDLQSFNIMADSFICSILPGISNSQVQYSPGGLMFKPGSCNMQHVTSLSFLLLAYSNYLSHAHRAVRCGATSVSPAMLKRLAKHQVDYILGDNPLGMSYMVGYGERYPQRIHHRASSLPSVDAHPARIGCYAGSRYYLSPNPNPNLLIGAVVGGPNITDHFPDSRTFFDESEPTTYTNAPLVGLLAYFSSHP >itb09g08960.t1 pep chromosome:ASM357664v1:9:5363594:5369531:1 gene:itb09g08960 transcript:itb09g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLRISPPNANKPSSSPWTASKAQEHHHGDVMDLSFWKRALGPRNSESAINAVSSSFELSLSNQWPEHNGNSNGGNNFVQFQYNHPQVGLGSELGFLRPIRGIPVYSQNPPSSPSSSSSQSHRHHQPLMRSRFLPARFPARRSMRAPRMRWTTTLHARFVNAVDLLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKSAVAASSGQSEIFDNGSSGDTSEDVQTSRKSELSVQKGTQNMHNQDKDYHGLWCNVSSSRESWLHGNPSDCRGSTPFPTENDKDPRWLGYERMSAEMNSSNTTTTTMETRSNKPNLEFTLGRPSGQ >itb06g13380.t2 pep chromosome:ASM357664v1:6:18113703:18117974:1 gene:itb06g13380 transcript:itb06g13380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSAEEMKNYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFARMYASKFGVDESKMMERLWGENFFDPATKKWTSKHNGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVTMKSEEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPGKAQKYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFAGRVATGMKVRIMGPNYIPGEKKDLYVKNVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIITSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVATIRKRKGLKEQMTPLSEFEDKL >itb06g13380.t3 pep chromosome:ASM357664v1:6:18113758:18117917:1 gene:itb06g13380 transcript:itb06g13380.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSAEEMKNYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFARMYASKFGVDESKMMERLWGENFFDPATKKWTSKHNGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVTMKSEEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPGKAQKYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFAGRVATGMKVRIMGPNYIPGEKKDLYVKNVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIITSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVATIRKRKGLKEQMTPLSEFEDKL >itb06g13380.t1 pep chromosome:ASM357664v1:6:18113703:18117974:1 gene:itb06g13380 transcript:itb06g13380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSAEEMKNYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFARMYASKFGVDESKMMERLWGENFFDPATKKWTSKHNGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVTMKSEEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPGKAQKYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFAGRVATGMKVRIMGPNYIPGEKKDLYVKNVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIITSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVATIRKRKGLKEQMTPLSEFEDKL >itb04g00930.t2 pep chromosome:ASM357664v1:4:500677:501086:1 gene:itb04g00930 transcript:itb04g00930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVIYTDVFVPSVVVQALSILCYLRTIFFSVFASLGLTEAESPEPEVYFHESSAGIGNQSAASMLIRELLAVAKFSEVGGGEESCAGVACAT >itb04g00930.t1 pep chromosome:ASM357664v1:4:500626:501375:1 gene:itb04g00930 transcript:itb04g00930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVIYTDVFVPSVVVQALSILCYLRTIFFSVFASLGLTEAESPEPEVYFHESSAGIGNQSAASMLIRELLAVAKFSEVGGGEESCAVCLCEYESGDEIRRLRNCSHIFHRRCLDRWIDNGRNTCPLCRASLVPRELQEEFEKRLRAVSVISRDLSTQFNSVLPYPVSSS >itb15g22840.t1 pep chromosome:ASM357664v1:15:25578333:25581910:1 gene:itb15g22840 transcript:itb15g22840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCTELKLTPGLSSSPANQAVMVSLPHRGVTVHRPPAIGGRRSAFAVGDCRGHSVAGVANVDEGDLSLWLGIYLITFGRKQTERISCCNSSSAAQSSGFVFPSLQQRTWYWMGIAVEASGGDHLSTEVRNSRYHIQMLVVWVVFLRKMMIL >itb01g19970.t1 pep chromosome:ASM357664v1:1:26278475:26282599:1 gene:itb01g19970 transcript:itb01g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQNSTAPKRRRFGYVIYINIYFFFFHLVIPPPGSRASRAFSSLRLCVSSRLPQTTVPPPSHSHSPVHHGATGPPPPTASPPPFRLCLRLEPPTSPFYFREKVSSFSMAVPINREYFLYLCKLAEQAQDYNEIFELMGDTVGVLDSELTREEIRLLSLAYSKVTGPLRAAWLVAYNNETLETDEQKQTLAKGYREKLGDKLSKLCDKLEGMLDSISPYTTSSDAKLCYYKLSSDHFRSLSEFHVGPDKQKVDMDAEVMYVNAQAHAEAVSPADPVRLGLAFNYSVFQYENLKSPVAAIETANKAFDNAMASIDTLEMLGEESTHVLGMLHDNLTLWNAA >itb02g08160.t1 pep chromosome:ASM357664v1:2:5112564:5117163:1 gene:itb02g08160 transcript:itb02g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSLAKSKRAHSLHHSKKHQQHHSSKGAPSVPGAPTGDKKQSGKQVKEKPVQVHGSRGLPSNWDRYVEEGEEEYGFDSENMVPQDSVSKVATDVVAPKSKGADYAYLISEAKAQSLYSSQSVPLFNDVLHDFYQGHGPLLSVKGQSIASWISDDNFGSEDKGPPPDEASFLSLNLHTLAEQLAKATLSERLFIEPDLLPPELCTEELQKLSENEQAKNKAGVAEEVFDSLAHGNQFVRSPSNTAGTSTSSSTSTRDEILQAKGIGQTDLPRYTPEINVNTAAKKPAQFEVANAEAELDMLLGSFAETKFLESNRVTEESWDNSFLTQNDVSSSFSERGSLSQKVPSRSDVTDPDPGLGGSVARDMKLDDIVDDLLRETSILTNKNEGSLADETRSAFRNTPSSSNPVTKSKLTDDFDSWFDTI >itb09g02130.t1 pep chromosome:ASM357664v1:9:1281954:1285106:1 gene:itb09g02130 transcript:itb09g02130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGFERDDEPLTPSSRLFFQPGTTQVINCLVACKNPVDIDAVKTAIRNSILMKHPRFCSVMRYAGGRERWRRVHVNVDDHLIVHRQRLSDDRSASDEDCVNDFLADLAVSSPLDSDKPLWEFHLLVAHNCAVLRLHHSLGDGVSLMSMFLSCCRRVDDPDLPPVIAGLGTSSDYRRRGKRNWSVMRFLKVLWYTAVYVLKFVLRILWVKDKRTALSGGAGVELWPRKLATATFILEDIKTVKRAVPDATINDVLFGIISCGLFRYLEMRSSRGRTEHDWCCHGKSKTTSWTARNDQADEWRIGIAVGKQIWYHSVTYKLSQGCEGSSPVCEEGKSND >itb09g02130.t2 pep chromosome:ASM357664v1:9:1281954:1285106:1 gene:itb09g02130 transcript:itb09g02130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGFERDDEPLTPSSRLFFQPGTTQVINCLVACKNPVDIDAVKTAIRNSILMKHPRFCSVMRYAGGRERWRRVHVNVDDHLIVHRQRLSDDRSASDEDCVNDFLADLAVSSPLDSDKPLWEFHLLVAHNCAVLRLHHSLGDGVSLMSMFLSCCRRVDDPDLPPVIAGLGTSSDYRRRGKRNWSVMRFLKVLWYTAVYVLKFVLRILWVKDKRTALSGGAGVELWPRKLATATFILEDIKTVKRAVPDATINDVLFGIISCGLFRYLEMRSSRALQEGLSMTGVAMVNLRPQAGLQEMTKLMNGESGSRWGNKFGIILLPINYHKGVKDPLQFVKRAKAMIDKKKLSLEALCSYKLRDLVMSSLGAKIASSLSYRIVCNTTFTISNVIGPREQVTIAGNPIDNLRVTSTCLPHAITMHMVSYAGKIDMQILVAKDIIPDPKVLARCFEQTLQGMKEAAQSIIETERSI >itb11g04900.t1 pep chromosome:ASM357664v1:11:2625428:2628511:1 gene:itb11g04900 transcript:itb11g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSTVTAHLLPAKSSTSEPSKPRTILPFNSALFSNQTTSSTASFTPKTAALSPIKALAEDTEVGAPESSEGDDVKGPGETKLEAIKTAIASILSDRDRFLNAAIVLGAGTLAITKLLTIDHDYWHGWTLYEVLRYAPEHNWNAYEEALKANPVFAKMVISGIVYSLGDWIAQCYEGKPLLDFDRTRMLRSGLVGFTLHGSLSHYYYHICEALFPFNDWWVVPAKVAFDQTIWSAVWNSIYYVVLGFLRFESPNNIFSELQATFWPMLTAGWKLWPFAHLITYGLVPVEQRLLWVDCVELVWVTILSTYSNEKSEARITEASTEDKPTLPSRKRNKK >itb11g04900.t2 pep chromosome:ASM357664v1:11:2625428:2627298:1 gene:itb11g04900 transcript:itb11g04900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSTVTAHLLPAKSSTSEPSKPRTILPFNSALFSNQTTSSTASFTPKTAALSPIKALAEDTEVGAPESSEGDDVKGPGETKLEAIKTAIASILSDRDRFLNAAIVLGAGTLAITKLLTIDHDYWHGWTLYEVLRYAPEHNWNAYEEALKANPVFAKMVISGIVYSLGDWIAQCYEGKPLLDFDRTRMLRSGLVGFTLHGSLSHYYYHICEALFPFNDWWVVPAKVAFDQTIWSAVWNSIYYVVLGFLRFESPNNIFSELQATFWPMLTVRKYLTIT >itb07g13890.t2 pep chromosome:ASM357664v1:7:16224091:16227240:-1 gene:itb07g13890 transcript:itb07g13890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MASSLSPTLHTLSVLSPLRSSLSPHPNKALSFRGGRRRSAVPPLRVAAPPTTPPQASEESEEDPILGSDERDEVVSQMDEDDSSSKFNWRDHWYPVSLVEDLDPALPTPFQLLNREIVLWFDKSSSQWVAMDDKCPHRLAPLSEGRLDENGHLQCSYHGWSFDGCGSCTRIPQAASEGPEARAVKSPRACATKLPAMVSQGLLFVWPDENGWERAAATKPPMLPEDFDKPEFATVTIQRDLYYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMESSGPWGYAGANSDNPKISAKFIAPCYAINKIEIDTKLPVFGDKKWVVWICSFNVPMAPGKTRSIVCSARNFFQFTMPGPAWWQVYCAYFYCDSIALVVLDEYIEKHSVHIRGEYNYRKNDETDN >itb07g13890.t1 pep chromosome:ASM357664v1:7:16220962:16227240:-1 gene:itb07g13890 transcript:itb07g13890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MASSLSPTLHTLSVLSPLRSSLSPHPNKALSFRGGRRRSAVPPLRVAAPPTTPPQASEESEEDPILGSDERDEVVSQMDEDDSSSKFNWRDHWYPVSLVEDLDPALPTPFQLLNREIVLWFDKSSSQWVAMDDKCPHRLAPLSEGRLDENGHLQCSYHGWSFDGCGSCTRIPQAASEGPEARAVKSPRACATKLPAMVSQGLLFVWPDENGWERAAATKPPMLPEDFDKPEFATVTIQRDLYYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMESSGPWGYAGANSDNPKISAKFIAPCYAINKIEIDTKLPVFGDKKWVVWICSFNVPMAPGKTRSIVCSARNFFQFTMPGPAWWQVFPRWQEHWTSNKVYDGDMIVLQGQEKIFLSKSRESSADINKEYTKLTFTPTQADRFVLAFRNWLRRHGNGQPEWFGSVEQLLLPSTVLSKRQMLDRYEQHTLKCSSCKSAYKTFETLQKFLIGVVVCCSATAGIPSDIRYRIILGALAIVSAGLAYTLFELQKNFVFVDYVHAEIED >itb08g17400.t1 pep chromosome:ASM357664v1:8:19888278:19896441:1 gene:itb08g17400 transcript:itb08g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQHPHGYNRLPPPPPPPSMADPHYPPPPPPPQSQRPPLPPPNSWYSTHFQYHPPSQHSPSPPPPPAAAAHPSQQWGPPPPPYPAPPHAPYPSHPLPHSHYPPPLPTRPNLPPQVPQPYPHANQDWGNANWGHHQTVEYSVPHSNEEDWAAKARAWAAAKAASENQQPQFTQAGRLEEQSHYYDRYPHSADPHFQDSQQPRVLPPSYQQFTAPAAPSQNIPVGHSNESAAIGLGKSSYVHDGHLAYTARDESLAGDSTTALPQQGNLSVSPLVHWPEVSSSYSSVAGEEVGDQNDKLYNSQSLTVTTALQHQVKPPLPVGPGSTMNEEPHHSFGGQLTEAAINPMDKPLVFAPQVNRDHGLHMESNYSYSDSVGPASGVDPVSGMTSAYAWPPATAGVEPTMVVPSPVPGLSAPVFGRLPGPNFQSTVPHVGPTFGITPGAAGPTAFPTDAYAISTDRPKKASVPNWLREEIIKKKAVITSSAPDLQKDDALEDEDVNKPYRRGDQGDIKSIDSSRSTEGEDDDEDDADAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLSEDALSIEAEPDAALSSHKLSTSAHAVITPKASAKVLLPFQTIEADSDDGGKKSSSGSPGNVLGLANYESDDDNEIQSTVKQNPNEDSCTDQSIAIKQVDANNLIGNGSSLKKIEKVGGFSDNVEHARKGSPNFSSINHGKLASQSSDGRASIELVHGDSQPSSKAKSGVLEENLAEEVPVEVEGKNEKKRKTENSHIQESQNKSGKSNSRDNTAADSSKGKHKDKDNVKDRTFEKEDYNHKKHERYVKNEKFNDPDQKDKVKERSVKSGEKENESDSRKRASIDTKEGKGKTDREGRSSTKGDSGRRREKVKDEKREKSRRKDASDSGKHKRQRSSSVGSRGRENKDNLVSYPTDSSDESSGDYKRKLYSRRHRSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSLETTRSRRSRSRSRSRSPARRKR >itb08g17400.t3 pep chromosome:ASM357664v1:8:19888278:19894489:1 gene:itb08g17400 transcript:itb08g17400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQHPHGYNRLPPPPPPPSMADPHYPPPPPPPQSQRPPLPPPNSWYSTHFQYHPPSQHSPSPPPPPAAAAHPSQQWGPPPPPYPAPPHAPYPSHPLPHSHYPPPLPTRPNLPPQVPQPYPHANQDWGNANWGHHQTVEYSVPHSNEEDWAAKARAWAAAKAASENQQPQFTQAGRLEEQSHYYDRYPHSADPHFQDSQQPRVLPPSYQQFTAPAAPSQNIPVGHSNESAAIGLGKSSYVHDGHLAYTARDESLAGDSTTALPQQGNLSVSPLVHWPEVSSSYSSVAGEEVGDQNDKLYNSQSLTVTTALQHQVKPPLPVGPGSTMNEEPHHSFGGQLTEAAINPMDKPLVFAPQVNRDHGLHMESNYSYSDSVGPASGVDPVSGMTSAYAWPPATAGVEPTMVVPSPVPGLSAPVFGRLPGPNFQSTVPHVGPTFGITPGAAGPTAFPTDAYAISTDRPKKASVPNWLREEIIKKKAVITSSAPDLQKDDALEDEDVNKPYRRGDQGDIKSIDSSRSTEGEDDDEDDADAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLSEDALSIEAEPDAALSSHKLSTSAHAVITPKASAKVLLPFQTIEADSDDGGKKSSSGSPGNVLGLANYESDDDNEIQSTVKQNPNEDSCTDQSIAIKQVDANNLIGNGSSLKKIEKVGGFSDNVEHARKGSPNFSSINHGKLASQSSDGRASIELVHGDSQPSSKAKSGVLEENLAEEVPVEVEGKNEKKRKTENSHIQESQNKSGKSNSRDNTAADSSKGKHKDKDNVKDRTFEKEDYNHKKHERYVKNEKFNDPDQKDKVKERSVKSGEKENESDSRKRASIDTKEGKGKTDREGRSSTKGDSGRRREKVKDEKREKSRRKDASDSGKHKRQRSSSVGSRGRENKDNLVSYPTDSSDESSGDYKRKLYSRRHRSPSPVRSRKRYILRTSTHVILLTCTVL >itb08g17400.t2 pep chromosome:ASM357664v1:8:19888278:19896419:1 gene:itb08g17400 transcript:itb08g17400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVGDQNDKLYNSQSLTVTTALQHQVKPPLPVGPGSTMNEEPHHSFGGQLTEAAINPMDKPLVFAPQVNRDHGLHMESNYSYSDSVGPASGVDPVSGMTSAYAWPPATAGVEPTMVVPSPVPGLSAPVFGRLPGPNFQSTVPHVGPTFGITPGAAGPTAFPTDAYAISTDRPKKASVPNWLREEIIKKKAVITSSAPDLQKDDALEDEDVNKPYRRGDQGDIKSIDSSRSTEGEDDDEDDADAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLSEDALSIEAEPDAALSSHKLSTSAHAVITPKASAKVLLPFQTIEADSDDGGKKSSSGSPGNVLGLANYESDDDNEIQSTVKQNPNEDSCTDQSIAIKQVDANNLIGNGSSLKKIEKVGGFSDNVEHARKGSPNFSSINHGKLASQSSDGRASIELVHGDSQPSSKAKSGVLEENLAEEVPVEVEGKNEKKRKTENSHIQESQNKSGKSNSRDNTAADSSKGKHKDKDNVKDRTFEKEDYNHKKHERYVKNEKFNDPDQKDKVKERSVKSGEKENESDSRKRASIDTKEGKGKTDREGRSSTKGDSGRRREKVKDEKREKSRRKDASDSGKHKRQRSSSVGSRGRENKDNLVSYPTDSSDESSGDYKRKLYSRRHRSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSLETTRSRRSRSRSRSRSPARRKR >itb08g17400.t4 pep chromosome:ASM357664v1:8:19888287:19894198:1 gene:itb08g17400 transcript:itb08g17400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVGDQNDKLYNSQSLTVTTALQHQVKPPLPVGPGSTMNEEPHHSFGGQLTEAAINPMDKPLVFAPQVNRDHGLHMESNYSYSDSVGPASGVDPVSGMTSAYAWPPATAGVEPTMVVPSPVPGLSAPVFGRLPGPNFQSTVPHVGPTFGITPGAAGPTAFPTDAYAISTDRPKKASVPNWLREEIIKKKAVITSSAPDLQKDDALEDEDVNKPYRRGDQGDIKSIDSSRSTEGEDDDEDDADAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVLSEDALSIEAEPDAALSSHKLSTSAHAVITPKASAKVLLPFQTIEADSDDGGKKSSSGSPGNVLGLANYESDDDNEIQSTVKQNPNEDSCTDQSIAIKQVDANNLIGNGSSLKKIEKVGGFSDNVEHARKGSPNFSSINHGKLASQSSDGRASIELVHGDSQPSSKAKSGVLEENLAEEVPVEVEGKNEKKRKTENSHIQESQNKSGKSNSRDNTAADSSKGKHKDKDNVKDRTFEKEDYNHKKHERYVKNEKFNDPDQKDKVKERSVKSGEKENESDSRKRASIDTKEGKGKTDREGRSSTKGDSGRRREKVKDEKREKSRRKDASDSGKHKRQRSSSVGSRGRENKDNLVSYPTDSSDESSGDYKRKLYSRRHRSPSPVRSRKRYILRTSTHVILLTCTVL >itb09g02270.t1 pep chromosome:ASM357664v1:9:1331043:1332337:1 gene:itb09g02270 transcript:itb09g02270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGAKFIVLHPSPHKNGVAAVSPRILLLLFVSFFTFAFALTFFSGRDAAPAAGAAAPAAAKAELPKPVFEALLHYATMNTTLVSGRMSPAELNSISAALRRCGNGCNFLVFGLTHETLLWHSLNYNGRTVFIDESAYRVSKFEEAHPEIEAYDAQFTTKVSELRDLLAHAKEQRVTECRPVQNLLFSDCKLAINDLPNQLYDVAWDVILVDGPRGNFPAAPGRMAAIFTAGVLARSKRGSTAPTHVFVHEIEREAERICSEKFLCKGNLVESVDSLGHFVVGQMEAKSFKFCNKPESSSSSSSSPSYANE >itb14g21450.t1 pep chromosome:ASM357664v1:14:23443603:23447216:1 gene:itb14g21450 transcript:itb14g21450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCLKAGIPGIATPIAAVGAAGLDFRMTHNTTSHITSSAAGRPTAALSVDKPSPSPWGFSFRHPLRSLWPGSRNRFDAVAVDGPPVLVDDKEEKIDAEEEQNVNWVMKILRVRSLRKEEEEDEDEAQSDGFDGSEAKMEDRIGVSEENDCAQIGTCIGADGDCDVCAVDDDDGNIEFDRNSFSKLLRRVSLAEARLYAQMAHLGSLAYSIPQIKAENLLRRQKLRFITSSLEKKQHALKAEKERELVEDQLRKENEVAQTNEAERNEVNLKENESNKSGGNRISASAVYHIAASAASYLHSHTTSFLTRSPVNGDMPKRTIGRDDDVDVMNEEVASLMATTESVTSVVAAKEEVKQAVADDLNSTHSSPCEWFACDDDQSGTRYFVIQGSETLASWQANLLFEPAKFEGLDVPVHRGIYEAAKGMYEQMLPEIRAHISSIGDRAKFRFTGHSLGGSLSLLLNLMLLIRGEVPPHSLLPVITFGSPSVMCGGDRLLRKLGLPQSHVQSITMHRDIVPRAFSCNYPNHVAEFLKAVNSNFRNHPCLNNQKLLYAPMGEFLILQPDDKFSPSHHLLPTGSGLYLLSCTAADAIKAEKQIRAAQLVFLNSPHPLEILSDRAAYGSGGTVQRDHDMSSYMKSIRNVIKKELNRIRKTKRAHRRRVWWPLVAVDGINGGIIFRRPVVSGNTGNGQFNFGGMIQTGREFNFGGMIQTGRESLKRFSTLVASQHMHLLVVLMLPARLLVLGTFSATNILH >itb01g34260.t1 pep chromosome:ASM357664v1:1:37037999:37046523:-1 gene:itb01g34260 transcript:itb01g34260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYPHHHQEFYGSQNDYHQRSASAAAAAAAAASSMRFETPPPQIPLQVDEPPPPTYDGGGGILAEMINYPPEWRKAATEILDDQIQSSFRASWPRQKEQHLSVNEALPFMNLHIKPSSPPPPQRPSSSALHILNNPNSAAGSELSHHGGYHHMLSPLNMDAAAPSQFTWIPGGGGAAAAAAENDSAKIRSIIEAQGLSLSLSSSLRNMEAAKLEEMRIGNGAAAINYLHNQGLLEANNAANYHNPHPQLLHSGLAMDLPPNTQVHVGYAATNVLRGSRYLKAAQELLEEFCCVGRGHFKNQRAKKNESGGNPNSNAAAAESGNSPAGSSSSKEQPPPLSAADRSEYQRRKIKLLSMLDEVDGRYARYCDQMQVMVTSFDTVLGYGAAAPYTTLAQKAMSRHFRCIKDAIMVQMKETYRVLGEKDPTGSSGLTKGETPRLRMLDQKFRQQKALNQMGMMDSEAWRPQRGLPERSVNVLRAWLFEHFLHPYPSEADKHLLSRQTGLSKNQVSNWFINARVRLWKPMVEEMYQQESKEEEAEEQENAAAQSPTPDDTSSGGNKGITATATTTTTTIPSPRGRRSEFIATENDPSRGYAIANYSSSSSSRPHQYALGNPTATATTVPHLPDTASPPRWEPGLHGWGVVVDGGDVRLGAAAQSTGDVSLTLGLRHSENVPRNTRQLSIRDFGAF >itb08g06720.t2 pep chromosome:ASM357664v1:8:5766164:5769185:1 gene:itb08g06720 transcript:itb08g06720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFRESNHQERTSSSSSAGSGSSRKSKKSGGSDKPKQPQRGLGVAQLEKIRLHSQMGCTFLPDSLHHNPFDNNLSQEDMRLQTGYSPSPSFSYSSSSYGFPGHQGIMMSMSEMERANMRYGDSQASSNPSWHPGTVYEPQQYAQPNMTRHFLSQQLEDPTGRRGTRDRSGSTGSGDQTSDSKGNQELDLELRLSL >itb08g06720.t1 pep chromosome:ASM357664v1:8:5766164:5769185:1 gene:itb08g06720 transcript:itb08g06720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFRESNHQERTSSSSSAGSGSSRKSKKSGGSDKPKQPQRGLGVAQLEKIRLHSQMGCTFLPDSLHHNPFDNNLSQEDMRLQTGYSPSPSFSYSSSSYGFPGHQGIMMSMSEMERANMRYGDSQASSNPSWHPGTVYEPQQYAQPNMTRHFLSQQLEDPTGRRGTRDRSGSTGSGDQTSDSKGNQELDLELRLSL >itb05g10680.t1 pep chromosome:ASM357664v1:5:16516444:16520377:1 gene:itb05g10680 transcript:itb05g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGDEYRHWIYVTIVVVGAGAASSRRFPLLCWSHEETIALIDAYRGKWYSLRRGNLQANHWQKVTDDVTIRCPIDSPNTVVQCWHKMEKLKKRYRAEIQRTAPYGGVRSHRYCSSWVLFKLMDAMERGTNATASSLPPDEEELEDDAEDIKQNSVKHICCDFAFPLLSTDHASPGWFRNGSHQCGIIIGVSSIPAGYPFEK >itb01g05540.t1 pep chromosome:ASM357664v1:1:3797729:3798844:1 gene:itb01g05540 transcript:itb01g05540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMEASITPRENRNPPPVSSPDSRSSNSSSISSSSNGAAPAVAPPPPPVVPVTRSEPNNPYPTTFVQADASSFKQVVQMLTGSSDPAKSTRPEPPSRNPIPPVKTGVTKKEKSASKLYERRNSLKNFKLNPLPGFGFPAGRPGTPEILSPSILDFPSLVLSPVTPLIPDPFTGALNMEAEEKAIAKKGFYLHPSPANTPKKDSEPRLLPLFPLTSPRISDSANSDS >itb01g01880.t1 pep chromosome:ASM357664v1:1:1102696:1103883:-1 gene:itb01g01880 transcript:itb01g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALALFFALSLYLLPNPTHSTRNPIRLPTGASGTPVLDNEGEPLLPGRPYLLRSWKWTHGGLRLVSLDGATTKCPSDVIISNELDYGSPIMFTPADPNAAVVLEWTPQNIKFDIPTTRLCVNNVSWEVEYDPKSGQRFVKAGDVLSHNFQIEVVAPGLNAYNITYCDSAADNCYPVGTHYGPDQQPRLALNTDEPYAITFMKPRVA >itb12g14750.t1 pep chromosome:ASM357664v1:12:14976364:14980967:-1 gene:itb12g14750 transcript:itb12g14750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDDCKTLIGSANINDRSFLGSRDPDGQVKLGVHAMRLCQIRNESSYDHELNSDTLVALLLLFKSGYPWGFAVQIFRGVLSPRDRNRNAIKDLLKLCKNGSFGSLGNQTVSKYTRHRVPHPACKWKMAQPSDIERIEVYKKPDPHLWDRSPRRNFCRVLNSEGKNMVVDVGVCREGQVKLGVHAMRLCQIRNESSYDHELNSDTLVALLLLFKSGYPWGFAVQIFRGVLSPRDRNRNAIKDLLKLCKNGSFGSLGNQTVSKYTRHRVPHPACKWKMAQPSDIERIEVYKKPDPHLWDRSPRRNFCRVLNSEGKNMVVDVGVCREGEASEI >itb05g16800.t1 pep chromosome:ASM357664v1:5:23909481:23911087:-1 gene:itb05g16800 transcript:itb05g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKDQLEIKFRLIDGTDIGPKSFSAATSVATLKENILAQWPKEKENGPRTLKDVKLISAGRILENNKTVGECQSPLCDIPGGVTTMHVVIQPPPQEKEKKMANDPKQKRCVCVIL >itb03g09100.t2 pep chromosome:ASM357664v1:3:6974706:6977446:1 gene:itb03g09100 transcript:itb03g09100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGLMTARQQSDEPPGGHGSERPAPPSKVPSFLWRSADSVPGKLTMLSFSSKSEEIPFLSSAPAGEDSSKTICFPLFQSHQKRGPHSAAASSALNLHSSAYAIGGLNESLRGGSARISGPFTPSQWMELEHQALIYKHMVANVPIPSNLLIPLMKSLNPYAFSGLSPGSYAPNWGWGAFHLGFSANTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQTGHAVSGSTTSKVSPIASSSASAASVISCDGTSNSFGAMKHQFNNSKPSPANPSTDHLINNRTQDHSVISPSFVNLKSKDSTMSIQKQHNPYKESSQQLGFGFPSSDSVPNPLQRRPFVNCHNSDTLLDFTGQASHEQHLVHHFMDGWTKEQSTCAHASWPTELKSDWTQLSMSIPMASSDFSSSSSSPRQQKPTISPLRLSRELEPFQMGLGVSNGIASPIPVAWGNSMGGPLGEVLNNTYTSTGAGKTSPNLNLTTEMWSMGSSPTGVLQKSSFVSLSNSSTASSPQEG >itb03g09100.t1 pep chromosome:ASM357664v1:3:6975430:6977649:1 gene:itb03g09100 transcript:itb03g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALNLHSSAYAIGGLNESLRGGSARISGPFTPSQWMELEHQALIYKHMVANVPIPSNLLIPLMKSLNPYAFSGLSPGSYAPNWGWGAFHLGFSANTDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQTGHAVSGSTTSKVSPIASSSASAASVISCDGTSNSFGAMKHQFNNSKPSPANPSTDHLINNRTQDHSVISPSFVNLKSKDSTMSIQKQHNPYKESSQQLGFGFPSSDSVPNPLQRRPFVNCHNSDTLLDFTGQASHEQHLVHHFMDGWTKEQSTCAHASWPTELKSDWTQLSMSIPMASSDFSSSSSSPRQQKPTISPLRLSRELEPFQMGLGVSNGIASPIPVAWGNSMGGPLGEVLNNTYTSTGAGKTSPNLNLTTEMWSMGSSPTGVLQKSSFVSLSNSSTASSPQEG >itb15g23990.t1 pep chromosome:ASM357664v1:15:26894234:26896449:1 gene:itb15g23990 transcript:itb15g23990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGPMKLPIIGNLLHITGPVLPHRALRDLARKYGGLMSLQIGEISAVVVSSPDMAKEFLRTHDLAFATRAETLAGSILLYNNSDIVLGPYGDYWRQMRKICITELLNPRLVKSFSSIRHDEIHRLLADVRSSAGRPFNVSERIFLFTSSIICRAAFGEVFTGREQFIQQAKDISVLTGSFDFADMFPSWKALHPLFGNKSEILKTHLKTDSIIENIIKDHRNKLESGSAEDCIIDVLLKLMDSGSLQVPITHDNIKAIIIDMFGAGSETSTTTTMWAMSEMMKNPRVLAKAQAEVREVFKGKEMLEESDVEQLPYLKTVVKETLRCHPPTPLIATRECREETVVNGGYTIPLKTKVMVNAYALATDPQYWEEPERFIPERFEDNSVDFNGSHFQYVPFGAGRRICPGLAFGFANTVSPLAHLLYNFDWKLPEGVTEESLDMTEMLGLAVARKNDLVLIPSIPSLS >itb13g24750.t1 pep chromosome:ASM357664v1:13:30350059:30353804:-1 gene:itb13g24750 transcript:itb13g24750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLLQLKSASRINRIGILRSRILYSSLPSDGNSEKNPQPKKSDLAKQQKAQAVESFVKKYMKENQGMFPKPIHVQNEVGGSWHNLKGMLEIVKDKMMGNFDKRNSSGAFTSTSDPEVSSDILSHKVDNSGDEPQVHERAVQEGTKTVSNNDEHANPTMPSLDGQSSSQIFQSLVKKFQNSKIARKESNQTTTSEETLSDIVSMPSEGTIELQDLVVNKSGYSGYQNFSPEDNPFSLSTYTETERDKQFLLSDNAHETKQLKHHLVDSIKDQTAERIANSISDAYIPSFHQQSSEPSGDTDVLSSDIEGLIECVKSLPQPPIGRPQETINFNKSDHSSSRTTSTGIKQPGNMQEFEKKEISISSMLDLEGPSLVNIPKSEKKAGSTASLNYPSMVEKNGIESPFTELLGNLNIEDQETSCSAALHCNKSNVQTQVLPLRDNCNLIKTLNATSFLKESDQNKVIVRFLPRMVVSEDIIGAFKDYGDISKVKIRSTEGSFFKAAYVYFKSDEGKKKALEGTDVNVRNQTVTVEEAFSLKDVTKTYIPNLIGLPDVPAALVKNPKRTVLIKNLARDIRSHHIENALYFCKSKISRFFLGSSSSVAYVEFETEEGKENALTRHSINVLGRRLLIFRVDVPRTTVVRISNVLCIPIKKLILLCKSFGKLRRVLHRNGNIMDVHFRLAEWPNMATILNRLNGSQIDGQRIVAQPAPVYPPDVLLALWSQPEGRQHLRSSVHNLLIKLRENDPSEAGTKVLVNSLLEDTVEI >itb13g03060.t1 pep chromosome:ASM357664v1:13:3026902:3027641:1 gene:itb13g03060 transcript:itb13g03060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNVSLASLDQRLAMAKRCSHEGVVAGAKAAVAATIATAIPTLASVRMLPWARANLNPTAQALIVSTAAGMAYFIVADKTVLATARRNSFKNGSVSANIEA >itb13g23540.t1 pep chromosome:ASM357664v1:13:29512291:29513560:1 gene:itb13g23540 transcript:itb13g23540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVHRFGPCSGRGGDSPSMREILLRDESRVQSIQARLRSLSLANNNNNGDMGARESETVDLPAVNESPSGVGNYIVTVGLGTPPKSVQLVFDTGSHLTWTQCEPCAIQCYKQRLPRFKPASSTTYFNLSCNSQACSELKHATSYPGKCDNSTYTCLYSSSYGDNSYSLGELAKDKLTLTSTDVVDGFIFGCGQNNYFTYGDDIGGLMGLGTASLSIVSQTSQQFGNYFSYCLPTRTGSDGHLTFGKNNENTNNLNYTPLLPSYGNIVAFYFIEVLAISVNGQQLSISPTLFQNPDTIIDSGTVITRLPTPAYNAPRDAFKQQMRIGVMVPVLDNGSEVCLAFANYSTNGGIFGNYQQQTFEVVYDVAGGQLGFASGGCS >itb10g13410.t1 pep chromosome:ASM357664v1:10:19638581:19641077:-1 gene:itb10g13410 transcript:itb10g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MNATALSKTVSHIIPQTIRSSLSWNLYSPNPRFSPKPPSFLATNLLKSYFDKGLIREARTLFDEMPERDVVAWTAMISGYTSCNLYPCAWVIFYEMMRDTSVHPNEFTFSSTLKACKGMKSPSCGALVHGLVIKHGKHESMYVANALLDVYSTLSASMKDACMVFSEISEKNDVSWTTMIAGYTHRGDGYCGLQAFKQMLLEGVEPNAFSFSIAIKACALISPFSNGRHLHAAVIKHGFDFNIPVMNSILDMYCRGDDLNEANHCFHCMPQRDIITWNTLIAGYEKSNPKESINFYLLLELEGPSPNTFTFSSIIAAVANLAALSCGEQVHGRIIQRGLGWNLELANALIDMYAKCGNIASSRRIFNEMPTKNLVSWTSMMIGYGSHGNGKQATELFDDMVGSSLRPDRIAFMAVLNACSHAGLVDEGLKYFSSMVDDYNIVPDQEIYGCVVDLLGRAGRVKEAYQLIEKMPFSPDESVWGAYLGACLAHKLPDLGTLAVREVLGLKPKIAGTYLMLSKIYAANGEWKGYAKMRNMMTNLSTKKEVGRSWVEVRNEVNDFVAGDNHRVCNQLLHNQLNGDVDKELQPDKVKGILPTLDGKLLVVSAFFYSLALKLPILSLNSLSCKLQVNLRITPFPQ >itb09g25580.t1 pep chromosome:ASM357664v1:9:25683740:25686860:-1 gene:itb09g25580 transcript:itb09g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWALLSKGQKVVVCGQGAKMLRVINEYKNWKENMALKSFDQAFKDYYNKTLHTSCSSNSHSCCAFEYPITLKEIPKKEKCPECFHDMQKLVLGQLAASRTSAMVKRDGEAGKAEANSVPRQLRGEERWRMRTGLPSASLSSPLLQAAAAGFLRCFFPAGFPAILWTKNRGDS >itb09g25720.t1 pep chromosome:ASM357664v1:9:25822735:25826825:-1 gene:itb09g25720 transcript:itb09g25720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETNEVGSSEDGGKFVSNAGSEKDVELDGSEGASGSDDECDDGYSGESEEEEVSEEEITPFSFLEDDANYEALAEKKRKAVAAHQNEGPSKKQKETEGLGASFDEIMEAMNYGSKRKSRRRKRKKGRPKGSRGNGDPVAKKLLGEATLCYATGDYERAVKLLTECIRRNPTHDAYHTLGLVFNAKGDKKRAIYAYMLATKSVTRPKETSLWKSLLSWFLDQGNSAAVNYYLPKAIAADPEDITLKFLGVSHYTELQEHEKAAKLYEQIHQLCPDNSDACISAAELYKQCGKVECSLVSLENYLSHYPTGGDPRIISMLISICIENGEYSRALQHIRKDCSGKELSFDLTAKAGICYLHLGDLDNAKALFGAFHLENANDFTESIIEIADTYKKLEHYESALHYYLMLERKLGAISGTLNLKIAECYSSLRKMDEAITFFCKAIPLLENSVETRLTLASLLIEKEKDEEAISFLSPPNSEFGLETEKWWHEEKVQLKLANIYRDKDMIQEFVDTFYPLVYRSLTSEGPKKVGKKLPKRVLLERAKVLDNDETDNPLQGFKPVANVTDRRKASRAKRVLQRRSELKEEKKTKTLAAGLDWKSEDSDDEFRVSLHKRKPPTPKLLKDEENHQLFVDLTKALASLGRYEEAFDVCNLTLRFASDILSNERRDSITTLGAQLACKIQDAKGGCSFLRHFLHQHPSKMAAWSYYYKALSKLEISPSTNNRFLLYARNQHKDCIPPIIIAGHQFCEICQYQVAAKEYLEAHKLRPNCPLINLCIGTALINLAFDIRLKNKHQCVLQGLAFLYNNLQLCENSQEALYNIARAYQQVGLVSLAASYYEKVLTTTEKDCPIPSFPYENQCAQIRKPGHCDLRREAAYNLHLIYKASGAFDLARQVLQDHCTV >itb09g25720.t2 pep chromosome:ASM357664v1:9:25822735:25826825:-1 gene:itb09g25720 transcript:itb09g25720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETNEVGSSEDGGKFVSNAGSEKDVELDGSEGASGSDDECDDGYSGESEEEEVSEEEITPFSFLEDDANYEALAEKKRKAVAAHQNEGPSKKQKETEGLGASFDEIMEAMNYGSKRKSRRRKRKKGRPKGSRGNGDPVAKKLLGEATLCYATGDYERAVKLLTECIRRNPTHDAYHTLGLVFNAKGDKKRAIYAYMLATKSVTRPKETSLWKSLLSWFLDQGNSAAVNYYLPKAIAADPEDITLKFLGVSHYTELQEHEKAAKLYEQIHQLCPDNSDACISAAELYKQCGKVECSLVSLENYLSHYPTGGDPRIISMLISICIENGEYSRALQHIRKDCSGKELSFDLTAKAGICYLHLGDLDNAKALFGAFHLENANDFTESIIEIADTYKKLEHYESALHYYLMLERKLGAISGTLNLKIAECYSSLRKMDEAITFFCKAIPLLENSVETRLTLASLLIEKEKDEEAISFLSPPNSEFGLETEKWWHEEKVQLKLANIYRDKDMIQEFVDTFYPLVYRSLTSEGPKKVGKKLPKRVLLERAKVLDNDETDNPLQGFKPVANVTDRRKASRAKRVLQRRSELKEEKKTKTLAAGLDWKSEDSDDEFRVSLHKRKPPTPKLLKDEENHQLFVDLTKALASLGRYEEAFDVCNLTLRFASDILSNERRDSITTLGAQLACKIQDAKGGCSFLRHFLHQHPSKMAAWSYYYKALSKLEISPSTNNRFLLYARNQHKDCIPPIIIAGHQFCEICQYQVAAKEYLEAHKLRPNCPLINLCIGTALINLAFDIRLKNKHQCVLQGLAFLYNNLQLCENSQEALYNIARAYQQVGLVSLAASYYEKVLTTTEKDCPIPSFPYENQCAQIRKPGHCDLRREAAYNLHLIYKASGAFDLARQVLQDHCTV >itb09g25720.t3 pep chromosome:ASM357664v1:9:25822735:25826034:-1 gene:itb09g25720 transcript:itb09g25720.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETNEVGSSEDGGKFVSNAGSEKDVELDGSEGASGSDDECDDGYSGESEEEEVSEEEITPFSFLEDDANYEALAEKKRKAVAAHQNEGPSKKQKETEGLGASFDEIMEAMNYGSKRKSRRRKRKKGRPKGSRGNGDPVAKKLLGEATLCYATGDYERAVKLLTECIRRNPTHDAYHTLGLVFNAKGDKKRAIYAYMLATKSVTRPKETSLWKSLLSWFLDQGNSAAVNYYLPKAIAADPEDITLKFLGVSHYTELQEHEKAAKLYEQIHQLCPDNSDACISAAELYKQCGKVECSLVSLENYLSHYPTGGDPRIISMLISICIENGEYSRALQHIRKDCSGKELSFDLTAKAGICYLHLGDLDNAKALFGAFHLENANDFTESIIEIADTYKKLEHYESALHYYLMLERKLGAISGTLNLKIAECYSSLRKMDEAITFFCKAIPLLENSVETRLTLASLLIEKEKDEEAISFLSPPNSEFGLETEKWWHEEKVQLKLANIYRDKDMIQEFVDTFYPLVYRSLTSEGPKKVGKKLPKRVLLERAKVLDNDETDNPLQGFKPVANVTDRRKASRAKRVLQRRSELKEEKKTKTLAAGLDWKSEDSDDEFRVSLHKRKPPTPKLLKDEENHQLFVDLTKALASLGRYEEAFDVCNLTLRFASDILSNERRDSITTLGAQLACKIQDAKGGCSFLRHFLHQHPSKMAAWSYYYKALSKLEISPSTNNRFLLYARNQHKDCIPPIIIAGHQFCEICQYQVAAKEYLEAHKLRPNCPLINLCIGTALINLAFDIRLKNKHQCVLQGLAFLYNNLQLCENSQEALYNIARAYQQVGLVSLAASYYEKVLTTTEKDCPIPSFPYENQCAQIRKPGHCDLRREAAYNLHLIYKASGAFDLARQVLQDHCTV >itb11g06390.t1 pep chromosome:ASM357664v1:11:3801789:3805333:1 gene:itb11g06390 transcript:itb11g06390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRKMDGRGGCCIARYTGGAAYDASKVGRIMLRFRPIAPKPAADGSVSGASSVAENKEVRTTAARRKRRYVRDIESTGKTATGVNPNRRPCKKRKAVTAVTEEEKENESNGKTESGGSSVSSLERETVITLPLLPEKPERKDFPATETPARESKKQEQKDPVWLSFGNQLQQPREPSDSHHVPKPRHVRYGVGPANTPPAASVVGSWVRVECITGTWVDHGNCLGRTDQEKIINLDRDTCPAFISDGQNRVRWANAAYRNLLGQRATDGGEAVVCVVMGDGVRLPPSSPAAFTCRVRVVTCGKEKSSKVLLCDVWRMSGGGHAWRLDTEAALSL >itb05g27460.t2 pep chromosome:ASM357664v1:5:30814003:30817154:1 gene:itb05g27460 transcript:itb05g27460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWFSGSSAGDDARQQQQTTATSSPSLLADWNSYASTKASDADGASSSLIGAFDLEAAVRSANDTVSGTFNVVSKGVRDIPGSLQSATSNIPSGKALMYFGLFLATGVFFIFMAFALFLPVIVLMPQKFAICFTLGCSFIIGSFFALRGTKNQFAHMSSKERLPFTLGFLGSMIGTLYVSMGLHSYILSVLFSVIQLMIFCLVRLWHCCTMQFHIFLVDLLDLSFYHHLWFLQY >itb05g27460.t1 pep chromosome:ASM357664v1:5:30814003:30817154:1 gene:itb05g27460 transcript:itb05g27460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWFSGSSAGDDARQQQQTTATSSPSLLADWNSYASTKASDADGASSSLIGAFDLEAAVRSANDTVSGTFNVVSKGVRDIPGSLQSATSNIPSGKALMYFGLFLATGVFFIFMAFALFLPVIVLMPQKFAICFTLGCSFIIGSFFALRGTKNQFAHMSSKERLPFTLGFLGSMIGTLYVSMGLHSYILSVLFSVIQVMALLYYAVSYFPGGSTGLKFLSSSMVSSVLKCFGR >itb13g13440.t1 pep chromosome:ASM357664v1:13:19951163:19953116:-1 gene:itb13g13440 transcript:itb13g13440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGATSTEVREMKDPVLYDHRPRLLSDDDIMRISLIPHKKGANFLDFPGVILVNNTARRDPNKEPVLLPSGRPLVPDCLFTFKQGKSKRPFARLWWDETVATLVTFPNCRSHVVLHPDQDRVLTVREYARLQGFPDFYRFCGTVTERYCQLGNAVAIPVGRALGYALGIAFQRLSGDEPLMKLPPKFAFLQPPVDEIVELRN >itb10g03770.t1 pep chromosome:ASM357664v1:10:3519339:3521261:1 gene:itb10g03770 transcript:itb10g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINHGVDTSLLEKAKGEVQDFFNLPLEVKKNKYGQVEGEVEGYGQAFVVSEEQKLDWADMFYIQTQPPQMRSPRLFSNLPDSFRETVEAYSLEVSKLAMKVLSHVAKNLGIEADEMNMLFEDGMQSIRMNYYPPCPIADQVIGLSPHSDVGGLTILLQANETQGLEIKKDGKWIPIVPIPNAFIVNVGDCIEIFTNGIYPSIEHRGVVSRDKVRVSIATFHSPKLDGELGPASNLITSQTPAKFRRVSVADYFRLYLGRKLDGKSHTHVFRIGN >itb11g14490.t1 pep chromosome:ASM357664v1:11:11616700:11622635:1 gene:itb11g14490 transcript:itb11g14490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYAMTVMDYPLQYEGYPILPLEIIDHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTLALNCIVIRLLPLYNNGRGCHPVDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTPAARESAQNDKSSEPVLKEELEHHSFLDCASDEGNRRHDGRIGSNYRASKSCPFGNENEVLVSINVDPKFSCSIESQAVFPGVHDNSDEGKNNQDRDGTLTQKLERQGSEQKMDDDSNRQQSDNVPLAAPKKQPLANSTTSADAFGTKNESEHQDSQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSADHFGAKTESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSAPPALALDKDFQSQGKPKAPSPCASPESFAPINDSIFMAGCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVPQVHPPPPPPLPTWYTSSLSSQVPYEQQVAEINSSPLPTPYFRQQASHSTTVSSLGLIPPPPPLPTLLLFSTCVAVGTTSPPLPVAQPPALPLPPGAPGPPGGAPPPPGCCYVPGQRHASRGEGKMWRCVLPGRGPAGAAKRSTLKPWYWSKVTRKLQGSLWEELQRRGEPQVAPEEIEALFSTVVPKSNKDKSGGKQKAAGSKPDKIHLAAARARL >itb11g14490.t2 pep chromosome:ASM357664v1:11:11616700:11622635:1 gene:itb11g14490 transcript:itb11g14490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYAMTVMDYPLQYEGYPILPLEIIDHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTLALNCIVIRLLPLYNNGRGCHPVDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTPAARESAQNDKSSEPVLKEELEHHSFLDCASDEGNRRHDGRIGSNYRASKSCPFGNENEVLVSINVDPKFSCSIESQAVFPGVHDNSDEGKNNQDRDGTLTQKLERQGSEQKMDDDSNRQQSDNVPLAAPKKQPLANSTTSADAFGTKNESEHQDSQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSADHFGAKTESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSAPPALALDKDFQSQGKPKAPSPCASPESFAPINDSIFMAGCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVPQVHPPPPPPLPTWYTSSLSSQVPYEQQVAEINSSPLPTPYFRQQASHSTTVSSLGLIPPPPPLPTLLLFSTCVAVGTTSPPLPVAQPPALPLPPGAPGPPGGAPPPPGCCYVPGQRHASRGEGKMWRCVLPGRGPAGAAKRSTLKPWYWSKVTRKLQGSLWEELQRRGEPQVY >itb11g14490.t3 pep chromosome:ASM357664v1:11:11616700:11621315:1 gene:itb11g14490 transcript:itb11g14490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYAMTVMDYPLQYEGYPILPLEIIDHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTLALNCIVIRLLPLYNNGRGCHPVDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTPAARESAQNDKSSEPVLKEELEHHSFLDCASDEGNRRHDGRIGSNYRASKSCPFGNENEVLVSINVDPKFSCSIESQAVFPGVHDNSDEGKNNQDRDGTLTQKLERQGSEQKMDDDSNRQQSDNVPLAAPKKQPLANSTTSADAFGTKNESEHQDSQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSADHFGAKTESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSAPPALALDKDFQSQGKPKAPSPCASPESFAPINDSIFMAGCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVPQVHPPPPPPLPTWYTSSLSSQVPYEQQVAEINSSPLPTPYFRQQASHSTTVSSLGLIPPPPPLPTLLLFSTCVAVGTTSPPLPVAQPPALPLPPGAPGPPGGAPPPPGCCYVPGQRHASRGEGKMWRCVLPGRGPAGAAKRSTLKPWYWSKVTRKLQGSLWEELQRRGEPQVAPEEIEALFSTVVPKSNKDKSGGKQKAAGSKPDKIHLVM >itb11g14490.t4 pep chromosome:ASM357664v1:11:11616700:11621315:1 gene:itb11g14490 transcript:itb11g14490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIVAQLHDYYPDASFMVFNFKDRDRRSPISDIMSQYAMTVMDYPLQYEGYPILPLEIIDHFLRSSESWLSVEGKQNVLLVHCERGGWPLLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPRELLHLLSNLNPQQSQLRYLQYISRSFGSDRPPSDTLALNCIVIRLLPLYNNGRGCHPVDDESETEVASPDEFFEVDEIFSSIVDGHEGRVSSDTPAARESAQNDKSSEPVLKEELEHHSFLDCASDEGNRRHDGRIGSNYRASKSCPFGNENEVLVSINVDPKFSCSIESQAVFPGVHDNSDEGKNNQDRDGTLTQKLERQGSEQKMDDDSNRQQSDNVPLAAPKKQPLANSTTSADAFGTKNESEHQDSQAVFPVHGKLDEEENNQDEESISTQELERQGSEQKMDADSNKQQCDNVPPAAPKKQPLANSTTSADHFGAKTESKQQESQGSLSRQAKPKTISWQMASSEGSYTNLIHVSDPPSRCNSAPPALALDKDFQSQGKPKAPSPCASPESFAPINDSIFMAGCVAKHSSCPASLDISTAKIASIVASSTSLPQDRVPQVHPPPPPPLPTWYTSSLSSQVPYEQQVAEINSSPLPTPYFRQQASHSTTVSSLGLIPPPPPLPTLLLFSTCVAVGTTSPPLPVAQPPALPLPPGAPGPPGGAPPPPGCCYVPGQRHASRGEGKMWRCVLPGRGPAGAAKRSTLKPWYWSKVTRKLQGSLWEELQRRGEPQVY >itb03g27920.t2 pep chromosome:ASM357664v1:3:28514350:28522377:1 gene:itb03g27920 transcript:itb03g27920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCRYELHNLLKEERLSGASLLIFANKQDIQGSLCPDEIAKVLNLDAMDKTRHWRIVGCSAYTGEGLLEGFDCCYNREFSYFAVGNCLLLSAYSV >itb03g27920.t3 pep chromosome:ASM357664v1:3:28513522:28521147:1 gene:itb03g27920 transcript:itb03g27920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCRYELHNLLKEERLSGASLLIFANKQDIQGSLCPDEIAKVLNLDAMDKTRHWRIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >itb03g27920.t1 pep chromosome:ASM357664v1:3:28513570:28521243:1 gene:itb03g27920 transcript:itb03g27920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCRYELHNLLKEERLSGASLLIFANKQDIQGSLCPDEIAKVLNLDAMDKTRHWRIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >itb03g27920.t5 pep chromosome:ASM357664v1:3:28514349:28521147:1 gene:itb03g27920 transcript:itb03g27920.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCRYELHNLLKEERLSGASLLIFANKQDIQGSLCPDEIAKVLNLDAMDKTRHWRIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >itb03g27920.t4 pep chromosome:ASM357664v1:3:28514345:28521243:1 gene:itb03g27920 transcript:itb03g27920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIAYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCRYELHNLLKEERLSGASLLIFANKQDIQGSLCPDEIAKVLNLDAMDKTRHWRIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >itb01g02160.t2 pep chromosome:ASM357664v1:1:1405533:1411613:1 gene:itb01g02160 transcript:itb01g02160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MEAREEGAKKGEELEGQWRLYEAYNELHGLAQEFDTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYNPDCDSPLCHLLSDSDPSVSHERSLHEIQAFIEAENMRLEKEPCQFSAKEIIIRIEYKYCPNLTIIDTPGLVAPAPSRKNRAFQAQARAVESLVRAKMQHREFIILCLEDCNDWSNATTRRVVMQIDPELSRTVVVATKLDTKIPQFARSSDVEVFLSPPASVLDGFMLGDSPFFTSVPSGRVGSGPESVYRSNDEFKQAISSREAEDVSALEEKLCRPLSKQERNRIGISSLRLFLEELLLKRYMDSVPSIIPLLEKEHRSSTRKLNEINEELSSLDKVKLKEKGRVFHDLFLTKLSLLLKGTVVAPPDKFGEALQDERVNGGAFIGSDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLHILKRLLPIAVYLLQKDGEYLSGHDVFLRRVASAFNNFAESTERSCREKCMEDLVSTTRYVTWSLHNKNRAGLRQFLDSFGGSEHSTMNGNDSSVSPQTSETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASTELKFNCFLLMPVVDKLPALLREDLESAFEDDLDNVFDITNLQHSFGQQKRETEIELKRIQKLKEKFRSIHEQLNSQKLKFKQ >itb01g02160.t1 pep chromosome:ASM357664v1:1:1405533:1411613:1 gene:itb01g02160 transcript:itb01g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MEAREEGAKKGEELEGQWRLYEAYNELHGLAQEFDTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYNPDCDSPLCHLLSDSDPSVSHERSLHEIQAFIEAENMRLEKEPCQFSAKEIIIRIEYKYCPNLTIIDTPGLVAPAPSRKNRAFQAQARAVESLVRAKMQHREFIILCLEDCNDWSNATTRRVVMQIDPELSRTVVVATKLDTKIPQFARSSDVEVFLSPPASVLDGFMLGDSPFFTSVPSGRVGSGPESVYRSNDEFKQAISSREAEDVSALEEKLCRPLSKQERNRIGISSLRLFLEELLLKRYMDSVPSIIPLLEKEHRSSTRKLNEINEELSSLDKVKLKEKGRVFHDLFLTKLSLLLKGTVVAPPDKFGEALQDERVNGGAFIGSDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLHILKRLLPIAVYLLQKDGEYLSGHDVFLRRVASAFNNFAESTERSCREKCMEDLVSTTRYVTWSLHNKNRAGLRQFLDSFGGSEHSTMNDVKVGHVTSGNDSSVSPQTSETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASTELKFNCFLLMPVVDKLPALLREDLESAFEDDLDNVFDITNLQHSFGQQKRETEIELKRIQKLKEKFRSIHEQLNSQKLKFKQ >itb01g02160.t3 pep chromosome:ASM357664v1:1:1405533:1411613:1 gene:itb01g02160 transcript:itb01g02160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MEAREEGAKKGEELEGQWRLYEAYNELHGLAQEFDTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYNPDCDSPLCHLLSDSDPSVSHERSLHEIQAFIEAENMRLEKEPCQFSAKEIIIRIEYKYCPNLTIIDTPGLVAPAPSRKNRAFQAQARAVESLVRAKMQHREFIILCLEDCNDWSNATTRRVVMQIDPELSRTVVVATKLDTKIPQFARSSDVEVFLSPPASVLDGFMLGDSPFFTSVPSGRVGSGPESVYRSNDEFKQAISSREAEDVSALEEKLCRPLSKQERNRIGISSLRLFLEELLLKRYMDSVPSIIPLLEKEHRSSTRKLNEINEELSSLDKVKLKEKGRVFHDLFLTKLSLLLKGTVVAPPDKFGEALQDERVNGGAFIGSDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLHILKRLLPIAVYLLQKDGEYLSGHDVFLRRVASAFNNFAESTERSCREKCMEDLVSTTRYVTWSLHNKNRAGLRQFLDSFGGSEHSTMNGNSISVSQELSSESVTSDNKQDIKPRADVKVGHVTSGNDSSVSPQTSETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASTELKFNCFLLMPVVDKLPALLREDLESAFEDDLDNVFDITNLQHSFGQQKRETEIELKRIQKLKEKFRSIHEQLNSQKLKFKQ >itb07g17610.t1 pep chromosome:ASM357664v1:7:21846366:21846773:1 gene:itb07g17610 transcript:itb07g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTPFLDRKRHHLSKSDCCGIGSALQSRRVNFFTGPARRKRILLITFTQLLPSKKNLLTGRSSWRCWTLSKNFRSNASRPCCNQTSFHCLESRAVLAASRVGKHSKILSFTSTGSSLIKSLLASGRVLSRRC >itb02g14210.t3 pep chromosome:ASM357664v1:2:10401647:10403385:-1 gene:itb02g14210 transcript:itb02g14210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] METYTGAFLSCASLPGIPISGHFRPALHAKPSSKRSLSSSSNVFALLSSARNFIPPICRNKSSARPCSTVFSRMAAVGSNMSEDTDDMFDDLIEKYGKVVYRRNDNKSASEEVDDDAESLSFAVAAAKVANEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGTRIRDMAEEQYGRAASGDTKPNSWTLLDFGL >itb02g14210.t2 pep chromosome:ASM357664v1:2:10401646:10403385:-1 gene:itb02g14210 transcript:itb02g14210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] METYTGAFLSCASLPGIPISGHFRPALHAKPSSKRSLSSSSNVFALLSSARNFIPPICRNKSSARPCSTVFSRMAAVGSNMSEDTDDMFDDLIEKYGKVVYRRNDNKSASEEVDDDAESLSFAVAAAKVANEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGTRIRDMAEEQYGRAASGDTKPNSWTLLDFGL >itb02g14210.t1 pep chromosome:ASM357664v1:2:10401031:10403385:-1 gene:itb02g14210 transcript:itb02g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] METYTGAFLSCASLPGIPISGHFRPALHAKPSSKRSLSSSSNVFALLSSARNFIPPICRNKSSARPCSTVFSRMAAVGSNMSEDTDDMFDDLIEKYGKVVYRRNDNKSASEEVDDDAESLSFAVAAAKVANEVKAADIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGTRIRDMAEEQYGRAASGDTKPNSWTLLDFGDVVVHIFLPEQREFYNLEEFYANATPVDLPFENQQFRHSKGF >itb03g05660.t1 pep chromosome:ASM357664v1:3:4006862:4008596:-1 gene:itb03g05660 transcript:itb03g05660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREENVYMAKLAEQAERYEEMVEFMEKVVAAADGAEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVASIKEYRSKIESELSSICNGILTLLDSKLIGAATSGDSKVFYLKMKGDYHRYLAEFKTGAERKDAAENTLSAYKSAQEIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEASKPDNE >itb06g07250.t1 pep chromosome:ASM357664v1:6:10608029:10611169:1 gene:itb06g07250 transcript:itb06g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFDGYVPMFKSEKRRLLWVLGVLFGLAIVVQYFELPYGTVTTVSFSLHGLGWWNSIGMNATNHVGGSVSLGSETEIKNGFVLGNEGGGDNGRGDGFNFSVQTGAKAPERATRFEGGNGVVAPPPANSSVELMPTISPSPSLSPSGGQWHPNATSIASSAVSPSPGEAKMVKNVTTAVVKPRSLPSDLSLSCENPLVKKTALVNKAMAAVPISEMKNMLPPNHSSFLLIKPLWPSVVDQELLNAKSQIENAATVQDDGADLHASVYWNVSRFKRSYELMEKTLKVYIYTEGERPIFHQSADLTGIYASEGWFMRQLINNTGFVTNDPSEAHLFYLPFSSHNLEKHLYVRDSHSFTNIIEYMKSYVELIKGRYPFWNRTCGADHFLVACHDWAAEETRYDMANCIRSLCVSDLREGVQLGKDVSLPETYVHSSSNPLQSLGGYPPSKRRFLAFFAGQMHGYVRPTLLQYWENKDPDMKIFGHMDRKDYIVHMKSSKYCICARGYEVNSPRVVEAISYECVPVIISDNFVPPFFETLNWESFAVFVLEKDIPNLKNILMSIPEKTYREMKTRVNRVQRHFLWHSRPQRYDIFHMILHSIWYTRVFRTVQ >itb03g28130.t1 pep chromosome:ASM357664v1:3:28794795:28800113:-1 gene:itb03g28130 transcript:itb03g28130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQDSHKTQEKQPSTQVSHDSQNDQLNNKTENPVADSGSVSATGNGNRKVSHEDIELVQNLIERCLQLYMNRDEVVKTLLSRAKIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIILFNHLLENQYHLMKYPVPPKVPLAPLQNGINTMPVNNLPMGYPVLQQPPVPATGQPPHVDSMGISSCHVVNGVPAPSNFHPMRMNSGNDMVMETSASDVSAAAPPSNAISSMPDMPVSPTQAASSGHFPFTAAEISGMGVDASALDTAFASDASSVGLQLPTDNGDGNSRDFLRSLSQIPWNFSLSDLTADLPHLGDLGALGNDTGSPFLPSDSDILLDSPEQDDLVDEFFVDSIPSQEPTGTQSDEENP >itb03g19870.t1 pep chromosome:ASM357664v1:3:17832036:17834376:1 gene:itb03g19870 transcript:itb03g19870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTQSNGNKESHVKIPKIKFTKLFINGEFVDSLSGKTFESIDPRNEEVIARVAEGDKEDVDLAVKAARQAFDNGPWPRFSGTERRRILLKLADLIEENAEELAALDTLNAGKLFSEGKYADVPSTAGYFRYYAGAADKIHGTTLKMSNDLQAYTLCEPIGVVGLIIPWNYPAQIFGFKVAAALAAGCTVIVKPAEQTPLSALYYAHLAKLAGVPDGVLNVITGFGSTVGAAISSHMDIDKVSFTGSTEVGRQIMQAASTSNLKPVSLELGGKCPFIVFDDVDVDKVSDLGLAGATYNKGEICAAGSRVYVQEGIYDKFVEKVVAKAKAKVVGDPLDPNVQQGPQASKKQYEKILSYIEQGKREGATLLTGGKPLDRKGYFIHPTIFTDVTDDMLIAKDEIFGPVMPILKFKTVEEVIKRANDTKYGLAAGIMTNDWNIANTVSRSIKAGSIWINCYMNLDADCPFGGYKMSGFDRDMGMEGLYKYLQVKSVATPVYNSPWL >itb07g20270.t1 pep chromosome:ASM357664v1:7:24663041:24665591:-1 gene:itb07g20270 transcript:itb07g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:Projected from Arabidopsis thaliana (AT1G03330) UniProtKB/TrEMBL;Acc:A0A178W785] MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKFPHMLSVRNCFIRGSVVRYVQLPPEGVDIELLHDATRREARGG >itb11g07630.t1 pep chromosome:ASM357664v1:11:4684828:4690449:-1 gene:itb11g07630 transcript:itb11g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVLSYCYSPSSSSSSSSSFPQYTNNCKYESLKFKPSVNSTFLSVSITRKLRRKPFVVRSVAAPTDAATGFDEMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANSGHPTLVVSTDPAHSLSDSFAQDLAGGTLVPVEGPLSPLFALELNPEKAKDEFRSAAQKSGGSGIKDFMDGMGLGVLADQLGELKLGELLDTPPPGLDEAIAISKVMQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGQEQAPKLDAADKLERLRERMIKVRELFRDTSSTEFVIVTIPTVMAISESSRLCASLKKEDVPVKRLIANQVLPPSVSDCKFCAMKRKDQARALDMIRSDPELCSLMLVQAPLVDVEIRGVPALQFLGDIVWK >itb11g07630.t3 pep chromosome:ASM357664v1:11:4686363:4690421:-1 gene:itb11g07630 transcript:itb11g07630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVLSYCYSPSSSSSSSSSFPQYTNNCKYESLKFKPSVNSTFLSVSITRKLRRKPFVVRSVAAPTDAATGFDEMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANSGHPTLVVSTDPAHSLSDSFAQDLAGGTLVPVEGPLSPLFALELNPEKAKDEFRSAAQKSGGSGIKDFMDGMGLGVLADQLGELKLGELLDTPPPGLDEAIAISKVMQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGQEQAPKLDAADKLERLRERMIKVRELFRDTSSTEFVIVTIPTVMNPIN >itb11g07630.t2 pep chromosome:ASM357664v1:11:4684828:4690449:-1 gene:itb11g07630 transcript:itb11g07630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVLSYCYSPSSSSSSSSSFPQYTNNCKYESLKFKPSVNSTFLSVSITRKLRRKPFVVRSVAAPTDAATGFDEMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANSGHPTLVVSTDPAHSLSDSFAQDLAGGTLVPVEGPLSPLFALELNPEKAKDEFRSAAQKSGGSGIKDFMDGMGLGVLADQLGELKLGELLDTPPPGLDEAIAISKVMQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGQEQAPKLDAADKLERLRERMIKVRELFRDTSSTEFVIVTIPTVMAISESSRLCASLKKEDVPVKRLIANQVLPPSVSDCKFCAMKRKDQARALDMIRSDPELCSLMLVQAPLVDVEIRGVPALQFLGDIVWK >itb03g19670.t1 pep chromosome:ASM357664v1:3:17674512:17679788:-1 gene:itb03g19670 transcript:itb03g19670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chlorophyll(ide) b reductase NYC1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13250) UniProtKB/Swiss-Prot;Acc:Q93ZA0] MATVAKLNLSSLECHHRRPPPLGGCFRRSVGVLYPFGVEGCGRIRLKPCRAFRSGEGGSEEEEFDKKYWWESQKGLDNLVRGFRSAVWSCSKPSLRSDYKFRERLEKLEENLFLLALYVGRYIVTMMSTGAILLVGFQLSGGDSQMNDLIWYSWLGGIIIGTMIGSNLVLEEVSRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVTSRSPESVDKTIKELEENLNQMMNTTIGSSKRRLAHAKVVGIACDVSQPEDVRKLGKFAADELGSIDIWVNNAGTNKGFRPLLNFTDNDIQEIVSTNLIGSILCTREAMQIMASQHKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLFKECKRSKVGVHTASPGMVLTDLLLSGSSVKNKQMFNIICELPETVARTLVPRMRIVKGSGKAINYLTPPRILIALVSAWLRRGRWFDDQGRAVYAAEADRLRNWAESRTRLSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTGSTYPGT >itb07g00770.t1 pep chromosome:ASM357664v1:7:488308:491393:1 gene:itb07g00770 transcript:itb07g00770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPELQAPPEIHYNNEEARKYTSSSRIVEIQAKLSERALELLALPDDGVPRLLLDIGCGSGLSGETITEAGHQWIGWDISESMLDVAVEREVEGDLILGDMGQGLGLRPGVVDGAIGISSVQWLCNADKSSHEPRIRLKAFFGTLYRCLARGARAVFQVYPENLAQRELILGFAMRAGFAGGIVIDYPHSTKKKKEYLVLTCGPPSLSSATPKAKGEDGECYSSDDDCSEDEENQTVCVSDRHRPRKKQKVNKKGKGREWVVKKKDQMRKKGKEVPRDTKYTARKRKDRF >itb12g01890.t1 pep chromosome:ASM357664v1:12:1280921:1283345:-1 gene:itb12g01890 transcript:itb12g01890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDGASQSSSKKSKKPQYSRFTQQELPACKPILTPAWVIATFISIGFVFVPIGLVALSASQRVVEIVDRYDDLCIPSSKADESDSNLERAAFVQSDKTNKTCIRTLTVPKKMQSPVFIYYELENFYQNHRRYVKSRSDKQLRSPDAEADTKTCAPEDLNNNKPIVPCGLVAWSLFNDSYGFKISNKDVPVNRKDIAWTSDKTHKFGADVYPKNFQQGGLIGGGKLNESLPLSEQEDLLVWMRTAALPNFRKLYGRIESDLEANQEITVVIQNNYNTYTFGGKKKLVISTATWIGGKNDFLGVAYLTIGGICLFLAISFILLYVIKPRSFGDPAFLSWNRNPDMI >itb11g02900.t1 pep chromosome:ASM357664v1:11:1486458:1490490:1 gene:itb11g02900 transcript:itb11g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNSVADRELSQLLQAKGALESAMNLFESGDFTKALEHIDKVVLVFSPACSKAKFLKVRLLLAAKDYSSVISETGFILKEDEDNLEALLLRGRAYYYLADHDVALRHYQKGLRLDPEHSELKKAYFSLKNLLKKTKSAEENESKGKLRVAVEDYKAALALDPNHSAYNVHLHLGLCKVSVKLGRGKDAITSCSEALQIDEELVEALVQRGEAKLLTEDWEGAVADLKLAAEKSPQDRNIREVLMRAERSLKLSQRKDWYKILGISKTSSASEIKKAYKKLALQWHPDKNADNSEEAEAKFREIAAAYEVLGDEDKRTRYDRGEDIDDMNSGMGGGGGFHHPFHGGGGNFEFHFEGGFPGGGFGGFHF >itb11g02900.t2 pep chromosome:ASM357664v1:11:1486458:1490490:1 gene:itb11g02900 transcript:itb11g02900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALTKLCSLDFVAWRGFLFSALILNFVFTCQLFLLQPIVSALDGNPGDAAALFERAQQHVKVKKYSEALNDLNAAIEVDPALSEAYWHRASVLRQLCRYEESEINYRKFLEMKPKNSVADRELSQLLQAKGALESAMNLFESGDFTKALEHIDKVVLVFSPACSKAKFLKVRLLLAAKDYSSVISETGFILKEDEDNLEALLLRGRAYYYLADHDVALRHYQKGLRLDPEHSELKKAYFSLKNLLKKTKSAEENESKGKLRVAVEDYKAALALDPNHSAYNVHLHLGLCKVSVKLGRGKDAITSCSEALQIDEELVEALVQRGEAKLLTEDWEGAVADLKLAAEKSPQDRNIREVLMRAERSLKLSQRKDWYKILGISKTSSASEIKKAYKKLALQWHPDKNADNSEEAEAKFREIAAAYEVLGDEDKRTRYDRGEDIDDMNSGMGGGGGFHHPFHGGGGNFEFHFEGGFPGGGFGGFHF >itb12g01130.t1 pep chromosome:ASM357664v1:12:800611:803290:-1 gene:itb12g01130 transcript:itb12g01130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPETLSREQCLYMAKLAEQAERYEEMVKFMDKLAADSAAAAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEDHVVLVKDYRSKVESELSAVCAQILGLLDHHLIPSAAASEPKVFYLKMKGDYHRYLAEFKVGNERKEAAEETMLAYKAAQDVAVADLAPTHPIRLGLALNFSVFYYEILNAVEKACGMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDQTGDA >itb03g13920.t1 pep chromosome:ASM357664v1:3:13861078:13862489:1 gene:itb03g13920 transcript:itb03g13920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNLGFRFLLFLVSWTLLAPCRTDAGSMPRRLMAEDPSLDLVVGPAATNIPTITEAIATAVQTRTDDKRFVIKIKPGVYHENIIIDERLPNLMLLGDGKERTIITGELSVGGNNLSTFDTAPVAVEADGFIARGITFRNTAGLDGNQAAALRVSGDKAAFYSCSFEGYQDTLYVHSGRQFYKKCDVYGTVDFIFGNAMAVFQDCHIYVRTPKGKQAVITASKREGPDEQTGIVIQKSHVAAAPGENLEGVKVYLGRPWGHYARTVVMESKIDSLIDPEGWLWWAGKDEIFKDKIYYAEYENTGPGSSMVDRVDWSSARQVITPQEAEQFSVENFIDGNSWLPDTNVPFSSDL >itb15g08040.t1 pep chromosome:ASM357664v1:15:5642506:5646093:-1 gene:itb15g08040 transcript:itb15g08040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK6 [Source:Projected from Arabidopsis thaliana (AT3G54030) UniProtKB/TrEMBL;Acc:A0A178VAE8] MGARCSKFSFCWWHSHLKPSLLDSSDLENGEKNAFPPFTQFKLEELKAATNGFSSETIVSEHGEKAPNVVYKGKLNDGRWVAVKRFNKSAWPDSRQFMDEAKAVGSLRSERLANLIGCCYEEEERLLVAEFMPNETLAKHLFHWENQPMKWAMRLRVGLYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTVLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLATRCLQYEARERPNAKSLVSSLLPLQKETEVPSFTLLGIPDEISTPTEPLLLTPMGEACLRTDLTALHEILEKTGYKDDEGIANELSFQMWTNQMQDTLNSKKQGDTAFRAKDFTAAIDCYSQFIEGGTMVSPTVYARRCLSYLMMDMAQEALGDTEKAQLVSPDWHTAFYLQAVAFFSLGMENDAQEALKEATRLEAERNTV >itb07g19270.t1 pep chromosome:ASM357664v1:7:23691441:23695033:-1 gene:itb07g19270 transcript:itb07g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNSTQIHEVKPPKNIFILSGQSNMAGRGGVNKEKKWDGIVPPECSPDSSKIFRLSAQLCWEVACEPLHHDIDTKKTCGVGPGMSFSNAVKDSVGVIGVVPCAVGGTAIKEWARGEHLYENMVKRVKAAIHDGGCEIKALLWYQGESDTSSKHDAESYRANMETLIHNIRSDLDLPTMPIIQVAIASGDKKYIVEVRKAQKEIDLPNVVCIDAWGLELKEDNLHLTTEAQVQLGHMFAEAYLTRFASNAPSTAL >itb07g19270.t2 pep chromosome:ASM357664v1:7:23691441:23695033:-1 gene:itb07g19270 transcript:itb07g19270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNSTQIHEVKPPKNIFILSGQSNMAGRGGVNKEKKWDGIVPPECSPDSSKIFRLSAQLCWEVACEPLHHDIDTKKTCGVGPGMSFSNAVKDSVGVIGVVPCAVGGTAIKEWARGEHLYENMVKRVKAAIHDGGCEIKALLWYQGESDTSSKHDAESYRANMETLIHNIRSDLDLPTMPIIQVAIASGDKKYIVEVRKAQKEIDLPNVVCIDAWGLELKEDNLHLTTEAQVQLGHMFAEAYLTRFASNAPSTAL >itb07g03920.t1 pep chromosome:ASM357664v1:7:2637361:2639747:1 gene:itb07g03920 transcript:itb07g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNSTKSCKARGSDLRVHFKNTRETAHAIRKLPLGKAKRYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHPNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDILYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQITTSKSRKA >itb01g11170.t1 pep chromosome:ASM357664v1:1:9848021:9850527:1 gene:itb01g11170 transcript:itb01g11170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGNGSEALQSDCIQALIVEFICTFLFVFTGVGAAMATDQLQGNALVGLFFVAMAHALVVGVMISAGLRISGAHLNPAVTLGLLMGGNITVIRSLLYLIDQLLASVAACALLTYLTGGLTTPAHTLASGVGYGQGVIMEIILTFSLLFTVYATIVDPKKGNLEGLGPLLTGLVVGANIMAGGSFSGASMNPARSFGPALVSGNWSDHWVYWVGPLIGGGLAGFIYDTFFIVRTHVPIATQEPF >itb12g00830.t1 pep chromosome:ASM357664v1:12:588187:591835:-1 gene:itb12g00830 transcript:itb12g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPEKMAGCGLFNAVFGRRSVPRRSTSTGSLPVDKDHHHCPTNLARAPSTPSLARFRGSSDERSILEIATPDGEFPVKNPRPAPRASQNQKTQQQRGHNNGNKNNPGRKAAEEISDELETMLADHQGTKGETKLLRASSNNFMLYGNLGNLRQPGNNNNPVENVVDHLPRTAKEEQQKTRNGKYATSVMGNVVKMNGEKAAAEPSLCRAISTRMDPEQLKILGNEDYKNGRFAEALALYDAAISIDPNKASYRSNKSAALSALGRLLEAVFECREAIRIEPSYHRAHNRLATLYVRLGEAEKAMYHYKQAGHEADPDVITKAKNLQLHLNRCTEAKKHRDWNTLLKESDLAISAGADSAPQIFGLKAESLMKLHRFEEADEAMKKGPNFDVDECTKFLGPIGNASLLIVQAQVDMGAGRVEEAAAAAQRASQLDANSKEVGTVARRTRAVAAARLNGNELFKAGRFSEASVAYGEGLEHDPYNAVLLCNRAACRTKLGQYEKALEDCNAAVNLRPSFTKARLRRSDCLFKMGKWEACMQECEVLMKETPDNEEIGKMMKEAQLLLRKHHENGSREGERSKC >itb10g13660.t1 pep chromosome:ASM357664v1:10:19876833:19880065:-1 gene:itb10g13660 transcript:itb10g13660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISKSFLGLYQTTKTKAIPKSAANYCHHSIRNTMSDNSLLSGFNRPRSIVKRVLANPQSEGDGALVRRSIGRPELKNLDPFLMLDEFEVSPPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHRGTIGAGDVQWMTAGRGIMHSEMPAKEGANKGLQLWINLSSKNKMIEPGYQELLDKDIPRAERDGTQVKVIAGVAMGVQSPVYTRTPSMFLDFTLKPMAQHHQPIPESWNAFVYIIEGEGVFGGLNSPPVLAHHCLVLGGGEGLSVWNQSSKPLRFVLIGGQPLNEPVVQYGPFVMNTQAEIQQAFEDYRYCKNGFEKARQWRS >itb11g04890.t1 pep chromosome:ASM357664v1:11:2621782:2624951:1 gene:itb11g04890 transcript:itb11g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFATLTFRSIVSTRRHHFLSSKSFASSAAPTSTPPSSTSPQQYPQTLDGLRRRLAAESPAFGDFIRLQSDSEYSVEVGTKKKPLPKPKWMKEAIPGGEKYTQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPSNVAEAIASWGLDYVVITSVDRDDLPDQGSSHFAETVEKLKALKPNMLIEALTPDFRGDHSCVEKVAKSGLDVFAHNIETVEELQSVVRDHRANFKQSMDVLVMAKEYAPAGTLTKTSIMLGCGETPDQVIKTMEKVREAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYQVLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASSV >itb04g04820.t1 pep chromosome:ASM357664v1:4:2978563:2981361:1 gene:itb04g04820 transcript:itb04g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVIDWKNLDSRFVKDDLFEHFNAPQWVDFSAPDDAAVAVDDEAWFCRPDCNHPKTVEDFYNAALTPTSELRRAASVSEIPPLRERNRSFRDANLKNKGQVLQPGMSLNKDAKSNRVVGDSENQNPNFMTPPRLKAKALKEAIKSSMEREAVEENSVYREQTRRLRSTLSARNLFGGGGLMNKVSEFCNELKKLASRAREKERAENETPIMVNKQKIKAHFGDEMKGLDDEKPKERKPLLELSKEKCEANASSAEKQTKKLRKADAENTPIRVDVKNIKRNLDESLMQIRTSPPTPQCFSATKGSTPHNAPRSKPQERGILQELKQGSSKDGNNGSGKKNNHGGHVSSILTERGEARGLDVLWFLKPCTLSS >itb05g16180.t1 pep chromosome:ASM357664v1:5:23448173:23448760:1 gene:itb05g16180 transcript:itb05g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQAFHQWRTTARCRGGGITFGDTNIRVVRSGIDGFRDHSEVSPPPHKGGDAVKMQNLHYREICNVNLVSIFIGIRTVSPLIGNTRIHQNLEILVKMVLISQDTLKGRSLNLGSCHFCSPQKISIEITINEISKNGV >itb12g08720.t1 pep chromosome:ASM357664v1:12:6841009:6842740:1 gene:itb12g08720 transcript:itb12g08720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQMASIVLLALLASFARANDNNPLQDFCVADPQAAVFVNGKACKDPKLVEADDFYKAAGFNTPDGGVNLASLGLVIKYLTAGEFPGLNTMGLSIARLDFKPNGLIPPHTHPRASEVVFVLEGTILVGFVSSNPLNGQKNKLYPKTLNAGDVFIFPMGLVHFFYNIGRTNALVFSAFSSQNPGYVSVANSVFGTEPPIPDDVLAKGFRLNKLVIDYLHKQKWDLI >itb15g17290.t2 pep chromosome:ASM357664v1:15:17788998:17793644:-1 gene:itb15g17290 transcript:itb15g17290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSLAGLQDHLKLAREYAIEGLYDTAIIFFDGAVAQINKHVSTVDDPLLRSKWMNVKKAISEETEVVKQLDAEKRSFKEVHVGRRPFSPPISTKSSSFVFQPLDEYPTSSGPMDDPDVWRPPPSRDTTTRRSARAGQVGMRKSPQDGAWARSSTKTGTSGRGGKTGGSIKANSGVRASTTGKKGNGKSAKVDSVNGDSEDGKAKKVEYEGPDADLAAMLERDVLDSSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGTRKIVMVLAATNFPWDIDEALRSSSSYFIINFVIGFRDNVWYSLSLLNVIVFMRNPGDD >itb15g17290.t1 pep chromosome:ASM357664v1:15:17788811:17793754:-1 gene:itb15g17290 transcript:itb15g17290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSLAGLQDHLKLAREYAIEGLYDTAIIFFDGAVAQINKHVSTVDDPLLRSKWMNVKKAISEETEVVKQLDAEKRSFKEVHVGRRPFSPPISTKSSSFVFQPLDEYPTSSGPMDDPDVWRPPPSRDTTTRRSARAGQVGMRKSPQDGAWARSSTKTGTSGRGGKTGGSIKANSGVRASTTGKKGNGKSAKVDSVNGDSEDGKAKKVEYEGPDADLAAMLERDVLDSSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGTRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAADVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMAKDEISKDPVEMCDFVEALKKVQPSVSAADIEKHEKWSSEFGSS >itb08g11560.t2 pep chromosome:ASM357664v1:8:11568990:11575960:1 gene:itb08g11560 transcript:itb08g11560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKIEGSSALSVRRDPYEVLGVTKDSSDQEIKTAYRKLALKYHPDKNADNPEASELFKEVAYSYGILSDPEKRRRYDMAGFEALDVDGMDMEVDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTIRPLPIGTSFSAKVEKQSAHFFGITISEEQAVSGIVVRVTSAAQSKFKLLYFEQDINGGYGLALQEDSEKAGKVTSAGMYFLHFLVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEISELKSGTHIFAVYGDNFFKPATYTIEALCAKTYEDTTCKLKDIEAQILSKRNELRQFETEYRKVASLLSSLVLSDCICIHSG >itb08g11560.t1 pep chromosome:ASM357664v1:8:11568988:11575960:1 gene:itb08g11560 transcript:itb08g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKIEGSSALSVRRDPYEVLGVTKDSSDQEIKTAYRKLALKYHPDKNADNPEASELFKEVAYSYGILSDPEKRRRYDMAGFEALDVDGMDMEVDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTIRPLPIGTSFSAKVEKQSAHFFGITISEEQAVSGIVVRVTSAAQSKFKLLYFEQDINGGYGLALQEDSEKAGKVTSAGMYFLHFLVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEISELKSGTHIFAVYGDNFFKPATYTIEALCAKTYEDTTCKLKDIEAQILSKRNELRQFETEYRKALARFQEVTNRYSQEKQSVEELLKQRDTIHSSFTITRAVANVGGNGSGLFSNGSSSRPPGEDFKAESPEDGSSESKKKKWFNLNLKGSDKKLG >itb12g13010.t1 pep chromosome:ASM357664v1:12:11702380:11706405:-1 gene:itb12g13010 transcript:itb12g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQTYRCYPASFIDKPQIENGDKIIMPPSALDRLASLHIDYPMLFELRNAATERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDFVRVKNVALPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVSNLPSKAPFEGQEAPGEAVPKFNPFTGSGRRLDGKPLKYDPPPASSSGLKDKKPEVSNTHDQSSSTSSTQNASRQSQGKLVFGSNTNRNRETPKQEPAKEEPQKKEEPKFQPFTGKKYSLRG >itb12g13010.t2 pep chromosome:ASM357664v1:12:11702380:11706405:-1 gene:itb12g13010 transcript:itb12g13010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQTYRCYPASFIDKPQIENGDKIIMPPSALDRLASLHIDYPMLFELRNAATERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDFVRVKNVALPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVSNLPSKAPFEGQEAPGEAVPKFNPFTGSGRRLDGKPLKYDPPPASSSGLKDKKPEVSNTHDQSSSTSSTQNASRQSQGKLVFGSNTNRNRETPKEPAKEEPQKKEEPKFQPFTGKKYSLRG >itb04g25120.t1 pep chromosome:ASM357664v1:4:29786112:29786513:1 gene:itb04g25120 transcript:itb04g25120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYACCGTLGNSFKIFYSVNDRDPVLWTSMINAFGMHGCGEETANLFLRMEEENIFPDHITFLVLLHACNHSGLVDDGKRFFEIMKSKYNLEPWPEHYTCLVDLLGRANHLEEAFRVLRTMKSEPTADEERACM >itb03g05630.t1 pep chromosome:ASM357664v1:3:3990339:3992228:1 gene:itb03g05630 transcript:itb03g05630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb03g05630.t2 pep chromosome:ASM357664v1:3:3990397:3992164:1 gene:itb03g05630 transcript:itb03g05630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIFQMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >itb05g27420.t1 pep chromosome:ASM357664v1:5:30793458:30795577:-1 gene:itb05g27420 transcript:itb05g27420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANEKALVLFICFMILQAAMAAAADLKSCKFDQIYQLGDSTSDTGNYILETSNLTAFPCAHPPYGETFFKNVTGRCSDGLLMIDYIAKAAGLPFLNPYKNKDADFRHGANFAVAGATALPDHVLAAKNIPLTRTHSSLDVQLDWMFTHFNSICLDDHDCAKKLKSALFFVGKIGPDDYSYPLLLQGRPVEEMKAMAPEVVDAIAEGVRRVIKSGGVKIVVPGSFPIGCLPVFLTDFQTHDDADYDDHNCIRKLNDVAKHHNNLVIKAIEKLKKENPNTVIVYGDYYNAFLNLIRNAKKLGFSKIELHKACCGTGGDYNFDVTRLCGTAGVPVCQQPEKFISWDEAHITQHGHQIMSDWVISDILPKLECH >itb13g16030.t1 pep chromosome:ASM357664v1:13:22940100:22946135:1 gene:itb13g16030 transcript:itb13g16030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRKRLCDWAMIRSLFAILQWWAFNVTVIITNKWIFQKLDFKFPLTVSCIHFICSAIGAYLVIKVLKVKPLIVVDPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWGKHFDWQIWASLVPIVGGILLTSITELSFNAFGFSAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAGPALLLEGPGVVEWLHTSPSLLSPFIIIFGSGLLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTFSWLIFQNPISALNAFGCGVTLIGCTFYGYVRHMLSQQLPGTPRTPRTPRTPHTPRNKMELLPLVSEKLEDKV >itb13g16030.t2 pep chromosome:ASM357664v1:13:22940139:22946077:1 gene:itb13g16030 transcript:itb13g16030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRKRLCDWAMIRSLFAILQWWAFNVTVIITNKWIFQKLDFKFPLTVSCIHFICSAIGAYLVIKVLKVKPLIVVDPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWGKHFDWQIWASLVPIVGGILLTSITELSFNAFGFSAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAGPALLLEGPGVVEWLHTSPSLLSPFIIIFGSGLLAFCLNFSIFYVIHSTTAVTFNVAGNLKNPISALNAFGCGVTLIGCTFYGYVRHMLSQQLPGTPRTPRTPRTPHTPRNKMELLPLVSEKLEDKV >itb09g28120.t1 pep chromosome:ASM357664v1:9:28717440:28720766:1 gene:itb09g28120 transcript:itb09g28120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSWFEDNVVGIYDNSKYEIKSKLGLVYSEAKCGHLLGTLKQMVTQIEKIENWMLMIKKAAADEEKNTMVCDTYQSALELENEVIVGIHNDIEKIVNRLCYSHFMRSVFTILKNNNIHKFQKYVENTVLKLQVIPLVGEGGIGKTTLAKRVYAHPTTIASFDIRAWVVLSQLPNLKEILIGLLRCISPITSEIYTIDDAQIAEKLCTSLMGKKYLVFLDDMWTTCAWDVIKGYFPENFNGSRILVTTRFTNVAEYLSADPYHVKYQSVENLWELLSRKVFRQSQWVESVDNGDMRSKLRIAKRIVFGCSGLPLEVVVIAGLLAATKESLEIWRDVGETLDRVDNDNRISKILSLSYNYLPPHLKPCFHYFGVFPEDNVIPVKRLINLWIAEGFLMPHENMSLEEVAESSYLRDLINRGLVQINELSIYGKVKSCKVHDRVHEVCVRQAIKGNGLCIINDNHVPKARHWLSCQTSHWPITRSSYGNCSPDEIHSVLWFGKDVYHSKCKLVYPCLKLLKVLDLSLVKWSRGMPREITDLVHLRYLALNTIGSLYKFQFFKLKNLLTLIVTSWMEKCPLQLRCNILDLPQLRHLHVDKRCSQYLPCLVKKNLQTLYWLKVASSDRKPNFRMVPNLKELGIYIEGQLAPSYLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLSYTYLPWNEIDTIGELPHLEVLKLKDFAFCGSMWKPSKQGFRELKALLISRSNLKHWFWLQFIRSSLLNKFFVAFTSSCTG >itb03g10420.t1 pep chromosome:ASM357664v1:3:8232074:8232430:-1 gene:itb03g10420 transcript:itb03g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKVGQRRRRNQLRRQRKLVIVCCSRTAGDRGCYRHVRRYLAAGREVEERRFMDREDTTFVATNHRSGCVGGVRHGAFRRWWLRRSSPEYDMDLLLFFIFVFGREKWWRGFGFCRK >itb13g18530.t1 pep chromosome:ASM357664v1:13:25496154:25497624:1 gene:itb13g18530 transcript:itb13g18530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCVAHEDGLNLKATELRLGLPGTEEGDDKEMVSSAKNSNNKRALPEPAEEDCESKSESDARSSPVSKAQIVGWPPLRSYRKNTVQTKKSAETGDGGMYVKVSMDGAPYLRKIDLKMYKCYPELLGVLENMFKLTIGDYSEREGYKGSEYAPAYEDKDGDLMLVGDVPWEMFLSSCKRLRIMKGQEARGLGCGV >itb13g18530.t2 pep chromosome:ASM357664v1:13:25496163:25496980:1 gene:itb13g18530 transcript:itb13g18530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCVAHEDGLNLKATELRLGLPGTEEGDDKEMVSSAKNSNNKRALPEPAEEDCESKSESDARSSPVSKAQIVGWPPLRSYRKNTVQTKKSAETGDGGMYVKVSMDGAPYLRKIDLKMYKCYPELLGVLENMFKLTIGDYSEREGYKGSEYAPAYEDKDGDLMLVGDVPWE >itb15g16330.t1 pep chromosome:ASM357664v1:15:15725565:15726158:1 gene:itb15g16330 transcript:itb15g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLYGSEAIETTSTKPNKYPLCYLKKALQFLVPLSLLILSSYNFHFSHALERKYMFLICNGILFFLAKTLTFTSSFTDLDYTPFNVQSLPPETLIGLDHDAHQLQQQEEEEEEEEKEEIFSSSGHGGALKVEADDEKGGGAGLVDALQTDDEEEEEEEDNDMNINTEELNRRVEEFIRKMKEEIRIEAKQQHLIAV >itb05g10640.t1 pep chromosome:ASM357664v1:5:16399577:16401567:1 gene:itb05g10640 transcript:itb05g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFLSSIANHRRLSSKSKTIADHHFLYSPFLPPTDSKPQPRHQRSAVATHPLQKLPSDATTEASAALPTLELPHSRPSRTDAKRHHRKWKYKPQLTPSSLERNQNDQLGLGTIEDSLVPHKIETLQGVPVKMVAAGAKHTGLKNFMHEPEYILKLKLQINQNRSARLRERFKR >itb13g00500.t1 pep chromosome:ASM357664v1:13:380715:387537:-1 gene:itb13g00500 transcript:itb13g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase HMA2 [Source:Projected from Arabidopsis thaliana (AT4G30110) UniProtKB/Swiss-Prot;Acc:Q9SZW4] MGDEMREKNNNATEKSYFDVLGICCPSEVPLIDKILKPLDGVHDVSVIVTTKTVVVVHDPLLITQHQIVRALNKASLEASIKVNGETNDHQPKMLPSPYAVICGILLLLSFLKYVYAPLKWLAVAAAVVGSFPVLRRAVAALRNLTLDINILMIISVVGSIVLHDYWEAATIVFLFTTGEWLESRASHKAMAVMSSLVNVVPQRAVLAETGEILDANEVKLDTILAVKAGEVIPIDGIVVEGECEVDEKTLTGESFPVAKQKDSTVWAGTINLNGYISIKTTALAEDCAVARMAKLVEEAQSNKSKAQRSIDKIAKYYTPAIVAISASLAISAVKAENRSERYHLALVVLVSACPCALVLSTPVAMFCALSRAAASGVLFKGAEYLEILAKVKVIAFDKTGTITRGEFTVAHFSSLLDGINHNTLLSWVSSIESKSSHPMAPAIINYAQLHSVEPNPEKVEHFQNFPGEGIYGEIDGMEIYIGNKKISARAGCTSVPEFDGDGREGKSMSYIFVGSSLAGVFSLSDACRTGVQEAIRKLKVMGIKTVMLTGDSYAAARHVQEQLGGALDEFHAELLPEEKAKIIKNFQKGARTGMIGDGLNDAPALATADVGISMGISGADLARETGHVILMTNDIGRIPKAIQLSRRVWRKVIENIVLAICTKAAIMALAIAGHPLVWAAVLADVGTCLVVILNSMLLLRGRGEAPKRSRKKCCKSSAAFHTKKHKCSRETTTTKSSSSHAPHHRPSNSCCSKSESRKTCKSRRCLAKKCGSRFGKKCAPSCSSKISCAKDHGCHPQSCQSGHNCSDNPTLHKHDDEHSKSLHMTIMPDCSTSDPCCNRISDTRIMEEEHHCEHGADEDNHCEGSAEPEIMHDCSALHECHSNHILGGSLSEIVVE >itb13g14800.t1 pep chromosome:ASM357664v1:13:21399387:21404327:1 gene:itb13g14800 transcript:itb13g14800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSAPLLFCVKRSKPQLIVPSISTPHEQKPLSDIDDQGTIRVHIPVLMFYRNCVERRGEDPAMAIKEGLAKALVFYYPLAGRIFEGENRKLIVNCNGKGILFVEAKANVKLEQLSDKSMQPPCPYLKQLLHTVPGSKGIIDCPLLLIQVTRFTCGGFALGIRFNHTMMDAQGFIQFVNAVSELVQGASVPSIIPSWERGLLTARPTPTITYEHNEFKGFELSKTTRWWDFEKLLRTTKFINVQKLASDPCVFFSKNICHSLLIKRSFTFGSRELQAIKDQCPSSTTFEALSACLWKCRTIALLPDPNSTVLLTIVVNIRERLQDSKLPLGYYGNAIVLAAAVTTAKLLCSNPISYAAKLIREAKNAVNDDYVKSTIDLMVTSGRPRGTVLRNFLITDNSRFGYDDVDFGWGKPVIGGVYGVGYGVGFLVSHKMMEDTKGKLVALALPPLIMEKFQYELRKMTRAQK >itb15g05920.t1 pep chromosome:ASM357664v1:15:3879237:3885647:1 gene:itb15g05920 transcript:itb15g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEASLSDNAHHSLRLLATLLLGGAVLGLSVLGLRLARPDGVWSSSSKKKRRPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVRDAEITANKGPPVTPLNERMIMVNGVKWVDEVIPDAPYAITEDFMKKLFNEYDIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRMLLCMRERSSGGTPRNASLQRQFSHGHGQKSEDGATGSGTLISQFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILRIARGLGDFLLVGIHTDQTVSAHRGAHRPIMNLHERSLSVLACGYVDEVIIGAPREVSKDMITTFNISLVVHGTVAEDNDFQKEEGNPYAVPISMDIFRVLESPLDITTSTIIRRIVSNHEAYQKRNEKKAESERRYYEGKTYISGD >itb15g05920.t2 pep chromosome:ASM357664v1:15:3879237:3884204:1 gene:itb15g05920 transcript:itb15g05920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEASLSDNAHHSLRLLATLLLGGAVLGLSVLGLRLARPDGVWSSSSKKKRRPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVRDAEITANKGPPVTPLNERMIMVNGVKWVDEVIPDAPYAITEDFMKKLFNEYDIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRMLLCMRERSSGGTPRNASLQRQFSHGHGQKSEDGATGSGTLISQFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILRIARGLGDFLLVGIHTDQTVSAHRGAHRPIMNLHERSLSVLACGYVDEVIIGAPREVSKDMITTFNISLVVHGTVAEDNDFQKEEGNPYAVPISMDIFRVLESPLDITTSTIIRRIVSNHEAYQKRNEKKAESERRYYEGKTYISGD >itb15g05920.t3 pep chromosome:ASM357664v1:15:3879295:3881881:1 gene:itb15g05920 transcript:itb15g05920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEASLSDNAHHSLRLLATLLLGGAVLGLSVLGLRLARPDGVWSSSSKKKRRPIRVYMDGCFDMMHYGHCNALRQARALGDQLIVGVVRDAEITANKGPPVTPLNERMIMVNGVKWVDEVIPDAPYAITEDFMKKLFNEYDIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRMLLCMRERSSGGTPRNASLQRQFSHGHGQKSEDGATGSGTLISQFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILRIARGLGDFLLVGIHTDQTVR >itb05g25990.t1 pep chromosome:ASM357664v1:5:30098267:30102592:-1 gene:itb05g25990 transcript:itb05g25990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEMSWKSQACFFLLYLLLQFPTDSLGISKGNAQIHQNNLKRSQFPDEFLFGATTSAYQIEGAYIEDGKGINNWDVFCTIKGKIQNGENGDVADDHYHRYLEDIDLMHYLGLDAYRFSISWARILPKGRFGGVNQAGIEFYNKVIDNLLSKGIKPFVTIHHHDYPQELEDRYGGWLSSEMQEDFVYFAEICFESFGDRVKYWITINEPNLFADMAYERGQYPPAHCSLPFGNCSVGNSDVEPLIAMHNMLLAHGKAAKAYREQFQAKQGGLIGITAHMFMYEAFSNDVHDQEAANRALAFNAAWTYDPLVFGDYPPEMRFYHGSELPSFTSEESTLIKDSVDFIGINHYGTLYAKDCLYSRCNCTGSSCSKGWDHAIQGFLYTTGERDGVLIGEPTGNPRFFVVPRGIEKIVDYMKERYHNKPMFILENGYSSPNKTASVLDIENDAKRIEYHKTYLPFLARAISNGADVRGYFIWSFMDSFEWTDGYETRFGLYYVEPLTLDRFPKLSATWYRDFLSNNIQTTNSTTLHSVE >itb05g25990.t2 pep chromosome:ASM357664v1:5:30098267:30102592:-1 gene:itb05g25990 transcript:itb05g25990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLGLDAYRFSISWARILPKGRFGGVNQAGIEFYNKVIDNLLSKGIKPFVTIHHHDYPQELEDRYGGWLSSEMQEDFVYFAEICFESFGDRVKYWITINEPNLFADMAYERGQYPPAHCSLPFGNCSVGNSDVEPLIAMHNMLLAHGKAAKAYREQFQAKQGGLIGITAHMFMYEAFSNDVHDQEAANRALAFNAAWTYDPLVFGDYPPEMRFYHGSELPSFTSEESTLIKDSVDFIGINHYGTLYAKDCLYSRCNCTGSSCSKGWDHAIQGFLYTTGERDGVLIGEPTGNPRFFVVPRGIEKIVDYMKERYHNKPMFILENGYSSPNKTASVLDIENDAKRIEYHKTYLPFLARAISNGADVRGYFIWSFMDSFEWTDGYETRFGLYYVEPLTLDRFPKLSATWYRDFLSNNIQTTNSTTLHSVE >itb03g02510.t1 pep chromosome:ASM357664v1:3:1425393:1426062:1 gene:itb03g02510 transcript:itb03g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKQKKAHLMEFQVNGGTIAQKVDYAYGFFEKHVPVDAILQKDEIIYIIGVTRLARKTHRVLRKVACIGVWHPARVSFTVACAGLRKTSLPWVIFRREDATNKKITDGVSLGSSASLGASASSPVSQLQAPTFSVHVWSEVVLFQWLETGTRVSSSFAEMK >itb15g11460.t1 pep chromosome:ASM357664v1:15:9261715:9262955:1 gene:itb15g11460 transcript:itb15g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATTRASFTFYLSQTQLPTPPKPKPHLSYPYSPLLPKPLSLSSISRHPKPPRSLDVSQEDKPISQQPISEQAVQEPSFSPETSQDSPTEAESLDKRRLEEKFAVLNTGIYECRSCGFKYDEAAGDPSYPIPPGLPFDRMPEDWRCPTCGAAKSFFQSKSVEIAGFAQNQQFGLGGNTLTSGQKAILIYGGLFLGFLFFLSGYFLQ >itb07g12740.t1 pep chromosome:ASM357664v1:7:14717075:14718572:1 gene:itb07g12740 transcript:itb07g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDTTNSPPSSRSVSPPSSPASPTTPLPVVMSPCAACKILRRRCAEKCVLAPYFPPNDPIKFTTAHRVFGASNIIKFLQELPENQRADAVSSMVYEANARLRDPVYGCAGAIDQLQKQVNMLQAQLAKAQAEVFNMQCQHANIMALYCEMARSSSPPPQQFSFDDFSGRNGLRSGGDGGYCDYIDEDNSLDSFWDQLNTLGMSM >itb09g24850.t3 pep chromosome:ASM357664v1:9:24710464:24715213:1 gene:itb09g24850 transcript:itb09g24850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIIAFLCFFVIETAFFRCFLLLQFVEAIISIRACPKYPDEPPCISIVDSKGLDEQRQKSLTSWISEKAQELSSCLMLVSLCEEAVERLSSMNHPDGNCPLCLYPLVDEDTGNSSLPFMKLMSCFHCFHCDCIIRWWNWLQDPKETDGANVLGSSGSPSNAEVKQEESKGNCPVCRKVFLARDIEHARHLVGMQSHSNSNGVEANKEDMILQSELEKIRKQKFEAILKIQQEKGGLIEAKQHEVLRPGVYLQRSTEPATGVDEKETSDQQHENLTGNSQIGSSEAPATSTNRNFSRRKPRGNTQRKQVRQWVKKEDTSQS >itb09g24850.t2 pep chromosome:ASM357664v1:9:24709850:24714388:1 gene:itb09g24850 transcript:itb09g24850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVLTELEAVEAVYGDDCTILDKCPPNFHLRIKPRTADITSQQFVEAIISIRACPKYPDEPPCISIVDSKGLDEQRQKSLTSWISEKAQELSSCLMLVSLCEEAVERLSSMNHPDGNCPLCLYPLVDEDTGNSSLPFMKLMSCFHCFHCDCIIRWWNWLQDPKETDGANVLGSSGSPSNAEVKQESKGNCPVCRKVFLARDIEHARHLVGMQSHSVRICKYIYLLVKSCNFATFCGFVGGTANIHNSCDGILACFCVQIETIF >itb09g24850.t1 pep chromosome:ASM357664v1:9:24709837:24715213:1 gene:itb09g24850 transcript:itb09g24850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVLTELEAVEAVYGDDCTILDKCPPNFHLRIKPRTADITSQQFVEAIISIRACPKYPDEPPCISIVDSKGLDEQRQKSLTSWISEKAQELSSCLMLVSLCEEAVERLSSMNHPDGNCPLCLYPLVDEDTGNSSLPFMKLMSCFHCFHCDCIIRWWNWLQDPKETDGANVLGSSGSPSNAEVKQEESKGNCPVCRKVFLARDIEHARHLVGMQSHSNSNGVEANKEDMILQSELEKIRKQKFEAILKIQQEKGGLIEAKQHEVLRPGVYLQRSTEPATGVDEKETSDQQHENLTGNSQIGSSEAPATSTNRNFSRRKPRGNTQRKQVRQWVKKEDTSQS >itb14g09080.t1 pep chromosome:ASM357664v1:14:9331446:9332085:-1 gene:itb14g09080 transcript:itb14g09080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSGGEAQRRGNVPLSGLHPPSRLSSSNVFLFLAACCSGDRHSLFVNESQQQRSGGLLSLPPPIVASRVAWCGFPCFLQRVELPAVPLPPFSPVELSHRRQQLAKVGWRRADAAMNLYFSPSQSAATTAVQNGGNGGLPLPRRGSFSVLLPLPSSASVDDDGKAWLWTVMVIHWQRLHGRKRGWLRMD >itb03g20310.t1 pep chromosome:ASM357664v1:3:18249490:18253790:1 gene:itb03g20310 transcript:itb03g20310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEEPAREDPNDRFPLLMEQEESCQSNDHVIDIRDVNPSFSASPDNESPSGLNASQQEDRPSGSQSPPPSNGSISRSLSVTRRGERMGPRWSPFNTMLWISVELVFTLGQIIASVVVLSLSRHENPQTPLFAWIVGYAAACAASLPLLYWRYLHRNNVINQRSTPLRQGSSRVNSTSDPNSYITVSLTRSSEDQDGQNVPNASPGIRNRQNTDARIASFLEHFKMALDCFFAVWFVVGNVWIFGGQSSSADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVLGVHEDMNGMRGASEESINALPTYKFKSKRNGSGISGDNETEVEEGGFLAAGTEKERVISGEDAVCCICLSKYEDNDELRELPCSHFFHAQCVDKWLKINASCPLCKFEIEVENENSSSPAEGSQQV >itb12g09460.t1 pep chromosome:ASM357664v1:12:7424190:7426142:1 gene:itb12g09460 transcript:itb12g09460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWSNLMKHYGMKFDGEGKSRTVQQLDCAMQQMIRKLNSDEFNDEFGSVEPKGKSERNSNEKRESDIGEESSAHTRSSNSSSEYSEMIKIRCGNLDILVSPGVLDDLKERSKKGEGDMEVLLSKYMMEEIQKAIKTKTEAMVDSCRLEAEFAKEVNNKMKNLTKLTKEAEQSDQEDPKKEKENVETTSVVPEIMKVQPVEREVNTGVLKPIEERDYVVPQPENKMENQKVNQKPANIKNESAKTNGTTGVRENVNKGPGMEKGNNMNIFNNLGKGGGPVKGFGEGQRNTQSYASLLASGNSNGRVEGTAFAAIVQPEIKEKNPEVHRGLPAIRFEECGIKQLNAIEDHLLIGKFSWGRPNLEDIRRYFTANFILKGSITIGWIDPRHIALAFTNEVDCLNILMKEQILFEGKYPMRIFRWTLDFSTEKESSLAPVWIKLPMLRANLFNLAALKQICKPIGKFLAPDYVTLHFTRPSFAKVKVEIDLLKPLVREVFIGFSIEKGLEDVGYIQKIEYERVQKQNNRQGREDDGQLPRTNGGVDKTQKQNSKLEGENVQKGKGVMNEGLPETSRQAEMRGMQEEIKIREN >itb12g09460.t2 pep chromosome:ASM357664v1:12:7424190:7425448:1 gene:itb12g09460 transcript:itb12g09460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWSNLMKHYGMKFDGEGKSRTVQQLDCAMQQMIRKLNSDEFNDEFGSVEPKGKSERNSNEKRESDIGEESSAHTRSSNSSSEYSEMIKIRCGNLDILVSPGVLDDLKERSKKGEGDMEVLLSKYMMEEIQKAIKTKTEAMVDSCRLEAEFAKEVNNKMKNLTKLTKEAEQSDQEDPKKEKENVETTSVVPEIMKVQPVEREVNTGVLKPIEERDYVVPQPENKMENQKVNQKPANIKNESAKTNGTTGVRENVNKGPGMEKGNNMNIFNNLGKGGGPVKGFGEGQRNTQSYASLLASGNSNGRVEGTAFAAIVQPEIKEKNPEVHRGLPAIRFEECGIKQLNAIEDHLLIGKFSWGRPNLEDIRREVSYEDF >itb04g10970.t1 pep chromosome:ASM357664v1:4:10512004:10514176:1 gene:itb04g10970 transcript:itb04g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFLNPRRACHVRRLLRNCKITLFCLVLTVVVLRGTIGAGKFGTPEQDLKEIRDTLTYYRKLTEPRRVLEESRRATVSSGYDDSGGAKTYNGFDITKIMVDEDDGEPEIKRDPTQPYSLGPKITDWDRQRGLWLRRNRDFPNFHRRNKPRVMLVTGSSPKPCENPIGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLMLSHPEVEFFWWMDSDAMFTDMAFELPWERYKEYNLVMHGWDHMVYGDRNWVGLNTGSFLLRNSQWSLDILDELAPMGPKGKIREEAGKILTKELKGRPVFEADDQSAMVYLLASQKERWGAKVYLESAYFLHGYWGILVDKYEEMMETYHPGFGDHRWPLVTHFVGCKPCLKFGDYPVERCLKQMDRAFNFGDNQILQMYGFMHKSLASKRVKRIRNETSNPLDTRDEYGLLHPPFKV >itb06g17520.t2 pep chromosome:ASM357664v1:6:21354683:21357188:-1 gene:itb06g17520 transcript:itb06g17520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPSYGHGREHLGPRYGSLIHGQNLKDVVITGHNGTIDGQGQGWWKKYRQRLLNYTRGPLVQIMWSSDILISNVTLRDSPFWTLHPFDCKNITIRDVTVLAPIFDAPNTDGIDPDSCEDVLIENCYISVGDDAIAIKSGWDQYGIAYGRPSKNILIRNLVVRSMVSAGISIGSEMSGGVANVTVENLHVWSSRRAIRIKTAAGRGGYVQQVTFRNVTLENVRVGIVIKTDYNEHPDKDFDPKAVPVLKDITYMSIHGEGVRVPVRIHGSEDIPVRNVTFQDMSIGITYKKKHIFQCSYVQGRVIGTVFPAPCEHLDLYDERRELIKRSDVHNLSDIDYDL >itb06g17520.t1 pep chromosome:ASM357664v1:6:21354683:21358604:-1 gene:itb06g17520 transcript:itb06g17520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQTRNHRCIPTFFSTHKTLLTVLWIAVFCSVFLWQRDCADGLLSARRGFTARELPRLRPLAFNLTEFGGVGDGVTVNTAAFERGVMAISKLGKKGGGQLNVPPGLWLTAPFNLTSHMTLFLAEGAVILGIDDEKYWPLMPPLPSYGHGREHLGPRYGSLIHGQNLKDVVITGHNGTIDGQGQGWWKKYRQRLLNYTRGPLVQIMWSSDILISNVTLRDSPFWTLHPFDCKNITIRDVTVLAPIFDAPNTDGIDPDSCEDVLIENCYISVGDDAIAIKSGWDQYGIAYGRPSKNILIRNLVVRSMVSAGISIGSEMSGGVANVTVENLHVWSSRRAIRIKTAAGRGGYVQQVTFRNVTLENVRVGIVIKTDYNEHPDKDFDPKAVPVLKDITYMSIHGEGVRVPVRIHGSEDIPVRNVTFQDMSIGITYKKKHIFQCSYVQGRVIGTVFPAPCEHLDLYDERRELIKRSDVHNLSDIDYDL >itb15g19690.t1 pep chromosome:ASM357664v1:15:22173989:22178114:1 gene:itb15g19690 transcript:itb15g19690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MRSAAVVHRPVLGSTAAISPSLPRSSKFRAKKLPAAKFGGKSIRAHSASASASSSPSTASLTSNENNHCRGSYMLGRRALLYMATLSFLPCNDFDKSVCASAADETFLVREEIGKVLSKVKAPGVLRLVFHDAGTFEMEKKTGGMNGSIVYELDRPENKGLKKSVKILEKAKSQLDGVQPVSWADIIAVAGAEAVSLCGGPKIPIQLGRIDSLVPDPEGKLPEESLDASAMKQCFQRKGFSTQELVALSGAHTIGGKGFGNPTVFDNSYFKILIEKPWLSSDGMSSMIGLPSDRALVEDDECVRWITKYANDQNLFFEDFKNVYLKLVNSGATWRGTV >itb15g13670.t1 pep chromosome:ASM357664v1:15:11678724:11681997:-1 gene:itb15g13670 transcript:itb15g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLAEGDDDEGCESSAPAASKRRNHFATLINNELALKLEPNLRAWVREAVEKAFHSSFRCPVNQIGAPSQSRAYQLHFDGELGSTIFTASKVEPVRIFLYDVYSKTRITSGPLASIKLCVVVVDGEFTRDGREDWTEVEFSNNIVNAREGRRPLLAGDLVIQLRNGVGYLGDINFTDNSSWIRSRKFRLGVRVVSNSGSVVREGLSKAFAVKDHRGELYKKHYPPFLNDEVWRLEKIAKDGAYHTSLAEIGISSVKGFLRMYATSPSKLREILGCGSSNKSWDKIIQHATTCVVNDNEWYMYRVSESVALVFNSIWSVVGAILDGQNCLPVDKLDRSQMQMVERFKQQAYRNQDNIVPLEDHSIIDNQSMVFFNHHQIGLSNSLSLSQEAFVFPAEQDQLEMQMNSIPGSELQHLDQYGDPMPESSHRMQVFNPNLGNSLALHNFTCLEDDLASGGSLDPLDNLNAGNNSDHYQFGPSSWAANGLHFNSNLEPVYPDFFFHMPSSTGNPKARWCKIRAVIKWKMVAAKKWAALFV >itb14g17270.t1 pep chromosome:ASM357664v1:14:20541241:20544927:1 gene:itb14g17270 transcript:itb14g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCYTSASNMNSPASLRHTVTIPGLQSLKPSKRRLLSNVKLPSRLSNRSCSEFLTVNSILRREFSTRNLRLTAIKASSNDARSVAGGSFRDRGGVREPSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSASHGWRQSFAGVVQSSFLWGYLISPIAGGTLVDYFGGKVVMAWGVTLWSLATFLTPWAMEVSLWALLSMRMLLGIAEGVALPCMNNMIARWFPQTERSRAVGMAMAGFQLGSAIGLTLSPILMSQGGLFGPFVIFGLSGFLWVLVWVSATSSTPERSRQISLNELRYIQNKGLSHSAVDSKMKTAKVIPPFRRLLSKLPTWSLIVANSMHSWGFFVILSWMPIYFKTIYRVDLRHAAWFSAVPWSMMALMGYIAGVLSDRMIESGTSVTLTRKVMQSIGFFGPGIALIGLTMARTPMIASAWLTLAVGLKAFSHAGFLVNLQEIAPQYSGVLHGISNTAGTLAAIIGTVGAGFFVDLVGSFQGFLLLTAFLYFCAALFYNIFSTGERVNFDETT >itb05g11010.t1 pep chromosome:ASM357664v1:5:16924278:16931521:1 gene:itb05g11010 transcript:itb05g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVGLSGLSSLDRVKSLTASRSGVAQSFSIPARVSSDSVSAGSFVNLKLTAEKLVKEQASAKTDLQLAYSKLKKLTEDIGVLEEKLQNAYNENAKLKVKQKEDEKLWNGLDSKFSSTKTLCDQLTETLQHLAGQVQEAEKNKAFFEDKLSASSVALDNLHDQMKALSLRVESLEETIRNCGKELKELGVEKEKMEEYFRDEQNKATSIIAEKDATIQKLEATAKSDGLEMETLKSKLEELNLESRQKGDELINLSNISQNLEKEKCELISSNKDVADRLEMALQEVKNLHDFVNFLAMKLTELDNQSRTFSEKVIHLNALFDNWFKMVHEEKELAGQHAGNKFDQLHNQYTCIVSERNSLQLSNQDLNNKIIAIQKDQECAMVQHAEECHLTEEKIRNLESEIESLCSKKMKLEVLVTNLRGDIETLSENSKLSDKNMQEVLSKLAQLEIENKDFTDKQQLDLMKKDDEINHLQKEIEKYEERLGSLDNQISQITNALEEKDRHLLELKNREKELGDQKAEIQESLIDTESRLTEAKKQYELMLESKQLELSRHLKDISQKNDQAINEIRQKYEMEKVESINLEKEKADKLVGEMKKKCEQQLVECKEESRQYLLRVREEHAVLVSCIQQEHDKREMNLLSCHKDEIKRIQLHAENELREKIISLRNEHDGQLSTLKCKHEDECRRLQEELDIQKSKEEKQRALLQMQWKVMGNNLGEDQEVTSRKNYSVSSAKKKHSENGKWDHHAVDQEEVQEKDSTYLRANGTPVSNLLRKVDKVNTGSVMSLPKSRKVTHHEYEVETKNDGTVTKRRRTKSTVMFGAHNQEMRKPKKKETPKANTPRHALKGTKETVRTKPANIGDLFSEGSLNPYTDDPYAFD >itb06g07670.t2 pep chromosome:ASM357664v1:6:11372022:11377000:-1 gene:itb06g07670 transcript:itb06g07670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSGGRSGDKGLGADDEGSTAPLPERVQVGGSPAYRIDKKLGKGGFGQVYVGRRTNPPIPTERTGPGAIEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGIPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKLHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATRWRDTSTGAHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEDDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGNEDGLFISSVASSSNLWALIMDAGTGFTAQVYELSHHFLHKEWIMEQWERNFYISAIAGVNNGSSVVVMSKGTQYLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDAGYRITSTAATWDQAAFVLSVPRRKPSDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >itb06g07670.t1 pep chromosome:ASM357664v1:6:11372022:11377000:-1 gene:itb06g07670 transcript:itb06g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFDSGGRSGDKGLGADDEGSTAPLPERVQVGGSPAYRIDKKLGKGGFGQVYVGRRTNPPIPTERTGPGAIEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGIPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKLHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATRWRDTSTGAHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLTMEEDDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGNEDGLFISSVASSSNLWALIMDAGTGFTAQVYELSHHFLHKEWIMEQWERNFYISAIAGVNNGSSVVVMSKGTQYLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDAGYRITSTAATWDQAAFVLSVPRRKPSDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >itb15g04500.t1 pep chromosome:ASM357664v1:15:2843344:2845074:-1 gene:itb15g04500 transcript:itb15g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRFPSLFLPLILLVMLRITRQWLTTRRKKSLPIPPGPKPWPLVGSFPEIFRGKPAFRWIHNFMDEMDTEIACFRLGGTNVIPVTSPELAREFLKKQDSVFSSRPVCMSADLISNGYLSSIFLPTGDQWAKMRRVLHSHVLSPATLHWLHDKRVEEANNLVKYIYHHCNISGTGDKHVIVNVRTVTRQYCGNVISKMVLNKRFFGNGMEEQEEELLKSLFALLQTLYGLGISDYIPWLSIFDIGGHKSTIKKALSVMRKHLDSEVDKRVQMWKDGTKTVEEDILDVLVMLKDNVGRPLLSDAEIKTQVLEMMLATTDNPSNAVEWALAEMINQPKLLEKAVKEIDSVVGRERLVEESDLPNLNYVKACIKEAFRLHPVAPFNIPHVAVADTAVGGYSIPNGSQVLLSRVGLGRNARAWEEPLMFKPERHLNEDGGEVNLNDSELKMLVFSTGRRGCPAVKLGSLMTTMLMARLLQGFTWDVPPTLPCIRLTESKHDLFLETPLFALVEPRLPENLYL >itb05g00210.t1 pep chromosome:ASM357664v1:5:169147:170564:-1 gene:itb05g00210 transcript:itb05g00210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFPLPNPTDMSSCRSRSDHPIILLSGPPSSGKTSLLFQYALNSALEFNGAVVFICSRHKLDTKPPFLSQGIDPSSDIFQRIHIKYIDDDEGIKRYFAAFHIHHVNPVSVIIDDFADFFDERNCQQRYNNARGRDLAMVRTLALCRNAVDSAKESRPCQLLLSDTHQGDGFGSFLLTKSNYFGVGKSDGITTAKYSIALQYLVLEGISEGGEQ >itb05g00210.t2 pep chromosome:ASM357664v1:5:169147:170564:-1 gene:itb05g00210 transcript:itb05g00210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVERFFPLPNPTDMSSCRSRSDHPIILLSGPPSSGKTSLLFQYALNSALEFNGAVVFICSRHKLDTKPPFLSQGIDPSSDIFQRIHIKYIDDDEGIKRYFAAFHIHHVNPVSVIIDDFADFFDERNCQQRYNNARGRDLAMVRTLALCRNAVDSAKESRPCQLLLSDTHQGDSPRWLYIYKRWVSSIYTIRGDGFGSFLLTKSNYFGVGKSDGITTAKYSIALQYLVLEGISEGGEQ >itb04g21970.t1 pep chromosome:ASM357664v1:4:27102626:27111598:1 gene:itb04g21970 transcript:itb04g21970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARIAAGLIRENICRKLKSNSFLPRNDYCTSSAPNLPPRVSHSSKKGRLLTGATIGLLIAGGAYASTVDEETFCGWLFSATKLVNPFFALLDPEVAHRLAVSAASRGWVPREKRPDPTILGLEVWGRRFSNPLGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPIPQDGNPKPRIFRLREEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLETSSTSSPAGDEVKQGGKAGPGILGVNLGKNKTTEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRQLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSMQDLEDIAAVALALRVDGLIISNTTIQRPETVSKNPVAQESGGLSGKPLYELSTNILKEMYILTKGRIPLIGCGGISSGEDAYKKIRAGATLVQLYTALAYGGPALIPKIKAELTQCLERDGYKTIHEAVGADCR >itb03g00240.t1 pep chromosome:ASM357664v1:3:124729:125649:1 gene:itb03g00240 transcript:itb03g00240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGNGRGFPRANRDATILNRIMLKFRPIAPKPVAAGSASASAQEDNKTDDVTKRRSKRKYVRVKKKVSRCYSSRNNNNNPGEEEEERRNPSRVDTSLLTLQLLPESSGSSTSTAVDSPKKGSFEKMLNFSAAQERPLWMNNNNFDNHRNNDIAAVLWADQRMGLRVVESWVMVEGLSKIWVDEDGGRGGGIGDTDMEKMKNLEVDTCPGLISEGLDRVQWVNLAYRRMVDPLHGGGGPPAEVVARLVVKDKIRTALPAAFACTVRVMYTRHTGKHTQTMPCDVWKMEFGGFAWRFDAQAALCLGR >itb11g05880.t1 pep chromosome:ASM357664v1:11:3495284:3497877:-1 gene:itb11g05880 transcript:itb11g05880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIKLNNPANISAPLPIDLWAQIFARLPAKSILRCATVSRSWYSLIKTPFFISKHLNFNSQMETRSSCFFVRSCINPREEIYEFCDDDRYLTKFVEFRAPFKSRNPFFKIIGCCNGLIFLSDDFSKSYHDLILWNPFIGRSVCLPDPNFVHFKRSFGFGFDPVRNDYKVVNIVDVGLEIAPPKVEIFRLSTRVWEDISDNALAFSIRGRSSQACLNEAVHWVGQGMLDGEVRNFIVRFDMSKERFGMMELPESVATLPFICVAADVYMGSLAVVALEDNSRYSIWTMKEESWMKQVSFIFPESAGRPFGFRGNGDVQVMTTYGQWHVYDPKGPPHYKYLGVCEFKEPNLIYSFHASPFVESLAFLDAGADFDDAATVQNLSFRRSRGSTADNDNK >itb07g18350.t2 pep chromosome:ASM357664v1:7:22785094:22788082:1 gene:itb07g18350 transcript:itb07g18350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARARRRTALLSSHVCPTPPVSETKCFSPLSVATCSSSSDAGDKQQGDCVFCKIVRGEAPALKVYEDDVCLCILDTNPLSWGHSLIIPKCHFPTLEATPPSVVAEMCSKVPLISNAVMKATESDSFNLLVNNGAAAGQVVFHVRAYDL >itb07g18350.t1 pep chromosome:ASM357664v1:7:22785094:22788082:1 gene:itb07g18350 transcript:itb07g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MEARARRRTALLSSHVCPTPPVSETKCFSPLSVATCSSSSDAGDKQQGDCVFCKIVRGEAPALKVYEDDVCLCILDTNPLSWGHSLIIPKCHFPTLEATPPSVVAEMCSKVPLISNAVMKATESDSFNLLVNNGAAAGQVVFHTHIHIIPRKASDCLWASESLRRRKLKLDHEALQLAYSIREKLPFFDNLEDSKGQGSSSSLTHS >itb15g01280.t1 pep chromosome:ASM357664v1:15:789119:789454:-1 gene:itb15g01280 transcript:itb15g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKACNDGECADVDILAAFDAAIADGVDILSVSLGGSPSNYNEDPVAIGSFHAMQKGILTSCSAGNEGERGVGPTVTNVAPWIFTVAAASTDRHLETDVELGDGRKFPLSS >itb10g10410.t1 pep chromosome:ASM357664v1:10:15029392:15029691:1 gene:itb10g10410 transcript:itb10g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDFLDKPWQEDKGYVQKVEYERVPAYCGICFKQGHSAEHCRQKIFVGNYNVNNPLAGGETMGGIPGGLEPVRGRNEVRNVIEGSKKERSNSREKRAK >itb03g02250.t1 pep chromosome:ASM357664v1:3:1230300:1234030:1 gene:itb03g02250 transcript:itb03g02250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFSSTSNMLKTLERYQKCSYGTLEVNRPNKDNEQSSYKEYLKLKGKYESLQRYQRHLLGEDLGPLNIDEIEHLEHQLDTSLKHIRSTRTQMMLDQLSDLQTKEKLWLEANKTLESKLEEFYVDNNLQQPWGPGEQSGAYSQQHADQTHGFFQPLECDSTLHIGFNPVATSSQITAATNAQNVNAVLPGWML >itb06g17880.t1 pep chromosome:ASM357664v1:6:21633598:21644951:1 gene:itb06g17880 transcript:itb06g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADDKHRGGESEFPQFGGTVSVEAKLKELLRNLTSVELQLCLEASKDITKLLKSESGPEFLRLYLSNSPKCIELSQVWESRKGNFGFSNVLNLIAAILSHPYGKLENNAELDKFARWIVVEKMGDLYRELNSKDGKRQNAMLSLLSAIVRRSSWLAWEVAKDFDFKIPIFGKLAEWKARKVEGRKKHRSTRKAFVKFAMSFLEVGSARLLRGVLQQKDMYSGVLRGLGNDDDDIVIYVLSTLRDRVLVRESLVPPGLRSVLFGSVTLEQLVNISGREDGGEAAELAHSVLLMVCTDPSNGLMPDPDRLPSPLRGNPTRLLGVMKKLRATEIEYHKNILLAIVKGKPSLGAAYLDEFPYNTEDPASPNWFATILLAANVISSVCDGYAFGFVDILKELKGLESPDVQNILKCIGPRPFTRLIINKGLLHSDPLVKHGTLRLVLESLRFLDSLIDALNCISSSNNQMMHLRASLKLDVVNEVRILLPDPQVLFSLLSSLNGYYRILESCAKRAADSEIPFEDNMSSRKKLKTEKLEEDTDIIVSGFSNTHGDVDTQGDSEAVLDEDDPVQSKNETHFLKLIRELWSENLCSFKDSVEDAETHFYTKLLDVLKLYYRTMPNMLEGSFDFFKVLSSNPLALPIILQQSLLSLLIEHIGWSSTSESAFRAQSQLYKNLLPLLNLLIYSSDKSVKDQAYILAKAAILSTGAFDNNPREVCTWFLFIPGYSEGSALAGDVKREMFRKLSSVVLSFLCDAVSTAAHISANFSCFSICIMEKCLRLLCAESGSFSLPEKSMISLYVSNTFKYILQTQVDARMLASLIDTLLSERLKEYYNMADDSSGFCEWRPLMKLFQFSRSILENQTCSSSSTIEEVGHHESSFSSVLREVRSVCKTESDGSLVAVMIGFSFSMICTKRADLVQNFPLIVSVSNKMLGVPFSLLMSIFFLEPSLLIDASKIWPEMFFTGLESAVSGLQEGRTEEFGDIDSMEFASAAFSLFLKEAPLCMLFPITLSIYKSDLSTQQGLQNLLLANLSERTPDHIVSSFLYVLFWLNQAHISYRVEPLEELEMLSELCFNIVDRMLRQLLNTKGDASSKCTRSLLPTNCVQELIETIFYHPVVAASLECPLPCNTDFTDTFIGDSMDKVLESNKWRVIHKMDLHVINLLRTTFELLYFCDGQTTSFEACHARQVTKAFKNVVQKLFLTFKDRTDKCIESKDLTPLLPVIYAIHTLKRFIFPFELLELVHWMFSRIDLEDNSFQQSLRDSVFRVGLHIAGSVFDSLSACMWQPHSERPLSDLFWGMLEEQFDIVLLEKILLQVYEIATHLHLDVADLCLLKAFKVVKTHKVMQQSNPTLVMAISRLMVNIPVNLLSYCMFQINKRKAELLFLVTEMSPLHLSIFGHLLSGIIDKQVHLQTNAIRETSNPSDPELLMLLPTVFLYLDSVLIKAGSQVKYFEKIVSFYWRILLHIFSDWKCYVTRDMFDIESFDNLPLSIEEYMDIFSCSLLSRSVLMMQLCSALTGYLVKFETQMELFDSVCPQKSTCVDFLDFDPSQVGTCSLEQSLNFVNRTVAKINFCRTLLFPEHNKFSSGLKEDKMETLAELHSTLDVSRIQLLKMLVSSWRKIVEKFPMTADNSCQMEVENCSVFRFLEVLILRNIVELSKEMHGCLLKLDSLPFIVKLAKTTFWHRFDDPTTLQKLRDIISSLSEGKFSCVKIIQLMVAHSQFETAICSSNLSTGNSQLGLTFTPLPSLMRSFVIPRINHNSICRKDNLQMSKQHLKQLELIKLLRVLFQVKARQVDIEPAQDIGINLRDLVFLLLSSYNATLCDIDFEIHNLVNEIKSISDSNTLSIAEWDYLWGNAVVQARKERELVQTVSCNLSDDEVAEECRKIQFRENLPIDPKMCASTVLYFPYGRPNGPGVVNKVQKDTFDSMHEDCSAGVANRHIYDPIFILHLSFHCLSMGYIEPVEFANLGLLAVSLASISSPDGNTRKLGYGVLEKFKNALEKCQKKKKDVMRLQLLLSYLQNGIEEDWQKIPSVTAIFIAEASFVLLDPSHDHYATITKHLMQSPSVNLKSIPLFQNLLWSGSVSFRTERLWILRILYSGLNTDDDVHIYIRNSIFETLLSFYVSPLADNESKELIIQTVKKSAKFPRMARHLIENCGLISWLSSVVTSFCGIKYDDWKGFPFAQFAVVLEVVNEIIFFRHTVEWLQKYALEQLSELSCHLCQILVEGAQMLKEHSTFTKLILQILTLTWKISQKRKVYQPHFTLSVEGLFHLCKAIDVCCNGCYSPIAKTGLEAVLMSTPPVAILQMDCKKVSKFINWAMSIALQSETKGVLQVEKYCFPLNVSSEEETENSLISKLLRWLTASVILGKISYKLSKLDSSHLSDRSKLINLHCLLEWNEESDRENSKEFACQEILAASIFYLQQLLGTSFKLLPSVVSALCLLLLKNPPAGSEALIGNAAALCSKIRCPAEANSAWRWSFYQPWKDHSSKLTDSEKIEEIHACQMLLLIVSKMLARNSLYSKLISLKDVEKLGVFEWERSILNLNHV >itb13g21430.t1 pep chromosome:ASM357664v1:13:28015401:28027801:1 gene:itb13g21430 transcript:itb13g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRGESYGDHMESQHHYRPSRGGPHSSMRSSSDAPVSHHQHRSPSNYRGSGRGGERRAFDSPPRFPPATGGAGVGGFRPAGGGGDGGGGGGYNSGYQMPPVSGQKRAYPFSGRGGSSPEHFDGGSFAKLFVGSVPRTVTEEDIRPLFEEHGRVLEVALIKDKRTGQPQGCCFIKYAAPEDADRAIRALHNNFTLQGGTGPIQVRYADGERERLGTVEYKLFVGSLNKQASVKEVEEIFSPYGRVEDVYLMRDEMKQSRGCGFVKYSNREMALAAINALSGKFTMRGCDQPLTVRFADPKRPRAGESRGPSFGGPGSGPHFQAPGIRPPPTLSEPVHDQIAPNAWRPMAAPNPGPISNASIHGFGNQFPLRSNDVQVSSALGGSSDNSFPVSSTSQQGGHGGSGDGSYLGLSVSSSMSQQSLNQPLVQNHSVGPQISPLQKPLPSPQHLPSSLQLQQPVSTPYSQTQNSPALLRQLHQVQMPQSAGQSPVSQAMTSQQPGLHGEFAMTQPQVQQTVPSGLANQQLPAQQSLQHLHQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQMMQPSNQNLTTQQGSQAAKQSSWPGTMQPAPAITSFQSPPTSAAPAAPATSHTVATLKCDWTEHTAPDGYKYYHNSVTGESKWEKPDELTLYEQQQQQQKQTHQTKPSIQQPQMQSYPQVISPQQAPQMQGQYQNPLQIQFRPPQQLQQTSQHSSYQVPGATGQRSNQEHSYSQLPHVAGSVNDPSRFQQGFQAGQEWMWKNKPAGT >itb13g12320.t1 pep chromosome:ASM357664v1:13:18459367:18459717:-1 gene:itb13g12320 transcript:itb13g12320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPNDLLHGSAEKVKCILRLLPIWLYTIIYSMVFTQMASLFVEQGDAVGNKGGKLQNPNRKYVKLQHLQRGRVHLPVQKTTRSTCRQDNEEQPGRLKMKMRIQEICPTLKVSGN >itb03g04900.t1 pep chromosome:ASM357664v1:3:3237435:3244704:1 gene:itb03g04900 transcript:itb03g04900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGRWWWLAVVMLVVSVSEPAFSDPQTNQIGNLACSTYNVTGAPDYYIRELNLSFVDLRNQLSSANKRFATTTQRAVYAMVQCRKYLSTADCVACFDAAVLVTRNCSITANSAIVIFDGCFLRYQDSYFYDQITDRITAGTYRVCGKRTASKQDIFNATAQQLLNELLLATPRINGFYAAAKLQEEPPSGGATTYAVAQCAETVSQSSCQDCLSLAYNDIKVCLSYSADGRAVDAGCFLRYSDTPFFADNQTSDIARFLGRGSRSGKKKPIVLAGVVGTVGIILVIGALFLLYRKSRNPDAWRRGDILGAKNYIYKDLKVATNNFSEDNILGKGGFGDVYKGTLQNGYVVAVKKLTTISSRVKENFETEVSLITNVNHPNLIRLLGYSSNGKLLILVYEYMANASLDRYIYGEKRGMLNWKQRIDIILGTARGLAYLHEQYDVCIIHRDIKSSNILLDDEFQPKIADFGLARLLPENKSHLTTKFAGTLGYTAPEYAIHGHLSEKADIYSFGIVILEIISGRRSSDLQVEPVTGYLLEQAWKLYENDEHLGLVDNSLDLNEYELEEVKRTLEIALVCTQSPSKIRPSMSEVVVMLSSTDASIIQKPQNRPTTITDLDKRIPTTTSSSTLTNATISFSRFSGR >itb04g00480.t1 pep chromosome:ASM357664v1:4:281667:282875:-1 gene:itb04g00480 transcript:itb04g00480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCLSPSLSIVCTRSINTLPRLSSLLCFSELFSGKKIYRENVDLFRLLASSEFRVPRSFFSSLSLFSLKSSEQLLSLI >itb12g15120.t1 pep chromosome:ASM357664v1:12:15547495:15548202:1 gene:itb12g15120 transcript:itb12g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00470) UniProtKB/Swiss-Prot;Acc:P09468] MTLKLCVLTPNRIVWDSEVKEIILSTNSGQIGILPNHAPIATAVDIGILRIRLNDQWVTMALMGGFARIGNNEITVLVNDAEKGSDIDSLEAQQTLEIAEANFRKAEGKRQTIEANLALRRARTRVEAINAIS >itb15g22950.t1 pep chromosome:ASM357664v1:15:25715386:25718198:1 gene:itb15g22950 transcript:itb15g22950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRFEDSVAGIYDESEIKSKLGLVYSEAKCRHLCGILEIMVTEIKKFEIQILMIKKETALDPDEEKNITVWDTYQSALETENEVIVGFDRDIERIVDRLCYSHLMRSVFTILRNSNIHKFWKYVENPVLKLQVIPLVGEGGIGKTTLAKRVYGHPTTIASFHIRAWVVLSQVPNFKEILIDLLRCISPITSEIYTIDYAQIAEQLRTSLMGKKYLIFLDDIWTTTAWDAIKGYFPENFNGSRILVTTRFTKVAKYLSADPYHVKYQSLENIWELFSRKVFRQTQGVSSMEYEEMLSKLCIAERIVCGCSGLPLEVVVIAGLLATAKGSLVIWSDVAETIDRLDRYDNDNRISEILSLSYNYLPSHLKPCFRYFGVFPEDNVIPVKRLINLWAAEEFLMPHDNMSLEEVAESYLDDLINRSLVQINEISIDGNVKSCQVHDRVHEVCVKEAIKGNALCIINDNHAPKASRWLSCQTSYWPITQASYGNCTLDKIHSVLCFSKDVYHSKCRLVYPCLKLLRVLDLSFVKWSRGITSEITYLVQLRYLALSTIGSLYELQFLELKNLLTLIVTSWMEKRPLQLPCDILSLPQLRHLHIDKRCSQYLPCLVKNNLQTLYWLKVASSDEKPNFGMVPNLMELGIYIEGHLAPSHLGSLVHLHLLEKLKFEVGRVERFCLPTGFPPNLKKLTLRYTYLLWKEMDTIGKLPHLEVLKLKDFAFCGPTWEPLEQGFRELKALLISHSNLEHWNASSNHFPVLERLVLRYCWELKQIPINFAKIGTLNLIVLECCYSSLVTSAMHISSAKSGTLKGKADCPLRIRKLVSSWLKFIVE >itb07g02290.t1 pep chromosome:ASM357664v1:7:1446765:1452339:1 gene:itb07g02290 transcript:itb07g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEIRSKEIVRPSSPTPQSLKSYKFSFLDQLALNVRIPFVFFYDSSSGAYSHDHINTIDELKKSLSKTLSLMYPLAGRVKEDKVTIECNDEGVEFIVADVVEIMSCLLENPEMEKIRQLSPSAKVYEPQPVGKVLLAVQVNRFSCGGIAIGFFVSHAILDGSTLAKFLEIWASFNRGLFNNGGIGSGFISNHSTILFPPLTDTSALERSVKMAAEAIQQEEKHMVVKRFVIPANAIAQLREELICKENNKGLRWPSRTEAITALVTFLYRIAMKVEVRSKEMIRPSSSPTRESRLKNYKLSLVDQTALNFRVPFVLFYNSPTSSGPNSNPTIDELKKSLSKALSLMYPLAGRMKEDKVTIECNDEGVEFIVADVAENLSRLLENPKMEAFRELIPRTAAYEPKPEGKVLLMIQVNRFRCGGMAMAAFVSHAIADALTVATLFKTWATINRGCEVNGINEFVFEHSRIFPPLTDTSGIERLARNAAEKSAAQPPEKYTVRMFVFPANAISKLREKLTVKDTKTGAVLRPSRTEALTALFEK >itb04g27070.t1 pep chromosome:ASM357664v1:4:31193391:31194900:-1 gene:itb04g27070 transcript:itb04g27070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESESYPYYSSPQPGRGGGASTPSSSSTTSVHVTALDGLVNVNSLFTVAVFVGLSLATPGQKSLEERSNCDAGIDVVKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDADEAFRAHVNAKVLRLGMVGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGSKSAVHTVAVLVILVTSALLVYISTVIYAFLH >itb02g07240.t1 pep chromosome:ASM357664v1:2:4485026:4487252:-1 gene:itb02g07240 transcript:itb02g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MEFDLENPLPICHPSDTTVYLFNVESDHMPAATYHHTLVSAADFHFSVRRETISLILHLSRRYDAVTCYLAVNYVDRFLSFHPLPEGKPWILKLVAVSCVILALKMRKTEFSVSDIQQDIGVIFDLETIERMEMLILGTLKWRMRSVTPFCFLNFFIAFFKFKEPPLRQALKARATEIIFKSQTEINLLKFRPSIISASALLSACDELFPLQFHCYRNAILNSPYVNTENLVSCYNVMQEIARKGYETVMQSNMVSSSSTPVNVLDLQCWSWENEEGSDTTAAAGAENSGCSGGVVVATVGRERDLKRRKFDRFSLGRCNKNGSSSNSSS >itb02g07240.t2 pep chromosome:ASM357664v1:2:4486058:4487252:-1 gene:itb02g07240 transcript:itb02g07240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MEFDLENPLPICHPSDTTVYLFNVESDHMPAATYHHTLVSAADFHFSVRRETISLILHLSRRYDAVTCYLAVNYVDRFLSFHPLPEGKPWILKLVAVSCVILALKMRKTEFSVSDIQQDIGVIFDLETIERMEMLILGTLKWRMRSVTPFCFLNFFIAFFKFKEPPLRQALKARATEIIFKSQTEINLLKFRPSIISASALLSACDELFPLQFHCYRNAILNSPYVNTVSTFAV >itb12g03630.t1 pep chromosome:ASM357664v1:12:2379577:2381954:-1 gene:itb12g03630 transcript:itb12g03630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCTDSGNLMAIAQQVIQQKQQQQQEQQQQQQKEQQQLLGLNSFCLNPWSAAGFNGGFAAHAFADPFQVPAAVESGFQFPSLDNQLGAFRFPDFGGGGGEFDSDEWMEGLMDGGDSTASSNLHSGCDTWHNNSDFAALYSADPFSACPSRLRIASSSPSALNSIIFTDNQKNQNHAPPPPQTLPWFPTPPPPSAKDSKQTAQRNDVVAEGSSPESLSSKPLLKALVDFARLADSQPENAVKSLIRIRDSVSQLGDPTERVAYYFSEALYNRLSNSPEKRPANFEACSEELTLSYKALNDACPYSKFAHLTANQAILEATEKASKIHIIDFGIVQGIQWAALLQALATRSGGKPESIRISGIPSPVLGNSPAASLLATGNRLRDFAKVLDLNFEFEPVLAPIHSLNGSSFRVDPGEILAVNFMLQLYNLLDETTATVGTALKLANSLNPSIVTLGEYEMSLNRVGFMKRFENALKYYSAIFESLDPNMTRDSPERVKVESLLLGRRIAELVRPEEQGAKTECVEDKDHWRILMESSGFKAVAISHYAHSQAKILLWNYNYSSSYSLIDSPPGFLSLAWNDEPLLTVSSWH >itb01g01930.t1 pep chromosome:ASM357664v1:1:1127964:1128711:-1 gene:itb01g01930 transcript:itb01g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLALLFALSLYLLSHPTSAKLKPIRLGTDDVPIASTGAPVVDRDGDALQPGVAYNITSITWGAGAGEVKLEWRDTNTKCPSDVISWNYADPVVFTPADPKATAVLESSFLSFKFNVVTNFLCSGNLYWGVQHDAVSGMDFVRSDEFVENQSDRFKIERLDANFPTYIITYCPSGTDKCYYVGREYDESIKSTRLALSDFPFVLMFWKTSLASAK >itb08g14790.t1 pep chromosome:ASM357664v1:8:16590118:16592777:1 gene:itb08g14790 transcript:itb08g14790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMALFKNSPQFFLILSMVVITKVSARGPIYTPPSVDRLTDRFSQTGVTQGYNVLYGGHNIQLKNNGSSADLVLDKSSGSGLVSKDRYYYGFFNAAIKLPAGFTSGVVVAFYMSNQGVYPHNHDEIDIELLGYDKRRDWVLQTNLYGNGSVKTGREEKFYLWFDPTQASHDYSILWNSHHIFFLVDNIPVREVVNNAAISTVYPSKPMSVYVTIWDGSEWATHGGKYPVNYNYAPFVASMGRVELEGCTNSSSDCTRRSPSSLEGEGFVKLTQQQISGLQWARGKHMFYSYCQDKARYKVLPPECTSK >itb12g23530.t1 pep chromosome:ASM357664v1:12:25198998:25204722:-1 gene:itb12g23530 transcript:itb12g23530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTQRESKEGKRKQLSTIEHMCQVARQEGWDRLYGGLAPSLVGTAASQGVYYYFYQIFRTKAEVAALDRKKRGIGDGSVGMLSSLVVAALSGCVNVLLTNPIWVVVTRMQTHSKKAKNSPTRAMTAEDAILAAVEPPPYGTTNAIQEVYDEAGFWGFWKGVIPTLIMVSNPSIQFMLYETLLKKLRKRRASNNKASDVTALEIFLLGALAKLGATVLTYPLLVVKARLQAKQVLGGDKRHQYKGTFDAILKMMNHEGFYGFYKGMSTKIVQSVLAAAVLFMVKEELVRGARWLLTGAPANSTASRLR >itb12g04060.t1 pep chromosome:ASM357664v1:12:2668204:2670155:-1 gene:itb12g04060 transcript:itb12g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFAGTKGLVKNDGAYLLDAILPLLKLICLTVIGLILAHPKTKLVPKATFRLLSKLVFALFLPCTIFINLGETITLQNFTNWWFIPVNVILSTAIGCLLGYLVAKICKTPPEYLRFTVIATGFGNTGNLPLAIVGSVCHSDDNFFGPDCQSTGVSYVSFAQWVAVILVYTLVYHMMEPPVDYYDVVEDGVEIQEQLPPVSDLSRPLLVEAEWPGMEEKETEHCKTPFIARTFASVSSISQSSIEELEFPEEVGLKGPMSIRCLDEEAAPSTVESIRCLVEPRVVRKIRVVAERTPIQHVLQPPTLATLLAFIVGMVPSLKSIVYGDDAPLSFITDSLDIMAQAMVPSVMLILGGMLAEGPNESRLGIRTTVGIIVTRLLVLPLVGIGVVALANRMSILIPADQMYRFVLLLQYTSPSAILLGAVARLRGYAVSEASALLFWQHVFALFSLSMYVIIYFKLLYSYV >itb01g35210.t1 pep chromosome:ASM357664v1:1:37529234:37529626:-1 gene:itb01g35210 transcript:itb01g35210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFARESRGTPGVGGSISPPPMGLMVFLGSVVFWMYIGSESDKKQQAESSKNNFILRLLVPLFAILLVHVLMVSNRWFSPAPTGHYSRPFYQSMTTSADDSSSPLRLALLLLLLLVLINYQGSVQSGWF >itb03g07590.t2 pep chromosome:ASM357664v1:3:5617289:5620415:-1 gene:itb03g07590 transcript:itb03g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVTEALPTPNSIKVHRLMCTELINSVSRASKILPAIEASRPRCRSGIEALCLLNKAIEKAKSILKQCSESSKLYLALKGDVILLRCQKSWSLLEVSLSQIQNMVPVLLAAEVAQLVDDLRGARFSLEPSEEEAAKVVKELLFQCAKSELESVQVAMWRLNITSPNALLMEKRCIKTLLDNVGEGDGQKKKILLFLLDLLSKYGKAILEQNTENGYNLQRDLFPIPPDGFKCPVSSRLMYDPVVIASGETYERMWIQRWFDAGHNTCPKTGETLPDLTITPNNLLKGLISKWCAEQGVACSDPTSIYDAVPHSWEASSASIASLNSYMDGLSLPIAFTNLSIVTEDHS >itb03g07590.t3 pep chromosome:ASM357664v1:3:5617289:5620415:-1 gene:itb03g07590 transcript:itb03g07590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVTEALPTPNSIKVHRLMCTELINSVSRASKILPAIEASRPRCRSGIEALCLLNKAIEKAKSILKQCSESSKLYLALKGDVILLRCQKSWSLLEVSLSQIQNMVPVLLAAEVAQLVDDLRGARFSLEPSEEEAAKVVKELLFQCAKSELESVQVAMWRLNITSPNALLMEKRCIKTLLDNVGEGDGQKKKILLFLLDLLSKYGKAILEQNTENGYNLQRDLFPIPPDGFKCPVSSRLMYDPVVIASGETYERMWIQRWFDAGHNTCPKTGETLPDLTITPNNLLKGLISKWCAEQGVACSDPTSIYDAVPHSWEASSASIASLNSYMDGLSLPIAFTNLSIVTEDHS >itb03g07590.t1 pep chromosome:ASM357664v1:3:5617289:5620439:-1 gene:itb03g07590 transcript:itb03g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVTEALPTPNSIKVHRLMCTELINSVSRASKILPAIEASRPRCRSGIEALCLLNKAIEKAKSILKQCSESSKLYLALKGDVILLRCQKSWSLLEVSLSQIQNMVPVLLAAEVAQLVDDLRGARFSLEPSEEEAAKVVKELLFQCAKSELESVQVAMWRLNITSPNALLMEKRCIKTLLDNVGEGDGQKKKILLFLLDLLSKYGKAILEQNTENGYNLQRDLFPIPPDGFKCPVSSRLMYDPVVIASGETYERMWIQRWFDAGHNTCPKTGETLPDLTITPNNLLKGLISKWCAEQGVACSDPTSIYDAVPHSWEASSASIASLNSYMDGLSLPIAFTNLSIVTEDHS >itb09g04860.t1 pep chromosome:ASM357664v1:9:2761148:2764684:1 gene:itb09g04860 transcript:itb09g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERGRRQEQDNNNDVSEETTTTLDWRGRPANPSKHGGMRAAAFVLGLQGFEIMAIAAVGNNLITYAINEMHFSLSKSANIVTNFVGTVFILALLGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPGECNMVLEGGNCEEAKGFKALIFFVALYLVALGSGCVKPNMIAHGADQFSQENPTQSKLLSRYFNAAYFAFSMGELVALTLLVWLQTHSGMDVGFGVSAAVMAVGLITLISGALLYRNKPPQGHIVTPIAQVFVAAFLKRKHELPSDPGMLHGSHTSMSHDAVTLHYSNGFRFLDKACIKSQEGASNTKESPWRLCNVTQVDQVKTLISVIPIFACTIVFNTILAQLQTFSVQQGSAMNTHLTKTFQIPPASLQAIPYLILIFLVPFYDAFLVPLARRLSGQADGVTPLQRIGLGLFFSTFSMVAAAAVEHKRRTAAVKSGEIISIFWIAPQYVIFGISEMFTAVGLIEFFYKQPLKGMQAFATAITYCSYSFGFYLSSVLVSLIDKITSPSYNGGWLSDNNLNKDRLDLFYWFLATLSFLNFLNYLFWARWYSRKRSSSSASPPFHHEFISTKKIAGSDDI >itb10g21230.t1 pep chromosome:ASM357664v1:10:26549669:26550678:1 gene:itb10g21230 transcript:itb10g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLWCLKCAGRDQSWNLDMAAKSSFKLENPLEMRQKESGHIREKYPDRIPVIVEKLERSDVPDIDNKKYLVPAELTVGQFVYVVRKRINIPDEKTVFMFVNNTLPPTAALMSAIFEENKDEDGFLYMTYSGENTFGFNE >itb03g08080.t1 pep chromosome:ASM357664v1:3:6054664:6058694:1 gene:itb03g08080 transcript:itb03g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVAMSGGSGGTILCSPRFAAHLLSLSRNQSLSSTLKFSSAVSITRRSILAKPQNPLRLKLVCGAADSTQTQPSSVTSSDKSVVNDDGFSLSKISFGVVGLGVGVSLLSYGFGAYFNIIPGSEWSALMLTYGFPLAIIGMALKYAELKPVPCVTYSDAQMLREKCATPILKQVRSDVTRFRYGDEQHLDEALKRIFQYGQGGGIARRSAPILQMIREEVTEDGKYCLVLVFEAKALELSDFEKRREKFASFFGPGISSEIVKGDKDLYEVRLISNTTL >itb09g28390.t1 pep chromosome:ASM357664v1:9:28992027:28995030:-1 gene:itb09g28390 transcript:itb09g28390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVEFLLDQLSAVIRDECSLLGGIRNDAEDIKNDLSRLRAALRVADEREEMDPQVKAWVKIVRELAYDTEDVLDEFLFRFGGGWAGGGFYTKIKNIYTSIKNLRARRRLALALRRIKARINENSQYQPILPTTTVHNPQLHDGRVDALFQKDSDLVGFKNSKHSLIKLLLGDVDDDLRVHSVVGMGGLGKTTLVKKAYDDAQVTKHFQRRVWVTVSETFKIEELLKNAIKQLVKPPHDFEGQDSAKLIESVSSILSEQRYIIVLDDVWSFDVWSAIKYAFPGQKFGSRIVITTRNSEIGRDACHETTGDVYELKLLSEKDSWELFCKKTFLTDSCPPHLVNIAEDIVNKCGGLPLAIVVIAGTLATKDKDIAVWKSFQNGLNFQLKTDDRMKNLKNLLSLSYYDLPYYLKYCFLYFSIFPEDAIIEKNRVIKLWIAEGFVKDDQQVKEEVAEAYLNELIHRNLIQIAEKSHVGKIISLRVHDMLREIILSKALEQNFAVIFTGQNKEWSDEKCRRLIIHRSDDDILKSTSSKSHIRSLQLYKDEVPPVSFSLSKLLSFDYYIPLKVLDLRGTRDVNRIPKAVFKLFHLKYLSLRKTGLRNVSKSIGRLQNLEILDLKYTYVFELPVEIGKLHKLQHLRVYSIYSGAVYAPLEIGRLLFLQSLSYVQAKETNGIKMVSEIGNLIQLRKLGVRDLRQEDGKELCSSMEKLTNLISLSLEAAKENGNKILDIQHSLSTVPLCLRTLKLNGRLQRIPQWLSSLVSLTKLELWNSCVLEDPLLLLSDLPMLAHLTLIESYEGEGLCFKAGKFPKLKFLGIYGLRALKWIMVEEGAMPHLEELLLRNCKLLEQVPFGIQHLSKLNSIGFWFMNNTLMLSLKPNGENYTKISHIPHIEIY >itb06g23360.t1 pep chromosome:ASM357664v1:6:25351775:25352986:1 gene:itb06g23360 transcript:itb06g23360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRQIRFGILGCATVARNLARTISLAPNATISAVGSRTAEKAAAFVAETECPATAKVYGSYDAVLDDPDVDAVYIPLPTGLHVRWAVLAAQKKKHVLLEKPVALNVAELDQILAACEASGVQFMDATAWMHHPRTAKMKEFLSDPLRFGQLKSMHSMLSYMFSADALKNDIRVKPDLDGLGALGDAGWYCIRAILWANDYELPESVTALRDPEVNEAGVILSCGASMKWKDGRVATFYCSFLTNMASDICAYGTAGKLRVHDFIIPFPGQPAPFYTLESFRPIEHGVEAELPQDVLLVREFCNLAGSGGAPEKKWAVISRKTQVVVDAVNASIHNGFETIEVVY >itb15g09050.t1 pep chromosome:ASM357664v1:15:6421232:6422106:1 gene:itb15g09050 transcript:itb15g09050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLACVAVISPFPFYYWLWRYPQTWVDLCGKDRDPCHVMAMVSHVLKLLQFIAILSVSTFSWPPPFYFWPLFFSGQFLNVRVYQLLGESGTYYGVRFGKNIPWVTQFPFGVIKDPQYVGSVLSLVACLSWVPYTYILVWILGYVFMVHVESKEDPGSRAKPLS >itb09g04740.t1 pep chromosome:ASM357664v1:9:2641952:2643136:1 gene:itb09g04740 transcript:itb09g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLKVADALGVLTICLVSALFLFGLFCILYLIYFRNQIRTEGNIQLHYFSGPWIIRITYILFAILWGFGEILRLSLLRCGGRLLNALSWKWQETICKCHIVSNLGFMEPCLFLTVVFLLHASLQRSGTLNRKWNGKTACYILLFCLPVFFLQLIIILFGPKFNKDGYKHRLPDYFTSVAASPKTKGDNDDAIALCAYPLLSTICIGVFAVIVTSYLSWIGRRIVHLIINKGLQKRVYTLIISFSGFFPLRFVFLGLSVLSAPGEVVFEILAFLGFLSFLCCAGVGICTLVYFPVADALALRNLQKDTEARRISDDHNDSVSLITNQSHVGASIVNSPGRNSGASTRPGSISFRTVEKDGTSGTYVELSLFSPSQHSTPPDSPRFLGWPMLSHS >itb04g29270.t1 pep chromosome:ASM357664v1:4:32718860:32720169:-1 gene:itb04g29270 transcript:itb04g29270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGWSCGKDDFLHEVCVHASRLDDVSPSPSLSLVDEYQLDDEENTRRPQHRPSAVHNLRLLVPFQALGIRCCQYNDRSRMENSPGRLPSSHGGGVLLGNQRGRLGSVVAQTVKDRDVAGVEYLLTLSFLPERKDTFVWELHRDENAAAAMVDEAAQAEAMSTDFCTMQLQGVIVVLCVERNEKGLNTEKMILNRRGLSSWIGSWSLYQVELSAKSQPLSQHEMEKNLNWWDRIWFGMGAVIGAGIFILTGQEANQHAGLAVVLSYVVSGLSAVLLSSATLSFPWRYQSPEYSK >itb06g25000.t1 pep chromosome:ASM357664v1:6:26301150:26301758:-1 gene:itb06g25000 transcript:itb06g25000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFMDEIIFFTVAPGNWAATDFYKVIVPSVILIWFLIFQDSSSSFWNLFFRAGIFLLQAIFSASKKACDAFSDEGELCEEECVEVMMGRLMIFGEVKEKVGRSDEVLHLFEESEPSVAEIKEAFDVFDENGDGFIDEKEVERILCRMGFSEVSQEDCRKMIMAYDDNKDGKIDFREFLKLMEHSFG >itb03g19190.t1 pep chromosome:ASM357664v1:3:17332560:17332886:-1 gene:itb03g19190 transcript:itb03g19190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSLLPPSDASPACISTVMASATCRSLPVGVPHFSSSSFSKATVLAHRPELLMWCLTTSAAATHTAAAHTTPAPTANLPIESSTSSSQLYLFSVMPSPDYRYFQRV >itb05g17860.t1 pep chromosome:ASM357664v1:5:24741813:24744717:-1 gene:itb05g17860 transcript:itb05g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRRLILVVLSCNMALSAVANSLMKCDRFCKSAGYHEQVPYPFGFSEGCGIRLNCSDDGGIRIGDFPVMNLTSKTILVRVPGNCSRSVESLRGLNGGNFAVAWWNVILLLKCVKPLTDCTPPGSLVNTRFVKGDCDLKNESVSCYSESRGDDEFLDWERLKRRNCSVALSSLAVDFRTGKIPGLSLRFNTAELVWWLDGKCRCHVNAGCVSIRLPGGRYGFRCKCNDGFVGDGFADGDGCRRGPNCNFKNFMQGKCGEKRVKILIGGLAAGACAVVLLTLLCCYLRRRSAFLKKRCAAMRFLSEAAGGSSVPCIPYKEIERATDGFSEKKMLGSGAYGTVYAAKLHGFGRVAIKKLKCHGPNGVEHVMNEIKLISSVRHPNLVQLIGCCVENDEQILVYEFMPNGTLFQHLHRVQGSGLSWEMRLNIATETARAIAHLHSAMSPPIYHRDIKSSNILLDLNGNSKVADFGLSRFGRADDSQISHISTVPQGTPGYLDPHYHQNYHLTDKSDVYSFGVVLVEIITAKKVVDFSRPPSEINLAAVAVDRIAKGLIYEIIDPFLEPHRDAWTLSSIHKVAELAFTCLAFHKDMRPSMVEVAEELEQIRQSGQPLADEILSKVSSRSSSRSSWACGSEKSTRTLSNKGVLGSRRLILSQRVGSALSTMEVIDDASPVSVHDPWLRAENSQSAANLLGHLARST >itb10g02470.t1 pep chromosome:ASM357664v1:10:2074238:2076720:-1 gene:itb10g02470 transcript:itb10g02470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSCDFRLILVALFLVGAWASQEVSMLERHEEWMVRYGRSYKDDAEKAKRFKIFKENVEFIESFNKAGNLSYKLGINQFTDLTNEEFSSTMFCDEKASPRPKPSKPVSFLNVSLDQIPDHLDWREMGAVTDVKNQGATCGGSGWAFSVVATIEGINEIKTGQLISLSEQQLIDCNVKNKGCNGGSRDEAYQYIISGGGGVAAESDYPYDGVQHSCDTKKIGHPPTIIIDYEATYPDEISLLSAVKEQPVSAGIIIDGQLFRQYAGGVFTGYSGGDDCGSGVSHAVTIIGYGTSDDGEDYWLVKNSWGTDWGENGYMKMARGISADGVCAVNLSAFYPIA >itb10g14940.t3 pep chromosome:ASM357664v1:10:21280120:21284753:-1 gene:itb10g14940 transcript:itb10g14940.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVESELKSIGQDPLESTRNVLKPIKKALIERKLLRHSDKIIEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNYYSKALRVLEAVAKYKLCVRLLDFDYVLAVNIFQLFLKIISLKHTNAVLRDMVDIMSQLIEERDEVSIDFQVSILDSLRKRTLVIISLQILMLSPNIVFNYLINSVCFYLGYCTLFNTIGKRSLGKVSCQIEALSFTINDRYEHEFG >itb10g14940.t4 pep chromosome:ASM357664v1:10:21280120:21282622:-1 gene:itb10g14940 transcript:itb10g14940.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTAPLPSQKELDEMLIQSGIQLSTPPSSTAELLSLLEKVESELKSIGQDPLESTRNVLKPIKKALIERKLLRHSDKIIEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNYYSKALRVLEAVAKYKLCVRLLDFDYVLAVNIFQLFLKIISLKHTNAVLRDMVDIMSQLIEERDEVSIDFQVSILDSLRKRTLATAPCSTLLGKEVLEKCHARLKPCLLQLMTDMNMNLDDYCNVLGSVRHTIARSLELS >itb10g14940.t2 pep chromosome:ASM357664v1:10:21280120:21284753:-1 gene:itb10g14940 transcript:itb10g14940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVESELKSIGQDPLESTRNVLKPIKKALIERKLLRHSDKIIEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNYYSKALRVLEAVAKYKLCVRLLDFDYVLAVNIFQLFLKIISLKHTNAVLRDMVDIMSQLIEERDEVSIDFQVSILDSLRKRTLATAPCSTLLGKEVLEKCHARLKPCLLQLMTDMNMNLDDYCNVLGSVRHTIARSLELS >itb10g14940.t1 pep chromosome:ASM357664v1:10:21280120:21284753:-1 gene:itb10g14940 transcript:itb10g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELDEMLIQSGIQLSTPPSSTAELLSLLEKVESELKSIGQDPLESTRNVLKPIKKALIERKLLRHSDKIIEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNYYSKALRVLEAVAKYKLCVRLLDFDYVLAVNIFQLFLKIISLKHTNAVLRDMVDIMSQLIEERDEVSIDFQVSILDSLRKRTLATAPCSTLLGKEVLEKCHARLKPCLLQLMTDMNMNLDDYCNVLGSVRHTIARSLELS >itb10g14940.t5 pep chromosome:ASM357664v1:10:21280120:21282618:-1 gene:itb10g14940 transcript:itb10g14940.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTAPLPSQKELDEMLIQSGIQLSTPPSSTAELLSLLEKVESELKSIGQDPLESTRNVLKPIKKALIERKLLRHSDKIIEVSVASCICEIMRISAPVLPYDNTEMEVVFHQIIASLEKLHYVDSNYYSKALRVLEAVAKYKLCVRLLDFDYVLAVNIFQLFLKIISLKHTNAVLRDMVDIMSQLIEERDEVSIDFQVSILDSLRKRTLVIISLQILMLSPNIVFNYLINSVCFYLGYCTLFNTIGKRSLGKVSCQIEALSFTINDRYEHEFG >itb15g16480.t1 pep chromosome:ASM357664v1:15:16064344:16065132:1 gene:itb15g16480 transcript:itb15g16480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKHAASIYTITMFYDVQTEIHEGCFSCKITNKDHEGDKYVYTIKEEKTRNFTVKYERANNEVECSCGKFTKLGILCRHAFVVLKDEDAETIPPKYIVPRWTKNARAHNTSSVGTDQSATCNKEADGVKLAAQLWKEFYNCMALSKGDMPEMKEMLNFMLEHKGKLLKSKGKTQNKSNNSQLLETFYGTPASTTITVKPPQISKNKGSGKRLKSAREKAIEKKKKDGRKCHYCDEQPARHDFRNCPLNPNKKKKQNKKLKA >itb09g18820.t1 pep chromosome:ASM357664v1:9:14890237:14890901:1 gene:itb09g18820 transcript:itb09g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGPHCRKFRYFRPTKMLVAADTAKLDALPCDDDTSPDLQVATTLQTRMPPFAACPPFSTTDLEGKPRLLDFQFKASAECEAKVFGRSGVSPPRLTRGWERGEE >itb01g23290.t3 pep chromosome:ASM357664v1:1:29357308:29368284:1 gene:itb01g23290 transcript:itb01g23290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDWVLLCLVVILGSAQLSHCSVSYDRKSLIINGQRRILLSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYDFEGRNDLVRFIKIIQNAGIFIKIIQNAGMYVNLRIGPYVCGEWIFGGIPVWLKYVPGTTFRTNSEPFKRAMQGFVQKIVQMMKNERLFQSQGGPIILSQIENEYGLVSKDYGAGGHEYMNWAAKMAVDLGTGVPWVMCKEDDAPDPVINTCNGFYCDYFTPNKPNKPTIWTEAWSGWFEEFGGPIHHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFLTTSYDYDAPIDEYGLLRQPKYDHLMELHKAIKLSERALVNAYPAVTPLGNYEQAHVFSSKSGGCAAFLANFHMNSPATVTFNQRHYELPAWSISILPDCKTAVFNTAKVGSKTSQVHMLPANVPTHSWGTFTEDTSNIDTDSKLTVIGLLEQLNVTRDTSDYLWYSTSVEISPSESFLHGGRHPILTVQSAGHALHVFINGQFSGSAYGTKEHTQFTCTRSLSLHAGVNKISLLSVAIGLPNDGARYETRSTGVLGPVILHGLDKGPRDLTWQKWSYQVGLRGEAMNLVSPTGLSSVDWIEGSLVATQQPLTWYKAYFNEPSGNEPLALDMGSMGKGQAWINGQSIGRYWTIHATGDCSSCTYEGTYRSPKCQSGCGQPTQRWYHVPRSWLKPTGNLLDTLPRNTTYGHTRYEVGFEPEMPTLTRFRCQLDHWNLGTIMELPVKKNKFGTIAPSLPEYIQQDKTSLKSWLATSQYSRLLNTKETIEVFSPTQNSRALSLFLFASPADDILLRRFMAKTRATRRTLDSYTVKSISKTIKAGDCVLMRSSDSSKPSYVARVEKIESDSRGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDIQSADTIEAKCTVHSFKSYTKLDAVGNEDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPTCIEMTAEDAKKLEHFFCQNCSSEDPKLQSSHATSRHSDTKVETKRRRR >itb01g23290.t2 pep chromosome:ASM357664v1:1:29356841:29362923:1 gene:itb01g23290 transcript:itb01g23290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDWVLLCLVVILGSAQLSHCSVSYDRKSLIINGQRRILLSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYDFEGRNDLVRFIKIIQNAGMYVNLRIGPYVCGEWIFGGIPVWLKYVPGTTFRTNSEPFKRAMQGFVQKIVQMMKNERLFQSQGGPIILSQIENEYGLVSKDYGAGGHEYMNWAAKMAVDLGTGVPWVMCKEDDAPDPVINTCNGFYCDYFTPNKPNKPTIWTEAWSGWFEEFGGPIHHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFLTTSYDYDAPIDEYGLLRQPKYDHLMELHKAIKLSERALVNAYPAVTPLGNYEQAHVFSSKSGGCAAFLANFHMNSPATVTFNQRHYELPAWSISILPDCKTAVFNTAKVGSKTSQVHMLPANVPTHSWGTFTEDTSNIDTDSKLTVIGLLEQLNVTRDTSDYLWYSTSVEISPSESFLHGGRHPILTVQSAGHALHVFINGQFSGSAYGTKEHTQFTCTRSLSLHAGVNKISLLSVAIGLPNDGARYETRSTGVLGPVILHGLDKGPRDLTWQKWSYQVGLRGEAMNLVSPTGLSSVDWIEGSLVATQQPLTWYKAYFNEPSGNEPLALDMGSMGKGQAWINGQSIGRYWTIHATGDCSSCTYEGTYRSPKCQSGCGQPTQRW >itb01g23290.t1 pep chromosome:ASM357664v1:1:29356841:29362975:1 gene:itb01g23290 transcript:itb01g23290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDWVLLCLVVILGSAQLSHCSVSYDRKSLIINGQRRILLSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYDFEGRNDLVRFIKIIQNAGMYVNLRIGPYVCGEWIFGGIPVWLKYVPGTTFRTNSEPFKRAMQGFVQKIVQMMKNERLFQSQGGPIILSQIENEYGLVSKDYGAGGHEYMNWAAKMAVDLGTGVPWVMCKEDDAPDPVINTCNGFYCDYFTPNKPNKPTIWTEAWSGWFEEFGGPIHHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFLTTSYDYDAPIDEYGLLRQPKYDHLMELHKAIKLSERALVNAYPAVTPLGNYEQAHVFSSKSGGCAAFLANFHMNSPATVTFNQRHYELPAWSISILPDCKTAVFNTAKVGSKTSQVHMLPANVPTHSWGTFTEDTSNIDTDSKLTVIGLLEQLNVTRDTSDYLWYSTSVEISPSESFLHGGRHPILTVQSAGHALHVFINGQFSGSAYGTKEHTQFTCTRSLSLHAGVNKISLLSVAIGLPNDGARYETRSTGVLGPVILHGLDKGPRDLTWQKWSYQVGLRGEAMNLVSPTGLSSVDWIEGSLVATQQPLTWYKAYFNEPSGNEPLALDMGSMGKGQAWINGQSIGRYWTIHATGDCSSCTYEGTYRSPKCQSGCGQPTQRWYHVPRSWLKPTGNLLVVFEEIGGDASRISVVKRTLTYI >itb06g19790.t1 pep chromosome:ASM357664v1:6:23003119:23007679:1 gene:itb06g19790 transcript:itb06g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYTVRRSDEEAALIESIKLQKEREKALQLQKEREKALKLRKEREKAERKEEKKREKKEKKERKKERKEKEKQNLSDSAQRDKSHKKDNSEFKDGCIDKKRSSDSETLEKSNLTVEYGQAVCSQNPNNSSDSTQNSNKRKRHSSPPNVTRSHGNVIRIRLPSQKHMDCDSSSQNQQICSTSGRTDLPVQRKCETASSAGADNICSTSQQTQNAVEKSVSAASRPKRVEKPMHKDALQFRNLIENWVPSSSNDLGDDDQDWLFKRRKHDNTNAAKKPIASSTMLCGSSALLLPRAQYLQDADIFALPFTIPF >itb10g15630.t2 pep chromosome:ASM357664v1:10:21847943:21850895:-1 gene:itb10g15630 transcript:itb10g15630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTQKDSVWDQMRSASAAPLSVVATSQNRVFPKFMVWLVLFASVTYVVYTLKLLSASGTCNDDVFVVQRHGHFSQINSTATPAGLAMGEEATRIREPEKTGLEHVVFGIGASAKLWDSRKNYIKLWWRPEEGMRGVVWLDKPVNTSAGENSSLPELRISGNTSHFPYNNKQGHRSALRISRIVSETLRLGMENVRWFVMGDDDTVFITDNLVRVLNKYDHNQFYYIGSLSESHLQNIYFSYSMAYGGGGFAISYPLAKALEKMQDRCIQRYPGLYGSDDRMQACMAELGIPLTKEVGFHQFDVYGNLFGLLSAHPVAPLVSLHHLDVVEPMFPNVTRLEALQRLMLPMKLDSAGLIQQSICYDKAKSWTVSVSWGFAVQIFRGVLSPREIEMPSRTFLNWYRRADYTAYAFNTRPVMRNHCQKSFVFYFSSAEMDAFANETVSKYIHHPLPRPFCKWKMPNPEELERIEVYKKPDPHLWDRQSPRRNCCRVLNSDQKSMVVNVGQCREGEVSET >itb10g15630.t1 pep chromosome:ASM357664v1:10:21847943:21850895:-1 gene:itb10g15630 transcript:itb10g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTQKDSVWDQMRSASAAPLSVVATSQNRVFPKFMVWLVLFASVTYVVYTLKLLSASGTCNDDVFVVQRHGHFSQINSTATPAGLAMGEEATRIREPEKTGLEHVVFGIGASAKLWDSRKNYIKLWWRPEEGMRGVVWLDKPVNTSAGENSSLPELRISGNTSHFPYNNKQGHRSALRISRIVSETLRLGMENVRWFVMGDDDTVFITDNLVRVLNKYDHNQFYYIGSLSESHLQNIYFSYSMAYGGGGFAISYPLAKALEKMQDRCIQRYPGLYGSDDRMQACMAELGIPLTKEVGFHQFDVYGNLFGLLSAHPVAPLVSLHHLDVVEPMFPNVTRLEALQRLMLPMKLDSAGLIQQSICYDKAKSWTVSVSWGFAVQIFRGVLSPREIEMPSRTFLNWYRRADYTAYAFNTRPVMRNHCQKSFVFYFSSAEMDAFANETVSKYIHHPLPRPFCKWKMPNPEELERIEVYKKPDPHLWDRSPRRNCCRVLNSDQKSMVVNVGQCREGEVSET >itb03g18800.t3 pep chromosome:ASM357664v1:3:17005644:17008869:-1 gene:itb03g18800 transcript:itb03g18800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAKQGNERERVFEGLPVFAKELIAGGVAGGFAKSAVAPLERVKILFQTRQAEFRSLGLFGSFTKIAKTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIIHYNPNIKRGPVLDLIAGSVAGGTAVLFTYPLDLVRTKLAYQVIESPKLNVKGLVPGEQIYKGILHCFSKTYKEAGIRGLYRGVAPSLYGIFPYAGLKFYFYEEMKSHVPEEHKKDITIKLACGSVAGLLGQTFTYPLDVVRRQMQV >itb03g18800.t2 pep chromosome:ASM357664v1:3:17005644:17008869:-1 gene:itb03g18800 transcript:itb03g18800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAKQGNERERVFEGLPVFAKELIAGGVAGGFAKSAVAPLERVKILFQTRQAEFRSLGLFGSFTKIAKTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIIHYNPNIKRGPVLDLIAGSVAGGTAVLFTYPLDLVRTKLAYQSRLLNRQN >itb03g18800.t4 pep chromosome:ASM357664v1:3:17005644:17008869:-1 gene:itb03g18800 transcript:itb03g18800.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAKQGNERERVFEGLPVFAKELIAGGVAGGFAKSAVAPLERVKILFQTRQAEFRSLGLFGSFTKIAKTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIIHYNPNIKRGPVLDLIAGSVAGGTAVLFTYPLDLVRTKLAYQVIESPKLNVKGLVPGEQIYKGILHCFSKTYKEAGIRGLYRGVAPSLYGIFPYAGLKFYFYEEMKSHVPEEHKKDITIKLACGSVAGLLGQTFTYPLDVVRRQMQVQRLSASNSREMKGTTETLVMIVQRQGWKHLFSGLSLNYLKVRIKP >itb03g18800.t5 pep chromosome:ASM357664v1:3:17005644:17008869:-1 gene:itb03g18800 transcript:itb03g18800.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAKQGNERERVFEGLPVFAKELIAGGVAGGFAKSAVAPLERVKILFQTRQAEFRSLGLFGSFTKIAKTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIIHYNPNIKRGPVLDLIAGSVAGGTAVLFTYPLDLVRTKLAYQVIESPKLNVKGLVPGEQIYKGILHCFSKTYKEAGIRGLYRGVAPSLYGIFPYAGLKFYFYEEMKSHVPEEHKKDITIKLACGSVAGLLGQTFTYPLDVVRRQMQV >itb03g18800.t1 pep chromosome:ASM357664v1:3:17005644:17008869:-1 gene:itb03g18800 transcript:itb03g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAKQGNERERVFEGLPVFAKELIAGGVAGGFAKSAVAPLERVKILFQTRQAEFRSLGLFGSFTKIAKTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIIHYNPNIKRGPVLDLIAGSVAGGTAVLFTYPLDLVRTKLAYQVIESPKLNVKGLVPGEQIYKGILHCFSKTYKEAGIRGLYRGVAPSLYGIFPYAGLKFYFYEEMKSHVPEEHKKDITIKLACGSVAGLLGQTFTYPLDVVRRQMQVQRLSASNSREMKGTTETLVMIVQRQGWKHLFSGLSLNYLKVVPSVAIGFTVYDVMKSYLKVPSRDEAVIEVVTNRRHTQAAPSLPS >itb03g18800.t6 pep chromosome:ASM357664v1:3:17005644:17008869:-1 gene:itb03g18800 transcript:itb03g18800.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAKQGNERERVFEGLPVFAKELIAGGVAGGFAKSAVAPLERVKILFQTRQAEFRSLGLFGSFTKIAKTEGVLGFYRGNGASVARIVPYAALHYMAYEEYRRWIIHYNPNIKRGPVLDLIAGSVAGGTAVLFTYPLDLVRTKLAYQVIESPKLNVKGLVPGEQIYKGILHCFSKTYKEAGIRGLYRGVGTQCFE >itb15g10950.t1 pep chromosome:ASM357664v1:15:8711009:8714330:1 gene:itb15g10950 transcript:itb15g10950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEVLTVLDGTQLSAVDLSPPLCDGNGSTLTGARVLELAESKISSSIFGLALPETVKSSALKRLGIADEASFRGAELDREKADSTLRNFVAAVVDVLKDDPVVVAIFNGKAIRIFLEDEDDFAMLAENVFTELDTEDRGKISRNEIKNALSQMGVAMGIPPLSEFPQLTEILKKNGAEGEEGLGQAQFANLLQPVLQEVADALAANPIVVVQNIKANNGTKLRKFLADGKQLNDAVEKVMQENQGRKDEQTSKDTIRSFLEKNGEELGLPSLQNDEIHLLYDAVLADTENEKITKECSKDEFMAFVKEILEKFAEHLEANPVFTDLSN >itb14g17230.t1 pep chromosome:ASM357664v1:14:20524784:20526833:-1 gene:itb14g17230 transcript:itb14g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRWSWVAVLLLLLGAAYGVDGFIGIHWGRFATQSLVPSMVVDLLLQNGIKEIKLSSPSPNVLEALQSSSLGVTVALQNDFIRRPKWTSNVKNISGWVNELLLEPVQRGVPIKTLIILEEPLSLSRTQVWNMTDVFEETVSNLQIYNLSHIRTTTIHSTDVLKNVSKPSEADFRDDIKDRMLQSLKLFKKANSFFMFNLLPIFSVKVNKWPIEFAFMDNNSSFTIVDGKYTYTNAFEFLFDCLVVSLQKAGYPDMEIVIGEIGWPTDGEKHATPENAERFYRGFLKHISEKKGTPRRPNRNIDVFLSSLTDENKVPLQLGPSQRHRGIYNFEGTPKFKIDFTGKGRDIFPVTAKGSVKMPSRWCVFNGDTANMTKVNEQLQLACTFSDCTSLSPSSSCSNLNLTSNVSYAFNMFYQTSNQDQKNGGCYFAGLGALTTLNPSVGTCEFPVEILTAEKVDGGIQIPFYIGYDRSGGHALRSSQTPQVLFIALSFLYLIKQLGYFV >itb04g16810.t1 pep chromosome:ASM357664v1:4:18772034:18778979:1 gene:itb04g16810 transcript:itb04g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKRIGIRCTSDGYSRRLLKERDAEQIDLLGANPPTVFVGKWCSRLRIIRCHNSNNSFSIRRKHHATPRAVLTTPASEPTTKKRVYTFGKGRSEGNKSMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQEYQENGKVLPPGLWEEILEGLHTVEKDMGAFLGDPLKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEEKLEKLKDSKGIKLDTDLTASDLKELVEQYKNAYIEAKGEKFPSDPRKQLELSVKAVFESWDSPRAIKYRSINQITGLKGTAVNIQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLDTMKNCMPEAYKELLENCEILEQHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVEEGLVDIRTAIKMVEPQHLDQLLHPQFENPSAYKDKVIAMGLPASPGAAVGQIVFSADDAEAWHSQGKSVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCADIRVNDAEKVLLVGGNAINEGEWLSLNGSTGEVILGKQPLSPPAMTADLETFMSWADEIRHLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRRMIMAVTAEQRKEALNLLLPYQRSDFAGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVNELTADTGMSEEEVYSRIEKLSEVNPMLGFRGCRLGISYPELTEMQVRAIFQAAVSMSNQGITVLPEIMVPLVGTPHELGHQVSLVRGVASKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDIGKFLPVYLSKGILQHDPFEVLDQKGVGQLIKIATERGRAARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAV >itb03g14920.t2 pep chromosome:ASM357664v1:3:14439407:14440800:-1 gene:itb03g14920 transcript:itb03g14920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQKRTTLHEKLQLLRSVTNSHAVSETSIIVDASKYIQELKQKVEIANQELAASQISSSSCPKFSVETLEKGFLVKVYSERSCPGLLVALLEAFEELGLNVLEARVSCTDNFHLQAFGGEVHIYTHTYIYRNSGLVDFKIRR >itb03g14920.t3 pep chromosome:ASM357664v1:3:14439407:14440800:-1 gene:itb03g14920 transcript:itb03g14920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNIYVCVQHSTQVSETSIIVDASKYIQELKQKVEIANQELAASQISSSSCPKFSVETLEKGFLVKVYSERSCPGLLVALLEAFEELGLNVLEARVSCTDNFHLQAFGGENDENEDGISSGVVIEAVSEAIKNWSEGNDHQD >itb03g14920.t1 pep chromosome:ASM357664v1:3:14439407:14440800:-1 gene:itb03g14920 transcript:itb03g14920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQKRTTLHEKLQLLRSVTNSHAVSETSIIVDASKYIQELKQKVEIANQELAASQISSSSCPKFSVETLEKGFLVKVYSERSCPGLLVALLEAFEELGLNVLEARVSCTDNFHLQAFGGENDENEDGISSGVVIEAVSEAIKNWSEGNDHQD >itb07g14600.t1 pep chromosome:ASM357664v1:7:17301063:17302814:-1 gene:itb07g14600 transcript:itb07g14600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMKKVHHFLLVCLSLITFPFPAKSLSQEHPVVSYCGKTRIQAPFLDPHPLNSTHSSFLNNLLLCKSNILYMRTAIGLFHVSAIDYNSKLLTLSHSSCSTSSHFISPHLLSSGFPSPLEPNSLLLFNCSNQTALSIESPLLHSCRNLKPFKDSHDSVFCGGDVMGAESPYSSCLVVEDVGEMEKDFHPKQLGCTHYRRVYRIRLLAENEDVGLGTRLSFDVHVPNPCDECRKPYGNCGVGLKCICHISECKDKVINGGSTPTPSGSIIFSLGLVVAFMNLF >itb01g20620.t1 pep chromosome:ASM357664v1:1:26871884:26873599:-1 gene:itb01g20620 transcript:itb01g20620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDDKVVLLNTYVSMFAMRPKMALALKGIPYESKVEDLGNKSSLLLEMNPVHKKIPVLIHNRKPVCESLIILEYIDEVWKDKFPLLPSDPYKRAQARFWADFIDKKIFECIGAWLFKKKDAKDIKEELVEKLKVLEGELGEEAYYGGEKIGFLDLVLVSYYTWLLAFEKDAEFSVEAEVPKLSEWAKRCLQNESVSTSLADPLKLYEFTLQLWERLGRGGGAYN >itb10g18690.t1 pep chromosome:ASM357664v1:10:24554559:24556626:-1 gene:itb10g18690 transcript:itb10g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEEEGRVMQSDTTVQEEAIRVQNPSQNAGNGGATGGWLRRHGRRWLEISAHSMFVLVGQTVATILGRVYYERGGNSKWMGTLVQVAGFPILIPFLFIKTAPAAADDGSRTKPPSFLFRAAAYLLFGMLIAGSSLLYSVGMEYLTVSTFTLISASQLGFNALFAFFMNVQKITPLIINSIFLLTISSVLLFFQPPDRRQSQENQSAAGKKHFIIGCICTLIGSALFGLTLAVGQFILNKFLKKYTLRDLLNFIIIQSLIATCAVVVGLFASGEWMTLGAEMRGFQLGEMSYVMTLFWAAVCWEIFTVGVLGLLLKVSGLFANVLIMLSVPVVPAAAVVVLHDQMSGVKVISMVLAVWGFLSFAYEEYVEEMKEKDENENGFGNVPSQVPLVERGNQA >itb10g18690.t2 pep chromosome:ASM357664v1:10:24554559:24555593:-1 gene:itb10g18690 transcript:itb10g18690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVGQTVATILGRVYYERGGNSKWMGTLVQVAGFPILIPFLFIKTAPAAADDGSRTKPPSFLFRAAAYLLFGMLIAGSSLLYSVGMEYLTVSTFTLISASQLGFNALFAFFMNVQKITPLIINSIFLLTISSVLLFFQPPDRRQSQENQSAAGKKHFIIGCICTLIGSALFGLTLAVGQFILNKFLKKYTLRDLLNFIIIQSLIATCAVVVGLFASGEWMTLGAEMRGFQLGEMSYVMTLFWAAVCWEIFTVGVLGLLLKVSGLFANVLIMLSVPVVPAAAVVVLHDQMSGVKVISMVLAVWGFLSFAYEEYVEEMKEKDENENGFGNVPSQVPLVERGNQA >itb03g27930.t1 pep chromosome:ASM357664v1:3:28528968:28529559:1 gene:itb03g27930 transcript:itb03g27930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRSNMIARIVRLKQVVKRWRNKAAVKGSEESGARNRRIRSGSLAVYVGHERRRFVIPTRFLNLPAFISLLEKAEEEFGFQSAGGLVLPCEPGFFQGMLRLLQRDEDRFGCMGLDEILKMISEPLDHSCREAASLVISPLLQKTRV >itb10g11750.t1 pep chromosome:ASM357664v1:10:17388744:17390912:-1 gene:itb10g11750 transcript:itb10g11750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSGRSSAESVRGDRESRRGATERGLRRRAVEWISGIAENREIVVSPSQVDEKQKPRTGPQVCAAPPLCLCGLWTLEWNRGDWRSRRVF >itb02g16390.t1 pep chromosome:ASM357664v1:2:12293470:12301290:1 gene:itb02g16390 transcript:itb02g16390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRLQLFLYNSMTKHKEVFKPKEDGKVGMYICGVTSYDFSHIGHARAYVAFDILYRYLRYLGYEVVYVRNFTDVDDKIIKRANELGEDPVALSGRFCQEFLNDMVDLHCLMPTHQPRVTDHMDQIKEMIAQIMNNGCAYTVDGDVYFSVDKFPEYGKLSGRKLEDNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGLGRPGWHIECSAMSAHYLTHSFDIHGGGMDLIFPHHENEIAQSCAACSESKVNYWVHNGFVTANDEKMSKSLGNFFTIREVTKLYHPFALRYFLLGTHYRSPVNYSISQIEIASESLFYIYQTLQDCKEGVSKLQDGIEMKGGRVSAAAQECIKKLHNELESKLSDDLHTPSILNGALQEALRFMNSSLNTLKKQKKQQLVSGITSITELEKEVKAVLDVLGLLPDLTYSEVLQQLKDKALIRAELTEEDILKSIAERVKAREEKDYAKSDQIRSDLAVKGIALMDVGNETIWRPCVPTQQESNEQAQQKLPAAPPQKEESATPPQQEKPAVPHQQDQQTVTTSVPPQKDTIVSRQLR >itb12g05720.t1 pep chromosome:ASM357664v1:12:4264161:4266361:-1 gene:itb12g05720 transcript:itb12g05720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMRDEFVAAVVVLGMALTLRLVACEDKKGDDWGMGASFIFGDSLVDAGNNNYLSTLSKANIPPNGIDFKPSGGNPTGRYTNGRTIGDIIGEGLGQSHYSTPFLAPNCSGQALLYGVNYASGGGGIMNGTGRIFVNRLSMDIQVDYFNITRQEIDKLLGGEKAKEFITKKSIFSITIGSNDFLNNYLLPVLSMGTRISETPDAFIDDLISHLRGQLTRLYQLDARKFIIGNVGPIGCIPYQKTINQLKENECVELANQLATQYNSRLKELLGDLNKNLPGATFVHLNVYDLVLELITNYAKYGFTTSTKACCGNGGQFAGIVPCGPTSSMCSDRDKHVFWDPYHPSEAANIIIAKQLLKGDPKYVSPMTLLELRDL >itb15g04310.t2 pep chromosome:ASM357664v1:15:2712527:2717496:-1 gene:itb15g04310 transcript:itb15g04310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPTFSESLAGRNKRETAEPKRKPRSVISFPRLLCSSRSLKSRPPAKSRFRCLRIDPSGSSGGSSPEKSSPVQMPGASPHFMKGTACYDAKKESLQGGIECDDVESVDSSISVKHNSKTKNLKGVRKAIKSFGSTRSFRRGRSRIMKPTANHSPCSVETSEDSSLNQMKAASCSETRKGHFLRESTRGSESSFDSSDQSSQSNLASSSSAKSFQTLMRSSSLRSLRVSFKSRRRSVKVLPEAKAVDTATCSSTIKNSKFPEKVELLPGQAESERISVYRVCSYHHCSLNGHSHDSPSIPPKRVHPRRRWSTSGKSTKLRTKELIPIDGTSQISSGVDPLMHKKSSPEPTVFLTEDSSVRENSQSYADLVEIIFGETSFPEKNYQETLNQMGKTANWCCSCNSSKANVDSEEFRENQEEISEPDKGLIVKPTPAGELESKNLPQVVDSEAKSSSLKTPTPQDNKEKQLSMWNLIQHHMVSGLAAGSNNKPLNGSDEANHADDSNKLPAAPESSRAYADVAAAADQDGENQEIEDRKLFAIKLVREAIEKILLPEVPDQSSDDQSITSETTPDQEILEKSAEYASAQENCMDADRNQDLATALPGSKELESSVITDSNGSQGEVKTRREVAMKSERKAPKHWSNLKKWILLQRFIKELEKVKKISPRKPRQLPLEHDPEAEKVHLRPQVVDEKRRTEEWMLDYALRQAVSQLAPTQRRKVELLVRAFETVVPPQGGNNIEVTLPKFKSNSDEHLQMTIKENKFSSEANETIHPVSGGSDERCKTEELDASSGIIPSLAHQFDGESPTIPGKQNKKAADAESVALRGSHEGADLNSNSESPTNASMKSTKSTELDAQDGNSFTNPSILGDGSEKLQSTKNILEETERKPEHQPEVCGRNSLIESMSECKGNNNGGDTKHLEKRKYISMWHMISQHVLTGVVSKAGTELLDGADDEVEEAVTVEETKTHHSCQDLSKPDDHTDAVCENLDAGHQRRNFSRDDAIKLVREVVNEILVTQVQDDSSDTQSVTSDTLPEQELPVTSQIGDGEHNGSALSEENLKECNKSEGTVTMEKEGNTTIDDSIIKDHEKREVTLAKAKPGLSKSKNWSKLKKILLLKRSIMALESARKLKFPPRIDLPQTPSPEPEKVDLRPQMMDERKKAEQWMLDYAVQNMVTKLTPARKRRVAMLVEAFEAVVPLPEV >itb15g04310.t1 pep chromosome:ASM357664v1:15:2712527:2717496:-1 gene:itb15g04310 transcript:itb15g04310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPTFSESLAGRNKRETAEPKRKPRSVISFPRLLCSSRSLKSRPPAKSRFRCLRIDPSGSSGGSSPEKSSPVQMPGASPHFMKGTACYDAKKESLQGGIECDDVESVDSSISVKHNSKTKNLKGVRKAIKSFGSTRSFRRGRSRIMKPTANHSPCSVETSEDSSLNQMKAASCSETRKGHFLRESTRGSESSFDSSDQSSQSNLASSSSAKSFQTLMRSSSLRSLRVSFKSRRRSVKVLPEAKAVDTATCSSTIKNSKFPEKVELLPGQAESERISVYRVCSYHHCSLNGHSHDSPSIPPKRVHPRRRWSTSGKSTKLRTKELIPIDGTSQISSGVDPLMHKKSSPEPTVFLTEDSSVRENSQSYADLVEIIFGETSFPEKNYQETLNQMGKTANWCCSCNSSKANVDSEEFRENQEEISEPDKGLIVKPTPAGELESKNLPQVVDSEAKSSSLKTPTPQDNKEKQLSMWNLIQHHMVSGLAAGSNNKPLNGSDEANHADDSNKLPAAPESSRAYADVAAAADQDGENQEIEDRKLFAIKLVREAIEKILLPEVPDQSSDDQSITSETTPDQEILEKSAEYASAQENCMDADRNQDLATALPGSKELESSVITDSNGSQGEVKTRREVAMKSERKAPKHWSNLKKWILLQRFIKELEKVKKISPRKPRQLPLEHDPEAEKVHLRPQVVDEKRRTEEWMLDYALRQAVSQLAPTQRRKVELLVRAFETVVPPQGGNNIEVTLPKFKSNSDEHLQMTIKENKFSSEANETIHPVSGGSDERCKTEELDASSGIIPSLAHQFDGESPTIPGKQNKKAADAESVALRGSHEGADLNSNSESPTNASMKSTKSTELDAQDGNSFTNPSILGDGSEKLQSTKNILEETERKPEHQPEVCGRNSLIESMSECKGNNNGGDTKHLEKRKYISMWHMISQHVLTGVVSKAGTELLDGADDEVEEAVTVEETKTHHSCQDLSKPDDHTDAVCENLDAGHQRRNFSRDDAIKLVREVVNEILVTQVQDDSSDTQSVTSDTLPEQELPVTSQIGDGEHNGSALSEENLKECNKSEGTVTMEKEGNTTIDDSIIKDHEKREVTLAKAKPGLSKSKNWSKLKKILLLKRSIMALESARKLKFPPRIDLPQTPSPEPEKVDLRPQMMDERKKAEQWMLDYAVQNMVTKLTPARKRRVAMLVEAFEAVVPLPEV >itb10g25740.t1 pep chromosome:ASM357664v1:10:28936486:28940515:-1 gene:itb10g25740 transcript:itb10g25740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPIVDAEYLKEIEKARRDLRALISSKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRNEEEYSHGANNGLKIALDFCEAVKSKHPKITYADLYQLAGYVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKQGVPHLKEVFYRMGLSNRDIVALSGGHTLGRAHPERSGFDGPWTKEPLKFDNSYFVELLNDESEGLLKLPTDKALLDDPEFRRYVELYAKDEEAFFKDYATSHKKLSELGFTPTSPKTVKDSTVLVQSAVGVAVAAAVVVLSYMYEVRKRSK >itb05g23110.t1 pep chromosome:ASM357664v1:5:28297279:28299081:-1 gene:itb05g23110 transcript:itb05g23110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAKVAQMDWLRGEIPVGDDDEGPLLLSDDGKTGLVLVDIVNGFCTVGAGNLAPQKQDKQIDRMVGEAVKLATMFCCKKKWPVFAFLDTHHPDIPEHPYPPHCIAGTPEAELVPDLVWLEDQPNVTLRRKGCIDGFLGSLERDGTNVFVNWVKSNGIKSILVLGICTDICVLDFVCSTLSARNSGMLSPLEDVIVYSPGCATYDLPVDVAKNIKGALPHPQEMMHHIGLYMAKGRGAKIVSEVLFETPEET >itb10g22240.t4 pep chromosome:ASM357664v1:10:27161556:27167344:1 gene:itb10g22240 transcript:itb10g22240.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRQLSLDCQFLESQQIIDYSLLLGLHFRAPEHLTALLEPPDSVHKPETSTTLSDGGTSQGEISIPPRGLLLVTHEPSSVSNEPGPHIRGNTLKAYSVGDKEVDLLLPGTGRLRVQLGVNMPAQATHKLMQDGTDSAEVELFEVYDVVLYMGIIDILQEYNMKKKLEHAYKSMQIDPMSISAVEPKLYSKRFINFLEKVFPTGP >itb10g22240.t5 pep chromosome:ASM357664v1:10:27161552:27167344:1 gene:itb10g22240 transcript:itb10g22240.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRAPEHLTALLEPPDSVHKPETSTTLSDGGTSQGEISIPPRGLLLVTHEPSSVSNEPGPHIRGNTLKAYSVGDKEVDLLLPGTGRLRVQLGVNMPAQATHKLMQDGTDSAEVELFEVYDVVLYMGIIDILQEYNMKKKLEHAYKSMQIDPMSISAVEPKLYSKRFINFLEKVFPTGP >itb10g22240.t6 pep chromosome:ASM357664v1:10:27161543:27166475:1 gene:itb10g22240 transcript:itb10g22240.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRQLSLDCQFLESQQIIDYSLLLGLHFRAPEHLTALLEPPDSVHKPETSTTLSDGKHPFPQQYFLFVQSPSIFS >itb10g22240.t3 pep chromosome:ASM357664v1:10:27161556:27167344:1 gene:itb10g22240 transcript:itb10g22240.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRAPEHLTALLEPPDSVHKPETSTTLSDGGTSQGEISIPPRGLLLVTHEPSSVSNEPGPHIRGNTLKAYSVGDKEVDLLLPGTGRLRVQLGVNMPAQATHKLMQDGTDSAEVELFEVYDVVLYMGIIDILQEYNMKKKLEHAYKSMQIDPMSISAVEPKLYSKRFINFLEKVFPTGP >itb10g22240.t1 pep chromosome:ASM357664v1:10:27161543:27167467:1 gene:itb10g22240 transcript:itb10g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRQLSLDCQFLESQQIIDYSLLLGLHFRAPEHLTALLEPPDSVHKPETSTTLSDGGTSQGEISIPPRGLLLVTHEPSSVSNEPGPHIRGNTLKAYSVGDKEVDLLLPGTGRLRVQLGVNMPAQATHKLMQDGTDSAEVELFEVYDVVLYMGIIDILQEYNMKKKLEHAYKSMQIDPMSISAVEPKLYSKRFINFLEKVFPTGP >itb10g22240.t2 pep chromosome:ASM357664v1:10:27161543:27167467:1 gene:itb10g22240 transcript:itb10g22240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRQLSLDCQFLESQQIIDYSLLLGLHFRAPEHLTALLEPPDSVHKPETSTTLSDGGTSQGEISIPPRGLLLVTHEPSSVSNEPGPHIRGNTLKAYSVGDKEVDLLLPGTGRLRVQLGVNMPAQATHKLMQDGTDSAEVELFEVYDVVLYMGIIDILQEYNMKKKLEHAYKSMQIDPMSISAVEPKLYSKRFINFLEKVFPTGP >itb10g22240.t7 pep chromosome:ASM357664v1:10:27161543:27166516:1 gene:itb10g22240 transcript:itb10g22240.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MENNERTDEKVFPNGDSYVGSINGMLPHGKGRYIWSDGSVYDGDWDEGKMTGKGRIIWSSGSTYEGDFSGNYLHGYGTFNGRDGSIYRGSWRMNNQHGIGRKQYHNADVYDGCWREGVREGSGRYAWSNGNLYIGNWQNGKMCGRGGMKWSNGDLFDGFWLNGLRHGSGCYRFADGSYFFGTWAKGLKDGCGTFYPAGSKLSSLDKCKIDKHQDKIKRMLSHSSSVSIVSTEESDKPEVKRSLSEKISNSFGRGSGRISHKTASLVSDWIIDDSREMLPEDASSMLSNNSLDGQSDLLDNSTVAYEREYMQGVLIKERVRTVTRLSLKSKQKRKFHAKEVKRSSCIDFFKGRKSYYLMLNLQLGIRYSVGKITPVPIREVRLSDFGEQARIKMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLRELFKLNAAEYMMSICGDDGLRELSSPGKSGSIFYLSRDDRFVIKTLKKSELKVLLKMLPSYYKHVKDHENTLITKFFGLHRISFRRGKKVRFVVMGNMFCTELHIHRRYDLKGSSHGRFTNKDEIDEGTTLKDLDLTYEFHMDKLLREILFRQLSLDCQFLESQQIIDYSLLLGLHFRAPEHLTALLEPPDSVHKPETSTTLSDGKHPFPQQYFLFVQSPSIFS >itb07g08420.t1 pep chromosome:ASM357664v1:7:6618560:6624032:1 gene:itb07g08420 transcript:itb07g08420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSAQPADTVMSEAAPPQPPVPGIDNIPATLSHGGRFIQYNIFGNVFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRASFNDVYIAYELMDTDLHQIIRSNQSLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAEMEFLNENAKKYIRQLPLYRRQSFTERFPHAHPGAIDLVEKMLTFDPRRRITVEGALAHPYLTSLHDISDEPSCTTPFNFDFEQHALSEEQMKELIYREAVAFNPEFELQM >itb02g19010.t1 pep chromosome:ASM357664v1:2:15762720:15762986:-1 gene:itb02g19010 transcript:itb02g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNADGVSALTYMLLANVKMGSVLSSILENEKDRAKAIAEEWKPMLDELDADANHGNSLEAHAFLHIVDTFGINSDFDQEGLIKLALT >itb10g04460.t4 pep chromosome:ASM357664v1:10:4226933:4230631:1 gene:itb10g04460 transcript:itb10g04460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLFFLRPSPFHSFTVNSVSHSLFPSKSRPFLLKASNMEGSEIKVEEEASSSQKKKKIFVAGATGNTGKRIVEQLLTKGFAVKAGVRDVDKAKTSLPGGNSHLQIVKADVTEGATKLADAIGEDSDAVICAAGFRPSWDLLAPWKVDNFGTVNLVDACRKQGVNRFILISSILVNGAAMGQLFNPAYIFLNVFGLTLIAKLQAEQYIRRSGINYTIIRPGGLKNDPPNGNIVMEPEDTLSGGAISRDQVAEVAVEALLHPESHYKVVEIVARTEAPKRSFKELFGSIKPRFCRCNKYAKEFRVCKCQ >itb10g04460.t2 pep chromosome:ASM357664v1:10:4226933:4229172:1 gene:itb10g04460 transcript:itb10g04460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLFFLRPSPFHSFTVNSVSHSLFPSKSRPFLLKASNMEGSEIKVEEEASSSQKKKKIFVAGATGNTGKRIVEQLLTKGFAVKAGVRDVDKAKTSLPGGNSHLQIVKADVTEGATKLADAIGEDSDAVICAAGFRPSWDLLAPWKVDNFGTVNLVDACRKQGVNRFILISSILVNGAAMGQLFNPAYIFLNVFGLTLIAKLQAEQYIRRSGINYTIIRPGGLKNDPPNGNIVMEPEDTLSGGAISRDQVAEVAVEALLHPESHYKVVEIVARTEAPKRSFKELFGSIKPR >itb10g04460.t1 pep chromosome:ASM357664v1:10:4226933:4230602:1 gene:itb10g04460 transcript:itb10g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLFFLRPSPFHSFTVNSVSHSLFPSKSRPFLLKASNMEGSEIKVEEEASSSQKKKKIFVAGATGNTGKRIVEQLLTKGFAVKAGVRDVDKAKTSLPGGNSHLQIVKADVTEGATKLADAIGEDSDAVICAAGFRPSWDLLAPWKVDNFGTVNLVDACRKQGVNRFILISSILVNGAAMGQLFNPAYIFLNVFGLTLIAKLQAEQYIRRSGINYTIIRPGGLKNDPPNGNIVMEPEDTLSGGAISRDQVAEVAVEALLHPESHYKVVEIVARTEAPKRSFKELFGSIKPRCNKYAKEFRVCKCQ >itb10g04460.t3 pep chromosome:ASM357664v1:10:4227152:4229172:1 gene:itb10g04460 transcript:itb10g04460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEIKVEEEASSSQKKKKIFVAGATGNTGKRIVEQLLTKGFAVKAGVRDVDKAKTSLPGGNSHLQIVKADVTEGATKLADAIGEDSDAVICAAGFRPSWDLLAPWKVDNFGTVNLVDACRKQGVNRFILISSILVNGAAMGQLFNPAYIFLNVFGLTLIAKLQAEQYIRRSGINYTIIRPGGLKNDPPNGNIVMEPEDTLSGGAISRDQVAEVAVEALLHPESHYKVVEIVARTEAPKRSFKELFGSIKPR >itb05g19630.t1 pep chromosome:ASM357664v1:5:26038941:26043576:1 gene:itb05g19630 transcript:itb05g19630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNHKFLNQEFRGLIFKVLSVNPTPILICISSDPFLDPVAIITKPRHSYPTHHRRPRSWIKPRASYPTQPITAYHDPDDPGAILRRLPARPLVGVVPRDQVCMRVECLHYPRRSHRSKGSCGICRIEFKPSPYVDSVGKPSSVVGFAVRRRSHKWFMPKFGSKYAQVHMNTSAAQGNFSGFFNNIAAAQGGHTQFIVSPPCNLSTPPGITTLERRLYLVSDTLPETEEEITMALKTTTTASFCSIRSLFLSLSILCSLSIYFFFFTSTTPSLHLQNLQSPSSSIKVFVADLPRSLNYGLLEQYWSIDSDSRLGSEVDNEIRKTLLHKGSQKFPPYPENPLIKQYSAEYWILGDLMTPPELRNGSFAKRVFDAEEADVILVPFFATLSAEMQLGLNKGAFRKKVGNEDYERQKMAVDTVKQTKAWKRSGGRDHVFVLTDPVAMWHVKAEIAPTILLVVDFGGWYKLDAKAANDSLPDMIQHTQVSLLKDVIVPYTHLLPKLQLSENQKRPTLIYFKGAKHRHRGGLVREKLWDLLVNEPGVVMEEGFPNATGKEQSIKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMTDYSEFSVFVAVSEALQPNWLVSHLRSYSSAQKDRLRRNMAQVQPIFNYDSGHQGGIGPIPHDGAVNSIWKKVHQKLPIIKEAIIREKRKPPGVSVPRRCHCT >itb01g27390.t1 pep chromosome:ASM357664v1:1:32219210:32220409:-1 gene:itb01g27390 transcript:itb01g27390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPSFSTQSQPLLFQGQYARSMLCLLRLAHEYVLQAKRDINIISKHFCGSGRKGEGGSRKRKGSSYYLSWHSAFSQLQYHNNVLIHW >itb02g15640.t2 pep chromosome:ASM357664v1:2:11386264:11392428:1 gene:itb02g15640 transcript:itb02g15640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCLKRRRLQRPLLSATSSGLLQLGIAGAFAAMAILGKIDQMLAPKGVSITIAPLGAVCAVLFATPSSPGARKFNMFLAQIGCAAFGVLAFTIFGPGWLARSSALAASIAFMIYTRAVHPPAASLPILFIDAAKLHQLNYWYALFPGATGCILLCVIQEMVCYLKENFKF >itb02g15640.t3 pep chromosome:ASM357664v1:2:11386143:11391804:1 gene:itb02g15640 transcript:itb02g15640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQLNFHRQFVLPQNLFLSQHCRFRLSGAHGLGKSIIESQRKAKRRRDLTISASSSSSSSSVSVWDGWLPEKAAASKAPSLSDIFWPSAGAFAAMAILGKIDQMLAPKGVSITIAPLGAVCAVLFATPSSPGARKFNMFLAQIGCAAFGVLAFTIFGPGWLARSSALAASIAFMIYTRAVHPPAASLPILFIDAAKLHQLNYWYALFPGATGCILLCVIVSFSPITLSAIT >itb02g15640.t1 pep chromosome:ASM357664v1:2:11386143:11392600:1 gene:itb02g15640 transcript:itb02g15640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQLNFHRQFVLPQNLFLSQHCRFRLSGAHGLGKSIIESQRKAKRRRDLTISASSSSSSSSVSVWDGWLPEKAAASKAPSLSDIFWPSAGAFAAMAILGKIDQMLAPKGVSITIAPLGAVCAVLFATPSSPGARKFNMFLAQIGCAAFGVLAFTIFGPGWLARSSALAASIAFMIYTRAVHPPAASLPILFIDAAKLHQLNYWYALFPGATGCILLCVIQEMVCYLKENFKF >itb09g08710.t1 pep chromosome:ASM357664v1:9:5192520:5194998:1 gene:itb09g08710 transcript:itb09g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSTVWGSRNAGFRPENLGQDALAMIRNLGFTVFVLGVLIFTIIAATYQPEDPLFHPSTKMTNFLTSKSNATFKADDTVVKTGEDFIGANQTAFATFINLTDVDIALPVTETETGNELECQGKTDEPIDCKDPDVFHLLMKATIEKFKDVHFYKFGKPVPGSNETSCHMAWRFRPKEGKSAAFYKDYRNFVISRSENCTLSVVDIGEYHSGGNARKRKRDRKKAGKSEEGFEKAPKTEDQGIALPVVGEAVNDSLPVVESESSFSRGKYLIYHGGGDRCKSMNHYLWSFMCALGEAQYLNRTLVMDLSICLNKMYTSSGQDEEGKDFRFYFDFEHLRDSASVLDQTQFWQDWTKWHRKDRLSLHLVEDFRVTPMKLAELKDTLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLIWKSRRLMDIVSTIASRLNWDYDSVHIVRGEKASNKEQWPHLAEDTSPEAVMSSLKDKIEDGRNLYVATDEPDISFFDPLKDKYSTHFLNEYKDQWDEKSEWYSETAKLNGGNPVEFDSYMRISVDTEVFLRGKKQVETFNDLTKDCKDGINTCTSST >itb06g20720.t1 pep chromosome:ASM357664v1:6:23579599:23581470:1 gene:itb06g20720 transcript:itb06g20720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTARQNKKFEEALAIYDRETPDRWYNIARMVGGKSAEEVRRHYELLVKDIMQIENDQVPLPNYRAAESNGRGYANEQRLLRNLRLQ >itb05g24500.t1 pep chromosome:ASM357664v1:5:29271181:29272396:-1 gene:itb05g24500 transcript:itb05g24500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDYESEEKKQAAADVLFQYSRFVMACIGNQVRPCDLRMHLMKEVSGLPTTLKRETRVASSPDIMGESSSSGTSRLDKTDSFRGL >itb04g07630.t1 pep chromosome:ASM357664v1:4:5207178:5218176:1 gene:itb04g07630 transcript:itb04g07630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNIFEGIADLFVDEEEEELLAAIAGLFGEKPHRTNDAKEEDDLGIANLFVEKPQGETWHSFKLGCILEGAIRDIDAIDKELSKVKMQQLEGLGRNWKASAAHHQHASHSQPHQGSSIMVGKNNEFQIVKAMLIQHSSRQREIVSIQGMGGIGKTTLARRLYEDPSVAYRFDIRAWVVASQYHNKLQMLTDLLKSLGCVGNGTQEYQLAQQQVYQKLMQQRYFVVIDNIWSVEAWDSVKACFSDNGNGSRVLLTTRSADVATIIGSNNDFSHQMQLLEEDESWNLFREKTSKFMGFEFNMIGRQIVEKCKGLPLAIVVAAGLFSKLHTLDEWKNVAEVLNSSASTTTIDEECSRILSSSYNHLPHNLKACFLYLSVFPEDEEIHVKEIVKLWVAEGLVKASEDMSFDVVAKRYIQELKDRNLILSLFLLCYGRPVLIPNNNCIDNLRNLMKLSFCCVSFEGKTINNFSKLPKLEVLRLMECKWIGGEWELLETESFDQLIYLKISVSNLERWEASACHFPNLERLVLDWCEELETIPAEFAEIPNLKSIELYGCLHSAVESAKEIQREQHEQGNDNMVVIEEDTKEATNKRSTHLQSTSGSEVRSRQQTGSHFTADDVRNLHHRQCLLRCIKGMECAVAAASGEPSLDGCSGACKVNSDCGGGSGCVCARSLTGYKYCSQS >itb01g31150.t1 pep chromosome:ASM357664v1:1:34937959:34940356:-1 gene:itb01g31150 transcript:itb01g31150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLYPLFDKYGKVVDVFIPRDRRTGDSRGFAFVFFFLKYQEAFVRYRDDHRDRDYRKRSRSRSRGRSEHDRYRGRDRDRHRYRSRSGNPDYHKGRGRGRYDDERRSRSRSYGSASPARRSPSPRRSPSPRRTPQSRDGSPSPRRSPSPRRAPQSRDGSPGGRNEKERSPTPRSLSPRGRGAESRSPSPRSDADE >itb04g31320.t1 pep chromosome:ASM357664v1:4:34214199:34219810:-1 gene:itb04g31320 transcript:itb04g31320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFVEKILRVQPNVKKMYLLLRAADTMAAMQRFNSEVMAKDLFKVVKDEYGEKLNSLISRKIIVVAGDIMYENLGIKDTNLLDQIWREVDVVVNLAATTNFYERYDVAMGINTMGAKHVLDFAKNCENLKILLHVSTAYVSGEKEGLIKETPYLMGETLNGTCGLDIDREMKMIKETLKNLRAENNASEVSITSAMKELGIQRTMDSIALGYAKGKVKFFVGDPNSITDLIPADMVVNAMIVAIVGHADQRGTESIYHVGSSVSNPLEYGLLRDYGHHYFTKHPWIGKDGKPYRWEDDCVKHHG >itb11g08090.t1 pep chromosome:ASM357664v1:11:5196635:5197482:-1 gene:itb11g08090 transcript:itb11g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGSPLEALAFNYLSYGFLTAVNNVWTWVAVLTAAVSFWRIKTLSLSVPSTPPRAKFPNGPSNLPAQPARAIILPPSAPAQRLPAKPPSTPAKAAAATSFSAWERESSLTKGKFTAYFDGEDESDGNVGRIENIYNRDDESNGDGDVLALGYEWYESWERVMQTKTGDAWWYRGQDLTAINGNVVRLWSGGCRRRSATALVAVGPVNVSTW >itb13g19790.t2 pep chromosome:ASM357664v1:13:26714603:26716964:-1 gene:itb13g19790 transcript:itb13g19790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAMGSAPLFTAPETIKAPFLKPLPTPPALSLSRSNVNCWGASLSFTKTKKHNVCCCQVKLEDEACELVNGVELSIGDEGDSIDAYLLTAVKNNNGTGILLLSDVFGFEDSFTRDFAYRVACNGYNVLVPDLFRGDPWGKDRPDASFEQWIGKQDRNRVIKDTFTSVEWMVNEFVAAGISKKLGVIGFCYGGSLTLDILAKDQGSLFGAGISFYGTRINTSLSTKIKSPLLLISGNDDPHCPVSVLNNVAENVEKSKVVVFKGRGHGFVHRPQSPEEDRDAEEAFVIMRSWLNDFLLEQN >itb13g19790.t1 pep chromosome:ASM357664v1:13:26714603:26716964:-1 gene:itb13g19790 transcript:itb13g19790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSQASVIVQRSNVNCWGASLSFTKTKKHNVCCCQVKLEDEACELVNGVELSIGDEGDSIDAYLLTAVKNNNGTGILLLSDVFGFEDSFTRDFAYRVACNGYNVLVPDLFRGDPWGKDRPDASFEQWIGKQDRNRVIKDTFTSVEWMVNEFVAAGISKKLGVIGFCYGGSLTLDILAKDQGSLFGAGISFYGTRINTSLSTKIKSPLLLISGNDDPHCPVSVLNNVAENVEKSKVVVFKGRGHGFVHRPQSPEEDRDAEEAFVIMRSWLNDFLLEQN >itb14g12420.t2 pep chromosome:ASM357664v1:14:14061240:14064755:-1 gene:itb14g12420 transcript:itb14g12420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGSKRFPTRYLIVFLTFICTCVCYIERVGFSIAYTVAADAAGINQTSKGMILSSFYYGYACSQVPGGWAAQKIGGRRVLLFSFILWSLTCALVPLDPNREIVLIIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYFGAAIGMLLLPSLVKFRGPQSVFLAEAALGALWSLLWLKYASDPPRSEHPKATAAGFGETLLPLKGNLKMKLENGVHSSRSPKIPWKRIVFCLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQHSLQEMGSSKMMPYFNMFVFSNIGGVVADYFITRRIFSVTNTRKILNTVGFIIASFALMAIPTFGTPGGALFCSSIALGFLALGRAGFAVNHMDIAPKYAGILMGVSNTAGTLAGIVGVDLTGRLLEAAKSAELDLTSPDSWTAVFYIPGFLCIFSSLAFILLSTGERIFD >itb14g12420.t3 pep chromosome:ASM357664v1:14:14061950:14064940:-1 gene:itb14g12420 transcript:itb14g12420.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGSKRFPTRYLIVFLTFICTCVCYIERVGFSIAYTVAADAAGINQTSKGMILSSFYYGYACSQVPGGWAAQKIGGRRVLLFSFILWSLTCALVPLDPNREIVLIIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYFGAAIGMLLLPSLVKFRGPQSVFLAEAALGALWSLLWLKYASDPPRSEHPKATAAGFGETLLPLKGNLKMKLENGVHSSRSPKIPWKRIVFCLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQHSLQEMGSSKMMPYFNMFVFSNIGGVVADYFITRRIFSVTNTRKILNTVGFIIASFALMAIPTFGTPGGALFCSSIALGFLALGRAGFAVNHMDIAPKYAGILMGVSNTAGTLAGIVGVDLTGRLLEAAKSAELDLTSPDSWTAVFYIPGFLCIFSSLAFILLSTGERIFD >itb14g12420.t1 pep chromosome:ASM357664v1:14:14061240:14064940:-1 gene:itb14g12420 transcript:itb14g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGSKRFPTRYLIVFLTFICTCVCYIERVGFSIAYTVAADAAGINQTSKGMILSSFYYGYACSQVPGGWAAQKIGGRRVLLFSFILWSLTCALVPLDPNREIVLIIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYFGAAIGMLLLPSLVKFRGPQSVFLAEAALGALWSLLWLKYASDPPRSEHPKATAAGFGETLLPLKGNLKMKLENGVHSSRSPKIPWKRIVFCLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQHSLQEMGSSKMMPYFNMFVFSNIGGVVADYFITRRIFSVTNTRKILNTVGFIIASFALMAIPTFGTPGGALFCSSIALGFLALGRAGFAVNHMDIAPKYAGILMGVSNTAGTLAGIVGVDLTGRLLEAAKSAELDLTSPDSWTAVFYIPGFLCIFSSLAFILLSTGERIFD >itb14g12420.t4 pep chromosome:ASM357664v1:14:14061951:14064755:-1 gene:itb14g12420 transcript:itb14g12420.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MGSKRFPTRYLIVFLTFICTCVCYIERVGFSIAYTVAADAAGINQTSKGMILSSFYYGYACSQVPGGWAAQKIGGRRVLLFSFILWSLTCALVPLDPNREIVLIIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYFGAAIGMLLLPSLVKFRGPQSVFLAEAALGALWSLLWLKYASDPPRSEHPKATAAGFGETLLPLKGNLKMKLENGVHSSRSPKIPWKRIVFCLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQHSLQEMGSSKMMPYFNMFVFSNIGGVVADYFITRRIFSVTNTRKILNTVGFIIASFALMAIPTFGTPGGALFCSSIALGFLALGRAGFAVNHMDIAPKYAGILMGVSNTAGTLAGIVGVDLTGRLLEAAKSAELDLTSPDSWTAVFYIPGFLCIFSSLAFILLSTGERIFD >itb05g16550.t1 pep chromosome:ASM357664v1:5:23731443:23735244:-1 gene:itb05g16550 transcript:itb05g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELASQKVQEWGEAYMDYNSLKGVLKDISRFRRRNAAAQSSVPAAATATRKNSLKRRLSMYRAFSGLTNRLSSPRGGTPRSDHEDEVILVNAVEQEGIGGEQYQTMFLMSSEAGGEYELVFFRRLDDEFNKVVRFYREKVEEVRKEADELSKQMDALIALRIVVEKPPVDINADQTRDSAAIEANKSHMDAIQEIEMSGEEVGEDDGGTSAGTATMADQNTRIIEEKTNNFTDFRPAPLHVLYDVRVNIQPETPISTLKNILKASNSELKYSRAELRKAEERLRKAFMEFYRKLRLLKSYCFLNTLAFSKIMKKYDKITSRKASRSYLEMVDKSYLGSSDEVSRLMERTEATFIKHFANGNRRKGMSVLRPHVKREKHRITFFLGLFSGCSIALIVAIIVSIRARNLLQHQGSNQFMENIFPLYSLFGFIVLHMLMYAGDIYFWRRYRINYPFIFGFKQGTELGYREVLLLASGIFVLALAAVLSHLDMEMDPVTRTYKVLTESVPLILISVLLIITFCPLNIVYRSSRFFLIRCAWHCICAPLFKVTLPDFFLADQLTSQVQAIRSFEFYICYYGWGNFRQRLNKCQDSDVYQIFYIIVAAIPFVVRLLQCLRRLFEEKDNSQGLNGLKYFTTVAALVLRTLYELKKGTTLKVLAAVFSGITTITNTYWDIVRDWGLLQRNSKNPWLRDKLLVPNKAVYFVAIVVNIILRLVWMQMILGFKVPFLHRKAMTAVVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNHEVDKSM >itb04g20820.t1 pep chromosome:ASM357664v1:4:25801973:25806447:1 gene:itb04g20820 transcript:itb04g20820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPINLSANRLSSLLQKCMKLKVLPPCKQIHAIMLTSCLDMNVLSLNSRLVGVYASCGDLDSAELIFQRTQNPNIFAFNWMISALSFNCYPEKAIEYFSLLQESGIIPNKYTISVVLKACLGLKDLRKGKEVHCMLHMMGFQVDLSIGNALIDMYGKLGNIHYARLVFDKMSTRDVATWTSMLYGYSSMGNIEESLILFERMRLDGLKPNEFTWNAMISAYARRGNCDAVFLLLSKMSKEGLAPDLVTWNAMISGFPLGDLNLMLSPINLSANRLSSLLQKCMKLKVLPPCKQIHAIMLTSCLDMNVLSLNSRLVGVYASCGDLDSAELIFQRTQNPNIFAFNWMISALSFNCYPEKAIEYFSLLQESGIIPNKYTISVVLKACLGLKDLRKGKEVHCMLHMMGFQVDLSIGNALIDMYGKLGNIHYARLVFDKMSTRDVATWTSMLYGYSSMGNIEESLILFERMRLDGLKPNEFTWNAMISAYARRGNCDAVFLLLSKMSKEGLAPDLVTWNAMISGFVQSHRYDEAFALFQEMLATGLNPNESTVTSLLTACGLIGSVKTGKEIQGLVYRTGISINAFVASALVDMYAKCGSIQDSWNAFRMAPFKNSVSWNSMIGCYGKHGMVDSAIKLFEEMQCEAVQATELTFTSLLSGCSHSGLVEKGLEIFRSMEEFYGVPAQKQHYACIVDLLCRFGWMEDAYDTIKEMPIEITESIIGAFFNGCKVHERKDLVEMLGEKILRKPGGFVALSNVYAAEGEWEKVETVRKVMKDKGFNKMPGSSWV >itb10g25470.t2 pep chromosome:ASM357664v1:10:28784938:28787623:-1 gene:itb10g25470 transcript:itb10g25470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISATAPAANLSPLTPPLEKLLFPSLRSYSLCSKTAQKPLVLAAAASKNPVSDVRSDETSFASYFDEDRTDRLREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVSVHDNVLQHITGVGLVSEVFNESKLDKLPGEMAIGHVRYSTAGSSMLKNVQPFVASYRFGSVGVAHNGNLVNYEALRAALEENGSIFNTTSDTEVILHLIAISKARPFILRIVEACEKLEGAYSLVFVTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVVVVDKEGFQSLCLMTHPESKACIFEHIYFALPNSVVFGMSVYESRHKFGEILATESPVECDVVIAVPDSGVVAALGFAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVKAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMTVEEIRAFIGSDSLAFLSFDSLKKHLGSDSPNFCYACFSGRYPVLPTGKVKRVGDFMDDGLSGNMGSIDGGWLHKTKMLNENEEAIATPEL >itb10g25470.t1 pep chromosome:ASM357664v1:10:28784887:28787623:-1 gene:itb10g25470 transcript:itb10g25470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISATAPAANLSPLTPPLEKLLFPSLRSYSLCSKTAQKPLVLAAAASKNPVSDVRSDETSFASYFDEDRTDRLREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVSVHDNVLQHITGVGLVSEVFNESKLDKLPGEMAIGHVRYSTAGSSMLKNVQPFVASYRFGSVGVAHNGNLVNYEALRAALEENGSIFNTTSDTEVILHLIAISKARPFILRIVEACEKLEGAYSLVFVTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVVVVDKEGFQSLCLMTHPESKACIFEHIYFALPNSVVFGMSVYESRHKFGEILATESPVECDVVIAVPDSGVVAALGFAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVKAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMTVEEIRAFIGSDSLAFLSFDSLKKHLGSDSPNFCYACFSGRYPVLPTGKVKRVGDFMDDGLSGNMGSIDGGWLHKTKMLNENEEAIATPEL >itb05g06550.t1 pep chromosome:ASM357664v1:5:7018855:7031480:-1 gene:itb05g06550 transcript:itb05g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVYIPVQNSEEEVLVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPDIDEYYADVRYDRIAILNALGAYYSYLGKIETKHREKEDHFIMATQYYNKASRIDMHEPSTWVGKGQLLLAKGDLEQAFAAFKIVLDGDRDNVPALLGQACVQFSRGRYSDSLELYKRALQVYPQCPAAVRLGIGLCRYKLGQLVKAKQAFQRVLELDPENVEALVALAILDLQTNEAGSIRGGMEKMQKAFEIYPYCAMSLNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGMYYMASVKEINKPHEFVLPYYGLGQVQLKLGDLRSSLSNFEKVLEVYPENCETLKSLAHIYVQLGQPEKALEYLRKATKVDPRDPQAFLDLGELLISTDAGAALDAFKTARNLLKRANEEVPVELLNDIGVLHFERGEFELAGQTFRDALGDGIWSKFLDAKLQSDANGKAPNYEGLQHSNGEAPCELFKTVQYPMDASASIRQYKDFQLFQRLEEQGIAVELPWNKVSPLFNQARLLEQLYDTETACIFYRLILFKYPDYVDAYLRLAAIAKARNNVQLSIELIGDALKVDDKCPNALLMLGDLEIKNDDWVKAKETFRAAKEGIDGNESYATLCLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTKVLFQHNSNLYAANGAGVILAEKGQFDISKDLFTQVQEAASGNVFVQMPDVWINLAHVHFAQGNFALAVKMYQNCLRKFYHNTDSQVLLYLARTHYEAEQWQDCKKTLLRAIHLSPSNYTLRFDAGVALQKFSASTLQKTKRTVDEVRSTVAELKNAVRLFSMLSTASNLHMHGFDEKKIETHVEYCKHLLEAAKVHCEAAEREDQQIKQRLELARQVILAEENQRKAEEQKKYQMERRKQEDELKQVMQQEEHLLRKKEQWKSSTSASKRKDRPHAEDEEGGNGDRRRRKGGKRRKRDKKSHYDSEEADMDNQEEMDEDGNMNYRDHDHDDQAENNPQDLLAAAGLEDSDAEDDGPEPPSNANRRRHAWSSDEEDEPLQRQGSDRGGDGEKPNDADE >itb05g06550.t2 pep chromosome:ASM357664v1:5:7018855:7031480:-1 gene:itb05g06550 transcript:itb05g06550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVYIPVQNSEEEVLVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPDIDEYYADVRYDRIAILNALGAYYSYLGKIETKHREKEDHFIMATQYYNKASRIDMHEPSTWVGKGQLLLAKGDLEQAFAAFKIVLDGDRDNVPALLGQACVQFSRGRYSDSLELYKRALQVYPQCPAAVRLGIGLCRYKLGQLVKAKQAFQRVLELDPENVEALVALAILDLQTNEAGSIRGGMEKMQKAFEIYPYCAMSLNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGMYYMASVKEINKPHEFVLPYYGLGQVQLKLGDLRSSLSNFEKVLEVYPENCETLKSLAHIYVQLGQPEKALEYLRKATKVDPRDPQAFLDLGELLISTDAGAALDAFKTARNLLKRANEEVPVELLNDIGVLHFERGEFELAGQTFRDALGDGIWSKFLDAKLQSDANGKAPNYEGLQHSNGEAPCELFKTVQYPMDASASIRQYKDFQLFQRLEEQGIAVELPWNKVSPLFNQARLLEQLYDTETACIFYRLILFKYPDYVDAYLRLAAIAKARNNVQLSIELIGDALKVDDKCPNALLMLGDLEIKNDDWVKAKETFRAAKEGIDGNESYATLCLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTKVLFQHNSNLYAANGAGVILAEKGQFDISKDLFTQVQEAASGNVFVQMPDVWINLAHVHFAQGNFALAVKMYQNCLRKFYHNTDSQVLLYLARTHYEAEQWQDCKKTLLRAIHLSPSNYTLRFDAGVALQKFSASTLQKTKRTVDEVRSTVAELKNAVRLFSMLSTASNLHMHGFDEKKIETHVEYCKHLLEAAKVHCEAAEREDQQIKQRLELARQVILAEENQRKAEEQKKYQMERRKQEDELKQVMQQEEHLLRKKEQWKSSTSASKRKDRPHAEDEEGGNGDRRRRKGGKRRKRDKKSHYDSEEADMDNQEEMDEDGNMNYRDHDHDDQAENNPQDLLAAAGLEDSDAEDDGPEPPSNANRRRHAWSSDEEDEPLQRQGSDRGGDGEKPNDADE >itb05g06550.t3 pep chromosome:ASM357664v1:5:7019660:7031495:-1 gene:itb05g06550 transcript:itb05g06550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVYIPVQNSEEEVLVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPDIDEYYADVRYDRIAILNALGAYYSYLGKIETKHREKEDHFIMATQYYNKASRIDMHEPSTWVGKGQLLLAKGDLEQAFAAFKIVLDGDRDNVPALLGQACVQFSRGRYSDSLELYKRALQVYPQCPAAVRLGIGLCRYKLGQLVKAKQAFQRVLELDPENVEALVALAILDLQTNEAGSIRGGMEKMQKAFEIYPYCAMSLNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGMYYMASVKEINKPHEFVLPYYGLGQVQLKLGDLRSSLSNFEKVLEVYPENCETLKSLAHIYVQLGQPEKALEYLRKATKVDPRDPQAFLDLGELLISTDAGAALDAFKTARNLLKRANEEVPVELLNDIGVLHFERGEFELAGQTFRDALGDGIWSKFLDAKLQSDANGKAPNYEGLQHSNGEAPCELFKTVQYPMDASASIRQYKDFQLFQRLEEQGIAVELPWNKVSPLFNQARLLEQLYDTETACIFYRLILFKYPDYVDAYLRLAAIAKARNNVQLSIELIGDALKVDDKCPNALLMLGDLEIKNDDWVKAKETFRAAKEGIDGNESYATLCLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTKVLFQHNSNLYAANGAGVILAEKGQFDISKDLFTQVQEAASGNVFVQMPDVWINLAHVHFAQGNFALAVKMYQNCLRKFYHNTDSQVLLYLARTHYEAEQWQDCKKTLLRAIHLSPSNYTLRFDAGVALQKFSASTLQKTKRTVDEVRSTVAELKNAVRLFSMLSTASNLHMHGFDEKKIETHVEYCKHLLEAAKVHCEAAEREDQQIKQRLELARQVILAEENQRKAEEQKKYQMERRKQEDELKQVMQQEEHLLRKKEQWKSSTSASKRKDRPHAEDEEGGNGDRRRRKGGKRRKRDKKSHYDSEEADMDNQEEMDEDGNMNYRDHDHDDQAENNPQDLLAAAGLEDSDAEDDGPEPPSNANRRRHAWSSDEEDEPLQRQGSDRGGDGEKPNDADE >itb15g00860.t1 pep chromosome:ASM357664v1:15:495707:498099:1 gene:itb15g00860 transcript:itb15g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSRTSFPTTKVLWLFMVPFVVLSGFLVLRAPNSLVFTFPYLKTLAVYSAKRYTKLEILESGLAQARSSILTGNQLHSDEHYIPSGSIYWNASSFHRSYVEMERTFKVYVYEDGQPPVAHYGPCKSIYAIEGHFIHAMDFSQFRTTDPDSAHVFFLPFSVTVLSKMIYVANSHDWSPMKRTALDYVQSIARKYPFWNRTLGADHFMLSCHDWGPEISASIPNLQKYAIRALCNANTSERFNPKKDVSIPEINLPDGTTNGLIGGPPPTERPVLVFYAGGLHGPVRPILLKHWENKDEDIKVHSYLPKNVSYHGMMRKSKYCLCPSGYEVASPRMVEALYTGCVPVLIKRGYVPPFSDVLNWTAFAVIIPVEDIPNLKKILTDIPQTQYEVLQRRGVEVRRHFEVNWPPKRFDVFHMILHSIWLRRLNIRVHHLDN >itb09g30830.t1 pep chromosome:ASM357664v1:9:31398228:31399495:-1 gene:itb09g30830 transcript:itb09g30830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCGVLLLYVLLLVLGCCGGIHALQSDIECLKSVKESLEDPLGYLHSWNFNNKTEGFICSFIGVDCWHPDENKVLNIRLSKMELGGQFPLGIQRCSSLTGLDLSRNRLNGTIPSNITKIAGYLTKLDLSSNQFWGEIPVGLANCTYLNVVKLDNNKLTGQIPPQFGSLTRIKDFSVANNRLIGAVPHFGNYSIPAQNLANNDGLCGAPLPDCPVHAKKDHRDRDFFLTGFVTGWAIFVLLTLFICLFLFGIPYAAAIGKNVNTSICPEQEDIGNQDMQNFWENKSTCPMAAYTLHKTGQKPMRMKIAVGVAKALWWLHYSCRLNVVHNGLSSKCILLDHNFEPRISKFWKAAITNPYHTASTIPAGITLLNMNTISALSPKMCTALELCFFSS >itb15g11950.t1 pep chromosome:ASM357664v1:15:9822482:9825591:1 gene:itb15g11950 transcript:itb15g11950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSTPSFCPLFNPKPSRPSLSSQQRSNFLTLPKPISCSLRTEHSLPETQHFCLPKRWISHVHQGLAALAISLALNFCPVLPSASALASEFDVLNEGPPKESYVVDDAGVLSRVTRSDLRRLMEDLEFRKGYHINFVTVRKLTSKADAFEYADQVLERWYPTIEEGSNKGIVVLVTSQKEGAITGGPEFVKAVGDTILDATVSENLPVLATEEKYNEAVYSTAKRLVAAIDGLPDPGGPSFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >itb08g16450.t2 pep chromosome:ASM357664v1:8:18571098:18575669:1 gene:itb08g16450 transcript:itb08g16450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MSGVLATSRSELELDRPNLEDYLPTGSIPEPLGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPETWNWNIYLFPLWCLGVVVRYGLLFPLRAIVLTVGWIIFLSCYIPVHFLLKGHDRLRKKLERVLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVEGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRK >itb08g16450.t1 pep chromosome:ASM357664v1:8:18571098:18575669:1 gene:itb08g16450 transcript:itb08g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MSGVLATSRSELELDRPNLEDYLPTGSIPEPLGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPETWNWNIYLFPLWCLGVVVRYGLLFPLRAIVLTVGWIIFLSCYIPVHFLLKGHDRLRKKLERVLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLREHVEGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLKPGETAIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAECILRRLEER >itb13g26450.t1 pep chromosome:ASM357664v1:13:31708659:31709093:-1 gene:itb13g26450 transcript:itb13g26450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPIPRNIIGETLCSVPLTIIAIFVSISALVALCAKHARRAPPRAGGAGTAPPPPSTKRRPITIIREKGGDEESDHSAGIFASEAGGGGEGLWQKAILMGEKCQPPEFSGVIYYDYSGNRVSELPRSPRASPLPRFHLPMEED >itb09g07130.t1 pep chromosome:ASM357664v1:9:4138615:4140338:1 gene:itb09g07130 transcript:itb09g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEHKFIVVNGLKIHVAEAGSGSGPVVLFLHGFPEIWYSWRYQMLAVAKAGYRAISLDYRGYGLSDQPPQPENTTFLDLVNDLAALLDTLSIPKVFVVGTDFGSLVLTIFSLLHEERVVGCVTLDVPFVLPRSFTSAEELPEGFYIARWNEPGRAEADFGRLDAKTVVKNIYILFSRSEIPIASEKQEIMDIVEPSTPLPPWFTEEDLAAYGALYEKSGFRTALKVPYRSLGDDYERIELPAEPRIKAPALFISGKKDYVMKFPGMEEYVTSGMLKALVPNVEIVYIPEGTHFLHEQFPEEVNQLILNFLDSHR >itb10g05740.t2 pep chromosome:ASM357664v1:10:6021352:6024461:-1 gene:itb10g05740 transcript:itb10g05740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEATNKVLSRIQSFDPHNASKILGYILIREHGDKDVIRLAHGPDAVLLSLISQVKAGLGLCSSSSPPFSQASLRITIPPFQFHSSPPLSPWSNANVSLPVDHFRFLDESEETHHNQNELEFASDSDDSMYNPPRKLLHAPTKQDSSFDHFLRMKAHRLQHQRLHYPLTYNNTNAIMSNLNENPPRLAAAPFMIGEELQRFGHCPPPEVPCDFYSDACLHQIYLTFPADSTFSEEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDCRVLVKPYKEKGKILEKNRKQQQHHMDIGKLSACLSPSGLDSREPYDLPFGPRMFNNSQEALLRRKLEKEAELQKAIDLHSRRLMDLQLMDVKNKEKYNHFQCSSSPVIPVSCQSNSQSPNYQVTEENNSSHEASAAGQENNSGSEDSCKKQRCNSPELHKRGLEHILPGKIVAAENLPTGTDDSFSPTPFSYNTPTLVGTSTGMHPLFNHVT >itb10g05740.t1 pep chromosome:ASM357664v1:10:6021216:6024503:-1 gene:itb10g05740 transcript:itb10g05740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEATNKVLSRIQSFDPHNASKILGYILIREHGDKDVIRLAHGPDAVLLSLISQVKAGLGLCSSSSPPFSQASLRITIPPFQFHSSPPLSPWSNANVSLPVDHFRFLDESEETHHNQNELEFASDSDDSMYNPPRKLLHAPTKQDSSFDHFLRMKAHRLQHQRLHYPLTYNNTNAIMSNLNENPPRLAAAPFMIGEELQRFGHCPPPEVPCDFYSDACLHQIYLTFPADSTFSEEDVSNYFSSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDCRVLVKPYKEKGKILEKNRKQQQHHMDIGKLSACLSPSGLDSREPYDLPFGPRMFNNSQEALLRRKLEKEAELQKAIDLHSRRLMDLQLMDVKNKEKYNHFQCSSSPVIPVSCQSNSQSPNYQVTEENNSSHEASAAGQENNSGSEDSCKKQRCNSPELHKRGLEHILPGKIVAAENLPTGTDDSFSPTPFSYNTPTLVGTSTGMHPLFNHVT >itb10g14460.t1 pep chromosome:ASM357664v1:10:20848785:20854264:-1 gene:itb10g14460 transcript:itb10g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATAAAGVTSPPPPQPKVESEAEKSQLPPLTAQFEGSVSNPQSQSEQPPSCPADTIAGLRGLSTALSAFQRCCNELQGHMNYIMTALDSIVQQGPAIVTPQLLQPNQEELPIIPANQASYSVPIEENQPKPSGSELEHLCRTMNSRGLRKYMVSQLSEISKLREEVPKALKLAPNPGRLVLDCSGRFFLQGSKAFADKKSPMVPAREASVLILECFLLMEIDQGIEIENAVKDEAEQAAVAWRKRLITEGGLGKASKMDSRGLLLLVGCFGIPALFRYEDFRDLLRSSNAKEIKTALRKSSFLMTKIPEVIDWMVKSKMEVDAVDTAYTCGIEDQINPQKLLISFLRESKESSNKRKKLVQGSPASVNESNKKRLYGLKSVVKCLESHNVDPAKLLPGWQINEMIAGLEKEIAELNKKTTEKAIQKRKVDETESSKKFKPQEVKRSRLDGVPNHLHSYYAPPSLYAPGPGSLAESMVGSVAGTAGAVLTGGGVLTGGPGAGVSAGTHTVLHTGPYAGVHGGMVLDPADIISHNRLDPADILGHNSQIYRLHGDTALYDRIGSHIHAYMPSSSLESSIALRAAAAAAVGGPGRTAASDPYQHPDAVETEVYRSNSVRAAGGVPAHHTSYML >itb12g16330.t1 pep chromosome:ASM357664v1:12:17105672:17106004:-1 gene:itb12g16330 transcript:itb12g16330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIGHYRSATKCRRSKAHRKRATADSSPRRNGEEGTRVTTNHHHQRQNTVGEEEKKKILPPPFSRLEGGEQSPVSCCCASTEEKENTLHRRCRWGNQHAITSRRRARR >itb05g11020.t1 pep chromosome:ASM357664v1:5:16959117:16960840:-1 gene:itb05g11020 transcript:itb05g11020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSLQSSSGGEEEYDSRGETFLNNLDLVSPSSSSTSQQGQFLSHSGLLFDHNFQQSLALTALNSQYNNDNNALLWPLGMGLRTQPNNYGGLSSQPLLEQPLVETTNVVGRSNHSPPSDQPPLPKNPKKRTRASRRAPTTVLTTDTTNFRQMVQEFTGIPAGPFSGSGGGSLYSRRLDLFSTAGSSVRTAHSDPLGTFHHHSLGQKVHSLPLHKLSGQNGDDSPFCHKKAEMPSFIPSSSNTNFQLSTEVGLGITKQQSSALFNMEEQILTFQPLLQSSLKNPLGNHEPNEPVFGSKSRGSNSHLSAIDGLGISHEQASGSLSGFLGQGSANNSDQARNCSTSSAHDKGLENAVRSGGDGDAGSSWPCPN >itb15g06470.t1 pep chromosome:ASM357664v1:15:4301747:4304449:-1 gene:itb15g06470 transcript:itb15g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQNNGARLEDGLDSGAKMDPNSEVCRAEIDTSVPFESVKEAATRFGGIGFWRPTAHKPSRRDSQVTYEVDIAKVEEQAAQLERDLVVKERETLAVLKELESAKTTMEELKVKLQKASELDASLDFYSEAKGIDAVAEVAPKERNGDLVGSDGCGFNLCPSSAPGLILLELKQAKFNLSKTTRDLVDIRATVGSYNAKIEKERILLEKTKKRLASNFVKVSSLEEELNQTKRNLELVEACNGDSREPLDLTTELQRLCSETQQFKKVGEATKSEVLLAMLEIEQTKARIKTAEIKLIAAKKMKEAARATESVALAEIKALSNSENLFTTSQRKPGVGITLTLEEYSALTSRARDADEACKRRAIGAMLLADEANAAKIEILKKVEEATEEVKLSKAALEEALSRVEAANQGKLEVEEAIREWRFDHCQRKHTVQNPTKFKNSKSFQHRKDPSLLDVNGLTLVSNEAPSALKSTISIGQILSRKLLITEEFENGKRVEKGNGKHKVSLSLGQMFGKLNSMPSLKDPKENAHEVHPSKRKKFSFSRISLLVTKQGKKKKTSKFKVSRH >itb02g15070.t1 pep chromosome:ASM357664v1:2:10941243:10945843:1 gene:itb02g15070 transcript:itb02g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANEGGDGFGDGVGDPSEQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGENFLQSLKKNEDLGFRNEEVAEKLPPKPTTVTPPAQTPSAIGGERKAEKEEDGGTRSSGRLEGYQSMGFRVNEMGARSSSVAAGGSGTPGGELRVELGHSSGKVADLEEQAVSGNAQNQCVAQQPHVAPVGNLVNVGITGNDSMARQGGGNMSANISGGGAFGVGGGGGGGPGGGTVLFVGDLHWWTTDAELEAELCKYGPVKEVKFFDEKASGKSKGYCQVEYFDPSAATACKEGMNGHVFNGRPCVVAFASPYTVKKMGEAQLNRNQQMTQTSAPQARRGPGDAIGKPSGNNIATGGNYQGGGDNNRGGYGRGNWGGRGGAQGMGNRGPLGPMRNRPGGVGGRGLMGNGGSAFGQGIGGTPPMLHPQAMMGPGFDPAFGGPMGRMGSYGGFPGAPTPPFSGILPSFPPVGSVGLPGVAPHVNPAFFGRGMPMNGMGMMPGAGVEGPNMGMWSDMNMGGGGWTGGEEHGGRAGESSYNEEAVSDHQHGEVSHDRGAWPGGLKEKDRGVERDWSGSTDRRHRDDKEPGFDREMPREKDTGHDHDWSERKHRDSRDIGRERERERERSRDRDRDRDRDVDRDRDRDRGHDRERDHHRDERYAAHHRHRDHEPEYNDDWDRGRSSRTHAKSRVSREEEQRSRSRDTEYGKRRRSE >itb08g04470.t1 pep chromosome:ASM357664v1:8:3661917:3664386:1 gene:itb08g04470 transcript:itb08g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGVLGCKAPFGFGRSFNNQKLGCVAGSILPIVIRKNVIELCCIKTQGVFRLGNVETQSCAVRSSTRIWSVCNDNVDELFDGGDDEDDDDELCPVECVREFNTDEEFSRIMEKAKQTGALVVVDFYRTSCGSCKYIEQGFVKLCKGSGDQDAPVVFLKHNVLDEYDEQSEVAERLRIRSVPLFHFYKNGVLLEAFPTRDKERVLSAILKYSGSSPQDA >itb13g21760.t1 pep chromosome:ASM357664v1:13:28294632:28298126:1 gene:itb13g21760 transcript:itb13g21760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLNRGRWTKEEDERLINYIQQNGEGSWRSLPKNAGLQRCGKSCRLRWINYLRSDLKRGNFTTDEEEIIVKLHTSLGNRWSLIASQLPGRTDNEIKNYWNSHLSRKIYSFRRSINNAPMAAVQVAEDVAAARRRRGGRVSRSVAKKYNTTSFHVATASFRNKHKKPPAAGSPGSTSAVHNSDGGTSQGSAGNDGKAFAAKGLRAENDRQLACQVFDEMTREDDASGASLLAINGGSGPESEGYSDGQTAATATVELYTYQLPAPGGDSIFDDDDWVNWSLDDDAFRGYDEFWDDLDDIFVWPWDHNTNNTATDDS >itb09g08400.t1 pep chromosome:ASM357664v1:9:5020562:5022055:1 gene:itb09g08400 transcript:itb09g08400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASASFNCPIPGSNPIPKAGEIHVILGPMFAGKTTALLRRVNSESNLGKNVVLIKSSIDTRYAVDAVVTHDGTKFPCWALPDLTSFKDRFGSDAYEKVDVIGIDEAQFFDDLYDFCCEAADLDGKTIIVAGLDGDYLRRRFGSVLDIIPLANTVQKLTARCELCGKRAFFTYRKTGETQTELIGGSDMYMPLCRQHYVNGNAVVAAAKTVISSHKMQCGTLLESKKAAIVE >itb02g06800.t1 pep chromosome:ASM357664v1:2:4261686:4263478:-1 gene:itb02g06800 transcript:itb02g06800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIMNDGTGGKASKRKILKICCCSLLLIIVVLVIVFVSLFFTVFKPRDPILTPYPTKLQNVHFRFDPSPSITATLNLIINVKNPNRGDFEYKDATASLDYRGISVAGIPVQHGTVPGRGEINVTTYANVTGDKIAGNPSFLEDMAAGSVMFTSSAALPGKICCCSLLLIIVVLVIVFVSLFFTVFKPRDPILTPYPTKLQNVHFRFDPSPSITATLNLIINVKNPNRGDFEYKDATASLDYRGISVAGIPVQHGTVPGRGEINVTTYANVTGDKIAGNPSFLEDMAAGSVMFTSSAALPGKVKVVRTLKIHATVLMTCDVCVFTNQSYYVQSTCNARIKL >itb13g20650.t1 pep chromosome:ASM357664v1:13:27429982:27432854:1 gene:itb13g20650 transcript:itb13g20650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLASRKVEKFEKNITKRGFVPESTTKKGNNYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >itb13g20650.t2 pep chromosome:ASM357664v1:13:27429982:27432854:1 gene:itb13g20650 transcript:itb13g20650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLASRKVEKFEKNITKRGFVPESTTKKGNNYPVGPILLGFFVFVVIGSCKFLHLNNKIM >itb02g09750.t1 pep chromosome:ASM357664v1:2:6254646:6259384:1 gene:itb02g09750 transcript:itb02g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSTLSQSQQWGPKPKTIPTQMENPRNFPVQLNPRPNPSLKKPKSLSCSASSPSKSQPIDKNSTPPLVVVGSANADIYVEIDRLPQEGETVSAKTGQTLAGGKGANQAVCGGKLAYPTYFMGQVGEDAHGKLIVEALEGGGVILDHLRTVAHAPTGHAVVMLQEDGQNSIIIVGGANMSYWPESLPEEYLEVVRNAGIVLLQREIPDSVNIQVAKAARSAHVPIILDAGGVDSPVPSELLSVVDIFSPNESELARLTKMPTENFEQIKQAVEKCHDMGVNQVLVKLGAKGSALFIKGEEPIRQPIIKAEKVIDTTGAGDTFTAAFAVALVEGKSKKECLAFAAAAASLCVQVKGAIPSMPERKRVLNLLKSL >itb02g09750.t2 pep chromosome:ASM357664v1:2:6254710:6259384:1 gene:itb02g09750 transcript:itb02g09750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSTLSQSQQWGPKPKTIPTQMENPRNFPVQLNPRPNPSLKKPKSLSCSASSPSKSQPIDKNSTPPLVVVGSANADIYVEIDRLPQEGETVSAKTGQTLAGGKGANQAVCGGKLAYPTYFMGQVGEDAHGKLIVEALEGGGVILDHLRTVAHAPTGHAVVMLQEDGQNSIIIVGGANMSYWPESLPEEYLEVVRNAGIVLLQREIPDSVNIQVAKAARSAHVPIILDAGGVDSPVPSELLSVVDIFSPNESELARLTKMPTENFEQIKQAVEKCHDMGVNQVLVKLGAKGSALFIKGEEPIRQPIIKAEKVIDTTGAGDTFTAAFAVALVEGKSKKECLAFAAAAASLCVQVKGAIPSMPERKRVLNLLKSL >itb11g04490.t1 pep chromosome:ASM357664v1:11:2355075:2355972:1 gene:itb11g04490 transcript:itb11g04490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECGRSTMVWVGFMCVMLTALMWSSEGRPPRTFQAGGRDGWRLIPDDGLNRWAEKRRFRVNDTIAFEYEKGKDSVLVVRKRAYHHCNKTSPIHNMTDGFSTLKFTRNGPFYFISGHAQNCLNGQKLRVVVMSPNHRPSIPPTTPPPPASTPPPPAASPPSPSPSPSPNVPAQPPPPPPSAGEGWITAPAPQNSSPSPSSPLISWGLTMAAAFLISLV >itb09g08080.t1 pep chromosome:ASM357664v1:9:4856657:4861448:-1 gene:itb09g08080 transcript:itb09g08080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFGKLPRKPSSKSSSYGDTNNSEVSALSSANVNSNSYGIVANGNNSKGNANLGKSANSSSSAPARSASNGNYATLKSNQGKKMGHDAQMGPVAVNLVSPYEALPSFRDVPNSEKQNLLVKKLNMCCVVFDFSDPTKNLKEKDVKRQTLLELVDYISSVNSKFNEVTMQEITKMVAANLFRTFHSPNLDNKLPDLFDPEEEEPAMEPMWPHIQIVYEILLRFVASSEMDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINHIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSIYHPQLSYCITQFVEKDYKLADTVIRGLLKYWPLTNSGKEVMFLGELEEVLEATQDAEFQRCMVPLVRQIGRCLSSSHFQVAERALFIWNNDHIRNLILQNREVILPIVFPYLERNTRGHWNQAVQSLTLNVRKIFADADPMLFEQCLSSFEEDENRKEERQKRRESTWKQLENVAASNAVSNEGVLVARFASSVAIATSSNPPRTPVAAEDSTPH >itb09g08080.t2 pep chromosome:ASM357664v1:9:4859547:4861448:-1 gene:itb09g08080 transcript:itb09g08080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFGKLPRKPSSKSSSYGDTNNSEVSALSSANVNSNSYGIVANGNNSKGNANLGKSANSSSSAPARSASNGNYATLKSNQGKKMGHDAQMGPVAVNLVSPYEALPSFRDVPNSEKQNLLVKKLNMCCVVFDFSDPTKNLKEKDVKRQTLLELVDYISSVNSKFNEVTMQEITKMVAANLFRTFHSPNLDNKLPDLFDPEEEEPAMEPMWPHIQIVYEILLRFVASSEMDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINHIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSIYHPQLSYCITQFVEKDYKLADTVIRGLLKYWPLTNSGKEVMFLGELEEVLEATQDAEFQRCMVPLVRQIGRCLSSSHFQVQPSAIACPIYSSFIPQFQPELIFSNLTYQLPALNNEGILSLSLSFLLSLLVN >itb13g04720.t1 pep chromosome:ASM357664v1:13:5602306:5605605:1 gene:itb13g04720 transcript:itb13g04720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MGSVSNFVIRWINFLTMILAVGVIGFGVWMGANHDGCRKSLALHIIILGALIFVVSVFGFLGAWKSNSILLWIYLIMLFFILVAILIFTVLAFIVTNNGSGHSVNGLRYKEYQLQDYHSWFLKQLNSSHNWERLKSCLVKSANCDNLSKRYKTLKQYKSAKLSPIEAGCCRPPSECGYPARNASYYDLSFHPTSSNKDCKLYKNKRDIKCYNCDSCKAGVAQYMKTEWRVVAIFNLILFIVLSMIYFVGCCARQNAARSCSKV >itb12g24380.t1 pep chromosome:ASM357664v1:12:25926766:25933553:1 gene:itb12g24380 transcript:itb12g24380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRKQLIATALCKELSLDPKQFLMNPEKDIKSLCTEIISSTPSGAALLKDNEFMKWVEFADKFPGDSEPCFKALSELNEELVKMSVLLGNGFVHSAADVIVFSTVHSSVIGLSKLDQDKLPHLLRWVDYIQNKADMENLFERIKLEKVEFDPPVVKVVKKAEVDSNVKNPEQGKKESGSAENEKPKKSAEDVKPKKNADAKKAAGGNQTTTDNKNNKKVPEKEVDEKDKDISVSLLKVQIGHIRKAWKHPSADSLLVEEIDVGEAKCRQVVSGLAKYFTPEQMTNRRVVLITNMKPSKLRDVTSEGMVLCASNDDHTVVEPLIAPEGAKVGECVSFSGHDGKPEDVLNPKKKQFDKIAVNLFTDDNGVATFKGIPFMTSGGPCTSSLPRASIK >itb14g21110.t1 pep chromosome:ASM357664v1:14:23271629:23281830:1 gene:itb14g21110 transcript:itb14g21110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSSKRPLSSPSSPLPNGKRPKAGEALSSTNDTLGEKTPGVVNESGPESAEQEVRSADLAEASVLKSGGAAEPEKLPEASVGGDSVSDVDKVKSNMPVNFRGRKRQLKSNIGAAWGKLLSQRSQIRHVLMHHPTFTVGQGRQCDLWIGDPSVSKSLCNLKHDESQKGSSSTLLEIIGKKGSVQVNGKICQKNSIVPLNGGDEVVFGSSGRHAYIFQKLGNDISATTIPHSVSILEAHSGPIKGVHFEARSGDPSTVAVASTLASLSNLKKELSLLPPSVHNNKDVKQAAEMSILPAASGVLDKHDAVADMKDASDHNDVSLVDKTGTITPDYANDNLNIENGALDCVDTEIGKATGASGDLRPLLQMFAGSSLPEFDLRNSITKILEEQRGMRESKDFDPPVLISARRQAFKDGLQQAVLDSKNIEVSFENFPYYLSETTKNVLIASTYVHLKCNKFSKYASDLPTLCPRILLSGPAGSEIYQETLIKALTKYFDARLLIVDSLVLPGGSLAKEVDLGKESSKLDWARCVAKRAAQAAALQQLNKKPASSVEADITGGSTISSHTQPKQEASTASSKNYTFKKGDRVKYVGPLPSAFSPMQSPIRGPMYGYKGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCAADMLRLDSSSMDDIDKLAINELLEVAANEIKNGPLVVFIKDIEKSMVGNPETCASLKVKFESFPENVVVIASHTQTDSRKEKSHPGGLLFTKLGSNQTALLDLAFPDSFGRLHERSKETPKTVKQLTRLFPNKVTIQLPQDEKLLVDWKQQLDRDIETMKSQSNRANFRNVLNRVGLDCSDLDTLHIKDQALTTESVERIIGWALSHHFMHSSESSAKETKLVISSESIRYGLSILQGIQNDTKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATDAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVVFVDEVDSMLGRRESPGEHEAMRKMKNEFMVNWDGLRTKDNERVIVLAATNRPFDLDEAVIRRLPRRLMVNLPEAPNREKILSVILAKEELAPNVDLEAIANMTDGYSGSDLKNLCITAAHRPIREILKKEQAEKALAVAENRPLPTLHSSSDIRALSMEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKTKALSYFM >itb05g18770.t3 pep chromosome:ASM357664v1:5:25455806:25459376:1 gene:itb05g18770 transcript:itb05g18770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDQEGIVPPPSNNNLSIKKKELLSTAMKRTTEWIFSQEIPSDVTIHAGGTSFSLHKFPLVSKCGYIRKLVSESSDADLSIVEIPDIPGGAEAFELAAKFCYGINFEISTENIAMLRCTAEYLEMTEDYAVGNLVGRTEAYLNEVALNSLAGAVSILHSSESLLPMAEKVKLVSRCIDQIAYIACKDSQFCTSVVVEGGTNGLNSSTLSNTKPIVDWWAEDMAVLRIDFFQRVLIAMMARGFKQYGLGPILMLYAQKSLRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNTLSVSFLSMLLRAAIYLETTVACRLDLEKRLGLQLGQAVLDDLLIPSYSFTGDTLFDVETVQRIMMNYLEYQLEGNRSGFNPDEEYVAPSPSDMERVGRLMETYLAEIASDRNLSVSKFINIAELIPEQSRITEDGMYRSIDIYLKAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLREVMNGSVVSGESPALPSKVTTQFSTDIQPVPDELSSLRRENQDLKLELVKMKMRLREIERSSEKSDKSATSSPLGITLTSADKPPLPRRSFMSSVSKKLGRLYPFTRADGLIPGKGRNKPSKNRRHSIS >itb05g18770.t4 pep chromosome:ASM357664v1:5:25455806:25459376:1 gene:itb05g18770 transcript:itb05g18770.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTAEYLEMTEDYAVGNLVGRTEAYLNEVALNSLAGAVSILHSSESLLPMAEKVKLVSRCIDQIAYIACKDSQFCTSVVVEGGTNGLNSSTLSNTKPIVDWWAEDMAVLRIDFFQRVLIAMMARGFKQYGLGPILMLYAQKSLRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNTLSVSFLSMLLRAAIYLETTVACRLDLEKRLGLQLGQAVLDDLLIPSYSFTGDTLFDVETVQRIMMNYLEYQLEGNRSGFNPDEEYVAPSPSDMERVGRLMETYLAEIASDRNLSVSKFINIAELIPEQSRITEDGMYRSIDIYLKAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLREVMNGSVVSGESPALPSKVTTQFSTDIQPVPDELSSLRRENQDLKLELVKMKMRLREIERSSEKSDKSATSSPLGITLTSADKPPLPRRSFMSSVSKKLGRLYPFTRADGLIPGKGRNKPSKNRRHSIS >itb05g18770.t1 pep chromosome:ASM357664v1:5:25455587:25459376:1 gene:itb05g18770 transcript:itb05g18770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTAEYLEMTEDYAVGNLVGRTEAYLNEVALNSLAGAVSILHSSESLLPMAEKVKLVSRCIDQIAYIACKDSQFCTSVVVEGGTNGLNSSTLSNTKPIVDWWAEDMAVLRIDFFQRVLIAMMARGFKQYGLGPILMLYAQKSLRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNTLSVSFLSMLLRAAIYLETTVACRLDLEKRLGLQLGQAVLDDLLIPSYSFTGDTLFDVETVQRIMMNYLEYQLEGNRSGFNPDEEYVAPSPSDMERVGRLMETYLAEIASDRNLSVSKFINIAELIPEQSRITEDGMYRSIDIYLKAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLREVMNGSVVSGESPALPSKVTTQFSTDIQPVPDELSSLRRENQDLKLELVKMKMRLREIERSSEKSDKSATSSPLGITLTSADKPPLPRRSFMSSVSKKLGRLYPFTRADGLIPGKGRNKPSKNRRHSIS >itb05g18770.t2 pep chromosome:ASM357664v1:5:25455903:25459370:1 gene:itb05g18770 transcript:itb05g18770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDRYSCFTPRNLFEEIFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNTLSVSFLSMLLRAAIYLETTVACRLDLEKRLGLQLGQAVLDDLLIPSYSFTGDTLFDVETVQRIMMNYLEYQLEGNRSGFNPDEEYVAPSPSDMERVGRLMETYLAEIASDRNLSVSKFINIAELIPEQSRITEDGMYRSIDIYLKAHPALSDMERKKVCSVMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLREVMNGSVVSGESPALPSKVTTQFSTDIQPVPDELSSLRRENQDLKLELVKMKMRLREIERSSEKSDKSATSSPLGITLTSADKPPLPRRSFMSSVSKKLGRLYPFTRADGLIPGKGRNKPSKNRRHSIS >itb01g35320.t1 pep chromosome:ASM357664v1:1:37586162:37593624:-1 gene:itb01g35320 transcript:itb01g35320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:Projected from Arabidopsis thaliana (AT1G75850) UniProtKB/TrEMBL;Acc:A0A178WDK6] MIADGIEDEEKWLAEGIAGIQHNAFYLHQAMDSNNLREALKFSAHLLSELRTSRLSPHKYYELYMRAFDELRKLELFFRDEDRHGCPVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRSVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGEADTVMDAVDFVLQNFTEMNKLWVRMQHQGPARIEEKLEKERSELRDLVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLEILLGACPQLQPTVDIKTVLSRLMDRLSNYAASSPEILPDFLQVEAFAKLSSAISKVVEAQVDMPIVGAVSLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNPKLEDSKATKQIVALLSAPLEKYSDIVTALTLSNYPRVMDHLDAGTNKIMAKIIIENIMKRDTCVSTADKVEVLFELIKGLIKDLDESTTDELDEEDFKEEQNSVARLIHMLYNDDSEEMLKIIYTVRKHILAGGPMRLPFTVPPLVFSALKLIRRLQGQDGDVAGEKVPATPKNIFQLLSQTIEVLSAVPSPEMALRLYLQCAEAANDCDLEPVSYDFFTQAFVLYEEEVADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRSLRIANAAQQMANVTRGSSGPVTLFVEILNKYLYFFEKGNPQITTAAIQGLIELIKTEMQSDSTTADPDSDAFFASTLRYIQFQKQKGGAMGDKYDPIKV >itb01g35320.t2 pep chromosome:ASM357664v1:1:37586162:37593620:-1 gene:itb01g35320 transcript:itb01g35320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:Projected from Arabidopsis thaliana (AT1G75850) UniProtKB/TrEMBL;Acc:A0A178WDK6] MIADGIEDEEKWLAEGIAGIQHNAFYLHQAMDSNNLREALKFSAHLLSELRTSRLSPHKYYELCKIHCSALSLCFVFVLCFGIIYFVFFYMLLVDMRAFDELRKLELFFRDEDRHGCPVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRSVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGEADTVMDAVDFVLQNFTEMNKLWVRMQHQGPARIEEKLEKERSELRDLVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLEILLGACPQLQPTVDIKTVLSRLMDRLSNYAASSPEILPDFLQVEAFAKLSSAISKVVEAQVDMPIVGAVSLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNPKLEDSKATKQIVALLSAPLEKYSDIVTALTLSNYPRVMDHLDAGTNKIMAKIIIENIMKRDTCVSTADKVEVLFELIKGLIKDLDESTTDELDEEDFKEEQNSVARLIHMLYNDDSEEMLKIIYTVRKHILAGGPMRLPFTVPPLVFSALKLIRRLQGQDGDVAGEKVPATPKNIFQLLSQTIEVLSAVPSPEMALRLYLQCAEAANDCDLEPVSYDFFTQAFVLYEEEVADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRSLRIANAAQQMANVTRGSSGPVTLFVEILNKYLYFFEKGNPQITTAAIQGLIELIKTEMQSDSTTADPDSDAFFASTLRYIQFQKQKGGAMGDKYDPIKV >itb01g35320.t3 pep chromosome:ASM357664v1:1:37586299:37592608:-1 gene:itb01g35320 transcript:itb01g35320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:Projected from Arabidopsis thaliana (AT1G75850) UniProtKB/TrEMBL;Acc:A0A178WDK6] MCRSVQHPIRGLFLRSYLAQISRDKLPDIGSEYEGEADTVMDAVDFVLQNFTEMNKLWVRMQHQGPARIEEKLEKERSELRDLVGKNLHVLSQIEGVDLEIYKETVLPRVLEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLEILLGACPQLQPTVDIKTVLSRLMDRLSNYAASSPEILPDFLQVEAFAKLSSAISKVVEAQVDMPIVGAVSLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNPKLEDSKATKQIVALLSAPLEKYSDIVTALTLSNYPRVMDHLDAGTNKIMAKIIIENIMKRDTCVSTADKVEVLFELIKGLIKDLDESTTDELDEEDFKEEQNSVARLIHMLYNDDSEEMLKIIYTVRKHILAGGPMRLPFTVPPLVFSALKLIRRLQGQDGDVAGEKVPATPKNIFQLLSQTIEVLSAVPSPEMALRLYLQCAEAANDCDLEPVSYDFFTQAFVLYEEEVADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRSLRIANAAQQMANVTRGSSGPVTLFVEILNKYLYFFEKGNPQITTAAIQGLIELIKTEMQSDSTTADPDSDAFFASTLRYIQFQKQKGGAMGDKYDPIKV >itb09g05260.t2 pep chromosome:ASM357664v1:9:2991551:2992315:-1 gene:itb09g05260 transcript:itb09g05260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTALVDMYCKCGDLNAARKCFDRMLERDLVSWSTIIAGYGSHGEGKIALELYSKLVESGAIPNSVVFLTVLYACSHNGLVEQGMSLFESMRNDFKIEPELEHCACIVDLLCRAGRVKEAYGFYTTMFPEPMVDALGIILDSCRGKGLVELGDVIAKEISVLEPDDAGKYVQLAHSYASNTQWEGVGKAWLQMKSLGLKKLPGWSFIDLQGNITTFFMGHSSHPQHDDIVCVLKNLSKESRELVFMPDLEFCD >itb09g05260.t1 pep chromosome:ASM357664v1:9:2991179:2993818:-1 gene:itb09g05260 transcript:itb09g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLTPNRFHPPLSSLQSTTPAGAGAATTTRSVNATINRLSSEGAHHQVLLTYASMLKASIPADNFTYPSLLKACTSLNLFRLGLSVHQHVLVNGYISDPYIASSLISFYSKFGHTQNAHNVFDKMHERSIVPWTAIIGCYARSGDIGNALSLYTSMQQDGIKPCAITVLTLLSGVTESNQVRCFHSSILKYGFTSNLVLMNCMLSLYSKCERVGCARDLFESMHEKDIVSWNSLISGYVLEGNTKEILRLMHRMRFEGLDPDSQTYGSLVSAISKEGSFEIGRLVHGQIIAEGFESDVHLETSLIVMYLKCGNLEGAFNIFEKAKNKDAVLWTSVISGLVQNEYANKALEVFRWMLVSRTTPSTSTLASVLAACSQLGLLKVGTSIHCYILRQRIVIDSPAQNSLVTMYSKCGYLKQSLAIFHMIEKKDVVSWNAIVAGHAQNGHLSMALHMFNEMRITHQKPDSITIVSLLQACASTGAFQQGKWIHNFVMRSCLGPCIMIDTALVDMYCKCGDLNAARKCFDRMLERDLVSWSTIIAGYGSHGEGKIALELYSKLVESGAIPNSVVFLTVLYACSHNGLVEQGMSLFESMRNDFKIEPELEHCACIVDLLCRAGRVKEAYGFYTTMFPEPMVDALGIILDSCRGKGLVELGDVIAKEISVLEPDDAGKYVQLAHSYASNTQWEGVGKAWLQMKSLGLKKLPGWSFIDLQGNITTFFMGHSSHPQHDDIVCVLKNLSKESRELVFMPDLEFCD >itb05g28390.t2 pep chromosome:ASM357664v1:5:31874735:31876802:1 gene:itb05g28390 transcript:itb05g28390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPPWLEPLLKTAEFFSPCRSHAAAAKNECNLFCLDCCNAAAFCLYCRSSKHKDHRVIQIRRSSYHDVVRISEIERVLDISAVQTYVINSARVLFLNERPQPKNNNNNSNGGKGGGGASHICEICGRTLLDPFRFCSLGCKLVGIKRNGDASFTIDSKNEMAMQRGEGSKGGEQLREGSQHDIYPLTPPPPHSNARRRKGIPHRAPFSA >itb05g28390.t1 pep chromosome:ASM357664v1:5:31874761:31876802:1 gene:itb05g28390 transcript:itb05g28390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPPWLEPLLKTAEFFSPCRSHAAAAKNECNLFCLDCCNAAAFCLYCRSSKHKDHRVIQIRRSSYHDVVRISEIERVLDISAVQTYVINSARVLFLNERPQPKNNNNNSNGGKGGGGASHICEICGRTLLDPFRFCSLGCKLVGIKRNGDASFTIDSKNEMAMQRGEGSKGGEQLREGSQHDIYPLTPPPPHSNARRRKGIPHRAPFSA >itb02g03770.t1 pep chromosome:ASM357664v1:2:2237699:2242664:1 gene:itb02g03770 transcript:itb02g03770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSDHSFYIESEEEDEEQEKGEYGIGERHGSDFSNYSNDHENENENENENDRQQSKPNSYSNAWPQSYRQSIDLYSSVPSPSINFLGTPSLSRLSSSFLSSSLTKRHTPEVLPTLHKPLLPPKEEEQQRRSSHSLLPPIPSRSSVVKKVTPDGKVITITHEVPMPKQSSFGQAVLNGINVLCGVGILSTPYAVKEGGWFGLPILFIFAVLSYYTGILLRSCLDSQPGLETYPDIGQAAFGSTGRVVISIILYVELYACCVEYIILEGDNLSSLFPNAHLNLGGLHLDAHHVFALMATLAVLPTTWLRDLTVLSYISAGGVVASLLVVAALYWAGLVDNVGFESKRTTLNLSTLPVAVGLYGYCYSGHAVFPNIYTSMEKRNQFPAVLLASFGIVTLLYAGTAVMGYMMFGDSSESQFTLNMPNDLVSSKVAVWTTVVNPFTKYALTIAPVAMSLEELIPSGQGKSRWIYSIFIRTALVVSSLIVGLLIPFFGLVMSLIGSFLTMLVTLILPCACYLSILRGKISYIQASACVVVMGVGVVSSAFGTYSAIFQIVESLSG >itb11g02290.t1 pep chromosome:ASM357664v1:11:1155610:1158557:-1 gene:itb11g02290 transcript:itb11g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFRSRAIHFHLPNAAVLRLFCSTTAGDDHHYEFSSETDDLKPTLPQIEPSPDADLVSQILLQHHNPFHPMESSLQLRGIALSPFLIHQTLLRLRHSSKIAFAFFHFSQSCPDSPIDAAAFNLLIDILCRVRQFDAAWQLIIQMEHRKISPNFTTFYLLIRRLIAAGLTRQAIRAFSEMYIFIDKNGEDEAGVWNSYFCYLLDSLCKYGHVRVATELFNKEKWRISVNCKIYTILIYGWCKVKKIEMAKSFLGEMISKGIDPNVVTYNVLLNGICRRASLHPDGRFEMVIRDAEKVFDEMRQRGVEPDVTSYSILLHVYSRAHKPELSLEKLRMMREKGICPSIASYTSVIKCLCSCGRIEDAEILLEEMVGSGVTPIAATLNCFFKEYRGRKDIDGALKLYGRMKDGSLCSPSETTHNILLGMFVSLGRLGLAREIWDDMKGGPIGPDLDSYTLMIHGLCKKKRWRDACELFMEMIEKGFLPQKVTFEMLYAGLIQSDMLRTWRRLKKRLDEESISFGSEFEGYHLKPYRR >itb11g15430.t1 pep chromosome:ASM357664v1:11:13048052:13052963:1 gene:itb11g15430 transcript:itb11g15430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQRPLLSSFTPDGNYLALLSPDGGTITVWNTSDGSLFAEWRKSDENSGDSFSCMACCLAGKKRKKERRNCLVVLGTENGDVLAIDISAGAMKWRSTGSFSGGTSSLFFTNNCSRLCAIGSNGVAFEMNLASGEHITEIKLSKKSISSSAHSSDERTVAVVSDKVRILSMETGKQLVKFSSDLAPVHRISLSDGAKFIVISGNGGEQLQVCKPDFSGAVVSSGPILSMKDSPIIFECKNRGEDGLVVLSLSEAGVCYIWNLKSAADKIVNATKITVKGKKGQLDQQRSGKAKKSHVPIIAARLDMLEESEQLHVRIAFGSIDSPQFTMVDIATPGEDIVIAADNQSVNMIAAQEGEIHGEESVFEGYSSPANGVEYNDDLNEPTMGEKLASLNLVDENEAKANGPLVPSVETKPPSADSVHVLLKQALHADDRALLIDCLFRQDEKVIANSVSLLNPSDVIKLLQSLVSIIESRGAILACALPWLRSVLLQHSSGIMSQESSFLALNSLYQLIESRVSTSSQALQLASSLDLLYAGTIDYGTDEDGGAVVPAIYEDMDESEEEEGSEVAMETESDAEKKEPQVFSDVSDFEGIEEEM >itb14g07610.t1 pep chromosome:ASM357664v1:14:7033654:7038487:-1 gene:itb14g07610 transcript:itb14g07610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKASTFQPFHFAVNSTTLPPIQFHKPNSPFPSKPLPFSPLRTTHSKAARFKLFTAISPDVWAEAETEGASREEKFDWYAQWYPVMPLCDLDKRRPHGKRILGIDVVVWWDRNEGEWKVMDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFSGSGDCKFIPQAPRDGPPIHTSKRACVAVYPSCVQNDILWFWPNSDPLYKDIFMKKKPVYIPELDDPSFTKSMIARDIPYGYEVLIENLMDPAHVHYAHHGIMRIPPTPESLKADREGGKPLEISLMQLDVNGFTGKQLFGGNIFAAPCVYYGYYTPGPSTTSQSTSSPKATEEKPSNAKVKRAFLVFYCVPVSPGNSRLIFASPRNFAVWIDKVVPRWMFHIGQNLILDSDLYLLHVEEHKLKEIGPYNWHKSCFVPTKADTLVVAFRRWLNKYAGGQVDWRGKFSGALPQTPPREQLMDRYWSHTVKCSSCNAAYKSLSVLEVALQVISIASIGIVAAAKRGLMSVATRNTLIAMAVLCFLASRWLSHFTYKTFRFHDYDHAFR >itb06g09030.t1 pep chromosome:ASM357664v1:6:13119063:13121993:-1 gene:itb06g09030 transcript:itb06g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQPALDVSSRQRTTPNYLGTYLTTLQLQAVPGPPHPWKDFATTNLLDPATVRSSPQYTATITYGDAADPTRTRAVAASAPFCEEERTMENRDEQWPPFSNTTTSTHRCSRPRRRRHFLSLSLAKSRTGMGKC >itb03g06970.t1 pep chromosome:ASM357664v1:3:5054852:5078023:-1 gene:itb03g06970 transcript:itb03g06970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQNFEQHSRNLIEPDLQIQTRLQMVMEVRDSLEITHTGEYLNFLKCYFRSFSVILYHITKPQSTDNPEHKLRNIVVEILNRLPHSEVLRPFVQELLKVAMHVLTADNEENGLICIRIIFDLLRNFRPTLEAEVQPFLDFVCKIYQNFRATVSYFFESGALAAPPPVPPSSSGSTLSEGDAKPMEVSDQVGPSSGNFGTGQLNPSIRSFKIVTESPLVVMFLFQLYSRLIQTNIPHLLPLMVTAISVPGPEKVPPHLKTHFIELKGAQVKTVSFLTYLLKSCADYIKPHEENICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLLGTGRACFESLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLALGIHTTCARLMLNLVEPIFEKGVDQSTMDESRILLGRILDAFVGKFNTFKRTIPQLLEEGEEGKGRSTLRSKLELPVQAVLNLQVPVEHSKEVSDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTPPALASASSGSSIPQPFKGMREDEVWKASGVLKSGVHCLALFKEKDEEREMIHLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQAPKVFKPFADVLVNFLVNSKLDVLKHPDSPSAKLVLHLFRYLFGAVAKAPSDCERILQPHIPVIMETCMKNATEVEKPIDYLQLLRTMFRALAGGKFEGLLRDLIAMLQPCLNMLLAMLEGPSGEDMRELLLELCLSLPARLSSLLPHLPRLMKPLVLCLKGSDDLVNLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRVILTFEPSTPFLVPLDRCINLAVAALMHKNVSVDAFYRKQALKFLRACLSSQLNLPGIANDEGSTSRLLSAMLVSTVDPTWRRSEMSDVKADLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLHDPKDEYVVNVCRHFAILFHVDSFAAHASVSAAPLGVSGLSSTPSITAKSRYSASSNLKELDPLIFLDALVDVLADENRQHTKAALDALNVFAETLLFLARSKHSDTHMQRGGPSTPMIVSSPSMSPVYSPPPSVRVPVFEQLLPRLLHCCYGCTWQAQIGGVMGLGALVGKSFQGVVEYLASELFNPNVSINVRKIVQSSLGLLASRTGSEVSELLEPMYQPLLQPLIMRPLRSKTVEQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVMKYMNPKVAALLNKLRTACIELLCTAMAWADFKTQNHAELRAKIISMFFKSLTSRNSEIVAVAKEGLRQVILQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLRKWLEPEKLAQCQKSWKAGEEPKIAAAIIELFHLLPQAAGKFLDELVTLTIDLEAALPPGQFYSEINSPYRLPLTKFLNRYPTAAVDYFLARLCQPKYFRRFMYIIRSDAGQPLREELAKSPEKIIASAFPEFAPKTDGSNVQEPANRQNTLVGDEAVAAHQSETSMPAASTSGGTQDAYFQGLALVKTLVKLMPTWLQNNRIVFDTLVLMWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKAEMNVLFDILSIFLFRTRIDFTFLKEFYIIEVAESYPPDMKKTLLLHFLNLFQSKQLGHDHLVVIMQMLILPMLAHAFQNGQTWEVVDSAIVKTIVDKLLDPPEEVSADYDEPLRIELLQLATLLLKYLQTDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGESRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQSEMKVVPNNDSNSQNADGLNHVSADTKHPVDGSSFSEDPSKRVKVEPGLQSLCVMSPGGASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEANLMYKQALDLLSQALEVWPNANVKFNYLEKLLSSIPPSQSKDPSTALAQGLDVMNKVLEKQPHLFVRNNINQISQILEPCFKFKMLDAGNSLCSLLKMVSFAFPPNASNTPHEVRILYQKVEELIQKHLAVAAVPQTSGEDNSGSTISFVLYVIKTLAEVHKNFVDPSNLVHVLQRLARDMGSSIGTHVRQGQRSDPDSAVTSSRQGADVGVVIANLKSVLSLISERVMSVPECKRSVTQILNSLLSEKGTDPSVFLCILDVIKGWIEDDFGRPGTVVASNTCLNQKDVVSFIQKLSQVDKQSFSSAAEEEWDQKYLMLLYGLCADSNKYPLSLRQEVFQKVERQFLLGLRAKDPEMRMRFFSLYHESLGKTLFSRLQYIIQFQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSAKVPPLMVSGSVADSSSAQPMILDVPEGSEEAPLTFDSLVSKHAQFLNEMSKLQVADLVIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDYHKKQAMQRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFINDTKCSESLAELYRLLNEEDMRCGLWKKRLITAETRAGLSLVQHGYWQRAQSLFYQAMLKATQGTYNNTVPKAEMCLWEEQWLSCATQLSQWDALVDFGKVIDNYEILLDCLWKQPDWAYLKDHVIPKAQVEETPKLRIIQAYFALHEKNTNGVADAESIVGKGVDLALEQWWQLPDMSIHSRIPLLQQFQQLVEVQESSRIIIDIANGNKVSGNPVVGVHGAVYADLKDILETWRLRTPNEWDNLSVWYDLFQWRNEMYNAVIDAFKDFSSTNSQLHHLGYRDKAWNVNRLAHIARKQGLFDVCVSILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLDYFSIKHKAEIFRLKGDFLLKLSDCEGANLAYSNAITLFKNLPKGWISWGNYCDMAYKDCHEEIWLEYAVSCFLQGIKFGIPNSRSHLARVLYLLSFDTANEPVGRAFDKYLDQIPDWVWLSWIPQLLLSLQRSEASHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSEYGRMAMAQQRMQQNAPAANVAGSMGLVDGNARVTGQTGGGSSATENHVPQGTQSVGVGSHDASSSQVQEPERQAHVEGGMPSGTDPPLHQSSSAGDGGQNSIRRNATLGLVSSAASAFEAAKDIMETLRSKHTNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLRKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEDESRVLRDFHVVDVEVPGQYFTDQEVAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSRRHFIVQTSLTPNARSDERILQLFRVMNRMFDKHKESRKRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITFFKEQLNQAISGQMSPEAVVDLRLQAYNEITKTLVSDSIFSQYMYKTLLSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQAFFSHFGVEGLIVSSMCAAAQAVVSPKQIQHLWYHLAMFFRDELLSWSWRRPLGVPMGPVVGAGNLNPVDLKQKISTNVEHVIGRINGIAPQCISEEEENGMDNPQSVQRGVAELVEAALTPRNLCMMDPTWHPWF >itb03g06970.t2 pep chromosome:ASM357664v1:3:5054852:5078023:-1 gene:itb03g06970 transcript:itb03g06970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQNFEQHSRNLIEPDLQIQTRLQMVMEVRDSLEITHTGEYLNFLKCYFRSFSVILYHITKPQSTDNPEHKLRNIVVEILNRLPHSEVLRPFVQELLKVAMHVLTADNEENGLICIRIIFDLLRNFRPTLEAEVQPFLDFVCKIYQNFRATVSYFFESGALAAPPPVPPSSSGSTLSEGDAKPMEVSDQVGPSSGNFGTGQLNPSIRSFKIVTESPLVVMFLFQLYSRLIQTNIPHLLPLMVTAISVPGPEKVPPHLKTHFIELKGAQVKTVSFLTYLLKSCADYIKPHEENICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLLGTGRACFESLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLALGIHTTCARLMLNLVEPIFEKGVDQSTMDESRILLGRILDAFVGKFNTFKRTIPQLLEEGEEGKGRSTLRSKLELPVQAVLNLQVPVEHSKEVSDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTPPALASASSGSSIPQPFKGMREDEVWKASGVLKSGVHCLALFKEKDEEREMIHLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQAPKVFKPFADVLVNFLVNSKLDVLKHPDSPSAKLVLHLFRYLFGAVAKAPSDCERILQPHIPVIMETCMKNATEVEKPIDYLQLLRTMFRALAGGKFEGLLRDLIAMLQPCLNMLLAMLEGPSGEDMRELLLELCLSLPARLSSLLPHLPRLMKPLVLCLKGSDDLVNLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRVILTFEPSTPFLVPLDRCINLAVAALMHKNVSVDAFYRKQALKFLRACLSSQLNLPGIANDEGSTSRLLSAMLVSTVDPTWRRSEMSDVKADLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLHDPKDEYVVNVCRHFAILFHVDSFAAHASVSAAPLGVSGLSSTPSITAKSRYSASSNLKELDPLIFLDALVDVLADENRQHTKAALDALNVFAETLLFLARSKHSDTHMQRGGPSTPMIVSSPSMSPVYSPPPSVRVPVFEQLLPRLLHCCYGCTWQAQIGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPSHAAKEQEETSQVLTHVLRVVNNVDEANNEARKQSFQGVVEYLASELFNPNVSINVRKIVQSSLGLLASRTGSEVSELLEPMYQPLLQPLIMRPLRSKTVEQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVMKYMNPKVAALLNKLRTACIELLCTAMAWADFKTQNHAELRAKIISMFFKSLTSRNSEIVAVAKEGLRQVILQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLRKWLEPEKLAQCQKSWKAGEEPKIAAAIIELFHLLPQAAGKFLDELVTLTIDLEAALPPGQFYSEINSPYRLPLTKFLNRYPTAAVDYFLARLCQPKYFRRFMYIIRSDAGQPLREELAKSPEKIIASAFPEFAPKTDGSNVQEPANRQNTLVGDEAVAAHQSETSMPAASTSGGTQDAYFQGLALVKTLVKLMPTWLQNNRIVFDTLVLMWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKAEMNVLFDILSIFLFRTRIDFTFLKEFYIIEVAESYPPDMKKTLLLHFLNLFQSKQLGHDHLVVIMQMLILPMLAHAFQNGQTWEVVDSAIVKTIVDKLLDPPEEVSADYDEPLRIELLQLATLLLKYLQTDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGESRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQSEMKVVPNNDSNSQNADGLNHVSADTKHPVDGSSFSEDPSKRVKVEPGLQSLCVMSPGGASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEANLMYKQALDLLSQALEVWPNANVKFNYLEKLLSSIPPSQSKDPSTALAQGLDVMNKVLEKQPHLFVRNNINQISQILEPCFKFKMLDAGNSLCSLLKMVSFAFPPNASNTPHEVRILYQKVEELIQKHLAVAAVPQTSGEDNSGSTISFVLYVIKTLAEVHKNFVDPSNLVHVLQRLARDMGSSIGTHVRQGQRSDPDSAVTSSRQGADVGVVIANLKSVLSLISERVMSVPECKRSVTQILNSLLSEKGTDPSVFLCILDVIKGWIEDDFGRPGTVVASNTCLNQKDVVSFIQKLSQVDKQSFSSAAEEEWDQKYLMLLYGLCADSNKYPLSLRQEVFQKVERQFLLGLRAKDPEMRMRFFSLYHESLGKTLFSRLQYIIQFQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSAKVPPLMVSGSVADSSSAQPMILDVPEGSEEAPLTFDSLVSKHAQFLNEMSKLQVADLVIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDYHKKQAMQRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFINDTKCSESLAELYRLLNEEDMRCGLWKKRLITAETRAGLSLVQHGYWQRAQSLFYQAMLKATQGTYNNTVPKAEMCLWEEQWLSCATQLSQWDALVDFGKVIDNYEILLDCLWKQPDWAYLKDHVIPKAQVEETPKLRIIQAYFALHEKNTNGVADAESIVGKGVDLALEQWWQLPDMSIHSRIPLLQQFQQLVEVQESSRIIIDIANGNKVSGNPVVGVHGAVYADLKDILETWRLRTPNEWDNLSVWYDLFQWRNEMYNAVIDAFKDFSSTNSQLHHLGYRDKAWNVNRLAHIARKQGLFDVCVSILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLDYFSIKHKAEIFRLKGDFLLKLSDCEGANLAYSNAITLFKNLPKGWISWGNYCDMAYKDCHEEIWLEYAVSCFLQGIKFGIPNSRSHLARVLYLLSFDTANEPVGRAFDKYLDQIPDWVWLSWIPQLLLSLQRSEASHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSEYGRMAMAQQRMQQNAPAANVAGSMGLVDGNARVTGQTGGGSSATENHVPQGTQSVGVGSHDASSSQVQEPERQAHVEGGMPSGTDPPLHQSSSAGDGGQNSIRRNATLGLVSSAASAFEAAKDIMETLRSKHTNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLRKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEDESRVLRDFHVVDVEVPGQYFTDQEVAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSRRHFIVQTSLTPNARSDERILQLFRVMNRMFDKHKESRKRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITFFKEQLNQAISGQMSPEAVVDLRLQAYNEITKTLVSDSIFSQYMYKTLLSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQAFFSHFGVEGLIVSSMCAAAQAVVSPKQIQHLWYHLAMFFRDELLSWSWRRPLGVPMGPVVGAGNLNPVDLKQKISTNVEHVIGRINGIAPQCISEEEENGMDNPQSVQRGVAELVEAALTPRNLCMMDPTWHPWF >itb13g17950.t1 pep chromosome:ASM357664v1:13:24892807:24894783:-1 gene:itb13g17950 transcript:itb13g17950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARDAITSVLSKLDDPVVKQGISSYGLDEEAEELVAELTWIKCFIVDGEETKQGRSRVSVWAAIIQRYALKAHKVMSAFDHGVDVEIGGEQHSLSVQNLAQKVEIENVINKLVSLREKLQIYVTFGVTHDQQTSNLPKLPEAKRGIEPPREIKVAKWGGRGGGEWSYRPKAPIKLVVVTHGRIIDSISFMSTDEEFSKRLGGRGGSKTTEVKINSPMEYLTGISGTYGGYENHLIIRSLKFHTNMREHGPMGTQDGTPFSFVMQEGVIVGFYGRAGYYLDAIGVYATPKYDLDHES >itb15g02340.t1 pep chromosome:ASM357664v1:15:1410338:1411645:1 gene:itb15g02340 transcript:itb15g02340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLSAGCMAVFAVSGSVVLLALKVHKHLMSDFMRKIEFEFGLEKGQGKKKVRFADEVGELPSENNSVAGDQHHHHHNHKKYVVVSPSVGRRTRGVDDERFESMPENWQALYKGIIQSRGLKGHM >itb05g09470.t1 pep chromosome:ASM357664v1:5:13861823:13872458:1 gene:itb05g09470 transcript:itb05g09470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALNWFDKFLFSASRACCTPLAIFVQIQGYVICLVLALGWACAAYVRNREIKRMKHRMKCGNCFAFLCEDINELEHSNQVNLPRVTIVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESEKDPAYSAVKQLLDDYQDEVDAKIVVAGLSTTCSQKIHNQLVGVQTMHKDTKYVLFLDDDVRLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFREDRHGVVSQLQDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLATDLTFPRYWNYLRKQTFVLESYATNVNWIMNRALFTSHCYLSWGFVAPFVMAGFHVAAAVRQYLKGYSPEEIVISGRGLLLAGCLAICTIIELLSMWNLTRIEVQLCNLLSPEATPLSLASYNWFLVFIALVVDNFLYPVSAFRSHCSQSINWSGIRYHLKDGKIRKIERRKDIVPKFSDLGGKKLRWKGEKGSFFRFLQKRGPLAPAKEI >itb05g09470.t2 pep chromosome:ASM357664v1:5:13861823:13872458:1 gene:itb05g09470 transcript:itb05g09470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALNWFDKFLFSASRACCTPLAIFVQIQGYVICLVLALGWACAAYVRNREIKRMKHRMKCGNCFAFLCEDINELEHSNQVNLPRVTIVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESEKDPAYSAVKQLLDDYQDEVDAKIVVAGLSTTCSQKIHNQLVGVQTMHKDTKYVLFLDDDVRLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFREDRHGVVSQLQDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLATDLTFPRYWNYLRKQTFVLESYATNVNWIMNRALFTSHCYLSWGFVAPFVMAGFHVAAAVRQYLKGYSPEEIVISGRGLLLAGCLAICTIIELLSMWNLTRIEVQLCNLLSPEATPLSLASYNWFLVS >itb09g10100.t1 pep chromosome:ASM357664v1:9:6275404:6278792:1 gene:itb09g10100 transcript:itb09g10100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDRTAKDDDLKKAYRKLAMKWHPDKNPNNKREAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQFPPPGAGGFPSSSDASNASFRFNPRSADDIFSEFFGFTSPFGGGMGDMGGRPPGPNFSRGVFGDDIFAQFRNAAGENASSNLPRKAPAIERTLPCSLEDLYKGTTKKMKISREVIDTNGRPTTMEEILSIEIKLGWKKGTKITFPEKGNEQRGIIPSDLVFIIDEKPHSLFKRDGNDLIVTQKLSLLEALTGYTAQITTLDGRNLTIPITSIISPTYEEVVKGEGMPIPKEPSKRGNLRIKFNIKFPSKLTSEQKTGIKRHLT >itb07g11110.t1 pep chromosome:ASM357664v1:7:12523902:12525049:-1 gene:itb07g11110 transcript:itb07g11110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIMKNSDELESEKLEEGIRNGIIQIIKKREQTKTGEPIKSSTRRSDFLEKLLEANHQENGDDNNQICIQDMVDECKTFYLAGHETTTSLLGWATLLLATHEEWQERARKEVMEVFGQENPTPYGIARLKIISLWFAGCKTEIFSPSSPAGSNGGLSFAVISFAGLYSSPPSWSSEGRDA >itb05g06760.t1 pep chromosome:ASM357664v1:5:7575922:7579393:1 gene:itb05g06760 transcript:itb05g06760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKRKLYGTAVGEKGRSRELTSLVQKRFIFEENTMKLYAEKLRSSMYAEHLIRAQHAKSMKFKDAYMISSGQLVKEYIASGLVW >itb05g06760.t2 pep chromosome:ASM357664v1:5:7575922:7579393:1 gene:itb05g06760 transcript:itb05g06760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKRKLYGTAVGEKGRSRELTSLVQKRFIFEENTMKLYAEKLRSSMYAEHLIRAQHAKSMKFKDAYMISSGQLVKEYIASGLVW >itb05g06760.t3 pep chromosome:ASM357664v1:5:7575922:7579504:1 gene:itb05g06760 transcript:itb05g06760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKRKLYGTAVGEKGRSRELTSLVQKRFIFEENTMKLYAEKLRSSMYAEHLIRAQHAKSMKFKDAYMISSGQLVKEYIASGLVW >itb15g21250.t2 pep chromosome:ASM357664v1:15:23931018:23937110:-1 gene:itb15g21250 transcript:itb15g21250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVIDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTAMAEIAQATKKFSECSIVMNVLWTRLKETDKNWRYVYKALVVIEYLVAHGSERSVDEIVEHTYQISSLTKFEYVEPSGKDMGINVRKKAENIVSLLNDKDKIHEVRNKASANREKYFGLSSTGVTYKSGSASLSSGSRYGGFGSNTDSDSFAYKERDQYGEDKFDQKTTYKSRREISEKDGSLNKGPSPYVSKSQGGVSAGGSKTTNKSFSDKNASKPSVNSSTPSSNYDDDFDDFDPRGTSTAKPSVGSSNQVDLFGESLVGDLLDAPVSAPTDKPSVRSEVDLFADADFVSATPQSEMGGSSQSQTSVDLFAQPEVGGSSQAQTGIDLFSQPAPLPAPAIPMPQSSSIDFFSVPDPAVKSSKQEPMNTNIVDPFAAVPLNTFDSSDPFGSFVSQTDPVSATPNETVASGLNQGNLNNSSVESKPPPKKDAFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGIVGGYGFEEKDKGPTTVQTTFYMGRAMGQGSGLGKTGFTSNAEDDIFSSLSSGQQYGGFSK >itb15g21250.t1 pep chromosome:ASM357664v1:15:23930935:23937110:-1 gene:itb15g21250 transcript:itb15g21250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVIDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTAMAEIAQATKKFSECSIVMNVLWTRLKETDKNWRYVYKALVVIEYLVAHGSERSVDEIVEHTYQISSLTKFEYVEPSGKDMGINVRKKAENIVSLLNDKDKIHEVRNKASANREKYFGLSSTGVTYKSGSASLSSGSRYGGFGSNTDSDSFAYKERDQYGEDKFDQKTTYKSRREISEKDGSLNKGPSPYVSKSQGGVSAGGSKTTNKSFSDKNASKPSVNSSTPSSNYDDDFDDFDPRGTSTAKPSVGSSNQVDLFGESLVGDLLDAPVSAPTDKPSVRSEVDLFADADFVSATPQSEMGGSSQSQTSVDLFAQPEVGGSSQAQVTGIDLFSQPAPLPAPAIPMPQSSSIDFFSVPDPAVKSSKQEPMNTNIVDPFAAVPLNTFDSSDPFGSFVSQTDPVSATPNETVASGLNQGNLNNSSVESKPPPKKDAFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGIVGGYGFEEKDKGPTTVQTTFYMGRAMGQGSGLGKTGFTSNAEDDIFSSLSSGQQYGGFSK >itb09g11910.t1 pep chromosome:ASM357664v1:9:7451296:7454173:-1 gene:itb09g11910 transcript:itb09g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLPVSILLIFLAYKLYFKLRFKLPPGPRPLPIVGNLYDIKPVRFRHFFEWAQEFGPIFSVYFGTQLNVVVTTAELAKQVLKDNDQSLADRFRTRSASNLSRNGMDLIWADYGPHYVKVRKLCNLELFTPKRLESLRPIREDEVTSMVECIFRDSTKPGNSGKSLLMRDYLGAVAFNNITRLTFGKRFMNAEGEIDEQGQEFKGIVHNGIKIGAKLPMGEYVPWLRWAFKVDNEALENQNSRRDRLTRKIMEEHTIARKKTGDTKQHFVDALLTLQKQYDLSDDTVIGLLWDMITAGMDTTTISAEWAMAELVKNPRVQEKAQEELDRVLGTDRIMTEADFSKLPYLQCVAKEALRLHPPTPLMLPHKASANVKIGGYDIPKGSIVHVNVWAVARDPAVWKDPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRICPGAQLAINLVTSMLGHLLHHFTWSPPPGVRAEDIDMTESPGMVTYMQSPLQAVATPRLPAADLYKRIPYVM >itb13g24970.t1 pep chromosome:ASM357664v1:13:30468978:30472707:-1 gene:itb13g24970 transcript:itb13g24970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKEAKEGQVTEDSMKFMDDSLESTEENGLSDVLIKRLEPHHLLVLNTSGSCSVEFGDQKLFVHGLDKKLPRHIISFDERHLIRCLKLINEHAYGASLGSFSSKMRVLQGNGNGSMCNMIGLASGAENVVLNSTGDGIIGAITHSKSMVNILKSPLLVKLGKLGNLDFDVNSGKTNLLDVKEPAYSDSPSSFGDFNSSSSQILQWERAVGYDGYQSLPEQRSLIPRSSTNSTCSDQSSSSAISQGMLQITWKNGLPHYVFSTDGKEEVYVADLLKVNSTDDKFLDYVYIFHSRSGAKGEGSVVCNGESSIVGRMTVSTSQKSQILERQFVLYGCGDGFVDDTQTSSHTRKNKRLVKRVADAFRAVHTYKQRSLYKFSGGANAIHEENPWMESHNSPDSGIVSDAETVFLPNFELAAVVVRDHVHNSPKKAETGGWGLKFLKKSKTGQKNASLETSIHSENPSVSSGECSTSMDVVVPAGFHGGPRARNGGPSSLLERWSSGGHCECGGWDLGCPLTVLNTRPNKTNDLSETGLSEDCKTIDLFIQGSRESVPIMKMANIHDGLYYIHFQSTLLSLQAFAIATAIIHSRSPILRSKLYRK >itb13g24970.t2 pep chromosome:ASM357664v1:13:30469141:30472606:-1 gene:itb13g24970 transcript:itb13g24970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKEAKEGQVTEDSMKFMDDSLESTEENGLSDVLIKRLEPHHLLVLNTSGSCSVEFGDQKLFVHGLDKKLPRHIISFDERHLIRCLKLINEHAYGASLGSFSSKMRVLQGNGNGSMCNMIGLASGAENVVLNSTGDGIIGAITHSKSMVNILKSPLLVKLGKLGNLDFDVNSGKTNLLDVKEPAYSDSPSSFGDFNSSSSQILQWERAVGYDGYQSLPEQRSLIPRSSTNSTCSDQSSSSAISQGMLQITWKNGLPHYVFSTDGKEEVYVADLLKVNSTDDKFLDYVYIFHSRSGAKGEGSVVCNGESSIVGRMTVSTSQKSQILERQFVLYGCGDGFVDDTQTSSHTRKNKRLVKRVADAFRAVHTYKQRSLYKFSGGANAIHEENPWMESHNSPDSGIVSDAETVFLPNFELAAVVVRDHVHNSPKKAETGGWGLKFLKKSKTGQKNASLETSIHSENPSVSSGECSTSMDVVVPAGFHGGPRARNGGPSSLLERWSSGGHCECGGWDLGCPLTVLNTRPNKTNDLSETGLSEDCKTIDLFIQGSRESVPIMKMANIHDGLYYIHFQSTLLSLQAFAIATAIIHSRSPILRSKLYRK >itb13g24970.t3 pep chromosome:ASM357664v1:13:30468978:30472419:-1 gene:itb13g24970 transcript:itb13g24970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKEAKEGQVTEDSMKFMDDSLESTEENGLSDVLIKRLEPHHLLVLNTSGSCSVEFGDQKLFVHGLDKKLPRHIISFDERHLIRCLKLINEHAYGASLGSFSSKMRVLQGNGNGSMCNMIGLASGAENVVLNSTGDGIIGAITHSKSMVNILKSPLLVKLGKLGNLDFDVNSGKTNLLDVKEPAYSDSPSSFGDFNSSSSQILQWERAVGYDGYQSLPEQRSLIPRSSTNSTCSDQSSSSAISQGMLQITWKNGLPHYVFSTDGKEEVYVADLLKVNSTDDKFLDYVYIFHSRSGAKGEGSVVCNGESSIVGRMTVSTSQKSQILERQFVLYGCGDGFVDDTQTSSHTRKNKRLVKRVADAFRAVHTYKQRSLYKFSGGANAIHEENPWMESHNSPDSGIVSDAETVFLPNFELAAVVVRDHVHNSPKKAETGGWGLKFLKKSKTGQKNASLETSIHSENPSVSSGECSTSMDVVVPAGFHGGPRARNGGPSSLLERWSSGGHCECGGWDLGCPLTVLNTRPNKTNDLSETGLSEDCKTIDLFIQGSRESVPIMKMANIHDGLYYIHFQSTLLSLQAFAIATAIIHSRSPILRSKLYRK >itb01g33150.t1 pep chromosome:ASM357664v1:1:36376082:36377718:-1 gene:itb01g33150 transcript:itb01g33150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARRKDTDRIKGPWSPEEDELLQKLVEKYGARNWSLISKSICGRSGKSCRLRWCNQLSPQVEHRGFTAEEDEIIIRAHSKFGNKWATISRLLHGRTDNAIKNHWNSTLKRKCASKAAKTLVKPPQQPQPVIGSSGVLFSAPDSPPGSDLSSSSLSGGVPPHVYRPVARAAGVVPPKETVSSTTNPITSLSLCVPGSDSSEIPHSPPPPAPVSLPQMAPPAPSFLPQTYGSFQFASPPTAEKRLFSPEFLAMLQDVIRKEVREYMSGIEHGGLCLQTEAVQNAIVNRIGISKIDS >itb01g07870.t1 pep chromosome:ASM357664v1:1:6228072:6229374:1 gene:itb01g07870 transcript:itb01g07870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKIHYFSTVVVFFASLFFPSYDALEFDVGGNDGWVLNPSESYSHWAARMRFQVNDTLLFRYEKGRESVLEVGEEDYEKCNVENPMKKMEDGYSVLELDRSGPFYFISGIQQNCENGQKIVVVVLAPKHHNAPPPPPSTGGDAPPKGCSSTASPAGVAPWASPHRPSAAPPKGPSGSAPAGSPPAFNAPAKPPAPAGTPEPPSPAYSETPLPTIPVSPAKSPPAGGGCNGSSIRSDPANVHNSPASSPPQSTSAATAAIHLSAILVPTFILTMCFAFGELIIFC >itb05g14940.t1 pep chromosome:ASM357664v1:5:22257701:22259564:1 gene:itb05g14940 transcript:itb05g14940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQAYFPNPQLSCKLPKYIPNYLSPLPRLHPLKPRLFHPISSTTTATESPVPTADDVVYEVEFRTLGGCKLGISRYPDFEYNAEGGRGNGRGVKNEEDDEISVDFELKSVYIPPLTTATTKFLGLPLPPLLKIDIVPELFRGTINQESGKIDLEFRAKFWFSIGSVYRAPPLVVETVLTSEESNGKMKKGRGERLKNNAELEGGSCRLVGVATVQPIDDVFLNTFLSLPTECLAVLNASISLSPT >itb09g27550.t1 pep chromosome:ASM357664v1:9:27790510:27791127:-1 gene:itb09g27550 transcript:itb09g27550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLAKKWQKVAAIRRKRISSFPSVNDDTDCCSTSAVNKGHFVVYTADQKRFVIPLSYLENEIIRQLLNMSEEEFGLPSDVPITLPCNAAFMNYIISLLSRGLSKELEDALLISFTSSRCSVASLHPAGWRNLELLVC >itb06g15100.t1 pep chromosome:ASM357664v1:6:19430914:19436276:1 gene:itb06g15100 transcript:itb06g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCCEGDSLSDIWRGPGTPTDSFYEVRPECTDVPKTKFRIKAGRTLSPRKWHATFSPEGQLDIGKTLSRIHRGGIHPTIRGEVWEFLLGCFDPKSTHAERDQIRERRRAQYNVLKEECHQIFSLIGSGKFVTAPIISEEGDPILDPIVLQQTGDDEGNNPDMVKETDQRIIQWKLTLHQIGLDVVRTDRTLIFYEKQENLAKLWDILAVYAWFDKDVGYCQGMSDLCSPMIILLDNEGDAFWCFQHLMRRLVETLGGGDYLFAFRMLMVLFRREFSFGDSLYLWEMMWALEYDPNLFLSYEDPDSSNEISEGSKGGGKAIYQCGKYEREYLKNAGRSNEPPLPISVFLVANVLKEKSPKLLAEAKGLDDVVKILNDVTGNIDARKACTGALKLHKKYLKKVQALKKA >itb08g14230.t1 pep chromosome:ASM357664v1:8:15986957:15987821:-1 gene:itb08g14230 transcript:itb08g14230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAQDFSNQINFDEEKVDDNNNEVKIDDQEEEENDYEDEDEDDFSFVFDGVEMAAEETRLVFPLFNTDLLLTDRPPVKHVYAATRNENLVETAAASSSGDDAIAGPCCEWSAAAKKSNSTGFSKLWRFRDLAHRSNSDGRDAFVFLNAAEKKIGGGGVKVNGGEINNVTAAQQQQQKKKKNNKNVTALAHERYLRSKAKDEERRRSYLPYRPELVGFFTNVNGGLTRNVHPY >itb08g16420.t1 pep chromosome:ASM357664v1:8:18551531:18553181:-1 gene:itb08g16420 transcript:itb08g16420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRGGGVSSSSILFVLPPIPRSIIIVLLLLFHAYAYAYADDVPLFILGDSTVDVGTNTLLPNCTVKADFPMNGIDFPHSKPTGRFSNGFNLADFIARHMGHKRSPEPFLYLESRKHGLRKGARKGVNFASSGSGLLDVTGSTYGVLPLSEQMKQFVALYDNVSAVSGEAWLQNLLSQSFFCFSTGSNDIFWYFANNYTTPEHEFVGLMLKEFRTAIETLYSLGARKFGIVGVSPVGCCPVKRLLNDTLGCFAPMNHLAKTFNSRALSLMLDLSSELEDIQYAFGDVFQMTVDSMRNPALDNFNNVEAACCGHGVLNAEGLCNSTANICSDHKDHVFWDLYHATEAAAEKEALNLFSGPKEYVIPINFATLLQQSAPQVMVRTE >itb09g09510.t1 pep chromosome:ASM357664v1:9:5734277:5734816:-1 gene:itb09g09510 transcript:itb09g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPINVEKSEQLRWSVSEEGLGRVRLYRCTFCQRGFSNAQALGGHMNIHRKDRAKLREFSGDNNLLSLSVSAHHDSPSPPQRVDSGDEQPAGSPPERPPEEKGKANGREDLLLLPLFVEIPSPAANNGKESRSVQLSDDGSPSASPALDLELRLGVEPQEHDSVVNIPPINEVISQH >itb13g11830.t1 pep chromosome:ASM357664v1:13:17017152:17023299:-1 gene:itb13g11830 transcript:itb13g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPLDPHDKMRGRDVNKVARGEQAPRPVHQPGTVSAPPPPSTDSKLDAGKEASANAIGERIRHCYIQFLEYKRCIQEKGKDGDECEKIGKEYTSHCPSQWIEKWHEERDQHGR >itb15g07020.t1 pep chromosome:ASM357664v1:15:4721014:4724571:1 gene:itb15g07020 transcript:itb15g07020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCRLSVSLKFHWRFSCRNCCSLTQIMSFSTSATETLESESTELVYSELQHKMQGYAASGCIKKAFEVLYAMRCVSGKPTVHDYNSLLYFHMKSKVVVIDELGEIYLGMKRFGPSPNAITFNTMLNGMVSLGRLRDGILIAKEMFESGFLPSFTALSKLLKKSFILGSLEDTLSLFVLMLRLGYVPTEPSLRKLILALCKVGRVPEAYQVLSVLISKDCFQSVHCFNPLLWALCKSNYCYNALAFLCFLKKKGFECDVSSYTALVYGFCRKKAWNEVFHCLDEMESDGCEPNVITYTIIIKFLSDDGNLDESLNLLKEMENKGCSPDLVTYNVILRALCHQGRFDELGELAQVIDQKGFTPDQHTYAALAVALLKSGRPSIAESLIHPIISSCCFVDVVIYNIYFNILCSDNRTKEALSTLESMMEIGFKPTTVSYNTILKGICKEKCVHEAMKFFNQIIWPTNQLLRDMHQQRLGPDIFMCGLIYRFCKEGKLTSDLQLRYHMLENGINQNDYVNDIRVLKKILSSNRILPQVAFQLDVLRVPILLWMVKVKEQLQKGLAAKEYDCLKSTSNSLNAQMARVVKEEVQGCKMVLAAVLENLHHFLDRTIGAFHE >itb06g01930.t1 pep chromosome:ASM357664v1:6:3293657:3293956:1 gene:itb06g01930 transcript:itb06g01930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWPVFAEQFFNEKLMTDVLWIGIGVGSKEWKRSDSDGVKREAIAEAIKRVMIGEKSKEIRSRAKTMKDKARKAIEEGGSSYLDLTSLLDELRAYHAK >itb14g18990.t1 pep chromosome:ASM357664v1:14:21801704:21804645:-1 gene:itb14g18990 transcript:itb14g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPTVRSYRSATDRTSGGLFGRSAAGRFIFKLFASALTIAVFLFLTLSLRYTSSSDPADLNVGTLHDAYGVGPVRRSVLALKSDPLKPRLDLIRKQAEDHRALALAYASYARKLKLENSKLVRVFAELSRNYTDLISKPAYRALFELDSSSLDESVLRQFEKEVKERIKVTRQVVAEAKESFDNQLKIQKLKDTIFAVNDQLTKAKKQGAFSSLIAAKSIPKSLHCVTMRLMEERIAHPDKYTDEGKPTPPELEDPKLYHYAIFSDNVVAASVVVNSAVKNSKDPSKHVFHVVTDKMNLGAMQVMFKMRDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIRRKFNPKACAWAYGMNFFDLDAWRKEKCTEEYHYWQALNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISTDEINNAAVVHFNGNMKPWLDIAIAQFRPLWTKYVDYENEYIQACNFGL >itb06g13460.t1 pep chromosome:ASM357664v1:6:18161144:18163585:1 gene:itb06g13460 transcript:itb06g13460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIVSAPPLTNPSPEFSRNPCEFLPKRPKLYHSLLRKKRLSRVLAVAQTRIANSSKKQSGLAVKGGIIVLPNWNYQRTKEHKLFDAVIYLENMAKKGYKPDLRQATDLVCALCQRNKPGKASRVIEVMGRSGSIPDARAYTFLVNVLCKKGSVGHAMQLVEKMEEYGYTADNVTYNCLLRGLCKRGKLTLSLQFIDRLMQKGLVPDSYTYTVLLQATYIERGVDEAMVLLENIVANGDKPTLENYNVVLTGLCKEGRVDEAIDFFREFPVKGFSPDVVSYNIVLSPLCFEGRWEEAYRLLADMSREGISPDVLTYNILIGSRALHGQVSNALDILDEMCSRNKLKPTAASYNPIIARLCKEKKMNAVRECLNKMIIQRCDPDDGTYSAISVLYEEGMGQEAFRIFEILRVKQSRPFNDFYGTAISRFCRKGNMYPAFQLLYDLTMSGFTPNASTYSSLIRGLCAEGMLGAAIEMLRILEANCYRLDIMNFNTLILGLCKCGRTDLSLAIYEEMVEKGYKPNEKTYTIIVEGLAHEEELELAGLVLKELHMREVITTITFQRFYMQYDLEGLST >itb06g13460.t2 pep chromosome:ASM357664v1:6:18161155:18163560:1 gene:itb06g13460 transcript:itb06g13460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGYKPDLRQATDLVCALCQRNKPGKASRVIEVMGRSGSIPDARAYTFLVNVLCKKGSVGHAMQLVEKMEEYGYTADNVTYNCLLRGLCKRGKLTLSLQFIDRLMQKGLVPDSYTYTVLLQATYIERGVDEAMVLLENIVANGDKPTLENYNVVLTGLCKEGRVDEAIDFFREFPVKGFSPDVVSYNIVLSPLCFEGRWEEAYRLLADMSREGISPDVLTYNILIGSRALHGQVSNALDILDEMCSRNKLKPTAASYNPIIARLCKEKKMNAVRECLNKMIIQRCDPDDGTYSAISVLYEEGMGQEAFRIFEILRVKQSRPFNDFYGTAISRFCRKGNMYPAFQLLYDLTMSGFTPNASTYSSLIRGLCAEGMLGAAIEMLRILEANCYRLDIMNFNTLILGLCKCGRTDLSLAIYEEMVEKGYKPNEKTYTIIVEGLAHEEELELAGLVLKELHMREVITTITFQRFYMQYDLEGLST >itb10g24350.t1 pep chromosome:ASM357664v1:10:28224996:28229610:-1 gene:itb10g24350 transcript:itb10g24350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLGIFARRASPAASRYWFPWRESLPITIPSVRAFSVGVLPDTLDRSSDLFTGNSKAMDDLVSQLHSHIRKVTEGGGAAAVKRNRSRNKLLPRERIDRLLDPGSSFLELSPLAGHELYEEPLPSGGIITGIGPVNGRLCMFVANDPTVKGGTYFPITVKKHLRAQEIAAQCKLPCLYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEVISAEDLGGADVHCRTSGVSDYFAQDELQALAIGRNIVKNFHMAGSQRGSNQSPTDFREPLYDVQELRSIAPTNLKQSFDTRAAIARIVDGSEFDEFKKLYGTTLVTGFGRIYGNPVGIIANNGILFNESALKGAHFIELCSQRNIPLIFLQNITGFMVGSKSEANGIAKAGAKLVMAVACAKVPKITVVVGGSFGAGNYAMCGRAYSPDFMFTWPNSRISVMGGAQAAGVLSQIEKANKGIEWGKEEEESFKAKIEEKYDREGDPYYSTARLWDDGVIDPADTRKILGLCLSASMNRGPQSTKYGVFRM >itb10g24350.t2 pep chromosome:ASM357664v1:10:28224996:28229610:-1 gene:itb10g24350 transcript:itb10g24350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MFVANDPTVKGGTYFPITVKKHLRAQEIAAQCKLPCLYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEVISAEDLGGADVHCRTSGVSDYFAQDELQALAIGRNIVKNFHMAGSQRGSNQSPTDFREPLYDVQELRSIAPTNLKQSFDTRAAIARIVDGSEFDEFKKLYGTTLVTGFGRIYGNPVGIIANNGILFNESALKGAHFIELCSQRNIPLIFLQNITGFMVGSKSEANGIAKAGAKLVMAVACAKVPKITVVVGGSFGAGNYAMCGRAYSPDFMFTWPNSRISVMGGAQAAGVLSQIEKANKGIEWGKEEEESFKAKIEEKYDREGDPYYSTARLWDDGVIDPADTRKILGLCLSASMNRGPQSTKYGVFRM >itb10g24350.t3 pep chromosome:ASM357664v1:10:28225008:28229610:-1 gene:itb10g24350 transcript:itb10g24350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MFVANDPTVKGGTYFPITVKKHLRAQEIAAQCKLPCLYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEVISAEDLGGADVHCRTSGVSDYFAQDELQALAIGRNIVKNFHMAGSQRGSNQSPTDFREPLYDVQELRSIAPTNLKQSFDTRAAIARIVDGSEFDEFKKLYGTTLVTGFGRIYGNPVGIIANNGILFNESALKGAHFIELCSQRNIPLIFLQNITGFMVGSKSEANGIAKAGAKLVMAVACAKVPKITVVVGGSFGAGNYAMCGRAYSPDFMFTWPNSRISVMGGAQAAGVLSQIEKANKGIEWGKEEEESFKAKIEEKYDREGDPYYSTARLWDDGVIDPADTRKILGLCLSASMNRGPQSTKYGVFRM >itb07g14810.t2 pep chromosome:ASM357664v1:7:17493962:17499421:1 gene:itb07g14810 transcript:itb07g14810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSGSGKTTLLTALAGRLPGKFSGSVTYNAQPISSSVKRRIGFVTQDEVLYPHLTVLETLTYAALLRLPEKLTKAEKAEQAEMVIMELGLNRCRNSMIGGTLFRGVSGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAQRIVATLRWLARGGRTVVTTIHQPSSRLYRMFDEVLVLSEGCPIYSGKGSSVMDYFAAIGYVPAFNFMNPADFLLDLANGIAPDTRQDDQHELVGRPENVDSQTSTKQFLISCYKKSVKKEEVERSSHDSIRAGRRNSPLRSSEEQWTTSWWLQFSVLLSRGLKERRHESFSGLRIFQVVSVSFLSGLLWWHCDTNHIQDQVGLLFFFSIFWGFFPMFNAIFAFPQERPMLIRERSSGMYRLSSYYFARTVGDLPMELVLPTIFVTVTYWMGGLKPSLLTFTLTLLIILFNVLVSQSLGLALGAILMDVKQAATLSSVMMLVFLLVSGYYIQHIPAFLTWMKYTSFSHFSYKLLVGVQYPENEVYECGVGRQCRVRDFEGIKCLDIGNMVFDVAALGAMLVGFRILAYVALRVR >itb07g14810.t1 pep chromosome:ASM357664v1:7:17493306:17499421:1 gene:itb07g14810 transcript:itb07g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPDREDSVHGGSSNVLVCSRMESVKLQIIDPPISSSKSNVSPCSNNDIPDQDHSPPGQFSVLRASSHPVTLKFEDVAYSIKIESNGGSCLLTSSKRNQTRVLLNGVSGTARPGELLAMLGPSGSGKTTLLTALAGRLPGKFSGSVTYNAQPISSSVKRRIGFVTQDEVLYPHLTVLETLTYAALLRLPEKLTKAEKAEQAEMVIMELGLNRCRNSMIGGTLFRGVSGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAQRIVATLRWLARGGRTVVTTIHQPSSRLYRMFDEVLVLSEGCPIYSGKGSSVMDYFAAIGYVPAFNFMNPADFLLDLANGIAPDTRQDDQHELVGRPENVDSQTSTKQFLISCYKKSVKKEEVERSSHDSIRAGRRNSPLRSSEEQWTTSWWLQFSVLLSRGLKERRHESFSGLRIFQVVSVSFLSGLLWWHCDTNHIQDQVGLLFFFSIFWGFFPMFNAIFAFPQERPMLIRERSSGMYRLSSYYFARTVGDLPMELVLPTIFVTVTYWMGGLKPSLLTFTLTLLIILFNVLVSQSLGLALGAILMDVKQAATLSSVMMLVFLLVSGYYIQHIPAFLTWMKYTSFSHFSYKLLVGVQYPENEVYECGVGRQCRVRDFEGIKCLDIGNMVFDVAALGAMLVGFRILAYVALRVR >itb08g08090.t1 pep chromosome:ASM357664v1:8:6956988:6959465:-1 gene:itb08g08090 transcript:itb08g08090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSTLLSRDEEFAQIGGSAGLGHHIVSLTSTTYGLLTLDPPAPASDDDEEEEKEKEKASPPVRTTAPVTPIPPTRFSLGSLFASPLLSEPRPLKFSEPPSEVINSWELMSGLDSAQQASNSAAQSFRFTPLPSTADYSFRFSPMCPKPSFKNENSNPNLSSGEEPKVLKPPTLIEAVLAKKSSNSKYEGFEDLCPPNGENKVVIYTTTLRGVRKTFEACNAVRSVIEGAGVLVCERDISMDKGFKEELRELMKEKDSSELIPPRVFVKGRYIGGAEELLRIAEEGGLGDLLQGLPKLRAGYVCEGCGGARFLPCFTCNGSCKMVMAVREDMEQKHGRTVVVRCSNCNENGLVLCPICT >itb01g27650.t1 pep chromosome:ASM357664v1:1:32356308:32356889:1 gene:itb01g27650 transcript:itb01g27650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALLCKTIASKPSEIPTTRNTTKIWKPADQISNNKHKVRKCSILSVTTSLTRVCLCVPISSYTYAPVLQADVLSSSTSTSRTSIYLRPKPPPPTPHQTLLLGATIALEGRRVFRGKSLRDDVLMRRFVIEEEAMWQVRRRNEMEVIRRRYGIRSRKQLGPSPLSKMVLAEPEPEPKHEPVSLRGWNVYSLT >itb02g12380.t1 pep chromosome:ASM357664v1:2:8435693:8438398:1 gene:itb02g12380 transcript:itb02g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKPTSYLLLLAILPSSSSLLFMWLVRICETTEEDEKKHLNGFSFVALILAAYLATVIIVESIFSFDLSARIATFLVLILLLLSPIFVAIGAYKEKSYRIIKFLLEQNPVMDEQNRSHVHTVNIGQDPTEYHQLPESSDQAGDTDERKTPESGENLNPFQAMCTSSFWFLFFTTACGMGSGLTTVNNMSQIGESLGYGSLEIDTLVSLWSIWNFLGRFGAGYVSDYFLYVLGWSRPLFIVITLALMSIGYIVIALGLPGGLYAGSILVGICYGSQWSLMPTIVSEIFGVVHMGTIFNTITIAGPIATYILSVRVVGYFYDKEAASGELNICTGTHCFMLSSFIMAATTFSGALVALALFFRTRNFYRNVTRLAHPN >itb02g12380.t2 pep chromosome:ASM357664v1:2:8435693:8438398:1 gene:itb02g12380 transcript:itb02g12380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKPTSYLLLLAILPSSSSLLFMWLVRICETTEEDEKKHLNGFSFVALILAAYLATVIIVESIFSFDLSARIATFLVLILLLLSPIFVAIGAYKEKSYRIIKFLLEQNPVMDEQNRSHVHTVNIGQDPTEYHQLPESSDQAGDTDERKTPESGENLNPFQAMCTSSFWFLFFTTACGMGSGLTTVNNMSQIGESLGYGSLEIDTLVSLWSIWNFLGRFGAGYVSDYFLYVLGWSRPLFIVITLALMSIGYIVIALGLPGGLYAGSILVGICYGSQWSLMPTIVSEIFGVVHMGTIFNTITIAGPIATYILSVRVVGYFYDKEAASGELNICTGTHCFMLSSFIMAATTFSGALVALALFFRTRNFYRNVTRLAHPN >itb05g23210.t3 pep chromosome:ASM357664v1:5:28389619:28391646:-1 gene:itb05g23210 transcript:itb05g23210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTSLHIVMLPWLAFGHIIPYLELAKLLAERGNKISFVSTPRNIQRLPKLHPNLVPNIDLIGLPLPQVENLPQDAESTLDLPYDKVRYLKIAFDELQYSMDALLQTLSPDWVIHDFASFRLVPIMENLSIPNVHFSIVTASILVSLVGPPSAIIDGLDYRVEAKHMTSKPKWVPFETTIVPRYFEIMRLFNDAYIDGGNVSDVYRLAVTVKGASAVFVRSCYELEPEWLQLVEDLFGKPTIPVGLLPTTGYADEEDDDQKKAAWLEIKEWLDKQEKGSVVYVAFGSETKPNQEEVVEIALGLELSKLPFFWVYRKQRGWADEEVTKLPEGFEERTRGRGVICTSWAPQLKILSHDSVGGFLTHSGWSSVVEALKFEKKLILLPFLADQGMIARLLVEKEMGYEIARDENDGSFSRDSVAESLRVVMVEGQGKIFAEKLKKMKGKLFEKEKQDNYVNKLLDYLHDPTMHQPSGAHKN >itb05g23210.t2 pep chromosome:ASM357664v1:5:28386543:28391416:-1 gene:itb05g23210 transcript:itb05g23210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTSLHIVMLPWLAFGHIIPYLELAKLLAERGNKISFVSTPRNIQRLPKLHPNLVPNIDLIGLPLPQVENLPQDAESTLDLPYDKVRYLKIAFDELQYSMDALLQTLSPDWVIHDFASFRLVPIMENLSIPNVHFSIVTASILVSLVGPPSAIIDGLDYRVEAKHMTSKPKWVPFETTIVPRYFEIMRLFNDAYIDGGNVSDVYRLAVTVKGASAVFVRSCYELEPEWLQLVEDLFGKPTIPVGLLPTTGYADEEDDDQKKAAWLEIKEWLDKQEKGSVVYIAFGSETKPNQEEAVEIALGLELSKLPFFWVYRKQRGLADEEVTKLPEGFEERTRGRGVICTSWAPQLNILSHDSVGGFLTHSGWSSVVEALKFEKKLILLPFLADQGIIARQLVERELGYEIARDDYDGSFSKDLVAESLRIVMVEEHGKVFAEKLEKMKEKLFEKEKQDNYVNKLLDYLHAPTMHQPSGSYKK >itb05g23210.t1 pep chromosome:ASM357664v1:5:28386543:28388424:-1 gene:itb05g23210 transcript:itb05g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTTLHIVMLPWLAFGHIIPYLELAKLLAERGNKISFVSTPRNIQRLPKLHPNLVPNIDLIGLPLPQVENLPEDAESTLDLPYDKVRYLKIAFDELQDSMLTFLQTLSPDWVIHDFASFRLVPIMEKLSIPSVYFSIFSASTINILIGPPSPIIDGQDYRVEAKHVTSKPKWVPFETAIMPRYFEIERMFHDLYIDGGNVSDVYRVAVTVKGASAVFVRSCYELEPEWLQLVEDVFGKPTIPVGLLPTTAYDDEEEDDKKAAWLEIKEWLDKQEKGSVVYIAFGSETKPNQEEAVEIALGLELSKLPFFWVYRKQRGLADEEVTKLPEGFEERTRGRGVICTSWAPQLNILSHDSVGGFLTHSGWSSVVEALKFEKKLILLPFLADQGIIARQLVERELGYEIARDDYDGSFSKDLVAESLRIVMVEEHGKVFAEKLEKMKEKLFEKEKQDNYVNKLLDYLHAPTMHQPSGSYKK >itb13g16830.t1 pep chromosome:ASM357664v1:13:23770196:23782030:1 gene:itb13g16830 transcript:itb13g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTFRLRWMITTVALTLLLLLIIRPCNGNFFKPFNVSYDHRAIIIDGQRRMLISAGLHYPRATPEMWPNLIAKSKEGGADVIETYVFWNGHEPAKGEYNFEGRYDIVKFVKQVGSAGLYLFLRIGPYACAEWNFGGFPVWLRDVPGIEFRTDNAPFKEEMERFVKMIVDLMQSESLFSWQGGPIILLQIENEYGNIESEYGSGGKAYMAWAAQMAFGLGAGVPWIMCKQTDAPEYIIDACNGYYCDAFSPNSDKKPKIWTENWDGWYTSWGGRVPHRPAEDLAFAVARFFQRGGSLSNYYMYYGGTNFGRTSGGPNQITSYDYDALIDEYGLLRQPKWGHLKDLHAAIKLCEPALVSVESPQYISLGPLQEAHVYSGNSLSNGQNLSLHKSTCSAFLANIDERHTANVKFNGQVYTLPAWSVSILPDCQNVAFNTAKVGAQTSIKTIGQDAAFSQEMIQRKVMAQSWMTVPEPIGVWGKTFTYQGILEHLNVTKDLSDYLWYTTRQFASDEDISFWEKSNTTPALTIDSMRDFMCTFINGQLAGCTKGDWEKVVQPLKFVQGYNDIVLLSQTVGLQNYGAFLEKDGAGFKGQVKLTGWRNGDIDITNSSWTYQVGLKGEFSEAYAGKDNKHFRWKEFPHDSTPNIFSWYKTYFDAPVGTDPVAIDLSGMGKGQVWVNGQHIGRYWSLKAPEDGCNTCDYRGAYDSDKCVTNCGQLTQSWYHIPRSWLQPSNNLLVIFEETDKAPLRISIEPRFTSTICSEVPQDHYPLNAWSGGQFSGPSVAPELHLQCDDGHTISSIEFASYGTPQGYCQKFSQGNCHAESSSSVISKACLGKNSCSIQVSDAIFGDPCRKVIKTLAVQAKCSPPVDNVFSYLSSNSKTEM >itb10g03560.t1 pep chromosome:ASM357664v1:10:3288853:3293926:1 gene:itb10g03560 transcript:itb10g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSYSFILLFLLIILNVLAAETKVYVVYLGEHSGGKSLKEIEDHHCSFLLSVKGSKEEATASLVHSYKNVINGFSALLTQEEADRISEMEGVISVFYSHPRKVKPQTTRSWDFVNLLEAINGSPTGREELLRKANSGKDVIVGMMDTGVWPESPTFSDQGMEPVPHSWKGMCQEGAAFNSSHCNRKLIGARYYLKSYEANFGRLNQTIDFKSPRDANGHGTHTASTVGGRRVPNAAALGGFGDGTASGGAPLVRLAIYKVCWRLPNNQTVLEEEVTCLDDDILAAFDQAISDGVHVISASLGSIPTGGYYKEDGVAIGALHAVKRNIVVSCSAGNDGPTPSTVGNVAPWIITVGASSIDRVFSSPLKLGNGMIVEGQTITPIGRRKMLPLVYAGNVEIPGTTNSSTTGLCIPGTLSRNLVKGKIVVCRRTPTILASQEVQRAGGAATILGNLYNEIQVEPFLHPTTVVFSYGLFAILKYISNDENPMATLLPGETVLGTKPAPVMASFTSLGPNIIEPNILKPDISAPGLNILAAWSEASSPTNVAFDNRVTKYNIISGTSMSCPHVSAVAALLKAIHPDWSSAAIRSALMTTATTNNVVGAPIVNATGYVATPFEYGAGHILPSKAMDPGLVYDASYTDYLLFLCNRGVTLDSSFKCPKHTPSASNFNYPSLSIANLRGSMTVKRTVTNVGKGNSTYIVTVTPPSGYVVAVSPMTLRFSREGEKQSFNVTIRINSVNRKRNGFAFGWYSWTDGDHVVTSPIAVSSA >itb08g13260.t1 pep chromosome:ASM357664v1:8:13941846:13945816:-1 gene:itb08g13260 transcript:itb08g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP14 [Source:Projected from Arabidopsis thaliana (AT3G26000) UniProtKB/Swiss-Prot;Acc:Q9LU91] MALNYSHRPIFPAHISEDNLVSPMRIVNGYLVEGVPDKTGEGFIWPRHTGGESEEWFEYGRERVDSCGLPESASKDIIDLLPSDPFEMGASTYPIEMAIRTTVTALTGWLKDLEIDCGGSQRDIVGPIKEDYSFLIWNNAMRFQQFPSHYKLNISSCMNPSHERAVGDELPQFGTSSTCSTRDIGFDNGYSNDFVPWIQEKDLACSSDDKGEAPHEGLSYALNYLGVKDLLSVQMVCKSLCSTVKNDPLLWRSIHIDKPLNERITDDVLQLLTSRAQGSMECLSLVECPRITDDGLRRVLEANPHLTKLCVPGCTRLSIEGIMNILRAFNSKKGIGIRHLRIGGLYGVTHEHYEGLKSLLGAESLKEQNDPKPHFYLRGSVYRLCDDDRPIDIEVCPRCEKMRLVYDCPSEGCQVKDRATQGCRACTLCIARCVQCGKCINDGPYEETFCLENLCTDCRDQIENQERHEEEETGLRKHLHPHERGHNISLHG >itb08g13260.t2 pep chromosome:ASM357664v1:8:13941846:13945789:-1 gene:itb08g13260 transcript:itb08g13260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP14 [Source:Projected from Arabidopsis thaliana (AT3G26000) UniProtKB/Swiss-Prot;Acc:Q9LU91] MALNYSHRPIFPAHISEDNLVSPMRIVNGYLVEGVPDKTGEGFIWPRHTGGESEEWFEYGRERVDSCGLPESASKDIIDLLPSDPFEMGASTYPIEMAIRTTVTALTGWLKDLEIDCGGSQRDIVGPIKEDYSFLIWNNAMRFQQFPSHYKLNISSCMNPSHERAVGDELPQFGTSSTCSTRDIGFDNGYSNDFVPWIQEKDLACSSDDKGEAPHEGLSYALNYLGVKDLLSVQMVCKSLCSTVKNDPLLWRSIHIDKPLNERITDDVLQLLTSRAQGSMECLSLVECPRITDDGLRRVLEANPHLTKLCVPGCTRLSIEGIMNILRAFNSKKGIGIRHLRIGGLYGVTHEHYEGLKSLLGAESLKEQNDPKPHFYLRGSVYRLCDDDRPIDIEVCPRCEKMRLVYDCPSEGCQVKDRATQGCRACTLCIARCVQCGKCINDGPYEETFCLENLCTDCRDQIENQERHEEEETGLRKHLHPHERGHNISLHG >itb08g13260.t3 pep chromosome:ASM357664v1:8:13941846:13945789:-1 gene:itb08g13260 transcript:itb08g13260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP14 [Source:Projected from Arabidopsis thaliana (AT3G26000) UniProtKB/Swiss-Prot;Acc:Q9LU91] MALNYSHRPIFPAHISEDNLVSPMRIVNGYLVEGVPDKTGEGFIWPRHTGGESEEWFEYGRERVDSCGLPESASKDIIDLLPSDPFEMGASTYPIEMAIRTTVTALTGWLKDLEIDCGGSQRDIVGPIKEDYSFLIWNNAMRFQQFPSHYKLNISSCMNPSHERAVGDELPQFGTSSTCSTRDIGFDNGYSNDFVPWIQEKDLACSSDDKGEAPHEGLSYALNYLGVKDLLSVQMVCKSLCSTVKNDPLLWRSIHIDKPLNERITDDVLQLLTSRAQGSMECLSLVECPRITDDGLRRVLEANPHLTKLCVPGCTRLSIEGIMNILRAFNSKKGIGIRHLRIGGLYGVTHEHYEGLKSLLGAESLKEQNDPKPHFYLRGSVYRLCDDDRPIDIEVCPRCEKMRLVYDCPSEGCQVKDRATQGCRACTLCIARCVQCGKCINDGPYEETFCLENLCTDCRDQIENQERHEEEETGLRKHLHPHERGHNISLHG >itb01g32190.t1 pep chromosome:ASM357664v1:1:35736067:35739905:1 gene:itb01g32190 transcript:itb01g32190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA-type zinc finger protein with TIFY domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G24470) UniProtKB/TrEMBL;Acc:F4JQX4] MQPTSAPWHFPSGGDCAAGKEEPTNNAGIGYEVQCIEGGAIGGFDGVEVPGPYGSVFTAADSAAAYAPDQLTLSFRGQVYVFDAVTLEKVQSVLLLLGGYEYTPGAYPTQRENTDYSARCSDPKRAESLNRFRQKRKERCYGRKIRYNIRHEVAVRMQRRKGQFASKNTIAGNAVMESGQDDNSHQEIWCTHCNTSSKATPMMRRGPAGPRTLCNACGLFWANKGTMRVLPKRRCSNTLTEMEDGDEDEDDSDSDYGIPLPLRYNIDYMASSAGDSSAVLSPERGCQQS >itb03g16470.t1 pep chromosome:ASM357664v1:3:15416181:15416572:1 gene:itb03g16470 transcript:itb03g16470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPARRRNTCIFPPSTVAFIDQQSPPPQAKYSNYIGKEANAADFSSLLSPLLNPSKHTAPNQNVFVSRIRKPEGEKNHSKDCKYAAGGCWKSDIM >itb12g21930.t1 pep chromosome:ASM357664v1:12:24135689:24141873:1 gene:itb12g21930 transcript:itb12g21930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKHGEISLSGHCINPASVCHLDIQVNGMQKHKKESSWEMRSDDSENQLPEEVDKLLNRATILEQKESRVLTMAEMFQFHKIVYEEPSSIYVKVLSGLTLLFLGFLGVSELLGNHLKYSKFWNSSPNSASQVKVSSVLGMFILYAPASLAGLASFVIFPDGDSRFFMVKLAVTIHFLKRVLEVLFIHKYSGGMMLDSVIIISSSYLFAAAGVIYIQSLTQGFPEPPIDLKYIGFLIFLIGISGNFYHHYLLSRMRGKNEKGYKIPQAVMDDKHGEISLSGHCINPASVCHLDIQVNGMQKHKKESSWEMRSDDSENQLPEEVDKLLNRATILEQKESRVLTMAEMFQFHKIVYEEPSSIYVKVLSGLTLLFLGFLGVSELLGNHLKYSKFWNSSPNSASQVKVSSVLGMFILYAPASLAGLASFVIFPDGDSRFFMVKLAVTIHFLKRVLEVLFIHKYSGGMMLDSVIIISSSYLFAAAGVIYIQSLTQGFPEPPIDLKYIGFLIFLIGISGNFYHHYLLSRMRGKNEKGYKIPQGGLFGLVICPHYLFEIIAFVGISFISQTLFTFCCTVGIAVYLMSRSFVTRKWYLSKFENFPKNVKALIPYVF >itb04g22420.t1 pep chromosome:ASM357664v1:4:27580319:27582275:-1 gene:itb04g22420 transcript:itb04g22420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKINSIMEGACGNKMGMVFLLWLFAAALVVKAEDPYVFFDWKVTYGKLSPLGVAKDAILINGKLPGPTINSTSNNNIVINVFNELDEPLLFHWNGIQQRKNSWQDGMPGTMCPIMPGTNYTYHYQVKDQIGTHFYFPSTDLHRSAGGYGALTVHSRELIPVPFDWPADEFYIFLSDWYNKGHKELKKTLDDGQTIARPNGLVINAKHGEVGDKMEPLATFEAGKTYRFRVCNVGMRTSINFRFQGHNMVLVEMEGSHTVQNDYDSLDLHTGQCLSVLVTADQEPKDYYLVATSRFFKQQLSTVALISYANGKGAAAAELPPPPPDNTEGIAWSINQFRSFRWNLTASAARPNPQGSYHYGQIEITRTLKLVNTKGEEGGKLRYAINGVSHKDPDTPLKLIEYFEMADKVFKYDLMPDEPAGDASKITVAPNVKNATFRNFVEIIFENHEKVIQSYHINGYSFFAVAIEPGKWSPEKRKNYNLVDATSRHNIQVYPNSWAAVMTTLDNAGLWNVRSEMWERFYLGQQFYFSVLSPARSLRDEYNIPDNQLLCGAVKGKPMPKPYTI >itb15g07300.t1 pep chromosome:ASM357664v1:15:4944894:4948073:-1 gene:itb15g07300 transcript:itb15g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPINLAAVFLQLVSLSLAQTPTTNTTTTTTKPGCPQKCGNLTVPYPFGIGLGSGCGLNPSFEISCNTSRNPPMPLIGNIQVFDISETHVWISNVVALRCYTSAGGFLGEIPAWTNLGTSSPYTFSDKNKFTVLGCQDAAVITANNPLTGKSFANGCPAACTKPEDVRVGSCLGSGGCCQITIPKGLKSFNISSMMSLNNHSGVWSFNPCSFAFLGEADRFSFRGVDDLRDLNFTRRVADSVPILLDWAIGNLTCDGAQKSNDYACQQNSRCVDADNDSGGYRCTCEQGFQGNPYLSPGCQDIDECADPNKNDCEKVCTNTAGSHRCSCPDGYSGDGKKNGSGCIAPNNNSEFPWIKFSVGLGVGFLSMVIGVTWLYFFIKKRRLIKLREKFFQQNGGLILKQRITTTGDGIEAIKIFTANELEKATNHYSSDRELGRGGNGIVYKGILPGNHIVAIKKSKNMDETKIEQFINEVVILSQVNHRNVVKLLGCCLEAEVPLLVYEYVSHGTLYEHIHKQGGSSWLSWENRLRVATETAGALAYLHSSAGMPIFHRDVKSANILIDDYYTAKVSDFGASRLIPLDQTHVATLVQGTLGYLDPEYFRTSELTEKSDVYSFGVVLCELLTGLKPISRERSEEETNLSAYMVISMDKNQLFKILDRRVLREGALDQTQKVAELAKRCLHMNGEDRPTMKEVAMELEALRKFNRQSWSRGEQVHEVFGGQMNDEDGPSDLYMLQINSSTFTSEYSGQYTSSTGMNLSTNNSRR >itb04g10520.t1 pep chromosome:ASM357664v1:4:10059308:10061844:1 gene:itb04g10520 transcript:itb04g10520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKDEVPNEKADIPEDDEFKLGIVTEETEVVEAVPAAFENENVEPEVGRKGDEDAEDAEELEPGVMENENVGTADAVELKIEALDKENAGMEDVEVTLEVLAKENAGAEDAELVVEAVGKENDGADDPELGVVALENDIVGAEDENEDDAKLPAAVVGNRKPEAEEAELEAEDNENTGVGEADDAPKAGADAPNGDTVEGTVPELDEDPEVKPVPNKLGEPEEDPKGVEAPKEVVAPNIGELTEVVVWREEAPNALEVVNAPDPNGKVEPVVADEAVVAEEPKEGVTTPDPNIFVDAPELELAPNVVGVVPTLGPNGKVVPVVTDEVVAAEEPKENEGAEEAVPNPKLVDEVLKLGFDIENPNGDEDEVVAAALGVEVKEKGEEDDEVLEKEKPVAIVLELEKMRIGECDFDTDGRREQMADELDDGRLSGDGTPTSQRRLECWVSGDLRYAKG >itb02g13410.t4 pep chromosome:ASM357664v1:2:9481857:9498385:-1 gene:itb02g13410 transcript:itb02g13410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVTSRDIQEIVSKLSSDKPKPREEGIKLLNTWLEGERLIGFCKYIADKTAMLKPKELPHSETWPFLIELLMKCVLLEISSSKKRPPKLTFAKTLRIVVQRAEDPRFSGKALLLLPVVKLLFKHVCDVLMDVPSFQSEYSTILRDLLSVRHYGLHMRKRAYCSLVLFYMEKVQTSLSAKLDGQLNPKDEIFRCILTLHSLLENPPGDFPDDLREHIIVGFTVFFTHIRDEGKVSRKLIECINSYLLIDGPNLGCKYLEIHKAVQQFVFRCWTTTHDRSLKDSIVFYARLQLNLTRGATDGGALLEQVLDVVGKELDQMNSLCNNFPRKDNIRDEKYQSLTSSQCSIMELAALVFCRACVNTSKAQLAEKRARREHAVVQIKEGLMSGKWSWHAAFCYLIHNYYTRIKRDVYIYWLENICANFERIVNDANTKHMYDGLLWTLRSLQGLSCLLLFPVSGADMLSQPPKANKCDKSWHMVWSCLIHGLPTFSTVPAVVDAALLLLGNIILSDTINAFIVPQDLWDLRFFKRLPSTSVLYFISCYFSRRGSQSDLKDVLYLRQSLLRAVLALPFSKESFVLNEQLVAMLPAAVYALCVGYSPLPRKGCLSPLHYVPEAPNDTAKVQEYEDGSPHEFFECSVEVLAKIGEESGYKDIQSPCHQSIRLPRQVRDPLCHEMQNYILEAMNDNEFEGMLLSDVIFICALLSNFMYNSYITGITENVTFLSNLGEYLLKFLDHAISIMEKTCDDIICCNLGSITIFNSMETIMVSFSSFFHSPLFGELHNRNGIDDVQTSIIQSIERLLKTLAKLYEGCTNSGGNLFPQPDHPGITASGSVQDSHSLISRKSVIIDVELDMDTSSKDADIVTFDGKASSGIAVSPVHQRMEIISLLSKFFVILPVATWDILFDLLQKESDPRVREKIIQSLCQHAHWSSSRKFLELVASMSVVVDMQADVKLPSLSILASICTLLQSLLSLDTVAKDNSDASCLREKVAEQVCVCVCIYIYIYLFIYMCVYDCMYIEDMSHGMISLRELVSKMTENDSFHWRGRTKQIDCICNFILLDPQVGQSLIEKLLLMLRDNDYRVRFFLAQRIGVLFQTWDGHFELFRDICSNFGVKLVSCSRERLVTANDVLAAGPQPHQILETTIITLMHLVLQSEKIELEAVFVICVIAAIDPSQRELISSVLDNLSKQLYYTSRAKYLEELVGPILFCWVYCGVSLAALVESPLLSFIIAAIGFFQL >itb02g13410.t2 pep chromosome:ASM357664v1:2:9481774:9496749:-1 gene:itb02g13410 transcript:itb02g13410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRAYCSLVLFYMEKVQTSLSAKLDGQLNPKDEIFRCILTLHSLLENPPGDFPDDLREHIIVGFTVFFTHIRDEGKVSRKLIECINSYLLIDGPNLGCKYLEIHKAVQQFVFRCWTTTHDRSLKDSIVFYARLQLNLTRGATDGGALLEQVLDVVGKELDQMNSLCNNFPRKDNIRDEKYQSLTSSQCSIMELAALVFCRACVNTSKAQLAEKRARREHAVVQIKEGLMSGKWSWHAAFCYLIHNYYTRIKRDVYIYWLENICANFERIVNDANTKHMYDGLLWTLRSLQGLSCLLLFPVSGADMLSQPPKANKCDKSWHMVWSCLIHGLPTFSTVPAVVDAALLLLGNIILSDTINAFIVPQDLWDLRFFKRLPSTSVLYFISCYFSRRGSQSDLKDVLYLRQSLLRAVLALPFSKESFVLNEQLVAMLPAAVYALCVGYSPLPRKGCLSPLHYVPEAPNDTAKVQEYEDGSPHEFFECSVEVLAKIGEESGYKDIQSPCHQSIRLPRQVRDPLCHEMQNYILEAMNDNEFEGMLLSDVIFICALLSNFMYNSYITGITENVTFLSNLGEYLLKFLDHAISIMEKTCDDIICCNLGSITIFNSMETIMVSFSSFFHSPLFGELHNRNGIDDVQTSIIQSIERLLKTLAKLYEGCTNSGGNLFPQPDHPGITASGSVQDSHSLISRKSVIIDVELDMDTSSKDADIVTFDGKASSGIAVSPVHQRMEIISLLSKFFVILPVATWDILFDLLQKESDPRVREKIIQSLCQHAHWSSSRKFLELVASMSVVVDMQADVKLPSLSILASICTLLQSLLSLDTVAKDNSDASCLREKVAEQVCVCVCIYIYIYLFIYMCVYDCMYIEDMSHGMISLRELVSKMTENDSFHWRGRTKQIDCICNFILLDPQVGQSLIEKLLLMLRDNDYRVRFFLAQRIGVLFQTWDGHFELFRDICSNFGVKLVSCSRERLVTANDVLAAGPQPHQILETTIITLMHLVLQSEKIELEAVFVICVIAAIDPSQRELISSVLDNLSKQLYYTSRAKYLEELVGPILFCWVYCGVSLAALVEVRDLFVLDAEPTTFIHYCCHWLLPALILHGDVSNLNWIVKVEFLLFLLLSFPL >itb02g13410.t3 pep chromosome:ASM357664v1:2:9481095:9498596:-1 gene:itb02g13410 transcript:itb02g13410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVTSRDIQEIVSKLSSDKPKPREEGIKLLNTWLEGERLIGFCKYIADKTAMLKPKELPHSETWPFLIELLMKCVLLEISSSKKRPPKLTFAKTLRIVVQRAEDPRFSGKALLLLPVVKLLFKHVCDVLMDVPSFQSEYSTILRDLLSVRHYGLHMRKRAYCSLVLFYMEKVQTSLSAKLDGQLNPKDEIFRCILTLHSLLENPPGDFPDDLREHIIVGFTVFFTHIRDEGKVSRKLIECINSYLLIDGPNLGCKYLEIHKAVQQFVFRCWTTTHDRSLKDSIVFYARLQLNLTRGATDGGALLEQVLDVVGKELDQMNSLCNNFPRKDNIRDEKYQSLTSSQCSIMELAALVFCRACVNTSKAQLAEKRARREHAVVQIKEGLMSGKWSWHAAFCYLIHNYYTRIKRDVYIYWLENICANFERIVNDANTKHMYDGLLWTLRSLQGLSCLLLFPVSGADMLSQPPKANKCDKSWHMVWSCLIHGLPTFSTVPAVVDAALLLLGNIILSDTINAFIVPQDLWDLRFFKRLPSTSVLYFISCYFSRRGSQSDLKDVLYLRQSLLRAVLALPFSKESFVLNEQLVAMLPAAVYALCVGYSPLPRKGCLSPLHYVPEAPNDTAKVQEYEDGSPHEFFECSVEVLAKIGEESGYKDIQSPCHQSIRLPRQVRDPLCHEMQNYILEAMNDNEFEGMLLSDVIFICALLSNFMYNSYITGITENVTFLSNLGEYLLKFLDHAISIMEKTCDDIICCNLGSITIFNSMETIMVSFSSFFHSPLFGELHNRNGIDDVQTSIIQSIERLLKTLAKLYEGCTNSGGNLFPQPDHPGITASGSVQDSHSLISRKSVIIDVELDMDTSSKDADIVTFDGKASSGIAVSPVHQRMEIISLLSKFFVILPVATWDILFDLLQKESDPRVREKIIQSLCQHAHWSSSRKFLELVASMSVVVDMQADVKLPSLSILASICTLLQSLLSLDTVAKDNSDASCLREKVAEQGMISLRELVSKMTENDSFHWRGRTKQIDCICNFILLDPQVGQSLIEKLLLMLRDNDYRVRFFLAQRIGVLFQTWDGHFELFRDICSNFGVKLVSCSRERLVTANDVLAAGPQPHQILETTIITLMHLVLQSEKIELEAVFVICVIAAIDPSQRELISSVLDNLSKQLYYTSRAKYLEELVGPILFCWVYCGVSLAALVESPLLSFIIAAIGFFQL >itb02g13410.t1 pep chromosome:ASM357664v1:2:9481095:9498596:-1 gene:itb02g13410 transcript:itb02g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVTSRDIQEIVSKLSSDKPKPREEGIKLLNTWLEGERLIGFCKYIADKTAMLKPKELPHSETWPFLIELLMKCVLLEISSSKKRPPKLTFAKTLRIVVQRAEDPRFSGKALLLLPVVKLLFKHVCDVLMDVPSFQSEYSTILRDLLSVRHYGLHMRKRAYCSLVLFYMEKVQTSLSAKLDGQLNPKDEIFRCILTLHSLLENPPGDFPDDLREHIIVGFTVFFTHIRDEGKVSRKLIECINSYLLIDGPNLGCKYLEIHKAVQQFVFRCWTTTHDRSLKDSIVFYARLQLNLTRGATDGGALLEQVLDVVGKELDQMNSLCNNFPRKDNIRDEKYQSLTSSQCSIMELAALVFCRACVNTSKAQLAEKRARREHAVVQIKEGLMSGKWSWHAAFCYLIHNYYTRIKRDVYIYWLENICANFERIVNDANTKHMYDGLLWTLRSLQGLSCLLLFPVSGADMLSQPPKANKCDKSWHMVWSCLIHGLPTFSTVPAVVDAALLLLGNIILSDTINAFIVPQDLWDLRFFKRLPSTSVLYFISCYFSRRGSQSDLKDVLYLRQSLLRAVLALPFSKESFVLNEQLVAMLPAAVYALCVGYSPLPRKGCLSPLHYVPEAPNDTAKVQEYEDGSPHEFFECSVEVLAKIGEESGYKDIQSPCHQSIRLPRQVRDPLCHEMQNYILEAMNDNEFEGMLLSDVIFICALLSNFMYNSYITGITENVTFLSNLGEYLLKFLDHAISIMEKTCDDIICCNLGSITIFNSMETIMVSFSSFFHSPLFGELHNRNGIDDVQTSIIQSIERLLKTLAKLYEGCTNSGGNLFPQPDHPGITASGSVQDSHSLISRKSVIIDVELDMDTSSKDADIVTFDGKASSGIAVSPVHQRMEIISLLSKFFVILPVATWDILFDLLQKESDPRVREKIIQSLCQHAHWSSSRKFLELVASMSVVVDMQADVKLPSLSILASICTLLQSLLSLDTVAKDNSDASCLREKVAEQGMISLRELVSKMTENDSFHWRGRTKQIDCICNFILLDPQVGQSLIEKLLLMLRDNDYRVRFFLAQRIGVLFQTWDGHFELFRDICSNFGVKLVSCSRERLVTANDVLAAGPQPHQILETTIITLMHLVLQSEKIELEAVFVICVIAAIDPSQRELISSVLDNLSKQLYYTSRAKYLEELVGPILFCWVYCGVSLAALVEVRDLFVLDAEPTTFIHYCCHWLLPALILHGDVSNLNWIVKVEFLLFLLLSFPL >itb04g17780.t3 pep chromosome:ASM357664v1:4:21034114:21038413:-1 gene:itb04g17780 transcript:itb04g17780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHKHQFRTEQQQEFENPHHYKPPQIKTEAELKIINKMFDECMNKEWEKVLNTYSTHGFVRRAKLTKSEDTALHLAINCYHPKHRSKDHLQCIKKMVTKIPDDEVLDILKLKNDTGDTPLHLAAQLGNVEICRCILTQVEKLGKVYELIGERNNLNMTPLFLAAHRGKVDAFKLLHEKIKETEDRIHLCRKEKGETILHSTLSGEYFELAYEIIEKFPELVNYVSEDGTSPLHILARKPHVFKSSSYLGSYESIIYYCTEVTTSHKKALTEDDANDHFSFPENWRTFADFYQLIWNFMKWVFALKKIVKGPTAKQNNDPENQDSQPQVSGNTKNNTGTEEDDQRPVGAFPRIYYTDIGFLKFVMKLMLIILGIGFHRISKIKEKKGKHTCAVEILEKLIPNEASYKYQHAGGKPLQPVEAPNKPIDLPMTPPQTDNNAIQSDTEETMPDHPSDANKHKETPILAAAKMGIQEIVEKIIKRFPISIHDVDPNQKNVLLLAVENRQVAVYNFLRKQKLPEFVYYQVDNKGNSAAHLAAMYTGLKYWRIPGDALQLQGEVKWYKYVKSHLPRESYVRYNNEGQAPGDVFLETHANLTKLGTTWLIKTSESCSVIAALIATVAFAASTTVPGGLDQNSGYPILEGQPAFSVFAVSSLIALCFSVTALVFFLAILTSRCQQKDFKNNLPIKLLLGLTSLFTSIAAILISFCAAHSFVIKSKLKLAAFPIYGVVCLPVTFFAFNQLPLYLDLFRSIVQPVHFRSYRVSYTGKPAKGNKLSSTSKDQ >itb04g17780.t1 pep chromosome:ASM357664v1:4:21034311:21038406:-1 gene:itb04g17780 transcript:itb04g17780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHKHQFRTEQQQEFENPHHYKPPQIKTEAELKIINKMFDECMNKEWEKVLNTYSTHGFVRRAKLTKSEDTALHLAINCYHPKHRSKDHLQCIKKMVTKIPDDEVLDILKLKNDTGDTPLHLAAQLGNVEICRCILTQVEKLGKVYELIGERNNLNMTPLFLAAHRGKVDAFKLLHEKIKETEDRIHLCRKEKGETILHSTLSGEYFELAYEIIEKFPELVNYVSEDGTSPLHILARKPHVFKSSSYLGSYESIIYYCTEVTTSHKKALTEDDANDHFSFPENWRTFADFYQLIWNFMKWVFALKKIVKGPTAKQNNDPENQDSQPQGNTKNNTGTEEDDQRPVGAFPRIYYTDIGFLKFVMKLMLIILGIGFHRISKIKEKKGKHTCAVEILEKLIPNEASYKYQHAGGKPLQPVEAPNKPIDLPMTPPQTDNNAIQSDTEETMPDHPSDANKHKETPILAAAKMGIQEIVEKIIKRFPISIHDVDPNQKNVLLLAVENRQVAVYNFLRKQKLPEFVYYQVDNKGNSAAHLAAMYTGLKYWRIPGDALQLQGEVKWYKYVKSHLPRESYVRYNNEGQAPGDVFLETHANLTKLGTTWLIKTSESCSVIAALIATVAFAASTTVPGGLDQNSGYPILEGQPAFSVFAVSSLIALCFSVTALVFFLAILTSRCQQKDFKNNLPIKLLLGLTSLFTSIAAILISFCAAHSFVIKSKLKLAAFPIYGVVCLPVTFFAFNQLPLYLDLFRSIVQPVHFRSYRVSYTGKPAKGNKLSSTSKDQ >itb04g17780.t2 pep chromosome:ASM357664v1:4:21034114:21038413:-1 gene:itb04g17780 transcript:itb04g17780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHKHQFRTEQQQEFENPHHYKPPQIKTEAELKIINKMFDECMNKEWEKVLNTYSTHGFVRRAKLTKSEDTALHLAINCYHPKHRSKDHLQCIKKMVTKIPDDEVLDILKLKNDTGDTPLHLAAQLGNVEICRCILTQVEKLGKVYELIGERNNLNMTPLFLAAHRGKVDAFKLLHEKIKETEDRIHLCRKEKGETILHSTLSGEYFELAYEIIEKFPELVNYVSEDGTSPLHILARKPHVFKSSSYLGSYESIIYYCTEVTTSHKKALTEDDANDHFSFPENWRTFADFYQLIWNFMKWVFALKKIVKGPTAKQNNDPENQDSQPQGTEEDDQRPVGAFPRIYYTDIGFLKFVMKLMLIILGIGFHRISKIKEKKGKHTCAVEILEKLIPNEASYKYQHAGGKPLQPVEAPNKPIDLPMTPPQTDNNAIQSDTEETMPDHPSDANKHKETPILAAAKMGIQEIVEKIIKRFPISIHDVDPNQKNVLLLAVENRQVAVYNFLRKQKLPEFVYYQVDNKGNSAAHLAAMYTGLKYWRIPGDALQLQGEVKWYKYVKSHLPRESYVRYNNEGQAPGDVFLETHANLTKLGTTWLIKTSESCSVIAALIATVAFAASTTVPGGLDQNSGYPILEGQPAFSVFAVSSLIALCFSVTALVFFLAILTSRCQQKDFKNNLPIKLLLGLTSLFTSIAAILISFCAAHSFVIKSKLKLAAFPIYGVVCLPVTFFAFNQLPLYLDLFRSIVQPVHFRSYRVSYTGKPAKGNKLSSTSKDQ >itb11g11250.t1 pep chromosome:ASM357664v1:11:8212578:8213217:1 gene:itb11g11250 transcript:itb11g11250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKDGITQDEVASIVNKFPNQALDFYGAMRSRTYDRSILKWVDDIGGAEGLGRRFLRQRKDGKLPVFIPPEQTMEALLESGHGLIKEQKLIMESKLSKEYMKNMDE >itb12g09180.t1 pep chromosome:ASM357664v1:12:7215197:7221026:1 gene:itb12g09180 transcript:itb12g09180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVELDLYSVRDERVGNWYINATVFLPFKHLNSLYLSENNLAGAIENGGFDKLSKLHDLKVLGLSYNNLDRNIFSSLNHLLSLKKLYLDGNPLDSPPNKSARFYVPLWTDLKDFISLKDLRISSNKFQSFGPIKDGEEPQKLEMLSLSFNRFNKSIFQSLKRLPSLKYICLSENDIRGRLHIHDIYSSSNLEALYLDRNYIEGFDTFASLSDGGRQTKLETLGLANNRLNNNIFKSLKGFSSLKFLYLIENDFHGPLQIQDINGLNNLVDIDLSYSVFEDFENTTSPSELGVSSRDINNNSHLKWHLNNITCNMSKLLQLLTTLPSIKSLSLQNNNITSLDAIHGWCELRKLEELWFNGNQLDGTLPPCLGNLTSLNLIDLSQNRFVGNLGPSSPLSSLISLKYLFILSNSFEIPSSFTVFANHTKLRGIRANGNRAITETELQSWVPKFQLDFFTMSNCTGFQNLPTFLHYQHDLRVLDISINSLEGEFPNWLLQNNTNLYYVLMNSNAFTGTLELLSHLNHDLSIFDVSNNKLSGKIPNNLTLTFPHIVTMNLSYNLFEGQIPSYLGNSKELLFLDLSNNSFTGEIPKELLIGCSSLKIFKLSNNKLEGEIVQEFGYLSSLEGFYLDGNNFTGTTLDSLSNIPFRILDISDNKFSGKIPRWMGHITSLEQLTLSRNHLEGPIPIEFCNLENLSILDLSENNLTGSIPSCLNPSSIKHVRLSKNQLSGQLNRAFFNSTTLVIFDLSHNDFVGTIPEWIGNFSGLTILLLNGNRFEGEIPIQICQLMRLSVLDLSNNILSGTIPHCLGKMRLEVTNEKSTAINLPLTTFGVGIPYDTPFSTNDGPPKVEFRTKGNLYDYVGNNLKLMSGIDLSANRLIGEIPFELGNLTEIYALNLSHNNLNGTILETFSKLGNIESLDLSHNMLSGKIPNTLLKLKWLEVFTVAYNNLTGAIPELKAQFATFNENSYEGNPYLCGPTLQVNCSRTEQPSSPFPLLFNTNTDCASEESNNVDMDVFYISFGVSYVVFLLGTIVVFYINPYWRDSLFQLIKVYVCKWLCQGKY >itb03g04980.t1 pep chromosome:ASM357664v1:3:3298672:3300839:-1 gene:itb03g04980 transcript:itb03g04980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMATVSLPPGFRFHPTDEELVAYYLKRKINGHRIELDVIPEVDLYKCEPWDLPGKALLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSEMRAVGMKKTLVYHRGRAPHGARTDWIMHEYRLDERECETRSGLQEAYALCRIFKKSLNGLKIGENSGADRSSYDYETESSTYAMAAPSSSATCSSPMAAAAGDGSLFNFPGGVSSDHRYWMQFLSSDDQAFRFHNNPSHRFMLPPVEFHQSSFVPEYANHDEILSVAHHHQHQAASGFSDDYFPFVPQNNEELGDMDSSLITEQLNQDDQQNVGEELRSDRMVENLRWVGVLDKDIEKVILDHSAILV >itb14g18760.t1 pep chromosome:ASM357664v1:14:21666289:21669449:1 gene:itb14g18760 transcript:itb14g18760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAVTAVVKQAEQLRQDGNNYFQKNRFGAAIDAYTEAITLCPNVPVYWTNRALCHLKRKDWTRLEEDCRRAIQLDCNSVKAHYMLGLALLKKQAYSEGVRELEKALDLGRGANPKSYMVEEIWQELAKAKYLEWEHESNKRTSELYKLKESCETALKEKHLLNISQMEGFLDEKEDIFSKQLEALNEVFRKAVADDTPIDVPDYLCCKITLDIFRDPVITPSGVTYERAVILEHLEKVGKFDPVTGKALYPSQLVPNFAIKEAVQAYLDKHGWAYRMD >itb03g18060.t1 pep chromosome:ASM357664v1:3:16450788:16453388:1 gene:itb03g18060 transcript:itb03g18060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNGFLFKERWKFRGIFNGLIGLVFIILILHQASFSRQSFSIRPAIKIFQSGFNKNGVIRRRIVEKLDNSSRIDLFSDGGMGGSLGTSKTPDCTGIYEHQGYDSKCEYLRSNPGCDSGGYFNYIKFFYCNCNNYSALGYVVLAVWLVALFYLLGNTAADYFCCCLEKLSNSLRLPPTVAGVTLLPLGNGAPDVFASIAAFVGNDSGGMGLNSVLGGAVFVTCVVVGTVALCVTEQGVEIDKKCFLRDACFFIFAILSLLMILVLGEVSVGVAIGFFCIYVVYAICVAANEILRKLFKGSKADCVTPLLPVTNEEGKIEKLDSKDVAPELEKLPHWLWTSNVAIYSNETVKVAPGESTEFLWGWTDEHPADDKWSVVSCSKVVSLLELPLMLPRRLTIPIVDEQRWSKFFAVGSASLAPLLLSFLWNTQEDEGSIAAEIVYIIGAGSGGVLGGLAFIYTKPDHPPEKWLFPWIFGGFFMSIIWFYIVANELVALLVAFGVIFGINPSLLALTVLAWGNSMGDLISNVAIAMNNRDGVQIAMSGSYAGPMFNTLVGLGISMMLGAWSKQPEPYTIPQDNNLYYTLGFLMLALLYTLIVLPRNGMRPNRLLGLGLMTIYLVFLSVRATIAVGDGSLLFRP >itb11g00510.t1 pep chromosome:ASM357664v1:11:224246:227221:-1 gene:itb11g00510 transcript:itb11g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLKLGVEVESAHNLIPKDGKHSFITTFVELHFDGQKFRTTVKERDLHPVWSESFFFNVSDPTDLPNLKLEAVVYSNNKNGRSRSSIGKVCISGTSFVPYSDAVLLHYPLEKAAIFSRARGELGLKVFVTDDPSVWSSKPFPAMGFSSQVSSQSNVAESIAQKFAGSVPESFSAGKKELGHIFSNLPNQSSYADSRVNQMRFEPHQKASKPVHMYPGSSSQPVEYSLKETSPILGGGRVVGGVKRAITHDLVEPMQFMFVRVVKARGLPSKDVTGSLDPYVEVRVGNYKGITRHFEKTGNPEWNAVFAFAKERMQSSVLDVVVMDKDILKDGFVGIVRFDLHEIPTRVPPDSPLAPEWYHLENQRRGKKNGELMLAVWIGTQADEAFPDACHSDAASPVDISVPPSHIRSKVYHSPRLWYVRANVIEAQDLVAGEKNRFPDVYVKAQIGNQVLKTRSVRSQTMNAMWNEDLMFVASEPFEEHLILSVEEASNKDEIFGQVIIPLSTVEKRADDRIVQSRWYNLQKSNGDGTEEPKKYKFATRIHLRVSLDGGYHVLDESAHYSSDFRPTAKQLWKPSVGILEVGILNANGLHPMKTRNGRGTSDTYCVAKYGHKWIRTRTIIDSLSPKYNEQYTWEVYDPATVLTVGVFDNGQLGGEKGSNGGKDLKIGKVRIRISSLETNRAYTHYYPLLVLHPSGVKKMGELHLAIRFTCTSFVNMMFMYSRPLLPKMHYVRPLSATLQDMLRHQAVKIVATRLARAEPPLRKEVVEYMSDADSHLWSMRRSKANFHRLMSVFNGLFSLAKWFGDVCRWKSPVTTVLVHILFVMLVCFPELILPTLFLYMSVIGLWSYRYREKYPSHMNTRMSYADSAHPDELDEEFDTFPTSCSLELVRMRYDRLRYVAGRIQKAVGDVATQGERFQALLSWRDPRATAIFIMFCILAAIVFYVTHFQIFTVIAGFYMMRHPRFRHKLPPAPLNFLRRLPAKTDSML >itb10g24440.t1 pep chromosome:ASM357664v1:10:28268233:28269464:-1 gene:itb10g24440 transcript:itb10g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKGSSNEILHDLFAVMKIYKDGRVERLSGTDVVPAGLDAETGVLSKDVVISPEPKVSARLYLPPKIPTGRKLPLLLYFHGGAFVVESAFSPTYMKPLNLLAAEANVLIVSVDFRLAPEHPLPAAYDDGWTALKWAASHAAGDGGEEWLNEYADFDGGIFLGGDSVGANISHYLALRVGSTTEDNSVDGVKINGLFFNCPLFWGEDRLSNDLGTQFIAPFVETIWKIALPEATGLDDPRINPSKDPELGKLGCKKVLIYVPEKDALKHRGWQYKESLVSSGWQGAVEVVEVKGENHIFNLNNPTCDNAIAMLKKLATFFHDSNP >itb10g15850.t1 pep chromosome:ASM357664v1:10:22082041:22082983:-1 gene:itb10g15850 transcript:itb10g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRTPNILTLFCIFFFLISVSSTHPMPRKKPYNPCKTLVLYFHDVIYNGQNAGNATSAIVGAPQWGNYTILASQFHFGNVVVFDDPITLDNNFHSKPVGRAQGMYMYDTKNTYTAWLGFSFVLNSTDSQGSINFIGADPLMNKTRDISVVGGTGDFFMHRGVATVMTDAFEGEVYFRLRVDIKFYECW >itb07g03550.t1 pep chromosome:ASM357664v1:7:2371826:2375363:1 gene:itb07g03550 transcript:itb07g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRKKTCVIAVLLLFSALAVEGHRRFGDDDGGWITVNGGRFELNGSPFLFNGFNAYWLMHVAADVGQRGKVTEVLREASAAGLSVCRTWAFADGSDPTDLQTSPGVYNERVFQGLDFVISEARKFGVRLILNLVNNYNDFGGRPQYANWARNAGEQIAGDDDFYTNPVIKGYYKNHVMTVLTRMNTNSGIAYKDDPTIMAWELINEPRCASDLSGNTVNGWIAEMASYAKSVDNKHLVGTGMEGFYGDTMPERKQYNPGYTVGTDFIATHQVPDVDFASIHAYTDQWESGKSDDDQMAFMESWIGSHWQDSQNILKKPLILAEFGKSSRDPGFTEAGRDAFMTKVYTDTYNLAKSGGAMAGSMIWQLTADGMEDLDDGYSIVLADNPSTAGIIAGQSYVMSKLSQQLLSRPRVRSFGGAWDCRCRSQYPRRRHHHHRGLPWGAAGRRYGCCENLHEGRAEA >itb09g09880.t1 pep chromosome:ASM357664v1:9:6057380:6067008:-1 gene:itb09g09880 transcript:itb09g09880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPQPEDDENDEIDKFFREHLEEESEEPIVEHSIEHADYVVSAVEISRREREERIQRLKRQRPDDRPAYASQPRMHDGMFQAKKLRPSNKLPPGWLDCPSSGQDIGCIIPSKVPLGEHFNDCIEPGKRYSFRQVLHRQRVLGRKLGLVIDLTNTTRYYSTHEFVKEGIKHVKIMCKGRDSVPDNESVNLFVFEVLQFLARQKHSKKYILVHCTHGHNRTGYMIVHYLMRTLPISVTQAIQIFAESRPPGIYKSDYIDALYTFYHEKKPETVVCPLTPEWKRSCEFDLNGVAMPDDDDDGGAVAPSHDNQEPHIVMTNDDLLGDSIPSDQQNSLRQICYQFLKLQLPGGRNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRHFNFRRVQMRFPCKQSHEGLAEKTFHHFTLLDGEMIIDTVPDTQKQERRYLIYDMMALNYMSVTERPFHERWKMIEKEVIEPRNYERCHIYQSKNPYYRYELEPFRVRRKDFWLLSAVTKVLKEFIPKLSHEADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVLDDRELLYLNERGKKKLMDGNRVVFPDSSDPSELSGKIIECSWDSDNQVWVCMRVRVDKGTPNDFNTYRKVLRSIKDNITEDILLAEIQEIICLPMYADRIHIESKHSSQARRR >itb09g09880.t2 pep chromosome:ASM357664v1:9:6057380:6067008:-1 gene:itb09g09880 transcript:itb09g09880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASMDLNASPQPEDDENDEIDKFFREHLEEESEEPIVEHSIEHADYVVSAVEISRREREERIQRLKRQRPDDRPAYASQPRMHDGMFQAKKLRPSNKLPPGWLDCPSSGQDIGCIIPSKVPLGEHFNDCIEPGKRYSFRQVLHRQRVLGRKLGLVIDLTNTTRYYSTHEFVKEGIKHVKIMCKGRDSVPDNESVNLFVFEVLQFLARQKHSKKYILVHCTHGHNRTGYMIVHYLMRTLPISVTQAIQIFAESRPPGIYKSDYIDALYTFYHEKKPETVVCPLTPEWKRSCEFDLNGVAMPDDDDDGGAVAPSHDNQEPHIVMTNDDLLGDSIPSDQQNSLRQICYQFLKLQLPGGRNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLIDRHFNFRRVQMRFPCKQSHEGLAEKTFHHFTLLDGEMIIDTVPDTQKQERRYLIYDMMALNYMSVTERPFHERWKMIEKEVIEPRNYERCHIYQSKNPYYRYELEPFRVRRKDFWLLSAVTKVLKEFIPKLSHEADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVLDDRELLYLNERGKKKLMDGNRVVFPDSSDPSELSGKIIECSWDSDNQVWVCMRVRVDKGTPNDFNTYRKVLRSIKDNITEDILLAEIQEIICLPMYADRIHIESKHSSQARRR >itb15g07250.t1 pep chromosome:ASM357664v1:15:4907375:4911568:-1 gene:itb15g07250 transcript:itb15g07250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRAHFPCICCLLLAIVFLSASAIAQIINSSQVGRSGCPTWCGNLTVPYPFGIGIGSGCALDPGFEILCDTNNSNPPRPVLNSGWGGPPISIYDISDTQIRIPSPSVFHSCNGSNLSWSLSLLKYNHYSRSPENQITILGCDKTLLITDETNTTATTNCTSRCTGNASQIMPNNGTCSGIGCCQLALPKGVNKVYNITMISAALNHTNAGSCGHAFLGETSGFRFLGAADLSKGDIDQRVRDSVTVALDWAIGDLNCKEAQRITEYACKGNSHCVDSDTGFGGYRCSCDQGYQGNPYLGCIEGIGNLSCKEAQNSSGYACKANSHCVDSDTGKGGYMCRCDDGYEGNPYISPGCTDVDECKDPKKNTCELECINIPGSFKCNCLEGYYSDDKKDDRRCLLVNKKSDSWLKFFLGIGLGVLAMVAIATSLCYIIKKKNRAKMRLKFFEQNGGFLLKQRITSSEGDDDSADVTKIYSAKELREATNNYAQDMILGRGGNGVVFKGILPNMLEVAIKRSKTVDDTQVEQFINEVVILSRINHRYVVKFLGCCLEAEVPLLVYEYISNGTLHHHIHRQAGASDWLSWENRLRIAIEAAGALAYLHSAASMPIIHRDVKSANILIDENYTAKISDFGASRLVPLDQTHLATLVQGTLGYLDPEYFQTSRLTEKSDVYSFGVVLAELLTERKPVSPNMSAEEDRNLSAFFVRSVNENRLFQILAPRLVIEGTLDQLQRIAELVKRCLQLKGEDRPKMKEVASELESIRMYTKHSWEERSCFVSEEDEPSDLYAVPISP >itb03g23070.t1 pep chromosome:ASM357664v1:3:21194627:21200870:1 gene:itb03g23070 transcript:itb03g23070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQVKVGSEEEISEEAVVRTLRRGLRFYSTIQAHDGHWPADYSGPLFLLPFLNVDGGWGLHIEGHSTMFCTALNYVSLRILGEESDGGNGAMKEARKWILDHGGATFVPSWGKLWLSEDLYYPHPAIQDIVWDGLQNIVEPLLNKWPFNYLRKKALNLAMDHIQYEDETSNYVCIGPVNKVLNMLCRWIEEPDSFAVKHHLSRIKDYLWLAEDGMKMTGYNGSQLWDVGFAVQAIVATNLCDEYGSMLRKAHFFINASQIKEDSGINMSSWFRHSSKGGWPLSTPDDGWSISDCTGEALKMFNPSETFGEIIIDYP >itb03g03260.t1 pep chromosome:ASM357664v1:3:1877806:1879400:1 gene:itb03g03260 transcript:itb03g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGETLGRGSFGKVSLAVPRSHGIAGASPAMVVKSCGVSCFDSLMNEKAVLDELRGCREVIQCFGDCYSFENGEKLYNVLLEFASQGALADKVKNSENRRLSEIEIRKYSKALLRGLGYIHKFGYVHCDIKLQNILLCEDGEVKIGDFGLAKKNGGEKLGCELRGTPMYMSPEMVNGGEQGPAADIWALGCAVAEMATGAPAWKYSDVAELLMRIGVGEEIPEIHGKLSVDGQDFLGKCFIKDPRKRWTAEMLLNHPFISGEENGATVSLEASAPSPSPRCPFDFPDWVSESSSITSLPRPESEYSPADRLRGIVSHQSPNWSQDSDEWVNVR >itb01g11150.t1 pep chromosome:ASM357664v1:1:9826506:9830131:-1 gene:itb01g11150 transcript:itb01g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDITPSLSVQISMEASKVLTVCPPDVQMAPTSDFDSQLNSIVSDLSQHVQVVMENMVKMIKEIDQNSTDIVEDIEKCKDTALERKRSLEEQKEHFQKAAYAVLNMLNNEEIS >itb01g11150.t2 pep chromosome:ASM357664v1:1:9827811:9830131:-1 gene:itb01g11150 transcript:itb01g11150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDITPSLSVQISMEASKVLTVCPPDVQMAPTSDFDSQLNSIVSDLSQHVQVVMENMVKMIKEIDQNSTDIVEDIEKCKDTALERKRSLEEQKEHFQKAAYAVLNMLNNEEIS >itb14g05970.t1 pep chromosome:ASM357664v1:14:5219768:5224571:-1 gene:itb14g05970 transcript:itb14g05970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQPLTTSNINDSIIVKEVMDTHGPVSKDFKASYTLHFVKKILFLIMMGGKAIYEDEDESDDNEPENSTMSNEMEIKDREHSYQIKRLSFEIALRCSENAHDPHSTVMHFMKMLCAYPWEGKLLMMMAAFSINFGEFRLRALKGSKTPLLAMAPSHIQRSISLFIKSVLRLTNTIVELAQSSSYNSSPVIPLASYWIFTSILTCASYFNCLPSIIGSEWLTDEVSKLSSLTAIVKDIFSDCRPMLEMKREEDSYNALWRAFSDENPTPSTKLDVLKLIFNVKDVDKEKPLFDPKGRMVRLRSLENKKLALVITSHLDIDYSMMIASRCISQMIAHLWIPIVDDPTLWNTAAMENTYRTLASKIKLYTLKNVQKSIASRFARFVKKKFFPTFQIGGGPIVVLLDHQGRMLLCIAAHMILKRITDLITKGGGSNEFIKRDSKVPLFKNMVKEMTLSVRHLVFDIDEKISDFAKEMDSKLKEWCDGIESDIVNSMENIVFKALMEEDHWKEKTWCTKLLIASSYNLNEEAKEWVNANEHIFFIGGKDITWVKTFASKILTKIHFNPQLTVKMAYVGSNEKVISAIGQGKICETFNNIVGRFMFWINLQSMFLSRIKFLYETCGDEESDEIVKGLKFLLSYETEGVAVDGWALLCKGNKIVLYDLGDKMLAVINEYEKWKESAIIRGFDQAFKDHHHEMFGSTSTPQHHPCALEYPSNSDKVPENIKCPQYCHNMQKFVTFKCDHGDANKIEELSDMLED >itb12g26550.t3 pep chromosome:ASM357664v1:12:27398389:27400928:-1 gene:itb12g26550 transcript:itb12g26550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-kinase gamma 3 [Source:Projected from Arabidopsis thaliana (AT5G24240) UniProtKB/Swiss-Prot;Acc:Q9FNF8] MPVASVAVSPVVEEHRMDPHELQLNESILIYLTVGGTVFPMHVLGSDSIASVKLRIQRYNGFFVKKQKLVCGGKELARSNSLVRDYGVTNGDVLHLVLRLSDIQAITVETVCGKVFEFHVERKRNVGYVKQQIARRWEGLFDLKDQELICDGEELEDQRVIDDICRSKNAVLHLLVRRSVKVRAKPVEKDFEVSIIARESDEKVDDIVENPSEKLRVVAVKPVQQDFILQPLTINPEITLTPEIKRLIRDTFEGLKGGNQPIRSSEGSGGAYFMQDFYGHRYISVFKPIDEEPMAVNNPHGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPRAGPRSIHSDEKGFAGVPPTVMVKCLHEGFSYSRGYKYSSNNIKTGSLQMFMENSGSCEDMGPSAFPVEDVHKISVLDIRLANADRHSGNILVRKDGEKGQVVLIPIDHGYCLPESFEDCTFDWLYWPQAKHPYSPETVAYINNLDADKDIELLKFHGWELPLECARILRISTMLLKKGAERGLTPFAIGSIMCRETIKKKSVIEQIVEEALEAILPETSEAAFLECVSSIMDRRLEELPESQKK >itb12g26550.t2 pep chromosome:ASM357664v1:12:27398389:27401182:-1 gene:itb12g26550 transcript:itb12g26550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-kinase gamma 3 [Source:Projected from Arabidopsis thaliana (AT5G24240) UniProtKB/Swiss-Prot;Acc:Q9FNF8] MPVASVAVSPVVEEHRMDPHELQLNESILIYLTVGGTVFPMHVLGSDSIASVKLRIQRYNGFFVKKQKLVCGGKELARSNSLVRDYGVTNGDVLHLVLRLSDIQAITVETVCGKVFEFHVERKRNVGYVKQQIARRWEGLFDLKDQELICDGEELEDQRVIDDICRSKNAVLHLLVRRSVKVRAKPVEKDFEVSIIARESDEKVDDIVENPSEKLRVVAVKPVQQDFILQPLTINPEITLTPEIKRLIRDTFEGLKGGNQPIRSSEGSGGAYFMQDFYGHRYISVFKPIDEEPMAVNNPHGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPRAGPRSIHSDEKGFAGVPPTVMVKCLHEGFSYSRGYKYSSNNIKTGSLQMFMENSGSCEDMGPSAFPVEDVHKISVLDIRLANADRHSGNILVRKDGEKGQVVLIPIDHGYCLPESFEDCTFDWLYWPQAKHPYSPETVAYINNLDADKDIELLKFHGWELPLECARILRISTMLLKKGAERGLTPFAIGSIMCRETIKKKSVIEQIVEEALEAILPETSEAAFLECVSSIMDRRLEELPESQKK >itb12g26550.t1 pep chromosome:ASM357664v1:12:27398389:27401421:-1 gene:itb12g26550 transcript:itb12g26550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-kinase gamma 3 [Source:Projected from Arabidopsis thaliana (AT5G24240) UniProtKB/Swiss-Prot;Acc:Q9FNF8] MPVASVAVSPVVEEHRMDPHELQLNESILIYLTVGGTVFPMHVLGSDSIASVKLRIQRYNGFFVKKQKLVCGGKELARSNSLVRDYGVTNGDVLHLVLRLSDIQAITVETVCGKVFEFHVERKRNVGYVKQQIARRWEGLFDLKDQELICDGEELEDQRVIDDICRSKNAVLHLLVRRSVKVRAKPVEKDFEVSIIARESDEKVDDIVENPSEKLRVVAVKPVQQDFILQPLTINPEITLTPEIKRLIRDTFEGLKGGNQPIRSSEGSGGAYFMQDFYGHRYISVFKPIDEEPMAVNNPHGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPRAGPRSIHSDEKGFAGVPPTVMVKCLHEGFSYSRGYKYSSNNIKTGSLQMFMENSGSCEDMGPSAFPVEDVHKISVLDIRLANADRHSGNILVRKDGEKGQVVLIPIDHGYCLPESFEDCTFDWLYWPQAKHPYSPETVAYINNLDADKDIELLKFHGWELPLECARILRISTMLLKKGAERGLTPFAIGSIMCRETIKKKSVIEQIVEEALEAILPETSEAAFLECVSSIMDRRLEELPESQKK >itb15g00980.t2 pep chromosome:ASM357664v1:15:556318:559105:1 gene:itb15g00980 transcript:itb15g00980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHKKVNETAPVRRKCIDDLLRWVENEAAAGSGIHVTRFVFLATFNMLGNLMFSSDLVDPVSEKGSKFFNAMMGIMEWGGTPNISDIFPCLRWLDLQGLRGKTDRDLKIALQIVSAFVKERCKEGVQDSGKRKTDFLDVLLRFEGSGKDEPAKLSEHQINIFMLEMFLAGTDTTSSTVEWALTELLRNPKAMTKAQEEIRGIVGPNRRFEERDIDNLQYLQAVVKETLRLHPPAPLLIPRRAIQDTKFMGYDIPKDTRVFINVWAIGRDHESWEDPLSFKPERFLGSNIDFKGQNFEFLPFGAGRRICAGLPLGNRMLHFLLGSLLHSFDWELEGNVTSESLDMAERMGITVRKFEPLKAIPRRVVA >itb15g00980.t1 pep chromosome:ASM357664v1:15:556255:559105:1 gene:itb15g00980 transcript:itb15g00980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWDGRYVIMLLAVFLIPASLLLLLSRNKPRSGRLPPGPPGLPVLGNMFDLGSLPHQTIAAMRNTYGPVVWLRIGSVSTLAIQSAKAAAELFKNHDVPFVGRNIVDVMLSHDYNKGSLVFAQYGSYWRVLRRICSVEMFVHKKVNETAPVRRKCIDDLLRWVENEAAAGSGIHVTRFVFLATFNMLGNLMFSSDLVDPVSEKGSKFFNAMMGIMEWGGTPNISDIFPCLRWLDLQGLRGKTDRDLKIALQIVSAFVKERCKEGVQDSGKRKTDFLDVLLRFEGSGKDEPAKLSEHQINIFMLEMFLAGTDTTSSTVEWALTELLRNPKAMTKAQEEIRGIVGPNRRFEERDIDNLQYLQAVVKETLRLHPPAPLLIPRRAIQDTKFMGYDIPKDTRVFINVWAIGRDHESWEDPLSFKPERFLGSNIDFKGQNFEFLPFGAGRRICAGLPLGNRMLHFLLGSLLHSFDWELEGNVTSESLDMAERMGITVRKFEPLKAIPRRVVA >itb11g07670.t1 pep chromosome:ASM357664v1:11:4716498:4719442:-1 gene:itb11g07670 transcript:itb11g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MSNVLQISPASKITYLNTKPPFWFPNFNLIRAIACPPNLVAGCPIKTHFGVAKIEALAKYGEEGSVQGLDDSPASIELQPISSESQFDRVIAEAQQLNESVVILWKASWCRKCIYLKPKLEKLAADYYPSMRFYSVDVNTVPHKLVVCAGVTVSFSNSSWSIVPYSVGSSRN >itb11g07670.t2 pep chromosome:ASM357664v1:11:4716498:4719442:-1 gene:itb11g07670 transcript:itb11g07670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MSNVLQISPASKITYLNTKPPFWFPNFNLIRAIACPPNLVAGCPIKTHFGVAKIEALAKYGEEGSVQGLDDSPASIELQPISSESQFDRVIAEAQQLNESVVILCMRFYSVDVNTVPHKLVVCAGVTVSFSNSSWSIVPYSVGSSRN >itb04g04270.t1 pep chromosome:ASM357664v1:4:2636655:2639898:1 gene:itb04g04270 transcript:itb04g04270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATIFAPSSDNSRIPNCFPSLATNPSNGNPFHSPTSKAAIASLENIKVNSSFLEKDPISCCQICKSEFVLDLELKMLPCKHVYHSECILQWLETKNTCPVCQFQLPTEEDEDYDLQGLPRGENFIGTIIAEELLNGEEETEEDLMHFHDPPTPVSVMPRYRRLPRGENFISTIISEESLNDEEDLLPLDPPRPAAVRPNLTMMNDWLPGGENFIGEELLDDEYFMHFQDPSTPIVMMPNLTMMNDWWLDFTMVDHLRSQSSDTLALTSVSVSFSEIMNCLLISFHQLVMDTEFESMDLESVDLLVSMANIGENDYGVGVMANVNG >itb11g21340.t1 pep chromosome:ASM357664v1:11:23085414:23086862:1 gene:itb11g21340 transcript:itb11g21340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHDSIFSDRPKSSITNRLFYGSKDVAFAPYGEYWQQVRSICVLHLLSNKRVQSFRNVREEETQLMVQKIKQSCGSPINLTDILLWLNNNIISRVVLGRKYCTEEHGQKDIMGLLEEYMKVLGLFDIGDYIPWLAWVNRINGFDRKVEKLGKELDEFIEGVVEEHSRVEKQEADGLDLVDILLQIQREDKNGFPIHRDTVKAIILDMFAAGTHTSYTVLEWAMAELIKNPKIMKNLQNEVRTKFKTYEDIETMQYLKAVIKETLRFHSPAPLLLPRKAIQDVKVMGFHVTAGTHVLVNSWGIGRDPTIWENSEEFKPERFLNSNVDYKGMHFELIPFGAGRRGCPGLAFGVTTVELALATLIREFDFALGEEKLDMNEGIGITCHKKIPLVVIATPMVS >itb07g22700.t1 pep chromosome:ASM357664v1:7:27233968:27237948:1 gene:itb07g22700 transcript:itb07g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSVEMEMREMKGGFVSDELLGTFVPIAVYWLYSGLYSMLGGLENYRLHCKKDEDEKNLVSKSDVVKGVVLQQAVQAVVATILFAVTGNDGVVAEDGQGSLLVLAQQFAIAMAVLDTWQYFMHRYMHQNKFLYKHIHSQHHRLVVPYAFGALYNHPLEGLILDTVGGAMAFLASGMSPQTSIFFFSFATIKTVDDHCGLWLPGNLFHILFKNNSAYHDIHHQLYGTKYNFSQPFFVTWDKILGTYMPYKVEPRADGGFEAKPDKECKDN >itb13g24870.t1 pep chromosome:ASM357664v1:13:30434094:30434692:1 gene:itb13g24870 transcript:itb13g24870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMDTIVGPWGYNGGDVWSFRPVRKINEIVISYGGYGTNPIALTFSCIKDDGSKDTITVGGSGPDIVTSTDTVKIDGADEYLTGISGTTGIYLDNNVLRSITFTTNVREYGPYGTIDETPFKSNIPSGYEIVGFLGRSGYYIDAIGAYIARN >itb02g25400.t1 pep chromosome:ASM357664v1:2:26146076:26150268:-1 gene:itb02g25400 transcript:itb02g25400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLGASSFKIRHLSLGYGGPKVTRSSGVKTRSLVGYNGLVISPNTKKPLIRRWCLGSEAQSSSSLDGNLEKAISSDGASPLVPNSNDVESFLAELCDTTSIAEIELKLGDFQLYVMRNLNGHITTSHPPISVPVSSPQNFEMPSSNGSAPAPSLAITKLASSDGIGTLLDKAADEGLVIIRSPRVGFFRRSRTIKGKRAPPSCKEKQQVKEGQVICYIEQLGGELPVESEVSGEVIKVLLEDGAPVGYGDPIISILPSFPGIKI >itb02g25400.t2 pep chromosome:ASM357664v1:2:26146076:26150268:-1 gene:itb02g25400 transcript:itb02g25400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSLGASSFKIRHLSLGYGGPKVTRSSGVKTRSLVGYNGLVISPNTKKPLIRRWCLGSEAQSSSSLDGNLEKAISSDGASPLVPNSNDVESFLAELCDTTSIAEIELKLGDFQLYVMRNLNGHITTSHPPISVPVSSPQNFEMPSSNGSAPAPSLAITKLASSDGIGTLLDKAADEGLVIIRSPRVGFFRRSRTIKGKRAPPSCKEKQQVKEGQVICYIEQLGGELPVESEVSGEVIKVLLEDGGMLLSCFIIILVCPVALLCNVTRFDSTAPVGYGDPIISILPSFPGIKI >itb01g24600.t1 pep chromosome:ASM357664v1:1:30223599:30227129:1 gene:itb01g24600 transcript:itb01g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKIERGSSGKVMATNEEMSESQRRAKLEVDWKDVTQLEFDEMYVYLKKIQKRVDDLNAMVEKMCHDVKEDNKFLEHLITMLSDLITILRKNVK >itb08g05510.t1 pep chromosome:ASM357664v1:8:4552401:4554331:-1 gene:itb08g05510 transcript:itb08g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKCSDSGLWKEALSSYDARIEALNKPNLLSLDAFYRNELPLLLRQRNPTPYLTTSDLTKLMQWKLTRGKWRPRLLDFVSSLDDAVVKSASQAAFQSLPDVSKAVSELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMQAAIGNSKDYTLKQYLVFVDKLQAKAEELTAMGVSFTPSDIERALWSCAMGVKLSGSSKKPEGELENKSKRKRKR >itb10g19540.t1 pep chromosome:ASM357664v1:10:25355550:25358564:-1 gene:itb10g19540 transcript:itb10g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAERWEVLSGSTNWEGITDPLDLDLRNYLIHYGEMTQATYDTFNAELASKYAGSSRYSEANLFAKVGLDKGNPYKYEVTKYFYATSSYTLPDAFIVTPIPLDAWSRESNWMGYVAVATDQGKAALGRRDIIVCWRGTIRTLEWVSDLELLLVAAPEIFGAGASPQVHRGFYNLYSTENPDSAFNTISARDQVLREVKRLVELYKNEEVSITVCGHSLGASLATLNAIDIATNGANTTSAGKSFPVTAFVYACPRTGDHDFKNAYDATSNLHLLRIRNVPDIVPQVPLATPLIGYTDVGVELTIDTTKSSYLKPSADLLNWHNLEGYLHGIAGTQGAGLLDGFELVVNRDISLVNKSQDHLKEEYNIPANWWVEKNLGMVQQDDGSWELMNNEEGYIPLPP >itb10g21180.t3 pep chromosome:ASM357664v1:10:26485232:26487901:-1 gene:itb10g21180 transcript:itb10g21180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVAWTWKLYHLNVFLLLLLLCLHDACCSPLNNLKSSGRPEKTGNGLLPQTSPTAAPEAQPLLPLLAPSPLAPFTNSTVPELSGSCPLNFAALGSMISMTSIDCAAPFAKYLANVMCCPQLETTLVVLVGQNSKYTNTLALNATLAEHCLSDFQKILESRGANDTLQQICSLNPSNLTEGSCPISDLHEFEATVDTSSLLSACGRIDLVNECCEQICQTAISEAARKLALKAYDLPSMGGSHVLSDQSPRANDCKRIVLRWLASKLEPSGAKDVLRGLSSCKNNRVCPLVFPNMGQSIKACDTGINNETACCRAMEKYVSHLQRQSFVTNLQALDCAASLGMKLQKANVTQNVYNLCHITLKDFSVQGQY >itb10g21180.t1 pep chromosome:ASM357664v1:10:26483920:26487901:-1 gene:itb10g21180 transcript:itb10g21180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVAWTWKLYHLNVFLLLLLLCLHDACCSPLNNLKSSGRPEKTGNGLLPQTSPTAAPEAQPLLPLLAPSPLAPFTNSTVPELSGSCPLNFAALGSMISMTSIDCAAPFAKYLANVMCCPQLETTLVVLVGQNSKYTNTLALNATLAEHCLSDFQKILESRGANDTLQQICSLNPSNLTEGSCPISDLHEFEATVDTSSLLSACGRIDLVNECCEQICQTAISEAARKLALKAYDLPSMGGSHVLSDQSPRANDCKRIVLRWLASKLEPSGAKDVLRGLSSCKNNRVCPLVFPNMGQSIKACDTGINNETACCRAMEKYVSHLQRQSFVTNLQALDCAASLGMKLQKANVTQNVYNLCHITLKDFSVQVTPEGICLFS >itb10g21180.t2 pep chromosome:ASM357664v1:10:26483923:26487901:-1 gene:itb10g21180 transcript:itb10g21180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVAWTWKLYHLNVFLLLLLLCLHDACCSPLNNLKSSGRPEKTGNGLLPQTSPTAAPEAQPLLPLLAPSPLAPFTNSTVPELSGSCPLNFAALGSMISMTSIDCAAPFAKYLANVMCCPQLETTLVVLVGQNSKYTNTLALNATLAEHCLSDFQKILESRGANDTLQQICSLNPSNLTEGSCPISDLHEFEATVDTSSLLSACGRIDLVNECCEQICQTAISEAARKLALKAYDLPSMGGSHVLSDQSPRANDCKRIVLRWLASKLEPSGAKDVLRGLSSCKNNRVCPLVFPNMGQSIKACDTGINNETACCRAMEKYVSHLQRQSFVTNLQALDCAASLGMKLQKANVTQNVYNLCHITLKDFSVQVTPEVSGCLLPSLPSDAILDQTTGISFVCDLNDNIPAPWPSASQSHASTCNKTVRIPALPAAASGQTGLYISYTRSLLLVVVSTLLVLLLP >itb15g22160.t1 pep chromosome:ASM357664v1:15:24867687:24873513:-1 gene:itb15g22160 transcript:itb15g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32770) UniProtKB/Swiss-Prot;Acc:Q94FY7] METLLACSSAVSPATQSNTARFSPRKQLRVGKKSPPFRVKAVSVPDSAVENPAPEIKEAVKPVYTPTPSNRPLRTPHSGYHFDGTRRQFFEGWFFKVSIPECRQSFCYMYSVENPAFTKPLNGIEEVQYGRRFTGVGAQILGADDKYICQYTPESNNFWGERHELSLGNTFIAQTGARPPNKEVPPQEFNSRVSEGFQVTPLWHQGFIRDDGRTDYAKTVKTARWEYSTRPIYGWGNVGSKQKSTAGWLAAFPVFEPHWQICMAAGLSTGWIEWDGQRYEFENAPSYSEKNWGDGFPRKWFWVQCNVFEGADGEVSLTSGGGLRQIPGPGTSDNFENAALIAVHYGGVFYEFVPWNGSVTWEISPWGHWYITAENATHKVELEARTSDPGTTLRAPTQEMGLAPVCRDTCYADLRLKLWERRYDGSKGKVILDVTSNMAGVEVGGGPWFNTWKGTTNAPEILKQALNAPVDIEGIFGLVPLFKPPGL >itb11g06960.t2 pep chromosome:ASM357664v1:11:4206097:4207529:1 gene:itb11g06960 transcript:itb11g06960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESKRSGHIPAFGEWDKADGLPITQYFECARQAGLIRYSAEYDPYITAAGEDLYAVKNFHQPPPPRRSAAYTTTVPIRKGKGGGKSCPQYAKGQKRPVGVEPGKTRSGSQKQFQEQVSMKSRRDVTFQQRPKPVDEDLYKIPPELLHQGNRVRFKSNFILVSLNVTK >itb11g06960.t1 pep chromosome:ASM357664v1:11:4206097:4207529:1 gene:itb11g06960 transcript:itb11g06960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESKRSGHIPAFGEWDKADGLPITQYFECARQAGLIRYSAEYDPYITAAGEDLYAVKNFHQPPPPRRSAAYTTTVPIRKGKGGGKSCPQYAKGQKRPVGVEPGKTRSGSQKQFQEQVSMKSRRDVTFQQRPKPVDEDLYKIPPELLHQGNRKKVFGFFSRCLAPPCIA >itb06g23170.t4 pep chromosome:ASM357664v1:6:25254581:25256767:1 gene:itb06g23170 transcript:itb06g23170.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPFSRVLTSLYLACSNFSDVFDEDHFISSLANDVKIIKKLPTELANASRAVKHFRSWSGMDYYEEEIAGMWKEYKVIRAAKSDSRLANNNLPPDIQKLRCRACYRALRFAPEIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFSGCTNDLSPEEAEELKEIRENTTWWKVKDIDPLEQRAKGYCPLTPKEVGMFLSALGFPSKTPIYIAAGEIYGGESRMSDLRSRYPLLMNKEKLASAAELEPFVNHASQMAALDYIVSVESDIFIPSYSGNMARAVEGHRRFLGHRKTISPDRKVLVRLFDKVQMGTMKEGSNLSTRLIEIHKRRQGSPRKRKGPISGTKGMDRFRSEEAFYVNPLPDCLCQTEPPYTNNSHATK >itb06g23170.t1 pep chromosome:ASM357664v1:6:25253075:25256767:1 gene:itb06g23170 transcript:itb06g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKRRRRTTVAVLRQVLTCAIATIALVALLSAHVQVIFPSSEVTKLPDKLPTLYEFGYQRRSRERSWTQEFFPPHLSKVPVRAGKVWQLDGANRSSNLDKLWRRPPNRNYVPCVVPSSLYTSPPESQGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWLDSRSPLFIFGTSVWSFSPILRVLVVNFSDVFDEDHFISSLANDVKIIKKLPTELANASRAVKHFRSWSGMDYYEEEIAGMWKEYKVIRAAKSDSRLANNNLPPDIQKLRCRACYRALRFAPEIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFSGCTNDLSPEEAEELKEIRENTTWWKVKDIDPLEQRAKGYCPLTPKEVGMFLSALGFPSKTPIYIAAGEIYGGESRMSDLRSRYPLLMNKEKLASAAELEPFVNHASQMAALDYIVSVESDIFIPSYSGNMARAVEGHRRFLGHRKTISPDRKVLVRLFDKVQMGTMKEGSNLSTRLIEIHKRRQGSPRKRKGPISGTKGMDRFRSEEAFYVNPLPDCLCQTEPPYTNNSHATK >itb06g23170.t2 pep chromosome:ASM357664v1:6:25253075:25256767:1 gene:itb06g23170 transcript:itb06g23170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKRRRRTTVAVLRQVLTCAIATIALVALLSAHVQVIFPSSEVTKLPDKLPTLYEFGYQRRSRERSWTQEFFPPHLSKVPVRAGKVWQLDGANRSSNLDKLWRRPPNRNYVPCVVPSSLYTSPPESQGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWLDSSNFSDVFDEDHFISSLANDVKIIKKLPTELANASRAVKHFRSWSGMDYYEEEIAGMWKEYKVIRAAKSDSRLANNNLPPDIQKLRCRACYRALRFAPEIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFSGCTNDLSPEEAEELKEIRENTTWWKVKDIDPLEQRAKGYCPLTPKEVGMFLSALGFPSKTPIYIAAGEIYGGESRMSDLRSRYPLLMNKEKLASAAELEPFVNHASQMAALDYIVSVESDIFIPSYSGNMARAVEGHRRFLGHRKTISPDRKVLVRLFDKVQMGTMKEGSNLSTRLIEIHKRRQGSPRKRKGPISGTKGMDRFRSEEAFYVNPLPDCLCQTEPPYTNNSHATK >itb06g23170.t3 pep chromosome:ASM357664v1:6:25253076:25256739:1 gene:itb06g23170 transcript:itb06g23170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKRRRRTTVAVLRQVLTCAIATIALVALLSAHVQVIFPSSEVTKLPDKLPTLYEFGYQRRSRERSWTQEFFPPHLSKVPVRAGKLDGANRSSNLDKLWRRPPNRNYVPCVVPSSLYTSPPESQGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWLDSSNFSDVFDEDHFISSLANDVKIIKKLPTELANASRAVKHFRSWSGMDYYEEEIAGMWKEYKVIRAAKSDSRLANNNLPPDIQKLRCRACYRALRFAPEIEAMGKLLVDRMRSYGPYIALHLRYEKDMLAFSGCTNDLSPEEAEELKEIRENTTWWKVKDIDPLEQRAKGYCPLTPKEVGMFLSALGFPSKTPIYIAAGEIYGGESRMSDLRSRYPLLMNKEKLASAAELEPFVNHASQMAALDYIVSVESDIFIPSYSGNMARAVEGHRRFLGHRKTISPDRKVLVRLFDKVQMGTMKEGSNLSTRLIEIHKRRQGSPRKRKGPISGTKGMDRFRSEEAFYVNPLPDCLCQTEPPYTNNSHATK >itb10g20480.t1 pep chromosome:ASM357664v1:10:26050015:26050843:1 gene:itb10g20480 transcript:itb10g20480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTALPSGNLHLTAYIYCAPKPFRAHSENIRAQSIQIALPRATADRSLRNKMAGAGNMMINGGNMTRSSRVEYERPIPMRGQVKVAAVRGLAHSLSSILSKGARSPRRRTGL >itb05g10110.t1 pep chromosome:ASM357664v1:5:14979678:14982907:1 gene:itb05g10110 transcript:itb05g10110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRETDDYIRESIEHTLGLPVSTQTLQLKLQASEQSLLLLRNQCLFLQSKLKEKDDVVERTRAEASMNAVALKKFVEENQRLAVECSNLLAQCKRWERECSLYDRDREALMDFGNEADQRAKEAEIRVRELEEEVQKMEEEFRFYKYEAQQVDTSGEDASTGQVLLESLLASIMGKDEVQSKAHGFLEANSNVEVCKMLLKAWNRLRPSDHKVLALAAEVKTLQKDKEHLLINLHRAEEEVKVLFEENNMLDEENKKILELYHREKHSSSSGGKNSGCTSTKGNKRKSCSKTNSSIERKIDFSNVDSSRLPLSPLRENSPECRAYKK >itb04g09670.t1 pep chromosome:ASM357664v1:4:8932459:8933552:-1 gene:itb04g09670 transcript:itb04g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKRHMLLTEEILKENPNLCTFGEPSLNIRQDILVSEIPKLGKEAALKAIEEWGQPKSKITHLIFCTRSGVDMPGADYQLIKLLGLSPSTQRFMLYQQGCFAGGTVLRLAKDIAENNRGSRILAVCAESSAVGFRGPSADHIDNLVAQALFGDGAAAMIIGSDPNLRGESPIFEIFSANQTFVSNGDYHLALHLRESGLSFHGSKALPSTIAKNAESCLIRAFEGLGISDWNSLFWILHPGGNAIVDQVESVLGLEPNKLRATRDVLRDYGNLSSACVIFILDEVRKQSIRDGLKTTGDGLDFGVLLSFGPGLTIETVVLHSVPT >itb02g02790.t2 pep chromosome:ASM357664v1:2:1627831:1632153:1 gene:itb02g02790 transcript:itb02g02790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSHVDIYNFTDNTWGGRFDMPKDMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTAHTFVLDTVTKQWQDMPPLPVPRYAPATTLWRGRLHVMGGSKENRHTPALEHWSIAVEDGKVLETEWRSEIPIPRGGPHRTCVVFDDRLYVFGGQEGDFMAKPGSPIFKCSRRNEVVYDHVYMLDDDMKWKTLPPMPKPDSHIEFAWAIVNNSIFIAGGTTEKHPETKRMILVGEIFEFQFNTQKWKVVGKLPFRVKTTLVGFWDGWLYFTSGQRDKGPDDPAPKKVIGEMWRTKLEL >itb02g02790.t1 pep chromosome:ASM357664v1:2:1627831:1632153:1 gene:itb02g02790 transcript:itb02g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGARGATGKNRTTKLVFICAGLLGAALVADLLWASSSSSANWAVSRTNAVIFPNQTHISEGAVKKSKKDVSERKLSATFADLPAPELKWEKMPPAPVPRLDGAAIQIKNLLYVFAGYGTIDFVHSHVDIYNFTDNTWGGRFDMPKDMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTAHTFVLDTVTKQWQDMPPLPVPRYAPATTLWRGRLHVMGGSKENRHTPALEHWSIAVEDGKVLETEWRSEIPIPRGGPHRTCVVFDDRLYVFGGQEGDFMAKPGSPIFKCSRRNEVVYDHVYMLDDDMKWKTLPPMPKPDSHIEFAWAIVNNSIFIAGGTTEKHPETKRMILVGEIFEFQFNTQKWKVVGKLPFRVKTTLVGFWDGWLYFTSGQRDKGPDDPAPKKVIGEMWRTKLEL >itb04g19890.t1 pep chromosome:ASM357664v1:4:24320193:24321020:-1 gene:itb04g19890 transcript:itb04g19890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNPINNWAVLLNQSSTKWALPDSAPTKCHLNPPSKVRNGAVRLRPKITDTVKGKLILGAKLLQAGGVQKVFKKNFSVKEGEKLLKASQCYLSTTSGPMPGLLFVSTHKLAFLSDRSIKILSSSEKSMRMHYKVSIPIANIKRANENDETPKPKFPKTLTAVVLSEFDLV >itb15g21240.t1 pep chromosome:ASM357664v1:15:23925731:23931008:1 gene:itb15g21240 transcript:itb15g21240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQTHIPLAPDPGGEVREDESLFPSVPVHIVTQPGQLPVEFLEPSPENQLVVGFDCEGVDLCRHGTLCIMQIAFPDAIYLVDAIEGGEALIKACKPAMESSYVTKVIHDCKRDSEALYFQFGIKLQNVVDTQIAYGLIKEQEGHPRVLYDYISFVGLLADPYYCGFSYDEKEEVRVLLRQDPKFWKYRPLSDLMVRAAADDVRFLLSIYHKMVEKLNERSLWLLAVRGALYCRCFCISDNDYADWPPLPLPPENLVADGSISEEETLSVLDVPRGKMGRVIGRKGSSILAIKESCNAEIHIGGDKGPPDKVFIMGPVKQVRKAEAMIRGRMLDL >itb08g06000.t1 pep chromosome:ASM357664v1:8:5133693:5137118:1 gene:itb08g06000 transcript:itb08g06000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGAFSGAKLESLLVSNGAAAAASNPAASPASFGALCKASARARRGLVQRGSFRCEVSVQPQNAAAASAAAANAATASSLSALEQLKTSAGDRYTKERSSIVVIGLSIHTAPVEMREKLAIPEAEWGRAIEELCNLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKKSGVPTSEIYKHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVAGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEDRVAAIQEEMKDVEIIYKPLDEMLDCAANADVVFTSTASETPLFLKENVLSLPPVSPSVGGLRLFVDISVPRNVGACVDELETSRVYNVDDLKEVVAANKEDRLRKAMEAQAIISEESKQFEAWRDSLETVPTIKKLRTYAERIRAAELEKCLSKMGDDITKKTRKAVDDLSRGIVNKLLHGPMQHLRCDGSDTRTLSETLENMHALNRMFNLETEISVLEQKIRAKVEQTQK >itb08g01460.t1 pep chromosome:ASM357664v1:8:1076131:1080698:1 gene:itb08g01460 transcript:itb08g01460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNFAAELFGEEDDEENYSNENDEQQNQPQQRQSSASQSSATSSSAASSSASSGASSSPSSSNRSSSASGSGSDSGGEEEDNGEVRSSLNSNVNSHSKYGNEDDDSDKDLFGSDNEEYVKTPATSTYPVPVLPPVRNTNNHTRGGFGRGRWQNGRGNGILPSPNYPPRQNYGYGRGNAPRDERFVSELKFAKSEETLARKSTAIQEPCELACYSRVEDGDVYFDDRSLRLFKRLITEDIGSDLNEGFDTFTEKRDLGSQGFGDLLACIRNKNIPLDRMHFVTYRNNLNKIMATAYIRNEPWEMGVHKRKGVVYLDVHKLPERPKSELDRRRCYWGYCFESLATEDPGRSDGDRIHDIDANVEYCAVIKTKIGAHRILMGAEMDCCDSRDDGRRFYVELKTSRELLDHRTEEKFEREKLLKFWIQSFLAGVPYIVVGFRDDNGRLVRTERLRTNEITQRVKMKSYWQGGVCLAFADEVLCWLYGTVKENEDYILQFTPHSNRLELLRGPSCPDAITHHVQQLESF >itb08g01460.t2 pep chromosome:ASM357664v1:8:1076300:1084608:1 gene:itb08g01460 transcript:itb08g01460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNFAAELFGEEDDEENYSNENDEQQNQPQQRQSSASQSSATSSSAASSSASSGASSSPSSSNRSSSASGSGSDSGGEEEDNGEVRSSLNSNVNSHSKYGNEDDDSDKDLFGSDNEEYVKTPATSTYPVPVLPPVRNTNNHTRGGFGRGRWQNGRGNGILPSPNYPPRQNYGYGRGNAPRDERFVSELKFAKSEETLARKSTAIQEPCELACYSRVEDGDVYFDDRSLRLFKRLITEDIGSDLNEGFDTFTEKRDLGSQGFGDLLACIRNKNIPLDRMHFVTYRNNLNKIMATAYIRNEPWEMGVHKRKGVVYLDVHKLPERPKSELDRRRCYWGYCFESLATEDPGRSDGDRIHDIDANVEYCAVIKTKIGAHRILMGAEMDCCDSRDDGRRFYVELKTSRELLDHRTEEKFEREKLLKFWIQSFLAGVPYIVVGFRDDNGRLVRTERLRTNEITQRVKMKSYWQGGVCLAFADEVLCWLYGTVKENEDYILQFTPHSNRLELLRGPSCPDAITHHRRIGAMYREKRGGGGSKAVAAAAEIGHVVGDRKRLNEALDKHLDRSSPSTSTNATRGLAVKDPRLSTYNSKDSRSSAPDNNNKGSDVEFETDSEESDISGSDADDTPWITWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSLVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHNRYILTTKGLAAMVRIHSIIIQFILLQLSLWETIWPFTAREVQKC >itb03g18860.t1 pep chromosome:ASM357664v1:3:17064153:17065848:1 gene:itb03g18860 transcript:itb03g18860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIEQQKQPCVELSKVAVSDTHGENSPYFAGWKAYDENPFDEKHNPLGVIQMGLAENQVSFDLLEEYLEKHPETRTSSSGFKENALFQDYHGLLSFRKAMASFMEQIRGGRARFDPERVVITAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVKIVPVHCDSSTNFQVTRTALETAYNEAETKGIKIRGILITNPSNPLGATIQRSILEDILDFATRKNIHLVSDEIYSGSAFSSSEFISIAEILESRNHKDSERCHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSHTQQLLASMLSDRDFTRNYINTNRQRLRRRYDMIVEGLKAAGIDCLKGNAGLFCWMNLSPFLKEPTRECELEIWEKFLREVKLNISPGSSCHCSEPGWFRVCFANMTEQTLQVALARIRRFMENRTKVNNGGI >itb05g08000.t1 pep chromosome:ASM357664v1:5:10705782:10715286:1 gene:itb05g08000 transcript:itb05g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCDFKIRQYTDGEGTGSADKGKDIMQLCALGENNTNENDCNDVVYVEQKKRRMGSNGVCSGFTTLSFLLDKKKTQGATMASKLQQVSAKACELTKFVAKNGSTYYKQALEQNKQYIVEPPTVEKCTELSKQLFFTRLASIPGRNEAFWKELDYVKNMWKNRQELKVEHAGIAALFGLECFAWFCAGEIVGRDPKSQRQRQTDPKCLRQIPNNQWLVLKTDPKSQRQRQTDPKSQRQRQTVPKTDPKDRDRLSQRQRQIPSLRQIPNPKDRDKSHRLSQRQRQIPSLRQIPNPKDRDKSQRQRQTENA >itb11g18070.t1 pep chromosome:ASM357664v1:11:18206611:18210122:1 gene:itb11g18070 transcript:itb11g18070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTDFVRFKRTSTPDDVAAVLAKERNRDNKHTEGDEGDDDPRVCDEDVEEEVKEYGDARDVLDFGAEVTAKNRHITHGFHLVEGKMKHGMEDFLVAENRKMKGYDLGLYAIFDGHSGRKVAKYLRTHLFDNILKEPDFWENPESALKRAYKKTDNEILKNVVGARGGSTAVTAILINQKQLVVANVGDSRAVLCRNGEVKQITVDHDPLKRKEKKMVEGKGGFVSKKPGNVPRVDGQLAMTRAFGDAKLKEHITVEPDVTIEKIDEETEFIVLASDGLWKVTSNEEVADCIRGLKSGQEAAEELIEKALLRGSKDDISCVVVKLG >itb13g17710.t1 pep chromosome:ASM357664v1:13:24651168:24654944:1 gene:itb13g17710 transcript:itb13g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSAAHGGGLLLHPTPKSHRFPIHSSLFLFIPHQPRNSAVPKQLILHPLILCRSRRWDSNAETFRTQNFNFNEDEDDEEEDDDDGEGGFFDFIDEFLDSIWILKVFQSYGWTFIPILLSILISSGLKAFLMALALPVGQSVLTFSFQKLWAVALNKPRRKNKKRPRNRTPINNNVRRKGQRPSTRNGKTGYRPGTTRVYDSPSRNDQDAPTFGGWDELIDQRRDFNMEPPMTSPRPSVGPSRTATEKGKLNRSGRENGAPLLLRLLIAVFPFLSSWTKML >itb11g03280.t1 pep chromosome:ASM357664v1:11:1699637:1701360:-1 gene:itb11g03280 transcript:itb11g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEDDILYPPDSDLDLSFTSCASITTTDRTLSARSSLARSSLTLSFNERLSGVSTAGNPSSNIPNLHSRPHRKHGANWSAIKAAATLSSDGAIHLRHLKLHRLVGSGNLGRVFLCRLRDYEHSDFALKVIDRDSLTAKKLSHVQTEAEILSVLDHPFLPTLYAHLEVSHYTCLLIDFCSNGDLHSLLRKQPGNRLPVDSVRFYAAEVLVALEYLHSLGIVYRDLKPENILIREDGHIMLSDFDLCFRADISPQLENLTRVPVGSGRRNCGFLGERRRREETVTEFVAEPTTAFSRSCVGTHEYLAPELISGNGHGNGVDWWAFGVLIYELLFGTTPFKGSSKESTLRNIASTRGVRFYVDDGERETPAMAAAKDLIERLLVKDPRKRLGCAKGATDIKRHPFFNGIKWPLIRTYRPPEPRGLVLKRSKSKAHVAGVSSPRRRRWWWKRLGHLVRIKGSKHNNLNFNHNYYCYATKISKIA >itb07g03010.t1 pep chromosome:ASM357664v1:7:2047183:2049804:-1 gene:itb07g03010 transcript:itb07g03010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMNMKLFLVALVMMVMALSAAAADGPAPAPASDAAVFLPTFLASLAALAFDRLRKMVSGSAHGRGNENTTSSSSAAGVHGRLVGNFVPN >itb14g06540.t1 pep chromosome:ASM357664v1:14:5783204:5792664:1 gene:itb14g06540 transcript:itb14g06540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MFRSTSSGSSNGYHYDGDGGHHVSGRRGRRLSRGRSRAQGNGNGNVESGARVSHWQQQDEGERPTPPCTDFDKAYFNSYAHVGIHEEMIKDRARTEGYRNAIFQHQNYIAGKVVVDVGCGTGILSIFCAQAGARRVYAVDASDIAVQANEVVKANNLSDTIIVLHGRVEDVELDEEVDVIVSEWMGYMLLYESMLGSVITARDRWLKPGGLILPSNATLYMAPVTHPDRYNASIDFWRNVYGIDMSAIMPLAKQCAFEEPSVETITGENVLTWPHVVKHVDCYTVTIHELECVNTSFSFKSMMRAPFHGFAFWFDVEFSGPAIFPSNIEVPSSFVEHSNSHNTDDKQRKKRANPNDALVLSTAPEDPPTHWQQTLIYFYDPIDVEQDQVIEGSLELSQSKENARFMNIHLKYSADGRLFVKESVMR >itb14g06540.t2 pep chromosome:ASM357664v1:14:5783218:5791588:1 gene:itb14g06540 transcript:itb14g06540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MFRSTSSGSSNGYHYDGDGGHHVSGRRGRRLSRGRSRAQGNGNGNVESGARVSHWQQQDEGERPTPPCTDFDKAYFNSYAHVGIHEEMIKDRARTEGYRNAIFQHQNYIAGKVVVDVGCGTGILSIFCAQAGARRVYAVDASDIAVQANEVVKANNLSDTIIVLHGRVEDVELDEEVDVIVSEWMGYMLLYESMLGSVITARDRWLKPGGLILPSNATLYMAPVTHPDRYNASIDFWRNVYGIDMSAIMPLAKQCAFEEPSVETITGENVLTWPHVVKHVDCYTVTIHELECVNTSFSFKSMMRAPFHGFAFWFDVEFSGPAIFPSNIEVPSSFVEHSNSHNTDDKQRKKRANPNDALVLSTAPEDPPTHWQQ >itb01g20630.t1 pep chromosome:ASM357664v1:1:26877353:26879085:-1 gene:itb01g20630 transcript:itb01g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETPATPASAGAGVKVSRDIVERAVTALLKWKDAQSKDQAPQLLPDDEFVYLNITLKKIPPKARVNPFRIALPHALHDQASSECCLIIDDRSNSNLTSKDAKKVIKSQDISVSKVLKLSKLKTDYKAFEAKRKLCDSYDVFLVDKRIVHFLPKLLGKHFFKKRKLPLPVDLTKKNWKDQIERACGSGLFFLRTGTCSVMKVGKRAMESGEIVENVVEAINGVVEYVPKKWGGVRSLHLRLSGSVALPLYQGLPDMKLKIMGAKDVEGGIESKELSDDFPEAKDSGKAEKVGRKKRKGRLHEVRYMDVEMGGIELSSEDEDGENETENDENVRKNRDFGIIKGDVEEESDDKENEMSKGNDLVPAKKGKKGKIQKGSDLSGEKGSKKVRKGDNKEEGKQKKIKSSIKSDDESGKRKNVVGMKLKEGPTKLKSKRTKKSQ >itb01g05110.t2 pep chromosome:ASM357664v1:1:3476687:3483356:-1 gene:itb01g05110 transcript:itb01g05110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELESWRLLMKKRSVFFKGGTCGMKMKQLPFMGIIFTVMLFIVYRTTNFQYRRTETVSEYGPSYTLKRSGMASKRLNGLPRGINEPRSDLELKPLWAMSALESKADGPGSLNLLAMPVGIKQKDNVNKIAQKFLSENFTIILFHYDGNVDGWWDLAWTKKAVHIVAHNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVKNFHPGRYLGIVKSAGLEISQPALDPNSTGIHHRITIRNRAKKFHRKVYEDRGSTKCSDESEGPPCSGFVEGMAPVFSRSSWRCAWHLIQNDLVHGWGVDMKLGYCAQGDRTKKVGVVDSEYIVHQSIQTLGGASQKKASKSQESIKVCV >itb01g05110.t1 pep chromosome:ASM357664v1:1:3476687:3483356:-1 gene:itb01g05110 transcript:itb01g05110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELESWRLLMKKRSVFFKGGTCGMKMKQLPFMGIIFTVMLFIVYRTTNFQYRRTETVSEYGPSYTLKRSGMASKRLNGLPRGINEPRSDLELKPLWAMSALESKADGPGSLNLLAMPVGIKQKDNVNKIAQKFLSENFTIILFHYDGNVDGWWDLAWTKKAVHIVAHNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVKNFHPGRYLGIVKSAGLEISQPALDPNSTGIHHRITIRNRAKKFHRKVYEDRGSTKCSDESEGPPCSGFVEGMAPVFSRSSWRCAWHLIQNDLVHGWGVDMKLGYCAQGDRTKKVGVVDSEYIVHQSIQTLGGASQKKASKSQESIKRNPVDARSEIRRQSAYELQTFKERWDRAVEEDKNWVDPYKETRRIKLRRNHKKPRLNVVN >itb10g14980.t2 pep chromosome:ASM357664v1:10:21305228:21309005:-1 gene:itb10g14980 transcript:itb10g14980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLILRPLRGTAQRLLQQLSSAISTPTRNYISEMRKEAFEGKMLKLLRNEVEYEIERSPISKSVPEFESFTIDKRAGEQWIRLNKKFGEKEEIKIDVTMFDRSVPVKKSGGSSKEDEVYLHLTMIVDIFKGEGNDVLEFVCTAWPDSIEIHKVVMRGHGPIAAQHYTGPRFKELDDKLQDELYDFLETRGIDDDLAVFLHQYMKNKDKIEYVRWIAKITSILERK >itb10g14980.t1 pep chromosome:ASM357664v1:10:21305228:21309005:-1 gene:itb10g14980 transcript:itb10g14980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLILRPLRGTAQRLLQQLSSAISTPTRNYISEMRKEAFEGKMLKLLRNEVEYEIERSPISKSVPEFESFTIDKRAGEQWIRLNKKFGEKEEIKIDVTMFDRSVPVKKSGGSSKEDEVYLHLTMIVDIFKGEGNDVLEFVCTAWPDSIEIHKVVMRGHGPIAAQHYTGPRFKELDDKLQDELYDFLETRGIDDDLAVFLHQYMKNKDKIEYVRWIAKITSILERK >itb03g13100.t1 pep chromosome:ASM357664v1:3:13103237:13103590:-1 gene:itb03g13100 transcript:itb03g13100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGLSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGAVRSRNSYLSLRCLDFSKLHGHAEEKCYPHSDQDRTLTCSNNN >itb07g24130.t1 pep chromosome:ASM357664v1:7:28397090:28402804:-1 gene:itb07g24130 transcript:itb07g24130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDQTGLSLRPGGGNRGGSRVLGPRFDTSLSANCDLPLLRPHGGSSALSSFKTGESRFEGQERIRYTRDRLLQLREVVNIPEDILKAKQEVEAEFFGEDANRGRAESNLQTQSQSRYSEPDNRDWRNRSAQFSAPADERSWDSMKENKEFGGRMGEQLNAQFGRVQISSNQAGGPTPALIKAEVPWSARRGNLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDAGITTADILKGVIALIFDKAVLEPTFCPMYAQLCSDLNEKLPPFPSDEPGGKEITFKRVLLNNCQEAFEGSDKLREEARQMTAPDQDSERRDKERMIKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGQDPKSCPEEENVEAICHFFNTIGKQLDQNQKSKHINDMYFNRLKELSTNPQLAPRLRFMVRDVLDLRTNNWVPRREEVKAKTITEIHSEAEKNLGLRPGATASIRNPRGVAPGPQGSMSPIGFPNRMMPGMPGARKMPGMPGFDNDNWEFPRNRSMPRGDGSMMQSAGRAQPPLIGKSPSSNQMLLPQGSGGLVSGRTSALLSGSGASPVRPVNYGQPLDSASQIPAPVRQPPAASVPQATEKPQATGAKLGPDELKRKTVALLDEYFSVRLLDEALQCVEELKSPAYYTEVVKQAIALGLDKSPPCVDPVVKLLEYLFEKKIFDSNVLGSGCQKFASLLDDLAMDLPKAPTNFGDIIGRLVLAGGINFSIVNEILKNIGDNFYLKDVFSAVMRVVSSSPSGNAILDSQATNVEACRGLLQ >itb09g29950.t2 pep chromosome:ASM357664v1:9:30657393:30659865:1 gene:itb09g29950 transcript:itb09g29950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAENELVEKPSQVDIVDRISNLPWEVLDNILGRLRMDAVAKMSVLSKKWRNNWRNVSTITLAGERNVRVIYHVLLSHHVHIEKFTLSMLEGSIESADLTSWLNFLRRSGIKELTLEFNRASHLNKTIGFSKLVSLCLTNFLTEAIVLQSLIEGCPLLEKLKLLDFRIWDCLNINAHMLRSCHIEGSFKDVRIESSPKLNDAYFNLTLRPGSPEWREQGVANYLVQFLGCLSQFKKLTLCGSILKIWATSRIREKVIVACDSLNVLSLLNIGDDDADQLSAVYILLQKASYLKEFSIKLGSTPYERRINDAREFNISKFNFCFNQLRFAKIDMGEVPSRVRRPEYEWKQEAGVELIKTLFVSSPVLKEMVVKRSNCEVGFLRELLSVQRASMDLLFKYFCSHCSRTFLT >itb09g29950.t1 pep chromosome:ASM357664v1:9:30657390:30659881:1 gene:itb09g29950 transcript:itb09g29950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAENELVEKPSQVDIVDRISNLPWEVLDNILGRLRMDAVAKMSVLSKKWRNNWRNVSTITLAGERNVRVIYHVLLSHHVHIEKFTLSMLEGSIESADLTSWLNFLRRSGIKELTLEFNRASHLNKTIGFSKLVSLCLTNFLTEAIVLQSLIEGCPLLEKLKLLDFRIWDCLNINAHMLRSCHIEGSFKDVRIESSPKLNDAYFNLTLRPGSPEWREQGVANYLVQFLGCLSQFKKLTLCGSILKIWATSRIREKVIVACDSLNVLSLLNIGDDDADQLSAVYILLQKASYLKEFSIKLGSTPYERRINDAREFNISKFNFCFNQLRFAKIDMGEVPSRVRRPEYEWKQEAGVELIKTLFVSSPVLKEMVVKRSNCEVGFLRELLSVQRASMDLLFKYFCSHCSRTFLT >itb09g29950.t3 pep chromosome:ASM357664v1:9:30657393:30659865:1 gene:itb09g29950 transcript:itb09g29950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAENELVEKPSQVDIVDRISNLPWEVLDNILGRLRMDAVAKMSVLSKKWRNNWRNVSTITLAGERNVRVIYHVLLSHHVHIEKFTLSMLEGSIESADLTSWLNFLRRSGIKELTLEFNRASHLNKTIGFSKLVSLCLTNFLTEAIVLQSLIEGCPLLEKLKLLDFRIWDCLNINAHMLRSCHIEGSFKDVRIESSPKLNDAYFNLTLRPGSPEWREQGVANYLVQFLGCLSQFKKLTLCGSILKIWATSRIREKVIVACDSLNVLSLLNIGDDDADQLSAVYILLQKASYLKEFSIKLGSTPYERRINDAREFNISKFNFCFNQLRFAKIDMGEVPSRVRRPEYEWKQEAGVELIKTLFVSSPVLKEMVVKRSNCEVGFLRELLSVQRASMDLLFKYFCSHCSRTFLT >itb06g24790.t1 pep chromosome:ASM357664v1:6:26206479:26207558:-1 gene:itb06g24790 transcript:itb06g24790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAFETEKMEPRGFSWRRNSTGNINTKISVVLPRYLSAPLASCHDNCKYGGKRSNNNGEEAARIPKRFASKLQKKPLSEEERLYMMEKKFAGTLIKKQKAIFPAMIRQDRVLGHDNVKRFVSVSAKHQICSSKTKGISRSNQKGGSLVETSEDRETRTQHRNGRNSRIQRKPNEEETLFHDNGRRQCNKHGFGNNVKTLIGAFESVILLGQDGNSSPAAANNMFSNVKALISAFESVISQKLLAPKASQIEIN >itb12g16350.t2 pep chromosome:ASM357664v1:12:17185162:17187461:-1 gene:itb12g16350 transcript:itb12g16350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSTDNCLGWAARDPSGVLSPHNFSRRVVGSDDVSLDIAYCGVCYADIVWTRNVHGTSKYPLVPGHEIVGIVKEVGSGVQRFKVGDPVGVGTYVNSCRECEYCNDGLEVQCSKGSVLTFDGIDVDGTVTKGGYSTYIVVHQRYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVLSSDEQQMMALSKSFDFLINTASGDIPFDLYLSLLKTAGTLALVGFPSEVKFSPGSLNLGMKCIAGSITGGTKLTQELLEFCASQKIYPEIDIIPIQYVNEALERLITKDVKYRFVIDIGGSLK >itb12g16350.t3 pep chromosome:ASM357664v1:12:17185199:17187346:-1 gene:itb12g16350 transcript:itb12g16350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSTDNCLGWAARDPSGVLSPHNFSRRVVGSDDVSLDIAYCGVCYADIVWTRNVHGTSKYPLVPGHEIVGIVKEVGSGVQRFKVGDPVGVGTYVNSCRECEYCNDGLEVQCSKGSVLTFDGIDVDGTVTKGGYSTYIVVHQRYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVLSSDEQQMMVGLCVCVDLYLTEMQQNEHVGLSSYRHYLNHLTS >itb12g16350.t1 pep chromosome:ASM357664v1:12:17185162:17187461:-1 gene:itb12g16350 transcript:itb12g16350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSTDNCLGWAARDPSGVLSPHNFSRRVVGSDDVSLDIAYCGVCYADIVWTRNVHGTSKYPLVPGHEIVGIVKEVGSGVQRFKVGDPVGVGTYVNSCRECEYCNDGLEVQCSKGSVLTFDGIDVDGTVTKGGYSTYIVVHQRYCYKIPENYPLASAAPLLCAGITVYTPMMRHNMNQPGKSLGVIGLGGLGHLAVKFGKAFGLKVTVFSTSISKKEEALNLLGADNFVLSSDEQQMMALSKSFDFLINTASGDIPFDLYLSLLKTAGTLALVGFPSEVKFSPGSLNLGMKCIAGSITGGTKLTQELLEFCASQKIYPEIDIIPIQYVNEALERLITKDVKYRFVIDIGGSLK >itb09g21630.t1 pep chromosome:ASM357664v1:9:19710983:19713907:1 gene:itb09g21630 transcript:itb09g21630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKILLLLLALSISVHPNASFEPPNQKHQSSGKTLFPKLLPADRASEGGSIKVQSLQGPRVVLRGNDQIWSAEKTFALGFFGVNGGHKWYLGIWYASIPTPNYVWVANRDTPIKNLSRASLEITQNGKLAVIDNGDSRTLVWETNNQGKGSEVKLLEQGNLVFLDGEGKVVWQSFDSPTDTLLPGMNLTAQRWLSCWKSSIDPSPGMFSLRLLPPDYSELALVYNHTYTYWSSGNWTGNAFSGVPEMTIHYIYKFYFAQPFTPMASFGYSEVSLEPGAQPPLTRFRVDSAGQLWQYTWASQTQNWNSFWSRPDNQCRVYGLCGNLGFCNTRPFSSPCQCLVGFRPGDNVSWNAGDFSHGCRPENNYRCGENEGFKDVGMLSYDGAKTVSFMGSRSMCEKKCLVNCSCIGFHHNGRTNLCRNLYGSLLNLRNITSNSITGDRLYLRANGEGISKNHRKKKLVVIGASCAILIFLLGGLLFLFLWRRKGRRTEEEEEEAVFPVMNLKVFSYKELHTATKGFSEKLGHGGYGSVFRGELSDSSVVAVKRLDRPGGGENEFRAEVCTIGYIQHVNLVRLRGFCSENSHRLLVYDYMPNGSLSVYLRKDSQNLSWDARFRVAVGVARGIAYLHEGCQNCILHCDIKPENILLDEDLSAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLAITTKADVYSYGMTLFELIGGRRNVQGAPSIDRGDGEGRGAEEKWFFPPWAARKILEGNISAVIDERLQGTYDVGEAERVGLVAVWCIQDEESMRPAMGVVVKMLEGVVEIGVPPPPRRLQALVQGDGIESGGGMEMLSIDSRDSFSFP >itb09g21630.t2 pep chromosome:ASM357664v1:9:19710983:19713907:1 gene:itb09g21630 transcript:itb09g21630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKILLLLLALSISVHPNASFEPPNQKHQSSGKTLFPKLLPADRASEGGSIKVQSLQGPRVVLRGNDQIWSAEKTFALGFFGVNGGHKWYLGIWYASIPTPNYVWVANRDTPIKNLSRASLEITQNGKLAVIDNGDSRTLVWETNNQGKGSEVKLLEQGNLVFLDGEGKVVWQSFDSPTDTLLPGMNLTAQRWLSCWKSSIDPSPGDFSHGCRPENNYRCGENEGFKDVGMLSYDGAKTVSFMGSRSMCEKKCLVNCSCIGFHHNGRTNLCRNLYGSLLNLRNITSNSITGDRLYLRANGEGISKNHRKKKLVVIGASCAILIFLLGGLLFLFLWRRKGRRTEEEEEEAVFPVMNLKVFSYKELHTATKGFSEKLGHGGYGSVFRGELSDSSVVAVKRLDRPGGGENEFRAEVCTIGYIQHVNLVRLRGFCSENSHRLLVYDYMPNGSLSVYLRKDSQNLSWDARFRVAVGVARGIAYLHEGCQNCILHCDIKPENILLDEDLSAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLAITTKADVYSYGMTLFELIGGRRNVQGAPSIDRGDGEGRGAEEKWFFPPWAARKILEGNISAVIDERLQGTYDVGEAERVGLVAVWCIQDEESMRPAMGVVVKMLEGVVEIGVPPPPRRLQALVQGDGIESGGGMEMLSIDSRDSFSFP >itb09g21630.t3 pep chromosome:ASM357664v1:9:19711647:19713907:1 gene:itb09g21630 transcript:itb09g21630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHYIYKFYFAQPFTPMASFGYSEVSLEPGAQPPLTRFRVDSAGQLWQYTWASQTQNWNSFWSRPDNQCRVYGLCGNLGFCNTRPFSSPCQCLVGFRPGDNVSWNAGDFSHGCRPENNYRCGENEGFKDVGMLSYDGAKTVSFMGSRSMCEKKCLVNCSCIGFHHNGRTNLCRNLYGSLLNLRNITSNSITGDRLYLRANGEGISKNHRKKKLVVIGASCAILIFLLGGLLFLFLWRRKGRRTEEEEEEAVFPVMNLKVFSYKELHTATKGFSEKLGHGGYGSVFRGELSDSSVVAVKRLDRPGGGENEFRAEVCTIGYIQHVNLVRLRGFCSENSHRLLVYDYMPNGSLSVYLRKDSQNLSWDARFRVAVGVARGIAYLHEGCQNCILHCDIKPENILLDEDLSAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLAITTKADVYSYGMTLFELIGGRRNVQGAPSIDRGDGEGRGAEEKWFFPPWAARKILEGNISAVIDERLQGTYDVGEAERVGLVAVWCIQDEESMRPAMGVVVKMLEGVVEIGVPPPPRRLQALVQGDGIESGGGMEMLSIDSRDSFSFP >itb03g06950.t1 pep chromosome:ASM357664v1:3:5048081:5049109:1 gene:itb03g06950 transcript:itb03g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTKAVAASLLVSLLILHLSNAQFDQAELSNAPAPSPKPQGIDCPAACGERCKKSKRPNLCKRSCGSCCNTCKCVPPGTSGNYEICPCYFNLKTHNNTRKCP >itb15g10280.t1 pep chromosome:ASM357664v1:15:8101843:8105946:1 gene:itb15g10280 transcript:itb15g10280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQHQQQQPAVPGSGSGSGGGFHHLNFPFGDTTFTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFRDPESARKACADPTPIIDGRRANCNLASLGRPRPPLPFGRVRLPAPYPGSLPATRGAYVGNYSHQQAVPYGYQQGVIYSPYGYTTYGGDYIYPQGVYNAYGGQQYVPIYVPGAVNTPVFPYNQLGQAVPGSQSYTPLHGYAMPGHQVVQFGAPNVSPVTNSSLPTIQAQYPTGVAMPVAPQPQFILPTHSPQFMQGSGSDQNAG >itb14g01410.t5 pep chromosome:ASM357664v1:14:1090951:1095390:1 gene:itb14g01410 transcript:itb14g01410.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAWRGDDVSFSPLLPLCRSNFTVYASHLSPTQAKPFSPIYWRLVIPENMVFTIHSDVRSAIQIASALSRRGGGQPQQRRLLGPISVAFGSPCRGSSAATAERMRVRVRAFSTDNAGIDEVESVEAKKLPSKPSVCTADELHYVSANNSDWRLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSLHESDSKNIEKSAHKVSERMEAAVKSETNGNLSAAKNSRRVNGNLEDSDIALVKEDPMKVGTAFDESRLVARLTETFMRMSERLSGFLSESQSKIISAKLFDQISRLLQDSFLYENFNEIRNNLQSLMEKRQNSTVAGQIRDLSQKLVNIIEEGQRSVSPPLFDLQERLISTIEDFQKQLDLIIKYDWDFDNYLEEDVPAAMEYINAQTKPKDGKLLAIGHSMGGILLYARLSRCATQGKDPGLAAVVTLASSLDYTPSKSALRLLLPLADPAQALNVPVVPLGSLLTAAYPLTSSPPYVLSWLNDMISAGDMMHPELLKKLVQNNFCTIPAKLLLQLTTAFREGGLRDRSGKFLYKEHLRKSNVPVLALAGDRDLICPPEAVYETIKVIPEHLATYKLFGEPDGPHYAHYDLVGCRVVCVFHSSVIRYLFAYSH >itb14g01410.t1 pep chromosome:ASM357664v1:14:1090951:1096208:1 gene:itb14g01410 transcript:itb14g01410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAWRGDDVSFSPLLPLCRSNFTVYASHLSPTQAKPFSPIYWRLVIPENMVFTIHSDVRSAIQIASALSRRGGGQPQQRRLLGPISVAFGSPCRGSSAATAERMRVRVRAFSTDNAGIDEVESVEAKKLPSKPSVCTADELHYVSANNSDWRLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSLHESDSKNIEKSAHKVSERMEAAVKSETNGNLSAAKNSRRVNGNLEDSDIALVKEDPMKVGTAFDESRLVARLTETFMRQIRDLSQKLVNIIEEGQRSVSPPLFDLQERLISTIEDFQKQLDLIIKYDWDFDNYLEEDVPAAMEYINAQTKPKDGKLLAIGHSMGGILLYARLSRCATQGKDPGLAAVVTLASSLDYTPSKSALRLLLPLADPAQALNVPVVPLGSLLTAAYPLTSSPPYVLSWLNDMISAGDMMHPELLKKLVQNNFCTIPAKLLLQLTTAFREGGLRDRSGKFLYKEHLRKSNVPVLALAGDRDLICPPEAVYETIKVIPEHLATYKLFGEPDGPHYAHYDLVGCRVAAEQIYPCIVQYLSRYDL >itb14g01410.t6 pep chromosome:ASM357664v1:14:1090951:1095379:1 gene:itb14g01410 transcript:itb14g01410.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAWRGDDVSFSPLLPLCRSNFTVYASHLSPTQAKPFSPIYWRLVIPENMVFTIHSDVRSAIQIASALSRRGGGQPQQRRLLGPISVAFGSPCRGSSAATAERMRVRVRAFSTDNAGIDEVESVEAKKLPSKPSVCTADELHYVSANNSDWRLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSLHESDSKNIEKSAHKVSERMEAAVKSETNGNLSAAKNSRRVNGNLEDSDIALVKEDPMKVGTAFDESRLVARLTETFMRMSERLSGFLSESQSKIISAKLFDQISRLLQDSFLYENFNEIRNNLQSLMEKRQNSTVAGQIRDLSQKLVNIIEEGQRSVSPPLFDLQERLISTIEDFQKQLDLIIKYDWDFDNYLEEDVPAAMEYINAQTKPKDGKLLAIGHSMGGILLYARLSRCATQGKDPGLAAVVTLASSLDYTPSKSALRLLLPLADPAQALNVPVVPLGSLLTAAYPLTSSPPYVLSWLNDMISAGDMMHPELLKKLVQNNFCTIPAKLLLQLTTAFREGGLRDRSGKFLYKEHLRKSNVPVLALAGDRDLICPPEAVYGMYSSGWHMTNR >itb14g01410.t2 pep chromosome:ASM357664v1:14:1090951:1096208:1 gene:itb14g01410 transcript:itb14g01410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAWRGDDVSFSPLLPLCRSNFTVYASHLSPTQAKPFSPIYWRLVIPENMVFTIHSDVRSAIQIASALSRRGGGQPQQRRLLGPISVAFGSPCRGSSAATAERMRVRVRAFSTDNAGIDEVESVEAKKLPSKPSVCTADELHYVSANNSDWRLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSLHESDSKNIEKSAHKVSERMEAAVKSETNGNLSAAKNSRRVNGNLEDSDIALVKEDPMKVGTAFDESRLVARLTETFMRMSERLSGFLSESQSKIISAKLFDQISRLLQDSFLYENFNEIRNNLQSLMEKRQNSTVAGQIRDLSQKLVNIIEEGQRSVSPPLFDLQERLISTIEDFQKQLDLIIKYDWDFDNYLEEDVPAAMEYINAQTKPKDGKLLAIGHSMGGILLYARLSRCATQGKDPGLAAVVTLASSLDYTPSKSALRLLLPLADPAQALNVPVVPLGSLLTAAYPLTSSPPYVLSWLNDMISAGDMMHPELLKKLVQNNFCTIPAKLLLQLTTAFREGGLRDRSGKFLYKEHLRKSNVPVLALAGDRDLICPPEAVYETIKVIPEHLATYKLFGEPDGPHYAHYDLVGCRVAAEQIYPCIVQYLSRYDL >itb14g01410.t4 pep chromosome:ASM357664v1:14:1090951:1096208:1 gene:itb14g01410 transcript:itb14g01410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAWRGDDVSFSPLLPLCRSNFTVYASHLSPTQAKPFSPIYWRLVIPENMVFTIHSDVRSAIQIASALSRRGGGQPQQRRLLGPISVAFGSPCRGSSAATAERMRVRVRAFSTDNAGIDEVESVEAKKLPSKPSVCTADELHYVSANNSDWRLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSLHESDSKNIEKSAHKVSERMEAAVKSETNGNLSAAKNSRRVNGNLEDSDIALVKEDPMKVGTAFDESRLVARLTETFMRMSERLSGFLSESQSKIISAKLFDQISRLLQDSFLYENFNEIRNNLQSLMEKRQNSTVAGQIRDLSQKLVNIIEEGQRSVSPPLFDLQERLISTIEDFQKQLDLIIKYDWDFDNYLEEDVPAAMEYINAQTKPKDGKLLAIGHSMGGILLYARLSRCATQGKDPGLAAVVTLASSLDYTPSKSALRLLLPLADPAQALNVPVVPLGSLLTAAYPLTSSPPYVLSWLNDMISAGDMMHPELLKKLVQNNFCTIPAKLLLQLTTAFREGGLRDRSGKFLYKEHLRKSNVPVLALAGDRDLICPPEAVYETIKVIPEHLATYKLFGEPDGPHYAHYDLVGCRVAAEQIYPCIVQYLSRYDL >itb14g01410.t3 pep chromosome:ASM357664v1:14:1090951:1095390:1 gene:itb14g01410 transcript:itb14g01410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAWRGDDVSFSPLLPLCRSNFTVYASHLSPTQAKPFSPIYWRLVIPENMVFTIHSDVRSAIQIASALSRRGGGQPQQRRLLGPISVAFGSPCRGSSAATAERMRVRVRAFSTDNAGIDEVESVEAKKLPSKPSVCTADELHYVSANNSDWRLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLSPGSSFARYMCGQGFDTWILEVRGAGLSLHESDSKNIEKSAHKVSERMEAAVKSETNGNLSAAKNSRRVNGNLEDSDIALVKEDPMKVGTAFDESRLVARLTETFMRQIRDLSQKLVNIIEEGQRSVSPPLFDLQERLISTIEDFQKQLDLIIKYDWDFDNYLEEDVPAAMEYINAQTKPKDGKLLAIGHSMGGILLYARLSRCATQGKDPGLAAVVTLASSLDYTPSKSALRLLLPLADPAQALNVPVVPLGSLLTAAYPLTSSPPYVLSWLNDMISAGDMMHPELLKKLVQNNFCTIPAKLLLQLTTAFREGGLRDRSGKFLYKEHLRKSNVPVLALAGDRDLICPPEAVYETIKVIPEHLATYKLFGEPDGPHYAHYDLVGCRVVCVFHSSVIRYLFAYSH >itb10g13550.t1 pep chromosome:ASM357664v1:10:19771148:19772169:1 gene:itb10g13550 transcript:itb10g13550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENGVAIYLTKRKGKKGEKEGFECDFSDARHNHRDCHRSAPPCRHATSKASVSTVVSLSLAHGHRHRHSTSPLVVAGHYRDYKSRKLAAVRTPPQQRLPHPTAAPPSPVPDLNRQHLRSPPPAANVASKLRKYVGSRNTRSNKPPERDAVAGDVSFSPAKKTAKKKMMKLVFSFFFLIHIILLL >itb04g05200.t1 pep chromosome:ASM357664v1:4:3231085:3238655:-1 gene:itb04g05200 transcript:itb04g05200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITSNVPISVQRLGTETFVTVSIGKAFQIYNCAKLNLVLVGPQLPKKIRALASYRDYTFAAYGSDIAVVKRTHQVATWSKHSAKVNHLLLFGDHILSVDVEGNIYTWNFKGIDENVAPVGHMLLEGKFTPSCIMHPDTYLNKVIVGSQEGTLQLWNISTKKKLYEFNRWKSSINCCISSPALDVVAVGCADGKIHVHNIRYDEEIVTFTHSTRGSVTALSFSTDGQPLLASGGSSGVISIWNLEKRRLQSVIREAHDCAIVSLHFFANEPVLMSSSADNSIKMWIFDTTDGDPRLLRFRSGHSAPPLCIKYYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVTKRAKKLKLKAEEIKLKPVIAFDVAEIRERDWCNVVTCHMDTTQAYVWRLQNFVLGEHILSPCPENPTPVKACAISACGNFAVLGTAGGWIERFNLQSGISRGSYGDISEGNNYAHDGEVIGIACDSTNTIMISAGYHGDVKVWDFKGRALKSRWDIGCSLVKIVHHRSNGLLATVADDLVIRLFDVIALRMVRKFEGHTDRITDMCFSEDGKWLLTSSMDGTLRIWDVILARQIDAIQVDISITALSLSPNMDVLATTHVDQNGVYLWVNQAMFSGAVNFESYGSGKQIVSVKMPSVSSEEGSQNDGDRPTVKSQDVLDTSHFATSDQQIPDLVTLSLLPKSQWQSLINLDIIKERNKPIEPPKKPEKAPFFLPSIPSLSGEILFKPSDVAEEKNAQDGKKEENWRKSNLPVSQFLQILQSSAKSENFAEFTDYIKTLSPSTLDMEMRVLQLIDDDNEQDLENRQELYFIGLLLDYFIHEISCRNNFEFIQALIRLFLKIHGEAIRCQPKLQVKAQKLLEIQADVWQRVDKLFQSARCMVTFLSNSQF >itb01g35470.t1 pep chromosome:ASM357664v1:1:37657141:37657452:1 gene:itb01g35470 transcript:itb01g35470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISTPDHLGLCTLWCGAKLHCVGGTCGQSRSYVRTHSTLTGPTDGLLIPPSNSLSSPLSLSRRASRIESVKREASSCRFTLSSVTILSSTSHLPAYISLLS >itb03g15100.t1 pep chromosome:ASM357664v1:3:14536306:14541252:1 gene:itb03g15100 transcript:itb03g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPFRAYIKPRHRRTLAQFKSRLDSLLRFVSAFNPMADSSSSAGEDPPFDPSVPSIPISYPLKTLEELESRSYFDSFHFPFNKASVKLPAASAGPLPERPRILVCHDLEGGYLDDKWIQGGSNPDAYAIWHWYLMDVFVYFSHNLVTLPPPCWTNTAHKHGVKVLGTFIMEWDEGVILAGKLLSTKDSAFMYAERLTELAVALGFDGWLINMEVKLDVGQIPNLIEFVNHLTETMHSSVPESLVIWYDSVTTDGSLLWQDQLNEKNKPFFDVCDGIFVNYTWKESYPMESAAVAGERKYDVYMGIDVFGRNTYGGGQWTTNAALDVIKKDNVSAAIFAPAWVYETKQPPDFQTAQNRWWGLVEKSWGISQKYPQVLPFYSNFDQGHGYHVFIDGVQILDAPWNNISLQSFQPFLVSGEPTTGTIEVFVDFKEGSYSGGGNITFRGTLDKSAHFRTRLFEGKLLLGESPLNFTYSVKSSGGSLIGLSLLFSSASNEKKSVLLACSGDPLHTYDHLASHFDSVIMPHQVTKHGAKPGWVVQESSIAMDGYTLTEIGATCYKLKTEPTEPEQTTSSSGSSDYHAVLGHIAVTTPETNPILPPANSWLIDSKYVAWSSGPRKLSMMLTWQLKNGNADLSMQYNIHVQKVTKSSNEELNNGVKGAQFLGAAMVEAFYVSDLEIPDGTSTLKFIIQVTIDGISQKLEDSPSYQLKVQDS >itb08g17710.t1 pep chromosome:ASM357664v1:8:20468667:20469370:-1 gene:itb08g17710 transcript:itb08g17710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAGCLGSPIGKPACSRIHLSSVLRREAESAWTSPPWDPPATLTRSGRLRHSRRSVLKPPIGYAQISSDVISRTAPRELRNCDPVFSRHCANLFYVIG >itb05g03990.t2 pep chromosome:ASM357664v1:5:3441126:3442952:1 gene:itb05g03990 transcript:itb05g03990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDDSNISKTFENKFLQKDSYAEELRELMDSLPKEKGWITPDMYNYKGFWLGSIHLQGALRCQKHFQAQDYDIMLCSLQKTGTTWLKSLLFALITRKQYFPAAISQQQIHPLLTKNPHELIPGIEFYYAIENSPDFPMNNGRRILSTHLPGALLPKSVWESKCKLIYLCRNQKDTVVSFWHFMNKRRSSELGGAEAMPFPEAFDRYCRGEFQFGPFWDHMLGYWKESLENPSKVLFLKYEEIKEEPDVHLKRIAAFLDCPFSEEEKECGVVDGILRLCSFESLSNLEVNKTGKTIFRQTGNHAFFRKGKVGDWRNHMSDEMANKLDQIVDEKFKGTGQPERRVKEQATRG >itb05g03990.t1 pep chromosome:ASM357664v1:5:3441077:3442952:1 gene:itb05g03990 transcript:itb05g03990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDDSNISKTFENKFLQKDSYAEELRELMDSLPKEKGWITPDMYNYKGFWLGSIHLQGALRCQKHFQAQDYDIMLCSLQKTGTTWLKSLLFALITRKQYFPAAISQQQIHPLLTKNPHELIPGIEFYYAIENSPDFPMNNGRRILSTHLPGALLPKSVWESKCKLIYLCRNQKDTVVSFWHFMNKRRSSELGGAEAMPFPEAFDRYCRGEFQFGPFWDHMLGYWKESLENPSKVLFLKYEEIKEEPDVHLKRIAAFLDCPFSEEEKECGVVDGILRLCSFESLSNLEVNKTGKTIFRQTGNHAFFRKGKVGDWRNHMSDEMANKLDQIVDEKFKGTGLNL >itb05g00170.t1 pep chromosome:ASM357664v1:5:141147:145451:-1 gene:itb05g00170 transcript:itb05g00170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSFHALISRSQFLLCRPYCAISTRRLLHSPSMTMQLADEDSLRKALADKQSSVDLQGKAVRQLKASGASKPDIDAAVQALKALKLEKASIESQLKSSLSGAAGGRDAFRQAVANTLERRLFYIPSFKIYGGVTGLYDYGPPGCAIKANVLAFWRQHFVLEENMLEIDCPCVTPEAVLRASGHVEKFTDLMVKDVKTGTCYRADHLLKDYCNEKIEKDLTMSSEKVAELKHVLAVLDDLSADQLGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGFLRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPQNKAHPKFSEVANLEFLMLPRKEQVSGQSAKRFRLGEAVSRGTVNNETLGYFVGRVFLFLTLLGIDKDRLRFRQHLENEMAHYAEDCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSGAPLVAQEKFSEPREVEKLVITPVKKELGLAFKGNQKMVAEALEAMNEDIAMKMKADLESKGEVEFQICSLGRSVTIKRNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNEQYEEVAKFISKSLTASGISHKIDITGTSIGKRYARTDELGVPFAITVDSTSSVTVRERDSKDQIRVSAEKVASVVKEVSDGQKTWADILQIYPIHSSGSAE >itb13g19380.t2 pep chromosome:ASM357664v1:13:26373252:26379183:1 gene:itb13g19380 transcript:itb13g19380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIPSILVPKKRMDSKSRRGSKSAAAKPSPKLERSIALRNIDYEPSTSSSSADGSTSRSRRSLELESKWSRSQELDSSKSIRIDGTGGEIEDLFRCLGVSMEDFEIPVDKLEASNSRSSSDTPPPVTVSLKIEADDSENSKILIESQRHIDCGIGDIVSKAAVCGIVSRLGDSVRSNNVISNETAAAVLIPCNDGGGGIKGARPPILAPPPFRSLPVHDYACSTWDIVKSFGPKDDGELGITLRTLPGVQNDAQEDEVVENDIATRIMNGVLSASCSFTSNSNDDDCSSTTTEPMSVVSPNGKYMRIITGWQKGQPLGSGSFGTVYEGIADGGFFFAVKEVSLLEQGEEGRQRIYQLEQEIDLLRQFEHENIVQYYGTEKDGSKLYIFLELVSQGSLMKLYQKYKLQDSQVSAYTRQILHGLKYLHNQNVIHRDIKCANILVDTNGSVKLADFGLAKATKLNNVKSCKGTPFWMAPEVVNPKSGGYGLSADIWSLGCTVLEMLTSQFPYSTLENVCDYDYFHNMLANITI >itb13g19380.t1 pep chromosome:ASM357664v1:13:26373161:26381067:1 gene:itb13g19380 transcript:itb13g19380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIPSILVPKKRMDSKSRRGSKSAAAKPSPKLERSIALRNIDYEPSTSSSSADGSTSRSRRSLELESKWSRSQELDSSKSIRIDGTGGEIEDLFRCLGVSMEDFEIPVDKLEASNSRSSSDTPPPVTVSLKIEADDSENSKILIESQRHIDCGIGDIVSKAAVCGIVSRLGDSVRSNNVISNETAAAVLIPCNDGGGGIKGARPPILAPPPFRSLPVHDYACSTWDIVKSFGPKDDGELGITLRTLPGVQNDAQEDEVVENDIATRIMNGVLSASCSFTSNSNDDDCSSTTTEPMSVVSPNGKYMRIITGWQKGQPLGSGSFGTVYEGIADGGFFFAVKEVSLLEQGEEGRQRIYQLEQEIDLLRQFEHENIVQYYGTEKDGSKLYIFLELVSQGSLMKLYQKYKLQDSQVSAYTRQILHGLKYLHNQNVIHRDIKCANILVDTNGSVKLADFGLAKATKLNNVKSCKGTPFWMAPEVVNPKSGGYGLSADIWSLGCTVLEMLTSQFPYSTLENPMQALYKIGQGEPPHVPNTLSNDARDFIHSCLQVDPNCRPNASRLLEHPFVKRPLTSSSGSASPRYIGRRL >itb07g21770.t1 pep chromosome:ASM357664v1:7:26310836:26316256:1 gene:itb07g21770 transcript:itb07g21770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIFYNVDPSEVRKQTGEFGKALTQHRQRFDDQKVDEWKVALTTIADLSGWDLQTMTNGYESKFIKKITEEVLREVNRTYINVAKYPVGIESRLSDILHLLQTQKHSDIKMFGIFGMGGVGKTTFAKAIYNLSFQKFESCCFIANIRSQASDGYNGLVRLQEKLLCKTLNGNKFEIDNVDEGISLIKERLRSKSVLIVLDDIDDTRQLELLAGQRNWFGSGSTIIITTRDVQLLSDLEAHEKYMVETLSHDESLQLLSWHAFGVPIPLEEYIELSKTIASYTGGLPLALTIIGSHLRGKSMQEWSDDAEKLEGIPHADVQKILKISYDSLDDDSQNIFLDIACFFIGHNKNDTFMILEACGFYAKCGIRILIERCLLTTYGGGEFETLQMHDLVRDLGREIVRKESSREPGKQSRMIDPKDVFDVLHGNKGTKAIEGLIVNSNMLKNVPLNTQIFKKMVKLRILILNGMCLSGSFKYLSNELRLLRLHNCHLSCIPSNFRCEKLVELDMEGSNIKEFQCNMQHFRCLKILKFDYCKQLKKTPNFIGAHTLQKVSFHYCSKLVKIHPSIGSLERLVELDMGGCNIKEFQCSMQHFRCLRILKFDNCKQLKKTPNFTGAHTLQEVCFYWCLNLVKVHPSIGSLKRLVELDFEGCMKLKVLPSSICKLKSLEVLHLNFCLKLRELPIDLGKLEQLRKLRARGTDISHIPFSLGCLRNLKELDLYQYTKKSRDGVAFFPPSVANPCSFEVIENLTSLVSLNLSGRSCYLQSLPFRLCHLSNLKALYLENFQNLRVLVELPPSLVKLSAKNCVSLEKIVIVSNLKKLEMLDLENCESLVELPKMESLSSLKELDIRNCNALSIPDNYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLERLFLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLEYLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPSIEKWFKVHPKYYIYVEVVGWGRIFCGFGVLQILHNVIDPSEIDYADDGGNRIDLSVRSKSSGANWILMEPKRKQKQWCLFRVPMTMMGEELEVHVEVHDWQKIFCVGEIYRNREGEVRFFPSTRGCIPSYNKEDGERKRKRKMQIGRGRQRRFLPITRGLIPSVMSLGDDNAQRKRKRKAQIGKGGRSIRQRRL >itb10g04010.t1 pep chromosome:ASM357664v1:10:3776499:3783549:-1 gene:itb10g04010 transcript:itb10g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSSSSPSLLFSPNSLTVSPSLYTSLRFAIPFKNRSPASIHTSSFPARLSLTFSRVHHRSIPSLHCNAVQEIVETSVVPEPGFVETGYICSVHGLQGEVRVKTATDFPELRFCKPGRRWLRQQILGRQMIQEIELVEGRGHPGQKSWIVKFNEINTVEQAQKLVGSTILVAEDDRPILHEGEFYTRDLVGMRVILKETGEPVGTVINVFNTGASDLLHVELDSSFHPPGQPGKPKREAGASGPLVWVPFVEEIVPNVDLSRKEMLITPPKGLLELNIRTDERSKKERRQLEWKERKKFQRCLIQAKKKLHEMEQHHVFHGFRYGEKSQTSILANEIVTVNSKLLDRVLKNMEIPSRRWSFPDFIRTTKVKHTLKVSEEFYRNGKGEHSERGQHLVSCGKVAVVLSWEGKRELEMSTPQINLNNDMEETYQLLKALLDDDNRLLKAEDRSSVPLVLICPAHSVDSLKELFMNHDFFSFDSEKVWFLEEDMLPVVSSSQEEENKHKILMKSPWEILQRPVGSGGIVGILSSHNLLENLHEMNVEYIQICSVNQGYTNQQMLLGFTDSCEANVGIQFKDVNNVEDDLNMVFSINFMKKLANQTDKLHFHAIVKPNQHSELVNKEWVDVIPSSPNSYEVHCSLDSCLNACSPGKVCLLEVAE >itb10g04010.t2 pep chromosome:ASM357664v1:10:3776499:3783549:-1 gene:itb10g04010 transcript:itb10g04010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSSSSPSLLFSPNSLTVSPSLYTSLRFAIPFKNRSPASIHTSSFPARLSLTFSRVHHRSIPSLHCNAVQEIVETSVVPEPGFVETGYICSVHGLQGEVRVKTATDFPELRFCKVDRIKQPGRRWLRQQILGRQMIQEIELVEGRGHPGQKSWIVKFNEINTVEQAQKLVGSTILVAEDDRPILHEGEFYTRDLVGMRVILKETGEPVGTVINVFNTGASDLLHVELDSSFHPPGQPGKPKREAGASGPLVWVPFVEEIVPNVDLSRKEMLITPPKGLLELNIRTDERSKKERRQLEWKERKKFQRCLIQAKKKLHEMEQHHVFHGFRYGEKSQTSILANEIVTVNSKLLDRVLKNMEIPSRRWSFPDFIRTTKVKHTLKVSEEFYRNGKGEHSERGQHLVSCGKVAVVLSWEGKRELEMSTPQINLNNDMEETYQLLKALLDDDNRLLKAEDRSSVPLVLICPAHSVDSLKELFMNHDFFSFDSEKVWFLEEDMLPVVSSSQEEENKHKILMKSPWEILQRPVGSGGIVGILSSHNLLENLHEMNVEYIQICSVNQGYTNQQMLLGFTDSCEANVGIQFKDVNNVEDDLNMVFSINFMKKLANQTDKLHFHAIVKPNQHSELVNKEWVDVIPSSPNSYEVHCSLDSCLNACSPGKVCLLEVAE >itb01g20040.t1 pep chromosome:ASM357664v1:1:26355759:26359366:-1 gene:itb01g20040 transcript:itb01g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICETIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGQSVPVGGLAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLYKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >itb15g24030.t2 pep chromosome:ASM357664v1:15:26918303:26924980:-1 gene:itb15g24030 transcript:itb15g24030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILGSLWRSIVIHNSDQNSVLLQVFITDLWTDHTPWPFNQLPRSYNFLVKHGTLWKMTYYASAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRSKGLLKKIVFTTVVTDLCTCHPTWFHKLVTRCYCPSEEVARRALKAGLKHSQIKVYGLPLRPSFIKPVRPKVELRIELGMDEHLPAVLLMGGGEGMGPIEATARALEDALYDERRGGPIGQILIICGRNKKLANKLLSVDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMTRGLPIILNGYIAGQEAGNVPYVVENGFGKFSKSPKEIADIVGRWFGPRQDELKAMSQNALRLARPDAVFKIVQDLDELVRQRSFVTQYYPA >itb15g24030.t1 pep chromosome:ASM357664v1:15:26918303:26924980:-1 gene:itb15g24030 transcript:itb15g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSSVSPQETTNPFGIVSKLATFVLDHSSNFASDNFVSNHLCVHGYAAQKKLCLSARGQTSSSTVRRVISQFNRAIRLHCEKIPLGFASIRVGSVESKGSNEDGNGVLENEGMPNNGAGTDSPKNVLILMSDTGGGHRASAEAIKAAFHEEFGDKYQVFITDLWTDHTPWPFNQLPRSYNFLVKHGTLWKMTYYASAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRSKGLLKKIVFTTVVTDLCTCHPTWFHKLVTRCYCPSEEVARRALKAGLKHSQIKVYGLPLRPSFIKPVRPKVELRIELGMDEHLPAVLLMGGGEGMGPIEATARALEDALYDERRGGPIGQILIICGRNKKLANKLLSVDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMTRGLPIILNGYIAGQEAGNVPYVVENGFGKFSKSPKEIADIVGRWFGPRQDELKAMSQNALRLARPDAVFKIVQDLDELVRQRSFVTQYYPA >itb01g04150.t1 pep chromosome:ASM357664v1:1:2752186:2753168:1 gene:itb01g04150 transcript:itb01g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLCMLITLFMGAASQYNYRYFCPNTSTYTPITSTFEENRKFLLYTLSSKGNFENGFYNFTAGGGGDPDTLYGMFMCRGDVSTGDCATCIYQACSDILRVCPQQKTAFIWFDHCMLRFSDEYIFQKLDKSVRMNMSATEKDPQPGFMQQTLKEMIRQQSGKRFVTHESPITSESKRVYSLGQCTPDLSESDCQTCLKNAVQLLHTCCDSALGARVLSPSCYVRYEIYPFYTNKTTAPAPPPTSGNNGNSSTKLIIAIVVPVAGVILSVVFYFLRIRGVKKGNTTIPTTIGKVSD >itb14g07070.t1 pep chromosome:ASM357664v1:14:6449670:6450861:-1 gene:itb14g07070 transcript:itb14g07070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMQCLNAIPISAAAPLARRLMSSLACRNLFIGGLSYDTNEAVLKGAFESHGELIEVKVICDHKSGKSKGYGFVKFESETAASKALKEMDGQLLDGRSIRVHYAQKG >itb05g13750.t1 pep chromosome:ASM357664v1:5:20805607:20807202:-1 gene:itb05g13750 transcript:itb05g13750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDEELLSLRLGIATGSNEKKIMRRKRKRRDHDDFINNNRLVLSDDESYEGQIFSLLQTREQMLKVEKRVKAVTEEENDKGGGLHLIHLLLVSATXNNNRLVLSDDESYEGQIFSLLQTREQMLKVEKRVKAVTEEENDKGGGLHLIHLLLVSATAVDENTPGPAAESLSELYRRASLSGDAVQRVAAYFADGLVARLLTRKSPFYDMIMKPATPHEHLFAFTHLYRASPFHQFAHFTANQAIIEAFHQESQTNHASLHVIDFDVSHGFQWPSLIQSLSQSLSPPSKISLKITGFGPSLSQLRETEARLVSFAKGFRNLSFAFTGLLLDSIDYYTLAKTKTKTKTHETVAVNLAFYLNRLPNFSDTLRTVHLLSPSVVTLVEQEGCRSPRNFLSRFMESLHYFAAMFDSLDDCLPIDSPERLSIEKNYLGNEIKRVITNNGCGDELVPRYEKTETWKGRMESHGFCGVRLSCKNVMQAKLLLKIASSGHCCRVSFDGGFRVFERDDGKAISLAWQDKPLTTASVWRCV >itb11g11050.t1 pep chromosome:ASM357664v1:11:7849221:7852404:-1 gene:itb11g11050 transcript:itb11g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANINMEIEEDDDYVEYIPVAKRRALEAQKILQRKGKAAALEEEAEKIKQVEAKPSLLVKATQLKKELPEVTETEQMVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPMFTGWKPPLAIRRMSRKACDTIRKQWHIIVDGDDIPPPIKNFKEMRFPEPILEKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMMPIVPGEGPFGLIICPSRELARQTYEVIEQFLEPLREIGYPELRPLLCIGGVDMKSQLDVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPITVNVGRAGAANLDVIQEVEYVKQEAKLVYLLHCLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKTQSPTTLLDLKHLLQEAKQRIPPVLAELENPMADDDAIMNASGVKGCAYCGGLGHRIRDCPKLEHQKSQQIANQRRDYFGSGGYRGEI >itb08g10840.t1 pep chromosome:ASM357664v1:8:10462274:10463528:-1 gene:itb08g10840 transcript:itb08g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQFLLFNIFLSLVASNALSPSFIASQQSKFWSQNVDNKMPQSILSKLSPLSELETKAFSPLVSNQYFTSNPKFCTSANLVCASAVKPIPCVFYHEVHFTDNVKVPTEEADPWSFFRLSVLKNGNKVTLPDLDNKFPNRAFLPSQIATSIRLSESELQRIFPTALSIPSTKASVDMSLHHCDAPTVKGERRNCLKTLEDMIEFSRESLGRKHMVALASENAKGLGNQVVMVRNVIKPYNTEKIVACHELFFPFATYYCHMLPLTQVYAVDVINPKKRGAINKLFAICHMDTSSWTPNHVAFKLLKTSPGKGEVCHWMGEMDLLWVGYD >itb13g18740.t1 pep chromosome:ASM357664v1:13:25646786:25649817:-1 gene:itb13g18740 transcript:itb13g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLFLQTPASTRYLPKTLKTQFIQPSFNLSLSPRVAPRTRPGTRVSCALIQPDGGSLVELFVEESEREGKKNHALGLPRIELSKIDLQWLHVLSEGWASPLRGFMREREFLQTLHFNSLRLDDGSFVNMSVPIVLAIDDAQKSRIAESSSVALVDDQDRTLAILNDIEIYKHNKEERIARTWGTIAPGLPYVEQAITNAGNWLIGGDLEVIERVKYHDGLDRFRLSPAELRDEFSRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGYTKADDVPLQWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQNKMAFFDPSRPQDFLFISGTKMRTLAKNKENPPDGFMCPGGWKVLVEYYDSLAPVETGRVPEPASV >itb06g11490.t1 pep chromosome:ASM357664v1:6:16058781:16061326:1 gene:itb06g11490 transcript:itb06g11490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 22 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44575) UniProtKB/Swiss-Prot;Acc:Q9XF91] MAQTMLLTANASSPALDLKRQSTFLQTLKPKPSIQQHFLLPLPSSSSSSSSSSFSSTRIVALFKSKAKAPPAKKVVQPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFNLLGAIGALGDRGKFVDDPEPATGLDRAVIQPGKGFRSALGLKEGGPLFGFTKSNELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPIGEIEPLILFNVAFFFFAAINPGTGKFVTDEEED >itb11g01920.t1 pep chromosome:ASM357664v1:11:951656:955709:1 gene:itb11g01920 transcript:itb11g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTEAKKKSRWSTWEELLLAFAVKRHGLKDWESVAMEVRNRTSLPDLLTAQVCREKYRDLHRRFMNSEELAVDVDAGGGGAVDIPWLEKLRQLRVTELKQEVHRYDLSIQSLQLKVKRMEEERDHSLKESQNDAVKPDLEEDRKEKRSENDEKNGEGETPEKASGKSVSVTESDHENRSFNESNSTENRGTEEKAEPLSIEGGETKPDPQTKPVGEEDSCNDSSDRREESNRTQDRKSENELAAETRDSVGASKDSSDVQSTASLTKKRRKRADLTGGGGGNAATVAAAASPATATKRESAVKSEPFVGLLDIIRSRKHASMFERRLDSQKTERYKSMIRRHVDFGTVQARIDDGFYSGCPMSFYLDLLLLFNNAIVFFPKSSPEWRAAQQLRDIVTKELRNYRSEQPSSAKVEPEQPKLERPDNSLVVKQKSTAPIVVCRKRSSFSGKAAGSGNKQENEKPPLNPKTAGNKSSPSTPPEDDDSSKKMKPNEKPVTGVRSMRRTTKGRPAANAASQSTNVKKSGGTTANKPEASKMEEETSSIDKKRGAADFLKRIKKNSATKETLEDNSSSKRVQQKRKAGTEEKRAPPPPVKEAKVVENSPSKRSVGRPAKRGREAATSPPAGKRGKETNSTSKEGSSKRPQKRSRR >itb11g01920.t2 pep chromosome:ASM357664v1:11:952330:955709:1 gene:itb11g01920 transcript:itb11g01920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFRFFEFTVYGSDRDLICGLNRSLQLKVKRMEEERDHSLKESQNDAVKPDLEEDRKEKRSENDEKNGEGETPEKASGKSVSVTESDHENRSFNESNSTENRGTEEKAEPLSIEGGETKPDPQTKPVGEEDSCNDSSDRREESNRTQDRKSENELAAETRDSVGASKDSSDVQSTASLTKKRRKRADLTGGGGGNAATVAAAASPATATKRESAVKSEPFVGLLDIIRSRKHASMFERRLDSQKTERYKSMIRRHVDFGTVQARIDDGFYSGCPMSFYLDLLLLFNNAIVFFPKSSPEWRAAQQLRDIVTKELRNYRSEQPSSAKVEPEQPKLERPDNSLVVKQKSTAPIVVCRKRSSFSGKAAGSGNKQENEKPPLNPKTAGNKSSPSTPPEDDDSSKKMKPNEKPVTGVRSMRRTTKGRPAANAASQSTNVKKSGGTTANKPEASKMEEETSSIDKKRGAADFLKRIKKNSATKETLEDNSSSKRVQQKRKAGTEEKRAPPPPVKEAKVVENSPSKRSVGRPAKRGREAATSPPAGKRGKETNSTSKEGSSKRPQKRSRR >itb13g03850.t1 pep chromosome:ASM357664v1:13:3869537:3870134:1 gene:itb13g03850 transcript:itb13g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSIEIATAIHQIIVNGTALIASTQAADDPADPAARSQWIRRKGKSSSDFFDQNKKEIQARRESPDAYASSREPVQDAGGVGEAREMLRQIGARPEHPILMPFRWVLKEQNAQESLDAEFRPLKSTICIKNHFRRSHWPKMYSCNHCNKKNFLVLVDLKSHANYA >itb06g00500.t1 pep chromosome:ASM357664v1:6:1183240:1189393:1 gene:itb06g00500 transcript:itb06g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRGKWRLLRPLMSISWSFLLAAVFVSAERSFKKEAIGGSNVTDYSDADYLSAFAKFLWQPSESGYEHVWPEMKFDWKIVLGSIIGFLGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSATAISKCMIMGAAVSTVYYNLKLRHPTLDMPIIDYDLAVLIQPMLMLGISIGVTFNVIFADWMVTVLLIVLFIGTSTKAFLRGVETWKKETIMKKEAVRQLAANGSGGQGEYKLLPGGPGNGTQDTAKESSEKQVSIIENVYWKEFGLLCFVWVSFLALQIIKNYTSTCSTLYWVVNLLQIPVSVGVSLFEATGLYKGWRKISSKGDSESNLRAGQLIVYCFFGMIAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALFLTAVATIAAFIGQHVVRRLIAVLGRASLIIFILAFTIFVSAISLGGVGISNMVEKIHNHEYMGFENLCKYGV >itb01g21840.t1 pep chromosome:ASM357664v1:1:27857043:27859811:-1 gene:itb01g21840 transcript:itb01g21840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLNFKNFGEMPLPEGNGGKPASPFPLTRQSSIYSLTFDELQTYGGLGKDLGSMNMEDLLKNIWTAEESQAIASSASAADASIPGGNLQRQGSLTLPRTLSQKTVDEVWRDFHKETENGSKNDENGGGVSNFGQRQSTLGEMTLEEFLVRAGVVREDIQPNGVGLSGGLSQLNSIAFQQPAQNPVGLSKQIAANDKNAVIPGASNLTLNAGGTQSSQQQQLQLPLFSKQTTVAFASPMQLGNNAQLASPRVGGGPIVGMSSPLNTSLAQGSVMQGGAMSMVGLCNGATTAIGGSPRNPLSSDIVTKSNLDTSSLSPSPFAFNEGGRGRKAGSSLEKVVERRRRRMIKNRESAARSRARKQVIYKMNTTHIVASNFSLWILFTKYLLRFCLCFQMSCCRGRFAENHI >itb04g01280.t3 pep chromosome:ASM357664v1:4:718590:722079:1 gene:itb04g01280 transcript:itb04g01280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLMRTLELEFLQPLPRPILQQKELIPCNKDLVLSLHNKLGFVLELFDESRMDGVEAIKELETKLRDVASRIENEIELQVVHLYKEEEEEEEENRIFKGILDIFGRFVDQTFQPPEEGEGKGEGEEEEERKMPQGEKSTHHCQRLHQILHPLRGKSHPCLKFRRILYRAVQDIDAITQELAKAKEEYQLFEHHLQAGKKAAAPLNVLPIPTHQGITMPDSSHHVSPSKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEDPSITSHFDKRTWTVASQHHSQRQMLLHLLGSKDDADKRSEEDLALQLYQSFKRQRYMVVMDDVWSVEAWDALKTCFPDDGYGSRVLLTTRIGEVANHICTQNDFSHQMQLLEQSQSWKLFNEKACKSRSAEFEIIGRPVVEKCKGLPLAIIVVAGLFSKLNTLDEWKNTANALSSSSTTTLDDKECSRILSLSYNHLPHNLKACFLYLGVFPEDHEIKANHLAKLWLAEGLVKAFENESFDAVANRYVQELMDRNLIILSELSSCGRKTKRFRMHDLLHAFCMREAQNENLLHVVQSENSSYFSQKGFRWVSIQYEDFDVSTIHHFTWKNCRSFFSFVRRNLHPDFRNVNLLRVLFSYHRCIKLKNNVNPVHLRFLRVENSIELSRTHGFLESRGREGVELSRTWNLQTLFCEGRVSYRLDEGGKYLKFPQLQDIHCIDSFCGNPPNFVHKLRPIRDDDCSKEWITNIPACLKKVQIECEGNKINVCIANLAYLEQLEGLKIFYFGGTHNLINNGIVLLKNLRKLTLNNVGFVCDEKINILSKLPRLEVLKLEWKPFVGKEWEIQEEVVFRQLIALVIIGCDLKHWKASSQNFPKLEHLSIMYCRELREIPIGFAEISTLKSIKLLECLPSAVESAKKIQDEQRDYGNNDMVVIEEDTLDGSKSEKSLSEEESEEDN >itb04g01280.t2 pep chromosome:ASM357664v1:4:718590:722711:1 gene:itb04g01280 transcript:itb04g01280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLMRTLELEFLQPLPRPILQQKELIPCNKDLVLSLHNKLGFVLELFDESRMDGVEAIKELETKLRDVASRIENEIELQVVHLYKEEEEEEEENRIFKGILDIFGRFVDQTFQPPEEGEGKGEGEEEEERKMPQGEKSTHHCQRLHQILHPLRGKSHPCLKFRRILYRAVQDIDAITQELAKAKEEYQLFEHHLQAGKKAAAPLNVLPIPTHQGITMPDSSHHVSPSKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEDPSITSHFDKRTWTVASQHHSQRQMLLHLLGSKDDADKRSEEDLALQLYQSFKRQRYMVVMDDVWSVEAWDALKTCFPDDGYGSRVLLTTRIGEVANHICTQNDFSHQMQLLEQSQSWKLFNEKACKSRSAEFEIIGRPVVEKCKGLPLAIIVVAGLFSKLNTLDEWKNTANALSSSSTTTLDDKECSRILSLSYNHLPHNLKACFLYLGVFPEDHEIKANHLAKLWLAEGLVKAFENESFDAVANRYVQELMDRNLIILSELSSCGRKTKRFRMHDLLHAFCMREAQNENLLHVVQSENSSYFSQKGFRWVSIQYEDFDVSTIHHFTWKNCRSFFSFVRRNLHPDFRNVNLLRVLFSYHRCIKLKNNVNPVHLRFLRVENSIELSRTHGFLESRGREGVELSRTWNLQTLFCEGRVSYRLDEGGKYLKFPQLQDIHCIDSFCGNPPNFVHKLRPIRDDDCSKEWITNIPACLKKVQIECEGNKINVCIANLAYLEQLEGLKIFYFGGTHNLINNGIVLLKNLRKLTLNNVGFVCDEKINILSKLPRLEVLKLEWKPFVGKEWEIQEEVVFRQLIALVIIGCDLKHWKASSQNFPKLEHLSIMYCRELREIPIGFAEISTLKSIKLLECLPSAVESAKKIQDEQRDYGNNDMVVIEEDTLDGSKSEKSLSEEESEEDN >itb04g01280.t1 pep chromosome:ASM357664v1:4:718590:723134:1 gene:itb04g01280 transcript:itb04g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVVLSLMRTLELEFLQPLPRPILQQKELIPCNKDLVLSLHNKLGFVLELFDESRMDGVEAIKELETKLRDVASRIENEIELQVVHLYKEEEEEEEENRIFKGILDIFGRFVDQTFQPPEEGEGKGEGEEEEERKMPQGEKSTHHCQRLHQILHPLRGKSHPCLKFRRILYRAVQDIDAITQELAKAKEEYQLFEHHLQAGKKAAAPLNVLPIPTHQGITMPDSSHHVSPSKEIMVGKQDEFESIKEMLIQHPSKQLEIVSIKGMGGIGKTTLAKKIYEDPSITSHFDKRTWTVASQHHSQRQMLLHLLGSKDDADKRSEEDLALQLYQSFKRQRYMVVMDDVWSVEAWDALKTCFPDDGYGSRVLLTTRIGEVANHICTQNDFSHQMQLLEQSQSWKLFNEKACKSRSAEFEIIGRPVVEKCKGLPLAIIVVAGLFSKLNTLDEWKNTANALSSSSTTTLDDKECSRILSLSYNHLPHNLKACFLYLGVFPEDHEIKANHLAKLWLAEGLVKAFENESFDAVANRYVQELMDRNLIILSELSSCGRKTKRFRMHDLLHAFCMREAQNENLLHVVQSENSSYFSQKGFRWVSIQYEDFDVSTIHHFTWKNCRSFFSFVRRNLHPDFRNVNLLRVLFSYHRCIKLKNNVNPVHLRFLRVENSIELSRTHGFLESRGREGVELSRTWNLQTLFCEGRVSYRLDEGGKYLKFPQLQDIHCIDSFCGNPPNFVHKLRPIRDDDCSKEWITNIPACLKKVQIECEGNKINVCIANLAYLEQLEGLKIFYFGGTHNLINNGIVLLKNLRKLTLNNVGFVCDEKINILSKLPRLEVLKLEWKPFVGKEWEIQEEVVFRQLIALVIIGCDLKHWKASSQNFPKLEHLSIMYCRELREIPIGFAEISTLKSIKLLECLPSAVESAKKIQDEQRDYGNNDMVVIEEDTLDGSKSEKSLSEEESEEDN >itb11g10070.t2 pep chromosome:ASM357664v1:11:6856275:6858053:1 gene:itb11g10070 transcript:itb11g10070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATLAAVKPTTVNGLAGSSINGTKLHVKSSRLNLKPSKPRAGAIVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYLSATADGDILPIKKGPQLPPKLGPRGKI >itb11g10070.t1 pep chromosome:ASM357664v1:11:6856275:6859684:1 gene:itb11g10070 transcript:itb11g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATLAAVKPTTVNGLAGSSINGTKLHVKSSRLNLKPSKPRAGAIVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYLSATADGDILPIKKGPQLPPKLGPRGKI >itb04g05290.t2 pep chromosome:ASM357664v1:4:3287837:3291653:1 gene:itb04g05290 transcript:itb04g05290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEPVVERENEEREAQRDAERGEMGFQAGGSYNYYPPAAPAPEGGGGGGFHMGRMQRLSATNPLRLVMESAIRVASPSPPQPPRRSHPPPPQPPRPSPSPSHPPIPSPSPPPQPSPSPPPHISPSPSPPPPPSSQPRSIPTVTVTPQQRAVTTLNSRAYTNKFSLFLFLLHLVAAVGLVGFLVFKGVEGLIEAGDAKIKEERLLRYFLPQVVGASFLSITLALLWQKGFRMWPRFMVHFILWSSFIFTLAAGILLICFQRPATDGLGVVFIFFAIGNGLYSCWVTPRTGFFCDIMIKALEPVPKFGDLNEPTYWMLGAGFVWMLLWVLAVIGAINFYFPPLIIIAMVVSMAWITEVMRNVVNLTVSRVVALYYLRGMQSRTKFCFQRALSNNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFCCARCGLKVMDSIFKRGNGWAYVQIAAYGKSFVKASQDTWELFQMREMEQIVDSDMTSAICFLTGICSGSICAIVVAAWTATVYRNFTATLSFLAAYIGYLLVSLHTLTPLVP >itb04g05290.t1 pep chromosome:ASM357664v1:4:3287837:3291653:1 gene:itb04g05290 transcript:itb04g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEPVVERENEEREAQRDAERGEMGFQAGGSYNYYPPAAPAPEGGGGGGFHMGRMQRLSATNPLRLVMESAIRVASPSPPQPPRRSHPPPPQPPRPSPSPSHPPIPSPSPPPQPSPSPPPHISPSPSPPPPPSSQPRSIPTVTVTPQQRAVTTLNSRAYTNKFSLFLFLLHLVAAVGLVGFLVFKGVEGLIEAGDAKIKEERLLRYFLPQVVGASFLSITLALLWQKGFRMWPRFMVHFILWSSFIFTLAAGILLICFQRPATDGLGVVFIFFAIGNGLYSCWVTPRTGFFCDIMIKALEPVPKFGDLNEPTYWMLGAGFVWMLLWVLAVIGAINFYFPPLIIIAMVVSMAWITEVMRNVVNLTVSRVVALYYLRGMQSRTKFCFQRALSNNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFCCARCGLKVMDSIFKRGNGWAYVQIAAYGKSFVKASQDTWELFQMREMEQIVDSDMTSAICFLTGICSGSICAIVVAAWTATVYRNFTATLSFLAAYIGYLLTRIAMAVPQACVGCYYVCYAESPESRLFDKTIHDRIISIKEGHDMVVPTPRVPGRFARQFR >itb04g05290.t3 pep chromosome:ASM357664v1:4:3288234:3291596:1 gene:itb04g05290 transcript:itb04g05290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEPVVERENEEREAQRDAERGEMGFQAGGSYNYYPPAAPAPEGGGGGGFHMGRMQRLSATNPLRLVMESAIRVASPSPPQPPRRSHPPPPQPPRPSPSPSHPPIPSPSPPPQPSPSPPPHISPSPSPPPPPSSQPRSIPTVTVTPQQRAVTTLNSRAYTNKFSLFLFLLHLVAAVGLVGFLVFKGVEGLIEAGDAKIKEERLLRYFLPQVVGASFLSITLALLWQKGFRMWPRFMVHFILWSSFIFTLAAGILLICFQRPATDGLGVVFIFFAIGNGLYSCWVTPRTGFFCDIMIKALEPVPKFGDLNEPTYWMLGAGFVWMLLWVLAVIGAINFYFPPLIIIAMVVSMAWITEVMRNVVNLTVSRVVALYYLRGMQSRTKFCFQRALSNNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFCCARCGLKVMDSIFKRGNGWAYVQIAAYGKSFVKASQDTWELFQMREMEQIVDSDMTSAICFLTGICSGSICAIVVAAWTATVYRNFTATLSFLAAYIGYLLTRIAMAVPQACVGCYYVCYAESPESRLFDKTIHDRIISIKEGHDMVVPTPRVPGRFARQFR >itb05g14200.t2 pep chromosome:ASM357664v1:5:21259175:21265420:-1 gene:itb05g14200 transcript:itb05g14200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MKMAPKPFSLSSLSSLTPFPLPNHKQNITRIRIRKPEVITCTQSWTEPEGTGAAAPTRGDMFIQRQQSVSALEAVKAATKKKKSKKEKLMKFSTLAPSCYGCGAPLQTSEIDAPGYVDKETYDLKKKHHQLRTILCGRCQLLSHGHMITAVGGNGGYSGGKQFVSAEELREKLSHLRHEKALVVKLVDIVDFNGSFLARVRDLAGANPIILVVTKVDLLPKETDLNCVGDWVVESTTKKKLNVLSVHLTSSKSLVGIAGVVSEIQKEKKGRDVYILGSANVGKSAFINALLKMMSYKDPVAAAARKYKPIQSAVPGTTLGPIPIDAFLGSGKMYDTPGVHLHHRQAAVVHSEDLPALAPRSRLRAQVFPNSQLALDEQMTYQVQSSGLTGFSIFWGGLVRMDVLKVLPETCLTFYGPKALQIHMVPTEEADEFYHRELGILLTPPTGKEKADGWMGLETKRHLQLKYDNIERPACDVAISGLGWVSVEPVGISLKQSGRIIEETVGELDLAVHVPKPVEIFVRPPMPVGKAGAEWYDYRELTETEEEVRPKWYF >itb05g14200.t1 pep chromosome:ASM357664v1:5:21259217:21265458:-1 gene:itb05g14200 transcript:itb05g14200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MKMAPKPFSLSSLSSLTPFPLPNHKQNITRIRIRKPEVITCTQSWTEPEGTGAAAPTRGDMFIQRQQSVSALEAVKAATKKKKSKKEKLMKFSTLAPSCYGCGAPLQTSEIDAPGYVDKETYDLKKKHHQLRTILCGRCQLLSHGHMITAVGGNGGYSGGKQFVSAEELREKLSHLRHEKALVVKLVDIVDFNGSFLARVRDLAGANPIILVVTKVDLLPKETDLNCVGDWVVESTTKKKLNVLSVHLTSSKSLVGIAGVVSEIQKEKKGRDVYILGSANVGKSAFINALLKMMSYKDPVAAAARKYKPIQSAVPGTTLGPIPIDAFLGSGKMYDTPGVHLHHRQAAVVHSEDLPALAPRSRLRAQVFPLALDEQMTYQVQSSGLTGFSIFWGGLVRMDVLKVLPETCLTFYGPKALQIHMVPTEEADEFYHRELGILLTPPTGKEKADGWMGLETKRHLQLKYDNIERPACDVAISGLGWVSVEPVGISLKQSGRIIEETVGELDLAVHVPKPVEIFVRPPMPVGKAGAEWYDYRELTETEEEVRPKWYF >itb01g06750.t4 pep chromosome:ASM357664v1:1:4965409:4971843:1 gene:itb01g06750 transcript:itb01g06750.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFTVLIPIILFFFFFYPIFLKAQSGHLPQDELNALKEIANQMGKKDWDFSLNPCGNNSNWLAPQSIDTPLYTNNLTCDCNFPARICHVRLINLRGQDLQGVLPPALVKLPFLKRIDLNRNYLSGTIPLEWASMKLELISVAVNRLSGPIPKYLGNIKTLTYLNLKYNMFNGSVPPELGKLVNLQNLFLSGNYLTGELPKELNALTKLIEFRLSSNNFTGKLPSFQSFKNLQKLEVQASGFEGPIPQNISVSTSFTELRISDLNGGGVSGFPTFTNMTAMTKLMLRRCNISGKIHDLANMKSLEQLDLSFNNLEGGIDDLQNADKLQYMYLTSNSFSGQIPQWILNRGSTYYTDLSYNNFEENSLSPTCNRETLNLFKSYNGGENEKIGKCLKNCTKDWYSFHINCGGGNVVIGGITYDADKDSSGYARFVYDKENWVTSNTGYFWDRTLNLSDYTTTNISVIKGKNSEIYQTARLSPLSLTYLGRCLANGNYNVKLHFAEIILRDSRSFQSLGRRVFDVYIQGERKLKDFDIKSEAQGADKVLVKQFQTIVRDKTLEVRFEYAGKGITTAPIKGAYGPLISAISVESDFKSPKERKALIIGVAVASSLFLIFAILYFAGWKIYIRKKVSQEKELQGLDLQTRLFTMRQIKVATNNFDVANKIGEGGFGPVYKGTLSDGTVIAVKQLSSKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVEGKPLLLVYEFLENNSLAHALFGPEHRQLKIDWATRQRICVGIAKGLAFLHEESTIKVVHRDIKTANVLLDKELNPKISDFGLAKLDDDEKTHISTRVAGTM >itb01g06750.t1 pep chromosome:ASM357664v1:1:4965409:4971843:1 gene:itb01g06750 transcript:itb01g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFTVLIPIILFFFFFYPIFLKAQSGHLPQDELNALKEIANQMGKKDWDFSLNPCGNNSNWLAPQSIDTPLYTNNLTCDCNFPARICHVRLINLRGQDLQGVLPPALVKLPFLKRIDLNRNYLSGTIPLEWASMKLELISVAVNRLSGPIPKYLGNIKTLTYLNLKYNMFNGSVPPELGKLVNLQNLFLSGNYLTGELPKELNALTKLIEFRLSSNNFTGKLPSFQSFKNLQKLEVQASGFEGPIPQNISVSTSFTELRISDLNGGGVSGFPTFTNMTAMTKLMLRRCNISGKIHDLANMKSLEQLDLSFNNLEGGIDDLQNADKLQYMYLTSNSFSGQIPQWILNRGSTYYTDLSYNNFEENSLSPTCNRETLNLFKSYNGGENEKIGKCLKNCTKDWYSFHINCGGGNVVIGGITYDADKDSSGYARFVYDKENWVTSNTGYFWDRTLNLSDYTTTNISVIKGKNSEIYQTARLSPLSLTYLGRCLANGNYNVKLHFAEIILRDSRSFQSLGRRVFDVYIQGERKLKDFDIKSEAQGADKVLVKQFQTIVRDKTLEVRFEYAGKGITTAPIKGAYGPLISAISVESDFKSPKERKALIIGVAVASSLFLIFAILYFAGWKIYIRKKVSQEKELQGLDLQTRLFTMRQIKVATNNFDVANKIGEGGFGPVYKGTLSDGTVIAVKQLSSKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVEGKPLLLVYEFLENNSLAHALFGPEHRQLKIDWATRQRICVGIAKGLAFLHEESTIKVVHRDIKTANVLLDKELNPKISDFGLAKLDDDEKTHISTRVAGTIGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRLDENCVCLLDWALVLQEKGNLMELIDPRLGSDFDKEQALKLLEVALLCTNPSPILRPSMSTVVNMLEGNGDILEDNSDIGEFNFQEM >itb01g06750.t2 pep chromosome:ASM357664v1:1:4965419:4971843:1 gene:itb01g06750 transcript:itb01g06750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFTVLIPIILFFFFFYPIFLKAQSGHLPQDELNALKEIANQMGKKDWDFSLNPCGNNSNWLAPQSIDTPLYTNNLTCDCNFPARICHVRLINLRGQDLQGVLPPALVKLPFLKRIDLNRNYLSGTIPLEWASMKLELINLKYNMFNGSVPPELGKLVNLQNLFLSGNYLTGELPKELNALTKLIEFRLSSNNFTGKLPSFQSFKNLQKLEVQASGFEGPIPQNISVSTSFTELRISDLNGGGVSGFPTFTNMTAMTKLMLRRCNISGKIHDLANMKSLEQLDLSFNNLEGGIDDLQNADKLQYMYLTSNSFSGQIPQWILNRGSTYYTDLSYNNFEENSLSPTCNRETLNLFKSYNGGENEKIGKCLKNCTKDWYSFHINCGGGNVVIGGITYDADKDSSGYARFVYDKENWVTSNTGYFWDRTLNLSDYTTTNISVIKGKNSEIYQTARLSPLSLTYLGRCLANGNYNVKLHFAEIILRDSRSFQSLGRRVFDVYIQGERKLKDFDIKSEAQGADKVLVKQFQTIVRDKTLEVRFEYAGKGITTAPIKGAYGPLISAISVESDFKSPKERKALIIGVAVASSLFLIFAILYFAGWKIYIRKKVSQEKELQGLDLQTRLFTMRQIKVATNNFDVANKIGEGGFGPVYKGTLSDGTVIAVKQLSSKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVEGKPLLLVYEFLENNSLAHALFGPEHRQLKIDWATRQRICVGIAKGLAFLHEESTIKVVHRDIKTANVLLDKELNPKISDFGLAKLDDDEKTHISTRVAGTIGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRLDENCVCLLDWALVLQEKGNLMELIDPRLGSDFDKEQALKLLEVALLCTNPSPILRPSMSTVVNMLEGNGDILEDNSDIGEFNFQEM >itb01g06750.t3 pep chromosome:ASM357664v1:1:4965419:4971843:1 gene:itb01g06750 transcript:itb01g06750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMFTVLIPIILFFFFFYPIFLKAQSGHLPQDELNALKEIANQMGKKDWDFSLNPCGNNSNWLAPQSIDTPLYTNNLTCDCNFPARICHVRLINLKYNMFNGSVPPELGKLVNLQNLFLSGNYLTGELPKELNALTKLIEFRLSSNNFTGKLPSFQSFKNLQKLEVQASGFEGPIPQNISVSTSFTELRISDLNGGGVSGFPTFTNMTAMTKLMLRRCNISGKIHDLANMKSLEQLDLSFNNLEGGIDDLQNADKLQYMYLTSNSFSGQIPQWILNRGSTYYTDLSYNNFEENSLSPTCNRETLNLFKSYNGGENEKIGKCLKNCTKDWYSFHINCGGGNVVIGGITYDADKDSSGYARFVYDKENWVTSNTGYFWDRTLNLSDYTTTNISVIKGKNSEIYQTARLSPLSLTYLGRCLANGNYNVKLHFAEIILRDSRSFQSLGRRVFDVYIQGERKLKDFDIKSEAQGADKVLVKQFQTIVRDKTLEVRFEYAGKGITTAPIKGAYGPLISAISVESDFKSPKERKALIIGVAVASSLFLIFAILYFAGWKIYIRKKVSQEKELQGLDLQTRLFTMRQIKVATNNFDVANKIGEGGFGPVYKGTLSDGTVIAVKQLSSKSRQGYREFLNEIGMISCLQHPNLVKLYGCCVEGKPLLLVYEFLENNSLAHALFGPEHRQLKIDWATRQRICVGIAKGLAFLHEESTIKVVHRDIKTANVLLDKELNPKISDFGLAKLDDDEKTHISTRVAGTIGYTAPEYALWGYLTFKADVYSFGVVALEIVAGKNNMKFRLDENCVCLLDWALVLQEKGNLMELIDPRLGSDFDKEQALKLLEVALLCTNPSPILRPSMSTVVNMLEGNGDILEDNSDIGEFNFQEM >itb07g23730.t1 pep chromosome:ASM357664v1:7:28094634:28096102:-1 gene:itb07g23730 transcript:itb07g23730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGRLERMYWPVTASEVMKLNPGHYVSLIIPLPIAGEESSGERAVRFTRVKLLRPTDTLVLGRAYRLVTNQEVMKVLRAKKNAKMKKKVCENLQGGSEKLQGLKDGNSEPEKNNQDTRHRQRSGQPIARTKSWRPSLQSILESVS >itb07g23730.t2 pep chromosome:ASM357664v1:7:28094634:28096102:-1 gene:itb07g23730 transcript:itb07g23730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPSGRLERMYWPVTASEVMKLNPGHYVSLIIPLPIAGEESSGERAVRFTRVKLLRPTDTLVLGRAYRLVTNQEVMKVLRAKKNAKMKKKVCENLQGGSEKLQGLKDGNSEPEKNNQVILAGQQD >itb13g01240.t1 pep chromosome:ASM357664v1:13:1190273:1194759:-1 gene:itb13g01240 transcript:itb13g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHSRIGSRPRRPSGSRAPKRSLSSIFICGASLPHSSSNEEEDVPAGLPANSAKHIDQQKLQNPMKSVASTFNSGTETGVSSGENVLNFEGSLFEDDSRSVEGGNKGERLPENMEVVAPDNIGTGSSGTIAVDQPFYFPTSSNTMINRESSETQICSGNEHMHQICGDSIQPSSASPYGIVESSSNGDLIGNQASAFFVFNNSGSSSSRSAFSDSSLASYSPGYDACQDIIPSSLEFLMPEREQSPRLGGMLHVGMVGISSNVHPSSSAEINSRGARRNSRRLFWDSLSRRSNADSSTFIFVNDNTDDVWSRERLLLEFHDDSLGSGAGRDFGWPGSQAPANSDQQRQSRSEMWGRLSSDLSASSHQTTSCPTGIHLHGPCSCESMLVARESSSRRVSGMFMLAEALFEVLNEMDPLSLSLSMVSLPAPESVVDSFQVKSYSKNEGFAIGNDVTQCNICLADYEEGDEIRVLPCHHEFHMECVDKWLKEIHGVCPLCRGDVRLQGSTSNSEGVHSL >itb03g19970.t4 pep chromosome:ASM357664v1:3:17906983:17912832:1 gene:itb03g19970 transcript:itb03g19970.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSNITSDRYAKIKQGSWFNQFRDGSNPWMARYVYGLMFLGANLLAWAVRDYGTSVTKEMKRVKDCNGGEDCLGTEGVLRVSLGCSLFYFVMFLSTAGTSKLSDRREKWHSGWWLAKIAMLLALILLPFLLPVEIISIYGEVAHFGAGVFLLIQLVSIISFITWLNDCCYSEENADKCHIQVMICSTAAYIVCILGIILMYIWYTPEPSCLLNIFFISWTLVLLQVMTSVSLHPKVNAGFLTPGIMGLYLVFLCWSAIRSEPPEEKCIRKAKAATSKGDVLTIISFVVAVLAIVVATFSTGIDSKCFQFWKDDKQGSEGEEEHKHVPYGYGFFHFVFATGAMYFAMLLIGWNAHHSMKKFTIDVGWTSTWVRIVNEWLAACVYIWMLIAPLVWKARHVGESRV >itb03g19970.t1 pep chromosome:ASM357664v1:3:17906983:17912832:1 gene:itb03g19970 transcript:itb03g19970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSNITSDRYAKIKQGSWFNQFRDGSNPWMARYVYGLMFLGANLLAWAVRDYGTSVTKEMKRVKDCNGGEDCLGTEGVLRVSLGCSLFYFVMFLSTAGTSKLSDRREKWHSGWWLAKIAMLLALILLPFLLPVEIISIYGEVAHFGAGVFLLIQLVSIISFITWLNDCCYSEENADKCHIQVMICSTAAYIVCILGIILMYIWYTPEPSCLLNIFFISWTLVLLQVMTSVSLHPKVNAGFLTPGIMGLYLVFLCWSAIRSEPPEEKCIRKAKAATSKGDVLTIISFVVAVLAIVVATFSTGIDSKCFQFWKDDKQGSEGEEEHKHVPYGYGFFHFVFATGAMYFAMLLIGWNAHHSMKKFTIDVGWTSTWVRIVNEWLAACVYIWMLIAPLVWKARHVGESRV >itb03g19970.t5 pep chromosome:ASM357664v1:3:17906983:17912832:1 gene:itb03g19970 transcript:itb03g19970.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSNITSDRYAKIKQGSWFNQFRDGSNPWMARYVYGLMFLGANLLAWAVRDYGTSVTKEMKRVKDCNGGEDCLGTEGVLRVSLGCSLFYFVMFLSTAGTSKLSDRREKWHSGWWLAKIAMLLALILLPFLLPVEIISIYGEVAHFGAGVFLLIQLVSIISFITWLNDCCYSEENADKCHIQVMICSTAAYIVCILGIILMYIWYTPEPSCLLNIFFISWTLVLLQVMTSVSLHPKVNAGFLTPGIMGLYLVFLCWSAIRSEPPEEKCIRKAKAATSKGDVLTIISFVVAVLAIVVATFSTGIDSKCFQFWKDDKQGSEGEEEHKHVPYGYGFFHFVFATGAMYFAMLLIGWNAHHSMKKQAPFLVNVNSFYP >itb03g19970.t3 pep chromosome:ASM357664v1:3:17906983:17912832:1 gene:itb03g19970 transcript:itb03g19970.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSNITSDRYAKIKQGSWFNQFRDGSNPWMARYVYGLMFLGANLLAWAVRDYGTSVTKEMKRVKDCNGGEDCLGTEGVLRVSLGCSLFYFVMFLSTAGTSKLSDRREKWHSGWWLAKIAMLLALILLPFLLPVEIISIYGEVAHFGAGVFLLIQLVSIISFITWLNDCCYSEENADKCHIQVMICSTAAYIVCILGIILMYIWYTPEPSCLLNIFFISWTLVLLQVMTSVSLHPKVNAGFLTPGIMGLYLVFLCWSAIRSEPPEEKCIRKAKAATSKGDVLTIIVILDLKSLSTLHLLCFFSSLPHLELDLLMAELCCGSPRDRGCNFFNRN >itb03g19970.t2 pep chromosome:ASM357664v1:3:17906983:17912832:1 gene:itb03g19970 transcript:itb03g19970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTSNITSDRYAKIKQGSWFNQFRDGSNPWMARYVYGLMFLGANLLAWAVRDYGTSVTKEMKRVKDCNGGEDCLGTEGVLRVSLGCSLFYFVMFLSTAGTSKLSDRREKWHSGWWLAKIAMLLALILLPFLLPVEIISIYGEVAHFGAGVFLLIQLVSIISFITWLNDCCYSEENADKCHIQVMICSTAAYIVCILGIILMYIWYTPEPSCLLNIFFISWTLVLLQVMTSVSLHPKVNAGFLTPGIMGLYLVFLCWSAIRSEPPEEKCIRKAKAATSKGDVLTIISFVVAVLAIVVATFSTGIDSKCFQVLHLPPFFLKTC >itb10g01330.t1 pep chromosome:ASM357664v1:10:975306:975740:-1 gene:itb10g01330 transcript:itb10g01330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSTLLLLGSSPTNVEVWFMFALFNMVWVTIFFVLVFGACACHQWMSRRRTIAPVNNGLNKQTLESLPELSFTGEHTQKFLDCPICLMEYMAGDKIRVLLPCGHGFHVGCVDMWLVSHSSCPFCRRQVIVPVESQDYKELPI >itb06g17800.t1 pep chromosome:ASM357664v1:6:21537936:21540615:1 gene:itb06g17800 transcript:itb06g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKQEKVLRYEEFVDSRLKPDLVHAIAERDKVFEQQKIFSDLRRNIENLEKNSVTSLRSLVNLGSEVYVQAEVPDTRHIIVDVGLGFHVEFTWSEALNYISAREKKLAGQIEEYTRLIASIKAQIKMVCEGIRELLQLPADSFRRERNL >itb12g05670.t1 pep chromosome:ASM357664v1:12:4210436:4212396:-1 gene:itb12g05670 transcript:itb12g05670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEFSERNIDLNCEFVVPKSVSIALAEISAMDDPSQKLLKLYSLLQALEEEAKKIYVIRREVPYATSLLTDEIEMVRGECMKLKGKEKLPAPAVMEEFLPLKGNSDGGTKRSNEMKSWMSSAALWNHPVTSENSNSRKSSNQLFPTKPGGVHGSIQSFVPHTTMTAEEEAALKRDGLPVPSLLLSSPSVPPSAAVYKTSYHPHSSKTMNKQEQRKQRRCWSPELHQRFVDALDKLGGAQAATPKQIREIMNVDGLTNDEVKSHLQKYRLHVRRLTASPPSSTSTWPQPYGVVMKPAGTGGHSGSPESPLHLGIPATRQVSVTVTGFNAVEESEEEESVRGF >itb08g00590.t1 pep chromosome:ASM357664v1:8:459391:461185:1 gene:itb08g00590 transcript:itb08g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQTHPPLGPTLTAHMLFQHVVQWPHTLQIHPIPYRQSPKSRSPPSDAIIDSPTINHSPFITAPSQDLCVLRRTLKIQSFLFFIFGFCILDWSAMVEAQTWTTRRMSNPRLESNEQVLDMPITPTAEVRQQQYGNGFGLFGLGTLISPNILTAVIIASWYCSNIGVLLLNKYLLSFYGYRYPIFLTMLHMLSCAAYSIVAIKWLEVVPFQHILSRKQFFKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKESGEVYLALVPVVLGIVLASNSEPLFHLFGFLMCIGSTAGRALKSVVQGLLLTSEAEKLHSMNLLLYMAPMAAVILLPFTLYIEGNVLGVTVEKAKGDGFMVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFAVTVMGVVLYSEAKKRSKATAH >itb11g02090.t1 pep chromosome:ASM357664v1:11:1049508:1050983:-1 gene:itb11g02090 transcript:itb11g02090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTSKARKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVSEAPEGLKGLGTTIIEDEDE >itb09g30760.t1 pep chromosome:ASM357664v1:9:31363293:31363634:1 gene:itb09g30760 transcript:itb09g30760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGAFSGMLAGGKTGPHSLPLARIKKIMKKSSSDVKMISGEAPIVFSKACELFIEELTKRAWTVAMQGKRRTLHKEDVASAVIATDVFDFLVNLVSDDQSANSACVPGLSQ >itb02g04730.t1 pep chromosome:ASM357664v1:2:2795604:2798633:-1 gene:itb02g04730 transcript:itb02g04730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTVATDFERIYKIHGKLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACEAMYKPDMEPEDLFETISQALVSSVDRDCLSGWGGHVYVVTPTEVTERILKGRMD >itb04g19340.t1 pep chromosome:ASM357664v1:4:23685547:23689658:-1 gene:itb04g19340 transcript:itb04g19340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNYSISSACAIANYYFYAAANHVRRGEANIMVVGGTEAAVILVGVGGFIACSALSQRNDEPKKASRPWDKNGMADFIIGEGSGVLQRQKRRQSSRRRESSTYAFQPCLAIPKPTEDTTIDFSNCPVVDMVLGFLHAVLEIPYANRLPG >itb15g14610.t1 pep chromosome:ASM357664v1:15:12907682:12908582:1 gene:itb15g14610 transcript:itb15g14610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVFLMFDAEADAKTRESARRSRMRKQQHLDKLMAQAPALKKENAQGQALGGHKRSHFADSVSAIVSPEACSASTSTPMNLEKQGLSRTGGALIDLNLPALMEDYNDDGLSTITYV >itb06g13420.t1 pep chromosome:ASM357664v1:6:18153694:18154041:1 gene:itb06g13420 transcript:itb06g13420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSHQFDHDYAAKGPHMVAPPPPAGYPTAAGDAQVRRRKRHNTKSRGDGFWKGCCAALCCCWVLDVCC >itb01g00300.t1 pep chromosome:ASM357664v1:1:153428:156180:1 gene:itb01g00300 transcript:itb01g00300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSEKKGKKVIRKNSKRNLNEESDSPQVEVEGYSGRRSCMMPLLPIPNMESSSKASLVLSGTACKGVTGQPIGAFDIGVSKSAYYFRVALPGVKKDPGEFNCEIERDGMVHIRGVTSTGGKTVSKYYRVFAMTLLQQCPPGAFTLSFRLPGPVDPRLFSPNFGCDGIFEGIVAKYSP >itb01g00300.t2 pep chromosome:ASM357664v1:1:153428:156180:1 gene:itb01g00300 transcript:itb01g00300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRSEKKGKKVIRKNSKRNLNEESDSPQVEVEGYSGRRSCMMPLLPIPNMESSSKASLVLSGTACKGVTGQPIGAFDIGVSKSAYYFRVALPGVKKDPGEFNCEIERDGMVHIRGVTSTGGKTVSKYYRVFAMTLLQQCPPGAFTLSFRLPGPVDPRLFSPNFGCDGIFEGIVAKYSP >itb15g19250.t1 pep chromosome:ASM357664v1:15:21452904:21455762:1 gene:itb15g19250 transcript:itb15g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLDTNSFTGKIPSEIGGLLRLQILHLANNSFSGEIPNNISRCWNLKDINLASNYLTGKLPVQFQSLSELQIMRVFRNKLTGKIPPQYGNLSSLVWFGVEGNNLHGVVPNSFGKLKNLRHIILHNNFFSGTLLSLSNLSLVDIDLQSNQLEGGLPRNMSNTFPILEFLSVFQNRLVGYIPLWVSNAARIKVLDLSDNNFTGQVPNFGNFKHLRALSLYKNEHIGSGTKDLRFMAPLTNCTDLEYLDFSDCNFRGNLPPYIGNISNLKIFDIRDNHISGKIPIEIGQLINLEQLLLMGNQLSGTIPNTIGKLRNLYYLILEGNQLSGEIPSSLENVTLLSDLTLGSNNLEGAIPSGLAKCKFLLEIHLFANNLSGYIPKEFFHSDSELVIIDIADNSLKSPLPLEIVLGIGIACSVNSSSERMNACTAMNKLRTIKSNLLGTRQQ >itb01g07650.t1 pep chromosome:ASM357664v1:1:6047031:6049647:1 gene:itb01g07650 transcript:itb01g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKMALLSLNAKSLSFSPYNIYPLRKQMRSTVPRNSSIWTITKVGENHPFNSRSGEIHAISKRLSFIVRAAAATLDSDDGGNDALPATTERRRRRSVFWVGKLKCLDMAPGSPEGAVVFVLMHLVCVIAPFCFSWNAFGVASVLSIITGLGITLSYHRNLCHRSFKLPKWLEYLFAYCAVHALQGTPIGWVSTHRHHHQNCDSERDPHSPIQGFWYSHMGWLFDFNSINERREMPSNVGDLEKQFFYRFIQFTYVIHPIVLATLLYAIGGFPYVVWGMVGGIANIRRRLA >itb09g22680.t1 pep chromosome:ASM357664v1:9:21259742:21261438:1 gene:itb09g22680 transcript:itb09g22680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIGDPFINGLTRRVRPLLNTFSLTFFDLQPQRFLIEIPVETQPRLLGDRTIPPRLRRLRQCRHSFGLHQVHFHGNNLLVTVTKRAAEVDEWVNEILHNYCHVLHNLVVGLDIEWHPCSEGEHNPAATLQLCVGERCLIFLLLHKDFIPRSLLAFLAHPRFTFVGVGVQDDADKLLRDHGLAVSNVADLRRLAEMVYGSEEYRRMGLKKMAWQILGRVMEKPREVTLSNWDSKNLTFPQIEYGCIDAFVSFELGFNLFAMAYTHHIQ >itb03g16810.t1 pep chromosome:ASM357664v1:3:15623472:15625487:-1 gene:itb03g16810 transcript:itb03g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADSTKKADPKAQAAKAAKAVKGTTFKKKAKKIRTKVTFHRPRTLKKERNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDFDALDVANKIGII >itb14g15610.t3 pep chromosome:ASM357664v1:14:18908226:18914767:1 gene:itb14g15610 transcript:itb14g15610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVKLVIAGLISLAWIEQVNCEGPSNSSETSKWTCSCFTNLSIASAANCSSSCDCNPDGSSQSKWMCICSAEGLPTVAAGHNNTGCFTSCNCSFGTPVVTQYPKKWISSKAVFVILLLCSAVATLAFIALMLCYIYQRDKYSVQRHIFSPDKETSFSSATNLISQGASSETESKVSAATPTNFVTGCIPKASLLFRSKMGAPYGMIIQFSYFDLENATDRFSASNLIGVGGSSQVYRGQLKDGRIIAVKRIKIQGGPDSESSFLTEIELISRLHHCHVVPLLGYCLEYHGKHAQRLLVFEYMPNGSLRDCLDAASGRCLDWATRVTIAFGAARGLEYLHEAAAPRILHRDVKSTNVLLDENWRAKITDLGMAKHLQNDGVPSCSSSPARMQGTFGYFAPEYAIVGRASLKSDVFSFGVVLLELITGRQPIQKSMNRGEESLVIWATPRLQDSKRVISELPDPNMKGAYEEEEMQVMAYLAKECLLLDPDSRPTMSEVVQILSTIAPEKSKRKNFMGHSFKSSFNHGIESYGRTLNPTGEEEIKQITCENQPAHRSSSPPHSDHYAEISQKEANTDSAKYEESEIVLSSNVKKRRSQDDEAVDLTEPRFESFHVPTVIYTEVAQ >itb14g15610.t2 pep chromosome:ASM357664v1:14:18906536:18914746:1 gene:itb14g15610 transcript:itb14g15610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVKLVIAGLISLAWIEQVNCEGPSNSSETSKWTCSCFTNLSIASAANCSSSCDCNPDGSSQSKWMCICSAEGLPTVAAGHNNTGCFTSCNCSFGTPVVTQYPKKWISSKAVFVILLLCSAVATLAFIALMLCYIYQRDKYSVQRHIFSPDKETSFSSATNLISQGASSETESKVSAATPTNFVTGCIPKASLLFRSKMGAPYGMIIQFSYFDLENATDRFSASNLIGVGGSSQVYRGQLKDGRIIAVKRIKIQGGPDSESSFLTEATPRLQDSKRVISELPDPNMKGAYEEEEMQVMAYLAKECLLLDPDSRPTMSEVVQILSTIAPEKSKRKNFMGHSFKSSFNHGIESYGRTLNPTGEEEIKQITCENQPAHRSSSPPHSDHYAEISQKEANTDSAKYEESEIVLSSNVKKRRSQDDEAVDLTEPRFESFHVPTVIYTEVAQ >itb14g15610.t1 pep chromosome:ASM357664v1:14:18906505:18914865:1 gene:itb14g15610 transcript:itb14g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTVKLVIAGLISLAWIEQVNCEGPSNSSETSKWTCSCFTNLSIASAANCSSSCDCNPDGSSQSKWMCICSAEGLPTVAAGHNNTGCFTSCNCSFGTPVVTQYPKKWISSKAVFVILLLCSAVATLAFIALMLCYIYQRDKYSVQRHIFSPDKETSFSSATNLISQGASSETESKVSAATPTNFVTGCIPKASLLFRSKMGAPYGMIIQFSYFDLENATDRFSASNLIGVGGSSQVYRGQLKDGRIIAVKRIKIQGGPDSESSFLTEIELISRLHHCHVVPLLGYCLEYHGKHAQRLLVFEYMPNGSLRDCLDAASGRCLDWATRVTIAFGAARGLEYLHEAAAPRILHRDVKSTNVLLDENWRAKITDLGMAKHLQNDGVPSCSSSPARMQGTFGYFAPEYAIVGRASLKSDVFSFGVVLLELITGRQPIQKSMNRGEESLVIWATPRLQDSKRVISELPDPNMKGAYEEEEMQVMAYLAKECLLLDPDSRPTMSEVVQILSTIAPEKSKRKNFMGHSFKSSFNHGIESYGRTLNPTGEEEIKQITCENQPAHRSSSPPHSDHYAEISQKEANTDSAKYEESEIVLSSNVKKRRSQDDEAVDLTEPRFESFHVPTVIYTEVAQ >itb04g03870.t1 pep chromosome:ASM357664v1:4:2431133:2434164:1 gene:itb04g03870 transcript:itb04g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLEKFKGKEWKQKQIRKITDKVFDRFKNETGRVNLTFEDLYIAVLLIFNDINKRLPGPHFDPPSKEQVREMMQRHDLNLDGELNREEFVAFISQLTKDTFITVSQGLIITLAVAPTLALLTKRTTEGVPVVGKVVEKLPSSIYASLVTLTIVLVQQAAEAKQ >itb06g17830.t1 pep chromosome:ASM357664v1:6:21568173:21572015:-1 gene:itb06g17830 transcript:itb06g17830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQKEALLCQLTELIAAISSLPECQTVSKKMYSNLVRRVKLLSPLFEDLKDSDEGDKLGDDVVKGLELLRLALGSALELLKSVHEGSKIFQAMQIDKISGKFHHITEEIEDALGHIPYDKLDISEEVREQIELVHAQFKRAVGKMDSPDLQLAIDLAMAQREKDPDPIIFKRLSEKLHLRTINDLKKESISIHDMVISSDGIPEECFESVSFILRKIKDCVMTGNPESDAPENEKALIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKNQGSCRNKRSGAGGSDCDRAAIDALLQKLANGNPEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSSDSRTQEHAVTALLNLSINEANKGTIVNAGAIPDIVDVLRNGSPEARENAAATLFSLSVVDENKVAIGAAGAIPALIDLLCHGTPRGKKDAATAIFNLSIYQGNKVRAVRAGIVPPLMRLLKDPGGGMMDESLAILAILASHQEGKAAISQAEPLPALVEVIRTGSPRNRENAAAVLWSLCAGDIQNLKAARDLGAEEVLKELSENGTDRAKRKAGSVLELLQRVDAVNS >itb06g17830.t2 pep chromosome:ASM357664v1:6:21568173:21572015:-1 gene:itb06g17830 transcript:itb06g17830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDLQLAIDLAMAQREKDPDPIIFKRLSEKLHLRTINDLKKESISIHDMVISSDGIPEECFESVSFILRKIKDCVMTGNPESDAPENEKALIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKNQGSCRNKRSGAGGSDCDRAAIDALLQKLANGNPEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSSDSRTQEHAVTALLNLSINEANKGTIVNAGAIPDIVDVLRNGSPEARENAAATLFSLSVVDENKVAIGAAGAIPALIDLLCHGTPRGKKDAATAIFNLSIYQGNKVRAVRAGIVPPLMRLLKDPGGGMMDESLAILAILASHQEGKAAISQAEPLPALVEVIRTGSPRNRENAAAVLWSLCAGDIQNLKAARDLGAEEVLKELSENGTDRAKRKAGSVLELLQRVDAVNS >itb14g05390.t1 pep chromosome:ASM357664v1:14:4713426:4714948:-1 gene:itb14g05390 transcript:itb14g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQDHPKQCFILYITLVLISSTVSCLHEVAPSHNKYITDSYFKEHNSLTDSKFEDYIAQGIAYGSCELLEELKVGTNIISVHQKLIGEGSHRHLSLSIRLESLLESKSGSQEFCEAIIIERLPSGVFADLFELQHLVQRGVFTEAGVFGDTNLELPSFRSNRSLIEVHLDIGSKLSPRHKDEVEFKIELPLHARYQPLGHGFSRVEFRPPNVFMQCSIERTMEKCLFPLSYRNGESKADEVVWEIPCGDKEHAGIVSAITFMSAILSALLIILVSIYYSGSNDCPDLKQL >itb04g27710.t1 pep chromosome:ASM357664v1:4:31667273:31668654:1 gene:itb04g27710 transcript:itb04g27710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIFFFIFFVQFSIAITNSCFGQSLQNFPAIIIFGDSSVDTGNNNYILTTFKCDHPPYGQRFPGHIPTGRFSDGKLVPDFMASVLGLKDSVPPFLQPYLSEYELLTGVNFASGGSGFDELTTVASRVIPMSMQLDLFWKYIERLNIIAGEEEAQRIINQALVIISAGTNDFIFNYYDIPTRRQQFSISDYQDFLLYKLQNFIEGLYNLGCRTMLVSGLPPIGCLPIQMTAHSPLVRECLEEENTDAEVYNAKLVTLLPEIQGALPGSTMLYSDAYTPMMNFINDPQRYGFVETGEGCCGTGLLEAGPLCIARRPTCTDSSQYLFWDSIHPSESAYRYITEFLLNNLQLHSLSHVNNSH >itb06g05680.t1 pep chromosome:ASM357664v1:6:8346489:8349665:-1 gene:itb06g05680 transcript:itb06g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGYNEIPENAPEHCPGPQSEAAGKSDACEGCPNQQICATAPKGPDPDLVAIVERMATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQSTGIDGAVIVTTPQQVSLIDVRKEISFCKKVGLQVLGVVENMSGLCQPLSQFKFMKPTENGEQVEITDWAIAYMREKAPELLNLFAYSEVFDTSGGGAAKMCNETSVPFLGAVPIDPQLCKAAEEGRSCFTDNTCRASAPALKMIVEKLAQRMISRTEDGA >itb01g00530.t1 pep chromosome:ASM357664v1:1:243996:244472:-1 gene:itb01g00530 transcript:itb01g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRPLIQIPNSTTNAAGRQLKNRTYLGSSKPCQGKVLNYIVGSIQHFNGPGAFLKNKHNTVYNFVNILKSDNVVKKGILISVLTLLLVRNGEYLLMAKPYPLFSSLISSVSLFAATFNSHLSLACLSSPFSNTAFSTISHLLSTAPSLLDLHFQNTN >itb07g23940.t1 pep chromosome:ASM357664v1:7:28253532:28256954:-1 gene:itb07g23940 transcript:itb07g23940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSQQKSTTTIHATTTVGATATTTPTVLKAKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEEAAAHAYDLAALKYWGHETILNFPLATYEKELKLMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAVAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNNNNNTNNEVNPSIGSNLVPTQNHNLCSNSNSNSSSSLSGSSGLYNHQQQPLLGRDEQKNHTVITTDVSLAQSQPTSTTSALGLLFQSSKFKEMMEMTLAAECSAPAVVEANIPPRRSFPEDIKTCFEDQDFASYGDDMTTFDNFNSLMQPILQLDFDF >itb10g02200.t1 pep chromosome:ASM357664v1:10:1830750:1831528:1 gene:itb10g02200 transcript:itb10g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERRYSRKVILRNRMQKVWNIEITETEDDCFFKYGWAKFVEDNSFFNGDQLIFIYDHPAMFDFIVLDSSGCEKIIVDGKEARVKGLKNNNDGDVNCQDNTFWVEFEFNMVTQVKKDEDEMDAEEEKNDVIGFQHHMSPSKGKRCITTEGGFKRAATMKKRNASPKRGKKNATAKKKRDVSEEESDVSAEKMDASEDKKDGSVEKKKDVRDHFGVELFSSGRFTQPKIFIS >itb14g15110.t1 pep chromosome:ASM357664v1:14:18290236:18290622:1 gene:itb14g15110 transcript:itb14g15110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKRYVLRLFISLKYITANVVDRNNGRIVATASSVEQSLKNSFECGRTCNAKAAAAVGEVLAMRLKVDGLDQGGGSGIHVNVNKEVEKKGFKNRTKVWAIVNGLKNNGVKLVLDDDAFHEASGPSN >itb10g13790.t2 pep chromosome:ASM357664v1:10:19993979:20000380:1 gene:itb10g13790 transcript:itb10g13790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPLNMAWKYLCASILLWVIACSVLPASSEKLLRVGLKKNPLDFNSIKAAKAARVQGKCGKGANNKLGDSDTGIVSLKNYLDAQYYGEISIGSPPQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSKYKSSKSSTYTKIGTSCSITYGSGSISGFLSQDNVGVGDLVVKDQVFIETTKEPSLTFVLAKFDGLLGLGFQEISVEDVVPVWYNMVEQGLVDEPVFSFWLNRDTNAEEGGELIFGGVDPNHFKGKHTYVPVTQKGYWQFEMGDFLIGNSSTGFCEGGCAAIVDSGTSLLTGPTTIVTEINHAIGAEGVVSTECKEIVSQYGNMIWDLLVSGVKPDEVCSQVGLCFFNGAAGSNIEMVVEKDNEGKSSSDPMCTACEMAVVWMQNQLKQKVVKEKVFDYVNQLCEKIPSPMGESTIDCNSISNMPNVTFKIADKDFVLTPEQYILKTGEGVATICVSGFLAMDVPAPRGPLWILGDVFMGVYHTVFDYGNLQIGFAEAA >itb10g13790.t1 pep chromosome:ASM357664v1:10:19993759:20000380:1 gene:itb10g13790 transcript:itb10g13790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKYLCASILLWVIACSVLPASSEKLLRVGLKKNPLDFNSIKAAKAARVQGKCGKGANNKLGDSDTGIVSLKNYLDAQYYGEISIGSPPQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSKYKSSKSSTYTKIGTSCSITYGSGSISGFLSQDNVGVGDLVVKDQVFIETTKEPSLTFVLAKFDGLLGLGFQEISVEDVVPVWYNMVEQGLVDEPVFSFWLNRDTNAEEGGELIFGGVDPNHFKGKHTYVPVTQKGYWQFEMGDFLIGNSSTGFCEGGCAAIVDSGTSLLTGPTTIVTEINHAIGAEGVVSTECKEIVSQYGNMIWDLLVSGVKPDEVCSQVGLCFFNGAAGSNIEMVVEKDNEGKSSSDPMCTACEMAVVWMQNQLKQKVVKEKVFDYVNQLCEKIPSPMGESTIDCNSISNMPNVTFKIADKDFVLTPEQYILKTGEGVATICVSGFLAMDVPAPRGPLWILGDVFMGVYHTVFDYGNLQIGFAEAA >itb07g02520.t1 pep chromosome:ASM357664v1:7:1634461:1638324:-1 gene:itb07g02520 transcript:itb07g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTRKLEVASPVPADIDIANSVEPLHISEIAQELGLSAKHYDLYGKYKSKVLLSALDELAGAQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRVFHESSQSDKALFNRLCPPNKEGKRKFSDVMFRRLRKLGIDKTSPEDLTPEEVSKFARLDIDPASITWRRVMDVNDRFLRKITIGQGPEEKGMVRETGFDISVASEIMAVLALTTSLTDMRERLGKMVVGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGSDIGTEKFMNIKCRYSGLKPQCAIIVATVRALKMHGGGPEVVAGKPLDSAYVNENVSLVEAGCVNLARHISNTKAYGVNVVVAVNMFSTDTEAELNAVRNASLAAGAFDAIICTHHAHGGKGAVDLGIAVQKACENVSQPLKFLYPLDIGIKDKIEAIAKSYGASGVEYSEQAEKQIEMYSKQGFSNLPICMAKTQYSFSHDAKAKGAPGGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDLDTKTGRVIGLS >itb06g06040.t1 pep chromosome:ASM357664v1:6:8729141:8730571:-1 gene:itb06g06040 transcript:itb06g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSAQWQMRIAKSSTEASPMASKVWGSVVMKPNKRLAVKSSAKFRVFALQPENSTVNRMEQLLNLDVTPYTDKIIAEYIWYVLRSLHSFYFTRGNWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHLYHISAYGEGNERRLTGKHETASINTFSWGVANRYREEWQRLFGGSTPCFKHGSLCGEW >itb06g25680.t1 pep chromosome:ASM357664v1:6:26622811:26623712:-1 gene:itb06g25680 transcript:itb06g25680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATETPPSSSGKLDRKTVERNRRIHMKNLCGKLVSLIPPQHLQPASSRELVSQQDQLDQAVTYIKQLKERVEKLKNVKKRKLVPARKEEEEEERCSGSAPASLKAPPILQVRDLGSSLEVNFISCCTKNFILHQVIKIVEEEGGQVVSAIFSTIGDKVFYTLHAQVKVTRLGMNTLEVYERLKKLIISQLPARQE >itb10g19140.t1 pep chromosome:ASM357664v1:10:24888145:24889841:-1 gene:itb10g19140 transcript:itb10g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFSNQPPFINDQDDSENSPENSADSPRSGMFHDTKMASINSPKRSRRAIQKRVVSVPINDVEGSKLKGESSFPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPAKKQVERSRVDPNMLVVTYSCEHNHPWPAARNNHAHRNAVTLTAAAAAAPTTRSSSKAAADAEDAREAEAEAEASEFSAQPETETSEKFGGLGDSPSVIYSDEFGWFSSFEPTTSSSTVIESTSILTEARVTHADMSVIFSMREEEESPFAGLGELPECSREFGIGMMERDEARRRHNLTPWCGTA >itb03g02320.t1 pep chromosome:ASM357664v1:3:1274729:1294017:1 gene:itb03g02320 transcript:itb03g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MAEIAKLVDALSAGDDLSLRIRADSSLRTGFQQLHAILNDALETIGDGDSKLGLEAWDQSQIQAVASFALAVVHSTRSSSAEQVEPIILAVIQLAVEFALCYLEKSIPCNDDMLLQGHMLQLLEAALIDGAEKELDPSQPCFLNSLVDQLPSVARTDESTKWQEKLRCLLQGGRCLKEEKAVDHLLITLASDWMQPDNLNARVAGQSVRYDFNKLTTLSQHWAVAHLGCIQRVVSICKELLEVPDLFDDKLNCTILRKKLPFCVKALKLLGALSKDNPCADFDGKLLKSFASFTEMLTALFRIGFEFASSSPAAVDGSFESLVMHLLEEFIQLAQAIFCNTTVFQNIQACIAASILENLGPEIWRYNNTAANPKPPLAYFPRVVIYLLRLILDVRDRTYQLFKGLDMEYRDSQMESPSCKVNSGKVFLLKKYTLEELFKIIFPPQVQWVDNLMHLVSFLHSEGVKLRPKLERSSSTITKVSCTSESENTVCHEEEALFGDLFSEGGRSVGSADGYDQPTVASSSASNLSNMPAQAESELLTFLKNNAFAPEWCHSLYEDGCQKFNSNHISILLSIVHCQACDSDEKTSDASKLGHGQIHELCIELLHNLLSSRALSDSLEESLVEKILMVQNGAFMYNNQTLALLAHTIIRRVDLAGSHLRLQVYEGFVNYIRDKEKVIWSDHPMLKELLESLPSLFHVEILLMAFHLSSEDEKSAQAKLVFDTLRAIGAPPTCIDSTQLTCWALLVSRLIVVLRHMLFYPRVCPSFLISDFRTKLREASTAGLQACGGSSNVSSWPLILLDGVMSASIKEAPINNALRNQLIDFAPLPAAVCRGDPAVECFGLNWDEICFSFSLILTFWKGRKPEKVEDLVLERYIFVLCWDIPIMKSTQEHLLLFLRGAMDPDISNTEHFVYFSQAILGHTGEINDRATFSNRFLCLLHQLHDSLVPEEGGELGWDFLRCGSWLSLLLSMLTTGIWAYCKKKSITFVVPMWSEHAPRDAEFLALGDSLMSIFLSPNQAAQLVNIISSLLKRYLQFYQKAFLLTFDNGQLFTGGLSPLLLFTHTGFDKCMQDEIFEKMGFKQGQLEPLHELLAKLGVSVDKMSLGIRYKVFWQSMLHGLPCHIQAPSGILLSSILSIVGIVTTLDGLLKIVDAGENICLETQVIRQIVELVLRIKCDRVFDGIHGKCETIYQNLTKDSEGSDYSCLFVIKYIEELLRNVNEREVCDSSIHECLVSKVVDIVNNLKKDPSGSGVFRFFLSVEGVSKDLYGSQRSDLLFLVESLENCTSEAVNIKVFNFFVELLSGDLCMDIKHKLQKKFLGMSLLQLSKWLEKRLLGSMIEGSSGVMCAKGTSVSLRESTMNFITCILSPSSEFHSEELHSHLFEAMLISLDSAFMLFDSATAKCYFNLVVQLSRGENSIKALLQRIIMLIEKLAGDDNLLQGQKYIVDFLATLLSDSSSNKDAVDKLSVKSAPGQSLGVGSSASRILGSRKNADAMVLSASQGGSASLECDATSVDEDEDDGTSDGEMGSIDKDEEEDNNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSNTAPNRGSGNFQSFLPFTGSGDQMPDSDSDDEGIFVDSENSVRIHIPKEVQERMPILLSELDIESHVMGLCSSLLPSIISRRDSNLSRERKISLGEDKVLCYSGDILQLKKAYKSGSLDLKIKTDYSNTKELKSHLASGTLVKSLLSVSTRGRLAVGEGDKVAIFDVGQLIGQPTVAPVMADKANVKPLSKNVVRFEIVHLIFNPLAESYLAVAGYEDCQVLTVNHRGEVNDRLAIELALQGAYIKRVDWVPGSQVQLMVVTNRFVKIYDLSQDNISPMHYSTLPDDMIVDATLVMASQGRMYLIVLSEHGCLYRLELSMKGNVGAKPLKEVMQIDGRDMQPKGSSLYFSSIHRLLFLSFQDGTTLVGRVNPDATSLTEISAVLDNEADSKLRPAGLHRWKELFGDGALFVCFSSLKSNAVLAVSIGEHQVTAQNMRHSVGSTSPVVGIAAYKPLSKDKIHCLVLHDDGSLQIYSHVPAGTDTGISAITDKVKKLGPGILNSKAYGGAKPEFPLDFFEKTICITQDVKLSSEAIKNGDSEGVKQTLASEDGFLESPSPGGFKITVSNSNSDIVMVGLRLHVGNTSANHIPSEVTVFQRVIKLDEGMRSWYDIPFTVAESLLADEEFIIGVGPTFSGSALPRIDSLEVYGQAKDEFGWKEKMNDVLDMEARMLGSSSWAAGSGRKSRATQSAPLQEQVMADGLKVLSSIYALCQPQGCSKVEDVKLELGKLKCKQLLEAVFESDREPLLQSAACRVLRAVFPKREIYHQVKDTMRLTGVVKSAAMLSSKLGIGGTTAGWIIEEFTSQMCAVSKIALRRRSNLASFIEMNGSEVVDGLMQVLWGILYIEQPDTQTMNNIVVSSVELIYCYAECLALHGKDGGRSSVAPAVSLFKKLLFSTNEAVQTSSSLAISSRLLQVPFPKQTMLGTDDADNPSSVPSRADPSTATSGNTQVMVEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCETCYEVLDAARLPPPHSRDHPMTAIPIEVETFGDGNEIHFTTDDLSDSGLLPVASDVCAQSSAPSIHELEPNESGEFSASLLDPVTISASKRAVNSLLLSELLEQLKGWMETTSGVQAIPVMQLFYRLASAVGGPFADSSEPESIDLEKLIKWFLEEINISKPLAAKNRIPFGEVTILVFMFFTLMLRNWHQPGSDGSATKSGSTTESQDKSVSQVLASTSIPASSTLDGQEKNDFISHLLQACGTLRHQPFVNYLMDILQQLVSVFKSPSVSTDASYGLNAASGCGALLIIRREVPAGNFSPFFSDSYAKSHRADIFADYHRLLLENTFRLLYSMIRPEKHEKGGEKEKFHKVSLSKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSNDLKKLYKHVNKSGGFESTISYERNAKIVKSLSTMAEVALSRPRNWQKYCLRHADVLPFLVNVVFYFGEECVIQTLKLLNLAFYTGKDNNHTSQKTEGGDIGAAASKTGVQPLESKKKKKGEESESSSEKTHFDMEAVVDVLVGKGGDVLRQFIDCFLLEWNSSSVRMEAKCVLFGIWHHGDQSFKEALLTALLQKIKFLPMYGQNITEYTELLTFLLGKVPENSSKQQCAEIVDKCLTNDVIKCIFDTLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQSVIMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVDFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDEDMKRGLAAIEAESENAHRRYQQLLGFKKPLHKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKQSGNATATSRFVVSRSPNSCYGCASTFVTQCVEILQVLSKHPTSKKQLVAAGILSELFENNIHQGPKTARVQARAALCAFSEGDVNAVAELNTLMQKKVMYCLEHHRSIDIALATREELSLLSDVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPDAAEKEHGIGKPAQGTQVKEENSGNVSGSSSLVNGSKLVTESSEKSWSDSQKMQDIQLLSYSEWEKGASYLDFVRRQYKVSQAAKCSLRSRSQSQRQDYLALKYALRWKRCACKSARSDMSSFELGSWVSELILSACSQSIRSEMCMLISLLCGQSSMRRYRLLNLLMSLLPATLSAGENAAEYFELLFKMIDSEDACLFLTVRGCLKTICKLITQELSNVESLERSLHVDISQGFILHKLIELLGKFLEVPNIRSRFMRDHLLSEVLEALIVIRGLVVQKTKLINDCNKLLKDLLDSLLLESNENKRQFIQACISGLQIHGDESKGRTSMFILEQLCNLISPSKPEPTYHLILNKAHTQEEFIRGSMTKNPYSSTEIGPLMRDVKNKICQQLDLLGLIEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSSSQSSNTVAGTTLISSTAAASARDCPPMTVTYRLQGLDGEATEPMIKELDEDREETQDPEVEFAIAGAVRECGGLEILLGMVQRLQDDFKSNQEQLVAVLNLLMLCCKIRENRKALLRLGALGLLLETARRAFLVDAMESAEGILLIVESLTLEANESDGISITPGVFTVSSEERGAGEQAKKIVLLFLERLSHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEVLIQHFEPFLQNWVEFDRLQRLYEDNQKDDSIAQQASKQKFTLENFVRVSESLKTSSCGERLKDIILEKGITGAAVRHLKESFPFTGQAGFKSTAEWVVGLKLPSVPLILSMLRGLSMGHLATQRCIDEGGILHLLHALEGVAGENEIGARAENLLDTLSDKVGKGDGFLAEKVCQLRHATRDEMRRRALKKREQLLKGLGMRQELASDGGERIVVSQPVLEGLEDVEEEEDGLACMVCREGFRLRPTDLLGVYTYSKRVNLGVGTSSNARGDCVYTTVSHFNIIHFQCHQEAKRADAALKNPKKEWDGAALRNNETLCNNLFPLRGASVPIGQYIRYVDQYWEYLNILGRADGSRLRLLTYDIVLMLARFATGASFSTDCRGGGKESNARFLPFMIQMARHLLDHDSSQRHTMAKSISTYLASPTSESKNPTTSGTPPSAAGTEETVQFMMVSSLLSESYESWLQHRFAFLQRGIYHAYMQRTHGRSMQRFSPNLSGSTSGSSSETSGSNDLLSIIQPMLVYTGLIEQLQRFFKVKKSSSTATTQTQGTSKDMEGEDESKKLEAWEMMMKERLVNVKEMVGFSKELLSWLDDMISAADLQEAFDILGVLSDVLSGGVTSCEDFVRAAIDSGKN >itb02g12220.t1 pep chromosome:ASM357664v1:2:8325177:8329896:-1 gene:itb02g12220 transcript:itb02g12220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYKGNLHKVATDVPRRWLIPTPKISLKDFRLLLRRRAKALSRLQSTSEVATTAVPATSNPNPIAADNPFSKVEPQIVPLPVEPPKDEKVKEEEVEVEGDKATVAKAADGVEVPEKLVEGSDVVLETKLDVLKVEKSETPVNPSGETSNKEDAPSEKEKRKKEVEEKLEVLNAKKHNLVQLLKQILSAEEELKGRNSMQGVIGRPPIPLQVDITNDTGSMTRLNTPRIGSDGLSTEVEGGEGDDVSNLNVHSRNLLRMNSTSPSPDSQHRKPVHNTVPFSSRTTMGVTGSPSRFACTVQQGLPSNPPAVSTSGTNYVASTPSPAASGGTPAFRDAQLPSPWN >itb07g20000.t1 pep chromosome:ASM357664v1:7:24451760:24452374:-1 gene:itb07g20000 transcript:itb07g20000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSVVCNGNSLHLDSSLSKATSPSLLWLQHIDEEPNSNVGGWSFLEALANPSHTQNENVYVHPLAKRSASTMNTNSLNMCTESLGSETGESCCMDECSASPFLLEKHKYQQGFSKSGLKKMKGKGSFPPPLTSISRLEGVQVQVRPFREEGRLVIKAVNITTPASYFHTHRSNGRLTLSLRKPEHLETQEEEEEAEKVVTQI >itb12g06400.t1 pep chromosome:ASM357664v1:12:4782814:4784507:1 gene:itb12g06400 transcript:itb12g06400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPHIIAIPYPAQGHVIPLMELCQYLVKHGCKVTFVNSEFNHNRIIESMSEADNVINLVSVPDGLAVEEDRNDLKKLTEALFEVVPGKLEALIHNINESDENRVSCVIADENLGWALDLANKLGLQTVAFWPAAAASITMMFNVPKLVDDGIVGNNGEILRKQSIKLLPLMPAMNTTDLAWNCFTDPGLQRLIFDLAFKNNESVKSAEWLLCNSSQAMEYEVFAAYPKLIPIGPLLASNRLGKTSGHFWREDTDCLKWLDQQPLNSVIYVAFGSHTIFDMAEFQELALGLELTNRPFLWVVRQGFIEESENAYPEGFIDRTRNRGRLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVSNGLPFLCWPYFADQLFNKSYICDVWKTGLGFDRNENGVIGRQEIKNKVEQLFGDETFKSRAVDLQGEVLSCVKSGGSSNRNFSSFVNWIKATD >itb05g10040.t1 pep chromosome:ASM357664v1:5:14943282:14944411:-1 gene:itb05g10040 transcript:itb05g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPKWAITFRPIIIFNALVACLSMHLQSYGSIGSATSRLHVFEFDSTPNATLTARLSSRRQQRAANPSIHCPLSFGDERDGEVSKAVSTNQ >itb15g08650.t1 pep chromosome:ASM357664v1:15:6081779:6085855:1 gene:itb15g08650 transcript:itb15g08650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTRVPIAAPTTSRKCGWRVDSLNTGLPKVSSPLHFNSAADRRRSRRFRRFSVVAMADGKSTVLVTGAAGRTGQIVYKRLKERTDQYVARGLVRSEESKKKIGGEDDVYVGDIRDAESIVPAVQGIDALIILTSAVPKMKPGFDPTSGQRPEFYFEDGEFPEQIDWIGQKNQIDAAKAAGVKHIVLVGSMGGTDLKNTLNSIGNGNILVWKRKAEQYLAESGIPYTIIRAGGLQDKDGGVRELLIGKDDELLKTDTRTIARPDVAEVCIQALQFEESKFKAFDLSSKPEGTGTPTKDFKALFAQITTRF >itb15g08650.t2 pep chromosome:ASM357664v1:15:6081779:6085855:1 gene:itb15g08650 transcript:itb15g08650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTRVPIAAPTTSRKCGWRVDSLNTGLPKVSSPLHFNSAADRRRSRRFRRFSVVAMADGKSTVLVTGAAGRTGQIVYKRLKERTDQYVARGLVRSEESKKKIGGEDDVYVGDIRDAESIVPAVQGIDALIILTSAVPKMKPGFDPTSGQRPEFYFEDGEFPEQIDWIGQKNQIDAAKAAGVKHIVLVGSMGGTDLKNTLNSIGNGNILVWKRKAEQYLAESGIPYTIIRRVIVTIYF >itb10g09700.t1 pep chromosome:ASM357664v1:10:13110728:13114075:-1 gene:itb10g09700 transcript:itb10g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKQLVSVGLLLPIPQSPVARKRNSRLNFVRLTLQSTAIRAALSIDEIPPNALRQARESGLSSCGFSLGVDLGLSRTGLALSKGFSFRPLTVLELRGQKLEVRLIDIAQKQEVDEFIIGLPLSSDGKETPQSNKVRSVAGRLAVRAAEKGWRVYLQEEYGTSTQAMSRMIDMGLSKSARQGKLDAYAAVMVLERYFSASGEGIELVLPKQLELQNKLRKASSMEDEFDS >itb09g29390.t1 pep chromosome:ASM357664v1:9:30128846:30129493:-1 gene:itb09g29390 transcript:itb09g29390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGQLSKLIRDEYSLLGGLREDAEDIRNALDPLTAALRVADEMEEIDPQVQAWVRIVRKLAYDTEDVLDEFLFRFGGGRTGDGFYTKIKNIYTSVKNLRARRRLALALRRIKAKVNENSQYQPSLPTTFLGTLHHAHNKRMYDSRGDARLVEESDLVGIHYPKQFLVNLLLANDEELKVHSVVGTGGLGKTTLVKQAYDDAKSLPVSCVGYDF >itb06g24620.t2 pep chromosome:ASM357664v1:6:26104308:26107357:1 gene:itb06g24620 transcript:itb06g24620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPIDTIKTRIQSQAILSGSQNQKSALQMIQTVWAKDSLAGFYRGVAPGITGSLATGATYFGVIESTKKWIEHSHPSLSGHWAHFIAGAIGDTLGSFVYVPCEVIKQRMQVQGTRKYWTSVVSKEGSHMTQGMNTYGYYSGMFQAGCSIWKEQGPKGLYTGYWSTLARDVPFAGLMVTFYEAFKNMTEYGRQKWFPNSNLQLNSSLEGLLLGGLAGGLSAYLTTPLDVIKTRLQVQGKIVRYSGWSDAVHKIWATEGLRGMFRGSIPRITWYIPASALTFMAVEFLREQFNERLDNNKLPEITSVSIDKKDSTFQEVS >itb06g24620.t1 pep chromosome:ASM357664v1:6:26103984:26107357:1 gene:itb06g24620 transcript:itb06g24620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPTSEHSSKALTARPNNSTHNQFFVWREFVWGGIAGAFGEGMMHPIDTIKTRIQSQAILSGSQNQKSALQMIQTVWAKDSLAGFYRGVAPGITGSLATGATYFGVIESTKKWIEHSHPSLSGHWAHFIAGAIGDTLGSFVYVPCEVIKQRMQVQGTRKYWTSVVSKEGSHMTQGMNTYGYYSGMFQAGCSIWKEQGPKGLYTGYWSTLARDVPFAGLMVTFYEAFKNMTEYGRQKWFPNSNLQLNSSLEGLLLGGLAGGLSAYLTTPLDVIKTRLQVQGKIVRYSGWSDAVHKIWATEGLRGMFRGSIPRITWYIPASALTFMAVEFLREQFNERLDNNKLPEITSVSIDKKDSTFQEVS >itb09g10470.t1 pep chromosome:ASM357664v1:9:6490427:6492937:1 gene:itb09g10470 transcript:itb09g10470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDISTNVKLEDVDVESFSREATTAVATIIGKPEQFVMIILKGSANITFGGSKEAAALAEIVSMGGITTQVKRELISTIGTIVENKLSIPRARFVLKVYDTTLAKRLSKM >itb13g06900.t1 pep chromosome:ASM357664v1:13:8322810:8329840:-1 gene:itb13g06900 transcript:itb13g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLHSPLSYAFSSSLSSSVSQERKLKFAAQSTKPSLSFNSKPCFPVIRASSGFSSSLDTGLTTELDAVTTYSEIVPDTVVFDDFEKFPPTAATVSSSLLLGICSLPDTKFKSAVDTALADQECYGLEDKDARMSCFFNKALVNVGGDLAKLVPGRVSTEVDARLAYDTHGIVRKVHDLLKLYNGIEIPPERLLFKIPATWQGIEASRLLEAEGIQTHLTFVYSFCQAAAAAQAGASVIQIFVGRLRDWARNHSGDSEVEGALRRGEDPGSALVTKAYNYIHKYGHKSKLMAAAIRNKQDVYSILGVDYIVTPLKILQSLKESVTPPDEKYSFVRRLSPQSAAAYNFTKEEVVTWDQYSFASAMGPAAVELLTAGMEGYSNQAKRVEELFGKIWPPPNV >itb05g15360.t2 pep chromosome:ASM357664v1:5:22747324:22754476:1 gene:itb05g15360 transcript:itb05g15360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQVNTFEHTHTQRCLFARHCIAGDQMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDDQAIRLKEFFADPIQFPPDQIARFNSLRVTDPQTLLEDRESLEEKLALAEYDLRLAQEDIVKLKDDLQRRAHSVLDQKNESKVHVSEKLENDFQQQKREASFSDLGPLKDNERKYLNCAVKEYLLIAGYRLTAMTFYEEVTDQSLDVWPNSSACAPDALRHYYYQYLSSSTEAAEEKITMIRENESLIKENERLKHEKQALFKSKEMVEARVMSLQKDIKDKENLVQNLKQSLDGKRKELNDCRAEITSLKMQIEEAHSQQNLLASTSEIYESPSVDGYNEEMKLLVNEIQSLKASHEERNAEEKDEVGGLHDYNIPECRNGLSLSDLRTEDSQLLIDQTSEVVKKPEELSVPLVDDSLPEKPENFTAFNGEVPTETNSPVVKPDSLVAEPIPEKMGLGTIQILSDSLPKIVPYVLINHREELLPLIMCAIERHPESSTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLARNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNTDKYFKVEEMMFQLVCDPSGVVVETTMKKLVPALVNWGKSLDHILQLLLSHVLRSAQRCPPLSGVEGSIESHFRVLGERERWNIDVLLRLLVELLPLVHQKVLDTCPFPSVSDTNIKVFSTSLLELYAEGKTEWPSFEWLHIDCFPVFIELASLLPQKEDNLRSQITRILLEVSNRFGEPYLSHIMLPVFLVAVGDNGDLTYLPNKSQSKIRSLSPKTAIAERLATICVLPLLLAGVLGSPTKREQLTEYVRNLLMQSSEPERQIAKHEIFNSVRFLCTYEQHHYMIFNILWEMVLSSNIRMKTDAANVLKVIVPYVDVKVASSHVLPALVTLGSDQNLHVKFASIDAFGAVAQHFKTDSIVDKIRVQMDAFIEDGSHEATIAVIRSLVIAVPHTSDTLRDYLLSKIFQFSAAPPPSNDVMRRRERANAFCEAIRALDATDLSAASVRDVLMPTIQNVLKDFDSIDPAHKEALEIILKERSGGTLDTISKVMGAHLGIASSVSSFFGEGGLLGKRESGDPLPIVTEPVEPLKSASLPSPTEDTRLRRIMRGGFTDMLRGKQKGNDEIPPTQ >itb05g15360.t1 pep chromosome:ASM357664v1:5:22747324:22754476:1 gene:itb05g15360 transcript:itb05g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRGELIVSLTKKMQQKREASFSDLGPLKDNERKYLNCAVKEYLLIAGYRLTAMTFYEEVTDQSLDVWPNSSACAPDALRHYYYQYLSSSTEAAEEKITMIRENESLIKENERLKHEKQALFKSKEMVEARVMSLQKDIKDKENLVQNLKQSLDGKRKELNDCRAEITSLKMQIEEAHSQQNLLASTSEIYESPSVDGYNEEMKLLVNEIQSLKASHEERNAEEKDEVGGLHDYNIPECRNGLSLSDLRTEDSQLLIDQTSEVVKKPEELSVPLVDDSLPEKPENFTAFNGEVPTETNSPVVKPDSLVAEPIPEKMGLGTIQILSDSLPKIVPYVLINHREELLPLIMCAIERHPESSTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLARNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNTDKYFKVEEMMFQLVCDPSGVVVETTMKKLVPALVNWGKSLDHILQLLLSHVLRSAQRCPPLSGVEGSIESHFRVLGERERWNIDVLLRLLVELLPLVHQKVLDTCPFPSVSDTNIKVFSTSLLELYAEGKTEWPSFEWLHIDCFPVFIELASLLPQKEDNLRSQITRILLEVSNRFGEPYLSHIMLPVFLVAVGDNGDLTYLPNKSQSKIRSLSPKTAIAERLATICVLPLLLAGVLGSPTKREQLTEYVRNLLMQSSEPERQIAKHEIFNSVRFLCTYEQHHYMIFNILWEMVLSSNIRMKTDAANVLKVIVPYVDVKVASSHVLPALVTLGSDQNLHVKFASIDAFGAVAQHFKTDSIVDKIRVQMDAFIEDGSHEATIAVIRSLVIAVPHTSDTLRDYLLSKIFQFSAAPPPSNDVMRRRERANAFCEAIRALDATDLSAASVRDVLMPTIQNVLKDFDSIDPAHKEALEIILKERSGGTLDTISKVMGAHLGIASSVSSFFGEGGLLGKRESGDPLPIVTEPVEPLKSASLPSPTEDTRLRRIMRGGFTDMLRGKQKGNDEIPPTQ >itb03g13480.t1 pep chromosome:ASM357664v1:3:13608260:13610591:-1 gene:itb03g13480 transcript:itb03g13480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLTSSSSSSSFWVSLRNSKKNQFKPHQFRTKKLSCRCGVWSSIIISPPPPDFDFRTQILGDSRATIAETQPELLDLADNGSLVVISKRQYGAVPPWRTEFVEPDAIWLIGTTHTSQDSALDVERVIRAVRPDNVVVELCRSRAGIMYTGDVNQPLKSNMFSMSGNGFFGAVGRSINLGGQTALALRLLLAFFSSKLSSNVNRPFGDEFRAARKVSEEIGAQIVLGDRPIEITLERAWNSLKLKEKFGLVSSVVRGITSSSSDLDIKTLQEWSSKNNNTFQLYEQLSFSYPSLLQPLIHERDTVSILYYMFITNHCRCVAFYGLDHL >itb03g13480.t2 pep chromosome:ASM357664v1:3:13608310:13610591:-1 gene:itb03g13480 transcript:itb03g13480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLTSSSSSSSFWVSLRNSKKNQFKPHQFRTKKLSCRCGVWSSIIISPPPPDFDFRTQILGDSRATIAETQPELLDLADNGSLVVISKRQYGAVPPWRTEFVEPDAIWLIGTTHTSQDSALDVERVIRAVRPDNVVVELCRSRAGIMYTGDVNQPLKSNMFSMSGNGFFGAVGRSINLGGQTALALRLLLAFFSSKLSSNVNRPFGDEFRAARKVSEEIGAQIVLGDRPIEITLERAWNSLKLKEKFGLVSSVVRGITSSSSDLDIKTLQEWSSKNNNTFQLYEQLSFSYPSLLQPLIHERDTYLAWSLKRSKAVNRSKKVVGVIGNGHMNGVIYSLMSDQGNLRFRDLVGVRTAPQNQYAWVNTIFNNLLRDTVIGIILWFFFQHFNLKL >itb01g09260.t1 pep chromosome:ASM357664v1:1:7476055:7477241:1 gene:itb01g09260 transcript:itb01g09260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIHFSTCLKLGFTVALLLFLLPITEGLEAGVETVISREVKVGSRPPRCSVDKCLNCRPCMATLVAPSHQRKYPLATYENYYLLAWKCKCGDKLFPP >itb11g12430.t1 pep chromosome:ASM357664v1:11:9294364:9295029:1 gene:itb11g12430 transcript:itb11g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPDSSPPNSSLPDSSMNDIIVKLAEDRAASLPEDRWLSVSKPPSIWNLCKKSIDIIGTKEPEEYKIIVDICKLALHHYQESHPDKSYEFDSLPEGEEVLVWMIGFMKYTFKFRAKNMKVTGNPLEVFQVTADQLRGGALIIHECTCWNGVVFLVGFSFWTFRVVWRCFSFQIICSNNMYCTYFCSLNDVVWTSYLIQKIQNLQRDASWTSFLHQAN >itb12g24180.t1 pep chromosome:ASM357664v1:12:25793372:25797081:1 gene:itb12g24180 transcript:itb12g24180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRNPSSRRSDPDARATETERQPFLDLSNFNLTPIETLRKLCCSAPSSAGTSSNFPGALPKSPILGSKSDSKSSQPRNDNSTDAKSDTSVGSSSFFQAGNANRFGISTTVRVHPSPTSTAPGNGELAAHGQRATTRKSNRETKATVLPCSSTLLENKKGKGEVVAKPVTCLPPRSNKEKENVTSKPLNCPHPENKKDESEQVDKLARSLLSDGNKGKGKGIVDTVSLLPAKNKKDRGKESFESLSSSPPKYNKDTGNSISSISSSCEDKNKGNDNVEQIHQLSTEKKKNKGKESFESLSSPPLKSRDRGNIIVNIGSSCEKTMGKEISDASLKSPPPQKTRGKRKADTFVFSCPPLPKTRNSRNKCNEVGDVELPGSKTVPNAKQTKKRRRSEDKYRMPKEFVEEQKAYFKEIDDFELLEEEASD >itb04g13610.t1 pep chromosome:ASM357664v1:4:13705783:13706220:-1 gene:itb04g13610 transcript:itb04g13610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEYTYVSTRWNPTPEQLQILRDLYHNHGMRNPSQQGIRGITQRLSQYGKIEEKNVFYWFQNQKGRERQQKKRLAKLATEAAGPQDLQQQATVGGLGGHAAKHPMLETLPLFPIQSDRAGKSKADTSTSQPSLELTLRPYSPAP >itb06g11530.t1 pep chromosome:ASM357664v1:6:16101933:16102908:1 gene:itb06g11530 transcript:itb06g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVQMIYELVGIRSGLRRGAGTVMMITDALMENWRCVLCDCIVVTIWAVSPAGEGEGREEGEGAGRWFAGERGRRSIRLSPSSSHHHRLLLRLMMPSYGGVSVTLLLEVIDLLFHQITNIITSLPVHRWEEGLHRQREREGDKTSPPVDLAHRTSPREPE >itb12g01550.t1 pep chromosome:ASM357664v1:12:1044950:1045847:-1 gene:itb12g01550 transcript:itb12g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MAAFFGDPFRRFLWSPTFYHRGGAPPGSAALLDWIESPTAHVFKINVPGYSREDVKVQVEDGNVLVVKAEGGKDEAHGKEKEVVWHVAERGGATGNFYREIELPEGVKVDQIKAQVDNGVLTVTVPKDTSPKQSKIRNINITSKL >itb04g33880.t1 pep chromosome:ASM357664v1:4:35985574:35988540:-1 gene:itb04g33880 transcript:itb04g33880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPHRIDHFLVIFFTFVVMESCISGGSYSVGVCKEEEKQALLCFKNESKIPTNWVHTTDCCSEWDGVVCDNVTGHVIELRPAPNLTVNSSQEGKGRISSCLLELKQLRHLDLSGLFNYFNSSYIPSFIGSFANLQYLDLSSSGFQGIIPHQLGNLSSLHTLGLKGFQYTRFSVNTLYWISNLSNLEYLDLSFVNLSMSHNWQEVIGALPSLRELYLCGCNPLIRFPDHPFHLNISSSLQILDLSGNYIVNSVIPRWIFNLNNLVSLDLSNSGFLGSFPNDHWNLTSLSSLDISFSEMNGSIPSQLFGLSNLKSLDLCNNQFEGPLPNGRWNMTSLELLDVSQNSLNSHIPDSVYHCTNLKFLSMDYNKLQGIISKSISNLTSLSMLYMSYNMLTGEIPKEIGKLNKLAHILFSHNMFYGHLPESIGHLSSLTELDFFNNMLEGIVTESHFVNLTNLSILDAYGNRLTLNVSPNWIPPFQLDELELTGWNLGPQFPAWLQSQSHIGLLAISNAEIQDEIPTWFWNFSSSLGIIDVSHNQLRGEIRNALFWLPKDSMRLAVYLGSNQFSGPLPRIPVHMSELDLSNNFFSGDVSSFLCHVQNASYELSILLLGGNGLSGYIPDCWMHWPNLVVINVNENQLIGSMPNSTGLLNILESLDAHMNMLSGYFPPSLQKCTHLLKLDLGENGFTGKIPTWVGTSLSNLTILRLRSNHFFGELPPSICHLSFLHLLDLADNNFFGGIPLCFRNLSGMINIDTNKNEMPQSMIFEIFHESALATTKGKEYSLDITILKLFASLDLSSNKFSGKISIELTNLVGLRSLNLSGNNLTGNIPKEMGNMKLLESLDLSKNKFSGEIPLSFSSLSALGVLDLSYNNLSGKIPSGTQLQGFNASCYIGNNLCGLPLPKNCSVDDGQISKYENEGDDDSDEVDWFYVSMAIGFAVGFWVTCGSLFLVRSWRIAYFQFLDNKFNSILVWARVSYNHMFNVSF >itb06g06610.t1 pep chromosome:ASM357664v1:6:9213988:9217646:1 gene:itb06g06610 transcript:itb06g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVSIFSHDGTPIPSTRRRVRKLERSRILPLNFPHSYCTTRFCVSQALNADLVYTKSTRSRTRPQEAADAELDAISVLNHRIRRDLAKRDQLSITRPVMDADEADKYLQLVKEQQQRGLQKLKAGRARTVDNSATATPSTKDQVFSYKVDPYTLRSGDYVVHKKVGVGRFVGIKFDVSKDSSIPIEYVFIEYSDGMAKLPVKQASRMLYRYNLPNESKKPQALSKLSDPSAWERRRLKGKIAVQKMVVDLMELYLHRLKQKRPLYPKTAALAEFASQFPYEPTPDQKQAFLDVERDLTERENPMDRLICGDVGFGKTEVALRAIFCVVSAAKQVMVLAPTIVLAKQHFDVISDRFSRYPHITVGLLSRFQTKSEKEEYLHMIKHGHLDIIVGTHALLGSRVEYNKLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAYMKEKVISAIKFELDRGGQVFYVLPWIKGLEDVMEFLEEVFSHVEIAIAHGKVNLLLVSPVSSCRKPEN >itb04g00090.t1 pep chromosome:ASM357664v1:4:76489:79096:1 gene:itb04g00090 transcript:itb04g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESDTEKRMVVGLIEKATNSTTPQVDTRLLKGIKSLVRYSDSHLRLAAQTLMSLMKRDHSQVRYLTLLIIDELFMRSKLFRAILVENLDHLLTLSVGFRRNLPLPAPASVASVLRSKAIEFLEKWNTSFGIHYRQLRLGYDYLKNTLRFQFPNLQANALRIQHERRERELRTKDILLKKLQTLKDNLASIKDEIQSTTDEICECLNILNTKDEEDIPLVPLDDEDIEEFRNSELRQLRHDSLKEGEKVRENTDNKVVFDALRELYRVLMTKHIVAVQEWISVLIRVETEDTRFRDSTLKDFIDIRNHLKSVKAKCEASGCTLSKTTSFEEEVIWEDGNVGSFESRKPVGTQNNDDGRALASNPSEVRCEASATEGSDRCPKGNRTFKVKKDTGGESNPLRSELLDNAPVLDWSSFLDNWGSKRDALANQRGLDLDGHWGRVDHDAVIPAEKIAELNLHMTVYREDPVEIQPCRAPLRKGGLCQRRDLRACPFHGLIIPRDDEGKPILQGPSTEETLSETEDKGNAISHCPSMGDMTHADDNLVEQLARQAVKNVRERDKGEAKQREHERQNLKRAKLAKVREHNEAVLRDAAIASSSYSYAGEDQHTTNGTKYSARNKKETLASMLKKKETVKDRLAQKLLNSRARDATQR >itb04g00830.t1 pep chromosome:ASM357664v1:4:450523:456923:-1 gene:itb04g00830 transcript:itb04g00830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNEDPSLPEESSSLHSDKHSKISYTREFLLSLSELEICKKLPSGIEPALLSELDSTPYDIPDRQRSSVAAPPLQGFRRNDYGSSPPTRGIYGRWENRFSVRSDQESDTQSARDSESLRRHGNQPRRSWQTPEHDGLLGSGSFPRPSVYASGIPASKARGNDHYQLSKSNEPYHPPRPYKAGPQSRRDASDSYNNETFGSVECTSEDRVEEERRRRASFELMRKEQHKASLQKKQYLNLDKPESESVSDSDMSALADDVKEKSRLLDRRKELDVSTTLPDTDNDSGKSSFPSQTSASRPLVPPGFRSTLLEKDSGLKAVIQLPLKQAGKPESEENLLHSGMDNPVQNGFFDGLERQSSQELCLSDQQLDDRSIHLPFLKKGNQIDASNKKPCLDDNLNPPSSILETQKLMEGPEVIELSVGLSQEKIEVEPDLNQSTSILDQIFGSAISMTVGESEHQDTKRDDKWSPRTNQSSKFAHWFCEEEETKAKDNSLSRPNDLLALIAGGDNSRSQAHDVKSTENILSNALAATVEVSEPLYASSKDTASPTILTCEDLEQTILSEYSENGPNLQSLLPGWSTNSKPEEQRERVDDRASQHLLSLLQKGPDLGNKIHMSNMDTDSVDSHEKFTEDNKPMEDESALGKAITLETLFGTAFMNELQSMQAPVSIQRGGSVASVQSDGLESQRSLPVPNDVFFPSTIDGVGGSKMMSNDNVMVDREQTKLDKAERWLGFDDPLTKLHPKHLTDRSYKNGDFDGVIGFQLPEDDNLFSGGNPVNPQVSLNMADGNLNKGVVEQLASVHAAPSNQRTMVGPGGLSFLHGPRDQVEPEMFHNLPAQPSSSLFHPMQMNQGRQLVHPFETLPAHSTSQMKFVGPEIMTHIDTPSHQFSGNMMHPPFHRPNPAVTGFDLPTQHPMMQQQMQMPGNYPHMQHDRLRNAPFPQHPGNQPAAAFLQEPNPMQVLPFGPRPPRQPNINGFGIPMPVDINRGSNQPEAIQKLLEMEVRAKQMHPFAAGNSQGMYGHELDMGLRYR >itb12g02850.t1 pep chromosome:ASM357664v1:12:1878190:1880944:-1 gene:itb12g02850 transcript:itb12g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLTGLAGEKTLQSSFIRDEDERPKVAYNRFSDEIPVISLKGIDDGRRKGICDEIVKACEEWGIFQVVDHGVDADLIAQMTSLATAFFALPPEEKLRFDMSGGKKGGFIGEAVQDWREIFTYFSYPKQNRDYSRWPDKPEGWRGVTEKYSEKLMEVASKLLEVLSEAMGLEKGTLSKACVDMDQKIVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDGGKTWITVKPINGAFVVNLGDHGHLLSNGRFKNADHQAVVNSNSSRLSIAAFQNPAPEASVYPLKVREGEKPIMEEAITFAEMYRRKMSKDLELARLKKQKMVGIGKPHFESKPIDQILV >itb03g01790.t2 pep chromosome:ASM357664v1:3:998006:1008484:-1 gene:itb03g01790 transcript:itb03g01790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MASSSDASAHQDALVTGFQKMLEDLCVKAKVNDDERDETEWLPLSVGDLRGLVNDIMTLRAKKVLHLIQVDILVAVLKVLDQQIHRAEGLSVNECEYPDSETVSTIYSALESVHASLAIMAYNGMPKQLYKEEIIERILDFSRHQVIDVMLASDPVYRALHRPMENGVVEGEDDEEVYGEFGSASKKKRPARTVKIRKTASNKVSAAVNNILQKLCVILGFVKDLCAIERLSDSCVLQLVKTCFTTFSVDNIHLLQLKAISLISGIFYVYSNHRAYMMDETLQVLLKLPSSKRIPRTYHLPEEDHKQIQIVTALLLQLVHSSSNLPEVLKQTLSNISLEVPLDASCPTKCYESVTDACCLFWSRVLQRLTNSKSQEASELKMVMENIVMDLLVTLNLPEYPASAPLLEVLCVLLLQNAGLKSKDVAIRSMAIDLLGTIAARLKQDAVRVQDETFWIVKELVSGDINDSNFPRDACSVCLDTRNEKSLVLCRGCERVFHVDCIGVRKHELSNRNFHCQMCLSKKQLLALKSHCESQGMDGSKSKRESEKPSQASDSITNLETIQMLLLTYLQEADSGDDSNIFTRWFYLCLWYKDDPGSEEKFYYYISKLNSKAILRDSGSFASLMTRDSVKKITSALGQNNSFSRGFDKILQVLLASLRENSPVIRAKALRAVSIIVEADPEVLYDKLVQTAVEGRFCDSAISVREAALELVGRYIASHPDVGLKYFVKVAERVKDTGVSVRKRAIKIIRDMCTSNSNFSEFSTACIEIISRVNDEESSVQDLVCKTFYDFWFDESSGSHSQFYGDGSSVPIEVSKKTEQIVDMMRRMPTHQPLVTVIKRNLALDFFPQSAKAAGINPVSLASVRRRCELMCKCLLEKILQVAEMNYGGEARMLPYMLLLHAFCVVDPTLCAPSSDPSRFVVTLQPYLKSQADNRVAAQLLESIIFVIDSVLPLLRKLPQSVIEELEQDLKQMIVRHSFLTVVHACIKCLCSVSKVAGKGATVVEYLVQLFYRRLDSLGFALDNKQQVGRSLFCLGLLIRYGSTLLSASQHSNSVNLASSLDVFKKYLQADDFVIKVRSLQALGYVLIARPDFMLRDDMGKILEATLSASTDTRLKMQALQNMYEYLLDAESQMGAADTTVENDVNNSDSSHCVPVAAGAGDTNICGGIVQFYWDKILGRSLDANEQVRQSAIKIVEIVLRQGLVHPITCVPYLIALETDPEEQNSKLAHHLLMNMNEKYPAFFENRLGDGLRMSFQFMQAMSKGGSDNQYAKANFKAPGNVPAKSDTGSFTYSRLGVSRIYKLIRGNRVSRNKFMASVVRKYDTPSWDDAVIPFLIYCTEILALLPFTLPDEPLYLIHTINRVIQVRAGNVEANLKAFLHFLQGSEQNINGNGSILNGAAQSAMYQTRAVVDNEVMRDESTAYQGCEFRVSSDSNANHMPSVNPHDLSNDVVQKVQADFLQAGALQLLLRLKRHLKIIYGLNDARCQAYSPGEPQKPGEVLSRQNIPFNVSDIRIDPPITYEDFTRRYQDFKNAMKEDTVDYSIYTANIKRKRPPPKRGGRSGRMGGDDYEDDDEDWTGGVRKLNNSGGRRMTRQSLHL >itb03g01790.t1 pep chromosome:ASM357664v1:3:998006:1011773:-1 gene:itb03g01790 transcript:itb03g01790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MASSSASGGIPRGIALPNTVHSEVVPCLPLPSLPVCCGAVEQELRLSSEQSEPRSLNRGEVLSQASRIADLLQSTDVSYLNLRADVAPQPCGFIGHVDLYDEVLRCNSEAFDCRAPGPIKEPVRVHKSSEVKPFESRPIFEQPQRDVLEIHYNQHEYVANDATTPSRKLRGKKKANDEILMASSSDASAHQDALVTGFQKMLEDLCVKAKVNDDERDETEWLPLSVGDLRGLVNDIMTLRAKKVLHLIQVDILVAVLKVLDQQIHRAEGLSVNECEYPDSETVSTIYSALESVHASLAIMAYNGMPKQLYKEEIIERILDFSRHQVIDVMLASDPVYRALHRPMENGVVEGEDDEEVYGEFGSASKKKRPARTVKIRKTASNKVSAAVNNILQKLCVILGFVKDLCAIERLSDSCVLQLVKTCFTTFSVDNIHLLQLKAISLISGIFYVYSNHRAYMMDETLQVLLKLPSSKRIPRTYHLPEEDHKQIQIVTALLLQLVHSSSNLPEVLKQTLSNISLEVPLDASCPTKCYESVTDACCLFWSRVLQRLTNSKSQEASELKMVMENIVMDLLVTLNLPEYPASAPLLEVLCVLLLQNAGLKSKDVAIRSMAIDLLGTIAARLKQDAVRVQDETFWIVKELVSGDINDSNFPRDACSVCLDTRNEKSLVLCRGCERVFHVDCIGVRKHELSNRNFHCQMCLSKKQLLALKSHCESQGMDGSKSKRESEKPSQASDSITNLETIQMLLLTYLQEADSGDDSNIFTRWFYLCLWYKDDPGSEEKFYYYISKLNSKAILRDSGSFASLMTRDSVKKITSALGQNNSFSRGFDKILQVLLASLRENSPVIRAKALRAVSIIVEADPEVLYDKLVQTAVEGRFCDSAISVREAALELVGRYIASHPDVGLKYFVKVAERVKDTGVSVRKRAIKIIRDMCTSNSNFSEFSTACIEIISRVNDEESSVQDLVCKTFYDFWFDESSGSHSQFYGDGSSVPIEVSKKTEQIVDMMRRMPTHQPLVTVIKRNLALDFFPQSAKAAGINPVSLASVRRRCELMCKCLLEKILQVAEMNYGGEARMLPYMLLLHAFCVVDPTLCAPSSDPSRFVVTLQPYLKSQADNRVAAQLLESIIFVIDSVLPLLRKLPQSVIEELEQDLKQMIVRHSFLTVVHACIKCLCSVSKVAGKGATVVEYLVQLFYRRLDSLGFALDNKQQVGRSLFCLGLLIRYGSTLLSASQHSNSVNLASSLDVFKKYLQADDFVIKVRSLQALGYVLIARPDFMLRDDMGKILEATLSASTDTRLKMQALQNMYEYLLDAESQMGAADTTVENDVNNSDSSHCVPVAAGAGDTNICGGIVQFYWDKILGRSLDANEQVRQSAIKIVEIVLRQGLVHPITCVPYLIALETDPEEQNSKLAHHLLMNMNEKYPAFFENRLGDGLRMSFQFMQAMSKGGSDNQYAKANFKAPGNVPAKSDTGSFTYSRLGVSRIYKLIRGNRVSRNKFMASVVRKYDTPSWDDAVIPFLIYCTEILALLPFTLPDEPLYLIHTINRVIQVRAGNVEANLKAFLHFLQGSEQNINGNGSILNGAAQSAMYQTRAVVDNEVMRDESTAYQGCEFRVSSDSNANHMPSVNPHDLSNDVVQKVQADFLQAGALQLLLRLKRHLKIIYGLNDARCQAYSPGEPQKPGEVLSRQNIPFNVSDIRIDPPITYEDFTRRYQDFKNAMKEDTVDYSIYTANIKRKRPPPKRGGRSGRMGGDDYEDDDEDWTGGVRKLNNSGGRRMTRQSLHL >itb01g10010.t1 pep chromosome:ASM357664v1:1:8323801:8333044:1 gene:itb01g10010 transcript:itb01g10010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFNNKKMAHSNQSGTSNLAIDKPDSNISLVDNGKVSTADSPEVNGMRSSQLALGDQKKKTSEPDSTKNSSASAKVSDGTGSLPKTSGSAKISDRVEYVESGKSSICRGSASTNVSDESTSSSFSSSVNKPHKANDSGWEAIQAVRTRDGILGFSHFRLLKRLGCGDIGSVYLAELSGTNCYFAMKVMDKESLAGRKKLIRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSELAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSLDSEPLQKSSGFCVQPACIQPSCAVTTTCFSPRFFSSKSKKKDRKSKADIGTQVTPLPELVAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQNRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPFEFERIPPPAASTSEKPALTAVAPNQKNSNNYLEFDFF >itb01g10010.t2 pep chromosome:ASM357664v1:1:8323870:8333044:1 gene:itb01g10010 transcript:itb01g10010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFNNKKMAHSNQSGTSNLAIDKPDSNISLVDNGKVSTADSPEVNGMRSSQLALGDQKKKTSEPDSTKNSSASAKVSDGTGSLPKTSGSAKISDRVEYVESGKSSICRGSASTNVSDESTSSSFSSSVNKPHKANDSGWEAIQAVRTRDGILGFSHFRLLKRLGCGDIGSVYLAELSGTNCYFAMKVMDKESLAGRKKLIRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSELAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLVKSLDSEPLQKSSGFCVQPACIQPSCAVTTTCFSPRFFSSKSKKKDRKSKADIGTQVTPLPELVAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQNRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPFEFERIPPPAASTSEKPALTAVAPNQKNSNNYLEFDFF >itb11g03340.t2 pep chromosome:ASM357664v1:11:1751357:1754839:1 gene:itb11g03340 transcript:itb11g03340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSILGRRYLAAFVYFVLISHACNGFYLPGTYMHTYSTGDEIVVKVNSLTSIETELPFSYYSLPYCKPQNGIKKSAENLGELLMGDQIDNSPYRFRMNVNESIYLCTTPPLNENEVKLLKQRTHELYQVNMILDNLPALRYANQNGMKIQWTGFPVGYAPPNSKEDYIINHLKFRVLIHEYEGAGVEIIGTGEEGMGVISETDKKKTAGYEIVGFEVVPCSVKYDLEQMTKLHMYDNSTSINCPLEIERSQIIREQQRISFTYEVDFVKSDIRWPSRWDAYLKMEGDRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPNHSKLLCIMIGDGVQITGMTVVTILFAALGFMSPASRGMLLTGMIMLYLFLGIAAGYVGVRLWRTIKGTSDGWKSVSWAIACFFPGIVFVILTTLNFILWGSNSTGALPISLYFELIALWFCISVPLTLLGGHLGTRAEPIQYPVRTNQIPREIPARKYPSWLLILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLLLLVIICAEVSLVLTYMHLCVEDWMWWWKAFYASGSVSLYVFLYSVNYLVFDLQSLSGPVSAILYLGYSLIMAVAIMLATGTIGLLTSFYFVHYLFSSVKID >itb11g03340.t1 pep chromosome:ASM357664v1:11:1751356:1754843:1 gene:itb11g03340 transcript:itb11g03340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSILGRRYLAAFVYFVLISHACNGFYLPGTYMHTYSTGDEIVVKVNSLTSIETELPFSYYSLPYCKPQNGIKKSAENLGELLMGDQIDNSPYRFRMNVNESIYLCTTPPLNENEVKLLKQRTHELYQVNMILDNLPALRYANQNGMKIQWTGFPVGYAPPNSKEDYIINHLKFRVLIHEYEGAGVEIIGTGEEGMGVISETDKKKTAGYEIVGFEVVPCSVKYDLEQMTKLHMYDNSTSINCPLEIERSQIIREQQRISFTYEVDFVKSDIRWPSRWDAYLKMEGDRVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPNHSKLLCIMIGDGVQITGMTVVTILFAALGFMSPASRGMLLTGMIMLYLFLGIAAGYVGVRLWRTIKGTSDGWKSVSWAIACFFPGIVFVILTTLNFILWGSNSTGALPISLYFELIALWFCISVPLTLLGGHLGTRAEPIQYPVRTNQIPREIPARKYPSWLLILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLLLLVIICAEVSLVLTYMHLCVEDWMWWWKAFYASGSVSLYVFLYSVNYLVFDLQSLSGPVSAILYLGYSLIMAVAIMLATGTIGLLTSFYFVHYLFSSVKID >itb13g04410.t1 pep chromosome:ASM357664v1:13:4965569:4966138:1 gene:itb13g04410 transcript:itb13g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPKHQKHFFVEFVLSVVEEHHDCRLFHKRSCTERDESTLVAVHQRSKDDDDDGIDDNTTLLELTRLEERVHFSIEKTSFQGHRSGCELTILQGLRMRRSRAMAGKAHRETSGQDWAKMMELPESVAMVNASIQKDFKESMMEMIVENDIRCSRDLEELITC >itb15g04320.t1 pep chromosome:ASM357664v1:15:2721456:2725636:1 gene:itb15g04320 transcript:itb15g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEASLEFLAIGPSTIVGRTIAFRILFCKSISQLRRRVFQLLLYYLSKAKNWLSYYLLPSIPWLHPRNPQGILALVTLMAFLLKRYSDVKMKAEMAYRRKFWRNMMRSALTYEEWAHAAKMLEKETPKMSEADLYDEELVRNKLRELRHRRQEGSLRDIIFCMRADLVRNLGNMCNPELHKGRLHVPKLIQEYIDEVSTQLRMVCDSDSEELLLEEKLAFVHETRHAFGRTALLLSGGASLGSFHIGVVKTMVEHRLLPRIIAGSSVGSIMCSIVATRSWPELQSFFEDSWHSLKFYEQMGGIFTVFKRVMTCGVVHEIRHLQYMLRQLTNNLTFQEAYDMTGRILSITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPYHPPFHLGPDETPGTSARRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHISPLLRLKDFVRAYGGSFAAKLAHLAEMEVKHRCHQILELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKLLQNPTTIELQKAANQGRRCTWEKFSAIKANCGIELALDECVAMLNHMRRLKRSADRAAAASSHGLGTMVRFNGSRRIPSWNCIARENSTGSLEEDFLADMASSLRQGGGGVSTSHSSRNWRSHRNFHDGSDSESESADLNSWTRSGGPLMRTTSADKFIDFVQNLDVGFQLNRVLTIDPNNVATPMGGSREPPSSSHSPRMFTPDRASDTEFDQRDISYRIPVSSSSIIVAEGDLLQAERSHHGILFNVVRRGDLTPSNRSHDSDNNSAIHRGSVAECVQLDSLEKEADTSSVSEDGDHDVISPNQGGNNANTENVPSNNDADDKRVSDA >itb14g20930.t1 pep chromosome:ASM357664v1:14:23173219:23177686:1 gene:itb14g20930 transcript:itb14g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIQDMRGEFGSISRKGFDLRFGRSRSQRTVQDSFVGEMDALRQSCWANMPPELLRDVLMRIEASESTWPPRKNVVACAGVCRNWREIMKEIVKTPEVCGKLTFPISLKQPGPRGTLCQCFIKRNRSSQTYHLFLNISQASNDDGKFLLAAQKCRRTTCTEYIISLNPEDVSKGSGTYIGKLRSNFLGTKFVIYDAQPPSGAKVTKCHSTTRLVGAKQVSPSIPAGNYPVAHISYELNVLGSRGPRRMQCAMDAIPASSVGPGGMAPTQTEFLPVNIDSFSTFPFFRSKSNRMDNFQSGPLSAQKDDLLILKNKAPGWHEQLQCWCLNFNGRVTVASVKNFQLVASMGDGAAQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >itb02g08230.t1 pep chromosome:ASM357664v1:2:5162621:5164716:-1 gene:itb02g08230 transcript:itb02g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCMGDEDIELLNSQTHIWNNIFSYIKSSSLKCAVELGIPDIIHKHGRPMTLLELVDAIPINKAKAGHLARLMRTLIHSGFFLDPKIQGSEDGYALAPPSKLLLKDNPFSLRPFLLGVLDPILTQPWNHAREWFQNDDPTPFDTANGMTLWDYAAQQPMFNHMFNEAMASDTRVVMNVVIKYCKGVFEGLNSLVDVAGGTGTVARTIADAFPDLKCTVFDLPHVIRGLEGTKNFDYVGGDMFVSIPRANALFLKWILHDWNDVECVEILKKCKESIPNKEKGGKVIIIDMVIDNVRKDEKLFETQICYDTMMLSVMTGKERAMKDWEKLFSNAGFSDYTIIPILGLRSIIEVYP >itb10g02520.t1 pep chromosome:ASM357664v1:10:2166401:2171372:-1 gene:itb10g02520 transcript:itb10g02520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENANREGGVNFAVIGATALSDDVLEAKWSYSRDNISMMAQINMFKTYLPSICKTSSCGEILDKSLIVFGSFGSNDYASTILMKKVEDAYRLQPLVINAVGSAIEELIKLGVVNLVVPGMLPAGCLGDCLAVYYGSNEEDYDPITGCLTWLNEFTQKHNELLQKELTRIQKHYPNVFITYADYYNAGLELFISPNKYGFNKETRLISCCGSGGPYNSYVDDTKCGYPFSHACDDPSSYIEWDGAHLTQAANEWIAKAPLYTRSNHSGERECGEGEREAESRRPAPPSTHATADTRYRMPPPTLTATNACSRSSSPPPTALGAAIRDDDHRSFTGLRFCCI >itb12g08020.t1 pep chromosome:ASM357664v1:12:6170361:6173918:1 gene:itb12g08020 transcript:itb12g08020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFFTPASRLRQFRQSLKPPAATATVASPDEPQLIDALWRDKILDPSSDVVNVWNHVFLIACLISLSLDPLYFYIPYVGGTACMSTDNKASIAITYFRTITDFFYVINMLLKFRTAFVAPSSRVFGRGELVMDAKEIAARYLRSDFIIDFAATLPLPQIVIWIVIPATKGNGSGHDNNTIALIVLIQYLPRLLVSIPLNQRIIKTTGFIAKTAWAGAAYNLLLFMLASHVLGASWYLSSIGRQHSCWNMQCRSERNAVPPCIPSFLDCESLYTNSLEREYWLNTTSLLTRCDPKNEDSDFKFGMFADAFTSEVASSPFVEKYLYCLWWGLRNLSSYGQNLRTSTYIGETLFCIFVCINGLILFSHLIGNMQTYLQSLTVRLEEWRIKRRDTEEWMRHRQLPPELQERVRRFDQYKWLATRGVKEESILQSLPLDLRREIQRHLCLNLVRRVPFFAQMDDQLLDAICERLVSSLSTKATYIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALVPNSLNLPSSTRTVRTLSEVEAFALRAEDLKFFAVQFKHLHSKKLQHAFRYYSHQWRTWGACYIQVAWRRYKKKKLAKELSLQESYYYMSTEDGETYIYDENYVGSDDGADIQSSEDGANNAQHLGATILASKFAANTRRGIMQKVQVSDSASSSLKMPKLFKPQEPDFS >itb12g08020.t2 pep chromosome:ASM357664v1:12:6170361:6173918:1 gene:itb12g08020 transcript:itb12g08020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFFTPASRLRQFRQSLKPPAATATVASPDEPQLIDALWRDKILDPSSDVVNVWNHVFLIACLISLSLDPLYFYIPYVGGTACMSTDNKASIAITYFRTITDFFYVINMLLKFRTAFVAPSSRVFGRGELVMDAKEIAARYLRSDFIIDFAATLPLPQIVIWIVIPATKGNGSGHDNNTIALIVLIQYLPRLLVSIPLNQRIIKTTGFIAKTAWAGAAYNLLLFMLASHVLGASWYLSSIGRQHSCWNMQCRSERNAVPPCIPSFLDCESLYTNSLEREYWLNTTSLLTRCDPKNEDSDFKFGMFADAFTSEVASSPFVEKYLYCLWWGLRNLSSYGQNLRTSTYIGETLFCIFVCINGLILFSHLIGNMQTYLQSLTVRLEEWRIKRRDTEEWMRHRQLPPELQERVRRFDQYKWLATRGVKEESILQSLPLDLRREIQRHLCLNLVRRVPFFAQMDDQLLDAICERLVSSLSTKATYIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALVPNSLNLPSSTRTVRTLSEVEAFALRAEDLKFFAVQFKHLHSKKLQHAFRYYSHQWRTWGACYIQVAWRRYKKKKLAKELSLQESYYYMSTEDGETYIYDENYVGSDDGADIQSSEDGANNAQHLGATILASKFAANTRRGIMQKVQVSDSASSSLKMPKLFKPQEPDFS >itb02g19180.t1 pep chromosome:ASM357664v1:2:16177737:16180996:-1 gene:itb02g19180 transcript:itb02g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRFFRRSLHPSLSSSTLRWNRSLQASYSFQNYRSLRAQSASPDPNPRPIPSPSAPCLLLLGEKKVRCFYRSNSNPELDNNAICLVSSHGGLSLALMKNFGGGLLPFRNVGNLRHKSSLVGASKTASATTYPKPFCEWLAGIIDGDGSLQVNNKGHTSLEITIGLEDIALVRYAQHMLGGSIKMRSGAKSYRYRLHDQIGMIKLMNCINGHIRHSPRLIQLHRVCQVHDIPVVLPVTLDSKSNWFAGFFDANGVIGITMKHQIPQLSIRITSKHLQDVESYKVVFGGDIYFDSGRNGYYEWSVQSPKDVAMVLGYFRSTTWRSRKSRRFFLVDEYYRLCDLKAFEPSSMNHKAWLAFVDKWNNLVGKVCYVIRPVLPSGCESKSGPCGFVGTRDSLNLGGYSVELALKNVEYKAMDDSTVKKGT >itb12g16170.t1 pep chromosome:ASM357664v1:12:16632501:16633470:-1 gene:itb12g16170 transcript:itb12g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLEVRPGFFGVVGEGLTFLTHIQSYRHGPTDLFSIGTSSSATRFYLTKPVLLQGESLLYPTPFLITRQKSPTRHSSFLAALATRRSFLASYNFTSLGAPWESKKSIKAVPAAIPCLQVFPLLSYHGCNFLIEYNSRFHRHSGLMNA >itb06g17400.t2 pep chromosome:ASM357664v1:6:21254165:21257131:1 gene:itb06g17400 transcript:itb06g17400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNDRIQNLTTLQNVLRKAEEYLTTLPLETPYSEFEHKFQEIGLERGWGDTAERVLEMICMLLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDIKPRILIITRLLPDAVGTTCGQRLEKVFGAEHSHILRVPFRTEKGMVRKWISRFEVWPYMETFIEDVAKDITAELQAKPDLIIGNYSEGNLAASLLAHKLGVTQCTIAHALEKTKYPDSDLYWKQFDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMNLYFSYSEKEKRLTALHPEIEDLLYSNVENEEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYAKNPKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYELIKTYNLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPAFYEAFGLTVVEAMTCGLPTFATNHGGPAEIIVHGKSGFHIDPYHGEQAAELLVDFFEKCKKEPSHWETISAGGLKRIQEKYTWQIYSERLLTLSAVYGFWKYVSKLDRLEIRRYLEMFYALKYRKMAETVPLAEE >itb06g17400.t1 pep chromosome:ASM357664v1:6:21252081:21257133:1 gene:itb06g17400 transcript:itb06g17400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLTRVHSLRERLDATLTAHRKEILLFMSKIESHGKGILKPHQLLAEFEAICKDDKEKLGDHAFQEVLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVNALVVEELTVPEYLHFKEELVDGPANGNFVLELDFEPFTASFPKPTLTKSIGNGVEFLNRHLSAKMFHDKESMTPLLDFLRVHEYKGKSMMLNDRIQNLTTLQNVLRKAEEYLTTLPLETPYSEFEHKFQEIGLERGWGDTAERVLEMICMLLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDIKPRILIITRLLPDAVGTTCGQRLEKVFGAEHSHILRVPFRTEKGMVRKWISRFEVWPYMETFIEDVAKDITAELQAKPDLIIGNYSEGNLAASLLAHKLGVTQCTIAHALEKTKYPDSDLYWKQFDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMNLYFSYSEKEKRLTALHPEIEDLLYSNVENEEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYAKNPKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYELIKTYNLNGQFRWISSQMNRVRNGELYRYICDTRGAFVQPAFYEAFGLTVVEAMTCGLPTFATNHGGPAEIIVHGKSGFHIDPYHGEQAAELLVDFFEKCKKEPSHWETISAGGLKRIQEKYTWQIYSERLLTLSAVYGFWKYVSKLDRLEIRRYLEMFYALKYRKMAETVPLAEE >itb01g25270.t1 pep chromosome:ASM357664v1:1:30730380:30734087:1 gene:itb01g25270 transcript:itb01g25270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALFYVSLARPSAPIASLSPKLFPSKLLTQKLQLLSFRPTHQALRPRFSTTVCSYSSGNRPDPKGSGPNCPIFRRWSVPWNWQTISLTSLACGFSFVLTGLIETAAIPYTGIQVEELSLDEKAEILFLDQAITTAIVLGVLYTLTKSSKPLPSDIYCYDWREPFNLQRGWLLWAAIGLAGALAAIALTGVAMSSLNSEPPTRETDALVRLLPLIGSSNISTLSLLGITGVLAPVLEETVFRGFLMVSLTKWLPSPLAVLLSAAVFSAAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELIQAS >itb12g19370.t1 pep chromosome:ASM357664v1:12:21760618:21763856:-1 gene:itb12g19370 transcript:itb12g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARINLHTQTIKPKRRRYRETTITATSAATDSDALSSSSGSGSSSDILAQSQLSQGRTLDTPTVVSSENSWCCPAATAKQSAAPPPPPPISASSSPVQLQSDLKIRYSPSALPNVMDSLSTASSVVYGGGAHAGSPANHDAFPSSFSKFNSALTAGLLNPMSPPPPAPPVDKARGSPTLFEMMASEPDCLPRTAPAPAPQIQYGVTSAQNQKPSSAPVLDKQALMQQRLLDLLACRSPGNQFNDSASSDVKLTLSSKDGLSVSMNVHRQILLAHSRFFAVKLSEKWAKQQQQQKNSAPYIVEIADCDDIEIYIETLRLMYCKDLRKKLMREDVPRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASMLSELRLDGVGAGEVLKRVSLDTMGGTQDGSGNEEVLLKLLHVVLEGKDEKARREMKSLVSKMLHENSSQSDLRKESLYSACDACLQLLCHHFFRAAKGDLKEASQISRQADNLHWILDILIDRQIAEDFLKTWASQSELSEAHCKVPAIHRHEVSRVTARLFVGIGKGQLLASRDTRCLLLQTWLVPFYEDFGWMRRASKGLDRHLIEDGLSSTILTLPMAWQQEILMAWFNRFLNSGDDCPNIQRGFQIWWKRAFWRRNGEPERPCQMRVVTAAIENK >itb04g33930.t1 pep chromosome:ASM357664v1:4:36004925:36006824:-1 gene:itb04g33930 transcript:itb04g33930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHAIKRCKHFEIGGDKKGKGTSLF >itb12g11200.t1 pep chromosome:ASM357664v1:12:9413051:9416578:1 gene:itb12g11200 transcript:itb12g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 3 [Source:Projected from Arabidopsis thaliana (AT5G01360) UniProtKB/Swiss-Prot;Acc:Q8LED3] MAVAKKPSAGKSSLLVIAATVCSFAFVALLYTERISLLSPKNEFRPPKSKKSTADDRKLEGSANTNGAVNDGFKLDPDECSVTQGKWVFNRSIDPLYSDRTCRYIDRKFACVKNGRKDSDYLRWVWQPDDCTLPSFDPEIALRKIKGKRVMFVGDSLQRNQWESFICLVDSVIPKGKRSMKRGSVHSVYKAKEYDATIEFYWAPYLVESNTDIPIKADADERIIKVDSIAKRAEIWVGADILVFNTYVWWMTGLKIKSFWGSFGDGEEGYESFDAPLSYRLALRTWANWIDSAIDHTKTRVFFTTMSPAHQRSEDWGKEGRMRCYNETSPVTKKGYWGSGSDKEMMKVVESVVQSMKVPVTLINITQLSEYRVDAHSSVYSDSEDRVLSDDQKAEPWRYADCIHWCLPGLPDTWNRILYAYL >itb04g23280.t1 pep chromosome:ASM357664v1:4:28406253:28408960:-1 gene:itb04g23280 transcript:itb04g23280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSFSIKPTRFLVFTFTICLSAVFLIFFSVWLVKISPSPQENHLELDSNKDPSVQVQENGSPVAEEYESEFAVEEDAGESKFAAGEANATDVLNGNFTITTVAYFSSIVVNDTLLGDTHLAKSDLAVNQSENVEDCGSNGSDCNSKQQHVSDITFSKKIRGPRSSVIEKKSGRSVCDVTIGKWIIDESYPLYTNISCPFIDEGFSCQANGRQDKDYMKWRWKPQDCDIPRFNATHMLELIRGKRLVFVGDSINRNQWESMLCLLMGAVKDPKKVYETHGRRITKGKGNYSFKFVDYKCTVEFYVTHFLVREGKARIGKRRSQTLRIDAIDRGSSRWTSADILVFNTAHWWSHHKTRAGINYYQEGDQVHARLDVSTAFQRALMTWASWVDTHIHPRKTQVFFRTSSPAHFSGGQWNTGGHCREASRPLKERYSTAYPKKNMIVEQVTRQMKTPVTILNITGLSDYRIDGHPSTYGRKSGSKSGVQDCSHWCLPGVPDTWNEILYYHLQLTQQHRIKLAE >itb15g04520.t2 pep chromosome:ASM357664v1:15:2862701:2864736:-1 gene:itb15g04520 transcript:itb15g04520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFDIMRYLRFSSIFLSLAFTVMLSVTIQWVISKRKKSCSSLPIPPGPKPWPLVGSIPEILRNKPAFRWIHNFMDEMNTEIACIRLGGTYVIPVTSPELACEFLKKQDSIFSSRPRCMSAALISNGYLSSLFLPYGEEWTKKRRILTSHVLSPATQHLVLNKRVEEADHLLRFIYNQCKISEASAMGAVINVRTATRHYCGNVTKKMLFNKRYFGRGTEDGGPGAVDEELVKAVFTILRYLYGLGVADYIGWLSLFDLDGHKSMIRKALKVTRKHLDTEVDKRIQMWKDGTKTAEEDIVDVLVMLKDNDGSPMLSDKEIKIQVLVNYTKLIIVINSVINTLMHACINIFVNVQEIMIAALDNPSNAVEWALAEMINQPKLLEKAVEEVDKVVGRERLVQESDLPKLNYIKACVKEAFRLHPIAPFTPPHLSTEDSVVGGYFIPKGSQVIISRTGLGRNKRAWEDPLKFKPERHFKGEDVDVDVVLSDSELKMMSFSAGRRGCPGVKLGSLMSVMLMARLIQGFTWSVPADLPCIDLTESKYDLFLENPLFALAKPRLPHHLYI >itb15g04520.t1 pep chromosome:ASM357664v1:15:2862701:2864736:-1 gene:itb15g04520 transcript:itb15g04520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFDIMRYLRFSSIFLSLAFTVMLSVTIQWVISKRKKSCSSLPIPPGPKPWPLVGSIPEILRNKPAFRWIHNFMDEMNTEIACIRLGGTYVIPVTSPELACEFLKKQDSIFSSRPRCMSAALISNGYLSSLFLPYGEEWTKKRRILTSHVLSPATQHLVLNKRVEEADHLLRFIYNQCKISEASAMGAVINVRTATRHYCGNVTKKMLFNKRYFGRGTEDGGPGAVDEELVKAVFTILRYLYGLGVADYIGWLSLFDLDGHKSMIRKALKVTRKHLDTEVDKRIQMWKDGTKTAEEDIVDVLVMLKDNDGSPMLSDKEIKIQVLEIMIAALDNPSNAVEWALAEMINQPKLLEKAVEEVDKVVGRERLVQESDLPKLNYIKACVKEAFRLHPIAPFTPPHLSTEDSVVGGYFIPKGSQVIISRTGLGRNKRAWEDPLKFKPERHFKGEDVDVDVVLSDSELKMMSFSAGRRGCPGVKLGSLMSVMLMARLIQGFTWSVPADLPCIDLTESKYDLFLENPLFALAKPRLPHHLYI >itb03g15270.t1 pep chromosome:ASM357664v1:3:14647299:14648870:1 gene:itb03g15270 transcript:itb03g15270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSIHVKEAVLVSPSEPTPVQVLPLSALDSQPFLRFTIEYLLVYKTAGAAVDRAATAARVKDALGRALVPYYPLAGRVRARPDGAGLEVTCRGQGAVFIEAASELTAAEFERAPRCGAQWRMLLSLYVVDVLKGAPPLVVQLTWLSDNAATLAVGFNHCLCDGIGSAEFLNFLAELAAGKRRPGAELKQKPVWDRHILNPANFRQRRSNSVSHHPEFNRVADVSNFDSRFSQERLTPTSVEFDRRKLTELKKLVQLAGKLADPPCTSFEVLSAHVWRSWARALNLPQTQTLKLLFSVNVRHRVKPSLPGGYYGNAFVLGCAQATVKDLSEKGLSYATELVKRAKERVDEEYVREVVESVSSTRACPDSVGVLIMSQWSRLGLERVDFGLGRPVEVGPVICDRYCILLPVYDSRDAVKVNVAVPASAVDQYLYLLRNTCP >itb15g10680.t3 pep chromosome:ASM357664v1:15:8371507:8375107:-1 gene:itb15g10680 transcript:itb15g10680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEENYPGVIRPSNLHGGGGLMAGRGKLDAGMGQNRRALSTINGPIQHPCAVYKGNVFSENIANVSNKNPPPGHVHRPVTRNSAAQMANKQQHQHQQPSTIQATKPPLHSATHMNHYDVEDYKAAADDFAVPMFVQHTEAMLEEIEDEMDKEVEMEDLEEEDIILDIDSPDKKNALAVVEYVDDIYAYYKKIESSSCVPLDYMDQQPDINARMRGILVDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVMRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRQEVLDMEKLMVNMLQFNMSVPTPYVFMRRFLKAAQCDKKSEHMAFFMIDLCLVEYPMLRFPPSLLAAAAIFTAQSGLSGFQQWDRTCEKYSGYAQDQLLECSKLMISFHHKAATGKLNAVYKKYSTSKYGHVAKYETVNFLPDDEAMVV >itb15g10680.t2 pep chromosome:ASM357664v1:15:8371448:8375107:-1 gene:itb15g10680 transcript:itb15g10680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEENYPGVIRPSNLHGGGGLMAGRGKLDAGMGQNRRALSTINGPIQHPCAVYKGNVFSENSAAQMANKQQHQHQQPSTIQATKPPLHSATHMNHYDVEDYKAAADDFAVPMFVQHTEAMLEEIEDEMDKEVEMEDLEEEDIILDIDSPDKKNALAVVEYVDDIYAYYKKIESSSCVPLDYMDQQPDINARMRGILVDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVMRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRQEVLDMEKLMVNMLQFNMSVPTPYVFMRRFLKAAQCDKKSEHMAFFMIDLCLVEYPMLRFPPSLLAAAAIFTAQSGLSGFQQWDRTCEKYSGYAQDQLLECSKLMISFHHKAATGKLNAVYKKYSTSKYGHVAKYETVNFLPDDEAMVV >itb15g10680.t1 pep chromosome:ASM357664v1:15:8371448:8375107:-1 gene:itb15g10680 transcript:itb15g10680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEENYPGVIRPSNLHGMGQNRRALSTINGPIQHPCAVYKGNVFSENSAAQMANKQQHQHQQPSTIQATKPPLHSATHMNHYDVEDYKAAADDFAVPMFVQHTEAMLEEIEDEMDKEVEMEDLEEEDIILDIDSPDKKNALAVVEYVDDIYAYYKKIESSSCVPLDYMDQQPDINARMRGILVDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVMRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRQEVLDMEKLMVNMLQFNMSVPTPYVFMRRFLKAAQCDKKSEHMAFFMIDLCLVEYPMLRFPPSLLAAAAIFTAQSGLSGFQQWDRTCEKYSGYAQDQLLECSKLMISFHHKAATGKLNAVYKKYSTSKYGHVAKYETVNFLPDDEAMVV >itb07g05960.t1 pep chromosome:ASM357664v1:7:4132842:4136761:-1 gene:itb07g05960 transcript:itb07g05960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGIGEKHVPITIAGTRICDGEWLYADTDGILISRNELSV >itb07g09450.t1 pep chromosome:ASM357664v1:7:8890941:8895058:1 gene:itb07g09450 transcript:itb07g09450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEHVCGSHPRFKNQISFRIYPNFPPFFQRIDPKSISGHRPNFNSSSLFRRQRIDPKSISGHRPNFNSSSLFRRQELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPTDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >itb14g02860.t1 pep chromosome:ASM357664v1:14:2600836:2608821:-1 gene:itb14g02860 transcript:itb14g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM2 [Source:Projected from Arabidopsis thaliana (AT1G69010) UniProtKB/Swiss-Prot;Acc:Q9CAA4] MESEGEMGKGNDKKVLARRRYSSVCRSFFAPCFYSEESSEKPCKAAKPLSDPEAAMVASFKHFSSAHKAPLNLRRNCYYRRRKAAKETLLSSIPVSCPRKEGKMRQRQRYTGEAVVLTVNSNSPATSTPGTHNPVIEKSWKDTMKTVKSHEEEEEEEELGSRKDATNSNSNTSRDGKNSDKANAIRSKHSVTEQRRRSKINERFQILRDLIPNTDQKRDTASFLLEVIQYVQFLQEKVQKYEGSYQAWSSEPTKLMPWRNSHWRMQSFVGSPQIVKNGTGSGPTYAGRLDENPVTVPSAMQPIQQNPVESHPSTDSAFNAVDPHNELAIKAVTTPMTLQPSLPAAVQNEGSYSHTTPRPASDAQSNECPNRIEALSHHEESTIEGGTISISSVYSQGLLNSLAQALQSTGVDLSQATISVQINLGKRANRGLNSSDTNEKPAPSVCPAVGHFRDTSTDDDLDQAQKRLRI >itb03g23150.t1 pep chromosome:ASM357664v1:3:21305550:21311581:1 gene:itb03g23150 transcript:itb03g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGPIGLEEERSVVAVAIDRNDKHSFYALQWTTDVLLSKGQTLTLIHVNESSLPKPIPTGNSDFSNGGQDPDDPSTDVFLPYRMFCSSRQVFCDTVLLEDQDIGKALIDYVSLYRVQILVLGTQSKAKHHGIPRILLNNRSEVASTVMKGAPGYCSVYTIAKGNKVASAREATHPLKSSMDRIFQNVPERKLITSASYMCRSRAPDEVIIHTRLSTDISLSATAADSSLLAFHQNLPSSPGASSFSSYARRKNNGNNFTRGGLVDSGEQKLSAVDDDDENSLPSSPTMVNDDVTGEMMRRIELETNQTMEMYHEACKQAVQQKQKTKELENLCKEMEKKLREVEREKMEALAIFEKTKEVLNVNKEIEKKMEEAERERDEAVAMAAKEKAMRMAATEEMKAAKRAAERETKKRKDVERNITALREAEASKIIVLSSLAQSHVSNKKSQNLHKILIAFIVFYFYFFELKRALRYE >itb01g28410.t1 pep chromosome:ASM357664v1:1:32860843:32861544:-1 gene:itb01g28410 transcript:itb01g28410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTFSNREKNKQFDQEIREMISVLTGRLTTLQHAQKVGDSSQNKDRDEDDIHGTRIVTLAGNNMGASMRGEMDEKAGLEGDSEAMKTYVNSNFQAVNNSIMLGGSYSTNDPGVHMEVVDNVIEPQSQPGKRKKKGKKKEKDSSTSDHKNDHSD >itb11g02320.t1 pep chromosome:ASM357664v1:11:1174921:1177409:1 gene:itb11g02320 transcript:itb11g02320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAYSIVPFLAGMLMVCSCVHTEANGAKKVSADIGTRLTMMSELKKKDSCNLFEGEWVMDKSYPMYNSSACPFIRKEFDCIKYGRPNQQYLTYRWQPKHCNLPRFDGKDFLERFKGKKIMFVGDSLSSNNFNSLLCLLNAAAPGKTTTELKTINSRGNTTVTFQDYRVEVIMFTSQYLVDIENESIGRVLKLESIKDGEIWKQADVLIFNSWQWWGRTGTKQPWDFIDNGDEIVKDMDRVAAFQAGLNTWAKWVETQVDHTKTKVFFQAVAAAHYHGTEWGESGVENCLDQTTPVEGSTYPAELPVPASIVKEALKKMTKPVELLDITVLSQLRKDGHPSKYNGVNAMDCTHWCVGGVPDTWNQLLYALLVSPATE >itb09g19830.t1 pep chromosome:ASM357664v1:9:16152488:16153010:-1 gene:itb09g19830 transcript:itb09g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAESVVTTESEEMVEENGEGASASTFLPELNLILIVCFLSLSSDEINSLETKMVDNVVRDDHRPTDHAVVADLHMKRRRVNEFKALGSRPIKFQTKIKDCRYSLQF >itb02g08590.t1 pep chromosome:ASM357664v1:2:5445160:5448068:1 gene:itb02g08590 transcript:itb02g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDRGKDFSVTEGSSRSPGGHGQPLTPSRYESQKRRDWNTFGQYLKNHRPPVPLSQCNSHHVLEFLRYLDQFGKTKVHLQGCIFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVKECQAKARGIPYKKKKKKAASPGKSDEDSTTHASIPFAFS >itb02g04110.t1 pep chromosome:ASM357664v1:2:2456718:2457197:-1 gene:itb02g04110 transcript:itb02g04110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLEQYSQLMLLFNVHQRMKQCEVFSRPAVFNSEVRRRQLKMEPRLEDHIPLILKMELRGTVLVVETHVSSSTPELIDFEVCPNLSLFTSLRALKIWNVKLQSAAFLLALPFLFDLHWY >itb12g19510.t1 pep chromosome:ASM357664v1:12:21917905:21920670:-1 gene:itb12g19510 transcript:itb12g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKEEDVKLGANKFRETQPLGTAAQTDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFVATFLFLYITILTVMGVKRSDGICKSVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFMKTEYMLHNGGTNSVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDDAWNDHWIFWVGPFIGAALAAVYHQIIIRAIPFKSRA >itb05g16010.t1 pep chromosome:ASM357664v1:5:23324029:23325078:1 gene:itb05g16010 transcript:itb05g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKYTIKEQVLQFLPAKSLFRCLAVCRDWMHLILTPSFHRDQSLHCRGISGLFCQTSENRIVFIPINPKSCGVPDPSLSFFPEPVEIRASSNGVLCCQGRNGNYYLCNPVTKQLKILPKPTAFHGSEPAVVLIFELSLLDSVPEYKLICAFESSEFDGATEFEIYSSRNNSWNFPGEFCYGAKIADLGSGVHINGVVYWPVGNSRILCFDLTKDRSQFLDNNPDEPDAEADCLLGTFDGKLCKVDIKLPGYQVFVSVLVNVHANTMASDDMWETRLVLDPDHTDMPLDQLDDPKLVAVSRDILVFESENRFYSYDFEHQETKILLSPPLPAESYYVRWVLYVNSLVSF >itb02g10020.t1 pep chromosome:ASM357664v1:2:6450495:6451341:1 gene:itb02g10020 transcript:itb02g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQSFHETWFEQLRQVVHELGQAPRPATSAEHHHLQQQLVQKVMSHCHDYYRLKSIAAKRDILGVFTAPWATSLERSLHWIGGWRPTTVFHLIYTESSILFESHIMDILRGLRNGDLGDLTPSQLRRVSELQCQTDGGSDIIGMMSGNLEAKMEKLAEILEKADELRMKTITNLVELLTAQQAVEFLIAAAQLMFGIRRWGINHDRRRENNVSQ >itb04g28600.t1 pep chromosome:ASM357664v1:4:32287880:32291917:-1 gene:itb04g28600 transcript:itb04g28600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELHAKDDSPLRLPVWGVDSIFGISETSSSDGFSTSFGSELGSTGTETESEDGGGGEDYDDFIAELARQMADYMLQDEDESFEDDNGVQVPPEYFPKFMQPCGCGYEGKWCNCNASSVCYYDNKELLESDSVTANQTAFMFNDSTRPIEVYRLKNQPSVIKQGHNSASRGRRAKAGELTQQRKTLTESQLEHKDAPPPQKQKYGSKKGHRRGGGKANPIKTGSGMQAIFLGRSGHRNDSHSGGTGVFLPRGTNQHPTEPKKKSGCSTVLIPVRVLQALQLHFNHMDASSSRSNICTPNHLDHDSKTRDLLSEGLRQATDIQLQPTNEMNTHEMQLPQEWTY >itb10g10160.t1 pep chromosome:ASM357664v1:10:14038040:14039982:-1 gene:itb10g10160 transcript:itb10g10160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSHSYWRQLSTLRFLLHFGEQVRWLSASFPSAVCGDFLGGVERGRYISAVGSAMAEGGGSQLRLERSARVADRAK >itb09g25650.t1 pep chromosome:ASM357664v1:9:25743853:25747934:-1 gene:itb09g25650 transcript:itb09g25650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKCILEINQSSSIISALPFASYWIGRSIACTVAYCAYLPTANIKFESELNIITTKIKDILTTCSHALEAKRAEESYQALQHALFNNSSDKLEVLMLILNVIDDNEISLSTYKVLKSVHGLNFFDEDKKVALLLTSGLDISNERITFLNLFYGDSMSTPHILWIPILDDHATWSIEQYEEFKDKMWFQTMDDPHKRIARSFTRFVKENLLPHFQIGEEPILVSLDQRGRIVHTNAMHMIQTWTPDYTEDKKLRVEARNNIIPFIEKEMKERSQGLDSLIFDIDEQISHLAREVDDKIDGWTDQINDSINKLRKHSNMYTSERENALWKKEKVWSLGLVVGKIDHRVTSWIEKERCIFLYGGNNIKWIREFTSKVHEVSLKTQSNIKLNYVGKNEKVRASIDEEHSSFFLESPYHAWRFWTRLQSALLSRINYLNAANSHGDECDDEIAQGFKKLLGYECKGATMEGWALLSKGQKVAVCGQGAKLLRVINEYESWKENMALKSFDQAFKDYYNKTLHTSCSSNSHSCCAFEYPITLKEIPTKEKCPECFHDMQKLVTFTCFHGDS >itb06g02740.t1 pep chromosome:ASM357664v1:6:4706775:4708089:-1 gene:itb06g02740 transcript:itb06g02740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNEEAPSAVKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYNTAEKAARAFDVAQFCLRGPTAQLNFPDDPPDVPGWQTFTPPEIQDVAAQYGSDYSRPPPANIIAVGRQEQGNHEEITHVMDLDDTSPSSTSEGAVQMGDIDWSFFDALDGAPTCNEFGLFSGDDLYVPPQPQPHPPPPPPPSTTDHDNETQFSHPSFLWNF >itb04g32840.t1 pep chromosome:ASM357664v1:4:35241265:35243216:1 gene:itb04g32840 transcript:itb04g32840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHMERPRRSVPQFGGWDQKGGGGGSGGGEEGTNYTVVFTQARADRKQHKTELPTAPPLHNTTNSAFAIPPPHQSAFAIPPPHQSAFAIPPPHQSAFSNPPPHHKQQLHLHHHHHQNTTVTINDASVKVLSLSLSLYIYIYVYICVCS >itb11g01300.t1 pep chromosome:ASM357664v1:11:591383:594390:1 gene:itb11g01300 transcript:itb11g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFYVFIHILYLYILTSRAENLKKLNYLSTHQTILLSFTAKMVNSDGRQLFQSVVVMRHGPRLDNFDKLWAATADRPWDPPLYSPGKSLAFDAGKKIREEVGAPIHRVFVSPFLRCLQTASETIRALSEEPDIAGAAGNPPKIKVSVEFGLSEMLNTIAIRPKVAPKDGDFKFVISQCETHLPPGTIDHTAEQVYKKLPEWQETQPDARARYMEVLRALADKYPSENLLLITHGEGVLSVASAFMEGDIKWVEYCGYSHLRRAIPAAGESCQSFAGEDFTAQSEFGFTFHDSSAKPSPS >itb07g02610.t1 pep chromosome:ASM357664v1:7:1669720:1673843:1 gene:itb07g02610 transcript:itb07g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLSWRHHTLIQALLSRGPLKEADFKSIFHTVTEKPPATHGQLFNDFIRKINAELAYVQFELRACRNQYDGSVYYGVVNNVSDEQSKLGTKYSVPQIAFYKAMVEAIVQDGTEGTISKIQAINIRLENQVLAGTSSQSQTESSQVPAAIRNFSMSQKEKTIEELVRDQWLCSTSDGKIGLGVRSFLDLRSWFRSNEVPTCEVCNEAAVKVESCPNESCNVRIHEYCLRMKFSQRKAAKVCPGCRADWPFTIAKAEYLEEEDAPAPSQSRQTRESSRKKQKTCQESVADNLGPSSTQNTASTITRIKELLEYYMETKIIDSKIFKIDLMPSHHSDAVWDECDLGEVVGILISYNTSNIASLCFFYAKNNVFQMPTQHGRLEGSSRMILLDYPTEILTSVHGYRNAKNVTSITFVTNKAKYGPFGKVYSNNWSTNDDSFDLQLESKVGLISGFHGTVYNGCIGSIGVYLTLTSTQSQFSSGQVELEYV >itb15g22810.t1 pep chromosome:ASM357664v1:15:25547504:25549028:1 gene:itb15g22810 transcript:itb15g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECKIHDRLHEICVKEAEKENIVRVIDETHALRIRRWISCQSSHWPITQASCGNSISREIHSIFFFSKEFYFSKCRLVYSCLKLLTVLDLSLVKYLHGMPSGITDLIHLRYLALSTIGSLYKFRLLKLQNLQTLIVCSWMEDYPLQLQCNILDLPQLRHLHLEKRCSQYLPSMVRENLQTLYWLKVSSSNQNPNFRMVPNLKELGIYIEGKLVPSCLKRFVKLHQLEKLKFEIGRVERFYLPTAFPSNLKKLTFCRTYLPWKKMGVIEESVESFEEEIVESSEEESVKISEEVSVESADHKKGLKARKSVMKYLKKKVLKALKGNLRLSELKRKVWRALRRK >itb14g10240.t1 pep chromosome:ASM357664v1:14:11584472:11589889:1 gene:itb14g10240 transcript:itb14g10240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDHTGSLRPSLVALKPHAMAKGGRPSRSVMPCRRGRRASQAHGQPRTTTTNPQPLSHTVTQTSKRKREMKVIAAYLLAVLGGNACPSAGDIKKILSSVGAEADDDRIELLLSQVEGKDITELIAAGREKLASVPSGGGAVAVAAPAAGGAAAAPAAEEKKEEKKVEEKEESDDDMGFSLFD >itb03g17010.t1 pep chromosome:ASM357664v1:3:15753815:15766515:-1 gene:itb03g17010 transcript:itb03g17010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQGAKAADAAPTFMPNLQENHQFVDPSQHYTSSYYAPPTSVATSWTAHGVDNYARENGVISNPGYHHDQQANTTSTNIQDGSSVASTSAASSSVAASVPQDYSNYSTYPSTNPYGYNNAGYAAYYNGYHQQSNQSYAQPVGAYQNTGAPYQPLSSFQNTGSYAGTASYSSTYYNPGNYQTAGGYPSGYSNQYDQYATYPSQQYHTYSSDSAAAYGSTATVPASQHQQQYNQWANYYTPSQTEVTCAPGTENLSVSNAPSLSCPIPGVSGGYTAANSQPPAPHAPSWKPESTTSELPTVQSTVVSGNAHDSYWNHWAPAFQNQQSSSVQHHVQNPSDVTPTYESIQNQPKTTGPPGPNFQYPTSHQMPSSYQTQMQNIHQTVPQTVPPEETHRVSKLQIPTNPRITSTLGVGLLKTDKDSSATTAAEKPAYVSVSLPKQNEKVSSQGSDSILKHGAFPKSLRGYVERALARCKDDSEKASCQAVMKEIISKATADGTLFTKDWDTEPLFPLSNSDGVNKEGLFFSTSTPNSKCKRSPSRRFKSRWEPVPEEKPMDKQESVIPNAIKYGSWNKQFSNEKTESKMHHLGHVKFSLSEQKVSSADTLRAAKRQRLGENLNAADNGEASSDSDKELKEESLTAYYSATITLADSPEERKRRESRSKRFEKGHGNKARSNHIKTKSVGAGNLYSRRATALWLSRSSEESGSKAVEDIDWDALTVKGSCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVQHSPKNYLYRCDQLKSIRQDLTVQRIHNGLTVRVYETHARLAIEAGDLAEYNQCQSQLKTLYSEGISGCNMEFAAYNLLCALLHSNNKRDLLSAMSRLSSEARENAAVKHALSVRMAVASGNYVLFFRLYRTAPNLNTCLMDLFVEKMRYAAVRCMSRSYRPTVPVPYIAQVLGFASILPTTDASDTKETDGVEECLEWLKAHGACLSSDNSGEMLLDTKTSASSLFMPEPEDAVSHGDASLAVNDFLTRSLA >itb03g17010.t2 pep chromosome:ASM357664v1:3:15753900:15766515:-1 gene:itb03g17010 transcript:itb03g17010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQGAKAADAAPTFMPNLQENHQFVDPSQHYTSSYYAPPTSVATSWTAHGVDNYARENGVISNPGYHHDQQANTTSTNIQDGSSVASTSAASSSVAASVPQDYSNYSTYPSTNPYGYNNAGYAAYYNGYHQQSNQSYAQPVGAYQNTGAPYQPLSSFQNTGSYAGTASYSSTYYNPGNYQTAGGYPSGYSNQYDQYATYPSQQYHTYSSDSAAAYGSTATVPASQHQQQYNQWANYYTPSQTEVTCAPGTENLSVSNAPSLSCPIPGVSGGYTAANSQPPAPHAPSWKPESTTSELPTVQSTVVSGNAHDSYWNHWAPAFQNQQSSSVQHHVQNPSDVTPTYESIQNQPKTTGPPGPNFQYPTSHQMPSSYQTQMQNIHQTVPQTVPPEETHRVSKLQIPTNPRITSTLGVGLLKTDKDSSATTAAEKPAYVSVSLPKQNEKVSSQGSDSILKHGAFPKSLRGYVERALARCKDDSEKASCQAVMKEIISKATADGTLFTKDWDTEPLFPLSNSDGVNKEGLFFSTSTPNSKCKRSPSRRFKSRWEPVPEEKPMDKQESVIPNAIKYGSWNKQDYFLQFSNEKTESKMHHLGHVKFSLSEQKVSSADTLRAAKRQRLGENLNAADNGEASSDSDKELKEESLTAYYSATITLADSPEERKRRESRSKRFEKGHGNKARSNHIKTKSVGAGNLYSRRATALWLSRSSEESGSKAVEDIDWDALTVKGSCQEIEKRYLRLTSAPDPATVRPEEVLEKALLMVQHSPKNYLYRCDQLKSIRQDLTVQRIHNGLTVRVYETHARLAIEAGDLAEYNQCQSQLKTLYSEGISGCNMEFAAYNLLCALLHSNNKRDLLSAMSRLSSEARENAAVKHALSVRMAVASGNYVLFFRLYRTAPNLNTCLMDLFVEKMRYAAVRCMSRSYRPTVPVPYIAQVLGFASILPTTDASDTKETDGVEECLEWLKAHGACLSSDNSGEMLLDTKTSASSLFMPEPEDAVSHGDASLAVNDFLTRSLA >itb05g15060.t1 pep chromosome:ASM357664v1:5:22416530:22420410:1 gene:itb05g15060 transcript:itb05g15060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVDCWNSRTVNRYILFCIIILFVQSTFAQQGFISIQCCGDGNVTEPSTNISWISDENWYPKRDGCQDIIDEPRKARFFSLDQGRKWCYTLPTWRDQDYLVRGTFISGNLQNTSFDVLIGATPIAQVKSVNNEETVEGIFKAITNYTNLCLLKNKGEPYISRLELRPINSNYLNKEPPHVLKLVHRVDAGNQGADIRYPYDPHDRIWRPNVHNGSNFTTILSLMADNDNFTLDKKFAPPVEVLKTAVIHPERLEFVHEDLDTGHYNYVLHLHLFELNGSVQAGQRVFDIYINNEMRQRIDILASNSRYQVVVLNFTANRFLNLTLAKASNVSQLGPICTAYEIFQALPWTKETAPEEGDTIINVKNELLQHRKIHYSGKFVSSIKESNHTTANTTKNGNRSSKPTSAIVIAAAVGGSVVSIAAIIIIVVYLYKRRARVLRSYSMKRHSMTRNAIFSMPCTDTSSSKSTPQYFTLAYIMSATQNYMTLIGEGGFGSVYRGTLPDGEEVAVKVRSATSTQGTREFDAELNLLSAIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEASKRKILDWPTRLSIALGAARGLLYLHTFAERCVIHRDVKSSNILLDHSMCAKVADFGFSKYAPQEGDSGTSLEVRGTAGYLDPEYYSTQHLSTKSDVFSFGVVLLEIVSGREPLNVKRPRNEWSLAEWAKLQIRNSTVEEIVDPGIKGGYHAEALWRVVEAALACIEPYSAYRPCMADIVRELEDALIIENNASEYMKSIDSFGGSNRFSIERSGVLSAAPKFQTDASNTHLKPPPPQPR >itb09g08910.t1 pep chromosome:ASM357664v1:9:5322341:5325409:1 gene:itb09g08910 transcript:itb09g08910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTTLPPLFYLVIFLCLFSALAQPDKAKNTIRCIERERLALLDFKQGLVDDYGLLSSWGSDDDCCNWWGVHCNNSTGHVTMLDLHAPLDDEYGYYAGKQLSGHKVSPSLLELKHLNYLDLSYNDFQGTPIPEFIDSFKRLHVLSLVDTGFTGTIPPQLGNLTRLRVLNIAGYNNLEIKNFEWLSLLLSLKSIYLGGVPIYNLKASVRLPPFLEEIRLQYCELQETLPFLLNSSSSFLSVVDFSNNHLTYSSVSRLLRNASKQLTSIDLSSNNFAGPIPDIFGDMTLLENLYLDFNSFTYGIPKSFGNLTHLREISIYYNQLNESIVELFEKLSRGAGNSLQSLELSENKLNGELPADISTKFPSLRQLRAGENQLNGSFPSFGLPPSLEDLALYSNKITGFSQGINVFVQKYGLVSLDLFNNQITEKFPDLSHFPSLTSLDLSGNQLQGGLPKTIRKLSKLEELYASSNLLEGDVTEAHFSNLTNLQFLDLSNNVALSFNLRSSWSPPFQLRSLSLAKCKVGPQFPKWLQTQSKVLEVDISFGGISDKIPNWFWNMSKSYQSLNLSYNNIGGRLPHFLPPIVDLGSNKFWGPILINPQEASILHLSNNKFVGSISFLCSISSATSIDLSYNQLSCEIPDCWNKSFVDDLHVLNFANNRFSGKVPNSLGSLIELESLHLRNNNLTGELPLSLQNCTSLSVVDFGGNKFTGRIPSWIGGSLTNLLIISPRHNKFYGDVPLSICHLKSIQILDLSKNELTGKIPPCFNNFTYLMQNSSSVGSTMQNLMLNSSSFRPGNDYYIDNILIQWKNQEWEYRKQLGLLKAIDLSSNRLIGDIPEELSGLKGLILLNLSNNHLTGTIFVTIYQIEHLEVLDLSQNQLSGEIPIGLARLNYLDVLDLSNNFLSGKIPTGTQLQSFDVSSYAGNIGLCGDPLPKCSPDVPPQRKINDYQEGDTFLDREFYISMVLGFSLCFWGIVVTLVLKNSWRTAYYEFLNDVKDWLYVKVKIYLGRLQQKLRRTRCMIQ >itb01g22860.t1 pep chromosome:ASM357664v1:1:28961346:28976594:-1 gene:itb01g22860 transcript:itb01g22860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIELCDLIADNPVQFEKKLVWICGRCPSAEALLVGSPSVSRYQLHAVLALTRFLSRCSNCEDERPKSLVIAFFRSIPSSFNLSFWPPSFRAEAIASFYNDFLGYLSKASDISLDFSTDVAAYTGVVLTSALAHLKGDLKISKAFMNAMARNFPPILPSDANKLISTLIEKFDIPVPTSPRDAASNGSSGVWKSNMDLLAANMGYNDDGAGAGAHRQAITAFEEEAVESLEKREMAFKLIGHIMDRVTIDAALLEQVRGIARDQLHSMVSFLKIRKSDWSDQGQYLKVRINTKLSVYQAASWLQIKTLSYLDSDAKSSKRLLHGALALLVEAAEACLLSMWRKLRACEELFSTLLFGISQAAAARSGQLLRVLLIRFKPLVLATCARGDTWSSSQGAMFESVLKTSCEIIELGWQKDRSPIETFIMGLATSIRERNDYGEEQEKEKQEAPAVQLNVIQLLADLNVSVNKPEVVDMILPMFVESLEEGDASLPGLLRLRLLDAVSRMASLGFEKSYREAIVLMTRSYMSKLASIDSAESKDLPEEATTERVETLPAGFLQIASGLTNPKLRLDYRHRLLSLCSDVGLAAESKSGRSGAGLLGPLLPAVAEICSDFDPTSDIEPSLLKLFRNLWFYIALFGLAPPVTKYQGTTKSAPTAQNNVGNTAATAPQEVGGTNANAQWSAAVKCISHGTPPLVVSSVKWLEDELELNALHNPSSRRGSGNEKAALSQRTALSAALGGRVDVSAMSTISGVKATYLLAVAFLEIIRFISNGGILNVHPSSTASRSSFSCVFEYLKSPNLVPAVSQCLTAIVHRAFETALSWLEDQTSDSGRASETRETTLSIHACFLIKNLTQRDEHVRDISVNLLNQFRDRFPQILWNSSCLDSLLLSVQNDPSSALVNDPAWVATVRSLCQKTVREWIIVSLSYAPCTSQGLLQERLCIANTLQKAQPTTDVVSLLSEIKIGTGKNDNWSGTKTANIPAVIAAAAAASGANLKLTEAFNLEVLSTGIVSANVKCNHAGEIAGMRRLYETIGGVDPMSAFGNGQSLDLPGYGPGALTQNPQSKNGSFCEVLLTRFVRLLQKFVNTAEKGDEVDKSSFRETCSQATALLLSYLGSDAKSNIESFSQLLRLLCWCPAYILTPDAMETGIFIWTWLVSAAPQFGPIILAELVDAWLWTVDTRRGLFASEARYSGPAAKLRPHLQPGEPELLPGNGHVDQIMAHRLWIGFFIDRFEVVRQDSVEQLLLLGRLLQGSTKLPWNFTHHPCATGTFFTLMLLGLKFCSCKSQGSSQNFRTGLQLLEDRIYRSALGWFSHKPDWFDMSNNNFALSEAQSVSVFVHHLLNEPLDTQLDTKGQVPENGSSNDAKDQYHPVWGKIENYAAGKEKRKQLLLMLSQHEADRLEVWAHPVAKESALRPKISSEKMVDYAKTAFAVDPRIALALASRFPTNNALKGEVTQLVQSHILEIRNIPEALPYFVTPKAIDENSSLLQQLPHWAAASITMVLDFLTPPYKGHPRVMAYVLRVLESYPPDSVTFFMPQLVQALRYDDEKLVQGYLLRATTRSDVFAHILIWMLQGETCEPEAGVELSPEAAAKNAAFLELLPEVRQQIIDGFNDSAREVFTREFNFFDKVTSISGVLFPIPKEERRAGIRRELEKIEMDGDDLYLPTDPLKLVRGIQVDSGIPLQSAAKVPIMITFNVVDKDGDHNDIKPQACIFKVGDDCRQDVLALQVISLLKDIFAAVGLKLYLYPYGVLPTGPERGIIEVVPNSRSRSQMGETNDGGLYEIFQQDFGPVGSPGFETARMNFIISSAGYAVASLLLQPKDRHNGNLLFDSVGRLVHIDFGFILETSPGNNMRFESAHFKLSHEMTQLVDPSGVMKSETWYQFVSLCVKGYLAARSYTDGITNTVLMMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRTCQDAYNKWTTAGYDLIQYLQQGVEK >itb01g07830.t1 pep chromosome:ASM357664v1:1:6198949:6201522:-1 gene:itb01g07830 transcript:itb01g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSPLFHFLLLFPTTLIYAYSDLDTLLKLKASLVGPGSSELGDWVAGNSQAHCFFSGIACDQDSRVISIAISDVPLLGSLPPEIGLLDRLLNLTLASVNLTGALPSEMAKLTSIKAITISNNLFSGHFPGEILVGMTELQVLDVYNNNFSGRLPHELVKLKKLKVVNLGANYFTGEIPEIYSNISSLHTLNLQVNSLTGTIPASLAQLQNLRELRLGHFNTFESGIPPELGSITTLQMLGLSECNLSGEIPPSLGNLQQLRTLFLYGNSLTGHIPPELSGLGSLMSLDLSGNNMMGEIPQSLAQLKSLTWINLSRNKFQGTIPEFIGDLPKLEVLQLWNNNFTSELPVNLGRNSRLRWLDVASNQISGRVPENLCMGGKLRALILMENNFSGPFPQDLGECKSLNVVRVEKNYLNGTIPPGFFNLPLLNRLHLQDNYFSGELPTKMLAKNLTDLDLHNNRISGQIPPAFGNLENLWKLSLHSNRFSGKIPSEISHLKKLVTMDLSSNSLTAEIPASIAQCTQLNSLDVSANNLTGRIPKEISFLGSLNALNLSRNLLTGSIPSELGLMNSLTVLDLSFNDFSGLIPTNGQLRIFDNRSFYGNPRLFYSPPSSSPVNHNNHFWTRKRILIITVLILGTAAFLSAVAWLRCIIVARREKIRKSNNAWKLRAFKKLEYKVDDVVECLKEENIIGQGGAGTVYKGSMPDGVIIAIKRLDRQGIGRRDLGFSAEIKTLGRIRHRHIVSLLGYVSNIDTNLLLYEYMPNGSLSEILHGTNGANLLWEMRFRIAVEAAKGLCYLHHDCSPPIIHRDIKSNNILLTTDYMACIADFGLAKSFNNVGVSEYMTSCVGTFGYIAPG >itb04g12500.t1 pep chromosome:ASM357664v1:4:12239256:12243454:1 gene:itb04g12500 transcript:itb04g12500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDEEGEEYLFKVVIIGDSAVGKSNLLSRYARDEFNLHSKSTIGVEFQTQTLEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALVVYDISRRTTFDSIPRWLDELKTHSDTTVARMLVGNKCDLGDIRAVTVEEGKSLAEAEGLFFMETSALDSTNVKQAFEIVIREIYNNISRKVLNSDSFKEEVSVNRVSLVSNGGPDGSKQKQGYSCCSR >itb02g18990.t1 pep chromosome:ASM357664v1:2:15717202:15720379:-1 gene:itb02g18990 transcript:itb02g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSKSSTSSCLTVEDMDGTELRRGPWTPEEDTLLIHYIACHGEGRWNLLAKSSGLRRTGKSCRLRWLNYLKPDVKRGNLSPQEQLLILELHSKWGNRWSKIAQFLPGRTDNEIKNYWRTRVQKQARHLKIDSNSAAFQQLIRGFWMPRLIQKIQASSIQHSDEILNTQSISQQVPAPAPHDCHQTTINSGLENNSCTTPNLKLSECPKTALSNDPKFEPCPNGHHQYYANHVMDGFSPSPFPETGEFKDVIDYDLLGTGGGGNSLIMDEFLDDSLWSMDKF >itb09g12180.t1 pep chromosome:ASM357664v1:9:7678674:7680578:1 gene:itb09g12180 transcript:itb09g12180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDRLIISFSFLSSILPPNVVIILIISLFLSYKWLSKQKAAKQFPLPPGPKSWPVVGCFPQMLRNKPVFRWMINLMAEMNTEIACFRLGGTNVISVTSPEVAREILKKQDTVFASRPTCMSAELASGNYLISLFSPLGDQWKKMRRVLTSHVLSPNTLNWLAHKRAVEADHLVRYIYNQCRNAAGCGVVDVRAVGRHFCGNVIRQMVFSKRFFGAGTEDGGPGVEEEEHIDATFGVLAYVYSFGVSDYFPWLRMFDLDRHRKALKKGVEGVRKYQDPEVDERIRMWNDGTKTEQEDILDVLINLRCLTSEEIKAHLLELMVTIVDNPSNAVEWVLAEMLNQPEILRRAMEELDNVVGRERLVQESDLSKLNYLNACLKEVFRVHPLSAFVPPHLCSSDTTVSNYFIPKGSHVIISRHGLGRNPKNWEEPLRFKPERHLKNDGSEVSLVDPEVRVLTFSSGRRGCPGVQLGSLVSGMLLGRILQGFEWSVPREMSGGRIDLKESKDSLLLAKPLNALAKPRLPHYVYSSLP >itb15g08950.t1 pep chromosome:ASM357664v1:15:6367386:6368273:-1 gene:itb15g08950 transcript:itb15g08950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNSSSFTPSNSRPLFSLPDGWGVEEIPRSYGSKFDKYYLEPETGKKFRSLREVERHLNGETVTRRQKSTELIASNPPEVNWGIASPKGDAWNPMVDDTLISDAVKRQWRKRFVSAMNNESSAVIPTERPILTEQQPQITENIEQTQSGEGGRQDEGGLRRSSRTKKVAYHHQDFVYL >itb02g15460.t1 pep chromosome:ASM357664v1:2:11252592:11254168:1 gene:itb02g15460 transcript:itb02g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAAEEMDNESDGGSGRMSRPPSEVSLCPTEDEEDFTPMGPKCSIKEHLEKDKDDESLRRWKEQLLGSVDVIASAEAQEPDVKILSLTIISTDRPDIVLQMPESGSPKGLWFTLKEGSKYRLRFEIKVSNEIVCGLRYINTVWKTGLKVDGSKEMLGTFSPQAEPYTHEMPEETTPSGMFARGTYSARTKFIDDDKKCYLDINYTFDIQKDWATTS >itb13g17660.t1 pep chromosome:ASM357664v1:13:24613795:24617418:-1 gene:itb13g17660 transcript:itb13g17660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQTPPSTTAASSATSAITRLLSTPFIFVATSFFSLLLIVSLFTLPSTPPPSQPDTSLFPNHPSHPHRVLIHGDLSSPPPRPPSIAYYISGSAGDSGRIVRLLFAVYHPRNHYLLHLDRSAPQSDRDLLALSVNTVPLFRAARNVHVLGNADFVYSKGSSSISSTLHGASILLRISSSWDWFINLSSADYPLVTQDDLLHILSYLPKDLNFVNHTSYIGWRESQKLKPIIVDPGLYLVEDSEIFYATQQRPLPDSFRVFRGSSYSILSRKFLDFCILGTENFPRTLLMYLSNTPSSDAVYFPTVLCNSRQFNRTIINHNLQYVSLNSRKEARVLNSSDFLGMIRSGAAFASPFQERDPILDQIDSEILHRSLGKPVPGGWCLGNGENDRCAVWGDADVLRPGVGAKRLEKHFIELFADGRLQSQQCIEE >itb05g26880.t1 pep chromosome:ASM357664v1:5:30543651:30546076:-1 gene:itb05g26880 transcript:itb05g26880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVITAGDASSTLRSYSVQPPVTLSNLTLVTALLSCALAQFLKFFTAWFKEKRWDSGKMLSSGGMPSSHSATVSALAAVIGFQDGAGGSAFAIAVVLACIVMYDATGVRHHAGRQAELLNQIVCELPPEHPVSSIRPLRDSLGHTPIQVLAGALLGCVVAYLMKWST >itb05g26880.t2 pep chromosome:ASM357664v1:5:30543767:30546041:-1 gene:itb05g26880 transcript:itb05g26880.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVITAGDASSTLRSYSVQPPVTLSNLTLVTALLSCALAQFLKFFTAWFKEKRWDSGKMLSSGGMPSSHSATVSALAAVIGFQDGAGGSAFAIAVVLACIVMYDATGVRHHAGRQAELLNQIVCELPPEHPVSSIRPLRDSLGHTPIQVLAGALLGCVVAYLMKWST >itb02g12020.t4 pep chromosome:ASM357664v1:2:8066605:8083115:-1 gene:itb02g12020 transcript:itb02g12020.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDAMDHIDLPTPALIKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFNKGELEEQPGCDAAQTLEANITKELNAIRVKTGQVCTNTLHWRNSPLIMSQCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFPTKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDDLDFAKIVKCRIENTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSFLSIDAYIVKESILQTKNIKLKEQHIKVLDPKKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVGRAVINKAEKEKEMETEKEKYNLLVEGTGLLAVMGTEGVVGRHTKTNHIMEVNQVLGIEAARRRIIEQIKDIMSDYKMTIDLRHMMLLADLMTFKGEVLGITRFGMQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMDMGTGMFKVMQSNTSHPVELNYGKESIIPRD >itb02g12020.t5 pep chromosome:ASM357664v1:2:8066585:8083115:-1 gene:itb02g12020 transcript:itb02g12020.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDAMDHIDLPTPALIKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFNKGELEEQPGCDAAQTLEANITKELNAIRVKTGQVCTNTLHWRNSPLIMSQCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFPTKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDDLDFAKIVKCRIENTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSFLSIDAYIVKESILQTKNIKLKEQHIKVLDPKKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVGRAVINKAEKEKEMETEKEKYNLLVEGTGLLAVMGTEGVVGRHTKTNHIMEVNQVLGIEAARRRIIEQIKDIMSDYKMTIDLRHMMLLADLMTFKGEVLGITRFGMQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMDMGTGMFKVMQSNTSHPVELNYGKESIIPRD >itb02g12020.t1 pep chromosome:ASM357664v1:2:8066544:8086494:-1 gene:itb02g12020 transcript:itb02g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKREEIQFTKQPYIEDVGPRKIKSIQFSTFSGSEVMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCDGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKLEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDAMDHIDLPTPALIKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFNKGELEEQPGCDAAQTLEANITKELNAIRVKTGQVCTNTLHWRNSPLIMSQCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFPTKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDDLDFAKIVKCRIENTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSFLSIDAYIVKESILQTKNIKLKEQHIKVLDPKKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVGRAVINKAEKEKEMETEKEKYNLLVEGTGLLAVMGTEGVVGRHTKTNHIMEVNQVLGIEAARRRIIEQIKDIMSDYKMTIDLRHMMLLADLMTFKGEVLGITRFGMQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMDMGTGMFKVMQSNTSHPVELNYGKESIIPRD >itb02g12020.t3 pep chromosome:ASM357664v1:2:8066585:8086494:-1 gene:itb02g12020 transcript:itb02g12020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGKLMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCDGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKLEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDAMDHIDLPTPALIKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFNKGELEEQPGCDAAQTLEANITKELNAIRVKTGQVCTNTLHWRNSPLIMSQCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFPTKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDDLDFAKIVKCRIENTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSFLSIDAYIVKESILQTKNIKLKEQHIKVLDPKKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVGRAVINKAEKEKEMETEKEKYNLLVEGTGLLAVMGTEGVVGRHTKTNHIMEVNQVLGIEAARRRIIEQIKDIMSDYKMTIDLRHMMLLADLMTFKGEVLGITRFGMQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMDMGTGMFKVMQSNTSHPVELNYGKESIIPRD >itb02g12020.t2 pep chromosome:ASM357664v1:2:8066544:8086494:-1 gene:itb02g12020 transcript:itb02g12020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKREEIQFTKQPYIEDVGPRKIKSIQFSTFSGSEVMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCDGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKLEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDAMDHIDLPTPALIKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFNKGELEEQPGCDAAQTLEANITKELNAIRVKTGQVCTNTLHWRNSPLIMSQCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFPTKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDDLDFAKIVKCRIENTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSFLSIDAYIVKESILQTKNIKLKEQHIKVLDPKKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVGRAVINKAEKEKEMETEKEKYNLLVEGTGLLAVMGTEGVVGRHTKTNHIMEVNQVLGIEAARRRIIEQIKDIMSDYKMTIDLRHMMLLADLMTFKGEVLGITRFGMQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMDMGTGMFKVMQSNTSHPVELNYGKESIIPRD >itb05g06240.t1 pep chromosome:ASM357664v1:5:6481548:6482541:1 gene:itb05g06240 transcript:itb05g06240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAYPDVPVEMLKLFHSMDRELYSILVFELERDPKVSVNAIALWIWLELDVLDDVVPNILLSSIKSINGLADEALACLRCITDATYLSSSDASEISLSQSVLGEQLSLKFFHENRSQAYLGVRSVVENVCVKVLDDLMEMAMTRNTERRALKTQMVMIPPVEPMMPRFGGLTCTGESSRSVVPPMDSMISRFGGIRLTGESSQSVVPPVDPTIQRFGGLKLTGDSSQSAVPPLHMEEPKENRTVFATFSRGYPVFEWELRSHFYRMFGHCVESITMQPVKPNEQSLFALIVFTSPAIVDLALRGMEKAKFMVKGKQVWMRKYARKA >itb03g23930.t1 pep chromosome:ASM357664v1:3:22371086:22376826:-1 gene:itb03g23930 transcript:itb03g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTDANSKKSLKRSRSSSCEMPLTDTNIVKPLEDCLLFPVEEIVQYPLPGYGAPTSISFSPDDSLVTYLFSPNQTLNRKVYVFDLKNGKQELLFSPPDGGLDENNLSAEEKLRRERSRERGLGVTRYEWVKTSSIRKTVMVPLPAGIYFQDLHGEPELKIPSASSSPIIDPHISPDGTKLAYVKDNELNVFDLIHNKSKQLTSGANGNIIVHALAEYIAQEEMDRKNGYWWSLDSKFVAFTKVDSSDIPLFRIMHQGKSSTGPEAQEDHAYPFAGGSNVKVHLGVVSSNGGPVTWMDLLCGGKYGTNDAEEYLARVNWMHGNILTAQILNRSHSKLKILKFDIKTGERKVLMVEENDTWINLHDCFTPLDKGLNRSPGEFIWASEKTGFRHLYLHDSNGTCLGPLTEGDWMVEQVVGVNEAAGLVYFMGTLDSPLESHLYCAKLFPDMNSPLQAPLRLTQGRGKHVVVLDHQMQRFVDIHDSLVAPPKISLFSLHDGNFIMHLHDQPIVIPRFKMLQLEPPEIIQIQAKDGTTLYGALYKPDEARFGPPPYKTMVQVYGGPSVQLIGDSWTNTVDMRAQFLRSKGVLVWKMDNRGTARRGLKFEGALKNNCGGVDTEDQATGAEWLVKHGIAKEGHIGLYGWSYGGYLSAMTLARFPEVFRCAVSGAPVTSWDGYDTFYTEKYMGLPCENQSGYTESSVMHHVSKIKGKLLLVHGMIDENVHFRHTARLINALVATGKVYELLIFPDERHMPRRHRDRIYMEERIWDFIERNL >itb15g18220.t2 pep chromosome:ASM357664v1:15:19714012:19723213:-1 gene:itb15g18220 transcript:itb15g18220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRGLEEQLAEAGNKLLKPPSSVDELLPLLDEAESFLTKVEQSPAKSMHDALSPMIKALVADELLRHSDVDVKVALASCLSEITRITAPDAPYDDEKMKVVFQLIVSSFENLYDESSRSFSKRAMILETVSKVRLCVVMLDLECDALISDMYQHFLKAIREYHPDNIFSSMESIMILVLEESEDISMDLVTKLLDSVKKENEEIKPIGKRLAESVVAKCASKLKPYITHAVKSLGISLDEYSKVVSSVLQGTPVAAEHNNDTLKELSCVESKLASSAERAHETQTVENKASTVSSDELGQANSSMKSEPDKSDYSAKEQGGKSNSQTNSAESLDQAPDNTEKETEKLPDSQRSERDVHGSLVENPPDNHPDEQKSKGEDIDSLPADNTTDEAAKLLDENKTSAQISPHKTSEDEAANVASSHPSQSLPDEIHEEEEPTQPKEDDDLVQDESVSTEIASKKPSDGTSDSEAQPQEDPGIRVQTETSHEEEAGTMSDAEAKDIKQRGEKAETNITEGGSSIRKKNNTKRRGRGKANMDIDVPKSSAKDDSNKMSSQESPQRSAEDDGKEGSQRKNTKRKRPLGKEKVPDIAYDESLVGSKVKVWWPLDSVFYEGVVESYDPVKKKHMVLYTDGDEEFLNLRKERWEIVGEHLMGESDLDEPSSPDASAEMQKKKKTRRQAETSKHDSGGSKAKGTATKSGRKSKDDVIVEPKSKDRGLKSGGKSKDVTSNKLKNDSEKGSGKLKDVISSSSPRSKSKTDISPKTASKSQQDTVTKSIPRSKGKALQSGGKPSANGGTGKGKSMSKGKETGDVKEKSTEVAKTPDSGKGKASGASKEQETESKIGKKRKRK >itb15g18220.t1 pep chromosome:ASM357664v1:15:19714012:19723204:-1 gene:itb15g18220 transcript:itb15g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRGLEEQLAEAGNKLLKPPSSVDELLPLLDEAESFLTKVEQSPAKSMHDALSPMIKALVADELLRHSDVDVKVALASCLSEITRITAPDAPYDDEKMKVVFQLIVSSFENLYDESSRSFSKRAMILETVSKVRLCVVMLDLECDALISDMYQHFLKAIREYHPDNIFSSMESIMILVLEESEDISMDLVTKLLDSVKKENEEIKPIGKRLAESVVAKCASKLKPYITHAVKSLGISLDEYSKVVSSVLQGTPVAAEHNNDTLKELSCVESKLASSAERAHETQTVENKASTVSSDELGQMAVDTKQEACSKDENQAVNTSPKSVTSSGVNGGNSVETESLAKSGDHGPNQTDIAKLTPKSDTDDSQANSSMKSEPDKSDYSAKEQGGKSNSQTNSAESLDQAPDNTEKETEKLPDSQRSERDVHGSLVENPPDNHPDEQKSKGEDIDSLPADNTTDEAAKLLDENKTSAQISPHKTSEDEAANVASSHPSQSLPDEIHEEEEPTQPKEDDDLVQDESVSTEIASKKPSDGTSDSEAQPQEDPGIRVQTETSHEEEAGTMSDAEAKDIKQRGEKAETNITEGGSSIRKKNNTKRRGRGKANMDIDVPKSSAKDDSNKMSSQESPQRSAEDDGKEGSQRKNTKRKRPLGKEKVPDIAYDESLVGSKVKVWWPLDSVFYEGVVESYDPVKKKHMVLYTDGDEEFLNLRKERWEIVGEHLMGESDLDEPSSPDASAEMQKKKKTRRQAETSKHDSGGSKAKGTATKSGRKSKDDVIVEPKSKDRGLKSGGKSKDVTSNKLKNDSEKGSGKLKDVISSSSPRSKSKTDISPKTASKSQQDTVTKSIPRSKGKALQSGGKPSANGGTGKGKSMSKGKETGDVKEKSTEVAKTPDSGKGKASGASKEQETESKIGKKRKRK >itb15g18220.t5 pep chromosome:ASM357664v1:15:19713956:19723160:-1 gene:itb15g18220 transcript:itb15g18220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRGLEEQLAEAGNKLLKPPSSVDELLPLLDEAESFLTKVEQSPAKSMHDALSPMIKALVADELLRHSDVDVKVALASCLSEITRITAPDAPYDDEKMKVVFQLIVSSFENLYDESSRSFSKRAMILETVSKVRLCVVMLDLECDALISDMYQHFLKAIREYHPDNIFSSMESIMILVLEESEDISMDLVTKLLDSVKKENEEIKPIGKRLAESVVAKCASKLKPYITHAVKSLGISLDEYSKVVSSVLQGTPVAAEHNNDTLKELSCVESKLASSAERAHETQTVENKASTVSSDELGQMAVDTKQEACSKDENQAVNTSPKSVTSSGVNGGNSVETESLAKSGDHGPNQTDIAKLTPKSDTDDSQANSSMKSEPDKSDYSAKEQGGKSNSQTNSAESLDQAPDNTEKETEKLPDSQRSERDVHGSLVENPPDNHPDEQKSKGEDIDSLPADNTTDEAAKLLDENKTSAQISPHKTSEDEAANVASSHPSQSLPDEIHEEEEPTQPKEDDDLVQDESVSTEIASKKPSDGTSDSEAQPQEDPGIRVQTETSHEEEAGTMSDAEAKDIKQRGEKAETNITEGGSSIRKKNNTKRRGRGKANMDIDVPKSSAKDDSNKMSSQESPQRSAEDDGKEGSQRKNTKRKRPLGKEKVPDIAYDESLVGSKVKVWWPLDSVFYEGVVESYDPVKKKHMVLYTDGDEEFLNLRKERWEIVGEHLMGESDLDEPSSPDASAEMQKKKKTRRQAETSKHDRSDVSPRRFVIHLFFCLCQ >itb15g18220.t6 pep chromosome:ASM357664v1:15:19714033:19723213:-1 gene:itb15g18220 transcript:itb15g18220.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRGLEEQLAEAGNKLLKPPSSVDELLPLLDEAESFLTKVEQSPAKSMHDALSPMIKALVADELLRHSDVDVKVALASCLSEITRITAPDAPYDDEKMKVVFQLIVSSFENLYDESSRSFSKRAMILETVSKVRLCVVMLDLECDALISDMYQHFLKAIREYHPDNIFSSMESIMILVLEESEDISMDLVTKLLDSVKKENEEIKPIGKRLAESVVAKCASKLKPYITHAVKSLGISLDEYSKVVSSVLQGTPVAAEHNNDTLKELSCVESKLASSAERAHETQTVENKASTVSSDELGQANSSMKSEPDKSDYSAKEQGGKSNSQTNSAESLDQAPDNTEKETEKLPDSQRSERDVHGSLVENPPDNHPDEQKSKGEDIDSLPADNTTDEAAKLLDENKTSAQISPHKTSEDEAANVASSHPSQSLPDEIHEEEEPTQPKEDDDLVQDESVSTEIASKKPSDGTSDSEAQPQEDPGIRVQTETSHEEEAGTMSDAEAKDIKQRGEKAETNITEGGSSIRKKNNTKRRGRGKANMDIDVPKSSAKDDSNKMSSQESPQRSAEDDGKEGSQRKNTKRKRPLGKEKVPDIAYDESLVGSKVKVWWPLDSVFYEGVVESYDPVKKKHMVLYTDGDEEFLNLRKERWEIVGEHLMGESDLDEPSSPDASAEMQKKKKTRRQAETSKHDRSDVSPRRFVIHLFFCLCQ >itb15g18220.t4 pep chromosome:ASM357664v1:15:19714012:19723264:-1 gene:itb15g18220 transcript:itb15g18220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRGLEEQLAEAGNKLLKPPSSVDELLPLLDEAESFLTKVEQSPAKSMHDALSPMIKALVADELLRHSDVDVKVALASCLSEITRITAPDAPYDDEKMKVVFQLIVSSFENLYDESSRSFSKRAMILETVSKVRLCVVMLDLECDALISDMYQHFLKAIREYHPDNIFSSMESIMILVLEESEDISMDLVTKLLDSVKKENEEIKPIGKRLAESVVAKCASKLKPYITHAVKSLGISLDEYSKVVSSVLQGTPVAAEHNNDTLKELSCVESKLASSAERAHETQTVENKASTVSSDELGQMAVDTKQEACSKDENQAVNTSPKSVTSSGVNGGNSVETESLAKSGDHGPNQTDIAKLTPKSDTDDSQANSSMKSEPDKSDYSAKEQGGKSNSQTNSAESLDQAPDNTEKETEKLPDSQRSERDVHGSLVENPPDNHPDEQKSKGEDIDSLPADNTTDEAAKLLDENKTSAQISPHKTSEDEAANVASSHPSQSLPDEIHEEEEPTQPKEDDDLVQDESVSTEIASKKPSDGTSDSEAQPQEDPGIRVQTETSHEEEAGTMSDAEAKDIKQRGEKAETNITEGGSSIRKKNNTKRRGRGKANMDIDVPKSSAKDDSNKMSSQESPQRSAEDDGKEGSQRKNTKRKRPLGKEKVPDIAYDESLVGSKVKVWWPLDSVFYEGVVESYDPVKKKHMVLYTDGDEEFLNLRKERWEIVGEHLMGESDLDEPSSPDASAEMQKKKKTRRQAETSKHDRSDVSPRSGGSKAKGTATKSGRKSKDDVIVEPKSKDRGLKSGGKSKDVTSNKLKNDSEKGSGKLKDVISSSSPRSKSKTDISPKTASKSQQDTVTKSIPRSKGKALQSGGKPSANGGTGKGKSMSKGKETGDVKEKSTEVAKTPDSGKGKASGASKEQETESKIGKKRKRK >itb15g18220.t3 pep chromosome:ASM357664v1:15:19714012:19723213:-1 gene:itb15g18220 transcript:itb15g18220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGRGLEEQLAEAGNKLLKPPSSVDELLPLLDEAESFLTKVEQSPAKSMHDALSPMIKALVADELLRHSDVDVKVALASCLSEITRITAPDAPYDDEKMKVVFQLIVSSFENLYDESSRSFSKRAMILETVSKVRLCVVMLDLECDALISDMYQHFLKAIREYHPDNIFSSMESIMILVLEESEDISMDLVTKLLDSVKKENEEIKPIGKRLAESVVAKCASKLKPYITHAVKSLGISLDEYSKVVSSVLQGTPVAAEHNNDTLKELSCVESKLASSAERAHETQTVENKASTVSSDELGQANSSMKSEPDKSDYSAKEQGGKSNSQTNSAESLDQAPDNTEKETEKLPDSQRSERDVHGSLVENPPDNHPDEQKSKGEDIDSLPADNTTDEAAKLLDENKTSAQISPHKTSEDEAANVASSHPSQSLPDEIHEEEEPTQPKEDDDLVQDESVSTEIASKKPSDGTSDSEAQPQEDPGIRVQTETSHEEEAGTMSDAEAKDIKQRGEKAETNITEGGSSIRKKNNTKRRGRGKANMDIDVPKSSAKDDSNKMSSQESPQRSAEDDGKEGSQRKNTKRKRPLGKEKVPDIAYDESLVGSKVKVWWPLDSVFYEGVVESYDPVKKKHMVLYTDGDEEFLNLRKERWEIVGEHLMGESDLDEPSSPDASAEMQKKKKTRRQAETSKHDRSDVSPRSGGSKAKGTATKSGRKSKDDVIVEPKSKDRGLKSGGKSKDVTSNKLKNDSEKGSGKLKDVISSSSPRSKSKTDISPKTASKSQQDTVTKSIPRSKGKALQSGGKPSANGGTGKGKSMSKGKETGDVKEKSTEVAKTPDSGKGKASGASKEQETESKIGKKRKRK >itb11g07830.t1 pep chromosome:ASM357664v1:11:4920592:4923909:1 gene:itb11g07830 transcript:itb11g07830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIIKRKKGSKSEGAVIPVPSPPDVICNHASRIAGAPDANANSASGLAESQSHVVCLANAPPNPAVEVLPLLKEVSPAQRHVLFVRKLQICCLQFDFTDTVKSVREREIKRQMLSELIDLVHTGSCKMNEVMQGDLVRMISANIFRCLPPPSTAGGDGGDPEEDDMFMDPSWPHLQLVYELLLKYVLSAETDTKVAKRYLDHTFLLKLLDLFDSEDSREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEQHNGIGELLEILGSIINGFATPMKEEHKLFLVRALIPLHKAKCVADFHQQLSYCIVQYVEKDYRLADIVIKGLLKYWPVTNCSKEILFIGELEEILEVTQPAEFQRCLVPLFKQIGRCLYSFHFQVAERALFLWNNEHIVELVALNRRAILPIIFQALERNMQGHWNQAVHGLTSNVRKMFLEMDAELFKECQRKNEEKEASAGELVEQRELKWKRLEEAAKRAGG >itb11g07830.t2 pep chromosome:ASM357664v1:11:4920592:4923881:1 gene:itb11g07830 transcript:itb11g07830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIIKRKKGSKSEGAVIPVPSPPDVICNHASRIAGAPDANANSASGLAESQSHVVCLANAPPNPAVEVLPLLKEVSPAQRHVLFVRKLQICCLQFDFTDTVKSVREREIKRQMLSELIDLVHTGSCKMNEVMQGDLVRMISANIFRCLPPPSTAGGDGGDPEEDDMFMDPSWPHLQLVYELLLKYVLSAETDTKVAKRYLDHTFLLKLLDLFDSEDSREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEQHNGIGELLEILGSIINGFATPMKEEHKLFLVRALIPLHKAKCVADFHQQLSYCIVQYVEKDYRLADIVIKGLLKYWPVTNCSKEILFIGELEEILEVTQPAEFQRCLVPLFKQIGRCLYSFHFQVAERALFLWNNEHIVELVALNRRAILPIIFQALERNMQGHWNQAVHGLTSNVRKMFLEMDAELFKECQRKNEEKEASAGELVEQRELKWKRLEEAAKRAGG >itb12g19550.t1 pep chromosome:ASM357664v1:12:21954109:21954360:1 gene:itb12g19550 transcript:itb12g19550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNASATATITSPKSADGDAPANSRKGHHCLCSPTTHQGSFRCRLHRAGPTPSEWFKRSNSMPAKAPHGLGSLSPKSVEST >itb10g20800.t1 pep chromosome:ASM357664v1:10:26222115:26225465:1 gene:itb10g20800 transcript:itb10g20800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRIIILTMFLSFLVCLCSSTDTITFNQPLKDGDLLISNAKSYALGFFTPGKNSTGGKRYVGIWYRKIPEQLVVWVANRNNPVHGTSGVLFIDTTGNLVIQDKKTNVSVWNTSLSFPATGIKKAYSARLQETGNLVLYHHHPDTRAATPWQSFDYPTNTILPNMKIGVDKKTGLKRFVTSWKSPDDPSPGEYELAWDLKGMPQSIVYKDRTRPVWRIGSWNGVRWSGIPEMTSNINSYIYIENQDEVYMQFLTMDPSVYTIVMVNESGAFSKIIWQGDDDMKRWVGIWYFPQDNCDVYAHCGPFSVCNAQNLDGFACKCLPGFKPKSSKEWGMGDGRNGCQRNNTEVCHNGEGFARLESMKIPNTEMAEVNRTIGLKECEELCLKNCSCTAYASANISDGGMGCIAWYGELIDMREFTKGGQDMYIRVSASDLVQPVEKSKGLGEKRLTATVIVPIAAVILVLFCLILKIRKGIKPPSNTTSSLLSDEGPADVLTFDFNTIEAATDNFSEDNKLGEGGFGAVYKGKLQNGQLLAVKRLTRSSLQGIVEFKNEVMLIAKLQHRNLVRLLGCCVQQGEKMLVYEYLPNKSLDCFIFDNREGMSLDWRKRFEIISGIAQAILYLHQDSRLKIIHRDLKASNVLLDDSMNPKISDFGIARHFEEEQVEANTNRVVGTYVICHRNMQWRVTFL >itb12g03740.t1 pep chromosome:ASM357664v1:12:2442743:2446042:-1 gene:itb12g03740 transcript:itb12g03740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSSVLIFFICIVAALIVSLSAVKEAEEEEERQAGCPTPWPYLVKKGERKTTVKTENGEVSTVRISDGIANGWYHIEFITLEPNSVFLPVLLQSDMVFYVHTGSGWISWTDDDKTKKVELRGGDIYRLRPGTLFYIESSLEMERQKLRINALFANAETDLHEPAATGPYTSIRNLILGFDRTVLEAAFGISGEPIEELVSSPDPGAIVHGFSNEKKTTVVVFEFMKAIFGSSNIFQSQSNKKKTKTYNVFSHDPDFENCNGWSTVVSKKQLSALKDLDVSVFMVNLAKGSMMGPHWNPRATELGICLDGPGIVRVVCSSTAKQTGCKNMIFYVEEGDVFAVPRFHPMAQMAFNNHSFVFMGFSTSAKKNHPQYLAGKASALRTLPRDVVAVSFGASNETMDLVLDKQHEAIILDCTSCAEEEFRILTEEIEKAKEEARKKKEEEERKREEEEKRAEEEEAKKEEAAREKEAKEREEQEKEEAEREKQEEERKREEEEKRKQEEAAKRAEEEEARKEEAARQREEEAKEEAARRAGEEAARRAKEEAEREKQEEAEEEVRKREAKERAEEQTREREKQEEAAARRAEEEARQREAKEREEQQSREAAQEEETRWAEEEEARKEGEAMQREAKKREEAARQQEHHEGGGGGGWGDHLGKEWGRRILKT >itb12g22170.t1 pep chromosome:ASM357664v1:12:24313418:24313696:-1 gene:itb12g22170 transcript:itb12g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAGSMMALLLIYQVMLPLHLAMGARELHPNIDDSRVVNIIQSDRKKLPPPAPRTNTPQHYSPPPPRWPPPPAPLLTPLAPSWCSPPPSS >itb07g05070.t1 pep chromosome:ASM357664v1:7:3442903:3444116:1 gene:itb07g05070 transcript:itb07g05070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVVLSGPSKAPLEIQLMGTLKAPPNPKDMDMKKEWLTIQYIDHLTITGGGTLDGQGNVVVYPAKGKSHKLPNNLSLNFLTNSVIRGITTLNSKQFHVNVLGGKNLTFDHFTVKAPGDSPNTDGIHIAKIVGAVIKDSVFATGDDCISIGDGLENLKITGVTCGPGHGISVGSLGRTPAGHGISVGSLGRTPGETPVKGMFVSNTKFIGTENGARIKTWPSSHHGVITDIYFENIDMKNVKNPIVIDQEYCPNNECNKQKPSLVKISKVSYKNIKGTSATPDAVILGCSKGVPCEGVEIGDINLTFKGGAAKSVCKNVKPKLTGKQVPPLVCK >itb10g15380.t1 pep chromosome:ASM357664v1:10:21636759:21638452:1 gene:itb10g15380 transcript:itb10g15380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGAAIDDGFVYKQEVHLTVLKTSVFFAGDGFAAYTSDGQLLLRADSYGPDKRHQGELVLMDAAGKCLLTLRRKWPSLHQRWEGFSGERTEGQKPIFSVRWSSIIGRSSVTVEVYDDPGEEYKIEGCFAQRNCTIFNAAAGEEPVAEIRRKVDPSANVVLGREVFSLSLKPGFDGAFAMGLVLVLDQIHADDHYFFANKGDDSRVGAEPISDD >itb02g03970.t1 pep chromosome:ASM357664v1:2:2379175:2379813:1 gene:itb02g03970 transcript:itb02g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKWNQDAVDEAEEEEDALSLCDLPIYSDDVSEWEKFSAGESQSSSSVSSSSSSSKSEFFEFFSEEWKKPASPDKIIFCGKLIIPPKDDPAPENKNKRGNLTGTRRRKENCDGEAAESNKALALRESAEAPSSSPLLRSRWFLFLFGMDGRFPKQMDLKDMKNRQSRKASAASPRAGNRLRWMIRALSCGDSDGQVHAQAIVKASIAFAV >itb14g11310.t1 pep chromosome:ASM357664v1:14:12935065:12937554:1 gene:itb14g11310 transcript:itb14g11310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSTLCSVLSNLTAFVVNEGHGVKGLSDLGLQALPDQYIQPPAERITASTVITDDSIPVIDMSPENPQVGEMICEAAKKWGFFQIINHGIPLEALEEVKAATYRFFSQPAEEKKKHSKGNSPTTNVRYGTSFAPLAEKALEWKDYLSLFYVSDEQANSFWPSACREEALNFLKISEIVVKRLLEALMEGLNVKEINGAKESLLMGSRRININYYPKCPNPELTVGIGRHSDVSTLTLLLQDEIGGLYVRKLDGGDDDTWVPVPPITGALVINIGDALQILSNGRYKSIEHRVIANGSNSRISVPIFVNPRPEDVIGPLPELLDGGEKPLYKQVLYSDYVRHFFKKAHDGKETVDFAKLNI >itb02g07760.t1 pep chromosome:ASM357664v1:2:4869040:4872753:1 gene:itb02g07760 transcript:itb02g07760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFTSKALFSFEGSVLFQLRPRNARAMEAARFSTASLSPIATGAHRVSATEASVSLEEWQGWGSTSPVPAMVLEVIEDLKLLEKNIDAHMDFGGNHGKLVGDFKVYEDKKHREKFKSLGDSEKKLQFFSARQIACRVLGSRDYLCQKCWLPKEDCMCSRVMPYHPWHHIRFWLYMHPKDFLRQNNTGKLLWQVFGVQAATLCLFGISEHEEMLWNALKLAGKDKVWCLYPNKNATPNSVKDIFYQNSLEDRDCQPKMANYDDVLNFILLDGTWSNSNAMFNRLKERAMSIWGEELPCISLNTGVSMMHRLRPQPSWDRTCTAAAAIGLLYELHLIPAFNSYGLDKQADAIEDALEVLLEALTTRRLRMGRSITRKERHNSNIMTQL >itb01g05300.t2 pep chromosome:ASM357664v1:1:3644932:3647932:1 gene:itb01g05300 transcript:itb01g05300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPLPCPLTKEKRALENSTNGVTDSEDKTQKAVLLERYRRREDEDCRQSSDLDIEREEECGICMEVNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSRDLWVYMDSKDVVDMATITRENLRRLFMYIEKLSLVVPETVFETYDTHIR >itb01g05300.t5 pep chromosome:ASM357664v1:1:3646310:3647932:1 gene:itb01g05300 transcript:itb01g05300.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPLPCPLTKEKRALENSTNGVTDSEDKTQKAVLLERYRRREDEDCRQSSDLDIEREEECGICMEVNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSRDLWVYMDSKDVVDMATITRENLRRLFMYIEKLSLVVPETVFETYDTHIR >itb01g05300.t1 pep chromosome:ASM357664v1:1:3644930:3647932:1 gene:itb01g05300 transcript:itb01g05300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSYSPAAHLFMFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLCQLQNGVTDSEDKTQKAVLLERYRRREDEDCRQSSDLDIEREEECGICMEVNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSRDLWVYMDSKDVVDMATITRENLRRLFMYIEKLSLVVPETVFETYDTHIR >itb01g05300.t4 pep chromosome:ASM357664v1:1:3646310:3647932:1 gene:itb01g05300 transcript:itb01g05300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSYSPAAHLFMFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLCQLQNGVTDSEDKTQKAVLLERYRRREDEDCRQSSDLDIEREEECGICMEVNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSRDLWVYMDSKDVVDMATITRENLRRLFMYIEKLSLVVPETVFETYDTHIR >itb01g05300.t3 pep chromosome:ASM357664v1:1:3644897:3647932:1 gene:itb01g05300 transcript:itb01g05300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGVGGGGGGGGFAGPMRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFMFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLCQLQNGVTDSEDKTQKAVLLERYRRREDEDCRQSSDLDIEREEECGICMEVNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSRDLWVYMDSKDVVDMATITRENLRRLFMYIEKLSLVVPETVFETYDTHIR >itb13g23140.t1 pep chromosome:ASM357664v1:13:29238201:29242994:-1 gene:itb13g23140 transcript:itb13g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQWFSLSLSSSPMAKALPGGAHRIPLAHTTVLLTNIAVSVEALLVFAVVMLLLILLFILLACKFKPWRFLSSAAARSRTAIKAEDVERPLITDDFNLAESLGGEFSRGHTLESSGHHAQGSFDSPRTHGLVHKPRLPSTTSHLTHSDSFVLDIGDTSEDAVLGQTLKGVGIAHFHEEQRRGRNEDTKNVSRFGKENEKLREFVPKYTTDQRSVIMLEVIAGPSCGLQYSIQSSNTSKLPFTLGRVPPSDVTLKDSEVSGKHAQINWNINKLKWELVDMGSLNGTLVNSRLAHNPHLGNRQWGDPVELANGDVITFGTSSKLLVQVTSQSECHVPFGVGLASDPMSLRRGAKKLPMEDVSFYQWPLPGTDQFGLFGICDGHGGASAAESASKILPQIVASILSDSFRREEVLSQCDASDVLREAFSQAEASLNHNYEGCTATMLLVWADGQENFYAQCANVGDSACVVNIDGKQIKMTEDHRITSNSEKLRFEAAGEPLKDGETRLCGLNLARMLGDKFLKQQDVRFSSEPYISHALYIHQTSTGFALLASDGFWDVIHIKKAVQLVQQCKERSSINENISAEKIANVLLNEAKTLRTKDNTSIIFLDFDTNNRIFSNVPEP >itb01g03370.t1 pep chromosome:ASM357664v1:1:2228099:2229570:-1 gene:itb01g03370 transcript:itb01g03370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKVWGLLILIIMAAVSAARTGADDSNLVCSIALSLLSPCKDFLVNVDEETIPETCCVGCLVLEKIVRNLKNRRKLKIGLCKCLQGDGSLSGADEDKAFQVIGTCNVTYSPILTGPDVDCTKIF >itb06g19940.t1 pep chromosome:ASM357664v1:6:23101630:23109029:1 gene:itb06g19940 transcript:itb06g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNYRFEMEQEDIVRSLVSTVGSFIQDRLIDKEQRAQHKEQCAERLAAEDGSSDKDAEVRYSDQAVLANLDWGIEALEEAINTSNMETKMARLDYAEKMLQVCAMLNSGQRTAGVPNFYLSAWAHLNLSYLWQLRNDVRNAVLHMLEMFIVDPFFSRVDFAPELWKSLFLPHMSSVVGWYSEERHRIVMDVVPDSGDLSLTADFDHYFNESFVYSVRPDQAEKMQNLEQLYAQSLDENTKLYARYFKDCMNYDFATAKKGIPMLPIAEAPMTPLREVSRSIPDYVKFGPILPKSAGFSPVLKAKERAKEASRLNATSSSSENQQITIWEPQGIPEENEESFESEPEAYTKKTSYDSVGICKEKEPRLKRQLTKTSSQKQSPTSSSPVDSPRTPPAKLSSPKGNNSKKEGPSIIRLFSTRIRDTSNIPSLTGSPHSLKEPSISSVESDGEGTVCFRPELTLNSPEPQLWSPTDFGLDVQDQHETRRKNPSHRRRASQLSEKCYLNESDEGSQSCISFTLSEKSTPKSRPPKDFVCPITSQIFNDPVTLETGQTYERKAIQEWMNRGNTTCPITRQPLSATCLPKTNYVLKRLIASWKEQHPDLAQEFSYSETPRSYLSTPSSKEMSSEFTPSHALNTPNHRVGDGNIEHRPQRFARAAVLTQPPSAAVGAIINSLKPYVSCLCTSDNLQECEAAVLKIARAWRDSHVESGLYSYLSSPTIVNGFMEVLSASSDREVLRTAVYILSELIYADDSVSEVFTSVDTDFECLAALLKNGLAEAAVLIYLLRPSFSQLSAHNFIPSLIQMISNKNEDCNDLQLAIAPKDAAIALIEKIIMGGDESDRSSNTKNIISASGIPALLKCLERETGREFVVSVLLCCIRTDKSCRNLIANRIELSPVLELIHAENDRTRDTCIEFLYELVLQSRRTLCNQILQIIKDEGAFSTMHTLLVCLQMAAAERKPIIAALLLQLDLLVDPKKMSIYREESIDALIEALRRKDFPASQIRALEALSCISGYLTTSGKSFLEAWLLKSAGFHQPYNAMVKEEKRKNSETDFTDKMGEEEKAMISWEKRAVFVLCNHEKGVIFKALEECLKSNSMEVARSCLVTATWLIHMLYRLPDTGIRDVARKSLLDQFIHTLQSTKNLEEKILATLALRGFISDFGALNEMGVYAKCMCKTLMKLKKHSTVVGDMMKALMTLPCIDAAELWSYTEGPELDTSMNGEVLSVLHIRGRLISSHSDGTIKVWDTGKRTPRLIYEAREHSKAVTCLHVSSSCDKLYSGSLDRTIRVWAIKQGEIHCIQVHDMKEAVLGLTANSNVACFSTQGTGVKASDKLYCGCTGYSIQEVDLSTYTTTTFYTGTKKLLGKQTILSLEIHKDVLIAGGSSVDGIAGKIFSLPSKAVIGLFPSNSDIQQVMVNTDFIFLAMKCGTIEVWQKERATKIASLKLKSSGNTRITSLTPDKDGEMIFVGCSDGRIQILDYCIRTPIGSSDDTNLTGIITRIHRDKLIKTEMKEKKPQAGRDAPGSSRTRTEEICMILD >itb13g07510.t1 pep chromosome:ASM357664v1:13:9396075:9399440:1 gene:itb13g07510 transcript:itb13g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLRHLHPPLKLLPPPPTLLCRSPPRTASTAPTAADYFVVSADLTPPSTLRYHHLPSLTTTSSPSHSDDAPSPPPPTLPLPLRPRRPPPLARREILMNSRLCGCGGNTSETALPPLLWLWHWYTYNLGRKLLNGTYIWSQSYQFKSSPYPGQNSVERVIVFGDMGKDEADGSSEYNNFNPVPLIPLSS >itb04g33300.t1 pep chromosome:ASM357664v1:4:35589312:35591397:-1 gene:itb04g33300 transcript:itb04g33300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAGSLIFSLLGSCRTLKSVKQLHAYVCKAGLESDQFIAGKLLIQSAVNIADALDYARRLFLHIPNPDVFMYNTLIRGLAESDSPQDSLETFVCMLRSSEFPPDSFSFAFTLKAAANMRSLKSGSQLHCQALARGLDRHLFVGTTTISMYAECGTIGLAWNVFDEMPEPNVVAWNAILTAYLRGGDLKGADGVFDLMPLRDLTSWNLMLAGYAKAGQLDCAKTIFAQMPTRDSVSWSTMIFGFACNGYFDEAFKLFRELRREGWTPNEVSLTGVLSACAQAGEFEFAKTLHGFIEKAGMMWITSVNNALLDTYARCGNVAMARLIFERIPGKKSVISWTCLITGLVMQGHVEEAMQLFNKMESTGTKPDGVIFVSILYACSHACLIQEAQHIFDRMSREYGMKPDMEHYGCMVDLYSRAGQLKKAYDFITQMPIPPNAIIWRTLLGACSFYGDVQLAEVVKDRLSEVDPNNSGDHVLLSNVYAVAGKWQGVATLRRSMTDQKMKKTPGLSVV >itb12g04690.t1 pep chromosome:ASM357664v1:12:3101818:3102435:-1 gene:itb12g04690 transcript:itb12g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSYNSSSSSSSCESYYPFAVYEPEGNRVKPLRPPSYLSSLHSVRRLPGRHMIKKMPIAPMPPTPPKIYKVLPAHFKEAVQMLTAEPGFRSNSPRMHDAPPPPLESVPPRTATVVPESGCDDNGDDDNNNVSEWKKFLGLSSDAQFSEADEFPASAADVFGWFNGPGLGFAPLSPSSLAWWASVLPGGGAPPPPQFEASAVLL >itb11g11790.t2 pep chromosome:ASM357664v1:11:8672023:8674589:-1 gene:itb11g11790 transcript:itb11g11790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MEDVAMASEVEREDDETSAAKELLRDRFRLCTISIAEAEAKQNDMEISQPIMACISDLAFKYAEQLAKDLELFSQHAGRKSVNMDDVILSTHRNEHLAASLRSFRDTLKAKEPQSDRKRKKRPTKEDRAAADELLVLDP >itb11g11790.t1 pep chromosome:ASM357664v1:11:8671835:8674664:-1 gene:itb11g11790 transcript:itb11g11790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MEDVAMASEVEREDDETSAAKELLRDRFRLCTISIAEAEAKQNDMEISQPIMACISDLAFKYAEQLAKDLELFSQHAGRKSVNMDDVILSTHRNEHLAASLRSFRDTLKAKEPQSDRKRKKRPTKEDRAAADELLVLDP >itb03g08430.t2 pep chromosome:ASM357664v1:3:6309641:6312515:-1 gene:itb03g08430 transcript:itb03g08430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMAESPLLREVEGEREIGISSRWDALTEELKKVSCIALPMVFVAISQQLVPTVAMGMLGHLGELYLSAAAIATSLTNVTGFSLLYGLSSALETLCGQAYGARQYNKLGQDPLISFEAKKYSTWLIPALFPYAILQPLLNLGSSGAALAIALSYFLNVVLLGVYVCYSPSCNDTRISINFSTEVYSSIWEFVRLAIPSASMICLEWWTYEIVILLSGLLPNPQLEASVLSICLMISSLHFFIPLSIGAGASTRISNELGAGNSEAARNVVRAVLVISILEAVIAITALLCSRRVLGYMFSNEKEVVDYIREMVPFVCLLVLTDCIQSVLSGVVRGNGNQHSGAYINLGSFYLVGLPVAAILGFVAHLNGKGLWIGLNIGATMQSILLARITCITDWQIQAVRARERIFHGNCVSEVII >itb03g08430.t1 pep chromosome:ASM357664v1:3:6309562:6312515:-1 gene:itb03g08430 transcript:itb03g08430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMAESPLLREVEGEREIGISSRWDALTEELKKVSCIALPMVFVAISQQLVPTVAMGMLGHLGELYLSAAAIATSLTNVTGFSLLYGLSSALETLCGQAYGARQYNKLGVYTIGAMIPLLVVCIPISILWVFMDKLLILIGQDPLISFEAKKYSTWLIPALFPYAILQPLVRYLQTQSLMLPMVLSSVASLLFHVPVCWAFVFKLNLGSSGAALAIALSYFLNVVLLGVYVCYSPSCNDTRISINFSTEVYSSIWEFVRLAIPSASMICLEWWTYEIVILLSGLLPNPQLEASVLSICLMISSLHFFIPLSIGAGASTRISNELGAGNSEAARNVVRAVLVISILEAVIAITALLCSRRVLGYMFSNEKEVVDYIREMVPFVCLLVLTDCIQSVLSGVVRGNGNQHSGAYINLGSFYLVGLPVAAILGFVAHLNGKGLWIGLNIGATMQSILLARITCITDWQIQAVRARERIFHGNCVSEVII >itb04g31410.t1 pep chromosome:ASM357664v1:4:34313116:34314653:1 gene:itb04g31410 transcript:itb04g31410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHSDAQNVESSSKVIVVMVPFLAQGHLNQFLNLSRLVVSYDLPVYYTGFSVDISLARQRVQGWDPLAYPNLNFHGFPTPPSYLSGANSGHETESFSDIVASVVNGSNHLRGPIGEFLDDLSAKCGRLVVVYDSLMATAVQDVAFIANAEAYSFHAASAFTNATIALEVVAKTFRLPSFLSKMAGEFLLPAGALIPDHLPSMESCFVPEFLKFLSVQRSSANFCSGNLYDTCRPTEGPYLDILAKLYRLTRKGKLWAIGPINPHRCLEWLDKQPPKSVVLVSFGTLTSLSDEQISELAKGLEQSQQKFIWVVRDVLKGENGVPEGYQERVEGRGLILRDWAPQLEILEHPSTGAFLTHCGWNSCMESVSRGVPLATWPIHFDQPRNAVLMTEVLKIGIAVKDWTRRNEVIPSETIRKAVEKLIMSPEGEKLRTRAAALGKDVKQSVMDGGVSRLEMANFIAHITRQNCA >itb05g21380.t1 pep chromosome:ASM357664v1:5:27166507:27167300:-1 gene:itb05g21380 transcript:itb05g21380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVLLVTIAIMALASSFANASDPSPLQDFCVAFDDPKDALFVNGKFCKNPDDVKVDDFLFRGLNKPGNTSNPLGSKVTPVNVDNLAGLNTLGISLARIDFAPFGLNPPHTHPRATEVLVVLKGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNVGKTRAIAFAGLSSQNPGVITIANAVFGSDPPINPDVLTKAFQVDDKVIEYLQSQFWYDNN >itb04g30350.t2 pep chromosome:ASM357664v1:4:33475540:33478989:-1 gene:itb04g30350 transcript:itb04g30350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGQGHAIFTAECSHSFHFQCITSNVKHGNQICPVCRAKWKEIPFQSPVADIPQERARANRVYWPQDDTWMAALRRPPPPRADSNRHSSSLFHVTEPGVFDDDELVDPEPVCTNKTSPGNAVNTHSMGPVEVKTYPEISAVSKSASHKDFCVLIHLKAPKADGFPRSELNQGEYPVTQNSRAPVDLVTLLDVSGSMAGTKLALLKRAMGFVIQHLGPTDRLSVIAFSSSARRLFPLRKMTDTGKQEALQAVNSLSSNGGTNIAEALRKGAKIMTERRSKNQVSSIILLSDGQDTYTVNSPRGGHPRVSQQPLLPNSMHRNNGTGLHIPVHAFGFGADHDAVAMHSISESSGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQELRMEVECSHPKLQLGSIKAGSYKSSLKSDKRGGVIEFGDLYAEEERDFLVTLDIPAVDVSSNDMNLLNVKCIYNDPISKTTVTMEHAGDVKIERPNSIGQVLVSMEVDKQRNRLRAAEAMAEAKAAADRGDLAGAVSIVEQSRKQLSETVSARAGDRLCVALDAELKEMQERMANRRIYETSGRAYVLSGLSSHSWQRATARGDSTESTSLVQAYQTPSMTEMITRSQTMVLGSPSPRQLRQARSFPSQPQPR >itb04g30350.t1 pep chromosome:ASM357664v1:4:33475540:33481219:-1 gene:itb04g30350 transcript:itb04g30350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGMNICLYVPKTLEEGPATAPSAAGRVSDVLPLSPASARSSDSQINMPTTPTPSSSGLRLPKHSLKSSKKTCAICLTSMKPGQGHAIFTAECSHSFHFQCITSNVKHGNQICPVCRAKWKEIPFQSPVADIPQERARANRVYWPQDDTWMAALRRPPPPRADSNRHSSSLFHVTEPGVFDDDELVDPEPVCTNKTSPGNAVNTHSMGPVEVKTYPEISAVSKSASHKDFCVLIHLKAPKADGFPRSELNQGEYPVTQNSRAPVDLVTLLDVSGSMAGTKLALLKRAMGFVIQHLGPTDRLSVIAFSSSARRLFPLRKMTDTGKQEALQAVNSLSSNGGTNIAEALRKGAKIMTERRSKNQVSSIILLSDGQDTYTVNSPRGGHPRVSQQPLLPNSMHRNNGTGLHIPVHAFGFGADHDAVAMHSISESSGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQELRMEVECSHPKLQLGSIKAGSYKSSLKSDKRGGVIEFGDLYAEEERDFLVTLDIPAVDVSSNDMNLLNVKCIYNDPISKTTVTMEHAGDVKIERPNSIGQVLVSMEVDKQRNRLRAAEAMAEAKAAADRGDLAGAVSIVEQSRKQLSETVSARAGDRLCVALDAELKEMQERMANRRIYETSGRAYVLSGLSSHSWQRATARGDSTESTSLVQAYQTPSMTEMITRSQTMVLGSPSPRQLRQARSFPSQPQPR >itb01g12250.t1 pep chromosome:ASM357664v1:1:11661418:11668407:1 gene:itb01g12250 transcript:itb01g12250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTVTMEVGNDAVALITISNPPVNALALQIFAGLKEKFTEALRRDDVKAIVLTGKGGTFSGGFDINVFKKVHETGDNSLLPNVSVELLSNTIEDAKKPVVAAMQGLALGGGLELAMACHARIAATRAQLGLPELSLGVIPGSGGTQRLPRLIGLPKAIEMMRTSKPITSEEGNKLGLVDAVVPPAKLIDVSRQWALDIAACRKPLLRSLHRTDKLCALSEALNILKVARQQAKQTARNLPHHLICIDVIEEGILHGGHSGVLEEARVFKEKLVLSDTSKGLVHVFFAQRATSKVPSITDIGLKPTSIKKVAVIGGGLMGSGIATALILSNITVILKEINPEYLRKGLETIEGNVRGLVSRKKLAQDKAEKSLSILKGALDYSEFRDVDMVIEAVIENVPLKQKIFSDIEEACPSHCILATNTSTIDLNIIGEKTRSQDRIIGAHFFSPAHVMPLLEIVRTEKTSAQTILDLITVGKAIKKVPVVVGNCTGFAVNRTFFPYAQGAHFLVNLGVDVFRIDKLIADFGLPMGPFQLQDLSGYGVAVAVGKEFSSAFADRTYSSPLLDLLIKSGRNGKNNGKGYYIYEKGSKPKPDPSVLPLVEQSRRLTNIMPGGKPISITDQEIVEMVLFPVVNEACRVLDEGIVRASDLDVASVLGMSFPSYRGGIVFWADTVGAMHIYSSLKKWSELYNIGNFFKPSRFLEERALKGIRLSAPASTSSATRSRI >itb07g00650.t1 pep chromosome:ASM357664v1:7:416529:416879:1 gene:itb07g00650 transcript:itb07g00650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHSSPQHKKMSHVDEEPTPELDLKLNLSPPGTTAPTPPPSPPPLGSPSSSSVERSPSWSCVSRELSPSADDTNAAGEVPMMVVGCPRCLMYVMVSETTNPKCPQCNNTDLLDFK >itb01g27090.t1 pep chromosome:ASM357664v1:1:32059601:32061334:-1 gene:itb01g27090 transcript:itb01g27090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIELVFVPGPAVGHLVPAVETAKLLLQTNPHLSITFLLNKMPFAPQARVNSIIDSLIADVDPADKRLKFKLLLQDLVLDLQNPGFPDGKSIRDQWISTLLSEARDCVNELIIQNARRRLAGFVVDIFFTDVMDVADEFGVPTYVLYVAGAATLGLHLHFQSLKDHHHGTLDEFIKEDYLNVPTYINPFPVNLLPTFVLNTTDGILDYAKQFRRAKGIIANTFFDLEPYALQSLSKDKTIPPVYPVGPVLNLNVRTPQQSHDQIFQWLDDQPPSSVVFLCFGSTGTFPEPQVKEIAYALERSGQRFLWALRKQPSPGSSSVVLTEYTNLEEILPEGFLEKTKSIGKIIGWTPQSAVLAHPAVGGFVSHCGWNSILESCWFGVPIGTWPMSAEQPENAFQLVREIGMAVEIKMDYRTDLRDAKMNNIPIVPEIVSANEIEIGITNLMDHSIAKSIRIKAKEVKEKSRKALEEGGSCFNAIESFIENVMNNLN >itb09g12600.t1 pep chromosome:ASM357664v1:9:7975530:7976331:1 gene:itb09g12600 transcript:itb09g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSSPLSFPSSFWPLEESIRHEEFNTDVYRDDMTFLDPHGSCESLKFSESNGAAMEIKKLSHNASERDRRKRINALYSSLRSLLPASDQSKKLSIPATITRVLKYIPELQKQVERLQQKKEELGSRISRQESSSAEFNKRRRVETQSSIAAVSVTRVGERQVVIHLCTNNGSKVSFADAISCLEKEGMALTDSSSFQTVEHRVFCTFHLQMNGTPGMEVDELKEKLLSCFEEKEENF >itb11g06680.t1 pep chromosome:ASM357664v1:11:4019098:4022042:-1 gene:itb11g06680 transcript:itb11g06680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRANNLLACVFTPPPPKPKFAGTSTRRVSFLHNRTCFSIATKAPTIPRKIRLQIRRSSLTTPSSQDPVLEEDSSPERSEVEDEDGGGENGGDDGEGWSWTLSLLLFGFWAGLMYYVLILAPNQTPSTDLYFLKKLLNLKGDDGFRMNDVLVAEWYIMGLWPLVYSMLLLPTARTPKCSVPVWPFLVLSCIGGAYALFPFFILWKPPPPPVEETELRRWPLNFLESKLTAGILLASGLGLIVYAGISTGDWKEFYQYFRQSRFIHAMSIDFVLLSAFAPFWVFNDMTTRKWYNKGSWLLPVSAVPFLGPALYLLLRPPVPAVQASSSPSSLEEE >itb15g06820.t1 pep chromosome:ASM357664v1:15:4578750:4580573:-1 gene:itb15g06820 transcript:itb15g06820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDISTNVNLEGVDVDSFFREAATAVANITGKPEKSVMVVLKGSATITLRENEEPAALGEVVSIGGINSEAKSELISSISSILDNHFSIPRARFFLKVYDNSLATRLSRM >itb02g02160.t1 pep chromosome:ASM357664v1:2:1195882:1200730:1 gene:itb02g02160 transcript:itb02g02160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSIAVSGSAAATQSSGGGWRWWKNSGGGAKNGNNYVSGDLCDLSSAFGFFVVSFVVLGSIAGLYCRLMLTTNVRAGLSSLGCREDNEGSWAIGVFYGDSPFFLKAVEDMNVQRDKSAAWPVANPIVTCASASQPGFPSNFVADPFLYVKGDVLYLFFETKNSITMQGDIGVARSIDKGATWEQLGIALDEAWHLSYPYVFDYKGHIYMMPEGSAKGDLRLYRAVNFPLQWKLEKVIMKKPMVDSFLILHDRKYWLFGSDHSGIGTQKNGQLEIWYSASPLGPWRPHKKNPIYNTDKSMGARNAGRPFMYNGSLYRAGQDCGGTYGRQIRLFRTEALTAHEFKEVEVSLGLKESIKGRNAWNGARTHHLDVQQLSSGEWIAVMDGDRVPSGDVNLRFVLGCASVLGVAGLVMLLGMLLGAVRCIVPLSWCPHNVGKRSDALLASENPNSFTSKLRLFCSRLNRASTSLHARIKVNTCSGSSILGLISSVAVLLTCIGVSYIYGGSGAQEPYPLGGHYSQFTLLTMTYEARMWNLKMYIKHYSRCSSVREIVVVWNKGKPPQSSDFDSAVPVRVRVEEKNSLNNRFKADPLIKTRAVLELDDDIMMSCDDVERGFRIWREHPDRIVGFYPRLVDGSPLKYRAEKHAREQDGYNMILTGAAFIDTELASKRYWSKEATAGREVVDELFNCEDVLLNYLYANASSSKAVEYVKPAWAIDTSKLSGAGISQNTQAHYAIRSNCLLKFSEMYGSIANRKSEFRHRRDGWDL >itb09g11990.t1 pep chromosome:ASM357664v1:9:7529366:7535310:-1 gene:itb09g11990 transcript:itb09g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSPEFVGGEVQMYTFKSVSADVSFASNQFPKYKLGPDFQILEEASLENKGPSLKEVVEEETTRLTEQHKRLSVRDLASKFDKNLSSAAKLANEAKLRDAPSLEGHVLLKKLRDALETLKGRLAGRNKEDVEIAISMVEALAVRLTQKEGDLIQEKFEVNKLVSILKQASEDAKKLVNQERSFACAEIESARAVVQRIGEALEEQETNSQVSGKQEVEELMEEIQEARRIRLLHQPSKVMDMEHEVRALRIQINEKSVVSVKLQNELAMSKRTEQNKSQLYQIIGSESVGSILRIQPCSDEAVDLSKCSIQWYRLSSECSRREPILGADKSVYAPEPIDVGRVLEADIVSNGLKASVTTIGQVDQAAGLGNFVETLLRKSNTEFSVVIAQMNGRNYSSRSVHSFHVGKMRMKLNKGWITKARDSYSGSMQLCGFRGGGNSAAKSLFWQPRKGQSFVLVFDSERERNGALVLARKHALECNVNLAGPDDDALL >itb09g11990.t2 pep chromosome:ASM357664v1:9:7529366:7535310:-1 gene:itb09g11990 transcript:itb09g11990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSPEFVGGEVQMYTFKSVSADVSFASNQFPKYKLGPDFQILEEASLENKGPSLKEVVEEETTRLTEQHKRLSVRDLASKFDKNLSSAAKLANEAKLRDAPSLEGHVLLKKLRDALETLKGRLAGRNKEDVEIAISMVEALAVRLTQKEGDLIQEKFEVNKLVSILKQASEDAKKLVNQERSFACAEIESARAVVQRIGEALEEQETNSQVSGKQQEVEELMEEIQEARRIRLLHQPSKVMDMEHEVRALRIQINEKSVVSVKLQNELAMSKRTEQNKSQLYQIIGSESVGSILRIQPCSDEAVDLSKCSIQWYRLSSECSRREPILGADKSVYAPEPIDVGRVLEADIVSNGLKASVTTIGQVDQAAGLGNFVETLLRKSNTEFSVVIAQMNGRNYSSRSVHSFHVGKMRMKLNKGWITKARDSYSGSMQLCGFRGGGNSAAKSLFWQPRKGQSFVLVFDSERERNGALVLARKHALECNVNLAGPDDDALL >itb09g27590.t1 pep chromosome:ASM357664v1:9:27797146:27797424:1 gene:itb09g27590 transcript:itb09g27590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILRAKQDLRRTSSRTNRESEVPKGHIVVYIGECEKKRFVIPMSYLKDPSFQDLLSQVEEEFGFDHPMGGLTIPCLEDTFLDIISSSRRF >itb04g25940.t1 pep chromosome:ASM357664v1:4:30353180:30355130:-1 gene:itb04g25940 transcript:itb04g25940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRRRSPTLLTSNERVEKAAGRNLNSRLFTLALLSAIFSVFFWCFHFAIERSNHNRVTESESDRLASVSPEIKLLSSPAIDRPKVGGFGSRKVNPGSGELDSVIDSGKFPFIRALTTSLENKSDPCGGRYVYVHNLPPRFNEDILKECEKLSPWTDMCKFMANGGLGPPLENAGGVLSETGWYATDQFALEVIFRNRMKKYECLTNDSSLAAAIFVPFFAGLEVSRHLWGYNISVRDAAALDLVKWLEKTPEWRIMGGKDHFLVAGRITWDFRRLTDQESDWGNKLLLLPAAKNMTTLVVESSPWNSNDFGIPYPTYFHPSKDSEVFSWQDRMRKKERKWLFSFAGAPRPGNPRSIRGHIIQQCRNSKVCRLFKCDYGESSCHSPGNIMQMFQESVFCLQPQGDSYTRRSAFDAMLAGCIPVFFHPGSAYIQYTWYLPRNHSSFSVFIPEPDIRRGNASIEERLSQVSPEQVEGMREAVIALIPRLIYSDPRARLESVKDAFDVAVQGVIDKVTKLRRDVVAGRGDEHFVEELSWKYALMEEGQHDIGAHEWDPFFSQSKDYNDSSVAGSDSSAQVI >itb02g10630.t2 pep chromosome:ASM357664v1:2:6869338:6871636:1 gene:itb02g10630 transcript:itb02g10630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAVFKYEKGSESVLVVSKDDYEKCNTQNPIKKMDDGNSVFQFGRSGPFYFISGNQENCEKGQKLIVVVLAVRNRNPPAPPTPRSPAPYANGPIPAPPPKGFTPSGHAPGAHPPRGSPAASPSGHASGSGVSPAKGSPAASPYSNAPGTSPSKGLPVISPSAYAPGGSPIKGSPAASPSGYAPGGSKPKGSPAISPSGSAPGASPFKGSPATSPSGYVPGASSPKGSHSTSPYGNAPGGSPTKGSPATSPSGYAPGALAPKGSPKTSPYGNAPGGSPAKGSPASSPSGNAPGGSPAKGSPATSPSGNAPRGSPAKGSPATSPSGNAPGGSPSKGSPASSPSGNAPGGSPAKGSPATSPSGNAPGALGPIGSPTTSPYGNAPGASPTKGSPVSSPSGNAPGALAPIGSPTTSPSGYAPAASTPVGSPASYAPGAAPPKSSISPSPAAISITPASSPGPAAFPGANGPASKTPLASPAPAKSSTAPSTGSPSSGNTPADIQSPGGSPPNSAAVKTFTPSMVIASTLTFIIAVAF >itb02g10630.t1 pep chromosome:ASM357664v1:2:6869338:6871636:1 gene:itb02g10630 transcript:itb02g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKHLGIVVVLVSLVCSSLHAYQFNVGGKTGWGLNPSEDYNHWAGRMRFQVNDTLLFKYEKGSESVLVVSKDDYEKCNTQNPIKKMDDGNSVFQFGRSGPFYFISGNQENCEKGQKLIVVVLAVRNRNPPAPPTPRSPAPYANGPIPAPPPKGFTPSGHAPGAHPPRGSPAASPSGHASGSGVSPAKGSPAASPYSNAPGTSPSKGLPVISPSAYAPGGSPIKGSPAASPSGYAPGGSKPKGSPAISPSGSAPGASPFKGSPATSPSGYVPGASSPKGSHSTSPYGNAPGGSPTKGSPATSPSGYAPGALAPKGSPKTSPYGNAPGGSPAKGSPASSPSGNAPGGSPAKGSPATSPSGNAPRGSPAKGSPATSPSGNAPGGSPSKGSPASSPSGNAPGGSPAKGSPATSPSGNAPGALGPIGSPTTSPYGNAPGASPTKGSPVSSPSGNAPGALAPIGSPTTSPSGYAPAASTPVGSPASYAPGAAPPKSSISPSPAAISITPASSPGPAAFPGANGPASKTPLASPAPAKSSTAPSTGSPSSGNTPADIQSPGGSPPNSAAVKTFTPSMVIASTLTFIIAVAF >itb02g10630.t3 pep chromosome:ASM357664v1:2:6869338:6871636:1 gene:itb02g10630 transcript:itb02g10630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKHLGIVVVLVSLVCSSLHAYQFNVGGKTGWGLNPSEDYNHWAGRMRFQVNDTLLFKYEKGSESVLVVSKDDYEKCNTQNPIKKMDDGNSVFQFGRSGPFYFISGNQENCEKGQKLIVVVLAVRNRNPPAPPTPRSPAPYANGPIPAPPPKGFTPSGHAPGAHPPRGSPAASPSGHASGSGVSPAKGSPAASPYSNAPGTSPSKGLPVISPSAYAPGGSPIKGSPAASPSGYAPGGSKPKGSPAISPSGSAPGASPFKGSPATSPSGYVPGASSPKGSHSTSPYGNAPGGSPTKGSPATSPSGYAPGALAPKGSPKTSPYGNAPGGSPAKGSPASSPSGNAPGGSSAKGSPATSPSGNAPRGSPAKGSPASSPSGNAPGGSPAKGSPATSPSGNAPRGSPAKGSPATSPSGNAPGGSPSKGSPASSPSGNAPGGSPAKGSPATSPSGNAPGALGPIGSPTTSPYGNAPGASPTKGSPVSSPSGNAPGALAPIGSPTTSPSGYAPAASTPVGSPASYAPGAAPPKSSISPSPAAISITPASSPGPAAFPGANGPASKTPLASPAPAKSSTAPSTGSPSSGNTPADIQSPGGSPPNSAAVKTFTPSMVIASTLTFIIAVAF >itb10g21610.t1 pep chromosome:ASM357664v1:10:26763031:26763827:-1 gene:itb10g21610 transcript:itb10g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSLSPVSSSPPACPSKSTRNTHKILQTTLILQDGIQRNRPVEVYENRQKSAVSYALKAVLMGLIIGIYDYSDSINPTVILIIYDFHIYLFLDIILAFVAALARAVLGLELELTFNEPYLSSSLQDFWGSRWNLMVNHIMPPTVYSPFYDVSDKYLGRKWATYPAVIATFMVLGLMNELIYFYLGQVKPTWEITWFFLLHGVCVAVEIVVKKALRAGAGCREYLGQS >itb11g09620.t1 pep chromosome:ASM357664v1:11:6519172:6524367:1 gene:itb11g09620 transcript:itb11g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQHSLLGEISWICGGDLDWSSLCIHRALVDALSLLLAFLFFLLLLVGSVMRIDIRGSRRSWITLLISLCCALTSFGYLGASLWELITKNTSSSDLSWLPYFIKGVIWISFTLSLLVLGSKIVKVVMSCWWVVFFLMVSSLNIEVLITTYSIQILDVISWIITFLLPFCAIRNLHLILSQPAPEKSLSEPLLLEQSDESQTLISHASFFSQLSFSWMNHLLRLGKSKTLLLEDIPCLGMEDEAKLNYEKLSREWDILQTDNRCNGSANLILRAIARLYWKEMVLGGFYLLLRSAAVVVAPLLLYAFVAYSNLESTELSKGFFLVGCLIVDKVIDSLSNRHFFFYTKRIGMRIRSGLMVAVYQKQLKLSNQGRQRHSTGEVVNYIAIDAYRMGESAMWFHVGWISGLQILLSICVLFWVVGFGALLGLVPLAICGLLNVPFAKVNVFGSNAYVSQASWIQSGTIRDNILFGKSMDKNRYDEAIRVSALDKDINSFDYGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTASTLFNDCVMSALAMKTVILVTHQVEFLSAVDHILVMEGGEITQSGSYNELLMTGMAFEQLLNAHKKAVNSFDPVMINNDRIPDKEYSSGLEEVSKSFVNEENSQISLKGGTQLTEDEEMETTDAMWKIFMDYVSVSKGTFYLILNFVTQTGFVVLQAAASYWLALSIQSPKFSHLMIIGVYTLVSLLSAFFVYLRSLFSALLGLKASKAFFSGFINSVFKAPMLFFDSTPVGRILTRASSDQSVLDFDIPFAYTFVMAAGIELVATIVIMASVTWQVLIVGIIATIGSKYVQEYYQPSARELMRINGTTKAPIMSYATETSLGVATIRAFDMVDRFFQNYLKLVDADAKVFLSSNGAMEWLVLRTEVLQNLTLFVAAFLLILVPTGYLPSGLVGLSLSYAFALTGTQVFLSRWYSSLANYVISAERIKQYMHLTPEPPAVVEDNRPNSSWPSKGRIELVDLKIRYRSNAPLVLKGITCTFSEGTRVGVVGRTGSGKTTLISALFRLVEPYSGQIIIDGIDVCSIGLKDLRLKLSIIPQEPALFRGSVRTNLDPLGLYSDDEIWKALEKCQLKDIISKLPLQLDSSVSDDGENWSMGQRQLFCLGRVLLKRNRILVLDEATASIDSATDATLQKIIREEFCNCTVITVAHRVPTVIDSDMVLVLSFGKLVEYDEPSKLMEETNSAFSKLVAEYWSSCTRNSLPEFNH >itb02g08770.t1 pep chromosome:ASM357664v1:2:5550657:5555188:-1 gene:itb02g08770 transcript:itb02g08770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAQDEYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLTVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVSRHVTFENVSRWLKELRDHTDPNIVVMLIGNKSDLRHLVAVQTEEGKELAESEALYFMETSALEATNVENAFTEVLTQIYHIVSKKAIDAGDDGAASSVPPKGENININIKDQGPSGKRGGCCSS >itb05g01590.t1 pep chromosome:ASM357664v1:5:1288641:1297958:1 gene:itb05g01590 transcript:itb05g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSPMSSTLSTSRGIIPPAGFGAYEDSMSGDPGPFGNTQSESSDTDETELHSVSWNQDYSCFAAGTSRGFRIYNCDPFKETFRRDIKSGGFGIVEMLFCCNILALVGARTNTQYPPNKVIIWDDHQSSSVAAQTPLLEHYYMAASSLAY >itb09g25640.t1 pep chromosome:ASM357664v1:9:25733965:25737982:-1 gene:itb09g25640 transcript:itb09g25640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNFNSNVVLSAIETILNSEKVVDKVATEDMLEEFDLKFRELSLKIQHLCFEERVYTKQLVIVTQSANPTASNDPNPNDNLIKCAMDLTKCIVEINQSSSYSLPQSIISVLPFASYWVGRSIACTVAYCACLPMANIKFESELNIITTKIKDILTTCSPALEAKRADESYQALQHALFNNSSDKLTVLKLILNVIDDNEISHFMWIGRRHETIGLNYFDEDKKVALLLTSGLDISNERIQFLNAFYYYPRSTPYILWIPILNDHAALSIEQYEQFRDKMWFETMDDPNKRIARSFTRFVKENLLPHFQIGEEPILVSLDQHGRIVHTNMMHMIQTWSDDYIEDSKLRVEVRNNIIPFIEKEMKERSQGLDSLIFDIDEQISHLALEVDNKIDGWADQINDRLNKLRKHSNMYTSERENALWKKEKDWSLGLVVGKIDERVTSWIENERCFFLYGGNNIKWVREFTSKVHEVTFKTQSNINLIYVGKNEKVRASIDEEHSSYLLESPYDAWRFWTRLQSALLSRINYLNAANCHGDECDDEIAQGFKKLLGYECKGATMEGWAVLSKRQKVVVCGQGAKMLRVINEYESWKENIALKSFDQAFKDYYNKTLHTCCSSNSHSCCALEYPITLKEILKKEKCPECFHDMQKFVTFTCYHGDS >itb09g25640.t2 pep chromosome:ASM357664v1:9:25733965:25737982:-1 gene:itb09g25640 transcript:itb09g25640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHIDENAMMELVCATHNPDGTNFNSNVVLSAIETILNSEKVVDKVATEDMLEEFDLKFRELSLKIQHLCFEERVYTKQLVIVTQSANPTASNDPNPNDNLIKCAMDLTKCIVEINQSSSYSLPQSIISVLPFASYWVGRSIACTVAYCACLPMANIKFESELNIITTKIKDILTTCSPALEAKRADESYQALQHALFNNSSDKLTVLKLILNVIDDNEISHFMWIGRRHETIGLNYFDEDKKVALLLTSGLDISNERIQFLNAFYYYPRSTPYILWIPILNDHAALSIEQYEQFRDKMWFETMDDPNKRIARSFTRFVKENLLPHFQIGEEPILVSLDQHGRIVHTNMMHMIQTWSDDYIEDSKLRVERKHSNMYTSERENALWKKEKDWSLGLVVGKIDERVTSWIENERCFFLYGGNNIKWVREFTSKVHEVTFKTQSNINLIYVGKNEKVRASIDEEHSSYLLESPYDAWRFWTRLQSALLSRINYLNAANCHGDECDDEIAQGFKKLLGYECKGATMEGWAVLSKRQKVVVCGQGAKMLRVINEYESWKENIALKSFDQAFKDYYNKTLHTCCSSNSHSCCALEYPITLKEILKKEKCPECFHDMQKFVTFTCYHGDS >itb02g14850.t1 pep chromosome:ASM357664v1:2:10772407:10776675:-1 gene:itb02g14850 transcript:itb02g14850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLRNPSLFSTYTLLLILSLNSLFFSSAYSIDAQGQALLAWKTSLNSSTDALKSWNSVDQTPCSWFGISCNSDGEVVKISLKAVNLQGPLPSNLQPLRSLDTLILSSANLTGSIPREFGDYLELVVLDISDNSITGTIPEEICNLTKLQALALSTNLLEGGIPGEIGRLESLKYLTLYDNQISGEIPRSFGQLSNLEVFRAGGNQNLKGELPSEIGNCKNLQVLGLAETGISGGLPSSIGNLKRIQTIAIYTALLSGPIPEEIGNCSELQNLYLYQNSISGPIPRSIGNLGKLQSLLLWQNSIVGTIPDELGSCKELTVIDLSENLLTGSIPASLGGLSGLGELQLSVNKLSGTIPSELSNCTALTHLELDNNAISGEIPAEIGKLKSMTLFFAWQNNLTGNIPDSLSECESLQALDLSYNTLFGQIPKGIFSLRNLTKLLLLSNDLSGFIPPDIGNCTELYRFRVNKNRLGGTIPVEIGNLKILNFLDMSNNHFMGSVPPSISGCINLEFLDLSSNALSGLVPDSLPRTLQFLDMSDNRLTGPLGPSIGSLTELTKLNLGKNQLSGRFPAEIVSCTKLQMLDIGNNGFSGNIPKELGKIPSLEISLNLSYNGFTGDIPDEFSGLTKLATLDLSHNKLTGKLDVLAHLQNLVSLNLSSNNFEGELPNTPFFREIPLTDLAGNPSLYISGGVVTSADRKGGHTKTTMKLAMPILVTASAVLVFLAIYILVRSHLGNKGSGINTDTWEMTLYQKLDFSIDDIVRNLTSANVIGTGSSGVVYRVMIPNGETLAVKKMWSTEESGAFSSEIQTLGSIRHKNIVRLLGWGSNRSLKLLFYDYLPNGSLSSLLHGTGKGGAEWESRYEIVLGVAHALAYLHHDCVPPILHGDVKAMNVLLGPRMEPYLADFGLARIVHSNCGGADGSKQALRPHLAGSYGYMAPEHASMQCITEKSDVYSFGIVLLEVLTGRHPLDPTLPGGAHLVQWVREHLHSKRDPADILDAKLRGRADPQMHEMLQTLAVSFLCVSNKAEGRPTMKDVVAMLKEIRHDDPATSEAVKGGGGATAVPKSPPPPTRNVLHGSSSCSFEFSDNSI >itb10g22360.t1 pep chromosome:ASM357664v1:10:27226591:27233977:1 gene:itb10g22360 transcript:itb10g22360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSTTLGMGRKSLAQRIRHVLWPLDEIDPKNSKFPCCLVWCPLPVVSWLTPFFGHVGICREDGVVLDFSGSYLINVDDFAFGAVARYYQLDRTKCCFPPHLAGHTCNCRYQHADYGPAITWDDALYSSQCHFEHHTYNIFTCSCYSFVANCLNRLCYGGSMEWNMVSVAALVLIKGHWVDGFSVLRSFLPCITVLCLGLAMTGWHFLIALLSFSFLVFGWFLFEVVEACPPGEELQGYEDDNLITGKVGGNLGHPKAKQARPPPSRSASLILSLTPATVFSPRRRATWPLAGAVFLVDKLRKPICEHHAIRIIEFPGILTLGCYLCLFSI >itb10g24250.t1 pep chromosome:ASM357664v1:10:28177615:28177953:1 gene:itb10g24250 transcript:itb10g24250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETHSPATSFSVDSGTRANYSPYIDQPKLRASGFRRSDDASGIENFEDGGTECNSVKKVNDREISTLTVLSSEPVPYAPNDVDHTGLVSPGPAAVVDAPLTRQSSAAMTL >itb08g04150.t1 pep chromosome:ASM357664v1:8:3295908:3300313:-1 gene:itb08g04150 transcript:itb08g04150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCVQLFSAIRKHRVKKKPAMGILNGFDDASHEGIKTVGVDNRCEFSGRSDLCMCIITWNMNGQVSMEDIEKLVEGNREFDLLVIGLQEVPRINVSKLLKNALSDIHILIGKAVMQSLQLYIFGPKNLAPNIRELKVDMHSIGGFGGLIRRKKGAVAIRVSYKGISMVFVSCHLSAHAHNVEERNLELKKISDSLFSKNWNPYARPAKVTVWLGDLNYRLQGIKTYPARDLIHGDLHKMLTSRDQLLQEAERGEIFNGYYEGALAFKPTYKYDIGSSSYDTSHKVRVPSWTDRILFKIHSSDINATLHSYESIDNIHSSDHRPVKAHLCLTLNSRSVSTNQQESSQ >itb02g11200.t1 pep chromosome:ASM357664v1:2:7321404:7322253:1 gene:itb02g11200 transcript:itb02g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSESNFQDFLPLMAEKLGGDGLIGELCNGFQLLMDREKGVITFDSLKNNSALLGLQDLTDDDLWSMLRQGDFDGDGALNQMEFCVLMFRLSPELMEHSQFLLEEALQDLL >itb11g07730.t2 pep chromosome:ASM357664v1:11:4834500:4842108:-1 gene:itb11g07730 transcript:itb11g07730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNAPINLASIRDQSQKELVMILRNIRGQKCLVIDPKLSGSLSLLVQSSILKELGAELRHLTSEPVQTDCTKVVYLVRAQLDLMKSICSQIHHDTSKGIQREYFLYFVPRRAVVCEKVCFPVLAYVGIISRLRSWCILSPTLCAIQILEEEKVHHLLTIGEYPLYLLPLDDDVLSFELDTAYREFTVDGDTTSLWHIAKAIHKLECSFGVIPNVRAKGKASVRVADILNRMQAEEPVNTADAVVPDINTLILIDREVDMVTPMCSQLTYEGLLDEFLGINNGAVELDASIMGAQQQEGKKTKVPLNSSDKLFKEIRNQNFEVVVQVLRQRATSMKQDYTEMQSTNQSVSELKDFVKKLNSLPEMTRHINLAQHLTQFTSKPSFLGRLDMEHTMVESESYDICMEYIEEMIHKQEPLLNVLRLLILFSITNSGLPKRNFDYLRRELLHSYGFEHIATLNNLEKAGLFRKQDSKSNWITIKRALQLVVEDTDTANPNDISYVFSGYSPLSIRLVQHAIRSGWRPIEEILRMLPGPHSDSKRSGFASSPSFDNLLGSAHNSDKVGDGRRSVVLVVFIGGVTFAEINALRFLSSQEGMAYDLIVLTTNIVNGHTLIDTFVEKLG >itb11g07730.t1 pep chromosome:ASM357664v1:11:4834500:4842108:-1 gene:itb11g07730 transcript:itb11g07730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNAPINLASIRDQSQKELVMILRNIRGQKCLVIDPKLSGSLSLLVQSSILKELGAELRHLTSEPVQTDCTKVVYLVRAQLDLMKSICSQIHHDTSKGIQREYFLYFVPRRAVVCEKILEEEKVHHLLTIGEYPLYLLPLDDDVLSFELDTAYREFTVDGDTTSLWHIAKAIHKLECSFGVIPNVRAKGKASVRVADILNRMQAEEPVNTADAVVPDINTLILIDREVDMVTPMCSQLTYEGLLDEFLGINNGAVELDASIMGAQQQEGKKTKVPLNSSDKLFKEIRNQNFEVVVQVLRQRATSMKQDYTEMQSTNQSVSELKDFVKKLNSLPEMTRHINLAQHLTQFTSKPSFLGRLDMEHTMVESESYDICMEYIEEMIHKQEPLLNVLRLLILFSITNSGLPKRNFDYLRRELLHSYGFEHIATLNNLEKAGLFRKQDSKSNWITIKRALQLVVEDTDTANPNDISYVFSGYSPLSIRLVQHAIRSGWRPIEEILRMLPGPHSDSKRSGFASSPSFDNLLGSAHNSDKVGDGRRSVVLVVFIGGVTFAEINALRFLSSQEGMAYDLIVLTTNIVNGHTLIDTFVEKLG >itb07g20520.t1 pep chromosome:ASM357664v1:7:24898960:24909534:1 gene:itb07g20520 transcript:itb07g20520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAVAAAAFWFTALSSTTTCRCSLSSQPLFATSRPPSRFFVPKSATPSQNQEHNQRKKSLNKSPPPTRVSHTEREAVTQKCPGRSKGERLFSNVDDRNTNKNGRSQSTSFKSFGVQRKGKGVQFDSKELQVETGNLQDSNFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVECDIALLSVESEEFWKGAEPLHFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSELLGIQIDAAVNPGNSGGPAFNDDGECIGVAFQVYRSDDVENIGYVIPTTVVSHFLQDYERNGKYTGFPSLGVLLQKLENPALRACLKVPSNEGVLIRKVEPTSDAHNTLKEGDVIVSFDGVRVGCEGTVPFRSTERIAFRYLISQKFAGDTTELGIIRAGELMKVQIVLSPRVDLVPYHIEGGQPSYLIVAGLVFTPLSEPLIQEECEDSIGLKLLTKARYSLANFKGEQIVVLSQVLANEVNIGYEDISNEQILKLNGKRIRNIHHLAHLLDSCKDKYLVFEFADNFLVVLEREAALATSASILKDYGIPAERSSDLMEPYVDSIGQNDAVDQQELEFGDSAVSNSEFGFDGLLWA >itb10g02530.t1 pep chromosome:ASM357664v1:10:2178247:2186012:-1 gene:itb10g02530 transcript:itb10g02530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGIGMTKLSCVNPKSGWWHYGLPLVPPYAGGEDIKLGGSVNFAVVGAPALDYTFRDEQGTLTRKDISMKAQLDRFKDFLPSICKTSNCEEIFGSSLIVFGPFGGDDYSSAMAKKDIKEAHLLQPRIVNAIASAVEELIELGAVNIMVPGMMPDGCIAVTLTLFYGSNQNAYDSTTGCLSWLNEFAQNHNKLLQNELNQIRDRHPNIFITYADYYNAALQLFQFPAKYVWNTTSPVTAAGQARREDPVAADLDHHLFPLVVDVVATLPVWNTTSPVTAAGQARREDPVAADLDHHLFPLVVDVVATLPGEPESPTKRR >itb10g25860.t3 pep chromosome:ASM357664v1:10:29017517:29019376:-1 gene:itb10g25860 transcript:itb10g25860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILMFDVSIFLRHNFARVWALAPVTLSSLEIGYISSVMVTELLSPPVVPQQSLNYIQPSVLPGIQKLCLSVDYITDTMISTISRSLCLLTYLDLRDSPIMEPRMAFDLTNAGLQQINPHGKLKHLSLIRSQEIFPAYFKRVNDLGILLMADRCSSMESICLGGFCQVTDTGFKTVLHSCANLFKLRVSHGPLLTDLVFHDIAATSLTLTHASLRWCNLLTNYAVARLASNRNLSMLDLRDCRNLGDEALRAISSLPKLKVLLIDGSDISDAGFSYFSKGVMNSLVSLSVRGCKRLTDKCISFLFEGSSKQELRELDLSNIPNFSDAGILSLVKSRIPIFELRVRQCPLIGDTSVMVLASMKFEEVGWQGSSLRLLDLYNCGGITQLSFQWLKKPYFPRLRWLGVSGNANRDMVDNVARNRPYVHVTCRGEELGIDQWDTSDDLYMHDYDEVDELEQWLLEGDNESDEEMEEGANAGDNLE >itb10g25860.t1 pep chromosome:ASM357664v1:10:29017517:29020771:-1 gene:itb10g25860 transcript:itb10g25860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSESGRENEISLDSLPSALLATMMTKLDVPSIRSIACTCKTFYSCASHILSFLPSFHLLDIAPSADMLRPLLPPNPYLRSLKLDCTRLDDSSLDFILRPTLQELCLHNCSDFSGKLLSQVGRGCTDLRFLYLSSVADKRGRSIDVSDLEELLSGCTQLETMILMFDVSIFLRHNFARVWALAPVTLSSLEIGYISSVMVTELLSPPVVPQQSLNYIQPSVLPGIQKLCLSVDYITDTMISTISRSLCLLTYLDLRDSPIMEPRMAFDLTNAGLQQINPHGKLKHLSLIRSQEIFPAYFKRVNDLGILLMADRCSSMESICLGGFCQVTDTGFKTVLHSCANLFKLRVSHGPLLTDLVFHDIAATSLTLTHASLRWCNLLTNYAVARLASNRNLSMLDLRDCRNLGDEALRAISSLPKLKVLLIDGSDISDAGFSYFSKGVMNSLVSLSVRGCKRLTDKCISFLFEGSSKQELRELDLSNIPNFSDAGILSLVKSRIPIFELRVRQCPLIGDTSVMVLASMKFEEVGWQGSSLRLLDLYNCGGITQLSFQWLKKPYFPRLRWLGVSGNANRDMVDNVARNRPYVHVTCRGEELGIDQWDTSDDLYMHDYDEVDELEQWLLEGDNESDEEMEEGANAGDNLE >itb10g25860.t2 pep chromosome:ASM357664v1:10:29017534:29020090:-1 gene:itb10g25860 transcript:itb10g25860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRFLYLSSVADKRGRSIDVSDLEELLSGCTQLETMILMFDVSIFLRHNFARVWALAPVTLSSLEIGYISSVMVTELLSPPVVPQQSLNYIQPSVLPGIQKLCLSVDYITDTMISTISRSLCLLTYLDLRDSPIMEPRMAFDLTNAGLQQINPHGKLKHLSLIRSQEIFPAYFKRVNDLGILLMADRCSSMESICLGGFCQVTDTGFKTVLHSCANLFKLRVSHGPLLTDLVFHDIAATSLTLTHASLRWCNLLTNYAVARLASNRNLSMLDLRDCRNLGDEALRAISSLPKLKVLLIDGSDISDAGFSYFSKGVMNSLVSLSVRGCKRLTDKCISFLFEGSSKQELRELDLSNIPNFSDAGILSLVKSRIPIFELRVRQCPLIGDTSVMVLASMKFEEVGWQGSSLRLLDLYNCGGITQLSFQWLKKPYFPRLRWLGVSGNANRDMVDNVARNRPYVHVTCRGEELGIDQWDTSDDLYMHDYDEVDELEQWLLEGDNESDEEMEEGANAGDNLE >itb09g07000.t1 pep chromosome:ASM357664v1:9:4065206:4066432:-1 gene:itb09g07000 transcript:itb09g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPVINMEKLNDVERGETMEIIKDACENWGFFELVNHGIPHEVMDNIEKLTKDHYKKVMEQRFKDLVASKGLEGVQAEVTDMDWESTFFLRHLPVSTISQVPDLDHNYREAMRDFAKRLEKLAEELLDLLCENLGLEKGYLKEAFYGSTAPNFGTKVSNYPPYPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPMRHSIVINLGDQIEVITNGKYKSVEHRVIAQTNGARMSIASFYNPANDALIHPASALVEKEADEEKVYPRFVFDDYMKLYAGLKFQPKEPRFQAMKAFQKA >itb13g04830.t1 pep chromosome:ASM357664v1:13:5724931:5726245:-1 gene:itb13g04830 transcript:itb13g04830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLITLPFLLLLCFFTSSYATNIEVHNNCPFTVWAAATPIGGGRRLDRGQNWTINIPPNSKPGRVWGRTNCNFDGSGRGSCQTGDCGGVLRCTGWGKPPNTLVEYAINQFNNLDFYDISLVDGFNIPLSFSPTKPIDKCRAISCTADIVGQCPAALRDPGGCNNPCTVFRTPGDCCTNPSTCNPSYYSRFFKTNCPDGYRYPMDDATSTFTCPGGTTNYRVVFCPLN >itb02g09740.t1 pep chromosome:ASM357664v1:2:6251153:6253511:-1 gene:itb02g09740 transcript:itb02g09740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSITSSVSSPLNLSSKSLSGSNRKPLFSLAFGSFNKPRNKVSFLIRGMGSSASSPKPDSAQEVSNKDFSSVSDGEWKKQLTAQQFYITRQKGTERAFTGEYWDTKTPGTYHCICCDTPLFESSTKFDSGTGWPSYYKPIGNNVKSKLDLSIIFMPRQEVLCAACDAHLGHVFDDGPEPTGKRYCINSAALKLKPK >itb05g14470.t1 pep chromosome:ASM357664v1:5:21582580:21587312:-1 gene:itb05g14470 transcript:itb05g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSVGELAKVISHALISKSKQTVPTQTWTPLMEQALHRLGCRESLSPTLVAHVIDPHLLHHHSLALGFFDWSSQQPGFSHDSSSYQSILKALSLSRKFNAMDRVLKQSKSQKVNLPPYVYRAVIASQITSKNTCTAFSTFKEVSSLISDIGPQTCNSLLAAISSEGDLFRARNVFDEMTIKGIRLNTLGFGVFVWKFCRCNGLEMTLNVLDEVRRIDFSGINGSVIAVLVAHGLCSGSFVSEAVVALDELRQRDCKPDFMAYRIVAEALREMGNAVDVEWVLKRKRKLGVAPRSNDYKDFILTLISERLISEAKELGEVIMKGNFPMDDDLLNALVGSVSALDPCCSMLFFNFMVDREVIPTLLTLKNLGRNLCKLGKTEMLVEVSQKLFARAYFTDKRTYNLMLTLLCEAGKVREAYEILGEMKRKGLGLDISSYNSLLEACCREDLLRPAKRLWDEMFTNGCPANLKSYNILIQKCSEVGEVEEAYRLFEHMFEKRVAPDATTYKSLLKGICQAKDIALALHVFDMSVSQSTVLARNILGSFVLYLCKEGNVLHALKLLHSHIDDIAFLDSHLTVLKFLSDAGETSLALEHLKLIGDKSAFMLQALHNEILSLSKPDPLMKLFKAMRENRDGS >itb05g14470.t4 pep chromosome:ASM357664v1:5:21582580:21587312:-1 gene:itb05g14470 transcript:itb05g14470.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSVGELAKVISHALISKSKQTVPTQTWTPLMEQALHRLGCRESLSPTLVAHVIDPHLLHHHSLALGFFDWSSQQPGFSHDSSSYQSILKALSLSRKFNAMDRVLKQSKSQKVNLPPYVYRAVIASQITSKNTCTAFSTFKEVSSLISDIGPQTCNSLLAAISSEGDLFRARNVFDEMTIKGIRLNTLGFGVFVWKFCRCNGLEMTLNVLDEVRRIDFSGINGSVIAVLVAHGLCSGSFVSEAVVALDELRQRDCKPDFMAYRIVAEALREMGNAVDVEWVLKRKRKLGVAPRSNDYKDFILTLISERLISEAKELGEVIMKGNFPMDDDLLNALVGSVSALDPCCSMLFFNFMVDREVIPTLLTLKNLGRNLCKLGKTEMLVEVSQKLFARAYFTDKRTYNLMLTLLCEAGKVREAYEILGEMKRKGLGLDISSYNSLLEACCREDLLRPAKRLWDEMFTNGCPANLKSYNILIQKCSEVGEVEEAYRLFEHMFEKRVAPDATTYKSLLKGICQAKDIALALHVFDMSVSQSTVLARNILGSFVLYLCKEGNVLHALKLLHSHIDDIAFLDSHLTVLKFLSDAGETSLALEHLKLIGDKSAFMLQALHNEILSLSKPDPLMKLFKAMRENRDGS >itb05g14470.t2 pep chromosome:ASM357664v1:5:21582578:21587312:-1 gene:itb05g14470 transcript:itb05g14470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSVGELAKVISHALISKSKQTVPTQTWTPLMEQALHRLGCRESLSPTLVAHVIDPHLLHHHSLALGFFDWSSQQPGFSHDSSSYQSILKALSLSRKFNAMDRVLKQSKSQKVNLPPYVYRAVIASQITSKNTCTAFSTFKEVSSLISDIGPQTCNSLLAAISSEGDLFRARNVFDEMTIKGIRLNTLGFGVFVWKFCRCNGLEMTLNVLDEVRRIDFSGINGSVIAVLVAHGLCSGSFVSEAVVALDELRQRDCKPDFMAYRIVAEALREMGNAVDVEWVLKRKRKLGVAPRSNDYKDFILTLISERLISEAKELGEVIMKGNFPMDDDLLNALVGSVSALDPCCSMLFFNFMVDREVIPTLLTLKNLGRNLCKLGKTEMLVEVSQKLFARAYFTDKRTYNLMLTLLCEAGKVREAYEILGEMKRKGLGLDISSYNSLLEACCREDLLRPAKRLWDEMFTNGCPANLKSYNILIQKCSEVGEVEEAYRLFEHMFEKRVAPDATTYKSLLKGICQAKDIALALHVFDMSVSQSTVLARNILGSFVLYLCKEGNVLHALKLLHSHIDDIAFLDSHLTVLKFLSDAGETSLALEHLKLIGDKSAFMLQALHNEILSLSKPDPLMKLFKAMRENRDGS >itb05g14470.t3 pep chromosome:ASM357664v1:5:21582580:21587312:-1 gene:itb05g14470 transcript:itb05g14470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSVGELAKVISHALISKSKQTVPTQTWTPLMEQALHRLGCRESLSPTLVAHVIDPHLLHHHSLALGFFDWSSQQPGFSHDSSSYQSILKALSLSRKFNAMDRVLKQSKSQKVNLPPYVYRAVIASQITSKNTCTAFSTFKEVSSLISDIGPQTCNSLLAAISSEGDLFRARNVFDEMTIKGIRLNTLGFGVFVWKFCRCNGLEMTLNVLDEVRRIDFSGINGSVIAVLVAHGLCSGSFVSEAVVALDELRQRDCKPDFMAYRIVAEALREMGNAVDVEWVLKRKRKLGVAPRSNDYKDFILTLISERLISEAKELGEVIMKGNFPMDDDLLNALVGSVSALDPCCSMLFFNFMVDREVIPTLLTLKNLGRNLCKLGKTEMLVEVSQKLFARAYFTDKRTYNLMLTLLCEAGKVREAYEILGEMKRKGLGLDISSYNSLLEACCREDLLRPAKRLWDEMFTNGCPANLKSYNILIQKCSEVGEVEEAYRLFEHMFEKRVAPDATTYKSLLKGICQAKDIALALHVFDMSVSQSTVLARNILGSFVLYLCKEGNVLHALKLLHSHIDDIAFLDSHLTVLKFLSDAGETSLALEHLKLIGDKSAFMLQALHNEILSLSKPDPLMKLFKAMRENRDGS >itb09g21480.t1 pep chromosome:ASM357664v1:9:19479579:19480276:-1 gene:itb09g21480 transcript:itb09g21480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGIGPLVPSAYLDGKDPYDTSFGGDLRDKSDEYVEWLDSQPKGSVIYLAFGSYSDLPTTMAEQIAQALVESKMPFLWVIRETANGEKPEEKVTHCGWNSTIESLALGIPVVAFPLWSDQICNAKLIQDVWKIGARVRANEDDDIVEARELTRCIECVMGEEGAELRKNAVKWRELAKSSMKENGSSYLNLKAYVNDVLLK >itb11g05610.t1 pep chromosome:ASM357664v1:11:3314035:3314730:1 gene:itb11g05610 transcript:itb11g05610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKAQLSLLLVLVVAANLALSLVAQSTNMYVNPASVGSVGRIDVDDTEEMMLDSVSSRRVLYQYRYLSYSVLQKDNIPCGRRGPSYYHCHIHRPIRPYSRGCSRFTRCGGRGR >itb03g19270.t1 pep chromosome:ASM357664v1:3:17386169:17388000:-1 gene:itb03g19270 transcript:itb03g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKPDQPRKLQENAQTKAPKAYSKAEVALHNKRTDCWIIIKDKVFDVTSYVEEHPGGDAILVHAGDDSTEGFYGPQHATRVFEMIGDFCIGELEK >itb01g24950.t1 pep chromosome:ASM357664v1:1:30475024:30480197:1 gene:itb01g24950 transcript:itb01g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIVNLDDHIALACAGLKADARVLVNRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIIGFDPYTGVPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKGPAKET >itb11g14380.t2 pep chromosome:ASM357664v1:11:11468020:11470903:1 gene:itb11g14380 transcript:itb11g14380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSKSADTKASRLARWRSTGIVALRDSKLKTFPDEVLDLERSVRTLDLTCNKIAEIPVEINKLTNLQRLILAENVIERLPVNLGLLQSVKVMTLDKNRMTTLPDELGQLVKLERLSVSSNSLVSLPGTIGSLRNLVLLNVSSNNLKILPESIGNCFSLEELQANDNTIEELPASVCSLVHLKSLCLNNNNLKQVFVKSLPFL >itb11g14380.t1 pep chromosome:ASM357664v1:11:11468014:11472303:1 gene:itb11g14380 transcript:itb11g14380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSKSADTKASRLARWRSTGIVALRDSKLKTFPDEVLDLERSVRTLDLTCNKIAEIPVEINKLTNLQRLILAENVIERLPVNLGLLQSVKVMTLDKNRMTTLPDELGQLVKLERLSVSSNSLVSLPGTIGSLRNLVLLNVSSNNLKILPESIGNCFSLEELQANDNTIEELPASVCSLVHLKSLCLNNNNLKQIPPNLLKECKSLQNISLHGNPISMDQFQQIEGFQEFEARRKKKVDKQIDSNVIISSNGLDVGVDH >itb11g11140.t1 pep chromosome:ASM357664v1:11:8100844:8102906:1 gene:itb11g11140 transcript:itb11g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNQLKNRAQNSNYDENKWVMRILKVLDQESEEEEIDLTVTIFGVPKTLMAYHPESYLPQRVAIGPYHNTHPELHEMERHKIDAAKIFQKQLKTIKLHSLVEELMKVESRIRLSYDRELMNLRTETLAWMMAVDASFLLEFLKVYAVKEGKLKAAMISSRMSHLVDLAGKKSSHNALLRDIIMLENQIPLFVLRKMLEPQCPSKEESDELLLTMLMALSKDLSPFKMAHDSNSNSAVVQIDEATHLLGYLFESIVPEMEGREHVEIAIDGCEKKDGEKEDFLKNSGYVKAAFSQVWAILSKINKGPARALKRLSSLAPVKTVLLMPWNAITKLPGINTLRAPIEGVFSFSCCRKPEAGGEKSDDSKGHPDKPPSIEQITIPSVTQLAHAGVRFVPTNKGILSVEFDPKTVTLYLPVVCLDINSEVVLRNLVAYEACIAKGPLVLARYTEFMNGIVDTAEDVKFLREKGIILNHLKSDCEVANLWNGMNRSVRLTKVAFLDKVIDNVNKYYSCRWNVKTKRFMKSYIFGSWQFLTILATIVLLSLMFLQSFCSVYTCSRFFNDVTITDAH >itb03g22190.t1 pep chromosome:ASM357664v1:3:20252350:20254190:1 gene:itb03g22190 transcript:itb03g22190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLFISLLLLSTLLYEAQGRHLRKGGDLPARNHRTNENGSIRRSGEKKIDACKDGQCSSSSSASAGKNRKLIAKTTSSTYSTTTTSKKLKNEGTKANPIPKKENFSVNSSPETGHRETSYPNVFDLAGMDYSPARRKAPIHN >itb05g23860.t1 pep chromosome:ASM357664v1:5:28888287:28888724:1 gene:itb05g23860 transcript:itb05g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGYTHHRTCYTRVRKPCTAGAGAGGGYYKGFRLNSRRFSVHRLRAKFFHLFRLLSRLKALYFRAVRALMKRSITGGKVSGRNLGITREINYALYGNNGGGEVRLKSSFSRSNSFYAEAIADCLDFIHRNSLSMEEKPVLIRQN >itb07g15080.t1 pep chromosome:ASM357664v1:7:17983830:17985564:-1 gene:itb07g15080 transcript:itb07g15080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFSDSPPIPPQNSPSIPPQLAAKLARTSSDPTCTKIAAVPAAVGDDLPVEILLDIFSRLPVKSLLRFRSVCKLWRGLIGDTSLVGVHFSRSLRNVLHQHFLITAYSLEKSKLTILSGDTHSGGGEPACLFSFSNCRFQQTLFGRVKGFAWHPHRYKFIRREEFRYLINPSTRQIVEIPLNNFGLDINSCLYALGFSRNREEFKVLSISDGFECHILTIRMSGIGEWKKVNSLMPDEIKNEFWSIRYQDCVCIAGVMHWILWEIKKIATFNLETESFSTMELPVELQTSSVSGRTFYLLEIDGCLAVVCDGDVPEFGSSRIDLWVLKEYEIGNWVGEIINLPGYYLGAYITGSVPCSGEIMLSTLRRSAESAIVPLYDRSTRSFREVEIPLPPSLSSNDVKLGDPVVYTESLFSFRFLFISLD >itb12g19030.t2 pep chromosome:ASM357664v1:12:21316044:21324012:-1 gene:itb12g19030 transcript:itb12g19030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIAKHERRWASDSVPGDPPVIGGFSSETESENSSSVAAEEYVEVTLDLQDDDTIILRSVEPATAISIDDLVGGGGMMIEGPVSASGSASTSRSPTIRRSSSNRILQFSQELKAEAVAKARQFSQELKAELKKFSWSHGHAPRAFSSASGTTSGMDSALAARQLRRQRAQLDRTRSGAQKALRGLRFISHNKANAWNEVESNFYKLAKDGYLYRADFAQCIGMKDSKEFALEMFDALSRRRRLKVDKISKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRIAEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRNTIRRMSTKLLYFYQENWKRIWVLTLWILIMIGLFIWKFLQYKQKSAYIVMGYCLLTAKGAAETLKFNMALILFPVCRNTITWLRSTKLSHFIPFDDNINFHKTIAAGIVIGIILHAGNHLACDFPRLINEADSVYSRLLVNDFGNNKPTYGDLVKGPEGVTGILMVILMLIAFTLATRWFRRGLIKLPKPFDRLTGFNAFWYSHHLFVIVYILLIVHGMFLYLVHKWYKKTTWMYLAVPVLLYAGERTLRFFRSGLYTVRLLKVAIYPGNVLTLQMSKPSQFHYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACECPEVGKSGLLRADGTTKKSLPKLLIDGPYGAPAQDYQKYDVLLLVGLGIGATPFISILKDLLNTIVKMEEQAVSILICIWI >itb12g19030.t1 pep chromosome:ASM357664v1:12:21314365:21324012:-1 gene:itb12g19030 transcript:itb12g19030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIAKHERRWASDSVPGDPPVIGGFSSETESENSSSVAAEEYVEVTLDLQDDDTIILRSVEPATAISIDDLVGGGGMMIEGPVSASGSASTSRSPTIRRSSSNRILQFSQELKAEAVAKARQFSQELKAELKKFSWSHGHAPRAFSSASGTTSGMDSALAARQLRRQRAQLDRTRSGAQKALRGLRFISHNKANAWNEVESNFYKLAKDGYLYRADFAQCIGMKDSKEFALEMFDALSRRRRLKVDKISKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRIAEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRNTIRRMSTKLLYFYQENWKRIWVLTLWILIMIGLFIWKFLQYKQKSAYIVMGYCLLTAKGAAETLKFNMALILFPVCRNTITWLRSTKLSHFIPFDDNINFHKTIAAGIVIGIILHAGNHLACDFPRLINEADSVYSRLLVNDFGNNKPTYGDLVKGPEGVTGILMVILMLIAFTLATRWFRRGLIKLPKPFDRLTGFNAFWYSHHLFVIVYILLIVHGMFLYLVHKWYKKTTWMYLAVPVLLYAGERTLRFFRSGLYTVRLLKVAIYPGNVLTLQMSKPSQFHYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACECPEVGKSGLLRADGTTKKSLPKLLIDGPYGAPAQDYQKYDVLLLVGLGIGATPFISILKDLLNTIVKMEEQADSASDLSRNSDLSVGSSEQNSSNKISPRRKKTLRTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKTCTKHANARIGVFYCGVPVLAKELSQLCKEYNQKGTTRFEFHKEHF >itb12g19030.t3 pep chromosome:ASM357664v1:12:21314365:21324012:-1 gene:itb12g19030 transcript:itb12g19030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIAKHERRWASDSVPGDPPVIGGFSSETESENSSSVAAEEYVEVTLDLQDDDTIILRSVEPATAISIDDLVGGGGMMIEGPVSASGSASTSRSPTIRRSSSNRILQFSQELKAEAVAKARQFSQELKAELKKFSWSHGHAPRAFSSASGTTSGMDSALAARQLRRQRAQLDRTRSGAQKALRGLRFISHNKANAWNEVESNFYKLAKDGYLYRADFAQCIGMKDSKEFALEMFDALSRRRRLKVDKISKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRIAEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRNTIRRMSTKLLYFYQENWKRIWVLTLWILIMIGLFIWKFLQYKQKSAYIVMGYCLLTAKGAAETLKFNMALILFPVCRNTITWLRSTKLSHFIPFDDNINFHKTIAAGIVIGIILHAGNHLACDFPRLINEADSVYSRLLVNDFGNNKPTYGDLVKGPEGVTGILMVILMLIAFTLATRWFRRGLIKLPKPFDRLTGFNAFWYSHHLFVIVYILLIVHGMFLYLVHKWYKKTTWMYLAVPVLLYAGERTLRFFRSGLYTVRLLKVAIYPGNVLTLQMSKPSQFHYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACECPEVGKSGLLRADGTTKKSLPKLLIDGPYGAPAQDYQKYDVLLLVGLGIGATPFISILKDLLNTIVKMEEQADSASDLSRNSDLSVGSSEQNSSNKISPRRKKTLRTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVSLLG >itb12g14040.t1 pep chromosome:ASM357664v1:12:13354726:13355550:1 gene:itb12g14040 transcript:itb12g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGWRRLTGEFADLCDRCASTYKEGGIVADQKSEIGGEKLRGLILDCSYSPLDFNLPDLVWLDTTKPLSAVNCRLMYMSNPYNQTDHPECKSRPDSGLSTLAKLDPGVEDLGGMVDLVEGSVANLDGLDVIKVGVVVYAEFCRDWGEALRMYDGAYHVLREMATS >itb09g09060.t2 pep chromosome:ASM357664v1:9:5418739:5422574:-1 gene:itb09g09060 transcript:itb09g09060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEDSERPTKRVKVASRESGGLLNGISPTEPASCSLSNSMAQSLAFQADEVVGSKRVAKKVEFVQIISEALYSLGYKRSGACLQEESGIPLHSSVVKSFLQQILNGKWDESVASLQKIGVVDKTIIKLASFLIFQQKFFELLDGDNVMGALKTLRTEIAPLYVNHDQVRELSSHILSRSQQNLAGISGQQIVRMKSRSELLEELQKLLPPSVMIPEKRLVNLVEQALDLQLVACRFHNSLVGEISLFTDHQCGRDRIPSQTLQILEEHNDEVWFLQFSHDGKYLASSSADCSVIIWEVKEDGQVLLKDQFSGHQKPVSYISWSPDSSQILTCGLEEVVRQWDVASGECVHVYEKDGVGLISCGWSPDGQSIFSGVTDQSISMWSLDGKEVKSWKGEKTIKIPDIGITTDGKQIISVCKDNMISLFRHESNTEELIEEDQDITSFVLSRDNKYLLVSLLNQEIHLWYISGHPRCVAKFKGHKRLRFVVRSCFGGLDQAFIASGSEDSQVPYFARI >itb09g09060.t1 pep chromosome:ASM357664v1:9:5418739:5422574:-1 gene:itb09g09060 transcript:itb09g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEDSERPTKRVKVASRESGGLLNGISPTEPASCSLSNSMAQSLAFQADEVVGSKRVAKKVEFVQIISEALYSLGYKRSGACLQEESGIPLHSSVVKSFLQQILNGKWDESVASLQKIGVVDKTIIKLASFLIFQQKFFELLDGDNVMGALKTLRTEIAPLYVNHDQVRELSSHILSRSQQNLAGISGQQIVRMKSRSELLEELQKLLPPSVMIPEKRLVNLVEQALDLQLVACRFHNSLVGEISLFTDHQCGRDRIPSQTLQILEEHNDEVWFLQFSHDGKYLASSSADCSVIIWEVKEDGQVLLKDQFSGHQKPVSYISWSPDSSQILTCGLEEVVRQWDVASGECVHVYEKDGVGLISCGWSPDGQSIFSGVTDQSISMWSLDGKEVKSWKGEKTIKIPDIGITTDGKQIISVCKDNMISLFRHESNTEELIEEDQDITSFVLSRDNKYLLVSLLNQEIHLWYISGHPRCVAKFKGHKRLRFVVRSCFGGLDQAFIASGSEDSQVPYFARI >itb05g27650.t1 pep chromosome:ASM357664v1:5:30917028:30918176:1 gene:itb05g27650 transcript:itb05g27650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELEDQLSIKLKNVPREEEEEEEEGYGVFFGEREKKKKITRICHVCNKGFSSGKALGGHMRIHGQLYKKRKEDAAFQLEEEEEEEEEDEYEYCYDDNEEEDDERVEKKGRERFMKKMKMVVPVCLICGKDFQSMKSLYGHMRSHPRDWKGIHPPAAAAENPVVDLKKALKCRWSVTAKRGRKVVSGGGGDQTSSLSEDTEEEQLRDAAHELMMLAHGGGSRRHDQELDSKSLSYEVMTKNVRGKAKAPENQESKKKSMISGYRETRADEKTRKKRMKLIKLKDLESVHHNATSTIDHDNRTPAAAEKYKCSECDKWFRTHQALGGHKSSHNKLCKMVIQNSIDDDPLMPSNQVTNSSGELSHHNHQILDFDLNEVPETTE >itb14g11710.t1 pep chromosome:ASM357664v1:14:13391032:13392225:-1 gene:itb14g11710 transcript:itb14g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSSEDDWEHRFEEKEVVKVQEDPEDNKRDWTGLPSELLSEMLTNLHHPQARFFFHKVCKYWDSIEDPKHHCPLISPTLQLQSQWLVHKDTYFGTFCKFFHPFYPDRYALHDIPDLAHTVLLHSNNGWLLMSRGQKTLFFINPFTKERVDLPETEHSFGEWKSAIFLSDPTSPDCKVFGVVSFLDNWAHFFTIHRGENSWVRHIFSDLSPRMFQSECHPVFHNQKLYCLGKHGGMGVFEFNPEAEESKYSVHEVLCSPLEDETFESYLVECEGELMAVFLSYMGTKISVVRLDEERMEWRKVESLGDFMVFISVGTSFARKKTVDGMENKIYFPRLSGDYTVFYCLATERYKTFGNNFCQKDLYETREQLNCCWTEPSARTSTSPQQLHWLPQHA >itb12g19470.t3 pep chromosome:ASM357664v1:12:21869963:21874531:1 gene:itb12g19470 transcript:itb12g19470.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVMPYKVSTLSKTCLLGLISSAPFQSCRVRSVGHSARSICRHRQSFTSEVTDWRKYRFPSVSFPQKRINNRRLICAIATEPLPKQAEESKMEAPKEIFLKDYKQPDYYFDTVDLKFSLGEEKTIVSSKIAVYPRVEGGSSPPLILNGADLKLLSILVNGNALKEEDFHLDSKHLTITSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFCQDRPDIMAKYTCRIEGDKSLYPVLLSNGNLIEQGDLEGGQHYAIWEDPFKKPSYLFALVAGQLASRDDIFITRSGRKVSLRIWTPAQDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDLGSRTVKRIADVSKLRMYQYPQDASPMAHPVRPHSYIKV >itb12g19470.t1 pep chromosome:ASM357664v1:12:21869685:21874682:1 gene:itb12g19470 transcript:itb12g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVMPYKVSTLSKTCLLGLISSAPFQSCRVRSVGHSARSICRHRQSFTSEVTDWRKYRFPSVSFPQKRINNRRLICAIATEPLPKQAEESKMEAPKEIFLKDYKQPDYYFDTVDLKFSLGEEKTIVSSKIAVYPRVEGGSSPPLILNGADLKLLSILVNGNALKEEDFHLDSKHLTITSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFCQDRPDIMAKYTCRIEGDKSLYPVLLSNGNLIEQGDLEGGQHYAIWEDPFKKPSYLFALVAGQLASRDDIFITRSGRKVSLRIWTPAQDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDLGSRTVKRIADVSKLRMYQYPQDASPMAHPVRPHSYIKV >itb12g19470.t2 pep chromosome:ASM357664v1:12:21869979:21874682:1 gene:itb12g19470 transcript:itb12g19470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVMPYKVSTLSKTCLLGLISSAPFQSCRVRSVGHSARSICRHRQSFTSEVTDWRKYRFPSVSFPQKRINNRRLICAIATEPLPKQAEESKMEAPKEIFLKDYKQPDYYFDTVDLKFSLGEEKTIVSSKIAVYPRVEGGSSPPLILNGADLKLLSILVNGNALKEEDFHLDSKHLTITSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFCQDRPDIMAKYTCRIEGDKSLYPVLLSNGNLIEQGDLEGGQHYAIWEDPFKKPSYLFALVAGQLASRDDIFITRSGRKVSLRIWTPAQDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDLGSRTVKRIADVSKLRMYQYPQDASPMAHPVRPHSYIKMDNFYTGQS >itb03g14190.t1 pep chromosome:ASM357664v1:3:14030780:14031640:1 gene:itb03g14190 transcript:itb03g14190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMATQDEGITLELIRQHLLGDFATSTATTSEAFIDNLNFCFPDNNLFTNPQIATDFSTELVPLQFTDQDFFSGTNFFSIKFEFCNSDLTELNQPDLPSVPYFNPHLNFPQFYETKPDIFEDKMSGVKEQQTPPAPNPILTEHVSWISSGQGEYGSGFSCASRPGKGRYYRGVRRRPWGKYAAEIRDPTRKGCRIWLGTYDNEVDAARAYDCAAFKMRGSKAILNFPMDAGKALPPAKVNRKRRKESKSKMAVKD >itb03g14190.t2 pep chromosome:ASM357664v1:3:14031199:14031597:1 gene:itb03g14190 transcript:itb03g14190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKEQQTPPAPNPILTEHVSWISSGQGEYGSGFSCASRPGKGRYYRGVRRRPWGKYAAEIRDPTRKGCRIWLGTYDNEVDAARAYDCAAFKMRGSKAILNFPMDAGKALPPAKVNRKRRKESKSKMAVKD >itb06g18150.t1 pep chromosome:ASM357664v1:6:21876008:21879729:-1 gene:itb06g18150 transcript:itb06g18150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRANVFEAIEEEDRAIEKDEGLPPALLGSCNDRAKHLHNSPSGRLLTALVCEYLDWAQLNHTLKVYLPECNLQKDFWKSELKEFSSKNGYDLNMNGDSGPLLLDVLEGFLKYENLSQARGAGDSRRPPSSSVAGGLPPLGRPVPVSQASSNEQGHLCLDTGKMNTTGDMRATRLQKM >itb06g18150.t2 pep chromosome:ASM357664v1:6:21876008:21879729:-1 gene:itb06g18150 transcript:itb06g18150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRANVFEAIEEEDRAIEKDEGLPPALLGSCNDRAKHLHNSPSGRLLTALVCEYLDWAQLNHTLKVYLPECNLQKDFWKSELKEFSSKNGYDLNMNGDSGPLLLDVLEGFLKYENLSQARGAGDSRRPPSSSVAGGLPPLGRPVPVSQASSNRRAGSSVSGYRKDEYNWRYESDAVAEDVVRASTALENLQLDRKARNLSTSWRHAGNGVSEHNDRVE >itb02g15350.t1 pep chromosome:ASM357664v1:2:11162086:11166744:1 gene:itb02g15350 transcript:itb02g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQNPRDLQWVMEAMKSGNLSLHSVSFYLSQPDSCCHQETESSMNIKISKQNLPQFSIFLELLTTCNGTHSSLTNLEFQQVQWEIEQVRKLGLLLQNNSGVKQLVFRRNRFSTECLSELSEIVKKNGGIKEIMLSESSIGPAGAAFLASALKFNGALEELQIWEDSIGSKGAEELSKMIEVNSTLKLLTIFDSRSITATPLISAVLARNRSMEVHVWSGENNEKTSKVVEFVPENSTLRIYRLNVSGTCRVACALGLNSTVKSLDMTGVRLKSRWAREFRWVLEQNRCLKEVTLSKTGLKDKGAVYIAAGLFKNQTLQSLYVNGNCFGGVGVEHLLCPLTRFSTLQNQANITLKSVTFGGKKTKIGRDGLAAILQMLTTNQSLTRLGIYDDESLRPEDISKIFRSLERNATLRCLSLQGCKGVDGETLLQTIMDILQVNPWLEEIDLSRTPLQSSGKTEGVYQKLHQSEKTDPEIDLLKDMAMTVPKSCRVFLCGQEHAGKNTLANAIYQQFSSPKLPYIDQVRTLVNPIEQAVSRPVGMKIKTFKDDGTKISIWNLAGQHEFYALHDLMFPGHGSASFFLIVCSLFRNPNNREQKTPNEIEEDLQYWLRFIVSNSRRALQQCMLPNVTVVLTHYDKVNQASPDLQAAVNLIQKLRDKFHGFVEFYPTLFTVDARSSASVSKLAHHIRKTSKTVLERVPQVYELCNELIQILSEWRVENHHKPAMKWKDFADICQVKVPSLRIRSRLDNTEKVEMRRRAVATCLHNIGEVIYFDELGFLILDCEWFCGEVLGQLMRLDVRKHSSIENGFISRKHLERILKSSLDSQIPGMGSKVFENLEASDLVRMMLKLELCYEQDPSDPNSPLLFPCVLEEGRGRPQKWQINAPDCIYAGRHLECDDTSHMFLTPGFFPRLQVHLHNKMIGLKSQYGATYSLEKYLISMSINGVYVRIELGGQLGYYIDVLACSTKNLTETLRLFQQLIIPSIMSLCQGFTLTESILRPECVRNLTPPRYRKTQFLAVQHLKQTLLSVPADGMYDYQHTWDAVADSGKAILREGFDFARDLLSDDDFREVLHRRYHDLYNLAVELQVPLENNPDHASNETESAVEPSFAGIAKGVEAVLQRLKIIEQEIRDVKQEIQGLRYYEHRLLLELNHKVNYLVNYNGQLQERKVPNMFYFVRTENFSRRLVTTMISGMNALRLHMLCEFRREMHVVEDQIGCEMMQVDNRAVKSLAPYMKKFMKLVTFAMKIGAHLAAGMGEMIPDLSREVAHLLDSPLLYSAAGAGTAGAAGAAMAGAAGAAAFGRGDRNRGSRDIQQDLRTAQQWVLDFLRDRRCSTGKDIAEKFGLWRVRYRDDGQIAWICRRHMHMRANEVIEVPI >itb06g22590.t1 pep chromosome:ASM357664v1:6:24811992:24815389:-1 gene:itb06g22590 transcript:itb06g22590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHIFRPNHNLIILPILSLLFTSTVAAATELQSLLAIKTALQNTDTKIFDTWNARHSPCDFPGVTCDSNGSVKEIELSRRGLSGSVPFDSICSLKSLEKLSLGFNSLSGEVSDSLDKCVSLRYLDLGNNLFSGKIPGMSSLTEMTHLYLNNSGFTGKFPWHSLENMTKLEVLSLGDNPFERTTLPDFIVKLTSLNWLYLSNCSLEGKIPEGIGNLTELLNLELSMNYISGEIPAGITKLRKLWQLEVYANDLTGKLPDGFGNLSNLQYFDASSNHLYGSLSEIRFLNKLISLQLFENHFSGEVPPELGDFKNLVNLSIYTNNLTGTLPAKLGSWAEFDFIDASENFFTGPIPPDMCKQGKMKELLILQNNFSGEIPETYGNCYSLKRFRVSKNSLSGEIPNGIWGLPNLNIIDIANNGFEGSITADIKNAKSLGQLFVSNNKLSGEIPPEISGASALVSIDLSNNQFSGKIPATIGELKQLDTLNFHNNEFSGSMPDSLGSCDSLSDINIAFNSLSGQIPASLGSLPTLTSLNLSGNHLSGEIPGALSSLKLNLVDFSNNQLSGPVPDSLSVAGRFTGNPRLCSQKIKNFKKCSGKSGTSPAVHTLLLCLLSISVALLASLACFFYLKKNGGRNDHERSLKEDSWAVKSFRSLTFTEGEILDAIKQENLVGEGGSGSVYRVVLRNGSELAAKHIWNSGPTARRRSLATTPMLGKRGVKSKQFEAEVQTLSSIRHVNVVKLYCSITSEDSSVLVYEYLRNGSLWDRLHTCKKMGLDWETRYEIAVGAARGLEYLHHSCDRPIIHRDVKSSNILLDEFFKPRIADFGLAKILQYETTCKDSTHVIAGTHGYIAPEYGYTQKVKEKSDVYSFGVVLMELVTGKKPIEAEYGENNNIVSWVSTKLKSKESIMSMVDSTIQEPFKEDAIKVLRIAILCTAALPNSRPTMRRVVQMLEDAEPCKLVSIIVSKDNAAKVDNPSSDNTSKM >itb04g27320.t1 pep chromosome:ASM357664v1:4:31350878:31354507:1 gene:itb04g27320 transcript:itb04g27320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQQVPRTSAASGEEIESLHEPPPPPFSISVPDGGNSSSSLAAKAIRASSAHRDFSLSSAYGESAVSSPRESNTVRSSSFPSSTNKDSSNYGYTSKKSFNEPKQGFWGALARKAKSIIDDDIVGQQQHEAVGRTSTQQMSDTTTRDKLPESQRKPENHKLQKGLDALASSLNYIGDTIGNAFEEGRAVVENRTADILQTRKLQIRRKGSTSVQNQASNASGVWQQPAMRNNVQTQPQTDLETQLKASRDVANAMAAKSKVQLRELKTVKADLAFAKERCAQLEEENRILRESRDKGGNPEDDDLIRLQLETLLAEKARLAQENSVLTRENRFLREIVEYHQLTMQDVVYLDETNEEVTEVYPIKIETPQVTNDLSSHPVPSPELTDTSHGVSSYIPAPSKNGLGRYSKPFS >itb10g24540.t2 pep chromosome:ASM357664v1:10:28297541:28299284:-1 gene:itb10g24540 transcript:itb10g24540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWAREAQHRKRRVDDLIIGSIGGAASSSAYKKLSSGKFACLVCPHNPVLDTALVLSAHLKGSRHRAAESRLKEKELARQAEISKRIALSEHVTATCSNVNSSSNKFRPTSKPLIDNTRKAVSEMLKAENFQRDAMCQAFELQGGRSEAVSLSISESGPCVPTDVAPEHKGVAYVAYDRERHERELKFTAAGWKRDCHGRWFKDENVSISFNQNINATAKPSSMPLLQPKLMLNGFIRNL >itb10g24540.t1 pep chromosome:ASM357664v1:10:28297541:28299284:-1 gene:itb10g24540 transcript:itb10g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWAREAQHRKRRVDDLIIGSIGGAASSSAYKKLSSGKFACLVCPHNPVLDTALVLSAHLKGSRHRAAESRLKEKELARQAEISKRIALSEHVTATCSNVNSSSNKFRPTSKPLIDNTRKAVSEMLKAENFQRDAMCQAFELQGGRSEAVSLSISESGPCVPTDVAPEHKGVAYVAYDRERHERELKFTAAGWKRDCHGRWFKDENVDFDSDEEDPNVCLA >itb14g11340.t1 pep chromosome:ASM357664v1:14:12971535:12972699:1 gene:itb14g11340 transcript:itb14g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATVLSNVLSDINDFVVKQGHGVKGLSELGLQTLPNQYVHPPEERLSSMDVVTDDSIPVIDVSNWEDPKVAKLICEAAEKRGFFQIVNHGIPIEMLEKAKAATYRFFREPAEEKKKYSKENCPTSHVRYSTSFLPQIEKALEWKDHLSMFYVSDEEAAQYWPPSCRDDALEYLKSCEMVSRKLLEALMQGLNVNQIDDAKESLLMGSRRININYYPKCPNPDLTVGVGRHSDISTLTLLLQDDIGGLYVRKLEHEAWSHVPPVKGALVINIGDALQIMSNGRYKSIEHRVMANETNDRISVPVFVNPRPNDIVGPLPEVLASGEKPVYKPVLYSDYAKHFYRKAHNGKDTIAFARIE >itb08g03050.t4 pep chromosome:ASM357664v1:8:2567074:2569218:1 gene:itb08g03050 transcript:itb08g03050.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSRHFESRCMRRKVLLETLHKELPEGTIRYSSKVVMIEESGLFKLVHLADGSIIRTKVVIGCDGVNSVVAKWLGLQNAVDSKRSAIRGFVECPEKHGYEPKFYAFFGGGVRFGFLPCDETGLYWFCTYNESTAHFDESAEQDPVKLKEFVLSKTRDVSKEVTGILERTPLDCISSAKLKLRLPWNILLGDITRSNICVAGDALHPMTPDLAQGGCSALEDSIILSRCLAEAFLAKPRGDIADDQKASEEFNRIKNSLDKYAKERRWRSFVLITGAYLTGFIQESNNKVISFLREKFLARYTLRIVLSAADFDCGKLLLS >itb08g03050.t1 pep chromosome:ASM357664v1:8:2565591:2569218:1 gene:itb08g03050 transcript:itb08g03050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMREDIVIVGAGIAGLATALGLHRQGLRSMVLESSESLRAAGFALGLWTNAWRALDALAIGDSLRQQSVQNNEFQVFSADSGLPTAAIPLQMHKNQHFESRCMRRKVLLETLHKELPEGTIRYSSKVVMIEESGLFKLVHLADGSIIRTKVVIGCDGVNSVVAKWLGLQNAVDSKRSAIRGFVECPEKHGYEPKFYAFFGGGVRFGFLPCDETGLYWFCTYNESTAHFDESAEQDPVKLKEFVLSKTRDVSKEVTGILERTPLDCISSAKLKLRLPWNILLGDITRSNICVAGDALHPMTPDLAQGGCSALEDSIILSRCLAEAFLAKPRGDIADDQKASEEFNRIKNSLDKYAKERRWRSFVLITGAYLTGFIQESNNKVISFLREKFLARYTLRIVLSAADFDCGKLLLS >itb08g03050.t2 pep chromosome:ASM357664v1:8:2565591:2569218:1 gene:itb08g03050 transcript:itb08g03050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCLYFRQGLRSMVLESSESLRAAGFALGLWTNAWRALDALAIGDSLRQQSVQNNEFQVFSADSGLPTAAIPLQMHKNQHFESRCMRRKVLLETLHKELPEGTIRYSSKVVMIEESGLFKLVHLADGSIIRTKVVIGCDGVNSVVAKWLGLQNAVDSKRSAIRGFVECPEKHGYEPKFYAFFGGGVRFGFLPCDETGLYWFCTYNESTAHFDESAEQDPVKLKEFVLSKTRDVSKEVTGILERTPLDCISSAKLKLRLPWNILLGDITRSNICVAGDALHPMTPDLAQGGCSALEDSIILSRCLAEAFLAKPRGDIADDQKASEEFNRIKNSLDKYAKERRWRSFVLITGAYLTGFIQESNNKVISFLREKFLARYTLRIVLSAADFDCGKLLLS >itb08g03050.t3 pep chromosome:ASM357664v1:8:2566729:2569218:1 gene:itb08g03050 transcript:itb08g03050.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRFQVFSADSGLPTAAIPLQMHKNQHFESRCMRRKVLLETLHKELPEGTIRYSSKVVMIEESGLFKLVHLADGSIIRTKVVIGCDGVNSVVAKWLGLQNAVDSKRSAIRGFVECPEKHGYEPKFYAFFGGGVRFGFLPCDETGLYWFCTYNESTAHFDESAEQDPVKLKEFVLSKTRDVSKEVTGILERTPLDCISSAKLKLRLPWNILLGDITRSNICVAGDALHPMTPDLAQGGCSALEDSIILSRCLAEAFLAKPRGDIADDQKASEEFNRIKNSLDKYAKERRWRSFVLITGAYLTGFIQESNNKVISFLREKFLARYTLRIVLSAADFDCGKLLLS >itb14g05000.t3 pep chromosome:ASM357664v1:14:4341689:4343599:-1 gene:itb14g05000 transcript:itb14g05000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDSIEQDGVENISYLTQVQAAQLDELLTGPLGFTVHQLMELAALSVATAIAETYRSSEHNRVLVICGPGNNGGGGLVSARHLRNFGYNISISYPKPLYNNLVTQLESLSVPFLSVEDLTLDISESFDILVDATFGFSFLGAPNPPLDDLIQRVVALQSSNQKAVIVCVDIPSGWHIEEGDLSGKGLKPHMLVSLFAPKFCAKTFHGPHHFLGGRFIPAAILEKFQLQLPDYPGTSPCVRIGKASTGISSLKDKYFEQHVEADPFDQFQKWLEDAMSAGLKRATAAAVSTATKDGKT >itb14g05000.t1 pep chromosome:ASM357664v1:14:4339664:4343615:-1 gene:itb14g05000 transcript:itb14g05000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDSIEQDGVENISYLTQVQAAQLDELLTGPLGFTVHQLMELAALSVATAIAETYRSSEHNRVLVICGPGNNGGGGLVSARHLRNFGYNISISYPKPLYNNLVTQLESLSVPFLSVEDLTLDISESFDILVDATFGFSFLGAPNPPLDDLIQRVVALQSSNQKAVIVCVDIPSGWHIEEGDLSGKGLKPHMLVSLFAPKFCAKTFHGPHHFLGGRFIPAAILEKFQLQLPDYPGTSPCVRIGKASTGISSLKDKYFEQHVEADPFDQFQKWLEDAMSAGLKRATAAAVSTATKDGKTSSRMVLLRSVDRDGFVWNSNYESRKGQDISENPHAALLFCWSGLNREARVEGTVQKISEQESEHYFYSRPIESQIAALVSKQSTVIPNRQTLHNEYKDLETKYYDGIVIPKPKSAGGYRLRPKMFEFLQGQESQLHYRLQYTAQDIDGKKEWKIDQSTCDKC >itb14g05000.t2 pep chromosome:ASM357664v1:14:4339664:4343615:-1 gene:itb14g05000 transcript:itb14g05000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVDSIEQDGVENISYLTQVQAAQLDELLTGPLGFTVHQLMELAALSVATAIAELESLSVPFLSVEDLTLDISESFDILVDATFGFSFLGAPNPPLDDLIQRVVALQSSNQKAVIVCVDIPSGWHIEEGDLSGKGLKPHMLVSLFAPKFCAKTFHGPHHFLGGRFIPAAILEKFQLQLPDYPGTSPCVRIGKASTGISSLKDKYFEQHVEADPFDQFQKWLEDAMSAGLKRATAAAVSTATKDGKTSSRMVLLRSVDRDGFVWNSNYESRKGQDISENPHAALLFCWSGLNREARVEGTVQKISEQESEHYFYSRPIESQIAALVSKQSTVIPNRQTLHNEYKDLETKYYDGIVIPKPKSAGGYRLRPKMFEFLQGQESQLHYRLQYTAQDIDGKKEWKIDQSTCDKC >itb14g21300.t1 pep chromosome:ASM357664v1:14:23375266:23376494:1 gene:itb14g21300 transcript:itb14g21300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAFLFDRKFPRDDGRSVSSGDIWPEFCPTSQTTVKSSFSGQPESAATPKRSQPCTGDGSPEKAKKKRQRKNMYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNDDSNFNPPAQPEFRRNPPDKSIGKADLNVEPYYPRSNSMINAGTLIGFATQSEENGNEGLLKEKRAGGEEMKIMNDGAEIKEAENQVRKLSEELMVYESYMKFYEIPYLDGQSTAAAQVNPVPDTVVGGGSIDLWSFDDVSPSSVVNSN >itb13g14740.t1 pep chromosome:ASM357664v1:13:21327827:21328339:1 gene:itb13g14740 transcript:itb13g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALACAYVIGFMNVIWTVIFKEKWAGLFTKDEMLKALAASVLPIIGLCELGNCPQTTGCGILRGTARPAVGARINLGSFYFVGTPVVVGLAFWLKLGFGGLWFGLLSAQAACTISIIYAVLCCTDWEAEALKAKKLTVVEMGQCAVEIKHEESKGLLVSDCSNTLDGVL >itb13g25610.t1 pep chromosome:ASM357664v1:13:30943085:30945169:1 gene:itb13g25610 transcript:itb13g25610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLARRVYEKKNVVNHFDKRAWCIVSQDYDCKNLLNKIYNEVCGHKTEIDNVAEELRKRLMGRRYLIVLDDIWSVEAWEELNRVFPECDNGSRIVLTSRQESVVSDAKHICLPFFTIDESWELLQMMYLVLKVDGDKYANADDVSPVSLENLEFLITLKLHSEKSRSVMNEAIPTCSKELQTWEWQCPFTPNHECLLRELPCLRTLSCKVSSSESFPKIDFLHHLEKLILVSARSGNGSPHLLNDLKLSKFPSSVKELRLTSITLSVFAISTIAQLPNLETLVLRKCMFEEGLTWNVGEETKFQKLKSLQLIWDYTKIWNINSAAESFPCLEQLTLIFCRHLQVMPSDFEDVLTLKMISVKHCNPIVYSWVKEIEECARELGNEQLQVDIDIDSK >itb03g07950.t1 pep chromosome:ASM357664v1:3:5940902:5945188:-1 gene:itb03g07950 transcript:itb03g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTVVLSPPTSVGKNRAEFNGDRIDNTLLIDIRDISFGPMINDGAYSIVYEGLYKSTPVAIKVIQPEKAVNVSPQRKGKFEREVMLLARMQHENVVKLIGAVMEPALILVTELLSCGTLQRYLWSVRPNCPDLKLSLNFALDICRAMEHLHSNGIMHRDLKPSNLLLTDDKIVKLADFGLAREEAETEMTSEAGTYRWMAPEVFNTDSLGPLKHYNHKVDVYSFSLILWEMLTNRTPYKGRNNIMVAYASAKNMRPNVDNIPRNLGSLITACWAENPADRPEFVQISETLENILGNITDDMLVPEANSLMNEDADSPGTSWLMDQAIANLNRKKLKKKNKKKKAALCCCFSSSSEDSL >itb15g23640.t1 pep chromosome:ASM357664v1:15:26447630:26448870:1 gene:itb15g23640 transcript:itb15g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVGIDDSEESFHALNWILHKFFWKSDDPTAMDGSGLVGDLVTLVHVMEPWPHNSVLGGPEEMAVDLVVVGSRGLGQIKRAVLGSVSDYCAHHARCSVLIVKPPTKSHG >itb15g21680.t1 pep chromosome:ASM357664v1:15:24364353:24366479:1 gene:itb15g21680 transcript:itb15g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLISHREVAPAGNPAEMSLPLTFFDIPWLFYFRMVRRLLFYRLPISKETFVETTIPNLIASLSLTLKHFIPVAGNLVSPADSSASPELRYVPGDSVSVAFAESAGDFNSLVANHPRDVNEFYPLVARLPGTKRTADNEQIIVPVLAVKVTLFPGFGICIGTTHHHVVGDGNTMNNFTKAWAMISKVGGDGEFIAEKSETESFPFFDRTIVKDPHGARDKMFGETKKKLKVEPSEAEVSTPPIDKVRATFIMRRADIDKIKNLVSARQRSGGVRSLHVSAFTVTCGYVWSGILKAKAVIGERTAEEERTEYFCCVADCRSRLNPPLPASYCGNCVVNCLTKSKHGVLVGSQGFLAAAELIGEAIGKGVNDEERILNGELWFSEFEEAVVGEGVGVAGYPRIDLYGADFGWGKAEKIEYVSIDGGDSLSLCKCRDSEGDMEIGLAMPRARMEAFASIFAHGLSSL >itb07g24310.t4 pep chromosome:ASM357664v1:7:28536294:28546941:1 gene:itb07g24310 transcript:itb07g24310.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQGKETSPSSQPSTPIKAQDWEGPTTLDDESKSEFYAENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLIDHRRAYNCEIMLTKVKVPMHDLLSSVLALEDSAIDADQVESIIKFCPTKEEMEMLKGYKGPKDKLGKCEQFFLELMQVPRIQSKLRVFSFKLQFQSQVSDVRKSLITVNSAADQIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLSDKMPELLDFSKDLSSLEPSSKIHIKFLAEEMQAISKGLEKVIQELSMSESDGQVSESFCKALKEFLCYAEGEVRSLALLYSGVGRNVDALILYFGEDPSRCSFEQVISTLLNFVKMFNQAHDENCKQLEFEKKKAEKEAAAEKLKTSDPVKETPNVMQSISRSVK >itb07g24310.t3 pep chromosome:ASM357664v1:7:28536294:28546941:1 gene:itb07g24310 transcript:itb07g24310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQGKETSPSSQPSTPIKAQDWEGPTTLDDESKSEFYAENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLIDHRRAYNCEIMLTKVKVPMHDLLSSVLALEDSAIDADQVESIIKFCPTKEEMEMLKGYKGPKDKLGKCEQFFLELMQVPRIQSKLRVFSFKLQFQSQVSDVRKSLITVNSAADQIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKQVLSDKMPELLDFSKDLSSLEPSSKIHIKFLAEEMQAISKGLEKVIQELSMSESDGQVSESFCKALKEFLCYAEGEVRSLALLYSGVGRNVDALILYFGEDPSRCSFEQVISTLLNFVKMFNQAHDENCKQLEFEKKKAEKEAAAEKLKTSDPVKETPNVMQSISRSVK >itb07g24310.t7 pep chromosome:ASM357664v1:7:28536294:28541013:1 gene:itb07g24310 transcript:itb07g24310.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLVIYVPFGCYIYKCTISLLSDSWTFKKSHMNYSSK >itb07g24310.t5 pep chromosome:ASM357664v1:7:28536294:28546941:1 gene:itb07g24310 transcript:itb07g24310.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQGKETSPSSQPSTPIKAQDWEGPTTLDDESKSEFYAENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLIDHRRAYNCEIMLTKVKVPMHDLLSSVLALEDSAIDADQVESIIKFCPTKEEMEMLKGYKGPKDKLGKCEQFFLELMQVPRIQSKLRVFSFKLQFQSQVSDVRKSLITVNSAADQIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLSDKMPELLDFSKDLSSLEPSSKIHIKFLAEEMQAISKGLEKVIQELSMSESDGQVSESFCKALKEFLCYAEGEVRSLALLYSGVGRNVDALILYFGEDPSRCSFEQVISTLLNFVKMFNQAHDENCKQLEFEKKKAEKEAAAEKLKTSDPVKETPNVMQSISRSVK >itb07g24310.t6 pep chromosome:ASM357664v1:7:28536294:28542257:1 gene:itb07g24310 transcript:itb07g24310.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLIDHRRAYNCEIMLTKVKVPMHDLLVSYIILSIFIFCFLY >itb07g24310.t8 pep chromosome:ASM357664v1:7:28536294:28541013:1 gene:itb07g24310 transcript:itb07g24310.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQGKETSPSSQPSTPIKAQDWEGPTTLDDESKSEFYAENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLVIYVPFGCYIYKCTISLLSDSWTFKKSHMNYSSK >itb07g24310.t2 pep chromosome:ASM357664v1:7:28536294:28546941:1 gene:itb07g24310 transcript:itb07g24310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLIDHRRAYNCEIMLTKVKVPMHDLLSSVLALEDSAIDADQVESIIKFCPTKEEMEMLKGYKGPKDKLGKCEQFFLELMQVPRIQSKLRVFSFKLQFQSQVSDVRKSLITVNSAADQIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKQVLSDKMPELLDFSKDLSSLEPSSKIHIKFLAEEMQAISKGLEKVIQELSMSESDGQVSESFCKALKEFLCYAEGEVRSLALLYSGVGRNVDALILYFGEDPSRCSFEQVISTLLNFVKMFNQAHDENCKQLEFEKKKAEKEAAAEKLKTSDPVKETPNVMQSISRSVK >itb07g24310.t1 pep chromosome:ASM357664v1:7:28536294:28546941:1 gene:itb07g24310 transcript:itb07g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 13 [Source:Projected from Arabidopsis thaliana (AT5G58160) UniProtKB/Swiss-Prot;Acc:Q9LVN1] MALFRKLFYRKPPDGLLEICERVYVFDSCFSSDVWEEENYKPYVRNVIAQLRDNFPDVSILIFNFHSAETRSLIANILSEYDITIMDYPRHYEGCPLLSMEVIHHFLRSSESWLSLGLQNVLLMHCERGGWPILTFMLAALLIYRRHYTGEQKTLDMIYKQAPRELLHLLTPLNPIPSQLRYLQYVSRRNVGTSWPPLDRPVTLDCVILRMIPNFDGNGGCRPTFRIYGQDPFLVGDRNPKLIFSTPKKSKPIRHYKQAECELVKIDISCHIQGDVVLECISLNDDMEQEQMMFRVMFNTAFIRSNIVMLNRDEIDTMWDSKDQFPKDFRAEILFSEMDAAASVIPANLSCFEEKDGLPVEAFAKVQEIFNSVDWLSPKGDAALNVLQKITAATMMQEKLESSSPQENQSQFSPKSYLRPDTSEQKGKEVSSAPETETLSLDTVILKPPPLPTKDRVDSILEPPYPTPVPHEPVTPPLPDKAVTVSISSPPPPPTQALSPAVILSTDETTTTSDPPPLHHTMQEVPPMTWERDSAAKEGSAPTSSSSPHTPSPPTPPPGSSSPPTPIPSTQYSDDRVLRSVPPPPPPPPLTPNKKDNFASMSGECRLPCSPLSPSKEDLTSIGVPPPPPHSTPLLKESTASTCREFEPPPFPPSPLKGSISSIHGSPAPAPPPPLLATETASSKKSAAVPPPPPPPALSSSMKPHTALPQNVPSAPPPPTPFINGETKAGTSVSHSEPMPPGPPPPSIPPPGMRGKELFSRAISTINSQTKKLKPLHWLKISRAVQGSLWAETQKSETVKAPEIDISELESLFSAAVPNSDKRKGNSKTSLGAKPEKVQLIDHRRAYNCEIMLTKVKVPMHDLLSSVLALEDSAIDADQVESIIKFCPTKEEMEMLKGYKGPKDKLGKCEQFFLELMQVPRIQSKLRVFSFKLQFQSQVSDVRKSLITVNSAADQIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVLSDKMPELLDFSKDLSSLEPSSKIHIKFLAEEMQAISKGLEKVIQELSMSESDGQVSESFCKALKEFLCYAEGEVRSLALLYSGVGRNVDALILYFGEDPSRCSFEQVISTLLNFVKMFNQAHDENCKQLEFEKKKAEKEAAAEKLKTSDPVKETPNVMQSISRSVK >itb02g10170.t1 pep chromosome:ASM357664v1:2:6553379:6555365:-1 gene:itb02g10170 transcript:itb02g10170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFVEIFILKEQKVVNFRAEFSTRKGILKASRNLAKDVETIEDQPSERNVNAQWCNSSLVADSVSYDDQNIHTDCFDYGVLSHYPETRLMSSMEPLQNIGKYQGMRRHIGSKFFGSLWIW >itb12g09100.t1 pep chromosome:ASM357664v1:12:7147040:7148025:1 gene:itb12g09100 transcript:itb12g09100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQSMSILKDYITKHNVPNDVPDDPEEISSEDEDEDEGEATEKPPVKSKKRKTQEVSKAATNIAFSGIGVEEGKCNDVSSEPKELHLHHSSHHRINEDGLMKVGFIHGIGVCSASVAETWVLLKGIQFGQYMGCQRVIFEGDSKDIVEGIKSNEAYGSQVIHVVREQNRAVDMLAGMSGSLLRGMHVVDQVEMRSPDEIVSRMMFLVFRLGVKWLE >itb13g00790.t2 pep chromosome:ASM357664v1:13:709097:714393:-1 gene:itb13g00790 transcript:itb13g00790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 32 [Source:Projected from Arabidopsis thaliana (AT4G30935) UniProtKB/Swiss-Prot;Acc:P59583] MDDTGEASKPSLQLQNTCADIGGGGDGGDEPSGATTGTETSEEAQVGGSDSEETLDTVDSPSIQLDKSASRPDSLATSSSHVLSVPIEYSLHPSEFLKEIKDEVGISNQKASTVQAQRRNQLQSADDPSVLELSPTSVTQSISSIPSPTPGERRLSPLENRNGACIQEVDNQNSSNSKALSLVPVLKIQAPDGYNWRKYGQKQVKSPQGSRSYYRCTYSDCCAKKIECSDHTNRVTEIVYRSPHNHEPPRKVNTPKVNKLAISSMPRSQDSKVARLNSNADETVPSTSKKHVKETIPISETKQQDFSGLDDNAETNVKREDCDEPTQKKRLKKCSSSPESLPKPGKKAKLVVHAGGDVGISSDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIERAVDNTTAVIITYKGVHDHGMPVPKKRYGQPSAPLVAATASASMTDSQTKKSEPTTQWSVDKEGALTGETLEHEGEKTVESAKTLLSIGFEIKPC >itb13g00790.t1 pep chromosome:ASM357664v1:13:709097:714393:-1 gene:itb13g00790 transcript:itb13g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 32 [Source:Projected from Arabidopsis thaliana (AT4G30935) UniProtKB/Swiss-Prot;Acc:P59583] MDDTGEASKPSLQLQNTCADIGGGGDGGDEPSGATTGTETSEEAQVGGSDSEETLDTVDSPSIQLDKSASRPDSLATSSSHVLSEVPIEYSLHPSEFLKEIKDEVGISNQKASTVQAQRRNQLQSADDPSVLELSPTSVTQSISSIPSPTPGERRLSPLENRNGACIQEVDNQNSSNSKALSLVPVLKIQAPDGYNWRKYGQKQVKSPQGSRSYYRCTYSDCCAKKIECSDHTNRVTEIVYRSPHNHEPPRKVNTPKVNKLAISSMPRSQDSKVARLNSNADETVPSTSKKHVKETIPISETKQQDFSGLDDNAETNVKREDCDEPTQKKRLKKCSSSPESLPKPGKKAKLVVHAGGDVGISSDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIERAVDNTTAVIITYKGVHDHGMPVPKKRYGQPSAPLVAATASASMTDSQTKKSEPTTQWSVDKEGALTGETLEHEGEKTVESAKTLLSIGFEIKPC >itb15g08510.t1 pep chromosome:ASM357664v1:15:5970046:5972255:1 gene:itb15g08510 transcript:itb15g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDSSSILRKMKDKVVEMAKNAEKTGRDDPRRIVHSAKVGVVLTRTQLFVLLLRIYNPLYEGFEQSKIWALLTVMGPWPSSNSLLITHRRNIGRKRRTELRTRLGLLLFGDRFLFAEDQKSTKLLNLRLKLLEKSAPLMW >itb07g09150.t1 pep chromosome:ASM357664v1:7:8157270:8164226:1 gene:itb07g09150 transcript:itb07g09150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase 3 [Source:Projected from Arabidopsis thaliana (AT2G29560) UniProtKB/Swiss-Prot;Acc:Q9ZW34] MSVQEYLDKHMLSRKLEDAVNAAVRAKTSDPVLFISNHMRKAVPSVITKLKARQILDSRGIPTVEVDLYTNKGMFRASAPSGASLGMYEAIELRDADKGTYLGNGVSRAVKNINEKISEALIGMDPTLQSQIDQAMIDLDKTEKKGELGANAILAVSMAACKAGAAEKEVPLYKHIADLSGKTNLLLPVPAFTLISGGKHAGNNLAIQEIMILPVGAKRFEEALQMGSETYHHLKAVITEKYGAHGCSVGEDGGLAPNISSFKEALDLVQEAIGRTGYNEKIKIAIDAAATEFCIGTKYDLDFKTPNKSGQNFKSGENMVEMYRELCTGYPIVSIEDPFDKEDWEHTKYFSGLGICQVVGDDLLMSNPKRIERAIQENACNALLLKVNQIGTVTEAIEVVKMAKDANWGVVISQRSGETEDTFIADLSVGLATGQIKAGAPCRGERLAKYNQLIRIEEELGDQAVYVGQDWRRC >itb10g14270.t1 pep chromosome:ASM357664v1:10:20619538:20622755:1 gene:itb10g14270 transcript:itb10g14270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIEAERRLDDTEGKLARLRGQDSITTSKSFHDNGMKKVKSKTSSMNPALCSEDSNKSQSETKAQDEGMTIRRLENKSACISRRTELSSGNQLQGKPQLVIPDVKPKVSQPTKMTESEPKVPSGPGSLTGEPAFPHSSSTTKIKGDKTLRKPSEKDADVQNKGTKRKFEQKEHKDLIPMIRTSSSPCTIRCQTCSAISSQHKRKLRSLILCPTSEQLFATSALDGVVNLWQVQSRGSANLLSSTDCLSAKHRRWPEDIAWHPEGDTIFSVYSADGGESQISILNLNRGKERMRVSFLEEKPHIRGIINNIVFMPWEDIGFVTGGSDHAVVFWTEKEGANSWKPKTLHRSMHSSAVMGVAGMQHKKSVMSAGADKRIIGYDLQGQRAEYNHQIDSKCMSILPNPRDFNLFMVQAGTLEKQLRLFDVRTRQREVHAFGWKQESSDSQSALINQAWSPDGLYITSGSVDPVIHIFDIRYNSHKPPQSIRAHQKRVFKAVWHHSLPLLISISSDLNIGLHKVS >itb01g30080.t1 pep chromosome:ASM357664v1:1:34177683:34184274:1 gene:itb01g30080 transcript:itb01g30080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLKEDGVETDKVKKINGQIHVADILVQDAEDKLFGKFINGVDEQSPLNWVSKSADEQFDGNFWDEFDDKTSSLDTKSSDEQSDACSSTPEAHYDRANSLPFSSSINILPSPDLSLSTPPQAGGGLRHCVTAPVSRNRSFILEEEEIEFSRSMFEKRNKPRHDLRLDRLSEHDKQKLIVELVRIQNDGTVEVDLTKNAPVASELLELQTVEDSLPNVDRIVTDFNKSVPKLQIAMLVVGTRGDVQPFLAMAKKLQEFGHRVRLATHINFRDFVKSAGVEFYPLGGDPRVLSEYMARNKGLVPSAPGEISVQRKQLKAIIQSLLPACTEPDIETGQPFRVQAIIANPPAYGHAHVAEALEVPIHIFFTMPWTPTSEFPHPLARVPQSAGYWLSYILVDLLIWWGIRSYINDFRKKKLNLPPIAYFSTYHGSISHLPTGYIWSPHVVPKPRDWGPLVDVVGYCFLNLGSNYQPPDAFAQWIQSGPKPIYIGFGSMPLEDSKKTTEIILEALKSTGQRGIIDRGWGGLGNCPGIPETVYLISDCPHDWLFPQCSAVIHHGGAGTTATGLRAGCPTTIVPFFGDQYFWGDRVHQKGLGPPPIPISQLSIENLSDAIKFMLQPEVKSQAMELATLIENEDGVTGAVDAFHRHLPPELPLPTATFEEDDDRPTFLQWLFIRIGKICSLPCESQVLGTRNTP >itb03g05040.t1 pep chromosome:ASM357664v1:3:3346239:3348519:1 gene:itb03g05040 transcript:itb03g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLFNAPLGDGEAAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNKETGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKVDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYKNIMENCQENAGVLREGLEKTGKFNIVSKEIGVPLVAFSLKDHSRHDEFEISEMLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVIDIERVVHELDNLPARVGSKYATETELSVGNGVVVRKKSEREVTLEVTTAWKKFVADKKKINGVC >itb09g06730.t1 pep chromosome:ASM357664v1:9:3865220:3867577:1 gene:itb09g06730 transcript:itb09g06730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRLLGCAILFLFLGFIGEPAGVQAWSKEGHMMTCRIAQGLLKEEAAHAVSMLLPDYVDGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDQACNFDYDRDCHDQHGVKDMCVAGAIQNFTTQLSHYRDGTSDRRHNMTEALLFLAHFMGDIHQPMHVGFTTDEGGNTIELRWFRHKSNLHHVWDREIILTAAKDYYGNDVNLLQEDIERNFTDGTWTDDVVSWKECSGDLFSCVNKYAVESINLACKWGYKNVEAGQTLSDDYFNSRLPIVMKRVAQGGVRLAAILNRIFGESNQDSFLAAT >itb09g06730.t2 pep chromosome:ASM357664v1:9:3865220:3867577:1 gene:itb09g06730 transcript:itb09g06730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRLLGCAILFLFLGFIGEPAGVQAWSKEGHMMTCRIAQGLLKEEAAHAVSMLLPDYVDGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDQACNFDYDRDCHDQHGVKDMCVAGAIQNFTTQLSHYRDGTSDRRHNMTEALLFLAHFMGDIHQPMHVGFTTDEGGNTIELRWFRHKSNLHHVWDREIILTAAKDYYGNDVNLLQEDIERNFTDVSDYYTHIACKQA >itb14g16310.t1 pep chromosome:ASM357664v1:14:19617793:19618167:1 gene:itb14g16310 transcript:itb14g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDANSHSFSMRKVRANWFRIINVIAAVIDGVKWANDTRVWRNPMATILVHALLVLLVLFPDLIIPTFAFYIFMIGARNYLFRSKDKLNHFDTKISLVESIDCDELDEEFDGVRRGSRWRRAVC >itb15g18970.t1 pep chromosome:ASM357664v1:15:20895132:20896434:1 gene:itb15g18970 transcript:itb15g18970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSRANSISNDSLGTNPSTAALDARNDMSKSEVPSETGGLPNITLAVKDGFEPHGANRAMIYYIKKYYKKPIISYKEAPLKVKEVWFNELKKKYGWILEHDVAIQCNFDVKASERLSNTLYDVRAEKSRPVQIGSRQKCTKCFLTSSSTTSYETEDLHQRVAKLENTKRLFMVAFKKILGINYPSIFQSDQEMVNTGRHVNDANQSVGVDGDGTRVTTIDDGGMVLPRENE >itb04g04190.t1 pep chromosome:ASM357664v1:4:2582669:2586858:-1 gene:itb04g04190 transcript:itb04g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGYNWSHEQKVVTIFSAPNYCYRCGNMASILEVDDSKEHTFIQFEPAPRRGEPDVTRRTPDYFL >itb07g24140.t1 pep chromosome:ASM357664v1:7:28422835:28427081:1 gene:itb07g24140 transcript:itb07g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKFKISIKESTMVKPAKPTPAKRLWNSNLDLIVGRIHLLTVYFYRPNGSPNFFDSKVMKEALSNVLVSFYPMAGRLARDGEGRIEIDCNEEGVLFVEAESDACVDDFGDFTPSLELRKFIPTVDTSGDISSFPLIIFQVTRFKCGGVCLGTGVFHTLSDGVSSLHFINTWSDMARGLSVAIPPFIDRTLLRARDPPTPAFEHSEYDQPPKLKSVPESKRGSSASTTMLKITPEQLALLKTKSKHEGSTYEILAAHIWRCACKARGLTDDQSTKLYVATDGRSRLCPPLPPGYLGNVVFTATPMAESGELQSEPLTNSAKRIHSALSRMDDEYLRSALDFLECQPDLSKLIRGSNYFASPNLNINSWTRLPVHESDFGWGRPIHMGPACILYEGTVYILPSPNKDRTLSLAVCLDAEHMPLFKEFLYDF >itb15g05730.t1 pep chromosome:ASM357664v1:15:3697299:3698451:-1 gene:itb15g05730 transcript:itb15g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFRLASFFGQNQYFTKAIDLLHMNNITRVLKDGEITLDVQYGNTQFLNTIHHTLNVDVKSIAKRSILLRTGGARNILLWNPSTREIKALPPISPPHNFRNSEEMIGFGFCNNMTAKVVIVWSGSDECEHKVMVCSQVDNIWGWREINPCPLFDSLWLWGRPHEDIYLKGKYYWNFYAYRVRGVLTPYLICFDVSAEIFETITLPSNPPGEYGNEGKYLLHAVVSVMNGTIAYLGYREKRWEVWLMMQNGSDVNWHKYACIDRQREVLGPIRIWNQDCHVLIYRMGPKIDDRDPHLVSIDLVTGEIKMRIDNINLHPDQFFLAYHESLKMF >itb04g05440.t1 pep chromosome:ASM357664v1:4:3523998:3526991:1 gene:itb04g05440 transcript:itb04g05440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNRRYFPRRNYDYQYQDFHPTDFDDKFDSAFQENSVPSWEIDFCKSVGIPWNKVIYTKKYISCHDSVVKWDDSASQEAFHDAKTRYWAKTNGVTCDSPLPDPCKYVDDVDWNATVDPEMILDLDRDFFNPDEANANNSEDVSGCTGPQDNKNLHNGENAWEVGHRQGNGTPEAGQGSGEWNESKISKNVGDPWEESCAKTGGSFKGTGWRVGGNDSSWGWNPGQNAPSGLDRTWNNSWNHDSRNAGCATQNKNGSWSQNAWNDRDHPGHGSWNHDGGNVGCTRQNDWGNNKNGSWSQNAWNDKDHNGFQTRNSWIDRDHKPWNSGYHCDPRGNNALRGGGATNSWKNSGFQNNQPSYFEPERYNTGRESFYGGSRKREGSSYHTSTFKSSRFQGGEQGANYTWRKVKNSEDYK >itb04g05440.t2 pep chromosome:ASM357664v1:4:3523998:3526781:1 gene:itb04g05440 transcript:itb04g05440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCDLVTMTSKLFLFPNAEAFQENSVPSWEIDFCKSVGIPWNKVIYTKKYISCHDSVVKWDDSASQEAFHDAKTRYWAKTNGVTCDSPLPDPCKYVDDVDWNATVDPEMILDLDRDFFNPDEANANNSEDVSGCTGPQDNKNLHNGENAWEVGHRQGNGTPEAGQGSGEWNESKISKNVGDPWEESCAKTGGSFKGTGWRVGGNDSSWGWNPGQNAPSGLDRTWNNSWNHDSRNAGCATQNKNGSWSQNAWNDRDHPGHGSWNHDGGNVGCTRQNDWGNNKNGSWSQNAWNDKDHNGFQTRNSWIDRDHKPWNSGYHCDPRGNNALRGGGATNSWKNSGFQNNQPSYFEPERYNTGRESFYGGSRKREGSSYHTSTFKSSRFQGGEQGANYTWRKVKNSEDYK >itb07g01720.t1 pep chromosome:ASM357664v1:7:1042779:1045003:-1 gene:itb07g01720 transcript:itb07g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREELILGRENRIDDVRWLCSLTDSELDFLISLKVLIIQNAKKIGNEALAKKFDLKFLRALSFILMNKLNGQLKDISTTLSSRGSSLPLDECNLLKFDLDESFDSLSIEELSAYVCSDRRKRVKSMLFEDIPPSQKQRTES >itb07g10700.t1 pep chromosome:ASM357664v1:7:11828356:11832506:-1 gene:itb07g10700 transcript:itb07g10700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKLHNFYSSSSHLGLCRFSTGFLFSSRLAQGSSIIEMSTRYTNESSSSSRNQEYIYGELVTIPKCQCGQRLKLQTSWTNDNPDIRRGNNSTLEPTFAIGKVCCSQVTIAHLASLADNRLILSFAPKTLYYDLLKRIGELFPGPSKAPGAYLHVEADVERALARVGWRIRKRGLITTQFYFAKVVEGCPCFRMKDTLEC >itb05g15220.t2 pep chromosome:ASM357664v1:5:22606452:22609857:-1 gene:itb05g15220 transcript:itb05g15220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MAGMKLTPEESDTAQVRPTAAADLISDDDRSVAADSWSIKSEYGSTLDDEQRHADATEALSAASYRAASDYSSDKEDQDAEGVSSMLGFQTYWDSAYADELANFREHGHAGEVWFGADVMETVGSWTKSLCIDIALGHFPNHIGDTNSIPAGHHEKDLSKWSVLDIGTGNGLLLQELAKQGFSDLTGTDYSEGAVDLARNLADRDGFPDIKFLVDDILETKLDRKFQLVMDKGTLDAIGLHPDGPIKR >itb05g15220.t1 pep chromosome:ASM357664v1:5:22606452:22609857:-1 gene:itb05g15220 transcript:itb05g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MAGMKLTPEESDTAQVRPTAAADLISDDDRSVAADSWSIKSEYGSTLDDEQRHADATEALSAASYRAASDYSSDKEDQDAEGVSSMLGFQTYWDSAYADELANFREHGHAGEVWFGADVMETVGSWTKSLCIDIALGHFPNHIGDTNSIPAGHHEKDLSKWSVLDIGTGNGLLLQELAKQGFSDLTGTDYSEGAVDLARNLADRDGFPDIKFLVDDILETKLDRKFQLVMDKGTLDAIGLHPDGPIKRIMYWDSISKLVAPGGLLVITSCNSTKDELVEEVSAFNLNQRRNPGSQELEGTQEQETPRDPPPFRYLDHVRSYPTFMFGGSVGSRVATVGFIRI >itb12g07580.t1 pep chromosome:ASM357664v1:12:5796484:5800929:-1 gene:itb12g07580 transcript:itb12g07580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRNQSFFQDIKSRELNGFRVKKRPFISSESAEFSEMGAVSVEHSGQTSPPMALSFCKTSSNCHIVALADEGGYVSLYNTRSKFLSSSTYQENAEKAKISEWVAHDNAIFDICWIQEDSNIVTASGDQSIKVWDVKEQKCLRALMGHTGSVKSICVHPTNHNVIVSGSRDGSFALWDLRCNNSSGQKLCIPSIAMVHEAHISPCQRRGRRRKASSMSITSVLHLKDEVSIATAGAVDSVIKFWDTRSLKSPISQACPHPEGSAEKERKLHGISSLSQDLNGVFISASCMDSRIYLYNVLQLEQGPVKTFSGCRIDSFFVKSSISPDAAHILSGSSDGNAYIWQIRKPLADPIILNSHEGEVTAVDWCPSETGKIATASDDFTARIWNIQSSCYSNTRSPSSIRRRIMAPSGKECRKLNLFPDDKTDCSRNDLDVSYSPVSEISTPESQKRKYSLLGYDEMKDKLEKTPESTERSPSSVLNPPSSLKRRTIRDYFLSAS >itb01g25950.t1 pep chromosome:ASM357664v1:1:31220545:31222077:1 gene:itb01g25950 transcript:itb01g25950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENRVFPNNHTFNLLLKAFSKAKAGNPLQVFAQVVKFGFGSDHFVQNSLVSTFAVCGHIELSRKVFVEMQQRDVISYTALIDGFKRNNRPAEALELFLEMKRTGVRVDEGTIVSALCTVGMLGYVWFGKWLHGFYIVPGRVHQDVYIGSALVDMYSKCGFCDDASEVFAEMPCKNLVAWTALIAGYVNCERFKNALNAFEDMQASGLEPNQATLTVLLTACTKLGALDQGKQLEKHIDSQGLKINLALGSALIDLYAKCGCINDALLVFRKIPVKDVYVWTAIINGLAMHGEAEKCLDLFREMLSNGVEPDGVTFIGVLSACSHGGLVDEGQKLFAEMDSAYGVQPTVDHYGCMVDLLGRAGRLEEAVELIAGMPMEPTPGVWGALFGACMIHKEYGLGEWVGNLLISIQPHHSGRYTLLANLHSMSQNWKAVAQVRKKMKENGVEKIRGCSWIELEGVIHEFIAFDKSHAQSESVYLVLDSLATQLEPENFVLHNVHTGGFLFNSLKA >itb03g01800.t2 pep chromosome:ASM357664v1:3:1015528:1018099:-1 gene:itb03g01800 transcript:itb03g01800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMLGSAPGGGKWGTRSLMDLEIPGSRLPGGNVNGVGVAGGGGFPAFLPKEVYEIKDPFARSLARRIQRLPVQVGTSESCIMSSCIKPVIQGDKEPVVLLHCFDSSCLEWRRTYPLLEDTGVEAWAIDILGWGFSDLERRPTCDAASKRYHLYQLWKSYIKRPMILVGPSLGAAVAIDFAVNFPEAVHKLVLINASVYGEGTGFMTKLPKMVAYGGVSLLKSVPLRIYAKLQCFDGLSLSTTLDWTNVGRLHCLLPWWEDAMVNFMLSGGYNVVHQIKKVFGYLYLCTPSKFTYLNFLFFFAYNNVN >itb03g01800.t1 pep chromosome:ASM357664v1:3:1014580:1018099:-1 gene:itb03g01800 transcript:itb03g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALMLGSAPGGGKWGTRSLMDLEIPGSRLPGGNVNGVGVAGGGGFPAFLPKEVYEIKDPFARSLARRIQRLPVQVGTSESCIMSSCIKPVIQGDKEPVVLLHCFDSSCLEWRRTYPLLEDTGVEAWAIDILGWGFSDLERRPTCDAASKRYHLYQLWKSYIKRPMILVGPSLGAAVAIDFAVNFPEAVHKLVLINASVYGEGTGFMTKLPKMVAYGGVSLLKSVPLRIYAKLQCFDGLSLSTTLDWTNVGRLHCLLPWWEDAMVNFMLSGGYNVVHQIKKVNQRTLVIMGMRDKLVSNELAMRLCGELPNATVHQIPNSGHLPHVEEPHSVAKLIADFARDDDTCVESYCKIKEDL >itb08g08520.t1 pep chromosome:ASM357664v1:8:7484653:7490937:-1 gene:itb08g08520 transcript:itb08g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPFHIIASSYHNRLLISHSPTSFPSLLHRCLPPSPSLQRNPPHLPLPPQSLRPLVSLSETLAQKIGKAIRRPGAPSKARVYTDINLIRPKDYWDYESLAVQWGEQDDYEVVKKVGRGKYSEVFEGIHSTNNDKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLVDIVRDQQSKTPSLVFEYVNNTDFKVLYPTLSDFDIRYYTYELLKALDYCHSQGIMHRDVKPHNILIDHEKRKLWLIDWGLAEFYHPGKDYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMLAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRLELDPNLAALVGRHSRKPWSKFINAENQHLAVPEAIDFVDKLLRYDHQERPTAKEAMAHPYLYPVRNAESSRVRNQ >itb08g08520.t3 pep chromosome:ASM357664v1:8:7485692:7490937:-1 gene:itb08g08520 transcript:itb08g08520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPFHIIASSYHNRLLISHSPTSFPSLLHRCLPPSPSLQRNPPHLPLPPQSLRPLVSLSETLAQKIGKAIRRPGAPSKARVYTDINLIRPKDYWDYESLAVQWGEQDDYEVVKKVGRGKYSEVFEGIHSTNNDKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLVDIVRDQQSKTPSLVFEYVNNTDFKVLYPTLSDFDIRYYTYELLKALDYCHSQGIMHRDVKPHNILIDHEKRKLWLIDWGLAEFYHPGKDYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMLAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRLELDPNLAALVGRHSRKPWSKFINAENQHLAVPEVGNTAVNI >itb08g08520.t2 pep chromosome:ASM357664v1:8:7484653:7490886:-1 gene:itb08g08520 transcript:itb08g08520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPFHIIASSYHNRLLISHSPTSFPSLLHRCLPPSPSLQRNPPHLPLPPQSLRPLVSLSETLAQKIGKAIRRPGAPSKARVYTDINLIRPKDYWDYESLAVQWGEQDDYEVVKKVGRGKYSEVFEGIHSTNNDKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLVDIVRDQQSKTPSLVFEYVNNTDFKVLYPTLSDFDIRYYTYELLKALDYCHSQGIMHRDVKPHNILIDHEKRKLWLIDWGLAEFYHPGKDYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMLAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRLELDPNLAALVGRHSRKPWSKFINAENQHLAVPEAIDFVDKLLRYDHQERPTAKEAMAHPYLYPVRNAESSRVRNQ >itb03g28220.t1 pep chromosome:ASM357664v1:3:28954398:28958103:1 gene:itb03g28220 transcript:itb03g28220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMGRTSGRSSSSSLYIVSCLQCLCVLIGIFVLITHCDAKKLSPTSPRAPNHSSPKAVKRFDVYLPLDSNSKSYGVSSPFNLPPYESLAPIPLPENSPPYCVYPPPSTTVPSPAGGGGGGYIPSPPMLPSPPQNVPYFGPPQSVPSPTEPSMSPPWYYEPSPPTSIIPSPTGSGPYVPTPFLPPVVYPPPSVPPPPSTAAPTALWCVAKPAVPEPIIQEAMNYACASGAECDQIQPSGSCFQPDTLFAHASYAFNSYWQRTKQAGGTCEFGGTAMLVTVDPSYDGCHFTYV >itb09g23930.t7 pep chromosome:ASM357664v1:9:23545017:23548799:-1 gene:itb09g23930 transcript:itb09g23930.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MRFYRSSGIDMSSLPAVEKGISVERNKAFQKHVQECVEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKELKSRRKRRGVPENSGSSSGIQLSITEFFRSSKGTCQAKLGENFASSSSSSSASEKEQQERVPNFSKSARRRLFLG >itb09g23930.t4 pep chromosome:ASM357664v1:9:23545564:23549487:-1 gene:itb09g23930 transcript:itb09g23930.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGAGGNFWDLLKPYARPEGFDFLRNKRVAVDLSYWIVQHETAIKGNTRNPHIRLTFLRTINLFSTFGAFPVFVTDGTPSPLKSQARIMRFYRSSGIDMSSLPAVEKGISVERNKAFQKHVQECVELLKLLGMPVLNAKGEAEALCAQLNREGQVDACITADSDVFLFGAKCVIKHIQPNSKEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKVRILFLFIMLCYTPQPP >itb09g23930.t2 pep chromosome:ASM357664v1:9:23545017:23549487:-1 gene:itb09g23930 transcript:itb09g23930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGAGGNFWDLLKPYARPEGFDFLRNKRVAVDLSYWIVQHETAIKGNTRNPHIRLTFLRTINLFSTFGAFPVFVTDGTPSPLKSQARIMRFYRSSGIDMSSLPAVEKGISVERNKAFQKHVQECVEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKELKSRRKRRGVPENSGSSSGIQLSITEFFRSSKGTCQAKLGENFASSSSSSSASEKEQQERVPNFSKSARRRLFLG >itb09g23930.t5 pep chromosome:ASM357664v1:9:23545564:23549445:-1 gene:itb09g23930 transcript:itb09g23930.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGAGGNFWDLLKPYARPEGFDFLRNKRVAVDLSYWIVQHETAIKGNTRNPHIRLTFLRTINLFSTFGAFPVFVTDGTPSPLKSQARIMRFYRSSGIDMSSLPAVEKGISVERNKAFQKHVQECVEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKVRILFLFIMLCYTPQPP >itb09g23930.t8 pep chromosome:ASM357664v1:9:23545017:23548228:-1 gene:itb09g23930 transcript:itb09g23930.t8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MPVLNAKGEAEALCAQLNREGQVDACITADSDVFLFGAKCVIKHIQPNSKEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKELKSRRKRRGVPENSGSSSGIQLSITEFFRSSKGTCQAKLGENFASSSSSSSASEKEQQERVPNFSKSARRRLFLG >itb09g23930.t1 pep chromosome:ASM357664v1:9:23545017:23549487:-1 gene:itb09g23930 transcript:itb09g23930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGAGGNFWDLLKPYARPEGFDFLRNKRVAVDLSYWIVQHETAIKGNTRNPHIRLTFLRTINLFSTFGAFPVFVTDGTPSPLKSQARIMRFYRSSGIDMSSLPAVEKGISVERNKAFQKHVQECVELLKLLGMPVLNAKGEAEALCAQLNREGQVDACITADSDVFLFGAKCVIKHIQPNSKEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKELKSRRKRRGVPENSGSSSGIQLSITEFFRSSKGTCQAKLGENFASSSSSSSASEKEQQERVPNFSKSARRRLFLG >itb09g23930.t6 pep chromosome:ASM357664v1:9:23545017:23549445:-1 gene:itb09g23930 transcript:itb09g23930.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MFILGWAVLLTIVLLLYRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKELKSRRKRRGVPENSGSSSGIQLSITEFFRSSKGTCQAKLGENFASSSSSSSASEKEQQERVPNFSKSARRRLFLG >itb09g23930.t3 pep chromosome:ASM357664v1:9:23545017:23548799:-1 gene:itb09g23930 transcript:itb09g23930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MRFYRSSGIDMSSLPAVEKGISVERNKAFQKHVQECVELLKLLGMPVLNAKGEAEALCAQLNREGQVDACITADSDVFLFGAKCVIKHIQPNSKEPFECYHISDIEAGLGLRRKQLIAISLLVGNDHNLPGIPGIGLETAHRFAKSFREDEILDRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKELKSRRKRRGVPENSGSSSGIQLSITEFFRSSKGTCQAKLGENFASSSSSSSASEKEQQERVPNFSKSARRRLFLG >itb09g23930.t9 pep chromosome:ASM357664v1:9:23545564:23549445:-1 gene:itb09g23930 transcript:itb09g23930.t9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MFILGWAVLLTIVLLLYRLCEIRRGETPELHDIASAEGDFVPSSEDSPRKPKLSHCSFCGHPGSKRAHLKSRCQYCSDGGGGEGCKQKAPGFKCSCLSCDTDRKEKEQRKKENWHIKVCRKIALEQDFPHDLIVKIYLHNDNRVDDGCHISWTSPKTEMLVDYLAYYQHWEPSYTRKRMLPMLSTLFLRDIASNSENLLLCGQYEFDYIKRVKTRYGHQFYVVNWKKAMHTMYQETGRLDGVKDLTEDPDDFKIHIKKTGRADELIDITEEPMKALHKMVDDTHTVTSKKLNMPLETRRTEEVIDLTEDPDGLEIHTKTSGRDNKAVDFTETRRVEEMNDLTEGPDGLEIHIKKTGRIDELIDFPEEPGKPVHAMIDDIHTVTSENPNTHQETRRADELKDLIEDRDGLEIHIDETGRADEVIDLTEEPDGPEIHIKDGCCYLSTDEDMELVWKAFPEKVNQFLKQKVRILFLFIMLCYTPQPP >itb09g04290.t1 pep chromosome:ASM357664v1:9:2386743:2390877:1 gene:itb09g04290 transcript:itb09g04290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRNRDLSRSRSRSPAYRRRYSRSPSPARHRYSRRSRRDGSRSPYSYSRRQRSRSLSSRHRKSHSPSPRQDRSRSQTLRSRMRQRNRSTSLSPIGKSPCSSVGSKERKDVSETLRKEEVEKDKRRRQQEAELKLVEEETAKRVEDTIRKKVEERLNSGDIRLEIDRRLEEGRKKVVSDVAAQLEKEKEAYLIELRRKEEQVQKEREEVDRMVEENRRKVEEAQRREALEQQRREEERYRELEEIQRQKEEALRRKKQQEEEERAKLMKLLGKNKSRPKLSFALGLK >itb09g04290.t2 pep chromosome:ASM357664v1:9:2382487:2390492:1 gene:itb09g04290 transcript:itb09g04290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEKNLPAQKQDEKSGAADSKKPLESTSLDTQSGQGGAPLAAGPGMHNPFDFSAMTGLLNDPSIKELAEQIAKDPSFTQMAEQLQQTFQGAATNDGIPQFDPQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSTMLESLSNPAQKDQLEERMARIKEDPSLKPILEEIERGGPAAMMRYWNDRDVLKKLGEAMGFPGAGETATSGETGTDEAEEASEDESIVHHCASVGDEEGLKNALTAGADKDEEDSEGRTALHFACGYGEVKCAQILLESGAKVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIEGRITEKVLSLKPTPCFFLPVHIHRILSVAGEGRSDMMGRNRDLSRSRSRSPAYRRRYSRSPSPARHRYSRRSRRDGSRSPYSYSRRQRSRSLSSRHRKSHSPSPRQDRSRSQTLRSRMRQRNRSTSLSPIGKSPCSSVGSKERKDVSETLRKEEVEKDKRRRQQEAELKLVEEETAKRVEDTIRKKVEERLNSGDIRLEIDRRLEEGRKKVVSDVAAQLEKEKEAYLIELRRKEEQVQKEREEVDRMVEENRRKVEEAQRREALEQQRREEERYRELEEIQRQKEEALRRKKQQEEEERAKLMKLLGKNKSRPKLSFALGLK >itb03g18890.t1 pep chromosome:ASM357664v1:3:17076443:17078423:1 gene:itb03g18890 transcript:itb03g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWHAGLLIGKGVSGSFLVTIIVFFIFLISTAESSNKSQVGCSRTCIAENCNSIGIKYGKYCGVGWTGCPGEKPCDDLDACCEIHDECVEKAGLTNVQCHEKFKKCIQKVQKSGKAGFSRDCPYDTAVPTMVQGMDMAILFSQLNNVKTEL >itb01g05560.t1 pep chromosome:ASM357664v1:1:3818080:3819114:1 gene:itb01g05560 transcript:itb01g05560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCDVVEMPPVLPSFNSIFFSHAFKPPSALLPKIYLSQKLNKHKNLINLDFAVAAMSSSMTKNAIMSFLSKATRPPSPSSSPRPKFSRVCFSSASSPQGFNASEDYKRRDYEKGYAGDRTVGDAAAEVAEKTRESAREAADRTKERTHEMKEDAKGGARDAKDRAKEYAHDATERTKEGASKAADKAREYANRTKDTTKETAGAAAERTKEGANKAAEAAESIGEKAKQTVQGAWGAAKETGQKIKESVVGKSEDVEDYVEDHTKPPRKVSPEEKTMDDEDVVELRRRAGDNKKF >itb11g22800.t3 pep chromosome:ASM357664v1:11:24686325:24694348:-1 gene:itb11g22800 transcript:itb11g22800.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLQPGGRSPKPINGPMSTSQSKSGSENVQNNVTSFPSQVKGKKRERGDQASDSVKRERSLKADDSDSGLYKTESAIKSEIAKITERGGLVDSEGVDKLVQLMQPDRGERKMDLVCRSLLAAVVASTEKFDCLNRFVQLRGLLVLDEWLQDVHKGRISDGNPKDGDKQVEEFLLVLLRALDKLPVNLEALKMCNIGKSVNHLRSHKNMDIQKKARGLVDTWKKRVEVEMNMIDAKSTQGVAWPSKSRIPEPSHSGNKNPGGSNDVTPKSSVTQISSKTASIKTSQVETTAKSASSSPGPVRSASSPVPGKDSQARVSLCGASDVPLMREDKSSSSSQSHNHSPSFSGKEDARSSTAGSMSSNKLSNSSSRHRKSINGFPGTSVSGSQKENGTGRSTSLHRNSNPEKLSQTAPVGDKAVDGSVAEGISHKLIVKIPNRGRSPAQSASGGSYEEPNIMNSRASSPVVSEKHEHFDQNVKEKSDAYRSNVTSDVNAESWQSNDFKDILTGSDEGDGSPAALPDDDRKDERKSGEVSKIASSSSGTELKSGKLHNASFNSMNALIESCIKYSEANASMSHGDAVGMNLLASVATEEMSKSDMHSPSVSSQRNSPVVEETSVAEDSRSKPLPLDSFASDSSQRNDSMDCDNKKQLVAAGSSLSQDKLHLTTFSAPESFGERKLSVSPELMKAGEKSDKNFSSSFIDTKVVAEPNVEMNEMSGERNQSVLSPTIGAGKAYDGESDKKFDVGKAVVGDARLDGLPDSKMSDIDTSLTEEKASNALVSLGEQKRVGEVSSSDFPFEGSNKKDVNRGLNEEVKSPAATADSEVNERPIKEEQSTISSRDRNTDKGGDDEKVHPYLNQSERKTYDKIPDGAPAPVDKNIVSMDSTVNNLKTECNEGHAESKDRRLDSGGSPSQNEGPEFSGLVVEKHIGSRESKFSRVESEKTNNPVSNGVTSVAPETASKMKFDLNEGFVSDDVKCGEAISSSVTGYSSGVHVINPLPFSVSSVPTGLPASITVAAAAKGPFVPPDELLRFKGEKAEFGWKGSAATSAFRPAEPRKLLDTPFSSVSISHSETSSGKQNRPCLDIDLNVPDESSLEDLGCSALDIGYMSNHPSSRDVHKKEEPSSPSVRSSGGLDLDLNRADEPNDVGQCSVSSSHMFGGPVALSKPLPSSRLAGEVRRDFDLNNGPGVDDASAEQSLFQQNCRGSMHLQPATSSLRVNNPEIGNFTSWFTPACGYSAVTAPSILPDRGDQPFPVMPPGGPRICPAPGGSTFAPDVYRGSVLSSSPAVPFPSGSYQFPMFPFGPTTFPLPSASFPVGSASYINSSSGGRMFTASVNSQLLGPVSAAAPQFPRPYMVGLPDSTSNASTDINRKWPRQGLDLNAGPGSIDINGRDESVPLAPRQLSITDPQALAEEHGIYTMGGNILKRKEPDGGWDNDSFRYKQSSWQ >itb11g22800.t2 pep chromosome:ASM357664v1:11:24686309:24694436:-1 gene:itb11g22800 transcript:itb11g22800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRQQRGGEPCRRRSFGQHMPATTARTVAAVDSSRTSAITADSFCKDGRKICVGDCALFKPPHDSPPFIGIIRCLISSKDNNLQLGVNWLYRPAELKLGKGILVDAAPNEIFYSFHRDEIPAASLLHPCKVAFLPKGVELPTGVSSFVCRRVYDIANKCLCLLTDQDYIDELQEEVDQLLHKTRVEMHASLQPGGRSPKPINGPMSTSQSKSGSENVQNNVTSFPSQVKGKKRERGDQASDSVKRERSLKADDSDSGLYKTESAIKSEIAKITERGGLVDSEGVDKLVQLMQPDRGERKMDLVCRSLLAAVVASTEKFDCLNRFVQLRGLLVLDEWLQDVHKGRISDGNPKDGDKQVEEFLLVLLRALDKLPVNLEALKMCNIGKSVNHLRSHKNMDIQKKARGLVDTWKKRVEVEMNMIDAKSTQGVAWPSKSRIPEPSHSGNKNPGGSNDVTPKSSVTQISSKTASIKTSQVETTAKSASSSPGPVRSASSPVPGKDSQARVSLCGASDVPLMREDKSSSSSQSHNHSPSFSGKEDARSSTAGSMSSNKLSNSSSRHRKSINGFPGTSVSGSQKENGTGRSTSLHRNSNPEKLSQTAPVGDKAVDGSVAEGISHKLIVKIPNRGRSPAQSASGGSYEEPNIMNSRASSPVVSEKHEHFDQNVKEKSDAYRSNVTSDVNAESWQSNDFKDILTGSDEGDGSPAALPDDDRKDERKSGEVSKIASSSSGTELKSGKLHNASFNSMNALIESCIKYSEANASMSHGDAVGMNLLASVATEEMSKSDMHSPSVSSQRNSPVVEETSVAEDSRSKPLPLDSFASDSSQRNDSMDCDNKKQLVAAGSSLSQDKLHLTTFSAPESFGERKLSVSPELMKAGEKSDKNFSSSFIDTKVVAEPNVEMNEMSGERNQSVLSPTIGAGKAYDGESDKKFDVGKAVVGDARLDGLPDSKMSDIDTSLTEEKASNALVSLGEQKRVGEVSSSDFPFEGSNKKDVNRGLNEEVKSPAATADSEVNERPIKEEQSTISSRDRNTDKGGDDEKVHPYLNQSERKTYDKIPDGAPAPVDKNIVSMDSTVNNLKTECNEGHAESKDRRLDSGGSPSQNEGPEFSGLVVEKHIGSRESKFSRVESEKTNNPVSNGVTSVAPETASKMKFDLNEGFVSDDVKCGEAISSSVTGYSSGVHVINPLPFSVSSVPTGLPASITVAAAAKGPFVPPDELLRFKGEKAEFGWKGSAATSAFRPAEPRKLLDTPFSSVSISHSETSSGKQNRPCLDIDLNVPDESSLEDLGCSALDIGYMSNHPSSRDVHKKEEPSSPSVRSSGGLDLDLNRADEPNDVGQCSVSSSHMFGGPVALSKPLPSSRLAGEVRRDFDLNNGPGVDDASAEQSLFQQNCRGSMHLQPATSSLRVNNPEIGNFTSWFTPACGYSAVTAPSILPDRGDQPFPVMPPGGPRICPAPGGSTFAPDVYRGSVLSSSPAVPFPSGSYQFPMFPFGPTTFPLPSASFPVGSASYINSSSGGRMFTASVNSQLLGPVSAAAPQFPRPYMVGLPDSTSNASTDINRKWPRQGLDLNAGPGSIDINGRDESVPLAPRQLSITDPQALAEEHGIYTMGGNILKRKEPDGGWDNDSFRYKQSSWQ >itb11g22800.t1 pep chromosome:ASM357664v1:11:24686074:24694441:-1 gene:itb11g22800 transcript:itb11g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRQQRGGEPCRRRSFGQHMPATTARTVAAVDSSRTSAITADSFCKDGRKICVGDCALFKPPHDSPPFIGIIRCLISSKDNNLQLGVNWLYRPAELKLGKGILVDAAPNEIFYSFHRDEIPAASLLHPCKVAFLPKGVELPTGVSSFVCRRVYDIANKCLCLLTDQDYIDELQEEVDQLLHKTRVEMHASLQPGGRSPKPINGPMSTSQSKSGSENVQNNVTSFPSQVKGKKRERGDQASDSVKRERSLKADDSDSGLYKTESAIKSEIAKITERGGLVDSEGVDKLVQLMQPDRGERKMDLVCRSLLAAVVASTEKFDCLNRFVQLRGLLVLDEWLQDVHKGRISDGNPKDGDKQVEEFLLVLLRALDKLPVNLEALKMCNIGKSVNHLRSHKNMDIQKKARGLVDTWKKRVEVEMNMIDAKSTQGVAWPSKSRIPEPSHSGNKNPGGSNDVTPKSSVTQISSKTASIKTSQVETTAKSASSSPGPVRSASSPVPGKDSQARVSLCGASDVPLMREDKSSSSSQSHNHSPSFSGKEDARSSTAGSMSSNKLSNSSSRHRKSINGFPGTSVSGSQKENGTGRSTSLHRNSNPEKLSQTAPVGDKAVDGSVAEGISHKLIVKIPNRGRSPAQSASGGSYEEPNIMNSRASSPVVSEKHEHFDQNVKEKSDAYRSNVTSDVNAESWQSNDFKDILTGSDEGDGSPAALPDDDRKDERKSGEVSKIASSSSGTELKSGKLHNASFNSMNALIESCIKYSEANASMSHGDAVGMNLLASVATEEMSKSDMHSPSVSSQRNSPVVEETSVAEDSRSKPLPLDSFASDSSQRNDSMDCDNKKQLVAAGSSLSQDKLHLTTFSAPESFGERKLSVSPELMKAGEKSDKNFSSSFIDTKVVAEPNVEMNEMSGERNQSVLSPTIGAGKAYDGESDKKFDVGKAVVGDARLDGLPDSKMSDIDTSLTEEKASNALVSLGEQKRVGEVSSSDFPFEGSNKKDVNRGLNEEVKSPAATADSEVNERPIKEEQSTISSRDRNTDKGGDDEKVHPYLNQSERKTYDKIPDGAPAPVDKNIVSMDSTVNNLKTECNEGHAESKDRRLDSGGSPSQNEGPEFSGLVVEKHIGSRESKFSRVESEKTNNPVSNGVTSVAPETASKMKFDLNEGFVSDDVKCGEAISSSVTGYSSGVHVINPLPFSVSSVPTGLPASITVAAAAKGPFVPPDELLRFKGEKAEFGWKGSAATSAFRPAEPRKLLDTPFSSVSISHSETSSGKQNRPCLDIDLNVPDESSLEDLGCSALDIGYMSNHPSSRDVHKKEEPSSPSVRSSGGLDLDLNRADEPNDVGQCSVSSSHMFGGPVALSKPLPSSRLAGEVRRDFDLNNGPGVDDASAEQSLFQQNCRGSMHLQPATSSLRVNNPEIGNFTSWFTPACGYSAVTAPSILPDRGDQPFPVMPPGGPRICPAPGGSTFAPDVYRGSVLSSSPAVPFPSGSYQFPMFPFGPTTFPLPSASFPVGSASYINSSSGGRMFTASVNSQLLGPVSAAAPQFPRPYMVGLPDSTSNASTDINRKWPRQGLDLNAGPGSIDINGRDESVPLAPRQLSITDPQALAEEHGIYTMGGNILKRKEPDGGWDNDSFRYKQSSWQ >itb13g09390.t1 pep chromosome:ASM357664v1:13:12888525:12889108:-1 gene:itb13g09390 transcript:itb13g09390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVENEREAGDEGTGVEDENETLGIPTVCENVHERNDDQQLLEHGNVRKDQATGAAILNAPVANSEKVIDESTNMIKEMEGNDVSKGVGVVNSGNNHQQEKGNSSTPGDSMQQMAMNASKIEKCVTPKVKGNGDMAAGKFFEQFSKAMTALIQESIEK >itb01g24170.t1 pep chromosome:ASM357664v1:1:29886930:29890003:1 gene:itb01g24170 transcript:itb01g24170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHHSARYSVFGLNQILILSLESFCGLTPNLSVCSVKCVGDTVEMETKPSEISSSKMFGGFNKRYKHYSPTLGCSMTFHIYFPPSPSPSHKFPVLYWLSGLTCTDENFIAKSGAQRVASSEGVALIAPDTSPRGLNVEGESDSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPGLLSEHFPQLDTSRASIFGHSMGGHGALTIYLKNLDKYKSVSAFSPIVNPVNCPWGQKAFTNYLGENKADWEQYDATCLVSSGANLSASILIDQGEDDKFLKDQLLPHKFEEACSKSSVPLLLRLQPGYDHSYFFISTFIDDHIRHHSQALKL >itb10g17230.t1 pep chromosome:ASM357664v1:10:23442273:23442899:1 gene:itb10g17230 transcript:itb10g17230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETFPSSPLWDQTPYYPQTTCGSFQNIINPYDNPFDPTAPYQTSFSPSFTAATEQTTLSRETGNIGEKSAKPSTAAAAARRRSRASKKAPTTLLSTSIANFRAVVQQYTGCRRLASPASFKNRRGPVILSFGGRPTDRKVSDSSRPRCYSDEDRCLQNLQEEEFRQGLGQQQQQRGVSCDNSSHDSYISGVDNDRSCSMLSVDDYEW >itb05g23970.t2 pep chromosome:ASM357664v1:5:28950484:28956155:-1 gene:itb05g23970 transcript:itb05g23970.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDASRKIDETPTWAVAVVCTVIIVVSIALEKILHKLGTWLTDRHKKALYEALEKVKAELMILGFISLILVFSQYYIAGICIPMHVADSMLPCKKRSDSAEEEEHHRRLLSLERRILSAGKELKCKEGHVPLTSVDALHQIHILVFFLAVLHVIYSAITMLLGRLKIRGWKQWEEETSTHHYEFSNDPSRFRLTHETSFVRAHTSFWTRIPIFFYIGCFFRQFFRSVNKSDYMTLRNGFISVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWGSFVVFLLLNVNGWQALFWASIIPLILILAVGTKLQAILTRMALEISERHAVVQGIPLVQGSDRYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYEFGVENCFHEDLGLVSVKIGLGYVLCIFL >itb05g23970.t1 pep chromosome:ASM357664v1:5:28950479:28956261:-1 gene:itb05g23970 transcript:itb05g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDASRKIDETPTWAVAVVCTVIIVVSIALEKILHKLGTWLTDRHKKALYEALEKVKAELMILGFISLILVFSQYYIAGICIPMHVADSMLPCKKRSDSAEEEEHHRRLLSLERRILSAGKELKCKEGHVPLTSVDALHQIHILVFFLAVLHVIYSAITMLLGRLKIRGWKQWEEETSTHHYEFSNDPSRFRLTHETSFVRAHTSFWTRIPIFFYIGCFFRQFFRSVNKSDYMTLRNGFISVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWGSFVVFLLLNVNGWQALFWASIIPLILILAVGTKLQAILTRMALEISERHAVVQGIPLVQGSDRYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYEFGVENCFHEDLGLVSVKIGLGVGVLFLCSYSTLPLYALVAQMGSRMKKSIFDEQTSKALKKWHMAVKKKHAKGEKSPTRTLGGSTSSKMGSPLRTSNNPSLHRFRSLGLPTRAYPLEDGDSDPENDPSTPMTASNNSAVIQVDHAGEEVELHVPHNGVETKNEDNFSFSKPEPQR >itb05g20910.t1 pep chromosome:ASM357664v1:5:26867151:26870766:-1 gene:itb05g20910 transcript:itb05g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQAYAGISLIATLAVVCYAFMSRGQFYPAMVYLSTSRISLGLLFNMRMVIMLILWQLTKRIFLGSLREAEVERLNEQSWLEVMEILFAITVFRQDFSVSLLAMVTALLLIKALHWLAQKRVEYIETTPTVPGLCHVRIVSFMGFLLVIDGLLLFNYVDYLVKTRQASVSIFFSFQYMILATTALSTFLKYVFYVSDMLMEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGIPLHLIHELYETFRNFKIRIADYIRYRKITSNMNDSFPDATSNELNTSDATCIICREEMTTAKKLTCGHLFHVHCLRSWLERQHTCPTCRALVVPHEDVIRTAGSQTNVQQGTNIESASQGSQADCIGFDSVNQHRARIQAAATAASVYEKSFVYPSEGMQLRLSGPIHNPLDSSVSVNSGQDQSQLNQFMAKNGSQFPCYAFAPSQRRSSNLHRVENSDNYNWDSQLDAHKKLLEQHIEILQHHLMLLKKINTERAVDNPGASSGKAKAV >itb05g20910.t4 pep chromosome:ASM357664v1:5:26868771:26870766:-1 gene:itb05g20910 transcript:itb05g20910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQAYAGISLIATLAVVCYAFMSRGQFYPAMVYLSTSRISLGLLFNMRMVIMLILWQLTKRIFLGSLREAEVERLNEQSWLEVMEILFAITVFRQDFSVSLLAMVTALLLIKALHWLAQKRVEYIETTPTVPGLCHVRIVSFMGFLLVIDGLLLFNYVDYLVKTRQASVSIFFSFQYMILATTALSTFLKYVFYVSDMLMEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGIPLHLIHELYETFRNFKIRIADYIRYRKITSNMNDSFPDATSNELNT >itb05g20910.t5 pep chromosome:ASM357664v1:5:26867151:26870766:-1 gene:itb05g20910 transcript:itb05g20910.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQAYAGISLIATLAVVCYAFMSRGQFYPAMVYLSTSRISLGLLFNMRMVIMLILWQLTKRIFLGSLREAEVERLNEQSWLEVMEILFAITVFRQDFSVSLLAMVTALLLIKALHWLAQKRVEYIETTPTVPGLCHVRIVSFMGFLLVIDGLLLFNYVDYLVKTRQASVSIFFSFQYMILATTALSTFLKYVFYVSDMLMEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGIPLHLIHELYETFRNFKIRIADYIRYRKITSNMNDSFPDATSNELNTSDATCIICREEMTTAKKLTCGHLFHVHCLRSWLERQHTCPTCRALVVPHEDVIRTAGSQTNVQQGTNIESASQGSQADCIGFDSVNQHRARIQAAATAASVYEKSFVYPSEGMQLR >itb05g20910.t2 pep chromosome:ASM357664v1:5:26867151:26870583:-1 gene:itb05g20910 transcript:itb05g20910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQAYAGISLIATLAVVCYAFMSRGQFYPAMVYLSTSRISLGLLFNMRMVIMLILWQLTKRIFLGSLREAEVERLNEQSWLEVMEILFAITVFRQDFSVSLLAMVTALLLIKALHWLAQKRVEYIETTPTVPGLCHVRIVSFMGFLLVIDGLLLFNYVDYLVKTRQASVSIFFSFQYMILATTALSTFLKYVFYVSDMLMEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGIPLHLIHELYETFRNFKIRIADYIRYRKITSNMNDSFPDATSNELNTSDATCIICREEMTTAKKLTCGHLFHVHCLRSWLERQHTCPTCRALVVPHEDVIRTAGSQTNVQQGTNIESASQGSQADCIGFDSVNQHRARIQAAATAASVYEKSFVYPSEGMQLRQLC >itb05g20910.t3 pep chromosome:ASM357664v1:5:26867151:26870583:-1 gene:itb05g20910 transcript:itb05g20910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQAYAGISLIATLAVVCYAFMSRGQFYPAMVYLSTSRISLGLLFNMRMVIMLILWQLTKRIFLGSLREAEVERLNEQSWLEVMEILFAITVFRQDFSVSLLAMVTALLLIKALHWLAQKRVEYIETTPTVPGLCHVRIVSFMGFLLVIDGLLLFNYVDYLVKTRQASVSIFFSFQYMILATTALSTFLKYVFYVSDMLMEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGIPLHLIHELYETFRNFKIRIADYIRYRKITSNMNDSFPDATSNELNTSDATCIICREEMTTAKKLTCGHLFHVHCLRSWLERQHTCPTCRALVVPHEDVIRTAGSQTNVQQGTNIESASQGSQADCIGFDSVNQHRARIQAAATAASVYEKSFVYPSEGMQLRLSGPIHNPLDSSVSVNSGQDQSQLNQFMAKNGSQFPCYAFAPSQRRSSNLHRVENSDNYNWDSQLDAHKKLLEQHIEILQHHLMLLKKINTERAVDNPGASSGKAKAV >itb04g27440.t1 pep chromosome:ASM357664v1:4:31458163:31459111:1 gene:itb04g27440 transcript:itb04g27440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSVEKARKQLEDLYLGVPDESVNLTFQHLLVQPPPTSSPSEHPILDFHPEPPNNPHVNAAPADKLTGRCHYHATPERGSRRAVGLVERNNKYEKEDMSYVSVGRRRGRPGIPHSNICTLCTTYIYLFRHRCLVCGRVYCRHCMRIGMGDMAEGRKCVDCLGRRFSPRYIQTAGQTGCWMSYSTTVKQQELKWAEKGPRVKSRNQSCRSITTSTSKSPTMAHSHVSNNSSSFVQNPSYTPYTPTHHYPLPF >itb05g00500.t1 pep chromosome:ASM357664v1:5:427298:429887:1 gene:itb05g00500 transcript:itb05g00500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLQHSFGSEIAILLTGVLQRYNSHVETEEKQSNYARYMTIGELVQTLESDIEEPDQLSVTDLVHLEQQIGSALLETRSRKNCLLMESIAHLHEKEKMLEEEKRHLEEQISGIKKDSEEVNEMGVGVGVGVGVDFTSLATSVVGTQQQAAAATLNFL >itb03g10940.t1 pep chromosome:ASM357664v1:3:8813055:8815446:-1 gene:itb03g10940 transcript:itb03g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKNCTTLQRVRLEYNKLSGDISEDFGIYPNLTYIDLSSNNFHGQLSSNWGLCSKLEALKISKNRISGKIPPELANASHLEFLDLSSNQLVGNIPKSFSTLHSLGVLKLDGNKLSGNITLGIWELSLLTELDLAANRFIGFIPEGLRSCQKLTFLNFSQNMFEGRIPYDIGSLQFLQTLDISQNMLTGKLPQQFGGLISLQGKLPQQFGGLISLQSLNVSHIGGLISLQSLNVSHNKLLISLQSLNVSHNKLSGSIPSSMAQCLGLVSIDLSYNELEGMLPNNKAFENATFESLRNNKGLCGNIAGLKPCSSGSPEEKTDQGHKTKTLVLIIVIPIGVIGVVGMVVVIWLIPLRRCIKEMPRASRENLFAILKFDGNIAYESIVEATDNFDSRYCIGVGGSGSLFRAELSNGEVFAVKKLNESIQGDESRDFKSFSNEIRTLSEVRHRNIVRLYGFCSHVRHSFLVYEYLEGGSLVQVLSHDEKSMELDWIKRVNVAKAVAKALSYMHHGSFPTIVHRDISSKNILFDHEYEAHISDFGTARLLNSNSSNWTSFAGTFGYTAPEFAYTMEVNEKCDVFSLVVAFEVIMGRHPGDLVASISSSSLFENQNLVLKEVLDPRPSSPGKHEAEELVLIAKIAFSCLNFNPGSRPTMFQVSALLSKKMQPSDLFPYITLCQLFGLEFPTP >itb11g20130.t1 pep chromosome:ASM357664v1:11:21101866:21102315:1 gene:itb11g20130 transcript:itb11g20130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHRWWLRCRRFSSTRFNDEGLCSLLLLLLRRFKCGKFSSATLMKDWRISSLSPFLSASTGAFPPFPEFEIASVEQPGLVEIAICLSTNHAVHKVYSSHRESGDLGSHRRRSTKRHSHP >itb14g07130.t1 pep chromosome:ASM357664v1:14:6494134:6494576:1 gene:itb14g07130 transcript:itb14g07130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGLPVLVSSSWCCVPTLDVYGDGIVRADVPFRRAESKYSVEQVGVTVAFYGGELNGVSYSDPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFQNF >itb09g12510.t1 pep chromosome:ASM357664v1:9:7913937:7918700:-1 gene:itb09g12510 transcript:itb09g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MASSSSLHLKSLLHTKPTYLNLKHQGSMTGEFPVAIACNPTVNGKEYRALSAKKFELNCLRDREMDVSVPALVGGVAECIDDTEDKALTEQGEPKDPSFSTVLMNFTNDFDPYDALSTPLYQTATFKQPSATEYGPYDYTRSGNPTRDALEKLLAKLEKADRAFCFTSGMAALAAVTRLVGTGEEIVAGDDIYGGSDRLLSQVVPKSGIVVKRVDTTNLDEVASAIGAKTKLVWLESPTNPRQQICDIRKIAEMAHAHGALVLVDNSIMSPVLCCPLELGADIVMTSATKFISGHSDLMAGILAVKGERLGRELYFLQNAEGAGLAPFDCWLCLRGIKTMALRVEKQQENAQKIAEYLTSHPRVKKVNYAGLPGHPGRSLHYSQAKGAGSVLSFWTGSLALSKHVVETTKYFSVTVSFGSVKSLISLPCFMSHASIPAAVREARGLTEDLVRISVGIEDVNDLIADLDYALRTGPVE >itb09g12510.t2 pep chromosome:ASM357664v1:9:7913937:7918700:-1 gene:itb09g12510 transcript:itb09g12510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MASSSSLHLKSLLHTKPTYLNLKHQGSMTGEFPVAIACNPTVNGKEYRALSAKKFELNCLRDREMDVSVPALVGGVAECIDEDKALTEQGEPKDPSFSTVLMNFTNDFDPYDALSTPLYQTATFKQPSATEYGPYDYTRSGNPTRDALEKLLAKLEKADRAFCFTSGMAALAAVTRLVGTGEEIVAGDDIYGGSDRLLSQVVPKSGIVVKRVDTTNLDEVASAIGAKTKLVWLESPTNPRQQICDIRKIAEMAHAHGALVLVDNSIMSPVLCCPLELGADIVMTSATKFISGHSDLMAGILAVKGERLGRELYFLQNAEGAGLAPFDCWLCLRGIKTMALRVEKQQENAQKIAEYLTSHPRVKKVNYAGLPGHPGRSLHYSQAKGAGSVLSFWTGSLALSKHVVETTKYFSVTVSFGSVKSLISLPCFMSHASIPAAVREARGLTEDLVRISVGIEDVNDLIADLDYALRTGPVE >itb09g12510.t3 pep chromosome:ASM357664v1:9:7913937:7918142:-1 gene:itb09g12510 transcript:itb09g12510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MTGEFPVAIACNPTVNGKEYRALSAKKFELNCLRDREMDVSVPALVGGVAECIDDTEDKALTEQGEPKDPSFSTVLMNFTNDFDPYDALSTPLYQTATFKQPSATEYGPYDYTRSGNPTRDALEKLLAKLEKADRAFCFTSGMAALAAVTRLVGTGEEIVAGDDIYGGSDRLLSQVVPKSGIVVKRVDTTNLDEVASAIGAKTKLVWLESPTNPRQQICDIRKIAEMAHAHGALVLVDNSIMSPVLCCPLELGADIVMTSATKFISGHSDLMAGILAVKGERLGRELYFLQNAEGAGLAPFDCWLCLRGIKTMALRVEKQQENAQKIAEYLTSHPRVKKVNYAGLPGHPGRSLHYSQAKGAGSVLSFWTGSLALSKHVVETTKYFSVTVSFGSVKSLISLPCFMSHASIPAAVREARGLTEDLVRISVGIEDVNDLIADLDYALRTGPVE >itb09g12510.t4 pep chromosome:ASM357664v1:9:7913937:7918631:-1 gene:itb09g12510 transcript:itb09g12510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MASSSSLHLKSLLHTKPTYLNLKHQGSMTGEFPVAIACNPTVNGKEYRALSAKKFELNCLRDREMDVSVPALVGGVAECIDDTEDKALTEQGEPKDPSFSTVLMNFTNDFDPYDALSTPLYQTATFKQPSATEYGPYDYTRSGNPTRDALEKLLAKLEKADRAFCFTSGMAALAAVTRLVGTGEEIVAGDDIYGGSDRLLSQVVPKSGIVVKRVDTTNLDEVASAIGAKTKLVWLESPTNPRQQICDIRKIAEMAHAHGALVLVDNSIMSPVLCCPLELGADIVMTSATKFISGHSDLMAGILAVKGERLGRELYFLQNAEGAGLAPFDCWLCLRGIKTMALRVEKQQVSFLM >itb09g12510.t5 pep chromosome:ASM357664v1:9:7913937:7918117:-1 gene:itb09g12510 transcript:itb09g12510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MTGEFPVAIACNPTVNGKEYRALSAKKFELNCLRDREMDVSVPALVGGVAECIDDTEDKALTEQGEPKDPSFSTVLMNFTNDFDPYDALSTPLYQTATFKQPSATEYGPYDYTRSGNPTRDALEKLLAKLEKADRAFCFTSGMAALAAVTRLVGTGEEIVAGDDIYGGSDRLLSQVVPKSGIVVKRVDTTNLDEVASAIGAKTKLVWLESPTNPRQQICDIRKIAEMAHAHGALVLVDNSIMSPVLCCPLELGADIVMTSATKFISGHSDLMAGILAVKGERLGRELYFLQNAEGAGLAPFDCWLCLRGIKTMALRVEKQQVSFLM >itb15g01880.t1 pep chromosome:ASM357664v1:15:1114274:1115665:1 gene:itb15g01880 transcript:itb15g01880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPPTSPPQEAPPLLLDTKKPIRIRSVWAKNLESEISLIRGLVDRYCFVSMDTEFPGVVFRRELRSRDPDEHYRTLKSNVDKLKLIQVGITLTDAQGNLPDLSSPFRYIWEFNFCDFDVARDDHAPGSIELLRQQGIDFEKTQAFGADTAHFAELMMSSGLICNDEVTFITFHSGYDFGYLIKALRGGCDLPGTLGEFLELLTVFFGNKVYDVKHLMKFCSNLHGGLDRVASMLQVSRDVGKCHQAGSDSLLTWHAFQQIRKTYFEDKEALTEKYAGVLYGLEIFSP >itb03g09110.t1 pep chromosome:ASM357664v1:3:6982155:6982817:1 gene:itb03g09110 transcript:itb03g09110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRIFSQGNVPFSWEEKPGVSKFNHQKTPSATDKHEFVDLGKPLLPPPPPSAAPTPGRRSSPSLKGMIRDDPFMVAFVKCTKNQNSKTAHNNSGDHHHQRKKSSSYSSVGLSSKKGRRLFFFCKNAFADVEVDNLMKYSNLPPLPGHKDSIRLHR >itb13g01280.t2 pep chromosome:ASM357664v1:13:1218769:1226663:1 gene:itb13g01280 transcript:itb13g01280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVTILMDTLHQHFLQPTPRFLLRNKTKVTLLYKHLSSLQTCLEQDFKVGECDEAMKALGAQMRDVSIELRFQIEHELRLFYLGKSMKLRLHSAKKLLPIFSRAKEDIETLYRDLNEDDIETMDLESFVLRMVESMDFNDDIETDLESLVVEFAGKHVTLPVSKKFRLRWAQEFFHTLKGVFKGGDLKYAMEMLWKHFTLPVSGMPPHINKRIKSFCAHYVFLFCDNEEREDEQGIEDEQESGDEKESEDKEETEDEWENKEEQKSDDEQRSKDEHEESDDEQGSQNEQEEEEEESDDECETEVIQPILSEAESIIRKELRAPSYLNKYMKQRIQARHKIHQIFMQGIKLTSYIKKEVLKVKNAYYNQSNTPQGNNTNSASLRGPEGENITVGDSLQHTTIEMVGYDDEFNMIMDKLNQQSKQREIVSIVGMGGISKTTLAKRIYRDASFISRFDCRAWVTISQVYNQTKVFKALLRSLAPRAHEENNEAGNNELAEVVYKCLKRQRYFIIIDDIWSTDVWCDLQKCFPDDNNGSRMLLTTRLKNVADYAGSGGNLCHTMRFLDSYESWNLFHNQVLHKRITLSPEFERIGREVVKKCKGLPLAINVVAGLLLSSPKQDLNEWELIAKNVHTLSIDHSNQQGENIIDLSYKFLPHHLKHCFLSIGLYPEDTEFPEQFIVDFWVSEGFLKVLSSKSLEDVARESLQDLVDRNLLIVSTKMNENGSSKEYQMHDMLRELALREAQKENLLCPKSDSSILSVGFRRTQLINFSRISHPWSIQSKFCRYNSLSHTCTTFLISSDVSTHGYIKQFHFHFKFLRGLVLENEYYDTADAFAVSELMGLVHLRFLRSYFALKLNTLPLFMLWNLQRLEFDDDYSQNEPLNIWGLPQLKKIITHRGNIRLIVRWFPEILASHQIMVFKVDVVGIYDDLYEYFCGGQ >itb13g01280.t1 pep chromosome:ASM357664v1:13:1218718:1226663:1 gene:itb13g01280 transcript:itb13g01280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVTILMDTLHQHFLQPTPRFLLRNKTKVTLLYKHLSSLQTCLEQDFKVGECDEAMKALGAQMRDVSIELRFQIEHELRLFYLGKSMKLRLHSAKKLLPIFSRAKEDIETLYRDLNEDDIETMDLESFVLRMVESMDFNDDIETDLESLVVEFAGKHVTLPVSKKFRLRWAQEFFHTLKGVFKGGDLKYAMEMLWKHFTLPVSGMPPHINKRIKSFCAHYVFLFCDNEEREDEQGIEDEQESGDEKESEDKEETEDEWENKEEQKSDDEQRSKDEHEESDDEQGSQNEQEEEEEESDDECETEVIQPILSEAESIIRKELRAPSYLNKYMKQRIQARHKIHQIFMQGIKLTSYIKKEVLKVKNAYYNQSNTPQGNNTNSASLRGPEGENITVGDSLQHTTIEMVGYDDEFNMIMDKLNQQSKQREIVSIVGMGGISKTTLAKRIYRDASFISRFDCRAWVTISQVYNQTKVFKALLRSLAPRAHEENNEAGNNELAEVVYKCLKRQRYFIIIDDIWSTDVWCDLQKCFPDDNNGSRMLLTTRLKNVADYAGSGGNLCHTMRFLDSYESWNLFHNQVLHKRITLSPEFERIGREVVKKCKGLPLAINVVAGLLLSSPKQDLNEWELIAKNVHTLSIDHSNQQGENIIDLSYKFLPHHLKHCFLSIGLYPEDTEFPEQFIVDFWVSEGFLKVLSSKSLEDVARESLQDLVDRNLLIVSTKMNENGSSKEYQMHDMLRELALREAQKENLLCPKSDSSILSVGFRRTQLINFSRISHPWSIQSKFCRYNSLSHTCTTFLISSDVSTHGYIKQFHFHFKFLRGLVLENEYYDTADAFAVSELMGLVHLRFLRSYFALKLNTLPLFMLWNLQRLEFDDDYSQNEPLNIWGLPQLKKIITHRGNIRLIVRWFPEILASHQIMVFKVDVVGIYDDLYEYFCGGQ >itb10g06740.t1 pep chromosome:ASM357664v1:10:7600953:7601357:1 gene:itb10g06740 transcript:itb10g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYMEIGRVALVNYGKDYGKLVVIVDVIDQNSALVDAPDMVRSQMNFKRLTLTDITIDIKRVPKKKTLVAAMEAADVKNKWENSSWGRKLIVQKRRACLNDFDRFKIMLAKIKRTGVVRQELAKLKKESTT >itb10g01780.t5 pep chromosome:ASM357664v1:10:1446060:1449996:-1 gene:itb10g01780 transcript:itb10g01780.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSSKMNIAIIHPDLGIGGAERLIVDAAVELASYGHNVHVFTAHHDKNRCFEETLSGTFSVNVYGSFLPRHIFYRLHAVCAYLRCIFVALSVLFLWPSFDIILADQVSVVIPLMKLKKSMKVVFYCHFPDLLLAQHTTILRRMYRKPIDFIEEMTTGMADLILVNSKFTASTFAKTFEHLNSRGVRPAVLYPTVNVDQFSKPNATAKLNFLSINRFERKKNIELAISAFARLHNHELNNYQHANMADASLTIAGGFDKRLRENVEYLEELKLLAEREGVSDRVRFITSCSTAERNVLLAECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGETGFLCDPSPEEFCSAMANFIRDPNLAEMMGQNARQHVTESFSTKIFGHHLNTYLVDVVRGKED >itb10g01780.t2 pep chromosome:ASM357664v1:10:1446017:1449618:-1 gene:itb10g01780 transcript:itb10g01780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSSKMNIAIIHPDLGIGGAERLIVDAAVELASYGHNVHVFTAHHDKNRCFEETLSGTFSVNVYGSFLPRHIFYRLHAVCAYLRCIFVALSVLFLWPSFDIILADQVSVVIPLMKLKKSMKVVFYCHFPDLLLAQHTTILRRMYRKPIDFIEEMTTGMADLILVNSKFTASTFAKTFEHLNSRGVRPAVLYPTVNVDQFSKPNATAKLNFLSINRFERKKNIELAISAFARLHNHELNNYQHANMADASLTIAGGFDKRLRENVEYLEELKLLAEREGVSDRVRFITSCSTAERNVLLAECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGETGFLCDPSPEEFCSAMANFIRDPNLAEMMGQNARQHVTESFSTKIFGHHLNTYLVDVVRGKED >itb10g01780.t3 pep chromosome:ASM357664v1:10:1446017:1449839:-1 gene:itb10g01780 transcript:itb10g01780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSSKMNIAIIHPDLGIGGAERLIVDAAVELASYGHNVHVFTAHHDKNRCFEETLSGTFSVNVYGSFLPRHIFYRLHAVCAYLRCIFVALSVLFLWPSFDIILADQVSVVIPLMKLKKSMKVVFYCHFPDLLLAQHTTILRRMYRKPIDFIEEMTTGMADLILVNSKFTASTFAKTFEHLNSRGVRPAVLYPTVNVDQFSKPNATAKLNFLSINRFERKKNIELAISAFARLHNHELNNYQHANMADASLTIAGGFDKRLRENVEYLEELKLLAEREGVSDRVRFITSCSTAERNVLLAECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGETGFLCDPSPEEFCSAMANFIRDPNLAEMMGQNARQHVTESFSTKIFGHHLNTYLVDVVRGKED >itb10g01780.t1 pep chromosome:ASM357664v1:10:1446017:1450112:-1 gene:itb10g01780 transcript:itb10g01780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSSKMNIAIIHPDLGIGGAERLIVDAAVELASYGHNVHVFTAHHDKNRCFEETLSGTFSVNVYGSFLPRHIFYRLHAVCAYLRCIFVALSVLFLWPSFDIILADQVSVVIPLMKLKKSMKVVFYCHFPDLLLAQHTTILRRMYRKPIDFIEEMTTGMADLILVNSKFTASTFAKTFEHLNSRGVRPAVLYPTVNVDQFSKPNATAKLNFLSINRFERKKNIELAISAFARLHNHELNNYQHANMADASLTIAGGFDKRLRENVEYLEELKLLAEREGVSDRVRFITSCSTAERNVLLAECLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGETGFLCDPSPEEFCSAMANFIRDPNLAEMMGQNARQHVTESFSTKIFGHHLNTYLVDVVRGKED >itb10g01780.t4 pep chromosome:ASM357664v1:10:1446060:1449996:-1 gene:itb10g01780 transcript:itb10g01780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSSKMNIAIIHPDLGIGGAERLIVDAAVELASYGHNVHVFTAHHDKNRCFEETLSGTFSVNVYGSFLPRHIFYRLHAVCAYLRCIFVALSVLFLWPSFDIILADQVSVVIPLMKLKKSMKVVFYCHFPDLLLAQHTTILRRMYRKPIDFIEEMTTGMADLILVNSKFTASTFAKTFEHLNSRGVRPAVLYPTVNVDQFSKPNATAKLNFLSINRFERKKNIELAISAFARLHNHELNNYQHANMADASLTIAGGFDKRLRENVEYLEELKLLAEREGVSDRVRFITSCSTAERNVLLAECLCVLYTPKVRPNAMISSI >itb01g13980.t2 pep chromosome:ASM357664v1:1:15177182:15180325:-1 gene:itb01g13980 transcript:itb01g13980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQPSIHSSLPDDIALKIASSLEGWRGFYIKKHNEMAEKAAGVVNFVERCLAFESIEVGHYLKAIKGLNTMEFGFKDIQLFFLKPDLNVLLNLVGLHYCIALLDTPAEYLMEVLRSCNISDRQVHVQWWKLGRWFYGFRMRDELHARNISLGDLVTCKDKEVLGVLRRGAVYEVLRIQISPAKSETQRQDSR >itb01g13980.t1 pep chromosome:ASM357664v1:1:15177182:15180325:-1 gene:itb01g13980 transcript:itb01g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQPSIHSSLPDDIALKIASSLEVRGVCSLGSCSRFWRQLCGSDCVWEPLCRDRWPGLALDQQASSTSYGYPADLDHNLQGWRGFYIKKHNEMAEKAAGVVNFVERCLAFESIEVGHYLKAIKGLNTMEFGFKDIQLFFLKPDLNVLLNLVGLHYCIALLDTPAEYLMEVLRSCNISDRQVHVQWWKLGRWFYGFRMRDELHARNISLGDLVTCKDKEVLGVLRRGAVYEVLRIQISPAKSETQRQDSR >itb05g16830.t1 pep chromosome:ASM357664v1:5:23933430:23934110:-1 gene:itb05g16830 transcript:itb05g16830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKIAKLNVVGDDEGAERRGDIGGFPSKDYLCQKCGKGFGSKKAVYGHMKAHSKRPKVPVPKKRSTMGLKIASAGPSFSTWNKSEPVVSEFDDEVKEAAACLMMLSRDARNWNGERKTVVVNGDDGKRTESEEVFVEKFIRADDQFEKVNVKMGCQFSESGLQKVEVCMHPDDDNKYLGGQKRMHCPGSMKSKVKKECVNANPLELGSTEDVIAFANSTGGKLV >itb12g27710.t1 pep chromosome:ASM357664v1:12:27958593:27962354:1 gene:itb12g27710 transcript:itb12g27710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELMKELGSGNFGVARLLRHKETKELVAMKYIERGRKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICQAGRFSEDEARYFFQQLISGVHFCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTISRIMNVQYKIPDYVHISQDCRHLLSRIFVASPSRRITLKDIKNHPWFMKNLPRELTESAQAMYYQRNNATFSGLQSVEDIMKIVGEARNPPPASRAVPGFPWGDEDTDAVDNKEEGVGGDDDDEEDEYDKHVKQVHASGEFHITQPN >itb03g09060.t1 pep chromosome:ASM357664v1:3:6934638:6939080:-1 gene:itb03g09060 transcript:itb03g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNDFIFDKLLGSEIHKSQFVVMSRKPGYPTRRFGGAGGLFHPKSRISPLLSTILVILGIVFVVAYIYRGPGIGASTREALSKIDGDFTCTSEVQRATAYLKSTYGDSMRSVLHVGPDTCSVVSRLLKEEETEAWGVEPYDIEDADVNCKSLVRQGIVRVNDIKFPLPYKSKSFSLVIVSDAVDYLSPKYLNKTLPELARVSSDGVVIFTGYPGQSRAKIAELSKFGRPAKMRSSSWWVHFFAQTSLEENEAATKKFEQVATKSGWIRIRCFTHDASNPLSPGVPHDTAYSSLLDPRLNTPVNVKREAVIRRSPPFKPSSSGPSLRGAAGFGASKGSSSLMNSFLYPAYLVLCRHSSVVKNFVTPPSPNPPDDDGEPVVPIGISRLPMVIVNIVHNPVLEL >itb01g33920.t2 pep chromosome:ASM357664v1:1:36835055:36835495:-1 gene:itb01g33920 transcript:itb01g33920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYLKQSVKAFSGFKVLKLSYNRGNDYKERRSFSMYFFLLDAMDGLPSLLDKASSESGFIERHLPTHMVSVGKFLIPKFQISFEFEGSRVLKELGVVAPFNPRGGGLTEMVDSRRVLRCMFQKSCTDHLLRLMREEQKPQVFHLV >itb01g33920.t1 pep chromosome:ASM357664v1:1:36835055:36835552:-1 gene:itb01g33920 transcript:itb01g33920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDHEFHLLNGSSIQVPFMSNYLKQSVKAFSGFKVLKLSYNRGNDYKERRSFSMYFFLLDAMDGLPSLLDKASSESGFIERHLPTHMVSVGKFLIPKFQISFEFEGSRVLKELGVVAPFNPRGGGLTEMVDSRRVLRCMFQKSCTDHLLRLMREEQKPQVFHLV >itb01g33920.t3 pep chromosome:ASM357664v1:1:36835055:36836307:-1 gene:itb01g33920 transcript:itb01g33920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRESIKNQVDLSLKLAKHIFSIEAGGDSNLVFSPLSINVILSLIAVGSNSPTRDQLLAFLKSDSTDDLNTFYSQIVGHILADGSLTGGPSLSVANGLWMDRTLSIKPSFKHVVDTGYKAASESVDFRHKASEVVDEVNLWVEKKTKSRINHILPPSAVNNRTRLLFASALYFNGAWSQEFDASMTKDHEFHLLNGSSIQVPFMSNYLKQSVKAFSGFKVLKLSYNRGNDYKERRSFSMYFFLLDAMDGLPSLLDKASSESGFIERHLPTHMVSVGKFLIPKFQISFEFEGSRVLKELGVVAPFNPRGGGLTEMVDSRRVLRCMFQKSCTDHLLRLMREEQKPQVFHLV >itb06g22740.t1 pep chromosome:ASM357664v1:6:24965009:24965530:1 gene:itb06g22740 transcript:itb06g22740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHAHRAERRAAPRSTHTISSQEEEEEENASPWQPPTPASEKEEDAWTQREFCDGDEEEEEDENQMVWTFRVFLLGSLSCVFLIIINKFYLQETAESVMSMVTTMAVAYPIAVVLAKRLPKRRIYVRAFDFEFALNPGPFSMREYVLISTLGNVGAVYGGLTSYSIPHSLSF >itb11g14420.t1 pep chromosome:ASM357664v1:11:11505652:11507499:-1 gene:itb11g14420 transcript:itb11g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLDYLEEKFNMGEIIDSKPFESVQAALSLFGDQRKTSPRIKNEQEKEKDLEAVLKEFASLKLQLEAKDSAHKQALFRLSQNESAVEELLAQIMSSAFERDVYLNECRLHKDRAEELELKMKEMSDHTITESARLREQLSCAVAELRAAQAEIFRLETQAVAAGDAKAEALRRAETAEKKIRDQSDHITSLETQIKHLEHEINRDNEDNNSNAQEEIALLKAEIHKQKSKTAESEAAEARAISDKLSLTLVLERLALESKQHKIAEEIREERESDQLRELERLNREVEIAMQKVGEFRTRAEQAISRAEAAENAKARLENRIMRWRELKEKRKQALAALREDSISREKMDKFEYYDYGSPVTTIVEPLGKVLKMKF >itb04g28380.t1 pep chromosome:ASM357664v1:4:32145005:32145590:1 gene:itb04g28380 transcript:itb04g28380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNLFFSPAAAKQRRVYTAATAAKSSGGSSEEKGLLDWILGGLQKEDQLLETDPILKKVEGKSSATTVAVGRRKSPVAAPPPKSNTNGGGFGGFGGLFAKKD >itb13g09690.t1 pep chromosome:ASM357664v1:13:13993738:13994040:-1 gene:itb13g09690 transcript:itb13g09690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKFLFEPVSVFAFLSFVFYINILWKRSKDAKLPPGPWKLPIIGSLDHLLGGSLPHLSLRNLSRKYGPIMHLQLGEISTIVISSIDLANEITVGPKLA >itb12g23550.t1 pep chromosome:ASM357664v1:12:25229005:25230465:1 gene:itb12g23550 transcript:itb12g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSTMSTILLSKCTVFPARKSSQPDLKLSVSDLPMLSTHYIQKGGLYTRPPFPLSDFISLLKSTLSQTLTHFPPLAGRLITDSDGYVYITCNDAGVNFAHAAATHVLVRDIIGSVDVPEVVRGFFQFDRTVSYQGHFLPILAVQVTELADGVFVGCSVNHAVTDGTSFWNFFNTFAEVTRGVKRITRQPDLSRESVLISPAVLELPDGGPTVTFNVDAPLRERILSFSRESILKLKAHTNNQKWGVDGEIDAVEFMGKQKNDPLKTVDANVTPLNRIRTPAKNGTATTAEISSFQSLCALLWRAVTRARKLPSSKTTTFRMAVNCRHRLEPKLEPLYFGNAIQSIPTYAAAGDVLSHDLRWCAEQLNKNVKAHDDATVKNYIGNWERDPRCFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPIAVRSGRANKFDGKISAFPGRDGNGTVDLEVVLAPETMAGIESDPEFMQYVSGY >itb09g04610.t1 pep chromosome:ASM357664v1:9:2584174:2587191:1 gene:itb09g04610 transcript:itb09g04610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDVEADGWERSDFPIICESCLGDSPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKVRPNDTILKLQRTTPYYKRNRAHVCSFYVRGQCTRGLECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLEPPDDESIRTLYVGGVDARITEQDLRDQFYAHGEIESVKMVLQRGCAFVTYTAREGAERAAEELANKLVIKGLRLKLLWGRPQAPKPEFEITDEARQQQATVAHSGLLPRSVISQQQNQPLPLPGGSQDQPPPMPYFNIPPMPQPDRALYPSMDPQRMGAVVPSHEGAASGSGENRSGPDKQPPHGHHFGYPPVPPPPQGQFYPPYYPPYGYIPPPPPSYQQYPPPPPYQATVPPPPPSGNQAPPKQQSQPGPGQQFQQRPVAQGPGQQEPGQQS >itb09g25180.t1 pep chromosome:ASM357664v1:9:25116121:25120107:1 gene:itb09g25180 transcript:itb09g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQEIGNGDGDDPIPGNGEYSLNPRSPLFERGRLYEEYSARRNERLKRKKCGETEEEKKAVYGLGVRVESAKKRGIPVTPMMAQRGGGEKPRYMLRSMTTNKENKKPPPHLPMSVEKSVGGGEKKKKTAVRRKDSLERHDFQHYFSCWIGDNSLKELQEANVSRQCFPILKECATKAIRVASDADPDVAQLSGMAATILEGITSCHICKASLQSLVNGWGNGQEALLIVGHTFSLWCLNPAVDFREIADRSLAVILTSGCELQLWAAVISRGPTNYPLNASYKTSDSYAFQDSLGTSLEEICKGSKPVLGRGKGRKSEKNLSRSSKDNKKGAAFLAVFRGKVFNRSFFLGLFSTLCLSADSCYDLSG >itb09g08280.t1 pep chromosome:ASM357664v1:9:4967577:4968177:-1 gene:itb09g08280 transcript:itb09g08280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRTSSSSILVTFIVTLLICTTIFVNGQDAQVASAPPVPVELPETAEVADAPVVTGSGDDGDNDPAASMEEIQGFSPEVFVIGH >itb14g12810.t1 pep chromosome:ASM357664v1:14:14543768:14554933:-1 gene:itb14g12810 transcript:itb14g12810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSDGEVESIAGESKEAILYVNGIRRVLPDGLANLTLLEYLRDVGLTGTKLGCGEGGCGACTVMVSHFDQYSKKSMHHAINACLAPLYSVEGMHVITVEGVGNLRHGLHPIQESLAQAHGSQCGFCTPGFVMSMYALLRSSQEPPTEEQIEESLAGNLCRCTGYRPIMDAFRVFSKTNDALYTSGSSEGNAGFLCPSTGKPCSCGLKDGSNEQSTKDHVNYGGCWRPISYSEIDGTAYTKKELIFPPELLLRKMTYLSLSGSNGLKWYRPLKLQEVLDLKARYPAAKLVVGNSEVGIEMRLKRIQYPVLISVAHIPELNQLTIEKDGLIIGAAVKLTQLVEVLKKVSNERDPYETSSCRALIEQIKWFAGTQIRNVASVGGNICTASPISDLNPLWMAAGAKFQIIDCKGNIRRTKAENFFLGYRKVDLASNEILLSVFLPWNRPFEFVREFKQAHRRDDDIAIVNAGMRVFLEKKNKNWVVSDASIVFGGVAPLSLVASKTREFLIGKSWNKELLQGALEPLKEEIVLMENAPGGMVEFRKSLTLSFFFKFFLWVCHQMSGEIVERVQSSYLSAIEPFNRPSLSASQDFEIRKHGTSVGSSEVHLSSRLQVSGEAEYTDDIPMPPNSLHAALILSKKPHARVLSIDDSEAKTSPGLAGIFYAKDVPGSNMIGPVIEDEELFAAEYVTSVGQAIGVVVADTHENAKRAAAKVRVEYEDLPAILSIQDAVRANSFHPNTERCLRKGDVEQCFQSGQCDKIIEGEVHIGGQEHFYLEPHGTLIWTLDGGNEVHMISSTQAPQKHQKYVSRVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAVPSYLLNRPVKIILDRDVDMMITGQRHSFLGKYKVGFTNDGKVLALDLEIYNNAGNSLDLSSAVLERAMFHSDNVYEIPNVHVSGKVCFTNFPSNTAFRGFGGPQGMLITENWIGRIALEVKKTPEEIREINFLREGAVLHYGQKIENCTLERLWNELKLSCDFSNACKEVEKFNLGNRWKKRGIAMIPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASSFNIPLSAVFISETSTDKVPNASPTAASASSDMYGAAVLDACEQIKARMEPIASNRTFNSFKELVIACYLERIDLSAHGFSIVLDIGFDWKTGQGTPFRYFTYGAAFAEVEIDALTGDFHTRRADVLLDLGFSLNPAIDVGQIEGAFIQGLGWVALEELKWGDPAHKWIPSGCLLTSGPGNYKIPSVNDVPFKFNVSLLKGAPNPKAIHSSKAVGEPPFFLASSVFFAIKDAIASARAEAGYSGWFPLDNPATPERIRMACLDEFTKAFIDADYRPKLSV >itb07g21080.t1 pep chromosome:ASM357664v1:7:25452141:25455455:1 gene:itb07g21080 transcript:itb07g21080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKVREPMKGLVSSSVQVVLLLLLALNYAADHIEAQYEGECQFNKPLKPRPHSASVLDFGAVGDGKTLNSVAFQNAIFYLKSFADKGGAQLYVPAGKWLTGSINLTSHLTLFLEKDAIILGSEDYAHWGIVDPLPSYGRGIDVPGGRYRSLITGNNLTDVVITGNNGTIDGQGSVWWEQFEAHALNYSRPNLVEFVGSSYVVISNLTLLNAPAWNVFPAYCSNVTVQNITVQSPDSSPYTNGIVPDSSEHVCIENSNISMGHDAIVLKSGWDEYGIAYGRPAANVHIRGVRLQSSVGAGIAFGSEMSGGISNVLVENLYLHDSFAGIELKTARGRGGYIQGILVSNVAMENVRVAIKATGYSDTHPDDEYDPEAFPLVSEITFEDIVGSNISIAGNFTGLSESPFTSICLSNITFSISPAASEPWICSNVSGFSSNVSPEPCPEFHTLIANISATCFSFQSPFNQVAVL >itb09g11620.t1 pep chromosome:ASM357664v1:9:7249702:7256562:1 gene:itb09g11620 transcript:itb09g11620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLQDIGVQMRKVLIFSTRACYKIVCNHPILVGLLCFLALLYRSFPFVFSVLLSLSPVLVCTAVLLGTLLSFGQPNIPEIEREEKTVHDIVVPLKIGVLQDDTVVEKDDESYSVDRYTTNETDTVDQSIEELGLGVNKLCEVERGDSFGDDAPLLENESREIELESRMFLEGGRELYGLGSEQKNGKIEERLDDGEILDHQYSPLPNVDDENLEFDNEKSVDSFDSRTVYIGSPVIDREILDRQYSPIPNMDDENLEFDNDKSVDSFDSKMVNVDSPPGSPLKQEEEEEEEDEEEEDDEESLDSGSDRAESSSPDASMADIIPMLDELHPLLDEDTPQPINLLHDGSDAASECSKSCDSDQESDDGTENQEVEAGGDDEKGEGKETTKDKQEQTESAISWTEEDQKNLMDLGSSELERNQRLESLIARRRARKSMRTMVERNLIDLESVDLPFNIAPISTARKNPFDLPGDQYDLGLPPIPGSAPSVLQPRRNPFDLPYDSSEEKPDLKEDSFQQEFKTSHAKESFFRRHESFNVRPSLFGPSRHEKKDILLRPYFVPEEMDSEGSSHSRFQRQSSELSDSRVSSIPETESVASAIDLEDKSLIKEETEDKNLSDEDLVDKDLIYKHSSEDQALISEEEHTSRHVEHGSQSSEVDSRQMGAADWKDSGVDEAEMKWESEENHHRVEPSLMLEGYAAKALDLTVTEIHSKSESLEQHSRHSSSSSHSEEGEKIFDDSETHWLSGLGERRDHSESVISREASLGGADFDIRGTSVDENQYWEPVYDLSPTPLKEISSSSVARDVHVESELGLSPVLVDRTLSPPDMEAVESGQEIEKSTPISDEMLASSPNSQPVEEDELISEDAMLLKNNEVSNLESSENCEVSFSGDPVVTGGVVREDSLDSKASVNTSADQNILDQQGRVEHVLDTSLYSNFDTDIYLDAQHAEVLTMGSVSKSTEAQGIDLFENEEHSSTAVQVSLVQPCTTFENPSLGNNQIDMEVAGIHLEHNGVHLSNLDALTDKDPLSEGPISPQYQCSSTEKSFSQSDKELPPLDCKDHSEMQEPPLIVMESDEEASSTGQLNNVLGFRGLDCEAQPITAAPSSPPFASILHKVDEVTEDAGQSDIHESVLDEVQNDNHIDALKSHQFFTEAIEFEDTDGIEEIDEGILSELDAVGDFSIKESISSLNEFDSGIDSSGVYKNEEKIECGSEFFTPGVSLKEDVDSSSTGFAEREFQESGDRKSAKEESLLTGNDWEPHGVNLNSNTEETNPGMPLVEAQSLKDLEAIYEQAKLESVETEVAFGLSQSPQEVQLLQNSNTEDVSPGLPLVEASSVKDLEAIYEQAKLSTMETKVGLGPSELNQDSNTEDADPGMPLVEANSLKDLESIYEQAKLDSMETNLVLELPELNQNSNAEDADPGMPLVEASSLKDLESIYEQAKSNSMETEVGPGLSELNQNSNTDDTDPGMPLVEASSLSDLESIYEQAKSNSLETELPQEVQLEADTDSGMPKVVAQSIEDLDSVFRQIDSKECEKHDLLDPSHTDSGMSLPEVQSIQDTGSAFDQIDSKGNEKHDSLHPPHAEEASGESENSKNVTLQEHSSLIENEIKFEARSTEDTDSDHLQLHESDPERHILRDSLVGDADALESLHVGAPSHEREMETDSALKQETEDNAEKPMKSSLSSQDVLVEATHNVEGDSSKGTSSVKDRDVPEDGNGSTKNLNFEVDDKSEYSDLATSAEKGDQETPEGDSSSAMDVKAKKEESPGDSHSE >itb09g11620.t2 pep chromosome:ASM357664v1:9:7249702:7256562:1 gene:itb09g11620 transcript:itb09g11620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLQDIGVQMRKVLIFSTRACYKIVCNHPILVGLLCFLALLYRSFPFVFSVLLSLSPVLVCTAVLLGTLLSFGQPNIPEIEREEKTVHDIVVPLKIGVLQDDTVVEKDDESYSVDRYTTNETDTVDQSIEELGLGVNKLCEVERGDSFGDDAPLLENESREIELESRMFLEGGRELYGLGSEQKNGKIEERLDDGEILDHQYSPLPNVDDENLEFDNEKSVDSFDSRTVYIGSPVIDREILDRQYSPIPNMDDENLEFDNDKSVDSFDSKMVNVDSPPGSPLKQEEEEEEEDEEEEDDEESLDSGSDRAESSSPDASMADIIPMLDELHPLLDEDTPQPINLLHDGSDAASECSKSCDSDQESDDGTENQEVEAGGDDEKGEGKETTKDKQEQTESAISWTEEDQKNLMDLGSSELERNQRLESLIARRRARKSMRTMVERNLIDLESVDLPFNIAPISTARKNPFDLPGDQYDLGLPPIPGSAPSVLQPRRNPFDLPYDSSEEKPDLKEDSFQQEFKTSHAKESFFRRHESFNVRPSLFGPSRHEKKDILLRPYFVPEEMDSEGSSHSRFQRQSSELSDSRVSSIPETESVASAIDLEDKSLIKEETEDKNLSDEDLVDKDLIYKHSSEDQALISEEEHTSRHVEHGSQSSEVDSRQMGAADWKDSGVDEAEMKWESEENHHRVEPSLMLEGYAAKALDLTVTEIHSKSESLEQHSRHSSSSSHSEEGEKIFDDSETHWLSGLGERRDHSESVISREASLGGADFDIRGTSVDENQYWEPVYDLSPTPLKEISSSSVARDVHVESELGLSPVLVDRTLSPPDMEAVESGQEIEKSTPISDEMLASSPNSQPVEEDELISEDAMLLKNNEVSNLESSENCEVSFSGDPVVTGGVVREDSLDSKASVNTSADQNILDQQGRVEHVLDTSLYSNFDTDIYLDAQHAEVLTMGSVSKSTEAQGIDLFENEEHSSTAVQVSLVQPCTTFENPSLGNNQIDMEVAGIHLEHNGVHLSNLDALTDKDPLSEGPISPQYQCSSTEKSFSQSDKELPPLDCKDHSEMQEPPLIVMESDEEASSTGQLNNVLGFRGLDCEAQPITAAPSSPPFASILHKVDEVTEDAGQSDIHESVLDEVQNDNHIDALKSHQFFTEAIEFEDTDGIEEIDEGILSELDAVGDFSIKESISSLNEFDSGIDSSGVSVPVVHNAASIAPLFLEEDSIYVHEKIFAEQSENLNASMAEQVYKNEEKIECGSEFFTPGVSLKEDVDSSSTGFAEREFQESGDRKSAKEESLLTGNDWEPHGVNLNSNTEETNPGMPLVEAQSLKDLEAIYEQAKLESVETEVAFGLSQSPQEVQLLQNSNTEDVSPGLPLVEASSVKDLEAIYEQAKLSTMETKVGLGPSELNQDSNTEDADPGMPLVEANSLKDLESIYEQAKLDSMETNLVLELPELNQNSNAEDADPGMPLVEASSLKDLESIYEQAKSNSMETEVGPGLSELNQNSNTDDTDPGMPLVEASSLSDLESIYEQAKSNSLETELPQEVQLEADTDSGMPKVVAQSIEDLDSVFRQIDSKECEKHDLLDPSHTDSGMSLPEVQSIQDTGSAFDQIDSKGNEKHDSLHPPHAEEASGESENSKNVTLQEHSSLIENEIKFEARSTEDTDSDHLQLHESDPERHILRDSLVGDADALESLHVGAPSHEREMETDSALKQETEDNAEKPMKSSLSSQDVLVEATHNVEGDSSKGTSSVKDRDVPEDGNGSTKNLNFEVDDKSEYSDLATSAEKGDQETPEGDSSSAMDVKAKKEESPGDSHSE >itb05g01300.t1 pep chromosome:ASM357664v1:5:1108246:1114268:1 gene:itb05g01300 transcript:itb05g01300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYIVGVLVPLVVTLLLRNSKNRKKRGLLVDVGGEPGFAIRNYRYATPVETAWEGVTTLAELFEYSCKKYCDKKLLGTRRLISREMEASSDGRSFEKLHLGDYEWLSYSQAFELVCNFSSGLVHLGHKREERVAIFADTCEEWFIALQACFRRNVTVVTIYASLGEEALCYSLNETEVTTVICGQKELKKLVDISGQLDTVKRIVVMENEIQSNASTATGYSSWTISSFSDVEKLGRENPVEPDLPLAADVAVIMYTSGSTGLPKGVIMTHRNVLATASAVMTIVPDLGSKDVYLAYLPLAHILELAAENFIPTIGGSIGYGSPLTLTDTSNKIKTGTKGDASLLRPTLLAAVPAILDRVRDGVRKKVNAKGGLAKKLFDLAYARRLSAIKGSWFGAWGLERLFWNFLVFRNVRAVLGGRIRFILSGGAPLSGDTQRFINICLGAPIAQGYGLTETCAGGSFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYRITDSPMPRGEIVVGGPNVTIGYFKNEEKTKEVYKVDERGMRWFYTGDIGQFHGDGCLEIIDRKKDIVKLQHGEYVSLGKVEAVLVISPYVDSIMVHADPFHSYCVALVVAAQAALEDWAAAHAIQFVDFSDLCIKEETIKEVYTSLVKAAKAARLEKFEIPAKIKLLPEPWTPESGLVTAALKLKRDVIRKTFSEDLAKLYSSS >itb13g20080.t1 pep chromosome:ASM357664v1:13:26911204:26913760:-1 gene:itb13g20080 transcript:itb13g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIASRRLSGLSSWSWMSAAQTSPSVVSRNPLAVSGDSSDGTFTRSVSPSLGFMYLDQMRGFSSGPLAPAKESSSDLPPTVAAIKNPSSKIVYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLVRLLILKFVLSMSASKDVLALASIEVDLSSIEPGTTVTVKWRGKPVFIRRRTDEDIKTANSVDVGSLRDPQQDEERVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLEENKLLIG >itb10g04970.t1 pep chromosome:ASM357664v1:10:4959667:4960618:1 gene:itb10g04970 transcript:itb10g04970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYSRTTYMPMSYLYAKRYHGPLTDLVLSIRNEIHVKAYDQIDWNSTRMDCCKEDMYYPHSFIQDLLWNTL >itb13g16410.t3 pep chromosome:ASM357664v1:13:23391054:23395680:-1 gene:itb13g16410 transcript:itb13g16410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRYFSRRSPLHFYPCKPTHHSLFSVFLLSPLHFPHCKPTHPSFFSIFFSGHAHTKALENPILYNYLIDNFNYPKSKALTISTRMPWIKTPEKPESVVHFFKSIGFSDAHIQSLMRDVPQLLSADIEKTLKPKIKLFQDLGILGPDMGNFMCKKAHLLTRSLDNVIRPCIDVLKDILRNDIDNRHLFLVMQRCLWIVMRSPEVRLLPNVEYLRSCGIVGTQLATLLRRQPRLFIIPLPKLKDLVSKVLDLGFLTDSRMLAHGLHSFSSVSGGTFRKKLGIFRSYGFSEKECLGMIRRSPTLLRTSEEKLKLGIEFFLNTIEAEKSVLLCRPSLLMFSMEERVLPRYQVLNLINSKMLLKKELSFVQALFLSEADFVEKYIARFPEVAEELLMAYKGHLLVSNG >itb13g16410.t5 pep chromosome:ASM357664v1:13:23392360:23395673:-1 gene:itb13g16410 transcript:itb13g16410.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRYFSRRSPLHFYPCKPTHHSLFSVFLLSPLHFPHCKPTHPSFFSIFFSGHAHTKALENPILYNYLIDNFNYPKSKALTISTRMPWIKTPEKPESVVHFFKSIGFSDAHIQSLMRDVPQLLSADIEKTLKPKIKLFQDLGILGPDMGNFMCKKAHLLTRSLDNVIRPCIDVLKDILRNDIDNRHLFLVMQRCLWIVMRSPEVRLLPNVEYLRSCGIVGTQLATLLRRQPRLFIIPLPKLKDLVSKVLDLGFLTDSRMLAHGLHSFSSVSGGTFRKKLGIFRSYGFSEKECLGMIRRSPTLLRTSEEKLKLGIEFFLNTIEAEKSVLLCRPSLLMFSMEERVLPRYQVLNLINSKMLLKKELSFVQALFLSEADFVEKYIARFPEVAEELLMAYKGHLLVSNG >itb13g16410.t4 pep chromosome:ASM357664v1:13:23392371:23395671:-1 gene:itb13g16410 transcript:itb13g16410.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRYFSRRSPLHFYPCKPTHHSLFSVFLLSPLHFPHCKPTHPSFFSIFFSGHAHTKALENPILYNYLIDNFNYPKSKALTISTRMPWIKTPEKPESVVHFFKSIGFSDAHIQSLMRDVPQLLSADIEKTLKPKIKLFQDLGILGPDMGNFMCKKAHLLTRSLDNVIRPCIDVLKDILRNDIDNRHLFLVMQRCLWIVMRSPEVRLLPNVEYLRSCGIVGTQLATLLRRQPRLFIIPLPKLKDLVSKVLDLGFLTDSRMLAHGLHSFSSVSGGTFRKKLGIFRSYGFSEKECLGMIRRSPTLLRTSEEKLKLGIEFFLNTIEAEKSVLLCRPSLLMFSMEERVLPRYQVLNLINSKMLLKKELSFVQALFLSEADFVEKYIARFPEVAEELLMAYKGHLLVSNG >itb13g16410.t1 pep chromosome:ASM357664v1:13:23391054:23395680:-1 gene:itb13g16410 transcript:itb13g16410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRYFSRRSPLHFYPCKPTHHSLFSVFLLSPLHFPHCKPTHPSFFSIFFSGHAHTKALENPILYNYLIDNFNYPKSKALTISTRMPWIKTPEKPESVVHFFKSIGFSDAHIQSLMRDVPQLLSADIEKTLKPKIKLFQDLGILGPDMGNFMCKKAHLLTRSLDNVIRPCIDVLKDILRNDIDNRHLFLVMQRCLWIVMRSPEVRLLPNVEYLRSCGIVGTQLATLLRRQPRLFIIPLPKLKDLVSKVLDLGFLTDSRMLAHGLHSFSSVSGGTFRKKLGIFRSYGFSEKECLGMIRRSPTLLRTSEEKLKLGIEFFLNTIEAEKSVLLCRPSLLMFSMEERVLPRYQVLNLINSKMLLKKELSFVQALFLSEADFVEKYIARFPEVAEELLMAYKGHLLVSNG >itb13g16410.t2 pep chromosome:ASM357664v1:13:23391054:23395671:-1 gene:itb13g16410 transcript:itb13g16410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRYFSRRSPLHFYPCKPTHHSLFSVFLLSPLHFPHCKPTHPSFFSIFFSGHAHTKALENPILYNYLIDNFNYPKSKALTISTRMPWIKTPEKPESVVHFFKSIGFSDAHIQSLMRDVPQLLSADIEKTLKPKIKLFQDLGILGPDMGNFMCKKAHLLTRSLDNVIRPCIDVLKDILRNDIDNRHLFLVMQRCLWIVMRSPEVRLLPNVEYLRSCGIVGTQLATLLRRQPRLFIIPLPKLKDLVSKVLDLGFLTDSRMLAHGLHSFSSVSGGTFRKKLGIFRSYGFSEKECLGMIRRSPTLLRTSEEKLKLGIEFFLNTIEAEKSVLLCRPSLLMFSMEERVLPRYQVLNLINSKMLLKKELSFVQALFLSEADFVEKYIARFPEVAEELLMAYKGHLLVSNG >itb09g19780.t1 pep chromosome:ASM357664v1:9:16050822:16051671:-1 gene:itb09g19780 transcript:itb09g19780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRINGHQNMGEHFHMGAHGENKKQKIKKKKKSKRSDKTLSSSHHPVSLSGEQAKHSTIFFSPSSPFPISLPKLYLKESFFLSIPLVSLSLRQSSLHFPSRIKQCNVYIAWKQVISDLCRDFRSGDIELRSGGKELKEDGRHLLFHF >itb04g20890.t1 pep chromosome:ASM357664v1:4:25917383:25919364:-1 gene:itb04g20890 transcript:itb04g20890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQLLINYIRLHGEGCWRSLPKAAGLFRCGKSCRLRWINYLRPDLKRGNFTQQEDDLIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTQIKRKLISRGIDPQTHRPLDSSAGGGGGTTKPENISMDLSSSAPSQEETKCSCGTTSEESHHQSLKDKQRNEQMGGLDLSIGLALHPKTEDSAESTASGESLPVAPPPPAAVELSVTEAVCLCWQLGSRSGGLCNK >itb04g24290.t2 pep chromosome:ASM357664v1:4:29009338:29016189:1 gene:itb04g24290 transcript:itb04g24290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSDLLVYTTLECETKEEMLSSMNKAKEEGADLVEVCVDCLSSSHLSEVEHLLRHRTLPSIICCRPNKCIGVDWESKCLQVLKLAVQLDVEFVEVALEVASDMVMNELMRVRSNSKIIVSTYVNGGGPPTTEKLGDLIINMQATGADIIKLVIDVAYITDVAPVFQMLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSTPGLPPLNSIKDVYQLEYVNADTRIFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNIKEFFRVYSCIDFAGFSVGIPHKEAAVDCCDEVDPLAKSIGAVNTIIRRNGKLIGYNTDCEACMTAIEDALKERQMTNGHASHASPMAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAKAISGEALPYDRLNDFCPEKGMILANASAIGMQPRTDETPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLGTLLSQQHS >itb04g24290.t3 pep chromosome:ASM357664v1:4:29012163:29018124:1 gene:itb04g24290 transcript:itb04g24290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSDLLVYTTLECETKEEMLSSMNKAKEEGADLVEVCVDCLSSSHLSEVEHLLRHRTLPSIICCRPNKCIGVDWESKCLQVLKLAVQLDVEFVEVALEVASDMVMNELMRVRSNSKIIVSTYVNGGGPPTTEKLGDLIINMQATGADIIKLVIDVAYITDVAPVFQMLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSTPGLPPLNSIKDVYQLEYVNADTRIFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNIKEFFRVYSCIDFAGFSVGIPHKEAAVDCCDEVDPLAKSIGAVNTIIRRNGKLIGYNTDCEACMTAIEDALKERQMTNGHASHASPMAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAKAISGEALPYDRLNDFCPEKGMILANASAIGMQPRTDETPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLAPEDFMRKIVLEQF >itb04g24290.t4 pep chromosome:ASM357664v1:4:29009338:29016385:1 gene:itb04g24290 transcript:itb04g24290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSDLLVYTTLECETKEEMLSSMNKAKEEGADLVEVCVDCLSSSHLSEVEHLLRHRTLPSIICCRPNKCIGVDWESKCLQVLKLAVQLDVEFVEVALEVASDMVMNELMRVRSNSKIIVSTYVNGGGPPTTEKLGDLIINMQATGADIIKLVIDVAYITDVAPVFQMLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSTPGLPPLNSIKDVYQLEYVNADTRIFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNIKEFFRVYSCIDFAGFSVGIPHKEAAVDCCDEVDPLAKSIGAVNTIIRRNGKLIGYNTDCEACMTAIEDALKERQMTNGHASHASPMAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYERAKALAKAISGEALPYDRLNDFCPEKGMILANASAIGMQPRTDETPVCKEALKSYDLVFDAVYTPRNTRLLQEAAEVGAAVVSGVEMFIRQALGQFKLFTDGLAPEDFMRKIVLEQF >itb04g24290.t1 pep chromosome:ASM357664v1:4:29009338:29015429:1 gene:itb04g24290 transcript:itb04g24290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSDLLVYTTLECETKEEMLSSMNKAKEEGADLVEVCVDCLSSSHLSEVEHLLRHRTLPSIICCRPNKCIGVDWESKCLQVLKLAVQLDVEFVEVALEVASDMVMNELMRVRSNSKIIVSTYVNGGGPPTTEKLGDLIINMQATGADIIKLVIDVAYITDVAPVFQMLTHCQVPLIARAVGDRGLISQLLGPKYGAFFVCGSLNGKSTPGLPPLNSIKDVYQLEYVNADTRIFGVVSNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNIKEFFRVYSCIDFAGFSVGIPHKEAAVDCCDEVDPLAKSIGAVNTIIRRNGKLIGYNTDCEACMTAIEDALKERQMTNGHASHASPMAGKLFVLVGAGGAGRAMAFGAKSRGARVVIFNRNYGTSSILFY >itb12g17770.t3 pep chromosome:ASM357664v1:12:19938336:19941913:1 gene:itb12g17770 transcript:itb12g17770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCSAIDGDDFFDSHEEEEISSDSDSDCYESKFSTRNANGVLGYEIWTKNPESVDERRSRLLKWMGLGSDWSTTNKDESNDSCSDEIKMDDRTRDNGDTVLANSDSEDNFFSGRFSQSSPSNEASELAQDDAEVENCSWKIRNLDDGSEFVVDEMGQDGTLSRLREVRSNKVVTVEELQTALGSSALVQKLLHRDSKQSDNGVTKKKYKTSWLQKLASVAHLDDKSHKSKGAHLNSKGSIKAGTSIQRVRVHAYKKHSKELSSLYTAQEFHAHKGSILTMKFSPDGEYLASAGKDGIVRVWKVDAAEAPKDLNNQDVDPSCLYFSLSHLSKLASLEIDKEKIGQLKKSKSRKSSESACVILPPKVFRILEQPVHEFHGHVDEVLALSWSRNGYLLSSSVDKTARLWQVGHDQCLGVYPHNNYVTCVEFNPVDDNYFISGSIDGKVRLWEVQRSRVIDWTDVKEIVTAVCYFPDGKGGIVGSMDGNCIFYDLVGNRLQMGSQVSLQTRKRITGFQVIIQYVSRKIIIIFSVIGFMN >itb12g17770.t1 pep chromosome:ASM357664v1:12:19938259:19941913:1 gene:itb12g17770 transcript:itb12g17770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCSAIDGDDFFDSHEEEEISSDSDSDCYESKFSTRNANGVLGYEIWTKNPESVDERRSRLLKWMGLGSDWSTTNKDESNDSCSDEIKMDDRTRDNGDTVLANSDSEDNFFSGRFSQSSPSNEASELAQDDAEVENCSWKIRNLDDGSEFVVDEMGQDGTLSRLREVRSNKVVTVEELQTALGSSALVQKLLHRDSKQSDNGVTKKKYKTSWLQKLASVAHLDDKSHKSKGAHLNSKGSIKAGTSIQRVRVHAYKKHSKELSSLYTAQEFHAHKGSILTMKFSPDGEYLASAGKDGIVRVWKVDAAEAPKDLNNQDVDPSCLYFSLSHLSKLASLEIDKEKIGQLKKSKSRKSSESACVILPPKVFRILEQPVHEFHGHVDEVLALSWSRNGYLLSSSVDKTARLWQVGHDQCLGVYPHNNYVTCVEFNPVDDNYFISGSIDGKVRLWEVQRSRVIDWTDVKEIVTAVCYFPDGKGGIVGSMDGNCIFYDLVGNRLQMGSQVSLQTRKRITGFQFCPNDVSKIMVTSADSQVKILHGSNIICKFKGSRNSGSQVPATFTSDGKHVISISEDSNVYIWSYTSQDQTASNKKTEKSVWSSESFFSNEASIAIPWCGFETNSATLPGRALANGDINERRLSSSPDCFSLSRSFFLDSLNKGSATWPEEKLLDRSPVTVSPSISKPDYKILKNAWQSAFSSPNLWGLVVVTAGLDGVIRTFLNYGLPIRF >itb12g17770.t2 pep chromosome:ASM357664v1:12:19938263:19941913:1 gene:itb12g17770 transcript:itb12g17770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCSAIDGDDFFDSHEEEEISSDSDSDCYESKFSTRNANGVLGYEIWTKNPESVDERRSRLLKWMGLGSDWSTTNKDESNDSCSDEIKMDDRTRDNGDTVLANSDSEDNFFSGRFSQSSPSNEASELAQDDAEVENCSWKIRNLDDGSEFVVDEMGQDGTLSRLREVRSNKVVTVEELQTALGSSALVQKLLHRDSKQSDNGVTKKKYKTSWLQKLASVAHLDDKSHKSKGAHLNSKGSIKAGTSIQRVRVHAYKKHSKELSSLYTAQEFHAHKGSILTMKFSPDGEYLASAGKDGIVRVWKVDAAEAPKDLNNQDVDPSCLYFSLSHLSKLASLEIDKEKIGQLKKSKSRKSSESACVILPPKVFRILEQPVHEFHGHVDEVLALSWSRNGYLLSSSVDKTARLWQVGHDQCLGVYPHNNYVTCVEFNPVDDNYFISGSIDGKVRLWEVQRSRVIDWTDVKEIVTAVCYFPDGKGGIVGSMDGNCIFYDLVGNRLQMGSQVSLQTRKRITGFQVIIQYVSRKIIIIFSVIGFMN >itb12g01850.t1 pep chromosome:ASM357664v1:12:1248736:1252398:-1 gene:itb12g01850 transcript:itb12g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGMTVPDQNGGTSIPTDMGVSAARSVQQLTPADEHAPKARKPYTITKQRERWTEEEHNKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRELNGTDVNSVKPIEIPPPRPKRKPMHPYPRKLVIAGGAPAQEKLTSSVPSNVSLVEKENQENQSPTSVLSALGSEGEGTADSTLNGSPPPVSSALGDSSSGFVPSEQCNLLQEENRPSLPVQPSANPSPDEQPFARLELFPQENGFVNDCSTEASSTYSLKLFGKTVLVTDSHRPSSPTSGTSKALPTEANDEVATQSLPWTFARMKILLGSPECTTSNLPLGASTSLYYPPTQIEYSNTVESILAPSLPWALPYATASFPRVQVHDPIPVKARPVFDNKETEDKEKLENRKEASSTASDSGSVNILEKRIGKNSETEIESEEQQSSEKIGNELPAFRTTETTTNFKLRTSSGKRMKGFLPYKRCLAERATHSSTITGEEREEQRTRLCL >itb01g08160.t1 pep chromosome:ASM357664v1:1:6541212:6543225:1 gene:itb01g08160 transcript:itb01g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSGSQQPQLPPGFRFHPTDEELVVHYLKKKVASATLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTAGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLADNKPNNKPPGCDINKKGSLRLDDWVLCRIYKKNNTQRPMDHERDDLNEMLGSIPSPMPTTIQFGQQKFHHHNHHQGLLKAAANYGGLLENDQNIYQGMITSNGSPIVSPAAANLLPSKHLPAMYWTEDAPTCSDSSPLTKKFLADDSHLTAARTDDQSGAGASGSIATLLSQLPQQNVAGDGVFRQPYQVSGLNWYS >itb13g22690.t1 pep chromosome:ASM357664v1:13:28960031:28963629:-1 gene:itb13g22690 transcript:itb13g22690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKTPKQDAISSSPPDCEDSQKRESAKAAANSNSSPATRHPDLSLQIPPRNGVGSGNRSKSLVQSPGVSNGSPTGGGFFRGLSFKRKIAGGSDGERSSLLRPDSKMAPESPSFSSWKRCTSLPVTPASNGSPTVPTPTSARTVGERQKSHSLRTATSQAAVTRSLSVVIVRSTSFSTREEQDPNTGDEITPAPEEEDHEIPEEEAVCRICLEACSERNTLKMECGCKGDLRLIHEDCAIKWFSMKGNKDCEVCRQEVLNLPVTLLRVPSTAHRDARHDPNRQNLNSQQISAWQDFVVLVLISTICYFFFLEQLLIRDMKTQALMIAAPFAFALGLLASVFAVILAIKEYIWTYATIEFALVALILHLFYSMLHLPPAYSVMLASVLGFGGAMGLNYLYIRYYTWRVQVSQNSSPV >itb13g22690.t2 pep chromosome:ASM357664v1:13:28960031:28963629:-1 gene:itb13g22690 transcript:itb13g22690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESPSFSSWKRCTSLPVTPASNGSPTVPTPTSARTVGERQKSHSLRTATSQAAVTRSLSVVIVRSTSFSTREEQDPNTGDEITPAPEEEDHEIPEEEAVCRICLEACSERNTLKMECGCKGDLRLIHEDCAIKWFSMKGNKDCEVCRQEVLNLPVTLLRVPSTAHRDARHDPNRQNLNSQQISAWQDFVVLVLISTICYFFFLEQLLIRDMKTQALMIAAPFAFALGLLASVFAVILAIKEYIWTYATIEFALVALILHLFYSMLHLPPAYSVMLASVLGFGGAMGLNYLYIRYYTWRVQVSQNSSPV >itb13g22690.t3 pep chromosome:ASM357664v1:13:28960031:28963629:-1 gene:itb13g22690 transcript:itb13g22690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding METPKTPKQDAISSSPPDCEDSQKRESAKAAANSNSSPATRHPDLSLQIPPRNGVGSGNRSKSLVQSPGVSNGSPTGGGFFRGLSFKRKIAGGSDGERSSLLRPDSKMAPESPSFSSWKRCTSLPVTPASNGSPTVPTPTSARTVGERQKSHSLRTATSQAAVTRSLSVVIVRSTSFSTREEQDPNTGDEITPAPEEEDHEIPEEEAVCRICLEACSERNTLKMECGCKGDLRLIHEDCAIKWFSMKGNKDCEVCRQEVLNLPVTLLRVPSTAHRDARHDPNRQNLNSQQISAWQDFVVLVLISTICYFFFLEQLLIRDMKTQALMIAAPFAFALGLLASVFAVILGTGNSLTLP >itb13g22690.t4 pep chromosome:ASM357664v1:13:28960031:28963590:-1 gene:itb13g22690 transcript:itb13g22690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding METPKTPKQDAISSSPPDCEDSQKRESAKAAANSNSSPATRHPDLSLQIPPRNGVGSGNRSKSLVQSPGVSNGSPTGGGFFRGLSFKRKIAGGSDGERSSLLRPDSKMAPESPSFSSWKRCTSLPVTPASNGSPTVPTPTSARTVGERQKSHSLRTATSQAAVTRSLSVVIVRSTSFSTREEQDPNTGDEITPAPEEEDHEIPEEEAVCRICLEACSERNTLKMECGCKGDLRLIHEDCAIKWFSMKGNKDCEVCRQEVLNLPVTLLRVPSTAHRDARHDPNRQNLNSQQISAWQDFVVLVLISTICYFFFLEQLLIRDMKTQALMIAAPFAFALGLLASVFAVILAIKEYIWTYATIEFALVALILHLFYSMVRCEFFSPNLSVSMSPLN >itb13g22690.t5 pep chromosome:ASM357664v1:13:28960031:28963629:-1 gene:itb13g22690 transcript:itb13g22690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding METPKTPKQDAISSSPPDCEDSQKRESAKAAANSNSSPATRHPDLSLQIPPRNGVGSGNRSKSLVQSPGVSNGSPTGGGFFRGLSFKRKIAGGSDGERSSLLRPDSKMAPESPSFSSWKRCTSLPVTPASNGSPTVPTPTSARTVGERQKSHSLRTATSQAAVTRSLSVVIVRSTSFSTREEQDPNTGDEITPAPEEEDHEIPEEEAVCRICLEACSERNTLKMECGCKGDLRLIHEDCAIKWFSMKGNKDCEVCRQEVLNLPVTLLRVPSTAHRDARHDPNRQNLNSQQISAWQDFVVLVLISTICYFFFLEQLLVSYSIFAISLQFFPL >itb14g17350.t2 pep chromosome:ASM357664v1:14:20611407:20615836:1 gene:itb14g17350 transcript:itb14g17350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQDHEDLMSVWEGESGEPRAERVIEMEMIMGEVFDAVLAMKGAYVSLQEAHCPWDPEKMSVADMAVVSELRRLAVLRERFWRSIGGGGGGRGRWRVGAATLREVVAPYEAAVDDMRRKLKAKEAEIVNLKDKFKTASSYSNNGKKGKSKRKVNCTTQAQVTMSPAPELFEAAMSLVKQASKSFTASLLSLMRSAHWDIAAAVRSIEAGHSTAAVATAADSVIGINHAKFALESYVNCKMFQGFDNETFYMDSRYASLLHPEQHRRDCFAQYRDLKALDPVELLGILPTCSFGNFCLKKFLTMVHPKMEESLFGDMEQRRHVLAGKHPRTQFYGEFLWVAKAVWLLHLLAFSLDPPPSHFEAIRGSKFHSQYMESVAKCSNGIGGRMGMGLVVGFPVTPGFKLANGSVIKARVYLVPANGL >itb14g17350.t1 pep chromosome:ASM357664v1:14:20611403:20615864:1 gene:itb14g17350 transcript:itb14g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRINNARSQQEDIEVQKPYSSIPFYTDHPALHKQTNISMRSHNPHYRGIKKMANKVSNFSHLIQRFTGSCLLQPFQIDDISVSRGGDESEEEKEMGEEQDHEDLMSVWEGESGEPRAERVIEMEMIMGEVFDAVLAMKGAYVSLQEAHCPWDPEKMSVADMAVVSELRRLAVLRERFWRSIGGGGGGRGRWRVGAATLREVVAPYEAAVDDMRRKLKAKEAEIVNLKDKFKTASSYSNNGKKGKSKRKVNCTTQAQVTMSPAPELFEAAMSLVKQASKSFTASLLSLMRSAHWDIAAAVRSIEAGHSTAAVATAADSVIGINHAKFALESYVNCKMFQGFDNETFYMDSRYASLLHPEQHRRDCFAQYRDLKALDPVELLGILPTCSFGNFCLKKFLTMVHPKMEESLFGDMEQRRHVLAGKHPRTQFYGEFLWVAKAVWLLHLLAFSLDPPPSHFEAIRGSKFHSQYMESVAKCSNGIGGRMGMGLVVGFPVTPGFKLANGSVIKARVYLVPANGL >itb15g15710.t1 pep chromosome:ASM357664v1:15:14764792:14767692:-1 gene:itb15g15710 transcript:itb15g15710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALENLIEPRVRDTYKSPFGFQKFQTSKSQQAYYANKSIANGGAYYGARREEVDDSKPPSANHVYISPALYITPAPAPIPELSSSPLSPSPYVVNHKRRGGERHVDGKIDGFQVPEVRECGEEEKAGIELNYHGENEQEKEDLVKDKIGGHTEVDGDGIEEFEGAGEEFFDPAFDMLSVGSPHEVRGFGCSSMSVQSLQWEFFDADEEWFTEEPASNVSLHDTNIASELHSLRLELLEETKKRKAAEDGLIVMRSQWLKIRDILSQEGLTFPSPSDVISGMELEHGSIEQLTQELAVTRFVAKAIGKGQARAEAELASEAILETKNQEISRLKDRLQYYEAVNHEMSLRNQEIIDAARKQRQRKRTLQKWLWSFIGFSAAIGVSMAAYTYLPQASTHQPPSSDTSDSSSS >itb13g14870.t2 pep chromosome:ASM357664v1:13:21465691:21471272:1 gene:itb13g14870 transcript:itb13g14870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14870.t5 pep chromosome:ASM357664v1:13:21465698:21471087:1 gene:itb13g14870 transcript:itb13g14870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKGVGENNQV >itb13g14870.t1 pep chromosome:ASM357664v1:13:21465691:21471272:1 gene:itb13g14870 transcript:itb13g14870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14870.t4 pep chromosome:ASM357664v1:13:21465693:21471104:1 gene:itb13g14870 transcript:itb13g14870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14870.t8 pep chromosome:ASM357664v1:13:21465698:21471087:1 gene:itb13g14870 transcript:itb13g14870.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKGVGENNQV >itb13g14870.t7 pep chromosome:ASM357664v1:13:21465692:21471272:1 gene:itb13g14870 transcript:itb13g14870.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14870.t6 pep chromosome:ASM357664v1:13:21465692:21471272:1 gene:itb13g14870 transcript:itb13g14870.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKQKGVGENNQV >itb13g14870.t3 pep chromosome:ASM357664v1:13:21465693:21471104:1 gene:itb13g14870 transcript:itb13g14870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSASGNPNPQNPNNPNNGTPPQGKGSGSTQEIQQLTPATISKGRYLSGDHILYDIDVRLPGEVPIKADRTGISNYSPFPGLVLGRRLAVAKTHICYPFGPMMSLIRVHNVKSALASTLQGLDERVTDLAFFAEDVHLLASASVNGRVYIWKITEDENDKHEPEITSQIVIAIQIVGEGESFHPRVCWHCEKQEILIVGIGKRVLKIDTTHIGKGEVFTAKRPLRCPVDCLVEGVQLVGTHDGEVTDLSMSQLKTTTLLVSASVDGMIKIWEDLKSTPIAVLRPHDGQPVNSVTFFRPPDSPKHIVLITGGPLNREVKIWSSASEEGWLVPSDAEPWHCTQTLELKSSAETQVEDAFFNQVVAFPRAGLLLLSNAKKNSIYVVHLEYGPNPAATRMNYIAEFTVTMPILSFTGTRDLLPCEHVVQVYCVETQAIHNYALDLSQCLPPPLDNVVLERSESSTEQEFNTYVDALWVRHLQEESAKQEKLLCEDLPGRVKDIVKKELSAFVEAITPVIEKTVSKAISEAFQKQKGVGENNQV >itb07g24540.t1 pep chromosome:ASM357664v1:7:28803035:28804984:1 gene:itb07g24540 transcript:itb07g24540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESETPFRPREKLIEKQRYYQSIHKHTYLKGPLDKVTSVAIPLALAVSSLYMIGRGIYNMSNGIGKKE >itb07g00020.t1 pep chromosome:ASM357664v1:7:33412:40668:-1 gene:itb07g00020 transcript:itb07g00020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFSLATRSGGAPPPLPMISPVVAKRIPLNILRPLISPIPFTLPHSLTSSQAQPFLSPLDSSVIYTSRTLAAAGPVSATYASPSEDSEITKLAQVSKRLESTSRYFKRLGSIGFWGQLVCTVVAAGILSFSVVITGKITSPFTFYSTAAGIAAAFLSVFWSFGYLRLSAKLKKTASEPSKAPPRADVVKSLKNGIALNLVGMGAAVVGLQATVGWLSAKALTTSTVPYYQGISPGSSPVLALDVILVQASANTILSHFLGLLFSLELLRSVTLPPPSESIPVPVPKLASKPVLASMGSLCVPTQPLNYPLARRDDSVVDKYHGVVIPDPYQWLENPDSEETKEFVRKQSELTDSVLKSCETRDKLREKLTQLFDFPKYDVPFRAGDNNYFYFHNTGLQPQRVLYVQDCLDAKAEVLLDPNRLSEDGSVALKIWGVSQDAKYLAYGISSRGSDWVTVRVMTVHDKAVQPDILSWVKFSDVSWTKDSKGFFYSRYPAPKDGDNLDAGTETNVNLHHQLYYHFLGTDQSEDILCWKDSDNPKHMISASVTEDGKYALLYIFENCDPVNKVHVCDLSALPNGLQGYRGTDELLPFNKLIDHFEASYGFVANDETVFTFRTNKNAPKYKLVRVDVKEPGSWCDVIQEHEKDVLESAVAVNNNQLVVNYLSDVKSVLQLRDLRTGGILKHNLPIDIGTVNGISARRKDSIVFIGFTNFLIPLIIYQCNLQNKVPEMKIFREITVPGFDRASFNVNQVFVDSKDGVKIPMFIVGGKDISLDGSNPCLVFGYGGFSVSVTPYFSMARLVIAKHLGVIFCLANIRGGGEYGEEWHKAGALAKKQNCFDDFISASEYLIAAGFTQPSKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDFGCSDNEEEFHWLIKYSPLHNVRRPWEQTQACQAFQYPSTMLLTADHDDRVVPLHTLKYLATMQHVLCTSAHNSPQTNPIVGRIERNAGHGCGRPTQKLIDEAADRYAFMAKVLGAHWIE >itb11g08810.t2 pep chromosome:ASM357664v1:11:5764895:5774238:1 gene:itb11g08810 transcript:itb11g08810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTFLDYALFQLSPKRTRCELFVTTDGRTEKLASGLVKPFVTHLKVAEEQVASAVHSIKLDLGRHRNAQSWFTKGTLERFVRFVSTPEVIELVNTYDAEMAQLESARKIYSQGMGDQLSGSDGGMSSATAAADATKKELLRAIDVRLAAVQQDLMTAFCRATAAGFNLDTVSKLQMFSDQFGAHRLNDACSKFISLYKKRPDLVNQWKAVGANEHAVRSSYGSDMSLDEDPTTPEQQCTVLRPISQDLDAMHQQCSHHLEESSSSINEQLKPSSVGFRLRQSRESSVEPEEKSIEKNDETSSDQPEPTKTSRHIRRLSVQDRINMFENKQKENSGSGAKPIVGKSVELRRLSSDVSVAPSVVEKAVLRRWSGASDMSIDLSGEKKDGESPLCNSSSAYASKSKSEDQVRSGKMELQSVTDRVSDIRLKEHEDLKLHDQHANEREELKQLTASYKKAEDSEFYNSRSNSSTVESDGRKDNVGEKTKSNRSENSLKDRTESKGLFGSFPRDKTIKVDVAIQGNSMDCQGSDETIEAKKRAVHESEIASLKEKNSLPGQFGASASQANYIRSADNAHNHPVQIVHHNHEETQSSDHLVPKSRFKAPLKTKGDFGPLESGSISRASLTPQGMGVEGGSSYSQSRSQPLMEIEKVEKEKVDSSEKIDDSLGSRVDNSGFPVMKFKKQGVGADVNKNSKVCIDNKLIVSAGKVADAQEGSASFLTSPAQQVQRARQPKGNQELNDELKLKANELEKLFAEHKQRAPGDHSNPARRSRPAEIPTQQQASSLYRSNVAVNASFQFPDKYTLTEADGSSNSMVKSDSTVMQTGDNKGSTDVLNKNFSELSTSEGSRGKLYETYMHIRDAKLREEWNSKRDEKEAKLKAMQDSLEKTRAKMKAKFSGSSYKDDSISTARRRAERLKSYDSRSILRREKQHLDFDQHDDEDKTKFPEQKQYGEGRYSNETSDAFFEDGVSTSSQVKKLLLAKGSSSSTPRTSAAPASRSAVKATNSNAGRRRFQSENPLAQSVPNFSDMKKENTKLSSAVSKTARSQLKSYARSKNTSEEIPIKEEKSSRSQPLRKSTANLGEFSETSVLNSEDAFTLKNEEVLDKVRKNVDSRPFHKRGKSTDVSIRASVPKQISSLGSERMNSDEEYNDAVFVSDNKMNVVKDREEMFENVITEHSTNLDNGESRLSQESGKSADFESENGSHVRTFSHFNPSLPSELPAIIPSRLDSGEHVQDTPGESPMSWNSRAHHPFSYSHEMSDVDASVDSPLGSPASWNSHSLSQTEADAARMRKKWGTAQKPMLVATSSQNQSRKDMTRGFKRLLKFGRKNRGTESMVDWISATTSEGDDDTEDGRDPANRLSDDLRKSRMSFLQGHPSDDNFNEHEFFAEQVQSLHGSIPAPPANFKLRDDHLSGSSLKAPRSFFSLSTFRNKGTDSKLR >itb11g08810.t3 pep chromosome:ASM357664v1:11:5764895:5773731:1 gene:itb11g08810 transcript:itb11g08810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTFLDYALFQLSPKRTRCELFVTTDGRTEKLASGLVKPFVTHLKVAEEQVASAVHSIKLDLGRHRNAQSWFTKGTLERFVRFVSTPEVIELVNTYDAEMAQLESARKIYSQGMGDQLSGSDGGMSSATAAADATKKELLRAIDVRLAAVQQDLMTAFCRATAAGFNLDTVSKLQMFSDQFGAHRLNDACSKFISLYKKRPDLVNQWKAVGANEHAVRSSYGSDMSLDEDPTTPEQQCTVLRPISQDLDAMHQQCSHHLEESSSSINEQLKPSSVGFRLRQSRESSVEPEEKSIEKNDETSSDQPEPTKTSRHIRRLSVQDRINMFENKQKENSGSGAKPIVGKSVELRRLSSDVSVAPSVVEKAVLRRWSGASDMSIDLSGEKKDGESPLCNSSSAYASKSKSEDQVRSGKMELQSVTDRVSDIRLKEHEDLKLHDQHANEREELKQLTASYKKAEDSEFYNSRSNSSTVESDGRKDNVGEKTKSNRSENSLKDRTESKGLFGSFPRDKTIKVDVAIQGNSMDCQGSDETIEAKKRAVHESEIASLKEKNSLPGQFGASASQANYIRSADNAHNHPVQIVHHNHEETQSSDHLVPKSRFKAPLKTKGDFGPLESGSISRASLTPQGMGVEGGSSYSQSRSQPLMEIEKVEKEKVDSSEKIDDSLGSRVDNSGFPVMKFKKQGVGADVNKNSKVCIDNKLIVSAGKVADAQEGSASFLTSPAQQVQRARQPKGNQELNDELKLKANELEKLFAEHKQRAPGDHSNPARRSRPAEIPTQQQASSLYRSNVAVNASFQFPDKYTLTEADGSSNSMVKSDSTVMQTGDNKGSTDVLNKNFSELSTSEGSRGKLYETYMHIRDAKLREEWNSKRDEKEAKLKAMQDSLEKTRAKMKAKFSGSSYKDDSISTARRRAERLKSYDSRSILRREKQHLDFDQHDDEDKTKFPEQKQYGEGRYSNETSDAFFEDGVSTSSQVKKLLLAKGSSSSTPRTSAAPASRSAVKATNSNAGRRRFQSENPLAQSVPNFSDMKKENTKLSSAVSKTARSQLKSYARSKNTSEEIPIKEEKSSRSQPLRKSTANLGEFSETSVLNSEDAFTLKNEEVLDKVRKNVDSRPFHKRGKSTDVSIRASVPKQISSLGSERMNSDEEYNDAVFVSDNKMNVVKDREEMFENVITEHSTNLDNGESRLSQESGKSADFESENGSHVRTFSHFNPSLPSELPAIIPSRLDSGEHVQDTPGESPMSWNSRAHHPFSYSHEMSDVDASVDSPLGSPASWNSHSLSQTEADAARMRKKWGTAQKPMLVATSSQNQSRKDMTRGFKRLLKFGRKNRGTESMVDWISATTSEGDDDTEDGRDPANRLSDDLRKSRMSFLQGHPSDDNFNEHEFFAEQVQSLHGSIPAPPANFKLRDDHLSGSSLKGDHL >itb11g08810.t1 pep chromosome:ASM357664v1:11:5764895:5774238:1 gene:itb11g08810 transcript:itb11g08810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTFLDYALFQLSPKRTRCELFVTTDGRTEKLASGLVKPFVTHLKVAEEQVASAVHSIKLDLGRHRNAQSWFTKGTLERFVRFVSTPEVIELVNTYDAEMAQLESARKIYSQGMGDQLSGSDGGMSSATAAADATKKELLRAIDVRLAAVQQDLMTAFCRATAAGFNLDTVSKLQMFSDQFGAHRLNDACSKFISLYKKRPDLVNQWKAVGANEHAVRSSYGSDMSLDEDPTTPEQQCTVLRPISQDLDAMHQQCSHHLEESSSSINEQLKPSSVGFRLRQSRESSVEPEEKSIEKNDETSSDQPEPTKTSRHIRRLSVQDRINMFENKQKENSGSGAKPIVGKSVELRRLSSDVSVAPSVVEKAVLRRWSGASDMSIDLSGEKKDGESPLCNSSSAYASKSKSEDQVRSGKMELQSVTDRVSDIRLKEHEDLKLHDQHANEREELKQLTASYKKAEDSEFYNSRSNSSTVESDGRKDNVGEKTKSNRSENSLKDRTESKGLFGSFPRDKTIKVDVAIQGNSMDCQGSDETIEAKKRAVHESEIASLKEKNSLPGQFGASASQANYIRSADNAHNHPVQIVHHNHEETQSSDHLVPKSRFKAPLKTKGDFGPLESGSISRASLTPQGMGVEGGSSYSQSRSQPLMEIEKVEKEKVDSSEKIDDSLGSRVDNSGFPVMKFKKQGVGADVNKNSKVCIDNKLIVSAGKVADAQEGSASFLTSPAQQVQRARQPKGNQELNDELKLKANELEKLFAEHKQRAPGDHSNPARRSRPAEIPTQQQASSLYRSNVAVNASFQFPDKYTLTEADGSSNSMVKSDSTVMQTGDNKGSTDVLNKNFSELSTSEGSRGKLYETYMHIRDAKLREEWNSKRDEKEAKLKAMQDSLEKTRAKMKAKFSGSSYKDDSISTARRRAERLKSYDSRSILRREKQHLDFDQHDDEDKTKFPEQKQYGEGRYSNETSDAFFEDGVSTSSQVKKLLLAKGSSSSTPRTSAAPASRSAVKATNSNAGRRRFQSENPLAQSVPNFSDMKKENTKLSSAVSKTARSQLKSYARSKNTSEEIPIKEEKSSRSQPLRKSTANLGEFSETSVLNSEDAFTLKNEEVLDKVRKNVDSRPFHKRGKSTDVSIRASVPKQISSLGSERMNSDEEYNDAVFVSDNKMNVVKDREEMFENVITEHSTNLDNGESRLSQESGKSADFESENGSHVRTFSHFNPSLPSELPAIIPSRLDSGEHVQDTPGESPMSWNSRAHHPFSYSHEMSDVDASVDSPLGSPASWNSHSLSQTEADAARMRKKWGTAQKPMLVATSSQNQSRKDMTRGFKRLLKFGRKNRGTESMVDWISATTSEGDDDTEDGRDPANRLSDDLRKSRMSFLQGHPSDDNFNEHEFFAEQVQSLHGSIPAPPANFKLRDDHLSGSSLKAPRSFFSLSTFRNKGTDSKLR >itb11g08810.t4 pep chromosome:ASM357664v1:11:5765693:5774233:1 gene:itb11g08810 transcript:itb11g08810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTFLDYALFQLSPKRTRCELFVTTDGRTEKLASGLVKPFVTHLKVAEEQVASAVHSIKLDLGRHRNAQSWFTKGTLERFVRFVSTPEVIELVNTYDAEMAQLESARKIYSQGMGDQLSGSDGGMSSATAAADATKKELLRAIDVRLAAVQQDLMTAFCRATAAGFNLDTVSKLQMFSDQFGAHRLNDACSKFISLYKKRPDLVNQWKAVGANEHAVRSSYGSDMSLDEDPTTPEQQCTVLRPISQDLDAMHQQCSHHLEESSSSINEQLKPSSVGFRLRQSRESSVEPEEKSIEKNDETSSDQPEPTKTSRHIRRLSVQDRINMFENKQKENSGSGAKPIVGKSVELRRLSSDVSVAPSVVEKAVLRRWSGASDMSIDLSGEKKDGESPLCNSSSAYASKSKSEDQVRSGKMELQSVTDRVSDIRLKEHEDLKLHDQHANEREELKQLTASYKKAEDSEFYNSRSNSSTVESDGRKDNVGEKTKSNRSENSLKDRTESKGLFGSFPRDKTIKVDVAIQGNSMDCQGSDETIEAKKRAVHESEIASLKEKNSLPGQFGASASQANYIRSADNAHNHPVQIVHHNHEETQSSDHLVPKSRFKAPLKTKGDFGPLESGSISRASLTPQGMGVEGGSSYSQSRSQPLMEIEKVEKEKVDSSEKIDDSLGSRVDNSGFPVMKFKKQGVGADVNKNSKVCIDNKLIVSAGKVADAQEGSASFLTSPAQQVQRARQPKGNQELNDELKLKANELEKLFAEHKQRAPGDHSNPARRSRPAEIPTQQQASSLYRSNVAVNASFQFPDKYTLTEADGSSNSMVKSDSTVMQTGDNKGSTDVLNKNFSELSTSEGSRGKLYETYMHIRDAKLREEWNSKRDEKEAKLKAMQDSLEKTRAKMKAKFSGSSYKDDSISTARRRAERLKSYDSRSILRREKQHLDFDQHDDEDKTKFPEQKQYGEGRYSNETSDAFFEDGVSTSSQVKKLLLAKGSSSSTPRTSAAPASRSAVKATNSNAGRRRFQSENPLAQSVPNFSDMKKENTKLSSAVSKTARSQLKSYARSKNTSEEIPIKEEKSSRSQPLRKSTANLGEFSETSVLNSEDAFTLKNEEVLDKVRKNVDSRPFHKRGKSTDVSIRASVPKQISSLGSERMNSDEEYNDAVFVSDNKMNVVKDREEMFENVITEHSTNLDNGESRLSQESGKSADFESENGSHVRTFSHFNPSLPSELPAIIPSRLDSGEHVQDTPGESPMSWNSRAHHPFSYSHEMSDVDASVDSPLGSPASWNSHSLSQTEADAARMRKKWGTAQKPMLVATSSQNQSRKDMTRGFKRLLKFGRKNRGTESMVDWISATTSEGDDDTEDGRDPANRLSDDLRKSRMSFLQGHPSDDNFNEHEFFAEQVQSLHGSIPAPPANFKLRDDHLSGSSLKAPRSFFSLSTFRNKGTDSKLR >itb05g01480.t1 pep chromosome:ASM357664v1:5:1243831:1246481:-1 gene:itb05g01480 transcript:itb05g01480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSNGDRKRKAPEEGREMTHSPSSPPPPGFPIPRFRREGAGAGTPPPLNLQLRLGQPGSPSQLPPPPPPRFPTPLPLNPQLHLYQPGASSSLQSVTPHAPLDLKYYYNEAMSELLDEMRKRKQAWEMQKKAMEAMIANYRTQALIMAERVKALEKTNAALHVALHLQPTPPAGGGDGAASGEVVVVKFSCKMCWRESATMMAWPCRHLCLCKNCAETTTKCCPICHATFQDCIAVTNDRLLDRTATTAAKDTEPYCNMTAFPRLSSWRERPPPPARTKSTHYCDRQEEKLYS >itb05g12110.t1 pep chromosome:ASM357664v1:5:18536568:18539295:-1 gene:itb05g12110 transcript:itb05g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVAVNSLVRTIELEFLQPLPRPIVRQKQLIPANEKLIQNLHQKLGDLIELFDENRMDGIQAIKHLETKLRDVAFRVEDEIEFQIVHLYEIEEKEELLAGIAGLFVEIPESSIDAVEEEDLEKPQAGEESDAQRSWHSFKLGCILEEAIRDIDGIHKELSKVKMECVAAALQGIGIGRKTTTVLAAAAASTTSSDGYSSHPLQGSSIMVGKNNEFQIVKAMLIQNSSRQREIVSIKGMGGIGKTTLARRVYEDPSVASRFDIRAWVVVSQYHNKLQMLTDLLKSTGCGGSGTQEGQLAQQLYQNLMHQRYFVVIDDIWSVEAWDSVKACFPDNGNGSRVLLTTRSAEVATIIGSNNDFSHQMQLLEEGESWNLFHEKTRKYPGSEFDIIGRQIVEKCKGLPLAIVVAAGLFSKLHTLAEWKNVAEALNSSAKTTTIDEECSRILSSSYNHLPHSLKACFLYLSVFPEDVEIHAKNVVKLWVAEGLVKASDDMSFDVVARRHIEELKDRNLILESETSSCGRKVKTFRMHDLLHSFCVREAKKENLLHVVYKNESNSPQKDFRWVSIQSEWFNQQTLYSSLINCRSILVFFQKYRSPNSKICPLLRVLYNTTELEIAYLFHLRCVLSRVAALTILDPFHSWNLQSLSVDRIDEMSILEFPQLQHLKIRSIPMDFPICFHQNLKSIGLLRVDHCTKEFFTSIPYAEKVEIDCEGGWNDCMNNIAYLHQLQSLFLLGCGFRILIPNNNIVSLKSLIQLRIFSMRFKGKTINIFSKLPKLEVLRLIMCEWIGREWELLENESFDQLISLSISFSKLEHWEASACHFPNLERLVLEWCEELEKIPGGFAEIPNLKSIELKECRHSAVDSAKEIQREQHEQGNDNMVVIAKHTKEVHLSPYPFAVAFFISLSS >itb09g15990.t1 pep chromosome:ASM357664v1:9:11296344:11298423:1 gene:itb09g15990 transcript:itb09g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVSLYGKSISWLMMLILANDVEAVNDVNNMPIHELKRVILKLQDEIKHLRRDLELQYGEIKKMKRMMEDVVSLYGKSISWLMMLILANDVEAVNDVNNMPIHELKRVILKLQDEIKHLRRDLELQYGEIKKMKRMLLDGSVH >itb06g17070.t1 pep chromosome:ASM357664v1:6:21020305:21024181:-1 gene:itb06g17070 transcript:itb06g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MIRRKGHSIISELTPSSYTLMRTHFLSRLRCFSHRSAARSSIAGNVDFLAPFHSARPECENGPEPRSEGLRRLQGDSHSRLVGGRWLSAPSFAFRGLYGGRKVLSPAMIPLNINHQLFLSHCFLTRAKKQITAIEVDDHGQRAVTTALWCNFLVFSLKFGVWFATSSHVMLAEMVHSIADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGVQNLWTAQPPGNIGYASLVIGGSFIIEGASLIVAIQAVRKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLYAVNKTGNPIYDPIGSIIVGNLLGMVAVFLIQRNRHALIGRAIDDHDMERVLQFLKNDPVVDSVYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERAGRQEWARQFREAAKEKDDAALLILMSNYGEEVVTALGSEVDRLEKEIQEIVPGIKHVDIEAHNPI >itb07g07170.t1 pep chromosome:ASM357664v1:7:5466569:5469432:1 gene:itb07g07170 transcript:itb07g07170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLLLLFTATLLLSSYGYGYGASESVSSAVDLTAVDFDAVLRDTPATHAIVQFYAHWCPACRNYKPQYEKVARLFNGVDAIHPGILLLTRIDCALKVNIDLCDRFSVNHYPMLIWGKSSEFVNGSWSLHQGIGEMRPIDNGRTGDRLLNWINIHLNSTYGFDDEKKEESVLLTKVPNLRQVQIS >itb02g10740.t2 pep chromosome:ASM357664v1:2:6977172:6979125:-1 gene:itb02g10740 transcript:itb02g10740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVPYQYIVSEGKRSGNLVKLESEVGFRNGYIPEWMLIEILCRLPAKCVFRYKSVSKQLLSLISDPSFVRFYVSRFRENPWTLLSSTLHVDPSGNSNFPKHMLLSAAFSGDENCPTLSAISFPGSPEVSAGQSYNVVAVSDGFVLYAQRVYLECGVTTLTNYTVYSPTTGQCVALPPPSRRFMLSTAGFVTRVEGGALRSFKVVRLGCCLSRCHALELEVFCSESGAWNDAVVRTDHEIEIAWRRTPASFNGNLHWVDRRLGIVAYDPYHAPDRCRIIAFPGGIDEQCNNSIYNGIPSLCVGHQGNLWYLEVLVTSEDDFQFSGFCSWVLGDYEDSNSWSLYQKTKINDISFDENLDIDVLVGFIPVPIAFHPFNPDMIYLGWGDILVSYNMKTRKLEAVDIPNGETLRNGGLKGEPCWWQTSLFVLPTWPTSIAYDPKSEVIY >itb02g10740.t1 pep chromosome:ASM357664v1:2:6977148:6979159:-1 gene:itb02g10740 transcript:itb02g10740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSMEISRKFDVLDVGKVVSEGKRSGNLVKLESEVGFRNGYIPEWMLIEILCRLPAKCVFRYKSVSKQLLSLISDPSFVRFYVSRFRENPWTLLSSTLHVDPSGNSNFPKHMLLSAAFSGDENCPTLSAISFPGSPEVSAGQSYNVVAVSDGFVLYAQRVYLECGVTTLTNYTVYSPTTGQCVALPPPSRRFMLSTAGFVTRVEGGALRSFKVVRLGCCLSRCHALELEVFCSESGAWNDAVVRTDHEIEIAWRRTPASFNGNLHWVDRRLGIVAYDPYHAPDRCRIIAFPGGIDEQCNNSIYNGIPSLCVGHQGNLWYLEVLVTSEDDFQFSGFCSWVLGDYEDSNSWSLYQKTKINDISFDENLDIDVLVGFIPVPIAFHPFNPDMIYLGWGDILVSYNMKTRKLEAVDIPNGETLRNGGLKGEPCWWQTSLFVLPTWPTSIAYDPKSEVIY >itb01g13290.t1 pep chromosome:ASM357664v1:1:13318961:13321150:1 gene:itb01g13290 transcript:itb01g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKDVDRKSQVAREVESLLTKKLTTIVFLLDETFDGITFNMVAVEILVELDVLRARPMGDFSWVFLACVDEREDGMGKEREGLSSFAKF >itb05g18030.t1 pep chromosome:ASM357664v1:5:24862579:24862989:1 gene:itb05g18030 transcript:itb05g18030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPVPFLIPRKVEHEVNLCGYTISKGSQVLVNVWAIGRDPKIWEKPLVFKLERFQNLEMNIRGQDFKLIPFGEGRRICPGLPLATKTIPVMLGSLLNSFQWKLEGNIAPKDLDMKEKFGFSIAKDCPLKAISITI >itb01g00310.t2 pep chromosome:ASM357664v1:1:159393:162128:1 gene:itb01g00310 transcript:itb01g00310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQYAELPQVKPLVTLSGTAKEGAIGPTLGLIDIGESEYAYLFQVSLPGVRDKGNLKCEIQRDGKVQIEGVVSESQLLKNASEVYQVNVQELCPPGPFTVSFSLPGAVDPRLCSLTFRQDGILEVVVLKFGLPRVSAEGLSENWSNGWFQPS >itb01g00310.t1 pep chromosome:ASM357664v1:1:159355:162215:1 gene:itb01g00310 transcript:itb01g00310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQYAELPQVKPLVTLSGTAKEGAIGPTLGLIDIGESEYAYLFQVSLPGVRDKGNLKCEIQRDGKVQIEGVVSESQLLKNASEVYQVNVQELCPPGPFTVSFSLPGAVDPRLCSLTFRQDGILEVVVLKFGLPRVSAEVKNAIE >itb01g00310.t3 pep chromosome:ASM357664v1:1:159393:162128:1 gene:itb01g00310 transcript:itb01g00310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHQYAELPQVKPLVTLSGTAKEGAIGPTLGLIDIGESEYAYLFQVSLPGVRDKGNLKCEIQRDGKVQIEGVVSESQLLKNASEVYQVNVQELCPPGPFTVSFSLPGAVDPRLCSLTFRQDGILEVVVLKFGLPRVSAEGLSENWSNGWFQPS >itb03g08200.t1 pep chromosome:ASM357664v1:3:6159064:6160928:-1 gene:itb03g08200 transcript:itb03g08200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATGVNVRLERWPKISVHQFTTTTIQSALEASSMVMSASRVHLHLLRKPFTCSSRSFGDHELTQNLDNLQFIWGKKSLSDLSTWGIGGRCKIFVQVFNQTQLLSAVRYCRERSERFLIVGKGSNCLFDDQGFDGCVILNRIDFLEKVEPGVYRVGSGYPFNRLGVQTASEGFTGLEFAGGVPGTVGGAAYMNAGANTQEAGDCIHTVEIITTGGQTRVLGKPELDFGYRSSPFQGMKDLASITAVTFKLKFSQDAKQKQMEYLERRKRSQPLGERTAGSVFRNPSDLDVSAGELIERAGLKGFRIGEAMVSERHANFFINRGGATSHNMLELIALVKEMVHQKFGVQLREEVLYIQ >itb12g24570.t1 pep chromosome:ASM357664v1:12:26050405:26054470:1 gene:itb12g24570 transcript:itb12g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MALLSNSESDELSANVSSSPPKSVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLEMLQSPTFRNAMAHPANKELAHRQQFYFWKNYRNNRLKHILPRPLPEPASAPPTSAPPVPLPSTAAAATAGPGPVHPPATASSLSPMQYAIPTGSSIAKNDPRNPAVDRRKRKKDG >itb01g08180.t2 pep chromosome:ASM357664v1:1:6561424:6573128:1 gene:itb01g08180 transcript:itb01g08180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MANFLAQFQSIKHTFDRIVIAVEDVSDLWPLVRKEFEVRVPFKRALLNNKTRNAVLVDEFQAEYVLTTDSRLRSRFPQEQSLFWFREPYATLVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAPAHNDQATKMAKKVYAKLEVDFSSKKRERCCKLDLHGTDPNFWEDLESKIMECIRNTLDKRIQFYEEEIRKLSEQRFMPVWNFCNFFILKESLAFMFEIAHLHEDALREYDELELCYLETVNMIRKRRDFGGAERGDDQAALLNPADKALMQIVQDDSFREFEFRQYLFACQAKLLFKLNRPFEVASRGYSFIISFSKSLALHERTLPFCMREVWVITGCLGLINATTSKYKDGLVAPDTEKEFYRLKGDLYSLCRTKFMRLAYLIGYGAHIERSPVNSASLSMLPWPKPAVWPSLPPDASSKVLAKEKMILQDSSRPKHFGIQKKPLPLEPSVLLREANRRRASLSAGNVFEMFDGHPSMTDGSGSISPPSKVPVVISMSRTHSSPGFESSISRPLRLSEIFVAAEHALQNTISDKELWKSLSSPEEFEQKYLELSKGAAENYHRSWWKRHGVVLDGEIASVYHKLGNFDLAAKLYEKVCALYAGEGWQNLLAEVLPNLAECQKILNDQAGYLASCVRLLSLDNGLFLTKERQAFQSEVVHLAHSEMEHPVPLDVSSLITFSGNPGPPLELCDGDPGSLSVTIWSGFPDDIALESLSLTLTATNITDDGVKAIKRSGATILNPGRNTITVSLPPQKPGSYVLSVLTGQIGQLRFRSHSFSKGGPADTDDFMSYEKPTRPILKVFKPRSLVDLVPAISSALLINEPQWVGIIVKPMSYSLKGAVLHIDTGPGLIIQQSHGIEIEKHTDGSHNESESGGPEGFEGDGTQVTAEVKQLSLQDGKIELPDWASNATSVLWIPVRAASDGLPRGSPAGERISQRQSLVDGMRTVALKLEFGVTRNQIFERTMAVHFTDPFSVSIRVTDKCNDGTLLLQVILQSQVQASLTIHDASLDLQDGFSHTGSSDGRPISGFFPLTVSPKSRSSILFCICLKNTLEKADEANKQLSESILNIKYGILGDRMVGAHSPVAEERSIPDDTTPELMFRSSLVLQKPVLDPCFAVGFLPLSSGLRVGQLVTMKWRVERLKTLDEHTASEGNDEVLYEVNANSDNWMIAGRKRGHMPLSIKQGSRIVISVLCLPLVSGYVRPPQLGLPNVNWSNISCNPPSPHLVCVMPPTLSSSFCKPA >itb01g08180.t1 pep chromosome:ASM357664v1:1:6561389:6573128:1 gene:itb01g08180 transcript:itb01g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MANFLAQFQSIKHTFDRIVIAVEDVSDLWPLVRKEFEVRVPFKRALLNNKTRNAVLVDEFQAEYVLTTDSRLRSRFPQEQSLFWFREPYATLVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAPAHNDQATKMAKKVYAKLEVDFSSKKRERCCKLDLHGTDPNFWEDLESKIMECIRNTLDKRIQFYEEEIRKLSEQRFMPVWNFCNFFILKESLAFMFEIAHLHEDALREYDELELCYLETVNMIRKRRDFGGAERGDDQAALLNPADKALMQIVQDDSFREFEFRQYLFACQAKLLFKLNRPFEVASRGYSFIISFSKSLALHERTLPFCMREVWVITGCLGLINATTSKYKDGLVAPDTEKEFYRLKGDLYSLCRTKFMRLAYLIGYGAHIERSPVNSASLSMLPWPKPAVWPSLPPDASSKVLAKEKMILQDSSRPKHFGIQKKPLPLEPSVLLREANRRRASLSAGNVFEMFDGHPSMTDGSGSISPPSKVPVVISMSRTHSSPGFESSISRPLRLSEIFVAAEHALQNTISDKELWKSLSSPEEFEQKYLELSKGAAENYHRSWWKRHGVVLDGEIASVYHKLGNFDLAAKLYEKVCALYAGEGWQNLLAEVLPNLAECQKILNDQAGYLASCVRLLSLDNGLFLTKERQAFQSEVVHLAHSEMEHPVPLDVSSLITFSGNPGPPLELCDGDPGSLSVTIWSGFPDDIALESLSLTLTATNITDDGVKAIKRSGATILNPGRNTITVSLPPQKPGSYVLSVLTGQIGQLRFRSHSFSKGGPADTDDFMSYEKPTRPILKVFKPRSLVDLVPAISSALLINEPQWVGIIVKPMSYSLKGAVLHIDTGPGLIIQQSHGIEIEKHTDGSHNESESGGPEGFEGDGTQVTAEVKQLSLQDGKIELPDWASNATSVLWIPVRAASDGLPRGSPAGERISQRQSLVDGMRTVALKLEFGVTRNQIFERTMAVHFTDPFSVSIRVTDKCNDGTLLLQVILQSQVQASLTIHDASLDLQDGFSHTGSSDGRPISGFFPLTVSPKSRSSILFCICLKNTLEKDEANKQLSESILNIKYGILGDRMVGAHSPVAEERSIPDDTTPELMFRSSLVLQKPVLDPCFAVGFLPLSSGLRVGQLVTMKWRVERLKTLDEHTASEGNDEVLYEVNANSDNWMIAGRKRGHMPLSIKQGSRIVISVLCLPLVSGYVRPPQLGLPNVNWSNISCNPPSPHLVCVMPPTLSSSFCKPA >itb04g06810.t1 pep chromosome:ASM357664v1:4:4501882:4504130:-1 gene:itb04g06810 transcript:itb04g06810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKRRGFLIETLLAAENEARQIINAAKAGKMDKLKQARNEAEKEIAKYQAKMDLDFQRKVAKGSGDLDANMRLLEQKTQAKISALTAEADLKSHGLANMLVKGVIYVRV >itb08g09820.t2 pep chromosome:ASM357664v1:8:9181802:9187740:1 gene:itb08g09820 transcript:itb08g09820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRAPPFSQYLSKPPSTSSIDFKRRTIAKISSCDQVSRNFNWFVSSSKPQSYELSPTHSLCSSKKAESIFTAAFCGQIVSHISQLRRFSSSPKGPSSSGDSMPIVSSEDSLDAGSSIRKPTNLWPGMYYSPVTNALWEARSSVFEALSNATVDAPPQSELMTKTPGMSRTSVSYKFSTDYALREQYRNPWNMMRMGRLVEDLDALAGTISYKHCSDNDIATRPLLLVTASVDKMVLKKPIRIDLDLKIEGAVTWVGRSSMEIQLKVIQPSPDTSDSLALTANFTFVARDSVTGKSAPVNQISPETEDEKLLWSEAEERNKMRKKSSRGEQKKKVDIDEVNRLNELLAEGRVFCDMPALADRDSILIQDTCLQNSLICQPQQRNIHGRIFGGFLMRRAFELAYATAYAFAGSAPCFKEVDHIDFLKPVDVGNFLRFKSCVLYTELENPSQPFINVEVVAHVTRPELRSSEVSTIICWLTPVTNLLA >itb08g09820.t1 pep chromosome:ASM357664v1:8:9181797:9187740:1 gene:itb08g09820 transcript:itb08g09820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRRAPPFSQYLSKPPSTSSIDFKVRIYESVSSLHTLVSHFLIQESTQTLPSPNKLTNAHIFNPSKQRRTIAKISSCDQVSRNFNWFVSSSKPQSYELSPTHSLCSSKKAESIFTAAFCGQIVSHISQLRRFSSSPKGPSSSGDSMPIVSSEDSLDAGSSIRKPTNLWPGMYYSPVTNALWEARSSVFEALSNATVDAPPQSELMTKTPGMSRTSVSYKFSTDYALREQYRNPWNMMRMGRLVEDLDALAGTISYKHCSDNDIATRPLLLVTASVDKMVLKKPIRIDLDLKIEGAVTWVGRSSMEIQLKVIQPSPDTSDSLALTANFTFVARDSVTGKSAPVNQISPETEDEKLLWSEAEERNKMRKKSSRGEQKKKVDIDEVNRLNELLAEGRVFCDMPALADRDSILIQDTCLQNSLICQPQQRNIHGRIFGGFLMRRAFELAYATAYAFAGSAPCFKEVDHIDFLKPVDVGNFLRFKSCVLYTELENPSQPFINVEVVAHVTRPELRSSEVSTIICWLTPVTNLLA >itb09g26230.t1 pep chromosome:ASM357664v1:9:26646409:26659606:1 gene:itb09g26230 transcript:itb09g26230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQKTVEFSTLVSRAAEESYVSLKELVEKSRSADLPDSDKKRGILQYLVKTQQRMLRLNVLAKWCQQVPLIQYCQQLASTLSSHDTCFTQAADSLFFMHEGLQQARAPIYDVPSAIEILLTGSYLRLPKCIEDVGMQSTLNDDQQKSALKKLDALVRSKLLEVSLPKEITEVKVSDGTVLLRVDGEFKVLVTLGYRGHLSMWRILHLELLVGERSGMMKLEESRRHALGDDLERRMTTAEHPFMTLYSILHELCVALVMDTVIRQVQTLRQGRWKDAIRFELISDGTVGQGGSAGSMQMNQDGETDFTGLRTPGLKILYWLELDKSSSTSDMGSCPYIKIEPGPDLQIKCLHTSFVIDPMTGKEAEFFLDQSCIDVEKLLLRAICCNRYTRLLEIFKELEKNTRVCRAPGDVQLKCQGETQAEYKMTDNKLDLGKDEGQEVLLVRAYGSSFFTLGINIRIGRFILQSSKNIISSSTLIEHEDALNQGTMTSADAFISLRSKSLLHLFACVGRFLGLEVFEHGLASLKLPKNIASGSNLLLMRFPECGSSYYLLMQLDKDFKPLFKLLEIQSDSSGKAQSFGDLTNFVRSKSVDVGQMQIYEDVLNLSLLDYRKLLSSLRDNTTQQIESSNLNKFSLEGSMSISCVSSNFSSIVDEVFELEKGSSLPSMTGANPPYRFVSSPAPHFVPGSTNLHSSKAGTSSPKWEGGVQMLQNNTSSSYNVSIYKGIVQSGSTSSLSPFPGRSQTMKKLTASRSEQDLVSLRSPHSVGIESYTSKDEDQLPRSAQLLSPPNRNSLPTPASIGTSNAPKSSPGGTVSACLRASGSNSLVTSPLSQVTSATCTNSSHDSASRQNKMPRKRTVSDLLNSLPSLQGRESSEGSYKRRKVVESASIHHLQSQMLVSLEISGKTDGYSYADLLNETHKGNAPSTVYVSALLHVIRHCSLCIKHARLTSQMDALEIPYVEEVGLRSASSKLWFRLPFARDDTWQHICLRLGRPGSMYWDVKIIDQHFQDLWELQKGGSSTPWGSGVRIANTSDADSHIRYDSEGVVLSYHSVEADSIRKLVADIQRLSNARAFALGMRKLLGARTDEKLEESSPNVDSKVQSGLKSAGDSVDRISDQMRRAFRIEAVGLMSLWFSFGQGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFIDGAEVSSLLDCIRLTAGPLHALAGATRPARAAPVSGVTGIVAPAPISKQAGYLPSQGHLPNISNANVNQPSSGPGGNPGAPTTSVPLGAPNHPTAAMLAAAASAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPKGGSTVGGSLPCPQFRPFIMEHVAQELNGIDSNFSGGQQAVGLANSNSSNLNTGPQHSAVNANRPNLTNSAVMARQGNTVSALNRVGNALPASSNLFGMNPGMPLRRPPGSGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILRSILKDNEGSLLNLDEEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKKFHHSQQQQQQQQNPAAAQEELTQSEIGEICDYFCRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLAQVQGADVVPAQKSRIELCLENHTGCNVDGSSEGTNASKSNIHYDRPHNCVDFALTVVLDPTHIPHINAAGGAAWLPYCVSVRLKYAFGENPSVSFLRMEGSHGGRACWLRADEWERCKQRVARTVEVNSSAGGDLNQGRLRHVADSVQKTLHACLQGLREGSSAGPLGTIGS >itb13g05500.t1 pep chromosome:ASM357664v1:13:6587947:6594388:-1 gene:itb13g05500 transcript:itb13g05500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDAVRVRDLEIEIRDFALKAEDHIEIQLSNFLLAQHTGIRPKKKMKIPGQPSDDYMCFRATYELLHQTLGEAATNATDLLKILTREKESCVSEKEMASVALINLIPKLRYHFLQPFPTVYLGDEAESFLWKLNSLQRNSNGGAAAIKIRNFALETESDIEIQLSDFLLAKHTQHEGEVSQRLRQTLREAAKIAAEFLNIIFKEEQHERERETTFAALTSLMETIDDDKAGIKSTLLENLSSLQLFLRQQLSGGCATTKDKDLETEIRNFALKAKHDIEIQLNNFSQEKDSRGLHQTLQEATSKATKLLNILTKQEENGRELASDALNTFMTKFYYDFLHPNPIVPLDNRAAMTSFFQNLSSLQDHLESSGAATINDLETEIRSFVLTAGDDIETQVKNLVQAKDDTDYQQKTSQLNQTVQEAAGRAAKLLKIINSRSNEVDEANETQPSNTWLKHAASKSANVEFDGSLHRFLKPEGRMVGRHHDCRVINDQLFSSRHHDCRVIKDQLFASRHHYFRLIKDQLFSSRNKRKIISIVGMVGIGKTTLARNVYEDPSVASHFDVRGWVTMAQDNNKSGMLSQLLQSITPEEPNVIKKGSTPHELEMQVRKCLRGRKYLIVLDNIMSNKAMTCIRQCVRQCVPDDIDGSCILLTTRHFNRYDYWNSYIHYMTLLGPKESWELFCNILSIEEHLAPKFEKIRTHVVEKCDGLPQLIVEVAKRLSKCNNIQQGWKKIEKELESLGILDRNSITVSYNILPHHLKVCFLYFGVFPKRKKILVKMLIRSWIGEGFVKPEPLNHNELEDEAYKCLQELTDRSLLLIEDQSSEGKIKSCRMHSALHSFCVGETQKEGILCTINTQQHPRLPLKEFANSCRWLSFYSHSFDYYVLFGTNIPRSIFFFHKNPKMFVPLKLLKVLAFDSSISLQRVPMQLGDLVFLRYLSITQWFLDLDDVVSNNPNLETLVVSGNGAPTVHLPSSIWKPPHLRHLELGNSYLVDPPSADKKNLQTLCWVVRPLHCRKKVYSKFPNIKNLKIFLKDDIEPNHIGGCCSNPIILDHFDYFKWLKKLSISVSFGCNVALPELARFPSGLKKLKLSGTNISEGDLNVIAKLPNLMVLKLENAFHGIVWEVAQKGFCNLRYLLIEAKELKQWVVSSSDDFINLRHLVLRSCNCLEQMPKDFIGYQLESIELEGCLSSLVASAKQLQQKRISMDFSSLNGNLEIKTLHCKSPKSIKLNLQSIKLDCVALLLLLLLSRFETAKLKWETPFLRSQSLLVSSIEDLECKQGSEESLKRFTCDLENGFYGKAWKSCFGVQQKF >itb01g21850.t1 pep chromosome:ASM357664v1:1:27859819:27861105:-1 gene:itb01g21850 transcript:itb01g21850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSESINQRCKISHLALSHWPDVSFNATASSQLVHVAEGATDTCRLHQASTGEEEEANDTLPQLRLSICLAFLLKGFK >itb02g13980.t1 pep chromosome:ASM357664v1:2:10205380:10207982:-1 gene:itb02g13980 transcript:itb02g13980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQIAPILAGPFPSLKTPPFAPFHSIPHSSFHNITYTHFLLLQYNHHNIMRQHTMPILLFFFLSSLLAASLVAPSPVHDPDAIAQQVDRSIENATRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGKDGKIYTVTESGNDDPVNPKPGTLRYGVIQEEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQYVTNVIIHGVHIHDCKQGGNAMVRSSPTHYGWRTLSDGDGVSIFGSSHIWVDHCSLSNCRDGLIDAIEGSTAITISNNFMTHHDKVMLLGASDSYVQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPDARFSKEVTKHEDAPESEWKNWNWRTEGDLMLNGAFFTTSGAGASSSYARASSLSARPSSVVSSLTSGAGVLSCRKGSRC >itb02g09490.t1 pep chromosome:ASM357664v1:2:6056457:6057206:-1 gene:itb02g09490 transcript:itb02g09490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAVVLDYWASPFGARVRIALAEKGISYTHKFENLANKSPLLVEMNPVHQKIPVLIHGGKPICESDIIIQYIDEIWKNNSPLLPSDHYHRAKARFLVDFINTKIYDSSKKIWMGSAEDQECGKMELIEWSKVLEKELGDKPYLGGEDFGFADIAFVPFYNWFIVFKTFANFNIEEDCPKLVDWGKRCMRRDTVSKSLPDPQQVYEAYLEFKDRLGNTN >itb10g07440.t1 pep chromosome:ASM357664v1:10:8806266:8807379:1 gene:itb10g07440 transcript:itb10g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQTPLKSITSNSTFSYGITSGGDLSSSKMQITTLFEIFAKRETGVFWVPCKIIGIESDPNDWYYNSCPSQGCNKKWDFKAGMYDCGKCGGRFLKGVLRYKLKIRVVNINGTTPLLLWDCEDLELLCVKADELKAMQPTHKDDEFSSKLHLAEDDTDSDEGFFSKDDAESPIATTSGKQNVAGNENEAVKRSMLDEFSSTQTSKGKKHIVVKEEKEHTGE >itb01g32670.t3 pep chromosome:ASM357664v1:1:36087249:36093107:-1 gene:itb01g32670 transcript:itb01g32670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCVVCFILYSVLRKQPSLFSVYFGQKLSHAGLKRPDPLCFERFVPSPSWILKAWESTDEEIHAAGGLDALVFDRTIVFSIRIFSIAASICLFLVLPLNYFGQDVAHELVPGDSLEIFTIENVKEGSQWLWAHCLALYVISFSTCILLYFEFKYITKMRLAYISSSVSNPSYFTVLVRGVPWSEEEAYSELVAKFFTSYYSSSYLSHQIIYRSGVVQKLVTDAEKFYRMLKSTPQRHGRLLRCGICGGTSASFRILTSDSSSESDQESSDFVSHFRDQECAAALVFFRTRYAASVASQGIKSPNPMLWVTDLAPEPRDMYWSNIYVPYRLLWIRKIIILVASFFFVAFFLVPVSLTQGLVHLDKLQHAFPFLKGSLKRKLVVQLVTGYLPSVVLILFSYMVPPIMMLFSTMEGSISRSGRKKSACVKILYFMIWNVFFAQTISGSVIDGWSAIAQLGKNLRDLPNLLATAVPTTATFFITYVMTSGWASLFCELMQPFGILCNLFYRYILSNKDESTYGTMTFPYHTEFPRILLLALLGYTCSILAPLILLPLLVYFALAYIVYRNQILNVYATKYQTGGLYWIIVHKATIFSLVLTQIIALGVFGLKKSTVASGFTFPLIICTLLFNEYCRQRFHPVFRNNPAQILVDMDRKDEQEGRMKEIYHKVTSAYCQFRRSSSVTLGNPHPLKEQNSMFREVEDDGNPGSINLNYLHSVE >itb01g32670.t1 pep chromosome:ASM357664v1:1:36087249:36093107:-1 gene:itb01g32670 transcript:itb01g32670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCVVCFILYSVLRKQPSLFSVYFGQKLSHAGLKRPDPLCFERFVPSPSWILKAWESTDEEIHAAGGLDALVFDRTIVFSIRIFSIAASICLFLVLPLNYFGQDVAHELVPGDSLEIFTIENVKEGSQWLWAHCLALYVISFSTCILLYFEFKYITKMRLAYISSSVSNPSYFTVLVRGVPWSEEEAYSELVAKFFTSYYSSSYLSHQIIYRSGVVQKLVTDAEKFYRMLKSTPQRHGRLLRCGICGGTSASFRILTSDSSSESDQESSDFVSHFRDQECAAALVFFRTRYAASVASQGIKSPNPMLWVTDLAPEPRDMYWSNIYVPYRLLWIRKIIILVASFFFVAFFLVPVSLTQGLVHLDKLQHAFPFLKGSLKRKLVVQLVTGYLPSVVLILFSYMVPPIMMLFSTMEGSISRSGRKKSACVKILYFMIWNVFFAQTISGSVIDGWSAIAQLGKNLRDLPNLLATAVPTTATFFITYVMTSGWASLFCELMQPFGILCNLFYRYILSNKDESTYGTMTFPYHTEFPRILLLALLGYTCSILAPLILLPLLVYFALAYIVYRNQILNVYATKYQTGGLYWIIVHKATIFSLVLTQIIALGVFGLKKSTVASGFTFPLIICTLLFNEYCRQRFHPVFRNNPAQILVDMDRKDEQEGRMKEIYHKVTSAYCQFRRSSSVTLGNPHPLKEQNSMFREVEDDGNPGAFKADMPGSWNGHTHLEIEELGK >itb01g32670.t2 pep chromosome:ASM357664v1:1:36088180:36093107:-1 gene:itb01g32670 transcript:itb01g32670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSAGINTVVCVVCFILYSVLRKQPSLFSVYFGQKLSHAGLKRPDPLCFERFVPSPSWILKAWESTDEEIHAAGGLDALVFDRTIVFSIRIFSIAASICLFLVLPLNYFGQDVAHELVPGDSLEIFTIENVKEGSQWLWAHCLALYVISFSTCILLYFEFKYITKMRLAYISSSVSNPSYFTVLVRGVPWSEEEAYSELVAKFFTSYYSSSYLSHQIIYRSGVVQKLVTDAEKFYRMLKSTPQRHGRLLRCGICGGTSASFRILTSDSSSESDQESSDFVSHFRDQECAAALVFFRTRYAASVASQGIKSPNPMLWVTDLAPEPRDMYWSNIYVPYRLLWIRKIIILVASFFFVAFFLVPVSLTQGLVHLDKLQHAFPFLKGSLKRKLVVQLVTGYLPSVVLILFSYMVPPIMMLFSTMEGSISRSGRKKSACVKILYFMIWNVFFAQTISGSVIDGWSAIAQLGKNLRDLPNLLATAVPTTATFFITYVMTSGWASLFCELMQPFGILCNLFYRYILSNKDESTYGTMTFPYHTEFPRILLLALLGYTCSILAPLILLPLLVYFALAYIVYRNQVSLIFYLFYAVCISE >itb04g00900.t1 pep chromosome:ASM357664v1:4:486402:495843:-1 gene:itb04g00900 transcript:itb04g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPFGWPQVIPLEPGICPSSLRIVYLKVTNRFLLVVSPTHLELWSSSQHRVRIGKYERDSGSILKEGDNLQAVWSSETKLIAVLTSSLYLQILKVNFTEKKIQLGGKQPSVLVLANVTLLLNEQAPFADKNLTVSNIICDSRNMLVGLSDGSLYNISWKGEFCGAFHLDAQLHDGSEAIKISNSLENGLASVGVQVDSELNHYSSKSSGVIYLDFSIPLRLLFVLFSDGQLVLCSVSKKGFRQAESVKAEKRLGSGDAVCVSVASDQQILAVGTTRGVVELYDLAESVSLLRSVSLYDWGYSVEDTGAVNCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTICQIGLSSVSSPVIKPNQEYKNEPMMGGTSLMHWDEHGYRLYAIEEGSLERIIAFAFGKCCLNRGVSGTTYVRQVIYGEDRLLVVQSEDTDELRILHLNLPVSYLSQNWPVLHVAASRDGMYLAVAGFHGLILYDLRLKKWRVFGDITQEQKIQCRGLLWLGKIVVVCNYDSSSNTYELLFYPRYHLDQGSLLCRKPLLAKPMVMDVYQDYILVTYRPFDVHIFHVKLSGELTPSKSPDLELSTVRELSIMTAKSHPAAMRFIPDQLPRESINENGVSLASHVLTREPSRCLILRTNGELSLLDLDEGRERDLTDSVELFWVTCGQSEEKTNLIEEVSWLDYGHRGMQVWYPSPGVDSFKQEDFLQLDPELEFDREVYPLGLLRNAGVVVGVSQRMSFSACTEFPCFEPSPQAQTILHCLLRHLLQRDKMQEALRLAQLSAEKPHFSHCLEWLLFTVFEAEISRQNTNKNHTTVPNHATSCSLLEKTCDLIRNFPEYFDVAVSVARKTDARHWADLFAAAGRSTELFEECFQNRWYRTAACYILVIAKLEGPAVSQYCAMRLLQAALDESLYELAGELVRFLLRSGREYEPTTTDSEKLSPRFFGYFLFSSSFGRQALDSKGSFKEQSPHISSVKNILESHASYLMCGKELSKLVAFVKATQFDLVEYLQRERYGSARLDNFASGLELIGQKLQMETLQSRLDAEFLLAQMCSVKFKEWIVVLATLLRRSEVLFDLFQHDLRLWNAYSTTLQSHPAFAEYHDLLAFLELKLRPTSNPEEK >itb03g17360.t1 pep chromosome:ASM357664v1:3:16014291:16021383:1 gene:itb03g17360 transcript:itb03g17360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDADSDEEPEGTQQEYYVDMPDTDEELEGTHQTTEDTQPTEVTQPMEDPVVTSCTFPPREVGFYVTEADSDEEPEGTQQEYYVDMPDTDEELEGTHHTTEDTQPMELEGTQPTEDEPTEGTQPTEGIQPTEDPVFTSCTYEYEVDGPTSVRLTWTIENFSRLNAMGLYSGSFSLGRGYQWRLIMFPKGYKGDDHLWIYLDVADYSELPSGWIVDALFSISVFNHIQDEMTKKECYHKFNERESNWDFKFMPLIELNDPNRGFLVFDTIVVEATVTLCEMIDYDSKKETGYVRHYQAHGSQVIVRFRSLEKPSEDEFTLEFSKEDNYDKVIQSVARYLGLDDPLKIRLTSHNYFTQCPMLHPIKYRGVRHLLDMLIHHSQVSDILYYEVLDIQLPKLEDLKTLKVAFCPAKIGVTIYTITLTNQSTVMDVLDDLKTKVELSHPDAELRLLEIFCHKIRKIFPSQERIGTIDHHMTLRAEEIPEEEKNLGRHDRLIHACHFMHTTQNEALVTNFGEPFILVLHEGEILAEIKARIRKKLQVLDEEFLKWKFALVSRGYPKYLEDSDILSKCFEKSEVCSDWEQYLGMEHTDNNAPKCPNSSILNPSKLEKDKAP >itb13g26020.t1 pep chromosome:ASM357664v1:13:31363287:31365406:1 gene:itb13g26020 transcript:itb13g26020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVAALHGYKYSGEDHSYVAKYILQPFWRRCVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPQLDSPLPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGYYIYIVPSQSVDVVGSGGFGFANGDYDLISRCSDWCWPPSRRIFAGRNLLMAWGVRLSSGGGGWL >itb02g23460.t1 pep chromosome:ASM357664v1:2:23434175:23436219:-1 gene:itb02g23460 transcript:itb02g23460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKLTSFFLIFIFVSSFLTQARRLQENYDEDELKKATYKPLISSATYNGKLPAATGDFLLTLRLEVPTSQDSQSFFERFNGVVAYTLTLTSLSSGELGREAKSPPPSPKPSDPKNPTIIPSSLSSEEFGRGAKSPPPSPKPSDPINPTINPYSLSSEELGREPKSPPPPPKPSDPKNPTIISSGQSSAGEFGREEKSPPPAPKTDTPDHPKITTSSSYGRTARPPPPAPKPAAPIHPGNSGVQVISMADDSSDFLASA >itb01g35200.t3 pep chromosome:ASM357664v1:1:37526153:37527812:1 gene:itb01g35200 transcript:itb01g35200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNSSENASSDREKWKKVFNAMALMLRTQQVQLDSLAKDRKLLEDRIKLQHERWVSDVNLFQEQICQLKREFTAQEMEHMVEAAKLDLVLGLKEREAYMHKDKSEKANGELEDFIECFGFLSRECSEAEGISSCADNKLEEKKKKLSLESELRRLKVENQQLCSKKSSEISALVAEKSFIWNQYDKLENDMTEQLKRKRAELEKANDKIEALLRNVEELQTSNTGKDNEIKLLKKRIVKLEASSVKQNDEISSLSWELEALKKCKSDPATPTLCRCRTRSASLPRDKTKGMNGKSVTAEKVFLL >itb01g35200.t2 pep chromosome:ASM357664v1:1:37525684:37528232:1 gene:itb01g35200 transcript:itb01g35200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNSSENASSDREKWKKVFNAMALMLRTQQVQLDSLAKDRKLLEDRIKLQHERWVSDVNLFQEQICQLKREFTAQEMEHMVEAAKLDLVLGLKEREAYMHKDKSEKANGELEDFIECFGFLSRECSEAEGISSCADNKLEEKKKKLSLESELRRLKVENQQLCSKKSSEISALVAEKSFIWNQYDKLENDMTEQLKRKRAELEKANDKIEALLRNVEELQTSNTGKDNEIKLLKKRIVKLEASSVKQNDEISSLSWELEALKKCKSDPATPTLCRCRTRSASLPRDKTKGMNGKSVTAEKGCGNSKRKQLAVITISDTPKLFTSSFKIPKLKTPSSPQVV >itb01g35200.t1 pep chromosome:ASM357664v1:1:37526124:37529341:1 gene:itb01g35200 transcript:itb01g35200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNSSENASSDREKWKKVFNAMALMLRTQQVQLDSLAKDRKLLEDRIKLQHERWVSDVNLFQEQICQLKREFTAQEMEHMVEAAKLDLVLGLKEREAYMHKDKSEKANGELEDFIECFGFLSRECSEAEGISSCADNKLEEKKKKLSLESELRRLKVENQQLCSKKSSEISALVAEKSFIWNQYDKLENDMTEQLKRKRAELEKANDKIEALLRNVEELQTSNTGKDNEIKLLKKRIVKLEASSVKQNDEISSLSWELEALKKCKSDPATPTLCRCRTRSASLPRDKTKGMNGKSVTAEKGCGNSKRKQLAVITISDTPKLFTSSFKIPKLKTPSSPQSMSTLENLEIRRARTNQTEQNLDNL >itb06g25050.t1 pep chromosome:ASM357664v1:6:26313882:26315519:-1 gene:itb06g25050 transcript:itb06g25050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTPEVPETVSEKASSENMDVETNSAEDLAAENGGAAKRPREEGDEPDNDDNGDASKKTKVQNPEEEQKVEAFEKKEGDKEGEKKEDESGPVTLGPKTFGSSVEMFDYFYKLLHSWSPNLNVNKYEHLVLLELLNKGHPEPEKKIGKGVGGFQVRFHPKFKSRCFFITGEDGSLDDFSFRKCVDNILPLPENMPIKHDMNRGEHGGRGGRWGGRGRGRGRGRFRN >itb01g20050.t1 pep chromosome:ASM357664v1:1:26360718:26364519:1 gene:itb01g20050 transcript:itb01g20050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLFLLAFFALFTLCLQFQVFAAAPAGPLIKHLSSLLKWTSRPSSKIPQSDGNLLQFEDGYLVETVVEGNELGIVPYKIRVSQDGELFAVDAVSSNIVKITPPLSRYCRARLVAGSFKGHTGHVDGKPSDARFNNPRGVTMDDKGNVYVADISNLAIRKIGEAGVTTIAGGKSNIPGYRDGPSEDAQFSNDFDVIYVRPTCSLLIVDRGNAAIRQISLSQEDCDYQFSSVSTIDIFMVVGAVLIGYGVCILQQGFGSNPFSNVQGDAEAEQDQQLIKEKPSPIVEIDKEDEQAAGWPSFGQLVWDLSKLAVEAVGSIFAHLNPLQFRMKSTKGSLTPLKDSLIMPEDEVDRIQKQRATAHLPETRQVHPQNIGDNISEVKPLKHRSSSMKDPSLLTKHRSSKRHEYAEYYASGEVPPYTQVRSKSQKERSKHRRPEKSSAPNLGGTTGMEQKHIETKGHHFADTRYEHYNIRNKYGDPHSYQ >itb01g20050.t2 pep chromosome:ASM357664v1:1:26360718:26364519:1 gene:itb01g20050 transcript:itb01g20050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLFLLAFFALFTLCLQFQVFAAAPAGPLIKHLSSLLKWTSRPSSKIPQSDGNLLQFEDGYLVETVVEGNELGIVPYKIRVSQDGELFAVDAVSSNIVKITPPLSRYCRARLVAGSFKGHTGHVDGKPSDARFNNPRGVTMDDKGNVYVADISNLAIRKIGEAGVTTIAGGKSNIPGYRDGPSEDAQFSNDFDVIYVRPTCSLLIVDRGNAAIRQISLSQEDCDYQFSSVSTIDIFMVVGAVLIGYGVCILQQGFGSNPFSNVQGDAEAEQDQQLIKEKPSPIVEIDKEDEQAAGWPSFGQLVWDLSKLAVEAVGSIFAHLNPLQFRMKSTKGSLTPLKDSLIMPEDEVDRIQKQRATAHLPETRQVHPQNIGDNISEVKPLKHRSSSMKDPSLLTKHRSSKRHEYAEYYASGEVPPYTQVRSKSQKERSKHRRPEKSSAPNLGGTTGMEQKHIETKGHHFADTRYEHYNIRNKYGDPHSYQ >itb12g06890.t1 pep chromosome:ASM357664v1:12:5097915:5099325:1 gene:itb12g06890 transcript:itb12g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGLGSSNTTVKTPETETQTPTILLHHAKPLSFSSNGVLKRHNPLHHAVVVTYKECLKNHAASLGGHAVDGCGEFMPSPTAAAASTNPTLLKCAACGCHRNFHRREPEETLPKALEYQSLHRHRPPPPPPSSDSPSPPPISSSAPHMLLALSTAFSGPPTDTNSPISAPLQKKRFRTKFTQDQKDKMLEFADKVGWKIQKRDEEVINQFCTQVGVERGVLKVWMHNNKNTLCKNQSQSQSNIDNVNGLDFHIASRNPAAQFNLHQESNGNDTQNHQPLHNSEDVSANTIAVNGSSSSS >itb14g05180.t2 pep chromosome:ASM357664v1:14:4574402:4577239:1 gene:itb14g05180 transcript:itb14g05180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAACKVEASTIIIPPKLVFLVGPISFSGPRCQHNIVFQIDGTIIAPTSSKAWSSAPFQWLEFTKLVGITVKGSGTIDGSGSVWWKDAPFGDPIDDEYKLIVPLNRTMPSIPPIPVSRSVGGRMPSIKPTALRFYGSYNVTVTGIKIQNSPQCHLKFDNCMGVNVYNFSASSPGDSPNTDGIHLQNSRDVVIRSSNLACGDDCVSIQTGCSNVYVHNVNCGPGHGISIGGLGKANTKACVSNITVRDIVMHKTMNGVRIKTWQGGLGFVKGVLFSNIQVSEVQLPIVIDQFYCDKIKCKNQTAAVALSEIHYENIQGTYTVKPVHLACSDSMPCTKVTLNEIKLKPLQEHYHLYDPFCWQTFGELHSPTVPPVDCLKVGKLPSNKVQVDHDQC >itb14g05180.t1 pep chromosome:ASM357664v1:14:4573678:4577239:1 gene:itb14g05180 transcript:itb14g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKGLTLLLILAIAILVWSSNMETCHARQGKHWRQSRGALYKKKPKYHRQHGNAGKIKQKHPSPKPSSPPAPVSPPSIGSGNAGRVFNVVSYGAKGDGNTDDTKAFQMAWAAACKVEASTIIIPPKLVFLVGPISFSGPRCQHNIVFQIDGTIIAPTSSKAWSSAPFQWLEFTKLVGITVKGSGTIDGSGSVWWKDAPFGDPIDDEYKLIVPLNRTMPSIPPIPVSRSVGGRMPSIKPTALRFYGSYNVTVTGIKIQNSPQCHLKFDNCMGVNVYNFSASSPGDSPNTDGIHLQNSRDVVIRSSNLACGDDCVSIQTGCSNVYVHNVNCGPGHGISIGGLGKANTKACVSNITVRDIVMHKTMNGVRIKTWQGGLGFVKGVLFSNIQVSEVQLPIVIDQFYCDKIKCKNQTAAVALSEIHYENIQGTYTVKPVHLACSDSMPCTKVTLNEIKLKPLQEHYHLYDPFCWQTFGELHSPTVPPVDCLKVGKLPSNKVQVDHDQC >itb01g06950.t1 pep chromosome:ASM357664v1:1:5160510:5165341:1 gene:itb01g06950 transcript:itb01g06950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFQGLKALQKLEVQASGFEGPIPENISALTNLLQLRISDLNGGVSRIPAFNNKTGIAMLMLRRCNISGKIPDLSNMTGLKQLDLSFNNLEGGIDGLNVLDKLQYLYLTNNSLTGQIPQWVLNRDSRYFIDLSYNNFEESSGSPICSRETLNLFKSYNGGGENDKFGKCLKNCTKDWYSFHINCGGGNVVIGDTTYDADEDSTGLAKFVSNKENWVTSNTGYFWDRPVTITDYTTTNISVIKGKDSKIYQTARLSPLSLTYYGRCLANGHYTVKLHFAEIVLRDNRSFQSLGRRLFDVYIQGEQKIKDFDIETEAQGADKPLVKQFQVVVQNRTLELRFEYAEKGTTVVPHAGAYGPLISAISVESDFKPPKNRKTLIIVVAVASSLFLIFTILCFVGWKIYIRNKTSREQELRGLDLRTGLFTFRQIKAATNNFSATNKIGEGGFGHVYKVYRNMNGTLLDGTIIAVKQLSSISRQGNREFLNEIGVISCLQHPNLVKLHGCCVEGKQLLLVYEYLENNSLAHALFGPEDCQLTIDWPTRQKICLGIAKGLAFLHEESAIKIVHRDIKATNVLLDKELNPKISDFGLAKLDDDENTHISTRVAGTVGYMAPEYALWGYLTFKADVYSFGVVALEIVAGKKNMKHHPDEDYVCLLDRALVLQENGNLLELIDPRLGSDFDKEQAIRMIKVALLCINHAPSLRPSMSTVVNLLESHDGILEYNSDLHEFNSQEIIADHYDEMPVGLSDSPHQVNFSSKAT >itb07g13400.t1 pep chromosome:ASM357664v1:7:15616431:15622585:1 gene:itb07g13400 transcript:itb07g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYDQDPDVVRWGLQLFDGDPYSNYYGCSITQSGPDYYQDHYFKEGIYNLASSLENNEYNACSLGEQLSQLSVAEPHSDVHPVIDHTQTPYYSQGWFSQPMGSYTFGDENGEEETTNVGPSSSCSSPREGSYSEEEWSYSLELLDEYDLDGEVGKRLNQMVPIPHIPKINGEIPSIDEATLDHQRLLDRLQMYELVESKVQGDGNCQFRALSDQFYRSTDHHKFVRQQIVNQLKSCREIYEGYVPMAYDDYLRKMSKSGEWGDHVTLQAAADLYGVKIFVITSFKDTCYIEIVPNIQKSERVIFLSFWAEVHYNSIHPEGDVIAVDSKKKISSASADEQLESQDNYS >itb07g13400.t2 pep chromosome:ASM357664v1:7:15616485:15622585:1 gene:itb07g13400 transcript:itb07g13400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MITYDQDPDVVRWGLQLFDGDPYSNYYGCSITQSGPDYYQDHYFKEGIYNLASSLENNEYNACSLGEQLSQLSVAEPHSDVHPVIDHTQTPYYSQGWFSQPMGSYTFGDENGEEETTNVGPSSSCSSPREGSYSEEEWSYSLELLDEYDLDGEVGKRLNQMVPIPHIPKINGEIPSIDEATLDHQRLLDRLQMYELVESKVQGDGNCQFRALSDQFYRSTDHHKFVRQQIVNQLKSCREIYEGYVPMAYDDYLRKMSKSGEWGDHVTLQAAADLYGVKIFVITSFKDTCYIEIVPNIQKSERVIFLSFWAEVHYNSIHPEGDVIAVDSKKKISSASADEQLESQDNYS >itb12g19830.t1 pep chromosome:ASM357664v1:12:22255959:22262493:1 gene:itb12g19830 transcript:itb12g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTVTMEVGNDAVAVITISNPPVNALAVPIIHGLKEKFTEAARRNDVKAIVLTGSGGRFSGGFDINVFEQVHKHGDISVLPDVSVELVVNLIEDGKKPAVAAIQGLALGGGLELALGCHARISAPKAQLGLPELTLGVIPGFGGTQRLPRLVGLQKGVEMMLTSKPILSEEGKKLGLIDAIVSPTELIKVSRQWALDIAERHKPWMRSLHRTDKLCSLSEARDILKVARQQAKQTAPNMPQHLVCLDVIEEGIVHGGYSGVLKEARDFKQLVLSDTSRGLVHIFFAQRATSKVPNVTDVGLKPRPIKKVAVIGGGLMGSGIATALILSGTYVLLKEISSEYLHKGIKSIEENIRILVSRKKLGQDKAEKALSLLKGVLDYSEFKDVDMVIEAVIENVPLKQKIFSDIEKACPPHCILASNTSTIDLNIIGEKTRSRDRIIGAHFFSPAHVMPLLEIVRTEKTSAQTIIDLMAVGKAIKKVPVVVGNCTGFAVNRTFFPYAQGAHFLVHLGVDAFRIDAQIAKFGLPMGPFQLQDLTGYGVAVAVGKEFGAAFSDRTFKSPLVDLLIKSDRNGKNNGKGYYIYQKGTKPKPDPSVLPIIEESRRLINIMPGGKPISVTDQEIVEMVLFPVVNEACRVLDEGMVVRASDLDVASVLGMSFPSYRGGIVFWADIVGAGHIYKSLKKWSELYGSFYKPSSFLEERALKGIPLSAPVSTSSTSRSRM >itb07g08540.t1 pep chromosome:ASM357664v1:7:6757222:6761972:-1 gene:itb07g08540 transcript:itb07g08540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSNKQVILKGYVTGFPKESDMEIRTTTVKLEVPAGSNAVLLKNLYLSCDPYMRIRMNKTEGSYAQSFTPGSPIVGYGVARVLESGHPNFKKGDLVWGVTGWEEYSIITDTQGLFKIQHTDVPLSYYTGLLGMAGTTAYAGFYEVCSPKKGETVFISAASGAVGQLVGQFAKLLGCHVVGCAGSKEKVDLLKNKFGFDDAFNYKEEPDLNAALKRHFPDGIDIYFENVGGKMLDAVLLNMKLHGRIAVCGMISQYNLEKPEGVHNLFCLISKRIRMEGFLVLDYYHLYPKYLEMVLPYIKEGKITYVEDVTEGLEGAPSALIGLFSGRNVGKKLVVVSAE >itb12g27370.t2 pep chromosome:ASM357664v1:12:27786329:27790047:-1 gene:itb12g27370 transcript:itb12g27370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSAAGLIAALAAAALGGQPAYADGPFSFSPFSSSPSSSAQTAPQVPATDSQKPPQPPPPAAEPPKPRNDNPRTTSAGFDPEALERGAKALREINKSSSAKQVFEVIRKQEDTRQAELSAKLAEFKALQAQSETERQRVIYDEQKKLAQQQAQIKSQMARYEDELARKRMQAENEHQRSRNQELVKMQEESAMRQEAARRATEEQIQAQRRQTEREKAEIERETIRVRAIAEAEGRAHEAKLAEDVNRRMLLERANAEREKWISAINTTFEHIGGGLRAILTDQDKLVVAVGGVTALAAGVYTTREGARVIWSYVDRILGQPSLIRESSRGKYPWSGFFKRAMSSFSRGGSASQAEKGLDDVVLNPSLKKRVSQLVRTMTYTKAHQAPFRNVLFHGPPGTGKTLVAREIARSSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSNKGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVTDRIDEVLEFPLPEDRDQRFD >itb12g27370.t1 pep chromosome:ASM357664v1:12:27786329:27790114:-1 gene:itb12g27370 transcript:itb12g27370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSAAGLIAALAAAALGGQPAYADGPFSFSPFSSSPSSSAQTAPQVPATDSQKPPQPPPPAAEPPKPRNDNPRTTSAGFDPEALERGAKALREINKSSSAKQVFEVIRKQEDTRQAELSAKLAEFKALQAQSETERQRVIYDEQKKLAQQQAQIKSQMARYEDELARKRMQAENEHQRSRNQELVKMQEESAMRQEAARRATEEQIQAQRRQTEREKAEIERETIRVRAIAEAEGRAHEAKLAEDVNRRMLLERANAEREKWISAINTTFEHIGGGLRAILTDQDKLVVAVGGVTALAAGVYTTREGARVIWSYVDRILGQPSLIRESSRGKYPWSGFFKRAMSSFSRGGSASQAEKGLDDVVLNPSLKKRVSQLVRTMTYTKAHQAPFRNVLFHGPPGTGKTLVAREIARSSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSNKGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVTDRIDEVLEFPLPGESERFELLKLYLDKYIAQAGARKAGIVTRFRKQTQKIEIKGLTDDILKEAAVKTEGFSGREIAKLMASVQAAVYGSENCVLDPALFREVVDYKVAEHQQRRKLAAEGKSA >itb04g09760.t1 pep chromosome:ASM357664v1:4:9013832:9014483:-1 gene:itb04g09760 transcript:itb04g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVVLEKDDRRAGEEQFFEPEMGSGLLDAARSSMRIIQGKQNLCLMALEMGLIWASSKGINRFEIQCDNADMVRAARSGTWRGDTKCRILDNCRRMIGGGEERRLIHVFSEQNTVADWFATREAIGDEERIVLVKPPFGSNSLGAERLVSSFVFVLGCSAPRLCFLTKMDVERFLNLQYYNAYT >itb11g01010.t1 pep chromosome:ASM357664v1:11:436194:442197:1 gene:itb11g01010 transcript:itb11g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDYCRFWGVVVVFLVVLLKLQSCHKYAVEAARGLPVKFLPGFDGPLPFQLETGYIGVGQNEEIQLFYYFIKSDSNPQEDPLILWITGGRTCSALRSIFQQIGPLLVEPVEYNGSLPRLQPFPYSWTKVASIIFLDLPVGTGFSYATKSLETGDLPAASNAYEFLQKWLIDHPEFLSNPFYVGGHSYAGHTVPIITEMISNGIESGVKPLIELQGYILGSPRGVDTQSNYRVPFAYGMGLITDQLYESLKENCKGDYTNFNSTNLQCHRDLQTFNQLTESINHNHILEPICLSGSDSTMSSLIKLPVQKPLYHDLYTKLKIDPFFLSELQCRDEWKALSEYWANDYNVQMALHVRQGTKEKWELCNNSVPYTSSVSNALPSHVNLSKKGYRSLIYSGDHEMVSTCLSNEAWIKSLNYSIIDDWRPWMVEGQVAGYTTTYANKMTFVTVKGGGHVAPDYRPFESQVMFERWISYENL >itb11g01010.t3 pep chromosome:ASM357664v1:11:436356:441960:1 gene:itb11g01010 transcript:itb11g01010.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDYCRFWGVVVVFLVVLLKLQSCHKYAVEAARGLPVKFLPGFDGPLPFQLETGYIGVGQNEEIQLFYYFIKSDSNPQEDPLILWITGGRTCSALRSIFQQIGPLLVEPVEYNGSLPRLQPFPYSWTKVASIIFLDLPVGTGFSYATKSLETGDLPAASNAYEFLQKWLIDHPEFLSNPFYVGGHSYAGHTVPIITEMISNGIESGVKPLIELQGYILGSPRGVDTQRIESGVKPLIELQGYILGSPRGVDTQRDYTNFNSTNLQCHRDLQTFNQLTESINHNHILEPICLSGSDSTMSSLIKLPVQKPLYHDLYTKLKIDPFFLSELQCRDEWKALSEYWANDYNVQMALHVRQGTKEKWELCNNSVPYTSSVSNALPSHVNLSKKGYRSLIYSGDHEMVSTCLSNEAWIKSLNYSIIDDWRPWMVEGQVAGYTTTYANKMTFVTVKGGGHVAPDYRPFESQVMFERWISYENL >itb11g01010.t2 pep chromosome:ASM357664v1:11:436194:442197:1 gene:itb11g01010 transcript:itb11g01010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDYCRFWGVVVVFLVVLLKLQSCHKYAVEAARGLPVKFLPGFDGPLPFQLETGYIGVGQNEEIQLFYYFIKSDSNPQEDPLILWITGGRTCSALRSIFQQIGPLLVEPVEYNGSLPRLQPFPYSWTKVASIIFLDLPVGTGFSYATKSLETGDLPAASNAYEFLQKGYILGSPRGVDTQSNYRVPFAYGMGLITDQLYESLKENCKGDYTNFNSTNLQCHRDLQTFNQLTESINHNHILEPICLSGSDSTMSSLIKLPVQKPLYHDLYTKLKIDPFFLSELQCRDEWKALSEYWANDYNVQMALHVRQGTKEKWELCNNSVPYTSSVSNALPSHVNLSKKGYRSLIYSGDHEMVSTCLSNEAWIKSLNYSIIDDWRPWMVEGQVAGYTTTYANKMTFVTVKGGGHVAPDYRPFESQVMFERWISYENL >itb05g03280.t1 pep chromosome:ASM357664v1:5:2721891:2724480:-1 gene:itb05g03280 transcript:itb05g03280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRGCETKTSTPVEPSSEAARRRRMEIHRFRFLASDAAVAPPPLDGGLKRQRAPSPPEPETAVETVTEETSSVKRRKAYDEKVERSKEIEQNLIERTEPEEIKVSDRPESSSSSEAEQDFGSDCPKFGMTSVCGRRRDMEDAVAAHPCFSRKSLENSRNMHFFGVYDGHGCSHVAMRCRDRMHEIVRDAMEKGESTWPEIMSRSFSKMDQEITDLSNGAVAGAVPRSVCRCEFQTPQCDAVGSTAVVAVVTPDHIIVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELNRIEEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISEPEITITKRTAEDECLILASDGLWDVVSNETACGVARMCLQQRGKPPSPLPSPGNDVTVTPSSDKACSDASILLTKLALARHTADNVSVVVVDLRNDL >itb05g03280.t2 pep chromosome:ASM357664v1:5:2721891:2724480:-1 gene:itb05g03280 transcript:itb05g03280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRGCETKTSTPVEPSSEAARRRRMEIHRFRFLASDAAVAPPPLDGGLKRQRAPSPPEPETAVETVTEETSSVKRRKAYDEKVERSKEIEQNLIERTEPEEIKVSDRPESSSSSEAEQDFGSDCPKFGMTSVCGRRRDMEDAVAAHPCFSRKSLENSRNMHFFGVYDGHGCSHVAMRCRDRMHEIVRDAMEKGESTWPEIMSRSFSKMDQEITDLSNGAVAGAVPRSVCRCEFQTPQCDAVGSTAVVAVVTPDHIIVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELNRIEEAGGRVIYWDGPRVLGVLAMSRAIGAFI >itb06g25210.t1 pep chromosome:ASM357664v1:6:26375674:26376665:1 gene:itb06g25210 transcript:itb06g25210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PRE3 [Source:Projected from Arabidopsis thaliana (AT1G74500) UniProtKB/Swiss-Prot;Acc:Q9CA64] MSSRRSRSRNTGASRISDDQINDLVSKLQQLLPELRNTSSDKVSAARVLQDTCNYIRSLHREVDDLSERLSELLATTDTNQAALIRSLLMQ >itb01g22260.t1 pep chromosome:ASM357664v1:1:28197509:28198865:-1 gene:itb01g22260 transcript:itb01g22260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLDNLMMLGISLLLFFLQSAETAAASPTDDQLQPNKSEEKHFVLVHGACHGAWSWYKVVAMLRSSGHNVTPLDLGASGINPLQALQIPHQSDYFRPLMLFMAALPPTQRLILVAHSLGGLAISKAMEIFPEKISVAVFVTAIMPGPSLNISTLTLFRRQGPLLDSRYSYDNGPNNPPTTLILGPKYIAEYMYQLSPVEDLALATTLLRPIYLYTEEDMSKEVILSQKRYGCVDRVYIKAGEDKSGNKDVEQWMIQKNPPNEVIDIAGCDHMVMMSRPLQLFLHLLSIAHTYH >itb04g28690.t1 pep chromosome:ASM357664v1:4:32331794:32332471:1 gene:itb04g28690 transcript:itb04g28690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLAHKRRLVIGFVVQLIPDDMEFQSGDAPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >itb07g00660.t1 pep chromosome:ASM357664v1:7:418541:420555:1 gene:itb07g00660 transcript:itb07g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSGGSSVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRVNMTCVNPETGVVEAKKFGMLVSWQREYTMEGVLTQLRKEMAAPHNRKLVQPPEGTFF >itb03g14510.t1 pep chromosome:ASM357664v1:3:14189609:14193484:-1 gene:itb03g14510 transcript:itb03g14510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCGMYLQFQQSAILAFTEFPAKLWRGGRRCVDLRINGRPELSFIPKEPILKTLPQAAASSSAGANSEQVLGAREKQKRQIAGIDQDELLEPALLADPDSCFCEFKGVQIHHKICDAEPRNLSGGEESGSQSLYPSKRLNFPMILLHGFGASVFSWNRAMKRLASISGSKVLAFDRPAFGLTSRPNPVVQSSPGMGDARPLNPYSVMFSVLATLHFIDFLAAEKAVLVGHSAGSLVAVEAYFEAPERVAALILVSPAIVAPFTSPKVAKDDQRGDKSYKEDEKSEPGNKRNVFTGIFSILSKFTKYVAKTIVGMIKGMGETLNSLYRKALSAFLRSAIGVMLLRMMIDKFGTLAVRNSWYDSKQVTDHVLQGYTKPLRVKGWDRALVEFTVAMLTDSESGSKPPLSERLSEISCPVLIITGDSDRLVPAWNSERLSRAIPGSCFEIIKNSGHLPHEEKVEEFISIVDRFLQRVFGGLDEPRLQAVT >itb03g14510.t2 pep chromosome:ASM357664v1:3:14189609:14193484:-1 gene:itb03g14510 transcript:itb03g14510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCGMYLQFQQSAILAFTEFPAKLWRGGRRCVDLRINGRPELSFIPKEPILKTLPQAAASSSAGANSEQVLGAREKQKRQIAGIDQDELLEPALLADPDSCFCEFKGVQIHHKICDAEPRNLSGGEESGSQSLYPSKRLNFPMILLHGFGASVFSWNRAMKRLASISGSKVLAFDRPAFGLTSRPNPVVQSSPGMGDARPLNPYSVMFSVLATLHFIDFLAAEKAVLVGHSAGSLVAVEAYFEAPERVAALILVSPAIVAPFTSPKVAKDDQRGDKSYKEDEKSEPGNKRNVFTGIFSILSKFTKYVAKTIVGMIKGMGETLNSLYRKALSAFLRSAIGVMLVMGSVLNVI >itb06g25320.t1 pep chromosome:ASM357664v1:6:26430607:26434718:1 gene:itb06g25320 transcript:itb06g25320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMEDNKHGRESPAAKAAEKTTVMNGGHEHDESSTVEEPVPKYRGIKAMPFIIGNETFEKLGAIGTLSNLLVYLTTVFNLKHITAANLLNVFNGTTNFATILGAFICDTYLGRYKTLGISTINSFLGLLMIALTAAVKSLHPPRCTKGGDCVDPNRGQMGFLLCGFAMLTVGAAGIRPCNLAFGADQFNPNTESGKRGINSFFNWYFFTLTFAQMMSVTLVVYIQSEVSWPIGLGIPALFMLISCAVFFVGDRIYVKVKPEGSPFTSIVQVLVVAAKKRNLKLPQHPSLSLFNYIPTKSINSKLPYTNQFRFLDKAAIRTEEDKVGEDGSSVYPWKLCSLQQVEQTKCVFKVIPIWAAAIVYHVAILEQQQYVVFQALQSDRHLGSSNFQIPAATYTIFSMLSLTLFVPFYDRIIVPFLRRYTRKEEGITLLQRMGIGIFLTVLASFVSAFVEERRRGVALSRPMPGVTSKGAAVSSMSALWLVPQLALAGLAEAFTAIGQVEFYYKQFPENMRSVAGSFYFLGMGASSYLNSFLISIVHKTTENAKTGNWLPEDLNKGRLDYFYFLITGLGILNVVYFLVCSRWYEYKDGTEGAIKDTEMEPKNLENKHAV >itb07g01500.t2 pep chromosome:ASM357664v1:7:902544:906077:-1 gene:itb07g01500 transcript:itb07g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINHEKILSLTYHFSSSSALCTVHLLLCLICSVTHPTSIVSATPYSNETDRIALLDFKRRISYDPQGVLLNSWNDSVHHCHWQGVSCGGRHQRVVALMLPEKGLIGTLSPQIGNLTFLRAVDLNSNGFHGGIPGEIGNLFRLRFLNLSLNALTGELAAVNLSSCLQLRLVNLYQNGLHGRLPIKLVCLKKLELLNLGNNQLTGEIPRAFGNFSSLRVLALLRNQLEGRIPDEIAQIWGLKALSLAANNLSGNLPSSLFNKTSITYFSVTANSLQGTIPSYIGDTMPNLKAFFFGANKFHGNIPISFPNASKLQNLELSQNYLVGKVPGNIGMLKDLQRLNLELNSLGSNDPLNDLAFMTSLSNCSNLDVFSIHKNRFEGKLPNTIANLSSTLSFLFLGGNKLSGTIPIGIKNLASLAGLGLEENLFSGVIPSEIGGLQKLQKLYLRVNQFSGKIPLTFCNLTSLSSLYMDNNNLDGDIPSTVGNFWSLNELVLSSNRLSGTIPQQVFYLPSLSKYLDLSNNLFTGLLSPAVGKLKTLNVLDVPGNKLSGKIPDTIGDCLSLEYLDLHANLFEGKIPPSLVSLKNMKYLDFSNNKLTGEIPRELQKHPLLQYLNLSFNDLEGEVPTVGIFAKANNVSLVGNKKLCGGIPELKLPPCLVKKRKHRKHIKLMILIFTCVSVALVFASLLLSLHWRKDKRKESSKLSKVEKLSIITYSDLHKATDGFSDINLIGTGGFGSVYKGRFKQGEEQTVAVKVLDLLKNGASKSFLTECKVLKNIRHRNLVPILTCCSSCDASGNEFKALVYEFMENGDLNTWLHPHSCDGSTHFLSVVERLNIAIDVASALHYLHDDCEPTVIHCDLKPSNILLDKDLIAHVGDFGISTLYSQKVENSSREQTLSIGLKGSIGYVPPEYGIGAHASTFGDVYSFGILLLEMYTAKRPVDDFVNDGCSSLYDYVETALPEQVMKIVDPSLLACLEIQHGTEPDEELNNQCNLVGIEESKMHSFFFSIFKIGLTCASRSPMDRMHMRDVTRDLHKIKKAFFV >itb07g01500.t3 pep chromosome:ASM357664v1:7:902544:903300:-1 gene:itb07g01500 transcript:itb07g01500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKRPVDDFVNDGCSSLYDYVETALPEQVMKIVDPSLLACLEIQHGTEPDEELNNQCNLVGIEESKMHSFFFSIFKIGLTCASRSPMDRMHMRDVTRDLHKIKKAFFV >itb07g01500.t1 pep chromosome:ASM357664v1:7:902544:906077:-1 gene:itb07g01500 transcript:itb07g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINHEKILSLTYHFSSSSALCTVHLLLCLICSVTHPTSIVSATPYSNETDRIALLDFKRRISYDPQGVLLNSWNDSVHHCHWQGVSCGGRHQRVVALMLPEKGLIGTLSPQIGNLTFLRAVDLNSNGFHGGIPGEIGNLFRLRFLNLSLNALTGELAAVNLSSCLQLRLVNLYQNGLHGRLPIKLVCLKKLELLNLGNNQLTGEIPRAFGNFSSLRVLALLRNQLEGRIPDEIAQIWGLKALSLAANNLSGKLKTLNVLDVPGNKLSGKIPDTIGDCLSLEYLDLHANLFEGKIPPSLVSLKNMKYLDFSNNKLTGEIPRELQKHPLLQYLNLSFNDLEGEVPTVGIFAKANNVSLVGNKKLCGGIPELKLPPCLVKKRKHRKHIKLMILIFTCVSVALVFASLLLSLHWRKDKRKESSKLSKVEKLSIITYSDLHKATDGFSDINLIGTGGFGSVYKGRFKQGEEQTVAVKVLDLLKNGASKSFLTECKVLKNIRHRNLVPILTCCSSCDASGNEFKALVYEFMENGDLNTWLHPHSCDGSTHFLSVVERLNIAIDVASALHYLHDDCEPTVIHCDLKPSNILLDKDLIAHVGDFGISTLYSQKVENSSREQTLSIGLKGSIGYVPPEYGIGAHASTFGDVYSFGILLLEMYTAKRPVDDFVNDGCSSLYDYVETALPEQVMKIVDPSLLACLEIQHGTEPDEELNNQCNLVGIEESKMHSFFFSIFKIGLTCASRSPMDRMHMRDVTRDLHKIKKAFFV >itb07g08270.t1 pep chromosome:ASM357664v1:7:6476248:6476932:1 gene:itb07g08270 transcript:itb07g08270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHFLAFSLVLVQAAFGDLVCEELAVGVCSFSIASSGKRCVLETYASGDGTMKLQCKTSEVVVVGTDMYMREHIETDECIAACGVDRKSLGISSDSLLDHRFTAKLCSPQCYQNCPNIVDLYYNLASEEGVLLPDLCKALRTSSGRAMTSRFLSSGAAFAPASTAAAAPTSEAAAFAPTSTASVDCAPAPM >itb15g02790.t1 pep chromosome:ASM357664v1:15:1760687:1763268:1 gene:itb15g02790 transcript:itb15g02790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGEGDKRWIVEDRPDGTNVHNWHWAETNCLEWSRSFFNKLLADQTILDGEGNLFIRTKKLDKFDGEAYVNVRKGKIIPGYELSVVLSWEGEAKDSDGKSLAKTEGTVEIPYISDENADEDPEIRVTVREDGPIEKRLKDAFIAKGKPFVLEQVRSWVTAMARGGPAKDELESKTPVKKTTVGGAAAAPAAAETAKAKEAVKEKKKPKPKEGFKTITMSEKFHCRSRDLYEILMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGTNLELQEGKLIVQKWRFGSWPDGILSTVRLTFDEPEPGVTVVKLTHTDVPEEDRYGNETVVENTERGWRDLIFHKIRAVFGFGI >itb12g08490.t1 pep chromosome:ASM357664v1:12:6686824:6687318:-1 gene:itb12g08490 transcript:itb12g08490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGFVHEHSLGLGDYVAFYIDEQKQNYVIEARKAGDRGACKAAEVLTDYGQNDDVDGGVIQNQGDASCYYPHQSFPRVDDMETTSFVYDTTFSNESSPFDFMGGSITNYSTIDPWSSFGSIESLSIDDFYSAAK >itb04g15790.t1 pep chromosome:ASM357664v1:4:17006194:17007325:-1 gene:itb04g15790 transcript:itb04g15790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSAFFRLDPIRTELNTRGGRVKLHSILMPPPEFDNNEKSDALHAMELALSLEKLVNEKLLNLYAVADKNNDDELQHFFEREFLKE >itb06g04230.t1 pep chromosome:ASM357664v1:6:6796444:6801931:1 gene:itb06g04230 transcript:itb06g04230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAAAPVHRAVATPDDSAVVKQIEKTHYPNGEEIDALSILNIAKGILDDCKSTPPTKTSEEGEGDSATLTPELQFEVYRLSVQLSYRSLEAREDVQEKTVTLFNMLSSYSWDVKVALILAAYVSSYGEYWLIAQTYRDKDQVAMGMVALLQMSDLLIDDNKKLETLFQPLHKLVKPILDLCYCIVEVKKFFLSVFASSDTRGGFPGLRATVIICSYWIIRSAVICTSYMHSLIVKDPRTTSMDGELDSLARKIQPLRNRLTDQLFKCYKTLDVIDKIEVVGRTLRTNDIDNMKVLKLLVGARDDKQTSVFDLSSEKQVSLETLKNKTVLLLISSTDDILLEKELPFLQEWYAKCDEVQRRQHAIILFPITRGQAKKWTDQSSMISKMFRVPNFYMVDDPRSIDPTVIRVLKEKFLINFQEGPPIVVAVGPSGRVVHPNALPMIWTWGSKAFPLTSQNEESLRNSETSKKVELLIKDLDDKLLEMVKEGQKSICLFGGDNKEWILRFASEAKEVANSLRVTLEMFFLGKSTDSVPKMEMIAPFLYSNKLVSESPKLSGAKMLSFWENLQRMLLSRAQYLSKKNTSVDDDEVLQGLGKLVDKSGREGWAMFIKGNHIALIGDEDVSMKTLSDFTKWKGNLERMGFEGALKDHLERVEGSPSDRPCCHLHFQHELSDEMVENIKCPECHRRLGKSTAFLCHNLMSEIA >itb01g33360.t3 pep chromosome:ASM357664v1:1:36514031:36519700:1 gene:itb01g33360 transcript:itb01g33360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKSAIGSLPETLGFNHGSTSADAGIEPQICWNNLRNSTQNLIPDYMVSSNETASGYIGSASQERQNLNGWSLGESSSSIGQNQVSENDPKPEHHWPSPMSAFPGPPRIPEERRYGPSNILSLNNAEVNINSNHTIGTGLFMQGSSSRTSPHNLNRNSGFEGDDTDDDDDDDCLVMECVTAYKSEVPVNERVPSGSTSLIPFAGPSGTGGYLAPESDGRPGSSLDGRRISCKRKALEGHVGQSSGNGSSSYFHHAESSVWHTVPAQHNVSSSSVMSSPAQNYNGVSLPEPINPRLGLSIGSAAPEGPLGLTSSRNAESSRRNFRVRINTSQQQDSSPQNLFSSNADVVNATISSDRHSSRLLNNNSLNLQPPTVTYNANPQGSVRVPALRPSAQARWNAASSSRSGNPASFPLPGERDFILFDEPSSRGVPRNMSQHPMFTPASEIGNPTQNPPNWGLANGNISIAGNAASTSRGASSSGAPNASPSWAPHRNPPQYPRRLSEFVRRSLLSSVASDSGVTGNNPPPLSGSSATSQEIALSANNGHRPSSSRSAMLLERHLAGSSGGPYSWRGLAAAGEGRSRLVSEIRNVLDLMRRGENLRIEDVMILDQSILFGMADIHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEEAITSRLKQHKYVSMKTEESMEREPCCVCQEEYNDGDDLGALDCGHEFHNECIKQWLKHKNLCPICKTTGLAT >itb01g33360.t5 pep chromosome:ASM357664v1:1:36514031:36519701:1 gene:itb01g33360 transcript:itb01g33360.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKSAIGSLPETLGFNHGSTSADAGIEPQICWNNLRNSTQNLIPDYMVSSNETASGYIGSASQERQNLNGWSLGESSSSIGQNQVSENDPKPEHHWPSPMSAFPGPPRIPEERRYGPSNILSLNNAEVNINSNHTIGTGLFMQGSSSRTSPHNLNRNSGFEGDDTDDDDDDDCLVMECVTAYKSEVPVNERVPSGSTSLIPFAGPSGTGGYLAPESDGRPGSSLDGRRISCKRKALEGHVGQSSGNGSSSYFHHAESSVWHTVPAQHNVSSSSVMSSPAQNYNGVSLPEPINPRLGLSIGSAAPEGPLGLTSSRNAESSRRNFRVRINTSQQQDSSPQNLFSSNADVVNATISSDRHSSRLLNNNSLNLQPPTVTYNANPQGSVRVPALRPSAQARWNAASSSRSGNPASFPLPGERDFILFDEPSSRGVPRNMSQHPMFTPASEIGNPTQNPPNWGLANGNISIAGNAASTSRGASSSGAPNASPSWAPHRNPPQYPRRLSEFVRRSLLSSVASDSGVTGNNPPPLSGSSATSQEIALSANNGHRPSSSRSAMLLERHLAGSSGGPYSWRGLAAAGEGRSRLVSEIRNVLDLMRRGENLRIEDVMILDQSILFGMADIHDRHRDMRLDVDNMSYEVCERKGQNLTFNIKFLHLFVFFLVTPG >itb01g33360.t6 pep chromosome:ASM357664v1:1:36514006:36519701:1 gene:itb01g33360 transcript:itb01g33360.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKSAIGSLPETLGFNHGSTSADAGIEPQICWNNLRNSTQNLIPDYMVSSNETASGYIGSASQERQNLNGWSLGESSSSIGQNQVSENDPKPEHHWPSPMSAFPGPPRIPEERRYGPSNILSLNNAEVNINSNHTIGTGLFMQGSSSRTSPHNLNRNSGFEGDDTDDDDDDDCLVMECVTAYKSEVPVNERVPSGSTSLIPFAGPSGTGGYLAPESDGRPGSSLDGRRISCKRKALEGHVGQSSGNGSSSYFHHAESSVWHTVPAQHNVSSSSVMSSPAQNYNGVSLPEPINPRLGLSIGSAAPEGPLGLTSSRNAESSRRNFRVRINTSQQQDSSPQNLFSSNADVVNATISSDRHSSRLLNNNSLNLQPPTVTYNANPQGSVRVPALRPSAQARWNAASSSRSGNPASFPLPGERDFILFDEPSSRGVPRNMSQHPMFTPASEIGNPTQNPPNWGLANGNISIAGNAASTSRGASSSGAPNASPSWAPHRNPPQYPRRLSEFVRRSLLSSVASDSGVTGNNPPPLSGSSATSQEIALSANNGHRPSSSRSAMLLERHLAGSSGGPYSWRGLAAAGEGRSRLVSEIRNVLDLMRRGENLRIEVRFLHY >itb01g33360.t1 pep chromosome:ASM357664v1:1:36514006:36519701:1 gene:itb01g33360 transcript:itb01g33360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKSAIGSLPETLGFNHGSTSADAGIEPQICWNNLRNSTQNLIPDYMVSSNETASGYIGSASQERQNLNGWSLGESSSSIGQNQVSENDPKPEHHWPSPMSAFPGPPRIPEERRYGPSNILSLNNAEVNINSNHTIGTGLFMQGSSSRTSPHNLNRNSGFEGDDTDDDDDDDCLVMECVTAYKSEVPVNERVPSGSTSLIPFAGPSGTGGYLAPESDGRPGSSLDGRRISCKRKALEGHVGQSSGNGSSSYFHHAESSVWHTVPAQHNVSSSSVMSSPAQNYNGVSLPEPINPRLGLSIGSAAPEGPLGLTSSRNAESSRRNFRVRINTSQQQDSSPQNLFSSNADVVNATISSDRHSSRLLNNNSLNLQPPTVTYNANPQGSVRVPALRPSAQARWNAASSSRSGNPASFPLPGERDFILFDEPSSRGVPRNMSQHPMFTPASEIGNPTQNPPNWGLANGNISIAGNAASTSRGASSSGAPNASPSWAPHRNPPQYPRRLSEFVRRSLLSSVASDSGVTGNNPPPLSGSSATSQEIALSANNGHRPSSSRSAMLLERHLAGSSGGPYSWRGLAAAGEGRSRLVSEIRNVLDLMRRGENLRIEDVMILDQSILFGMADIHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEEAITSRLKQHKYVSMKTEESMEREPCCVCQEEYNDGDDLGALDCGHEFHNECIKQWLKHKNLCPICKTTGLAT >itb01g33360.t2 pep chromosome:ASM357664v1:1:36514006:36519701:1 gene:itb01g33360 transcript:itb01g33360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKSAIGSLPETLGFNHGSTSADAGIEPQICWNNLRNSTQNLIPDYMVSSNETASGYIGSASQERQNLNGWSLGESSSSIGQNQVSENDPKPEHHWPSPMSAFPGPPRIPEERRYGPSNILSLNNAEVNINSNHTIGTGLFMQGSSSRTSPHNLNRNSGFEGDDTDDDDDDDCLVMECVTAYKSEVPVNERVPSGSTSLIPFAGPSGTGGYLAPESDGRPGSSLDGRRISCKRKALEGHVGQSSGNGSSSYFHHAESSVWHTVPAQHNVSSSSVMSSPAQNYNGVSLPEPINPRLGLSIGSAAPEGPLGLTSSRNAESSRRNFRVRINTSQQQDSSPQNLFSSNADVVNATISSDRHSSRLLNNNSLNLQPPTVTYNANPQGSVRVPALRPSAQARWNAASSSRSGNPASFPLPGERDFILFDEPSSRGVPRNMSQHPMFTPASEIGNPTQNPPNWGLANGNISIAGNAASTSRGASSSGAPNASPSWAPHRNPPQYPRRLSEFVRRSLLSSVASDSGVTGNNPPPLSGSSATSQEIALSANNGHRPSSSRSAMLLERHLAGSSGGPYSWRGLAAAGEGRSRLVSEIRNVLDLMRRGENLRIEDVMILDQSILFGMADIHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEEAITSRLKQHKYVSMKTEESMEREPCCVCQEEYNDGDDLGALDCGHEFHNECIKQWLKHKNLCPICKTTGLAT >itb01g33360.t4 pep chromosome:ASM357664v1:1:36514031:36519701:1 gene:itb01g33360 transcript:itb01g33360.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKSAIGSLPETLGFNHGSTSADAGIEPQICWNNLRNSTQNLIPDYMVSSNETASGYIGSASQERQNLNGWSLGESSSSIGQNQVSENDPKPEHHWPSPMSAFPGPPRIPEERRYGPSNILSLNNAEVNINSNHTIGTGLFMQGSSSRTSPHNLNRNSGFEGDDTDDDDDDDCLVMECVTAYKSEVPVNERVPSGSTSLIPFAGPSGTGGYLAPESDGRPGSSLDGRRISCKRKALEGHVGQSSGNGSSSYFHHAESSVWHTVPAQHNVSSSSVMSSPAQNYNGVSLPEPINPRLGLSIGSAAPEGPLGLTSSRNAESSRRNFRVRINTSQQQDSSPQNLFSSNADVVNATISSDRHSSRLLNNNSLNLQPPTVTYNANPQGSVRVPALRPSAQARWNAASSSRSGNPASFPLPGERDFILFDEPSSRGVPRNMSQHPMFTPASEIGNPTQNPPNWGLANGNISIAGNAASTSRGASSSGAPNASPSWAPHRNPPQYPRRLSEFVRRSLLSSVASDSGVTGNNPPPLSGSSATSQEIALSANNGHRPSSSRSAMLLERHLAGSSGGPYSWRGLAAAGEGRSRLVSEIRNVLDLMRRGENLRIEDVMILDQSILFGMADIHDRHRDMRLDVDNMSYEVCERKGQNLTFNIKFLHLFVFFLVTPG >itb11g03530.t1 pep chromosome:ASM357664v1:11:1876050:1877591:1 gene:itb11g03530 transcript:itb11g03530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MFFSCCHYLLHSFLVDAEKKALTNHKSYAFLSQHHILSSADKSHWASGSPYYQILAPVSFPSHLYSIPQRKKRTISSLKGAKEVAYSMGAAAAIVRNMNALVGPGVMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSFITLFELSFWQVLQWFPFWTFIKLVFCLWLVLPIFNGAAYIYEKYVRKYVKVGGLVNTNYPETQRKALQMMSLDARKSVERFIDTYGPDAFDRVVKAAEKEAKKMH >itb02g17740.t1 pep chromosome:ASM357664v1:2:13913963:13914904:-1 gene:itb02g17740 transcript:itb02g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLYTQRTTLTREADGTTMMEAISVEDYKSKSVIMVAESSGYDNPDDEIQILEAMPAPARIKPECSNSKPVYIDVEDAMETSASLFICEICYDAKQISDIFIIKACKHSYCSDCISKFVASKLQQNVPQINCPVSGCTGVVEPHNYRSIFPPQVFDRWGDALCEALVLASEKFYCPFKDCSALLIDDKIEVVESECPECRILLVPWYVGIVCSEFQKLHENEREKKDILLLNIANQKQWMRCPNCRVYVERVSGCPFMMCRCKCTFCYKCKARAKDHHCLNCGT >itb14g16230.t1 pep chromosome:ASM357664v1:14:19540539:19543640:-1 gene:itb14g16230 transcript:itb14g16230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGLMNFLTFLASIPILGGGIWLSSRANSTDCMKFLQWPIIVIGVAIMVVSLAGFAGACYRNTFLMYLYLWAMFFIIAALIGFVIFAYAVTDKGSGQPVFNRAYMEYYLQDYSGWLEERVTTQSYWSKIGSCVRDSHVCGKMRKFVNGAPETADMFYRRHLSPIESGCCKPPTACNYVYMNETLWSIDGGLPTADQDCVRWSNDQQLLCYNCNSCKAGVLGSLKKSWRKVSVINIVILIFLVIMYVVACAAFRHNKRMDNDESYGETRMEKEHPSRIQF >itb11g17030.t1 pep chromosome:ASM357664v1:11:16142999:16144425:1 gene:itb11g17030 transcript:itb11g17030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHASSSFHSQFIYCSDFDDTSIWDEMNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREVAFQRKEKEEKRIFSRKLRCRELGISEWPQKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECALAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWSIEMISKFFHLPVVQAARELHIGKDKLKKICTELGINQWPHRKLQYMDRLLSKFKKDFDQGEKVIELEHESEQMLANPNNELGLETQTLGESSSKKKRYQQLINLAHSTSFANSSRVPWEDEMF >itb07g19490.t1 pep chromosome:ASM357664v1:7:23932502:23933141:1 gene:itb07g19490 transcript:itb07g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGAGSPCGACKFLRRRCVPGCVFVPYFCSDDGPAIFAAIHKVFGASNVSKLLLQLPVQQRFPAVFSIGIEAQARMEDPIYGCVSHIIALQQQVLNLRAQVMEARALQAQYLLNSMNAPTMVGGQAPFPAADLNATNPSTVDGGFWALEMEAVRFPAEESSMQRASPSDVDELQALALRMTKPEPRF >itb14g13280.t1 pep chromosome:ASM357664v1:14:15230759:15233732:-1 gene:itb14g13280 transcript:itb14g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSSSSSSSRSWLLFDTNSPLASLSKILLISGVVLYIGIILLPNIPSCPSPSEIMVSPTRVAVDQTVSGGDPSPSPSPGTGRGRGGRGRTNLSHVIFGLVGSENAWHHRKAYVETWWRPNVTRGFIYLDTEPTGELVPWSPWSPPYRVSDNISRIVEVTGHVDATVARIVHGIMEVFRDCEGENEDRGLRWVVMGDDDSIFMVDNMVELLARYDHTKYYYFGGHSEFILSNFWYSFNQGFGGAGFLLSYPLAKALAKGIESCLKRYNQLRAADSTAMACIADLGINLSPVPGIHQMDLRGDASGFLSAHPNTPLMSLHHFDMIEPIFPLMDRAQSTAHLMSAANMDQTRILQQIICHHRVTNWTFSVSWGYGVHIYEKIMPRSFLQNPIVTFKDWAPSPRPPNWMFDVRQPVNDPCESPHVFFLESVKKTPNNNRILTTYKRQWRRKLPPCAFTGNHSADYVFRIRVYTPAAKRTQAERCECCDVTRVSDSSIADVKIRECMENEVIA >itb01g25110.t2 pep chromosome:ASM357664v1:1:30594624:30598237:-1 gene:itb01g25110 transcript:itb01g25110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEQQPALSNSVSSTGPSVFQHLKDIASLIETGAYAREVRRIARAVRLTMALRKKLKASTLNAFLNFILSPGSEVHSRLSSYLPKGDEHDMEVDTATSATQAPVKHSVPELEIYCYLLVLIFLIDQKKYSEAKACSSASIVRLKTLNRRTVDVLASRLYYYYSLSYELTGDLAEIRGDLLALHRIATLRHDELGQETILNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRQVAEKFSSTFSKDRTNNLIVRLRHNVIRTGLRNISIAYSRISLADVAKKLRLDSANPIADAESIVAKAIRDGAIDATLDHTNGCMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >itb01g25110.t1 pep chromosome:ASM357664v1:1:30594536:30598237:-1 gene:itb01g25110 transcript:itb01g25110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKEQQPALSNSVSSTGPSVFQHLKDIASLIETGAYAREVRRIARAVRLTMALRKKLKASTLNAFLNFILSPGSEVHSRLSSYLPKGDEHDMEVDTATSATQAPVKHSVPELEIYCYLLVLIFLIDQKKYSEAKACSSASIVRLKTLNRRTVDVLASRLYYYYSLSYELTGDLAEIRGDLLALHRIATLRHDELGQETILNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAALGFRVQCNKWAVIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRQVAEKFSSTFSKDRTNNLIVRLRHNVIRTGLRNISIAYSRISLADVAKKLRLDSANPIADAESIVAKAIRDGAIDATLDHTNGCMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >itb06g17580.t4 pep chromosome:ASM357664v1:6:21381396:21385140:1 gene:itb06g17580 transcript:itb06g17580.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQSGLDIDVRIKAEEVKAGKDMNFYLPLYKAAIRGDWEGARRFFDCDPDAVTAKITKDSETVLHVAVARSKAIYFISKLLEVMPSDALRMTNRFHETALHFAAKFGNVEAAKLLVSRDPGLPSIWNDSNCLPLHSAALFGHKVMVIYLLTVTGEHVRPSPFADEPGITLMMLLVHSGFYDVALDLLLRHPQLASTVSPGGNTSLSIIAKKPSSFPSGSCLNFWQKLIYSCVPLKMEQYSSHPTSKGSQPSTKQPGKHMNARCMPKLTFMFWEVIEGIVPLVKSLYDEKLMHCQAMELVRSLCTEVIHTNNMKSALIYKPAIILGATLGIYEIVEEILKAFPSAIWSLDREHHDLFQIAVMNRRENIFNLLRELGEHTHLVTQNIDTNDNTILHLAGKLAPPHRLNLVTGAALQMQRELQWYKNFFLYRKWRSL >itb06g17580.t5 pep chromosome:ASM357664v1:6:21381396:21385140:1 gene:itb06g17580 transcript:itb06g17580.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQSGLDIDVRIKAEEVKAGKDMNFYLPLYKAAIRGDWEGARRFFDCDPDAVTAKITKDSETVLHVAVARSKAIYFISKLLEVMPSDALRMTNRFHETALHFAAKFGNVEAAKLLVSRDPGLPSIWNDSNCLPLHSAALFGHKVMVIYLLTVTGEHVRPSPFADEPGITLMMLLVHSGFYDVALDLLLRHPQLASTVSPGGNTSLSIIAKKPSSFPSGSCLNFWQKLIYSCVPLKMEQYSSHPTSKGSQPSTKQPGKHMNARCMPKLTFMFWEVIEGIVPLVKSLYDEKLMHCQAMELVRSLCTEVIHTNNMKSALIYKPAIILGATLGIYEIVEEILKAFPSAIWSLDREHHDLFQIAVMNRRENIFNLLRELGEHTHLVTQNIDTNDNTILHLAGKLAPPHRLNLVTGAALQMQRELQWYKEVEKFVTPGYKVKENSSGKTPAMVFTEEHKGLIAEGEQWFKDTANSCTVVAVLIATVVFAAAITVPGGSNGNNGYPIFNSETPFTIFAISNTLSLFSSTTSVLMFLLILNTRFAEADFLHSLPNKLILGLVNLFLSIMFMMVAFSSAIYMVFGHKKSWIILPLAFACLPVGLFASLQLPLLVEMIKSTYGPGIFYKQQRSYFWTVTK >itb06g17580.t2 pep chromosome:ASM357664v1:6:21381140:21385140:1 gene:itb06g17580 transcript:itb06g17580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQSGLDIDVRIKAEEVKAGKDMNFYLPLYKAAIRGDWEGARRFFDCDPDAVTAKITKDSETVLHVAVARSKAIYFISKLLEVMPSDALRMTNRFHETALHFAAKFGNVEAAKLLVSRDPGLPSIWNDSNCLPLHSAALFGHKVMVIYLLTVTGEHVRPSPFADEPGITLMMLLVHSGFYDVALDLLLRHPQLASTVSPGGNTSLSIIAKKPSSFPSGSCLNFWQKLIYSCVPLKMEQYSSHPTSKGSQPSTKQPGKHMNARCMPKLTFMFWEVIEGIVPLVKSLYDEKLMHCQAMELVRSLCTEVIHTNNMKSALIYKPAIILGATLGIYEIVEEILKAFPSAIWSLDREHHDLFQIAVMNRRENIFNLLRELGEHTHLVTQNIDTNDNTILHLAGKLAPPHRLNLVTGAALQMQRELQWYKNFFLYRKWRSL >itb06g17580.t3 pep chromosome:ASM357664v1:6:21381149:21385140:1 gene:itb06g17580 transcript:itb06g17580.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYLPLYKAAIRGDWEGARRFFDCDPDAVTAKITKDSETVLHVAVARSKAIYFISKLLEVMPSDALRMTNRFHETALHFAAKFGNVEAAKLLVSRDPGLPSIWNDSNCLPLHSAALFGHKVMVIYLLTVTGEHVRPSPFADEPGITLMMLLVHSGFYDVALDLLLRHPQLASTVSPGGNTSLSIIAKKPSSFPSGSCLNFWQKLIYSCVPLKMEQYSSHPTSKGSQPSTKQPGKHMNARCMPKLTFMFWEVIEGIVPLVKSLYDEKLMHCQAMELVRSLCTEVIHTNNMKSALIYKPAIILGATLGIYEIVEEILKAFPSAIWSLDREHHDLFQIAVMNRRENIFNLLRELGEHTHLVTQNIDTNDNTILHLAGKLAPPHRLNLVTGAALQMQRELQWYKEVEKFVTPGYKVKENSSGKTPAMVFTEEHKGLIAEGEQWFKDTANSCTVVAVLIATVVFAAAITVPGGSNGNNGYPIFNSETPFTIFAISNTLSLFSSTTSVLMFLLILNTRFAEADFLHSLPNKLILGLVNLFLSIMFMMVAFSSAIYMVFGHKKSWIILPLAFACLPVGLFASLQLPLLVEMIKSTYGPGIFYKQQRSYFWTVTK >itb06g17580.t1 pep chromosome:ASM357664v1:6:21381132:21385140:1 gene:itb06g17580 transcript:itb06g17580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSQSGLDIDVRIKAEEVKAGKDMNFYLPLYKAAIRGDWEGARRFFDCDPDAVTAKITKDSETVLHVAVARSKAIYFISKLLEVMPSDALRMTNRFHETALHFAAKFGNVEAAKLLVSRDPGLPSIWNDSNCLPLHSAALFGHKVMVIYLLTVTGEHVRPSPFADEPGITLMMLLVHSGFYDVALDLLLRHPQLASTVSPGGNTSLSIIAKKPSSFPSGSCLNFWQKLIYSCVPLKMEQYSSHPTSKGSQPSTKQPGKHMNARCMPKLTFMFWEVIEGIVPLVKSLYDEKLMHCQAMELVRSLCTEVIHTNNMKSALIYKPAIILGATLGIYEIVEEILKAFPSAIWSLDREHHDLFQIAVMNRRENIFNLLRELGEHTHLVTQNIDTNDNTILHLAGKLAPPHRLNLVTGAALQMQRELQWYKEVEKFVTPGYKVKENSSGKTPAMVFTEEHKGLIAEGEQWFKDTANSCTVVAVLIATVVFAAAITVPGGSNGNNGYPIFNSETPFTIFAISNTLSLFSSTTSVLMFLLILNTRFAEADFLHSLPNKLILGLVNLFLSIMFMMVAFSSAIYMVFGHKKSWIILPLAFACLPVGLFASLQLPLLVEMIKSTYGPGIFYKQQRSYFWTVTK >itb15g15010.t2 pep chromosome:ASM357664v1:15:13509239:13512851:-1 gene:itb15g15010 transcript:itb15g15010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDRPSSSSALRDVDPLLKDLNEKKQNFRRNVVSLAAELKDMRIQLQTKEQSFARETLTRQEAENKANKMEEELNRLHKIVEEKDLQLEASTSTVEKYLSDVDDLKSKLSATQASADASAAAAQAVQLQCLELIKEHEDCLNRLGEQLDLLQKDPQVRESSQMQLKDEVSRIENHFRQELAQMRDEFKFMSSLWSLKTQELVSQLEKCRRADQQMKLKTKMLESQLEKHRRAGQQLKLKAKQLESQVYSHQSSLVFLILAKFSGFFILANLDLFLLNDFHFICGNSWKSIR >itb15g15010.t1 pep chromosome:ASM357664v1:15:13509239:13512851:-1 gene:itb15g15010 transcript:itb15g15010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDRPSSSSALRDVDPLLKDLNEKKQNFRRNVVSLAAELKDMRIQLQTKEQSFARETLTRQEAENKANKMEEELNRLHKIVEEKDLQLEASTSTVEKYLSDVDDLKSKLSATQASADASAAAAQAVQLQCLELIKEHEDCLNRLGEQLDLLQKDPQVRESSQMQLKDEVSRIENHFRQELAQMRDEFKFMSSLWSLKTQELVSQLEKCRRADQQMKLKTKMLESQLEKHRRAGQQLKLKAKQLESQLEKHQIAEQQLKKRVLDLEFCLHKMGGQHEALKEIRDQLASKNGGQSSNEKQNFWDNSGFKIVVSISMVVLVLFTKR >itb04g17550.t1 pep chromosome:ASM357664v1:4:20484702:20489114:-1 gene:itb04g17550 transcript:itb04g17550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGYGSRSRSRHSSVVAVAEAEEAPEGSQFGDEGLRQSCWNNMPVELLREVLLKIEESEAKWPMRKSVVACAGMCSSWREIMKEAVQTPEASAKITFPISVKQPGPRDSLMQCFIKRNQSSQTYHLYLSLTQALADDGKFLLAARKYRRTTCTDYIISLQVDDMSKGSGTYIGKLRSNFLGTKFTVYDASLPHAGAKMVKSRSTKLVALKQIAPRVPVSNYEVAHISYELNVLGARGPRRMHCVMDSIPASAIKPGGVAPTQTDFPASNNDSFSTIPFSRSKSASMEKSLSGPLESQTEGALVLRNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVSGPEHEKVILQFGKVAKDVFTMDFRYPLSAFQAFAICLSSFDTKIACE >itb04g17550.t3 pep chromosome:ASM357664v1:4:20485192:20489040:-1 gene:itb04g17550 transcript:itb04g17550.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGYGSRSRSRHSSVVAVAEAEEAPEGSQFGDEGLRQSCWNNMPVELLREVLLKIEESEAKWPMRKSVVACAGMCSSWREIMKEAVQTPEASAKITFPISVKQPGPRDSLMQCFIKRNQSSQTYHLYLSLTQALADDGKFLLAARKYRRTTCTDYIISLQVDDMSKGSGTYIGKLRSNFLGTKFTVYDASLPHAGAKMVKSRSTKLVALKQIAPRVPVSNYEVAHISYELNVLGARGPRRMHCVMDSIPASAIKPGGVAPTQTDFPASNNDSFSTIPFSRSKSASMEKSLSGPLESQTEGALVLRNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVSGPEHEKVILQFGKVAKDVFTMDFRYPLSAFQAFAICLSSFDTKIACE >itb04g17550.t2 pep chromosome:ASM357664v1:4:20484745:20489001:-1 gene:itb04g17550 transcript:itb04g17550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSGFVNLCFRARNLLFPHENGVDVRKDKRVVETGGEMKWGYGSRSRSRHSSVVAVAEAEEAPEGSQFGDEGLRQSCWNNMPVELLREVLLKIEESEAKWPMRKSVVACAGMCSSWREIMKEAVQTPEASAKITFPISVKQPGPRDSLMQCFIKRNQSSQTYHLYLSLTQALADDGKFLLAARKYRRTTCTDYIISLQVDDMSKGSGTYIGKLRSNFLGTKFTVYDASLPHAGAKMVKSRSTKLVALKQIAPRVPVSNYEVAHISYELNVLGARGPRRMHCVMDSIPASAIKPGGVAPTQTDFPASNNDSFSTIPFSRSKSASMEKSLSGPLESQTEGALVLRNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGVSGPEHEKVILQFGKVAKDVFTMDFRYPLSAFQAFAICLSSFDTKIACE >itb04g17800.t1 pep chromosome:ASM357664v1:4:21080563:21082053:-1 gene:itb04g17800 transcript:itb04g17800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFMASPLLCLSLHQTSKLEASAISEAPSSSIIPQVSPDIAPLLPSPSAGSSMPTIPSNPSKNPDETLPVIPDSAFAPSQSLPDSSAVSLSSSTCFLFGSSLFILTLSVVK >itb04g17800.t2 pep chromosome:ASM357664v1:4:21080299:21081166:-1 gene:itb04g17800 transcript:itb04g17800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCFNFVVVMMAFMASPLLCLSLHQTSKLEASAISEAPSSSIIPQVSPDIAPLLPSPSAGSSMPTIPSNPSKNPDETLPVIPDSAFAPSQSLPDSSAVSLSSSTCFLFGSSLFILTLSVVK >itb05g06100.t1 pep chromosome:ASM357664v1:5:6146715:6152636:-1 gene:itb05g06100 transcript:itb05g06100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVWFSAIAILLHLLRPCAATSAVAKKTYIVHMKHHEKPSSFETHRDWYAAHLQSSEDSLLYTYTTAYHGFAAALGADEVERLRRSEAVVGVYEDSVYSLHTTRTPEFLGLDAGFGLGAGRGSPEGAGQDVIVGVLDTGVWPESKSFDDSGMADVPARWRGRCDSAPDFDPKTHCNKKLIGARFYTSGSRMASGRRIHGGESDSPRDREGHGTHTASTAAGSHVTNASLFGYASGIARGMAPLARVATYKVCEKTGCFGSDILAGMEQAILDGVDILSLSLGGGSAPYFRDTIAIGAFSAMEKGIFVSCSAGNSGPTKSSLANVAPWIMTVGAGTIDRDFPAYATLGNGEKFAGVSLYSGKGMGKQQVGLVYNSKSNGGASNLCLPGSLDPKMVKGKVVLCDRGSNARVEKGVVVRQAGGVGMILANTVASGEELVADSHMVPAVAVGRKMGDVIREYVKTAKSPTAALSFGGTVVNVKPSPVVAAFSSRGPNTVTPQILKPDVIGPGVNILAAWSQAVGPTGLVADSRRTPFNIMSGTSMSCPHISGLAALLKAAHPEWSPGAIKSALMTTAYTHDNTNSLIRDAEGDEFSNPWAHGSGHVDPHKALSPGLVYDIAPTDYIMFLCSLDYTTQQIQAIVKAPNITCAKKLSNPGQLNYPSFSVLFGKSRVVRQTRELTNVGAAGTSYEVAIEAPPSVMVTVKPTKLFFKNVGDKLRYTVTFVSKKGVVTPSNAAFGSVSWNNAQNQVRSPIALSWTQLF >itb07g13590.t1 pep chromosome:ASM357664v1:7:15865579:15866233:1 gene:itb07g13590 transcript:itb07g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSRANGKRKSGMNLKVVVEKLQKSFVLGKSKRSVANHVDEFEDSKDVTNDVKAAHFAVMVVDDDERRLKRFIVPLSCLRHPCFLRLLEKAAEEYGFEHEGALMLLCSPTELENIIAKQKQCNGKPDWNSPTSQEL >itb03g01650.t1 pep chromosome:ASM357664v1:3:926708:929645:-1 gene:itb03g01650 transcript:itb03g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSREVSGPSRPTITIPPRAPFDTTLFSLGSIPGFSPGPMTLMSTFFSDSDACSFSQLLAGAMASPLANPTLLPDKDGDSGPGCEKLSGYKQKQPMSLLVAQSPLFMVSPRFSPSGLLNSPGFLSPLQSPFGMSHQQALAHVTAQAAFNQSYKQMQVEYQHSSSVEGTGHQTSSSMPNQAVQGEAANVALDTESLKVETSELSQVDSKVSSGAIEKPASDGYNWRKYGQKLVKGSECPRSYYRCTHLNCAVRKKVERSPDGHIIEITYKGKHNHELPKSNKRKQDDCDQESREDNSREKHPSASRSWTETNRSNKVVCSQPELQSEQLTVASKRDEMEETATVLDEKDDGEPNAERRISEAGSSVLPSSHKTVMEPKIIVQTRSEVDLLDDGYKWRKYGQKVVKGNANPRSYYRCTYKGCNVRKHVERASTDPKAVITAYEGKHNHDTPNAPNNNHTVTKNSSIQQLKQQKLVAA >itb12g13850.t3 pep chromosome:ASM357664v1:12:12903908:12910652:1 gene:itb12g13850 transcript:itb12g13850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKLQELCHKQSWNQPEYRTVKEGPDHNPQFGATVIVNGIPFKTPDNHCRSSKEATNLAACIALEHFTGSKLTVPQQSQFPPIASSSSGHTKNEIAGRNNETNSQTPEFGSTPLVHKEICKSSGHTKNEIAGRNNETNSQTPEFGSTPLVRKEICKSSDIQHMYKNQLQQYAQKQNIILPKYSCEVEGPPHACRFRSKVAFGGKIFESHEFFSTLKEAEQAAAKIALEALSPQEIQKGAGLYKTLLQQLEQKQGGMFPVYKTIQSGPPHAPTFVATVVVGGETYQGQEAKSKKEAEMSAAEVAYNSCLKGTDNASKRQKMSAAPGTHVHAQSSASPSNISSAPKSHSLTPLQHTTDPAVESVIQSSSEFYAKEMDKIEVSQPNSNEAVSGHASTLPSIQSLPDNQSSTPLTPEHLTCSIQEFDQKRPAVRDMILVYPNASNKVLPVPENASILPCSNNKWTAVGVELNQNEGQNYCPSKSCV >itb12g13850.t1 pep chromosome:ASM357664v1:12:12903908:12910711:1 gene:itb12g13850 transcript:itb12g13850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKLQELCQRYWVALPEYTSVKVGPDHDARFTATVTVNGISFQSPPNQCRSAKDAQNLASRFAFEYFTANPHLLHSTHPQHSATRPPDLVVAPVLQSLSPPLQPSPAPIHLVADSSLLPPQFAQIPNSAWLAGPQPVRPPLLQQTPPSLPPKSLSEASTPNTNVGQSKESTAQASVVTPLYSTEPANLKGMFKSKLQELCHKQSWNQPEYRTVKEGPDHNPQFGATVIVNGIPFKTPDNHCRSSKEATNLAACIALEHFTGSKLTVPQQSQFPPIASSSSGHTKNEIAGRNNETNSQTPEFGSTPLVHKEICKSSGHTKNEIAGRNNETNSQTPEFGSTPLVRKEICKSSDIQHMYKNQLQQYAQKQNIILPKYSCEVEGPPHACRFRSKVAFGGKIFESHEFFSTLKEAEQAAAKIALEALSPQEIQKGAGLYKTLLQQLEQKQGGMFPVYKTIQSGPPHAPTFVATVVVGGETYQGQEAKSKKEAEMSAAEVAYNSCLKGTDNASKRQKMSAAPGTHVHAQSSASPSNISSAPKSHSLTPLQHTTDPAVESVIQSSSEFYAKEMDKIEVSQPNSNEAVSGHASTLPSIQSLPDNQSSTPLTPEHLTCSIQEFDQKRPAVRDMILVYPNASNKVLPVPENASILPCSNNKWTAVGVELNQNEGQNYCPSKSCV >itb12g13850.t2 pep chromosome:ASM357664v1:12:12903908:12910652:1 gene:itb12g13850 transcript:itb12g13850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKLQELCQRYWVALPEYTSVKVGPDHDARFTATVTVNGISFQSPPNQCRSAKDAQNLASRFAFEYFTANPHLLHSTHPQHSATRPPDLVVAPVLQSLSPPLQPSPAPIHLVADSSLLPPQFAQIPNSAWLAGPQPVRPPLLQQTPPSLPPKSLSEASTPNTNVGQSKESTAQASVVTPLYSTEPANLKGMFKSKLQELCHKQSWNQPEYRTVKEGPDHNPQFGATVIVNGIPFKTPDNHCRSSKEATNLAACIALEHFTGSKLTVPQQSQFPPIASSSSGHTKNEIAGRNNETNSQTPEFGSTPLVHKEICKSSGHTKNEIAGRNNETNSQTPEFGSTPLVRKEICKSSDIQHMYKNQLQQYAQKQNIILPKYSCEVEGPPHACRFRSKVAFGGKIFESHEFFSTLKEAEQAAAKIALEALSPQEIQKGAGLYKTLLQQLEQKQGGMFPVYKTIQSGPPHAPTFVATVVVGGETYQGQEAKSKKEAEMSAAEVAYNSCLKGTDNASKRQKMSAAPGTHVHAQSSASPSNISSAPKSHSLTPLQHTTDPAVESVIQSSSEFYAKEMDKIEVSQPNSNEAVSGV >itb12g13850.t4 pep chromosome:ASM357664v1:12:12904095:12910609:1 gene:itb12g13850 transcript:itb12g13850.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKLQELCQRYWVALPEYTSVKVGPDHDARFTATVTVNGISFQSPPNQCRSAKDAQNLASRFAFEYFTANPHLLHSTHPQHSATRPPDLVVAPVLQSLSPPLQPSPAPIHLVADSSLLPPQFAQIPNSAWLAGPQPVRPPLLQQTPPSLPPKSLSEASTPNTNVGQSKESTAQASVVTPLYSTEPANLKGMFKSKLQELCHKQSWNQPEYRTVKEGPDHNPQFGATVIVNGIPFKTPDNHCRSSKEATNLAACIALEHFTGSKLTVPQQSQFPPIASSSSGRNNETNSQTPEFGSTPLVHKEICKSSGHTKNEIAGRNNETNSQTPEFGSTPLVRKEICKSSDIQHMYKNQLQQYAQKQNIILPKYSCEVEGPPHACRFRSKVAFGGKIFESHEFFSTLKEAEQAAAKIALEALSPQEIQKGAGLYKTLLQQLEQKQGGMFPVYKTIQSGPPHAPTFVATVVVGGETYQGQEAKSKKEAEMSAAEVAYNSCLKGKLETFPRLTDTVAHKMHKYHKRKVEPRRDKKYRAIHLFILSVSRNAVS >itb12g13850.t5 pep chromosome:ASM357664v1:12:12903939:12910711:1 gene:itb12g13850 transcript:itb12g13850.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKLQELCQRYWVALPEYTSVKVGPDHDARFTATVTVNGISFQSPPNQCRSAKDAQNLASRFAFEYFTANPHLLHSTHPQHSATRPPDLVVAPVLQSLSPPLQPSPAPIHLVADSSLLPPQFAQIPNSAWLAGPQPVRPPLLQQTPPSLPPKSLSEASTPNTNVGQSKESTAQASVVTPLYSTEPANLKGMFKSKLQELCHKQSWNQPEYRTVKEGPDHNPQFGATVIVNGIPFKTPDNHCRSSKEATNLAACIALEHFTGSKLTVPQQSQFPPIASSSSGHTKNEIAGRNNETNSQTPEFGSTPLVHKEICKSSGHTKNEIAGRNNETNSQTPEFGSTPLVRKEICKSSDIQHMYKNQLQQYAQKQNIILPKYSCEVEGPPHACRFRSKVAFGGKIFESHEFFSTLKEAEQAAAKIALEALSPQEIQKGAGLYKTLLQQLEQKQGGMFPVYKTIQSGPPHAPTFVATVVVGGETYQGQEAKSKKEAEMSAAEVAYNSCLKGKLETFPRLTDTVAHKMHKYHKRKVEPRRDKKYRAIHLFILSVSRNAVS >itb10g00140.t3 pep chromosome:ASM357664v1:10:96522:102028:-1 gene:itb10g00140 transcript:itb10g00140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MICSNHEIEPSRHIPSMCRVYMKSKYKLGLHLEPPLPCASSRTSAPQAITTAPPQSTAPRPANRVASPPLRQRRARRPPALLQQACFGILSSYGRDSFKFRKSDPRCHFKGPICSAFQQSSLFFMGQWEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb10g00140.t10 pep chromosome:ASM357664v1:10:96194:102002:-1 gene:itb10g00140 transcript:itb10g00140.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVESVNDIAFSPFFQGIQIVLLLCHTTMMDSF >itb10g00140.t11 pep chromosome:ASM357664v1:10:96194:102002:-1 gene:itb10g00140 transcript:itb10g00140.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFENPIFSFQGIQIVLLLCHTTMMDSF >itb10g00140.t8 pep chromosome:ASM357664v1:10:98344:101994:-1 gene:itb10g00140 transcript:itb10g00140.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVYMKSKYKLGLHLEPPLPCASSRTSAPQAITTAPPQSTAPRPANRVASPPLRQRRARRPPALLQACFGILSSYGRDSFKFRKSDPRCHFKGPICSAFQQSSLFFMGQWEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGIMKTF >itb10g00140.t4 pep chromosome:ASM357664v1:10:96522:102028:-1 gene:itb10g00140 transcript:itb10g00140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MICSNHEIEPSRHIPSMCRVYMKSKYKLGLHLEPPLPCASSRTSAPQAITTAPPQSTAPRPANRVASPPLRQRRARRPPALLQGLDPIQQACFGILSSYGRDSFKFRKSDPRCHFKGPICSAFQQSSLFFMGQWEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb10g00140.t9 pep chromosome:ASM357664v1:10:98344:101994:-1 gene:itb10g00140 transcript:itb10g00140.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGIMKTF >itb10g00140.t7 pep chromosome:ASM357664v1:10:96194:102002:-1 gene:itb10g00140 transcript:itb10g00140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb10g00140.t1 pep chromosome:ASM357664v1:10:96194:102028:-1 gene:itb10g00140 transcript:itb10g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb10g00140.t5 pep chromosome:ASM357664v1:10:96194:102002:-1 gene:itb10g00140 transcript:itb10g00140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb10g00140.t2 pep chromosome:ASM357664v1:10:96194:102002:-1 gene:itb10g00140 transcript:itb10g00140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSNHEIEPSRHIPSMCRVYMKSKYKLGLHLEPPLPCASSRTSAPQAITTAPPQSTAPRPANRVASPPLRQRRARRPPALLQACFGILSSYGRDSFKFRKSDPRCHFKGPICSAFQQSSLFFMGQWEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb10g00140.t6 pep chromosome:ASM357664v1:10:96218:102028:-1 gene:itb10g00140 transcript:itb10g00140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPLSFENPIRDAISRVRFAPHSNNLLFSSWDNSLRLYDVESSKLMLEFPGEAALLDCCLENEFVAFSAASDGSIHRYDMHSGHKSAIGNHDDMATFVEYSPETCQLVTTGWDKNIIFWDAQSAKSVGRLNNLTSEPESMSLSGFNLMVAIDSSVIIYDFRSLRKSVHTKDVRIKCVRPILRYEGFVVGSVDGRVALEYICESNLDKGYAFRCHPKGKDGRHRVENTGSFVTGDNEGYVIMWDACYKKRLFEFSRYPNSIASLSYNHDGFLLAVASSYTYQEAGEREELPQIFIHKVQNIQSESPSVGAS >itb13g05980.t1 pep chromosome:ASM357664v1:13:7065952:7067225:-1 gene:itb13g05980 transcript:itb13g05980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAFLIALAVIAAVAAPALGEDFIVGDDNGWKNNNFDYAAWAQSKEFHVGDRLVFKYTPGSHNVFKVDGAGFKDCKAPLGSDPLKSGNDAIELKQEGKKWYICGVGDHCSVGGMKLVINVLPAGGVGAPSQPPTSPPTPSSPAPSAASDIAASKFAAWSFAALCGVLFFMFLA >itb04g12100.t1 pep chromosome:ASM357664v1:4:11864232:11878106:1 gene:itb04g12100 transcript:itb04g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVAEKPSIALSIASVLSGGQMSTRRGSTDVHEFDGMFLGSRAQFRVTSVIGHVFSVDFPASYQDWASTDPLSLFQAPIRKAETNPKAHICRHISQEARGCGHLVLWLDCDREGENICFEVIECTGFPWNDAKRVYRARFSSVTEKDILKAMNNLVLPNRDEALSVDARQEIDLKVGVAFTRFQTSYFNGKYGNLDARVISYGPCQTPTLGFCVERYLQITTFKPEKFWMVHPYIMYKGYELKLGWERNRLFDADVAMMFRDVIKEGGIMKVVRISENHESKARPSGLNTVNLLKVASSALGFGPHLAMQLAERLYTQGFISYPRTESTAYPSSFDFRGTLGAMGHNPVFGDYAQKLLSEGYLKPRAGTDVGDHPPITPMRSAAEDTLGNDAWKLYQYICQHFLATLSPDCKYKRIKIDFECCGEFFHCIGQLVTAKGFTSIMPWLAISDKNLPEFSEGEKIAISKVELDEGNTSPPDHLSESELISLMEKHGIGTDASIPVHINNICERNYVQVQAGRRLVPTPLGISLIRGYQCIDPDLCLPDIRSFIEHQITLVAKGQADHSLVVQHVLEQFKRKFIYFVKQIENMDALFEAQFSPLSESGRLLSKCGKCLRYMKYVSTQPSRLYCNTCEEVYYVPQKGAVKLYKELTCPLDNFELLLYSMPGPEGKSFPFCPYCYNCPPFEGIDTLFGAPKSGGTAGAAKLGKGVGMPCFLCPHPTCQHSMITQGVCACPECSGTLVLDPVSAPKWRLYCNTCNCLVFLPEGAHRIATTRDRCPECDSTIIEVDFNKKTTPLKDGSTLHKGCILCDELLHSIVEMKHGKFSRYSRGRGRGRGRGRGRGRGRGGRKQDPKMSFRDF >itb08g16640.t1 pep chromosome:ASM357664v1:8:18674353:18675948:1 gene:itb08g16640 transcript:itb08g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNQLGWIPLVKDALAGYNTSLLAYGQTGSEKTYTLWGPPSAMVETPSTNSLQGIVRRIFQVLFDNIQRVYNGHIGDLLDPMQRNLKLLEWNGNKDCEQEIVKEIQGEVDHDDTGKCFDMAERDALPT >itb03g03210.t1 pep chromosome:ASM357664v1:3:1839262:1840729:-1 gene:itb03g03210 transcript:itb03g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQNTEQKSFGAPTSPRISFSNNLVEFIANNNARSSSHLQMIKNERYAGAAPVSSDFEFSVANSSLIMSGADELFSNGRLLPFKQHAQKNTLRDELLAQDDEEGDDFSLRPPKSSNKWKGLLGLRKSKKVDKNEEKTEEIHGTKTSQETLHGGGASIGFKG >itb03g20630.t1 pep chromosome:ASM357664v1:3:18514780:18515133:1 gene:itb03g20630 transcript:itb03g20630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEINGIMVLKNAVKLPDSHTWVVDSDSPIINCSSTQESVPLIDLDDPQAVEKIKMACENWGVFQVTNHGVPVALLAQIEHQTRRFFELAPEQKLRVMRSPGSPAGYGPPPDFAVF >itb07g04370.t2 pep chromosome:ASM357664v1:7:2938203:2940838:1 gene:itb07g04370 transcript:itb07g04370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANASMDIPAKGGFSFDLCRRNDMLANKGLRPPSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLKLHRYHTGRESRVVTALTLLKSHLFSYQGYVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESRYREGLNKEEGIQLVTDAILAGIFNDLGSGSNVDVCVITKGHAEYIRNHKLPNPRTYPQKGYAFPKKTEVIMTKITPLRDMVEVIEGGEAMEE >itb07g04370.t1 pep chromosome:ASM357664v1:7:2938187:2940838:1 gene:itb07g04370 transcript:itb07g04370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASMDIPAKGGFSFDLCRRNDMLANKGLRPPSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLKLHRYHTGRESRVVTALTLLKSHLFSYQGYVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESRYREGLNKEEGIQLVTDAILAGIFNDLGSGSNVDVCVITKGHAEYIRNHKLPNPRTYPQKGYAFPKKTEVIMTKITPLRDMVEVIEGGEAMEE >itb13g18370.t2 pep chromosome:ASM357664v1:13:25333105:25337878:-1 gene:itb13g18370 transcript:itb13g18370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKLMQGEIWMVPNSKGFDQSVAIILPFQLKPSIVLDISGESSNRTNPYFLFEGLEVLLADYDDLNRAVTCRLLEKLGCIVSTVSSGYDCLGALGHGVSSFQVVLLELNLPDLDGFELTMRIRKFQSHGFPLIIALTASSNEDVIGRCLQVGMNGIIRKPVLLQGIADELQRVLYSQTESYHLQNE >itb13g18370.t9 pep chromosome:ASM357664v1:13:25333105:25337689:-1 gene:itb13g18370 transcript:itb13g18370.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWVFIVDCEFGFPGMMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKVCLPFLIALYI >itb13g18370.t4 pep chromosome:ASM357664v1:13:25333993:25337878:-1 gene:itb13g18370 transcript:itb13g18370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGYSSCGRESLEDQRRRVP >itb13g18370.t7 pep chromosome:ASM357664v1:13:25333105:25337689:-1 gene:itb13g18370 transcript:itb13g18370.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWVFIVDCEFGFPGMMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKLMQGEIWMVPNSKGFDQSVAIILPFQLKPSIVLDISGESSNRTNPYFLFEGLEVLLADYDDLNRAVTCRLLEKLGCIVSTVSSGYDCLGALGHGVSSFQVVLLELNLPDLDGFELTMRIRKFQSHGFPLIIALTASSNEDVIGRCLQVGMNGIIRKPVLLQGIADELQRVLYSQTESYHLQNE >itb13g18370.t8 pep chromosome:ASM357664v1:13:25333993:25337878:-1 gene:itb13g18370 transcript:itb13g18370.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWVFIVDCEFGFPAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKLMQGEIWMVPNSKGFDQSVAIILPFQLKPSIVLDISGESSNRTNPYFLFEGLEVLLADYDDLNRAVTCRLLEKLGCIVSTVSSGYDCLGALGHGVSSFQVVLLELNLPDLDGFELTMRIRKFQSHGFPLIIALTASSNEDVIGRCLQVGMNGIIRKPVLLQGIADELQRVLYSQTESYHLQNE >itb13g18370.t5 pep chromosome:ASM357664v1:13:25333105:25337689:-1 gene:itb13g18370 transcript:itb13g18370.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWVFIVDCEFGFPGMMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKLMQGEIWMVPNSKGFDQSVAIILPFQLKPSIVLDISGESSNRTNPYFLFEGLEVLLADYDDLNRAVTCRLLEKLGCIVSTVSSGYDCLGALGHGVSSFQVVLLELNLPDLDGFELTMRIRKFQSHGFPLIIALTASSNEDVIGRCLQVGMNGIIRKPVLLQGIADELQRVLYSQTESYHLQNE >itb13g18370.t3 pep chromosome:ASM357664v1:13:25333993:25337878:-1 gene:itb13g18370 transcript:itb13g18370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGYSSCGRESLEDQRRRVP >itb13g18370.t1 pep chromosome:ASM357664v1:13:25333105:25337878:-1 gene:itb13g18370 transcript:itb13g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLLASGLFISSFLIVLAAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKLMQGEIWMVPNSKGFDQSVAIILPFQLKPSIVLDISGESSNRTNPYFLFEGLEVLLADYDDLNRAVTCRLLEKLGCIVSTVSSGYDCLGALGHGVSSFQVVLLELNLPDLDGFELTMRIRKFQSHGFPLIIALTASSNEDVIGRCLQVGMNGIIRKPVLLQGIADELQRVLYSQTESYHLQNE >itb13g18370.t6 pep chromosome:ASM357664v1:13:25333993:25337878:-1 gene:itb13g18370 transcript:itb13g18370.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFWVFIVDCEFGFPAADNGVRCNCDDIEGVWSIESILECQKVSDFLIAVAYFSIPIELLYFISCSNIPLKLVLFEFIAFIVLCGMTHLLSGWTYYGQHPFQLMLALTVFKVLTAMVSFATAITLITFIPLLLKVKVREIMLKKKAQDLGREVGMIKKQKEAGWHVRMLTQEIRKSLDRHTILYTTLIELSKTLDLCTCAIWMPNEGKTEMNLTHEVRGKDFSNLYNYSIPILDPDVQEIKKSVEVKLLDPKSALADASSGGTSEPGGVAAIRMPMLRVANFKGGTPELVPACYAILVLVIPAGQGRCWGNQEIEILKVVADQVAVAISHAAVLEESQHMREKLVEQNRSLQQAQKDALRANQARNGFQMVMSNGMRRPMHSISGLLSILHDEKLNREQKLLVDAMSKTSNVLSNLVNDVMDTSTKDNRKFPLDFRSFQLHSMIKEAACLIKCLCAFKGNDFAVEVDRSLPNRVMGDERRVFQVILHVVGNLLKISEGGCLKFRVVPEKASQGGNDFRWKTWRSNSSSENVYIRLEIGICSYKSRTEGATSNVSSQKYGSREVEDGLSFSLCRKLVKLMQGEIWMVPNSKGFDQSVAIILPFQLKPSIVLDISGESSNRTNPYFLFEGLEVLLADYDDLNRAVTCRLLEKLGCIVSTVSSGYDCLGALGHGVSSFQVVLLELNLPDLDGFELTMRIRKFQSHGFPLIIALTASSNEDVIGRCLQVGMNGIIRKPVLLQGIADELQRVLYSQTESYHLQNE >itb14g09500.t3 pep chromosome:ASM357664v1:14:9930103:9933365:-1 gene:itb14g09500 transcript:itb14g09500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRINQEILNLLDGEEIARSVYYFPHSELRPAWGIIFCGMHFRYSGFHSIKNWGTSCSFSDIAQKKTKLAPLQEKEKALIMTMTVDAFCKLVKNQADVAQLITKMVLTLVQIYYMQWRSLFLYLQQLSDYPLPFWLALFVEGIRFTQAKLLAAQEYATSTGLLVPRNFLIPRIKVLVLGQPGEEVSLQLILRVVELLLMLVCLQQLVLYAWVLNDRNINAYLSGV >itb14g09500.t2 pep chromosome:ASM357664v1:14:9930103:9933365:-1 gene:itb14g09500 transcript:itb14g09500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRINQEILNLLDGEEIARSVYYFPHSELRPAWGIIFCGMHFRYSGFHSIKNWGTSCSFSDIAQKKTKLAPLQEKEKALIMTMTVDAFCKLVKNQADVAQLITKMVLTLVQIYYMQWRSLFLYLQQLSDYPLPFWLALFVEGIRFTQAKLLAAQEYATSTGLLVPRNFLIPRIKVLVLGQPGEEVSLQLILRVVELLLMLVCLQQLVLYAWVLNDRNINAYLSGV >itb14g09500.t1 pep chromosome:ASM357664v1:14:9930103:9933365:-1 gene:itb14g09500 transcript:itb14g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRINQEILNLLDGEEIARSVYYFPHSELRPAWGIIFCGMHFRYSGFHSIKNWGTSCSFSDIAQKKTKLAPLQEKEKALIMTMTVDAFCKLVKNQADVAQLITKMVLTLVQIYYMQWRSLFLYLQQLSDYPLPFWLALFVEGIRFTQAKLLAAQEYATSTGLLVPRNFLIPRIKVLVLGQPGEEVSLQLILRVVELLLMLVCLQQLVLYAWVLNDRNINAYLSGV >itb12g20980.t1 pep chromosome:ASM357664v1:12:23393098:23398208:-1 gene:itb12g20980 transcript:itb12g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLYLTWMLYGVAGTVLAVMALLVAFQDRFVYEPVLPGVPKSYHITPARLRLLYEDVWLRSSDGVHLHAWFIKFFPHRRGPTVLFFQENAGNIAHRLEMIRKLLRKLHCNVFMLSYRGYGASDGYPSQHGITKDAQAAIDHLIQRTDIDTSRIVVFGRSLGGAVGAVLAKNNPDKVSALILENTFTSILDMAGILVPFLKWFIGGSDSKGLKLLNFLVRSPWNTIDVVGQ >itb12g20980.t2 pep chromosome:ASM357664v1:12:23393098:23398208:-1 gene:itb12g20980 transcript:itb12g20980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLYLTWMLYGVAGTVLAVMALLVAFQDRFVYEPVLPGVPKSYHITPARLRLLYEDVWLRSSDGVHLHAWFIKFFPHRRGPTVLFFQENAGNIAHRLEMIRKLLRKLHCNVFMLSYRGYGASDGYPSQHGITKDAQAAIDHLIQRTDIDTSRIVVFGRSLGGAVGAVLAKNNPDKVSALILENTFTSILDMAGILVPFLKWFIGGSDSKGLKLLNFLVRSPWNTIDVVGQIKQPILFLSGLQDELVPPSHMEMLYARAAACNRQCLFVKFPTGMHMDTWLAGGDKYWRTIQQFMEQTVSDKKDDELQGEEYHKSNVMKCCSV >itb14g05940.t1 pep chromosome:ASM357664v1:14:5190940:5192484:-1 gene:itb14g05940 transcript:itb14g05940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRKYDVRKRDPDPKSAVLLVIDMQNYFYSMAKPILPAIKATIDLCREQSMRVIFTRHRHKSPDDYGMLYEWWDGDLIMDGTVEAELIPQLGPTDSDLVVEKNTYSAFTGTNLEQSLSEMGAKEVIVTGVMTNLCCETTAREAFVRGFRVFFSTDATATSSAELHDATLKNMAYGFAYLVDCKRLQDAFSKSQLEEE >itb04g26470.t1 pep chromosome:ASM357664v1:4:30805433:30807697:1 gene:itb04g26470 transcript:itb04g26470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICARRVVVDARHHMLGRLASILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYHRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGKLSSEVGWNHYDTIKILENKRKERSQAAYERKKQLTKLRIKAEKAAEEKLGSQLDILTSVKY >itb01g34400.t2 pep chromosome:ASM357664v1:1:37126656:37130289:-1 gene:itb01g34400 transcript:itb01g34400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGLVTTTTVNSPHLRKSGSRVVVSDPVELGNSVEVFSDAIEVNEMKGVSAPLSTTAILPSPVLLWRFKVLLFFVWGFACCKISWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWFWGVNLWVFMQSNVNYAKIFDVDQNHLSHREIWRCATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYAALAMALISPFDIFYLSTRYFFLRTFWRIVFPLQAITFPDFFVADIFTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPIVLVLPYFFRLFQCLRQYKDTREKNALFNGIWQYG >itb01g34400.t1 pep chromosome:ASM357664v1:1:37125589:37130330:-1 gene:itb01g34400 transcript:itb01g34400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGLVTTTTVNSPHLRKSGSRVVVSDPVELGNSVEVFSDAIEVNEMKGVSAPLSTTAILPSPVLLWRFKVLLFFVWGFACCKISWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWFWGVNLWVFMQSNVNYAKIFDVDQNHLSHREIWRCATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYAALAMALISPFDIFYLSTRYFFLRTFWRIVFPLQAITFPDFFVADIFTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPIVLVLPYFFRLFQCLRQYKDTREKNALFNALKYSTAVPVIFLSALKYHVFPDNWVNIYRPLWLLSSVLNSLYSFYWDLNRDWDLSCFTRIFKFNKPTFLSNVLYGRQWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTLFTITALEIFRRFQWAFFRVENEWNKMTNKSNVQLSMTDMSSDEEKLLNSSNHNV >itb06g15950.t1 pep chromosome:ASM357664v1:6:20184503:20185290:1 gene:itb06g15950 transcript:itb06g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL7 [Source:Projected from Arabidopsis thaliana (AT1G79800) UniProtKB/TrEMBL;Acc:A0A178W8V4] MCSNFLPFYCSLLVLLAVTTPTIAAEEFQVGGAVGWRRPDLNHTQIYDQWASHRRFHVGDSIRFEYKNDTVVEVEKWAYYHCDTSRPISAVSSDGNTTVNLDKAGAFYFVSGDYEHCKDGQRLHLDVFPLHQDAIPKPPPHSNSDPIPLFPIKFFSLLLLTFNAAFLQ >itb06g23510.t1 pep chromosome:ASM357664v1:6:25443035:25443574:-1 gene:itb06g23510 transcript:itb06g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIEGDFVGAESCVDLRAEMEEEVVGGGHGFKRKERVRVVKREYPPPLPWLARTGSSPTTQMPWVMKRSYTEDGRLIITEEKAERCQFFRIRRSNRRLRLDLVQIPVPFWNRPGDEKFVSAAEMHADHGGESGAAVDGGAWAAAASDAVVRGGVVFGGVAAVPPLHPLHSHLISPEIN >itb06g12860.t1 pep chromosome:ASM357664v1:6:17410481:17414597:1 gene:itb06g12860 transcript:itb06g12860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQTRRSSSASNAAAKRQAAAAAENRHGKVAAAVEQRLAHKRPALTNITNQRTGSLLSSRSTFSESSGIVPCTSKNVGIKKSSSSSTGNAGFLGTSVVPTSTLVKPITVPCKGPSAIGSDAILQKATLPPVTFSIDNSPAQSDGMTASMDESMSPCDSLRSPEVEYIDNNETAGVHSIEKKASSALYISEHQGTTGNICKRDIIVDIESRDHIPNIDDNLEDPQFCATMACDIYKHLRASEAKKRPSTDFMDKVQKDINASMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNLMDRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESAVLNYLKFEMTAPTAKCFLRRFVRATQGFNEASSLQLEHLASYLAELSLLAYDMLCYSPSLVAASAIFLARYILTPSKKPWNSTLRHYTLYQPADLRDCVMALHNLCCNSHHSSLPAIREKYSQHKYKFVAKKFCPTTIPSEFFQDVSS >itb06g12860.t2 pep chromosome:ASM357664v1:6:17410481:17413179:1 gene:itb06g12860 transcript:itb06g12860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQTRRSSSASNAAAKRQAAAAAENRHGKVAAAVEQRLAHKRPALTNITNQRTGSLLSSRSTFSESSGIVPCTSKNVGIKKSSSSSTGNAGFLGTSVVPTSTLVKPITVPCKGPSAIGSDAILQKATLPPVTFSIDNSPAQSDGMTASMDESMSPCDSLRSPEVEYIDNNETAGVHSIEKKASSALYISEHQGTTGNICKRDIIVDIESRDHIPNIDDNLEDPQFCATMACDIYKHLRASEAKKRPSTDFMDKVQKDINASMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNLMDRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESAVLNYLKFEMTAPTAKCFLR >itb03g28830.t3 pep chromosome:ASM357664v1:3:29542396:29548124:1 gene:itb03g28830 transcript:itb03g28830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQWIHSLFFLLLVIAALHLLHTLTLAPRLTVNHRNARLKKQPDLPLRFRSHDGTFKILQVADMHYGNGKVTRCRDVLETEFDNCSDLNSTSFLRKLIQLEKPDLVVFTGDNIFGTSATDAAESMFEVFGPVIESGLPWAAVLGNHDQESTMNREELMSFISLMDYSVSQTFPAVEDMFDPTKQKPMTNIDGFGNYNLRIWGAPGSYLSNSSIFNLYFLDSGDRAIVDGFRTYGWIKESQLSWLRSVSKRFQGQLLNDNHLADIPSFPILHPAVAFFHIPIPEIRQGPVKGVVGKYSEYIACSVVNSGVLKTLVSMGDVKAVFIGHDHKNDFCGNLDGIWFCYGGGFGYHGYGIAGWPRRARVILAELEKGEKTWMGVEKIKTWKRLDDEMLSKIDEQVLWDRQSSK >itb03g28830.t1 pep chromosome:ASM357664v1:3:29542396:29549062:1 gene:itb03g28830 transcript:itb03g28830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQWIHSLFFLLLVIAALHLLHTLTLAPRLTVNHRNARLKKQPDLPLRFRSHDGTFKILQVADMHYGNGKVTRCRDVLETEFDNCSDLNSTSFLRKLIQLEKPDLVVFTGDNIFGTSATDAAESMFEVFGPVIESGLPWAAVLGNHDQESTMNREELMSFISLMDYSVSQTFPAVEDMFDPTKQKPMTNIDGFGNYNLRIWGAPGSYLSNSSIFNLYFLDSGDRAIVDGFRTYGWIKESQLSWLRSVSKRFQGQLLNDNHLADIPSFPILHPAVAFFHIPIPEIRQGPVKGVVGKYSEYIACSVVNSGVLKTLVSMGDVKAVFIGHDHKNDFCGNLDGIWFCYGGGFGYHGYGIAGWPRRARVILAELEKGEKTWMGVEKIKTWKRLDDEMLSKIDEQVLWDRQSSK >itb03g28830.t2 pep chromosome:ASM357664v1:3:29542405:29549038:1 gene:itb03g28830 transcript:itb03g28830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQWIHSLFFLLLVIAALHLLHTLTLAPRLTVNHRNARLKKQPDLPLRFRSHDGTFKILQVADMHYGNGKVTRCRDVLETEFDNCSDLNSTSFLRKLIQLEKPDLVVFTGDNIFGTSATDAAESMFEVFGPVIESGLPWAAVLGNHDQESTMNREELMSFISLMDYSVSQTFPAVEDMFDPTKQKPMTNIDGFGNYNLRIWGAPGSYLSNSSIFNLYFLDSGDRAIVDGFRTYGWIKESQLSWLRSVSKRFQGQLLNDNHLADIPSFPILHPAVAFFHIPIPEIRQGPVKGVVGKYSEYIACSVVNSGVLKTLVSMGDVKAVFIGHDHKNDFCGNLDGIWFCYGGGFGYHGYGIAGWPRRARVILAELEKGEKTWMGVEKIKTWKRLDDEMLSKIDEQVLWDRQSSK >itb01g01660.t1 pep chromosome:ASM357664v1:1:973384:975666:1 gene:itb01g01660 transcript:itb01g01660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDDVEMAGAAEAGAAVELDEMKKRLKEMEDEAAALREMQAKVEKEMCAVQDPASATASQSNREEVDSRSVFVGNVDYSCTPEEVQQHFQACGTVNRVTIRTNKFGQPKGYAYVEFLEQEAVQEALLLNESELHGRQLKVSAKRTNIPGMKHFRPRRPNPYMGFRGRTPYPAPFFYTPYGYGKVPRFRAPMRYSPYF >itb11g21010.t1 pep chromosome:ASM357664v1:11:22380882:22383761:-1 gene:itb11g21010 transcript:itb11g21010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMNAGSCLHSKSNCSAPISNSKAGTQFSSLPLHRQSRCTWFPTGVGIRINGGGDGRGWRNGRFYTEKEGYVGGGRSSVPTISAIANPQDSKATVLRKILESPGIHLGPACFNALSAKLVERAGFNFGFTTGFGISAAKLGLPDVGLISYGEMVAQGQEITEAVSIPLIGDGDNGYGNAMNVKRTVKGYIRAGYAGILLEDQVSPKACGHTHGRKVVSREEAILRIKAAVDARRESGADIVIVARTDSRQAISFEESLWRSRAFADAGADVLFIDALASKEEMKSFCEVSPKVPKMATSWTPNPD >itb04g25880.t1 pep chromosome:ASM357664v1:4:30317633:30318089:-1 gene:itb04g25880 transcript:itb04g25880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGAGVLQTIHDLNATAVRHAPYGSVGNVPVRPSTVSRIPRRTSGSVRTNTLPFLPFAFALNFYAKSALFYFSFFTLSTHYRARAVSEKRFRYALRRYFPLIVVLRPFLRILRYGWNFFDCPCP >itb06g08750.t1 pep chromosome:ASM357664v1:6:12863903:12875092:-1 gene:itb06g08750 transcript:itb06g08750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEQQPPPPKIQIYPTSTGEISPFWREKYEKDAKKYWDIFYKRHQDKFFKDRHYLDKEWGHYFSGSGGKVILEVGCGAGNTIYPLLATYPEIFVHACDFSPRAVNLVKTHKDFNETRVNAFVCDLTVDDLSQHISPSSVDAVTMIFVLSAVTPEKMPLVIQNIGKILKPDGYVLFRDYAIGDLAQERFISKEQKISENFYVRGDGTRAFYFSDEFLINLFKENGYDTEEHALCFKQVENRSREIVMNRRWVQAVFRLGCGKSSVNTESKVKLIGMDSPKPVIPERTQSNTNEFDFDISDGMALDMFGISSSSEEIVEVIISGLHFKINVVSKEYQHTCKSTGLMLWESARLMALVLAENPGIVAGKRVLELGCGCAGICSMVAAKSADLVVATDGDTKALNLLNQNVAQNLKSSLFAKLMTRKLEWGNRDDIEAIRRLNGKGFDIILGTDVTYISEAITPLFSTAKELICSGRDINEDREPALILCHVLRRVDEQSILSAASEFGFKLVDRWPELENPSIPSPNIINKWFSQEFCANGIPTRALSILYFHRV >itb06g08750.t3 pep chromosome:ASM357664v1:6:12864385:12875054:-1 gene:itb06g08750 transcript:itb06g08750.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEQQPPPPKIQIYPTSTGEISPFWREKYEKDAKKYWDIFYKRHQDKFFKDRHYLDKEWGHYFSGSGGKVILEVGCGAGNTIYPLLATYPEIFVHACDFSPRAVNLVKTHKDFNETRVNAFVCDLTVDDLSQHISPSSVDAVTMIFVLSAVTPEKMPLVIQNIGKILKPDGYVLFRDYAIGDLAQERFISKEQKISENFYVRGDGTRAFYFSDEFLINLFKENGYDTEEHALCFKQVENRSREIVMNRRWVQAVFRLGCGKSSVNTESKVKLIGMDSPKPVIPERTQSNTNEFDFDISDGMALDMFGISSSSEEIVEVIISGLHFKINVVSKEYQHTCKSTGLMLWESARLMALVLAENPGIVAGKRVLELGCGCAGICSMVAAKSADLVVATDGDTKALNLLNQNVAQNLKSSLFAKLMTRKLEWGNRDDIEAIRRLNGKGFDIILGTDVTYISEAITPLFSTAKELICSGRDINEDREPALILCHVLRRVDEQSILSAASEFGFKLVDRWPELENPSIPSPNIINKWFSQEFCANGIPTRALSILYFHRV >itb06g08750.t2 pep chromosome:ASM357664v1:6:12864385:12875054:-1 gene:itb06g08750 transcript:itb06g08750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEQQPPPPKIQIYPTSTGEISPFWREKYEKDAKKYWDIFYKRHQDKFFKDRHYLDKEWGHYFSGSGGKVILEVGCGAGNTIYPLLATYPEIFVHACDFSPRAVNLVKVGCGAGNTIYPLLATYPEIFVHACDFSPRAVNLVKTHKDFNETRVNAFVCDLTVDDLSQHISPSSVDAVTMIFVLSAVTPEKMPLVIQNIGKILKPDGYVLFRDYAIGDLAQERFISKEQKISENFYVRGDGTRAFYFSDEFLINLFKENGYDTEEHALCFKQVENRSREIVMNRRWVQAVFRLGCGKSSVNTESKVKLIGMDSPKPVIPERTQSNTNEFDFDISDGMALDMFGISSSSEEIVEVIISGLHFKINVVSKEYQHTCKSTGLMLWESARLMALVLAENPGIVAGKRVLELGCGCAGICSMVAAKSADLVVATDGDTKALNLLNQNVAQNLKSSLFAKLMTRKLEWGNRDDIEAIRRLNGKGFDIILGTDVTYISEAITPLFSTAKELICSGRDINEDREPALILCHVLRRVDEQSILSAASEFGFKLVDRWPELENPSIPSPNIINKWFSQEFCANGIPTRALSILYFHRV >itb07g20080.t1 pep chromosome:ASM357664v1:7:24547984:24550351:-1 gene:itb07g20080 transcript:itb07g20080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFPFLFSQPPSHPSSRPHFLSAAACSAAVAAGGVIAISQITHNQPLNFLLSNFSPFKNNSSPLWGSLSLSDGSAPATESRSGISFPSVLKGSQRLLGIGLRKKAILGLKNIDVYAFGVYANDADLQNILKEKYESLSGSESKGKDLKDELMDKDIRATVRLQIMYGRLSIRSVRSAFEESVGSRLRKFGGSDDKELLSRFTSQFRDEIKIPRGAVIELSREPGYILQTTIDGKEVGSIQSKLLCRSILDLYIGDEPFDRKAKDDVELNLASLLQK >itb03g08520.t1 pep chromosome:ASM357664v1:3:6393126:6395167:-1 gene:itb03g08520 transcript:itb03g08520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFPLPVGWLLTESTLEAAIQSKNLSQRAPSADVENMSSGISSHRLDIDVGSSPRKLVECRICHDEDEDLNMEIPCSCRGSLKYVHRRCAQRWCNEKGNTICEICHQQFKPGFTAPPPLFHCGGIPLNLRENWYISGRDLHNPQFITVVSTEHNFMDPEYDEYSGYTPRSLICCRVVAIINFAVLFYCLCSSCCF >itb03g08520.t2 pep chromosome:ASM357664v1:3:6393126:6395167:-1 gene:itb03g08520 transcript:itb03g08520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRFPLPVGWLLTESTLEAAIQSKNLSQRAPSADVENMSSGISSHRLDIDVGSSPRKLVECRICHDEDEDLNMEIPCSCRGSLKYVHRRCAQRWCNEKGNTICEICHQQFKPGFTAPPPLFHCGGIPLNLRENWYISGRDLHNPQFITVVSTEHNFMDPEYDEYSGYTPRSLICCRVVAIIFMLLLILRHTLPIIIYGAGDYSITLFTLLVLRAIGILLPIYVMVKAFTVIRRRRNTEDEENELPLQQPQSRLGHVY >itb05g19510.t1 pep chromosome:ASM357664v1:5:25980410:25983533:1 gene:itb05g19510 transcript:itb05g19510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTCSGRDLSGFFLAKDETRRGTIVISRADDMCCHRHACPPFINPFPFSALLIHSEYRSIRFSALHSTDYLQTKTKEFAMEAAQLHPPHGGEEEQPAQRGGGVVRSTVVEEEEQRGPGLQDDDEHHHEKKSVLKKVKAKAKKIKDTLTHHAHAHGHEHDRDDEESLEDDDEMDEDPDVHGGHIGGGGVTSTVIQHKVVDDFGPVQKPRAYPLTDPDKDLYGSGLKDEGIFRRGEEDDARQKTGGISRVPLHKRQPPFVSETHQTSGHGRGDLLQTGGLEEDPHAPSDRSRPPSNYQSKTLDPTGAGGEEARISPLVQSFEKMEVSGDEPKPEQNIRPEAGTHHKLYTGSHDQFAPEPVATNVYTSSEDTESIPKSFDPSKPENLPRDPIDENPLQEGSYAAVKTQGAHEAASPVDYAKTAAMAVAEKLAPVYEKVAGAGSAVMEKLPIASSGEGEGKSVTSTVKEKLAPVYDKVAGAGSTLMSKVQGPGTGQAVVVEGNEEGNDVRTDKGVSIKEFLAEKLKPGEEDKALSEMISGTLLSRQKEETVVESKPVGKVTESEEVARRLGPIGDSPREGSEEKGMVDMLKGAVNSWLGREDTTNDSSKK >itb05g19510.t2 pep chromosome:ASM357664v1:5:25980410:25983533:1 gene:itb05g19510 transcript:itb05g19510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTCSGRDLSGFFLAKDETRRGTIVISRADDMCCHRHACPPFINPFPFSALLIHSEYRSIRFSALHSTDYLQTKTKEFAMEAAQLHPPHGGEEEQPAQRGGGVVRSTVVEEEEQRGPGLQDDDEHHHEKKSVLKKVKAKAKKIKDTLTHHAHAHGHEHDRDDEESLEDDDEMDEDPDVHGGHIGGGGVTSTVIQHKVVDDFGPVQKPRAYPLTDPDKDLYGSGLKDEGIFRRGEEDDARQKTGGISRVPLHKRQPPFVSETHQTSGHGRGDLLQTGGLEEDPHAPSDRSRPPSNYQSKTLDPTGAGGEEARISPLVQSFEKMEVSGDEPKPEQNIRPEAGTHHKLYTGSHDQFAPEPVATNVYTSSEDTESIPKSFDPSKPENLPRDPIDENPLQEGSYAAVKTQGAHEAASPVDYAKTAAMAVAEKLAPVYEKVAGAGSAVMEKLPIASSGEGEGKSVTSTVKEKLAPVYDKVAGAGSTLMSKVQGPGTGQAVVVEGNEEGNDVRTDKGVSIKEFLAEKLKPGEEDKALSEMISGTLLSRQKEETVVESKPVGKVTESEEVARRLGPIGDSPREGSEEKGMVDMLKGAVNSWLGREDTTNVRNEEVGHQSAVGERRLEHQNAMGEGRSRLQESGH >itb05g16710.t1 pep chromosome:ASM357664v1:5:23856040:23859794:-1 gene:itb05g16710 transcript:itb05g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITERGSNVAGPSRPTTLAIAPRPPLESFFNDGFIPGFSPGPMTLVSGFFADSDGCSFSQLLAGAMASPLAKPSVLEDSSAKKGSSGAGSEKQSGYKQNRPVSLAVAALSPLLVVPPGLSPSGLLNSPGFLSPIQSPFGMSHQQALAHVTAQAAINQSYRQMQTEYQQQSPPADAFEHESSLMPNDSFQFQVDDMLDAESLMSEPVEVLQSLRKPAPGVLERPARDGYNWRKYGQKLVKGSDCPRSYYRCTHLKCPVKKKVERSVGGHITEITYKGQHNHELPNPNKRRKDECDLDGGENIQVNSEIASHSWTEMNTSIEAEFSESAQLPTKLPSEQLDVGCDLDEMDETAMALEVDDGQNPKKRSLEVVSSVMPSSHKTVTEPRIIVQTRSDVDLLDDGYKWRKYGQKVVKGNANPRSYYRCTYSGCSVRKHVERASTDPKAVITTYEGKHNHDIPNGRNSNRSQTNANVLQLKQQNTLAVNS >itb09g04140.t1 pep chromosome:ASM357664v1:9:2301414:2305669:-1 gene:itb09g04140 transcript:itb09g04140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDDEMRGELEERQINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKVILGTHTSENEPNYLMLAKVQLPLEDAENDARHYDDDRSDFGGFGCANGKVQIIQQINHEGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLRGHSTEGYGLSWSQFKQGHLLSGSDDAQICLWDINATPKNKALDAMQIYKIHEGVVEDVAWHLRHEYLFGSVGDDQYLHVWDLRTPSVTKPMQSVVAHNGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKITSALHTFECHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDDDDITGDDATKAP >itb13g15490.t1 pep chromosome:ASM357664v1:13:22356955:22360173:1 gene:itb13g15490 transcript:itb13g15490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIPTLPIDATFKFPSPLPTWPSGGGFGSGYIDLGGLQVCQISNFKKIWTTYEGGPNNVGATIFEPSLIPDGFSMLGSYAQPNNQPLFGWVLVGKDNNNGEILKPPTDYTLVWSSESLPITQSAHAYIWSPVPPPGYAAVGHVVTTSPEKPSGERIRCVFSGFTDQCEVEDWVWGQGKSSDSNGFNLYTIRPSNRGTEAKGVNIGAFVGSFGENNVGTFACLKNNSLISSYSMPNLSQIEALFREYSPVLYLHPLETYHPSSVNWFFSNGALLYTKGQETNPVRIEPGGSNLPQGGSNDGLYWINLPVDSNEKERVKKGDLQSSEVYLNIKPMFGGTFTDIAIWIFYPFNGPSTAKLGQIEIIPLGIIGEHVGDWEHLTLRISNFNGVLNKLYLSEHSGGSWVNSPNLEFFNGTNKPVAYSSLNGHANYPKPGLVLQGIGDFIGIRNDTAKSAAVLDTGLRFSVVVEAAWVMYCREWGPKITYISGEDIKRVESLLPVEWRGVFEALINLLPNEFFGEEGPTGPNMKASWSGDEK >itb13g09600.t1 pep chromosome:ASM357664v1:13:13785122:13787422:-1 gene:itb13g09600 transcript:itb13g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLKKQEAMQLLKKIEKTENIIKKKENQRKKQKEEKNVDPNRPKKQASSFLLFSKEERKKIVEEKPGTNNTTVNALISLKWKSVFVALLMLLSCVRICSSFDATQLFFNHSCKEFCELTSSYHSNCTPLMCIDFVSRLSGGNLASNIPPNDVLVTSIEDIYLKKQLGEYRVAGCIVDVESVAD >itb13g09600.t2 pep chromosome:ASM357664v1:13:13785122:13787374:-1 gene:itb13g09600 transcript:itb13g09600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQFFQPLLQGVSCSKKQMQYLASTILASTEVLDNISVQDDSFYCSKEQVTVCSRNGGLQKDEEEEAASHKKEEEEMIKLKKQEAMQLLKKIEKTENIIKKKENQRKKQKEEKNVDPNRPKKQASSFLLFSKEERKKIVEEKPGTNNTTVNALISLKWKSVFVALLMLLSCVRICSSFDATQLFFNHSCKEFCELTSRLSGGNLASNIPPNDVLVTSIEDIYLKKQLGEYRVAGCIVDVESVAD >itb13g19120.t1 pep chromosome:ASM357664v1:13:26112568:26115003:-1 gene:itb13g19120 transcript:itb13g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSVNGKSSLQVEKAEEEAAAAATPIWKIVMVASIAAGVQFGWALQLSLLTPYVQLLGIPHKFASFMWLCGPVSGMIVQPLVGYYSDNCTWRFGRRRPFIASGALLVIFAVFLIGFAADIGHAAGDRLDKTTKPRAVTVFVVGFWILDVANNMLQGPCRALLADLSGGSADKMRASNALFSFFMAVGNILGYAAGSYSHLYKVFPFSKTKACEGYCANLKSCFFISVALLLTVTTMALTFVKEQELKDAADGGEKAQKGKGVPFFGEIFGALKDLPRPMWILLLVTALNWIAWFPFLLYDTDWMAKEVFGGKVGDTLYSRGVHAGALGLMLNSVVLGFMSIGVELLARRLGGVKKLWGGVNFILAICLGMTVLVTKMAEHTRRIDPNGTVLSPTAGVKAGALVVFAVLGIPLAVTFSIPFALASIFSSNAGAGQGLSLGVLNLSIVIPQMFVSFLSGPWDAAFGGGNLPAFVVGAVSAALSGIFAMTLLPSPPRDAKVEISGGGFH >itb02g05460.t2 pep chromosome:ASM357664v1:2:3274778:3279162:-1 gene:itb02g05460 transcript:itb02g05460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNRFQKQQEKCQSTLTSIAAKAGSSKATPPRAASSTASANAKSPAPPVKFSNDTERLQHINSIRKGPVGAQMKRVIDLLLDTRQAFTIEQINEQCYVDMNANKAVFDSLRNNPKVHYDGKKFSYKSKHDLKNKDQLLILIRKLPWGIAVIDLKDAYPTVMEDLQSLKAAGQIWLLSNFDSQEDIAYPNDPGVRIKVDDELKQLFRGIELPRDMLDIEKELQKNGMKPATNTAKRRAMAQVHGISSKPKTKKKKHEISKRTKLTNAHLPELFANLNSSGS >itb02g05460.t1 pep chromosome:ASM357664v1:2:3274704:3279162:-1 gene:itb02g05460 transcript:itb02g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNRFQKQQEKCQSTLTSIAAKAGSSKATPPRAASSTASANAKSPAPPVKFSNDTERLQHINSIRKGPVGAQMKRVIDLLLDTRQAFTIEQINEQCYVDMNANKAVFDSLRNNPKVHYDGKKFSYKSKHDLKNKDQLLILIRKLPWGIAVIDLKDAYPTVMEDLQSLKAAGQIWLLSNFDSQEDIAYPNDPGVRIKVDDELKQLFRGIELPRDMLDIEKELQKNGMKPATNTAKRRAMAQVHGISSKPKTKKKKHEISKRTKLTNAHLPELFANLNSSGS >itb02g05460.t3 pep chromosome:ASM357664v1:2:3274704:3279160:-1 gene:itb02g05460 transcript:itb02g05460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNRFQKQQEKCQSTLTSIAAKAGSSKATPPRAASSTASANAKSPAPPVKFSNDTERLQHINSIRKGPVGAQMKRVIDLLLDTRQAFTIEQINEQCYVDMNANKAVFDSLRNNPKVHYDGKKFSYKSKHDLKNKDQLLILIRKLPWGIAVIDLKDAYPTVMEDLQSLKAAGQIWLLSNFDSQEDIAYPNDPGVRIKVDDELKQLFRGIELPRDMLDIEKELQKNGMKPATNTAKRRAMAQVHGISSKPKTKKKKHEISKRTKLTNAHLPELFANLNSSGS >itb02g05460.t4 pep chromosome:ASM357664v1:2:3274764:3279160:-1 gene:itb02g05460 transcript:itb02g05460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESLNRFQKQQEKCQSTLTSIAAKAGSSKATPPRAASSTASANAKSPAPPVKFSNDTERLQHINSIRKGPVGAQMKRVIDLLLDTRQAFTIEQINEQCYVDMNANKAVFDSLRNNPKVHYDGKKFSYKSKHDLKNKDQLLILIRKLPWGIAVIDLKDAYPTVMEDLQSLKAAGQIWLLSNFDSQEDIAYPNDPGVRIKVDDELKQLFRGIELPRDMLDIEKELQKNGMKPATNTAKRRAMAQVHGISSKPKTKKKKHEISKRTKLTNAHLPELFANLNSSGS >itb03g13840.t1 pep chromosome:ASM357664v1:3:13808674:13817183:1 gene:itb03g13840 transcript:itb03g13840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDANAESERTSNSNAAVHNAPLSADHRDSAAKPPVVKHLRKIPQISRPVAEDDDEEDDINDVADDGDEDDDGDDEEEGGDSDDASMVEASSLGLNRIRTRSAPSPLIVSKNIPIPLDYGGGSRGRGVSKSRSRSSSNADQSAELFVGRGKKVHWSQTKSLKVLSPRRLDSEGYHAAFAKEMKSPRFQAILRVTSGRRKRVPDIKSFSHELDSKGVRPLPFWKSRALGRMEEVMVMIRSKFDKLKEEVNSDLGIFAGDLVGMLEKTSEPPHPYWRESLEDLLVVARQCATMSPSDFWLCCEGIVQNLDDRRQELPMGTVKQAHTRLLFILTRCTRLVRFQKESGFEDHVLSSHQLSDLGIYPEQVLSPVIEDSSHPFGRKESSGRRNKKAQGPGHSSLTGRQDETNENFGEETTEVSTAKSAASSTGSFRMSSWKKLPIAPERHQKVHDSVDAPPVDKSDSLLHKEETDSTANQDTPLRHPEHPEDPPKVRRLSWGDWSNHNISYEDSFICRICEVEIPIIYVEQHSRICTIADRCDLKGLTVNERLERVAEILEKMLESWTPKTVDSGMVSCEVAKVSALSIAEELDVSSTKQNGLPWQCSRDIVGYALESDTTGIDNLNSLSGVSRKTLSAGSLTPRSPLLTPRKSQIELLLSGGRTISEHESYQQISKLLDIARSVANVNNNDYSTLEYLLDRLEDLKYVIQDRKVDALVVETFGRRIEKLLQEKYVLLCGQIDDDKVVAPNSTADEESSADDDTIRSVRASPINLCSKDRTSIEDFEIIKPISRGAFGRVFLAKKRATGDLFAIKVLKKSDLIRKNAVESILAERDILISVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLFSLLRNLGCLDEDMVRVYIAEIVLALEYLHSLNIIHRDLKPDNLLIGPDGHIKLTDFGLSKVGLINSTDDLSGSSGSALLGNGKLNVQSSFKREKQQKDSVVGTPDYLAPEILLGVGHGATADWWSIGVIIFELLVGIPPFNAEHPQQIFNNIMNRDIPWPRVPDEISSEAYDLINKLLIENPVQRLGATGTGEVKRHPFFKDINWDTLARQKAAFIPAAESHDTSYFMSRYIWNPEDEDVCGASDFDGMSEAGSASCSSSTCSNVQDEEGDECGNLTQFGTPALQVQYSFSNFSFKNLSQLASMNYDLVVKNAKESVEALKQQEPPIP >itb09g00290.t1 pep chromosome:ASM357664v1:9:280483:287844:1 gene:itb09g00290 transcript:itb09g00290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFGGSRIPATRRFNCMASMINSGLISIVSLLVYRDKRLIFVHPRYALDVNVERAEDVLTHKRLLHLARDPLNRPVFEVRVVQVSPVTDGNMPRPVQSRSPNKATPQSVHPPPAFGSSPNLEALVLEASKPHAPDENNAVNHSEKFPRPMHEITFSTCDKPKLLSQLTSLLAELGLNIQEAHVFSTVDGFSLDVFVVDGWPYEEVEQLRTAVEREILKFQKGIWQTQKSLHSSNEGDHNPIVVKCEPVTIPNDGTDVWEIDPQLLKFENKIASGSYGDLYKGIYCNQEVAIKILKSERLNSELQKEFAQEVYIMRKVRHKYVVQFIGACTKPPNLCMVTEYMSGGSLYDYLHKQKGSFKLPNLLKVAIDVSKGMDYLHQNNIIHRDLKAANLLMDEHKVVKVADFGVARVKAQTGVMTAETGTYRWMAPELPHEYLTPIQAAIGVVQKGLRPTIPKHTHRKFVELLERCWEQDPAARPNFSEIIEILQQIAKEVGDEADDRGKEKSSSGGIFSALLRGHH >itb04g31500.t1 pep chromosome:ASM357664v1:4:34356819:34357268:1 gene:itb04g31500 transcript:itb04g31500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRLPSTCMFRSAPLTQPKSAFVKSPSSITSVKRVSKSFGLKADRYRVTASAVYKVKLVCPDGEYEFDAPADTYILDSAESAGVELPYSCRAGACSTCAGKIETGSVDQSDGSFLDDGQVGEGYVLTCVSYPTSDCVIHTHKESDLY >itb06g09750.t1 pep chromosome:ASM357664v1:6:13994595:13995657:1 gene:itb06g09750 transcript:itb06g09750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLKDFADITLPVGSSRFDYLLAVCKRERLLLEKEEQKDKQQKKGGCYNDDDDDDQYYLGGVDLNQSLITPSVPDKEEWRKKLMGSFYGCLSWQNNVVKSVVQSTAPSNTPESVISHSSGSDDDRASLKYDEAVAEKVNNSKKRKRGSSRMPNNGPEPPPGLPVEFRNFILQLAGNRAVCVEKLVIQKKLTNTDVKSTQNRLSIPARLVREEFLTEEEHLLLCQHNGKNVCSIEVPLITPMMEVAKGSLRRWEMKKERGHSSVSYVIANTWNEIRRRNKFESKMIVQLWAIRVDVDLWMALVRLS >itb10g17700.t1 pep chromosome:ASM357664v1:10:23849930:23853051:1 gene:itb10g17700 transcript:itb10g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGDAYEEWVHQPIVSKDGPRFFANGFMEFLTLTPWWAIPSIWLPVVCWFASTSVNMGIPIPVLAATMLVGICIWTLMEYSLHRFLFHIKPSGYWSNTFHYLIHGCHHKHPMDGMRLVFPPAATAILLVPIWNLVKLLAPLIYSSALLAGGLLGYVMYDCTHYYLHHGKPLTGVSHHLKRFHMDHHFKIQDKGFGITSTLWDRVFGTLPPTKTSNKSS >itb09g26860.t1 pep chromosome:ASM357664v1:9:27464916:27465788:1 gene:itb09g26860 transcript:itb09g26860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKRLIKLAKRWQKFAAIRRKRISFPSLYDDADSCSTSSAVYKGHFTIYTADQKRFVVPLSYLENEIIRQLLSMSEEEFGLPSDGPITLPCDAVFMEYIISLLSRGLSRELENALLISVTSHRCSSALLHQEGLRNQELLVC >itb02g15980.t1 pep chromosome:ASM357664v1:2:11678330:11682158:1 gene:itb02g15980 transcript:itb02g15980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGNQANALFRKNLTYQKRRLRSHLKAFFFPVVLFILLLILQIITKRIKRDEISARIPNEIPPLLQVPSPQFRAVRDGSIPFPDLPDASCRETGSCPATVLVTGNNITLGESVAGKMFTDSLNLNSSIDKDSIARGFFGTNYSFSIPYEDIVPFEIPIYHIQSQCTNFSTISVPVNSFDDVKDLEVLCVQGQHVWRKSYSEINDELSKGYKDGNTEGSINEIMAAYDFLDTSDKNFNIHIAYNSTYAGMDSGDVNLLRVSRSENMASNAYLQFLRGPSMEVVLESVGEMPTPGGLNRPDDVASSTISSIFFTWVILQLFPVVLSALVYEKQQNLRIMMKMHGLGEAPYWVNMYLYFFAVCLVYMFCFVLVGSLTGLIIFRLNSYAVQCVFYFIFTNLQISMAFLFAAFFRSLKAATVVAYIIVFGSGILATLVFQPLVDDPNFPRKYCEILWSKIWSVSWSVSRGVVSVFFSGKVSPFVSILRCIYM >itb15g21950.t1 pep chromosome:ASM357664v1:15:24614577:24617676:-1 gene:itb15g21950 transcript:itb15g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKLFPQLSLLNSYIPITASSVMKSIPFSRPSRAIPILSRVFPHKLRYRPFRVALEQPMLEEGQFGSRKFSARSGRGGVSRGRSGSGSGHEARAQKSLIEDEADLSDWVSGLRSDSYLKTRVYSDSDDGDDESGNESGGFGGKGSGRGGRDERGGRRGKESGFDDFRRSNRQDVGQDRMQPSSRKGGRFGSEGRSDRRNEGHDKMQPFSRKEGRFGSEGRSDRRNEGRDKMQPFSRREGRFGSEGRPDRRNEGLDKVNTFSRNGGRSEGEGRRGQKRGAGEIASGYSKERRGSGGGGGIARKGGREIRGNSVAVLSEDDDDDEDDEDVEDNGYKRFRDLIDSEEESEEASGDEGDDSFEKETVLSSVGNEVRSQPSPPSSLGGSESYLSETRFDKFSLSPLSLKGIKDAGYEKMTLVQEATFPVILRGKDVLAKARTGTGKTVAFLLPSIEIVLKSPPVTRDQKRPPILVLVVCPTRELASQAAAEANKLLKYHSTIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLKDHIENTAGFATRLMGVKVLVLDEADHLLDMGFRRDIERIIDAIPKQRQTLLFSATVPPEVRQICHVALKRDHEFINTVEEGSEETHSQVQQKYLVAPLDKQFSLLYSMLRDHIADDVSYKVLVFCTTAMVTRLVAGLLGELNLNVREIHSRKPQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQIGLPSDRQQYIHRLGRTGRKGKEGQGILVLAPWEEFFLSAIKDLPMTKADVPLVDPETKKKVERALSLVEMKNKEAAYQAWLGYYNSNKTVSRDKCRLVELANEFSRSMGLDNPPAIPKLVIGKMGLRNIPGLRSK >itb05g24950.t1 pep chromosome:ASM357664v1:5:29595822:29597695:-1 gene:itb05g24950 transcript:itb05g24950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT5G40140) UniProtKB/Swiss-Prot;Acc:Q9FL17] MGSGKTRWKLSFHRSTFLRKHLTIPTEFLCPISRSLMADPVIVSSGHTFERNCVNACKSLCFTPVLHDGSAPDFSAVIPNLALRSAILNWCESSLLESPAPIDFLSAEKLVRALMDSQSRGTEKHSDCEVLKEFSESRTSSEEESAVAPASGPTTPLTRRPACYSSSSSSCDLEALHANSLDEDEFVAKLKSSLVFEQEESVISLRKVTRTREETRVHLCTPRILAALRPLIVSRYAAVQVNAAAALVNLSLENRNKVKIVRSGIVPPLIDVLKGGSPESQDHAAGALFSLALDNQNKTAIGVLGALPPLLHSLRSESERTRHDAVLALYHLSLVQSNRDKLVKLGSVQALIAMVKSGHMTTRILLVLCNLAASPEGRAAMLDGGAVECFTSILKREELDSDSITTRESCVTALYGLSHGGLRFKGLAKEAGAEEILMKVEANGSERSREKARRILEVLRQKDEEDEEVDWEELLNSEDDSSQRV >itb10g09720.t1 pep chromosome:ASM357664v1:10:13188765:13192204:1 gene:itb10g09720 transcript:itb10g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVVYWHSGVWNGYNFPFLQVHSHRLRYFSNHKESYFAWDGNFDAGEAFIKIYATGLISYLFVDENGNDTDALIDCDPNDTNYSNKGCLKSPKQSNCSAGDKFNSTTGFIELNLWEQMLFNTTLNISDCKEMCVQNCSCNVYATLKPDGTGCKFSSSTKYQYALQGENLYIRYGKTTDARKKVCLDWGKRASIIDGIAQGLLYLHKYSRLKIIHRDLKTSNILLDVHMHPKISDFGTARIFKDSDSRASTKSIIGTYGYMSPEYAMDGCFSEKSDVFSFGVMVMEIVSGKRNNGFYNPDRVSNLLGYAWGLWIEGKVSDLIDSTMDKMISIIEATRYIQVGLLCVQDSATDRPTMTDVVSMLGNESTILPIPKQPGFSAIIGLKCDDVANNAKSYSINEVTITEIEGR >itb06g17140.t1 pep chromosome:ASM357664v1:6:21080590:21082553:-1 gene:itb06g17140 transcript:itb06g17140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGAWITTTPSILRPTPSILSITSVLAAWLSPTSSLFPRSAVISSINSMPRSTAVTDSFSFRFLSFKILQSLSGDFTRARTEV >itb03g13290.t1 pep chromosome:ASM357664v1:3:13497880:13498465:1 gene:itb03g13290 transcript:itb03g13290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIYGVDSIAADLKEQKLTIIGEMDAVAVVKKLKKVAKVDIISVGPAKEEKKEEKKDEEKKEEKKEEEKKEEKKEEEKKEEQK >itb01g15070.t1 pep chromosome:ASM357664v1:1:17303828:17307414:-1 gene:itb01g15070 transcript:itb01g15070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQLELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMTHTAKSCMERPRKLGAKWTGKSIAPDEKIEQFELDYDGKRDRWNGYDAASYVHVVDRYEARDEARRKYLKEQQLKKLEEKNNNENQEDDASDDEDLEDALKVDETKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRLSGQALEFKQLNIHAWESFEKGHDIHMQAAPSQAELLYKNYKVSKDKLKSQTKDTIMEKYGNAASEETLPRELLLGQSEREVEYDRTGRIVKGQEMSLPRSKYEEDVFINNHTTVWGSWWKDNQWGYKCCKQTIRNSYCTGTAGIEAAEAAGDLMKANIARKEATEDAHTPTEQKKLATWGTDIPDDLVLDDKQLAEALKKEDERRREVKDERKRKYNVKYDNEVTPEEMEAYRMKKIHHDDPMKDFLH >itb05g18740.t2 pep chromosome:ASM357664v1:5:25439827:25443678:1 gene:itb05g18740 transcript:itb05g18740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKTANRGKLVGGGWRTLGLPFVFLLCLCFFLAGFFGSSLFSQQDEGFMRARSRVLESVDTRRDFDPLPHGETGEDSVSLIPFQVLSWYPRALYFPNFATAEQCESIVKMAKKQLKPSSLALRKGETAASTQGIRTSSGMFISASEDKTGTLDVIEEKIAKVTMIPRSNGEAFNVLRYEIGQSYHSHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPFEVISIIFLPQLSFLPSLKSGNSLYMQYGQNMDGNYDFRRCEGLKVKPRKGDGLLFYSLLLNGTIDAVSS >itb05g18740.t4 pep chromosome:ASM357664v1:5:25440004:25443656:1 gene:itb05g18740 transcript:itb05g18740.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKTANRGKLVGGGWRTLGLPFVFLLCLCFFLAGFFGSSLFSQQDEGFMRARSRVLESVDTRRDFDPLPHGETGEDSVSLIPFQVLSWYPRALYFPNFATAEQCESIVKMAKKQLKPSSLALRKGETAASTQGIRTSSGMFISASEDKTGTLDVIEEKIAKVTMIPRSNGEAFNVLRYEIGQSYHSHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPFEVISIIFLPQLSFLPSLKSGNSLYMQYGQNMDGNYDFRRCEGLKVKPRKGDGLLFYSLLLNGTIDATSLHGSCPVIKGEKWVATKWIRDQEQDD >itb05g18740.t3 pep chromosome:ASM357664v1:5:25439829:25443549:1 gene:itb05g18740 transcript:itb05g18740.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKTANRGKLVGGGWRTLGLPFVFLLCLCFFLAGFFGSSLFSQQDEGFMRARSRVLESVDTRRDFDPLPHGETGEDSVSLIPFQVLSWYPRALYFPNFATAEQCESIVKMAKKQLKPSSLALRKGETAASTQGIRTSSGMFISASEDKTGTLDVIEEKIAKVTMIPRSNGEAFNVLRYEIGQSYHSHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPFEV >itb05g18740.t1 pep chromosome:ASM357664v1:5:25439827:25443678:1 gene:itb05g18740 transcript:itb05g18740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 13 [Source:Projected from Arabidopsis thaliana (AT2G23096) UniProtKB/Swiss-Prot;Acc:F4ILF8] MKTANRGKLVGGGWRTLGLPFVFLLCLCFFLAGFFGSSLFSQQDEGFMRARSRVLESVDTRRDFDPLPHGETGEDSVSLIPFQVLSWYPRALYFPNFATAEQCESIVKMAKKQLKPSSLALRKGETAASTQGIRTSSGMFISASEDKTGTLDVIEEKIAKVTMIPRSNGEAFNVLRYEIGQSYHSHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPFEYGQNMDGNYDFRRCEGLKVKPRKGDGLLFYSLLLNGTIDATSLHGSCPVIKGEKWVATKWIRDQEQDD >itb01g13450.t1 pep chromosome:ASM357664v1:1:13588223:13589048:1 gene:itb01g13450 transcript:itb01g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGLLTADCAAQHSQGPYGENIAMASAELSPADSVKLWMDEKKYYDQASNSCTGGECHHYTQVVWCDSASISCARVTCKTGWMFVTCNYYPPGNYVGERPY >itb15g00630.t1 pep chromosome:ASM357664v1:15:366210:368135:1 gene:itb15g00630 transcript:itb15g00630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHFLLIPLMSQSHIIPLTDFAKLLAKRGVTVSIITTPSNADRYNDSVKIPAAKSNLKIQLITVEFPCQQAGLPHGCENMDSLASMDLVIDFFQASDMLREPLEKIVSQLDPKPSCIVDTSAFSWTQDIATKLHIPRYVFQTVSCFTNVISHILKETKAADTDSGTFLIPRIPDNIELRKGQLMENTVKHSDKWVGIMDRIKKAHDLARGNLINSFEELEPWYVNEYKKLRRAVWCVGPVSLCNRETDEKRNRGNRFSSDQDCLPWLDTMKPRSVIYACFGSLCQISPSQLKEIGLGLEASNSPFIWVIRGINFSSQVEKWLEEDGLEERVKGRALIVRGWAPQLEILSHPSIRGFMTHCGWNSALEAVSAGVPMITFPMFAEQFFNEKFIVKVLKIGVRVGVEVGVNSWQEEKKGVMVKREQVKNAIDCLMGDEGEEMSKRAQKLAEMAKKAGEEGGSSSFNISLLIQDVIDATCEVKM >itb08g00670.t1 pep chromosome:ASM357664v1:8:517403:519749:1 gene:itb08g00670 transcript:itb08g00670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASRLEENRPISSPTLSPPKTWSESLVKSTLSELKVQRPILLPLLLMNFTWFAKIAITTAFLGRLGELALSGATLGFTFANVTGFSVLNGLSYAVEPIAGQAFGAKNFKLLHKTLVMAASLLLFISLPIALLWLNVDKIVLHFGQEYDVSIVAKEYVVFLLPDLVITSFLCPLKIYLTAQNITVPIMAATALAVGLHVPLNMFLSNAMGVQGVSIGFWVTDLMILVMLCVYVVIEENRKGGKWKEGGWWEQSGGDWVSLIKLAGPCCLTTCLEWWCYEILVLLTGNLPNAKEALGVIAIVLNFDYLLFSVMQSLATCASVRVSNELGANAAAPARTSARVSLGLAAVSGVLGGACMAGARGFWGALFTREKGIISGVKKMMLIVAVMEVVNFPVVVSGGIVRGTARPWLGTYASVCGFYLLALPLGVILAFKVHLGLAGLLTGFVAGVAVCLVVLLAFIARINWDEESRKAQILASCAIDEATNNDDQ >itb11g02860.t2 pep chromosome:ASM357664v1:11:1473680:1475347:-1 gene:itb11g02860 transcript:itb11g02860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGEFEHDAPPSFDGMKNMAQDVEVKGFNPGLIVLLLVGGLLLAFFVGNFLLYRYAQKALPPKKKKPISKKKMKKERLRQGVSAPGE >itb11g02860.t1 pep chromosome:ASM357664v1:11:1473680:1475347:-1 gene:itb11g02860 transcript:itb11g02860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEGEFEHDAPPSFDGMDVEVKGFNPGLIVLLLVGGLLLAFFVGNFLLYRYAQKALPPKKKKPISKKKMKKERLRQGVSAPGE >itb07g07950.t1 pep chromosome:ASM357664v1:7:6223110:6226478:-1 gene:itb07g07950 transcript:itb07g07950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MASLLQSQSPALSFSSSVSSAQPLRRLSSASFPTRMRRRSLPAIQSKIREIFMPALSSTMTEGKIVSWVKSEGDVLSKGEAVVVVESDKADMDVETFYDGILAAIVVNDGETAPVGAPIGLLAETEDEIAEAKAKAQSVGSGSSPPPTTDSPPPKVEAAAPPPPAAAPEGPRRIVATPYAKKIAKQHKIDINKVAGTGPFGRITAEDVEKAAGITPKKSSVAPLEAAAPAASSPAKTSPASYPEIPGSTVVPFTAMQSAVSKNMVDSLSVPTFRVGYPISTDALDALYAKVKAKGVTMTALLAKAAAMALAQHPVVNATCKDGKSFTYNSSINIAVAVSINGGLITPVLEDADKLDLYLLSQKWKELVDKARAKKLQPHEYTTGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVTDADGFFSVKNRMLVNVTADHRIIYGADLAAFLQTFSKIVENPDSLTM >itb12g26870.t3 pep chromosome:ASM357664v1:12:27571134:27573297:-1 gene:itb12g26870 transcript:itb12g26870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSEDAVSARESLSSGERSPYNEYSDNPADVLFDRVAISRRITEPNYVSDYESSDSDSSSDPEASLTLPSMESMEENTTEMPSREDSSPSTEVQSQLRNSEDFATWQRIIRLDAIRSNGEWMTYSATQGAPVTDDRARRCAEAVGLKDYDHLDPSRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVITEDFEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKYKDSHLYRHLEKLQAEDCFFVYRMVIVLFRRELTFDQTLCLWEVMWADQAAIRAGIGKSAWSRIRLRAPPTEDLLLYAIAASVLQRRKQIIEKYSSMDEILRECNNMAGHLDVWKLLDDAHDLVVTLHDKI >itb12g26870.t1 pep chromosome:ASM357664v1:12:27571134:27575235:-1 gene:itb12g26870 transcript:itb12g26870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKRSQTSTSSNSSSPSSSSSSSSSTSWVHLRSVLFVVASSSPASCSSSDRGHLKSPWSRRKRKRILSPQQWRSFFTQDGRLRAGGVKFLKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDAVRNQKRKEYEKLRRHCRRLLKCTDETYKLRGASGTGDGNSEILTEGMESPDSEDAVSARESLSSGERSPYNEYSDNPADVLFDRVAISRRITEPNYVSDYESSDSDSSSDPEASLTLPSMESMEENTTEMPSREDSSPSTEVQSQLRNSEDFATWQRIIRLDAIRSNGEWMTYSATQGAPVTDDRARRCAEAVGLKDYDHLDPSRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVITEDFEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKYKDSHLYRHLEKLQAEDCFFVYRMVIVLFRRELTFDQTLCLWEVMWADQAAIRAGIGKSAWSRIRLRAPPTEDLLLYAIAASVLQRRKQIIEKYSSMDEILRECNNMAGHLDVWKLLDDAHDLVVTLHDKI >itb12g26870.t2 pep chromosome:ASM357664v1:12:27571134:27575224:-1 gene:itb12g26870 transcript:itb12g26870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSDGSLVEAVGVAISKLGGGIIGYGGASGGFWKKTGVSDATVAVAVTVLAGLALAAAVYCGSRGHLKSPWSRRKRKRILSPQQWRSFFTQDGRLRAGGVKFLKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDAVRNQKRKEYEKLRRHCRRLLKCTDETYKLRGASGTGDGNSEILTEGMESPDSEDAVSARESLSSGERSPYNEYSDNPADVLFDRVAISRRITEPNYVSDYESSDSDSSSDPEASLTLPSMESMEENTTEMPSREDSSPSTEVQSQLRNSEDFATWQRIIRLDAIRSNGEWMTYSATQGAPVTDDRARRCAEAVGLKDYDHLDPSRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVITEDFEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKYKDSHLYRHLEKLQAEDCFFVYRMVIVLFRRELTFDQTLCLWEVMWADQAAIRAGIGKSAWSRIRLRAPPTEDLLLYAIAASVLQRRKQIIEKYSSMDEILRECNNMAGHLDVWKLLDDAHDLVVTLHDKI >itb06g16910.t1 pep chromosome:ASM357664v1:6:20915226:20916752:-1 gene:itb06g16910 transcript:itb06g16910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSQRIGGRLGDSGALELTGKVMVVVILSIFFAVAFVILIHIYTRWLGRRAPDSNARRRRRRFDFAGGYQEEAHAAVLSRGLDPSVLKTIPVVKFNPEEFKDGLECAVCLSHVSEGEKTRLLPKCNHGFHVDCIDMWFHSHSTCPLCRNPVSKPAGESSDSLPNFPANVLFWGDETRVSTLGPCLQEPRRGPISAQLPSSSSSVSLAAGKELVIEIPREIGGEEDEEHKSPMVTRMKSLKRLLSRGKRVTPGSPSPSSNLDRTVVSAIPPLSTATNVSAVTGLDGTTLAITGRSSMVALEAVLSLSNPERRRQLQAEEVSGGDKEVVKEYFNKEGF >itb06g18350.t1 pep chromosome:ASM357664v1:6:22035979:22040320:-1 gene:itb06g18350 transcript:itb06g18350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLGLRFQPFDYDVLRFLFDFVTGRSSVDDEALICREDVFGEKEPWELIPPGKKMAYFLTRLKKKAKSMKGSRVQRTVGRKIMGKKSGNWHGQDTGKAVVDQDGKFLMGYKRSFVYKNKNEPEQDGQWLLKEFYLPVTIIRRARAEFRIVEEREDFVLCYIHPKKEDDDDDDDDDDEDDENTLEQDGTSSKDFKAVPVPIPVETTPLQIAEGSNDDDDDINSYFVGVPMETAIAEGVPVETLLAPTDNNNSYIVGIPVDTIAEGVPVETLLAPPARNTDTVTDGGSYISCGVPVETSSEPNSFMDFNELIWNIEDVVQKTAATFAPRASTASKNPAVPGTTLYTVFEVQGYVSMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLIPLLNIIVPFFWKSFAVVWSVDIVAFFGMYAWKVGWLQKQAHFWPRLKDLDERTHPQSESGHSGMDAMYLCLLYSCLFYKLVMEIPLGSRFQPFDYDVLRFLFHFVTGRTSLDDEALIRKEDVFEFYLSETIIRRASYRAEFRIVEEREDFVLCYIHRKKEEDDDDEDYENSLEQDSTSSKDSEAVSVETTTIQIVESSNDDDAMNSYFVGVPIETAIVEGVPVETLLGPTDNISSYIVGIPEDTIAEGFPVETLLAPPATNTDGELIWNIEDVTTLIDFDA >itb03g18160.t1 pep chromosome:ASM357664v1:3:16515596:16519638:1 gene:itb03g18160 transcript:itb03g18160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSLCQTLIHKRSLRFLVPNTNFLPNFLSNPTFSLINRSNNKNFAKPFCTPISASMGSPSFKSEEARVPPALPLPTPPLTKFKIALCQLSVTTDKEKNIARAKKAIEDAAQQGAQLVLLPEIWNSPYSNDSFPQYAEDIDAGFDASPSTRMLSELAKSLQITIIGGSIPERSGDKLYNTCCVFGTNGELLAKHRKIHLFDIDIPGQMTFKESNTLTAGENPTIVDTAVGRIGIGICYDIRFQELAAIYAARGAHLLCYPGAFNMTTGPLHWELLQRAR >itb01g27070.t1 pep chromosome:ASM357664v1:1:32047424:32049757:-1 gene:itb01g27070 transcript:itb01g27070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKASATVICCADEAALCTQCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCKECDEPIHSANSLAANHQRFLATGIRVALSSSCKKDAPNAQLEPQPPKPNSQQITVKTPSQQLSGITSPSWAVDDLLGFSDYESSEKKEQLELGELEWLKDIGLFGEHEVPELSVAPQPSHASMNKSAKFYMPHKKARIDVPDDDEFFTVPDLG >itb03g04600.t1 pep chromosome:ASM357664v1:3:2931972:2932601:1 gene:itb03g04600 transcript:itb03g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRKASRRRASADDAAMVKAAAWAWYQHGSGSERPLGELLRLERTEKVQKPSRYKLEAIREVEEGKRKPAHHQRSSSTIPMSSSPSLSSLLDKYEIQRISLQLDRYIENGHDDYCYGVLGNTNDRRRVASLSESGTSEKKTTKKTPAPAPASFKGFWLRHAAVCGSSSADVVETGSIIGRSSRWPPRGKRIFPAVKTVGCGPRASCI >itb12g02670.t2 pep chromosome:ASM357664v1:12:1743746:1746310:1 gene:itb12g02670 transcript:itb12g02670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MICIIDHVTFTFAPQLKQRIDFNMYSPNASSTSEIVRCNGTLCGKRRQCLVESNACAYSVAYLSQNTSSQGVLVEDVLHLVTEDSQQKSIDAPITLGCGIVQTGAFLDAAAPNGLFGLGVGDLSVPSILASKGITAKSFSMCFGQDGLGRIVFGDKGSSNQGETPLNVDQSHPTYNVSLTQIAVDKNVTDVDFTAVFDSGTSFTYLNDPAYKIITENFNSFAKEPRHQFTSKSALPFEYCYDLSPNQTSFVVPDLNLTMKGGDQFYVIDPVIIMSVKNEAVGYCLAVVKSEDINIIGQNFMTGYRVVFDAEKQVLGWEQSDCYNATESKTSTLPINKQNSTTEAPSPATVNPEATSGNTIQPPLSTPTGLVPTPAGNHASHLNGFFGKLMMAFFSISCYFWIIISS >itb12g02670.t1 pep chromosome:ASM357664v1:12:1742798:1746310:1 gene:itb12g02670 transcript:itb12g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLNHLVTVIFAAVVWILQLELGEAFGTFGFDVHHRYSDPVKGILDLQGLPEKGSVDYYSAWAARDKHRLSKGRRLADSGDSSMLTFEAGNETVRISALGFLHYANVSVGTPPLSFLVALDTGSDLFWVPCGCKNCARGLMIGQVRIDFNMYSPNASSTSEIVRCNGTLCGKRRQCLVESNACAYSVAYLSQNTSSQGVLVEDVLHLVTEDSQQKSIDAPITLGCGIVQTGAFLDAAAPNGLFGLGVGDLSVPSILASKGITAKSFSMCFGQDGLGRIVFGDKGSSNQGETPLNVDQSHPTYNVSLTQIAVDKNVTDVDFTAVFDSGTSFTYLNDPAYKIITENFNSFAKEPRHQFTSKSALPFEYCYDLSPNQTSFVVPDLNLTMKGGDQFYVIDPVIIMSVKNEAVGYCLAVVKSEDINIIGQNFMTGYRVVFDAEKQVLGWEQSDCYNATESKTSTLPINKQNSTTEAPSPATVNPEATSGNTIQPPLSTPTGLVPTPAGNHASHLNGFFGKLMMAFFSISCYFWIIISS >itb01g23640.t1 pep chromosome:ASM357664v1:1:29573578:29573895:1 gene:itb01g23640 transcript:itb01g23640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPRIYTALAIAALFFFSAAFADGVVFSPKTTSRKKSAKIEAFSSNSTPPGVVTVKSLLPSMKSLLQVSRRQNLFSLERWTAMNMHNSLCSKYRVWVPNHPMVS >itb07g00860.t1 pep chromosome:ASM357664v1:7:560166:563078:1 gene:itb07g00860 transcript:itb07g00860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFSFLDSYNRFLKRDKPLPSWTEADVNEFISSDPVHGPALKTAREVAQFGVVGSIVGGITTAGWAWKYSKSPHGTVLSLGFGAICGWTFGHEIGNHCLQLYRYNTVTAQAKFLEWWQNKS >itb04g30290.t2 pep chromosome:ASM357664v1:4:33426019:33431075:-1 gene:itb04g30290 transcript:itb04g30290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEEKNCLDSQLWHACAGGMVQMPPVNSKVFYFPQGHAEHTLTNNVDFSMLPKIPALILCRVGAVKYLADLESDEVYAKIRLVPIGNNEPDFEDAVLGSSASETAEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKRCGSIGLDAPSGWNTGAGTYGGFSAYLREDENRIKRSGINGNPNSSGGGFRERGKVKPKSVIEAAYLAASGQPFEVVYYPRANTPEFCVRASSVNAAMGLQWCSGLRFKMAFETEDSSRISWFMGTISSVQVADPIHWPNSPWRLLQVTWDEPDLLQNVKHVSPWLVELVSNMPMIHLSPFSPPRKKLCLPQDLLIDGQFRIPSFSSNPLGASSHFCCLSDNISAGMQGARHAQFGGQLLDLHLRNKMQLGQLPPSFRQIDSESKVSDGMIKSQKEGNENTSCLLTIGNSAQRSEKTDTVMTPRFLLFGQPILTEQQMSHGCSIDAVSQTSTRKISRSEMQLQPERSHIDRKDLQENLSSPTFFLNQACHVAELGQHTGHCKVIIESEDVAHTLDLSVLGSYEELYKKLADMFGIERSEMLRRIIYCDATGALRQIGDEPFSEFKRNAKRLTISKNAGSSAGR >itb04g30290.t3 pep chromosome:ASM357664v1:4:33426278:33431089:-1 gene:itb04g30290 transcript:itb04g30290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEEKNCLDSQLWHACAGGMVQMPPVNSKVFYFPQGHAEHTLTNNVDFSMLPKIPALILCRVGAVKYLADLESDEVYAKIRLVPIGNNEPDFEDAVLGSSASETAEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKRCGSIGLDAPSGWNTGAGTYGGFSAYLREDENRIKRSGINGNPNSSGGGFRERGKVKPKSVIEAAYLAASGQPFEVVYYPRANTPEFCVRASSVNAAMGLQWCSGLRFKMAFETEDSSRISWFMGTISSVQVADPIHWPNSPWRLLQVTWDEPDLLQNVKHVSPWLVELVSNMPMIHLSPFSPPRKKLCLPQDLLIDGQFRIPSFSSNPLGASSHFCCLSDNISAGMQGARHAQFGGQLLDLHLRNKMQLGQLPPSFRQIDSESKVSDGMIKSQKEGNENTSCLLTIGNSAQRSEKTDTVMTPRFLLFGQPILTEQQMSHGCSIDAVSQTSTRKISRSEMQLQPERSHIDRKDLQENLSSPTFFLNQACHVAELGQHTGHCKVIIESEDVAHTLDLSVLGSYEELYKKLADMFGIERSEMLRRIIYCDATGALRQIGDEPFRYLTIFINTFIPTKI >itb04g30290.t1 pep chromosome:ASM357664v1:4:33425207:33431089:-1 gene:itb04g30290 transcript:itb04g30290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEEKNCLDSQLWHACAGGMVQMPPVNSKVFYFPQGHAEHTLTNNVDFSMLPKIPALILCRVGAVKYLADLESDEVYAKIRLVPIGNNEPDFEDAVLGSSASETAEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRADNGDLCVGIRRAKRCGSIGLDAPSGWNTGAGTYGGFSAYLREDENRIKRSGINGNPNSSGGGFRERGKVKPKSVIEAAYLAASGQPFEVVYYPRANTPEFCVRASSVNAAMGLQWCSGLRFKMAFETEDSSRISWFMGTISSVQVADPIHWPNSPWRLLQVTWDEPDLLQNVKHVSPWLVELVSNMPMIHLSPFSPPRKKLCLPQDLLIDGQFRIPSFSSNPLGASSHFCCLSDNISAGMQGARHAQFGGQLLDLHLRNKMQLGQLPPSFRQIDSESKVSDGMIKSQKEGNENTSCLLTIGNSAQRSEKTDTVMTPRFLLFGQPILTEQQMSHGCSIDAVSQTSTRKISRSEMQLQPERSHIDRKDLQENLSSPTFFLNQACHVAELGQHTGHCKVIIESEDVAHTLDLSVLGSYEELYKKLADMFGIERSEMLRRIIYCDATGALRQIGDEPFSEFKRNAKRLTISKNAGSSAGRTWVTGFPTGERGLNSSNQAGALSIFA >itb08g00320.t2 pep chromosome:ASM357664v1:8:279875:287322:1 gene:itb08g00320 transcript:itb08g00320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENRIYAFRTLCGCTELCFGVYTCAVFFFFCYDKIEEERRRHLGSHSRLLAIRCSSRGSPAASPQGFRSPVVAARVPVSSCRRSPLPPIIKNEDLEELKEIGLWNIWHCLSWQMERNRCCHQENKEKLLHWPIIRAREAGSGLNTLVKMKELQVIR >itb08g00320.t3 pep chromosome:ASM357664v1:8:279875:283917:1 gene:itb08g00320 transcript:itb08g00320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENRIYAFRTLCGCTELCFGVYTCAVFFFFCYDKIEEERRRHLGSHSRLLAIRCSSRGSPAASPQGFRSPVVAARVPVSSCRRSPLPPIIKNEDLEELKEIGLWNIWHCLSWQMERNRCCHQENKEKLLHWPIIRAREAGSGLNTLVKMKELQVIR >itb08g00320.t1 pep chromosome:ASM357664v1:8:279875:287322:1 gene:itb08g00320 transcript:itb08g00320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENRIYAFRTLCGCTELCFGVYTCAVFFFFCYDKIEEERRRHLGSHSRLLAIRCSSRGSPAASPQGFRSPVVAARVPVSSCRRSPLPPIIKNEDLEELKEIGLWNIWHCLSWQMERNRCCHQENKEKLLHWPIIRAREAGSGLNTLVKMKELQCTRALSCEEENQESFLVINFFLAVQPLNV >itb12g10400.t1 pep chromosome:ASM357664v1:12:8448739:8450042:-1 gene:itb12g10400 transcript:itb12g10400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWSLHGMTALVTGGTRGLGHAIVEELASLGATLYTCSRTEKELDECLQNWKAKGYNVFGSTCDILQPSQREKLVQSVGKKFNGNLTILVNNVARLIPKEILKSDAQDFSDTIGTGLEASLNLCQLAHPLLKASGNGSIVFISSCSSFVYAPFHTIYAATKGGINSLVRNLACEWASDNIRVNAVAPWLMRTSLTESSKGEFGAVIEALIRRTLQHRLVEPKEASAAVAFLCFPAASFVTGQIIRVDGGGSVYGL >itb09g30510.t6 pep chromosome:ASM357664v1:9:31147173:31150247:1 gene:itb09g30510 transcript:itb09g30510.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRDDEFYKTNDRADSKALTAFAGDNKVKNLVQKEKPNEKKKKNHAIASTEKHSKEDIGLFDHLIEKSKAERNASLNHSSPVCCSPNVAEKPGFQQGSSSVEVHNKNKINVAAILEDICSQIHLENKDAVRNVTSADYELVQMSAKAFIDQLFIDRKYIKRSQASCDFTDFSDGFEMLNSNKDLFLKFLRDPNSLLAKQIQSLQRKEMEKETIKSFPNRKFPDHHSNETSKTKKNKIQSEQQLEGRFTDPQPSNKIVVLKPMPRRVHYTENVACNCSYSVSPQSSSSKRNNGKHKSFSLADIKRKLKSAMGEKLEQLPSTSHKLDYKENIKVVKTRGPVTSTTNPGLKIKADKVQDSGNGPEMSCMTETVRKKLDLSRQQEFDVFLEAKRHLSRRFINMNEDEPLESSQTKTLARILDSPERDFWAAQCPKGYGHTGMRFSPLNCHQIWEGKEKVFFGSQAENEKVSSCSGFGRLDREHEAFPSSSGHKHVAKTNEDSPSKSPDRGSSLDVSSSSPISISKPGVSEDVKDKKEHPSPVSVLDLSFMEDVDSPTSLPEKPTAGEMLLQPRRINFEEYSQEDSPSPLNPEIKDLYMDNKDTISSYIESALQAFYSNWEELWLNSPSPEQLLLQPSLFDELELSSLDMHCNPDLLLDYINEVLLETYQCYFGCYPWLSFQPEKDLLLDKVMKEVKHRLCPLTEQPTLDWLVYEDLAKPGLWLDLRTNSAAIVSQIEEEILEESILELLL >itb09g30510.t3 pep chromosome:ASM357664v1:9:31145796:31150297:1 gene:itb09g30510 transcript:itb09g30510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLQKDNMQLRCMWGFHHFHRSRRSQKVLSNGRTLHKNGKLQPTEPSMLAKRDDEFYKTNDRADSKALTAFAGDNKVKNLVQKEKPNEKKKKNHAIASTEKHSKEDIGLFDHLIEKSKAERNASLNHSSPVCCSPNVAEKPGFQQGSSSVEVHNKNKINVAAILEDICSQIHLENKDAVRNVTSADYELVQMSAKAFIDQLFIDRKYIKRSQASCDFTDFSDGFEMLNSNKDLFLKFLRDPNSLLAKQIQSLQRKEMEKETIKSFPNRKFPDHHSNETSKTKKNKIQSEQQLEGRFTDPQPSNKIVVLKPMPRRVHYTENVACNCSYSVSPQSSSSKRNNGKHKSFSLADIKRKLKSAMGEKLEQLPSTSHKLDYKENIKVVKTRGPVTSTTNPGLKIKADKVQDSGNGPEMSCMTETVRKKLDLSRQQEFDVFLEAKRHLSRRFINMNEDEPLESSQTKTLARILDSPERDFWAAQCPKGYGHTGMRFSPLNCHQIWEGKEKVFFGSQAENEKVSSCSGFGRLDREHEAFPSSSGISSDDTAFTKTYLRSNGHKHVAKTNEDSPSKSPDRGSSLDVSSSSPISISKPGVSEDVKDKKEHPSPVSVLDLSFMEDVDSPTSLPEKPTAGEMLLQPRRINFEEYSQEDSPSPLNPEIKDLYMDNKDTISSYIESALQAFYSNWEELWLNSPSPEQLLLQPSLFDELELSSLDMHCNPDLLLDYINEVLLETYQCYFGCYPWLSFQPEKDLLLDKVMKEVKHRLCPLTEQPTLDWLVYEDLAKPGLWLDLRTNSAAIVSQIEEEILEESILELLL >itb09g30510.t2 pep chromosome:ASM357664v1:9:31146911:31150247:1 gene:itb09g30510 transcript:itb09g30510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKRDDEFYKTNDRADSKALTAFAGDNKVKNLVQKEKPNEKKKKNHAIASTEKHSKEDIGLFDHLIEKSKAERNASLNHSSPVCCSPNVAEKPGFQQGSSSVEVHNKNKINVAAILEDICSQIHLENKDAVRNVTSADYELVQMSAKAFIDQLFIDRKYIKRSQASCDFTDFSDGFEMLNSNKDLFLKFLRDPNSLLAKQIQSLQRKEMEKETIKSFPNRKFPDHHSNETSKTKKNKIQSEQQLEGRFTDPQPSNKIVVLKPMPRRVHYTENVACNCSYSVSPQSSSSKRNNGKHKSFSLADIKRKLKSAMGEKLEQLPSTSHKLDYKENIKVVKTRGPVTSTTNPGLKIKADKVQDSGNGPEMSCMTETVRKKLDLSRQQEFDVFLEAKRHLSRRFINMNEDEPLESSQTKTLARILDSPERDFWAAQCPKGYGHTGMRFSPLNCHQIWEGKEKVFFGSQAENEKVSSCSGFGRLDREHEAFPSSSGHKHVAKTNEDSPSKSPDRGSSLDVSSSSPISISKPGVSEDVKDKKEHPSPVSVLDLSFMEDVDSPTSLPEKPTAGEMLLQPRRINFEEYSQEDSPSPLNPEIKDLYMDNKDTISSYIESALQAFYSNWEELWLNSPSPEQLLLQPSLFDELELSSLDMHCNPDLLLDYINEVLLETYQCYFGCYPWLSFQPEKDLLLDKVMKEVKHRLCPLTEQPTLDWLVYEDLAKPGLWLDLRTNSAAIVSQIEEEILEESILELLL >itb09g30510.t4 pep chromosome:ASM357664v1:9:31145796:31150297:1 gene:itb09g30510 transcript:itb09g30510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLQKDNMQLRCMWGFHHFHRSRRSQKVLSNGRTLHKNGKLQPTEPSMLAKRDDEFYKTNDRADSKALTAFAGDNKVKNLVQKEKPNEKKKKNHAIASTEKHSKEDIGLFDHLIEKSKAERNASLNHSSPVCCSPNVAEKPGFQQGSSSVEVHNKNKINVAAILEDICSQIHLENKDAVRNVTSADYELVQMSAKAFIDQLFIDRKYIKRSQASCDFTDFSDGFEMLNSNKDLFLKFLRDPNSLLAKQIQSLQRKEMEKETIKSFPNRKFPDHHSNETSKTKKNKIQSEQQLEGRFTDPQPSNKIVVLKPMPRRVHYTENVACNCSYSVSPQSSSSKRNNGKHKSFSLADIKRKLKSAMGEKLEQLPSTSHKLDYKENIKVVKTRGPVTSTTNPGLKIKADKVQDSGNGPEMSCMTETVRKKLDLSRQQEFDVFLEAKRHLSRRFINMNEDEPLESSQTKTLARILDSPERDFWAAQCPKGYGHTGMRFSPLNCHQIWEGKEKVFFGSQAENEKVSSCSGFGRLDREHEAFPSSSGISSDDTAFTKTYLRSNGHKHVAKTNEDSPSKSPDRGSSLDVSSSSPISISKPGVSEDVKDKKEHPSPVSVLDLSFMEDVDSPTSLPEKPSMFLHIKLSLISANPSNL >itb09g30510.t1 pep chromosome:ASM357664v1:9:31145796:31150297:1 gene:itb09g30510 transcript:itb09g30510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLQKDNMQLRCMWGFHHFHRSRRSQKVLSNGRTLHKNGKLQPTEPSMLAKRDDEFYKTNDRADSKALTAFAGDNKVKNLVQKEKPNEKKKKNHAIASTEKHSKEDIGLFDHLIEKSKAERNASLNHSSPVCCSPNVAEKPGFQQGSSSVEVHNKNKINVAAILEDICSQIHLENKDAVRNVTSADYELVQMSAKAFIDQLFIDRKYIKRSQASCDFTDFSDGFEMLNSNKDLFLKFLRDPNSLLAKQIQSLQRKEMEKETIKSFPNRKFPDHHSNETSKTKKNKIQSEQQLEGRFTDPQPSNKIVVLKPMPRRVHYTENVACNCSYSVSPQSSSSKRNNGKHKSFSLADIKRKLKSAMGEKLEQLPSTSHKLDYKENIKVVKTRGPVTSTTNPGLKIKADKVQDSGNGPEMSCMTETVRKKLDLSRQQEFDVFLEAKRHLSRRFINMNEDEPLESSQTKTLARILDSPERDFWAAQCPKGYGHTGMRFSPLNCHQIWEGKEKVFFGSQAENEKVSSCSGFGRLDREHEAFPSSSGHKHVAKTNEDSPSKSPDRGSSLDVSSSSPISISKPGVSEDVKDKKEHPSPVSVLDLSFMEDVDSPTSLPEKPTAGEMLLQPRRINFEEYSQEDSPSPLNPEIKDLYMDNKDTISSYIESALQAFYSNWEELWLNSPSPEQLLLQPSLFDELELSSLDMHCNPDLLLDYINEVLLETYQCYFGCYPWLSFQPEKDLLLDKVMKEVKHRLCPLTEQPTLDWLVYEDLAKPGLWLDLRTNSAAIVSQIEEEILEESILELLL >itb09g30510.t5 pep chromosome:ASM357664v1:9:31145796:31150297:1 gene:itb09g30510 transcript:itb09g30510.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLQKDNMQLRCMWGFHHFHRSRRSQKVLSNGRTLHKNGKLQPTEPSMLAKRDDEFYKTNDRADSKALTAFAGDNKVKNLVQKEKPNEKKKKNHAIASTEKHSKEDIGLFDHLIEKSKAERNASLNHSSPVCCSPNVAEKPGFQQGSSSVEVHNKNKINVAAILEDICSQIHLENKDAVRNVTSADYELVQMSAKAFIDQLFIDRKYIKRSQASCDFTDFSDGFEMLNSNKDLFLKFLRDPNSLLAKQIQSLQRKEMEKETIKSFPNRKFPDHHSNETSKTKKNKIQSEQQLEGRFTDPQPSNKIVVLKPMPRRVHYTENVACNCSYSVSPQSSSSKRNNGKHKSFSLADIKRKLKSAMGEKLEQLPSTSHKLDYKENIKVVKTRGPVTSTTNPGLKIKADKVQDSGNGPEMSCMTETVRKKLDLSRQQEFDVFLEAKRHLSRRFINMNEDEPLESSQTKTLARILDSPERDFWAAQCPKGYGHTGMRFSPLNCHQIWEGKEKVFFGSQAENEKVSSCSGFGRLDREHEAFPSSSGISSDDTAFTKTYLRSNGHKHVAKTNEVKILSTRCLIVSFEQLFCSKRNP >itb14g20750.t2 pep chromosome:ASM357664v1:14:23011934:23014959:-1 gene:itb14g20750 transcript:itb14g20750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKDIENGKNEGFTAALIGKEDDRWMVYLSTFVAVCGSYAFGSCAGYSSPTQSAISQDLNFSIAEYSLFGSILTFGAMVGAITSGPIADFVGRKGAMKVSSVFCVAGWLAIYFAEGVLVMDIGRLATGYGMGVFSYVVPVFIAEIAPKELRGALTTLNQLMIVTGVSVAFIIGTMVTWRTLALTGIVPCVVLVLGLFIIPESPRWLAKIGHEKEFEVALQKLRGKDADISEEAAEIQDYVEMLEKLPKAKLFDLFQKRYSRSIFIGVGLMICQQLGGINGICFYTSSIFESAGFPSDIGTIIYAILQVSNFTWK >itb14g20750.t1 pep chromosome:ASM357664v1:14:23010558:23014983:-1 gene:itb14g20750 transcript:itb14g20750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKDIENGKNEGFTAALIGKEDDRWMVYLSTFVAVCGSYAFGSCAGYSSPTQSAISQDLNFSIAEYSLFGSILTFGAMVGAITSGPIADFVGRKGAMKVSSVFCVAGWLAIYFAEGVLVMDIGRLATGYGMGVFSYVVPVFIAEIAPKELRGALTTLNQLMIVTGVSVAFIIGTMVTWRTLALTGIVPCVVLVLGLFIIPESPRWLAKIGHEKEFEVALQKLRGKDADISEEAAEIQDYVEMLEKLPKAKLFDLFQKRYSRSIFIGVGLMICQQLGGINGICFYTSSIFESAGFPSDIGTIIYAILQVIVTAVGATLIDKAGRKPLLVVSASGLALGCILTGVSYYLKGLNIAGNAAPVLAVTGIMVYIGSFSVGMGAVPWVIMSEIFPINVKGVAGSFATLVNWFGAWLCSYTFNFLMAWNSFGTFILYATINAFAILFVIKVVPETKGRTLEQIQATINA >itb02g04440.t1 pep chromosome:ASM357664v1:2:2640004:2643810:-1 gene:itb02g04440 transcript:itb02g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXL1 [Source:Projected from Arabidopsis thaliana (AT1G08590) UniProtKB/Swiss-Prot;Acc:Q9FRS6] MKIHIVFLYCCIVLSLVFVKGDDDEVSVLLSIKAGLVDPMDHLKDWGESGNISAHCGWTGVFCNSKGVVEKLDLSNKNLSGHVSEQIHGLSALSDLNLCCNYLSSSLPRSLANLTLLRSVDVSSNSFVGTFPAGLGKAPGLRYLNASSNNFVGLLPEDLGNVTGLEDLDFRGSFFEGSIPASFKNLQSLKFLGLSGNNLTGKIPAELGELKSLETIILGYNQFEGSIPAEFGNLTRLNYLDLAVGTLSGEIPVELAKLQNLTTVYLYRNRFEGKIPPEIGNISSLMFLDLSDNRFSGEIPSEIAELKNLQLLNLMCNRLRGPIPSKIGELRKLEVLELWQNSLNGSLPKDLGRNSPLQWLDVSTNFLTGEVPPGLCNNGSLIKLILFNNSFSGSIPLGLANCSSLIRVRIQNNMFSGTIPAGLGDLSKLQRLELARNNFTGEIPGDFSLSTSLSFIDVSWNHLESSLPSGILSIPSLQTFIASNNKLGGNIPDQFQDCPSLSVLDLSNNQFSGEIPQSIASCEKLVRLNLRNNQFTGEIPKAIATMPTLAILDLSNNSLVGKIPDNFGSSPALEMFNLSYNKLEGPLPSNGILMTINPNDLIGNAGLCGGILPPCSPLRLSTPSQTRKNHVQHIVLGSVVGISVILALGAMVLAGKWLYHKRDMYEIFFNDWFKKNSSEWPWRLIAFQRLDFTSTDILACLKESNVIGIGGSGIVYKAETQHPHSVVAVKKLWKSGGDLETGGDDLLAEVDLLGKLRHRNIVRLLGYLHNETDVMMVYEYMPNGNLGAALHGKEAGKLLVDWVSRYNIALGVAHGLAYLHHDCYPPVIHRDVKPNNILLDSNFEARIADFGLARMMQRKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLLELLTGKMALDPAFGEATDIVEWVRRKKSSNTSEEVLEPDIAGKCKHVQEEMLLVLKIALLCTSKLPKDRPSMRDIISMLAEAKPRRKSICEDNWGLKSNKEKLIFAHSPVIGLL >itb07g06470.t1 pep chromosome:ASM357664v1:7:4607515:4609340:1 gene:itb07g06470 transcript:itb07g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIPFNSTSLDTASPPLLRPRQDGNRGGGSSSQSLAALLGRVTGRRGASMLVRETAARQLDERRADWGYSKPVVALDMMWNLAFVIVSVVMLGCTVAERPNVPLRVWVCGYGLQCLVHVVLVWLEYRRRNSPIGDRREDGFTGADVSDEEDDDERIPWLGIGSRSSAAKRWESLNTMASFLWWIIGFYWVISGGEILLRDAPRLYWYLLS >itb12g10440.t1 pep chromosome:ASM357664v1:12:8511062:8511451:1 gene:itb12g10440 transcript:itb12g10440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGNRKQLMSLLLLLCVITSGVVIPPPWAEAQVTCPGLINTLLPCAVYIYNGGEVPATCCGALKTAVDGLKTKQDRQSACQCIKEALGKATAEQLKRIQALPGYCQVPVPFPISPSVDCSSVPKRIN >itb12g26080.t1 pep chromosome:ASM357664v1:12:27113029:27114278:1 gene:itb12g26080 transcript:itb12g26080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGDKVNPTSAAAVFISTATLRHLVTHASLIRHLHSTLSTDIQSPARHSHDTSPSSSLILMPSWSLSPSLPYVGVKLVTYHPNNSAVNLPGVHASYALFSSLTGQTLATMDATDLTLYRTSCISALASKFLSRENSKTLVMVGAGSLAPHLIRAHLAVRPGLKTVIIWNRTVRKAQCLVEKLQKESEFEGVIFESNGSLEEAVGLGDIVSCATNSEAPLVKGGELKEGAHLDMVGSFKPSMMECDDEAIRKGRVFIDNEAALVESGELVGAFERGVITRDNVVGDLVELIKGEKSGRISQEETTVFKSVGSAAVDLLTAQLVYETFMKNQVHG >itb04g14470.t1 pep chromosome:ASM357664v1:4:15090036:15090383:1 gene:itb04g14470 transcript:itb04g14470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSPQEIRGITQRLSQYGNIEEKNVFYWFQNQRGREKQTKRLAKLATDAAAPQDLQQQATARGLGGRDTKHPMLETLPLFPMHSDRADKSKADMNSSHQPSLELTLRPYSPTP >itb13g18240.t1 pep chromosome:ASM357664v1:13:25231021:25232646:1 gene:itb13g18240 transcript:itb13g18240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSRRCAVVSGANRGIGHEICRQLASEGVIVVATARDEKRGLEAVEKLKHSFDGNEHIIVFHQLDVADELSISRLVDFVTTKFGKLDILVNNAAVIGAIIEGDNLILKEMIDGDFSRTISIKQEPETEMKSNGAIIQTYELAEECLNINYAGTKRMVEAFLPLLQLSHSPRILLPNEWARKVLSNSESLSEERVDEVVKEFLKNCEEGIAEAKGWARYLPAYKVSKAAMNAYTRILAQKYPNFRINCVCPGYVKTDMTINNGMLTPMEGAESIVKLALLPNDGPSGLFFSRANIMAF >itb07g06300.t1 pep chromosome:ASM357664v1:7:4430856:4432993:-1 gene:itb07g06300 transcript:itb07g06300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKEMEADDVSRRRRLRRALLVLSCTMLAVGNCAGPLIMRLYFIRGGNRIWLSSWLITAGWPVMPAILLISYLRRRSHNPEKAKPFLMKPGVFLASAAIGVLQGLDDYLYAYGEAKLPASTAGLVISTELAFTAGFSFILVKQKFTAHSVNAVYVLTMAAAVLAVRSNDDRWKGESTAEYVFGFAMMLAAAALYGAILPLIELMNKKVVKTAEISYTVVLEIQAVICFSATAFCTVGMLINKDFQAIPREAREFQLGEGKYYLVIACSAVVWQLFFVGAIGVIFCASSLFSGIVTTLLLPVTEILAVVFYKETFHAEKGIALALSLWGFFYYFYGEMAANRTKATAPETVDQMLIAQEA >itb14g03320.t1 pep chromosome:ASM357664v1:14:2975229:2978541:-1 gene:itb14g03320 transcript:itb14g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTGANSKGKKEEVKREQVEEEEEEEEEQEEQDGVSVHSPCKPPSSSLRKEQSQVELELRLLEALEIYPPAKLRGVHRHFVLYGLTEYLRRSFNKHFTADDVLKLLDRFYNLEMLKADDDEGEILNQEEEFSLPQSYFSKEES >itb09g12140.t1 pep chromosome:ASM357664v1:9:7641879:7643716:-1 gene:itb09g12140 transcript:itb09g12140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTVTNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLSGLACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVAFYGGELNGVSYSDPTTVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQAA >itb09g18870.t1 pep chromosome:ASM357664v1:9:14933586:14937262:-1 gene:itb09g18870 transcript:itb09g18870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLAKYAGYKKWRARQVQKISDKVFDGFKNEAGRLNLTFEDLYIAVLLIYNRINKTLPAPHFDPPSKQEVKTLIKKFDLNLDGELSRDEFVGFIGKLTKETVFTVSQGLIIYFAVAPRVARLTRKKTEGVPYIGKVAEKLPDPAYAALLTVALVLAQKLVNSNRIKALFFLIFGYLKIV >itb13g00740.t1 pep chromosome:ASM357664v1:13:676335:683942:1 gene:itb13g00740 transcript:itb13g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCGAKSQYLGGQREKFVRLDDLDSRLSSPAASVAANKCSFGVEGLKRAGPTTTGTSSSFKKGMRKGSEGLKSIGRSLGFGVSRAVFPEDLKASDKKIFDPQDKFLLLWNRLFVISCILGISVDPLFFYLPVFDDKKNCLGIDRKLAIIATTLRTVIDAFYLFHMALQFRTAYIAPSSRVFGRGELVIDPGQIAKRYLRTYFIIDFLAVLPLPQIVVWRFLQRSKGSDVLATKQALLFIILFQYVPRCVRVLPLTSELKRTAGVFAETAWAGAVSYLLLYMLASHVVGALWYLLSVERYDTCWQKACHDNKTTCDTDFLYCGNEFMKGNDTWSSFSEKVLNESCTLVGDNPPFDFGIFKSALSSGIVFSMKFLSKYCYCLWWGLQNLSTLGQGLETSTYPGESIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPPELRERVRRYDQYKWLETRGVDEEAIVQSLPKDLRREIKRHLCLALVKRVPLFENMDERLLDAICERLKPCLYIENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGVNLPSSTRTVKALTEVEAFALAADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYIKRKLMELQRKEEEAEELAKASSQASGGTYSIRATFLASKFAANALRGVHRNRNLKSARELVKLQKPPEPDFSADAD >itb02g19830.t1 pep chromosome:ASM357664v1:2:17082747:17090438:-1 gene:itb02g19830 transcript:itb02g19830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNVEREREEPNLTTRPLHNFTLPSCLKWGHQKHLRRMKRGNFSGDHPEVNNGDKKPSVEDDDSNPAAACPWTLRSHRARDGTGKVVNLVQPQKSKFSIALSREEIEADFTAFTGAKPPRKPKKRPKAIQQEIDDLFPGSWLMEITPDKYKIPEDLIIDDAFVFFDYHTPPHAVATPLLAVAVQPTPLFSDLLRSLRTLPNAVQRRSPSRRPDLTPPAPSPSSPPAAPSSSPSTTSNRAVAVQGKEKAISSHRFSEYLRINVIIDVKQRKMIIQVLPREVIYAAFYFTLIAILSYGILIGVKQRKIMKDCAFLSSFDGLGDDELKDGGGCFVFYPPEAVEKPGDDVLAFFLLIFYVKEFFLGYTNV >itb10g01910.t1 pep chromosome:ASM357664v1:10:1547350:1549470:-1 gene:itb10g01910 transcript:itb10g01910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNNISEEKKWWGDKHLEQINGFWFMPFFAPKIRRVLAEFNPRPSDVILSSFPKTGTTWLKSLLYSIINRSSLDFLVNNNPHDLVPFLEAQVYGDKESSAHMSSEDTTSTRLFSTHIPYQLLGKTLESSGCRVVYVARNPKDTLTSLWHFTNKWKMADEGTWELEEAVEKFLSGTVPVGPYYEHVLRYRMASLNNPSKFFFITYEELKDDTKTHVKRLAEFLGCPFDDDKEVEEIVKCCSIEVLKNHEVNKSEDCPVWFSTPYNSFFRQAKVGDHTNYLSDEVIKRIDAVTKEKFHKSGFVYGI >itb03g01430.t2 pep chromosome:ASM357664v1:3:805588:808252:1 gene:itb03g01430 transcript:itb03g01430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMADETETKISDDGEEGIVEKDAKVLNFLDSLDSYLILMDTLSSTLRQGCLELASARLSMGASRINSSLLDLKPHSAATTLEIDHLEGSRMEQVHFRLCKWASSASPQSSPKEGKFDEDELLHRKSYNPESTHHGDDSEAQGKKQENNGSQRAVDDQAQRERRKKLSMFGMLVSPKLRSAQLSYETALDTIIEIANMRTSLLHVYNQVAEDMKITPND >itb03g01430.t3 pep chromosome:ASM357664v1:3:805588:807000:1 gene:itb03g01430 transcript:itb03g01430.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMADETETKISDDGEEGIVEKDAKVLNFLDSLDSYLILMDTLSSTLRQGCLELASARLSMGASRINSSLLDLKPHSAATTLEIDHLEGSRMEQVHFRLCKWASSASPQSSPKEGKFDEDELLHRKSYNPESTHHGDDSEAQGKKQENNGSQRAVDDQVN >itb03g01430.t1 pep chromosome:ASM357664v1:3:805588:808252:1 gene:itb03g01430 transcript:itb03g01430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMADETETKISDDGEEGIVEKDAKVLNFLDSLDSYLILMDTLSSTLRQGCLELASARLSMGASRINSSLLDLKPHSAATTLEIDHLEGSRMEQVHFRLCKWASSASPQSSPKEGKFDEDELLHRKSYNPESTHHGDDSEAQGKKQENNGSQRAVDDQAQRERRKKLSMFGMLVSPKLRSAQLSYETALDTIIEIANMRTSLLHVYNQVAEDMKITPND >itb01g30980.t2 pep chromosome:ASM357664v1:1:34790295:34794675:-1 gene:itb01g30980 transcript:itb01g30980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLQASACCKHFTAYDLENWNGVQRYGFNAIVTEQDLADTFQPPFQECVQKARASGIMCAYNSVNGIPSCADHNLLTNVARRQWGFHGYITSDCDAVFNVINDHNYTKTPEDTVAAVLNAGMDLNCGFYLGVYTKSAVEKKKVSEDQIDRALHNLFGIRFRLGLFSGDPKRLSYGSIRPDQVCSQDHQNVALEAARKGIVLLKNSAKLLPLSKTKTESLAVIGPNANIANVLQGNYFGPGCKSVEILKALQSYTKNTSFHPGCNSVNCSSAAIGEAVELAKKADQVVLVMGLDQTIERETLDRVDLLLPGQQESLITSVANATNKPVILVLLSGGPVDVSFAKSNPKIGSILWAGYPGEAGGLGLAEVIFGDYNPGGRLPVTWYPKDYIKIPMTDMRMRADNSTGYPGRTYRFYTGPKVFEFGYGLSYTTYSYEFTSAVTSTTINLNQLATVKRAEGLDSVHYISVEEIGEETCENAKFSAIVGVENSEEMDGTHPVLLFMKPAKGREGNPLKKLVGFQSVNLKAGGRTEVQFEISPCHHLSSANKDGLMVIEEGPNLLVVGNTEHAIDIVI >itb01g30980.t3 pep chromosome:ASM357664v1:1:34790295:34792146:-1 gene:itb01g30980 transcript:itb01g30980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNCGFYLGVYTKSAVEKKKVSEDQIDRALHNLFGIRFRLGLFSGDPKRLSYGSIRPDQVCSQDHQNVALEAARKGIVLLKNSAKLLPLSKTKTESLAVIGPNANIANVLQGNYFGPGCKSVEILKALQSYTKNTSFHPGCNSVNCSSAAIGEAVELAKKADQVVLVMGLDQTIERETLDRVDLLLPGQQESLITSVANATNKPVILVLLSGGPVDVSFAKSNPKIGSILWAGYPGEAGGLGLAEVIFGDYNPGGRLPVTWYPKDYIKIPMTDMRMRADNSTGYPGRTYRFYTGPKVFEFGYGLSYTTYSYEFTSAVTSTTINLNQLATVKRAEGLDSVHYISVEEIGEETCENAKFSAIVGVENSEEMDGTHPVLLFMKPAKGREGNPLKKLVGFQSVNLKAGGRTEVQFEISPCHHLSSANKDGLMVIEEGPNLLVVGNTEHAIDIVI >itb01g30980.t1 pep chromosome:ASM357664v1:1:34790254:34795311:-1 gene:itb01g30980 transcript:itb01g30980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHYFLAIFIFSLASRLTMRLHGFAAVFCLAMLLISSAAQPAPPPFSCDPSDPQNKAFHFCQPNLSIGLRVRDLVSRLTLDEKISQLVNSAAAIPRLGIPAYNWWSEALHGVANVGQGISLSGPISAATSFPQVILTAASFDQDLWYRIGQVIGKEARALYNAGQASGLTFWAPNINIFRDPRWGRGQETPGEDPTTTGKYAVAFVRGVQGDSFQGGRMGNHLQASACCKHFTAYDLENWNGVQRYGFNAIVTEQDLADTFQPPFQECVQKARASGIMCAYNSVNGIPSCADHNLLTNVARRQWGFHGYITSDCDAVFNVINDHNYTKTPEDTVAAVLNAGMDLNCGFYLGVYTKSAVEKKKVSEDQIDRALHNLFGIRFRLGLFSGDPKRLSYGSIRPDQVCSQDHQNVALEAARKGIVLLKNSAKLLPLSKTKTESLAVIGPNANIANVLQGNYFGPGCKSVEILKALQSYTKNTSFHPGCNSVNCSSAAIGEAVELAKKADQVVLVMGLDQTIERETLDRVDLLLPGQQESLITSVANATNKPVILVLLSGGPVDVSFAKSNPKIGSILWAGYPGEAGGLGLAEVIFGDYNPGGRLPVTWYPKDYIKIPMTDMRMRADNSTGYPGRTYRFYTGPKVFEFGYGLSYTTYSYEFTSAVTSTTINLNQLATVKRAEGLDSVHYISVEEIGEETCENAKFSAIVGVENSEEMDGTHPVLLFMKPAKGREGNPLKKLVGFQSVNLKAGGRTEVQFEISPCHHLSSANKDGLMVIEEGPNLLVVGNTEHAIDIVI >itb04g28900.t1 pep chromosome:ASM357664v1:4:32461240:32464380:-1 gene:itb04g28900 transcript:itb04g28900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRLDYGFDGYQVPPVPRSTRSSRKRGSNWRKADVNHICAFDLLATVAGNLLLEGENSPHSANTSSAREHSAFMKYCIKEEKQNEEQTMQEKPCDQGSSERGFFLSELVSQAPMLSNCSSELPLAQNDTLSAPASVITSSDCSEKFVTMEHLVNGGNKLELGSLNRKADLDVSGCKVFSNRKLGFEFGKPGSKGLVNDNKAGICISGFPVLGNRKPSTLVCSDDSVKLTPPKDHISCVSFPVKRDDVKLGSRDDDENSSGCTQPSTASKVFRQAQPYIEDRKSGKLSAPKYLEVNPKSNDEEHLNADVETRHAFDNSKNSFKHQRSLKDYPFKKRKLYDCSSVSISDVGIRNDGICSRYNGDASSYTLTLPSAHPVAGTSSSAAGESASFRSGDSQVKLRIKSFRIPELFIEIPETATRTVMEAVTTILGGGLRVGVLFQGKKVRDDSKTLLQTGICHDNKLNAVGFTLEPNPSQDPPPPMCPKEHPYLLSRDSPQPQPQPLSRYPSSPSVVHNAVQRGPNSALPNPRGTSVINFFESDHDSAPSPPDILLNKSAAADSRALVAVPSGNAEALSMAPARKPKRSETAQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKMRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQTKQQMKHHSATCFLL >itb07g23860.t1 pep chromosome:ASM357664v1:7:28201778:28204479:1 gene:itb07g23860 transcript:itb07g23860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSSAIGFEGFEKRLEISFTAAPMFRDPHGMGLRDLIRAQLDSILEPAFCTIVSELSGAEFDSYVLSESSMFIYPLKIVLKTCGTTRLLLSVPVILELADSLSLGVDSVRYSRGTFVFQNSQPAPHRSFSEEVAFLNSQFKNGVGFVLGDPEFPGRNWHVYVAEVGSGVPAMETTVEICMTGLSREKAAVFFKRGGGGGEMTKMSRICDVIPSHVICEFEFDPCGYSMNGMDGTTYSTVHVTPEEGFSYASYEAMGVELCPTGLEPLVKRVLTCFEPAEFSVAVTRFGVPSRDKIWNGGGVDVDGYTCQFGVTQELPGGGLIDYRCFTTNKVTFRPSALQCGKETEEEVTIVGGLPFGMTTLSMESPTICELVR >itb07g23860.t2 pep chromosome:ASM357664v1:7:28201866:28204479:1 gene:itb07g23860 transcript:itb07g23860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSSAIGFEGFEKRLEISFTAAPMFRDPHGMGLRDLIRAQLDSILEPAFCTIVSELSGAEFDSYVLSESSMFIYPLKIVLKTCGTTRLLLSVPVILELADSLSLGVDSVRYSRGTFVFQNSQPAPHRSFSEEVAFLNSQFKNGVGFVLGDPEFPGRNWHVYVAEVGSGVPAMETTVEICMTGLSREKAAVFFKRGGGGGEMTKMSRICDVIPSHVICEFEFDPCGYSMNGMDGTTYSTVHVTPEEGFSYASYEAMGVELCPTGLEPLVKRVLTCFEPAEFSVAVTRFGVPSRDKIWNGGGVDVDGYTCQFGVTQELPGGGLIDYRCFTTNKVTFRPSALQCGKETEEEVTIVGGLPFGMTTLSMESPTICELVR >itb02g24640.t1 pep chromosome:ASM357664v1:2:25271629:25275119:-1 gene:itb02g24640 transcript:itb02g24640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSKETMKKLVKNLGGEKNLAGRAKERLEKLPPKSTVVMGRAHRGLYAGRHIQFGNQVSEDGGNKTRRNWKPNVQGKRLFSYILDRFIRVKVTTHALRCIDKAGGLDEYLLKTPYHKMDAEFGLFWKAKVEKLYEELGEKKVFASLEDESKIKEKFKELKLVEKAHCKEARIKMYDWILKSEKIEEGGDAPEATNNEASTTTGEASASDTDFHKQMVANA >itb08g02210.t1 pep chromosome:ASM357664v1:8:1767986:1773057:-1 gene:itb08g02210 transcript:itb08g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLDSLRNAHPELSDWYNTLADLYQRKLWHQLTHKLEEFVSLSVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAISYLEGVIEKLRNTKELRIEEPILYIKMQIALLKLEQGDQKGCKNLLEEGKSTLDSMTDIDPTVYASYYWVSSQYHKFRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGENIYNFGELLAHPIIKSLTGTKVEWLYHILEAFNTGDLVRYQSLCNVHQGALNAQPALVQNEKKLLEKINILCLMEIIFSRPSEDRTIPLSIIAERTKLTVEDVEYLLMKSLSVHLIEGIIDQVEGTVYVSWVQPRVLGIPQIKSLCDRVDNWMDKVHNALLSVEAETPDLVAA >itb08g13040.t2 pep chromosome:ASM357664v1:8:13585909:13593765:1 gene:itb08g13040 transcript:itb08g13040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MAQSTSLLLLGLAGRRSLRNLYLARTRITYLSFKHNDTQQRALSNSHSINGEDPILPVLIIGAGPVGLALSILLAKLGVKCAVLEKSKVFSTHPQAHFINNRSMEVFRKMDGLAEEILSSQPPVEFWRKFIYCTSLTGPILGSVDHMQPEDFDHIVSPVSVAHFSQYKLTRLMLKQLKELDFHIRNYEGFGMNDGFISEKQLLMGHECTAINPSNHFITVTASFPGEGKSSEKSIRCQFLVGTDGAASTARKLMGINMKGEKNLQKLISVHFMSQELGQYLINERPGMLFFIFNEKAIGVLVAHNLEQGEFVLQIPFYPPQQRLEDFSSELADIKVIDIKPWVMHAEVAEKFLSCGNRIILAGDAAHRFPPAGGFGMNTGIQDAHNIAWKLALVSKGIAPASFLSTYEAERKQIATFNTILSVQNFKAAMRVPAALGLDPTIANAVHQALNNTVGSILPSGVQKTILDGIFSIGRAQLSDIVLNPNNPLGSARLARLREIFEEGQSLQLQFPAEDLGFRYLKGALVSNGDNLLHEPEAPTGRRRDFVPSADPGSRLPHINVRPFSNPPSKETFSTLDLVSAEKVEFLLIIAPVDSSYHLALAAFQVAEDCKVPLKVCVMWPGETIAGANRSKAALLPWENFVDVLEVKRPPNSSSWWGVCKMTDRGAILVRPDEHIAWRTKSELAGDATTEMRKVFHTILGSQCQMMTP >itb08g13040.t1 pep chromosome:ASM357664v1:8:13585909:13593765:1 gene:itb08g13040 transcript:itb08g13040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MAQSTSLLLLGLAGRRSLRNLYLARTRITYLSFKHNDTQQRALSNSHSINGEDPILPVLIIGAGPVGLALSILLAKLGVKCAVLEKSKVFSTHPQAHFINNRSMEVFRKMDGLAEEILSSQPPVEFWRKFIYCTSLTGPILGSVDHMQPEDFDHIVSPVSVAHFSQYKLTRLMLKQLKELDFHIRNYEGFGMNDGFISEKQLLMGHECTAINPSNHFITVTASFPGEGKSSEKSIRCQFLVGTDGAASTARKLMGINMKGEKNLQKLISVHFMSQELGQYLINERPGMLFFIFNEKAIGVLVAHNLEQGEFVLQIPFYPPQQRLEDFSSEMHKIIYDLVGKELADIKVIDIKPWVMHAEVAEKFLSCGNRIILAGDAAHRFPPAGGFGMNTGIQDAHNIAWKLALVSKGIAPASFLSTYEAERKQIATFNTILSVQNFKAAMRVPAALGLDPTIANAVHQALNNTVGSILPSGVQKTILDGIFSIGRAQLSDIVLNPNNPLGSARLARLREIFEEGQSLQLQFPAEDLGFRYLKGALVSNGDNLLHEPEAPTGRRRDFVPSADPGSRLPHINVRPFSNPPSKETFSTLDLVSAEKVEFLLIIAPVDSSYHLALAAFQVAEDCKVPLKVCVMWPGETIAGANRSKAALLPWENFVDVLEVKRPPNSSSWWGVCKMTDRGAILVRPDEHIAWRTKSELAGDATTEMRKVFHTILGSQCQMMTP >itb01g06140.t1 pep chromosome:ASM357664v1:1:4339649:4341546:1 gene:itb01g06140 transcript:itb01g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGIGNIWNHGWKELSGSENWKDLLEPLDSDLRRYLIHYGAMIEPVGDAFINDSASKNVGMPRYARRNLFENTGLVKGNPFKYEVTKYFYATSELAIWKESDWIGYVAVATDEGKVALGRRDIMVVWRGTVRPLEWADDLIFLFVNAPLIFGQNSDPLVHEGWYFMYTTTTDKDDGKSPRDEIREEVARLVELYKDEEVSITVIGHSLGSSMATLNAVDLAANPININKDILVTAFLYASPKVGDENFKNAFSNQKNLRALRISDLNDPIPKLPPFGWKEGETVESSKLYADVGVGLEIESKKSYYLKPKGLSYHDLMLYMHGIDLYQTSKGNFERIGDFDLPKVNKYQDALNDKYGIPIEWSNIKDRVMVQLPNGNYILDVHEN >itb01g05700.t1 pep chromosome:ASM357664v1:1:3944012:3945774:-1 gene:itb01g05700 transcript:itb01g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNQIIFFFFLLTLLSSLQIHARDSQFFNKIIPNNDNNSSSKEQSTTVNPLQKEPSFAPENETGGYGLYGHESGQLPPSATAVNNHAEEEEVPYKKYLPKNYNPVAYVTVPEDNNNDDDAKFMAAAADNRGFYNGDKEFAAAGESRMPEDTRFMDKEFTTSGDNRRDFYNGAGESRMPGGDTRFMENEFSPSGAGADNRRDFYNGAGESRMPQNTRFMDKEFTTYGTADNRRDFYNGAGESRMPDDTRFMENEFTPSSAADNRRYYNGPAGNNNYNNNPQDDKFMQSGFNTDNNYYNNQEENFFPTTSGGGAGNNRYNYNNGGAGAGNNRYNNNNNGGGGNNRYNNGGAGNRFEQQGMSDTRFLENGRYYYDVNTEKYATHPYMNSRNQFNNNNGFKNNFMENNYQNMEEFQDQEDIP >itb14g10940.t1 pep chromosome:ASM357664v1:14:12444979:12446080:1 gene:itb14g10940 transcript:itb14g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSGASRARRFSLVRCLTTCFSTVVIITGAIILIVWLAIRPNQIDYTVENASVANYNFSDADNRLSGDFTFTVKAKNPNAKVSIYYDSINASLSYDLSPIATASGTPFYQRKKSTKEFELFFPTRRAVLPDVATRDLKNQSSTAGKVAVDLTIYAKIRFKVWFVKSGHFMKVVCSPINVPLSNKGFQPEFCQVYM >itb06g20690.t1 pep chromosome:ASM357664v1:6:23561522:23563389:-1 gene:itb06g20690 transcript:itb06g20690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRSSFRFRLPWTTSRSARGSTTTVQSEAASQAAAGSPAPPPENQNSSQSATAPTAGAAQRPPFRPAGIAPVQGSSSPTQASKGEPKPSSPSRSAPQSRPTTPSSSPSRKPPQSPSRLASRAAEETSSTSPSETTAKMQPTPEEIAPQPSSPSKKLVDQSLLPSKPDNESQAPSKTEQQPAELQPKKETASEDTSKEAKIQQPEMTIQPSKESEKTTPATDITEGLEAPEPSQKSDITTNNQESKPSVDSNLEEAKEVIQETGGKDHDEAKQEVKEIQTTKEQPAVAVQPVDKQEQFSMEQTVKTSNSNGQQTKTIVTGSHQKLGMSKEQPPPLHKDIKDSNISKLVQQTDIGGNKQSVEERPVNVITLAGDNRGASLQFGFGSSNNEKPVHIHRSYKSNPDESIEAITDAEGSSGGRKLEDQKAIRNQEVKACVNCNIQGSNNSILLNSSVTERNPGVNLEMPHLPAEPVKSYDKKGSIEIRKAESNATHAQKLTYEPTIRRRCLKGLFLESSDSDLDNPEKPRLHGCRAYCKEKPIENKEDIKLSR >itb15g07650.t1 pep chromosome:ASM357664v1:15:5231549:5234610:1 gene:itb15g07650 transcript:itb15g07650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLIKLLRFLKVEIIETLSKAGSEPDKVMGQCKCSNCCSLSTLIMWTLASHVKWFNIAAEYALFLLMAYLTDAWGLGFAHAAAIINIWTGFSKLLSLYGAYLTDKNQGIENSRMLLYSSIVYSIGLAFLYMSTPPVLDNMAGNCSEDRPDCYGPAQKATFYISLVLTGVGMAGHAVSLDPFLDERGVNAEGFCNVKSMFNKLMRGVIAGVSSFLLPQHWSARFGIVAIYGFAATIALAIANGIYKEDENKTKEIANGTYKEDEKKTKKKDEKINFCKMLNQTAMVWPSFIMCGVVSSIGNTFFVEQADRMKPTAGVYTVPLGVLLLLANAAKFILTQCFSASNDLMKKVFGWCHLILKNCFGVVDDGIKKLAPLFGIGIAMLNAVLCCLAAAVVENIRRKDTMKEYLHHDSKFWLVPQFVFLIAIDAFLQVSVTDFFQNYKSSSPEENWKEDQSLKKYSIQFTNFVMGLGFIGSVVSVLAVARISKSVSGKSWIQKEVTDSRLENYYWVLAVLSSINVVYYVIAAICYSRKAKKAPNNNTAAGCCSC >itb13g00080.t1 pep chromosome:ASM357664v1:13:87872:100308:-1 gene:itb13g00080 transcript:itb13g00080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRTVESGSRSSGRTSVIESIRGCTLAGARIHKEELRRRITMPEYVRVAMREAIQTKDLDSVKRHFDSTHSEGAEQAEPPESPLVVFINSKSGGRHGPELKARLEELMGEEQVFDLQAVKPHEFVQYGLSCLEKFAGLGDICAKEIREKLRIVVAGGDGTVGWVLGCLGELHAMGREPVPPTGIVPLGTGNDLSRSFGWGGSFPFNWKSAIKRILDRVTSAPLCRLDSWKLVISMPAGEELEAPHSLKSVEDSTLDQELEIEGPLPEKQSYYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPLSNKLIYSGYSCKQGWFFTPCSSDPGLRGLKNILRIYVKKVNSSKWDQIPVPSSVRSIVALNLPSYGSGRNPWGHLKPDYLEKRGFVEATADDGLLEIFGLKQGWHASMVMVELISAKHIAQASAIRFELRGGEWKEGYMQMDGEPWKQPMDKEFSTFIEIKRVPFQSVMIHGDT >itb13g00080.t2 pep chromosome:ASM357664v1:13:87895:100272:-1 gene:itb13g00080 transcript:itb13g00080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRTVESGSRSSGRTSVIESIRGCTLAGARIHKEELRRRITMPEYVRVAMREAIQTKDLDSVKRHFDSTHSEGAEQAEPPESPLVVFINSKSGGRHGPELKARLEELMGEEQVFDLQAVKPHEFVQYGLSCLEKFAGLGDICAKEIREKLRIVVAGGDGTVGWVLGCLGELHAMGREPVPPTGIVPLGTGNDLSRSFGWGGSFPFNWKSAIKRILDRVTSAPLCRLDSWKLVISMPAGEELEAPHSLKSVEDSTLDQELEIEGPLPEKQSYYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPLSNKLIYSGYSCKQGWFFTPCSSDPGLRGLKNILRIYVKKVNSSKWDQIPVPSSVRSIVALNLPSYGSGRNPWGHLKPDYLEKRGFVEATADDGLLEIFGLKQGWHASMVMVELISAKHIAQASAIRFELRGGEWKEGYMQMDGEPWKQPMDKEFSTFIEIKRVPFQSVMIHGDT >itb06g21230.t2 pep chromosome:ASM357664v1:6:23896220:23898890:1 gene:itb06g21230 transcript:itb06g21230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYLAQNIASSASSKVGSCRFFHECCSARSRFFQKPDSKPSSDFRRPKSAAPYSSPATASMYSTLADEILGGNSTSPLVVGLISLMKSSSSVSSPGVCGVSPLRRASIVPFLQGSKWLPCSESDMTAAAAAPASSEVDKGGTVAKRSKGVSVSEAMAKGTWFSKLFNICSEDAKAAFTALSVSILFKSSLAEPRSIPSASMYPTLDVGDRIMAEKVSYIFKNPEVSDIVIFKAPNLQEFGIGSGDVFIKRVVAIAGDYVEVRDGKLYVNDIAEDEEFILEPITYEMEQMLVPEGCVFVLGDNRNISLDSHIWYYIISSVLCRLL >itb06g21230.t1 pep chromosome:ASM357664v1:6:23896220:23898890:1 gene:itb06g21230 transcript:itb06g21230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVSYSGYLAQNIASSASSKVGSCRFFHECCSARSRFFQKPDSKPSSDFRRPKSAAPYSSPATASMYSTLADEILGGNSTSPLVVGLISLMKSSSSVSSPGVCGVSPLRRASIVPFLQGSKWLPCSESDMTAAAAAPASSEVDKGGTVAKRSKGVSVSEAMAKGTWFSKLFNICSEDAKAAFTALSVSILFKSSLAEPRSIPSASMYPTLDVGDRIMAEKVSYIFKNPEVSDIVIFKAPNLQEFGIGSGDVFIKRVVAIAGDYVEVRDGKLYVNDIAEDEEFILEPITYEMEQMLVPEGCVFVLGDNRNISLDSHIWGPLPIENIIGRSVFRYWPPSKVSSTLHSSQIERKDVAFC >itb08g09890.t1 pep chromosome:ASM357664v1:8:9264479:9267928:-1 gene:itb08g09890 transcript:itb08g09890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTVLIWFMIISGRAFPASGGWAKPGCQEKCGNLTIPYPFGIGKAGNGCYLDEAFRVECDNSTQVEVAKLPYIIGTTILNISSETITVKGIAIPMPHSNTSGKNLVGDISSGFLEPYFSFSYTMNKFVAIGCDIFAYLWDSNNGDIIIGCASLCESSSRSSVPDFSSLSSCSGNGCCQTPIQKRLGNFSGAVVTMNTKGSAWASNQCSHIVIVEKSFDKFDEYNFSSCTGQYGTPMVLDWSIGNLSCGNAKHSICGQNTHCENSTRGVGYLCRCSPGYQGNPYLPHGCQDIDECETQKENMCPNNADCVNIPGSYYCSCRQGYRSDLSRSSPHGYTCIPDHERRMLVKLICLGVGMGISVILLVVSGFWLNRKLKRRKLEKAKERFFKRNGGFLLQKQMSSCNRGSVLEMKIFKTEELEKATDNFNQSRILGKGGLGTVYKGMLSDGCIVAVKKSNKVDEGQVGQFINEIFIVSQINHRHIVKVLGCCLETEVPLVVYEYISNGTLSQHLNNVPSASSPLSWTLRLQIAAEVAGALAYLHSYASTAIFHRDVKSNNILLDENYRAVISDFGLSRAVSIDKTHLTTLVGGTVGYLDPEYFRSGQLNDKSDVYAFGIVLAEILTGQKVISPNKSDEGLAIRFKTSLKQKDTLFEILDHPVANEGGEEIFAVARLAKRCIKLNARKRPCMKEVAAELEQLARTNGEDLENDCEDNYFSSGDTSDC >itb04g12740.t2 pep chromosome:ASM357664v1:4:12457411:12466134:-1 gene:itb04g12740 transcript:itb04g12740.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQSLVAVLKRAASHNPDEIKAAEHMLNQVQNMPQHVVRLLQIILDGSSDAEVRHSASINFKNFTTKNWSPHDPGEQSKILPGDKELVRQNILDCIAQVPPLLRVQLGVSLKTIIDADYPEQWPTLLPWVKHNLQAMQEQKAYGALFVLRILSRKYEFKSDEERAPVHHIVEQTFPYLLNIFRGLVQIENPKVEVAELIRLICKIFWSSIYLEIPRQLFDPNTFNSWMVLFLSMLERPVPLEGQPADPELRKSWGWWKVKKWTVHILYRLYTRFGDLKIQKPENKAFAQMFQNYAGKILEYHLNMLNVIRTGGYLPDRVANLILQYLSNSISKNNTYNMLQPQLDVLLFEIVFPLMCFNDNDQNLWDEDPHEYVRKGYDIIEDLYNPKTAAMDFVSELVRKRGKDNLQKFVFFIVEIFKRYDASAPEYKPFRQKDGALLAIGILCDKLKQTEPYKSELERMLLQHVFPEFSSPVGHLRAKAAWVAGQYAHIEFSDPSNFVKALHSVVAGMRDPELPVRVDSVFALRSFIDACEDLDVIRPILPQLLDEFFKLMNEVENEDLVSTLETIVDKFGEEMAPYALGLCQNLAAAFWKCINTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFEQIEPTLFPIMRRMLTTDGQDVYEEVLEIVSYMTFYSPKISMNMWSLWPLMMEALADWAIDYFPNILVPLDNYISRSTEHFLTCKEPDYQQSLWIMISTIMGDKNLEDGDIESAPKLIEVLFQNCKGQVDHWVEPYLRISIERLHRSETPYLKCLLIQVIADALYYNPSFTLSILQKLGVATEIFSLWFHMLQQTKKSGARANFKREHEKKVCCLGLTSLLSLPADQLPTAALEGIFKAILDLLVAYKEQLAEAAKAEEAEDELEMDGFQSDDDEDGSDREMADDDEDGDEAHSLKLQKLAAQASAFHSNDYDDDSSDDDFSDDEELQSPIDEVDPFVFFVESVKGSRASPSLWTSVIKLSHMALLSMLSRGKLRSRKRN >itb04g12740.t1 pep chromosome:ASM357664v1:4:12457110:12466134:-1 gene:itb04g12740 transcript:itb04g12740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQSLVAVLKRAASHNPDEIKAAEHMLNQVQNMPQHVVRLLQIILDGSSDAEVRHSASINFKNFTTKNWSPHDPGEQSKILPGDKELVRQNILDCIAQVPPLLRVQLGVSLKTIIDADYPEQWPTLLPWVKHNLQAMQEQKAYGALFVLRILSRKYEFKSDEERAPVHHIVEQTFPYLLNIFRGLVQIENPKVEVAELIRLICKIFWSSIYLEIPRQLFDPNTFNSWMVLFLSMLERPVPLEGQPADPELRKSWGWWKVKKWTVHILYRLYTRFGDLKIQKPENKAFAQMFQNYAGKILEYHLNMLNVIRTGGYLPDRVANLILQYLSNSISKNNTYNMLQPQLDVLLFEIVFPLMCFNDNDQNLWDEDPHEYVRKGYDIIEDLYNPKTAAMDFVSELVRKRGKDNLQKFVFFIVEIFKRYDASAPEYKPFRQKDGALLAIGILCDKLKQTEPYKSELERMLLQHVFPEFSSPVGHLRAKAAWVAGQYAHIEFSDPSNFVKALHSVVAGMRDPELPVRVDSVFALRSFIDACEDLDVIRPILPQLLDEFFKLMNEVENEDLVSTLETIVDKFGEEMAPYALGLCQNLAAAFWKCINTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFEQIEPTLFPIMRRMLTTDGQDVYEEVLEIVSYMTFYSPKISMNMWSLWPLMMEALADWAIDYFPNILVPLDNYISRSTEHFLTCKEPDYQQSLWIMISTIMGDKNLEDGDIESAPKLIEVLFQNCKGQVDHWVEPYLRISIERLHRSETPYLKCLLIQVIADALYYNPSFTLSILQKLGVATEIFSLWFHMLQQTKKSGARANFKREHEKKVCCLGLTSLLSLPADQLPTAALEGIFKAILDLLVAYKEQLAEAAKAEEAEDELEMDGFQSDDDEDGSDREMADDDEDGDEAHSLKLQKLAAQASAFHSNDYDDDSSDDDFSDDEELQSPIDEVDPFVFFVESVKVLQASDPIRFQSITQSLDFRYQALAHGVAQHAEQRKIEIEKEKLEKASASAS >itb15g04070.t1 pep chromosome:ASM357664v1:15:2540029:2540753:1 gene:itb15g04070 transcript:itb15g04070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCFLDYLFEELIFKLVVSLKQIRAHAKYLGIPLLGDEVYGGTKSMALSLLQQRNPSEFHGKLLQLISRLERPYLHALTLGFRHPKTGENVHFTQLPPTDFAEILSDLRTISTEKVS >itb03g20040.t1 pep chromosome:ASM357664v1:3:17955763:17957385:1 gene:itb03g20040 transcript:itb03g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGLSKALNVRKVGSGEETLVLTHGFGADQCVWDKVVPRLAQSYQVVLFDWCFSGAVEDRTLFDADKYSSYQPFADDLIALLEELNLKSCVLVSHSMSGLIACIASITKPHLFKKLILVCSSPRFLNDTDNDYKGGFEKSDIDQLLFNIETNFDQWTSGFANAVMGPKDPLSVEYFEKSFKKMGAQVGLAMAKVIFVSDYRSVLDKVTVQCTLIHAEVDFVVPPEVATFMHQNIGGEATIKVFEGEGHFPQLTAHEDFLEAVLAALT >itb09g06660.t2 pep chromosome:ASM357664v1:9:3825728:3830420:-1 gene:itb09g06660 transcript:itb09g06660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASMAREKRPNPGSSSEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPAKLNSRVSPKRIEGPDGRNLQLHFRSKLSLPLFTGGKVEGEQGSAIHVVLLDGNTGRVVTMGPESSVKLDIVVLEGDFNNEDEEGWTQEDFESHIVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVAPGYCESIHIREAKTDAFTVKDHRGELYKKHYPPALSDEVWRLEKIGKDGSFHKRLNKSGIFTVEDFLRLVVTDSQRLRSVLGSGMSNKMWEALVEHAKTCVLSGKLYVYYPDDMRNVGVVFNNIYELCGLIASEQYHSIDSLSDNQKVYVDTLVKKAYENWMHVIEYDGKSLLSLNDNKNSDTSQHDQVVGSQNHSNSYANQLNVPALPSSIPSEQPAMNPGSNIGGYNDSMSGRFLMQSQNMDLNVTGHLNGPFQNHLIGTSQQTQPPGTENMLALRPQQSSMSNFLTPGTTNLTSDDYFTEEDIRLRSHEMLENEDMQHLLRIFNMGGHSHTSANVMEENYPYASAYMPNMSSTFGFDDDRSRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQIVELDDL >itb09g06660.t1 pep chromosome:ASM357664v1:9:3825342:3830420:-1 gene:itb09g06660 transcript:itb09g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMERSASMAREKRPNPGSSSEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLAPAKLNSRVSPKRIEGPDGRNLQLHFRSKLSLPLFTGGKVEGEQGSAIHVVLLDGNTGRVVTMGPESSVKLDIVVLEGDFNNEDEEGWTQEDFESHIVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVAPGYCESIHIREAKTDAFTVKDHRGELYKKHYPPALSDEVWRLEKIGKDGSFHKRLNKSGIFTVEDFLRLVVTDSQRLRSVLGSGMSNKMWEALVEHAKTCVLSGKLYVYYPDDMRNVGVVFNNIYELCGLIASEQYHSIDSLSDNQKVYVDTLVKKAYENWMHVIEYDGKSLLSLNDNKNSDTSQHDQVVGSQNHSNSYANQLNVPALPSSIPSEQPAMNPGSNIGGYNDSMSGRFLMQSQNMDLNVTGHLNGPFQNHLIGTSQQTQPPGTENMLALRPQQSSMSNFLTPGTTNLTSDDYFTEEDIRLRSHEMLENEDMQHLLRIFNMGGHSHTSANVMEENYPYASAYMPNMSSTFGFDDDRSRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQIVELDDL >itb06g01530.t1 pep chromosome:ASM357664v1:6:2742470:2747483:-1 gene:itb06g01530 transcript:itb06g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSANIPVLPAVDSVGLVHPPPSSNIPKNGAKGKKVASTSGSKTPWVDLFKSSKETVDSSLKLNFCPPVNGEAVLERHEIRIVQKPWAFGLLGCFAGRFLGIEGINALLDNWKDKWKVKCKMNPQPNGLDKSDFCMVPTWIRLVDLPVECWHPAAYSKISSCIGNPICMDSMTQVGWKKDYARMLVEIDTSIPPLESVPITLPNGDKFQQAVFYEVYPRYCVNCNSSRHYKEKCPKLKSCVEENVSIDHLFVDCKRMDLSWCWNKPGGNFVNVEPEPAVVCGDGAEMGDPSEEPSEIPATTPVPLDTSRTEPAVAEMGVSAQDGEGTVMVTLVPSSATESPNPHPLESDCESETSLEPTLPGSASSESSDESAEVSSDSSAAVQLEASRACLQLMALKKDLAKLACLSKSNNSSRPFDFKAALLSPAKQLASTSKQSGKSKNSVLVLPVPLMAFSPGRGSRRRRPPSRQHHVSFYGILETRISANKYEKVLKDLLPSEQFFVDYDIIRSGRIVLVWNANKVDCSILDVNPQCIHCKLRCKVSNNSFFCSIVYGLYSVVERRDLWAKLQTYQSSISLPWTVCGDFNTVKGPNEKIGGIVPTNYFTKDLVNCCNNLDLTDAPSIGNLFTWSNGRVKAKLDRVLIDPLWANGNFNCWVEYKDFDFISDHCPILIKLFNNSEATNRPFKFFNMWLSHPSFQQILEDVWHRFIAGTCQYQFVQHLKALKAPLKRLNREEFGHISERAKAASLEFSHFAQSLDVVNATESDRSKLSDLRNRASFXTESDRSKLSDLRNRASFLAEAERQFYNQKLKFKVLIDGDKGSKYFHDLVKKSNRDRSITCILDQQGQPTTSLNQVGNLFVEHFKDLFGGERVRSTCTSDFLCNGPTLSNDLHDILLKEARDSPLLKAVYKVKCAIIEHTGSPQLARIFLVSAFWKNKFHTALVYELLRHKANEAVCWKFTWRPCIPCKFSFILWLALWNRLKTKDRLFLPDFESDCSLCIGQKESTNHLFFRCYFSQQVWSKIRESFGFPRNTIAIRNSIKWIRRLFKASRRHSKAVYIALACTVYHLWRVRNLVIHDSVRPTLDGLVNCIATDVLRVSSSVQL >itb02g11830.t2 pep chromosome:ASM357664v1:2:7890618:7894370:1 gene:itb02g11830 transcript:itb02g11830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRSRFLITILAISLILAPHGRALKLPFRPTDIAPLLLPQQLSLPIINSLDNAEDLLPSFVGAASTRTVDWRGSCFHRNSAWVELNNNSKSAFGEGTLHIKVSKARSWTCLDVYVFATPYRVKWDYFFFSRHHKVKLGEWASEAELEYVKNTGVSIFLMSTKWLVPFNGLNEVFHLFTNTGWDEKANIKFLKRHMKARFEERSNPWVARVSTDDIHSGDFLAISKIKGHWAGFETVEKWVTGSFAGHTAVCLRDPKGELWVAESGHDNGKGEDVIALIPWDEWWEFELRKDDSDPHIALLPLRADLRAKFNETAAWEYATSMDGERYGYHNLIFSWIDTIDQNYPKHFDANLVMNLHSSISDSKKICILPAFEVYFSFILFSLHLCSCSF >itb02g11830.t1 pep chromosome:ASM357664v1:2:7890618:7894380:1 gene:itb02g11830 transcript:itb02g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRSRFLITILAISLILAPHGRALKLPFRPTDIAPLLLPQQLSLPIINSLDNAEDLLPSFVGAASTRTVDWRGSCFHRNSAWVELNNNSKSAFGEGTLHIKVSKARSWTCLDVYVFATPYRVKWDYFFFSRHHKVKLGEWASEAELEYVKNTGVSIFLMSTKWLVPFNGLNEVFHLFTNTGWDEKANIKFLKRHMKARFEERSNPWVARVSTDDIHSGDFLAISKIKGHWAGFETVEKWVTGSFAGHTAVCLRDPKGELWVAESGHDNGKGEDVIALIPWDEWWEFELRKDDSDPHIALLPLRADLRAKFNETAAWEYATSMDGERYGYHNLIFSWIDTIDQNYPKHFDANLIACAMTIWNQVQPAYAANLWNEALNKRLKTKGLDLREIIVEVERRGSSFAELLTIPERDDWVYADRKSTPCVAFVLQIYKEAGLFGPLASSIEVTEFTIKDAYSLKFFEEDTRRLPLWCSEGDTEELPFCQLRGKYKMELPHYNTIEPYAHMNERCPSEPPRYSRPERC >itb02g11830.t3 pep chromosome:ASM357664v1:2:7890618:7894380:1 gene:itb02g11830 transcript:itb02g11830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRSRFLITILAISLILAPHGRALKLPFRPTDIAPLLLPQQLSLPIINSLDNAEDLLPSFVGAASTRTVDWRGSCFHRNSAWVELNNNSKSAFGEGTLHIKVSKARSWTCLDVYVFATPYRVKWDYFFFSRHHKVKLGEWASEAELEYVKNTGVSIFLMSTKWLVPFNGLNEVFHLFTNTGWDEKANIKFLKRHMKARFEERSNPWVARVSTDDIHSGDFLAISKIKGHWAGFETVEKWVTGSFAGHTAVCLRDPKGELWVAESGHDNGKGEDVIALIPWDEWWEFELRKDDSDPHIALLPLRADLRAKFNETAAWEYATSMDGERYGYHNLIFSWIDTIDQNYPKHFDANLVMNLHSSISDSKKICILPAFEVYFSFILFSLHLCSCSF >itb04g08150.t1 pep chromosome:ASM357664v1:4:5731286:5732269:-1 gene:itb04g08150 transcript:itb04g08150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTTLTLAIISLVSSFAHAYDPNPLQDFCVASNDPKATIFVNGRACKDPKLVTAEDFFTSGLNTTKFPAFAGSTYSAASINEIPGLNTLGLTLIRNDFAPNSVTPPHIHPRAAELVLILEGSVYFGFVTVDPSDRTKNRVYANTYNAGDVFVTPQGLIHFGANIGTGNVSTIAVFNSQDPGFNFVPDQLFGSNPPILDDDVLSKAFRVDKKVISQIRAKFS >itb01g34450.t1 pep chromosome:ASM357664v1:1:37145997:37147302:-1 gene:itb01g34450 transcript:itb01g34450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSRQMTTVLPGEESAHQASEQMVKPKRKRSTPGMPDAVEVEVIALSPKSLLETNRYVCDVCGKGFQREQNLQLHRRGHNMPWKLKQRGAAEVVKRRVYVCPETTCSYHHPSKALGDLSGIRKHFCRKHGKRTFKCDKCNKMYAVQCDLKAHIKICGTRHYPCHCGAVFARKDSFIAHKTVCYALKVTAPSASRPTPSKAPSSSLGIGIGDNGGEEDFSSVFRLSLKSGNNEEEALTPSAAIMERRVALSLSSNPPVLSATELLQKAAQMGSTLSSGGSFFAGYELAMSATSTQWGMHANAPELALELALRPASSATAFAGSIFSTQTTLDFLGVGRDANQSSSSSLLSSTSDATPFGMGSSSSSGGALGNPWDGHADQN >itb03g25750.t1 pep chromosome:ASM357664v1:3:24949561:24951583:-1 gene:itb03g25750 transcript:itb03g25750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFAREKDLAAIHHRKRRTKVAAAHPTEGGKPETEEKPETEHAPGGFAEPPAPPPATAWSRRTPHAATSSIGGLPVAGRRNRGDCTHVGPAERRYWQSVSHHPLRQRTITPPEAIAVGSRSRKKRVITVRAPLIAVHYRAGETEEAELLPLLDSVVTPHHTIAREADPFLTAATDQRHHTTANPFSRARRRRRRLATSVEAETVGRGGITVVDSTPPRRRQRCGRGE >itb03g04700.t1 pep chromosome:ASM357664v1:3:3004739:3006497:-1 gene:itb03g04700 transcript:itb03g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLKKFRKAGRGGRKNKISGLDSLEELSIPTNFICPITLDLMKDPVTLSTGITYDRESIERWLEAGSKTCPVTNQALTTAARDLIIPNHAIRKMIQGWCVENKSHGVERIPTPRIPVSPSQVSDICARMEGAAQRGDDVKCAEFLERIKVWGKESERNKKCIRENGGGGALAACFEIFSGFSGAKHADLLKDLLSVLTWMFPVGEEGRSKLGAKTSLRCMAWFLNGEDLSAIQNALIVLKELLHLDQNYANALLETNQDIPQALFNAVKVPICPSDKTTTKASLTVIYHMITSQPNGKTVISNLLAAGLISVLLEILVDADKNICQTALAVLDGICSTQEGREKACNHPLTMPLLVKKIMRVSEVGTELCISTIWKLCKGEDERAAIEALELGAFQKLLVVLQVGCGEKAKEKATELLKLMNLYKDRVECLDSSTGFKYLKRSY >itb11g14290.t1 pep chromosome:ASM357664v1:11:11361122:11362472:1 gene:itb11g14290 transcript:itb11g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKASSLRQQPTNKVIAAGCDEGGSPPLPKNLRRPTPFAPPYHRCSSNQKPTTRSKTERKKKVGFPDRIRHKEAAGVAICRATVTVVDRRVATQSYAADRSRSYHLDHWRPIALRLLERRRTGAAAATAAHFFGAPVGREPQPSAEGSGDCEFPGVKAIGAITSK >itb12g12620.t1 pep chromosome:ASM357664v1:12:11362036:11364967:1 gene:itb12g12620 transcript:itb12g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSAWAKPGAWALDSEENEDELLQQGKEDAANADAPLSDFPSLAAAAATKTKKKKPQIFSLQEFSTYGSAKQPQPQSVGLTPEEIMALPTGPRERTAEELDRSRLGGGFRSYGSSYDRPARDDSRRPRDSNRDFAPSRADETDDWGATKKFTAGNGFERRERGERGGFFSDSQSRADDSDNWAAKKAFVPSEGRRFERRGSFESNGGGGDSDNWTKKKEEEGWKSRSEGGAFDSLREKRRSNGTDSDSWGKKGEEGNGGGNSSRPRLNLQPRTLPLAEGQQNGNGNGNETTVKPKGSNPFGDARPREEVLKEKGQDWKEIDQKLESVKIKEVGDAPSSFRKKGFGSSNGRSRSPEKTWRKPEVNDAPPRPSSSSADKSGEKPVDESGDAECGHNEQEN >itb11g16340.t1 pep chromosome:ASM357664v1:11:14361909:14362964:-1 gene:itb11g16340 transcript:itb11g16340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPPCPVTVKDQQDWKISPCISNWKNPKGYTIPLDKRLAVDGRGLQEVQINDNFVKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKEKKEMELRELARKARSERTGIAPPASERGTLNDGMNVEFDCVRDVPKENREERDEKLQRDKIREERRRERERERRLEAKDAAMGKKSTITRDRDRDVSEKMALGMASTGATRGEIMYDQRLFNQEKGMDSGFATDDGYNIYDKGLFTAQPTLSTLYRPKKDVDAEMYGGVDEKLDKIMKTDRFKADRAFGETSDRGAPREFEKEGEEADPFGLDQFLTEVKKGKKALDKVSSGGSMKASAGSTRDGYEGGGSSRTRINFERGR >itb09g24300.t1 pep chromosome:ASM357664v1:9:23919185:23926707:-1 gene:itb09g24300 transcript:itb09g24300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAINATDASKRYAVVTGGNKGIGFEICRQLACDGVTVILTARNEHKGTEAVEKLRGLGLSDHVLFHRVDVTDASTLSSLVHFVNTNFGRLDILVNNAGVIGLVANESALKTPEERLLNMMGAISHNYELSAECIEINYYGSKRMTEAFLPLLQQSKSPRIVYVTSGWSKLENIPNEWAKGVLNNVESPPEKLDEVVNKFLEDLKNGSSKEKEWPSRMSAYVVSKAAMNAHARITAHKYPSLQVNCVDPGYVKTDINFNTGKITANEGAQNIVRVALQPEDGPSGLFFDCNKIVAF >itb14g00690.t1 pep chromosome:ASM357664v1:14:479676:483536:1 gene:itb14g00690 transcript:itb14g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFFLLFLAVQMMIDPSRAAIDTFVYGGCSQMKFTPGTPYESNVNSVLTSFVNSAGSANFNNYKISVPGSSQSDIVYGLYQCRGDLGGADCRNCVGRAVSQLGMLCVDTSGGALQLDGCFVKYDNVSFLGAEDKTVVSHKCGPPISYGSDDETRRDAVLSYLTAGGQYFRVGGSGRVQGVAQCTQDLSQTECQDCLSDAIARLRNECGSAPWGDMFLSKCYARYSERGFSSKTDDDNDEEVEKTLAITIGLIAGVAVLVVFLSVLSKLCEPKGGK >itb04g20220.t1 pep chromosome:ASM357664v1:4:24842868:24844241:-1 gene:itb04g20220 transcript:itb04g20220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSDRGSESEEMNNQAQTPESETSTQIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGLNKDDKKSKKAAAAAAGNKNHQNSSNNSGTTSDSGSSSGAKSGVPQALRRKLVPPFGIGREVALQRPRSQQQRRKLGEVERAAFVLMSLSCGSVYA >itb04g20220.t2 pep chromosome:ASM357664v1:4:24842868:24844241:-1 gene:itb04g20220 transcript:itb04g20220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQAQTPESETSTQIKTCADCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGLNKDDKKSKKAAAAAAGNKNHQNSSNNSGTTSDSGSSSGAKSGVPQALRRKLVPPFGIGREVALQRPRSQQQRRKLGEVERAAFVLMSLSCGSVYA >itb08g02670.t8 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLR >itb08g02670.t1 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSLSGLIHQAARMGSLENLCRCGFDGRLGCWIGNEKHKKRRLSIAPWIASALAETRNSSRAELYAEVLKDAREKFTREVSLRTKDKEISLAKALLYVGAEDEVFMAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLRLAIMASERLLILQPHNWALRRDYGMMLYYSREYEAAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSRGHKGRLTVP >itb08g02670.t5 pep chromosome:ASM357664v1:8:2277084:2279730:1 gene:itb08g02670 transcript:itb08g02670.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLREYEAAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSRGHKGRLTVP >itb08g02670.t3 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKDAREKFTREVSLRTKDKEISLAKALLYVGAEDEVFMAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLRLAIMASERLLILQPHNWALRRDYGMMLYYSREYEAAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSRGHKGRLTVP >itb08g02670.t4 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKDAREKFTREVSLRTKDKEISLAKALLYVGAEDEVFMAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLREYEAAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSRGHKGRLTVP >itb08g02670.t7 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKDAREKFTREVSLRTKDKEISLAKALLYVGAEDEVFMAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLR >itb08g02670.t6 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLR >itb08g02670.t2 pep chromosome:ASM357664v1:8:2276138:2279730:1 gene:itb08g02670 transcript:itb08g02670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSLSGLIHQAARMGSLENLCRCGFDGRLGCWIGNEKHKKRRLSIAPWIASALAETRNSSRAELYAEVLKDAREKFTREVSLRTKDKEISLAKALLYVGAEDEVFMAFNREKDAYAVQSESTSLLPSYTPDWQHVEAMPLAGKSMNQWLGELDAIAREVEAELVSREIGCHLSEVLDAVNIVLFELRGFKRSHVLVDSKGLYLHSVLGSGSCSAILLSIVYIEVCRRLNLTVLGSRVGEEFLIWPQTGNPEELFKITCGHSLFGIVNGKCVEDPRSKASDINSNSLLGLEVATNRDIIGIALANLIRFHWKRASRANHGLMLSSPLRSVRDADERSSKNDVASNVPLLRPQDLREYEAAVQELSICMAFAPEEEAEVLEPFVEKLHLLRLESSWKSRGHKGRLTVP >itb06g14030.t2 pep chromosome:ASM357664v1:6:18628709:18631355:1 gene:itb06g14030 transcript:itb06g14030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSIESPIFTETDLGTRLAVPISPDATAREFKRVFERAHLNCFPKLGDIKVNGLMVQRKSFFYQLSDTLPLRYAFQYLKGNWFLRVKVCHSSIPDKLGAPEHVCEKIKDCSTDVNDGAGSTDAKNFLSSTIKIKSKCRRRKIKRFASFRISLLDIRRRFYFSERQKIKKKRVRKKYDIKCSINTVDEQHVVSDESCDIAKSGAKDKSSKEGNYSQAVESRHETLSESMSVSGIIRKYFSCYDEVNSGSGFSYSTVRGGEQKEKLIVRTDDCHLNFGVCTPPPFRAKTPSKTLNVPLTSKTASIPSRKVKKAEVGKRLLTAANHLGLNSSNRSPGLSLTRSVVFEIPDEDD >itb06g14030.t1 pep chromosome:ASM357664v1:6:18628709:18631869:1 gene:itb06g14030 transcript:itb06g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSIESPIFTETDLGTRLAVPISPDATAREFKRVFERAHLNCFPKLGDIKVNGLMVQRKSFFYQLSDTLPLRYAFQYLKGNWFLRVKVCHSSIPDKLGAPEHVCEKIKDCSTDVNDGAGSTDAKNFLSSTIKIKSKCRRRKIKRFASFRISLLDIRRRFYFSERQKIKKKRVRKKYDIKCSINTVDEQHVVSDESCDIAKSGAKDKSSKEGNYSQAVESRHETLSESMSVSGIIRKYFSCYDEVNSGSGFSYSTVRGGEQKEKLIVRTDDCHLNFGVCTPPPFRAKTPSKTLNVPLTSKTASIPSRKVKKAEVGKRLLTAANHLGLNSSNRSPGLSLTRSVVFEIPDEDD >itb14g01710.t1 pep chromosome:ASM357664v1:14:1410354:1412467:-1 gene:itb14g01710 transcript:itb14g01710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADALFIRDLVSGLEQSVEENRLFLIGIKYEIYDLVKGLKSFSAILHQASKNQRAKYALKDIMDKIRKVISDAEHTICMYSAERKKRTSETNCIQRFAGEIQSIRDRAKKIKEDYSEAVRVLICNAPITDVSVEEPVNRLDEVVEENAKLIMEIKDEVDDVVVDLIIITASLKELLKRQGAENSDAVKDVVGKIWNAVSNAEDMISGYILEKEKHKWVPINFRVSGFAKDIQPIRSQVYQIYSFISYVS >itb06g25600.t1 pep chromosome:ASM357664v1:6:26557097:26557835:1 gene:itb06g25600 transcript:itb06g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMSIRVTLRCVLVMGSILMSWSLAERSTVVEGSSFCDSKCAVRCSKSGRPDRCLKYCGICCEKCHCVPSGTFGHKDECPCYRDMKNSKGGPKCP >itb09g01920.t1 pep chromosome:ASM357664v1:9:1175204:1176449:1 gene:itb09g01920 transcript:itb09g01920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPASTKVVHDFFPIFRVYDDGRVERFKNHVSVPPTDDPETGVQSKDVVIVPENNVWARLYLPKVTDGAEKFPVLFYIHGGAFSIESASSATYDKYLHAVTAKANVVTVSVEYRLAPEHKLPACYDDSWAVMQWVASHGKDGGQGSDPWLKTHADLSRVFLAGDSAGANIAHYMMVKASEEKSQDCLKPVGMILGHPYFGISGEPDKLWEIVYPDCPASGDPMGNPAAIPGLLSQLGCSKILVCIAEKDFIRDRGLKYYEALKNSGWGGHLELVDSDGEEHVFHLLNPTCEQADLMMNRVVAFLKST >itb02g15770.t1 pep chromosome:ASM357664v1:2:11479347:11484965:-1 gene:itb02g15770 transcript:itb02g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLFIFTRGGLILWTCKELGNALKGSPIDILIRSCLLEERSGSTSYNYDVPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLMMVKKEFSKIYDPKRTLYNDFDDIFQQLKKEAEARVEEMKKSKQAGKPMKNTLAKKQGQVQRGMFDGGNKKSESGDDGGEDKFKNRSLENGDSNCSFENESLPAEGADKGKENVNSKTGAFDVNKLLKLRSKAGKKTDTVVKKGSKAEPKKIMKKNRVWDDSPAKAKLDFTDHVTEDEVQNVAAVAVDQGESMMDKEEIINDSESEEDDEEPENDNKVDTKKKWWFSSMFQSIAGKANLEKADLELPLKSLKDMLMTKNVAEEIAEKLCESVAASLEGKKQASFTRISSIVKAAMEEALVRILTPKRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >itb04g31400.t2 pep chromosome:ASM357664v1:4:34299908:34301559:-1 gene:itb04g31400 transcript:itb04g31400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGVYLVLFDRAGYGESDPNPKRTQSSESLDVEELAELLNLGPKFYLISNSMGSYPTWGCLKRIPQKLLGVAMVVPPVNYQWPSIPDILTKNDQRKKMIYRTMIWIGKYAPRLGQWWAALNPSRNNKNYSDRDLELIKNAPNGHETPTAEKLKDKRVFDNLSSDFSAFFSKWEFDPLEMSDPFPEKKGSVQIWQGCQDKFINVDLQRYVARKLRWIRYYEVPEGGHCLVYDADICEAIFRALLLGEDPAKFWPY >itb04g31400.t1 pep chromosome:ASM357664v1:4:34299908:34301559:-1 gene:itb04g31400 transcript:itb04g31400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAPLCFNSSDHQPECAADDNRKFHGSSKKIRLSDGRFIAYIEKGVPRDEANYKVLVVHGFDSSKDMNFVAPQELMKELGVYLVLFDRAGYGESDPNPKRTQSSESLDVEELAELLNLGPKFYLISNSMGSYPTWGCLKRIPQKLLGVAMVVPPVNYQWPSIPDILTKNDQRKKMIYRTMIWIGKYAPRLGQWWAALNPSRNNKNYSDRDLELIKNAPNGHETPTAEKLKDKRVFDNLSSDFSAFFSKWEFDPLEMSDPFPEKKGSVQIWQGCQDKFINVDLQRYVARKLRWIRYYEVPEGGHCLVYDADICEAIFRALLLGEDPAKFWPY >itb04g31400.t3 pep chromosome:ASM357664v1:4:34299908:34301559:-1 gene:itb04g31400 transcript:itb04g31400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEAPLCFNSSDHQPECAADDNRKFHGSSKKIRLSDGRFIAYIEKGVPRDEANYKVLVVHGFDSSKDMNFVAPQELMKELGVYLVLFDRAGYGESDPNPKRTQSSESLDVEELAELLNLGPKFYLISNSMGSYPTWGCLKRIPQKLLGVAMVVPPVNYQWPSIPDILTKNDQRKKMIYRTMIWIGKYAPRLGQWWAALNPSRNNKNYSDRDLELIKNAPNGHETPTAVIIYNANINYITRNII >itb09g16680.t1 pep chromosome:ASM357664v1:9:11840011:11845215:1 gene:itb09g16680 transcript:itb09g16680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSHSRYLLQILSTRVQNLDKGVELDCQWVEFDDIRFHIQASMKNSNVLLLSMSIPIPPPETVFFGGLPQGAIEAIKAAYGVVAQILDPPRDGFNLTLKLNLSKLPPDEDHKNALLMKIASVREVVMGAPLRAVLKNLVLRTVPSSSEKLISLVHRPNESFFLVPQIDKVTAIFPMRFNDSIDTVLASSFLQEFVEARRTAGLNTAPPCFWSPSPPQELEGVASEAIAANAGYFRFVIFPRHVEGKKLDRTVWNLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALDRAKPEGETPKKSPSPKSFKRMSLKDSQSGFNSRR >itb05g01230.t1 pep chromosome:ASM357664v1:5:1076800:1077541:1 gene:itb05g01230 transcript:itb05g01230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMKAQGGIQMLLNAEQDAQQIIASARNVKMARLRQAHDEAEREVTNYRTQLEADYQKQISECSGSSDSSVKRLEAETDTRIRKMKEASSRVSPDVVSMLIRHITTVRT >itb10g13010.t1 pep chromosome:ASM357664v1:10:19090849:19095536:-1 gene:itb10g13010 transcript:itb10g13010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRICTALAIVALFFSAAFADDVVVLTEENFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGESFKKAKSVLIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGARTAEALTEFVNTEAGTNVKLAAIPSNVVVLTSENFAEIVLNEKKDVLVEFYAPWCGHCKHLAPTYEKVATAFKLDEDVVIANCDADKYKDLGEKYGVSGFPTLKFFPKGNKDGEDYEGGRDLDDFVNFINEKCGTKRDAKGQLTSKAGLVEDLDKLVKEFLTVSDAEKKAVLGKLEEEVEKLSGSSARHGKIYVKVAKSCMDKGADYAKNEIQRLERMLAKSISPAKADEFTLKKNILSTFA >itb11g08210.t1 pep chromosome:ASM357664v1:11:5333311:5335394:-1 gene:itb11g08210 transcript:itb11g08210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEFQYAYFTSGAEIIRIKIPMTSNSFEPEVELVFSNQSQPLPKAMGLFSHNHIVYMVGGYYLREDLGDDVDDDVHDDVDDDDFQYVDVVHMFDPTKFDKIPFENIEQLEKLTCEHMVFPSVIRAEDRIYLSSERNFFGYRLISDAFLFQYFDPNKNLFETLPPPPVLIDREIYTAHLAVRCSFFLRDYIYVVITDTGTFRQTSKFNTTNSKWEDCKSMMDKFKEKNIPFPFLHAGDMGISHELVDNTWILVALNVGALPIAYNVDFSDEGDIQPISHRVLAELYTSDFDMDGLASDWKQLADMGGGRFCVMYSAFYEDILIYGFNIDFELEHTIQRNETENSSSNIIFKMKFNLDDPIPLKHSLTGFCIASAPPPLASPDNEDQDKNDRKSKRKWGDVQTLISVHGKSGFHIDPYHGEQAAELSVDFFEKSKMDPSHWETISAGGLKRIQEKYTWQIYSDRLLTLAAVYGFWKHVSKLDRLEIRRYLKMFYALKYRKLAEAVPLAEE >itb09g17120.t1 pep chromosome:ASM357664v1:9:12354870:12357088:1 gene:itb09g17120 transcript:itb09g17120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGASGFIASWLVKLLLHRGYTVHATVRSLKDPNKVSHLLALDGAKERLHLFEADLVEENSFDTAINGCEGVFHTASPVSFSPSATKTELVDPAVKGTLNVLGSCVRTPSVKRVVVTSSTASILVKRNPITPTEVVDETWFSDKEFVEETEKWYILSKILAEEAAWKYAGENGIDMVSLHPCLVIGPLLQPTLNFSTKVILDLVKEEKDFFPGINCYVDVRDVANAHIQAFELPSASGRYLLIGEATYSSQVLKIAAQLYPSLPIPDKYKGDLRVVPTFKVSQEKAKSLGINFTSFAVTLKDTIESLKEKNLLSF >itb07g07450.t1 pep chromosome:ASM357664v1:7:5643073:5646010:-1 gene:itb07g07450 transcript:itb07g07450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALALFILIFCNSQALVSSLNDEAKALLSFKQSVLEDPEGSLNNWNYSDETPCSWNGITCKDQRVVSVTIPKKNLSGVLSSSLGSLSELRHLNLRNNRLNGSIPPGLFRALGLQSLVLNGNSLSGPLPSEVGGLNYLQTFFISQNFLNGPLPATLIQCKRLKKLDLSVNNFSGSLPNGFGASLASLVNLDLSHNEFSGGIPSDLGNLSNLEGTIDLSHNIFSGLIPPSLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFLGNSGLCGPPLKNLCSSGGDANSPSLYPPLPNNYPPEGTVDHGRRLSKGAISAVIVSDVIGICLIGLLFSYCYARICPWGTRHDESGNGFGKGGKGRNECLCFGKDVSETLSEHVEQCDLVALDNQVVFDLDQLLKASAFVLGKSGIGIVYKVVLEDGLNLAVRRLGEGGSQRLKEFQTEVEAIGKLRHPNVVTLRAYYWSVDEKLLIYDFVPNGNLSTAIHGKPGMVSFEPLSWSVRLSIMKGTAKGMVYLHEYSPKKYVHGDLKPSNILLGHDMEPKISDFGLGRLANIAGGSPTLLSTRMASEKQQQRQPGSGPSETSTIVSTGALGSPYQAPEAFKVMKPSQKWDVYSYGVILLEMLTGRSPVVQLGSSEMDLVNWIHLCIEEKKPLSDVLDPYLAEDADKEDEMIAVLKIAMACVHTNLEKRPSMRHVLEALERLPASSAL >itb02g13760.t1 pep chromosome:ASM357664v1:2:10009933:10015283:1 gene:itb02g13760 transcript:itb02g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase-related protein 2 [Source:Projected from Arabidopsis thaliana (AT4G24670) UniProtKB/Swiss-Prot;Acc:Q94A02] MKYRKLCLPAVAMKHLLAVSVALNVALVLKLMHGGDEGVGWLKAEETLAGPPKKALQEMSSSGGVNGVQNNFESGLQELYINLDHGDPTMYESYWKQSGDKTTVVLSGWRSISYFSDTRNLCWFLEPDFANAVTRLHNLVGNAATENYHIVVGTGSTQLYQAVLYALSPPNTPEPISVVSAAPFYSQYPLTTDCLKSGLHKWEGDANKFDKNGPYIELVTSPNNPDGSIRQAVVNGTGVLVHDLAYYWPQYTPISYRADNDIMLFTVSKCTGHAGTRLGWALVKDEEIAKKMTKFIEIVSIGVSKESQLRAAKILDVISDGYEKKESHEENEPFFEFGYKEIGRRWRQLRAAAGNGKPFSLPDFPTGKCNFSGHEFETQPAFAWMECKGETDDCEGFLREHKILTRGGKHFGSRSKYVRLSMIGREEHFNEFIRRLSVINSLDSPLTKD >itb05g27940.t1 pep chromosome:ASM357664v1:5:31118797:31120945:1 gene:itb05g27940 transcript:itb05g27940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMAIAEYWGCSAAFFMIFSFLLGCFCYMKNMMESMVRSSGSAIPRKWAVAGMLPGLLHNAHRLHQFVTDIMKESGGTFEFKGPVSGNLDMLITCDPANIHHILSRNFSNYPKGPQFRQIFDVLGDGIFNVDSFLWELHRKTTLSFFNHAQFHTLLETNVWHKVENGLLPVLDCFAEQGAEFDLQDVFQRFTFDTISKLVLHHDPGSLSLGLPYVPCEKAFNPMVDALLYRHILPESCWKLQKWVGIGKEKKLSQACRAFDQYIYSCINKRLPQDNSEELSLFTAYVQAYKQQDQLQAVLTTTTGSASPLVKFLKDTLLSLMFAGRDTTSSTLTWLFYLLAQNPLVQANIRDEIENKFNLLQKQDNNKKLFFKVEECQKLVYLHGALCESLRLFPPVAIEHKVSAGMDVLPSGHLIKPNTRMILSFYSSGRMDSIWGEDCMEFKPERWISSKGRIKHEPSYKFPAFNAGPRTCLGKEMGLVQMKMIAAIILHHYEFQVVDSHSVSPSDSIIIQAKHGLKVKFTKRNTA >itb15g09430.t1 pep chromosome:ASM357664v1:15:6710205:6725239:-1 gene:itb15g09430 transcript:itb15g09430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYGSHPASSSVANIDEWKWKLSLLSRSEEQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMLNLQRTWQVVVISGETGCGKTTQLPQYILESEIESGRGTFCSIICTQPRRISAMAVAERVATERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSAILNADLFSGYFGGAPTIHIPGFTYPVRAHFLEDVLETTGYKLTSFNQIDDYGQDKMWKTQKQLAPRKKKNQITGLVEDALNRSNFENYSARARDSLACWSPDSVGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLVLTCHGSMATSEQKLIFERPPQNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQSGECYHLYPQCVVQSGECYHLYPQCVYEAFAEYQLPELLRTPLNSLCLQIKSLRTPLNSLCLQIKSLQVGSIAEFLQIKSLQVGSIAEFLSAALQPPESIAEFLSAALQPPEPLAVQNAIGFLKMIGALDEHENLTYLGKYLSVLPVDPKLGKMLVMGAILRCFDPILTIVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILKDAGLLEADNATNNKLSHNQSLVRAVICSGLYPGITSVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGNLGNGATAGHLKMLDGYLEFFMDPSLAECYTNLKEQLDELVQKKLEDPGKDMHKEGKYLMLAVQELVSGDQCEGRFVFGRESKKPKESSNNDRFTKDGTNPKSLLQTLLMRAGHHPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKALAEKDAAIEALAWLTHTSDKKGEEDDNSPPDVTDNMLKLLGKRRRSKRRSG >itb09g11130.t1 pep chromosome:ASM357664v1:9:6962795:6963864:1 gene:itb09g11130 transcript:itb09g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVGSVAKCDPVFQWQHEQEYDDLMVHVPEFKTEHLRVLVNSCGILKISGDRPAESSFYKEVIVPPNCNPDEIQAKYVDGILHIIMPKKISDPPQDNKPKPHSSNPSIAVNVAALVAVISVITACSYYMYNSIMGSSS >itb11g20010.t1 pep chromosome:ASM357664v1:11:20947702:20959042:-1 gene:itb11g20010 transcript:itb11g20010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALTMKHSIYRSSWESKCKVILLALLILVTGASGTSGGGAAANTTITSSEATNNNSNYSVTYDRRSLIVNGHRKLLISASIHYPRSVPAMWPGLVQLAKEGGADVIETYVFWNGHEPFPGKYYFGGRYDLVKFCKIVQQAGMYMILRIGPFIAAEWNFGGIPVWLHYVPGTIFRTDNEPFKHYMQNFMTFIVNLMKQERLFASQGGPIILAQVENEYGFYEAAYGDGGKRYALWAAKMAVSQNTGVPWIMCEQFDAPIEVIDTCNLFYCDQFTPLSPNKPKIWTENWPGWFKTFGASDPHRPPEDIAFSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLARFPKWEHLKQLHKAIKLCEHALLNNEPTLLSLGPLQEADVYEDASGGSCAAFLANTDDKNDKVVIFRKMSYNLPAWSVSILPDCRNVVFNTAKVSSQTSLVEMIPSDLQPSSASPVRGLKGLQWEVFEEIAGIWGNADFIRRGFVDHINTTKDTTDYLWYTTSLFVDENEESLINGSNAILQVESKGHVLHVFINKMFQATASGNGSVPSFKLRSPISLKAGKNEISLLSMTMGLQNAGSFYEWVGAGLTSVKVEGFKNGTVDLSSNTWTYKVGLKGESLRIYQGYGLNSKMWVPTSAPPKGQPLTWYKAVVNAPPGDEPVGLDMIHMGKGMAWLNGEEIGRYWPRKSSKNDKCVLQCDYRGKFSPNKCNKGCGEPTQRWYHVPRSWFKPAGNILVIFEEIGGDLTQIKFSLRKVSSICAHVPEDHPPHDLEHLQHGEIEKNIGKPTLKLKCPTNTRVSLVTFASFGTPTGTCGSYTEGNCHDPNSVSLINKACMNQSECAVELSNRNFNMGLCPNTTKNLAVEVQCS >itb08g04190.t1 pep chromosome:ASM357664v1:8:3326779:3327362:-1 gene:itb08g04190 transcript:itb08g04190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVDTKKKKQQQISAEEEEGENNTTQNFVTRHIQLKQAANSGDTLNKDVVLRRIRHRRRVNRLKSTVKAFLGFASSSSYNNKDNKHNNNKQMISWVDDAFAAP >itb01g04200.t1 pep chromosome:ASM357664v1:1:2836877:2844265:1 gene:itb01g04200 transcript:itb01g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVFPSCNVMFVSFNNYCSAASGKSCLLVPPSPAPSSPPNNPHSPPPPPPGPLSPPNNPPSPPPPPPSAPSPSSPLINPPSPPTIRPPPPNTTSVDAGSGNSSSKLIIATVIPAIGIILLFISIFCFLRVRNVKKRSTIVQTTDVTTVSVEESTQYDFATIKAITNDFSTENKIGEGGYGSVYQGMLPTGQEVAVKRLSRSSGQGAQEFKNELITINMMMIMIWFCLLMSFFINNARSSDLIVHFYCRNTTSYSPNSTYKANLDSLLSNLSSNAAPDNNGFYHATVGGVHGFFLCRGDVSRDDCRNCVGEAREMVLEFCDYEKTAMIWFDKCMLRYSEKSVVGIMDQSTSYTMKNEDNDTNPNAFMKFVGNMFDEIATQASADGSGGKKFAVLETSFSALEKVYALGQCTPDLSKVDCRKCLENVVALLPRCCFGAVGARALFPSCNVRYELYPFYNLSAVAAPPAPPPVPPPPPATILLPKPANGKGKLVLGRLPNGQEVAVKRLSKGSRQGAVEFKNEVALVAKLQHRNLVRLLGFCLHREEKILIYEFIPNKSLDYFLFDVYSFGVLLLEIITGKKNSSFSCSTESSTGAQDLISYAWKHWREDTAVEMVDQSLGGLYSRNEVIQCIHVGLLCVQENVDERPTMANVVLMLHSHSATRRAPNPPTSFDGGNHEMTISAGQELAEQSTNKSPPLSVNEVSISELSPR >itb02g11140.t1 pep chromosome:ASM357664v1:2:7274462:7278663:1 gene:itb02g11140 transcript:itb02g11140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSSMGMRGADGNPANRNIFSFLNDIWQQWNIQTIVLASLAFQIVLIFAAPTRTRAKGSFRVVTLWSVYLLADYIATYAIGLINNGSQIQGTNDEATEACGSFKHMELAPLWAPFLLLHLGGPDTITAFAIEDNELWLRHLLSLFVQLFSVLLVFYRYRIHKSRFLIPTGLTFIAGVIKYAERTRSLNLASLANLKKSMREEPDAGPDYAQLMEEMSSKQAANLPVTIDINREKGAKADDMDDVVDMTQKYQALEELEVIKHGYKFFRVFKGLIVDHMFSFLERDESRRFYRSLEFKDAFRVMEMELNFIYDAMFTKLSAVRSIFGYIFRFICTALLVSATVTFSLSLKNSPHHIHPGDIIVTYFLLGGGVALDLIAIMELIFSKWTIAALLVDSEKSVTASTSARNWRKTVAKGIKRVKGIIPTKRWSEEMHQYSFINHCLHKWPDWVTYLIDQVGLTEMVCSCLYGKTRDVDERLKMIIFEEIKKKGKQATKTSVAKEISSSKGEWTLLDYNCGPEIHFSVSQDVDYDECVLLWHIATEIFYFSNSKAKTRDIEAAAKSVENNPQQPQKKQEENAGDSSSKQDNDVHDAEICRYISEYLLYLLVIERKMTAAVAGIVEIRFRDTCKEATNFFSRQPKQKKAEGGSCFSSCCHFLHETFKNVKKSCRLYLYSMVLSTWEDIKYVFTLKCFTEKVRKRKPTKWEEEQNRLEDKKRKEACENLKSVNPQVRPSEVKGDRSKSLLFDACILAAHLERLCKSNDFTENRVWEMMSRVWVELLSYGACHCRGDAHAQYLGKGGELLTFAWLLMAHFGLGEQFRIEAGHARAKLIVGKEDIN >itb09g15350.t3 pep chromosome:ASM357664v1:9:10629576:10635492:1 gene:itb09g15350 transcript:itb09g15350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVIADSVGRALSLSDRTQSVDAVLLNDNHINSLTNGEVSRSSESSGFRVGELLLRNGECYSGSLLGNIPEGSGQYIWADGCRYEGEWRHGMRHGYGTLRWPSGAVYEGEFSGGYMHGTGTYTTSNKMTYKGRWKLNRKHGLGSQIYPNGDVFEGSWIQGTAEGPGKYAWANGNVYFGNMKGGRMSGKGTLTWLNGDTYEGSWLNGMMHGFGIYTWCDGGCYIGTWTRGLKDGKGAFYPRGSRLPAGQELYLNALRKRGLLPDLRKQKQVSHMHHAVSVDMGNVKVIGDQGARKDSPDKLSKGNLLNLEQSRTTNVALERRWSLEVAIEKVIGRDFESEITDDDFNTPILEREYMQGVLISEVVLSDRFSPPSRRAKRRQRKLAREMERPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMYFPKVGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHLHVRTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPTWQEALLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRSLASHSRRITADGLEIVAEDESLEDEISPQGLVLVPRDADDGSVVVGSHIRGSRLRASSASGDEEVDLLLPGTASRLQIQLGVNMPARAEYIPGKEEKQMFHEVYDVVLYLGIIDILQEYNITKKIEHAYKSIQFDSVSISAVDPTFYSERFLEFIRKVFPANEVTS >itb09g15350.t1 pep chromosome:ASM357664v1:9:10629531:10635492:1 gene:itb09g15350 transcript:itb09g15350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVIADSVGRALSLSDRTQSVDAVLLNDNHINSLTNGEVSRSSESSGFRVGELLLRNGECYSGSLLGNIPEGSGQYIWADGCRYEGEWRHGMRHGYGTLRWPSGAVYEGEFSGGYMHGTGTYTTSNKMTYKGRWKLNRKHGLGSQIYPNGDVFEGSWIQGTAEGPGKYAWANGNVYFGNMKGGRMSGKGTLTWLNGDTYEGSWLNGMMHGFGIYTWCDGGCYIGTWTRGLKDGKGAFYPRGSRLPAGQELYLNALRKRGLLPDLRKQKQVSHMHHAVSVDMGNVKVIGDQGARKDSPDKLSKGNLLNLEQSRTTNVALERRWSLEVAIEKVIGRDFESEITDDDFNTPILEREYMQGVLISEVVLSDRFSPPSRRAKRRQRKLAREMERPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMYFPKVGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHLHVRTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPTWQEALLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRSLASHSRRITADGLEIVAEDESLEDEISPQGLVLVPRDADDGSVVVGSHIRGSRLRASSASGDEEVDLLLPGTARLQIQLGVNMPARAEYIPGKEEKQMFHEVYDVVLYLGIIDILQEYNITKKIEHAYKSIQFDSVSISAVDPTFYSERFLEFIRKVFPANEVTS >itb09g15350.t2 pep chromosome:ASM357664v1:9:10629531:10635492:1 gene:itb09g15350 transcript:itb09g15350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVIADSVGRALSLSDRTQSVDAVLLNDNHINSLTNGEVSRSSESSGFRVGELLLRNGECYSGSLLGNIPEGSGQYIWADGCRYEGEWRHGMRHGYGTLRWPSGAVYEGEFSGGYMHGTGTYTTSNKMTYKGRWKLNRKHGLGSQIYPNGDVFEGSWIQGTAEGPGKYAWANGNVYFGNMKGGRMSGKGTLTWLNGDTYEGSWLNGMMHGFGIYTWCDGGCYIGTWTRGLKDGKGAFYPRGSRLPAGQELYLNALRKRGLLPDLRKQKQVSHMHHAVSVDMGNVKVIGDQGARKDSPDKLSKGNLLNLEQSRTTNVALERRWSLEVAIEKVIGRDFESEITDDDFNTPILEREYMQGVLISEVVLSDRFSPPSRRAKRRQRKLAREMERPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMYFPKVGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHLHVRTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPTWQEALLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRSLASHSRRITADGLEIVAEDESLEDEISPQGLVLVPRDADDGSVVVGSHIRGSRLRASSASGDEEVDLLLPGTARLQIQLGVNMPARAEYIPGKEEKQMFHEVYDVVLYLGIIDILQEYNITKKIEHAYKSIQFDSVSISAVDPTFYSERFLEFIRKVFPANEVTS >itb09g15350.t4 pep chromosome:ASM357664v1:9:10629542:10635492:1 gene:itb09g15350 transcript:itb09g15350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVIADSVGRALSLSDRTQSVDAVLLNDNHINSLTNGEVSRSSESSGFRVGELLLRNGECYSGSLLGNIPEGSGQYIWADGCRYEGEWRHGMRHGYGTLRWPSGAVYEGEFSGGYMHGTGTYTTSNKMTYKGRWKLNRKHGLGSQIYPNGDVFEGSWIQGTAEGPGKYAWANGNVYFGNMKGGRMSGKGTLTWLNGDTYEGSWLNGMMHGFGIYTWCDGGCYIGTWTRGLKDGKGAFYPRGSRLPAGQELYLNALRKRGLLPDLRKQKQVSHMHHAVSVDMGNVKVIGDQGARKDSPDKLSKGNLLNLEQSRTTNVALERRWSLEVAIEKVIGRDFESEITDDDFNTPILEREYMQGVLISEVVLSDRFSPPSRRAKRRQRKLAREMERPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMYFPKVGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHLHVRTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPTWQEALLKQIEIDSKFLEAQHIMDYSLLLGVHYRAPQHLRSLASHSRRITADGLEIVAEDESLEDEISPQGLVLVPRDADDGSVVVGSHIRGSRLRASSASGDEEVDLLLPGTASRLQIQLGVNMPARAEYIPGKEEKQMFHEVYDVVLYLGIIDILQEYNITKKIEHAYKSIQFDSVSISAVDPTFYSERFLEFIRKVFPANEVTS >itb12g14740.t1 pep chromosome:ASM357664v1:12:14970274:14971380:-1 gene:itb12g14740 transcript:itb12g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKGSMYVYKLENPKAAEPKKAIFGGWPGLRRSKTCRETQGGRPDYSKAAAERDGGVNATVEAAAARKSFSSSVESRKSVCNIEVNVGSAASLLQVKVMASDMPGFMQLHAFRCARTTFDSLEKFSSKHLAYNIKKCMQV >itb15g19980.t1 pep chromosome:ASM357664v1:15:22480496:22481546:-1 gene:itb15g19980 transcript:itb15g19980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKAERSARRNNLDLRPYSLCTDRTPQTQPSLSPLHRSLQRRPALVFSVWRLLLCSDSLHQTSEVKNRRISSDASLFFQFNGSTSATQNPNPTGTNMGGLYSIGNSCKVSNSAKTMKVETS >itb04g27630.t2 pep chromosome:ASM357664v1:4:31608325:31610849:1 gene:itb04g27630 transcript:itb04g27630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRRRLISILFPAHHSVTLQLCLLTSSRLLSAVDYSISPVNSSSALFFLLGFLFFVASSWKHSPCVSISCLQTACRGNLRVEMKLKVVCRKVYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWKERYLVLKEASRLYAASWVRDIGPELRPNDYKKEQYNEDMPHEENGIAKEKEPSTLEDLGKEPQPADFIIQTMCPMYSSID >itb04g27630.t1 pep chromosome:ASM357664v1:4:31608325:31610849:1 gene:itb04g27630 transcript:itb04g27630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRRRLISILFPAHHSVTLQLCLLTSSRLLSAVDYSISPVNSSSALFFLLGFLFFVASSWKHSPCVSISCLQTACRGNLRVEMKLKVVCRKVYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWKERYLVLKEASRLYAASWVRDIGPELRPNDYKKEQYNEDMPHEENGIAKEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIEGYQEGIQQVMEKKEEANKSQEEKDVGKGT >itb03g13580.t1 pep chromosome:ASM357664v1:3:13644708:13646890:1 gene:itb03g13580 transcript:itb03g13580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADTLFRDDDGDQACFQGCCSNPLLRVQESPIQKDDSKPRNTSAACRHSFADTTSSFFFPNTQFTNHESLPSLRESFAQFIKAYPQYSETRTIDNIRAQEYYNLTLSKHVCLDYIGIGLFSYAQVQSQLSAIVPFASSSSSSPSPQFSDFPFFDVSFKSVNLKSELLQSSQGSEFESGIKKRILDFLNISQQEYSMIFTANKSSAFKLVAESYPFQSNRKLLTVYDHDSEALQTMVNTAEKRRAHVSSAEFKWPRLRVHAENMRKMIVSKKKKRGRGLFVFPLQSRVTGSNYSYQWMSLAQENGWHVLLDACALGPKDMDSFGLSLFHPDFLVCSFYKVFGDNPTGFGCLIAKKSAVSILEDSKTAGIVTLEPQAQLFRSPDSSGTDTELEQMPNIWMKADNLNGKSIETETSRSKGKEFSPNVTTSETSGKEESAETIPALSKNLKLRDDQHAAFECKCLDQVDSLGLMLVNNRRRYLVNWLITALMKLQHPNRLDSFPLVRIYGPKIKFDRGPALAFNVYDWKGEKVDPTFIQKLADRNHISLSQGFLQNIWFPDKYEEERDRVLEKRKDEGKEAERNKSSKKAKQGIAVVTVALSFLVNFEDTYKFWAFIARFLDADFVEKERWRYTALNQKKVEVL >itb07g02960.t1 pep chromosome:ASM357664v1:7:2000185:2009255:1 gene:itb07g02960 transcript:itb07g02960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSAQLQQAQLAAIMGPDPGPFETLISHLMSSANEQRSQAESIFNLIKQNDPNSLVLKLAHVLSSSVHVEARAMAAILLRKLLTRDDSYIWPRLSASTQSGIKSMLLTCIQKEEVKTIIKKLCDTISELASSILPENQWPEILPFMFQCVTSDSPKLQESAFLIFAQLAQYIGETLVPYIKDLHSVFLQTLNNSPSSDVRIAALSAVINFIQCLTSSTDRDRFQDLLPSMMRTLTEALNSSQEATAQEALELLIELAGTEPRFLRRQLVDVVGAMLQIAEADTLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILLKMLLDIDDEPAWHSAEAEDEDAGETSNYSVGQECLDRLSIALGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMIKNLEQIVTMVLSSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHNRVLPSLAASMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNATDKANRMLRAKAMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQLDSDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNEIDDSDDDSMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPQLLHSAKLAVEKGLAQGRNEAYVKQLSDYVVPALVEALHKEPDTEICANMLDSLNECLQISGPLLDEAQVRSIVDEIKQVITASSSRKRERAEREKAEDFDAEESELLKEENEQEEEVFDQVGEILGTLIKTFKAAFLPFFDELSSYIMPMWGKDKTAEERRIAICIFDDVAEQCREAALKYYDTYLPFLLEACNDQSPDVRQAAVYGLGVCAEFGGSVFKPLVGESLSRLNAVIKHPNALQPENVMAYDNAVSALGKICQFHRESIDSAQVVPAWLHCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVSVFAEVLCAGKDLATEQTAGRMVNLLRQLQQTLPPATLASTWSSLQPQQQMALQSILSS >itb03g20240.t2 pep chromosome:ASM357664v1:3:18181297:18183649:1 gene:itb03g20240 transcript:itb03g20240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKVWENMKVLCICAVACLFVLRGMAYLWWRPRKMEHHFAKQGIRGPPYRFFIGNAKEIVSLMVKASSHPMTYCHNILPRVLPFYHHWKKIYGATFLVWFGPTARLAVADPDLIREIFTSKSEFYEKNEAHPLIRQLEGDGLLSLKGEKWALHRKIITPTFHMENLKLLIPVAAGKVVEMLEKWVGMSKEVGDGEVEIEVSEWFQTLTEDIVAQTAFGHSYEDGKAIFRLQAQQMVLASEAFQKVFIPGYRFLPTKRNIRSWKLEKEIKKSLMKVIEERRRNWGKENLESGPKDLLGLMIQASMKEASKKGGEVSPSPSPASTITVNDIAEECKTFFFAGEQTTSNLLTWTTVLLAMHPQWQDQAREEVLKVCGPRDIPSKDDIVKLKMVGLPHLLSPF >itb03g20240.t1 pep chromosome:ASM357664v1:3:18181222:18185030:1 gene:itb03g20240 transcript:itb03g20240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKVWENMKVLCICAVACLFVLRGMAYLWWRPRKMEHHFAKQGIRGPPYRFFIGNAKEIVSLMVKASSHPMTYCHNILPRVLPFYHHWKKIYGATFLVWFGPTARLAVADPDLIREIFTSKSEFYEKNEAHPLIRQLEGDGLLSLKGEKWALHRKIITPTFHMENLKLLIPVAAGKVVEMLEKWVGMSKEVGDGEVEIEVSEWFQTLTEDIVAQTAFGHSYEDGKAIFRLQAQQMVLASEAFQKVFIPGYRFLPTKRNIRSWKLEKEIKKSLMKVIEERRRNWGKENLESGPKDLLGLMIQASMKEASKKGGEVSPSPSPASTITVNDIAEECKTFFFAGEQTTSNLLTWTTVLLAMHPQWQDQAREEVLKVCGPRDIPSKDDIVKLKMLSMILNESLRLYPPIVATIRRAKADVELGGCKIPRGTELLIPILAVHHDQAIWGNDVNEFNPARFSEGVARAAKHPVAYIPFGLGVRQCIGQNLAILQTKLTLAIMLQRFSFRLSPRYKHAPTVLMLLHPQYGAPVIFQRLPEPEPTTMQDQGSW >itb12g17820.t1 pep chromosome:ASM357664v1:12:20047759:20051182:-1 gene:itb12g17820 transcript:itb12g17820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVKYLIGSAGPSGFGSKSTAEEVTEMSPRLCSDVTAIITGATSGIGAETARVLAKRGARLVLPARSVKAAEETKARILSESPGAAIVVMPLDLSSLASVRLFVADFESLDLPLNLLINNAGKFAYKHSVSEDGIEMTFATNYLGHFLLTNLLLGKMTETAKSSGIQGRIVNVSSGIYNWFPDDIIAYFRDVSKDPSLYDPTRAYALSKLATFLHTKELAQRLKQMEANVTVNCVHPGVVKTRLNREREGFLTDLAFFLASKLLKTIPQAASTTCYVATDPRLANVSGKFFSDCNEVSISKFGFSSTENARLWQASDVLVSPHSNPLFDPFQGLA >itb13g01260.t1 pep chromosome:ASM357664v1:13:1201055:1203966:-1 gene:itb13g01260 transcript:itb13g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTFAWVSMVLLFSDILRINVMHGLGECLPDQKAVLLQIQNQLSYNSSLSFKLSLEPITGGTNAFFLLKLLPYLSVIRLDRLNFSAPFPDFFADFTNLTVLSLRDCNFTGTVPQKVFQVPTLQTIDLSSNEMLGGSLPDFPKNGSLQSLQLGVTKFSGRLPESIGNLRLLSHIELIGCNFSGPIPVSIIRLTKLVELSLRGNHFSGWIPPFKLFKNLTEIDLSNNDFTGEIPSSHWDGLNNLERLYLDGNSFSGPIPPSLFFLPSLITLSLYKNKFSSQIIDLHNVTSPLELLYLSDNNLEGTMPSFFFQLPNLTYLDLSSNKFSGQIIDLQNVSSQLRNLDLSNNNLEGPIPSFFFQLQNLTTLYLSSNKFNGTVHLTRFKNPENIWFLDISHNSLAVETNIRAAELPILPEFRVLKLASCNLQKFPDFLKNQSKLQMLDLSSNAISGEIPEWISEMGTRNLIFLNLSRNLLDNMQEPKEYGSLYYLDLNSNMFSGHIPRPPRVAVYLDLSNNSFSSLPLDIGDQLPNVSFFSIANNRVSGTIPLSWCHANQLEVLDLSNNALHGNIPPCLVQNNSKLAVMNLKGNHLSEPEEKESAYHGDIYASVALGFVVGLGGIFLPLLLSNKWRLYYNKKIDGVVLKVFFQRDKGRRKNSR >itb10g05520.t1 pep chromosome:ASM357664v1:10:5748396:5750124:1 gene:itb10g05520 transcript:itb10g05520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFTFTLSRSKPPNFLISLPETAVTADPPPASLTPQSLPARLHASRPHATHARTIATHNSQQSPSVGLPSPVGSGFYREETES >itb01g36010.t1 pep chromosome:ASM357664v1:1:37900138:37904862:1 gene:itb01g36010 transcript:itb01g36010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYGPLTPGQVSFFLGIIPLFAAWLYSEYLEYRKNTASSKLHSDINLVELGNEAVKEDDKAALLEGGALQSASPRPRTSSVTSQLIRFFLMDETFLLENRLVLRAISEFGALLFYFYISDRTNIFKESTKSYNRDLFLFLYFLLIIVSGITSFKIHHDKSPFSGKSIMYLNRHQTEEWKGWMQVLFLMYHYFVASEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSLARFCQMMWRLNFLVFFCCIVLNNSYVLYYICPMHTLFTLMVYGALGIFNKYNENGTVIAIKIVACFLVVILIWEIPGMFELIWSPFTFFLGYSDPSRPKQPLLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEETEGKRRISIKTAVTITSLAIGYLWFEYIYKLPKNTYNKYHPYTSWIPITVFICLRNVTQSFRSYSLTLLAWLGKITLETYICQFHIWLRSSVPDGQPKKLLCLIPEYPLLNFMLTTVIYVAVSYRLFELTNTLKSAFVPSKDNKRLGYNAIAALVIGSVIYTLSSIFLRLSQIMI >itb01g36010.t2 pep chromosome:ASM357664v1:1:37900138:37904862:1 gene:itb01g36010 transcript:itb01g36010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYGPLTPGQVSFFLGIIPLFAAWLYSEYLEYRKNTASSKLHSDINLVELGNEAVKEDDKAALLEGGALQSASPRPRTSSVTSQLIRFFLMDETFLLENRLVLRAISEFGALLFYFYISDRTNIFKESTKSYNRDLFLFLYFLLIIVSGITSFKIHHDKSPFSGKSIMYLNRHQTEEWKGWMQVLFLMYHYFVASEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSLARFCQMMWRLNFLVFFCCIVLNNSYVLYYICPMHTLFTLMVYGALGIFNKYNENGTVIAIKIVACFLVVILIWEIPGMFELIWSPFTFFLGYSDPSRPKQPLLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEETEGKRRISIKTAVTITSLAIGYLWFEYIYKLPKNTYNKYHPYTSWIPITVFICLRNVTQSFRSYSLTLLAWLGKITLETYICQFHIWLRSSVPDGQPKKLLCLIPEYPLLNFMLTTVIYVAVR >itb13g21360.t1 pep chromosome:ASM357664v1:13:27978054:27980549:1 gene:itb13g21360 transcript:itb13g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNRTSLLLGFLVLWVLCAESSSSSPKAAQESDKIGYLPGQPSAPLLTHFSGYITVNQSHGRALFYWFFEAQSHPSTKPLVLWLNGGPGCSSIGYGAAAELGPLRVKRNGVGLNFNKYSWNKEANLLFVESPVGVGFSYTNTSSDLTTLDDKFVAQDTYIFLVNWLERFPQFKGHDFFIAGESYAGHYVPQLAEVVFDRNRDRGIYPRINLKGFIVGNPETNDYYDYKGLLEYAWSHAVISDQQYEKAKEVCNFTQENWSDKCNEAMSIVFSKYEEIDIYNIYGPRCLLNGSSSATGIRGGVLDLAITNKERTYAYRRMKRIPGGYDPCYSPYSEQYFNRIDVQKAFHANIRAPGSTVNWKTCSDPVFRTYKYTTFSLLPVYEKLIKGGLKIWIYSGDADGRVPVIGSRYCIEALKLPLKSPWRSWFHNHQVGGRIVEYHGLTFVTVRGAGHLVPLNKPSEALALIHSFLSGEELPAQR >itb06g13400.t1 pep chromosome:ASM357664v1:6:18137132:18141061:1 gene:itb06g13400 transcript:itb06g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSAEEMKNYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFARMYASKFGVDESKMMERLWGENFFDPATKKWTSKHSGTATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPGKAQKYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNFIPGEKKDLYVKNVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRIAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIITSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVATIRKRKGLKEQMTPLSEYEDKL >itb03g29660.t1 pep chromosome:ASM357664v1:3:30649125:30651419:-1 gene:itb03g29660 transcript:itb03g29660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIPENGTAATGVKIVAKTNVKPKKALGRKECQLVTFDLPYLSFFYNQKLLIYKAAADEFEEIVGRMKDGLAIVLEDFHQLAGRLAKDEEGVFSVVYDDDMDGVQVVEAEAEALEVAHLTNDEGTTMFKELIPHNKIFNLEGLHRPLLALQLTKLRDGVAVGLAFNHAVLDGMSTWHFMSSWAEICCGATCISTPPFLDRSKARNTRVKLTNLSKPSDAPEHANSVSNGDATSAKHAPILKEKVFKFSESAIDQIKARANASKPFSTFQSLSSHVWSAVTRARQLNPEDYTVFTVFIDCRKRLETPIPETYFGNLIQAIFTVTAAGLLLAHPPEFGAGLIQKAISGHDAKAIEARNAEWEKSPKIFEYKDAGMNCVAVGSSPRFKVYEVDFGFGKPESVRSGTNNRFDGMLYLYPGKSGGRSIDVEISLEASAMERLEKDKEFLMEEAN >itb13g22280.t1 pep chromosome:ASM357664v1:13:28594635:28596498:1 gene:itb13g22280 transcript:itb13g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFASKISQLSLFFPGESILSFQLVLYANQMFNFICKKFPHLRSSARSSFTTYKPYGTKSGIESPNQAKKHSYAVSYLVNTFGFAPEKALSASKYTKFASPDKPESVLSFLENHGFTKSQVSTVVKRYPPVLLCDPQNTLLPKIEFFRSLGFAEKDFTRILCVAPAILKRSLENQLLPTVDFLKKFLSSPEEIRLSIKRCPQIFFPDRQAFMEDNVQLLREMGVPELKIAHYVQYQPCLFIREKDKFRKMLEEIKGMGFEPTRNMFIVAVHVFSSLNKLTWEKKIGIYKKWGISEDEILEAFSRHPMFMSYSEENIMGTMDFLVNRMGFATSDVMRYPLIISYSLKERIIPRCFVYQTLLAKGLLPANDITVLVRILKVSEEKFLTKFVECYGKEVPELPKLYQLSCLSGWFELSMVTGDS >itb01g22720.t1 pep chromosome:ASM357664v1:1:28766089:28766436:-1 gene:itb01g22720 transcript:itb01g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGGRRRWRGWLPKLRSPLSLSLFLIGRSLLGRRRGGSVGLRVASAGVPADSSSGVLSPFSVDLSLVDLSLSAGRPQSVATERCGGGRAGISGDVASGSCRRRREESGGVAAR >itb01g31690.t1 pep chromosome:ASM357664v1:1:35336739:35338257:-1 gene:itb01g31690 transcript:itb01g31690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEPLLLPSTSSGQEEEAEEANLVKQTWAESKKIWHVAGPTIFSRLAMFSLTVITQSFAGHLGDRDLAAITLVTTLLIGITFGFLAYGAKQYHMLGVYLQRSWIVLSLGAIVMLPLFIFASPIVKFLGQPDDVAELTGKVAIWLIPKHLSFPVQFSFMRFLQCQLKTWVIAWICGGHYSSLHTTTAVCTALLLSAAQHY >itb05g22850.t1 pep chromosome:ASM357664v1:5:28149228:28153732:1 gene:itb05g22850 transcript:itb05g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSSMLLFRSQHNNGLHFLNPITVNSNPSSLLSAGIARKSSFGLKNYCRMIRATIVSGGAEQGSFLNESGEQRAAIKMEPDVIAFGTLTAEIIPKTSGFFTSNDEFDLDHPTGGFSSITEALEDIRQGKMVIVVDDEDRENEGDLIMAASLVTPEAMAFIVKHGTGIVCVSMKDEDLERLQLPLMVSQKENEDKLRTAFTVSVDAKYGTTTGVSASDRAKTILALASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDSDGSMARLPRLRQFAKAENLKIISIADLIRYRRKRDKLVEKAAAAPIPTMWGPFTSYCFRSLLDGMEHIAMVKGEIGDGLDVLVRVHSECLTGDIFGSARCDCGSQLAIAMKQIEAAGRGVLLYLRGHEGRGIGLGHKLRAYNLQSEEYDTVEANEELGLPVDSREYGIGAQILRDLGVCTMKLMTNNPAKYVGLKGYGLAVTGRVPLLTPITWENRRHMPTAPSISHPNRANDDEASNNAI >itb12g09960.t1 pep chromosome:ASM357664v1:12:7939224:7942572:-1 gene:itb12g09960 transcript:itb12g09960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTEPAPQHHHEILIRVNSRRGGNTIHPLEPEAPPGIPVNCSDIKHFKKWFSWLVPCFVVANVVVFVITMFVNNCPKNSVSCLARFLGRFSFQPFSENPLLGPSSNTLEKMGALDVSKVVEEHQAWRLFTCIWLHGGVFHLLANMLSLFIIGIRLEQEFGFVRIGVLYLISGLGGSLLSALFIRSSISVGASGALFGLLGSMLSELLINWSIYVNKIAILVTLVVIIVINLAMGLLPHVDNFAHIGGFLSGFFLGFVFLIRPHFGWVSQRYAPTAYSSSRPIPKFKAYQLVLCVSSIILLIIGFTTGLVMLLRGVDLNEKCSWCHYLSCVPTSRWSCNTEPVSCLSEQNGEKLRLSCSNSSKSRTYELPNASASQIQGLCSQLCRR >itb12g09960.t2 pep chromosome:ASM357664v1:12:7939408:7942572:-1 gene:itb12g09960 transcript:itb12g09960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTEPAPQHHHEILIRVNSRRGGNTIHPLEPEAPPGIPVNCSDIKHFKKWFSWLVPCFVVANVVVFVITMFVNNCPKNSVSCLARFLGRFSFQPFSENPLLGPSSNTLEKMGALDVSKVVEEHQAWRLFTCIWLHGGVFHLLANMLSLFIIGIRLEQEFGFVRIGVLYLISGLGGSLLSALFIRSSISVGASGALFGLLGSMLSELLINWSIYVNKIAILVTLVVIIVINLAMGLLPHVDNFAHIGGFLSGFFLGFVFLIRPHFGWVSQRYAPTAYSSSRPIPKFKAYQLVLCVSSIILLIIGFTTGLVMLLRGVDLNEKCSWCHYLSCVPTSSQSKTARSLGYRAQTAVKAEHMSCQMQVHLRFKGYAVSCAGDDSKFYG >itb05g17470.t1 pep chromosome:ASM357664v1:5:24405864:24408240:-1 gene:itb05g17470 transcript:itb05g17470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHWRLLLLLRSSQQHISRSIYHSHSSLQVQNSFRCFSSSFLHSRAHHLAPPRICNSFRCFSTSDLAVDHKDPSDQVTVLYDIFSNADKSSEDIRVDLESNGVGISHDLVLRVLRNQEFAPESARRFFDWVSENESERLSSKAYNLMLGILVNSGNVKEFWEMVDAMKKKGYGVSKGAFARALGKFEKEGLSGDVQKLNQLYASGSTDNSIEKVCKIIRQNVWDDNVEKQLKDINYTSDLVSMVLANLQNETSKALIFFRWIGESGLLKHDERTFNAMARVLGREDSTEKFWRVIGELRDAGYEMERGTYVEVLEHFVKRKMIKEAVELYEFAMAGANKPSLHDCTLLLKKIVVSKELDLDLFSKVVRVFTESGNLLTNNTLDAVLKSLTSVARWEECNNILKALEKFGLSPNGSQQSKIAYHLSSCGKTDEVTQFVTNMDASGSSLNYKTWVSLVDGYCAAGDLSKASDTLEKMIGKEGPSHAGCALELLVSAYCRKKRTNDALTLVKNMVSEKDLRPWQSTYKLLVGKLLVGRQFSKALDVLHMMKDHGYPPCLDPFIKYLSENGSADDAIAFSEAMTVKRFPSTSVILRLFEAYFKAGRQSAAQDFLAKCPKYIRDNADVLELFYSMKHEKAATTQISAA >itb06g01510.t1 pep chromosome:ASM357664v1:6:2734107:2737431:-1 gene:itb06g01510 transcript:itb06g01510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNLAVPTTFRPPQFSPTTAVNHRRATTTFLPCRKKRISISCTAASLEFSSATTEKPLIELEFIGPKAEADGKYPVDKATAVSGEKLLRNIMADNKIELYAAYGKVMNCGGGGSCGTCIVEIIDGNDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKK >itb06g01510.t2 pep chromosome:ASM357664v1:6:2734107:2737431:-1 gene:itb06g01510 transcript:itb06g01510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNLAVPTTFRPPQFSPTTAVNHRRATTTFLPCRKKRISISCTAASLEFSSATTEKPLIELEFIGPKAEADGKYPVDKATAVSGEKLLRNIMADNKIELYAAYGKVMNCGGGGSCGTCIVEIIDGNDLLNERTNTELRYLKKAWHALPLLCSCVTIYPFVTMQKTCLFHSCFNSAETRVMEASMPDYSWK >itb09g00130.t1 pep chromosome:ASM357664v1:9:207641:209820:1 gene:itb09g00130 transcript:itb09g00130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNCFLRNRYWVLRHGKSIPNELGLIVSSMENGILEQYKLGAAGVEQARLAGDSFRKELIDNKFQPENVRICYSPFSRTRHTAQVVASQLDIPFEGAQCMVINDLRERFFGPSYELMSHDKYPEIWDLDEKDPFKQPEEGGESVADVVSRLTNALTSIEKEFQGCAVLIVSHGDPLQILQTILSAAGSCKNESFASKVKEITVPSILSHHRKFALLTGELRAVP >itb10g19490.t1 pep chromosome:ASM357664v1:10:25325800:25327624:1 gene:itb10g19490 transcript:itb10g19490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFDSKCIFAILFLLGMCAYEATSRTLEERSLLPQLHEQWMAQHARSYKNDVEKANRFKIFKQNLEYIESFNKAINRSYTLGLNKFADMTSEEFRAKMLNIDSILYHPNWLHPRNPFGNDSPSDVPDNVSWIEKGAVTEVKDQGDCGACWAFSAVAAVEGINQIKTKKLVSLSEQQLLDCDKNGYGCNGGLITEGFQSIQDIGGLMSDSDYPYDGNQGMCNRQGQSAATITGYQRVEQGEAALLEAVSKQPVSIGITIGGDDFQLYSSGVFNGDCGSGSHHAVTVVGYGATADGEKYWLVKNSWGTSWGEKGYIKMARGDGDEGLCGLATNAVYPMLEM >itb14g17840.t1 pep chromosome:ASM357664v1:14:21026623:21027410:1 gene:itb14g17840 transcript:itb14g17840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKNQITNGSLVASRFLKLSPAPAEPPWTTRSNPPEQERDLQFLNDRYYGLHGEIIAFTVIAIFFFFLLFLVVIPFLKRVLSKDAADTAAEQSEIQDSAAKCRCPPLISGERREL >itb15g22220.t1 pep chromosome:ASM357664v1:15:24942902:24951082:-1 gene:itb15g22220 transcript:itb15g22220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKLVTMEIGSDGIAVITLSNPPVNALTLSVIAEMKEHYREAMERDDVKGVVLTGTGGKFCGGLDVNILQKVHSTDAKKPTVAAIHGFALGGGLELAMACSARIATPRSEFGLPELKFGIIPGFGGTQRLPRLVGVSKAIGLLMTSNAITSEEGKELGLIDAVVSSEELIDVSRLWALDIIEGCKLRINVLQRTDKLEPIHKSSEILKTAKQHVMKTHPKFPHYKACLDVIEEGLISGGFWGVLKEREVIRELVLSKPCRSLLHVYFAERATSKVPGVTIGQFKFRIVEKVGVIGGGLMGTGIATALIVSNIHVILKEINYEFVQKAINSIEVNLNGLVTRGELDLNKVKRSLSFLKGVIDYEDFRNVDMVIEAVHEDRDLKQSIFEEIEKICPPHCILASNTSTIDLNEIGARINCQDRIVGTHLFSPAHVMPLLEIVRSETTSNQVILDVLKVSKVLKKFPIVVKNCTGFAVNRTFFPYMQGAELLANLGVDIFRIDRVISEFGMRIGPFQLFDLSGYSTFLAAVGQFVAAFPDRTFQSPLVQLMVENGHSGKKDGKGFYLYVKGKKPEPNTSVLQIVEESRRLTKIMPGGKPISVTDQDILEMMFFPVINEASRVIEEGIVVRASDLDIASIHGMKFPTETGGIIFWADSIGPKYICSRLKSWCEAYGNFFKPSQFLEDRAARGIALGAPCS >itb13g21690.t1 pep chromosome:ASM357664v1:13:28224512:28227498:-1 gene:itb13g21690 transcript:itb13g21690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYTKEERRALAIKSREAAPKFGNPERQKLIDEIHEYMQSKAPPVPQGSPASPKEQTTNKDGDAQEITQNTSAESSEARHPDQSVADGIVEEQHEARPLVAHPAQTSREVPPLHLHEQRVLRNPQQRVVPRQADDRIFTWAAVGLSIAIIVLLLKKFMKANGHGAVFMDES >itb08g02150.t2 pep chromosome:ASM357664v1:8:1726398:1732316:1 gene:itb08g02150 transcript:itb08g02150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSVHASSSSLYSITAAQPWISSSSLSIACSCSTLKQSGGVRSLRSSAVFCDAKAVVMAEMVEEKEKKKESAAGAATAVSASASYELRSFLDARSGEDLLSVVQREAEDGKLPQNVAQGMLELYENYRNAVLKTKDPKADEIVLSNMVVAFDRIFLDVKDPFEFSPHHRAIREPFDYYVFGQNYIRPLIDFRNSYVGNISLFTEIEETVQKGENVILISNHQTEADPAVIALLLDSTHPYLAENMIYVAGDRVITDPLCKPFSIGRNLLCVYSKKHMGDVPELIEMKKKANTRSLKEMAVLLRGGSKIIWIAPSGGRDRPDPVTQEWSPAPFDPSSVDNMRRLVEHAAVPGHIYPLAVLCNDIMPPPPQVEKEIGEKRVMSFHGVGLSVAPNINFHEVADSIKDHEEAKNAYSKALFNSVNQQYKVLTSAIHGEQGLKASTTTVSLSQPWQ >itb08g02150.t4 pep chromosome:ASM357664v1:8:1726500:1732302:1 gene:itb08g02150 transcript:itb08g02150.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSVHASSSSLYSITAAQPWISSSSLSIACSCSTLKQSGGVRSLRSSAVFCDAKAVVMAEMVEEKEKKKESAAGAATAVSASASYELRSFLDARSGEDLLSVVQREAEDGKLPQNVAQGMLELYENYRNAVLKTKDPKADEIVLSNMVVAFDRIFLDVKDPFEFSPHHRAIREPFDYYVFGQNYIRPLIDFRNSYVGNISLFTEIEETVQKGENVILISNHQTEADPAVIALLLDSTHPYLAENMIYVAGDRVITDPLCKPFSIGRNLLCVYSKKHMGDVPELIEMKKKANTRSLKEMAVLLRGGSKIIWIAPSGGRDRPDPVTQEWSPVRFVFLLFTLKESGELPWAVEVFLCRAIKIFSYYFICDLLVSVYVVRRGVGGWGIFVITFSSQ >itb08g02150.t3 pep chromosome:ASM357664v1:8:1726398:1732316:1 gene:itb08g02150 transcript:itb08g02150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSVHASSSSLYSITAAQPWISSSSLSIACSCSTLKQSGGVRSLRSSAVFCDAKAVVMAEMVEEKEKKKESAAGAATAVSASASYELRSFLDARSGEDLLSVVQREAEDGKLPQNVAQGMLELYENYRNAVLKTKDPKADEIVLSNMVVAFDRIFLDVKDPFEFSPHHRAIREPFDYYVFGQNYIRPLIDFRNSYVGNISLFTEIEETVQKGENVILISNHQTEADPAVIALLLDSTHPYLAENMIYVAGDRVITDPLCKPFSIGRNLLCVYSKKHMGDVPELIEMKKKANTRSLKEMAVLLRGGSKIIWIAPSGGRDRPDPVTQEWSPAPFDPSSVDNMRRLVEHAAVPGHIYPLAVLCNDIMPPPPQVEKEIGEKRVMSFHGVGLSVAPNINFHEVADSIKDHEEAKNAYSKALFNSVNQQYKVLTSAIHGEQGLKASTTTVSLSQPWQ >itb08g02150.t1 pep chromosome:ASM357664v1:8:1726398:1732276:1 gene:itb08g02150 transcript:itb08g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSVHASSSSLYSITAAQPWISSSSLSIACSCSTLKQSGGVRSLRSSAVFCDAKAVVMAEMVEEKEKKKESAAGAATAVSASASYELRSFLDARSGEDLLSVVQREAEDGKLPQNVAQGMLELYENYRNAVLKTKDPKADEIVLSNMVVAFDRIFLDVKDPFEFSPHHRAIREPFDYYVFGQNYIRPLIDFRNSYVGNISLFTEIEETVQKGENVILISNHQTEADPAVIALLLDSTHPYLAENMIYVAGDRVITDPLCKPFSIGRNLLCVYSKKHMGDVPELIEMKKKANTRSLKEMAVLLRGGSKIIWIAPSGGRDRPDPVTQEWSPAPFDPSSVDNMRRLVEHAAVPGHIYPLAVLCNDIMPPPPQVEKEIGEKRVMSFHGVGLSVAPNINFHEVADSIKDHEEAKNAYSKALFNSVNQQYKVLTSAIHGEQGLKASTTTVSLSQPWQ >itb15g17270.t2 pep chromosome:ASM357664v1:15:17754127:17760560:-1 gene:itb15g17270 transcript:itb15g17270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSGSHFNHQASPKMLPPRQQPRPGGLQTNLSLVSSDACGSPNLQERGSNSDQVRESPSESASSRETWPTPDALVGKKMDKEKEKENGFAENSVVRHLSSSDKMSLQDVARERVDLIADRMQHLPDEYLEKFKFELRALLEGLGGSQHRDEFLFLQKLVQNRGDLTDKTLIMAHRAQLEILVAIKTGIQAFLHPSVSLSQASLIDIFLYKRCRNIACGGVLPAEDCACEVCSKKNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIQNRLIGMGPSVKTGSGSAEMIFRCRACNRTSELLGWVRDVFQHCAPTWDREAFIREFDYVSRIFRGSEDPRGLKLFWKCEELIDKLKSGAAEPMACKVILSFFQELEVDASKSQEGDEVGRLIAPQEAFTRIADVVQEAIRKMEMVAEEKMRMVKKARLAFEACDQELKDKAREVDALKMDRQRKKQQIDELESIVRLKQAEAEMFDLKASEARREAERLQRIALAKTEKSEEDYASRYLKQRLSEAEAEKQYLFEKIKLQESSRASHSWGK >itb15g17270.t1 pep chromosome:ASM357664v1:15:17754127:17760560:-1 gene:itb15g17270 transcript:itb15g17270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSGSHFNHQASPKMLPPRQQPRPGGLQTNLSLVSSDACGSPNLQERGSNSDQVRESPSESASSRETWPTPDALVGKKMDKEKEKENGFAENSVVRHLSSSDKMSLQDVARERVDLIADRMQHLPDEYLEKFKFELRALLEGLGGSQHRDEFLFLQKLVQNRGDLTDKTLIMAHRAQLEILVAIKTGIQAFLHPSVSLSQASLIDIFLYKRCRNIACGGVLPAEDCACEVCSKKNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIQNRLIGMGPSVKTGSGSAEMIFRCRACNRTSELLGWVRDVFQHCAPTWDREAFIREFDYVSRIFRGSEDPRGLKLFWKCEELIDKLKSGAAEPMACKVILSFFQELEVDASKSQEGDEVGRLIAPQEAFTRIADVVQEAIRKMEMVAEEKMRMVKKARLAFEACDQELKDKAREVDALKMDRQRKKQQIDELESIVRLKQAEAEMFDLKASEARREAERLQRIALAKTEKSEEDYASRYLKQRLSEAEAEKQYLFEKIKLQESSRASHSWGK >itb13g15930.t1 pep chromosome:ASM357664v1:13:22845253:22848963:-1 gene:itb13g15930 transcript:itb13g15930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFLIAQVLYKFLDYFSKFDWETYCICLTGLARISSLPEIVVEVPENDGGELLLNNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLTIVDPLKENKNLGRSVSKGNFYTIWSAFTYGARKLKRILFKFDWETYCICLTGLARISSLPEIVVEVPENDGGELLLNNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLTIVDPLKENKNLGRSVSKGNFYTIWSAFTYGARKLKRILL >itb09g14300.t1 pep chromosome:ASM357664v1:9:9544212:9547413:-1 gene:itb09g14300 transcript:itb09g14300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSLPPGYRFYPTEEELVSFYLRNKLQGARDEIHTVIPVVNIYDYNPWDLPQLAGEYSRSDPEQWFFFIPRQDREARGGRPTRLTAEGYWKATGSPGCVYSVNNRIVGGKRTMVFYRGRAPNGRKTEWKMNEYRAIEEEASASSIANNTANLKLHQEFSLCRVYKRAKCVRAFDRRPTSSGPAITAAAFQPSPPQAAYFNLQGPSTSQQITAAPAGDNQRSSSSSGGDLDSSLDFPPYAFSWDEFDELHCLLGNAQ >itb04g14450.t1 pep chromosome:ASM357664v1:4:15054978:15063162:-1 gene:itb04g14450 transcript:itb04g14450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQLGEGEVLLVREDDVYSILGFPRGDVVITRWDRYVKTVHAVPQDIPVFRGLASELLRAREFSEIRFGGFGIGELEAPFVVPSAAVTNDRSRCDQGGDNLGGTRGVSTGLSSPLVRQQKGVAHPSGSLSNDVPSGLLLIDGSSEDDEAHGHGEGVDVGAVMHGKSVDHVLDSERVVAPPGVGLSENVEDQALVTPAEVVPRVDSPSACVAPLKAVILTSLVFTRFTPPWLAHKWYKKAHNISKEGTHCAEESEDVTEENLNESGTDGEWECDDEGDSDDSMVDIDDMDFEQFVDQDVEFGGLDDGGTGLTVEDGGNFEINFLYEGSDKNSDIEDEKQEAIYNYAMNNGKEILYVKNDKANPKKRVWVKPKKLHDVTLPSVQESTLPAVQAGTLLAVQGNATLLEEDDDTWLADIDIDYVVSQFLPTQTQAEEIQLTSQPPPVGEEDDSQEQVHKALESKKAKGRPTSRKYMTRRSVQSKFNNTQDDPIDIQ >itb12g02620.t1 pep chromosome:ASM357664v1:12:1711834:1712199:-1 gene:itb12g02620 transcript:itb12g02620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRIPYSGILSPDEIRPGHFDHLELAKPMFHIGFMKTVLSILRCVCFSCSKILADEVLWWPPKLFDVSKAYMHFTDLMRIAV >itb07g23210.t1 pep chromosome:ASM357664v1:7:27667753:27669318:-1 gene:itb07g23210 transcript:itb07g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDYRKAKADCVVLETGWLVREYYLLYKEPSEYNEIGCIYFDIPTTPKAQWVKCCQGLLCLISIRNNIIKLDRDDYLIYDIWIYNPSIRKIKALPSVTVTYRPPSDAFVVNQFGFGISSDMTWKVVMLLEFCSIDDGSTTHQMTLVYSQVGGDSWSLRQINSVTPRRDLESESNDFYLKGRYYWRVDRFCVEPYNRFLIWFDMNDEVFGRIRLPDMNISSVSIMNETIAVVGYSSWRDFSCIEIWLMIENDNSTYWHKQASINTDNESWIPIGIWNVVGELLVSLEEKVEWDSDNSDRDHAKRGVPYFMSLDLVTQERKKFSISKKRKSISSIRNCDIYARVYDESLHFL >itb07g23210.t2 pep chromosome:ASM357664v1:7:27667753:27669318:-1 gene:itb07g23210 transcript:itb07g23210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSRDLVVDVFSRLPVKSLMRFKCVCKFFYDLITSDHDLMDKHYKFRKAKADCVVLETGWLVREYYLLYKEPSEYNEIGCIYFDIPTTPKAQWVKCCQGLLCLISIRNNIIKLDRDDYLIYDIWIYNPSIRKIKALPSVTVTYRPPSDAFVVNQFGFGISSDMTWKVVMLLEFCSIDDGSTTHQMTLVYSQVGGDSWSLRQINSVTPRRDLESESNDFYLKGRYYWRVDRFCVEPYNRFLIWFDMNDEVFGRIRLPDMNISSVSIMNETIAVVGYSSWRDFSCIEIWLMIENDNSTYWHKQASINTDNESWIPIGIWNVVGELLVSLEEKVEWDSDNSDRDHAKRGVPYFMSLDLVTQERKKFSISKKRKSISSIRNCDIYARVYDESLHFL >itb07g23210.t3 pep chromosome:ASM357664v1:7:27665200:27669135:-1 gene:itb07g23210 transcript:itb07g23210.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSRDLVVDVFSRLPVKSLMRFKCVCKFFYDLITSDHDLMDKHYKFRKAKADCVVLETGWLVREYYLLYKEPSEYNEIGCIYFDIPTTPKAQWVKCCQGLLCLISIRNNIIKLDRDDYLIYDIWIYNPSIRKIKALPSVTVTYRPPSDAFVVNQFGFGISSDMTWKVVMLLEFCSIDDGSTTHQMTLVYSQVGGDSWSLRQINSVTPRRDLESESNDFYLKGRYYWRVDRFCVEPYNRFLIWFDMNDEVFGRIRLPDMNISSVSIMNETIAVVGYSSWRDFSCIEIWLMIENDNSTYWHKQASINTDNESWIPIGIWNVVGELLVSLEEKVEWDSDNSDRDHAKRGVPYFIPPSDAFVLNQFGFGISDDMTWKVVMLLEFCSFDDSFTAHRMTLVYSQVGGDSWSLRQINLFTSCRDIESEENDFYLKGRYYWRADELYYQYLIWFDMNDEVFGTIGLPDGIRSVSIMNETIALLKYSSWGDSRCFQIWLMIENDNNTYWHKQASMDCVENPDNESWRPIGIWNVDGELLVSLHKKVEWDPLNPDPDHADRCVPYFMSLDLVTRGRKIFSVSKEKKSITIASNPTAVDFQVYNVRNVDIPEGWKCYVLKPEGIYARVYDESLHFL >itb15g13430.t1 pep chromosome:ASM357664v1:15:11407948:11410547:-1 gene:itb15g13430 transcript:itb15g13430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPLLLLLLILLPSFQALSPNEVVIPIHQSHTSEDLITRACDNIQNRGSCLENIRSRVETDGGHRDPTSILKAAIQNSLSEARLAIDALTKFNTFSVSPREQMAIGDCQELLDFSVTELAWSLAEMREIRAGIKSFHSEGNLKAWLSAALSNQDTCLEGFEGTDRRLESFIRGSLVQVTQLISNVLTLYVQLHSLPFRPPRNQTAEDEAEAEAGFPSWMTAGEMEMAVLEPERMHVDAVVALDGSGHYRSVAQAVLEAPSYSRRRYVIYVKRGVYHETVDMKKKKTNIMLVGDGIGATVITGNRNFMQGWTTFRTATVAVSGKGFIARDMTFRNTAGPQNFQAVALRVDSDQSAFFRCSMEGYQDTLYAHSLRQFFRECTITGTIDFIFGNGAAVLQKCKIFTRDPLPLQKVTITAQGRKSPDQNTGFSIQDSYVYASRPTYLGRPWKEFSRTVFMNTFMSGMVQSRGWLEWYGDFALKTLWFGEYRNYGPGASLSGRVRWPGYHVMKDASAANFFTVQRFIDGMSWLPATGVRFSAGLTN >itb09g02420.t1 pep chromosome:ASM357664v1:9:1393504:1395893:1 gene:itb09g02420 transcript:itb09g02420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPAARSGGALPPLPMVSPVASKPSPLNLLHHPKSSPLRLSLTHTPTALQTQHLLSPPHLTLNYRRFTVLAATNAYPSDDSEKAKLAQVAKRLESTSRYFKKLGGFGFWGQLVCTVVAAGILSLSAAITGKITSPFTFYSTAAGIVAAFVSVFWSFGYLRLSEKLKKTANDPSKAPPRADVVKSLKNGIGLNLFGMGATVIGMQATVGLLVAKAITTSANPYYQGGSTPLVALDVFLVQASANTILSHFIGLLFSLLLLHSVTLSPTEGIPVPKVA >itb14g16180.t1 pep chromosome:ASM357664v1:14:19480017:19484125:-1 gene:itb14g16180 transcript:itb14g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVNGSRPSWGEDFAGVAGDAGVGYNSTYYATAGPGGSGMGATAAAAEPGSSGGDYWRFQVVEFAKGFAEMSLEFGKGVRDVVMQTVATEDSVIAKKLRGPCGKICTKLRFLNEYLPEDRDPVHSWSVIFFVAIIAFAALIVNNDADTTSALVKKVNIHPPSASRILLPDGRYLAYQEQGVPADQARFSVVAVHSFLSSRLAGIPGIKVSLLQQFGVRLVTYDLPGFGESDPHPNRNLESSAMDMLHLSYAVNITGKFWVVGFSSGSLHCWAALRYIPDRVAGAFMVAPMVNPYEPSMTKQERNRMWKKWAMRKKIMYILGHKFPRLLPFFYRRAFLSGIHGPIDERLSLSLGTRDRALIESPLFEEFWQRDVEESVRQKNVRPLVEEAALQVSNWGFSLADLKVHRKPLGKGILKWLKSLYGQAEESLSGFLGPIHIWLGMEDMVVPPSTSVFLQRVLPDAMVHKLLYEGHFTYFYFCNECHRQIFTTIFGDPQGPLIPEVVQTPINEETPINEDNEETDNIVSGEIATDAEIVSSPV >itb15g12280.t1 pep chromosome:ASM357664v1:15:10215444:10220332:-1 gene:itb15g12280 transcript:itb15g12280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDLESISEATSGAIGAIVSTTILYPLDTCKTKYQAELQAHGHRKYRNITDVLLEALSTGRVLSLYQGLGTKNLHSFIGQFVYFYGYSYFKRLYLDRSGARSIGTKANLVIAAAAGACTAIVTQPLDTASLRMQTSAFGKSKSLWKTLTEGSLSEAFDGLGISLLLTSNPAIQYTVFDQLKQRLLKDQQTSKGKDSSPVVLSAFSAFLLGALSKTIATIITFPAIRCKVMIQVADTSDDGEKKPKRKPPKTLLGVACAILRKEGILGFFKGIEAQILKTVLSSALLLMIKEKISASTWVLVLGLSRLLVVNQRRLKNS >itb14g17620.t1 pep chromosome:ASM357664v1:14:20845571:20847207:1 gene:itb14g17620 transcript:itb14g17620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLCPDKEIQSQLSKEVLKSLFNMPTPKSAEHEPGTLNWRNVVTKMTSLGLKSEPSSANSIADPHNYAKGDDYQVFREASTCHWESMKSYYQKGRAQNRKALEAEEKASQNIFEARNKSIENIITIDLHGQHVKEAMRLLKLHLLFGAFGRSVRLFRVITGCGGQGVGKSKLKLTVINLLEKEGIKWSEENRGTLLIKLHGQTNFSFLYSDSDND >itb12g04410.t1 pep chromosome:ASM357664v1:12:2895672:2899639:-1 gene:itb12g04410 transcript:itb12g04410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTTSSHFKSRGIPVVDADIVARNVLKKGTGGWKKVVATFGEDILQANGQVDRAKLGQIVFSDPAKRQLLNRLLARYISTGLFMEVLKLWMKGCKIIVLDVPLLFESKMDKWTKPIIVVWVDPETQLHRLMTRDGSTEEEAKNRISSQMSLDIKKTKADIVIDNTGTLEALNEQFEMILIQITKPLAWTEYALSRQGAILGLFSIFAGVILCKTVL >itb13g15740.t1 pep chromosome:ASM357664v1:13:22657642:22659880:1 gene:itb13g15740 transcript:itb13g15740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGMGFAKDTPIYLASGKIYQTARNLAPLQEMFPLLQTKDTLATTEELAPLQNYSSRLAALDYMVCLFSEVFVTTQGGNFPHFLIGHRSYLYNGHAKTIKPDKIKLVALLQNTSISWNDFKDEMGSMLAESDRNSIIVPRVKKSSRKDSIYSNPLPECSCLWESHRSNALKGSYLMVNR >itb03g19360.t1 pep chromosome:ASM357664v1:3:17454194:17457330:-1 gene:itb03g19360 transcript:itb03g19360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVDSGGGRAHLYEYRITSYFIFACIVAAMGGSLFGYDLGVSGGVTSMDDFLKEFFPKIYRRKQEHLNETDYCKYDNQILTLFTSSLYFAALISTFGASYVTRNRGRRASILCGGVSFFMGAIVNAFAKNIEMLIIGRCLLGVGIGFGNQAVPLYLSEMAPAKVRGAVNQLFQLTTCLGIFVANFINYATEKIHPWGWRLSLGLATVPATLMFVGGLFLPETPNSLVEQGRLEEARQVLEKVRGTSKVDAEFSDLIDASRAAQAIKHPFRNLLKRRNRPQLVIGALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGASLYSSAITSGALVLATFISMAYVDKFGRRAFFLEAGAEMICVLVAVAITLALKFGEGVVLPKGIGIFLVLIICIFVLAYGRSWGPLGWLVPSELFPLETRSAGQSVVVCVNMIFTALIAQCFLVSLCHLKYGIFLLFAALIVIMSCFIFFLLPETKQVPIEEIYLLWQNHWFWRRYCKPEDNEQELQGKPGVQA >itb06g06630.t1 pep chromosome:ASM357664v1:6:9236635:9241833:1 gene:itb06g06630 transcript:itb06g06630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINLLGSIIINFGTNLLKLGHDERIKHSALGNEGTNGKAAMKPIIHFQTWRIGILFFALGNCLNFISFGFAAQSLLAALGSIQFVSNIAFAYFVFNKTVTIKVLVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLVVAFHHSVYRRGELLLNVPGNDLKPFWQMLLPFSYAIVSGTIGSFSVLFAKSLSNLLRLSISNSYLLHSWFTYSMLLLFLSTAGFWMARLNEGLSRFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGVMCVFIGISLLAPDDSKGTETKDSSLVSASSLSNDVERLIMPLEDPQLKDVKSFSHLVLMKAVNLIGKAKAACSLSLGLGDDSLQASSVLVMPMVSSKMTGFRGNGVDQAKLFSARGQGWRRISVDEDCETSAMLPPQSMV >itb06g06630.t2 pep chromosome:ASM357664v1:6:9236635:9241833:1 gene:itb06g06630 transcript:itb06g06630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINLLGSIIINFGTNLLKLGHDERIKHSALGNEGTNGKAAMKPIIHFQTWRIGILFFALGNCLNFISFGFAAQSLLAALGSIQFVSNIAFAYFVFNKTVTIKVLVATTFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILVLVVAFHHSVYRRGELLLNVPGNDLKPFWQMLLPFSYAIVSGTIGSFSVLFAKSLSNLLRLSISNSYLLHSWFTYSMLLLFLSTAGFWMARLNEGLSRFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDALRTTMFVLGVMCVFIGISLLAPDDSKGTETKDSSLVSASSLSNDVERLIMPLEDPQLKDVKSFSHLVLMKAVNLIGKAKAACSLSLGLGDDSLQASSVLVMPMVSSKMTGFRGNGVDQAKLFSARGQGWRRISVDEDCETSAMLPPQSMV >itb07g02140.t1 pep chromosome:ASM357664v1:7:1303082:1304181:-1 gene:itb07g02140 transcript:itb07g02140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHKTLSFFYFSSSSSPALSTLHHLLFCLICSVTLIPTSSFASSNETDRLALLEFKHRISDDPNRLLLNSWNNSVHHCSWQGVRCGRRHPRVVVLRLPKKGLVGTISPHIGNLTFLRLLDLSDNNLYGEIPGEIGGLFRLRSLNLSVNALTGELAMLNFSNCLQLRELHFAINGLQGKLPAVLANLKKLQGLILGTNSLTGGIPPTFGNLSSLRELGLEMNHLKGPIPHEITRCWGLNILTLGANNFTGTLSPSFFNMTSIQFFSISVNSLEGTIPSDIGTTMPNLEGFYFDINQLHGTIPISFPNASKLQAIDVSGNHLVAMIPSMTWLL >itb14g06620.t1 pep chromosome:ASM357664v1:14:5855248:5858418:-1 gene:itb14g06620 transcript:itb14g06620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKNLCLVALFFSLIPLSTAFIPQDNYLINCGSNRSISVDNRSFLGDSIKSGSVHLSQGEAISLKGQTSGSNLSLVYSTARVFTAASIYSFNIKKLGTHLVRLHFSPFVSQNFNLRDANFSVSANGVLLFKDFGVGSTVLREFIVMVDKVKLQILFTPTLDSGFGFVNAIEVFSAPDDFIIDPGIKLISPVSVKEFNQNLTLQTLETVHRVNVGGAKITPFNDTLWRTWVPDEDFLVLKSAAKLAWISHVPNYQTGGATKEIAPDNVYMTAQEMNWEKATSNFMFNITWSFPVGSRDSVHVVRLHFCDIVSSAPGVLYFNVYINGVMAYKDLDLSALTFHVLAAPYYIDFVVYTGDSGVLQISVGPSDMSSVWKKNAILNGVEIMKMLNVVGLRDGAKKKNVWILVVSVISGVALVSLALLAMLALLKCRKKKPKPKRTESAGWTPLRQYGGSSLGTLSEGTALVPLGPNGYLAMRIPFADIQVATSNFDKSLIIGSGGFGMVYKGVLKDNIKVAVKRCVPGSRQGLPEFHTEISVLSKIRHRHLVSLVGYCEEQSEMILVYEYVENGPLKKHLYGGRLPPLSWKQRLEVCIGAARGLHYLHTGFAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPVLEREQVNLGEWALQWQKRGQIDQIVDPNIRDQIKPSALKKYGETAEKCLADYGVDRPTMGDVLWNLEYVLQLQGNGGRGQPDDEVNPATSTEQPPPRAVSTAILADNGNAVSDISTSQVFSQLITNDGR >itb04g02950.t1 pep chromosome:ASM357664v1:4:1822948:1824423:-1 gene:itb04g02950 transcript:itb04g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMTVMGKGLPTTQMMGFVIGTLHKQFIEKDINTFEDFHLAILDIFNTVNGALPGKHYDAPPLKEIQACFLEWKSADESDKKRVFVEFMKKRVNPSKLDDSTLLTGLITPPAAMAAKRAGESVPQLKLIKAIPDVLFVPSATVLALMSVKVSRKMFLGQVASGE >itb04g02950.t2 pep chromosome:ASM357664v1:4:1822948:1823899:-1 gene:itb04g02950 transcript:itb04g02950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQIYSTVNGALPGKHYDAPPLKEIQACFLEWKSADESDKKRVFVEFMKKRVNPSKLDDSTLLTGLITPPAAMAAKRAGESVPQLKLIKAIPDVLFVPSATVLALMSVKVSRKMFLGQVASGE >itb03g24700.t1 pep chromosome:ASM357664v1:3:23531968:23532684:-1 gene:itb03g24700 transcript:itb03g24700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKDLKKAAPPPSESEAPITPKKPELVDPATATAPLQDETTNKELLEPLVDVSEVPAASEANTKVEEEKAEAAAVKEKEKEKEDKTQHSDVVQA >itb13g02110.t1 pep chromosome:ASM357664v1:13:1943397:1946342:-1 gene:itb13g02110 transcript:itb13g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLESAQELLPIVNWGIQDMESVDLDSLYGRLRKHFSLPVPYMPVHIRKRVTSLCKMLELRLVEKCSNFIGEKDDHFPIQLKTVTVYVKDVPARSLPTQQILETLLEAKSLIIEELRASYIRKYTKQRIEARQRLRRIFSQGIKHSGSIKKELLKIKNEFNQSRNSKMLDSMLGGSGCEDTTVGDLLQNTLKSTTKIVGCNEDFNAIMDKLKRNSLERVIVSIVGMGGIGKTTLARCVYEDATIISHFDCRAWVSISQEYNPRQVFRHILRSLASCNGKICEDSNDELAEQIYKCLKRRRYLIVIDDIWSKDVWSDLLKCFPEDNNGSRMLLTTRDKNVAEYASTGSNSYHNMRFLDSHESWSLFHHEVYEKIAFSPQFESISRDVVEKCKGLPLAIIVTAGLLSKSKQTLSEWEHIAKNVSALSLEDQQFADILSLSYTFLPYHLKPCFLSFGIFPKGSKIPLDEIVHFWLSEGFLKVVKSKSLEDVAKEYIQDLIDRNLVQVYSERCGEIKECQMHDVLLELVLREAERENLLYKKEDGAMFLKHRRKPTEEDGAMSLKHRRKPTNYSRNAQQWITQLRISALWFSDHIFFKLPSAVNFKLLRVLVLSEIDNTSTLRQIMSLVHLRILRFQTPLLICDLPWFMLSNLKILVVGAQIECEENLVGAQIETTDSLEMLSLENFLPNLKTLKLVETKLDWKDMDVIGKLPKLEVLKLKNAVDGPKWESRDGGFRRLKHLLIHESHLRYWEATGDHFPNLECLVLRECYMLEEIPSNFADIATLQLIELSQCSDSSITSAECIRQEQQNYGNDAFVLRYDRIIRFEEGKCRGIVIRIL >itb07g14740.t1 pep chromosome:ASM357664v1:7:17408161:17409091:-1 gene:itb07g14740 transcript:itb07g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDKVPKVAVAVFITKGEKMLVGKRLAGAGTSCFSVPSGHLEFGEIFEECAAREVKEETGLELKNIETLKVINHVFHNEAKPSHYVVLLIRAELSDPDQIPENIEPDRCEGWDWYEWNDMPKPLTPPLQVILKSGFNPFSASVQN >itb05g02460.t1 pep chromosome:ASM357664v1:5:1986164:1991499:1 gene:itb05g02460 transcript:itb05g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVEVAVLFVIFLSEFSLYSSAEGIFDVRKHLCTVSRYGIVKDILSEESIIAPEIPEQCTPIHINLLARHGTRAPTKKKIMEFDALANHLEVLLKDSKEERKSLEKVPAWLHGWKSPWKGKRTGGQLIAEGEDELYNLGIRIRQLFPDLFNEEYHPDVYTIKASQIPRASASAVAFGMGLFSGRGKLGPGRNQAFAVISESRASDIILRFHDCCQSYKGFRKSQHPTVDKLKEPVLNEITQGLMKKYGLNFTRQDISSLWFLCKQEASLFNITDQACSLFSPSEVSLLEWTDDLELFIVKGYGNKLNYRMGVPLLEDVLQSMEQAIKAKEEGYAPGSYEKARLRFAHAETLLPFSCLIGLFLEEYGE >itb07g06700.t1 pep chromosome:ASM357664v1:7:4827670:4828849:-1 gene:itb07g06700 transcript:itb07g06700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDQPANPLQQPNSSLPQYHEMIMAAIEELSEKGGSTKTDISRQIEAAHGGQLTSTHGTLLTHYLDKMKQSGQLVLVKNNYMKPDPNAPPRRGRGRPPKPKAPLPPGYVPPPPRPRGRPPKPRDPLAPTASPLKKASAGGGTGRKRGRPPKSSSAPAAAAPPAAGPPRGRGRPPKVNPAVAAPVGA >itb03g25670.t1 pep chromosome:ASM357664v1:3:24849771:24850415:-1 gene:itb03g25670 transcript:itb03g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRLRKYNRRSLGLHHVFFHGIKLIVTVTKRAADVDRWVEKTLHNHSHRLQDLVVGLDIEWHPCCREGEEDNPAATLQLCVGRSCLVFLLLHRDYFPHSLLAFLGNPFFTFVGVGVQDDAKKLLRDHGLVVRKVVDLRHLAAWVYGLEEFLRMGLKRMAWEVLGRVMEKPPDVTLSDWDAKQLTFDQIQYASIDAYVSFRIGINLFMAAGCL >itb01g18930.t1 pep chromosome:ASM357664v1:1:24897139:24897434:1 gene:itb01g18930 transcript:itb01g18930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLGGEVLTTENGREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAAATTNIPIINAGDGPGQHPT >itb12g05170.t1 pep chromosome:ASM357664v1:12:3434249:3435137:1 gene:itb12g05170 transcript:itb12g05170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHEALPFYITYIEQNVKIALEKWASMGEIQFLTQLRKLTFRIIMHIFLSSESEQFVFRQAKNDVNVCGYKIPERWKVLAWFRGPHYDEEIYEDPFAFKPSRWDNFTPKAGTFLPFGAGSRLCPDLAKLEISIFLHYFLLGYELERKNPDCPLQYLPHTRLSGQSEKVSLIIFNYIDNKDCTSRNRCQQRFVDKKDHHFTCQ >itb09g25420.t1 pep chromosome:ASM357664v1:9:25470250:25475830:1 gene:itb09g25420 transcript:itb09g25420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQVTPISSPYCAKSAIDFVDQGLISDQSVKTSKRNTRKYKNCDTVVKEKVNNVIVSPYFEKSLMANIDEDVGSESVVKGREGKGHRRKRSSRKKEEKNCDTALKETVKNNVVVVSPYFEKSLQGLESVANVSVGKKRKRKRSSEEEVKICETDVNEKVKNVVVSPYFEKPIKGNINEDILGSQTVADVREGKEHKRKRSSRKGKKTCDTDIIENVKNVVVSPYFKKPLKGNIDEDMGSKSVTNVREGREHKRKRSSRKEKSCGTDIVDKAKSVVVSPNFNKSLKGDVDEDLDSKYVVNVRVGKECKRRRSSKKKKGEAQVSEVTCSPYFENDVQENAENVFVVPLKQVKREDRGNGPVKEVRILDVKPKDNGEVGKKKKHKRTAMKEVPIQSPYFEKAVGFDEISGIEPLVSMQVSEVNSVGKKKRGKPVKEQKQACETKMSECYGGDNEKIGVKDNSLAPNTNVNNCDCNSDRVQNKGESETAERDMNFHSTHVELEAGVNNSLEAKQLHGLPVDEDVSSVVQNSADFFSQCKSGNSLKVKNETEDENDFLKPLHPCCSKRTKVEGEYGMLSMISESDEGNMKECKVKSKVTDFFSDQEQKEQCTKKREKGTREEINCGRAVEGSVERVASLYYEQSLKGSIDDDKESESVVMIKKRKEKKSIRSSRRRESDALVNVSSSPCLEKGFPDNMKSIEGEEEGKEKKREQSTKDNQTQVAVNQAYYYDKKAVDCNEIRGNKPVVSFQVTEVDDGKKTKRRKSVHARLEERSDCYDIDNKQIGEEDYMVATNIGASICDSEGDIVHNESEKKLSGTCVNFHSPKVELDEGMGNHVRADEEHGVSAVGNVTSVPVDFGARAVGQNIDDFFSQFAYKGGSFMKSKHGAENSENSSQGINNEDQNDKLGEVSKPALLVKDVTHSFVHCQEGSFSADGQAALSGDKRIKRKIHKNVKVVSPYFVNSQAGEVVENGGRYIKVETKKSSKKRLPTKKLSPYFNNLKQEQENGVTDSLEGKTDSTKRKKGPAKTKTTVLIKPVLSTAQKRDEAYLRRMPDNNWIPPRSPYNLLQEDHVHDPWRVLVICMLLNRTTGLQLM >itb09g25420.t2 pep chromosome:ASM357664v1:9:25470250:25475830:1 gene:itb09g25420 transcript:itb09g25420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQVTPISSPYCAKSAIDFVDQGLISDQSVKTSKRNTRKYKNCDTVVKEKVNNVIVSPYFEKSLMANIDEDVGSESVVKGREGKGHRRKRSSRKKEEKNCDTALKETVKNNVVVVSPYFEKSLQGLESVANVSVGKKRKRKRSSEEEVKICETDVNEKVKNVVVSPYFEKPIKGNINEDILGSQTVADVREGKEHKRKRSSRKGKKTCDTDIIENVKNVVVSPYFKKPLKGNIDEDMGSKSVTNVREGREHKRKRSSRKEKSCGTDIVDKAKSVVVSPNFNKSLKGDVDEDLDSKYVVNVRVGKECKRRRSSKKKKGEAQVSEVTCSPYFENDVQENAENVFVVPLKQVKREDRGNGPVKEVRILDVKPKDNGEVGKKKKHKRTAMKEVPIQSPYFEKAVGFDEISGIEPLVSMQVSEVNSVGKKKRGKPVKEQKQACETKMSECYGGDNEKIGVKDNSLAPNTNVNNCDCNSDRVQNKGESETAERDMNFHSTHVELEAGVNNSLEAKQLHGLPVDEDVSSVVQNSADFFSQCKSGNSLKVKNETEDENDFLKPLHPCCSKRTKVEGEYGMLSMISESDEGNMKECKVKSKVTDFFSDQEQKEQCTKKREKGTREEINCGRAVEGSVERVASLYYEQSLKGSIDDDKESESVVMIKKRKEKKSIRSSRRRESDALVNVSSSPCLEKGFPDNMKSIEGEEEGKEKKREQSTKDNQTQVAVNQAYYYDKKAVDCNEIRGNKPVVSFQVTEVDDGKKTKRRKSVHARLEERSDCYDIDNKQIGEEDYMVATNIGASICDSEGDIVHNESEKKLSGTCVNFHSPKVELDEGMGNHVRADEEHGVSAVGNVTSVPVDFGARAVGQNIDDFFSQFAYKGGSFMKSKHGAENSENSSQGINNEDQNDKLGEVSKPALLVKDVTHSFVHCQEGSFSADGQAALSGDKRIKRKIHKNVKVVSPYFVNSQAGEVVENGGRYIKVETKKSSKKRLPTKKLSPYFNNLKQEQENGVTDSLEGKTDSTKRKKGPAKTKTTVLIKPVLSTAQKRDEAYLRRMPDNNWIPPRSPYNLLQEDHVHDPWRVLVICMLLNRTTGLQAGRVISTLFTLCPNAKTATETAAEDIEKVIQPLGLHKKRAVMIKRFSLEYLGDGWTHATQLHGVGKYAADAYAIFCTGKWDRVRPTDHMLNKYWDFLTELHANEVT >itb01g32750.t1 pep chromosome:ASM357664v1:1:36165437:36167035:-1 gene:itb01g32750 transcript:itb01g32750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPMSKQLGDFLQEQQEPFTMEGYLHDRGCYPRSNSDQFLKGSTTSATKKRRRSLPSCSKVVGAVFTRIVQSEANRKFKNCWSNRNGRSAKGNCKQDLAEDDHFSSASSSTVFNSCSEIDAEEDEGCCKLHQKQVLEDRKQLSPVSVLEETESSDDDVSPIHQIQETSDSQTTLVGSQYARNRKATQQTKQLLFDCVTEVVESHRRRDQRGQQMGRILDPEQLWNLICENIWAWSQDPIDETNVNQLVRFDSEGEWRGFQGVQQAQEIGVIISEIMLEDIRDEIVRDLVISFK >itb01g10910.t1 pep chromosome:ASM357664v1:1:9529534:9529830:1 gene:itb01g10910 transcript:itb01g10910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAIVFTRQWQTAALGDDGSGLSGDLSAFSRRSPARSETALAVSTAGGPWQRRNLCRLCVSGDSNPGVMAPTLFVGGAARRRSRAPHPPLLFFDC >itb04g25850.t1 pep chromosome:ASM357664v1:4:30307386:30308384:1 gene:itb04g25850 transcript:itb04g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYGMLCLIVGKGDINNITSLDMTVDVFILNPFTMEIKALPSIKVPNKPPNKPPIEADLRMAFGFGLSNNMAGKVIMILRFEHVKWDCDDCYEIVMLKGKYYWEGARELVWFDMDNEVFGKVKLPSGLNSNFVAVVNETIVAVSSPHPNCDDESDGNYIDIWLTDENNNVFNCHKQARTPDDICWMPIGIWNPSGHLLLFSSYMDSRYDELVDNDDDMPFFNDGFKMDLFSIDMVTQEKNIICTSQEKKSAINIVLNPSGYVQVCKDVIKAQDWNGSNIFWHYGAYARVFNESLKML >itb11g00280.t1 pep chromosome:ASM357664v1:11:123586:126616:-1 gene:itb11g00280 transcript:itb11g00280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIKPLLHFRCSYYPIATSRTPISLLSPSTIRFLHGYCYSSPAALRKVTAMSRGNSSAAAGDYSPDHVVGDWFSVPELRLRDHRFAVPLDHSLDPRTSATISIFAREVVTAGKEELPLPYLVYLQGGPGFECSRPTEASGWISKACEEYRVILMDQRGTGLSTPLTTSSMSQMKSAEDLADYLKHFRADSIVYDAEFIRKRLVPDAGPWTVLGQSFGGFCAVTYLSFAPQGLKQVLITGGLPPIQSGCTADSVYKACFEQVVLQNEKYYKRFPQDIKIVQDVVHYLAESEGGGVHLPSGGILTPRGLQLLGLSGLGSSTGFERLHYLFERVWDPYERWLAFDTNPLYAILHESVYCQGAASEWSAHKIRAENESKFDAIKAAKEGRPVHFTGEMVFPWLFDEIHALRPFKEAAELLAKRKDWAPLYDIATLNNNKVPVAATVYYEDLYVNFKLSMETASQIAGIRLWVTNEYMHSGLRDGGGQVLDHLLGLLNGKKPLF >itb06g23140.t1 pep chromosome:ASM357664v1:6:25226338:25227353:-1 gene:itb06g23140 transcript:itb06g23140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATKFLCLFLILGLASPSLATVVYKVGGDILGWNEGVNLNAWASDKDFKVGDVLEFNYLPLVHNVARMADENAFVNCITDQNLIIDASGSTSVVLNQVGDLFFTSSVLTDCVSNLKMKVSVKSS >itb05g15230.t1 pep chromosome:ASM357664v1:5:22623893:22624996:-1 gene:itb05g15230 transcript:itb05g15230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCTSLGPMGRQARCATVILPTGEIRRFHEAVNAAEVMLEHCPGHFLVNAKSLRVGGRFCALGAVEELEVGNAYAAFAMKRVSSVVSAADMGALFVAAAAKNKRAGRASAKVLPADYTEGLDEVEGEVGSLPKLELDDIEDFSAPDFKRRLSMCRSKKPLLETIAEGGFGGLGGRKAELWWCERSGGLVNIKLVAGGWIRWRQAVVLVRVGRGDEGGGGGSGDDGDGDGDGDGGAKEVMRRLNEVKM >itb10g08500.t1 pep chromosome:ASM357664v1:10:10597348:10598468:-1 gene:itb10g08500 transcript:itb10g08500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHGEEEAMDWYSWLSKSSSLEPSHVYEYGLVFTRNELKDEDLRHFNHEFLQSMGISVAKHRLEILKLARNKEARGLSTFVNKTKKLLAKMVPHHHHHHHQRKVNKLMMNPYQERGLLRPGKPRLLKSGPLDRKVQVPVQEKMAITTTRSSLSGPLDANKVVQERLMLPSCSPLGWGGKMVFPTISQTKSGPLDATWTLMSMSPTTNHNNPRHSLWSLMFQDMKPT >itb14g03090.t1 pep chromosome:ASM357664v1:14:2780468:2780971:-1 gene:itb14g03090 transcript:itb14g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASHAFTFIILIAICTFIFKKLLRMFPQSAILNYVVLAASQLKLGWDCLLIQSFCQPPPYKFNVDGTGMSPELGGRVFGGESEAVECAVCLCKIEEGEEVGDLRCNHIFHRDCLDRWLATGRNSCPLCRTQVKSAGRPLFDDRYQEVIAFDIFSDRRDGCTWWLR >itb05g24720.t1 pep chromosome:ASM357664v1:5:29387598:29389124:1 gene:itb05g24720 transcript:itb05g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVAGTPQALPLLSLNHVSLLVKDVFSSVRFYEDVLGFCLVKRPSSFNFHGAWLYNYGIGIHLLERESSQNCDTVADEPRPINPKDNHISFQCTDVAEVKRRLEAMGMKYATAVVEEEGITVDQVFFHDPDGYMLEICNCDNLPMLPISSGGSSCPLRRPTAAAAQPSTGYGSCGFMEALMMESLSMDMLNFSF >itb15g03310.t1 pep chromosome:ASM357664v1:15:2115421:2118582:-1 gene:itb15g03310 transcript:itb15g03310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFSGDSLFLGVIFFLAVGVSVSLGLSSDGFSLLALKAAIFSGESEVLGSWSESDSSPCRWAGVTCDHNRRVTGVSLSNGNFSGYIPSELGALSSLLSLDLSHNFFSGPLPPQITALTNLTHLDLSSNFLNGSLPPELNALSNLAGTLNLSYNTFSGEVPASYGRFPVALSLDLRHNNLSGKIPAEGSLLNQGPTAFSGNPYLCGFPLATPCPEPEARNPNPENPQSPDFFPNGHSEHKGKQKLRSATVSLISGVSIVIGAVFLSLWVLRKKWNLTEGKTRKETLGMTTEGPTSEEGQKGKYIAVDEGFSLELEDLLRASAYVVGKSRSGIVYKVVAGGGRATAASGGGATVVAVRRLSEGDATWRFKEFESEVEAIGRVHHPNILRLRAYYYASDEKLLVTDFICNGSLRNALHGGPGVSLPTLSWPARLKIAQGVARGLVHIHECSPKRYIHGNIKSSKILLDDELQPYISGFGLTRLVSNASRLTSSASKKMSSANQVTLSPRSSTSPSLAYKAPEARVSGSKLTQKCDVYSFGVVLLEILTGRVPEGGPDEDGKGLEDTVRKVFQDERPLSEIIDPALLHEVQSKKQVVATFHIALNCTEFDPECRPRMRTVAESLERIGLQ >itb10g06290.t1 pep chromosome:ASM357664v1:10:6982409:6985092:-1 gene:itb10g06290 transcript:itb10g06290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTVSQVTFAVPLNADSSLRKSFFKGENPKKPGAPHNVRLYSIASTRYGDSFGKTASLCVRRAVYYDPETGKEDPSKKGVCSNFLCDSKPGDKVQITGPSGKVMLLPEDNPNATHIMIATGTGVAPFRGYLRRMFMEAVPTFKFNGLAWLFLGVANQDSLLYDEESTKYLQDYPDNFRYDRALSREQKNKRGGKMYVQDKIEEYSDEIFKLLDEGAHIYFCGLKGMMPGIQDTLKRVAEERGESWETKLSQLKKNKQWHVEVY >itb04g06870.t1 pep chromosome:ASM357664v1:4:4545149:4548956:-1 gene:itb04g06870 transcript:itb04g06870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFMDSNDTVRETEKCLDSQLWHACAGSMVQMPPVNSKVFYFPQGHAEHASSNVDFRNSSRIPSYIPCRVSGIRYMADPETDEVFSKIRLVPISRNEVEFEDDGGVGINGGDNSPDKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPETSSGWNPVGGNCMVPYGGFSAFLREDENKLMRNGNGRNNGNLMGSGKVKAESVVEAANLAVNGQPFEVIYYPRASTPEFCVKASLVKTALQIRWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPTMHISPFSPPRKKFRLPQHPDFPFDGQLPMPAFSSTHLLGPNGPFGCLPDNTPPAGMQGARHAQYGLSLSDLHLNKLQSGFFPVGFPPLDHAAMPSRPTNSLAIHKPCSTEEISCLLTMGNSAETLKKSDSGKAPLVLFGKPILTEQQISLSCSGDTVSPVGTGNSSSDGNAHKLGNTSDSSGPNLNLHGTLERSPRDGSQPEPNLETGHCKVFMESEDVGRTLDLSLLESYEKLNKKLADMFGIENSEVLNHVLYRDVTGAVKHLGDEPFSEFMKSARRLKILMDSSSNNVGV >itb02g22790.t2 pep chromosome:ASM357664v1:2:22440122:22444942:-1 gene:itb02g22790 transcript:itb02g22790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHIFHQDSGKLGPKTSGECLKKDNNVSLCKCGFALLDSSFFSEAKMLEIEKGAKEFNVPIVRANRKLVASANGGLHNPSPLVFNAAWSDQNVQPMTERFSYPSPSSVQRPGDDEDIAFMSIPELGELIKTKQITSEELTHIFLKRLKRYGPVLESVVTVTEELAYQQAKEADQLLANGNYLGALHGIPYGLKDIISVPQYKTTWGSRTFKNQTLDIEAWVYKRLKAAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEYSTGSSAGPAACTSAGLVPFAIGSETAGSMTYPASRCGVTAIRPSFGAVGRTGVMSISESLDKLGPFCRDAADCAIVLDAIRGKDPDDLSSRNIPLSDPFSVDIKTLTVGYLKDAEMEVVNVLQSKGVKVVPFELNYTVESAQGILNFTMDVDMLVHFDEWQRSNKDDEYEAQDQWPLELRRARVIPAVDYIQAQRARGKLIWEVHESFKVDAFIGNATDWEKVCVGNLVGMPVVVVPTGFKKISNAPSNDTRRRSTITTGIYAPPDHDHIALALAMAYQSVTDHHKQRPPIDDLGPNDYIPNPPIVPVPPRQLRAY >itb02g22790.t1 pep chromosome:ASM357664v1:2:22440122:22446535:-1 gene:itb02g22790 transcript:itb02g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWKPQRFTRRLLFPQPTLLFALIIIVLSLCYSGASASSSEYCTFAGRNSTQMDSGKLGPKTSGECLKKDNNVSLCKCGFALLDSSFFSEAKMLEIEKGAKEFNVPIVRANRKLVASANGGLHNPSPLVFNAAWSDQNVQPMTERFSYPSPSSVQRPGDDEDIAFMSIPELGELIKTKQITSEELTHIFLKRLKRYGPVLESVVTVTEELAYQQAKEADQLLANGNYLGALHGIPYGLKDIISVPQYKTTWGSRTFKNQTLDIEAWVYKRLKAAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEYSTGSSAGPAACTSAGLVPFAIGSETAGSMTYPASRCGVTAIRPSFGAVGRTGVMSISESLDKLGPFCRDAADCAIVLDAIRGKDPDDLSSRNIPLSDPFSVDIKTLTVGYLKDAEMEVVNVLQSKGVKVVPFELNYTVESAQGILNFTMDVDMLVHFDEWQRSNKDDEYEAQDQWPLELRRARVIPAVDYIQAQRARGKLIWEVHESFKVDAFIGNATDWEKVCVGNLVGMPVVVVPTGFKKISNAPSNDTRRRSTITTGIYAPPDHDHIALALAMAYQSVTDHHKQRPPIDDLGPNDYIPNPPIVPVPPRQLRAY >itb02g22790.t3 pep chromosome:ASM357664v1:2:22440122:22446535:-1 gene:itb02g22790 transcript:itb02g22790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWKPQRFTRRLLFPQPTLLFALIIIVLSLCYSGASASSSEYCTFAGRNSTQMGSTCKNVWNILNQHARVQSRAFTSSGKLGPKTSGECLKKDNNVSLCKCGFALLDSSFFSEAKMLEIEKGAKEFNVPIVRANRKLVASANGGLHNPSPLVFNAAWSDQNVQPMTERFSYPSPSSVQRPGDDEDIAFMSIPELGELIKTKQITSEELTHIFLKRLKRYGPVLESVVTVTEELAYQQAKEADQLLANGNYLGALHGIPYGLKDIISVPQYKTTWGSRTFKNQTLDIEAWVYKRLKAAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEYSTGSSAGPAACTSAGLVPFAIGSETAGSMTYPASRCGVTAIRPSFGAVGRTGVMSISESLDKLGPFCRDAADCAIVLDAIRGKDPDDLSSRNIPLSDPFSVDIKTLTVGYLKDAEMEVVNVLQSKGVKVVPFELNYTVESAQGILNFTMDVDMLVHFDEWQRSNKDDEYEAQDQWPLELRRARVIPAVDYIQAQRARGKLIWEVHESFKVDAFIGNATDWEKVCVGNLVGMPVVVVPTGFKKISNAPSNDTRRRSTITTGIYAPPDHDHIALALAMAYQSVTDHHKQRPPIDDLGPNDYIPNPPIVPVPPRQLRAY >itb02g13470.t1 pep chromosome:ASM357664v1:2:9620683:9623064:1 gene:itb02g13470 transcript:itb02g13470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLKITYPELFFHKFSGIPTKPYTVVSLVRASAQSYSSSSSSSPSSSSSSSQYVWPNVVGLFAGKWTYGDSLLANDLRDKVSKLRDELVIHGGDVETFYKVLEEKGAPMFRKYRDGSAVVELLTQLHSSPQLAIEVVNWRREMDYINPLTAEEYAKAISLAGRLHNVELASQIFREAADKRVRTTSMYNALMSAYMYNGLAVKCQSVFQDMKKEASCCPTIVTYNILISVFGRLLLVDHMEETFREIKDLNICPNVTTYNYLIAGYLTAWKWDDMEKTFRIMNAGSIEPNLTTYLLMLRGYAYAGRLEKMEETYELVKDHVDHKEISLIRTMISAYCRSSNMNRVSKVKELLKFIPENEYRPWLNVQLIILYAKEDLLEEMETSINEAFERNTSVTTIGVMKGIIACYFRNSAMDKLANFVKRAECGGWRICRSLYHCKMVMYSSHKRLVEMENVLDEMEKVNLDWTKRTLWILYKAYSKWGEKSKLEQVAGVMCKHGYGIPMSACSS >itb06g21620.t1 pep chromosome:ASM357664v1:6:24222058:24224413:-1 gene:itb06g21620 transcript:itb06g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEDTSLAPSSPDHNRRPVYYVQSPSRDSHDGEKTTMSFHSTPVLSPTGSPPHSSVGHHSRESSSTRFSGSLKPGSRKITPNDAGSGAGGQGRKGQKPWKECDVIEEEGLLEEDRSRKPLPRRCYVLAFLLGFFVLFSFFALILWGASRPQKPKISMKTITFESFAVQAGSDHTGVGTDMISLNSTVKFLYRNTATFFGVHVTSSPVDLSFSEITIGSGTLKKFYQSRKSQRTVAVSVVGNKIPLYGSGASLSTPTGTAAQPVSLKLSFVLKSRAYVLGKLVKPKFNKKIECSIVLNPSKLNHPISLKNACEYD >itb03g01010.t1 pep chromosome:ASM357664v1:3:530286:534475:-1 gene:itb03g01010 transcript:itb03g01010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQGLNKPCILLIVIAGMERFTFKGVAANLVTYLTDVAKMSNSSAAKLVNNWCGFTSMVPLLVAPLADSYLDSYSTILGSAFFYILGLVALTSTAMGWPPGRNGHKISSSSLSWPLHLISLGLGGYNPSLQAFAADQLGDEDDLPTTKDDKSSTKKSVFFQWWYFGICCGSLLGVSVLSYIQDTIGWGVGFAIPTIAMVASILVFLSANRFYVHNNSKEISLEKMIKAIKDTVLRLKNRGEMSLPSSKPDTVHELELQEKPLCSQDSDSEDTESADKKQDSGGINVVKIARVVIRLLPVWTLLLTFAVIFQQPATFFTKQGMTMTRNLGPNFMIPPAALQSAITVSIILLMPLYDKYFIPLVRVFTRNDKGVTVRQRMGIGMFLSVIAMVIAAFTDQKRLEISRNPKNLSLETVPMSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVNMRTLGIALYTSVFGFGSFFSSLLISIVEHFTSSPANHHGWFSDDMKEARLDNYYWFLSVLSAVSLILFVIFCKFDRS >itb04g09990.t2 pep chromosome:ASM357664v1:4:9252071:9258552:-1 gene:itb04g09990 transcript:itb04g09990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSGPDGKKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMHVLKPNPARDKDLCRFHADDYVTFLRSITPETQQDNLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGFGKGKYYSLNVPLDDGIDDESYQMLFKPIMGKVMEIFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMELDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRPVVEEIRSKLLDYLSKLQHAPSVQFQERPPDTELPEANDDQDGEDERWGRGSDLNDDERKPLPGRVKREIIEPEAKDMDEMKIDERPREAELALEPNMKGSSSAMAEDHQMAGNSSKPFSQPMDMNL >itb04g09990.t1 pep chromosome:ASM357664v1:4:9252071:9258552:-1 gene:itb04g09990 transcript:itb04g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGNSLPSGPDGKKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMHVLKPNPARDKDLCRFHADDYVTFLRSITPETQQDNLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGFGKGKYYSLNVPLDDGIDDESYQMLFKPIMGKVMEIFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMELDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRPVVEEIRSKLLDYLSKLQHAPSVQFQERPPDTELPEANDDQDGEDERWGRGSDLNDDERKPLPGRVKREIIEPEAKDMDEMKIDERPREAELALEPNMKGSSSAMAEDHQMAGNSSKPFSQPMDMNL >itb10g01500.t2 pep chromosome:ASM357664v1:10:1144560:1148068:1 gene:itb10g01500 transcript:itb10g01500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVCRILYVLFLAFFCNNLGVSSYILRAGEPPVTPNRTLFSAGGEFALGFFRPGNSSSSPSFLGIWYTPLNSTVIWVANRESPLPHHDSEPLFKLSNDGNLQLLDGRRNIIWSTNISGAGLARNPVEAYLQTNGNLILKQGDSQVWESFDDAGGNTLMPGMKLKVNTKTKTQNIIRSWTSLHDPRPGKFSWGMDPKGSPQFFIWKENSPYYRSNLYQDGFTKSMHFSKLGHTTTYSFAAENDEVYFRYFCDNPLIQMRFVLTPDGYIEAMVRKTKSDQWRIPWKVPRNPCEFYARCGSFGSCEKIGFSSHSICRCLEGFKPKSQREWENGNYSSGCERKREFRCHSKDDKFKKLEKMKWPDFSVSLGNMAFGECEAKCYSNCSCTAFAYANISERHPANCLNWFGDLVDLTYNYSVGVNAFGQDLYVRVHSSELDNGSSGNGHSDHYLVAKIVAPVSGFFLVCVLVYILKRKCFKSKDWLCKRSAVDNSMSTSPLGFLSEFGMVAIKRLSKRSSQGLEEFMNELKLIAKLQHTNLVSLLGCCTEDEEKILIYEYLPKRSLDKFLFDPLEKHHLDWSTRAQIIEGIAQGILYLHKYSRLKVIHRDLKASNILLCETMKPKISDFGMARIFGLDQTQAETNHVVGTYGYIPPEYVLRGQFSEKSDVFSFGVLLLEIVSGQKNSEFFHQTHLSDTLLGWAWVNWKEGRPLEFVDSAIRESCDSLKVIRCIEVGLLCVQTIPTDRPTMSNVVRLLCTDHATPIPPLKEPAFVASHSNANVSTSHREVSGSYSQNEITISVLESR >itb10g01500.t1 pep chromosome:ASM357664v1:10:1144287:1148262:1 gene:itb10g01500 transcript:itb10g01500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVCRILYVLFLAFFCNNLGVSSYILRAGEPPVTPNRTLFSAGGEFALGFFRPGNSSSSPSFLGIWYTPLNSTVIWVANRESPLPHHDSEPLFKLSNDGNLQLLDGRRNIIWSTNISGAGLARNPVEAYLQTNGNLILKQGDSQVWESFDDAGGNTLMPGMKLKVNTKTKTQNIIRSWTSLHDPRPGKFSWGMDPKGSPQFFIWKENSPYYRSNLYQDGFTKSMHFSKLGHTTTYSFAAENDEVYFRYFCDNPLIQMRFVLTPDGYIEAMVRKTKSDQWRIPWKVPRNPCEFYARCGSFGSCEKIGFSSHSICRCLEGFKPKSQREWENGNYSSGCERKREFRCHSKDDKFKKLEKMKWPDFSVSLGNMAFGECEAKCYSNCSCTAFAYANISERHPANCLNWFGDLVDLTYNYSVGVNAFGQDLYVRVHSSELDNGSSGNGHSDHYLVAKIVAPVSGFFLVCVLVYILKRKCFKSKADWLCKRSAVDNSMSTSPLVGNDEIELLQFSLQRIIDATNNFDTTNKLGEGGFGPVYKGFLSEFGMVAIKRLSKRSSQGLEEFMNELKLIAKLQHTNLVSLLGCCTEDEEKILIYEYLPKRSLDKFLFDPLEKHHLDWSTRAQIIEGIAQGILYLHKYSRLKVIHRDLKASNILLCETMKPKISDFGMARIFGLDQTQAETNHVVGTYGYIPPEYVLRGQFSEKSDVFSFGVLLLEIVSGQKNSEFFHQTHLSDTLLGWAWVNWKEGRPLEFVDSAIRESCDSLKVIRCIEVGLLCVQTIPTDRPTMSNVVRLLCTDHATPIPPLKEPAFVASHSNANVSTSHREVSGSYSQNEITISVLESR >itb10g22170.t1 pep chromosome:ASM357664v1:10:27109548:27112861:1 gene:itb10g22170 transcript:itb10g22170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MSFEEDEESFEHTLLVVREVSVFKIPPRPTSGGYKCGEWLQSDKIWSGRLRVVSCKERCEIRLEDPNSGELFAACFVHPGQRESSVESVLDSSRYFVLKIEDGRGKHAFIGLGFNERNEAFDFNVALSDHDKYVKRDHEKEAGDGQTSDENSHIDIHPAVNHRLKEGETIRINVKNKPSTGAGMLSAAGLTSGLTGTGKSKTLGLVPPPIGAGKIRSPLPPPPNDTVAVRKTSASQGTSLKVPKENKNHSADPLSDLSPLEKSLPAETGSGSSKTTGAGWAAF >itb07g14350.t4 pep chromosome:ASM357664v1:7:17006078:17012822:-1 gene:itb07g14350 transcript:itb07g14350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWQWLLLLQLIFLSSTTCGSLPHEIPRLTPFYEPILQHSSSKPLPPDFKTFYYTQTLDHFNYAPQSYATFQQRYIVNSKYWGGAQSNSPIFAWLGAESPIDTDPKGAGFLTNITPHFKALLVYIEHRFYGESIPFGDIEEGLINDTIRGYFNSAQALADYAEEVSDTCYQTIKQSWSIIDTIASQSNGLSILSRKFNLCQDLNSPSELKDYLDGKYCVAAQYDTPAQQPVRVVCGAIDGAPKGADILDRIHAALVAIQGNKPCYTISAIEGNQSFYSVSARGNDGWSWQTCSELVFPIAKGTDSMFDPAPFNLEQYSQSCIDAFGVPPKPHWVTMYFGGQDIKLVLRNFGSNIIFSNGGRDPYSRAGVLEDISDTILAVYTQNDFQSLSRTVSLSLSLSLCTATSPIGHRGPAPTSRDRGHRPPPVRHRQPPQPLTSVPQPPLCLTQSHSQSLILHLLILLHTLTPHSPKHHRPSFPSSAPGIQLSDPHRRHRPPYSPSWRRLHRFLHPDLVNIFSRNLFTGEMGG >itb07g14350.t3 pep chromosome:ASM357664v1:7:17004275:17014772:-1 gene:itb07g14350 transcript:itb07g14350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWQWLLLLQLIFLSSTTCGSLPHEIPRLTPFYEPILQHSSSKPLPPDFKTFYYTQTLDHFNYAPQSYATFQQRYIVNSKYWGGAQSNSPIFAWLGAESPIDTDPKGAGFLTNITPHFKALLVYIEHRFYGESIPFGDIEEGLINDTIRGYFNSAQALADYAEEVSDTCYQTIKQSWSIIDTIASQSNGLSILSRKFNLCQDLNSPSELKDYLDGKYCVAAQYDTPAQQPVRVVCGAIDGAPKGADILDRIHAALVAIQGNKPCYTISAIEGNQSFYSVSARGNDGWSWQTCSELVFPIAKGTDSMFDPAPFNLEQYSQSCIDAFGVPPKPHWVTMYFGGQDIKLVLRNFGSNIIFSNGGRDPYSRAGVLEDISDTILAVYTQNDFQSLSRTVSLSLSLSLCTATSPIGHRGPAPTSRDRGHRPPPVRHRQPPQPLTSVPQPPLCLTQSHSQSLILHLLILLHTLTPHSPKHHRPSFPSSAPGIQLSDPHRRHRPPYSPSWRRLHRFLHPDLVNIFSRNLFTGEMGG >itb07g14350.t2 pep chromosome:ASM357664v1:7:17004275:17012822:-1 gene:itb07g14350 transcript:itb07g14350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWQWLLLLQLIFLSSTTCGSLPHEIPRLTPFYEPILQHSSSKPLPPDFKTFYYTQTLDHFNYAPQSYATFQQRYIVNSKYWGGAQSNSPIFAWLGAESPIDTDPKGAGFLTNITPHFKALLVYIEHRFYGESIPFGDIEEGLINDTIRGYFNSAQALADYAEEVSDTCYQTIKQSWSIIDTIASQSNGLSILSRKFNLCQDLNSPSELKDYLDGKYCVAAQYDTPAQQPVRVVCGAIDGAPKGADILDRIHAALVAIQGNKPCYTISAIEGNQSFYSVSARGNDGWSWQTCSELVFPIAKGTDSMFDPAPFNLEQYSQSCIDAFGVPPKPHWVTMYFGGQDIKLVLRNFGSNIIFSNGGRDPYSRAGVLEDISDTILAVYTQNDFQSLSRTVSLSLSLSLCTATSPIGHRGPAPTSRDRGHRPPPVRHRQPPQPLTSVPQPPLCLTQSHSQSLILHLLILLHTLTPHSPKHHRPSFPSSAPGIQLSDPHRRHRPPYSPSWRRLHRFLHPDLVNIFSRNLFTGEMGG >itb07g14350.t1 pep chromosome:ASM357664v1:7:17001309:17014772:-1 gene:itb07g14350 transcript:itb07g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFTSAIQWQWLLLLQLIFLSSTTCGSLPHEIPRLTPFYEPILQHSSSKPLPPDFKTFYYTQTLDHFNYAPQSYATFQQRYIVNSKYWGGAQSNSPIFAWLGAESPIDTDPKGAGFLTNITPHFKALLVYIEHRFYGESIPFGDIEEGLINDTIRGYFNSAQALADYAEEVSDTCYQTIKQSWSIIDTIASQSNGLSILSRKFNLCQDLNSPSELKDYLDGKYCVAAQYDTPAQQPVRVVCGAIDGAPKGADILDRIHAALVAIQGNKPCYTISAIEGNQSFYSVSARGNDGWSWQTCSELVFPIAKGTDSMFDPAPFNLEQYSQSCIDAFGVPPKPHWVTMYFGGQDIKLVLRNFGSNIIFSNGGRDPYSRAGVLEDISDTILAVYTQNDFQSLSRTVSLSLSLSLCTATSPIGHRGPAPTSRDRGHRPPPVRHRQPPQPLTSVPQPPLCLTQSHSQSLILHLLILLHTLTPHSPKHHRPSFPSSAPGIQLSDPHRRHRPPYSPSWRRLHRFLHPDLVNIFSRNLFTGEMGG >itb13g19760.t1 pep chromosome:ASM357664v1:13:26695660:26698516:1 gene:itb13g19760 transcript:itb13g19760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKAPAQETPAAQEVAAAAPEAAAAAEPTPEPEPEKTTSEEKETEKAAVTESASFKEESNKVEELPNPEKKALDEFKALVQEALNNHDFTAKPPAKEEEKKPEPEEQPKAEEKKEAEAEEQPKPEDTKESEAAAPEPEPVVDEKKETTPPAPETPPKAAEEKKEEVKEKETPPPPTQAPAPEPSEVVEKLEEKPAAAAPAEVIKETIVEVAATEEPSTVEEPKTEEIAPPVPEEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFSMLKNVVAWRKQFKSDSLLEEPELGQGLDKVVYMHGVDKEGHPICYNAFAEFQDKELYQSTFADKEKLNNFLRWRIQFMEKSIRNLDFTPEGINTFVQVIDLKNSPGLFFFKKEFRQATNRALQLLQDNYPEFVAKQVFINVPWWYPAYYKMINALFTTRTKSKFVFAGPSKCAETLFKYIAPEQVPVQYGGLSREGDYEFTTGDPATEDTIKPTSKHTIEFPVTEKCTLVWEARVIGWDVAYGSEFVPAAEGAYTIIVEKSRKIGPADETIISNTYKTDCAGKVVLTFDNQTSKRKKLLYRSKTKPSD >itb08g01630.t2 pep chromosome:ASM357664v1:8:1274266:1275915:-1 gene:itb08g01630 transcript:itb08g01630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCRSLQDGKNPLTPLGNDYSFQNHAAAGGGGVGGGGVIDQTQIRLNPADGSNSRKRAREHEMNPLMSMQSQQPKIIDLAQLHASPSPNVVSTGLRLAFGEQQTQQFQQQQQQSSLSPQSSQSSLLFSALAEDLSTHFKHQRDEIDQFLRAQGDQLRRTLEEKRQRHYRALLGAAEESVARKLREKEAEVEKAARRNSELHARAAQLSAEAQAWQARARAQEVTAATLQAQLQQVIMNAGAVQEREDGNSPPCGAGGDAEDAESAYIDPDRVVLSTGPSCKACRKRIASVVVLPCRHLCLCAACDAVAQACPLCLSVRSSSVEVFLC >itb08g01630.t1 pep chromosome:ASM357664v1:8:1274266:1276608:-1 gene:itb08g01630 transcript:itb08g01630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLFFNRSLQDGKNPLTPLGNDYSFQNHAAAGGGGVGGGGVIDQTQIRLNPADGSNSRKRAREHEMNPLMSMQSQQPKIIDLAQLHASPSPNVVSTGLRLAFGEQQTQQFQQQQQQSSLSPQSSQSSLLFSALAEDLSTHFKHQRDEIDQFLRAQGDQLRRTLEEKRQRHYRALLGAAEESVARKLREKEAEVEKAARRNSELHARAAQLSAEAQAWQARARAQEVTAATLQAQLQQVIMNAGAVQEREDGNSPPCGAGGDAEDAESAYIDPDRVVLSTGPSCKACRKRIASVVVLPCRHLCLCAACDAVAQACPLCLSVRSSSVEVFLC >itb06g21360.t2 pep chromosome:ASM357664v1:6:23973425:23976119:-1 gene:itb06g21360 transcript:itb06g21360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIFVFFKDIFQTKAPKKSPLVLRTVVLLFAVVCGVYIFSICMRQTANFGSTDSLRYLVDPLCSTPRNVEKWEIPLLHFPKPQTFSREECVCNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFNVRARRSNASMIIKTLDTVYNLDVLTSSSKNECLAAVGFKWMLNQGIMVHHEEIVDYFKRRGVSTIFLLRRNPLRRLISLLANTYDKDAKLLNGTHKSHVHSAHEVSLQSRYAHFCWSYELSTYYVSRFSLSCLQAQVLAKYKPTVNMSLLIPNLRSTLRTSATALDYFKNTRHIVFYYEDIVSNRTKLTDVQEFLGLPIRNLTSLQVRIHNGELSNQVENWDEVQEKLKGTVYEQFLHTD >itb06g21360.t1 pep chromosome:ASM357664v1:6:23973425:23976119:-1 gene:itb06g21360 transcript:itb06g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIFVFFKDIFQTKAPKKSPLVLRTVVLLFAVVCGVYIFSICMRQTANFGSTDSLRYLVDPLCSTPRNVEKWEIPLLHFPKPQTFSREECVCNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFNVRARRSNASMIIKTLDTVYNLDVLTSSSKNECLAAVGFKWMLNQGIMVHHEEIVDYFKRRGVSTIFLLRRNPLRRLISLLANTYDKDAKLLNGTHKSHVHSAHEAQVLAKYKPTVNMSLLIPNLRSTLRTSATALDYFKNTRHIVFYYEDIVSNRTKLTDVQEFLGLPIRNLTSLQVRIHNGELSNQVENWDEVQEKLKGTVYEQFLHTD >itb04g16580.t1 pep chromosome:ASM357664v1:4:18298852:18300941:1 gene:itb04g16580 transcript:itb04g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFERVSSSYDGGMKGDIEEGTLYPGIGFGENQLRWGFIRKVYGIISAQILLTTLVAGVTVLYAPLNEALKGSSGILLFFVFLPFLLLWPLHAYQQRHPLNFIFLGLFTASLSFPVAVSCANTDGGIVLEALILTSAVVSALTGYTFWAAKKGKDFNFLGPILFTSLVILIVTGFIQMFFPLGSASTAVLSAISAIIFSGYIVYDTDNLIKRFTYDEYIWASVTLYLDILNLFLTILRMLKQAEN >itb09g27250.t1 pep chromosome:ASM357664v1:9:27638105:27638743:1 gene:itb09g27250 transcript:itb09g27250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLVKLAKRWQKFAAIRRKRISFPSDDTDSCTTSTPVNRGHFAIYTADQKRFVVPLSYLKNEIIRELLNMSEEEFGLPSSGPITLPCDAVFMDYIISLLSRGLSRELESALLVSVTSHRCSSALLHQDGWRNQELLVC >itb13g16970.t1 pep chromosome:ASM357664v1:13:23898309:23900368:1 gene:itb13g16970 transcript:itb13g16970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINVGHLNENGVYVGNYTTFALCGFVRAQGDADSALDRLWQKKKAEIRQQ >itb07g06070.t1 pep chromosome:ASM357664v1:7:4220384:4223462:-1 gene:itb07g06070 transcript:itb07g06070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFHLRIFVLCSVALLVSGFSRNLPIVSFDEGYTHLFGDSNLRVLEDGKSVHLSLDQRTGSGFLSHDLYSHGFFSASIKLPSDYTAGVVVAFYMSNAEMFQKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSRGREERYGLWFDPSEDFHQYGILWTENLIVFYVDNVPIREIKRTEAMGGDFPSKPMSLYATIWDGSGWATAGGKYKVNYKYAPFVTELSEFVLHGCAVDPIELSSDKCETAPEMASIPTGITPEQRTKMASFRRKSIQYSYCYDSARYKVPPTECALDSKEAEQLRRFDPVRFGGVPRHHGRRHRHRSSRDGSSSST >itb09g17690.t1 pep chromosome:ASM357664v1:9:13048971:13049860:-1 gene:itb09g17690 transcript:itb09g17690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRATAFGLMTIGVEGRIIEFVEKPKGELLIAMKFPGANDFGSEVIPGATSIGLRVQAYLFDGYWEDTGTIEAFYNANLGITKEPVPDFNFYYRSAAIYTQPLYLPPKMLDVDVIDSVIGEDCVIRNFKIHHSMVGLRSCISKGAIIKDALLMGAYYYEPDAD >itb06g20450.t1 pep chromosome:ASM357664v1:6:23421143:23423413:1 gene:itb06g20450 transcript:itb06g20450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQDSPSNPTEPSCNLQQLGTQNYDVFPPDLGSNAQFLGGRMPRSTRHFMDLLGASNDVHNQAQKLSLSLGSCSLFPPSVQCRETSLNQNNNIMNPGFMNYSLAGGSSALAAPIADSKYFRPARALLEEVASVGGQDTGVRYKKLMRRLSRNSKNGSLGVRSAVRLDFPTNELEAKIMKLITMLEEVERRYEQYCHQMEELVSSFEAISGKSYMVLALEAMSKHFCSLRDAIIAQINATRRRLSEETPTRELHSHFSLFPPSSEAAMLQKLSLQHLGLIQSSRQAWRPIRGLPETSVAILRAWLFQHFLHPYPNDSEKLILASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFAESSSADECDNLFGGSSSSTRSSRTATQGAMNSAEE >itb04g33790.t1 pep chromosome:ASM357664v1:4:35932518:35933426:1 gene:itb04g33790 transcript:itb04g33790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIERFGMENGFDEDEFYYRNRKEKLARTKDDAVLYGIFADPEEENDILMPERQHSIRKSKPGSVLLGPDMGYYYSHSSHASTYGHLLQQVATSFILLAAMCSSFHFEEVTKWFLGWMELLPPQLLANDHIQCRLFVGMNMVNQAAKGMEVVQPDLRENISYLRPLEKRQFETKAAAQAQERVSENLSSGPQGDGKDSGPKLSLKKIIEAHAQ >itb13g02560.t1 pep chromosome:ASM357664v1:13:2444059:2445978:1 gene:itb13g02560 transcript:itb13g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILAPTSPSIWGEGDPSQWLAFQDVTGLNIYGSGTIDGQGKVWWDQSCRDHPGLALKLISCNQGSLSNIIFSNSPQSHVLIYGCNDFRVDSVAIQSPGDSPNTDGIHIQSSHQLSITNSKISTGDDCVSIGDYTSDVEIDFLTCGPGHGISIGSLGKSGNVVQVENVHVSNAFLYGTTNGARIKTWQAGKGYVRNVIFENLVLHTVNNPIIIDQYYCEDPGACQETGSGVQISEVTFRNIYGTSGTDVTVNLNCSKAVPCRDIHLQFVQLALAQPGNLATANCNNAYGQEFIVVPGPCLI >itb11g23210.t1 pep chromosome:ASM357664v1:11:25123784:25124680:1 gene:itb11g23210 transcript:itb11g23210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCTSTAAGGGGEYQDLLPVMAEKLDVDTFVAELCGGFRLLADRDTGLITSESLQRNSALLGMEGMSKEDAEGMVKEGDLDGDGALNETEFCILMVRLSPEMMEDAETWLEKALQTEMAQPSQ >itb01g33460.t1 pep chromosome:ASM357664v1:1:36593219:36598078:-1 gene:itb01g33460 transcript:itb01g33460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKSMQEYAPSECSIVSEEWANSASETSENINGKTSHELQASAASDSCKVEHDGGYLEEDQSSPSGNLIPTSPVKESETGKTFETESPQNRALLEVEALLPLTENPEPLERRRDENSTALPESLPLPVLDAKPSDFGSLPGADSQPNSISDEPAISRDASPLLPGHSDTLKLPEEHISVLPNQSETGATVASELSRDVSSPNSTGNGADTPSASSHTAREAENDNNSEKSANDGLEQSTEASPHSVTKNDADTCLTSSCEVEGTESADHSETRTSDASELSKEAISSTSTKNGADTPFASSPQVSKDESGSQLERSANNASELSREVSSQSSDKNNAGTDSTSPPQARETQSGSNFVTSGHGGQALAKLSNLFFKTSAPSPSKQPENAQIDTAAPFESVKQAVSKFGAIVDWKAHKAQTMERRKFIDHELLKVHEDIPLLKKQCEDAEEAKVHVLKELDSTKRLIEELKLNLERAEIEEQQAKQDSELALLRVEEIEQGITDEASVATKVQLEVARARHIAAASELESVTAELEQIRVDYTLMEYDRDAWVKKAEEAVLTSKEVEKTVEDLTIELITLKESLDAAHAAHLEAEEHRLGTAMAREQDILYWEKEVKLAEEELEKTNQQIESVKDLKSNLDSASALLQDLKAELASYMESNREAETDRGNSKGELAEPEMKTHFEMLAAVESARKELEEVKHNLEKATDEVNCLKVAATSLKSELEKEKSEISTIQQREGMASIAVASLEVELNKTKSEIALAQIKEKEARERLAVLPKQLQEAAREADLAKSVAETAREELRKAKEEAEQVSATARTMESRLLAARKEIEAAKATEKLAAAAMNALEESESAQGEGSKTGVTVSLEEYYELSKKAHKAEEQAKMRVAAALSQIKVAKQSEMKSLYRLEEVNSELSVRKEGLRVALEKSEIAKKGKMAVEQELRKWRSENEQKRKGTESNPVATPPLTPRSGESKPDFAFRLHHRFSPNGHKNPSNPKESSIVQESKPDSSNDGKNSKKKKKAILPRIFMFLSKKKVPSKSA >itb07g00440.t1 pep chromosome:ASM357664v1:7:309426:311387:1 gene:itb07g00440 transcript:itb07g00440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGLPSSCVSIPATHARTKSASVKFPSSPVSIKSTTKSFSLNLKADSRFRASANAVYKVKLIDPDGNEQEFDAPADAYILDSAEAAGMDLPYSCRAGACCTCAGKIASGSVDQSDGSFLDDNQMGEGYLLTCVSYPTSDCVIHTHKEGDLF >itb01g29260.t3 pep chromosome:ASM357664v1:1:33541571:33544094:-1 gene:itb01g29260 transcript:itb01g29260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPEFDYLFKLLLIGDSGVGKSSLLLSFTSDAFEDLSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFINLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCIFIECSAKTKVNVEQCFEELVLKVCIVE >itb01g29260.t1 pep chromosome:ASM357664v1:1:33540840:33544164:-1 gene:itb01g29260 transcript:itb01g29260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPEFDYLFKLLLIGDSGVGKSSLLLSFTSDAFEDLSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFINLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCIFIECSAKTKVNVEQCFEELVLKILEIPSLLADGSASAGVKRNIFKQKHPETDASSSNTNTNTSSCC >itb01g29260.t2 pep chromosome:ASM357664v1:1:33540840:33544091:-1 gene:itb01g29260 transcript:itb01g29260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPEFDYLFKLLLIGDSGVGKSSLLLSFTSDAFEDLSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFINLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCIFIECSAKTKVNVEQCFEELVLK >itb01g01590.t2 pep chromosome:ASM357664v1:1:932667:935857:1 gene:itb01g01590 transcript:itb01g01590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLFHSSAAFQKASDSPQISSAQFRSSEVEQTRFRSSSFSKRNPALRMKNSRDPMSLTQEIVDDKKKTAVLTESAPDLFSEMKHRFLSFKKEKYLADLERFKALAKAQAPKFMVIACADSRVCPSSVLGFEPGEAFVIRNVANLVPPYENGPTEVNAALEFAVNSLNVENILVVGHSCCGGIRALMSMEDETNSSFLHNWVAVGKTARSNTKAAASLLGFDLQCKHCEKESINRSLLNLLTYPWIKDKVAGRQLVIHGGYYDFTNCSFEKWSLDHEEDDNSSVDNQYSTKNHELWS >itb01g01590.t1 pep chromosome:ASM357664v1:1:932635:935857:1 gene:itb01g01590 transcript:itb01g01590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLFHSSAAFQKASDSPQISSAQFRSSEVEQTRFRSSSFSKRNPALRMKNSRDPMSLTQEIVDDKKKTAVLTESAPDLFSEMKHRFLSFKKEKYLADLERFKALAKAQAPKFMVIACADSRVCPSSVLGFEPGEAFVIRNVANLVPPYENGPTEVNAALEFAVNSLNVENILVVGHSCCGGIRALMSMEDETNSSSFLHNWVAVGKTARSNTKAAASLLGFDLQCKHCEKESINRSLLNLLTYPWIKDKVAGRQLVIHGGYYDFTNCSFEKWSLDHEEDDNSSVDNQYSTKNHELWS >itb09g07930.t1 pep chromosome:ASM357664v1:9:4747940:4748892:1 gene:itb09g07930 transcript:itb09g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNMKVSYAAFVLYLLASQAVIFDKANAAGGGQGGCGNIPAASLAPCLGAAKDARAKVPPACCGKVGALLKTAPKCLCAVLLSPLAIKAGVNPAVAVTIPKRCIIKNRPAGKKCGRYTIP >itb08g03330.t1 pep chromosome:ASM357664v1:8:2728077:2730148:1 gene:itb08g03330 transcript:itb08g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKAMEGQGGCARSVRMTRKAEIDTSPPFRSVKEAVMMFGDTLLAGELYATKLKQMNDEFGSGKNGGVPMAELGNVTAELEETKQTLEKAQEESLIMATCLSSLQDELERTKKELRQLKAEQKKSDQSAPPPPAEEENLKSIEVSSEFDLKSADPTKPENNNNNIEFQKKRYVTFANPPSVVARVMVPESDDARLERLPSMKKKKKRSSIPLIGGLLSRIKGAATARA >itb07g22810.t1 pep chromosome:ASM357664v1:7:27325968:27331397:-1 gene:itb07g22810 transcript:itb07g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARTASNSTDEVRKDDYREMDTVKLQFRPPRSAFRSPARNSQKSKLIFYVSVVLLLAFFCYIFVFSKNIRARYAVKTNYGIVIDGGSTGTRIHVFEYEVRNGMPLFDFGEKGLHSMKVNPGLSAYAEEPEKAASAIAELVEFAKKKVPRKFWGKTKIRLMATAGMRLLESKVQKRILNVCRKVLRGSGFIFRDDWASVISGSDEGAYAWVVANYALGTLGGNPKQTTGIIELGGASAQVTFVSSEPFPPEYSTKIKFGNHTYSLYSHSLLHFGQNVAFDSLRESLGARGNDLAIDSLQSAKPMDPCTPRGYARDTEPWKFSPSYSAEKSRYLSTLNPIGNFTECRSASLSLLQKGKEKCPHKSCDIGSAFIPKLQGKFLATENFFHTSKFFGLSPKSFLSDLMVAGKRFCEEDWSKLRTEYHSLAEEDMLHYCFSSAYIVALLHDSLGIALDDERIGYANQVKNVPLDWALGAFILQSNSDLEEGTSNWFAFMFGDDLSISILVLGISILLIFVTLYVRKWRNPQLKTVYDLEKGKYIVKRVARYS >itb07g22810.t2 pep chromosome:ASM357664v1:7:27325968:27331388:-1 gene:itb07g22810 transcript:itb07g22810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARTASNSTDEVRKDDYREMDTVKLQFRPPRSAFRSPARNSQKSKLIFYVSVVLLLAFFCYIFVFSKNIRARYAVKTNYGIVIDGGSTGTRIHVFEYEVRNGMPLFDFGEKGLHSMKVNPGLSAYAEEPEKAASAIAELVEFAKKKVPRKFWGKTKIRLMATAGMRLLESKVQKRILNVCRKVLRGSGFIFRDDWASVISGSDEGAYAWVVANYALGTLGGNPKQTTGIIELGGASAQVTFVSSEPFPPEYSTKIKFGNHTYSLYSHSLLHFGQNVAFDSLRESLGARGNDLAIDSLQSAKPMDPCTPRGYARDTEPWKFSPSYSAEKSRYLSTLNPIGNFTECRSASLSLLQKGKEKCPHKSCDIGSAFIPKLQGKFLATENFFHTSKFFGLSPKSFLSDLMVAGKRFCEEDWSKLRTEYHSLAEEDMLHYCFSSAYIVALLHDSLGIALDDERIGYANQVKNVPLDWALGAFILQSNSDLEEGTSNWFAFMFGCVR >itb07g22810.t3 pep chromosome:ASM357664v1:7:27326172:27331394:-1 gene:itb07g22810 transcript:itb07g22810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARTASNSTDEVRKDDYREMDTVKLQFRPPRSAFRSPARNSQKSKLIFYVSVVLLLAFFCYIFVFSKNIRARYAVKTNYGIVIDGGSTGTRIHVFEYEVRNGMPLFDFGEKGLHSMKVNPGLSAYAEEPEKAASAIAELVEFAKKKVPRKFWGKTKIRLMATAGMRLLESKVQKRILNVCRKVLRGSGFIFRDDWASVISGSDEGAYAWVVANYALGTLGGNPKQTTGIIELGGASAQVTFVSSEPFPPEYSTKIKFGNHTYSLYSHSLLHFGQNVAFDSLRESLGARGNDLAIDSLQSAKPMDPCTPRGYARDTEPWKFSPSYSAEKSRYLSTLNPIGNFTECRSASLSLLQKGKEKCPHKSCDIGSAFIPKLQGKFLATENFFHTSKFFGLSPKSFLSDLMVAGKRFCEEDWSKLRTEYHSLAEEDMLHYCFSSAYIVALLHDSLGIALDDERIGYANQVKNVPLDWALGAFILQSNSDLEEGTSNWFAFMKWRNPQLKTVYDLEKGKYIVKRVARYS >itb07g22810.t5 pep chromosome:ASM357664v1:7:27326132:27331397:-1 gene:itb07g22810 transcript:itb07g22810.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARTASNSTDEVRKDDYREMDTVKLQFRPPRSAFRSPARNSQKSKLIFYVSVVLLLAFFCYIFVFSKNIRARYAVKTNYGIVIDGGSTGTRIHVFEYEVRNGMPLFDFGEKGLHSMKVNPGLSAYAEEPEKAASAIAELVEFAKKKVPRKFWGKTKIRLMATAGMRLLESKVQKRILNVCRKVLRGSGFIFRDDWASVISGSDEGAYAWVVANYALGTLGGNPKQTTGIIELGGASAQVTFVSSEPFPPEYSTKIKFGNHTYSLYSHSLLHFGQNVAFDSLRESLGARGNDLAIDSLQSAKPMDPCTPRGYARDTEPWKFSPSYSAEKSRYLSTLNPIGNFTECRSASLSLLQKGKEKCPHKSCDIGSAFIPKLQGKFLATENFFHTSKFFGLSPKSFLSDLMVAGKRFCEEDWSKLRTEYHSLAEEDMLHYCFSSAYIVALLHDSLGIALDDERIGYANQVKNVPLDWALGAFILQSNSDLEEGTSNWKWRNPQLKTVYDLEKGKYIVKRVARYS >itb07g22810.t4 pep chromosome:ASM357664v1:7:27326164:27331394:-1 gene:itb07g22810 transcript:itb07g22810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARTASNSTDEVRKDDYREMDTVKLQFRPPRSAFRSPARNSQKSKLIFYVSVVLLLAFFCYIFVFSKNIRARYAVKTNYGIVIDGGSTGTRIHVFEYEVRNGMPLFDFGEKGLHSMKVNPGLSAYAEEPEKAASAIAELVEFAKKKVPRKFWGKTKIRLMATAGMRLLESKVQKRILNVCRKVLRGSGFIFRDDWASVISGSDEGAYAWVVANYALGTLGGNPKQTTGIIELGGASAQVTFVSSEPFPPEYSTKIKFGNHTYSLYSHSLLHFGQNVAFDSLRESLGARGNDLAIDSLQSAKPMDPCTPRGYARDTEPWKFSPSYSAEKSRYLSTLNPIGNFTECRSASLSLLQKGKEKCPHKSCDIGSAFIPKLQGKFLATENFFHTSKFFGLSPKSFLSDLMVAGKRFCEEDWSKLRTEYHSLAEEDMLHYCFSSAYIVALLHDSLGIALDDERIGYANQVKNVPLDWALGAFILQSNSDLEEGTSNWFAFMFGSGEILS >itb07g23670.t1 pep chromosome:ASM357664v1:7:28036129:28037883:1 gene:itb07g23670 transcript:itb07g23670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MASPISTVVSPLLLPSSSSSSVNRVSASSPLLLSSSSSSSVNRISASSSFKCLLRRKPINVSSSRSRNRKPYRLVCRAAEYKFPDPIPEFAEAETDKFRNHLLERLPRKKDIYGDSIEEIVCICSEILNNFLHTEYGGPGTLLVTPFIDMADTIADRELPGAPQAARTAVKWAQKHVDNDWKAWNSSKSC >itb03g29440.t1 pep chromosome:ASM357664v1:3:30317311:30320375:-1 gene:itb03g29440 transcript:itb03g29440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTGKRASGSAIFTLHSAMAVLPSASYTMAASGTSFLYSPPTLRALSFSAPRRRFQFRVFPEVESLGKDVRDGRKILKHYYSRNSRTYLCCDQGETSVVKSKDFAVPRRKAIALIFSSFMLSHSHDTSLAQSIGFREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPYVLDENLSVEISSPSSSNYQSVEDLGPPQEAGKKVLRQYLTEFMSTRLGVRRESSILSTSSRVADDGRMYYEVEVNIKSYANNNELAVMPEDRVARLEWDRRYLSVLGVENNRLYELRLQTPENGFSEEESDIRQVMNSFRVNKVGV >itb15g05390.t2 pep chromosome:ASM357664v1:15:3460453:3464296:-1 gene:itb15g05390 transcript:itb15g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLRRRLHHRDLDGKRNERYEVNQSMSSDDDLGEPLLGPHNRYDHSEGCTLAEILDEGRAQERLHWTLLFSHLIAQWAQWLANIVLGSGSFIGRFLPFLPLLTQSGSTTKLLPPPLNPLQEARLKHLKLRVAVPFDGSSVQHQDALKQLWLLAYPNREFPSLKSELWKDMGWQGCDPSTDFRGGGYVSLENLIYFAKEYPKSFQNLLNKQNGERSEWEYPFAVAGINISFMLVQMLDLQSGKPSTLAAIHFLDLLSEDDRAFDDLFCIAFEMLDAQWLAKRASYMEFNDVLKSTRTQLERELALEDISSVKDLPAYNLLAR >itb15g05390.t1 pep chromosome:ASM357664v1:15:3460453:3464296:-1 gene:itb15g05390 transcript:itb15g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLRRRLHHRDLDGKRNERYEVNQSMSSDDDLGEPLLGPHNRYDHSEGCTLAEILDEGRAQERLHWTLLFSHLIAQWAQWLANIVLGSGSFIGRFLPFLPLLTQSGSTTKLLPPPLNPLQEARLKHLKLRVAVPFDGSSVQHQDALKQLWLLAYPNREFPSLKSELWKDMGWQGCDPSTDFRGGGYVSLENLIYFAKEYPKSFQNLLNKQNGERSEWEYPFAVAGINISFMLVQMLDLQSGKPSTLAAIHFLDLLSEDDRAFDDLFCIAFEMLDAQWLAKRASYMEFNDVLKSTRTQLERELALEDISSVKDLPAYNLLAR >itb10g04060.t1 pep chromosome:ASM357664v1:10:3828535:3830529:1 gene:itb10g04060 transcript:itb10g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIYCAFTFVLFLLAHLGESAEVKVAAKAGVDISPELLKAWTEACAATTPSTIVIPKGTFEMKQALLKGPCKAPVELQIQATLKAPPNPNDMDGKREWLTVEYVDHFTLSGGGTLDGQGNVQVYAAKDKGFKSDKLPNNLSFNFMKNSVIRDITTLNSKLFHVNVFGGNNLTFEKFTVKAPADSPNTDGIHIAKIKDVTVKDSVIGTGDDCISIGDGLENLHITGVTCGPGHGISVGSLGKTPGEEPVKGVFVKDSKFVGTDNGVRIKTWPNSHPGVVTDIHYENIDMKDVKNPIVIDQEYCPNNECSKQKPSLVKISKVSYRNIKGTSATEEAVILACSSGVPCEGVEIGEINLTFKGGAAKSLCSNVKPTLTGKQVPAVTCK >itb10g12100.t1 pep chromosome:ASM357664v1:10:18046401:18047599:-1 gene:itb10g12100 transcript:itb10g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSLPLDLTVVSADAIKNVNTFSRMDVFVVVSVIIGYQNNRNITPVHKNAGTSPRWNHHMRFVVDEATVSNPGAGFLHFRLISERTFGNKDIGAVRVPVDELFANWSKDSDGGGAGRVMEYQVITHRSEKKKGTLKFSYKFGEKFTKGMGTFAGSSMPYAPLHSGMGYDAPPLGVANPGAVGGYPAAGYGGYPQMGPAVAYPPQPGYGYPPPPQPHGYGYPTPHGGGYGGYPPMQQQQQAPSKSKFGMGAGLGLGAGILGGLVVGDMISNAGEMAAYDEGYSEGVGDMGGYDF >itb10g09250.t1 pep chromosome:ASM357664v1:10:12322873:12325159:-1 gene:itb10g09250 transcript:itb10g09250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFVSGKSGKRWCKNGGYQTCRGETIHGLPHLGGPAFALLGMIGGAISLEGLGLQVVEDVQEADFILAHGTEAIGLRSGVALPMKLEDLEKILKSSQEAVSSDELLEDSEAFEVCPESVPGEMGSSAEKVVAFIMVGGPTKARGKSGVGFEE >itb10g09250.t2 pep chromosome:ASM357664v1:10:12322800:12325159:-1 gene:itb10g09250 transcript:itb10g09250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFVSGKSGKRWCKNGGYQTCRGETIHGLPHLGGPAFALLGMIGGAISLEGLGLQVVEDVQEADFILAHGTEAIGLRSGVALPMKLEDLEKILKSSQEAVSSDELLEDSEAFEVCPESVPGEMGSSAEKVVAFIMVGGPTKEMWQQEGRVELGLRSELRGERDRAESASVGLWHGICEGS >itb09g17350.t1 pep chromosome:ASM357664v1:9:12572827:12577181:-1 gene:itb09g17350 transcript:itb09g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCNGPVLCMKYVKRHDHDGSHINGLLINFIHTFWPSLLNVPSFLVEFITPIVKVICWVLGEYGTADGKYYAAYITGKVIIPPQIVERDQRKACMKWIEVNLKAKGAKHGFPEWNATFSVICCVASNIPECATTDHIASDDRFSPLAAMHIHNSTTFSKLAQPNYGLCDDHEFINLLIGGEWRTSIYAALGWQR >itb03g25560.t1 pep chromosome:ASM357664v1:3:24703200:24704228:-1 gene:itb03g25560 transcript:itb03g25560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVHQITMFLLLLLISDALVSSLAAANVPASRSPDILVAIEEMQKANYFTFVMLINMAPLDLFQANITFLMPNDRTLSRAIIPESDAVNFLLRHTIPSALLFEHLQRFPTGSMIPTSKPDLMLRVTNYGRRQFFLNTSRLISPNICTRGSSIRCHGIDGVLQPTIMASPPPHAAAASSPPSAPPVAAALPPSPSADPAPTPPSTGGGHDSNPLLIPPPAGAGGSNNSNSGHKWGSGSHWMCIVHLMLSLYVTLLIRA >itb06g03090.t1 pep chromosome:ASM357664v1:6:5253078:5256251:-1 gene:itb06g03090 transcript:itb06g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLLASCFIFFAKMALSYSSLSDNAYILASHNDVRRDVGVGPLVWNSSLENYANNLLITQCYPGGQLYGMNYANVQSNRYGMNLGRLSGPGGPEGGSSTITEMAILGLWIEEKDAYDIDSNSCVAEQECRNYTQMVWHSSDRLGCAAIHCQSTGQVNLLCLYDPPGNAAGQYPYAFQRHFAFNSSSWNNNLSIEQRNWVFTDGSTYRPILLTTNGPDSPPIGFGFFSDGSKVDTFYLVICSLKISSAGLNANKNLSSINLLAPPLIFWSANRDNPVRENATLDFTSKGDLLLKDSDGSLVWSTNTSGFSVVKMSLTAQGNLVLENSTGHTVWQSFDHPTDTGHTVWQSFDHPTDTLLPNQVLSVGKTLVARNSSSSLASGQFFLTATPSGIEAFIGLSSPQQYRAYQLSTFLKLQNLSNDIAIIERLLNGLRFNVSADTKLGLPYVVLEPNGHLTMYQPSLSHKFNISFGIQGDFLEDRRLGECSYPTSCGDLGICSNGQCSCPGGKAGYFVHSNYSLPTKGCKQVKPLSCEDVKQHTFLELSDVTYFNFVPQQYNVNKESCKEACLSSCSCKAAIFHYWNNMSFGNCSLESEIYSFRTLGNEGSGSSYALIRVQRLKRVEDEKKSSFVRPLVIALSLSFLVVIIFSGACYRYKLHKKSNKDAEDIDNHVIGAFRRFRFSELKSATSDFQTRLGRGGFGSVFEGVLENGTKIAVKRLDSMSQGLKEFLAEVNTIGNIHHFNLVKLIGYCREKSMRLLVYEHMCNGSLDKWIFSQEQITTNSLTWEMRKKIIVGLAKGLEYLHEHCNPKIIHFDIKPQNILLDEDFNAKIADFGLAKLIARDQSQVLTVLKGTPGYVAPELFNGTNISEKIDVYSFGIVMIETIFRRRNCDHRQSQPLIDIVKERTEQDQLFDFIDQHFQDEHSYREDAEKMVKIGLCCLQAHNRRPPMSVIVKVLEGALGLEFITTNGLLNIAEVEAPLTVSSRAVINSYTPTASVLSGPR >itb14g02230.t1 pep chromosome:ASM357664v1:14:1845839:1850589:-1 gene:itb14g02230 transcript:itb14g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:Projected from Arabidopsis thaliana (AT5G14520) UniProtKB/Swiss-Prot;Acc:Q9LYK7] MPKHYRPAGKKKEGNASRYITRSKALKELQVSISLFRKLCILKGIFPRDPKKKVKGNHQTYYHTKDIKFLKYEPLLEKFRDIRAYDRKVKKALTKKNKDLADRLLNSKPGYKLDLLIRERYPTFIDALRDLDDCLSMVHLFAALPAVERENIPVSRIHNCRRLSHEWQAYVCRTHKLRKTFISVKGIYYQAEIEGQKITWVTPHALQQVLPADVDYKIMLTFLEFYETLLAFVNFKLYHSINVKYPPILDPRLEALAADLYALSRFFELSTSTSIMESKAIDLPESGQTEDQQDKRAHDESDLRLAQLQHQLPSNEPGALMHLVEEAASIDEDDAETRECKTLLKGMRFFLSREVPRESLLFLIPAFGGVVSWEGEGAPFKESDQSITHQIVDRPTQGHRFLSREYVQPQWVFDCINARIILPTENYFVGRVPPPHLSPFVDNEAEGYVPEYAETIKRLQAAARKEVLPMPGVGIEDLDDPQNLLAEGISDRAEAIAAAQKKQKMSALEKQYHEELKREFQGAEYSTISNEREREKDAPEDNNANTESVPNEQQIAKDADNMSKMVMSRKRRNILEAAEIGRKRKKDKVARLEERRRTLKNSKKSETS >itb05g00720.t1 pep chromosome:ASM357664v1:5:628136:630103:-1 gene:itb05g00720 transcript:itb05g00720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRIRRVKFPDFIASFFSRLSWPSTGSTSSPAPRNALGNVPSYASRQVEGNYAAPLSSAVEPPEESITMLVSMGFDRNSARQALIRARNDVNAATNILLESQ >itb09g20990.t1 pep chromosome:ASM357664v1:9:18173628:18177067:-1 gene:itb09g20990 transcript:itb09g20990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNTIPFLSSQKLKTLWLLAVVVGVIGVAEGRNGRNWYESQYNGREYSAISCRAHSASITDFGGVGDGKTLNTRAFREAVNHLSQYAAHGGAELFVPAGKWLTGSFNLTSHFTLFLHKDAVLLASQDINTWPVVQPLPSYGHGRDTSGGRYISLIFGSNLVDVVITGNNGTIDGQGALWWQQFHSKKLKYTRPYLIEIMHSDQIQISNLTLVNSPTWNVHPVYSSNIIIQGLTILAPVKSPNTDGINPDSCTNVRLEDNYIVSGDDCVAVKSGWDEYGIKYGMPTRQLIIRRLTCISPYSAAIALGSEMSGGIEDVRASDITAVNTESGVRIKTAVGRGGYVKGIYVKGMRAHAMKWVFRISGDYGSHPDNNFDPKAIPAVTGINFRDVVADNVMMAGRMEGISGHPFTGICMSNVTIGMAKNGKKSAWDCADIQGVVSGVVPPPCELLHSQGPVKTGMCDLPAGNLEIDNMEMDFQKCYNGLHY >itb05g25870.t2 pep chromosome:ASM357664v1:5:30038620:30043191:1 gene:itb05g25870 transcript:itb05g25870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRRRSFTSSEEEEEEEEEEEETLALPEKFANIALNSGQSSSFELPLRPNAPDCAFYLRNGACKFGLNCKFNHPMRMPGQVVEDNYRDGSLENSPQIVCKGKKECPFYMSNGTCGYGAHCVFHHPNPTPMGGLNPSSTLNDESVGQSHCSFRNLDAAESLPFSGTSQLDLTSWPPDALHMSSDKTLSFPSFSSSHISSHSERKNYLGPSYLYQRYGLSQSAQVSNASVKSMESFEHTKAMQSEEFPERPGQPECEYFMKTGECKFKSLCRFHHPKGWAWKPDCLHNDKGLPLRPVSNASVKSIESFDHTKAMQSEEFPERPGQPECKYFMKAGDCKFKSLCRFHHPKGWARKPDCLLNDKGLPLRPVSNASVKSIESFEHTKAIQSEEFPERPGQPECVYYMKTGRCKFKSLCRFHHPAQKPDCLLNDKGLPLRPDEPICRNYQCFGICKFGSTCLFNHPVDYGKWISQRWPKPDHATL >itb05g25870.t1 pep chromosome:ASM357664v1:5:30038620:30042671:1 gene:itb05g25870 transcript:itb05g25870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRRRSFTSSEEEEEEEEEEEETLALPEKFANIALNSGQSSSFELPLRPNAPDCAFYLRNGACKFGLNCKFNHPMRMPGQVVEDNYRDGSLENSPQIVCKFYLEAGECKYGESCRYIHSEGESKLQFNFLGLPIRLGKKECPFYMSNGTCGYGAHCVFHHPNPTPMGGLNPSSTLNDESVGQSHCSFRNLDAAESLPFSGTSQLDLTSWPPDALHMSSDKTLSFPSFSSSHISSHSERKNYLGPSYLYQRYGLSQSAQVSNASVKSMESFEHTKAMQSEEFPERPGQPECEYFMKTGECKFKSLCRFHHPKGWAWKPDCLHNDKGLPLRPVSNASVKSIESFDHTKAMQSEEFPERPGQPECKYFMKAGDCKFKSLCRFHHPKGWARKPDCLLNDKGLPLRPVSNASVKSIESFEHTKAIQSEEFPERPGQPECVYYMKTGRCKFKSLCRFHHPAQKPDCLLNDKGLPLRPDEPICRNYQCFGICKFGSTCLFNHPVDYGKWISQRWPKPDHATL >itb03g22100.t1 pep chromosome:ASM357664v1:3:20064885:20066243:1 gene:itb03g22100 transcript:itb03g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGGGTKRNTPTKKRKKTKKKKKITLSSSNGVKKKSQPLLLTCHHNNQIAKLIPFLISTANSVYSFLLRHDLHLLPKQSLSLESLLASTSISVSNLFSLLSLPPPLTVPHAANPPDSDCWFQRFLASATSDSDSRWIHFFNLGKPSFTLLLRLLTPSLSSLFPLPPNYALALALLRLAHGASYSAISRRFGLDSATACRAFYAVCKAINEKLGHLFELKTDINRVIVGFGWISLPNCCGVLGLEKFELDGDLMGENGYLIVQALVDSEGRFLDVSAGWPCTMSPVNILRQSKLFSGIEESKGYLNGPTFELNDGNSIPQYILGDSCLPLLPWLLTPYIKPVEDVDLSPTQAAFNSVHARAMELVGTAFERVRCRWKLVANKWKGRCDEAFPFVIVACCLLHNFLIKCSENLPDENVEYSRNQEFPVCDEALDDSGKRVRDVIASHLCLVS >itb12g12210.t1 pep chromosome:ASM357664v1:12:10748416:10750528:1 gene:itb12g12210 transcript:itb12g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEANGNVSSMGEKLENLNLGNRVTMDEAAGEMLQLAKKPADSIVPPTPDLKQETGEYAVDFISPISCLPKAICFSSQDGIISSNGDDSPRTPKESVFDPFAPGPEELLLAPLCLKKSAGVARMLNFEECGRVLNFEECGRVLNFDACSENDSTVNAMTNDEQLLGKLSRMLLQIVVSKHTEEFLAGIPNQVSDGGRTPTSPPLLTGIAETCPAAPKKTERKFISIDKDLCKKLDF >itb12g12210.t2 pep chromosome:ASM357664v1:12:10749162:10750528:1 gene:itb12g12210 transcript:itb12g12210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEANGNVSSMGEKLENLNLGNRVTMDEAAGEMLQLAKKPADSIVPPTPDLKQETGEYAVDFISPISCLPKAICFSSQDGIISSNGDDSPRTPKESVFDPFAPGPEELLLAPLCLKKSAGVARMLNFEECGRVLNFEECGRVLNFDACSENDSTVNAMTNDEQLLGKLSRMLLQIVVSKHTEEFLAGIPNQVSDGGRTPTSPPLLTGIAETCPAAPKKTERKFISIDKDLCKKLDF >itb12g04760.t1 pep chromosome:ASM357664v1:12:3156629:3161705:1 gene:itb12g04760 transcript:itb12g04760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRVYADINVVRPREYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLHKYRLELDPQLEAMVGRHSRKPWSKFINNDNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRMRVQ >itb13g22800.t1 pep chromosome:ASM357664v1:13:29019233:29021852:-1 gene:itb13g22800 transcript:itb13g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSREPSCNNYLSRMLHVLRRRFRRLFSRLRLVMWRRPGPRVIIRRFGKLNPRRTRRSSAHSKPAVVPKSSSLSTAFARPIRLATFNAALFTLAPAVPKTEKSSIFAHDTQDCFNIDTLNSHPKSILKTSPLHPILNDTDDEEFDFPKLTKPKPRVSINLPENEISLAHNKIMNLNTASLGPVRSPICFPAMASWLNLDDGGLSVSRTILDVLKEVDADVLALQDVKAEEEKDMKPLSDLARALGMNYVFAESWAPEYGNAILSKWPIKKWRVQKICDDKDFRNVLKATIDVPKAGELNFYSTQLDHLDENWRMKQINAMIQSNDHPHILAGGLNSLDGSDYSAERWTDVVKYYEEIGKPTPKLEVMNFLKGKEYADAKHFAGECEPAVIIAKGQNVQGTCKYGTRVDYILGSQGLPYMFVPGSYSVVSSKGTSDHHIVKVEIMKVPNSGEKNNRKHKKLQQRVEKIATSCSPKGYWELSI >itb03g10870.t1 pep chromosome:ASM357664v1:3:8747138:8748802:-1 gene:itb03g10870 transcript:itb03g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHFLACFSLLFIQTALGELVCEQLPVGICSFSIASSGKRCLLETYASGDGTMKLQCKTSEVVVGTNMREHVETDECIAACGVDRKSVGISSDSLLDHRFTAKLCLPQCYQNCPNIVDLYYNLASEEGVVLADLCKAVRSSAGRATSRFLSSGAAFGPASAAAAPTSEAAFGPIISSVDCAPPPM >itb01g32480.t1 pep chromosome:ASM357664v1:1:35971890:35975744:1 gene:itb01g32480 transcript:itb01g32480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEPMYVDQENGEIQKNFDDDGREKRTGTLLTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLVDCYRSPGPIDGKRNYTYMDVVRSHLGGVKVQLCGLAQYANLVGVTVGYTITASISMVAVKKSNCYHKEGHDSPCSISNYPFMIVFAIIQIILSQIPNFHKLSWLSILAAVMSFAYSTIGLGLSIAKVAGHGPHHVRTSLTGVEVGVDVSGTEKIWRTFQAIGDIAFAYAYSTVLIEIQDTLRSHPPESKVMKKASGVGVSTTTLFYVMCGCLGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAIHLVGAYQVFCQPIFGFVELRCSQKWPESKFITAEYSIRVPCLGSYPLNFFRLTWRTAYVIVTAVLAMIFPFFNDFLGLIGAASFYPLTVYFPIEMHIAQAKIPKYSFRWTWLKILSWACLVISLVAAAGSIQGLASDVKTYKPFKTQ >itb03g15520.t3 pep chromosome:ASM357664v1:3:14849004:14851408:-1 gene:itb03g15520 transcript:itb03g15520.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNKRPKTGLRRIDAALDAMRPLGFPDHLVRKSVKELLKVYGDEGWAFIEDGAYRVLIEVMLSEQKESDHDEHDDPGEKLAHECSGLQGNTESSEAGQCGEMACGDHDGDESIIELEVAHNQMENQGKGLDQTISTAISSVATVFSASFVCVCVCVTM >itb03g15520.t2 pep chromosome:ASM357664v1:3:14849004:14851349:-1 gene:itb03g15520 transcript:itb03g15520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEQKESDHDEHDDPGEKLAHECSGLQGNTESSEAGQCGEMACGDHDGDESIIELEVAHNQMENQGKGLDQTISTAISSVATDNTQQQHQRRVLFGFGFGFGFAPAFSHPRTDSVSVGFAPTSALPQTDSASSKRRRKPCYGWISDDDEDEEDNIG >itb03g15520.t1 pep chromosome:ASM357664v1:3:14849004:14851408:-1 gene:itb03g15520 transcript:itb03g15520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNKRPKTGLRRIDAALDAMRPLGFPDHLVRKSVKELLKVYGDEGWAFIEDGAYRVLIEVMLSEQKESDHDEHDDPGEKLAHECSGLQGNTESSEAGQCGEMACGDHDGDESIIELEVAHNQMENQGKGLDQTISTAISSVATDNTQQQHQRRVLFGFGFGFGFAPAFSHPRTDSVSVGFAPTSALPQTDSASSKRRRKPCYGWISDDDEDEEDNIG >itb03g00090.t1 pep chromosome:ASM357664v1:3:54354:57007:1 gene:itb03g00090 transcript:itb03g00090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERAVRLEFPFWSPARRRYGPDDPFFAAGNIERQLLAKQVALDLTEAEKQVVRNMDYGESSDVFCPIIGCGAWLRCLDDFEDHYNARHSASCSVCSRVYPTSRLLSIHISEAHDSFFKAKVARGFAMYECLVEGCGAKLNSYKIRQQHLVDKHKFPASYEFFRKAHPSKKRRQKNQQRQASFKTEQDSNAMQVEDEAMDKLVSAVSKLSTSDSPSTISFGRNRNRGLAFMPRAVQCERTPRPDSSQCSVGSALNRRK >itb06g20380.t2 pep chromosome:ASM357664v1:6:23373885:23377199:1 gene:itb06g20380 transcript:itb06g20380.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGSAAAKRMGFAVPEKVQLHLAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNILALLLLLPFAYFLEKKDRPPLNWKFALQFFLLAIVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLLAALLRIEVVRLNRKDGISKVMGTLLCVCGASVITLYKGPTIYSPTPSLQIVPPAAMLNASARLGDEEGSGKSWTLGCIFLIGHCLSWASWLVLQAPVLKKYPARLSVTSYQCFFGVIQFLIIAAFCERDPQAWLVHSGAELFSVFYAVSMHII >itb06g20380.t1 pep chromosome:ASM357664v1:6:23373885:23377199:1 gene:itb06g20380 transcript:itb06g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGSAAAKRMGFAVPEKVQLHLAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNILALLLLLPFAYFLEKKDRPPLNWKFALQFFLLAIVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLLAALLRIEVVRLNRKDGISKVMGTLLCVCGASVITLYKGPTIYSPTPSLQIVPPAAMLNASARLGDEEGSGKSWTLGCIFLIGHCLSWASWLVLQAPVLKKYPARLSVTSYQCFFGVIQFLIIAAFCERDPQAWLVHSGAELFSVFYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVALVASVVLGEEFYLGGIIGAVLIIIGLYFVLWGKNEEAKFARAAAAIQAAGDHSRAPASHIIKSSLSQPLLQSTENA >itb03g16140.t1 pep chromosome:ASM357664v1:3:15213666:15216488:-1 gene:itb03g16140 transcript:itb03g16140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSCLCSAKLGNPLHKTASATPKPAVSQSLRRYPFRISANSLSPATLNPQSQAPRKDPLLSFGESLSTAGFIALLSASLFFASDPALAFKGGGPYGAGVTRGQDLTGKDFSGKSLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSEADLRGADFSLANVVKANLSNANLEGATATGNTSFKGSIITGADFTDVPLRDDQREYLCKIADGVNPMTGNATRDTLLCN >itb03g02680.t1 pep chromosome:ASM357664v1:3:1524039:1525893:1 gene:itb03g02680 transcript:itb03g02680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRFCKPRSHNTNAPATISSNFDISTELTSYLMACQKDPTFRAFDSTLQSRATAAINSVAGNLDDHRALSIQSLRDVTLCFLDMNHEVVNFILESKRDIWKDPDLFDLVKEFLDNSRHTMSFCTALEGCLARAQSTQSILKFALQKFEEENRGSDNPTREPYSETLEQLRMFKEAGDPFTEKFFSLFRVMYTKQESMLSKLREKKRNLDKKLRRRKTWRRISSAIFATVFVSAIVCSIVAAAVTAPPVVTALAAAATVPLGTVGKWINNLWKKYENELKKERDILNTMGAWGTFMIKDLDDIQVLVDKFKILIEGLMNTADFAMLQSEAVEIAMEDIKKNVDSFMKTVEILNVHADNCRQHIRMARTVILRKINNQASSSSSGNGMLFE >itb06g21910.t1 pep chromosome:ASM357664v1:6:24407229:24410567:1 gene:itb06g21910 transcript:itb06g21910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRQWGVRNPSPYFFPAEESADLALQSAISRLSISSEFDGDRHRQTTPVIGSSRGVSDVAAGSRLDPPIAYHHQLLGGNVEEGLLTMRRVAQNGYGDFLLGFGGAHQDSNVGQARTLAHLGGSSNHGSAASALHGGGGGEDSPAFNNGENFFHDHYPFLLCKKPFYRNPTPNFPIQSISPGFPDVHHRNHQCSLLNLDDLRGKIISYAKDQTGCRILQHSLNFWTTEEIEVVLSEVIDSLVDLMNNQSGSYLIQKLFSLCSDEQKLRIILALTMKPFDLVYICLNSFGARAMQKMLEVLSSLEQRFMVMTALSLGAVSLACDTNGHLVVLYCLNNFPFEFNERFINGIVDKCFRVATNIRGCRVLQLCVENARGEVRERLHLLDQNIPGVEETLLKCLEGKFATLSCNKYSSNVVEKFFVKSGENSSKVIMELITSTNASKLLVDPFANYVIQKALKVATGELFTALVKLILVNASSMQSNKHGRKILAWFDNRK >itb04g00220.t1 pep chromosome:ASM357664v1:4:152133:155388:-1 gene:itb04g00220 transcript:itb04g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPQGPFLQRWNKRFFIACILAATVDLLFFYIPVVDDQKKCIGLDRTLEITASTLRSVFDFFYIFHIILQFCTGFFAPSPRVFSRGELIVDPSAVAKRYFFSYFFIDILAVLPLPQVVLFMIIPRADRPFFLVAKQILKVVIFVQSVPRLLRIYPLYKEVTRTSGFFTKTAWAGAAFNLFLYMIASYVAGSIWYITAIERQDKCWRKACEKLHGSGCDIDFLYCTKLGRRRGGGGDFLLSFLNTYCPLLEPENLKPQHFDFGIFLHALKSNMVEKRYFWSKLLYCFWWGLRNVSSLGQNLETSNFEGEILFAILISIIGLILFSLLIGNMQKYLQSLSTLTVKVEEMRVKRTAVDQWMSRLRLPCDLKERIRRYEEYRWQETRGVDEESIISNLPKDLRRDINRHRLGWNLLRRVPIFETMDEQLLEAMCDRLKTVLYTKKTCIVREGDTVDEMIFVKTGELLTMSTNNSDVIKLRAGDFCGEELLIWALDRNPSSSIPISTITVQASTNVEAFALTADFLKFFVSQWRRRHSKKLQHKFRLYSRQWRTWAACYIQAAWCRHCRNKLEKSRREEEDRLQDALILWRRVEGETTPSQGATIYASRFAANVLRTVRRNHPPKLSPRLPPLRLQKPAEPDFSAPSIK >itb09g22790.t2 pep chromosome:ASM357664v1:9:21594581:21600976:1 gene:itb09g22790 transcript:itb09g22790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSTGFIESGDCGMPEIHDCVVRLRVNPRRRKDKVLIGCGAGFGGDRPFAALKLLQKVKELDYLVLECLAERTLAERYQSIKSGGKGYDPRISDWMQLLLPLAMERGVCIITNMGAKDPHGARDEVLRIAGRLGISITVGLAYQHKVTRSDLKDDLRDVDGDVSVYLGAAPIVECLERYRPNLVITSRVADAALFLAPMLVLFCRYVHLVVDALVQILISVYELGWNWDETMLLAQGSLASHLLECGCQLTGGYYMHPGDKHRNMSFQDLLDLSLPYVEVKYDGSVCVAKAESSGGVLNFSTCAEQLLYEVGDPGRYITPDVIVDFQDVVFQSLSKDRVLCTGAKPHVEAVPDKLLLLASKEKGWKGWGEISYGGYECVKRAEAAEFLVRSWMEEVYPGVNKHIISYIIGLDSLKAISMDNNLARNCEDIRLRMDGLFEEEEIAIQFTKEFTALYTNGPAGGGGVSTGCKKEIILEKGLVRREDVEWCITAKGMTSNAETASASSLQTVMLTSDNHESISQPVSREDTKSDFRDPLAPKCNCSPAPSGTKLPLYDIAHSRAGDKGNDLNFSIIPHFPPDIERLKAVITPDWVKEAVSSLLNPTSFLTSNDIERRDKWMSENVKVEIYQVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILCQHVILPP >itb09g22790.t1 pep chromosome:ASM357664v1:9:21594483:21601130:1 gene:itb09g22790 transcript:itb09g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSTGFIESGDCGMPEIHDCVVRLRVNPRRRKDKVLIGCGAGFGGDRPFAALKLLQKVKELDYLVLECLAERTLAERYQSIKSGGKGYDPRISDWMQLLLPLAMERGVCIITNMGAKDPHGARDEVLRIAGRLGISITVGLAYQHKVTRSDLKDDLRDVDGDVSVYLGAAPIVECLERYRPNLVITSRVADAALFLAPMVYELGWNWDETMLLAQGSLASHLLECGCQLTGGYYMHPGDKHRNMSFQDLLDLSLPYVEVKYDGSVCVAKAESSGGVLNFSTCAEQLLYEVGDPGRYITPDVIVDFQDVVFQSLSKDRVLCTGAKPHVEAVPDKLLLLASKEKGWKGWGEISYGGYECVKRAEAAEFLVRSWMEEVYPGVNKHIISYIIGLDSLKAISMDNNLARNCEDIRLRMDGLFEEEEIAIQFTKEFTALYTNGPAGGGGVSTGCKKEIILEKGLVRREDVEWCITAKGMTSNAETASASSLQTVMLTSDNHESISQPVSREDTKSDFRDPLAPKCNCSPAPSGTKLPLYDIAHSRAGDKGNDLNFSIIPHFPPDIERLKAVITPDWVKEAVSSLLNPTSFLTSNDIERRDKWMSENVKVEIYQVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILCQHVILPP >itb03g29610.t1 pep chromosome:ASM357664v1:3:30575666:30577708:1 gene:itb03g29610 transcript:itb03g29610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIGPCSDIHLPKEESTEEAGKPQRRRFFTFRQLNVLAVMIVLSASGMVSIEDLGFVLFSIIYLYFISEAAFPQTNPPWTGSVFGQTNRTLRLYMLTSAVIGLLFPLAYIFEGIVEGDKEGVKAAVPHLFLLGSQVFMEGVASKDRFSLPVRVFVPVSYNTKRIFTLAEWLRSEISKEQNRRLYVGRGLAVANMAFWCFNLFGFLVPVYLPKAFKIYYSNHKHNKD >itb02g11720.t1 pep chromosome:ASM357664v1:2:7834722:7838020:-1 gene:itb02g11720 transcript:itb02g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADDNVEEVSASSSDGLSVANNEAPCTEPEASGYGTAYKALGGGEVSGKNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANSVEQKHVLLEWDPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTIVGLARDWKVSVKEAKETVDRWIYFGLSEVYSVHIFLGAGEIGSAADVAMCAMLEISKNPRLKELGWKLLLQVHDEVILEGPTESAEEAKAIVVHCMSNPFDGKNILRVGLSVDAKCAQNWYSAK >itb04g29780.t2 pep chromosome:ASM357664v1:4:33059294:33063463:-1 gene:itb04g29780 transcript:itb04g29780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYRPSRDDIFIQFCKREAGLSAPRNFSRRVSASEHLVKRLDLYGKLNGHLGCVNTIDFNSTGDILVSGSDDKQIILWEWATKTSKMSYASGHSDNIFQAKFMPLTDDGKIVTSSADGQVRLGQVLQNGRVDTKRIGKHQGRVHKIAVEPGSPYIFYSCGEDGFVQHYDLRSNSATNLFSCSSLRTNSKQSSNSIRLNAIVIDPRNPNFFAIGGSDEYARVYDIRKCQLDASNNSDMPINTFCPRHLNDKQDVHITALAYSCTSELLVSYNDELVYLFQKNMGLAPGPSSVPNEDAEKLEDPQVYTGHRNSRTVKGVSFFGPNDEYVLSGSDCGNIFVWKKKGAKLVRMMVGDRHIVNQLKPHPYIPVFATCGIEKNIKLWTPSSKDINPLPRNATKIMEANKQEREDHSRVALTPDVVMHVLRLHRRQALAYIERRRGGDDIDSDEEDEREAYVLGFSDVDSEDGGGNPRECNIS >itb04g29780.t3 pep chromosome:ASM357664v1:4:33059412:33063457:-1 gene:itb04g29780 transcript:itb04g29780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYRPSRDDIFIQFCKREAGLSAPRNFSRRVSASEHLVKRLDLYGKLNGHLGCVNTIDFNSTGDILVSGSDDKQIILWEWATKTSKMSYASGHSDNIFQAKFMPLTDDGKIVTSSADGQVRLGQVLQNGRVDTKRIGKHQGRVHKIAVEPGSPYIFYSCGEDGFVQHYDLRSNSATNLFSCSSLRTNSKQSSNSIRLNAIVIDPRNPNFFAIGGSDEYARVYDIRKCQLDASNNSDMPINTFCPRHLNDKQDVHITALAYSCTSELLVSYNDELVYLFQKNMGLAPGPSSVPNEDAEKLEDPQVYTGHRNSRTVKGVSFFGPNDEYVLSGSDCGNIFVWKKKGAKLVRMMVGDRHIVNQLKPHPYIPVFATCGIEKNIKLWTPSSKDINPLPRNATKIMEANKQEREDHSRVALTPDVVMHVLRLHRRQALAYIERRRGGDDIDSDEEDEREAYVLGFSDVDSEDGGGNPRECNIS >itb04g29780.t1 pep chromosome:ASM357664v1:4:33059294:33063463:-1 gene:itb04g29780 transcript:itb04g29780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYRPSRDDIFIQFCKREAGLSAPRNFSRRVSASEHLVKRLDLYGKLNGHLGCVNTIDFNSTGDILVSGSDDKQIILWEWATKTSKMSYASGHSDNIFQAKFMPLTDDGKIVTSSADGQVRLGQVLQNGRVDTKRIGKHQGRVHKIAVEPGSPYIFYSCGEDGFVQHYDLRSNSATNLFSCSSLRTNSKQSSNSIRLNAIVIDPRNPNFFAIGGSDEYARVYDIRKCQLDASNNSDMPINTFCPRHLNDKQDVHITALAYSCTSELLVSYNDELVYLFQKNMGLAPGPSSVPNEDAEKLEDPQVYTGHRNSRTVKGVSFFGPNDEYVLSGSDCGNIFVWKKKGAKLVRMMVGDRHIVNQLKPHPYIPVFATCGIEKNIKLWTPSSKDINPLPRNATKIMEANKQEREDHSRVALTPDVVMHVLRLHRRQALAYIERRRGGDDIDSDEEDEREAYVLGFSDVDSEDGGGNPRECNIS >itb04g25230.t1 pep chromosome:ASM357664v1:4:29847641:29851723:-1 gene:itb04g25230 transcript:itb04g25230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFHRCTRIFILSLLFISVCVPICFLSHRIKSVHSDEPGEFVEDLSIIKHRTEAHIVSALEEEESEDVKEPLMVVYKDDKFSSDSLIESNRTYVSENTENATLGESGIMQNVEEENQHSQHEEVVRNSKKNAQSEPKRVQHDQSRISHSQKTSDVKLKEMKDQIIRAKVYLSFSPQGSKSHIVKEIKMRIKDLERAMGEVTKDSDLSRRAVQKMKAMEATLLKASHMFPECTPMVKKLRAMTDSAEEQLRTHKNQVAFLEELAGRTTPKGLHCFSMRLTAEYFALMPEEREFPNQHKLHNPDLYHFAVFSDNILACSVVVNSTISSAKDPEKIVFHIVTDSVSLPAISMWFLLNPLVKATIHIQSIDNIKWLSTKYDANLQKQESLDPRYASALNHLRFYLPDIFPLLDKLVFLDHDVVVQRDLSALWRINMKGKVNGAVQTCKQGEPSFRRMDAFINFTDPMMAKRFDVETCTWAFGMNLFDLKAWRQRNLTAVYHKYLQLGSKKPLLKAGTLPIGWITFYKHTVALDRSWHVLGLGYDSGVPRGNIEQAAVIHYDGKMKPWLDIGVEKYKPYWRKHVNYEQPHLQQCNIHS >itb05g18320.t1 pep chromosome:ASM357664v1:5:25104288:25106876:-1 gene:itb05g18320 transcript:itb05g18320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPCLPMVVCCSTRDELDAVYSALSTLSYISISAVYSDLSEADRAQVFATFRQAVMRWNRQPMAQPQDAGNGEKEEKSHMIVVTDACLPLVNSGELPFNARVLINYELPTKKETYMRRMATSLAADGIVINMVVGGEVVALKNIDENSGFLIAEMPINILEML >itb01g31770.t1 pep chromosome:ASM357664v1:1:35408036:35410209:1 gene:itb01g31770 transcript:itb01g31770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILLLFNVVLLAIGNCATPLLLRLYFLRGGNRLWFSTWLQTAACPVVFIPLLISYLRRRRRRRSDTKFFLMTPCLFLSGALIGLLMGLMNYLYTYGMAKLPVSTSSLIVSSQLGFTALFAFLLVKQQFTAFSVNSIVLLTAGAVVLGLRAGNDRPAGESNKEYALGFVLTLASAGLYGLIMPLVELIYKKANTPIGYILVLEFQMVMSFAATLFCTVGMFVNRDFQVIPREAREFELGAAKYYIVVVCTGITSQSFLMGALGVICYGSSLLSVIINTVLLPVTELLAVISFHEKFQAEKGVSLFLCLWGFVSYFYGEIKRRSKDMVDEEKNQTPQTTEMTPTSHVA >itb13g10530.t1 pep chromosome:ASM357664v1:13:15396730:15398754:1 gene:itb13g10530 transcript:itb13g10530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16835, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16835) UniProtKB/Swiss-Prot;Acc:Q9M4P3] MHRHHHPSLIHKEFKVFSFYLLAPKRHLKISSCIESQTSNPANSPSSPSSYSPNQPDLIPRKPKFLNKFKRNETSEFSSIVSCNKRISSYIRSMDLDSALRVFESMAVRTTVTWNSILAGFSRKPGKFQEACEMFDKIPEPDVVSYNIMLVCYLNNAGIGAARSFFEKMPVKDVASWNTMISGFSQNGMMNEANELFMTMPVRNEVTWNAMISGYVESGELEPALEIFNKTPEKGVIARTAIITGYMRCGNIELAEKMFQEMPEKNLVTWNAVIAGYVENGRGEDGLKLFRKMVELGIKANPSTLSSVLLGCSNLSAFRFGMQVHQRVCKLPICLDMTVGTSLISMYCKCGILEDARKLFLDMPRKDVVSWNAMVSGYAQHGKGDKALRLFDEMRHTGTQPDWISFVGVLSACNHAGLVDLGIHYFEKMQNDYGIKPKPNHITCMVDLLARAGRLDEAVDLISNTDFKPHPAFFGTLLGACRIHKNVEVAEFAAKNLHDLDPSNAAGYVQLANVYAAKNRWDNVSRVRKLMKENQVVKTPGYSWMEVKGVVHEFRSGDRLHPELEAIHSKLKELEKKMKSAGYVPDLESSLHDVGEEQKEQMLLWHSEKLAIAFGLLRIPVGVPIRIFKNLRVCGDCHKATKFISAIEDREIVVRDTTRFHHFKNGSCSCCDYW >itb14g03030.t1 pep chromosome:ASM357664v1:14:2742883:2743658:-1 gene:itb14g03030 transcript:itb14g03030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKDFMLLAFSSQIFQTTIALASNKLEWLRNSISQKNPTDGESKDAAAGELAVKRFKSEMGTEGSSECSVCLCGIEEGDEIRELSCHHLFHRVCLDRWVGYGRVTCPLCRRSVAAGRMAAEHGGGLVLLKFQCLSTSQNRTSWWLR >itb10g00190.t1 pep chromosome:ASM357664v1:10:121175:126334:-1 gene:itb10g00190 transcript:itb10g00190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREGVEEGLLDGNNGESAASEITFVVVLCTIVAASGSLAYGFSVGYSSPAQFGIMDDLSLSIAQYSAFGSMLTFGGMIGALISGRIAETIGRRLTMWLLEIFFIVGSVLIIFAKNIWWLNIGRLLMGIGAGLHCYVAPIYMAEITPKDIRGGFTAGITFTVSIGFSLMFFFGNFFAWRNLALVGTIPSFIQVVGTFFIPESPRWLAKTGRWKEVEGALQRLRGKNADVSSEVSEIKEYMETLEKLPQSRFLDLFNRKYAHSLIVGVGLMVLVQLGGTDAIYSYTSSIFEAAGSSSSIASTVMAALQLPAAALSVALMDKIGRRLILMITATRTGLGCLIVGLGFAFKGGYEELEQLTAALVLIGILVFAVSFSAGLGGTPWVIMSEIFPVNIKGTGGSLVTFSNWFSSWMVTYAFNFVFQWSSAGVFFVFACVSAIIVIFVAKLVPETKGRTLEQIQASMTLLQ >itb10g00190.t2 pep chromosome:ASM357664v1:10:121175:126334:-1 gene:itb10g00190 transcript:itb10g00190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREGVEEGLLDGNNGESAASEITFVVVLCTIVAASGSLAYGFSVGYSSPAQFGIMDDLSLSIAQYSAFGSMLTFGGMIGALISGRIAETIGRRLTMWLLEIFFIVGSVLIIFAKNIWWLNIGRLLMGIGAGLHCYVAPIYMAEITPKDIRGGFTAGITFTVSIGFSLMFFFGNFFAWRNLALVGTIPSFIQVVGTFFIPESPRWLAKTGRWKEVEGALQRLRGKNADVSSEVSEIKEYMETLEKLPQSRFLDLFNRKYAHSLIVGVGLMVLVQLGGTDAIYSYTSSIFEAAGSSSSIASTVMAALQLPAAALSVALMDKIGRRLILMITATRTGLGCLIVGLGFAFKGGYEELEQLTAALVLIGILVFAVSFSAGLGGTPWVIMSEIFPVNIKGTGGSLVTFSNWFSSWMVTYAFNFVFQWSSAGVFFVFACVSAIIVIFVAKLVPETKGRTLEQIQASMTLLQ >itb13g04250.t1 pep chromosome:ASM357664v1:13:4735098:4736872:1 gene:itb13g04250 transcript:itb13g04250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAINISVLHFPNSKATISFLLLFISMQWLPNMLEYGEDADGGAGQGVECYHGGDHRLCNRRFFLIPQAVSGNLKIVQCLPDVFREQNCSRILLGGLHNQRRRRGQQHFGF >itb11g11340.t1 pep chromosome:ASM357664v1:11:8284106:8288719:1 gene:itb11g11340 transcript:itb11g11340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDEAFLLEGQSQKERKSNDGMMNLTWRWRPFDWFRKLSDVLHWSFVLSVVIVYGINQGLSLGLSRISIQYYMKDEQKLQPSEAQIFTGIISLPWIVKPLWGLLTDILPIAGYRRRPYFILAGSLSVIAMLTLSMNQLRIELALLCLMAGSAGVAIADVIIDACVTENSISHPSLAGDMQSLCGGSSSVGQLIGYMISGFLVHLIGSKGVFGILSMPPSLVVLVGIMIREKFTPSGTYRRVSHKFADAGKAMVMALKCKTIWRPCLYMYISLAVSVHIHEGMFYWYTDAKDGPSFSQEVVGSISSVGAVGSLLGVLLYHNAFRHHPFRRVLFWSQLLFGASGLLDLILVSRINLKFGIPDYFVAVSDAAITHMIARLKWMPLLVLSSKLCPSGIEGTFFALLMSIDHVGMLSASWAGGFLLHVFNVTRTQFDNLGIVVVVRSLLRVLPIGLLFLVPSSDPNASILPTEMLPTKKGEDSAKTDNMEMSSLINTVDQTLAKE >itb01g12110.t1 pep chromosome:ASM357664v1:1:11524171:11527064:-1 gene:itb01g12110 transcript:itb01g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIHQKFVTRKFLLSPPYLSISQKLTMVQSSFCILSSTSPSLFPSNSAADLPSTSYLPSPRTLFLWRSSPRFSKFKVLSKQQQQLSIDGNSNNSNGSAPAEQFLQNNSIADFMRFRHGSNSDERRAELQTAVVSYRKRFPWSILQPFLRVDLVSTIHIADKEYFATLQRELEPYDCVLYEMVASRESLESRRNLAIKKNIQSSKSRGLNILGCIQRQMARLLTLDFQLDCLDYQAENWYHADLDFETFKLLQLEKGESFFTFARDMTIRSTKAIVQSASMPDDLGPWRSKLLWASRVLPMPLIGLVIIGGVCADIGSQASEYPEIEALSRLDFGAAMKVFLAKRLTSEFTQVTADVEAKSVIIGERNRAATEALQKAIDEGHNKIAILYGGGHMPDLGRRLREEFDLVPSQVQWITAWSIRNSNITSSSLPLLKSVAEVLGWPLNRYQTLALLIFSSILALDLVFWELFFGTTANWITQAASDISHYVSN >itb05g27330.t1 pep chromosome:ASM357664v1:5:30756329:30758592:-1 gene:itb05g27330 transcript:itb05g27330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLFPSGGWVAVAAAVLIWSAGGAHGIRFVIDREECFSHKVALGDTVHFSFVVIKSDKSWNLGEDGVDLVVKGPVGEQIQDFREKISEKSEFVAHQEGVHRFCFTNKSPYHETIDFDVHSGHFMFHDEHAKDEHLKPLFEHIGKLEEALYNIQFEQHWLEAQTDRQAIVNEGMSKRAIHKALYESAALIGASFLQVFLLKRLFDRKLGQSRV >itb06g00780.t1 pep chromosome:ASM357664v1:6:1856401:1860766:-1 gene:itb06g00780 transcript:itb06g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMPKNEYESLREEDKAWLRLRKPFVVFSVALISIAVLVSTLISFSIVFPEDPARRPFCGDLRIQPLSINITASATTTTISGGAGRGGDSDGPFGAFYLTNQETVDYYWMVVFIPSFVVFAISAVYLIAGIIVAYTAPSRHGCLKVVENNYCASRRGGVRCLSILNVVFAIIFGLLALFLGSTLLTLGSSCSVPLFWCYEIASWGLVILYGGTAFFLRRKAAVILDESDFTGHNLGVEMLEANPVEVTPEMERRINEGFKAWMGPSFLSSDEEDESDNYQEVSTLSRTNSSRQRV >itb03g06660.t3 pep chromosome:ASM357664v1:3:4835729:4839149:-1 gene:itb03g06660 transcript:itb03g06660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWALRNAGPLRFLLDQSVLQPSKLVNGEKRRMPDRELPGGMEAKRENDDESAGTSPAQQEMTTFSCHHFGNSEDTEDGFSITIIENMKEEYGLFVWPCSVILAEYVWQQRSRFSGAAVVELGAGTSLPGLVAAKVGADVTLTDDANRPEVLAHMRRQCDLNNLKCKIMGLTWGLWDSYVFDLHPNIILGADVLYDANGRMRLKKKIIFLLSCICQLKLN >itb03g06660.t1 pep chromosome:ASM357664v1:3:4835729:4839149:-1 gene:itb03g06660 transcript:itb03g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWALRNAGPLRFLLDQSVLQPSKLVNGEKRRMPDRELPGGMEAKRENDDESAGTSPAQQEMTTFSCHHFGNSEDTEDGFSITIIENMKEEYGLFVWPCSVILAEYVWQQRSRFSGAAVVELGAGTSLPGLVAAKVGADVTLTDDANRPEVLAHMRRQCDLNNLKCKIMGLTWGLWDSYVFDLHPNIILGADVLYDANAFDDLFATVTFLLENSQASSFVTSYHNRSGHHLIEFLMVKWRLKCVKLLDGFSFMPAHKASGLSGDIQLVEITLDTTRHNCRTTSTS >itb03g06660.t2 pep chromosome:ASM357664v1:3:4835729:4839149:-1 gene:itb03g06660 transcript:itb03g06660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWALRNAGPLRFLLDQSVLQPSKLVNGEKRRMPDRELPGGMEAKRENDDESAGTSPAQQEMTTFSCHHFGNSEDTEDGFSITIIENMKEEYGLFVWPCSVILAEYVWQQRSRFSGAAVVELGAGTSLPGLVAAKVGADVTLTDDANRPEVLAHMRRQCDLNNLKCKIMGLTWGLWDSYVFDLHPNIILGADVLYDANGRMRLKKKIIFLLSCICQLKLN >itb01g16550.t1 pep chromosome:ASM357664v1:1:20925555:20935116:1 gene:itb01g16550 transcript:itb01g16550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVDSQAAAGGVGGGSASASLASPVAADQDHIESTKTLICALNFLSRNLPLPQDVFDAVSSIFRAGEDDATDDCAAGEADNGLHKTSSHIGSGMATYGDLMADFEDSLLKEKSSRASGSLLKRSKEIRNQSLIHSRLTELEELPTSRGEDLQSKCLLELYGLKLLELQRKVRSEVSSEYWLRAHCINPDKQLFDWGMMRLRRPVYGIGDAFAVESDDPLKKKRDAERLSRLEEEARNRVETKKRKFFADILNAARELQLQVQAAQKRRKQRNDGVQAWHGRQRQRATRAERLRFQALKADDQEAYMKLVDESKNERLRLLLQKTNDLLGRLGAAVQRQKDADHDGIEPLEGLDADLTASKTETPGQSVPEEDEDIVDGESTRDGKANDLLEGQRKYNSAVHSIQEKVTEQPTMLQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVTGPHLIVAPKAVLPNWINEFQTWLPSAVTVLYDGRLDERKALREEYSGEGKFNVIITHYDLIMRDKAFLKKIHWNYLIIDEGHRLKNHECALARTVAGYRIRRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVENFEDWFNAPFSDKCDVTITDEEELLIIRRLHHVIRPFILRRKKDEVEKYLPGKTQVILKCDMSAWQKLYYHQVTEEGRVGLGTGTGKSKSLQNLSMQLRKCCNHPYLFVNQYNMWQKEEIVRASGKFELLDRLLPKLRKAGHRVLLFSQMTRLMDILEIYLQLNEFKYLRLDGSTKTEERGSLLKQFNAPDSPFFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILDRAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRKGTSTLGTDVPTEREINHLAARSKEEYWLFERMDEERRQKERYRSRLMEDYEVPDWAYVQADSNQGKGKGFLHDSANLTGKRKRKEVVYVDTLSDQQWMRAVENGEDFSKHSKKKRQEHQPAADNNPLPSNTREHQQQSARIDALPNKWSDQQPVPNDTSLSINTGGEKKAQDTKSETVSLVNEATSEDTIGTTSKRFKPVAAAPAPTPSQRDEYNSLTGNFDGLTWKALKRKRSSLA >itb01g23320.t2 pep chromosome:ASM357664v1:1:29373908:29378067:-1 gene:itb01g23320 transcript:itb01g23320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDACLSRHFYCIDQNRTKMATFFVTPSPPSTLHFLRSSPLRFPRCLWSGLVRREKLNTIPYRKFVKWNRRRTLICAANQDAENSFRKTVEMDRLIDTLRAADDKELQKLVVENVLSFNESFWIRLAARADNCKSEDDKKDLEELASFLMSLVDSIVHKTYEKIESATDVLKAILRPAAQEEDEIPWPPRDPEALSLMEKELNQREQEGQLDEGFLSEVNAQLRNAKEDGDKPGLEAMLQKVLQLYASRVLSKRSYARKGDEILKAEQFLETIIKAPEEEWNRLLLDGMTVGKGEISPEELYSVVNKRIERTLIRTQGGSYEQRVLVEYLKGIQSRAEEIVQVLQGQG >itb01g23320.t1 pep chromosome:ASM357664v1:1:29373908:29378067:-1 gene:itb01g23320 transcript:itb01g23320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIDTLRAADDKELQKLVVENVLSFNESFWIRLAARADNCKSEDDKKDLEELASFLMSLVDSIVHKTYEKIESATDVLKAILRPAAQEEDEIPWPPRDPEALSLMEKELNQREQEGQLDEGFLSEVNAQLRNAKEDGDKPGLEAMLQKVLQLYASRVLSKRSYARKGDEILKAEQFLETIIKAPEEEWNRLLLDGMTVGKGEISPEELYSVVNKRIERTLIRTQGGSYEQRVLVEYLKGIQSRAEEIVQVLQGQG >itb08g07000.t1 pep chromosome:ASM357664v1:8:5983680:5988115:1 gene:itb08g07000 transcript:itb08g07000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPRTRAQPRPNRPMSLGGMDYVEPKKRSNFLGKILMAAVLTALCVLMLKQSPNFNTSSPFAHHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIFADLGDAKKVQKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVILEAIAAHGVPTLIYSSTCATYGEPETMPITEETPQLPINPYGKAKKMAEDIILDFHKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTGDGTCIRDYIDVTDLVDAHVKALEKASPGNVGIYNVGTGIGKSVKEFVEACKKATGVPIKVDYLPRRPGDYAEVYSDPTKIRRELNWTAKHTDLQESLQVAWSWQKSHLNGYGSPLAMAV >itb08g07000.t2 pep chromosome:ASM357664v1:8:5984189:5988115:1 gene:itb08g07000 transcript:itb08g07000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPRTRAQPRPNRPMSLGGMDYVEPKKRSNFLGKILMAAVLTALCVLMLKQSPNFNTSSPFAHHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIFADLGDAKKVQKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVILEAIAAHGVPTLIYSSTCATYGEPETMPITEETPQLPINPYGKAKKMAEDIILDFHKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTGDGTCIRDYIDVTDLVDAHVKALEKASPGNVGIYNVGTGIGKSVKEFVEACKKATGVPIKVDYLPRRPGDYAEVYSDPTKIRRELNWTAKHTDLQESLQVAWSWQKSHLNGYGSPLAMAV >itb03g06580.t1 pep chromosome:ASM357664v1:3:4789542:4792431:1 gene:itb03g06580 transcript:itb03g06580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQGCFVGALNLFVFISRGQFLNCREIGRGRGRDSMDFCTYLSRALPSSPAFASLSFGNLADRAKGFFTLAVSTVIGNVFSFIFTCFFAVVGTLLGAMTGALIGQETESGFIRGAAVGAISGAVFSLEVLESSMLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETTYEEASNIFDTGGAKGLPRDSVERVPKIVISSDNNVDASGERVSCSVCLQDFQAGETVRSLPQCHHMFHLPCIDTWLLRHGSCPLCRRDL >itb03g06580.t2 pep chromosome:ASM357664v1:3:4791029:4792359:1 gene:itb03g06580 transcript:itb03g06580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNQGIGFVLAVGTLLGAMTGALIGQETESGFIRGAAVGAISGAVFSLEVLESSMLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETTYEEASNIFDTGGAKGLPRDSVERVPKIVISSDNNVDASGERVSCSVCLQDFQAGETVRSLPQCHHMFHLPCIDTWLLRHGSCPLCRRDL >itb06g00350.t1 pep chromosome:ASM357664v1:6:864726:869282:-1 gene:itb06g00350 transcript:itb06g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQLPLHRQLMLDCHGFLPSASPPLSRSPFTSLPPKLPFSSSRYLKLKTSLPLRTSWSRVFCKFKDSDITSQLELGKPIEKHKPGKRVNGIFWILLINLGIYVADHILQVRGIKALYLYHNHPAWYQFVTSTFCHFNWNHLSSNLFFLYIFGKLVEEEEGNFALWFSYLLTGAGANLVSWLVLPRNAVSIGSSGAVFGLFAISVLVKMSWDWRKILEALILGQFVVERVMEAAQASAGLTGGLNGGYALQSVNHVAHLSGALIGVVLIWLLSGIPSQPTETHKGKNKR >itb02g02450.t2 pep chromosome:ASM357664v1:2:1423731:1428550:1 gene:itb02g02450 transcript:itb02g02450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAEGRVSYSSISNVEKQNGKFHAVKDYDDASWALPDNPNLKKNDYCYSYPSLESDLEDGGYDSSDDQYSYESQGGPPEVNLRNVLSGMFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb02g02450.t4 pep chromosome:ASM357664v1:2:1423740:1428550:1 gene:itb02g02450 transcript:itb02g02450.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAEGRVSYSSISNVEKQNGKFHAVKDYDDASWALPDNPNLKKNDYCYSYPSLESDLEDGGYDSSDDQYSYESQGGPPEVNLRNVLSGMFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb02g02450.t5 pep chromosome:ASM357664v1:2:1423765:1426970:1 gene:itb02g02450 transcript:itb02g02450.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAEGRVSYSSISNVEKQNGKFHAVKDYDDASWALPDNPNLKKNDYCYSYPSLESDLEDGGYDSSDDQYSYESQGGPPEVNLRNVLSGMFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb02g02450.t6 pep chromosome:ASM357664v1:2:1423740:1428550:1 gene:itb02g02450 transcript:itb02g02450.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAEGRVSYSSISNVEKQNGKFHAVKDYDDASWALPDNPNLKKNDYCYSYPSLESDLEDGGYDSSDDQYSYESQGGPPEVNLRNVLSGMFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb02g02450.t1 pep chromosome:ASM357664v1:2:1423731:1428550:1 gene:itb02g02450 transcript:itb02g02450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAEGRVSYSSISNVEKQNGKFHAVKDYDDASWALPDNPNLKKNDYCYSYPSLESDLEDGGYDSSDDQYSYESQGGPPEVNLRNVLSGMFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb02g02450.t3 pep chromosome:ASM357664v1:2:1423765:1426970:1 gene:itb02g02450 transcript:itb02g02450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb02g02450.t7 pep chromosome:ASM357664v1:2:1423740:1428550:1 gene:itb02g02450 transcript:itb02g02450.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAEGRVSYSSISNVEKQNGKFHAVKDYDDASWALPDNPNLKKNDYCYSYPSLESDLEDGGYDSSDDQYSYESQGGPPEVNLRNVLSGMFAIITGRKKDAVGNVVSQMPSSNVSFLGSGKNGETFLHSSVYIPSAPPLMEPNAFDYTAYKEVLEAEPPEWLKDSSTTVCMQCTAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRDRNPQRVCDTCYERLDPLQGVLIHTISNAMQVAKHDVMDWTSSRAWLNLPVGFSMEYEIYKASNSLRSYAQVARLNPERSIPAAVLKGARGLAILTVAKVGALLTYKLGTGLVVARKSDGSWSAPSAIVSIGLGWGAQVGGELMDLLIVLHDVKAVKTFCSRMHFSLGAGCSVAAGPVGRVVEADMRAGDKGSGMCYTYSCSKGAFVGVSLEGNFVATRMDTNLRFYGDPYLTTADILLGTVERPRAAEPLYNALKELYAKLPPQISTSPFDS >itb03g15800.t1 pep chromosome:ASM357664v1:3:15033718:15036293:1 gene:itb03g15800 transcript:itb03g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLEKNNADLETGGNGGGGVSVSSISGEITGVPEKRRRSSAVEVDLEAAGGEQENEVHSEKPERDCRICHLSLDAENPESGLPMELGCSCKDDLAAAHKHCAEAWFKIRGNKTCEICGSVAHNVVGISEAEPAEQWSEGSTAAVTTETAPTPPAEARNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >itb03g20260.t1 pep chromosome:ASM357664v1:3:18200248:18201186:-1 gene:itb03g20260 transcript:itb03g20260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAFILCLLFLLFVSSQTSFSLPRSSKSVARFHNKLLRLPFKTIGAPQYKYEIRYFEQRLDHFSFADLPPFRHRYLINTDHWLGPSRLGPIFFYYGNE >itb08g04160.t1 pep chromosome:ASM357664v1:8:3302058:3306716:1 gene:itb08g04160 transcript:itb08g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREEAAPLLEHSNNNNHNGEVGKQPLRLKGKIIEFGDESKKLWTIAGPAIFTAICQYSLGALTQTFAGQVGELELAAVSVENSVVAGLAYGVMLGMGSALETLCGQAYGAGKMRMLGVYMQRSWVILLTTACFLVPIYVFSPPILHLIGQTHEISKAAGKFSLWMIPQLFAYALNFPVQKFLQSQRKVLVMSWISAAVLLLHAFFSWLLIIKLHWGLVGAAVTLNSSWWLIVILQLIYIFVTKSDGAWTGFSWQAFHDLFAFVKLSLASAVMLCLEFWYLMVIIVIAGRLENPLVPVDALSICMNLQGWDAMISIGFNAAISVRVSNELGAGNPSIAKFAVLVVSITSVAIGAVGMAVVLATKNIFPPLFTNSDAVAKETTKLAVLLAWTCLMNSLQPVLSGVAVGAGWQSVVAYINIGCYYIVGLPAGILLGFTFDFGAMGIWGGMIGGVCLQTIILIVIVSITDWNKEASLADVRVKRWGGAIANS >itb08g04160.t3 pep chromosome:ASM357664v1:8:3302058:3306201:1 gene:itb08g04160 transcript:itb08g04160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREEAAPLLEHSNNNNHNGEVGKQPLRLKGKIIEFGDESKKLWTIAGPAIFTAICQYSLGALTQTFAGQVGELELAAVSVENSVVAGLAYGVMLGMGSALETLCGQAYGAGKMRMLGVYMQRSWVILLTTACFLVPIYVFSPPILHLIGQTHEISKAAGKFSLWMIPQLFAYALNFPVQKFLQSQRKVLVMSWISAAVLLLHAFFSWLLIIKLHWGLVGAAVTLNSSWWLIVILQLIYIFVTKSDGAWTGFSWQAFHDLFAFVKLSLASAVMLCLEFWYLMVIIVIAGRLENPLVPVDALSICMNLQGWDAMISIGFNAAISVRVSNELGAGNPSIAKFAVLVVSITSVAIGAVGMAVVLATKNIFPPLFTNSDAVAKETTKLAVLLAWTCLMNSLQPVLSGVAVGAGWQSVVAYINIGCYYIVGLPAGILLGFTFDFGAMGIWGGMIGGVCLQTIILIVIVSITDWNKEVINPLPILKPLILLIMSV >itb08g04160.t2 pep chromosome:ASM357664v1:8:3302058:3306716:1 gene:itb08g04160 transcript:itb08g04160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQLGMGSALETLCGQAYGAGKMRMLGVYMQRSWVILLTTACFLVPIYVFSPPILHLIGQTHEISKAAGKFSLWMIPQLFAYALNFPVQKFLQSQRKVLVMSWISAAVLLLHAFFSWLLIIKLHWGLVGAAVTLNSSWWLIVILQLIYIFVTKSDGAWTGFSWQAFHDLFAFVKLSLASAVMLCLEFWYLMVIIVIAGRLENPLVPVDALSICMNLQGWDAMISIGFNAAISVRVSNELGAGNPSIAKFAVLVVSITSVAIGAVGMAVVLATKNIFPPLFTNSDAVAKETTKLAVLLAWTCLMNSLQPVLSGVAVGAGWQSVVAYINIGCYYIVGLPAGILLGFTFDFGAMGIWGGMIGGVCLQTIILIVIVSITDWNKEASLADVRVKRWGGAIANS >itb08g00120.t1 pep chromosome:ASM357664v1:8:139707:143828:1 gene:itb08g00120 transcript:itb08g00120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MLMLYMRPSSLQPTHLRRETSPASMRLRFSLSSNNCFRHFSLRTSMHHAMASDSCSLMWFRKGLRIHDNPALQHAANASKCFPVFVIDPHYMEPDPTAFSPGSTRAGLNRIRFLLETLVDLDSSLKRLGSRLLVLRGDPTQVLIRCLKEWSISKLCFEYDTEPYYQALDDKVKNYASVAGIETFTPVSHTLFNPADIIQKNGGTPPLTYQSFLKLAGIPSWASSPLSTSLSSLPPIGNLGSLQVSAVPTLEELGYVDCSEDERTPFRGGESEALRRLRESIANKEWVADFEKPKGNPSAFLRPATTVLSPYLKFGCLSSRYFYQCVQEVLRTVKKHTSPPVSLLGQLLWRDFFYTVAFGTRNFDRMRGNRICKQIPWKESDELLAAWRDSRTGFPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPITFGKKYDPDGNYIRHFLPVLKDMPKEYIYEPWTAPLSIQRKAKCIIGIDYPKPVVSHDSASKECRQRLGEAYELNKKLGGMVGDEELNELKRRVEEQDKSMEYKTKRQKQTLIG >itb04g08310.t1 pep chromosome:ASM357664v1:4:6331396:6331945:-1 gene:itb04g08310 transcript:itb04g08310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAYVSFPRCWIVLQVDEEEEENIRLNSTMLEFQQPEPYYHTNRCDGEGKEPGVQLHQWRCFCVEKHLEFVAFEENGDKEFDIVEFMKDLRERFGRV >itb09g04530.t1 pep chromosome:ASM357664v1:9:2536832:2541937:-1 gene:itb09g04530 transcript:itb09g04530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAASASVSASASISQSLRDAYNGMSSDNIKGLVLALSSSLFIGASFIIKKKGLKRAAASGTRAGVGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCALCVVGSITIVLHAPQERQIESVKEVWDLATEPGFLFYASVVVIAALIIVFCYIPKYGQTHVMCYIGVCSLVGSLSVMSVKAIGIALKLTLSGMNQLIYPQTWAFTLIVVVCVLTQMNYLNMALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMAEGNTPRFPKHADEEDGFGQEGIPLKRQDTSRSP >itb11g06330.t1 pep chromosome:ASM357664v1:11:3751043:3752224:-1 gene:itb11g06330 transcript:itb11g06330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKPSSPTPPHLKTLKLSFLDQISPPVFIPLIFFFHNHGEDDHGRSSQKLKQSLSKVLSLFYPLAGRLKGNDFIDCSDDGALWVEARVHGFLKDVVENPLMEELEKFLPAEPYNNGDDGELILAVQINYFLDGGIAVGVCMSHKIGDGLSLVNFVNAWAVAAREGHVAGISPPDFELPPSLFPPTTADLSGSGFSPSVGMTREKIVTRRVVFSKEKLAALKKSAAARVSDPTRVEALSAFLWSAAGRKKPQNPSAAVHAVNLRSRMNPPLPDLTFGNLWRPAIAVTSPETTQDDLVSHLRTSIRKINAEHAKALQNCHLYLENLHKAQEMFCKGEAEFCSFSSWCRFPIYEVDFGWGRPVWACTTTFPYKNVIILMSTKSGDGIEAWVNIGD >itb07g13780.t1 pep chromosome:ASM357664v1:7:16113921:16115979:-1 gene:itb07g13780 transcript:itb07g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFVLVLVLVCSPGQANGADNNSMAKRRAEKMIRQLNLFPLHDLNRGPAGHSPAGADSPRLVEKKLKLNLLGDSGASVEDLGHHAGYYRLPNTVDARMFYFFFESRSNKSEPVVIWLSGGPGCSSSLALFYENGPFYIADNQSLIWNDYGWDKASNLIYVDQPTGTGFSYSSSDKDLRTDENGVSNDLYAFLQEFFKAHPEYAKNDFFITGESYAGHYIPALGSRVNQGNKKKEGIPINLKGMAIGNGLTNTEIQYPAYPDFALDNKLISKSDHDNLTQLVPDCQEAIRQCNSVGGDACDEADNRCETIFNQILGIAKNINHYDIRRKCDGGSSLCYNFSNVETFLKTQSVKDALGVGDINWVSCSFVVYDYMSSDWFKNLAVGIPSMLEDGINLLVYAGEYDLICNWLGNWRWVEALKWSGQGGFGAAPNVTFSVDGKENGIQKSYGPLTFLKVHDAGHMVPMDQPQVSLEMLRRWMHGQLSKP >itb02g07590.t2 pep chromosome:ASM357664v1:2:4757858:4761622:-1 gene:itb02g07590 transcript:itb02g07590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRQCMLSCAFLHRVDEDELYWGRRKQDAESAWSDNSAHVISQLAQCFTNAMVGPRAWIGGILSRSGIKRFGSDKFIDYILTPEEEESLHKLQERLGVAFDESRPDHQEALQALWNLTFPDVELKGLVSEQWKDMGWQGCNPATDFRGCGFLSLENLLFFARNYPDCFHRLLFKKIGTRATWEYPFAVAGINVSFMLIQMLELSSEKPKCRPGINFVKLLAAPAEDEEAFDVLYCIAFSLLDAQWLAMHASYMEFNEVLQVTRTQLERELSLEDIRKITDLPAFNLM >itb02g07590.t1 pep chromosome:ASM357664v1:2:4757599:4762503:-1 gene:itb02g07590 transcript:itb02g07590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWIGGILSRSGIKRFGSDKFIDYILTPEEEESLHKLQERLGVAFDESRPDHQEALQALWNLTFPDVELKGLVSEQWKDMGWQGCNPATDFRGCGFLSLENLLFFARNYPDCFHRLLFKKIGTRATWEYPFAVAGINVSFMLIQMLELSSEKPKCRPGINFVKLLAEDEEAFDVLYCIAFSLLDAQWLAMHASYMEFNEVLQVTRTQLERELSLEDIRKITDLPAFNLM >itb08g15630.t1 pep chromosome:ASM357664v1:8:17796998:17797726:-1 gene:itb08g15630 transcript:itb08g15630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLDESPTIKSEKTALPNLGSARGYDVIEAAKGELEKACPGIVSCADVLSLAARDATVAVGGPSWTVKLGRRNSTMASRTIDLPSPFDNLDRLISNFVSKGLNTRDLVALSGAHTLGQAQCFLFHDRIYGNGTDIDADFANTRRRNCPKDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQVLFSGGATDNIVSEYARSPQAFQTDFASAMIKMSEIQPLTGQNGIIRKVCGGLN >itb05g12760.t1 pep chromosome:ASM357664v1:5:19418862:19420507:1 gene:itb05g12760 transcript:itb05g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSMKPACFLVLFMVASLLPSSLMAQISVGPNKQQLFMTGQLCKTHDDCKANSVYSGNFCINKMSGSEMGHCVGFGSTLVLPSETKKRKPILGCGKCETDEDCRDCPAAAACEKIILPGYCA >itb05g12760.t2 pep chromosome:ASM357664v1:5:19419027:19420462:1 gene:itb05g12760 transcript:itb05g12760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISVGPNKQQLFMTGQLCKTHDDCKANSVYSGNFCINKMSGSEMGHCVGFGSTLVLPSETKKRKPILGCGKCETDEDCRDCPAAAACEKIILPGYCA >itb01g30880.t1 pep chromosome:ASM357664v1:1:34718236:34722317:-1 gene:itb01g30880 transcript:itb01g30880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMASENFSIELDHLNASANYDGRRKERAQWLLDSPQPPTLCQELITSLKATVLPNANTLSSKTQKTPSGFAVSFLQGLFPILKWGRNYKATKFKKDLMAGLTLASLCIPQSIGYANLAKLDPQYGLYTSVVPPLIYAFMGSSREIAIGPVAVVSMLLSAMVSKVVDPAQDPIAYRNIVFTVTFFAGIFQAVFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGINHFTTKTDVVSVFKAVFNSFHEPWSPFNFLIGCAFLIFILITRFIGRRNKKMFWLPALAPLLSVILSTLIVYLSKADERGVKIVKHFKGGLNPSSVHDLQFNSPHLGESAKIGLICAIIALTEAISVGRSFASIKGYQLDGNKEMLAIGFMNIIGSLTSCYAATGSFSRTAVNFSAGCETVVSNIVMAVTVLISLELLTKFMYYTPLAILASIILSALPGLIDLRGAYHIWKVDKMDFLVCTGAFLGVLFGSVEIGLLVAVGVSFVKVVVDVIRPSIQVLGRLPGTEIFCERAQFPAATAIPGIFIVRINSGSICFANANFIVEKTLRWVAAANDIEKNSLERVRVVVLDMSNVTNIDTSGIIALEELHKNFVSQGTQLAMANPRWKVIEKLKAAEFVNKVGGGWVFLTVADAVEACLHLKMLAFTNR >itb09g10660.t1 pep chromosome:ASM357664v1:9:6590227:6591619:1 gene:itb09g10660 transcript:itb09g10660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVSSTTHNRFCFSFLLLILSLLLGLNYSAQLSEDFYSKTCPNALSIIKTAVANAVELEARMGASLLCLHFHDCFVNGCDASILLDDTANFTGEQSAGPNLSIRGLDVIDNIKIELEKSCPNIVSCADIVVVSARDSVFALDGPSWTVLLGRRDSTTASLSAAISNLPGAGFSLSELISLFSNKGFSAREMVALSASLQENCPQSGGDSNLAPLDTVTSILFDNVYFKNLQIQRDYYTLIRNCLTVDLQTP >itb06g22100.t2 pep chromosome:ASM357664v1:6:24512562:24517145:1 gene:itb06g22100 transcript:itb06g22100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSLEFLRPTETVELESGLLLVPRVKLLLTVSRADKSVSPVDEWKLKRSLIDYLKNTHSVTVQEEDLEVRRFKDLNKRKRDDPVARGTLCIRDLGFLAKLLASKSQYDDEKDEVKAAEKNFLEWRRGIVERMDGMEVNIEGTKFKLSAVLPASDDFEGMKKEWEEIAAFGGRGYQRGSSMQPDTLVLRGLPSRWFAETRVSSKPSMLVSHTIFSTFGNIRNIDVAEDNYVGKDVEEDGLDIISGLQCKIVVRFKKHKDFCNALKALCGRSLQKQGSRLRADYDVTWDKDGYFRNDENQTGERNRWVPAREALNERDEAPRSRSRNFSYEDGRRKRFKE >itb06g22100.t1 pep chromosome:ASM357664v1:6:24512562:24515797:1 gene:itb06g22100 transcript:itb06g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSLEFLRPTETVELESGLLLVPRVKLLLTVSRADKSVSPVDEWKLKRSLIDYLKNTHSVTVQEEDLEVRRFKDLNKRKRDDPVARGTLCIRDLGFLAKLLASKSQYDDEKDEVKAAEKNFLEWRRGIVERMDGMEVNIEGTKFKLSAVLPASDDFEGMKKEWEEIAAFGGRGYQRGSSMQPDTLVLRGLPSRWFAETRVSSKPSMLVSHTIFSTFGNIRNIDVAEDNYVGKDVEEDGLDIISGLQCKIVVRFKKHKDFCNALKALCGRSLQKQGSRLRADYDVTWDKDGYFRNDENQTGERNRWVPAREALNERDEAPRSRSRNFSYEDGRRKRFKE >itb15g03170.t1 pep chromosome:ASM357664v1:15:2011605:2014642:1 gene:itb15g03170 transcript:itb15g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRELRISSLLKWVSVNYTHNSFRPSRTKLWAIGSTDIAQNYRFYRTKKSLEEKSQFSPTVRRQAQAALLEYLHSTRGLQFLDAEHISRNSPHFLESLLKRVDNSESQSVGSVARYLRYHPINEFEPFFESMGLHPSEYSLVLPPQLMFLNDDQVLMQNYHVFCNCGFPRDKIGKIYKEAPEVFRYSRGVLELKLRLFGEVGLELDRSTLVKVIYSNPYLLVGNVDREFLRFLEKLRSAGIEHEWIWKQLLKGVSYNWSRLFEVLLLFGKLGFGEEQLGKVIGNNPELLFESSGRTIFSIMGFLWKFGCTQHKIYSMFAQFPQIQTGKFLKNLHYCYHVLIEIEMPVEEIGSIFRTDPLLLGSCSLKKADSLLRTLNSGKKRLCKMILEDPQVLKKWVLGARVEPVPIAEEMQRSKEMKTKFLLNLGFVENRGEMEGALKAFRGKGLELQERFDCFVKAGIDPKDVSTMIRVYPNILNQTKDVIEAKIDFLVNSLGYPLSSLVSFPSYIAYNLQRTKLRVSMYNWLKNQGSVDPNLALRTIVACSEKAFVRAYVSRHPEGHEIWEKLKKDINPSD >itb15g03170.t3 pep chromosome:ASM357664v1:15:2013215:2013640:1 gene:itb15g03170 transcript:itb15g03170.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALKAFRGKGLELQERFDCFVKAGIDPKDVSTMIRVYPNILNQTKDVIEAKIDFLVNSLGYPLSSLVSFPSYIAYNLQRTKLRVSMYNWLKNQGSVDPNLALRTIVACSEKAFVRAYVSRHPEGHEIWEKLKKDINPSD >itb15g03170.t2 pep chromosome:ASM357664v1:15:2011605:2014642:1 gene:itb15g03170 transcript:itb15g03170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRELRISSLLKWVSVNYTHNSFRPSRTKLWAIGSTDIAQNYRFYRTKKSLEEKSQFSPTVRRQAQAALLEYLHSTRGLQFLDAEHISRNSPHFLESLLKRVDNSESQSVGSVARYLRYHPINEFEPFFESMGLHPSEYSLVLPPQLMFLNDDQVLMQNYHVFCNCGFPRDKIGKIYKEAPEVFRYSRGVLELKLRLFGEVGLELDRSTLVKVIYSNPYLLVGNVDREFLRFLEKLRSAGIEHEWIWKQLLKGVSYNWSRLFEVLLLFGKLGFGEEQLGKVIGNNPELLFESSGRTIFSIMGFLWKFGCTQHKIYSMFAQFPQIQTGKFLKNLHYCYHVLIEIEMPVEEIGSIFRTDPLLLGSCSLKKADSLLRTLNSGKKRLCKMILEDPQVLKKWVLGARVEPVPIAEEMQRSKEMKTKFLLNLGFVENRGEMEGALKAFRGKGLELQERFDCFVKAGIDPKDVSTMIRVYPNILNQTKDVIEAKIDFLVNSLGYPLSSLVSFPSYIAYNLQRTKLRVSMYNWLKNQGSVDPNLALRTIVACSEKAFVRAYVSRHPEGHEIWEKLKKDINPSD >itb05g19610.t1 pep chromosome:ASM357664v1:5:26027780:26030277:1 gene:itb05g19610 transcript:itb05g19610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEETAKDDTKIHVNQLPLPSQLAVSRLSVDGEDLIEEQRVEFPLFRPTSQRSENSWIISLFVIIHLVVFAATMIVNDCWHNSHGDCAIKPLGRLSFQPLAENPLLGPSASALDNVGAIRQTLFTDHHQFWRVLTSPWLHAGLVHIIINLCSVVFVGIHLEQEFGSLRIGVTYILSGVTATLLAALFVTDNSSVTSSSALFGLLGMMLSGLIRYWKHYTKKLAAILLFLIILMINLILGLVPYINNISNVGGFISGFLIGFVLLFEPQLDRIAQKKGGLFEYDLKHKVQKKQKWDRPVLRGVSLAILVFIFAGVSIAVLRGTNVSKYCSWCQCIDCIPSKWWICADKAKHCEAMIYSDQLTLTCTDNGNYRVLPYTDISPGRMEDLCSLICS >itb14g15510.t2 pep chromosome:ASM357664v1:14:18809602:18814856:1 gene:itb14g15510 transcript:itb14g15510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METRTHRPDLHVVQQSRRDKLRVQNIPSSSSPYLGDYSGNSEHWSLQNPGQNMDLAQLPSCRYGNISYEQSVLSSEMLNFGSDSHSLVPNKNNAVTVNQEPPSSPPGDKAGGGDNGQFSNSFNHFVNVSGEPQNWKSVGSQQICCDWNNVGANYSSGLGSSSVGPMAVGGSSSRGLIPNCVPVSGTHSVKPGYFKYSEAQLNLSNTTSVIPGQFGQKQFEDMQFSAYCPEVVTSGSCIETKMTTSVSLPNPRESGRGGSWLDSRSELHLLPAYGDQSSVLCVNSDSEMVNRAVEGCHHQWHGEFERGSQRNDISSTQALSLSLSSVAPSKPHFGAVGSQHNVSGDLNCGFDGMPGLRPLRSDYLCSSSKQLSKMLENAACQDTVVRNSTLAHLNVVPFGPFTGYATILKSSRFLKPAQQLLDELCNFSGHSALTVSALTKKVLDEVCLSNDAIEGSATCPGGSMAGDNSGLYSANESYLRSEYQQTRVKLLYMQDEVCRRYSQYHQQMHMVVSSFESVAGLSAATPYILLALKTISRSFYSIKSAISDQLRNIRKTLGQELLSHAGRSKGDRVSSSLKLADHPLEKQRAIGSGMGFLDPQPQVWRPQRGLPERAVAVLRAWLFDHFLHPYPTDADKHMLATQTGLTRNQVSNWFINARVRVWKPMVEEIHMLETKAMSERGSNVGKPEVVTTATEGATQPNHIQHSNGFTFPNESQYSNRLSMRGIPGLQAECWSGIGERSHPASWSAAQEKQSRTINCHIPAAGMDGPLMGFVPYHQNNLEIGGLGSVSLTLGLRQSAGEGVQQQHEPQQLRQHLGGQMIHDYLG >itb14g15510.t1 pep chromosome:ASM357664v1:14:18809144:18814856:1 gene:itb14g15510 transcript:itb14g15510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTHRPDLHVVQQSRRDKLRVQNIPSSSSPYLGDYSGNSEHWSLQNPGQNMDLAQLPSCRYGNISYEQSVLSSEMLNFGSDSHSLVPNKNNAVTVNQEPPSSPPGDKAGGGDNGQFSNSFNHFVNVSGEPQNWKSVGSQQICCDWNNVGANYSSGLGSSSVGPMAVGGSSSRGLIPNCVPVSGTHSVKPGYFKYSEAQLNLSNTTSVIPGQFGQKQFEDMQFSAYCPEVVTSGSCIETKMTTSVSLPNPRESGRGGSWLDSRSELHLLPAYGDQSSVLCVNSDSEMVNRAVEGCHHQWHGEFERGSQRNDISSTQALSLSLSSVAPSKPHFGAVGSQHNVSGDLNCGFDGMPGLRPLRSDYLCSSSKQLSKMLENAACQDTVVRNSTLAHLNVVPFGPFTGYATILKSSRFLKPAQQLLDELCNFSGHSALTVSALTKKVLDEVCLSNDAIEGSATCPGGSMAGDNSGLYSANESYLRSEYQQTRVKLLYMQDEVCRRYSQYHQQMHMVVSSFESVAGLSAATPYILLALKTISRSFYSIKSAISDQLRNIRKTLGQELLSHAGRSKGDRVSSSLKLADHPLEKQRAIGSGMGFLDPQPQVWRPQRGLPERAVAVLRAWLFDHFLHPYPTDADKHMLATQTGLTRNQVSNWFINARVRVWKPMVEEIHMLETKAMSERGSNVGKPEVVTTATEGATQPNHIQHSNGFTFPNESQYSNRLSMRGIPGLQAECWSGIGERSHPASWSAAQEKQSRTINCHIPAAGMDGPLMGFVPYHQNNLEIGGLGSVSLTLGLRQSAGEGVQQQHEPQQLRQHLGGQMIHDYLG >itb05g17100.t1 pep chromosome:ASM357664v1:5:24153081:24157965:-1 gene:itb05g17100 transcript:itb05g17100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHEETGDEEKKQQEANNTASFNADDFKIIKEGEAEILMHAKNEVFYNKAQVNNRDMSIAVLRTFISKRKQEHEAFLAKRTKAASKVTDESGAKTESPVDTDQHDGKPNNGCEVSEETSQDEPCSISEELNNNLGGKGRELKPPRVLEALSASGLRALRYAREVEEVGQVVALDNDKASVEACQRNIKFNGSIAVTKVESQLADARVYMITHPKEFDVVDLDPYGSPSVFLDSAVQSVADGGVLMCTATDMAVLCGGYGEVCYSKYGSYPLKGKYCHEMALRMLLSCIESHANRYKRYIVPILSVQMDFYIRVFVRIFTSASAMKNTPLNLSYVYQCTGCDSFHLQPIGRTVSKGNSVRYLPGFGPAVAQECSDCGKKFNMGGPIWSAPIHDQEWVADILADVKSMKERYPAYDKICGVLTTISEELPDVPLFLSLHSLCATLKCTPPSAIIFRSAVINAGYRVSGTHANPLGLKTDAPMEVIWDIMRCWVKNHPVKAQPPDQSGSIILAKEPVLQANFARAAASVNKVQANKVARFLPNPERHWGPKLRAGRQITSKHVSILGPEAVNGFSNHEDGEESASKRKKTEDAATPDS >itb06g24030.t2 pep chromosome:ASM357664v1:6:25740394:25741989:-1 gene:itb06g24030 transcript:itb06g24030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLQNILVAIGGFFLLMIFTFAVVYKCRKEQAPRRAAPATELTSVTVGESGTLNRIDMGELVRATRDFSPDLLIGRGHFGLVYRARLSSGVHVAVKKLAPDAFQGFREFRAEMETLVKIQHENIVKILGYSAAGSDLVLIYEFVENGSLDQWLYDTSSSTHDVAESRKSGQHLNWKTRIKIIQGVARGLAYLHSFESPIIHRDIKSSNILLDIKFRARITDFGFARQMKESHSHFSTEAAGTMGYMPPEYIQGCTKATKSGDIYSFGVLMMEIITGRRPINPSTGKFENGYDISILTKWVANMMSQKRYIEMVDLNISRKDFSESDVINYFAIVTRCASVHPKSRPTINHVIGMLEQLG >itb06g24030.t1 pep chromosome:ASM357664v1:6:25740394:25741989:-1 gene:itb06g24030 transcript:itb06g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLQNILVAIGGFFLLMIFTFAVVYKCRKEQAPRRAAPATELTSVTVGESGTLNRIDMGELVRATRDFSPDLLIGRGHFGLVYRARLSSGVHVAVKKLAPDAFQGFREFRAEMETLVKIQHENIVKILGYSAAGSDLVLIYEFVENGSLDQWLYDTSSSTHDVAESRKSGQHLNWKTRIKIIQGVARGLAYLHSFESPIIHRDIKSSNILLDIKFRARITDFGFARQMKESHSHFSTEAAGTMGYMPPEYIQGCTKATKSGDIYSFGVLMMEIITGRRPINPSTGKFENGYDISILTKWVANMMSQKRYIEMVDLNISRKDFSESDVINYFAIVTRCASVHPKSRPTINHVIGMLEQLG >itb03g26970.t1 pep chromosome:ASM357664v1:3:26652275:26652601:1 gene:itb03g26970 transcript:itb03g26970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSFFILISTHWFLQNNHLPPIFSLFLFSSSSMSIAPYSSTQPSPSPSSPSSESRKSSVGHHSFETSPTLFSDSRISLNLRPNQYEFHGGNEWGFGLDVGVDDEDA >itb13g10840.t1 pep chromosome:ASM357664v1:13:15801729:15803127:-1 gene:itb13g10840 transcript:itb13g10840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPGDDVGSKADYEIETRFSESHVKFLPKMEDRSKRMMFEFLNSLERTLTVNKSVRTVYFHNLAKFDGIFFMRHYVERTDNIYRFKPLIRNHMLYELKVHKGRKLLMRFRDSCNLLPGSLASLAERLCPQLGPKGSIQHQELGPMPSTSQARQRSDLAGVALRLIS >itb15g20670.t2 pep chromosome:ASM357664v1:15:23355644:23359471:1 gene:itb15g20670 transcript:itb15g20670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYQAVVVPFRLGNFICDNQIVGGHMDVTRLKVMGDTPSLFSDDNGAKSSDQLPAGNDDSNCGDSESDLSITVSTIPEENRSEGAMTSENESNWIASDAVARESEDDDYLSLEGDPILDSSCSLSVVSDSSSVCNDDLLAEIASEIEAQNFIDVERNICNIELVSRSGNLEESNDEKIVGDALAVAASPTEGSTDGSSSKSSAVVQLPLDKGSSATVGRSVFEVDYVPLWGHTSVCGRRPEMEDAFATVPRFFKIPIEMLIGDRVLDGSTRRLSHLTAHFFGVYDGHGGSQVANYCHDRIHAALAEELEIIMAELNDENAKHNCQEQWTRAFSNCFLKVDAEVGGQGGHEPVAPETVGTTAVVAIVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRTKDDDCLILASDGLWDVMTNEEVCDLARKRILQWHRKYGVTLPSERGEGTDPAAQSAAEYLSNRALQKGSKDNITVIVVDLKPQRKVKNKT >itb15g20670.t1 pep chromosome:ASM357664v1:15:23355628:23359471:1 gene:itb15g20670 transcript:itb15g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMYQAVVVPFRLGNFICDNQIVGGHMDVTRLKVMGDTPSLFSDDNGAKSSDQLPAGNDDSNCGDSESDLSITVSTIPEENRSEGAMTSENESNWIASDAVARESEDDDYLSLEGDPILDSSCSLSVVSDSSSVCNDDLLAEIASEIEAQNFIDVERNICNIELVSRSGNLEESNDEKIVGDALAVAASPTEGSTDGSSSKSSAVVQLPLDKGSSATVGRSVFEVDYVPLWGHTSVCGRRPEMEDAFATVPRFFKIPIEMLIGDRVLDGSTRRLSHLTAHFFGVYDGHGGSQVANYCHDRIHAALAEELEIIMAELNDENAKHNCQEQWTRAFSNCFLKVDAEVGGQGGHEPVAPETVGTTAVVAIVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRTKDDDCLILASDGLWDVMTNEEVCDLARKRILQWHRKYGVTLPSERGEGTDPAAQSAAEYLSNRALQKGSKDNITVIVVDLKPQRKVKNKT >itb05g16660.t1 pep chromosome:ASM357664v1:5:23823650:23828174:-1 gene:itb05g16660 transcript:itb05g16660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRNIRYNRLPIDEDDYDYDDRAGRNDHRYDYVPKSYEKVPWKSIGLAVFLLCLGCLLLLISFFIYTSHMGGELSQAYGLCALGVLSFIPGFYETRIAYYSWRGAQGYRFSLIPDY >itb05g16660.t3 pep chromosome:ASM357664v1:5:23823650:23828174:-1 gene:itb05g16660 transcript:itb05g16660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRNIRYNRLPIDEDDYDYDDRAGRNDHRYDYVPKSYEKVPWKSIGLAVFLLCLGCLLLLISFFIYTSHMGGELSQAYGLCALGVLSFIPGLSTHF >itb05g16660.t2 pep chromosome:ASM357664v1:5:23823650:23828174:-1 gene:itb05g16660 transcript:itb05g16660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRNIRYNRLPIDEDDYDYDDRAGRNDHRYDYVPKSYEKVPWKSIGLAVFLLCLGCLLLLISFFIYTSHMGGELSQAYGLCALGVLSFIPGLSTHF >itb13g16000.t1 pep chromosome:ASM357664v1:13:22891246:22896993:-1 gene:itb13g16000 transcript:itb13g16000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTISARVWKCRSLIMVAVIAFALFGSISCTTSSSNGSSSSEKEYVVTLERSNFSDFISKHKFIVVEFYAPWCGHCKKLAPEYEKAAKILNENDPPVILAKVDANEEKNKPLASEYEIKGFPTIKILRYGGSVVQDYKGPRDADGIVTYLKKQSGPASVEIKSVDDAAALIDGKKVVIVGVFPEFSGEQFENFTTLAERLRSDYEFGHTHNAEYLPHGDTSVTGPVIRLFKPFDELFVDFKVFDVDGLVKLVEESSIPTVTLFNKDPTNHPFVIKFFNSPNSKAMLFLNFSSELIDTFKSKYHEVAEQYKGKGISFLIGDVEASQGAFQFFGLKDDQVPLVIIQTNDGGKYLKPNVEPDHIAPWVKQFEDGSLQPHRKSEPIPVVNNEPVKVVVADSFQDMVFNSRKNVLLEFYAPWCGHCKKLAPILDEIAVSFENDSDVTIAKIDATANDIPRETFDVKGYPTLYFISASGKVLRYEGGRTKDSFISFIQKNRDKYTEQSSVKEEL >itb13g16000.t2 pep chromosome:ASM357664v1:13:22892599:22896931:-1 gene:itb13g16000 transcript:itb13g16000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTISARVWKCRSLIMVAVIAFALFGSISCTTSSSNGSSSSEKEYVVTLERSNFSDFISKHKFIVVEFYAPWCGHCKKLAPEYEKAAKILNENDPPVILAKVDANEEKNKPLASEYEIKGFPTIKILRYGGSVVQDYKGPRDADGIVTYLKKQSGPASVEIKSVDDAAALIDGKKVVIVGVFPEFSGEQFENFTTLAERLRSDYEFGHTHNAEYLPHGDTSVTGPVIRLFKPFDELFVDFKVFDVDGLVKLVEESSIPTVTLFNKDPTNHPFVIKFFNSPNSKAMLFLNFSSELIDTFKSKYHEVAEQYKGKGISFLIGDVEASQGAFQFFGLKDDQVPLVIIQTNDGGKYLKPNVEPDHIAPWVKQFEDGSLQPHRKSEPIPVVNNEPVKVVVADSFQDMVFNSRKNVLLEFYAPWCGHCKKLAPILDEIAVSFENDSDVTIAKIDATANDIPRETFDVKGYPTLYFISASGKVLRYEGGRTKDSFISFIQKNRDKYTEQSSVKEEL >itb13g04100.t1 pep chromosome:ASM357664v1:13:4522893:4527889:-1 gene:itb13g04100 transcript:itb13g04100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVERITKGNPPGISVIRSIRGKDWSFKTYRYVVLFVTFIAYTSYHASRKPSSIVKSVLDPKSGIDNATLNSSYLWPVGDVFVKRELDLGRSKGKGWEPFNGKDGTKKLGEIDVAFLACYSIGMYVAGHLGDSLDLRLFLTTGMIGSGVFVALFGMGYFWNIHEFWFYLAMQMGAGLFQATGWPSVVAVIGNWFDKSKRGLIMGVWNAHTSVGNISGSLLAAAVLDYGWGWSFILPGAFIFVTGLIVFFFLPAYPEDVGFPCENAVVAEHSDEETQLGNENEGASMFSHGSVTRKGVGFLGACLIPGVIPFALCLFFSKMVAYTFLYWLPFYLSQTAIGGEYVSVKSAGNLSTLFDVGGIVGGILAGLFSDWFDARATTAASFMSAAIPVMFLYRRFGGVSETLNIFLMFLSGLCVNGPYALITTAVSADLGTHKSLRGDSRALATVTAIIDGTGSLGAALGPLLTGFLSTKGWDAVFIMLSIGALCAVLLLSNLVVTELRGKNYKHRPNVEHNSGGSASQPLLHDER >itb01g27060.t4 pep chromosome:ASM357664v1:1:32044067:32046591:-1 gene:itb01g27060 transcript:itb01g27060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEIVRVLESCLSQIKWRLKLSSKRRLETDILALCTEMRPVVMVDYGGKMPELQDRLCAFLKHCRNESSAFEFLQVMVIEDMIYLIHLRAFEEFVKLSLNLEREFLFIDLEHDPPEVVFCLFSFIYFVYMYILGGKGGIECLYCT >itb01g27060.t1 pep chromosome:ASM357664v1:1:32040370:32046591:-1 gene:itb01g27060 transcript:itb01g27060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEIVRVLESCLSQIKWRLKLSSKRRLETDILALCTEMRPVVMVDYGGKMPELQDRLCAFLKHCRNESSAFEFLQVMVIEDMIYLIHLRAFEEFVKLSLNLEREFLFIDLEHDPPEMITRAEESPAIVELVLAQKLFSSLFSEGKINSNHLGHCSTDITANNKPTSSQSSDFIDLSNCIKETEVTIPTLNGWLLGYPVVYLFGKDHIQDAIYNLSTKSLHLFQILVCRNGAHNDRSQSQKEELLSFSVPYELSLEGNDEPWAQAFLAHMQAGLKKCDQVWSSLRMEVVGCYPQAIAF >itb01g27060.t2 pep chromosome:ASM357664v1:1:32040531:32046542:-1 gene:itb01g27060 transcript:itb01g27060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIWADILALCTEMRPVVMVDYGGKMPELQDRLCAFLKHCRNESSAFEFLQVMVIEDMIYLIHLRAFEEFVKLSLNLEREFLFIDLEHDPPEMITRAEESPAIVELVLAQKLFSSLFSEGKINSNHLGHCSTDITANNKPTSSQSSDFIDLSNCIKETEVTIPTLNGWLLGYPVVYLFGKDHIQDAIYNLSTKSLHLFQILVCRNGAHNDRSQSQKEELLSFSVPYELSLEGNDEPWAQAFLAHMQAGLKKCDQVWSSLRMEVVGCYPQAIAF >itb01g27060.t3 pep chromosome:ASM357664v1:1:32044558:32046542:-1 gene:itb01g27060 transcript:itb01g27060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEIVRVLESCLSQIKWRLKLSSKRRLETDILALCTEMRPVVMVDYGGKMPELQDRLCAFLKHCRNESSAFEFLQVMVIEDMIYLIHLRAFEEFVKLSLNLEREFLFIDLEHDPPEMITRAEESPAIVELVLAQKLFSSLFSEGKINSNHLGHCSTDITANNKPTSSQSSDFIDLSNCIKETEVTIPTLNG >itb15g01640.t2 pep chromosome:ASM357664v1:15:969720:975184:1 gene:itb15g01640 transcript:itb15g01640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKTRRLLHSIDAQHGLFSASPFTSIAAETTTKHQVYEQIAHLPQTSTPQFLHFVDPRFYLSALVNCKSVSEIKRVHAQIAVNGLLENLVVANKLLYTYTMHKVISDAYALFGGLSERDPVSWSVMVGGFAKADDFIGCFRTFKEYLRSVDQPDNYTLPFVIRACRDRMNLQMGRLIHNVVCKYGLQSDPFVAAALADMYARCKVIGDAKQVFHRMPNRDVVTWTVMIGAFTDCGDPYEALVLFDQMREEGVLPDKVAMVNVVNACAKLGAMQKARLIHEYILRNNFTLNVILGTAMIDMYAKCGNVDSAREIFDCMRQKNVITWSAMIGAYGYNGQGKKALDLFALMLQNGIQPNRITFVSLLYACSHSRLVEDAQKLFRSMQGEYGVTPDVKHYTCMVDVLGRAGRFEEAQSLIQNMTVEKDEGLWGALLGACRIHGRVELAEMAADSLLELQPQNPGHYILLANIYAKAGRWQHVAKIRELMTHQSLKKTPGWTWIEVDNSIHRFSVADHTHPSSKAIYQKLSHLRGEVELAGYVPDTDFVLHDVDEELKLESLFAHSEKLAIAFGLITTPEGTSISVIKNLRVCGDCHMFIKFVSLVTSRTIVVRDANRFHHFRSGACSCGDYW >itb15g01640.t1 pep chromosome:ASM357664v1:15:969720:975184:1 gene:itb15g01640 transcript:itb15g01640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSRMKMLLNATAPKFKFQEPIFRPLPSPNPVKLWIAISSYGYLAVLNCNRVRSLRTQSVQMVSGEFPTILGTMFAGKATTVLKRIQSDRCKSRTESKISMFKSKTRRLLHSIDAQHGLFSASPFTSIAAETTTKHQVYEQIAHLPQTSTPQFLHFVDPRFYLSALVNCKSVSEIKRVHAQIAVNGLLENLVVANKLLYTYTMHKVISDAYALFGGLSERDPVSWSVMVGGFAKADDFIGCFRTFKEYLRSVDQPDNYTLPFVIRACRDRMNLQMGRLIHNVVCKYGLQSDPFVAAALADMYARCKVIGDAKQVFHRMPNRDVVTWTVMIGAFTDCGDPYEALVLFDQMREEGVLPDKVAMVNVVNACAKLGAMQKARLIHEYILRNNFTLNVILGTAMIDMYAKCGNVDSAREIFDCMRQKNVITWSAMIGAYGYNGQGKKALDLFALMLQNGIQPNRITFVSLLYACSHSRLVEDAQKLFRSMQGEYGVTPDVKHYTCMVDVLGRAGRFEEAQSLIQNMTVEKDEGLWGALLGACRIHGRVELAEMAADSLLELQPQNPGHYILLANIYAKAGRWQHVAKIRELMTHQSLKKTPGWTWIEVDNSIHRFSVADHTHPSSKAIYQKLSHLRGEVELAGYVPDTDFVLHDVDEELKLESLFAHSEKLAIAFGLITTPEGTSISVIKNLRVCGDCHMFIKFVSLVTSRTIVVRDANRFHHFRSGACSCGDYW >itb12g21890.t1 pep chromosome:ASM357664v1:12:24101833:24108031:-1 gene:itb12g21890 transcript:itb12g21890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRRDLIPHGPGSVKMVAEEADDLWIAYNLIAEGDTVLASTFRKVLREDASGGREAERLKLKLQIKVEAVEYDKEDSALRIRGKNILENEHVKIGAFHTLEIELHRPFVLGKDVWDSLALEALRQAADPAASADLAVVLMQEGSAQVFLVGKSVTISRSRIETSIPRKHGPAIAGYDKALTKFFNNVVDAFLKHIDFNVIRCAVIASPGFTKDQFHRHLLLEAERKQLRPIIENKSRIILAHTTSGYKHSLKEVLDAPNVMNMIKDTKAAKEVQALKDFFNMLSNDPDRACYGPKHVEVAHERMAIQTLLITDEVFRNSDVATRQKYANLVKAVKSSGGTTHIFSSMHVSGEQLTLMSGIAAILRFPLPDLDDIEM >itb15g03440.t2 pep chromosome:ASM357664v1:15:2186009:2189626:-1 gene:itb15g03440 transcript:itb15g03440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAIIVPLGLMFFISGLITNLIQAICFILIRPLSKSIYRRINRVFAELLWLEVVWLVDWWAGVKIKVYTDPETRKLMGKEHALLICNHRSDIDWLVGWVLAQRSGCLGSALAVTKKSSKLLPVIGWSMWFSEYLFLERSWAKDENTLKLGLRRLRDYPQPFWLALFVEGTRFTQAKLLAAQEYAVTSGLPIPRNVLIPRTKGFVMTVSQMRTFVPAIYDSTVAIPKSSPVPTMLRMFKGQSSVVHVHIKRHLMSELPENDEAIAQWCKDIFIAKVCPPRKLVILPESINIVLIFLRTDNLSTLDRSK >itb15g03440.t1 pep chromosome:ASM357664v1:15:2186009:2189626:-1 gene:itb15g03440 transcript:itb15g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAAIIVPLGLMFFISGLITNLIQAICFILIRPLSKSIYRRINRVFAELLWLEVVWLVDWWAGVKIKVYTDPETRKLMGKEHALLICNHRSDIDWLVGWVLAQRSGCLGSALAVTKKSSKLLPVIGWSMWFSEYLFLERSWAKDENTLKLGLRRLRDYPQPFWLALFVEGTRFTQAKLLAAQEYAVTSGLPIPRNVLIPRTKGFVMTVSQMRTFVPAIYDSTVAIPKSSPVPTMLRMFKGQSSVVHVHIKRHLMSELPENDEAIAQWCKDIFIAKDKLLDEHIAKDTFGEERVQDLGRPVKSLVVVLSWSCLLILGAVKFFHSSGVLSSWKGLTISATVLAVVTVLMQILIMFTQSERSTPAKVASAKPKTVVENGEGKEK >itb06g03400.t1 pep chromosome:ASM357664v1:6:5768624:5770303:-1 gene:itb06g03400 transcript:itb06g03400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFYDLTNLNNLCGTKRLLKISVLISAESSNLLRSIKGMIAPTPPPPTLPGLTDITINNPAKQSSNAGEGSGRVICWPPSGVVKSRDAVNFKQENAILIMAMLTAAATFLAAWSFAGVVYQDSETARDYSSLAGPFVLLNSAGFIASVAVIMSVLHQLPLKPWPQISVCSWFGSYMCLMMKLSPHEALLLLSVSVPLLVLAAMGKLIRFSQ >itb13g21700.t1 pep chromosome:ASM357664v1:13:28230804:28244252:1 gene:itb13g21700 transcript:itb13g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKCISPCVSPAGNMKSLSFSGKAKGKAHSLDPQIKTTETDMDIDMGEIYFLIMHFLSMGPCHKTYGQFWNELLENQLLPRRYHAWYSRSGIKSGDENDDGISFPLSYNKLAERYPHVEKDHLVKLLKQLLLSAAVPSREAVGGNTINAATVPTLLGTDSFSLLSSDQNKRNDDVTHPPGHLRWPHMKADQVRGLSLREIGGGFARHHRAPSNRAACYAIAKPSTMVQKMENIKKVRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVNFNNTLVASASNDYIIRVWRLPDGLPISVLRGHSAAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARHSQFSPRVYVPKPPESVAGPSTLVQSHSIFCCAFNASGTFFVTGSSDFLARVWNACKSNTDDLEKPNYEIDVLAGHENDVNYVQFSGSAVTSRYSLSDSSKEENIPKFKNSWFTHDNIVTCSRDGSAIIWIPRPRRSHGKSGRWQKAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGAPIRTYEIGRFKLVDGKFSPDGTSIILSDDVGQLYILNTGEGESQKDAKYDQFFLGDYRPLIQDTNGNVLDQETQLAPYRRNMQDLLCDSVMIPYPEPYQSMYQKRRLGALGIEWRPSSIRFAVGPDVTLDQDYPMLPIADLEMLIEPLPGIIDAMDWEPAIENLSEDTDSEYHVTEDTSGGEQGSFSSNAPGDPASSGGDSDDDTQRDSRRRSKRKKQKGEVEIMSSFGRRVKRKNLDEYEGSSLRNNHGRKSRNGRKTSKKKSSKSSRPRRAAARNALHLFSRITGASADGEDEDCSEGDSSESKSTVQDSYTESEESDVSLHDEHHGHSKGKEVCLDQSEDMGMLFQDPKSHLNYGNRKRLVLKLPNRDSNRAAPPQSAKLEYESESAQAGPSSTAFHEADGAPEYKCQDNLPGLTDNDGTERSETRQLRSQHFYLLDGCKGGNMRWGGVRSRTSKHSRTGDLPQSGSHAGVNLNVSGHVQTENVIDEDSTMENECERIHPSSGFQNHEDNGMIHSIEPSPHTGMLGNSESAETAEKYVDECKNSEELPTCSHKMADNPDVPSVPCANGTGDHPPLKDRVTGIPTRLRIRSKNSADLDSRSKTDEKSELEISRLNPCCGADDASQETPKILSSNLPCNDNFERPSVANGLDEKQVEQESAGGATGSSVQDSKQLQSEDRMFSAVYRRSRFGRGRSNVECLGGGSMETSTSNSQSLTPAEGNGNIGEAVRRTRSIRLRPTSGDINLSGSNLRFRKPRDHTVPPSTGLERASGNRGGDESPNDESRSNSKVAVGVRSTRIRRSSFYIREPSPPDRRKSNQSAKSSWLMLVAREEHRYIPQQGDEVVYLRQGHEEYISECDLRGRRPWEAIRGNISAVEFCRVEALEYTSRPGSGESCSKMTLKFIDPSSAVSGKTFQLTLPEVTGFPDFLVERTKYDVAMERNWTTRDKCRVWWKNEGEEDGDWWEGRIIGVQAKSAEYPDSPWERYIVRYKKGDPSEQHRHSPWELFDSDTQWKQPQIDENIRARLLHAMDKLEQSGNKAKDNYGVQKMRQVSQRSQFINRFPVPLSLEIIHARLKNNYYRRLDAVKHDIDVMLLNAESYFGRSAELSKKIKQLSSFLTKTLSSL >itb01g07540.t1 pep chromosome:ASM357664v1:1:5953728:5953994:1 gene:itb01g07540 transcript:itb01g07540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHAFKGKLYRFALGSKVACKIQTQDPTEDLRYRRKIQTTNANNAAAPTLLLCRWIPLPSAASGDSAQPQMSPKRKFQYAPVSFFH >itb10g20980.t1 pep chromosome:ASM357664v1:10:26352469:26354936:-1 gene:itb10g20980 transcript:itb10g20980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAMASVGGLLGSSKAVLEGSSLQISGPARLSSATATATARVGLPRPAGGLAVRAQQGSAEAETSRRALLGAAAVGLASGSFVQAVLAEARSIKVGPPPPPSGGLPGTLNSDEPRDLQKPLKDRFFLQPLTPSEAAARAKESAKDIISVKDLIAKKAWPYVQNGLRSKAEYLRFDLNTVISAKSKDEKKALKELTGKLFQNISDLDYAAKKKDSSKAEKYYAETVSTLNDVLAKLG >itb06g15450.t1 pep chromosome:ASM357664v1:6:19787564:19788762:1 gene:itb06g15450 transcript:itb06g15450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKNEVEYIAKLQHRNLVKMLGCCIDGEEKLLIYEYMPNGSLDTFIFDESKSRLLDWPKRFQIINGIARGLMYLHQDSRLRIIHRDLKASNILLDDNMEPKISDFGIARCLLGNEIEAKTNRIVGTYGYISPEYAVHGLYSVKSDVFSFGVLVLEIIKGERNTKFFLHDQGINLLGHAWKLYKEGRSLELLEPHLSSTHTMSTSEVLRAIHVGLLCVQQRPEDRPGMSSVILMLNNEGVLPQAKQPGFFTEDNGAYCSNSSTTRITITTLDPR >itb02g07500.t1 pep chromosome:ASM357664v1:2:4650018:4651562:1 gene:itb02g07500 transcript:itb02g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTTKASTTDQQQQRHRYPLPDPGKNDRAPPPVDEETVKEVVLSETPVSKPLALQVLADENKKSNAVTAGQQKEVEFQHLKIQVPLPAAEIELDLDGVGRRVVPPTAVVEEIVSEPSELCSFTESISTTATERRDDDGEVNQRSPARGAPRKRRSAGDLAGGRDRSFRSPARRSAPSPEKRKPLTSSRAGQNRPMASQRRNVGPQNGAQRHSVPARRSRSPVTHREAVDTRPNVRNKSPAVSESARSPAESAENRGDNKVEKPNDGVSEETGESLENPLVSLECFIFL >itb03g29200.t1 pep chromosome:ASM357664v1:3:30005284:30011610:-1 gene:itb03g29200 transcript:itb03g29200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVEVVMETVAEVSGGGGGQAEAATVMVAAGNCSGLAEGEGEMGMVAASKHAEVVVVDRQIDLVGSATMALLASSKGVVISVPVLVLTAATAAVFFFVLLSSLSSPSSPPTCSCPTAPDRTASSAATTGSYEERISPSAEDIEWVKGQIVANGLHMRQNVLRKGINPRTRQHQLQDLLQFKGVSHYEGEEANNHTALACPSELLVEEHHSNYGEPWAGGRDVFEFLAESVHLAPNSKVLEIGCGTLRVGLHFIRYLNPGQYHCLERDELSLMAALRYELPSQGLLPKRPLIVRGEDMEFSKFGSGTMYDLIYASAVFLHMPDKLVWAGLERLVDRLKPLDGRIFVSHNIKFCSRLGGDECTKRLSNLGLEYLGKHTHDSLLFNHYEIWFEFRRFKA >itb14g10630.t4 pep chromosome:ASM357664v1:14:12049638:12059941:-1 gene:itb14g10630 transcript:itb14g10630.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQRLLISSSCRPLVRKKAGLCLLRLFRKNPDVVNVDGWSDRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWNCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQPQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFAMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAKEYLDKPAIHETMVRVSAYVLGEYSHLLSRRPGCSPKEIFSVIHEKLPAVSTSTIPILLSTYAKILMHTQPADPELQNQIWAIFRKYESCIDVEIQQRAVEYFELSKKGAVMMDVLAEMPKFPERQSALIKKAEDSEADTAEQSAIKLRAQQQQPSNALVVTDQRPANGSPPVSQLGLVKIPSVSNHEQDHNSVDQGITQANGTLTVVDPQPPSAPSPDLLGDLLGTLAIEGHPGDVQGEKHLVSGVKGDSNVEEALALAPVEEQTNTVQVLLTTCIF >itb14g10630.t1 pep chromosome:ASM357664v1:14:12046830:12059948:-1 gene:itb14g10630 transcript:itb14g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQRLLISSSCRPLVRKKAGLCLLRLFRKNPDVVNVDGWSDRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWNCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQPQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFAMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAKEYLDKPAIHETMVRVSAYVLGEYSHLLSRRPGCSPKEIFSVIHEKLPAVSTSTIPILLSTYAKILMHTQPADPELQNQIWAIFRKYESCIDVEIQQRAVEYFELSKKGAVMMDVLAEMPKFPERQSALIKKAEDSEADTAEQSAIKLRAQQQQPSNALVVTDQRPANGSPPVSQLGLVKIPSVSNDHNSVDQGITQANGTLTVVDPQPPSAPSPDLLGDLLGTLAIEGHPGDVQGEKHLVSGVKGDSNVEEALALAPVEEQTNTVQPIGNITERFQALCLKDSGVLYEDPYIQIGIKAEWRVHQGRLVLFLGNKNTSPLTSVQAIILPPSHLKMELSLVPETIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTHMVNVKLRLPAVLNKFLQPIPVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMLLAEMANLFNSFRLMVCPGLDPNTNNLVASTTFYSESTRAMLCLIRIETDPADRTQLRMTVASGDPTLTFELKEYIKEQLVALPTAAARPATQVPPPQPQPASQPTSESDPGALLAGLL >itb14g10630.t3 pep chromosome:ASM357664v1:14:12048470:12059948:-1 gene:itb14g10630 transcript:itb14g10630.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQRLLISSSCRPLVRKKAGLCLLRLFRKNPDVVNVDGWSDRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWNCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQPQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFAMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAKEYLDKPAIHETMVRVSAYVLGEYSHLLSRRPGCSPKEIFSVIHEKLPAVSTSTIPILLSTYAKILMHTQPADPELQNQIWAIFRKYESCIDVEIQQRAVEYFELSKKGAVMMDVLAEMPKFPERQSALIKKAEDSEADTAEQSAIKLRAQQQQPSNALVVTDQRPANGSPPVSQLGLVKIPSVSNDHNSVDQGITQANGTLTVVDPQPPSAPSPDLLGDLLGTLAIEGHPGDVQGEKHLVSGVKGDSNVEEALALAPVEEQTNTVQPIGNITERFQALCLKDSGVLYEDPYIQIGIKAEWRVHQGRLVLFLGNKNTSPLTSVQAIILPPSHLKMELSLVPETIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTHMVSSVINFYFYFYFFKLKSVATKAITKEWTENALFHG >itb14g10630.t2 pep chromosome:ASM357664v1:14:12046940:12059948:-1 gene:itb14g10630 transcript:itb14g10630.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIFMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQRLLISSSCRPLVRKKAGLCLLRLFRKNPDVVNVDGWSDRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWNCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQPQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFAMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDIWFRVVQFVTNNEDLQPYAALKAKEYLDKPAIHETMVRVSAYVLGEYSHLLSRRPGCSPKEIFSVIHEKLPAVSTSTIPILLSTYAKILMHTQPADPELQNQIWAIFRKYESCIDVEIQQRAVEYFELSKKGAVMMDVLAEMPKFPERQSALIKKAEDSEADTAEQSAIKLRAQQQQPSNALVVTDQRPANGSPPVSQLGLVKIPSVSNHEQDHNSVDQGITQANGTLTVVDPQPPSAPSPDLLGDLLGTLAIEGHPGDVQGEKHLVSGVKGDSNVEEALALAPVEEQTNTVQPIGNITERFQALCLKDSGVLYEDPYIQIGIKAEWRVHQGRLVLFLGNKNTSPLTSVQAIILPPSHLKMELSLVPETIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTHMVNVKLRLPAVLNKFLQPIPVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMLLAEMANLFNSFRLMVCPGLDPNTNNLVASTTFYSESTRAMLCLIRIETDPADRTQLRMTVASGDPTLTFELKEYIKEQLVALPTAAARPATQVPPPQPQPASQPTSESDPGALLAGLL >itb07g21780.t1 pep chromosome:ASM357664v1:7:26335971:26336996:1 gene:itb07g21780 transcript:itb07g21780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSTTAWVYDVFLSFRGETRKSFTDHLYEDLRQAGVNTFRDDEEIRKGENISDELLKAIEGSKISIIVFSKTYAHSRWCLDELVKILDCKKNLQQMVLPIFYNVDPSEVRKQTGEFGKALARHRQRFDDQKIDKWKVALTTVADLSGWDLQTMTNG >itb12g09070.t2 pep chromosome:ASM357664v1:12:7113819:7120219:1 gene:itb12g09070 transcript:itb12g09070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVDEIADQFWSQPPILLSQAAELDLGSSSATMMNRSSSEWAFQRFLQEASVVDHNSSPPPPLSSSAAAAVAASSTSISQSEQVPPDEFLEIKQQSLAPNRNSSFRQNNLGTATATATNSKSTSNNVTSFGSTHASDIPDNSEKYQAFLKSRLDLACAAVASRAKYLRPQDSTPMTPGMGSSVSNTLQPESQVPPKGSEHDSLKGQEEGGAPTGIPSLPAVQKKSSGQVRSTSSGSEQSDDDEAEGEGEAETNQKMDPADAKRVRRMLSNRESARRSRRRKQAHLTELDTQVSQLKIENSSLLKRLTDISQKFNEAAVDNRVLKADVETLRAKVKMAEETVKSVTGLSPLFQAMADISTMGMQSFAGNPTDRSIGAALPVQNDPNHHSYYQSPSGVHFQPHDPIIQNGLVDIPPMDNVLQVPATATVGANGIGRATAVPPATVGASGLGRATAVPPATVMASGIGRATAVPPATVMASGIGRATAVPPATVGASGIGRATAVSPATVGGSGIGRATAGSPATGGASGIGGATAGSTHPVVSMEHFQKH >itb12g09070.t1 pep chromosome:ASM357664v1:12:7113819:7120219:1 gene:itb12g09070 transcript:itb12g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVDEIADQFWSQPPILLSQAAELDLGSSSATMMNRSSSEWAFQRFLQEASVVDHNSSPPPPLSSSAAAAVAASSTSISQSEQVPPDEFLEIKQQSLAPNRNSSFRQNNLGTATATATNSKSTSNNVTSFGSTHASDIPDNSEKYQAFLKSRLDLACAAAKYLRPQDSTPMTPGMGSSVSNTLQPESQVPPKGSEHDSLKGQEEGGAPTGIPSLPAVQKKSSGQVRSTSSGSEQSDDDEAEGEGEAETNQKMDPADAKRVRRMLSNRESARRSRRRKQAHLTELDTQVSQLKIENSSLLKRLTDISQKFNEAAVDNRVLKADVETLRAKVKMAEETVKSVTGLSPLFQAMADISTMGMQSFAGNPTDRSIGAALPVQNDPNHHSYYQSPSGVHFQPHDPIIQNGLVDIPPMDNVLQVPATATVGANGIGRATAVPPATVGASGLGRATAVPPATVMASGIGRATAVPPATVMASGIGRATAVPPATVGASGIGRATAVSPATVGGSGIGRATAGSPATGGASGIGGATAGSTHPVVSMEHFQKH >itb14g05600.t1 pep chromosome:ASM357664v1:14:4875725:4879070:-1 gene:itb14g05600 transcript:itb14g05600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFCIIDDGVRSVSSEVSSDLAVEVEGIRYLLHKFPLFSKCLRLQKLCYSDSPEASSGQHIVVKLPDFPGGIEAFELCAKFCYGFTITLSAHNLVSARCAAEYLQMTEETEKGNLISKLETFFNSCILQGWKDPIVALQSTKAFQACSEDLGITPRCIDAISSKVLANPTKINLSKSHSRRVEESMFNGSEPQRHKSWWAEDLAELNLDLYWRTMIAIKSAGRVPSNVIGDSLRIYASRWLPNITSFTRIKKDSDPDSISSKYRLVLESIISLLPAEKGAVSCTFLLKLLKAASVLRASNSSKMEVARRVAIRLEDASVGDLLIPSVSSTSETVYDVDIVMFMLEEFLLQGKSPATSPPRRSRGDFERRRSRSAENVDLEFQESRRSSSASHSSKLKVAKLLDGYLQEISSDANFPVSKFIKIAESFPDFARPDHDDLYKAIDIYLKAHPELNKSERKRICRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAVSGGHTVELPGNLKALLANAPQNENIAKKKLSPPEDQWSATGLKTPKTTLKMRLAEDEDEDYDLDGIYGDGVGKSSRIKAICVLPNRSKRIFSKLWSINRNSQNDRN >itb14g05600.t2 pep chromosome:ASM357664v1:14:4875725:4879070:-1 gene:itb14g05600 transcript:itb14g05600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLVSSEVSSDLAVEVEGIRYLLHKFPLFSKCLRLQKLCYSDSPEASSGQHIVVKLPDFPGGIEAFELCAKFCYGFTITLSAHNLVSARCAAEYLQMTEETEKGNLISKLETFFNSCILQGWKDPIVALQSTKAFQACSEDLGITPRCIDAISSKVLANPTKINLSKSHSRRVEESMFNGSEPQRHKSWWAEDLAELNLDLYWRTMIAIKSAGRVPSNVIGDSLRIYASRWLPNITSFTRIKKDSDPDSISSKYRLVLESIISLLPAEKGAVSCTFLLKLLKAASVLRASNSSKMEVARRVAIRLEDASVGDLLIPSVSSTSETVYDVDIVMFMLEEFLLQGKSPATSPPRRSRGDFERRRSRSAENVDLEFQESRRSSSASHSSKLKVAKLLDGYLQEISSDANFPVSKFIKIAESFPDFARPDHDDLYKAIDIYLKAHPELNKSERKRICRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAVSGGHTVELPGNLKALLANAPQNENIAKKKLSPPEDQWSATGLKTPKTTLKMRLAEDEDEDYDLDGIYGDGVGKSSRIKAICVLPNRSKRIFSKLWSINRNSQNDRN >itb01g28900.t1 pep chromosome:ASM357664v1:1:33221091:33222412:1 gene:itb01g28900 transcript:itb01g28900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRSEPKKSVAEEEEEEDSSTDNEGSESDSSESEPEPEQTQTEMQIAKRPSAAATKVTEASSTPAKIQAASSASYETDSESDFESESQRPAPIVKPNDHPRESGQSKPRKSGAEAKRPAPAADREVTESKRQKRNPEFVVEISEIKPSADDSKKQLFQRLWSEDDEIALLEGMIEYTEKENADPHTDLDAFHDFIRNSLHFTVSKNQLQNKIKRMRKKYINNAGKGKSFSKPNEQKTYQLSRKIWGKGDEKIEDSSQVGVVHVLMACSNGSAKEEKINKKSMKKAPVVQDAIETPEANAAAASSGSKNVKRMEADNNANGSNRFNSLSRFMVKNKGIVIGEEKRNEIEKKRKAVVVAEIDLFLKELELIQEQVKATLDAMK >itb10g22020.t1 pep chromosome:ASM357664v1:10:27011546:27013793:1 gene:itb10g22020 transcript:itb10g22020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPLSPSHYHPPSSCGALQIPESSLHSLPLKNSSLLGSTASLSRRRNPPPPSRLVQRAHFSGSPIKPQLVVRAVAAPHSAVGVPLTAENVESVLDEIRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEVSSVESIPDEETGLELNAENIEKVLEEIRPYLVGAAGGTLELVAIEEPIVKVRITGPAAGVMTVRVAVTKKLREKIPAIAAVQLLQ >itb06g22280.t1 pep chromosome:ASM357664v1:6:24639480:24642259:-1 gene:itb06g22280 transcript:itb06g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSSSNRYVGIWYNKIPVQTVVWVANRDTPLVNTSSSTVLKITLGGQLSHVGDKGQAVWSVNTSRSVINPVAELLDSGNLVVRDAEDENPENFLWQSFDHPTDHYLPGMKFGWNIQTGNEVFLTAWKGENDPASGQYTLHLDPTGYPQVIIKNGTTEIFSSGPWTGLHFSATSVDPSSSSSRPLYNFPHGVVINKKGVYAWYNTRNDLGLFRFVVTSNGILKLWVWEDDIKQWASYRSYPSDTCGTYGLCGGNGVCNIYQSHICGALDGGEEIAVKRLSKNSTQGLEEFKNEVICIAKLQHRNLVKLLGCCISGKEKMLIYEYMPNKSLDFFIFDQTRKKLLDWLKRFNIINGIARGLLYLHQDSRLRIIHRDLKSSNVSLDIDLNPKISDFGLARSLVGNATGDYTKRVAGTHGYISPEYATHGIFSVKSDVFSFGVLVLEIVSGKKNSEFSNEDRYETLLGHAWKLYKEGESLTLVDEHISDSYDVAQVLRSIHVGLLCVQQSPEDRPNMSSVVQMLINDVMLPQLKEPGFFVGRRAAVSSESSSSKHATTSLSEVSMSSLYPR >itb06g22280.t2 pep chromosome:ASM357664v1:6:24641048:24642259:-1 gene:itb06g22280 transcript:itb06g22280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSSSNRYVGIWYNKIPVQTVVWVANRDTPLVNTSSSTVLKITLGGQLSHVGDKGQAVWSVNTSRSVINPVAELLDSGNLVVRDAEDENPENFLWQSFDHPTDHYLPGMKFGWNIQTGNEVFLTAWKGENDPASGQYTLHLDPTGYPQVIIKNGTTEIFSSGPWTGLHFSATSVDPSSSSSRPLYNFPHGVVINKKGVYAWYNTRNDLGLFRFVVTSNGILKLWVWEDDIKQWASYRSYPSDTCGTYGLCGGNGVCNIYQSHICVCLEKFLPNNNTTATESLSQGCHRRKHLNCHNNGSSSDGFLKYSDIKLPDTRHFWYNESMSLQECEQVCLRNCSCTAYSNLNISNGGSGCLIWYDDLVDMRTLYNGQYLYIRLASSEIPGIIDDVFIITLTTL >itb14g14890.t1 pep chromosome:ASM357664v1:14:17970488:17974570:-1 gene:itb14g14890 transcript:itb14g14890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPEAFDKYCRGASHYGPFWDHLLGYWKESSENPGKVLFLKYEEMKNESNVQLRQMAAFLGCPFSAEEEEGGVVGGILRLCSFESLSSLPELWLRDSPLRLPVWGVDSIFGISETSSSDGFSTSFGSELGSTGTETESEDGGGGEDDDDFIAELARQMADYML >itb11g02800.t1 pep chromosome:ASM357664v1:11:1432524:1433893:-1 gene:itb11g02800 transcript:itb11g02800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTKAISTQLQSRKCIVLLQTLTSLQQSSLFSSKSREPRVQKAQDPSPRTSFNPPKPEGESKPLPFCEIPFHSNVANSVDLIGFVDCPVQLEDLPDGRRVAKTVILSTVSSPSLSIPVVFQGDLAVIAKFHVKKNDCIYVSGQLSGDHGNQKTVHLLVQIMNFVVGLKRELSGISGLENEDDDDDVSVKQKKGNKKGFRFSRKTMNVEQVKDWKDLIKNPKQWKDYRGRKAKGTVKPRHPDFKNEERKVSLWLSDAPEWILQGLEGLEFCDSVPKGKAQK >itb04g04860.t1 pep chromosome:ASM357664v1:4:3010049:3013541:1 gene:itb04g04860 transcript:itb04g04860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEEEALCRNYPYALYFVQSPSTVSHANSADLGRPTTLPAANNPNADYSPPRSEPAARPPLSRYSSRGSNNTFSRHEKKVSFDDDFHSHGTGVAENARENPAGAGAGVERRCGEDEDDEEDFDEYYYGRRGGWKRFLSMGYSDSGAWMFMQIAWRLVLSMALALVVFYIATKPPPPKVSVKIVGIRQWGLEEGVDATGVTTKMLSCNCSIDLIIDNKSKLFGLHTHPPLTTMYFGRLPFATSQLGEELYAGSSGSTLFKLTVGTRNKPMYGAGGAMQDMLDSGKGLPVVVRVRFVSWFRVVWGLIRPKFHHQADCLVVLSKSYNKKRRTQVFNSTCVIL >itb04g10690.t2 pep chromosome:ASM357664v1:4:10223292:10231310:-1 gene:itb04g10690 transcript:itb04g10690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQTNSNTDCTEHMATGKSLLSDSSDACGVFGEPHIRPRVGEEYQVEIPPYTENKADGTDSWDLFVGLHIPLRWANEGGDTTKKLDDSSQIASSSRGPESSSDIIVEDGKLRNASIEEEQPKGGCPESCLVPDDVLDPWTDIERKTFLLALYIFEKNFDHVQSFVQTKKTGDVLAYYYGEFYKSDEYNRWSDGQKVRNRKCVYGQKIFVGSRLQELLSRLFPKVSAECQSELLEISRTFGEGKILLKNYVLTLKRLVGIDALIEAVGIGEAKDLTNLAFEPTRSNNVLTMRGEIPTGKACSSLTPNEIIKYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPKNMPYGAGSSKQSLVFLMPGIKKFSRKLVKGNHYFDSVTDVLGKVASEPSLLVLDTENHLGNKNQEENEGHHGVKVESDDLPPVRCHSYLQPRTPNERTDDIKFTVVDTSLCDGKPYKVRDLKSLSLGTSRKLPAQTRSEDSGKDSQKVSTEIPDSVDTMLIDQAVLISADSNTSLPNGETSSDRKDLEVGATNRKMATVRNEEVHASCPESIPVSNAMDTVDLCEDMKSKKVVKPRISQKPREEDDVVPITKKRRRLTACSRTETNNISCVSRVELAMPSCCSSVINMPASNALQMGSFQDKMSSTSSSKSSPSVSTECIPVNNSCAAEVSPVEPPQPRILIDLNMLPDSPDSENGLSLTETTEEQTDGVKKPESDSELQVVTGMDSSDQQQPLDTSDQQQPLDSSVQQQPLDTSVQQQPLDSSGQHQPPSTSSRRHSTRNRPPTMRALEALANGFLTVNRRQKNREAGPRQNLGSKSSQQAHGRTKAAEFGNNSEVSKLGEGANNACNRAENNAFSKFQPPPDRSGGTTSGP >itb04g10690.t1 pep chromosome:ASM357664v1:4:10223292:10231278:-1 gene:itb04g10690 transcript:itb04g10690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQTNSNTDCTEHMATGKSLLSDSSDACGVFGEPHIRPRVGEEYQVEIPPYTENKADGTDSWDLFVGLHIPLRWANEGGDTTKKLDDSSQIASSSRGPESSSDIIVEDGKLRNASIEEEQPKGGCPESCLVPDDVLDPWTDIERKTFLLALYIFEKNFDHVQSFVQTKKTGDVLAYYYGEFYKSDEYNRWSDGQKVRNRKCVYGQKIFVGSRLQELLSRLFPKVSAECQSELLEISRTFGEGKILLKNYVLTLKRLVGIDALIEAVGIGEAKDLTNLAFEPTRSNNVLTMRGEIPTGKACSSLTPNEIIKYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPKNMPYGAGSSKQSLVFLMPGIKKFSRKLVKGNHYFDSVTDVLGKVASEPSLLVLDTENHLGNKNQEENEGHHGVKVESDDLPPVRCHSYLQPRTPNERTDDIKFTVVDTSLCDGKPYKVRDLKSLSLGTSRKLPAQTRSEDSGKDSQKVSTEIPDSVDTMLIDQAVLISADSNTSLPNGETSSDRKDLEVGATNRKMATVRNEEVHASCPESIPVSNAMDTVDLCEDMKSKKVVKPRISQKPREEDDVVPITKKRRRLTACSRTETNNISCVSRVELAMPSCCSSVINMPASNALQMGSFQDKMSSTSSSKSSPSVSTECIPVNNSCAAEVSPVEPPQPRILIDLNMLPDSPDSENGLSLTETTEEQTDGVKKPESDSELQVVTGMDSSDQQQPLDTSDQQQPLDSSVQQQPLDTSVQQQPLDSSGQHQPPSTSSRRHSTRNRPPTMRALEALANGFLTVNRRQKNREAGPRQNLGSKSSQQAHGRTKAAEFGNNSEVSKLGEGANNACNRAENNAFSKFQPPPDRSGGTTSGP >itb10g19160.t1 pep chromosome:ASM357664v1:10:24927772:24928831:1 gene:itb10g19160 transcript:itb10g19160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAAITLFDSCWFHLEILKKRPNPSSSSTSETDPDLKIKEISSEWKEFPSQLVVETRSKAELLSSNSGYNSDSFSPVSVLPESHFRSTPETEKKVKRGNRGKRRGRKGEKGSLSKSLSELEFQELKGFMDLGFEFSEEDVNSSLVEIIPGLQKLGKKEEEEKKTPNKPARARPYLSEAWEAMEKEERKKNQLMNWRIPAMNNEVNMKDSLKWWAHTVASTVR >itb04g05240.t2 pep chromosome:ASM357664v1:4:3267431:3270187:1 gene:itb04g05240 transcript:itb04g05240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPTSHISSFSFTRSPPPPPHRHRLLTFSSLSISPATNFSSSLSLLHPATPRPSFRFFSASNGGAGGGDRGGSNNGGGGGDDGDAGDKNRGEAIMALADSGRSLDSLPKDLAAAIEAGKIPGTIVHRYFELEKSAVFRWLLNFGGFKERLLADDLFLTKVGIECGVGIFTKTAAELEKRREKFSKELDFVFADVVMAIIADFMLVWLPAPTVSLRPSLAIGAGPLNKFFYNCPDNAFQVALAGTSYSFLQRIGAIVVCLSFENISVDVLCSCFLSYSIQRSSNFLQRNGAKLFAVGTGASLVGTGITNALINVRKAFDKSFALEAEDLPILATSAAYGVYMAVSSNLRYQILAGVIEQRILEPLLHNKKIVLSVICFAVRTGNTFLGSLMWVDYARWVGIQKERE >itb04g05240.t1 pep chromosome:ASM357664v1:4:3267431:3270187:1 gene:itb04g05240 transcript:itb04g05240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPTSHISSFSFTRSPPPPPHRHRLLTFSSLSISPATNFSSSLSLLHPATPRPSFRFFSASNGGAGGGDRGGSNNGGGGGDDGDAGDKNRGEAIMALADSGRSLDSLPKDLAAAIEAGKIPGTIVHRYFELEKSAVFRWLLNFGGFKERLLADDLFLTKVGIECGVGIFTKTAAELEKRREKFSKELDFVFADVVMAIIADFMLVWLPAPTVSLRPSLAIGAGPLNKFFYNCPDNAFQVALAGTSYSFLQRIGAIVRNGAKLFAVGTGASLVGTGITNALINVRKAFDKSFALEAEDLPILATSAAYGVYMAVSSNLRYQILAGVIEQRILEPLLHNKKIVLSVICFAVRTGNTFLGSLMWVDYARWVGIQKERE >itb12g18920.t1 pep chromosome:ASM357664v1:12:21229473:21234248:1 gene:itb12g18920 transcript:itb12g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGTVVCPAPMKATSNGSFQGDSPLDYALPLLILQICLVVVLTRLLAYLLRPLRQPRVIAEIIGGVLLGPSAFGRSKTYLNAIFPPKSLTVLDTLASLGLLFFLFLVGLELDPKSLRRTGKKALSIALAGISLPFGLGIGTSFILRATIAKGVSQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGQMAMSAAAVNDVAAWILLALAIALSGTGHSPLISLWVLMCGTGFVLLCILVVPRIFKWMASRCSEGEPVDEIYVCAILAAVLAAGFVTDTIGIHALFGAFVIGVLVPKDGPLAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGAQSWGLLVLVIFTACFGKILGTIVVSTLCRMPVQEAITLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMALFTTFITTPIVIALYKPAKLAVTEYKFRTIQRKDPSKQLRILTCFHSRRNIPSLINLIEASRGTEKSGGFKVYAMHLMELSERSSAILMCHKARKNGLPFWNTGGEPADSTEIVVAFETFEHLSKVSIRPSTAISAMSSMHEDIIASAEGKRVAMIILPFHKHQKIDGHFETTRNELRHVNRRVLEGAPCSVGILVDRGLGGTSHVSASNVDYTITVLYFGGHDDREALAYGARMVEHPGICLVVARFILDPETAGTSVTLDVSPNGSPEEEKSRDEDFLAGFKLRNSTNSSVKYEEKVVKDAAETMEAIRGYTRCNLLVVGRMPEGEIVAAMNNKSECPELGPLGNLLSCKEFSTTASVLVVQQYRRDLSKNGHKEEDSADGEYEST >itb15g11860.t2 pep chromosome:ASM357664v1:15:9733296:9735362:1 gene:itb15g11860 transcript:itb15g11860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELLKTQQTSVLRVNIHCDGCKQKVKKKLQKIEGVYAVKIDVDLGRVTVTGNVDPGTLIKKLVKSGKHAELWSQKGNSNNNAFNMMNSQFMNMQIGDFKGGKDQKSQNKGGNKEQKGGGGQMMMMQNMMKGGKDLKGGHNKDQKSVKFNLPEDEFDDDSDYFDDDSDDFDDDFDDGFDGGHHHQPPPAKSKSMPFMGGGGGGGGGGGGGHGGFSGMMNMLKGGAGNNHGGNAKKGGGVDIPVHFKGMPSGGNHHDGNKNSNGGKNGKGGNQNQGGGKGKNGGGGGGGGFPGDGKNSGGGHGKGGGGGQKNGGFMMNNMQQGFHEGGGKGMGQMGGMGNYPMGGNMAAVQGLPAPGAGGMKGGGGYFPGMGMAMGQGGNPYNPQQQHYMAQMMMNQYQQPRPNGYDMYGHGGHPMMYAPPHPAMGYGPPPPHVNDNFTHMFSDENTGSCTIM >itb15g11860.t1 pep chromosome:ASM357664v1:15:9733273:9735834:1 gene:itb15g11860 transcript:itb15g11860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELLKTQTSVLRVNIHCDGCKQKVKKKLQKIEGVYAVKIDVDLGRVTVTGNVDPGTLIKKLVKSGKHAELWSQKGNSNNNAFNMMNSQFMNMQIGDFKGGKDQKSQNKGGNKEQKGGGGQMMMMQNMMKGGKDLKGGHNKDQKSVKFNLPEDEFDDDSDYFDDDSDDFDDDFDDGFDGGHHHQPPPAKSKSMPFMGGGGGGGGGGGGGHGGFSGMMNMLKGGAGNNHGGNAKKGGGVDIPVHFKGMPSGGNHHDGNKNSNGGKNGKGGNQNQGGGKGKNGGGGGGGGFPGDGKNSGGGHGKGGGGGQKNGGFMMNNMQQGFHEGGGKGMGQMGGMGNYPMGGNMAAVQGLPAPGAGGMKGGGGYFPGMGMAMGQGGNPYNPQQQHYMAQMMMNQYQQPRPNGYDMYGHGGHPMMYAPPHPAMGYGPPPPHVNDNFTHMFSDENTGSCTIM >itb05g15770.t1 pep chromosome:ASM357664v1:5:23127225:23128238:1 gene:itb05g15770 transcript:itb05g15770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFRLEISKLISSFKSCRSKDPSSLPNNPVPLSHAHKPSIRAIPNDLRKERREFRWRKEEEWHVVAATTSHDNNKPTPRRKISNSSLYEETLAAPPAPEKRNRQTRKSKKVVKASSSSSSSSGYENRIDEASRTRRNSRKKCGVFRSSPASESEMPARLSVFKKLIPCSLEGKVKESFAMVKRSKDPYEDFKGSMVEMILEKQMFEKQDLEQLLQCFLSLNTRQYHGIIVEVFSEIWEALFCTNNNNNSSDENSEGSWMSSGNRRKRAVC >itb12g20300.t3 pep chromosome:ASM357664v1:12:22751514:22753768:-1 gene:itb12g20300 transcript:itb12g20300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDQKNRSDFRLQEFQALLFVCSDPLCSRFIAVEQDMYENDIDSKTVGALSMGVPGEVAGLHEAWLKHGRLPWSTLFQPAIRLARYGFVVATYLATDIAKKEDLIMNDPGLRGVYAPNGKLLQEGDICYNVELSNSLEAIAEQGPEAFYNGTVGEKLVEDVQKAGGILTMEDLRNYRVKVRDPIVVNAMGYTIFGMPPPSSGTVGLSLILKVLESYPSSVAAEGPLGLHRMIEAVKHMLGVRMNLGDPDFVNVSSVVYDMLSPSFAQMIQQRIYDNTTFPSEYYMPRWSLLRDHGTSHFCIVDADRNAVAMTTTVNYLFGAGLLSPSTGIVLNNEMGDFSVPTEVSTDKLPPSPANFISPNKRPLSSMTPIVVLKDNQLAAVIGGSGGTNIIATVTQVFLNHFVLGMDPLEAVQSPRVYHKLIPNTVLYENWTIMDGEHIELSGGRRRFLEGRGHQLQAQESGAICQFLVQNLPKPGQWLNNGTFYGMLTAVSDPRKGGSPAAI >itb12g20300.t1 pep chromosome:ASM357664v1:12:22751442:22755017:-1 gene:itb12g20300 transcript:itb12g20300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQSLEATLLTGSELGFNQKRWRRALWVLLALIAIACLGVVLCSSLSIWTAGDGNKFSGRGKARNAETVESGQGQKAAVAADDGRCSKVGLSILKTGGHAVDAAVATALCLGVVNPVSSGIGGGAFMLVRSASTSEVEAIDMRETAPLAASKDMYENDIDSKTVGALSMGVPGEVAGLHEAWLKHGRLPWSTLFQPAIRLARYGFVVATYLATDIAKKEDLIMNDPGLRGVYAPNGKLLQEGDICYNVELSNSLEAIAEQGPEAFYNGTVGEKLVEDVQKAGGILTMEDLRNYRVKVRDPIVVNAMGYTIFGMPPPSSGTVGLSLILKVLESYPSSVAAEGPLGLHRMIEAVKHMLGVRMNLGDPDFVNVSSVVYDMLSPSFAQMIQQRIYDNTTFPSEYYMPRWSLLRDHGTSHFCIVDADRNAVAMTTTVNYLFGAGLLSPSTGIVLNNEMGDFSVPTEVSTDKLPPSPANFISPNKRPLSSMTPIVVLKDNQLAAVIGGSGGTNIIATVTQVFLNHFVLGMDPLEAVQSPRVYHKLIPNTVLYENWTIMDGEHIELSGGRRRFLEGRGHQLQAQESGAICQFLVQNLPKPGQWLNNGTFYGMLTAVSDPRKGGSPAAI >itb12g20300.t2 pep chromosome:ASM357664v1:12:22751505:22754736:-1 gene:itb12g20300 transcript:itb12g20300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTSEVEAIDMRETAPLAASKDMYENDIDSKTVGALSMGVPGEVAGLHEAWLKHGRLPWSTLFQPAIRLARYGFVVATYLATDIAKKEDLIMNDPGLRGVYAPNGKLLQEGDICYNVELSNSLEAIAEQGPEAFYNGTVGEKLVEDVQKAGGILTMEDLRNYRVKVRDPIVVNAMGYTIFGMPPPSSGTVGLSLILKVLESYPSSVAAEGPLGLHRMIEAVKHMLGVRMNLGDPDFVNVSSVVYDMLSPSFAQMIQQRIYDNTTFPSEYYMPRWSLLRDHGTSHFCIVDADRNAVAMTTTVNYLFGAGLLSPSTGIVLNNEMGDFSVPTEVSTDKLPPSPANFISPNKRPLSSMTPIVVLKDNQLAAVIGGSGGTNIIATVTQVFLNHFVLGMDPLEAVQSPRVYHKLIPNTVLYENWTIMDGEHIELSGGRRRFLEGRGHQLQAQESGAICQFLVQNLPKPGQWLNNGTFYGMLTAVSDPRKGGSPAAI >itb09g08610.t1 pep chromosome:ASM357664v1:9:5130164:5130607:-1 gene:itb09g08610 transcript:itb09g08610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLWFLLICTCTATEKPQEHVHVDETACKDCTICQYPYCINNQPVLPPPPPSLPLPPPPPLYPVNCQPPPVAPVDCCPQNMGPPPPGPQNMGPQNLGAPPPPVYYTYPEQSAASEPPLMEPRTSLFSWFVGSLPILMAVVFQGA >itb09g00140.t2 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMNQLSGAG >itb09g00140.t1 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMVLARADRH >itb09g00140.t7 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMVSDFILYFLYKNG >itb09g00140.t6 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMVSDFILYFLYKNG >itb09g00140.t5 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMVSDFILYFLYKNG >itb09g00140.t4 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMVLARADRH >itb09g00140.t3 pep chromosome:ASM357664v1:9:210626:217478:-1 gene:itb09g00140 transcript:itb09g00140.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MAFSQLSGSTVSNGLRHDIRQRELMKEILFKFHPTQSNPVRNFCLRRVGSSRMRCVDGSRNCSLSISNQNNFLSSQLIHPRKQFFLRANNPASSNFDVQSCKQKDVSAVGDNTLSNSSGEVLELSETISSETNGIGNYLADSTTASLHSQDIKRELVILSLPALAGQAIDPLTQLMETAYIGRLGSVELASAGVSISVFNVISKLFNIPLLSVATSFVAEDIAKSETGFSPSEGDEGSKSKPFNGRAERHQLSSVSTALLLAVGIGIIEALALSLGSGPLLSLMGISHTSPMRAPAQRFLALRALGAPAFVVSLALQGIFRGFKDTKTPMFCLAIGNFMAIFLFPLLMFYYQLGVSGAAISTVISQYLVTFSMLWCLNKRAVLLPPKLGELQFGGYLKSGGFLLGRTLSVLFTMTLGTSMAARQGPVAMAAHQICLQVWLAVSLLTDALAASAQALVASYSSKGDYRVVKEITHFVLKIGLVTGVFLTSALGVSFASLATLFTKDMEVLGVVKTGVLFVSASQPINALAFIFDGLHYGVSDFAYAARSMMLVGALSSAFLLYAPRVFGLQGVWLGLTLFMGLRMMAGFIRIFSKDGPWWFLHCDMDNIMNQLSGAG >itb04g07790.t3 pep chromosome:ASM357664v1:4:5390655:5395019:1 gene:itb04g07790 transcript:itb04g07790.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGREGGYLVPADPKKKGAGSRSWLLMEASGQEIVLDVDKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPFDENVTPVVEELRRRLKPVNANHEDQGEGKDAVAQQDVETAEEDESPFEFRALEIALEAICSFLAARTIDLETDVYPALDMLTSKISSKNLDRVRKLKSQMTRLTGRVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSASASWFLASPTIGSKISRASRASVATVRGDENDVEDLEMLLEAYFMQIDGTLNKLNTSYEGCIHLTFY >itb04g07790.t1 pep chromosome:ASM357664v1:4:5390650:5396343:1 gene:itb04g07790 transcript:itb04g07790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGREGGYLVPADPKKKGAGSRSWLLMEASGQEIVLDVDKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPFDENVTPVVEELRRRLKPVNANHEDQGEGKDAVAQQDVETAEEDESPFEFRALEIALEAICSFLAARTIDLETDVYPALDMLTSKISSKNLDRVRKLKSQMTRLTGRVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSASASWFLASPTIGSKISRASRASVATVRGDENDVEDLEMLLEAYFMQIDGTLNKLNTLREYIDNTEDYINIQLDNHRNQLIQLELFLSSGTVVMSIYSLVAGIFGMNIPYSWNDNHGYMFKWVVIVTGIFCAVLFILIISYARHKGLVGS >itb04g07790.t2 pep chromosome:ASM357664v1:4:5390650:5396108:1 gene:itb04g07790 transcript:itb04g07790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGREGGYLVPADPKKKGAGSRSWLLMEASGQEIVLDVDKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPFDENVTPVVEELRRRLKPVNANHEDQGEGKDAVAQQDVETAEEDESPFEFRALEIALEAICSFLAARTIDLETDVYPALDMLTSKISSKNLDRVRKLKSQMTRLTGRVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSASASWFLASPTIGSKISRASRASVATVRGDENDVEDLEMLLEAYFMQIDGTLNKLNTLREYIDNTEDYINIQLDNHRNQLIQLELFLSSGTVVMSIYSLVAGIFGMNIPYSWNDNHGYMFKWVSLFLQYALVISCVLFWF >itb10g14130.t1 pep chromosome:ASM357664v1:10:20435263:20438759:-1 gene:itb10g14130 transcript:itb10g14130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVNCGWTADCGWLAGDSVFTRPRGIAGDLFLVIHVKEEHGIRRDGLNLYSKVEVDYTGAILGTVTKVKTMEGIKNLKIPPGCQPGDTLKMKNMGVPNMNKPSERGDHHFIVNVRIPKNISNEERDLLEKLASLGAASTEQPMPFDGDSYGSVKHLWKSVKNFFGQKQSGERFASMSMEAPPALWSRAFSPPSLMVSVSALLFMTCIIALVKIGQCTLHKKPLIRQNARYKEIKDQ >itb10g21390.t1 pep chromosome:ASM357664v1:10:26617591:26618967:1 gene:itb10g21390 transcript:itb10g21390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQHKHGIGRSNGTCSPKTIRVVMVMIGVCIAGYVIGPPLYWFLSDTFIAAAISSSSSSSSCPPCHCDCSLQPLLSHPNGLNVTPFIDCMEHDPELRDGSERNVTTVLVEELELRETEVHEIQQKADQALLEAKKLTSQYQKEADKCTSGMETCEEAREKAEAALEAQRRVTAMWELRARQKGWKDNLIAASIESVQTALGGGDTTLSVNDF >itb05g02900.t2 pep chromosome:ASM357664v1:5:2333460:2338731:1 gene:itb05g02900 transcript:itb05g02900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATTTTSGGGAKPPLPTTATTTVAKTRRRAGEHEDAAGCAGNNMISHAAHHHNSQHRTPAAGGALRRRIVSCAARVVMPGRNLSGWILGALTLLAVSTAFVKISLMKSFLQVHARMSPNDFLQLPPPSLAADGGAGGGRRRRAAAVPDTHFSKHNETCDHYQKNETCNHYQKDSEIWRNPLSDNYTKCVERSSRDTRLQNSTNGYILVHANGGLNQMKTGISDMVVIAKLMNATLVIPYLDHKSFWTDPSEFKEIFNWQHFKKSLEDDVQVVEALPPHLADVKPLVKTPVSWSKPGYYSKVILKLLKKHKVIEFTHSDSRLANNGIPDPIQKLRCQAMYEALRFTDGIEELAKKLVSRLKENGDHYIALHLRYEKDMLAFTGCNHNLTKKEAEELRKLRHRTRHWKEKRINGTERRLQGACPMTPQEAAIFLEAMGYPANTKIYIVAGTIFGQNGLNALQEKYPNVYSHSNLATAEELEPFTNKHNQLAALDYIVAVESDVFAYTYDGNMAKAVRGHRMFEGFRKTINPDKKYFVRILDEMDRGELTLKEFASELRNLHQNRTGGPNPRVVGQAPKLEENFYANPLPGCLCSRR >itb05g02900.t1 pep chromosome:ASM357664v1:5:2333460:2339364:1 gene:itb05g02900 transcript:itb05g02900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATTTTSGGGAKPPLPTTATTTVAKTRRRAGEHEDAAGCAGNNMISHAAHHHNSQHRTPAAGGALRRRIVSCAARVVMPGRNLSGWILGALTLLAVSTAFVKISLMKSFLQVHARMSPNDFLQLPPPSLAADGGAGGGRRRRAAAVPDTHFSKHNETCDHYQKNETCNHYQKDSEIWRNPLSDNYTKCVERSSRDTRLQNSTNGYILVHANGGLNQMKTGISDMVVIAKLMNATLVIPYLDHKSFWTDPSEFKEIFNWQHFKKSLEDDVQVVEALPPHLADVKPLVKTPVSWSKPGYYSKVILKLLKKHKVIEFTHSDSRLANNGIPDPIQKLRCQAMYEALRFTDGIEELAKKLVSRLKENGDHYIALHLRYEKDMLAFTGCNHNLTKKEAEELRKLRHRTRHWKEKRINGTERRLQGACPMTPQEAAIFLEAMGYPANTKIYIVAGTIFGQNGLNALQEKYPNVYSHSNLATAEELEPFTNKHNQLAALDYIVAVESDVFAYTYDGNMAKAVRGHRMFEGFRKTINPDKKYFVRILDEMDRGELTLKEFASELRNLHQNRTGGPNPRVVGQAPKLEENFYANPLPGCLCSRR >itb11g11030.t1 pep chromosome:ASM357664v1:11:7840245:7843382:-1 gene:itb11g11030 transcript:itb11g11030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQAFVGSIDQGTTSTRFIIYDRSARPVVSHQIEFTQFYPHPGWVEHDPVEILESVKVCVRKAVDKATADGLNVDGLLKAIGITNQRETTVVWSKSSGLPLYNAIVWMDARTTSICRKLEKELPGGRTHFVETCGLPISTYFSAMKLLWLLENVEAVKEAVKSGDALFGTVDSWLIWNMTGGVGKGLHVTDVSNASRTMLMNLKTLDWDKPTLELLGIPAEILPKIVSNSEIIGNIAKGWPITDIPIAGCLGDQHAAMVGQACRKGEAKSTYGTGAFILLNTGEKTIKSTHGLLSTLAYKLGPKAPANYALEGSIAIAGAAVQWLRDSLNLISSASEIEQLALKVESTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVRDVLDSMHKDAGDKGEVKNDRGEFVLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGIWTEDEIFSCGEKMKKDTIFKPAIEEEVRKKKVDSWCKAVSRTFDLADLSL >itb01g24490.t1 pep chromosome:ASM357664v1:1:30125490:30128886:-1 gene:itb01g24490 transcript:itb01g24490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSDLYHVLTAVVPLYVAMILAYGSVKWWKIFTPGQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKIIVLVVLLIWSWTSSRGSLEWSITLFSVSTLPNTLVMGIPLLKGMYGDASGTLMVQIVVLQCIIWYTLLLFLFEFRGARLLIAEQFPDNAGSIISFKVDSDIISLDGKEPLETQADVGEDGRLHVTVRKSCSSRSEIFSRHGPNSGLSFTPRASNLSNAEIYSLQSSRNPTPRGSSFNHTDFYSLVNGKNANLSPRRSNSGFDEEIGFGRGYAQGNAGYPAPASAGIFSPMTAPAAKKKANGTDSGGKDLHMFVWSSGGSPVSEGGIHVFRKGDYGHELAVGAPPKDYDEFGRDDSRQNPNGNEREGPVLSKLGSSSTTELNAKVAPDAKPTAMPPASVMTKLILIMVWRKLIRNPNTYSSLFGIVWSLISFKWHVEMPAIVAKSIAILSDAGLGMAMFSLGLFMALQPRMIACGKTVASFSMAIRFITGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLVALPITLVYYILLGL >itb04g26490.t4 pep chromosome:ASM357664v1:4:30822714:30829248:1 gene:itb04g26490 transcript:itb04g26490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVLAQEEDRDKRSDFEISEDEKRRTRIRSLKKKAMSASTRLTHTLRKRSRRVAHCRFASISTDDFRDEKEEEAVNTFRQVLIEKDLLPTRHDDYHTMLRFLKARKFDLDKTIQMWADMLNWRKENGVDTIIQDFIYDEFQEVQRYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTIDRFLKYHIQGFEKVFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLVMRMQKIDGDNYPETLNQMFIVNAGSGFRLLWNTAKGFLDPRTTAKIHVLGSKFQSKLLEIIDPNQLPDFLGGTCVCQNEGGCMRSDKGPWNDPELMKLVSALQGETIFRKLGSFSDSDVEIKPLSITLLNSDIGCAEPGADVGFYAYSGKKSIQHSINKETERRPESLCRIVEQLDDGSRVESISSSNLTYGNTQVQEKSILKLLVNILFRLLGWIYIFRHRIGGVYVKNNLNRTADNEQRSNAPDISPQEQNISSPKKVDLLHPCCERLQQLEKAVAELLNKPTEIPPEKDHMLLDSMNRIKSIEHDLQRTKKALLATASQQVELAESLELLKEDTLKGTKSCWLRTKSTPYRT >itb04g26490.t2 pep chromosome:ASM357664v1:4:30822676:30829248:1 gene:itb04g26490 transcript:itb04g26490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVLAQEEDRDKRSDFEISEDEKRRTRIRSLKKKAMSASTRLTHTLRKRSRRVAHCRFASISTDDFRDEKEEEAVNTFRQVLIEKDLLPTRHDDYHTMLRFLKARKFDLDKTIQMWADMLNWRKENGVDTIIQDFIYDEFQEVQRYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTIDRFLKYHIQGFEKVFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLVMRMQKIDGDNYPETLNQMFIVNAGSGFRLLWNTAKGFLDPRTTAKIHVLGSKFQSKLLEIIDPNQLPDFLGGTCVCQNEGGCMRSDKGPWNDPELMKLVSALQGETIFRKLGSFSDSDVEIKPLSITLLNSDIGCAEPGADVGFYAYSGKKSIQHSINKETERRPESLCRIVEQLDDGSRVESISSSNLTYGNTQVQEKSILKLLVNILFRLLGWIYIFRHRIGGVYVKNNLNRTADNEQRSNAPDISPQEQNISSPKKVDLLHPCCERLQQLEKAVAELLNKPTEIPPEKDHMLLDSMNRIKSIEHDLQRTKKALLATASQQVELAESLELLKEDTLKGTKSCWLRTKSTPYRT >itb04g26490.t1 pep chromosome:ASM357664v1:4:30822676:30829248:1 gene:itb04g26490 transcript:itb04g26490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVLAQEEDRDKRSDFEISEDEKRRTRIRSLKKKAMSASTRLTHTLRKRSRRVAHCRFASISTDDFRDEKEEEAVNTFRQVLIEKDLLPTRHDDYHTMLRFLKARKFDLDKTIQMWADMLNWRKENGVDTIIQDFIYDEFQEVQRYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTIDRFLKYHIQGFEKVFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLVMRMQKIDGDNYPETLNQMFIVNAGSGFRLLWNTAKGFLDPRTTAKIHVLGSKFQSKLLEIIDPNQLPDFLGGTCVCQNEGGCMRSDKGPWNDPELMKLVSALQGETIFRKLGSFSDSDVEIKPLSITLLNSDIGCAEPGADVGFYAYSGKKSIQHSINKETERRPESLCRIVEQLDDGSRVESISSSNLTYGNTQVQEKSILKLLVNILFRLLGWIYIFRHRIGGVYVKNNLNRTADNEQRSNAPDISPQEQNISSPKKVDLLHPCCERLQQLEKAVAELLNKPTEIPPEKDHMLLDSMNRIKSIEHDLQRTKKALLATASQQVELAESLELLKEDTLKGTKSCWLRTKSTPYRT >itb04g26490.t5 pep chromosome:ASM357664v1:4:30822714:30829248:1 gene:itb04g26490 transcript:itb04g26490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVLAQEEDRDKRSDFEISEDEKRRTRIRSLKKKAMSASTRLTHTLRKRSRRVAHCRFASISTDDFRDEKEEEAVNTFRQVLIEKDLLPTRHDDYHTMLRFLKARKFDLDKTIQMWADMLNWRKENGVDTIIQDFIYDEFQEVQRYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTIDRFLKYHIQGFEKVFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLVMRMQKIDGDNYPETLNQMFIVNAGSGFRLLWNTAKGFLDPRTTAKIHVLGSKFQSKLLEIIDPNQLPDFLGGTCVCQNEGGCMRSDKGPWNDPELMKLVSALQGETIFRKLGSFSDSDVEIKPLSITLLNSDIGCAEPGADVGFYAYSGKKSIQHSINKETERRPESLCRIVEQLDDGSRVESISSSNLTYGNTQVQEKSILKLLVNILFRLLGWIYIFRHRIGGVYVKNNLNRTADNEQRSNAPDISPQEQNISSPKKVDLLHPCCERLQQLEKAVAELLNKPTEIPPEKDHMLLDSMNRIKSIEHDLQRTKKALLATASQQVELAESLELLKEDTLKGTKSCWLRTKSTPYRT >itb04g26490.t3 pep chromosome:ASM357664v1:4:30822714:30829248:1 gene:itb04g26490 transcript:itb04g26490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVLAQEEDRDKRSDFEISEDEKRRTRIRSLKKKAMSASTRLTHTLRKRSRRVAHCRFASISTDDFRDEKEEEAVNTFRQVLIEKDLLPTRHDDYHTMLRFLKARKFDLDKTIQMWADMLNWRKENGVDTIIQDFIYDEFQEVQRYYPHGYHGVDKGGRPVYIERLGKIEPSKLMNVTTIDRFLKYHIQGFEKVFAEKFPACSIAAKRHIDSSTTILDVHGMNWRSFGKLAHDLVMRMQKIDGDNYPETLNQMFIVNAGSGFRLLWNTAKGFLDPRTTAKIHVLGSKFQSKLLEIIDPNQLPDFLGGTCVCQNEGGCMRSDKGPWNDPELMKLVSALQGETIFRKLGSFSDSDVEIKPLSITLLNSDIGCAEPGADVGFYAYSGKKSIQHSINKETERRPESLCRIVEQLDDGSRVESISSSNLTYGNTQVQEKSILKLLVNILFRLLGWIYIFRHRIGGVYVKNNLNRTADNEQRSNAPDISPQEQNISSPKKVDLLHPCCERLQQLEKAVAELLNKPTEIPPEKDHMLLDSMNRIKSIEHDLQRTKKALLATASQQVELAESLELLKEDTLKGTKSCWLRTKSTPYRT >itb03g07810.t1 pep chromosome:ASM357664v1:3:5808784:5809275:-1 gene:itb03g07810 transcript:itb03g07810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLSPSSSKWILPPPSFYPTRTTKLSTATFKVRAMANQRSESDGGGIAEKLAIVGGLVSTPVIGWSLYTLKTTGCGLPPGPGGSIGALEGVSYLVVAAIVGWSFYTKTKTGSGLPSGPFGLLGAVEGLSYLCLLAIIAVFGLQFLDKGSIPGPLPSDQCFG >itb01g31880.t1 pep chromosome:ASM357664v1:1:35488168:35489756:-1 gene:itb01g31880 transcript:itb01g31880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRALKHENGVAGSATIIVRVIACFQPLQDCQAEYFRHLLKPVTYYRAGDCCGCMEKDFGSWFNHQCFGLQSAPLSSSGAQRNIGKGDSFSVYMNSQFNEVLTNGDFPLFTFSALPQSKAGQPDEPRNWFNCSPCFPRDVSTSPSPVPIEKLPSGSVENVGGIENCNTGTGCSLKKFLVFDKSDDQTTLIYSSANCTPVQCPESWSPKPHGAYNLIREHPGINRNEASPLGNLIDDDYVEESHRDVESEWHEDTDELDALIYCDNDYDYLEDEVTSTGRSPSTMTVHDGHECFEERGEEVESSAGPTKRHKLLDGGHDVLSFMHTATTAKPHLCSEVEDDAGSSCGNDSNEVSGLSGSKRSREEKIRKTISILQMIIPGVKGKDPMLIIDETIRCLRSLKAEAQSLGL >itb14g03850.t1 pep chromosome:ASM357664v1:14:3452492:3455632:1 gene:itb14g03850 transcript:itb14g03850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGRGGGGGFRGGRGDGGRGGRGGRGGPGGGRGGGSAMKRGGGRGGGRGGGRGRGGMKGGSKVVVEPHRHEGVFIAKGKEDALVTKNMVPGESVYNEKRIAVQNEDGTKVEYRVWNPFRSKLAAAILGGVDEIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKSEGHFVISIKANCIDSTVPAEAVFAQEVKKLQAEQFKPSEQVTLEPFERDHACVVGGYRMPKKQKPGA >itb02g24040.t1 pep chromosome:ASM357664v1:2:24367716:24368489:-1 gene:itb02g24040 transcript:itb02g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPLVCDNGTGVVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDVYVGDEAQVKQGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAILSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTTEREIVRDMKEKLAYIALDYEQELETSRTSSTVEKSYEKKKFYSITEREIWR >itb04g12830.t1 pep chromosome:ASM357664v1:4:12559018:12559461:1 gene:itb04g12830 transcript:itb04g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPQIVAFRIGDAVEVASNEEGFVGSYYEATVVGQLTTGHVYIVQYKNLVTDDFLAPLTENVPLAQIRPQPPQVQSTFFDMYQVVDAFDNDGWWVGQITGQYRDRYYVYFENFGEEILYDRDNIRIHQDWVQQSWIPNETRIQPLF >itb13g02000.t1 pep chromosome:ASM357664v1:13:1829538:1829849:-1 gene:itb13g02000 transcript:itb13g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTTGPPQSSATAAKKEMSFSPSSVYEDEIASVSLWIFHSRVSILHKDSPCEIYPKLCHCRNSSHRRSKDLDGGQQTIRSKRRQQRKQFVRACWQSFIHCI >itb05g17570.t1 pep chromosome:ASM357664v1:5:24454515:24457335:1 gene:itb05g17570 transcript:itb05g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKITKKVKAIVSKSFKKPYKSPPPQSGNQPAPPQKSPIFQPEFSAVVAAMSPPRDQRPIAKPFLFPHGQSTVLPDPSRFFAPDLLSNPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSQSSLTLCYPSQFQGPAFIYQVFNADLTISALDNPNPNAAHVVSSFSDLSLTLDLPSSNLRFFLVRGSPFLTCNVYSNVSISISTIHAILECSSNDSQTKYTIRLNNNQTWLLYASSPINLSHDLSTITSRTFSGVIRIALLPNSDPKCEAVLDRFSSCYPAAGHAAFTKPFCLEYKWDKNGWGDLLMLAHPLHLRLLQDSDCTITVLEGFKYSSIDGDLVGVVGNSWVLKTDPISVTWHSVKGASEESYGEIISALHTDVQALDAANIQTTSSYFYGKLVARAARLALIAEEVCHLDVIPTIRKFLKDAIQPWLDGTFAANGFLYDSRWGGLVTKQGLTDTGADFGFGIFNDHHYHVGYFVYAIAVLSKIDSAWGRKYRGQAYSLVADFMNLSRQKSSNYPRLRCFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLAAIGSTLSALEIQSAQTWWQVREDSNLYAEEFTKENRVVGVLWSTKRDSGLWFAPAEWRECRLGIQLLPILPISEALFSDVQFVKQLVQWTQPALAREGVGEGWKGFAYALQGIYDKAGALENVRRLNGYDDGNSLTNLLWWIHSREDDVEEYDRGGKLCWFHHYCH >itb08g02020.t1 pep chromosome:ASM357664v1:8:1612083:1614931:-1 gene:itb08g02020 transcript:itb08g02020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALSTTPCNNDPFNFIFYDATLSAGAGAGNNGFFSENACPEIQDLTAGGVGGGLNGGCSAVVAGRDGNLLVGEAEGREGGGGGGRKKRRRRPKVCKNKEEAETQRMTHIVVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEAEKLRLQRQQQPAAGDGATATCKTDDLAVASGSVVNPFWQFFAYPQYTCSSPPLIPNKCTSKTTAATADIEVTLIETHANVRILSPRRKARQLSKMVAAFQTIMCMSVLHLNVTTFDRLVLYSISAKVEGGCQLSSADEIAEAVHHILRGIEEEEVTIIP >itb12g18590.t1 pep chromosome:ASM357664v1:12:20875644:20876831:-1 gene:itb12g18590 transcript:itb12g18590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNRDDMKKHPLKICPKIMKLVEEAKDKSNDCTAYRSKDDVYEVEDCYGRKYKVDLSQYHCSCRRWDLTGIPCSHSIAAIRRKCCIPEEYVNNCYTVESYLRSYEPAILPVTSSELWHKTGFPPPLPPKYEAQPGRPKRKRRMGLTESATDQAGNKGKVGESKRCTMCGMKGHNKRRCKAKDKQAIEEEADVAAGIEEEAELNMGVEEAEPEVELQDVVVETQVPPSVLHEMDMMNSQPSQNLKYKNVGNHEPQVSNFISSHMEQLPTADNVIRKGGREYVTISTLQKKMNVSKDNKKKKS >itb14g19880.t1 pep chromosome:ASM357664v1:14:22391370:22395583:1 gene:itb14g19880 transcript:itb14g19880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKWMAIQSAKRFFNNIDTSIRLIRKQEPYQNIISNVLRRCQSHWSDKEKIGSDGIGGENEASKLPATPKSGITHLDSRSSNYDLDKYNFSSSKWKFDTVWLSKALESASQLCRWALPSGNGIGDRPPPINRSLAEIYASIQRSKLGLQDWSLSDLTIGLYLIYLQQASINPREDIKGELISSESVVHDLMYHTELAKGSYRDSTRGLARNCMLRETDVVKFIKISSMLRPGYYIAVDKRKRLVILGIRGTHTVYDIITDIVSSSNEEVTFEGYSTHFGSAEAARWFLTHEMETLRKCLQKHEGFRLRLVGHSLGGAIASLLAIMIRKKSPQELGFNPDIVTAVGIATPPCVSQDLAEKCSDYVVTVVMQDDIIPRLSVASLIRLRNEIIQTDWMSVFEKGDWKGVVDLFTNAKQVVSSIQDVAQKLAEFAKSRDQTTYPDVPPRKEVNTASGTQPTSGSASNIASLAKQHKGTLGGVDEELLVPGSVYYLKRNTDEATEFFTLWKRHPGEHFQRILLSSNVISDHRCDSHNYALRDVLKGIPASSDEAIFR >itb05g20290.t1 pep chromosome:ASM357664v1:5:26443647:26447780:-1 gene:itb05g20290 transcript:itb05g20290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYMVFGGLAAVVAALELSKSSKDRISTSPTFNAFKNNYLVVYSLMMAGDWLQGPYVYYLYTTYGYGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKVLMLGRILGGIATSLLFSSFESWLVAEHFKRGFDQQWLSITFSKAIFLGNGLVAILSGLFGNFLVDTLNLGPVSPFDAASCFLAIGMAIIFSSWTENYGDPSENKDLLTQFKSAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLASMLGSSFASRLMTRNSLKVESYMQVVFVISSAALLLPIVTSFFIAPSNVKGGGITFAGCILLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVAAFPISVMFGMCSIFLFVAAILQRRLSVIAEKPKAENWTALKERDTEADPLNAP >itb10g00740.t1 pep chromosome:ASM357664v1:10:535049:541328:1 gene:itb10g00740 transcript:itb10g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRGLCHLLMTVFLSSLSGFMVVPAITDITMAAVCPGKDECSLAIYLTGIQHAVIGMGSLVMMPMVGNLSDAYGRKTMLTIPMTLSIFPLAILAYSRSRYYYYAYYVLRTLIGMLCDGSVHCLALAYVADKVGEGRRASVFGILSGVSSSAFICGSLSSHFMSTASTFQVAGIMAIVALVYMKIFLPESLPKEDILVKATETECLLEKAPKKNFQLYKRLPSFDDLVCLLRTSPAFLHVAVVSFLSHVAETGLNASLFYFLKAQFHFDKDEFADLIMIAGFAGAISQLVLMPILAPAIGEERLLSVGLFFCSLHMLLHSIAWSPWVPYAAALMSVLATFGMPCLRSIASKQFGPNEQGKVQGCVTGLSSFANIVSPLAFSPLIATFLSDDAPFHFPGFSIMIAGLAAMLAFIQSLMMTASRSTTAYNLSDSNSPRNFV >itb15g07720.t1 pep chromosome:ASM357664v1:15:5282273:5282620:-1 gene:itb15g07720 transcript:itb15g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITVITIILSSAISSTTGQPWSDGDGDPQTDTHGAVTTSQGPHGAAIMPPYPPVETNKALWRCIRKQHDNAKRTMKSQTLLRYSRRGGALLPNEAGNDCHMRSKPAPPNGVGHW >itb05g12960.t2 pep chromosome:ASM357664v1:5:19808835:19809595:1 gene:itb05g12960 transcript:itb05g12960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGQMAGKVVKSVAKAVSEYQYPLQDKMAKYKNELSKGVWGYWELGAWKPLGISARRRAQLRKEVLLAGQDWPYDPERKEMRKKQKGHKCDRIAAEKRAKTAELMQQMPKMLADYRKRRWERKMKAEEDAARKAVQE >itb05g12960.t1 pep chromosome:ASM357664v1:5:19804675:19809651:1 gene:itb05g12960 transcript:itb05g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVVKSVAKAVSEYQYPLQDKMAKYKNELSKGVWGYWELGAWKPLGISARRRAQLRKEVLLAGQDWPYDPERKEMRKKQKGHKCDRIAAEKRAKTAELMQQMPKMLADYRKRRWERKMKAEEDAARKAVQE >itb14g03790.t1 pep chromosome:ASM357664v1:14:3411605:3415637:1 gene:itb14g03790 transcript:itb14g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKIGEENDKGRVDGKGPRVNGCNIVFGICTIGVWCESELRRPKNRYKVVGRNSISFTSVSSVKPQTKRALRIVIRASTEPHAGVMATEKGGIKVITDPPESKLSELGVRSWPKWGCPPSKFPWTYSSKETCYLLKGKVKVYPDGTNEAVEIKAGDLVEFPKGMSCTWDVSEEVDKHYNFE >itb07g22400.t4 pep chromosome:ASM357664v1:7:26849782:26853860:1 gene:itb07g22400 transcript:itb07g22400.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRSESVSVQGRSGEKMASLQGAQVIQRTRLRVWFIRVCSSILIWTCLVQLVAVAEFWRPRSLVGVAGLAKLSARIEDKLPSPPPLPIARNYTSNGFLTVSCNGGLNQMRAAICDMVTIARFLNLTLVVPELDKASFWADSSNFGDIFDVRHFIDSLRDEVRIVRRLPKRFGRRSGYQLHAMPPISWSNEKYYLEHILPLFSKHKVIHFNRTDARLANNGIPLELQRLRCRVNFHGLKFTPQIEALGQKLVHILQGKGPFVALHLRYEKDMLAFSGCTHGCTEEEAEELKQLRYAFPRWREKEIVSAEKRSQGLCPLTPEEAALILQALGFGNDTLIYIASGEIYGGERRLATLRAAFPKTVKKETLLDPSDLHYFQDHSSQMAALDFIVSVASNTFIPTYDGNMAKVVEGHRRYFGYRKTIQLDRKKLVGLLDMHQNQTLSWDEFSAAVRQAHQGKMGQPVHRRVIVDKPKEEDYFYANPHECLCQGESCDDLLAPRNSTAIEQGHLL >itb07g22400.t3 pep chromosome:ASM357664v1:7:26849760:26853842:1 gene:itb07g22400 transcript:itb07g22400.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIARFLNLTLVVPELDKASFWADSSNFGDIFDVRHFIDSLRDEVRIVRRLPKRFGRRSGYQLHAMPPISWSNEKYYLEHILPLFSKHKVIHFNRTDARLANNGIPLELQRLRCRVNFHGLKFTPQIEALGQKLVHILQGKGPFVALHLRYEKDMLAFSGCTHGCTEEEAEELKQLRYAFPRWREKEIVSAEKRSQGLCPLTPEEAALILQALGFGNDTLIYIASGEIYGGERRLATLRAAFPKTVKKETLLDPSDLHYFQDHSSQMAALDFIVSVASNTFIPTYDGNMAKVVEGHRRYFGYRKTIQLDRKKLVGLLDMHQNQTLSWDEFSAAVRQAHQGKMGQPVHRRVIVDKPKEEDYFYANPHECLCQGESCDDLLAPRNSTAIEQGHLL >itb07g22400.t2 pep chromosome:ASM357664v1:7:26849782:26853842:1 gene:itb07g22400 transcript:itb07g22400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRSESVSVQGRSGEKMASLQGAQVIQRTRLRVWFIRVCSSILIWTCLVQLVAVAEFWRPRSLVGVAGLAKLSARIEDKLPSPPPLPIARNYTSNGFLTVSCNGGLNQMRAAICDMVTIARFLNLTLVVPELDKASFWADSSNFGDIFDVRHFIDSLRDEVRIVRRLPKRFGRRSGYQLHAMPPISWSNEKYYLEHILPLFSKHKVIHFNRTDARLANNGIPLELQRLRCRVNFHGLKFTPQIEALGQKLVHILQGKGPFVALHLRYEKDMLAFSGCTHGCTEEEAEELKQLRYAFPRWREKEIVSAEKRSQGLCPLTPEEAALILQALGFGNDTLIYIASGEIYGGERRLATLRAAFPKTVKKETLLDPSDLHYFQDHSSQMAALDFIVSVASNTFIPTYDGNMAKVVEGHRRYFGYRKTIQLDRKKLVGLLDMHQNQTLSWDEFSAAVRQAHQGKMGQPVHRRVIVDKPKEEDYFYANPHECLCQGESCDDLLAPRNSTAIEQGHLL >itb07g22400.t1 pep chromosome:ASM357664v1:7:26849760:26853860:1 gene:itb07g22400 transcript:itb07g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIARFLNLTLVVPELDKASFWADSSNFGDIFDVRHFIDSLRDEVRIVRRLPKRFGRRSGYQLHAMPPISWSNEKYYLEHILPLFSKHKVIHFNRTDARLANNGIPLELQRLRCRVNFHGLKFTPQIEALGQKLVHILQGKGPFVALHLRYEKDMLAFSGCTHGCTEEEAEELKQLRYAFPRWREKEIVSAEKRSQGLCPLTPEEAALILQALGFGNDTLIYIASGEIYGGERRLATLRAAFPKTVKKETLLDPSDLHYFQDHSSQMAALDFIVSVASNTFIPTYDGNMAKVVEGHRRYFGYRKTIQLDRKKLVGLLDMHQNQTLSWDEFSAAVRQAHQGKMGQPVHRRVIVDKPKEEDYFYANPHECLCQGESCDDLLAPRNSTAIEQGHLL >itb12g18520.t1 pep chromosome:ASM357664v1:12:20813825:20815615:-1 gene:itb12g18520 transcript:itb12g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVSVALLFFFFAFLSPSVARIQISKPKYDVIVRQLTNPLPRSDVKASLRLPTHSNKLKIHPLEPRRPELEVRSDQKTRPVQKLQDSNAQKARPFEKLQDSLAQKARPFEKLQDSIVQKARLIQKLQDSIAQARPIQKLQDSNTQKVRSFGKLQDSIAQKARPIEKLQDLNAQKARPIEKLPNPSGQKAQPVNRRPESAEKKTIKPIVRLPFLALPDLIAVKNQSELPIQKNNEESKVFEDLDLLMKAQPESNDEENSKTKPIEDQPELEEAQPETKPATVEALPLKEVNFRLRSRLPYRLCRHSHLHHIEENLPIPSRSSQAEIPYSNDMIYTFGENGDFDPEVFSGSFNHIPPKTFPFLNQHNVNVEEVSKHLPKTAQEKKVVSKPSPKTAEERVSYFLVENDSERIENDLSSGTVRHRVLPKTIPFLHRNGPRNLRRQDAIQKVSNHRVPENNHGKDSNHRVTTEINTRGGSVSHMPRKWISFLHQNDLNKEKKPEKKESNLRKRIRKFLGQYLD >itb09g02560.t2 pep chromosome:ASM357664v1:9:1460932:1466121:-1 gene:itb09g02560 transcript:itb09g02560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTQYLETRYYNSCRESGVVPNHSVLSALFKVRWNKAFREPTILVIVLDDIGDVDFQPLLELLREIDASDIDAVDIITRSTCTLSGDRVILFLRAVSRKLRVVDLQDILFGKDFLLDLAQHGLTCQVLNLRSSHFRKLNMAGSFLWMHTLNLDFSASLSNFREDCFTCMPNLKCLSLCETRISNLWTTSSALAKLPSLVELRFQNRLPGFDTWQYPTSSGRNNHSDSGHLDFGLHLEVPRISGEGFAYRQINVDNEDICTSRNHDLRSMSDDSSDHDSDVDFSSQGRDLSFLEQLPDLPPVWNDLVNLQNEISFGTWGTQNDEASSSLYIPRSRLHISSMRHISRHSSPICYEKHYREYMIASLPNLKILDNLHIGEFDRERANFIFKLHFEYLPYKRTAKEGIISILQKREVREKHSCTFSSRKKLSYQSGNTQHYYSRSLSAAKVGSCAWPAVHPLCISGNTQREERRGFRPRQFEYHPSDASLMVFGTLDGEVVVINHESEKVIGHIPSLGAMNSVLGLCWLKKHPSKVIAGSDNGLLRLYDIQCMAMTTTGAYQSASSVTFDDFDQLTSVHANSTDELFLASGYSKHVALYDISSGRRLQVFDDMHQEHINVVKFSNHSPSIFATSSFDQDVKLWDLRQKPIHPCYTASSSRGNVMVCFSPDDHYLLVSAVDNEVKQLLAVDGRLHLDFGIASTGSSQNYTRSYYMNGRDYVISGSCDEHVIRICCAQTGRRLRDVSLEVLYYIDIAFDLKIMGRAV >itb09g02560.t1 pep chromosome:ASM357664v1:9:1459230:1466121:-1 gene:itb09g02560 transcript:itb09g02560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTQYLETRYYNSCRESGVVPNHSVLSALFKVRWNKAFREPTILVIVLDDIGDVDFQPLLELLREIDASDIDAVDIITRSTCTLSGDRVILFLRAVSRKLRVVDLQDILFGKDFLLDLAQHGLTCQVLNLRSSHFRKLNMAGSFLWMHTLNLDFSASLSNFREDCFTCMPNLKCLSLCETRISNLWTTSSALAKLPSLVELRFQNRLPGFDTWQYPTSSGRNNHSDSGHLDFGLHLEVPRISGEGFAYRQINVDNEDICTSRNHDLRSMSDDSSDHDSDVDFSSQGRDLSFLEQLPDLPPVWNDLVNLQNEISFGTWGTQNDEASSSLYIPRSRLHISSMRHISRHSSPICYEKHYREYMIASLPNLKILDNLHIGEFDRERANFIFKLHFEYLPYKRTAKEGIISILQKREVREKHSCTFSSRKKLSYQSGNTQHYYSRSLSAAKVGSCAWPAVHPLCISGNTQREERRGFRPRQFEYHPSDASLMVFGTLDGEVVVINHESEKVIGHIPSLGAMNSVLGLCWLKKHPSKVIAGSDNGLLRLYDIQCMAMTTTGAYQSASSVTFDDFDQLTSVHANSTDELFLASGYSKHVALYDISSGRRLQVFDDMHQEHINVVKFSNHSPSIFATSSFDQDVKLWDLRQKPIHPCYTASSSRGNVMVCFSPDDHYLLVSAVDNEVKQLLAVDGRLHLDFGIASTGSSQNYTRSYYMNGRDYVISGSCDEHVIRICCAQTGRRLRDVSLEGKGSGASMFVQSLRGDPFRDFNMSFLAAYTRPSSVSEIVKVNLLASNDQYRVHSHHNHPHPSFGSGG >itb09g29820.t1 pep chromosome:ASM357664v1:9:30553169:30557483:1 gene:itb09g29820 transcript:itb09g29820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPSKEQRKNGEKSRALLYLNVYDLTPVNNYLYWFGLGVFHSGIEVHGLEYGFGAHDFPSSGVFEVEPRSCPGFMFRRSILLGSTDMSRPEVRSFMERLSNKYHGDSYHLIAKNCNHFSNEVCMHLTGKPIPGWVNRLARVGSFCNCLLPENIQVAAVRRLPDRQTCSDDGSDTDGSSLTADSDDEADQHLITAANSDVAFLNEKRVRLAKDLL >itb02g08030.t1 pep chromosome:ASM357664v1:2:5014618:5017052:1 gene:itb02g08030 transcript:itb02g08030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLAKWSFKKTNNKSAVSIRSVLEAILEKVNEKDAENGRPLIHLGRGDPSLFPCFRTSPVAEDSINASARSAKFNGYSPATGIYPARRSVAEYLSCDLPHKLSPDDVYLTVGANQAIEVIMAVLGRPGVNILLPKPGYPFYEVRAAFSNVEVRHYNLLPEKGWEVDLNGIEALADGNTIAMVVINPGNPCGSVYSHAHLQKIAETAQKLGVLLIADEVYGHLSFGCKPFVAMGQFGSITPVISLGSISKRWILPGWRLGWIATIDPNEVLKKSGIVECLRGYLDIGANPATVIQVKLNVSLLEDIDDDMDFCVKLAKEELVLVLPGIALGMKNWIRITFAVELASLEDGLKRLKAFWTRHAKKQSCTTADGA >itb14g18920.t1 pep chromosome:ASM357664v1:14:21757123:21760734:-1 gene:itb14g18920 transcript:itb14g18920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKRLLDPEEVDVVQQLDKDRGITLEDCKLIKLHMSNYIARLAQNVKVRQRVVATAISYMRRVYVRKSMTEYDPRLVAPACLYLASKAEESTVQARHLVFYIKKLYSDDKYRYEIKDILEMEMKVLEALNYYLVVFHPYRSLSQLLQDAGMSDTTQLTWGIVNDTYKMDLILIHPPHLIALACIYIASVLKEKETTSWFEELRADMNVVKNIAMEILDFYDSHKMITEERVNAAMSKLAGK >itb01g26600.t1 pep chromosome:ASM357664v1:1:31712888:31726986:-1 gene:itb01g26600 transcript:itb01g26600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLVAILSGANSPNQDVRKAAEESLNQFQYAPQHLVRLLQIIVDGSCDMAVRQGASIYFKNFIAKNWSPHEPGEKSKIMPGDKDMVRQNIIVFVAKVPPLLRVQLGESLKTIIYADYPEQWPTLLHWVKHNLQDQQVHDALFVLRILARKYEFKSDEERKPVYHIIEETFPHLLNIFNKLVQIVNPSIEVADLIKLICKIFWSFIYLEIPKHLFDPNIFSAWMTLFLNILERPVPVEGQPADPELRRSWGWWKVKKWTVHILNRLYTRFGDLKLQNPDNRAFAQMFQRNYAGKILECHLNLLNVIRVGGYLPDRVTNLLLQYLSNSISKSNMYNLLQPRLDVVLFEVVFPLMCFNDSDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMEFVSELIRKRGKENLQKFILFIVEIFKRYDEAGPGYKPYRQKDGALLAIGTLCDKLKQTEPYKSQLEHMLVQHVFPEFSSPMGHLRAKAAWVAGQYAYINFLDPNNFRKALHSVVGGMRDLELPVRVDSVFALRTFVEACRDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWKCINTAESDEEGDDPGALAAVGCLRAIGTILVSVNQFPHIFEQIEPTLLPIMHKMLTIDGQEVFEEVLEIVSYMTLFAPKISLDMWTLWKLLMEALADWAIDFFPNILVPLHNYISRGTSHFLTCKEPDYQQSLWNMISSIMGDKTLEDGQIEPAPKLIGALFHNCRGQVDHWVEAYIRVSIECLRRAVKPYLKSLLVQVVADAFYYNPSLTMSILQKLGVAAEIFNLWFQMLQQTKRSGLRANFRREHDKKVCCLGLTSLLTLPADQMPGEALERIFKAILDLLVAYKDQIAEAAKEEEADEDGMDEFPSDEDEDDELDREMGSAEDGDEADSLKLQKLAAQAKAFRSNDDDDDDFSDDDFSEDEELESPIDEVDPFIFFVDTVKVLQASDPLRFQNLLTQTLDFHYQALTSGIAQHAEQRRVEIEKLQLEKASANAS >itb10g00220.t1 pep chromosome:ASM357664v1:10:147300:155157:1 gene:itb10g00220 transcript:itb10g00220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQSIGNSCTTNRKAKNGTNGFLPNSLKFISSCVKTVSSNVRSAGASVASSISAAASDSDDHRKDQVLWACFDKLELSPSFRRVLLVGYSNGFQVLDVEDASNVCELVSRRDDPVTFLQMQPIPAMSNGPEGFRNSHPMLLVVACDEAIDSIPAERWRDGFIEPQTGNILNPPSTVRFYSLRSHNYVHSLRFRSTVYMIRSSPQILAVGLVAQIYCFDAVTLETKFSVLTYPVPQLGGQGFTGVNIGYGPMAVGPRWLAYASNNPILSNTGRLSPQSLSPSPGVSPSTSPGNGNMVARYAMESSKQLAAGLINLGDMGYKTLSKYCHEILPDGSSSPVSSSTSWKGGRGGAIHLTDIDATGVVMIKDFVSQAVISQFRAHTSPISALCFDPSGTLLVTASTCGNNINVFRIIPCSQIGSGTHGNNWSCSYVHLYKLHRGVTPAVIQDICFSSYSQWVAIISSRGTCHIFVLSPFGGETGLQLQNSPVDGPSLVPILSLPWWFTSSFLTTHQSSTPPAAITLSVISRIKNLSAWMNTVSNATSTAGKAPVPSGIVAAVFHNCISHDVHPASLSCNALEHLLAFTRSGHLIQYALRTSLGGDQGDSSFRTWTGSSMQMHEDEVGVKVEPVQWWDVCRRAYWPEREEHFQGIKLSTRAAVDAVMGTSASEDADMEGKEFVKLHAHSHWYLSNAEVQLRSGRVPLWQKSKIYFYTMSLKGFEEQNLSQSYGCEEIEIERFPVNEVETRRNDLLPVFNHFHRICTNWNDERSSFDDRTSATQYASSIGMGKSEYAAFNANVVSACSVQKSHTGSQQTSDVDKVDIKSMSYLQNDLLDEDDGVGVSGSILTPMMQNQISSTPKLSTNIMSSLEERNAVNSPTFPNGGSVSIGGMCARDAQSSSSAVTSEGSNSSSNRSDTSMNIIDEGPAPEDMCDRIDFGQYFQEGYFKAATDNKSLKLAEVITDIDSNNCACEKEKSEDDKESDLLGGVFDCSEGGV >itb10g22620.t1 pep chromosome:ASM357664v1:10:27394702:27395358:1 gene:itb10g22620 transcript:itb10g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIQIPIPKDAAIQNQCQEPQEKEVDYAQRAQWLRAAVLGANDGLVSIASLMMGIGAVKKDVRAMFLAGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKRDQRVQQNGEESDDSEKEEKENLPNPFQAALASALAFSFGAIVPLMAAAFIANHKLRLVVVICAVSLALVVFGVIGAVLGKTPVVKSCARVLIGGWMAMAVTFGLTKLVNSAGLEM >itb01g06930.t3 pep chromosome:ASM357664v1:1:5135200:5138425:1 gene:itb01g06930 transcript:itb01g06930.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQYPHMPKVGLASQNHLKKNFFFYICTSNIIFTEYLYCSSAELRGLDLQTSLFTFSQIKAATNNFDIVNKIGEGGFGPVYKGILLDGTVIAVKQLSSKSRQGNREFVNEISLISCLKHPNLVKLYGCCVEGKQLLLVYEYLENNSLAHALYGGEDCQSKIEWPTRYRICIGIARGLAFLHEESAIKIVHRDIKPNNVLLDKENNPKISDFGLAKLNDDENTHVSTRVAGTTGYMAPEYALWGYLTFKSDVYSFGVVALEIVAGKKNRKYRPNDNYVCLLDWALDLRQRGNLMDLIDPRLGSDLDKEEALRMIKVALLCTNPSPILRPSMSAVVSMLEGHGDILEYKSDLHEFNFEAMRDRYEETPIGLSDSPYKLKFSPNTN >itb01g06930.t1 pep chromosome:ASM357664v1:1:5135019:5138424:1 gene:itb01g06930 transcript:itb01g06930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCSYIDLSYNNFEKNSVSPICNRESLNLFKSYNDGGENEKCLKQCTKDWYSFHINCGGGNVLIGDTTYEADDEHSTGLAKFVSNRENWATSNTGHFWDKAVALLDYTAKNISVIKGNDSEIYKTARLSPLSMTYYGRCLANGNYTVKLHFAEIILRDNRSFQSLGKRLFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRDKTLELRFEYAEKGSTIVPLPGTYGPLISAISVESDFKPPKKRKTLIIIIAVASLFLIFAILCLAWWKIYKNKTSLENELRGLDLQTSLFTFSQIKAATNNFDIVNKIGEGGFGPVYKGILLDGTVIAVKQLSSKSRQGNREFVNEISLISCLKHPNLVKLYGCCVEGKQLLLVYEYLENNSLAHALYGGEDCQSKIEWPTRYRICIGIARGLAFLHEESAIKIVHRDIKPNNVLLDKENNPKISDFGLAKLNDDENTHVSTRVAGTTGYMAPEYALWGYLTFKSDVYSFGVVALEIVAGKKNRKYRPNDNYVCLLDWALDLRQRGNLMDLIDPRLGSDLDKEEALRMIKVALLCTNPSPILRPSMSAVVSMLEGHGDILEYKSDLHEFNFEAMRDRYEETPIGLSDSPYKLKFSPNTN >itb01g06930.t2 pep chromosome:ASM357664v1:1:5135019:5138425:1 gene:itb01g06930 transcript:itb01g06930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYGRCLANGNYTVKLHFAEIILRDNRSFQSLGKRLFDVYIQGERKLKDFDIETEAQGVDKALVKQFQAIVRDKTLELRFEYAEKGSTIVPLPGTYGPLISAISVESDFKPPKKRKTLIIIIAVASLFLIFAILCLAWWKIYKNKTSLENELRGLDLQTSLFTFSQIKAATNNFDIVNKIGEGGFGPVYKGILLDGTVIAVKQLSSKSRQGNREFVNEISLISCLKHPNLVKLYGCCVEGKQLLLVYEYLENNSLAHALYGGEDCQSKIEWPTRYRICIGIARGLAFLHEESAIKIVHRDIKPNNVLLDKENNPKISDFGLAKLNDDENTHVSTRVAGTTGYMAPEYALWGYLTFKSDVYSFGVVALEIVAGKKNRKYRPNDNYVCLLDWALDLRQRGNLMDLIDPRLGSDLDKEEALRMIKVALLCTNPSPILRPSMSAVVSMLEGHGDILEYKSDLHEFNFEAMRDRYEETPIGLSDSPYKLKFSPNTN >itb12g08960.t1 pep chromosome:ASM357664v1:12:7006123:7010405:1 gene:itb12g08960 transcript:itb12g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSTKQQAARTGSSSDVGLVAAAMAGCSSVSLSLPPADFLREIGQGFGILVTKVPKQTLASYSLQEPHEVMVFLSRLAEWKMLA >itb14g19440.t2 pep chromosome:ASM357664v1:14:22088725:22090494:1 gene:itb14g19440 transcript:itb14g19440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPILGSLLTDSCGEAVTPNHFKKVLYPHHVGSLNFNKFSCSSSSPSSPSVSEFSSGKQLSRNGFRKVMSEGNLEGLAVHEPGNGEDEFSLAIPLKKFPRVNGLETIPSFSLHGSGSCEDEESDVDEELEEAMDGVVNQGLKAEMTEMGLKVAEGREMCLTREAMVVNGGGDDPTGGCGATGGGGGCKPVAFPRGGGDSHGFSMEEYYKKMVEENPSNPLFLRNYAHFLHQTKGDCKGAEEYYSRAILADPGDGEVLSQYANLVWGLHRDADRATSYFERAVQAAAEDRFVFDGCPSDKTKCVNIQSHLHSLLLDFPAMFMLHMQGFSGKLKTMRMKKMTYQVMHI >itb14g19440.t1 pep chromosome:ASM357664v1:14:22088725:22090494:1 gene:itb14g19440 transcript:itb14g19440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPILGSLLTDSCGEAVTPNHFKKVLYPHHVGSLNFNKFSCSSSSPSSPSVSEFSSGKQLSRNGFRKVMSEGNLEGLAVHEPGNGEDEFSLAIPLKKFPRVNGLETIPSFSLHGSGSCEDEESDVDEELEEAMDGVVNQGLKAEMTEMGLKVAEGREMCLTREAMVVNGGGDDPTGGCGATGGGGGCKPVAFPRGGGDSHGFSMEEYYKKMVEENPSNPLFLRNYAHFLHQTKGDCKGAEEYYSRAILADPGDGEVLSQYANLVWGLHRDADRATSYFERAVQAAAEDSHVHAAYARFLWEIEDNEDEEDDVPSNAHIKPVLHPGAMASATAH >itb14g19440.t3 pep chromosome:ASM357664v1:14:22088725:22090372:1 gene:itb14g19440 transcript:itb14g19440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPILGSLLTDSCGEAVTPNHFKKVLYPHHVGSLNFNKFSCSSSSPSSPSVSEFSSGKQLSRNGFRKVMSEGNLEGLAVHEPGNGEDEFSLAIPLKKFPRVNGLETIPSFSLHGSGSCEDEESDVDEELEEAMDGVVNQGLKAEMTEMGLKVAEGREMCLTREAMVVNGGGDDPTGGCGATGGGGGCKPVAFPRGGGDSHGFSMEEYYKKMVEENPSNPLFLRNYAHFLHQVSHLFIFQDSQIFPHNFQHIFFNRFIDEQTKGDCKGAEEYYSRAILADPGDGEVLSQYANLVWGLHRDADRATSYFERAVQAAAEDSHVHAAYARFLWEIEDNEDEEDDVPSNAHIKPVLHPGAMASATAH >itb15g19810.t3 pep chromosome:ASM357664v1:15:22306396:22310320:-1 gene:itb15g19810 transcript:itb15g19810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHGCCLFVWMEECFERKPLCSVFRCLRENLRALFVLMMRNLKESCRNLFKSRDCFGCCTKSPFVISSEKRSRALKNQGREATKKSLGGEFWSSSTGEMDNSTVPSQRSVSSISTSNLGLDCSTGTTNNHSEFVNHGFLLWNQIRQQWVGNRETKKRAPVDEPKLSFDATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQDGLYD >itb15g19810.t2 pep chromosome:ASM357664v1:15:22306363:22310327:-1 gene:itb15g19810 transcript:itb15g19810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDCFGCCTKSPFVISSEKRSRALKNQGREATKKSLGGEFWSSSTGEMDNSTVPSQRSVSSISTSNLGLDCSTGTTNNHSEFVNHGFLLWNQIRQQWVGNRETKKRAPVDEPKLSFDATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQDGLYD >itb15g19810.t1 pep chromosome:ASM357664v1:15:22306363:22310327:-1 gene:itb15g19810 transcript:itb15g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNLKESCRNLFKSRDCFGCCTKSPFVISSEKRSRALKNQGREATKKSLGGEFWSSSTGEMDNSTVPSQRSVSSISTSNLGLDCSTGTTNNHSEFVNHGFLLWNQIRQQWVGNRETKKRAPVDEPKLSFDATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQDGLYD >itb15g19810.t5 pep chromosome:ASM357664v1:15:22306396:22310320:-1 gene:itb15g19810 transcript:itb15g19810.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHGCCLFVWMEECFERKPLCSVFRCLRENLRALFVLMMRNLKESCRNLFKSRDCFGCCTKSPFVISSEKRSRALKNQGREATKKSLGGEFWSSSTGEMDNSTVPSQRSVSSISTSNLGLDCSTGTTNNHSEFVNHGFLLWNQIRQQWVGNRETKKRAPVDEPKLSFDATYDSLLGTNKPFPQPIPLSVSLVYLAFAH >itb15g19810.t4 pep chromosome:ASM357664v1:15:22306396:22309587:-1 gene:itb15g19810 transcript:itb15g19810.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHGCCLFVWMEECFERKPLCSVFRCLRENLRALFVLMMRNLKESCRNLFKSRDCFGCCTKSPFVISSEKRSRALKNQGREATKKSLGGEFWSSSTGEMDNSTVPSQRSVSSISTSNLGLDCSTGTTNNHSEFVNHGFLLWNQIRQQWVGNRETKKRAPVDEPKLSFDATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQDGLYD >itb05g09500.t1 pep chromosome:ASM357664v1:5:13930460:13932535:1 gene:itb05g09500 transcript:itb05g09500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRSADSIIPPSSRSPSATNEREKWRLHHHAPQSPPVALIVGSQHQPHRRQLVAPPATLNPNEGLLRVLICPGEDLILNDNKKQDVWKDL >itb04g04560.t1 pep chromosome:ASM357664v1:4:2841836:2843502:-1 gene:itb04g04560 transcript:itb04g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQDEIQFLGFFSIFKESFSLVSTWKRIFSQITAAMIVPLSFIYLAHIEISDILFTDILRDEYILDRIQRGTKTYEKISDVLSSEWTAFWLFKIAYFIFFLILALLSTAAVVYTIASIYTAKEIAFRRVMSVVPKVWKRLMVTFLWSFAILFAYNVLTFSILILWVMMVGPRGVGLFVAGAIMVGYMIGFVYITVIYHLATVVSVLEDVHGLSALIKSRSLIRGKMGISAVIFLLLNLVFFGVQTGFEYFVVLGYGGGVAGRVWYGILCVVLLAILILFGLTTQTIIYFICKSYHHENIDKSSLSDHLEDYLGEYVPLKSKDVQLESFHV >itb02g07930.t1 pep chromosome:ASM357664v1:2:4970087:4972810:1 gene:itb02g07930 transcript:itb02g07930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKVVMKREAMEGERDSPSSADDDKKKRGGGKKGGGGNNGGPSMRSCQAEKCSADLHEAKQYHRRHKVCEYHSKAQVVVVAGLRQRFCQQCSRFHELGEFDEAKRSCRRRLAGHNERRRKSSAISSESQAAAEAVAASSSTRNQYLKDVNACGQVDEKGRIQMSIQENSSFKHFQIR >itb13g26520.t1 pep chromosome:ASM357664v1:13:31749395:31753198:1 gene:itb13g26520 transcript:itb13g26520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSWLVDSKRIATKIRSASDDPGNINWKSNPTRTCPSCHYIIDNSDETHEWPGLPRGVKFDPSDQEIIWHLLAKVGLNDLKSHPFIDEFIPTVNEDDGICYTHPQNLPGVKQDGTVSHFFHRAIKAYNTGTRKRRKIHGDNFGDVRWHKTGRTKPVVLDGIQRGCKKIMVLYVSSGKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKVFYQQQQVKQSEKNELESPEETECLDGKKDPSTPKTVTPEPLHTETRPSSFVAGLETPIAYISSNIQQREVDYTEADMEIPLEKADNQVEITQNQTEQMELQNENEGGEERKWWDSESQNLLDSQQLVEGLSLCDELLQSQSPSRDGNQNGKEHKSTSCLSDYRHLGPENFKKDLEECQELVLDVPPTNQSGKEKKCMPHLSDYGHLGTENFKLLENSQELVLDPENIMLDTPPDFRLSQLEFASQDSYIAWGGNNLDSTEQGS >itb13g26520.t2 pep chromosome:ASM357664v1:13:31749444:31752803:1 gene:itb13g26520 transcript:itb13g26520.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSWLVDSKRIATKIRSASDDPGNINWKSNPTRTCPSCHYIIDNSDETHEWPGLPRGVKFDPSDQEIIWHLLAKVGLNDLKSHPFIDEFIPTVNEDDGICYTHPQNLPGVKQDGTVSHFFHRAIKAYNTGTRKRRKIHGDNFGDVRWHKTGRTKPVVLDGIQRGCKKIMVLYVSSGKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKVFYQQQQVKQSEKNELESPEETECLDGKKDPSTPKTVTPEPLHTETRPSSFVAGLETPIAYISSNIQQREVDYTEADMEIPLEKADNQVEITQNQTEQMELQNENEGGEERKWWDSESQNLLDSQQLVEGLSLCDELLQSQSPSRDGNQNGKEHKSTSCLSDYRHLGPENFKKDLEECQELVLDVPPTNQSGKEKKCMPHLSDYGHLGTENFKLLENSQELVLDPENIMLDTPPDFRLSQLVSYILLFSHCFTSK >itb06g16090.t1 pep chromosome:ASM357664v1:6:20308635:20312378:1 gene:itb06g16090 transcript:itb06g16090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSRKGERYEEEKLELRLGPPDGGWSMRGREESSLLSFGYFCNNNGGKRGFMECVNGGQAPHPHKFSSFLQLSSQHQGMGVTVRPEESSQPGCNKGVEVQSCSEKKAFSDSPPANTTATAVLNTSQKRTAAAPVVGWPPLRSFRKNLASSSSSQKPVSEAQNVVPNKASSEKPMESSNQKGLFVKINMDGVPIGRKVDLKAYDSYDKLSSAVDELFRGLLAAQNEPCAGGKWNKEEGEKAITGLLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSAVKRLRVLKSSELSTLRCGKQVKL >itb06g16090.t2 pep chromosome:ASM357664v1:6:20308635:20312378:1 gene:itb06g16090 transcript:itb06g16090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSRKGERYEEEKLELRLGPPDGGWSMRGREESSLLSFGYFCNNNGGKRGFMECVNGGQAPHPHKFSSFLQLSSQHQGMGVTVRPEESSQPGCNKGVEVQSCSEKKAFSDSPPANTTATAVLNTSQKRTAAAPVVGWPPLRSFRKNLASSSSSQKPVSEAQNVVPNKASSEKPMESSNQKGLFVKINMDGVPIGRKVDLKAYDSYDKLSSAVDELFRGLLAAQNEPCAGGKWNKEEGEKAITGLLDGSGEYTLVYEDNEGDRMLVGDVPWQ >itb07g17190.t1 pep chromosome:ASM357664v1:7:21409121:21410661:1 gene:itb07g17190 transcript:itb07g17190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAVVAVAEKRATTEQQGRNENTNIFPSSPDSRVHLSNAFNGPITLRDRPIPDSHAYASHPSASFLRKCSPFTSSAVPSSVTAVRQFSLHKTR >itb10g24730.t1 pep chromosome:ASM357664v1:10:28390660:28393446:1 gene:itb10g24730 transcript:itb10g24730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVEEGKQFSEKCQSLVLSALSIGNVGQLAVDLLISSLRADRIGFLDDPNVLPCVGNDAYSASPPGQLALPLEVYETSSSQLSIVQQRSPVVKGMVVQFARNLANFAAANGIKHVVLLSSLDFGRWQSIDMSSGLQTYYLSSSSMDGKDDECDRLGFKRLQDYNPSQRTWKYLCDLAEANNLEEQDFPFEELGDEDYLASLPFAALFSCFKAKGLKVTCLLCYCSEGDNIPEAFHLADATSQILGLTANSFRGKEAGKWIVPFSWKSVYGPPPDMSLF >itb10g24730.t2 pep chromosome:ASM357664v1:10:28390632:28393649:1 gene:itb10g24730 transcript:itb10g24730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVEEGKQFSEKCQSLVLSALSIGNVGQLAVDLLISSLRADRIGFLDDPNVLPCVGNDAYSASPPGQLALPLEVYETSSSQLSIVQQRSPVVKGMVVQFARNLANFAAANGIKHVVLLSSLDFGRWQSIDMSSGLQTYYLSSSSMDGKDDECDRLGFKRLQDYNPSQRTWKYLCDLAEANNLEEQDFPFEELGDEDYLASLPFAALFSCFKAKGLKVTCLLCYCSEGDNIPEAFHLADATSQILGLTANSFRGKEAGKWIVPFSWKSVYGPPPDMSLF >itb09g20140.t1 pep chromosome:ASM357664v1:9:16770292:16771314:1 gene:itb09g20140 transcript:itb09g20140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKANVSIVVAVLITALVGCNAAGGGIAIYWGQNGNEGTLSETCATGNYDYVNLAFLATFGGGRKPMINLAGHCDPYSNACTNLTSEIRSCQAKGVKVIDIYDVKTFSMQKQHKLLSRQSLETLSLILIRIPLIYSSTPTIQVMLSIGGGAGAYSLDSSEDARQVATYLWNNFLGGKSDERPLGDAILDGIDFDIEQGNGEHWDDLARFLSGYNSKVYLSAAPQCPFPDQWVGGALNTGLFDYVWVQFYNNPPCQYTSGNLTNLDKSWKLWTSAIRAKNIMLGLPAAPDAAGSGFIPPTDLISQVLPAIKASAKYGGVMLWSKYYDDQTGYSSSIKDHV >itb01g29270.t2 pep chromosome:ASM357664v1:1:33544652:33550265:-1 gene:itb01g29270 transcript:itb01g29270.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVAHAESNGRLNGSSYFSINKWRNVALEQIYQSLESDSGLKDCIGEALASHPETYCATAVKESGNCCKTVRKFTYPSNSMPSDIQDEAKGNLEIVPTGLVNEPNHCTATQVCRRIFSDIVLSEEFSQLCRVLFENFEGMKVDQFLNISTMHSKMKEGLYEDSPMSFHSDIQEMWTKLHKFGSEILAISKCLSEKSMSYFQTQVAGMAHNISEDDKNNFVTQENDTRHMHSRAERPKACTCKHCGEKADGIDSLVCDSCEEMYHVSCIQPAVKEIPPRSWYCANCTAKGIESPHDNCVVCERLNAPRAPMDVHDDELMKLEKLIELEESSNGLADDEVQLFDEEPVCNVCKTEVKSGEKFQICGHNFCLHKFYHERCLSRKELNSYCPRWYCPSCLCRICLTDRDDTQIVLCDGCDRAYHIYCLQPPRTSIPKGKWFCGKCEAGIKRICKVKRSYEKFENKLRQRAQMCTGGLDEQKSKDEDALDKSGGVDMLLNAAKTLNYEENLAVMGENVDRTIVLDTRT >itb01g29270.t3 pep chromosome:ASM357664v1:1:33544476:33550265:-1 gene:itb01g29270 transcript:itb01g29270.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEKESNGYGGNSCLSLVVENTQAMKCSNGFGIEIANGRGKSSESSRNCLRTYKRRKHFKSEGKDSEDSTGQITSKSVDRIFFRNSYMQTSVAHAESNGRLNGSSYFSINKWRNVALEQIYQSLESDSGLKDCIGEALASHPETYCATAVKESGNCCKTVRKFTYPSNSMPSDIQDEAKGNLEIVPTGLVNEPNHCTATQVCRRIFSDIVLSEEFSQLCRVLFENFEGMKVDQFLNISTMHSKMKEGLYEDSPMSFHSDIQEMWTKLHKFGSEILAISKCLSEKSMSYFQTQVAGMAHNISEDDKNNFVTQENDTRHMHSRAERPKACTCKHCGEKADGIDSLVCDSCEEMYHVSCIQPAVKEIPPRSWYCANCTAKGIESPHDNCVVCERLNAPRAPMDVHDDELMKLEKLIELEESSNGLADDEVQLFDEEPVCNVCKTEVKSGEKFQICGHNFCLHKFYHERCLSRKELNSYCPRWYCPSCLCRICLTDRDDTQIVLCDGCDRAYHIYCLQPPRTSIPKGKWFCGKCEAGIKRICKVKRSYEKFENKLRQRAQMCTGGLDEQKSKDEDALDKSGGVDMLLNAAKTLNYEENLAVMGENVDRTIVLDTRT >itb01g29270.t1 pep chromosome:ASM357664v1:1:33544476:33550265:-1 gene:itb01g29270 transcript:itb01g29270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEKESNGYGGNSCLSLVVENTQAMKCSNGFGIEIANGRGKSSESSRNCLRTYKRRKHFKSEGKDSEDSTGQITSKSVDRIFFRNSYMQTSVAHAESNGRLNGSSYFSINKWRNVALEQIYQSLESDSGLKDCIGEALASHPETYCATAVKESGNCCKTVRKFTYPSNSMPSDIQDEAKGNLEIVPTGLVNEPNHCTATQVCRRIFSDIVLSEEFSQLCRVLFENFEGMKVDQFLNISTMHSKMKEGLYEDSPMSFHSDIQEMWTKLHKFGSEILAISKCLSEKSMSYFQTQVAGMAHNISEDDKNNFVTQENDTRHMHSRAERPKACTCKHCGEKADGIDSLVCDSCEEMYHVSCIQPAVKEIPPRSWYCANCTAKGIESPHDNCVVCERLNAPRAPMDVHDDELMKLEKLIELEESSNGLADDEVQLFDEEPVCNVCKTEVKSGEKFQICGHNFCLHKFYHERCLSRKELNSYCPRWYCPSCLCRICLTDRDDTQIVLCDGCDRAYHIYCLQPPRTSIPKGKWFCGKCEAGIKRICKVKRSYEKFENKLRQRAQMCTGGLDEQKSKDEDALDKSGGVDMLLNAAKTLNYEENLAVMGENVDRTIVLDTRT >itb01g29270.t4 pep chromosome:ASM357664v1:1:33544476:33550022:-1 gene:itb01g29270 transcript:itb01g29270.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEKESNGYGGNSCLSLVVENTQAMKCSNGFGIEIANGRGKSSESSRNCLRTYKRRKHFKSEGKDSEDSTGQITSKSVDRIFFRNSYMQTSVAHAESNGRLNGSSYFSINKWRNVALEQIYQSLESDSGLKDCIGEALASHPETYCATAVKESGNCCKTVRKFTYPSNSMPSDIQDEAKGNLEIVPTGLVNEPNHCTATQVCRRIFSDIVLSEEFSQLCRVLFENFEGMKVDQFLNISTMHSKMKEGLYEDSPMSFHSDIQEMWTKLHKFGSEILAISKCLSEKSMSYFQTQVAGMAHNISEDDKNNFVTQENDTRHMHSRAERPKACTCKHCGEKADGIDSLVCDSCEEMYHVSCIQPAVKEIPPRSWYCANCTAKGIESPHDNCVVCERLNAPRAPMDVHDDELMKLEKLIELEESSNGLADDEVQLFDEEPVCNVCKTEVKSGEKFQICGHNFCLHKFYHERCLSRKELNSYCPRWYCPSCLCRICLTDRDDTQIVLCDGCDRAYHIYCLQPPRTSIPKGKWFCGKCEAGIKRICKVKRSYEKFENKLRQRAQMCTGGLDEQKSKDEDALDKSGGVDMLLNAAKTLNYEENLAVMGENVDRTIVLDTRT >itb09g07720.t1 pep chromosome:ASM357664v1:9:4575083:4577438:-1 gene:itb09g07720 transcript:itb09g07720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAYPNHRGLKVNSAISTLFLLLLLLIIPRRITAECYTSIFAFGDSLTDVGNLVILSDNPNSSLISNPPYGETFFHHPTGRCSDGRLIIDFIAEYYGLPYMPPSAAVMMNGGINGSDIEGGVNFAVVAAAAVDSAFYEERGIINTNTNRSLRVQMGWFKELLPFFCGTPSECQEKLKSSLFVVGPLGSNDYRNGLKQEKDIKEIRSYVPIVIGAISTAIIDLIELGAKTIMVPGTSPDGCLASVLTDFESSNKVDYDPDTGCLNWMNELDDYHNQHLQQQLDTIRYRNPGVDIIYADFYNISMELYRYPEKYGFIRSLAACCGVGGKYKFNDDVRCGDIGVKSCPEPWLHIEWDGYHMTERANKLVSMALLDGTCTSPPINTLCVSSPKFTYYG >itb04g13200.t4 pep chromosome:ASM357664v1:4:13255099:13257311:-1 gene:itb04g13200 transcript:itb04g13200.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHKTSRLTHLSSAILPSNAHTYPCKPLVSTSTPSQSHRIVSSLRSAAAAADSTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECNTGDVVTVELGENKLINHTTGKEYKLKPIGDAGPVVEAGGIFAYARKTGMIPSRQA >itb04g13200.t5 pep chromosome:ASM357664v1:4:13255099:13257311:-1 gene:itb04g13200 transcript:itb04g13200.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHKTSRLTHLSSAILPSNAHTYPCKPLVSTSTPSQSHRIVSSLRSAAAAADSTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECNTGDVVTVELGENKLINHTTGKEYKLKPIGDAGPVVEAGGIFAYARKTGMIPSRQA >itb04g13200.t2 pep chromosome:ASM357664v1:4:13255264:13257293:-1 gene:itb04g13200 transcript:itb04g13200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHKTSRLTHLSSAILPSNAHTYPCKPLVSTSTPSQSHRIVSSLRSAAAAADSTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECNTGDVVTVELGENKLINHTTGKEYKLKPIGDAGPVVEAGGIFAYARKTGMIPSRQA >itb04g13200.t3 pep chromosome:ASM357664v1:4:13256075:13257293:-1 gene:itb04g13200 transcript:itb04g13200.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHKTSRLTHLSSAILPSNAHTYPCKPLVSTSTPSQSHRIVSSLRSAAAAADSTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECNTGDVVTVELGENKLINHTTGKEYKLKPIGDAGPVVEAGGIFAYARKTGMIPSRQA >itb04g13200.t1 pep chromosome:ASM357664v1:4:13255502:13257293:-1 gene:itb04g13200 transcript:itb04g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAFSGSLTFNISSAQHKTSRLTHLSSAILPSNAHTYPCKPLVSTSTPSQSHRIVSSLRSAAAAADSTTTFHGVCYVVGDNIDTDQIIPAEYLTLVPSKPEEYQKLGSYALCGLPSTYQTRFVEPGEFKSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEIYPLESEVRICEECNTGDVVTVELGENKLINHTTGKEYKLKPIGDAGPVVEAGGIFAYARKTGMIPSRQA >itb06g20270.t1 pep chromosome:ASM357664v1:6:23311815:23315209:-1 gene:itb06g20270 transcript:itb06g20270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKFIDKKKSATFQILARDSSDPNYHAGPSGDRIFCRVDNNPYSVGGFDEGDEPNDGALDDNRGGICDDAADDCDSEGNDFGFGLSDNGAQKKPLPDHVRKEILELGFPDDGYNYLMHLREIKNTGGGSAYYDNPKAELNQVPHDVKAYDASRVDVSKVVDDNNDSNEKVIYNVAAKTVGVRIQKAVDPEVAAMLDDSDLSHFGSDVEDLDEDFVIKANLPEESFDEQVDEKLEFVKTTEVKMIATNDLCGKGNIREGDGCFEEKARVRRAIDEQFDMLELKEYGSESEDDFNDYTYEENDCQPSLAEKLNRTFKLYSVDGSEVNGTQMIPSDLFYNKGMVDNEEAMESAPDVIDRCKEYAEKYENESPDEEADLFEESSSESEIWDCETIVSTYSNLDNHPGKIEVPGARRKKKLATIPEASNSSAPIISLKGKEKLPVDFLPNRGKDSSGKKERKKQVADEEDSAKSKAEQLKRKQHGQESKEEKKERKAAVKEEKREARRAKKEMKELYKSEAHQAQKVAAFTGPSCRRLM >itb07g23420.t1 pep chromosome:ASM357664v1:7:27816990:27819159:-1 gene:itb07g23420 transcript:itb07g23420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSRQRSSKPEVVTALMSKLLPLFYVQQARRSRSETRRMKSFPLHRRRLKVEALRIYVRRRLKLARTFAAVPREEERMRMNFPLSFTASGSPTDDLDPLGSHLTSASPGIPLATQPSAALRLSVRKGLMAGKVVKSIAKAVSEYQYPLQDKLAKYKNELSKGVWGYWELGAWKPLGISARRRAQLRKEVLLAGQDWPYDPERKEMRKKQKGHKCDRIAAEKRAKTAELMQQMPKMLADYRKRRWERKMKAEEDAARKAVQE >itb01g20510.t1 pep chromosome:ASM357664v1:1:26787384:26789866:1 gene:itb01g20510 transcript:itb01g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTISTCTSLVNSHNKLHKSRKQELGIHCSLQTPSILHFPKQSAIPTSISTAISPPNQETTVVVPSSAPKWNLLQRAAAMALDAVENKITERELEHPLPKTADPRVQIAGNFAPVPEQPVRHSLPVVGRIPECVRGVYVRNGANPLFEPVAGHHFFDGDGMIHAVQFKDGEASYACRFTETHRFVQERALGRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDQSSGTGVANAGLVFFNNRLLAMSEDDLPYHVRITPSGDLKTAGRFDFGGQLKSTMIAHPKLDPISGELFALSYDVIQKPYLKFFRFSKTGEKSGDIEIPVPGPTMIHDFAITEKFVIIPDQQVVFKMSEMIRGGSPVVYEKNKVSRFGILNKYVEDSSEIQWVQVPDCFCFHLWNAWEETETNEIVVIGSCMTPPDSIFNECDEGLKSTLSEIRLNLITGKSTRKPIIQNPENQVNLEAGMVNRNKLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEIKKFIYGDEKYGGEPLFLPRNVNSEEEEDDGYILAFVHDEKEWKSELQIVNAQTLELEASVKLPSRVPYGFHGTFINADDLSTQA >itb15g12830.t1 pep chromosome:ASM357664v1:15:10758062:10767641:-1 gene:itb15g12830 transcript:itb15g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGAGDSGENNNSGVTGTVLIPTRFVWPNGGQRVLLSGSFTRWQDHIPMSPMEGCPTAFQVIYNLTPGYHQYKFFVDGEWRHDERQPFVSGNYGVVNTIFLAREPEVIPAFFSSEMPGRSSMDVDHDVFLPLETLPRISQAEIDLSRNRISTFLSLHTAYELLPESGKVVALDVNLPVKQAFHILYEQGIFVAPLWDLCGGQFVGVLSAVDFILILRELGNHGSNLTEEELETHTISAWKDGKLRLNRQIDGNLRSYSRPLIHSGPYDSLKDVALRLLQNKVSTVPIIHSSSPDGSFPQMLHLASLSGILKCICRHFRHSSGSLPILQQPICSIPVGTWVPKIGEPNGKPVAMLRPNASLGAALSLLVQAEVSSIPIVDDNDSLLDIYCRSDITALPKDRAYAQIHLDELSIHQALQLRQDASSSNELFNGQRCQMCLRSDPLHKVMERLAVPGVRRVVIVEAGSKRVEGIISLSDVFRFLLG >itb10g01000.t1 pep chromosome:ASM357664v1:10:725143:726116:1 gene:itb10g01000 transcript:itb10g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLYLTVNLVDRFLAVEPISREKLQLVGVTAMLLACKYEEVYAPAIVDFILISDIAYCRQEVLDMEIIMANTLQFNFSVPTPYVFIMRFLKAAQSDKELECLSFFLLELFLVEYQMLRFPPSELAAAAVFTAQCTLVGFKQWSKTCEKYTNYAEHQLL >itb12g19270.t1 pep chromosome:ASM357664v1:12:21654529:21656557:-1 gene:itb12g19270 transcript:itb12g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYASFHQSNPLNGHVCRKAITVMALSGHAIQLTLRPKARGSHCPPLSPPLKPCRAEMKLPAQAFKRRGMIKNVPLRPPVVTSAISNSGTGNVGPDSSLQTIRAFYSSINTKDLKSLKALLSEDCFFDDFSFPAPFQGKKESLRFLEQLTTCMGHNMEFSIDHICEGVDQTAVVNWHLEWKKKQVPFTRGCSYYELSREGERLVIKKAQVIIESPVKPGGLALTMFKAITSLFDAFPEATEKFLRSPYVMFQLLLNIYKTVLGPMLSPVVSWYIKLMKLTATFLNLAFKVVQFIVKIFSK >itb10g22700.t1 pep chromosome:ASM357664v1:10:27457077:27461373:-1 gene:itb10g22700 transcript:itb10g22700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFPCFIHLLLFISCRFILGSAKNVPIFACDVEENPGLKNLSFCDSSLDVKTRVDDLVKRLTLSEKITFLVNKGGGVSRLGIPQYEWSSEALHGVSDFGRGVKFTRLVPGATSFPAPILTAASFNETLFETIGKVVSTEARAMHNVGLAGLTYWSPTMNILRDPRWGRAQETPGEDPTLTGKYAAGYVRGLQQRDDGDKEKLKVASCCKHYTAYDVDHWKGVKRYTFNAVVTKQDMDDTFQPPFEACVREGNVASVMCSYNQVNGKPTCGDPDLLAGVIRGKWGLNGYIVTDCDSLDLIFNAQHYTKTPEETAALGLNSGVDLNCGTFLGKNTQGAVDKGLVKESEIDRAVSNNFATLMRLGFFDGDPTKQLYGNLGPKDVCTQDNQELAREAARQGIVLLKNTKGSLPLSPKAIKSLALIGPNGNVTDTMLSNYKGIPCKVTSPLQGLAAIVAATYSPGCDNIACGTALVDDVTKIAATADAVVLVMGSDQSIERESLDRTSITLPGQQSVLVSAVAKAAKGPVILVIMSAGGMDVTFAVNDPKVTSILWVGFPGEAGGLAIADVIFGSYNPSGKLPMTWYPQSYVDSVKMTDMRMRPDPKTGYPGRSYRFYTGPTVFKFGYGLSYSTFKHNLVRGAPETLSLPLEEGHVCRTSKCKSIEVANHTCNNNAEFDIHLSVKNVGQMSGSHTVLLFSSPPRVHNAPQKHLLGFQKVHLSPNQEGTLSQMAMPKEHKTAKTINGDTAAVAVARAGGGWCPEDDGIKIVLPGKAKASATKEEVNAHIQTVLYGPGAKRRFPVFVEFCPEN >itb04g22330.t1 pep chromosome:ASM357664v1:4:27505418:27507742:1 gene:itb04g22330 transcript:itb04g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKQPIDLAEEYATLSLRDEEEIEFSIEEDTTIVSKIETPFILAVVSLPDGKQMGNIRVPLSTLPSPTPSLTLSLRKPQIRWNKRHQLNLETYDDNLMHVDSNAIDLNSVSINGLVSWVPSDNNNDIVGLKRKKLDAGTSFIAGFSLMEEDSFSSVAESCATLVSEHLPLIMRPIPSSRSRQRRN >itb08g03860.t3 pep chromosome:ASM357664v1:8:3124746:3126346:1 gene:itb08g03860 transcript:itb08g03860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETGKVYRANFHDCHGRTVLILRPGMQNTTSLDNQIKHLVYLIENAILNLPESQEQMAWLIDFTGWSITNNVPIKSARDTIGILQNHYPERLAVAFLYSPPRIFEAFWKIVKYFLDPKTFEKVKFVYPKNKDSVEVMKSYFDMDNLPMEFGGKATLNYDHEEFSKQMAKDDAKAAKFWGLEKNHPIPSGLSVAEAAAPAAQS >itb08g03860.t1 pep chromosome:ASM357664v1:8:3120923:3126437:1 gene:itb08g03860 transcript:itb08g03860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRNSSHHELGSDDQLQKVNELRTSLGPLTGSNLQYCTDACLKRYLVARNWNVDKSKKMLEETLKWRSTFKPEEIRWHEVAMEGETGKVYRANFHDCHGRTVLILRPGMQNTTSLDNQIKHLVYLIENAILNLPESQEQMAWLIDFTGWSITNNVPIKSARDTIGILQNHYPERLAVAFLYSPPRIFEAFWKIVKYFLDPKTFEKVKFVYPKNKDSVEVMKSYFDMDNLPMEFGGKATLNYDHEEFSKQMAKDDAKAAKFWGLEKNHPIPSGLSVAEAAAPAAQS >itb08g03860.t2 pep chromosome:ASM357664v1:8:3123396:3126346:1 gene:itb08g03860 transcript:itb08g03860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRNSSHHELGSDDQLQKVNELRTSLGPLTGSNLQYCTDACLKRYLVARNWNVDKSKKMLEETLKWRSTFKPEEIRWHEVAMEGETGKVYRANFHDCHGRTVLILRPGMQNTTSLDNQIKHLVYLIENAILNLPESQEQMAWLIDFTGWSITNNVPIKSARDTIGILQNHYPERLAVAFLYSPPRIFEAFWKIVKYFLDPKTFEKVKFVYPKNKDSVEVMKSYFDMDNLPMEFGGKATLNYDHEEFSKQMAKDDAKAAKFWGLEKNHPIPSGLSVAEAAAPAAQS >itb12g03940.t1 pep chromosome:ASM357664v1:12:2597574:2598365:-1 gene:itb12g03940 transcript:itb12g03940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCAVAGSPTRSPTTSPVIHLRRRKTLRMLLSRGGSGRRLPRRDNGSPDRLDADSDSQGEGKELAVRHKLKDLFVSSPPSPDEKPSGNIGGGGGASSLRRIGSRSLRPLSATFRQRLLRRAWRPVLMAIPE >itb05g26720.t1 pep chromosome:ASM357664v1:5:30443998:30448906:-1 gene:itb05g26720 transcript:itb05g26720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVRKKKKGRPSKADLAARLNAAGGDEEKELRRSGRRRNVRYTFDIDDFLDEEYFLEDEEDERRREKKLELLLKLQGKDNDGEDELTPSRTRRVSHAPAASASSSDYDDDGKPSKKRKIHGDDEEFEENDDDNENDDEVRGRKAESRGVESSPGTPLERPSGVALPDKKTLELILDKLQKKDIYGVYAEPVDPEELPDYHDVIKHPMDFATVRNKLRNGSYARLELFESDVLLICSNAMQYNAPDTIYYKQARSIQELATKKFQKLRSRAEHTEKEVKSDQKPKSSAVVKKLIKKPIGRMQEPVGSDFSSGATLANAGGGIQSGSNACPGTSGRPGSIDWPIEGNSFLTDNLDKEELHSGKGPICRFGRKSALQDENRRATFTVSSQSASSSDSVFSTFEGERKDLIPVGLYADHSYARSLARFAATLGPIAWEFASRRIEQALPPAFKFGRGWIGEYEPLPTPVLMLKNYTLKEPSFLAKFRQKIDVHKEDKMEPKKNLVSVKDNPVARPTIEGKQHYVVGSAGSKPTANNTNISNTAKEQQHNGDANIESKPFFLCASGNKPPNSASPKYHAQNFHSQNFIESEKKHLKQDEINAQPLATNQSSAEQSKVTSSIVVPSPRSVKMAPNNRNPLSSVCSEQLNINGFSAGGLPNAMGLSNGNGAARGFANNGNKAATLFPHGQEQGLGNPIHMMRMLEKSQNQQHKSSQQSRVDSSPVSPATPTRRGDDSNNAAAAAARAWMSIGAGGFKQASDNTGTNNSQISAESLYNSSRDHQPQNLQYRGEFPASGMHFQPGKGSFPFNAFVPPHMSRAGIEAQIPNRPMVFPQLVAADLSRFQVPSPRHNLNTPAQPRPKQESLPPDLNISFQSSGSPGRPSSGVLVDSQQPDLALQL >itb05g26720.t2 pep chromosome:ASM357664v1:5:30444016:30448906:-1 gene:itb05g26720 transcript:itb05g26720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIMCNLFSLFYCRKDIYGVYAEPVDPEELPDYHDVIKHPMDFATVRNKLRNGSYARLELFESDVLLICSNAMQYNAPDTIYYKQARSIQELATKKFQKLRSRAEHTEKEVKSDQKPKSSAVVKKLIKKPIGRMQEPVGSDFSSGATLANAGGGIQSGSNACPGTSGRPGSIDWPIEGNSFLTDNLDKEELHSGKGPICRFGRKSALQDENRRATFTVSSQSASSSDSVFSTFEGERKDLIPVGLYADHSYARSLARFAATLGPIAWEFASRRIEQALPPAFKFGRGWIGEYEPLPTPVLMLKNYTLKEPSFLAKFRQKIDVHKEDKMEPKKNLVSVKDNPVARPTIEGKQHYVVGSAGSKPTANNTNISNTAKEQQHNGDANIESKPFFLCASGNKPPNSASPKYHAQNFHSQNFIESEKKHLKQDEINAQPLATNQSSAEQSKVTSSIVVPSPRSVKMAPNNRNPLSSVCSEQLNINGFSAGGLPNAMGLSNGNGAARGFANNGNKAATLFPHGQEQGLGNPIHMMRMLEKSQNQQHKSSQQSRVDSSPVSPATPTRRGDDSNNAAAAAARAWMSIGAGGFKQASDNTGTNNSQISAESLYNSSRDHQPQNLQYRGEFPASGMHFQPGKGSFPFNAFVPPHMSRAGIEAQIPNRPMVFPQLVAADLSRFQVPSPRHNLNTPAQPRPKQESLPPDLNISFQSSGSPGRPSSGVLVDSQQPDLALQL >itb04g30810.t1 pep chromosome:ASM357664v1:4:33840032:33842698:1 gene:itb04g30810 transcript:itb04g30810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTKRKSIIFAVRFISLAIILYNFTFTQGDGAQNTAVRCLEREREALLQFKKGFFDEDGVLSSWGNKTECCKWWGVHCSNKTGHVIVLDLRCHGFPLSGKVSPSLLELQHLRYLDLSYNDFQGHNIPDFIGSFHSLKVLNLSSAMFSGKVPNQLGNLTSLQVLDLSINNLGGPIPDAFQGMKFLRRLNLSSNYFTGGIPKSLGNLSHLTSLALNFNELKEPLPDLLEKLSKCAGKSLQFLRLDGNELFGPLPDIIRTQFPFLRKLKASYNHLNGSLPSSFGSLKELELAGNKITGSFPDIKSLGIVSGLRVLDLSQNKITGILADLTPFSSMQELLLGRNQLRGVLPESIGQLSKLQSLDVSANSFEGVINEAHFLKLSNLTLLKLSFNSGLSFNFSPDWNPPFQLDVIHFTSCKLGPKFPKWLRTQSGFSELAISNTGISDTMPNWFWDLCSKVELLDLSNNKIDGELPDLSTKFGVFPEIDLSHNNFRGPIHSLPPKVKSLYLSNNSFVGSISFVCRVLKFMSIDLSDNQFSGEIPDCWHHLSRLNNLNLANNNFSGKVPPSFGYLYYLKELQLRNNNFTGELPSSLQNCTLLRILDLGRNQLIGRVPSWFGTSLVDLIIVSLRENQFYGDLPLSLCHLNDIRVLDLSQNRIAGRIPHCVSNFTFLSLTKSSYGTTVASNVYFAFQYDSYITNILIQWKYNEQEYSSQLRLLKVIDLSSNLLAGDIPEEFSSLHGLISLNLSRNHLTGNIIPKIGQMEMLESLDLSHNQLSGEIPISLGRLSFLQILDLSNNNLSGKIPTSTQMQSFNASSYAHNSGLCGDPLPKCPRNVPNKDEDEDDDDGLITQGFYISMVLGFSLSFWGFLVIFFFKGSWRDARTSETGFMS >itb09g04680.t1 pep chromosome:ASM357664v1:9:2611688:2616600:-1 gene:itb09g04680 transcript:itb09g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLGHGGAGSSRSANGFTSSSSSVDWLGREMLEMRLRDRVDIDDGRESEPDIIDGVGAETGHVIRTTIGGRNGQPKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPETVNRIARQYNRMNQRVPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGANEYTTSIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLISRFFQYSPHLRCTALEACVHPFFDELRDPDTRLPNGRPLPPLFNFKPQELTSIPPETLRRLIPEHARRQNLFMALRS >itb09g04680.t2 pep chromosome:ASM357664v1:9:2611688:2616600:-1 gene:itb09g04680 transcript:itb09g04680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLGHGGAGSSRSANGFTSSSSSVDWLGREMLEMRLRDRVDIDDGRESEPDIIDGVGAETGHVIRTTIGGRNGQPKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPETVNRIARQYNRMNQRVPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGANEYTTSIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLISRFFQYSPHLRCTALEACVHPFFDELRDPDTRLPNGRPLPPLFNFKPQGKFY >itb09g04680.t3 pep chromosome:ASM357664v1:9:2611703:2616585:-1 gene:itb09g04680 transcript:itb09g04680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLGHGGAGSSRSANGFTSSSSSVDWLGREMLEMRLRDRVDIDDGRESEPDIIDGVGAETGHVIRTTIGGRNGQPKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPETVNRIARQYNRMNQRVPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGANEYTTSIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLISRFFQYSPHLRCTALEACVHPFFDELRDPDTRLPNGRPLPPLFNFKPQELTSIPPETLRRLIPEHARRQNLFMALRS >itb02g11130.t1 pep chromosome:ASM357664v1:2:7267851:7272500:1 gene:itb02g11130 transcript:itb02g11130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPCATPSGDIFEFLNYIWQQWSIQAIVLGSLAFQIVLIFSAPTRIRTKNSSRIVTLWSVYLLADYIATFGIALINNDSKIDESSTSCGAFKDDNAIAALWAPFLLLHLGGPDTITAFAIEDNELWTRHLVTMIAQVLAVLLVFYRYGILENNRFLIPTALIFIAGVIKCGERIRSLSLASQDSLKKSMREPPDAGPDYHQLMEEMASKQAASLPVTIDINREKGAKADDVDDVVDITQKYKELEELEVIKHGYKFFRIFKGLIVDHMFSFLERDDSRRFYRSLEYNDAFRVMEMELNFIYDAMFTKLSAVQCILGYIFRFISTALLIAATLIFSRQSHHHIHLSDIMVTYFLLGGGVALDLIAILELIFSKWTIAALLVGSEKSVTASASAPNWRKTVAEVIKTVKGIIPTKRWSEEMHQYSFINHSLHTRKWPNWVSYIIDKFGLTEAVCSCLYGKTQQVEEKMKYLIFNEIKKKGMQAINTSFGREIASSKGEWTLLDYSNCDPYIHFSVSQDVDYDECVLMWHIATEIFYFSSSRATSSSDDYGCNADICRHISEYLLYLLVMERKMITVDNKFRDTFEEANKFFNRGKQKKAALGSYFSACETFFKNVKDSCGLYLYSMVLSTWEDIKYVFTLECFTTEGRERKPTMWEEHQYILEDEKRKKTCNKLKVVRRPQVMPSEVKGDRSKSVLFHACILAGHLEKLCRPSPEDMCPYTENEVWEMMSKVWVELLSYGACHCRGDTHAQSLTKGGELRTFVWLLMAHFGLGEQFRNDLGPSRVKLIVGKEFN >itb02g16710.t1 pep chromosome:ASM357664v1:2:12668610:12669085:-1 gene:itb02g16710 transcript:itb02g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLRMSNPSFHPAKSHETVVFSSIPPSPWESSSSSSLSSRSQFGVENDDLPYFIPSFRIPACSTGGVLGSWRNRLLTRQRTIVSFISSIGTATGGSCSDVFVFSVAEEIDLRRREQGTADSNVRVFSL >itb13g24960.t1 pep chromosome:ASM357664v1:13:30462290:30467495:-1 gene:itb13g24960 transcript:itb13g24960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNNRWKFGRAYKAMKALGYSTETVKPILKNLLDAYDKNWDHIEDNNYAVLVEAILDDDDRKKDDQLLTSWLEDDSEENDDRPLKRSRHGSSNSSPAHIYPDSKQSETTAGFLYTQKDNHNRSYTTQGNDENAMQVLPPQRMCKGREKRESFPKPSPIMEKLECIEVLSDNENDENDQNDSVSFQKPCLISTEGTSPLFLAMTKRRLTYESTPASLLENPNVVCSSDVSSNTNAPDKFISGAVIPVNEPFPDDQPGEFLYSETSTTEEKCLELSEPILLGMAEKDGSSNGIRGHFLEFPGSEDLYIEGKQNGPSDSPQLDIASTLKGEVKISLIYKPSSQSDFCAPSLDTVVQRVEEDCVKLYRITQPGFSLLKLMEDVCEDFLAAGTISNGKTRSLQQSTTIFPVKHNQEAIRFGCADHQPMFCIASEYFNGTVVIRNLIKVQPQIPMIPRSNMLETICIMRNINCKRSQGAEEHSKRKSPEILGSSTTSSGSMVVWEPQSSRKPFYYVEDITKGEEELKISLVNEFSNERPPPFMYIPTNTVFRDARIKFLLARISDDNCCSCCVGDCLSQKIPCACAGETCGEFVYTTECLVKEKFLESCISMNSEPQKHHQFYCQDCPLERSSDKNLPAKCKGHLVRNFIKECWHKCGCSKKCGNRVVQRGITVKLQVFMTPDGKGWGVRTLEDLPKGAFVCEYVGEIVTNTELFERNMHSANEKHTYPVLLDADWSSERVLKDEEALCLDATHYGNVARFINHRCFDANLVEIPVEIETPDHHYYHIAFFTTRNVNALEELTWDYGIDFGDRSHPVKAFKCHCGSKLCRNVKRKKRGRSRS >itb01g25360.t2 pep chromosome:ASM357664v1:1:30814999:30815529:1 gene:itb01g25360 transcript:itb01g25360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQSGDYVIFANMCARAGEWEDAIHIRKLMKEKGVRKVPGCSSIEVNNVVYEFFSGDGSQVDYKELHRAVEKLMVKLKEVGYTPDTSLVFHHAMEDDEKEATLRYHSEKLAVTFGLLNTPPGKTIRVVKNLRICRDCHSAVKLISLLSERHIVVRDVQRFHHFRDGKCSCGDYW >itb01g25360.t1 pep chromosome:ASM357664v1:1:30814537:30815529:1 gene:itb01g25360 transcript:itb01g25360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKCASLEDAVSVFRSMDYRDTQAWSTMIMGYAIHGQVHNAISMFEEMQEAQVQPDGLTFLGLLYACNHAGKVEEGFRFFNVMKEKCRIVPGIKHYGCMLDLLGRAGCLNDAFEFLKELPIKPTPLLWRTLLAACNSHGNVKLGKLVLERIFEMDDSQSGDYVIFANMCARAGEWEDAIHIRKLMKEKGVRKVPGCSSIEVNNVVYEFFSGDGSQVDYKELHRAVEKLMVKLKEVGYTPDTSLVFHHAMEDDEKEATLRYHSEKLAVTFGLLNTPPGKTIRVVKNLRICRDCHSAVKLISLLSERHIVVRDVQRFHHFRDGKCSCGDYW >itb01g25360.t3 pep chromosome:ASM357664v1:1:30813718:30815529:1 gene:itb01g25360 transcript:itb01g25360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSVPSITVMPFPNSDFCTSKDPKHPAHPIHLLPKCNSLKEVMQIQAYSIKTHFQDDSFIINKLISSCTVSPTPASMHHAQLLFDRMPHPDIVLFNYMARGYSRSDTPLQALVLFSRIISSGIGPDLYTFPSLLKACANAQALVAGKQLHCLVVKYGLNYDMYVCPALMNMYIECGNVESARWIFDRILEPCVVTYNAMILGYVRSSEPTQALLLFRELQEKEIEPTEVTILGVLSSCALLGALEFGKWIHEYVKKNGFDQSVKVNTSLIDMYTKCASLEDAVSVFRSMDYRDTQAWSTMIMGYAIHGQVHNAISMFEEMQEAQVQPDGLTFLGLLYACNHAGKVEEGFRFFNVMKEKCRIVPGIKHYGCMLDLLGRAGCLNDAFEFLKELPIKPTPLLWRTLLAACNSHGNVKLGKLVLERIFEMDDSQSGDYVIFANMCARAGEWEDAIHIRKLMKEKGVRKVPGCSSIEVNNVVYEFFSGDGSQVDYKELHRAVEKLMVKLKEVGYTPDTSLVFHHAMEDDEKEATLRYHSEKLAVTFGLLNTPPGKTIRVVKNLRICRDCHSAVKLISLLSERHIVVRDVQRFHHFRDGKCSCGDYW >itb01g05010.t1 pep chromosome:ASM357664v1:1:3417539:3418015:-1 gene:itb01g05010 transcript:itb01g05010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGANDGEKTVILRANDGEEFEVDESVVALSQTIKFVVEDSSKGENSVIPLSKVDGKTLAKILDYCEVHTAVEKTDAEKKEFDQKFVDVEMAELYDLLMATDYLEISELLEKLARRVAEMIKGKTPEEIRKTFNIENDFSPEEEEEMKKENPWALF >itb11g12760.t1 pep chromosome:ASM357664v1:11:9729060:9730403:1 gene:itb11g12760 transcript:itb11g12760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRERRQINLRLPLPEPSERRPRFPFAGVGHSSSAAAAAAFAISDFEKLRVLGHGNGGTVYEVRHKRTATAYALKVVHGDSDPAVRRQIHREISILRCTDSPHVIKCHGTVEMPGGDFAILMEFMDGGTLDNFLKKSEKSEKSEKFSASAAESSLARIAKQVLLGLDYLHSHKIIHRDLKPSNLLVNQDGEVKIADFGVSKMLCRTLDSCNSYVGTCAYMSPERFDTETYGANYDVYAGDIWSLGLTLMELYLGHFPLLPPEQRPDWATLMCAICFGEPPSLPEEASGVFRDFIGRCLQKESSERWSAQQLLQHPFVRDIELKLQQ >itb01g13180.t1 pep chromosome:ASM357664v1:1:13175016:13175348:1 gene:itb01g13180 transcript:itb01g13180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIEEVFRIAKDQTLIAVCSTVPGYWFTVKLIDKIGRFAIQLMGFIFITMSMFALPIPYDHWTHKENMIGFVIMYSLTFFFANFGPSATTFVVLAEIFPRGGGIISVEN >itb08g15850.t1 pep chromosome:ASM357664v1:8:18056169:18057166:1 gene:itb08g15850 transcript:itb08g15850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDVKLVGIRPCPYVNRAQIALNLKSVEHEFIEVNPYTDRDMLVKLNPVHKKIPVLIHEEKSVCESLIVVQYIDEVWTNGTAILPYDPYDRAIALFWASYIEEKWSPLLGELRKAEGEEEKAKVMEKIGQGMALLEEAFLKCSKGKAFFGGDSIGYVDIALGGFLGWIKATEILAGRKILDGEKTAGLVGWSERFLLDKAVKDVIMEPEKLAEIYQRFQAAKAN >itb15g08780.t1 pep chromosome:ASM357664v1:15:6195096:6196264:1 gene:itb15g08780 transcript:itb15g08780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKLDHVLGIMSERQDFLPKKDQEKEIQSQIQIRPTSHDPKGCPEISPSRHLSHLQELPGAGAGDDGDEDDDSDNRKNQKKVIQPGISTRPTPQDPEGCPDVPPPNSHHLSELRGFREVRGEEEEKEQRQLGKSAVAEDDDGFRTPESLEHRIPVITACPPAPKKIRPKISPAKRSRTNAELLDLSDEVESLFSPKIKKFRREEEDGSEL >itb03g23870.t3 pep chromosome:ASM357664v1:3:22162275:22166470:-1 gene:itb03g23870 transcript:itb03g23870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAENGLMFPYWPSFPQEAQQLEDLYCSQRPNASLTASSISDYDLGGEGDLFKAPEPIIEEPLLDLDPMTAAISLISCGDDVISPQGLEISDIQSSFENGQLLSEVFYECKKDLLAKDGIEAPLSEDLDVKILIAETDEKMASEVHLDSQGSLQKSVSSESLNTMDWAQGAPLRPNFFDFPGMDFGAAAYGMRRSLSDGDIKLRVNSFYETSCCISWFRDEVCKLRNV >itb03g23870.t6 pep chromosome:ASM357664v1:3:22162275:22165428:-1 gene:itb03g23870 transcript:itb03g23870.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISLISCGDDVISPQGLEISDIQSSFENGQLLSEVFYECKKDLLAKDGIEAPLSEDLDVKILIAETDEKMASEVHLDSQGSLQKSVSSESLNTMDWAQGAPLRPNFFDFPGMDFGAAAYGMRRSLSDGDIKTLGNDNINLIHSPHGQSQIIRSSISEDRKEKLSRYRIKKAKRNFGRKIKYACRKALADSQPRIRGRFAKTEEADGSKSKKH >itb03g23870.t2 pep chromosome:ASM357664v1:3:22162225:22165180:-1 gene:itb03g23870 transcript:itb03g23870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISLISCGDDVISPQGLEISDIQSSFENGQLLSEVFYECKKDLLAKDGIEAPLSEDLDVKILIAETDEKMASEVHLDSQGSLQKSVSSESLNTMDWAQGAPLRPNFFDFPGMDFGAAAYGMRRSLSDGDIKTLGNDNINLIHSPHGQSQIIRSSISEDRKEKLSRYRIKKAKRNFGRKIKYACRKALADSQPRIRGRFAKTEEADGSKSKKH >itb03g23870.t1 pep chromosome:ASM357664v1:3:22162180:22166470:-1 gene:itb03g23870 transcript:itb03g23870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAENGLMFPYWPSFPQEAQQLEDLYCSQRPNASLTASSISDYDLGGEGDLFKAPEPIIEEPLLDLDPMTAAISLISCGDDVISPQGLEISDIQSSFENGQLLSEVFYECKKDLLAKDGIEAPLSEDLDVKILIAETDEKMASEVHLDSQGSLQKSVSSESLNTMDWAQGAPLRPNFFDFPGMDFGAAAYGMRRSLSDGDIKTLGNDNINLIHSPHGQSQIIRSSISEDRKEKLSRYRIKKAKRNFGRKIKYACRKALADSQPRIRGRFAKTEEADGSKSKKH >itb03g23870.t4 pep chromosome:ASM357664v1:3:22162275:22164835:-1 gene:itb03g23870 transcript:itb03g23870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISLISCGDDVISPQGLEISDIQSSFENGQLLSEVFYECKKDLLAKDGIEAPLSEDLDVKILIAETDEKMASEVHLDSQGSLQKSVSSESLNTMDWAQGAPLRPNFFDFPGMDFGAAAYGMRRSLSDGDIKTLGNDNINLIHSPHGQSQIIRSSISEDRKEKLSRYRIKKAKRNFGRKIKYACRKALADSQPRIRGRFAKTEEADGSKSKKH >itb03g23870.t5 pep chromosome:ASM357664v1:3:22162180:22166467:-1 gene:itb03g23870 transcript:itb03g23870.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAENGLMFPYWPSFPQEAQQLEDLYCSQRPNASLTASSISDYDLGGEGDLFKAPEPIIEEPLLDLDPMTAAISLISCGDDVISPQGLEISDIQSSFENGQLLSEVFYECKKDLLAKDGIEAPLSEDLDVKILIAETDEKMASEVHLDSQGSLQKSVSSESLNTMDWAQGAPLRPNFFDFPGMDFGAAAYGMRRSLSDGDIKDGAGSLIMSFVDRLSVMII >itb03g08250.t1 pep chromosome:ASM357664v1:3:6187062:6191778:1 gene:itb03g08250 transcript:itb03g08250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAELICRNLRDGALEGEHAPALTLKDSIESPLGPLVFNHILSQLFSNISAAKSQSRGILLVALSRPPSFYAELLKCRGSDGGSSNKWLSVLDCYTDPLGWKSRLMERGTINNTSQNTSVNATLFTHVKDSNKLLASIVERGKEMVGEGKGRFSVAIDSISEMLRHSSIHSVARILSYLRSHDQVSSVFFLLNSDLHESKVASTLEYMSTMRADIVPVAQTINGQRVNSENLSVVEQNFKRGKLHVRFKRRNGRVRVTCEDACVEDSGIKFTPVSLEDGLTAQSLVPKVQFNLQLSEKERLDREKVVLPFEHQGNGKPIEIYDGRKSLNNTNEHGDASAKMPLTNDDSGKGEIIYFRDSDDEMPDSDEDPDDDLDI >itb03g08250.t3 pep chromosome:ASM357664v1:3:6187062:6191740:1 gene:itb03g08250 transcript:itb03g08250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MERGTINNTSQNTSVNATLFTHVKDSNKLLASIVERGKEMVGEGKGRFSVAIDSISEMLRHSSIHSVARILSYLRSHDQVSSVFFLLNSDLHESKVASTLEYMSTMRADIVPVAQTINGQRVNSENLSVVEQNFKRGKLHVRFKRRNGRVRVTCEDACVEDSGIKFTPVSLEDGLTAQSLVPKVQFNLQLSEKERLDREKVVLPFEHQGNGKPIEIYDGRKSLNNTNEHGDASAKMPLTNDDSGKGEIIYFRDSDDEMPDSDEDPDDDLDI >itb03g08250.t2 pep chromosome:ASM357664v1:3:6187062:6191778:1 gene:itb03g08250 transcript:itb03g08250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAELICRNLRDGALEGEHAPALTLKDSIESPLGPLVFNHILSQLFSNISAAKSQSRGILLVALSRPPSFYAELLKCRGSDGGSSNKWLSVLDCYTDPLGWKSRLMERGTINNTSQNTSVNATLFTHVKDSNKLLASIVERGKEMVGEGKGRFSVAIDSISEMLRHSSIHSVARILSYLRSHDQVSSVFFLLNSDLHESKVASTLEYMSTMRADIVPVAQTINGQRVNSENLSVVEQNFKRGKLHVRFKRRNGRVRVTVTCL >itb01g10930.t1 pep chromosome:ASM357664v1:1:9577744:9579323:-1 gene:itb01g10930 transcript:itb01g10930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLINHIEKFGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHALLGNKWSQIAARLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLSQVENAEKAQVFNNNSGFLSSNRNNLVEFDNPKSSQMISGFNPNPNSNTILDHHCSSSIKLQGSPVFFNSSGFPWLVSGSEKSEKLTDPEDIKWSEYLLGNSIPSQPEPQFTVTEEGSFSTTASTWLQNQHPSLQAANLCTASKHFQRPSAASTQFS >itb12g22810.t1 pep chromosome:ASM357664v1:12:24760105:24761935:1 gene:itb12g22810 transcript:itb12g22810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWPNQHGDGVDGSESSALLSAALVAQGQPQLQPPAAAGGGIRPGSMTERARLAKIPIPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSRSKSPARAAADAPSSSSNNAHRHADIISAAPSHHLPPPPPQIPFLPPLTGFAPPDLGLNFGANEMEFPAGNNSGAGGINLSARFADLFRLQQGITQLPFLSGLDHPAGGMFQFETGIDQYVAGATDHLGAKPFETGGNLVNVKLEEHNNNNALNLSRNFLGMSGNDQFWSGNNGFAADLSGFASSTGRLL >itb07g12100.t1 pep chromosome:ASM357664v1:7:13686084:13687837:1 gene:itb07g12100 transcript:itb07g12100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRSSSPSQPHYTFCETLLADTIATVESVIRKWDVQASLTFAGISNLFRDSPVEAKQFLGCVDGLQRAMLSSVRLCSDSELLVRAQTLMQIAMKRLQKEFYTILSGNRYFLDLETVSARSSRASSRSSVSDSEDGEVLDTVAGILMPDPAVADLKAIATCMIGAGYGKECVKVYNIIRKSVIDETLYHLGIERFSYSQIQKMDWEVLERKILNLQSSIRIAVRTLFLGERILCDEVFSASGNIRESCFAEISKDGAINLFGFLELAAKYKKTSIEKMFRYLDLYEAVSDLSPEIESIFSFDSTAAVKSQAAASVSKLGDAIRAMLSEFEAAIQKDSTKPPPGGAIHPLTRYAMNFLVFLADYSGTVSEIIADWPPSSQSPLPESYFLSPSSTNDDSTVSAKFAWLVLVLLCKLDSKARHYRDVPLSYLFLANNLNYVVSKVRSSNLSLVLGSDWISKQKVKVKQFIANYERLGSFKTTFGDSN >itb13g12600.t1 pep chromosome:ASM357664v1:13:18824345:18825562:1 gene:itb13g12600 transcript:itb13g12600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMREQITVEGGFPMRIFRWSLGFCTDKETSLAPVWIRLPMLSANLFNAVALKQLCKPIGRFLAPNYATLNFTRPSYARVKIEIDLLKPLVHEIFIGFSDKPGKEDEGYTQKIIYERIPQYCTKCYKQGHSVEVCRLGNYTGNSGGGQNVGVKGMAGGMRENMRGRFEGQGNSGGRNAKAVSDWQTVRSKLTSGKTKEAQQTEVLKEKGILDKGNGVLKESSKEMEQRKNYGKSRQTDDSLNQNIERDDYVGKSPMSLGTMERGGGRRGRGGRFSWESRGGSMGRGFGGRHEQTFESEGDQSEPRDYETSEHNPFESEDGKGYEAGTETFDPGDTRKKNEEDDLTEEEIEEMARQFEVQMDSDKKMQQGHNTLPRVGKGEFVKTRAQKQRAAMAKGGGASCDELK >itb07g13650.t1 pep chromosome:ASM357664v1:7:15923094:15927124:-1 gene:itb07g13650 transcript:itb07g13650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKRGAQKKAAAAAKRGGKAAAASSKAVAAETAAENGSASVENLANGVGELQISDRTCTGVLCSHPLSRDVRIESLSLTFHGHELIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSREIEASDMSSLEAVICCDEERLKLEKEAEALAGQDDGGGERLDHIYERLEALDASTAEKRAAEILYGLGFSKKMQEKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEMLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKMKIYTGNYDQYVQTRKELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVSRDSVLVFRFSDVGKLPPPVLQFVEVTFGYTPDNLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMVGDLVPQDGMVRRHNHLKIAQFHQHLAEKLDMEMSALQYMIKEYPGNEEEKMRAAVGKFGLSGKAQVMPMKNLSDGQRSRVVFAWLAFRQPHLLLLDEPTNHLDIETIDSLADALNEWDGGMVLVSHDFRLINQVAREIWVCENQAVTKWEGDIMDFKRHLKSNAGL >itb01g34900.t1 pep chromosome:ASM357664v1:1:37375434:37377091:1 gene:itb01g34900 transcript:itb01g34900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCSKDEAAFFCVADEAALCHACDHKVHHANKLASKHQRFTLHHPSSEQAPLCDICKERRAFLFCQQDRAILCRECDGPIHNANEHTQKHDRFVLTGVKISATSAVYSSSPDSMSESVPSLRSQDSSSNKVVVASPTVPAPISKPTNSFSSSNLVSPMGVAEVNEKNGGFMNGGADCLTSSLSEYLEMLPGYHVEELLDSSYPDGLCKMGGNDVLPFWDTDLESNLSCMSSERAGIWVPQASPHHHQFQHNQTQTLPSATIAFGAQIGFKEPKASRKWADDNSFAVPQIRPSSTTFKRSRNFW >itb11g03820.t1 pep chromosome:ASM357664v1:11:2048414:2051652:-1 gene:itb11g03820 transcript:itb11g03820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEMEVMICTLLGVFVSVGLLAFAWGVLGWVWFKPKKLEKCLKQQGLKGNPYRILSGDMKELAKMMTDALSKPMTLSDNIAPRVIPYYVNLAEKYGKNCYVWMGPMPMVFIRDPELVKEVLNKYELFQKPRNNPLGRKLARGLVSYEKEQWAKHRRLLNPAFYTEKLKLMQPAFLLSCSEMLSKWEGIVYGKGSSCEVDVWPDLQALTCDVISRTAFGSSYEEGKRIFELLREQAIHFMEAVRQVYIPGWRFVPTKRNRQMNAIDKEVKSSIRVIIEKKMKAMQAGETNSDDLLGILLESNLQEIRQQGNKAFGISIEQIIDECILFYFAGQETTSVMLVWTMIVLSRYQDWQARAREEVLQVFGDKKPDFEGLNDLKVVTMILYESLRLYPPLAGLGRRTIEETKLGEMVLPPGVMLSLPTLLIHLDTEIWGDDAKEFKPERFREGIMKATNGKHAFFPFSGGPRICIGQNFALVEAKMAMAMILQRFSFELSPSYAHAPFNRLITQPQHGAPLIMHRL >itb01g04780.t1 pep chromosome:ASM357664v1:1:3246248:3248037:-1 gene:itb01g04780 transcript:itb01g04780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYAAMELDSNAGDSVASTPRSEHHQNLAADDGVSIQQLPRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVALNRHASFASLLVKLSKLAGTASVVVKYQLPNEDLDSLITVTTDEDVENMMDEYDRVAQTHKAARLRLFLFPTDADSRASTINSILDGSAKREHWFVDALNGGSGPGLERGRSEASSIVSEVPDYLFGLENADEPPLSRMKNNNNKHLFHETASNSEPGSPAPAVSSSPFCSTSSALATPNVAVMPDLPPVKTKPDQQLPRATSIETTTGENPNPGPPQQPGYPGSPYWHYPGPQVQPMPIYYVPGVGPVQAAAGSHPPHPIPQRGQYVQPFQVIPGQVPVGLPQQVSSIGPVYGGMRPVMSLESHEINQAVLYGARNGGTVPGYSSAGGEEMEGKVGRVPHPG >itb15g02830.t2 pep chromosome:ASM357664v1:15:1785200:1787408:-1 gene:itb15g02830 transcript:itb15g02830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGGSNGNLTRKPFALLENKSSAVGIGGDLGFCMENMNNSGGGGRDEPPPVQLDLLPLSPVHRHQSGSQLRLPWLSGNLGAEPGVKALDMNRRPAGVAAAEEAEDAAALSSPNSAASSFKMDFSIFRTGLLGKRDSTGDGDRERGGSSNSRASDDDENGLGRKKLRLTKEQSAFLEESFKEHNTLNPKQKLALAKQLSLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKASQPFYMQLPATTLTMCPSCERVASSTAAATPTTAVAAHGGAKPKLFPFPPPQVHAPQAAS >itb15g02830.t1 pep chromosome:ASM357664v1:15:1785090:1787408:-1 gene:itb15g02830 transcript:itb15g02830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGGSNGNLTRKPFALLENKSSAVGIGGDLGFCMENMNNSGGGGRDEPPPVQLDLLPLSPVHRHQSGSQLRLPWLSVGAEPGVKALDMNRRPAGVAAAEEAEDAAALSSPNSAASSFKMDFSIFRTGLLGKRDSTGDGDRERGGSSNSRASDDDENGLGRKKLRLTKEQSAFLEESFKEHNTLNPKQKLALAKQLSLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKASQPFYMQLPATTLTMCPSCERVASSTAAATPTTAVAAHGGAKPKLFPFPPPQVHAPQAAS >itb08g12780.t1 pep chromosome:ASM357664v1:8:13199864:13203623:-1 gene:itb08g12780 transcript:itb08g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIDFSGLESTAPIRGESSELFEEIPRAPSFQIPNIADFDGFQRDAIRMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHELANKRRISVAGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDNGYRFDLSVEEAAELARRSIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVEAAAVEHEMTEVPIA >itb12g22400.t1 pep chromosome:ASM357664v1:12:24510097:24513870:1 gene:itb12g22400 transcript:itb12g22400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVQEGEIDLEKGLISPATSQNSLFEPSPAPSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQKGDLAAVKQILDDIDSQMVGMLSGAEFDREVAEIRESVVNEVNELGETALFTAADKGHLEVVKELLKYSTKETLTKKNRSLFDPLHIAASQGHHAIVQVLLDHDPELSKTVGPSNATPLITAASRGHTAVVNELLVKDCTLVEIARSNGKNALHLAARQGHVEIVKALLEKDPQLARRTDKKGQTALQMAVKGVNVEVVKLLLNADAAIVMLPDKNGNTALHVATRKKRVLIVDELLRLPDMNVNALTRDHKTALDIVEDFPLSEESTLIKESLCRYGAVRANELNQPRDELRNTVTQIKKDIHIQLEQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDDYGMAVAVTYTSFQIFFIFNAVALFTSLAVVVVQITLVRGETKAERRVIEIINKLMWLASVCTSVAFMASSYIVVGRKFEWAAILVTVVGGSIMAGVLGTMTYYVVRSRRIRSTRKKEKHARSGSNSWLPSELSNSDIDRIYAI >itb03g09230.t2 pep chromosome:ASM357664v1:3:7095150:7097084:-1 gene:itb03g09230 transcript:itb03g09230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVLGTEIARIWLQVHRYYNFRGETGYLALNYLNRFLSSHHFPEWEGWAWQLASVACLSLAAKMEETAVPSLMDLQIWKPEFVFMPKTIQRMELLIMAGLKWRLRIITPFDFLHHYAAKAPIPNTHSTQLISNTSDVILRACQDPEFRQYSPSTIAVAALLFTTGQNADDERLSKEMVKRCYSFLQKHFEKISDSSESNVRDDKGSDDSDECCGGKFQCLVRHDKEFQRKKRACTPYRPNND >itb03g09230.t1 pep chromosome:ASM357664v1:3:7095150:7097084:-1 gene:itb03g09230 transcript:itb03g09230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLNDDSAIRSPDLYCNEAALSDNSSSLDFEFPGDCDDHDGDSSIDNMFRMELEQMQRLDVDRELPRDEEFESARRNAVRWMLQVHRYYNFRGETGYLALNYLNRFLSSHHFPKEWEGWAWQLASVACLSLAAKMEETAVPSLMDLQIWKPEFVFMPKTIQRMELLIMAGLKWRLRIITPFDFLHHYAAKAPIPNTHSTQLISNTSDVILRACQDPEFRQYSPSTIAVAALLFTTGQNADDERLSKEMVKRCYSFLQKHFEKISDSSESNVRDDKGSDDSDECCGGKFQCLVRHDKEFQRKKRACTPYRPNND >itb03g30430.t1 pep chromosome:ASM357664v1:3:32679660:32680547:1 gene:itb03g30430 transcript:itb03g30430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTPLYDFENEFHELNQPTVRAEAVAEIEPSREKKFLRLEDNLEISTPPPYQYNHSITENSLWDYQYEECALAPVGEASRSSSDEHIRSFGQEFDSIWNFKDEVPEVKEINEVATEEIIEEASNPVITIVDKKSTSEKSWNIEMISKFFHLPVVQAARELHIGKDKLKKICTQLGINQWPHRKLQYMDRLLSKFKKDFDQGKKVIELEHESEQMLANPNNELGLETQTLGESSSKEKRYQQLINLAHSTSFANSSRVPWEDEMF >itb13g05340.t1 pep chromosome:ASM357664v1:13:6390033:6394000:-1 gene:itb13g05340 transcript:itb13g05340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMKEKRWVVFKGEHEPTSVPVEWICWLNGQRKNAPTPEEMAELEARRELVRLNVARLKEEEERLAKEGKIKVTSVGKLAAPDLKSFVRQFPDATEGDTAAEASNAEDESRSSEPSGSGESFRPGTWQPPI >itb13g05340.t2 pep chromosome:ASM357664v1:13:6390023:6394184:-1 gene:itb13g05340 transcript:itb13g05340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPITCFLLERLKHFLPKLCSKHDLQRERERESMSRIWARLAGMLSNRRMVGVDKAGNRYFTRTEEIDGIMKEKRWVVFKGEHEPTSVPVEWICWLNGQRKNAPTPEEMAELEARRELVRLNVARLKEEEERLAKEGKIKVTSVGKLAAPDLKSFVRQFPDATEGDTAAEASNAEDESRSSEPSGSGESFRPGTWQPPI >itb13g05340.t3 pep chromosome:ASM357664v1:13:6390023:6394184:-1 gene:itb13g05340 transcript:itb13g05340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIWARLAGMLSNRRMVGVDKAGNRYFTRTEEIDGIMKEKRWVVFKGEHEPTSVPVEWICWLNGQRKNAPTPEEMAELEARRELVRLNVARLKEEEERLAKEGKIKVTSVGKLAAPDLKSFVRQFPDATEGDTAAEASNAEDESRSSEPSGSGESFRPGTWQPPI >itb13g05340.t4 pep chromosome:ASM357664v1:13:6390004:6393672:-1 gene:itb13g05340 transcript:itb13g05340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIWARLAGMLSNRRMVGVDKAGNRYFTRTEEIDGIMKEKRWVVFKGEHEPTSVPVEWICWLNGQRKNAPTPEEMAELEARRELVRLNVARLKEEEERLAKEGKIKVTSVGKLAAPDLKSFVRQFPDATEGDTAAEASNAEDESRSSEPSGSGESFRPGTWQPPI >itb12g27810.t2 pep chromosome:ASM357664v1:12:28006888:28011490:-1 gene:itb12g27810 transcript:itb12g27810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINMRENELTFCAPPTSAVAKKTKSDDLQTQEEDGDVIIVPPCTAVNHHPRSQAGLRRVIPATDDDSAVATTMVERVLPNGDLYTGTFLGNLPHGNGKYLWADGCMYEGEWRRGTASGNGKFSWPSGATYEGDFRGGRMDGHGTFIGADGDTYRGWWVADRKHGFGEKRYANGDLYVGSWKWNLQDGEGRYVWSNGNEYLGEWKNGVMSGKGVLIWANGKRYEGDWENGMPKGNGVFSWPDGRICCTSGDNSSSHHEFSSTNGVQNRRRSSVSGVNNVGERNVNVNMNMPRICIWESDGDAGDITCDIVDNVEASSMYLQRNEDEGSSESSEGDCSLELRKSPCRSEGVEMKKPGQPISKGHKNYDLVISLQLGIRYSVEKHDAIGCELRNADFDPNVKFWTRFPPEGSKCTPLHQAPDFKWKDYCPMVFKRLRDLFGINPADYMAALCSNNALRELSSPGKSGSVFYLTQDDRFIIKTVKKSEVKVLIRMLSSYYRHVCQYKNSLVTRFLGVHCVKPVGGQKTRFIIMGNMFYSKYGIHRRFDLKGSSYGRSTDKPEGQVDETTTLKDLDLNFVFHLQKSRFEELIRQINKDCQFLEAENIMDYSLLIGVHFCNNYSSNGSNGLPSDDYFGKLLSAVFSLISSCSYMENFIYIAPKNMVLRVYISGKRGAQEYCLCDSTEMEQIADVEKQPQAGLGINLPARAVHTSRMEHDFYTGSGANKACAQGSRETSNVILYLGIIDILQDYDISKKLEHAYKSFQVDSTSISAVDPKLYSKRFRDFISRIFSEDEGEL >itb12g27810.t1 pep chromosome:ASM357664v1:12:28006888:28011490:-1 gene:itb12g27810 transcript:itb12g27810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMRENELTFCAPPTSAVAKKTKSDDLQTQEEDGDVIIVPPCTAVNHHPRSQAGLRRVIPATDDDSAVATTMVERVLPNGDLYTGTFLGNLPHGNGKYLWADGCMYEGEWRRGTASGNGKFSWPSGATYEGDFRGGRMDGHGTFIGADGDTYRGWWVADRKHGFGEKRYANGDLYVGSWKWNLQDGEGRYVWSNGNEYLGEWKNGVMSGKGVLIWANGKRYEGDWENGMPKGNGVFSWPDGRICCTSGDNSSSHHEFSSTNGVQNRRRSSVSGVNNVGERNVNVNMNMPRICIWESDGDAGDITCDIVDNVEASSMYLQRNEDEGSSESSEGDCSLELRKSPCRSEGVEMKKPGQPISKGHKNYDLVISLQLGIRYSVEKHDAIGCELRNADFDPNVKFWTRFPPEGSKCTPLHQAPDFKWKDYCPMVFKRLRDLFGINPADYMAALCSNNALRELSSPGKSGSVFYLTQDDRFIIKTVKKSEVKVLIRMLSSYYRHVCQYKNSLVTRFLGVHCVKPVGGQKTRFIIMGNMFYSKYGIHRRFDLKGSSYGRSTDKPEGQVDETTTLKDLDLNFVFHLQKSRFEELIRQINKDCQFLEAENIMDYSLLIGVHFCNNYSSNGSNGLPSDDYFGKRGAQEYCLCDSTEMEQIADVEKQPQAGLGINLPARAVHTSRMEHDFYTGSGANKACAQGSRETSNVILYLGIIDILQDYDISKKLEHAYKSFQVDSTSISAVDPKLYSKRFRDFISRIFSEDEGEL >itb10g02360.t1 pep chromosome:ASM357664v1:10:1995819:1997089:-1 gene:itb10g02360 transcript:itb10g02360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLIVVVAVALFLLGAWASQATAHTNHVNKATSNYVKINGFSGNNVNYAPANPKPEKKFQNRPRNSNNNGGTQYTQFADPTVNNNYFPTTNFVDTTNKVEETTETMAEPPQSGTCDGKHRAFGGWLAVIIAVSLYHHHRL >itb09g12820.t1 pep chromosome:ASM357664v1:9:8132631:8134055:-1 gene:itb09g12820 transcript:itb09g12820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNDHHLQSHQLSDSNQNGIAVVMVPFPAQGHLNQLLQLSCLISSSYRLPVHYVGSAIHNRQARLRANALSPSQIAKIHFHDLPTPEFDSPPPDPNASVKFPVQLQPSWFASVHLRHPVAALLQQMSSKARRVVIVHDPSMSMAVQDAASIANAECYAFNCISVFTLLTMVCEGLGKPFPFQESAEGLPSLEGVSTDEGWRFAAAQNEYMRIREGDLHNTSRAIEGPFLDLLSSQEPSLNKQQWAIGPILPLKSGHHGLTRKHECLEWLNKQAPKSVLYVSFGTTVSLSDKQVRELAEGLEQSRQKFLWVLREADKGDIFDGEDRIVELPEGLEGRVEGIGLVIRDWAPQPEILAHSSVGAFMSHCGWNSCIESISMGVPIACWPMHSDQPRNSFLVTEMLKTGLLIRKWEKRDELVSSSTIKDIVRRLMASEEGDKIRKRAGEVGDAVRHSTEEGGVSRMELESFISHIMR >itb10g03440.t1 pep chromosome:ASM357664v1:10:3189407:3189826:-1 gene:itb10g03440 transcript:itb10g03440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKALFSRAISCINSQTKKLKPLHWLKISRAVQGSLWAETQKSETAKAPELDISELESLFSAAVPNSDKASSGRKGNSRTSLGAKAEKVQLVIYVPFGCIFTNALFPYDF >itb14g05260.t1 pep chromosome:ASM357664v1:14:4616721:4620308:1 gene:itb14g05260 transcript:itb14g05260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MFCLKLLNAVVTNVCSRLCRFLDFSITIQQKATQPRLEVLAQWHPNLNPISINLMAYGVCHQFDVKTVTQSSPSPVISLVHTLPYGAEPLLHSDTGSSASPSTSSGNEQEASKALKDVQLPARLMDMFLDLASGNTSKDLETCGVLGAFLKKGTFYVTTLIIPKQESESNSGLFPIGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAVGIVMAPTDKSRDYGIFRLSNGGMRVLKDCSEKGFHPHREPADGSSIYEDCSNVYVNQNLRLEICDLR >itb08g10180.t1 pep chromosome:ASM357664v1:8:9584586:9591558:-1 gene:itb08g10180 transcript:itb08g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAQSTFFVSTRPSLFLRRNGGSLKRYNKAPVHRHKWRAPVASVLELGGLKVSKDEIVRDDPTNNVPDTIFSKLGMQLHRRDQHPLGILKNAIYDYFDTNYPNKFDKFDDLCPIVSVKQNFDDVLVPPDHVSRSYNDTYYVDSQTVLRCHTSAHQAELLSRGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFSPNDWEGSGTDATSYAAADLKICLEGLAQHLFGGVEMRWVDTYFPFTNPSFELEIYFQEKWMEVLGCGVTEQEILKRGGKTNNVAWAFGLGLERLAMVLFDIPDIRLFWSADERFTSQFSSGQLGVKFKPFSKYPPCYKDMSFWISDSFTENNLCEVVRGIAGDLVEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWKVRDQVESKLKVVLR >itb09g30000.t1 pep chromosome:ASM357664v1:9:30698227:30700600:1 gene:itb09g30000 transcript:itb09g30000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLHIEPLDLKFPFELKKQISCSIQLSNKTENHVAFKVKTTNPKKYCVRPNTGVVLPRSTCDIIVTMQAQKEMPADMQCRDKFLLQSVAAGPGTTIKDITSEMFNKEAGKLVEECKLKVVYVPPPQPPSPVAEGSDEGLSQSETLLENGNPNGFEATRSFSDSHDKSSETKSLVSKLTEEKVAAVQRNNRLRQELELLKRDIHKSRSGGVSFVFVIIVGLVGVILGYIMKK >itb04g06660.t2 pep chromosome:ASM357664v1:4:4350058:4353582:1 gene:itb04g06660 transcript:itb04g06660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MSHAPLKAVTLTHVRYRKGDQLGHFLAWVSLVPVFISFGGFVSHFMFRRELQGMFFALGLLISQVINEVIKTSVQQARPETCVILEMCDSHGWPSSHAQYMFFFAVYFTLLTHRRLGSLFRYQMLYAVLLIWPLALLTLQSRVYLGYHTVAQVFAGASLGSFLGAAWFWVVNSKIRRYFTAIEESSFGRFFYIKDTSDIPNVLKFEYDNARAARKHVSYKRVD >itb04g06660.t1 pep chromosome:ASM357664v1:4:4350058:4353582:1 gene:itb04g06660 transcript:itb04g06660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MSHAPLKAVTLTHVRYRKGDQLGHFLAWVSLVPVFISFGGFVSHFMFRRELQGMFFALGLLISQVINEVIKTSVQQARPETCVILEMCDSHGWPSSHAQYMFFFAVYFTLLTHRRLGSLFRYQMLYAVLLIWPLALLTLQSRVYLGYHTVAQVFAGASLGSFLGAAWFWVVNSKIRRYFTAIEESSFGRFFYIKDTSDIPNVLKFEYDNARAARKHVSYKRVD >itb03g27910.t1 pep chromosome:ASM357664v1:3:28448113:28453367:-1 gene:itb03g27910 transcript:itb03g27910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFDWYGKKLQHLCSGPTMKLCSCFRSTCFIISSIYHSILFKINPILTQILYFISLSSFGFLILKALNPRTMFRPRNIDLFFTSVSAATVSSMSTVEMEVFSDAQLIVMTVVMFVGGEVFTSMLGLHLIRPKYRPWRSSSKVTSVSSNSNSNNNSPHHSRQSSFHDDQIELDIMSVHEETLNHNDSRLDIFSSSKDSRELKYESIKFLGTLAAVYLVCVNVVGTFLVFLYVRFVASAREVLRQKGLKLFTFSIFTVVSTFASCGFVPTNENMVVFSKNSGLLLILIPQILLGNTLYPVFLRLSIWFFAKFFKKQEGRFLLKHSREIGHLHLLPGLHSKYLVVTVLGFILVQFALFCSLEWKSSGLGELNGFEKIVGVMFQVVNARHTGETIVDISTVAPAILVLFVVMMYLPPYTSFIPVKGEEQSQDPQRKKKARRVDNIIFSQLSYLVIFIVLICITEREKMKEDPLNFSVLSITLEVISAYGNVGFTMGYSCARMLKPDPNCKDRMYGLAGKWSDEGKIVLIFVMLFGRLKKFNMKGGKAWKLM >itb04g23430.t1 pep chromosome:ASM357664v1:4:28485095:28486271:1 gene:itb04g23430 transcript:itb04g23430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVNVYNGLKQYWKRKGYERIGRGGRRRKYGGDGDGDGASRRKKPSWRIKLTPKLKLNLNLRFSPKKLLIRLRNAYVNMMLRIANTRVIGGGMGGFPGDTGVAGFGTRPLKEYDERMLIQIYKSMLAAHGQAQLVPRDAPPRIANPITCPR >itb07g07510.t1 pep chromosome:ASM357664v1:7:5718693:5719433:1 gene:itb07g07510 transcript:itb07g07510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFNPACFFSFLIPLMTAFYFVHGEAKLLSNCTKEFSCGDLGFVGFPFAKHTQPHCGLVAVNCDTTPPNVQLGTGGDWYQLLRVFPFEADYMISLGNSKLQKHFVSHNYSNLNYTIHFQNSPSFTFLNLDASILNTLLKCNDSEADDIGNYERYNCSDGISLYYKRQLPENNLKCDAVNCTLYPSPFIIRQTNNRLTAEFGLHMQVSKTCHHCYKGGGQCTEDSKNNFHCAQGNHSSPCDKVTT >itb02g10040.t1 pep chromosome:ASM357664v1:2:6460900:6463079:-1 gene:itb02g10040 transcript:itb02g10040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITQDWEPVVIRKKAPTAAARKDEKAVNAARRSGAEIETIRKSNAGTNKAASSSTSLNTRKLDEDTENLAHQKVPTELKKAIMQARQDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIIAKLERALGAKLRGKK >itb06g04320.t1 pep chromosome:ASM357664v1:6:6877896:6880741:1 gene:itb06g04320 transcript:itb06g04320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLLLGLARSSFRRKRTSSLEILTSKRGPRGFYKGKNCIPTGFHTRKGGYVVVPEKLPNYVVPDLTGFKLKPYVSQCPTEAKTAEAADSAK >itb07g12230.t1 pep chromosome:ASM357664v1:7:13876746:13882930:1 gene:itb07g12230 transcript:itb07g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQNYGSSTDSGGRTVADQDVFPAWSKDVKECLDKFQVSLEKGLSGEEAIRRREIYGSNEFEKHEGPSIFRLILDQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMGITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEHATVIRDGNKVSSLPAKDLVPGDIVELKVGDKVPADMRVLNLISSTLRLEQGSLTGESEAVSKNTKVVPEGVDIQGKKCMVFAGTTVVNGHCFCLVTQTGMNTEIGRVHSQIHEASQSEEDTPLKKKLNEFGEALTAIIGVICVLVWLINVKYFLSWEFVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAMGYKSNITRSFNVEGTTYDPFDGKIHDWPLGRMDSNLQMIAKIAAICNDANIEKSGDHYVTTGMPTEAALKVLVEKMGLPDGLDTGSSKTYSDAPCCSSTWNKIERRIATLEFDHDRKSMGVIVSSSSGQKSLLVKGAVESLLERSSYVQLLDGSVVELDGNSRHAILQSLSKMSSKALRVLGFAYKVDLPEFATYNGDDDHPAHELLLNPANYPSIENKLIFVGLTGIRDPPRKEVRQAIQDCRDAGIRVIVITGDNQNTAEAICREIGVFGSHENITSRSLTGTQFMALADPKSHLRQSGGLLFSRAEPRHKQEIVRILKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLIDAWILFRYLVIGMYVGVATVGIFVIWYTHNSFLGIDLSGDGHSLVTYSQLSNWGQCSSWKNFTASPFTAGSEVIRFDDNPCDYFQAGKIKATTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMSVSFGLHFLILYVPFLARVFGIVPLSLNEWLLVLAVALPVILIDEVLKFVGRCTSGVRRRERKTGKHKAE >itb15g17210.t1 pep chromosome:ASM357664v1:15:17564102:17565556:-1 gene:itb15g17210 transcript:itb15g17210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTLLACLVDQNKVEEARAILEKIYPAKNEVEEEMSGLKSSLEEEKSEESAIGDDLISKVKNAWRNTVVRRGLYAGITVQVAQNLVGIRMYYIPSILQLVGFASNTTALALSLVTSGLNAVGTIISMLLVDRYGRRRLMIISMFGIISCLTLLSGLLYLASQHSPPVSLSQSQQFGLNSTCSNFLFTPGSNNQPSSWSCYSCVHQHATSQHCAFCSNKAGKYNPGACLSVTDEVKHSCQSEGRSWYIEGCPSKYGIFAVLLLGLFIISYAPGMGTLPWVVNSEIYPLRYRGIGGGIAAVANWVSNLVVSLTFLTLIEAIGTSGTFLLFAGCSLIGLVAIFFLVPETKGLQFEEVEKMLEKGYKPSLFCYNRNTKQQSAVQGG >itb04g16800.t1 pep chromosome:ASM357664v1:4:18750558:18752974:1 gene:itb04g16800 transcript:itb04g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCSRYPSSSDEESREELGPYAQTHILTNRSLDVAYVPCENFKLEYSVLTQRGFYPDFPEKENQDSYCIKRELQGNPNIHFFGVFDGHGQFGTECSGFVKDRLVEILSNDLQLVENPVRAYISAFSTANEELHSSNIDDSMSGTTAITALVIGDMLYVANVGDSRGVLAVKEGNAVIAKDLSSDQTPFRTDECERVKLCGASVLTVDQVEGNKDPSIQAWGDEETGGDDPPRLWVPNEKYPGTAFTRSVGDSVAENIGVVADPEVLTVQLTANYPFFVLASDGVFEFISSQTVVDMVSKYEDPRDACSAIAGEAYKLWLEHDNRTDDITIIIVHIKNLSVHELSDS >itb04g16800.t2 pep chromosome:ASM357664v1:4:18750574:18752812:1 gene:itb04g16800 transcript:itb04g16800.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHGKCCSRYPSSSDEESREELGPYAQTHILTNRSLDVAYVPCENFKLEYSVLTQRGFYPDFPEKENQDSYCIKRELQANEELHSSNIDDSMSGTTAITALVIGDMLYVANVGDSRGVLAVKEGNAVIAKDLSSDQTPFRTDECERVKLCGASVLTVDQVEGNKDPSIQAWGDEETGGDDPPRLWVPNEKYPGTAFTRSVGDSVAENIGVVADPEVLTVQLTANYPFFVLASDGVFEFISSQTVVDMVSKYEDPRDACSAIAGEAYKLWLEHDNRTDDITIIIVHIKNLSVHELSDS >itb10g06140.t1 pep chromosome:ASM357664v1:10:6725846:6732780:1 gene:itb10g06140 transcript:itb10g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKCPDACPKRPRGKLISNYFARHNGIGLPLSCGIQSSFFFSSEAFPGACSEISPMKGAGPIQVSNAKTSRCYTAAATQGYVSDGVGRSNTMLKKGGDELGKSATTSWIPDPVIEIY >itb04g23570.t1 pep chromosome:ASM357664v1:4:28584337:28587266:-1 gene:itb04g23570 transcript:itb04g23570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVGLLLFLVCTTLIPIGRGLAETVIDVTKFGAKPNGKGDSTMAMMRAWNKACHSPGPAKLLIPRGKFKTGETVFQGPCTASKRMTVEIQGTLIADNDLSAYTSFYWIMFEHVDNVVVTGGGTINGRGEAVWKFDAGEKIQNAPLLPVSLVFQSVRNSALHHLNFVNSKGFHLKVTDSSGINVSNIRITAPGNSPNTDGLHISETTNIKVTNSVIQTGDDCVSIGDGNSNVIVSGINCGPGHGISIGSIGKRSDEKSVKGVRVSNCTLTGTTNGARIKTYRASPKLQVSNIIFENLILKNVKRPIIIDQDYNSKNKIQPSNVKIRDVHFKNIRGTISSSKNAVMLTCSESNPCEGIEFANINIRPSSSARGLLPTSCVNVKPIFKGKVSLASCRR >itb03g14020.t1 pep chromosome:ASM357664v1:3:13925683:13926741:1 gene:itb03g14020 transcript:itb03g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNNMFDEQGGGRRKRRRTHGAKTTSNVVLSSIHSLPQELVVEILARVAAQSWDDLLNARLSCRRWNELGGDNFVYKHMSLAKLPIVQWKPETEENKMKRHLFFHKCLDAGNSEALYRKGLVDYLEGKGAEDDALGCLKKAASAGHIASQYAGCIILIFLGGEHKEHGIRMLIEMISKESREGIRTAREKLVNIINLTWLCNFSFATKPVCCTKHYGRVKNSWGAIDSDDDEDSSMGCKFCKCDNEISHIFKFGPKFVIRN >itb07g00730.t2 pep chromosome:ASM357664v1:7:458955:462714:-1 gene:itb07g00730 transcript:itb07g00730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNPLNKTNGWSIPSDLQKSSQLTNFSCSNCNIVGPLPGYFGELPSLTALKLSDNRLSGTIHNNFRNSMLQILWLNNQDGGGMTGPIDVIGSMVGLVSLWLHGNRFSGPIPSKIGNLTSLKDLSLNENQLVGLIPQGLAYLNLQSLKLDSNMLMGPIPRFRAAKVTYSSNSFCQQEPGEPCAPQVNSLLNFLHYLNYPPRLAAEWIGNDPCNGRWWGITCNPTGKVSIINLPKLNLDGTLSPSLASLNSLVEIHLPGNHLHGRVPNRLTSLRSLRLLDISWNRFDPPLPNFNKGVKVVTNGNPGLAANGTVSSPPSPDSDLQNPELPRDGSSPDYDQLPFLQSPAPSPVNTSGSTTRSTVGITVAATIATTILVYLSVTFICYICKRKKSNDIYGETEFYPKEGKDQPKHEIKITITDESTIEPLGSHGIGKSSKGSEISEYSYVTEAAHLIIPLQILRTVTNNFSPDNEVGRGGFGVVYKGALEDGTQLAVKRMEARIISHKVLDEFKAEIAVLSKVRHRHLVSLLGYSVEGDERLLVYEYLPQGALSKHLFHWRKLGLKPLSWSTRLSIALDVARAMEYLHSLTHQSFIHRDLKSSNILLGNDFRAKVSDFGLVKLAPDREMSVATTLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLTGLTTLDEHRPEESRYLVEWFWLMKSNKEKLIAAIDPALNAKEDIHNSICIIAELAGHCTAREPNRRPEMGHAVNVLAQLIETWKPEEREEDSSAANDFSLPLSEMLKNWQNERTGDFSYISQDSKESIISPEPADFPDSFSSSDAQ >itb07g00730.t1 pep chromosome:ASM357664v1:7:458955:462714:-1 gene:itb07g00730 transcript:itb07g00730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNPLNKTNGWSIPSDLQKSSQLTNFSCSNCNIVGPLPGYFGELPSLTALKLSDNRLSGTIHNNFRNSMLQILWLNNQDGGGMTGPIDVIGSMVGLVSLWLHGNRFSGPIPSKIGNLTSLKDLSLNENQLVGLIPQGLAYLNLQSLKLDSNMLMGPIPRFRAAKVTYSSNSFCQQEPGEPCAPQVNSLLNFLHYLNYPPRLAAEWIGNDPCNGRWWGITCNPTGKVSIINLPKLNLDGTLSPSLASLNSLVEIHLPGNHLHGRVPNRLTSLRSLRLLDISWNRFDPPLPNFNKGVKVVTNGNPGLAANGTVSSPPSPDSDLQNPELPRDGSSPDYDQLPFLQSPAPSPVNTSGSTTRSTVGITVAATIATTILVYLSVTFICYICKRKKSNDIYGETEFYPKEGKDQPKHEIKITITDESTIEPLGSHGIGKSSKGSEISEYSYVTEAAHLIIPLQILRTVTNNFSPDNEVGRGGFGVVYKGALEDGTQLAVKRMEARIISHKVLDEFKAEIAVLSKVRHRHLVSLLGYSVEGDERLLVYEYLPQGALSKHLFHWRKLGLKPLSWSTRLSIALDVARAMEYLHSLTHQSFIHRDLKSSNILLGNDFRAKVSDFGLVKLAPDREMSVATTLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLTGLTTLDEHRPEESRYLVEWFWLMKSNKEKLIAAIDPALNAKEDIHNSICIIAELAGHCTAREPNRRPEMGHAVNVLAQLIETWKPEEREEDSSAANDFSLPLSEMLKNWQNERTGDFSYISQDSKESIISPEPADFPDSFSSSDAQ >itb01g05790.t1 pep chromosome:ASM357664v1:1:4029847:4039038:1 gene:itb01g05790 transcript:itb01g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLALKTLSKPVAARLKKEAGRHPKFRNFIVGIAQANHRITTTMQRRIYGHATDVEIRPLNEEKAVQNAVDLLGELFIFSVGVAALIFEVQRSSRSEAKKEELRRKEMEAVRLREEELARDIEALKHQIQELEQLARGRGLAGMFKCSLSGKFINICPFNTAPSPGTGEGEKKKITPFSPSLYTPNTVLHSAVVFRLRIEGFQMGKSSRSIAGRSATYQIFKDRAKNRVDDLQGMFTDLQSLRKESRTIEVAVLEEQLNQMLREWKAELNEPSPASSLQGGSLGFNTSDLCRLVQLGEEEDDATSALAAPKHEPDAQRVDATGVITVDREQEFYVFRRKPKELIYSSSGGDEDDYEEINEDEEEDDGVAEPVST >itb11g10620.t1 pep chromosome:ASM357664v1:11:7596597:7598057:-1 gene:itb11g10620 transcript:itb11g10620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGGLEPDAFMFKVLIHAYCKCERASLALRIFEDMWSCGQLPDVTTKDLLVKSLWKEGKLREELNDALPLALPGHMFTMNSSDVRRVYEIYSNSFATMNTLDIYMDQRRESRSSPSELLDDGVTQRRHSTPVVQSAVYRAPSRRPA >itb05g23550.t1 pep chromosome:ASM357664v1:5:28624321:28629427:1 gene:itb05g23550 transcript:itb05g23550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSHSQIKTLLDEDDSNPFFHFLNNLFSDDEAVRRPADSLFNAAMKEFPNALSNKLAFAINSNPNPQIRIRCSTLFSRFLDNNWLLLVHPMFHTKFKTFFIQLLRDEEDWEALKIHCTCVSKLAALFFPKPGWPELLVSMFQWLCSPDFTLTNPASVILLNILIPKYCRFFYDYADILNLVFQRLMDQGSGDTRLRSAAVGAAVKLIVYLAIPNDYYEYYGLLTGMISVLGDVIFGDQDLACSVLDEMVVLARGKPWFLAVHIKSMVEAMLKIVSDTGLEEKTRKLAVEFLVTVAEGGDEGYEMIQKLPSNLIEELLAQLLRMLMCVKDDISWMVEENADDMEAEGKMSISCYAEEALSRLAIALGGDVILPNSRDMLPGFFEDDDWKKRYAAVTALGLIASGCSKMLVQNLEHSVEKIMELVHDEHPRVRLATLHAIRKFSKSLSPFFQEQCHRQVILALSDAMDDFGNPRVQNEKTVLKETALKALASLADSTKDDFQPFYATVLSYLKYTLITAKENSNCMLVAKTLECITLVAVAVGKSVFSADVEEAWGRLCTSLGEDFRPYLSASMPQLIKSAELHSLVADDRNSENELRSIVLKEILLACKTLGCFAAHIKGGLHLWIQEVLNAVLPLVNFKFDEQVRIAAISVMPLLLQSAAIAMENKLLIPGFLDSPIDALSKTITPALLESLEASGIKTQVQILAALNQGFQIANTWIPDDTETVESLSQVLSACFHRKAGREYIVQNSLIFQKPELLKEEIQDEENVRICFQLLIKRLSAQNLVLQIYFVKHMWAKSATLEKRRMALSIFSDIAEQCGEQGLRHYAVIIPFLFKACKDTNPDTRQIAACAIGIFVEFGREVFKQHLQDGLSSLEDIFQHSEGSGLEHFMAKDAAVCAYGKVCFFLCEEINSYENIELWLFHLPLKCNFDEAKAAHGLLCSMVDKPETRVTGPEDGYISRIITIMAEVLWAGNNFATEETRSMMIQQLKMLKQKLADKFIGICKSLPPTLQNTLYSCCLSS >itb06g10710.t1 pep chromosome:ASM357664v1:6:15211472:15215790:-1 gene:itb06g10710 transcript:itb06g10710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSYKRHINGFAALLEEHEAAEITKHPEVLSLFLDKSRKLHTTHSWEFMNLEKSGGEVPSNSIWNKARFGRDVIIANLDTGVWPESQSFNDNGFGPVPKKWKGLCQNETSPTGVRCNRKLIGAKYFNKGYLSSDEGNNLSPHMHTPRDYEGHGTHTLSTLGGSFVPGASISGAGNGTAKGGSPGARVAAYKICWPTTKDGGCYDSDVMKAIDAAIHDGVDVISMSLGGDPADYFNDGIAIGAFHAVRKGIAVVCSAGNSGPYPGTVTNVAPWIITVGASTLDREFQSNVKLQNGQCIKGTSMSPALPDQKMYPLINSADAKASNASAQNATLCMAGAIDPKKVKGKILVCQRGISARVEKGHVAATAGAAGMILCNDEASANEIIADAHVLPATQITYNDGLKLYKYLENSTEPQGYITPPKAVLQTKPAPVMAAFSSRGPNKVTPEILKPDVTAPGVNIIAAYSQGTSPTGLPFDHRTFPFNVDSGTSMSCPHVSGIVGLLRILHPHWSPAALRSALITTAGTKDNTGNTIRDANDKAATPFAYGAGHVRPNRAADPGLVYDLSITDHLNFLCASGYNDSSIKTFSGGKHHYKCPENIDLLSFNYPSITVPNLSGPVTVIRTVKNVGKPATYVAVLRQPQGISVSVEPKVLDFEKIGEEKRFKVTFEAKEDVEGYVFGELIWSDGRHHVTSPIAVAVSS >itb09g00230.t1 pep chromosome:ASM357664v1:9:257375:261684:-1 gene:itb09g00230 transcript:itb09g00230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGGSRISSLLRSRPPAKKRTIVVGLKSDNDSREMLLRVLTLTVFPGDSVLAIHVQQSDDTFDPNTFHIHEDLCKSKQAEFQVKVCIGNCYVTELSFQVRLHFATILAVGCSSLCPHDSSVAKLLRALPPTCKLLVMDNGGKVLLQRQGTSQEGSLLKVLHTSPQPPAFHKQLLLFSSLSASTSGGDKSRNKFQIQKSLTMPSARPSSSDQLLFHQTEKKDTLPKFNKDGVLTKQFFQRLASLQVMGCTRCFTVDDLEHATANFSPHFLIGEGGHSQVYRAIIDNGFAAAVKLLKPSPYSQDDLLREVEILTSIKHANIIQLVGYCYTQEIQAIVFNLSRESLKQKLRYMRWNERMRVAIGVARALEYLHSLTPPIIHRDVKSSNILLSDGCEPLLSDFGAAVVHPQIQQAAMPHAKPVNVVGTFGYLAPEYVMYGKVDEKIDVYSYGVVLLELITGKEAIERNPTSNQDSLVLWVELGGNSQVTMLLLGGSRISSLLRSRPPAKKRTIVVGLKSDNDSREMLLRVLTLTVFPGDSVLAIHVQQSDDTFDPNTFHIHEDLCKSKQAEFQVKVCIGNCYVTELSFQVRLHFATILAVGCSSLCPHDSSVAKLLRALPPTCKLLVMDNGGKVLLQRQGTSQEGSLLKVLHTSPQPPAFHKQLLLFSSLSASTSGGDKSRNKFQIQKSLTMPSARPSSSDQLLFHQTEKKDTLPKFNKDGVLTKQFFQRLASLQVMGCTRCFTVDDLEHATANFSPHFLIGEGGHSQVYRAIIDNGFAAAVKLLKPSPYSQDDLLREVEILTSIKHANIIQLVGYCYTQEIQAIVFNLSRESLKQKLRYMRWNERMRVAIGVARALEYLHSLTPPIIHRDVKSSNILLSDGCEPLLSDFGAAVVHPQIQQAAMPHAKPVNVVGTFGYLAPESLLSYGLCERLIDPDLNEDYNVKEMNTMMIVARLCLLHSSSRRPTMKRILQLLEDPEHWLELQDNKEGLVIGNDSTGKIELCRGNKSDCLEIFTDDES >itb03g03900.t1 pep chromosome:ASM357664v1:3:2344540:2346515:1 gene:itb03g03900 transcript:itb03g03900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQIHKKLRVVCLHGFRTSAEILKKLVLRWPESVLDRLDLHFLDAPFPAQGKSIVEGIFDPPYFEWFQADKEFKEYYKFEECLEYIEDYMVKNGPFDGVLGFSQGAVLAAAMPGMQGEGEALSKAAKIKFVIIISGAKFGGPKFGVPKLAANAFSHPLDCPSLHFLGETDFQKEEGKILTECFVDPHLIHHPKGHTVPRLDDESVGTMLGFIDKIQKMAVQEEGN >itb13g13200.t1 pep chromosome:ASM357664v1:13:19730117:19731418:1 gene:itb13g13200 transcript:itb13g13200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSPGSKHLNSHGVIVEARDDGSVATLLFADSSASNYDEDDEERKSLKRLGKAEKYVHLIPLLTVLCYLILYLSSHHPTDNDLAQFNGFNGFSKPIESGVAAIEKSNVLAIRSLRNLREIDMDSSKRRFHRKIADF >itb01g17570.t1 pep chromosome:ASM357664v1:1:22352050:22355329:1 gene:itb01g17570 transcript:itb01g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLMLACGKVYISESRNKAALESIERAVKLIPEAAIINKFEDEIYNRVGYTVVSKLAPQRQHIGPCPLKNAVLEMVRSALDTIDLQSHSGTHPRLGVVDHICFHPLAATSLDDVAGTAKSLAADVGSGLQVPTFLYGAAHREGRSLDSIRRELGYFRPNSSGNQWAGGSISDALLLKPDEGPNQATQSKGVIVIGATRWVDNYNIPVFSNDINVVRRIAKRVSGRGGGLPSVQSMALAHSEGIIEVACNLLEPSRVSGIQVQLEVERLAREEGVSVGKGYFTDLPQEKIIESYLKLF >itb04g08710.t6 pep chromosome:ASM357664v1:4:7451366:7455676:-1 gene:itb04g08710 transcript:itb04g08710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t10 pep chromosome:ASM357664v1:4:7450609:7453850:-1 gene:itb04g08710 transcript:itb04g08710.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLVNITSKIKALARSGQIEYARKVFDEMVLRDVVAWNSMLSSYSQLGLHQEALSLFQSLRTGVVRPDHFTFTSTLSACASSCELKYGHKIHALVIVSGYNAYLSVNNSLIDMYGKCFSHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t9 pep chromosome:ASM357664v1:4:7451355:7455696:-1 gene:itb04g08710 transcript:itb04g08710.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t3 pep chromosome:ASM357664v1:4:7451538:7455696:-1 gene:itb04g08710 transcript:itb04g08710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVYINKIIYTKKNYDATPNVCCNLFNRPRIQQILMQSHLVNITSKIKALARSGQIEYARKVFDEMVLRDVVAWNSMLSSYSQLGLHQEALSLFQSLRTGVVRPDHFTFTSTLSACASSCELKYGHKIHALVIVSGYNAYLSVNNSLIDMYGKCFSHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMQEESQIHGWENWMR >itb04g08710.t7 pep chromosome:ASM357664v1:4:7450609:7453850:-1 gene:itb04g08710 transcript:itb04g08710.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLVNITSKIKALARSGQIEYARKVFDEMVLRDVVAWNSMLSSYSQLGLHQEALSLFQSLRTGVVRPDHFTFTSTLSACASSCELKYGHKIHALVIVSGYNAYLSVNNSLIDMYGKCFSHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t5 pep chromosome:ASM357664v1:4:7450609:7453850:-1 gene:itb04g08710 transcript:itb04g08710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t12 pep chromosome:ASM357664v1:4:7451314:7454054:-1 gene:itb04g08710 transcript:itb04g08710.t12 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHLVNITSKIKALARSGQIEYARKVFDEMVLRDVVAWNSMLSSYSQLGLHQEALSLFQSLRTGVVRPDHFTFTSTLSACASSCELKYGHKIHALVIVSGYNAYLSVNNSLIDMYGKCFSHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t8 pep chromosome:ASM357664v1:4:7451314:7455696:-1 gene:itb04g08710 transcript:itb04g08710.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVYINKIIYTKKNYDATPNVCCNLFNRPRIQQILMQSHLVNITSKIKALARSGQIEYARKVFDEMVLRDVVAWNSMLSSYSQLGLHQEALSLFQSLRTGVVRPDHFTFTSTLSACASSCELKYGHKIHALVIVSGYNAYLSVNNSLIDMYGKCFSHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t11 pep chromosome:ASM357664v1:4:7451318:7454054:-1 gene:itb04g08710 transcript:itb04g08710.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t4 pep chromosome:ASM357664v1:4:7451318:7455696:-1 gene:itb04g08710 transcript:itb04g08710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t1 pep chromosome:ASM357664v1:4:7450609:7455676:-1 gene:itb04g08710 transcript:itb04g08710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb04g08710.t2 pep chromosome:ASM357664v1:4:7450609:7455676:-1 gene:itb04g08710 transcript:itb04g08710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVYINKIIYTKKNYDATPNVCCNLFNRPRIQQILMQSHLVNITSKIKALARSGQIEYARKVFDEMVLRDVVAWNSMLSSYSQLGLHQEALSLFQSLRTGVVRPDHFTFTSTLSACASSCELKYGHKIHALVIVSGYNAYLSVNNSLIDMYGKCFSHFDANKVFEEMEVTNEVSWCSLLFAYVNVNKLDVAANFFNAMPKKGVIAFNTMIAGHARQGEAKLSVGLFKDMLDELCTPDQWTLSALMNACAESRQKFLGFMLHGYILKSGWSSASEANNSILSFYASVNCHNDVLKMVHSIDVLNQVSWNAIIDAHMKVGNIDEAFTVFAQSPEKNLVSWTSMIAGFARNGHGEHAIYFFVDMTRNDIKPDEFTFGAVLHACSTLAVLGHGKMIHACGFKSGLFTHTYVGNGLVNMYAKCGEIRDSQAAFCDIYLKDLISWNTMLFSYGLHGWSKQVVQLLEEMLTCGVNPDKVTFIGLFMSCSHSGLVDNARALFESMSSVYGISPGIDHVTCLVDILGRSGHIEEARKIASEYFGKQNTMLLQEVLFGACSAQNNIELGKELGKLFKSLDPQNEMSYILLSNLYCASGQWKEAEMVRQAMVSEGVKKMPGFSWIEVDNQMTIFAAGREPNPWMGKLDEMISILDSEMRFPHYFSRLDGIT >itb13g25220.t2 pep chromosome:ASM357664v1:13:30649664:30659388:1 gene:itb13g25220 transcript:itb13g25220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQCNHPNVIRYFESYQGDEYLWIVMEYCGCGSVAGLMNVTEAPLEEHQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTSQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRATVHPMRVLFMISIEPAPMLEDKEKWSLLFHDFVAMCLTKDPRLRPTAAELLKHKFIEKCKGGACMMLPRIQKAKQIRSSMAEAQKISSVTTQQDLGGGPKVNETFGDTVPAGLKVADVVSSTIVVDKHNSEYMDSAEEDDFGTLIVRDGGNIDKTATKIAVRNAELSSPLERIGSARTLGLGEKPIEPWLHNETGVSSSTGASQPGQVSASTSPVLDEKGNIFKTQGSLKSETVSRKALDKLRSIYSAGNTVPIPLLNASDVSPIALLSTDVLGAWQQDDTLHELFTRDTPSKKGRSRQTEVPLPLSIHQRLSSSPTLMNLTQALAYHRMAFEEMPLQEMQATQEQRTVQGLCDTLKTILRL >itb13g25220.t4 pep chromosome:ASM357664v1:13:30649664:30659388:1 gene:itb13g25220 transcript:itb13g25220.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTFRQGRKPPTPKQPDLYSTFVVHGSDFENEQSSDDLYSTVVCKDDVVGGPKDDVSLPPILKRFSKDVYGGGAVDSEGEDEADSVSGTMIVKSSRRRRLSAVTSSLDRAERIRKSRVEESGEDDDDDDEEGDFSTFVMREEGNFSTFVMRESEGVSGTVVRRTSRNASGEDGGLSTMSRAVASMQGVGEGGMGRQRKTGSGAASEEETRMQAGKVSSSSFPEGVIREDPTTKYEIYHELGKGSYGAVYKARDLKTSEMEEGYDDILGEIEMLQQCNHPNVIRYFESYQGDEYLWIVMEYCGCGSVAGLMNVTEAPLEEHQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTSQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEVLFMISIEPAPMLEDKEKWSLLFHDFVAMCLTKDPRLRPTAAELLKCKGGACMMLPRIQKAKQIRSSMAEAQKISSVTTQQDLGGGPKVNETFGDTVPAGLKVADVVSSTIVVDKHNSEYMDSAEEDDFGTLIVRDGGNIDKTATKIAVRNAELSSPLERIGSARTLGLGEKPIEPWLHNETGVSSSTGASQPGQVSASTSPVLDEKGNIFKTQGSLKSETVSRKALDKLRSIYSAGNTVPIPLLNASDVSPIALLSTDVLGAWQQDDTLHELFTRDTPSKKGRSRQTEVPLPLSIHQRLSSSPTLMNLTQALAYHRMAFEEMPLQEMQATQEQRTVQGLCDTLKTILRL >itb13g25220.t1 pep chromosome:ASM357664v1:13:30649664:30659388:1 gene:itb13g25220 transcript:itb13g25220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQCNHPNVIRYFESYQGDEYLWIVMEYCGCGSVAGLMNVTEAPLEEHQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTSQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRATVHPMRVLFMISIEPAPMLEDKEKWSLLFHDFVAMCLTKDPRLRPTAAELLKHKFIEKCKGGACMMLPRIQKAKQIRSSMAEAQKISSVTTQQDLGGGPKVNETFGDTVPAGLKVADVVSSTIVVDKHNSEYMDSAEEDDFGTLIVRDGGNIDKTATKIAVRNAELSSPLERIGSARTLGLGEKPIEPWLHNETGVSSSTGASQPGQVSASTSPVLDEKGNIFKTQGSLKSETVSRKALDKLRSIYSAGNTVPIPLLNASDVSPIALLSTDVLGAWQQDDTLHELFTRDTPSKKGRSRQTEVPLPLSIHQRLSSSPTLMNLTQALAYHRMAFEEMPLQEMQATQEQRTVQGLCDTLKTILRL >itb13g25220.t3 pep chromosome:ASM357664v1:13:30649664:30659388:1 gene:itb13g25220 transcript:itb13g25220.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQCNHPNVIRYFESYQGDEYLWIVMEYCGCGSVAGLMNVTEAPLEEHQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTSQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRATVHPMRVLFMISIEPAPMLEDKEKWSLLFHDFVAMCLTKDPRLRPTAAELLKHKFIEKCKGGACMMLPRIQKAKQIRSSMAEAQKISSVTTQQDLGGGPKVNETFGDTVPAGLKVADVVSSTIVVDKHNSEYMDSAEEDDFGTLIVRDGGNIDKTATKIAVRNAELSSPLERIGSARTLGLGEKPIEPWLHNETGVSSSTGASQPGQVSASTSPVLDEKGNIFKTQGSLKSETVSRKALDKLRSIYSAGNTVPIPLLNASDVSPIALLSTDVLGAWQQDDTLHELFTRDTPSKKGRSRQTEVLFHLSLPSPLLPCNFPCLVAN >itb13g25220.t5 pep chromosome:ASM357664v1:13:30649664:30659388:1 gene:itb13g25220 transcript:itb13g25220.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTFRQGRKPPTPKQPDLYSTFVVHGSDFENEQSSDDLYSTVVCKDDVVGGPKDDVSLPPILKRFSKDVYGGGAVDSEGEDEADSVSGTMIVKSSRRRRLSAVTSSLDRAERIRKSRVEESGEDDDDDDEEGDFSTFVMREEGNFSTFVMRESEGVSGTVVRRTSRNASGEDGGLSTMSRAVASMQGVGEGGMGRQRKTGSGAASEEETRMQAGKVSSSSFPEGVIREDPTTKYEIYHELGKGSYGAVYKARDLKTSEMEEGYDDILGEIEMLQQCNHPNVIRYFESYQGDEYLWIVMEYCGCGSVAGLMNVTEAPLEEHQIAYICKEALKGLSYLHSIFKVHRDIKGGNILLTSQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEVLFMISIEPAPMLEDKEKWSLLFHDFVAMCLTKDPRLRPTAAELLKCKGGACMMLPRIQKAKQIRSSMAEAQKISSVTTQQDLGGGPKVNETFGDTVPAGLKVADVVSSTIVVDKHNSEYMDSAEEDDFGTLIVRDGGNIDKTATKIAVRNAELSSPLERIGSARTLGLGEKPIEPWLHNETGVSSSTGASQPGQVSASTSPVLDEKGNIFKTQGSLKSETVSRKALDKLRSIYSAGNTVPIPLLNASDVSPIALLSTDVLGAWQQDDTLHELFTRDTPSKKGRSRQTEVLFHLSLPSPLLPCNFPCLVAN >itb09g23680.t1 pep chromosome:ASM357664v1:9:23180523:23180786:1 gene:itb09g23680 transcript:itb09g23680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTFLMDLTYTFVEVGIDGNVRKLTGRKHCCSHLSPAVLHRHPSEFQSAAALVSEENTAATAQAPPEPVVNSEICLFCCCFDYIPL >itb11g12260.t1 pep chromosome:ASM357664v1:11:9119354:9121701:-1 gene:itb11g12260 transcript:itb11g12260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGVVVVPGKIKLVLASLALQLCYAGFHIVSRLALNIGVSKIVYPVYRNIIALLLLGPFAYFIEKKERPPLTFSLLVQFFLLALIGITANQGFYILGLYYASPTFASTMQNSVPAITFIMASALRLEQVSFLRRDGLAKILGTIASVGGATIISLYKGPPLVGGSVSAEEIGADSSEKMLNWTWGCIYLLGHCLSWAGWMVLQAPVLKKYPAKLSLTSFTCFFGLLQFIVIAAFAERDLKHWQIQSGEEVVLILYAGIVSSGIVISLQTWCIQKGGPVFVASFQPVQTVLVAVMAFIIIGDRLYTGGIIGGVFIVAGLYLVLWGKTKERKINSQENEETLKKHLLDGNKKDEECRVGADIP >itb11g12260.t2 pep chromosome:ASM357664v1:11:9119354:9121701:-1 gene:itb11g12260 transcript:itb11g12260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGVVVVPGKIKLVLASLALQLCYAGFHIVSRLALNIGVSKIVYPVYRNIIALLLLGPFAYFIEKKERPPLTFSLLVQFFLLALIGITANQGFYILGLYYASPTFASTMQNSVPAITFIMASALRLEQVSFLRRDGLAKILGTIASVGGATIISLYKGPPLVGGSVSAEEIGADSSEKMLNWTWGCIYLLGHCLSWAGWMVLQAPVLKKYPAKLSLTSFTCFFGLLQFIVIAAFAERDLKHWQIQSGEEVVLILYAGIVSSGIVISLQTWCIQKGGPVFVASFQPVQTVLVAVMAFIIIGDRLYTGGYVKEKKRKKKKKCSTENMLYLAPLMIYFFQLSNFFAGLLVGFSL >itb09g29560.t1 pep chromosome:ASM357664v1:9:30302422:30303844:-1 gene:itb09g29560 transcript:itb09g29560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLKQGCTLLCIFMVLPAAFCYTQTYPSKATYYTTPDGKGTPTGACGYGQYGRSINDGLVSAASSRLYRYGAGCGACYLVRCKDEALCNKEGVKVVITDNGEGPATDFILSHNAYAGLAKPYAAKDLFARGVIEVDYERVSCGHGKLKIKIVEQSNYHGYLAILPFNQGGANDILSIEVYEKSSYKWIPMRRSYGTVFDLQNPPKGELKLRFLVSGQKWIESEKAIIPDYWKAGTTIDTHIQLP >itb05g22100.t1 pep chromosome:ASM357664v1:5:27634524:27635942:1 gene:itb05g22100 transcript:itb05g22100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLFSLAVIWLTIFEAKLLWMLKAWEPIDPTQGFLPVPINHSNFEIQRPYDVPEDQRYRFINGVHKLWVFKTDKPHTPSSRTNPRTEIRILFEAYGYVPGGTSGVCIMQVFGAERHATTLMLRVYDGDLYYFRKPAIVPRICGRWFRLNVIHDVDAGSLKVYVDGVLVHEAAGRGGNMHYFKCGVYAQDNGSFYMESRWKEIRVLKKYV >itb09g25100.t1 pep chromosome:ASM357664v1:9:25049941:25053301:1 gene:itb09g25100 transcript:itb09g25100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKMGHGKSNIATLTLLLVFTLVAILYLCSWAPYSAVSPARDSLEAALRKASMASNTVIITVINKAYVEPVNDENPSMLDLFLEGFWEGEGTRGLVDHLLVVAMDHTAYERCVFRRLHCYGLNTDGAGGVDFSGEKIFMSKDFIDMMWRRTLFLLDVLKRGYNFIFTDTDIIWLRNPFTRLSSNSTEDLQISTDIFTGDPWSTSNPINTGFYYVRSNKKTITLFQTCGFCTDTKDAAAVATVHANCCRSVNAKVVDLRNVLSDWKAYRESRNDGAHTQNFQWTEPVICKNSWDRSNNHTSG >itb02g12620.t1 pep chromosome:ASM357664v1:2:8696242:8699497:-1 gene:itb02g12620 transcript:itb02g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENSCEIGVFSKLTNAYCLVAIGGSENFYSTFEAELADVIPVIKTSIGGTRIVGRLCAGNKNGLILPHTTTDQELQHLRNSLPDGVVVQRVEERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSDVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >itb02g12620.t2 pep chromosome:ASM357664v1:2:8696242:8699497:-1 gene:itb02g12620 transcript:itb02g12620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAWSPSVDQKTFTGNKNGLILPHTTTDQELQHLRNSLPDGVVVQRVEERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSDVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >itb06g10500.t1 pep chromosome:ASM357664v1:6:14943895:14945621:1 gene:itb06g10500 transcript:itb06g10500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMTRNSDFQLSDFNFDFFVDVGNKVSDACGEAFSNLSAVLKSNQSSSLLQVANFNEICSSASNGNRSEMEMETLHLPSQQMVNSRMLCHVNTAGDVIGNNSFGVRHLENQTGCRIAEEKLIRNCMSLGVPLNENLTDFFLSSAMAALRILNLVVLSLTLSYGANLSESVFVGCFLSMSSTTVVVKSLIDDLFGISTDLNTSEQKNDVVNKLRGSLIREEVGLSLDKAGSEVLGHATKVVLAKESTTIVDDGSTQEVSTRVAQIKSLIEVAEQGYEKETESLRQITSVDFGFVAAAGNSEAAGDSEIVFEMKKCEASIFFYVNDIVLAILELLKVHEQVLYVDINIHHGDGVEEVLTHETVRWFDSYCNVHGWLISLSALELMKKAVKMAVGIGLIKLMNPIAVNRYKRFS >itb10g11410.t1 pep chromosome:ASM357664v1:10:16938150:16938857:-1 gene:itb10g11410 transcript:itb10g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGCPICIGLSFLRRLFHFVAENAFHLYRLVFSTLQNQASKTVTLGNSPPPPPLPAAEVVGEDNKRGEEDTMIVVPEKRPPKKTVSINENVEEISSGKKLKRRKKSKEKLLSSMEEEEQAEPPKRLKSILKVSSNVNDQFK >itb07g12450.t1 pep chromosome:ASM357664v1:7:14346672:14350029:1 gene:itb07g12450 transcript:itb07g12450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCYPTVSEEYKKAIEKLRRKLRGFIADKNCAPLMLRLAWHSAGTYDVSSKTGGPFGTMRLKAEQAHGANNGLDIAVRLLEPFKEQFPIVSYADFYQLAGVVAVEITGGPDVPFHPGREDKTEPPVEGRLPDATQGNDHLRDVFVKQMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFTELLSGEKEGLLQLPTDKALLNDPVFRPLVEKYAADEDAFFADYAEAHLKLSELGYAEA >itb09g09700.t1 pep chromosome:ASM357664v1:9:5958469:5959083:1 gene:itb09g09700 transcript:itb09g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSSSRPYLLILLLMLIHLSFHHSSFSHARPLSAFLPQQTGFATSILATLGVVCKCCDGGEGEEGCVTVWSGSCSKLQCLPWKLQ >itb09g14730.t1 pep chromosome:ASM357664v1:9:9973427:9977127:-1 gene:itb09g14730 transcript:itb09g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLKQWRNQEESEAKVPRLVLDLHSSHGGGGSSSSALPLFVSEPPTSKLSAYSSDSTPTATTKFPRMGGSYFSLGQWQELELQALIFRHMIAGAPVPPELLHLVKKSLFNSATSPYYFPHPLHHYPHYHPAAAPWYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVEIPTPTPPTAAGGLKTNPTADAQPMAGGRDAPPHFTLSSAAPPSSSIDLFHLSQRHHGEPIMENSGPLEAQNDGPSGGGQTLRHFFDDWPRPLEETESSSTATNLSISIPGNASSDFSLKLATGPPLGSIERTTAWGTNQGGPLAEALRSSTSNSSPTSVLHQLQRRAPVVASWSCHSLWLEQWEDPSREKKVRITSAEPTKRAAGAASKCLVGQQPSEPGGALEERGHTEQVREIGP >itb01g04440.t1 pep chromosome:ASM357664v1:1:2998896:2999729:1 gene:itb01g04440 transcript:itb01g04440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNMHLQFRSFLLGYILILCICLQISPFIGATFKPLGNFCQNTPTYTPNTTYEANLKSLLPTLSSHANRQNGFFTAGDHQDTVYGLFMCRGDVSAADCGACVSDASATVLQRCPNQKTATIWYDFCTLSYSDGPVYGIPNPSFVPFYLYNGNKDSRPDSFMMSVNKTLTQLAARVVNDQSAGRNFATEEGNFTESERIYSLAQCRPDIGNRDCETCLRKAIEELQSCCYSRLSATALSLDCYMKYATEPFYTSTAAPAPPPIPCYNNNYYYYYYYH >itb06g24570.t1 pep chromosome:ASM357664v1:6:26086971:26090380:-1 gene:itb06g24570 transcript:itb06g24570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVTPDAILAILANPSPGSGSDLPELIVQVVDLKPAGNRYMFTANDGKMKLKAILQSSLSSEVISGAIQNLGLVRILDYTLNDIPLKNEKYLIVTKCDAVSSPLEAEYKTGVKTEENGIVLKPKQEFVAKSAAQIVHEQNANIAPPARLAMTRRIHPLVSLNPYQGIWTIKVRVTSKGNMRSYKNARGEGCVFNVELADQDGTEIQATMFNQAARKFYDKFELGKVYYISKGTLRVANKQFKTVQNDYEMTLNENSEVEEAINEAAFVPETKFKFVAIDELGSYVNGRELVDVIGVVQNVSSTMSIRRKSNNEMIPKRDITIADETKKTVVVSLWSDLATNVGQELLDMADKSPVVAIKSLKVGDFQGLSLSAITRSTVLVNPDMPEAKKLRSWYDCEGKETSLTSVGSGLSSFAKGVSWSMYSDRVSLLHITSNPNLGEDKPVFFSIKANISFIKPDQAMWYRACKTCNKKVTEAIGSGYWCEGCQKNDTDCSLRYIVAMRVSDASGEAWLSVFNEQAEKIIGCSADELDRLKSQDGDSSAYQMKLKEATWVPYLFRVSVTPQEYNNEKRQRITVRAAAPVDFAAESRYLLEELAKMNICV >itb02g03240.t1 pep chromosome:ASM357664v1:2:1862877:1864054:1 gene:itb02g03240 transcript:itb02g03240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAAIMSSLPPSPISSVFSSTSGFIRKGKSVGRGLVMMRASNNHHDSTPLHTAGSASSKNRIKVYENLAEGVVCYRDENGEIICEGYDEGPRLDCHQVSRFSCNSSRVADMVDLLERSWLKVEERKEQLMGEKMMGCETL >itb02g03240.t2 pep chromosome:ASM357664v1:2:1862877:1864054:1 gene:itb02g03240 transcript:itb02g03240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAAIMSSLPPSPISSVFSSTSGFIRKGKSVGRGLVMMRASNNHHDSTPLHTAGSASSKNRIKVYENLAEGVVCYRDENGEIICEGYDEGPRLDCHQVSRFSCNSRVADMVDLLERSWLKVEERKEQLMGEKMMGCETL >itb06g07240.t1 pep chromosome:ASM357664v1:6:10599704:10603419:-1 gene:itb06g07240 transcript:itb06g07240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDVLVYFNLKKFNVSTVSFLNDSKFGACKYIFFFIELIAISYLTFQFTSPFTKNFQLESIPGAIGKSEHIPQAADAPTEQHLRRALLRSLLLPETDLACDGTASERSSGCLYPVYEQTRTGLRRTNLASTCRGRQSGTTAPMLWLSS >itb09g25600.t1 pep chromosome:ASM357664v1:9:25717870:25720107:-1 gene:itb09g25600 transcript:itb09g25600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIQTWSPGYIDDTELGVQGPRYKIIPFIEKEMKERSQGLDSLIFDIDEQISHLVHEIEDKIDDWTNQIDDRIDELRKRSIMFTSERENALWKKERDWSLGLVVGDIEENLTQWIMDEKYIFLYGGNDIKWVREFTSKLREVSYKTRQCMELIYVAKNEKVRARIVVEKMSHVLQSPYDAWRFWTRLQSALVSRINYLNASNWYGDECDDEIAKGFKKLLGYECKGKTMKEWALLSKEQNVAVCGHGTKMLRVINEFKSWKEDLAFKSFDQAFKDYYNKTLHTSSSSNCHSCCAFEYPITLKRIPTKEKCPECFHDMQKLVTFTCYHGDN >itb10g14170.t1 pep chromosome:ASM357664v1:10:20447278:20451126:-1 gene:itb10g14170 transcript:itb10g14170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIHINQHCSFFTAHSTRTQIPSYFPPIPSSLKPKTLSSRRRRLVSVTAAAKTAGSDYYSVLNVGKNASLQEIKASYRKLARQYHPDMNKGPGAEEKFKEISAAYEVLSDDEKRSLYDRFGEAGLQGEYGGYDNGVPGVDPFELFAQYFGESDPFFGGSGGSRAFNFNFSSAGRRDLDIRYDLNLSFEESIFGGEQNIEIPCLEPCDSCSGSGAKSSNCIKVCSDCGGRGGVVKTEKTPFGIVSQASQRLKLCLFYLLPGHNILCSPAS >itb13g26670.t1 pep chromosome:ASM357664v1:13:31845764:31848765:-1 gene:itb13g26670 transcript:itb13g26670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAAFAIIYGKVITISRQCHQKNLANKLLALLNKSNLIVDPSYINIEKFADSFIKFTVDLVKCVVELNPPSFSSPPQPVILASYWIATKERRIEKSYQALLDAFSNSSNNSQVLKLIFNVENDDNNTEVFWGGIEEKHDIFLYGGDDAKCLEQFVSKVNEVKSKTRLNIKLAYIGKSKKVKSKVEKMSDYAPIRSAEYWWFWTRLRSMFLSRINYLNEIEQDEGGDKIIRELQKLLAYEAKGTTVGGWALLSKGEEIIACDLGDKMLKVMNEYHKWSGNVHNKGFSQAFKDCYDMLASSKDQDHHCHCALEYPCTLDKIPKDIECLQCSHNMHKFVTFTCYHDQVIVPSLHSTYC >itb08g12410.t1 pep chromosome:ASM357664v1:8:12556570:12558059:1 gene:itb08g12410 transcript:itb08g12410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHSNSNSIHGFKPERPWLLGIAVIGLFGSALLIATFFRTSNNPIACKMAGMVSGQPATDIQMEAIIHYATTKITPQQNMDEIRISFDVLRDRSPCNFLVFGLGHDSLMWSSLNPRGNTLFLEEDPKWVETVIGSAPYLKARTVNYRTQLSQSDELIRHLHQEPDCSPKKSFIRGNHRCRLALDMLPAEVYDNEWDLIMVDAPRGWFPEAPGRMSAIYSAAVMARNRKGPGVTHVFLHDVDRPVERTWAERLLCRKYLVKEAGRLWHFEIPPASPDKPSNDFC >itb11g16260.t1 pep chromosome:ASM357664v1:11:14242978:14244973:-1 gene:itb11g16260 transcript:itb11g16260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRSVVVATNSFSAEDDRCSSPYSAASSKDIHPLYVGKSRSRYLVGFDIIDHPVFRELAERSSSYSDESIAIRCEVVFATGLGERSGQAKPDGTSSGSLFPTGRRRGLQSSLAIGPKLEEGKRICNNLAATECTPMTATRMRTA >itb06g18260.t2 pep chromosome:ASM357664v1:6:21973566:21976439:-1 gene:itb06g18260 transcript:itb06g18260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGPHFTCFLIRKRCNNLKEMVASSLTCHSKRHISYSASPLEYYHPILQSNGLQNRFKNWQYLRKGKLMASTFSQAIGFWSRRRVQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYMLITGNPVSMHGFQVHHNMYPEDAWLAASPDGVIDTYAHGLPSRGVLEIKCPFFGGDMTKAWPCSRIPIYYIPQAQGLMEILDRDWMDLYIWTTKGSSLFRLHRDKEYWQALEIALSDFWWKHVQPAKELYNCSEITNPRVELKLLRPEPRHELCTYLVHESFRIVVNDSKLVMREINGKLQM >itb06g18260.t1 pep chromosome:ASM357664v1:6:21972978:21976439:-1 gene:itb06g18260 transcript:itb06g18260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGPHFTCFLIRKRCNNLKEMVASSLTCHSKRHISYSASPLEYYHPILQSNGLQNRFKNWQYLRKGKLMASTFSQAIGFWSRRRVQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYMLITGNPVSMHGFQVHHNMYPEDAWLAASPDGVIDTYAHGLPSRGVLEIKCPFFGGDMTKAWPCSRIPIYYIPQAQGLMEILDRDWMDLYIWTTKGSSLFRLHRDKEYWQALEIALSDFWWKHVQPAKELYNCSEITNPRVELKLLRPEPRHELCTYLVHESFRIVVNDSKLVMREINGKLQM >itb02g09170.t1 pep chromosome:ASM357664v1:2:5834656:5836302:1 gene:itb02g09170 transcript:itb02g09170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKLQLCMIIAASLVAVAVAGNFYRDAQMYFGNGRGQVMDGGKMIALKLDKESGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSLGEGHDEIDFEFLGNVSGQPYTVHTNVYSQGKGNKEQQFHLWFDPTAAFHTYTILWNPQRIIFMVDNNPIRVFNNHESIGIPFPKNQPMRVYCSLWNADEWATQGGLVKTDWSHAPFTAYYRNFNANACVVTNGVSSCNSIAAQSPSSSNQEWQTQGLDAKGRNRVRWVQSKHMVYNYCADAKRFPQGFSAECKRSRF >itb13g22490.t1 pep chromosome:ASM357664v1:13:28814181:28817836:-1 gene:itb13g22490 transcript:itb13g22490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISVFSPSIDEKKWVSQGSRALDSPQLAIEIDFTPSIFQLPKAITEAKPESYTPQHIGLGAYHHLRPELHVADMQKLAKVKTFLSSRNFSDDFLGKTRGLEPAVRACYDKYLDLECSTLDYIVAIDSLFLLDFLGSYDDKTETENLEPGLREFAKDVAMLENQVPAFAVAEMGKELDLFSPEKGTPETMLYSQLFYYFCKAHSPLKLTELRKDRRTESKHLLAHMYYLIVNNHGRIEVEQESMLGSKANITEIGTEVLSALTDLGLGGPIVKSLQFTFKSLQVWENSKLAAELSKDQEQKANTNKIQIPSVSELSEKYKVEFKQVFFGGIRHIEFENEDEGKPTIYLPEITLKHDSEVVLRNLVAYEAAIATPESSLELGEYVDLMCGLVHTDKDVSLLREKGIVKSDLNDEQAAEIFNGFSRSAGHLKESKSGKVVRQVKEMVEKWENKKAWKRLWRFLEKNVKNAVEFLRKPSAIAMKFALYIFMVLLLVLQIMQAYCQFYGCSKGESETKALSLMMSVEGVQKAAQISAEDCEDCSPVLKETFWDCNEVSDVYVHGIAASSADFASILPSLWMQHCRFSSQCNHFNDVCLVPT >itb04g03180.t1 pep chromosome:ASM357664v1:4:1967324:1970896:-1 gene:itb04g03180 transcript:itb04g03180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCFLLIGVALASCETPVHITCLGDHLNFVKDFVETRFCCRTEPRWLQSLAYCLDNWGFGDGEGNAEGRSKSPLGREKNTFSLCCSQRQD >itb06g18520.t1 pep chromosome:ASM357664v1:6:22152405:22157958:1 gene:itb06g18520 transcript:itb06g18520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSAADANMDAVQRRLMFEDECILVDENDNVVGHDTKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDENALGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVSVHPNPDEVADVKYVNREQLKEILRKADAGDEGIKLSPWFRLVVDNFIFKWWDHVENGTLKEAADMKTIHKLI >itb10g15600.t2 pep chromosome:ASM357664v1:10:21822270:21824111:-1 gene:itb10g15600 transcript:itb10g15600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFSTLLPPSPSPVVCRKPYSPTCVRCCDDATAGVNIKPPDYNGFPEKKLKHPATLKPPRPRRIILVRHGESQGNVDESVYTRVADPKVGLTDQGVAEAEECGRRIREMIEKDEAEDWKVYFYVSPYRRGLETLRNLAKAFERSRIAGVREEPRLREQDFGNFQDKEQMKIEKAVRKRYGRFFYRFPNGESAADVYDRITGFRETLRNDIDIGRFQPPGTVC >itb10g15600.t4 pep chromosome:ASM357664v1:10:21822270:21824111:-1 gene:itb10g15600 transcript:itb10g15600.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFSTLLPPSPSPVVCRKPYSPTCVRCCDDATAGVNIKPPDYNGFPEKKLKHPATLKPPRPRRIILVRHGESQGNVDESVYTRVADPKVGLTDQGVAEAEECGRRIREMIEKDEAEDWKVYFYVSPYRRGLETLRNLAKAFERSRIAGVREEPRLREQDFGNFQDKEQMKIEKAVRKRYGRFFYRFPNGESAADVYDRITGFRETLRNDIDIGRFQPPGEQSPNMNLIMVSHGLTLRVFLMRWYKWTVEQFEGLHNIGNGNMVVMERGYGGR >itb10g15600.t1 pep chromosome:ASM357664v1:10:21822188:21824111:-1 gene:itb10g15600 transcript:itb10g15600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFSTLLPPSPSPVVCRKPYSPTCVRCCDDATAGVNIKPPDYNGFPEKKLKHPATLKPPRPRRIILVRHGESQGNVDESVYTRVADPKVGLTDQGVAEAEECGRRIREMIEKDEAEDWKVYFYVSPYRRGLETLRNLAKAFERSRIAGVREEPRLREQDFGNFQDKEQMKIEKAVRKRYGRFFYRFPNGESAADVYDRITGFRETLRNDIDIGRFQPPGEQSPNMNLIMVSHGLTLRVFLMRWYKWTVEQFEGLHNIGNGNMVVMERGYGGRYSLLMHHTKEELIKFGLTDKMLIDQEWQKIAKPGELNYDCLITGPSYFTHFDDDNEN >itb10g15600.t3 pep chromosome:ASM357664v1:10:21822188:21824105:-1 gene:itb10g15600 transcript:itb10g15600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFSTLLPPSPSPVVCRKPYSPTCVRCCDDATAGVNIKPPDYNGFPEKKLKHPATLKPPRPRRIILVRHGESQGNVDESVYTRVADPKVGLTDQGVAEAEECGRRIREMIEKDEAEDWKVYFYVSPYRRGLETLRNLAKAFERSRIAGVREEPRLREQDFGNFQDKEQMKIEKAVRKRYGRFFYRFPNGESAADVYDRITGFRETLRNDIDIGRFQPPGEQSPNMNLIMVSHGLTLRVFLMRWYKWTVEQFEGLHNIGNGNMVVMERGYGGR >itb01g26160.t1 pep chromosome:ASM357664v1:1:31384130:31384372:1 gene:itb01g26160 transcript:itb01g26160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTASSSLQHKNVAVFFILIMAVVATAHDGASHSKYHDCFEKCQDSCITKWGYAFCEMKCEGDCGVQEVASNCRDDGNK >itb10g13360.t2 pep chromosome:ASM357664v1:10:19549396:19560629:1 gene:itb10g13360 transcript:itb10g13360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSIYDTFQSFSQLDVATTVSENCSGFISIDVESPRRKVSSLPPKLLAAEHGVSVEDIVGKISAYLEIDNDMFNSTSSCTDKFIILRKLCKLESWLSEQVSTKGFESLGYGDIWSFMEKHMHLSVHALLKSLRGDTSENIPLKASMLELQLDVLLSQALHRLLDNEKLNMKKVSELLARQFPLVCFQLVQSDSLVVFDDITKEKADMSSKCVIFSETLLKTDALSKSGRNISETSGLEINIGGEAGFHSMLTSKDAIKVLLNAPMLTDLSLWSHWDIVFAPSLGSLVGWLLKDVNNKQLLCLVTRGGKVIRVDHAATTDSFLEVILQRSPFETAVKLLSLLALYGGEQKIPLALLKCYTRKAFEVFSKNSLEMDSIDNQSMPIGSNTGSLRSETKVGRAKSVASRFILDCLDYLPVECCSFAVDILLSGLQQFTKDAPSAVLDECKKIKQRVMLHEIGFSLGIVEWINDYHTFSASSSVTSLSMYSESSCLQDNKPELNTNSNLLQVVSKKSPFSEVKNDFSFETMLHDGDHREMSHINNTSAVSVDFLGGGPPHHLYDLDLAPAQFIESIRQEEFGLNPDISSVESELLNKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYPGNAEPTLTFILQDSGIVVLNNEQGFSAKNINALCDVGNSTKKGHNSGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITKGQIGFILPTLVPPCDIDLYTRLVYTDTEPITSNFWKTCIVLPFRSSMLEDSAINNIASMFSDLHPSLLLFLHRLQCIKFRDMLSNSFIVMRKEVIGDGIVRVSCGKEKMDWLVVSHELQADVIRSDVQTTEISMAFTLQETVDGNYNPYLNQQPVFAFLPLRKYGLKFIIQGDFVLPSSREEVDGDSPWNQWLLSEFPDLFINAEKSFCNLPCFRNNLAKGVAAYMSFVPLIGEVHGFFSSLPQMILSKLRMSNCLILEGEENEWVPPCKVLRNWTEQARTLLPDSLLREHLGLGYLHKDIVLSDSLARGLGIEEYGPKVLIQILSSLCCMEDGLKSMGLPWLSAWLNSIYMMSSSISRQSSPESGIGSDLIKTLRKIRFIPLSDGKFSSIDEGPIWLHADALSTGVSDKYGLDNFPRLYAGLRVVNSALFSADTAYETLCFQGYTVENISRMLYRVGVQQLSAHEIIKMHILPSFSDGQHTLAHNELMTDYLSFLMFHLQSNCPICHLERDLIIGELRNKALILTNHGYKRCAEVPIHFSKEYENPIDMTQLVMGISVEWLEVHNIYLKHPITQLLPGGISKWRNFFMELGITDFVQIVQVEKSIADLSPIVLQNITWDKDLISGGSNVKDWESIELVHLLSQLSANHDKEKSKHILEILDSLWDDCFSDKVKGFFFSSNGEKKVFESSFATSLCNARWIASSMDDELHHPKDLFYDCEAVHSILGAFAPYAVPKVRSKKLVSAIGLKTQVTVDDALSILKVWTRSESSFTARLSQMSKFYTFIWNQIATSELQVANDLCDDPFIFVPHISDSSPEDTVSGVFLSRKEVYWHDSTSFTDQMKMVRPERVTGLTQCPVAKMLCGVYPSLHDFFVNVCGVDEFPSFHGYLQILMQLSAVALPSEAAKTVFQVFLKWSEELKSGLLSSEDIKCLKDNLLQKDFMVLPTVQDKWVSLNPSFGIICWCDDDRLKKEFKHYENIDFLYFGELVSEEKELLHSKVSTLMQKLGIPPISEVVIRDAIYYGVSDPSFVASLVNWALPYAQRYIYTNHPKRFSQLKQSGFENLRCLKIVVVEKLFYRNVIKGYEMRSKIRFECSSLLKDTVLYVSRELDSHSLFMELSRFIGGGTPDLNLANFLHMITTMAESGSTEEQTEFFIINSQKMRKLPEGEPVWSLSDSAFSMENEAVVTSFESRATNAPNPAKFKKKPGINSNWPPADWKTASGFYSSRAFKLKTQAGSGDQVLREDEVAEIMRHPEKHPLAPVEINYKGFIEENSTSSLPGAAGAQDAGISEDGGQLSLSPADAQQALLIGRLGEFVAFKYLSGKVGKAFVKWVNETFETGLPYDLVVGDEEYIEVKATKNVRKDWLKISAGEWQFAVEKGELYSIAHVILSATNTATVTVYKNPAKLVQLGKLQLAITIN >itb10g13360.t1 pep chromosome:ASM357664v1:10:19549396:19559091:1 gene:itb10g13360 transcript:itb10g13360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSIYDTFQSFSQLDVATTVSENCSGFISIDVESPRRKVSSLPPKLLAAEHGVSVEDIVGKISAYLEIDNDMFNSTSSCTDKFIILRKLCKLESWLSEQVSTKGFESLGYGDIWSFMEKHMHLSVHALLKSLRGDTSENIPLKASMLELQLDVLLSQALHRLLDNEKLNMKKVSELLARQFPLVCFQLVQSDSLVVFDDITKEKADMSSKCVIFSETLLKTDALSKSGRNISETSGLEINIGGEAGFHSMLTSKDAIKVLLNAPMLTDLSLWSHWDIVFAPSLGSLVGWLLKDVNNKQLLCLVTRGGKVIRVDHAATTDSFLEVILQRSPFETAVKLLSLLALYGGEQKIPLALLKCYTRKAFEVFSKNSLEMDSIDNQSMPIGSNTGSLRSETKVGRAKSVASRFILDCLDYLPVECCSFAVDILLSGLQQFTKDAPSAVLDECKKIKQRVMLHEIGFSLGIVEWINDYHTFSASSSVTSLSMYSESSCLQDNKPELNTNSNLLQVVSKKSPFSEVKNDFSFETMLHDGDHREMSHINNTSAVSVDFLGGGPPHHLYDLDLAPAQFIESIRQEEFGLNPDISSVESELLNKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYPGNAEPTLTFILQDSGIVVLNNEQGFSAKNINALCDVGNSTKKGHNSGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITKGQIGFILPTLVPPCDIDLYTRLVYTDTEPITSNFWKTCIVLPFRSSMLEDSAINNIASMFSDLHPSLLLFLHRLQCIKFRDMLSNSFIVMRKEVIGDGIVRVSCGKEKMDWLVVSHELQADVIRSDVQTTEISMAFTLQETVDGNYNPYLNQQPVFAFLPLRKYGLKFIIQGDFVLPSSREEVDGDSPWNQWLLSEFPDLFINAEKSFCNLPCFRNNLAKGVAAYMSFVPLIGEVHGFFSSLPQMILSKLRMSNCLILEGEENEWVPPCKVLRNWTEQARTLLPDSLLREHLGLGYLHKDIVLSDSLARGLGIEEYGPKVLIQILSSLCCMEDGLKSMGLPWLSAWLNSIYMMSSSISRQSSPESGIGSDLIKTLRKIRFIPLSDGKFSSIDEGPIWLHADALSTGVSDKYGLDNFPRLYAGLRVVNSALFSADTAYETLCFQGYTVENISRMLYRVGVQQLSAHEIIKMHILPSFSDGQHTLAHNELMTDYLSFLMFHLQSNCPICHLERDLIIGELRNKALILTNHGYKRCAEVPIHFSKEYENPIDMTQLVMGISVEWLEVHNIYLKHPITQLLPGGISKWRNFFMELGITDFVQIVQVEKSIADLSPIVLQNITWDKDLISGGSNVKDWESIELVHLLSQLSANHDKEKSKHILEILDSLWDDCFSDKVKGFFFSSNGEKKVFESSFATSLCNARWIASSMDDELHHPKDLFYDCEAVHSILGAFAPYAVPKVRSKKLVSAIGLKTQVTVDDALSILKVWTRSESSFTARLSQMSKFYTFIWNQIATSELQVANDLCDDPFIFVPHISDSSPEDTVSGVFLSRKEVYWHDSTSFTDQMKMVRPERVTGLTQCPVAKMLCGVYPSLHDFFVNVCGVDEFPSFHGYLQILMQLSAVALPSEAAKTVFQVFLKWSEELKSGLLSSEDIKCLKDNLLQKDFMVLPTVQDKWVSLNPSFGIICWCDDDRLKKEFKHYENIDFLYFGELVSEEKELLHSKVSTLMQKLGIPPISEVVIRDAIYYGVSDPSFVASLVNWALPYAQRYIYTNHPKRFSQLKQSGFENLRCLKIVVVEKLFYRNVIKGYEMRSKIRFECSSLLKVSKLGSTFSIAFVCCSSTK >itb01g33280.t1 pep chromosome:ASM357664v1:1:36451136:36454507:1 gene:itb01g33280 transcript:itb01g33280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLVMVLLFASSSASASSVIPSDASALLAFQVKADLKGKLGFSSNSTLGFCKWNGVQCSEKKVVRLVCEKVGLDGVFAPDTLTRLDQLRVLSLQDNSLAGPIPDLSRLVNLKVLFLNRNFFSGFIPPSISTLHRLKTLDLSHNNLTGNIPAFFNGLDRLYYLRLDSNRLNGSVPALNQTTLQIFNVSQNILSGPIPVTPTLSRFRTTAFSSNKALCGEIIHKECRPIQPFFHPSPATTAPPPPHSASQNAQLRDGGLYSPEKKSNKRSVLIIGLTLGFFVLICSIICFALAAKKSSKSISSTPKIDLDPIVAANTEAVMRIEEESNELEEKVKRVQEGMQVMGKSGNLVFSVGESQVYTLEQLMKASAELLGRGTLGTTYKAVLDNRLIVCVKRLDSGKMASRSKDEFERHMESVGGLRHPNLVPLRAYFQAKEERLLVYDYQPNGSLFSLIHGSKSSRAKPLHWTSCLKIADDVAQGLSYIHQAWRLVHGNLKSSNVLLGSDFEACISDYCLSVLANPFSDDDPDCAAYKAPEARKLNMHHQATSKSDVYSYGVLVLELLTGKHPSEHPVLMPDEMIKWVRSTRDDDGGEENRLEMILEVAMVCSVASPEQRPTMWQVLKMIQEIKDAAIMEDGREMDLLTGTS >itb15g07180.t1 pep chromosome:ASM357664v1:15:4859031:4862915:-1 gene:itb15g07180 transcript:itb15g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPVLQCRLFFFFFISSCFLLLQIILVSVGGFISISMVAAQSQSQCLSHQKMLLLQFRSSLNFDSTTSTKLARWNHTTHHDCCVWPGVECDTSGRVISLILDNEGIDTEDGIHNSSALFSLQYLERLNLAYNDFHLTPIPVQIYNLTNLTYLNLSNAGFGGQIPNGISRLTRLVTLDLSIDWGFALEIPNLNQFFENSTQLRQIYLVGVDLSSTVVPKFLANFSNLKTLNLSRCMLQGEFPREIFLIHGLQELSLEYNNDLSGGFPSFPENGSLRMISVAATQFSGSLPASISNLSNLSRLVLYGCNFSGSIPSTMAQLTSLTHVDFSFNHFTGSIPHFLSSKNLTSIDFSLNGLTGPLSSKHFEGFSKIVYLNLESNFISGRIPPSLFSLPSLQTLDLSNNSFDGLGDEYVNVSTSQLDSLYLSSNRLNGSFPEYFFEFPMLSDLDLSSNSLGGKIQSSLFSLPSLKTLDLSNNSFDGLSDEYVNVSTSQLQTLHLSSNHLSGSFPKYFFEFPMLSSLVLSSNSLGGKIQASLFSLPSLWNLDLSNNSFDGLGDEYVNVSTSQLDSLYLSSNRLNGSFPEYFFEFPMLSGLDLSSNSLGGKIQSSLFSLPSLKTLDLSNNSFDGLSDEYVNVSTSQLQTLHLSSNRLSGSFPKYFFEFPKLSELHLSSNFLGGKIPSSLFSLPSLNMLDLPNNSFDGLGDEYVNVSTSQLDSLYLSSNRLNGSFPEYFFEFPMLSDLDLSSNSLGEMIEFESLQKLTNLRGLNLSNNEIRGEIPSWIWKLGNGSLYHLNLSCNFLESLEKPYTIPSSLTVLDLHSNQLQGPLPIPPACNDGDGPIHLDYSHNFFNGSIPFGLGSFARNAYFLSLANNSFTGTIPESICNASYHLKILDLSDNELGGTLPSCLFNSFNRLGVLILRKNEIHGSVPDSFTIYCSLQTLDLSRNLFEGKIPKSLINCSLLEVLNVGSNNIVDTFPCTLKNLSSLRVLVLRSNNFHGDLQCVHANHIWPNLQIIDIASNNFSGKLSWKFLNWKRMIIDEDTAESGQYIMFNHLSLIGNRIGVIGHEPKHDPYEYYKDILMVTWKGMEMEMVKILKVFTFVDFSCNNFYGVIPESIGALTSLYVLNLSHNGLTGNIPETIGNLKMIESLDFSANQLGGEIPTQLAKLTFLSVMNLSFNKLSGMIPTGNQLNTFGPDSYIGNQELCGFPLPKSCKSPKTSNGGRSEIEIDGGSTEIKWEYVSSALGFAVGLGAYLWMLLHNKRCREAYQQLDEVLIRLFGPRQRGRKSPGLGRRVRRN >itb11g02580.t1 pep chromosome:ASM357664v1:11:1319415:1320974:1 gene:itb11g02580 transcript:itb11g02580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHLMIFLLISLPWLSTAEIKKLKISADSRPMILFERFGFTHMGRATISVSSVAVSSTVAPPEPSQLGFFLLSEESLIQVLLELQQNGAFCVLDSKYINLLFTFRDLSPPPASSFNRSYPITFPDEYSLFFANCAPQSKVSMDVRTELYNLDRKGRTKDYLSAGLTLLPALYFLFSLIYFGFTAVWTQICCANRQSVHRIHLLMALLLIMKALNLLCAAEDKHFVKVTGTAHGWDVLFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEREKKVLMIVIPLQVLANIASVVIGETGPFIKDWVTWNQVFLIIDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRKFYIVVIGYLYFTRIVVFALRTISAYKYQWVANAAKEMASLAFYITMFYMFRPAEKNEYFALDDEDEEAAELALRSEEFEL >itb02g08120.t1 pep chromosome:ASM357664v1:2:5078976:5079245:1 gene:itb02g08120 transcript:itb02g08120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVFKRSSKGGRRGLCRHCQRKAIEKWLNQRLFILHVVGSYLSEVDVNSLTPLRFSILDALLNGLYDEFYRYLVYCVEEAGSFAATAA >itb13g13730.t1 pep chromosome:ASM357664v1:13:20300315:20302912:-1 gene:itb13g13730 transcript:itb13g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAIDLRSGGHFRSSASISDPVAVRSGRWLQSVSTTTTIVVSGREPPPLRRFCRSALPLHPLSLRRRPASTYPPTAQATASLRRLHCKPPPIWKQICSFQMI >itb03g28230.t2 pep chromosome:ASM357664v1:3:28958559:28965542:-1 gene:itb03g28230 transcript:itb03g28230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNQAWRLGMKDMQILPASRQRAQLRKPTWIIVLVSLVSLFLICAYMYPPRNSAACYVFSTHGCDSEWLPPTPARELSDREIASRVVISDILNTPPVLSKNPKIAFLFLTPGALPFEKLWDQFFQGHEGKFSVYVHASKNRPVHFSRYFYNREIRSEKVVWGKISMVDAERRLLANALKDLDNQQFVLLSDSCIPLRSFDYVYNYLMYTNVSFVDCFEDPGPHGSGRYSEHMLPEVEKKDFRKGAQWFTMKRQHAVIIMADNLYYNKFRDYCKPNMDGGRNCYSDEHYLPTFFYMLDSSGIANWSVTHVDWSEGKWHPKSYTSRDVTYELMKNITSITESVHVTSDSRKEVQTRPCLWNGNQRPCYLFGRKFLPETLDNLMQLFPNYTLI >itb03g28230.t1 pep chromosome:ASM357664v1:3:28958307:28966078:-1 gene:itb03g28230 transcript:itb03g28230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNQAWRLGMKDMQILPASRQRAQLRKPTWIIVLVSLVSLFLICAYMYPPRNSAACYVFSTHGCDSEWLPPTPARELSDREIASRVVISDILNTPPVLSKNPKIAFLFLTPGALPFEKLWDQFFQGHEGKFSVYVHASKNRPVHFSRYFYNREIRSEKVVWGKISMVDAERRLLANALKDLDNQQFVLLSDSCIPLRSFDYVYNYLMYTNVSFVDCFEDPGPHGSGRYSEHMLPEVEKKDFRKGAQWFTMKRQHAVIIMADNLYYNKFRDYCKPNMDGGRNCYSDEHYLPTFFYMLDSSGIANWSVTHVDWSEGKWHPKSYTSRDVTYELMKNITSITESVHVTSDSRKEVQTRPCLWNGNQRPCYLFGRKFLPETLDNLMQLFPNYTLI >itb11g07120.t1 pep chromosome:ASM357664v1:11:4310813:4314152:1 gene:itb11g07120 transcript:itb11g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFQLPSKFPQNIHLRCSKPTPTSLSAMPSRSGSVSVSEVLPPALDSSSEPPPIFDGIPKLYISYTCPYAQRPWIARNCKGLQDKIKLVPIDLKNRPAWYKEKVYPANKVPALEHNNEVKGESLDLIKYIDSNFEGPSLFPDDPSKREFSEELLSHLDTFYKTVTSYFKGEKKDVGAAFDSIETALSKYGDGPFFLGQFSLVDIAYAPFIERFQLFLLEVKSYDITTGRPKLAAWIEEMNQIEGYKVTKRDPNELVESYKKRYSS >itb01g00060.t1 pep chromosome:ASM357664v1:1:21847:25801:1 gene:itb01g00060 transcript:itb01g00060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MSSFAVSLKAVPSFALPTLPDVARVSGSPTLSWEKKRGRQKRTHLVRAALVEAGPRQVPAIRDRSSDNSNGSLQSLQRGEVTSSIPSSRDRANDMQAEARAMARAANASVYSPQLLSAKYGSRPIKVLSRALEIFTGLGSFALRLWLDQLNGQLDQNRRLRAVELREIFTSLGPTFVKLGQGLSTRPDLCPPEFLEELSKLQDALPTFPDAEAFSCIERELERPLDSIYSSISAYPIAAASLGQVYKAQLKYSGQIVAVKVQRPGIEEAIGLDFYLIRGLGFLINKYVDIISSDAVALIDEFARRVYQELNYVQEGQNARRFKKLYADREDVLVPDIFWDYTSGKVLTMEWVEGVKLNEQEAIERQGLNVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGRLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSPDIDVSPIVPALRNFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPKFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGRKDRDFSARDALQPVLKLLLGPDGEELRVLVTKEAIRVTEAVILGSIIESYNFIPGPMRTIIFNGNAAGPLVMNPAEQKSMMDLRAQVFRIWGLLQSSESFDPSLLQPILQILQEPEARSIGGRVVGGISQRLAARLLQQVLRAPSPTVK >itb07g17730.t1 pep chromosome:ASM357664v1:7:22021318:22023056:1 gene:itb07g17730 transcript:itb07g17730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKALIEGISKEDSELLSSLTIPPHRLSVESSFYEYYAIRGIRVDRVEPGFVSCTFRVPHRLTDREGKLASGAIANLVDEVGGAVVYVEGLPMNVSVDMSISYLSAAKCDDELEIVGRCLGKRGGYSGTSVLVRNKLTGELIAEGRHSLFGRHVSKM >itb01g31020.t1 pep chromosome:ASM357664v1:1:34857990:34859932:1 gene:itb01g31020 transcript:itb01g31020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDEEYDSRGESISNFLNQSGQFDSIISSSSSPQPQFLFQSSGNPDATFPQSLANLNSQYGNNHNNHDLVWPGRGLRSEPGFGNFGGLVGAAPGSSATQGGMIGGESHHGQFSSSSSLPTVQVAAVDIRGPSDGVVVPKNPKKRTRASRRAPTTVLTTDTANFRQMVQEFTGIPTAPFSVGAPYSRRLDLFSAAGSAIRSPHLDSLGPLYPLRPSAQKVQNSPFLNTTMLDALMAANNTRCGGGNTPNSGNLNLGNTDLGITKQGPNLLLNMQNHQILPFQPLLQSSIKNNNPLGNTNALEFGTKSSMDDALGIINNDPNLGFPTQQGINDGGNQMRLFDGNNGNNNNYKQNCSTSSTSEFHPEKGLENVP >itb02g00620.t1 pep chromosome:ASM357664v1:2:380660:383174:-1 gene:itb02g00620 transcript:itb02g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAWLKGKFYSKCKAGIKLTKTRVDLIRRKRNAMQKYLRNDIADLLKNDLDTNAYGRCEDLLVELNHSRCYDLLDEYCEQISSNLSIMSKQRECPEDCKEAVSTLMFAAARFGDVPELRYLRTIFAERYGNALDCFVYKEFVEKLKSLRPSKDMKLQLMQDIASEWGIDWNSKALEQQLYKPPAIEQDSFMNGNEDEKAQKKGENHHLSNRDPERESEAPVYHNPIPPPYTKSREEKMKGALGRDVHEQKGQEKDSDDKEARPKPKSVRRIRNRALSDERLSSRDEDSNQRDEEMKKLDSLLDHYSRKNSAAGKPDTETKPSSEQNEASSSRIPNRRSVDASPSRSNSLPIETQQSSPTELPKGHARSVSYHAETCNPKGHVHPKLPDYDDLVALLAAARASMKE >itb02g00620.t2 pep chromosome:ASM357664v1:2:380660:383174:-1 gene:itb02g00620 transcript:itb02g00620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAWLKGKFYSKCKAGIKLTKTRVDLIRRKRNAMQKYLRNDIADLLKNDLDTNAYGRCEDLLVELNHSRCYDLLDEYCEQISSNLSIMSKQRECPEDCKEAVSTLMFAAARFGDVPELRYLRTIFAERYGNALDCFVYKEFVEKLKSLRPSKDMKLQLMQDIASEWGIDWNSKALEQQLYKPPAIEQDSFMNGNEDEKAQKKGENHHLSNRDPERESEAPVYHNPIPPPYTKSREEKMKGALGRDVHEQKGQEKDSDDKEARPKPKSVRRIRNRALSDERLSSRDEDSNQRDEEMKKLDSLLDHYSRKNSAAGKPDTETKPSSEQNEASSSRIPNRRSVDASPSRSNSLPIETQQSSPTELPKGHARSVSYHAETCNPKGHVHPKLPDYDDLVALLAAARASMKE >itb06g21700.t1 pep chromosome:ASM357664v1:6:24287576:24295676:1 gene:itb06g21700 transcript:itb06g21700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANSVEDCCVKVAVHIRPLIGDEKLQGCKDCVTLVPGKPQVVIGSHSFTFDHVYGSTGSPSSSMYEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTSFKDGYQTGLIPQVMNSLFSKIETLKHQTEFQLHVSFIEIHKEEVRDLLDPSSINKAEAANGHVGKVTIPGKPPIQIRETSNGVITLAGSTECSVTTLKEMADCLEQGSLCRATGSTNMNNQSSRSHAIFTITMEQMQKLSPIVSNDANNNDCMTEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRREGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPVSNEMLKMRQQLEYLQAELCARGGAASSGEIQALKDKIAWLETTNEELSRELHEYRSKCAAPQPCGIDTKVRGTFPVKSEGLKRGLQSMESSDYPMTENAGDLGDMDEEAAKEWEHTLLQDSMGKELNELNRRLEQKESEMKLYGGFDTTALKHHFGKKILELEEEKRAVQQDRDRLLAEVENLSANSDGQAQKLQDIHSQKLKALESQIQDLKKKQESQVQLLKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLLALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARENSVSNNGNVANGQSNEKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALAEELAVLRQVDEFALKGLSPPRGKNGFSRASSMSPNARMARIASLENMLGISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGDAKNLLQYMFNSLADARCQLWEKELETKEMKEQMKELISVLQESEIRRKDIEKELKMRDQTVAIALATSASGNSNKHLADEMSSPLSPIPVPAQKQLKYTPGIANASTRESAAFVDQARKMVPMAMGQLSMKKLAIVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRTKPYGHSLPNISARNGH >itb06g21700.t2 pep chromosome:ASM357664v1:6:24287576:24295676:1 gene:itb06g21700 transcript:itb06g21700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANSVEDCCVKVAVHIRPLIGDEKLQGCKDCVTLVPGKPQVVIGSHSFTFDHVYGSTGSPSSSMYEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTSFKDGYQTGLIPQVMNSLFSKIETLKHQTEFQLHVSFIEIHKEEVRDLLDPSSINKAEAANGHVGKVTIPGKPPIQIRETSNGVITLAGSTECSVTTLKEMADCLEQGSLCRATGSTNMNNQSSRSHAIFTITMEQMQKLSPIVSNDANNNDCMTEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRREGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPVSNEMLKMRQQLEYLQAELCARGGAASSGEIQALKDKIAWLETTNEELSRELHEYRSKCAAPQPCGIDTKVRGTFPVKSEGLKRGLQSMESSDYPMTENGDLGDMDEEAAKEWEHTLLQDSMGKELNELNRRLEQKESEMKLYGGFDTTALKHHFGKKILELEEEKRAVQQDRDRLLAEVENLSANSDGQAQKLQDIHSQKLKALESQIQDLKKKQESQVQLLKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLLALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARENSVSNNGNVANGQSNEKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALAEELAVLRQVDEFALKGLSPPRGKNGFSRASSMSPNARMARIASLENMLGISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGDAKNLLQYMFNSLADARCQLWEKELETKEMKEQMKELISVLQESEIRRKDIEKELKMRDQTVAIALATSASGNSNKHLADEMSSPLSPIPVPAQKQLKYTPGIANASTRESAAFVDQARKMVPMAMGQLSMKKLAIVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIMRTKPYGHSLPNISARNGH >itb15g16010.t1 pep chromosome:ASM357664v1:15:15095034:15095798:1 gene:itb15g16010 transcript:itb15g16010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSVSIQSSISCYWLFFLMQRAAEINRTKSLVSSGFQWNFFRRPALIFPVELHLLFIRLFFSIRSHDMAAVASSASICFPATGKGTDFRSGSTGLLAVVGSGYVIR >itb05g21460.t1 pep chromosome:ASM357664v1:5:27204397:27208728:1 gene:itb05g21460 transcript:itb05g21460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFPKDDLESSTGQSLDGSFRKPGSVTSFHTTSSTSGKFVPTSKRAYKVLKDYAAKLVDIDLFTEILEEWVQENLYPDNGNCEQSFSSPFLIDELRALDYALEGILFQQLFRMPCSPYSCDNLKEDEYLALEDFLHTIADGLWHTFWHKSKPMPYFVSCPRYPGSKFYTLEKALSRGRLAGLSGAALMSRTRDTPHVRWDDVVEFALFKTDILMGNGLGFSTAVVCEALFYGIHILLSRSLSRYNSVDGDSVFILVLDSKFGGVVKLGGDLGNLEVDLINPYQSMAEWMKCHAEISISPVDRIWNKLGNVNWGDLGTLQLILATFYSICQWNGPPRKSIASLAADHSLRLQKRRTECRLIENENALVPYENTSDHHGEIVELEHHHKLKPRKQGSRLGLKQGEIVLLEDQNLGQKQFQVHEPLAEDYSCSYIGVALDCPADSLTLHFGAHPSRLEPSWEDMSLWYHVQRQTKVLNIFREQGISSKNLPEIVASGKMMHSGPCEKPSQKGCCDHPWCGTPVLVTYPVGEPLSSVITRDGPFSSQEAIRCCHDCLAALRSAKTANIQHGDICPEHIIRVASSSSSNHSYVLVSWGRAVLEERDSPAMNLQFSSAHALQHGKLCPSSDAESLVYLIYFICGGTMPQQDSIESALQWRQRSWAKRVIQHRLGEVSALLKAFADYIDSLCGTPYPVDYDVWLRRLNHGSADRGKRIEDVVGTEDMGDYSGRNSS >itb14g16450.t1 pep chromosome:ASM357664v1:14:19798242:19805028:-1 gene:itb14g16450 transcript:itb14g16450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPQSQQQRRVGGNGFVSMSPSQTPRSSDKAARDLRSGDGNMSGKHDKEKGVNVQVILRCRPLSEDETRMHTPVVVSCNESRREVLAVQNIANKQIDRTFVFDKVFGPASQQKDLYDSAICPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPTDAGVIPRAVRQIFDILEAQNAEYSMKVTFLELYNEEISDLLALDESSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATIAPSMTCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQEEAEKKAMAEKIERMELDVDSRDKQLMELQELYNSEQLLSAELTDKLEKTEKKLQETQHMLVDLEEKHRQANATIKEKEYLISNLLKSEKALVERAFELRAELENAASDVSNLFAKIERKDKIEDGNKVLIQKFQSQLTQQLDFLHKTVAASATQQEQQLKAMEEDMNCFVSTKTEATEELRGHLEKLKVMFGSGINALDDLAGKLDGNSQLTFERLNSEVSKHSSALGELFKGIASKADTLINELQSSLHSQEEKLIAYAQQQREAHCRTITTSRSISQTTTSFFKTLDMHVSQLGQVVEEAQKVNDQQLSELEHKFEECAMNEERQLLEKVAELLASSNARKKKLVQTAINGLRESASNRTDRLKQEMSTMHDSTSSVKDEWTMYMEKAESYYLEDTVSVENSKKEMEVVLQSCLQKAKMGAQQWNDAQRSLLNLEEVNVASVHEIVREGLSANQALRVQFSSGVSSALEDAESASKNLLSSIDHSLQLDRDACENLDSMIVPCCGQLRELNTGHLHKVAEIREYTGKCLSEEYTVDEPSCSTPKKRLFNLPSIGSIEELRTPAFEELLKSSRQPNGDVKHAVEAAQTLRDSRTPLTAINGVA >itb14g16450.t2 pep chromosome:ASM357664v1:14:19798242:19805028:-1 gene:itb14g16450 transcript:itb14g16450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPQSQQQRRVGGNGFVSMSPSQTPRSSDKAARDLRSGDGNMSGKHDKEKGVNVQVILRCRPLSEDETRMHTPVVVSCNESRREVLAVQNIANKQIDRTFVFDKVFGPASQQKDLYDSAICPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPTDAGVIPRAVRQIFDILEAQNAEYSMKVTFLELYNEEISDLLALDESSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATIAPSMTCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQEEAEKKAMAEKIERMELDVDSRDKQLMELQELYNSEQLLSAELTDKLEKTEKKLQETQHMLVDLEEKHRQANATIKEKEYLISNLLKSEKALVERAFELRAELENAASDVSNLFAKIERKDKIEDGNKVLIQKFQSQLTQQLDFLHKTVAASATQQEQQLKAMEEDMNCFVSTKTEATEELRGHLEKLKVMFGSGINALDDLAGKLDGNSQLTFERLNSEVSKHSSALGELFKGIASKADTLINELQSSLHSQEEKLIAYAQQQREAHCRTITTSRSISQTTTSFFKTLDMHVSQLGQVVEEAQKVNDQQLSELEHKFEECAMNEERQLLEKVAELLASSNARKKKLVQTAINGLRESASNRTDRLKQEMSTMHDSTSSVKDEWTMYMEKAESYYLEDTVSVENSKKEMEVVLQSCLQKAKMGAQQWNDAQRSLLNLEEVNVASVHEIVREGLSANQALRVQFSSGVSSALEDAESASKNLLSSIDHSLQLDRDACENLDSMIVPCCGQLRELNTGHLHKVAEIREYTGKCLSEEYTVDEPSCSTPKKRLFNLPSIGSIEELRTPAFEELLKSSRQPNGDVKHAVEAAQTLRDSRTPLTAINGVA >itb02g13860.t2 pep chromosome:ASM357664v1:2:10114632:10121509:-1 gene:itb02g13860 transcript:itb02g13860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MYNPNYDPRYADSNSYRQRRSDLIGPPHIAGGAAVSNSRGAPTPYGGPVGAPPRFAAGGRDVAGSIPTGRGYSGFPSFQTGGNEDRGGAGRGFDSSRGGRASGRGFGRGRGGRGGGRSGYDGGRGGGAGRHGAHGRARDDLDNISLPKQDFGNLVRFEKNFYSESPSVMAMTEQEVALYRARREVTVEGKDIPKPIRMFHEANFPAYCLEVITRLGFVEPTAIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAFVHISAQPRLVQGDGPIVLVLAPTRELAVQIQEEAVKFGSHANIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLESQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPKEVERLARQFLHNPYKVTIGSPNLKANQSIRQIVEVVTDSEKYTRLAGLLKEVMDGSRILIFVETKKGCDQITRQLRMDGWPALSIHGDKNQDERVWVLAEFKSGRSPIMIATDVAARGLGRVVNA >itb02g13860.t3 pep chromosome:ASM357664v1:2:10114632:10121509:-1 gene:itb02g13860 transcript:itb02g13860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MYNPNYDPRYADSNSYRQRRSDLIGPPHIAGGAAVSNSRGAPTPYGGPVGAPPRFAAGGRDVAGSIPTGRGYSGFPSFQTGGNEDRGGAGRGFDSSRGGRASGRGFGRGRGGRGGGRSGYDGGRGGGAGRHGAHGRARDDLDNISLPKQDFGNLVRFEKNFYSESPSVMAMTEQEVALYRARREVTVEGKDIPKPIRMFHEANFPAYCLEVITRLGFVEPTAIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAFVHISAQPRLVQGDGPIVLVLAPTRELAVQIQEEAVKFGSHANIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLESQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPKEVERLARQFLHNPYKVTIGSPNLKANQSIRQIVEVVTDSEKYTRLAGLLKEVMDGSRILIFVETKKGCDQITRQLRMDGWPALSIHGDKNQDERVWVLAEFKSGRSPIMIATDVAARGLGRVVNA >itb02g13860.t1 pep chromosome:ASM357664v1:2:10114632:10121509:-1 gene:itb02g13860 transcript:itb02g13860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MYNPNYDPRYADSNSYRQRRSDLIGPPHIAGGAAVSNSRGAPTPYGGPVGAPPRFAAGGRDVAGSIPTGRGYSGFPSFQTGGNEDRGGAGRGFDSSRGGRASGRGFGRGRGGRGGGRSGYDGGRGGGAGRHGAHGRARDDLDNISLPKQDFGNLVRFEKNFYSESPSVMAMTEQEVALYRARREVTVEGKDIPKPIRMFHEANFPAYCLEVITRLGFVEPTAIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAFVHISAQPRLVQGDGPIVLVLAPTRELAVQIQEEAVKFGSHANIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLESQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPKEVERLARQFLHNPYKVTIGSPNLKANQSIRQIVEVVTDSEKYTRLAGLLKEVMDGSRILIFVETKKGCDQITRQLRMDGWPALSIHGDKNQDERVWVLAEFKSGRSPIMIATDVAARGLDVKDIKCVINYDFPTCLEDYVHRIGRTGRAGAKGTAFTFFTHGNAKFARELVKILQQAGQIVPPQLAAMARPGGFSSGGNNFRSRGRGGFGNRGLISGSNTIPLGAKRHW >itb09g28260.t1 pep chromosome:ASM357664v1:9:28886530:28889780:1 gene:itb09g28260 transcript:itb09g28260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDSSVQSDIKLWPFKVIPGPGDKPMIIVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEDHKKKVEAKNALENYSYNMRNTVNDDKIGSKLAPADKKKIEDAIEQTIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGGAMDDDAPSHGGSGAGPKIEEVD >itb01g34950.t1 pep chromosome:ASM357664v1:1:37412759:37417305:1 gene:itb01g34950 transcript:itb01g34950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DECREASED SIZE EXCLUSION LIMIT 1 [Source:Projected from Arabidopsis thaliana (AT4G29860) UniProtKB/Swiss-Prot;Acc:Q3MV14] MSKRPPPDPVSVLRGHRASVTDVCFHPSKSLLFTGSADGELRIWNTVQHRTISSAWVHSAPHGITCVAASPLIGDNKIISQSRDGTVKCWDFGDGGLSRTPLITANTNSHHFCKLSLVKRPKGAPYINQDVGSGEITDNRQGDDEDGGDSEGLRYFAIPDKLSHKVEIWDLNNAERFIELPHSSGASLNHSPNPRGLCMSVQAFLSPESQGFLNVLSGYEDGSMLWWDVRNPGVPLTSVKFHSEPVLSISIDGSCKGGISGAADEKIEIFTLDHSMGTCVIKKEIMLERPGIAGASIRPDGKIAATAGWDHRLRIYNYRKGNALAILKYHHALCTAVAFSDDSKLMASSSEDTTVALWELYPPGT >itb02g09580.t2 pep chromosome:ASM357664v1:2:6152445:6154666:-1 gene:itb02g09580 transcript:itb02g09580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKPAIEQFSMVKPCKGGGAFPPGVGVPLIDLSLPDAKHHLVKACEEFGFFKVVNHGVPTEFITRLESQAVKFFSSPLSNKENAGPPDPSGYGNKKIGPNGDIGWVEYILLSPNDLDFDYHRFSSVVGLSPEMFRGAVNDYVTAVKRMACEILELMAEGLKIHPKNVFSRLLMDEESDSMFRVNHYPPCPEHQEMNGRNLIGFGEHTDPQIISVLRSNNTSGLQISLKDGCWISVPADQSSFFINVGDSLQVLPPFIVNALYIFIFSFNLLGPIPTN >itb02g09580.t1 pep chromosome:ASM357664v1:2:6152445:6154666:-1 gene:itb02g09580 transcript:itb02g09580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSKPAIEQFSMVKPCKGGGAFPPGVGVPLIDLSLPDAKHHLVKACEEFGFFKVVNHGVPTEFITRLESQAVKFFSSPLSNKENAGPPDPSGYGNKKIGPNGDIGWVEYILLSPNDLDFDYHRFSSVVGLSPEMFRGAVNDYVTAVKRMACEILELMAEGLKIHPKNVFSRLLMDEESDSMFRVNHYPPCPEHQEMNGRNLIGFGEHTDPQIISVLRSNNTSGLQISLKDGCWISVPADQSSFFINVGDSLQVMTNGRFKSVRHRVLTNSRKSRLSMIYFGGPPLSEKIAPLPSLMEGGEDSLYKEFTWFEYKNSVYKSRLADNRLVLFQKIAAS >itb06g16040.t1 pep chromosome:ASM357664v1:6:20254120:20257527:-1 gene:itb06g16040 transcript:itb06g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNDGHNSRTCPSRGGGGGGGGAATAGGSVRIFGVRLTDGSIMKKSASMGNLQGSFMKKSASMGNLSAAAASPAHSTDGYLSDDPAHGSSSTDRRAERKKGVPWTEEEHRLFLLGLQKLGKGDWRGISRNFVTTRTPTQVASHAQKYFIRQSNATRRKRRSSLFDMVPDAGIDPQPMPEEQFMLPPQALETETAELVPSASKAVETDSADSLPSLDLSLKPDVDSMDATPTEPVQDTRENFTVTTEIPLVYPQFIPAYIPIPFPIWPSNAVALDEDRGAETSRHQILKPIPVVPKEPVNVDELVGLSQLSLGEMRSGQKDALPLSLKLTGESSRQSAFHARTPDNSSEISKGETTPIQAL >itb04g32950.t1 pep chromosome:ASM357664v1:4:35336904:35341885:1 gene:itb04g32950 transcript:itb04g32950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVRKHAGGQSVSPFVLILIALCSFLTTYNLLIPLLHYRSSGDRKFHVVLTATDAPYSKWQCRIMYYWYKKMKDAPGSDMGGFTRVLHSGRPDELMDEIPTFVVDPLPHGLDRDYIVLNRPWAFVQFLEKAVIEEEYILMAEPDHIFVNPLPNLAVGGNPAAFPFFYIKPTENEKIIRKYYPQEKGPVSNVDPIGNSPVIIKRSILEQIAPTWMNVSLRMKDDPETDKAFGWVLEMYGYAVASALHGVRHNLRKDFMLQPPWDLEVGEKFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRTYLRGPPPRNLSLPPPGVPESVVRLVKMVNEATANIPGWDAN >itb09g28210.t1 pep chromosome:ASM357664v1:9:28858951:28859668:-1 gene:itb09g28210 transcript:itb09g28210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb07g21900.t1 pep chromosome:ASM357664v1:7:26423845:26426953:1 gene:itb07g21900 transcript:itb07g21900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSDDDMMNNNKWKRIPAIESRSDECCNGYGGLESYGQSTLAKKEKMMMITGFNPCSQIPIICQVDGCKMDLSSSKYYHQRHRVCLDHSKATKAILHGVEQRFCQQCSRFHLLAEFDEDKRSCRKRLACHNKRRRKTRFHTHWDARLLLEMASQGASPFLIPEILSGNPSLWQEQENKTSKDRRHSMLSFYDALPAAGNLVEMLSPVQQLSGGEVCGSAFSLLSAQTRANDHHRPDSKKSKNYMNYSKKRLKTSRPGRSGPIIDLQQLSTHIRRVEQQRSSVQVMNQE >itb10g23440.t1 pep chromosome:ASM357664v1:10:27802234:27804357:-1 gene:itb10g23440 transcript:itb10g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLYRFLNIPFFSLFLLASLASPLSFDLPNITPKDTNVKINVEGDAYITEQGIQVTPYERNQVLNGKAGRAKYVESFHLWDKTTGELADFTTHFTFNIDSDGNSSFADGLTFFLANFSTPFNKIATGGGRLGLMDGPDPFVAVLFDTYSNHENTSMTNVSININSTLEWVNITAWLNDVTQGRDNNASITYNASSKVLGVVFTGFWNGQYLTGSLSFKVDLREYLPEFISIGFSASTGLYFEKNTVSSWRFNSTSLRPDSVSPLSSPPSPDPSPPQEEKNKKKGLSKGALIGLIIGVLVFVALLVLGICTYLKKMRGKKRNETILGQAKDSELEMRAEKRNEIILGQAMDSELEKTGNGPKELPYSDLVIATNNFAEKLGEGGFGVVYRGFLRSLNLDVAVKRVSSGFKHGIEECPELKIISQLRHRNLVPFHGWCHEKGELLLVFEYMPQGNLESHLFNTDSHLNWGLRYRIAKDLALALSYLHGHYDQCVLHRDIKSSNVLLDKDFNARLADFGLASLVDHGNAPEKTISGLTPGYVAPECNFTFKTSKESDVYSFGIVILEIACGRRAILDNELGGAKSLVGWVWGLYGMGRLLQGADPKLGKNFNEKEMERLMMIGLWCAHPDSNCRPKISLALNCLHFHVQVPILPPEMPKPFYSTSFNVSSSYRQILEGNTSSSNSSCPTHRTSSSTTDASSSSSASH >itb04g13190.t1 pep chromosome:ASM357664v1:4:13247397:13255016:1 gene:itb04g13190 transcript:itb04g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLTAWSVLTLLIKMGFMPKDELMSNEQLFFGLSQALRKFPKEIDRVLCHFCFKPKKVTNEVLATDNARRSQNLISSIILLKTALDALPLLSNVLKDAKSFLLRNVYESTCENEKYASIRKRIGEVNDEDVLHTRVPFVARTQQCFAVKAGVDGLLDMARRSFCDTSEAIHNLAIKYREDFKLPNLKIPFNNRQGFYFSIPQKSIQGKLPKKFIQFMTEMKETAFIMQNISKRYMIPTEYFNRKDESLGVFEILTASKFFPECRSLVVMDELGRSTSSSDGFAMAWSCCEHLLALKAYTIFATHMENLSELAIIYPNVKILHFYVEVKNNRMDFKFQLRDGQLHVPHYGLMLAGVAGLPSSVIETAKRITSTITQKKTKRLEVNCQQYRDIQMIYRVSQRLICFKYSNQDEDSLRESLQNLKESYISGNI >itb06g15320.t1 pep chromosome:ASM357664v1:6:19676193:19676600:1 gene:itb06g15320 transcript:itb06g15320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSQRNDGGALVAKPSAADDRKYASPPASSITVSKKVIIKSADMKDDMQKEAVDIAIAAFEKNSLEKDVAEHIKEFDKKHGPIYPTAMAAGLGSSTQT >itb09g22640.t1 pep chromosome:ASM357664v1:9:21143672:21144058:-1 gene:itb09g22640 transcript:itb09g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSIPTPVYTCTGTPQQCYRWGCGSWQSACCTTTISMYPLPMNNKRRGARIAGRKMSQGAIKKVLEKLAGEGYNFANPIDLRTHWAKHGTNKFVTISKLVFGLSVVPFPSLACIYVGGLFYFRAEY >itb02g00600.t1 pep chromosome:ASM357664v1:2:372547:375234:-1 gene:itb02g00600 transcript:itb02g00600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEIGRMLPGPTSPPSSTYILLYLCVSAPLARKVKTSAAKMTSSRHSESPPPSCSTQERYFHPLPAEPQTPQYIIVLPNYYRSHSYISRTCRRRLIYSAGLLLLAVTVFLLWPSDPGVSVSRLRLRGFRVHPFPPSLDISLDLIVKVQNRDFYSVDYNALVVSIAYRRKRLGYVTSEEGHLKARASSYINATLQLKGVEIITDVLPLIEDVARGSVTFDTVTEISGQLGLFLLDLPLQGKVSCEIVVDTKNETISHQNCYPE >itb11g22790.t1 pep chromosome:ASM357664v1:11:24677888:24680261:-1 gene:itb11g22790 transcript:itb11g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKRRDMDVMKLMMSDYTVETINDGLNEFNVEFHGPKESAYEGGVWKVRVELPDAYPYKSPSIGFINKIFHPNVDELSGSVCLDVINQSWSPMFDLINIFEVFLPQLLLYPNPSDPLNGDAASLMMKDKNQYDQRVKEYCERYAKSENITKRKDESEGDEDISDGHCTSSDEDEITGLADP >itb01g14320.t1 pep chromosome:ASM357664v1:1:16102622:16103130:-1 gene:itb01g14320 transcript:itb01g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISMTSPVPRRDLRSGGIDLSSGGRKLRSSGRELKSGGRELNPTAESSDPVSQKQRRMGEVQMN >itb03g07440.t1 pep chromosome:ASM357664v1:3:5451645:5454225:1 gene:itb03g07440 transcript:itb03g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSHKNSTTTTTTATAASTMDVEKIFHMNGGVGQTSYYNNSSLQKKGSDKVKHVTLETIEQVYVSTNPRSLGIADLGCSSGPNTLSNIKEIVETVGKTSRHLLLPEPEFRVYLNDLPTNDFNAIFQALPDFYCDLKKERNHAGPSIYVAAYPGTFYGRIFPDNSLHFIYSSYSLHWLSKVPPGLYDAQGEPINKGSIYITERSPPEVSKAYVDQFNVDFSLFLRLRSEELIVGGKMVLIFLGRETPLHIDRGNSFFWELLTQSFSTLISQGDVEEKKLDSYDVHFYAPSKEDVEEAVKREGSFVLERLEMFEIEKEVGDINGGGMKMSYGRRVAMAVRAIQESMMTHHFGGAIVESLFEEYGRLVDQEMAKQEIQPITFGVVLRKI >itb03g07440.t2 pep chromosome:ASM357664v1:3:5451649:5453149:1 gene:itb03g07440 transcript:itb03g07440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSHKNSTTTTTTATAASTMDVEKIFHMNGGVGQTSYYNNSSLQKKGSDKVKHVTLETIEQVYVSTNPRSLGIADLGCSSGPNTLSNIKEIVETVGKTSRHLLLPEPEFRVYLNDLPTNDFNAIFQALPDFYCDLKKERNHAGPSIYVAAYPGTFYGRIFPDNSLHFIYSSYSLHWLSKVPPGLYDAQGEPINKGSIYITERSPPEVSKAYVDQFNVDFSLFLRLRSEELIVGGKMVLIFLGRETPLHIDRGNSFFWELLTQSFSTLISQVIPHPDNS >itb02g17180.t1 pep chromosome:ASM357664v1:2:13157328:13162458:1 gene:itb02g17180 transcript:itb02g17180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASLLSALLLLAMAAHSSATWCICKVGMSDSELQKTLDYACGNGADCNPIHQNGPCYNPNTVRAHCNYAVNSFFQKKGQAAGTCDFSSTASITTTDPSITGCAFPSSASGSTTPVSTTPTTTPSTTTGNASPNPIITTPTTGGGAIGGGFNNGLGPSGGVGTYNDMSHAGISIMLRKNNAIFPSITLLLISALAPLMWLQQEQ >itb09g11940.t1 pep chromosome:ASM357664v1:9:7494771:7498004:-1 gene:itb09g11940 transcript:itb09g11940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MSSKTKRRTVAENGDTAEDTVLVTMINNGDDLGPLVRHSFDSGKPEALLHQLKNVVKKKEVEIEELCKLHYEDFIVAVDELRGVLVDAEELKSELASENFRLQEVGSALLLKLEELLESYSIKKNVTEAIKMSRNCVQVLELCVKCNTHISQGQFYPALKAVDLIEKNYLQNIPVKKLKAIIEVRIPLIKSHIEKKVCTEVNEWLVHIRSTAKDIGQTAIAYASSARQRDEDMLARQRKAEEQSCLGLGDFSYSLDVEEIDEESILKFDLTPLYRAHHIHKCLGFPEQFREYYYKNRFLQLSSDLQISSAQPFLESHQGFLAQTAGYFIVEDRVMRTAGGLLSPSQLETMWETAVAKVTSVLERQFSHVDSASHLLLVKDYVTLFGATLRDYGYEVGSILETLNSSREKYHELLLAECRQQIMDIITNDTYEQMVMKKESDYQANVLVFHLQTSDIMPAFPFIAPFSSMVPDCCRTVRAFIKDSVNYLSYGSQMNFFDFVKKYLDKLLIDVLNEAILDTIHSGTTGVSQAMQIAANIAVLERACDYFLQHAAQQCGIPVRSVERPQGSLTAKIVLKTSRDAAYLALLSLVNDKLEEFMSLTENVNWTAEDAPQEGNEYINEVLIYLDTLMSTAQQILPLDALYKVGSGALEHISNSILGAFLSDSVKRFNANVVIGINQDLKALESFADEKFHSTGLSEIYSEGSFRGCLVEARQLINLLLSSQPENFMNPVIRQRNYYALDYKRVATICDKYRDAADGLFGSLARGNTKQSARKKSMDTLKKRLRDFN >itb09g09480.t1 pep chromosome:ASM357664v1:9:5715852:5717577:-1 gene:itb09g09480 transcript:itb09g09480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLCDEVLWVMSPAAGEDNRGRYGEADGGVVEKYKKEDYEEAFGILVEKEARYMPEPGYANHLKLNRFIGNARSKAVHWLIMSRRRLNLSFESVFDAVNYLDRFISLKKCQEWKCWMFDLLSVACLSVATKFNETDPPPLHEIQMEGVENLLVSDLIQRMELTLLEALGWRLSSTTPYSYLHLLTASFRFQQTLPEPEVEELRSHITDMLLGSLLDPKFLEFRPCVLAQTALKYSLEEFLPRETNTCCFNHFTALIPQDHKNDLEKCEIMMDKGVIQNVRTCGRLYCPSSPVTVLTTKQCDCSLLLINNVQQTLKIDLGSSSIKKRKRDPEDNSDV >itb08g12530.t2 pep chromosome:ASM357664v1:8:12674361:12677007:1 gene:itb08g12530 transcript:itb08g12530.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSETGTEDVERTAFRKAEKKYKLYYDNGRKKKQPRPVDLSEVLDFKSISERYAQNAELPAGISKLQCDFDRPVFCLESHPGFYFIPGALTIEEQCQWIKDSLTSFPQPPNRTNHNVIYGPIEDLFVSAKQGKVLVEEEPSHQRNGTDSNNDQSTATAPSWKFSDVQSFSSRGEACKSVPASVLLRKLRWSTLGLQFDWSKRSYNVSLPHNKIPDDLCQLSTKLATPAMPSGEDFQPEAAIVNYFGQGDMLGGHLDDMEKDWSKPIVSMSLGCKAIFLIGGNSREHTPLAMFLRSGDVILMAGAARECFHGSSLIRNMLKYQNLSYSFLMKMTLSWNM >itb08g12530.t1 pep chromosome:ASM357664v1:8:12674253:12677410:1 gene:itb08g12530 transcript:itb08g12530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSETGTEDVERTAFRKAEKKYKLYYDNGRKKKQPRPVDLSEVLDFKSISERYAQNAELPAGISKLQCDFDRPVFCLESHPGFYFIPGALTIEEQCQWIKDSLTSFPQPPNRTNHNVIYGPIEDLFVSAKQGKVLVEEEPSHQRNGTDSNNDQSTATAPSWKFSDVQSFSSRGEACKSVPASVLLRKLRWSTLGLQFDWSKRSYNVSLPHNKIPDDLCQLSTKLATPAMPSGEDFQPEAAIVNYFGQGDMLGGHLDDMEKDWSKPIVSMSLGCKAIFLIGGNSREHTPLAMFLRSGDVILMAGAARECFHGVPRIFADKEHAEISELELQFSDEDDSFLEYVRTSRVNINIRQVF >itb12g26090.t2 pep chromosome:ASM357664v1:12:27119789:27125504:1 gene:itb12g26090 transcript:itb12g26090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLEHKAKQAFIDDHFDLAVDLYTQAIGMSPNNAELFSDRAQANIKLQNFTEAVDDANKAIELDPSMARAYLRKGMACLKLEEFQTAKAAVETGLPLAPESLKSKFSDLIKECDECIKEEAGEQANVESSTMNIAIPPESKGLGAAAAAIPKDAQAAAKPKYRHEFYQKPEEVVVTIFAKRIPAKNVSVDFGEQILSVTIDVPGEDAYTFQQRLFGKIIPANCRYEVMSTKIEIRLAKAQAIHWTSLEYSSEGSVVQKALVPSDGFKPSYPSSKPKKDWDKLEAQVKKEVSLLPSI >itb12g26090.t1 pep chromosome:ASM357664v1:12:27119789:27125504:1 gene:itb12g26090 transcript:itb12g26090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLEHKAKQAFIDDHFDLAVDLYTQAIGMSPNNAELFSDRAQANIKLQNFTEAVDDANKAIELDPSMARAYLRKGMACLKLEEFQTAKAAVETGLPLAPESLKSKFSDLIKECDECIKEEAGEQANVESSTMNIAIPPESKGLGAAAAAIPKDAQAAAKPKYRHEFYQKPEEVVVTIFAKRIPAKNVSVDFGEQILSVTIDVPGEDAYTFQQRLFGKIIPANCRYEVMSTKIEIRLAKAQAIHWTSLEYSSEGSVVQKALVPSDGFKPSYPSSKPKKDWDKLEAQVKKEEKDEKLDGDAALNKFFRDIYKDADEDTRRAMMKSFVESNGTVLSTNWNEVGSKKVEGSPPDGMEMKKWEY >itb07g06110.t1 pep chromosome:ASM357664v1:7:4276401:4279872:-1 gene:itb07g06110 transcript:itb07g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MMNKERENSSESESKYRENNSSVDSGGKNLGSPEKIETYTKKGLNFLGKSSSEAKMVYDGCSSWADVSQSKKTGSSGCQELTLSYLCDNSKLGFLDRDLSFEKMGNFKGKEIAVSEDQIDEGNRWVERDFLQMNENRGKREAENEGIEAENREKKPKIETLNLSLALPDVSLSLAGSNRVQNGDLPSRLRSSRSIQELEPSLNNTQTTYSNDFTAASLSYSYSHPFSHNPSCSLTRNSTENYECSMGSHRRGSDQIWNCGEGTNGSVHSRFRPIGDGGVALSNHGSGTFAFGGRVGVVNKETCNNSFYRTTSSDNNSFFPSELPARPRIDGQSGDSRGKESDGLRGLEGIDGGRVPRKLSRPERILREIVSESVPVMAQIIQELPDETLESTKEYLRGLITMPDKKDELASLQYRVERRSDLTNGTLLKCHKSQLEILVAIKMGLGSFLSSQTRLSNAELVEIFSLERCRNIKCKRPLPVEDCDCKICSTKKGFCSECMCPVCLNFDCANNTCSWVGCDACSHWCHAVCGIQRNLIKPGPRYNGPSATTEMQFYCLGCGHASEMFGFVKDVFKSCAKDWGEETLIKELDCVRKIFQGSEDFKGKELHVKADELLTKLEKKTMSPSDVCNFIFQFFDYADGLSDFPVSNYLSKDMASQASLKKDVGLPSTASLVPKSTFYNMNSSSSRQDSVPADHQNEAKPPPFMNDQIVKDEWSVKAPKKAALDSSPKKAALDSLEGVVRIKEAEARMFQNKADEARREAESLRRMARIQGEKLEEEYMEKMSKLCLLETKERKRKKLEELKTLENSHCDYYKMKARMQGEIAGLLKRMEATKQLLV >itb06g02610.t1 pep chromosome:ASM357664v1:6:4489988:4499576:-1 gene:itb06g02610 transcript:itb06g02610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKERAEEQNPEVHEVFDEDEGHGENIPVFPEGPMAADKGWAPRRANMNVKHLNHNQGGDHLTRNKHVLCTSINCFVNQINPEGDLGGKWQPIHKRPPREFSVVADPVSKTPPHELSIVEDPVGKRPYGYINAWSEHEQFLGVVKDAWEMRVEGNAMFRFATKLKNLKYVLKKWNWEVFGDIFEKIKKLEERVVEWEGKLQEEASEENMIHYKQAQAELQKQIVVEEKYWQQKAHSLLGLQGKGQAKDLLRDCVRGNRLDLEKLSIHVEELDGLDGEYVMQNDIRDVAHWKPVSNGQFSMASAKKLFRNKRLEGNELERKWWYKKCWAKHVPWKMAFFSWRVFKRKVPTDGRLLRFGYNIVSRCFCCTEPKFCSLQHLFCTGEIAVQVWEYFAKSLGLRVQRDGIMQNCYDWWKGNVGVENRMIKFIRERLPIIIIWELWTQYSSCKYGKGRRSVARVIFKVGKGVSECISRRWPQWDPLPPGM >itb13g23660.t1 pep chromosome:ASM357664v1:13:29588424:29592433:1 gene:itb13g23660 transcript:itb13g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHTIAVITVVLALLPWPSTSDNFLGPVLSPIIDTVCKGDVCGKGTCKASNASIGYECECDAGWRQTRSQNDTLFKFLPCVIPNCSLDFKCGEEAPAPAPNRGVNSSIFEPCHYADCGGGTCNKTSPFTFTCECNEGYYNLFNTTASPCFKECALGMDCKNLGIGVSNGSNSPPAPSLPDNSKVCKDVGCGKGTCKASGSNAIGYECECDPGWKQARSESDTSLKFLPCVIPNCSLHYSCGEEAPAPAPAKTANTSIFEPCHWADCGGGSCRKTSAITYDCECKTGYFNLLNVTIFPCLKECALGMDCTNLDIGRSTNKSASTPAPSLSDHTNKASSIVVGGFVWKAIAAAASVAMFLSN >itb13g23660.t2 pep chromosome:ASM357664v1:13:29588458:29592413:1 gene:itb13g23660 transcript:itb13g23660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHTIAVITVVLALLPWPSTSDNFLGPVLSPIIDTVCKGDVCGKGTCKASNASIGYECECDAGWRQTRSQNDTLFKFLPCVIPNCSLDFKCGEEAPAPAPNRGVNSSIFEPCHYADCGGGTCNKTSPFTFTCECNEGYYNLFNTTASPCFKECALGMDCKNLGIGVSNGSNSPPAPSLPDNSKVCKDVGCGKGTCKASGSNAIGYECECDPGWKQARSESDTSLKFLPCVIPNCSLHYSCGEEAPAPAPAKTANTSIFEPCHWADCGGGSCRKTSAITYDCECKTGYFNLLNVTIFPCLKECALGMDCTNLDIGRSTNKSASTPAPSLSDHTNKGYMLETQTYMLPRTHKKNVVSRYICRSYDRKQLARSL >itb02g18430.t1 pep chromosome:ASM357664v1:2:14805182:14809526:-1 gene:itb02g18430 transcript:itb02g18430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGRAKEDASLPKATMTKIIKEMLPPDVRVARDCQDLLIECCVEFINLISSESNEVCNKEDKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKFETMDSVRGGKWSNVVEMTEEEALAAQQRMFAEARARMNNGITVPKQSEPEQNTNS >itb02g18430.t2 pep chromosome:ASM357664v1:2:14805244:14809587:-1 gene:itb02g18430 transcript:itb02g18430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGRAKEDASLPKATMTKIIKEMLPPDVRVARDCQDLLIECCVEFINLISSESNEVCNKEDKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKFETMQDSVRGGKWSNVVEMTEEEALAAQQRMFAEARARMNNGITVPKQSEPEQNTNS >itb11g19320.t1 pep chromosome:ASM357664v1:11:20037800:20038324:-1 gene:itb11g19320 transcript:itb11g19320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLHSGAEVLKQVEGDSRVEEVVPKSECQGRFPLLKDSDNESALNSSRLSLSSSSSGHENNDLLRISMDSEKAINLSRNPTQNINPPRFRLVKPRALSFAGRVCRSPVQRAPDAATIGGVSAYSPWMNSSGKFFNLSQAQSID >itb07g08470.t1 pep chromosome:ASM357664v1:7:6707666:6713764:-1 gene:itb07g08470 transcript:itb07g08470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCANKMDVATQLKRGISRQFSTGSVQKSGRFSFRRQNSLDPRRNNLRFSFGRQSSLDPIRRSHADDIDLLAVPENLDSTMQLLFMACQGDVEGVKELLDEGIDVNSIDLDGRTALHIGACEGHVEVVKLLLSRKANIDARDRWGSTAAADAKYYGNTDVYNILKARGAKVPKIRKTPMTVANPREVPEYELNPLELQIRRSDGIKGSYQVAKWNGTKVSVKILDKDCYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHPRGDLGIYLQKKGRLSPSKSLRFALDIARGMNYLHECKPDPIIHCSLQPKNVLLDDGGLLKVAGFGLIRLSKISPDKAKLVQPHVYDRKSYYVAPEIYKDQIFDRSVDVYSFAIILYEMVEGYPPFHPKPPEEVAKLLCLEGKRPTFKTKSKYPPDLKELIEECWSPDHAVRPTFSEIILRTDRIVTNCSKHGWLKDTFKLPWL >itb15g08410.t1 pep chromosome:ASM357664v1:15:5892756:5894636:-1 gene:itb15g08410 transcript:itb15g08410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQCGFNQRWSLKGMTALVSGGTRGIGAAIVEELAGFGAEVYTCSRNQKELDQKVLEWQAKGFKVSGSVCDLASREQRKQLIHTVSSLFNGKLNILVNNAAISKLRKAKDYEEEEFSGIIETNLESPYHLATLTYPLLKATGNASIVFISSLAGHIALPAISVYASSKAAINQLTKNLACEWGKDGIRVNTVSPSGVRTTIMKPEEIEPSIAKMYGEIMMRTPLKPIAEANEISPLVAFLCLPAAASHITGQVILIDGGYTAGAFHF >itb05g01620.t1 pep chromosome:ASM357664v1:5:1307487:1311087:-1 gene:itb05g01620 transcript:itb05g01620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEFSHLPGKKPLQNNVLLLLKTPDVKALDNVDLEFSDVFGPVPAQSPIYENVAVPDTNGLAYEDPAVIYSRSHSFVGPTTCVNQTQKLSRLTLSEDDDSLVLVDEVCEEANGKRQSSFENSIAGDSTVQEHSLLPKSVGLEDFEVLKVVGQGAFGKVYQVQKIDTSEIFAMKVMRKDKVLEKSQTEYMISERNILTKIDHPFIVQLKYSFQTKYRLYLVLDFVNGGHLFFQLCRQGLFREDLARIYTAEIVSAVSHLHDKGIIHRDLKPENILLGAEGHVVLTDFGLAKQFDENSRFNSLCGTVEYMAPEIILGKGHDKAADWWSVGVLLYEMLTGKPPFFGGNRQKVQQKITKDKIKLPAYLSSDAHSLLKGLLQKEAGKRFGSGPKGSDEIKSHKWFRSINWKKLEAREIQPSFCPEVAGKHCVANFEERWTAMPLLDSPAASPKGCENPFRGYSFMRPDLHSCK >itb05g01620.t2 pep chromosome:ASM357664v1:5:1307504:1310819:-1 gene:itb05g01620 transcript:itb05g01620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEFSHLPGKKPLQNNVLLLLKTPDVKALDNVDLEFSDVFGPVPAQSPIYENVAVPDTNGLAYEDPAVIYSRSHSFVGPTTCVNQTQKLSRLTLSEDDDSLVLVDEVCEEANGKRQSSFENSIAGDSTVQEHSLLPKSVGLEDFEVLKVVGQGAFGKVYQVQKIDTSEIFAMKVMRKDKVLEKSQTEYMISERNILTKIDHPFIVQLKYSFQTKYRLYLVLDFVNGGHLFFQLCRQGLFREDLARIYTAEIVSAVSHLHDKGIIHRDLKPENILLGAEGHVVLTDFGLAKQFDENSRFNSLCGTVEYMAPEIILGKGHDKAADWWSVGVLLYEMLTGKPPFFGGNRQKVQQKITKDKIKLPAYLSSDAHSLLKGLLQKEAGKRFGSGPKGSDEIKSHKWFRSINWKKLEAREIQPSFCPEVAGKHCVANFEERWTAMPLLDSPAASPKGCENPFRGYSFMRPDLHSCK >itb05g01620.t3 pep chromosome:ASM357664v1:5:1307504:1311087:-1 gene:itb05g01620 transcript:itb05g01620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEFSHLPGKKPLQNNVLLLLKTPDVKALDNVDLEFSDVFGPVPAQSPIYENVAVPDTNGLAYEDPAVIYSRSHSFVGPTTCVNQTQKLSRLTLSEDDDSLVLVDEVCEEANGKRQSSFENSIAGDSTVQEHSLLPKSVGLEDFEVLKVVGQGAFGKVYQVQKIDTSEIFAMKVMRKDKVLEKSQTEYMISERNILTKIDHPFIVQLKYSFQTKYRLYLVLDFVNGGHLFFQLCRQGLFREDLARIYTAEIVSAVSHLHDKGIIHRDLKPENILLGAEGHVVLTDFGLAKQFDENSRFNSLCGTVEYMAPEIILGKGHDKAADWWSVGVLLYEMLTGKPPFFGGNRQKVQQKITKDKIKLPAYLSSDAHSLLKGVIFVYLNLFVLTHANTFVFFLAPRPVWIN >itb11g02000.t2 pep chromosome:ASM357664v1:11:1004790:1009514:1 gene:itb11g02000 transcript:itb11g02000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCKSCDKKYHRNCLKTWAQHRDLFHWSSWTCPSCRICEGCRRTGDPNKFMFCKRCDAAFHCYCLQPPHKNASSGPYLCTKHTKCYSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYMQFQVDGNLQYACPTCRGDCYQVRDLEDAVKELWRRRDEADKDLISSLRAAAGLPSQEEIFSISPFSDDEDSGPPVSKNSLKFSLKGIAEKSPKKGKEYGKKNSNKKQSKKKGEGHSNAVDIKSEDIQSYTNGDRDGFPSPIAGMEEGVCSINQAGVVKHKFIDEVTASNGNRTSRTVQIKGHTHQSFGGEDVGTQTTMSKTTKGPKLVIHLGGRNKNITGSPKSDASSCQKEQDGTTANGCEDSIDQTKDQKIRGKEGRLIKIKNVTPEVADATAKRGIKISVGRRNNEGAVRTATEVPATRGGSKLASTRHSGGDGPSSGDDFHEDRDNTRPATHSTQKDSRTLLKLKFKNPYSDGPSAWAPSTDDEKFLIKGQRSKRKRPPSFGEKASANAETQWPEDNSFDELMDANWILQKLGKDAIGKRVEVHEPSDNTWHRGKVTDFFEGTSVVAVALDDGKTKNLELGKQAIRFVPQKQKRK >itb11g02000.t3 pep chromosome:ASM357664v1:11:1006172:1009514:1 gene:itb11g02000 transcript:itb11g02000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKRCDAAFHCYCLQPPHKNASSGPYLCTKHTKCYSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYMQFQVDGNLQYACPTCRGDCYQVRDLEDAVKELWRRRDEADKDLISSLRAAAGLPSQEEIFSISPFSDDEDSGPPVSKNSLKFSLKGIAEKSPKKGKEYGKKNSNKKQSKKKGEGHSNAVDIKSEDIQSYTNGDRDGFPSPIAGMEEGVCSINQAGVVKHKFIDEVTASNGNRTSRTVQIKGHTHQSFGGEDVGTQTTMSKTTKGPKLVIHLGGRNKNITGSPKSDASSCQKEQDGTTANGCEDSIDQTKDQKIRGKEGRLIKIKNVTPEVADATAKRGIKISVGRRNNEGAVRTATEVPATRGGSKLASTRHSGGDGPSSGDDFHEDRDNTRPATHSTQKDSRTLLKLKFKNPYSDGPSAWAPSTDDEKFLIKGQRSKRKRPPSFGEKASANAETQWPEDNSFDELMDANWILQKLGKDAIGKRVEVHEPSDNTWHRGKVTDFFEGTSVVAVALDDGKTKNLELGKQAIRFVPQKQKRK >itb11g02000.t1 pep chromosome:ASM357664v1:11:1004611:1009514:1 gene:itb11g02000 transcript:itb11g02000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRKICYCPLGFPRGLQSESGKNEFLVEVARVEDFIKDPWLRKAKQGGTIQVKVPKLAPPAGLGDGDAEAVAAYAPAKRATLQKRAAAASLVGEDYAGRYDLAESLKETAGDEQGQSNIKVTCRLCFRGDNEGSERARKMLSCKSCDKKYHRNCLKTWAQHRDLFHWSSWTCPSCRICEGCRRTGDPNKFMFCKRCDAAFHCYCLQPPHKNASSGPYLCTKHTKCYSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYMQFQVDGNLQYACPTCRGDCYQVRDLEDAVKELWRRRDEADKDLISSLRAAAGLPSQEEIFSISPFSDDEDSGPPVSKNSLKFSLKGIAEKSPKKGKEYGKKNSNKKQSKKKGEGHSNAVDIKSEDIQSYTNGDRDGFPSPIAGMEEGVCSINQAGVVKHKFIDEVTASNGNRTSRTVQIKGHTHQSFGGEDVGTQTTMSKTTKGPKLVIHLGGRNKNITGSPKSDASSCQKEQDGTTANGCEDSIDQTKDQKIRGKEGRLIKIKNVTPEVADATAKRGIKISVGRRNNEGAVRTATEVPATRGGSKLASTRHSGGDGPSSGDDFHEDRDNTRPATHSTQKDSRTLLKLKFKNPYSDGPSAWAPSTDDEKFLIKGQRSKRKRPPSFGEKASANAETQWPEDNSFDELMDANWILQKLGKDAIGKRVEVHEPSDNTWHRGKVTDFFEGTSVVAVALDDGKTKNLELGKQAIRFVPQKQKRK >itb11g02000.t4 pep chromosome:ASM357664v1:11:1006894:1009510:1 gene:itb11g02000 transcript:itb11g02000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCDICQRWVHCQCDGISDEKYMQFQVDGNLQYACPTCRGDCYQVRDLEDAVKELWRRRDEADKDLISSLRAAAGLPSQEEIFSISPFSDDEDSGPPVSKNSLKFSLKGIAEKSPKKGKEYGKKNSNKKQSKKKGEGHSNAVDIKSEDIQSYTNGDRDGFPSPIAGMEEGVCSINQAGVVKHKFIDEVTASNGNRTSRTVQIKGHTHQSFGGEDVGTQTTMSKTTKGPKLVIHLGGRNKNITGSPKSDASSCQKEQDGTTANGCEDSIDQTKDQKIRGKEGRLIKIKNVTPEVADATAKRGIKISVGRRNNEGAVRTATEVPATRGGSKLASTRHSGGDGPSSGDDFHEDRDNTRPATHSTQKDSRTLLKLKFKNPYSDGPSAWAPSTDDEKFLIKGQRSKRKRPPSFGEKASANAETQWPEDNSFDELMDANWILQKLGKDAIGKRVEVHEPSDNTWHRGKVTDFFEGTSVVAVALDDGKTKNLELGKQAIRFVPQKQKRK >itb14g17220.t1 pep chromosome:ASM357664v1:14:20519157:20522195:-1 gene:itb14g17220 transcript:itb14g17220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLSWLAPIALLLLLLSGSEGFIGMQWGRFATQSLVPSMVVDMLLQNGIREIRLSSPSPNVMMALQRSELGVTVALQNDFIKRPKWLSNIKNVSSWVEELLVPPVHRGVHIKTLVIMEQPFTINRGPIWNLTDIFKETVLAMEEYGLTHIKPTTSHFTDILKNVTKPSEADFRDDIKDKMLEFLKLLKNSNSFFMYEIYPIISADVNGWPIEFAFMDNNSSFAIVDGKYTYRNAFEFLFDALVVALEKAGYPHMEIVLGEIGWPTDGGKYATPENAERFHRGFLKHIAAKKGTPRRPGKNIDVFLGSLTDENKFAPALGPMLRHRGIYDFDGTAKYKIDFSGKGRDIYPITAAGTVKMPSRWCIFNNDTSNMTKVDEQFQIACKYFDCTALYPDSSCSNLSHSSNVSYAFNMVYQGMNQDQESCYFAGLGVLTPFDPSVGSCKFPVEILTAEKVDGGIQVLGYIGYSKSTSERLKCYTTLQVLPIGLMLLFMFKKQLNFLV >itb03g14970.t1 pep chromosome:ASM357664v1:3:14470512:14471209:1 gene:itb03g14970 transcript:itb03g14970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTPFPLPLIPYINPPTFPSQNPHLFSKETLEETRNKRVVLALYEALSSRDVDLVQKLLASDLEWWFHGPPSHQFLMRILTGTANSGDSFRFEPLKVDAIGPTVFVEGQDLARNITWVHAWSVTDGIITQVREYFNTSLTVTRLGNQSRAAAATSAFTPLHCPSVWESSLSNRVGKSVPGLVLAI >itb02g02950.t1 pep chromosome:ASM357664v1:2:1700877:1704562:-1 gene:itb02g02950 transcript:itb02g02950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRIFWVLLMLEVAFITFLISPVGGQNASAVKVDVGVILDWDTISGKMRNACVSLSLSDFYANRNHTTQIVPHFRDSKSDDVEAASAAIDLLKNAEVQAILGPQASTEADFITDIGMKVKVPIISSATSPALSPTQNPYFVRVAYCSCWQAKAIAAIVKAFGWREVVFIYENSNFGSGILPHLTDAMMEISVSVPDRSVFSPSADDDQIMVELFKLKTKQTRVFIVHLRHTFASKFFKKVKEAGMMSSGYVWIITDTFTSLLVSMDPSVLDSLQGVIGVKPYVPSSPELDSFTRRWKSKTFRQQHPDMDGVELNVLGLWAYDSVTALATALEKVGTSALKFNRRINREDSTDLDAIGTSEFGPLLLESIQNIKLRGLSGDFHLVDGELQPSAFQIVNVEGREKGIGFWTERYGISKNPNPDDTTVYSANKGDLGEIMWPGYSSAIPIGWEIPTGEKKIRVGIPFKAGFEQFVKVTIDNQTNTVKEVTGFCIEVFEKVMGSKSMPHFIPFEYIPCKVSPGGNISNYNDLVDEVFKGVILHASMFTLYQPFLFGPAMLIMPFSNFSPFSQRIDAVVGDVTILSNRSERVDFTLPFTESGVTLVVPVKQDGCSWVFLKPLEKKLWMMIAVFFVLIGTVVWVFEHPVNPDFKGSTQKQLGMILWIPLSSLIFPDKKKVRSNLSRFVMIVWMLMVLILIASYTASFASLLTVQQLQPTVTDVKDLIKNGKYVGCQNSSFVAGKLKNVFGTNKIRYYSTPEEYDEALSKGSENGGVDAVMDELPYLRLLLRKYRRKYTTVGPTYHTAGFGFAFPKGSPLVPDVSRAILHVTESDDMMKTVEKWFGDETYRSQLQDISLNDPESLPLNRFKELFIIAAVLILTALIIFFISFIDENKGILTPDMSIWQKISALSKVFFKKEEPQKANELKNPPRPELEMAENHLHHSPGQGVLTQPVQES >itb01g10940.t1 pep chromosome:ASM357664v1:1:9591929:9595104:1 gene:itb01g10940 transcript:itb01g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDESTVASVHPSQAEKEVKMMEQDNAAPQLKESGEEVLKVEKNGEAPPTSEAVVVEDEKVGEEVAAAEEVKPEGEVEKPKGEVIKVEKSGIEEEKPKGEELPCVVVVEKVEKAEDGEEGKPQGEDLAALEEDGEEKAPKGEELPIVEEVKTGDDDNKEEKPKVEDLGVIEDVGKEKPSCDELPVISEAIKVEEKAEGEELPAVGEEAKKPDDEEAKPKGEELAISEEVKTGDGEEKPKVENLSVIEEVMEKGIVEEIEKPICDEELPVIEKTVEEKEEEKPKPEEKEEQQITLQVPSVEPEEKIEPQIPPSTEEPAKEPDQTTPVIAIDHEAKPVAPEEDVEKPLDEPINEVKPVEQPKPVEVPVPEPKTVEETKGQEEKLPAKEHDSEVPEPAVVKQETAVTEEPREQATIPEKAEVLAEKIEAIVEEAQLVPQVAQCLEHETQTETKQHQLTENVVNEEAKTIEPSETPAEEAAQVKTVSRDAENEATEATEVEKKVDEAPAATTLEDALKPQTEVKEEVAPEAAKQDESKDGNDKKEDNVVLVKAKQSNIISKVKQTLVKAKKAIIGKSPTPKTETKTVEVKAK >itb02g09360.t2 pep chromosome:ASM357664v1:2:5962466:5965986:-1 gene:itb02g09360 transcript:itb02g09360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETAHLDGEVVLAEEVGNARLITLNRPKQLNVISSKVVKLLAENLEKWEKDDNAALIILKGAGRAYSAGGDLRMFYDGRTPKDSCLEVVYRMYWLCYHIHTYKKPQVALVHGISMGGGASLMVPMKFSVVTEKTVFSTPEASIGFHTDCGFSYIHSRLPGRFGEYFALTGARLNGKELVALGMATHFVPLDKLPELEKRLLDLNTGDESAIRSAIEEFSIAVQVDEESVLNKQAVIDECFSKDSVEEIIKSFEVEASKEGNDWIRAVLKGLKRSSPTGLKITLRSIREGRKQTLAECLKKEFRLTMNILRAVISEDVYEGIRAINIDKDNSPKWDPSTLDKVDDEKVDTVFQPFEEELELKIPETEDHRWDGKYESSAYAHLQNE >itb02g09360.t3 pep chromosome:ASM357664v1:2:5962327:5966009:-1 gene:itb02g09360 transcript:itb02g09360.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETAHLDGEVVLAEEVGNARLITLNRPKQLNVISSKVVKLLAENLEKWEKDDNAALIILKGAGRAYSAGGDLRMFYDGRTPKDSCLEVVYRMYWLCYHIHTYKKPQVALVHGISMGGGASLMVPMKFSVVTEKTVFSTPEASIGFHTDCGFSYIHSRLPGRFGEYFALTGARLNGKELVALGMATHFVPLDKLPELEKRLLDLNTGDESAIRSAIEEFSIAVQVDEESVLNKQAVIDECFSKDSVEEIIKSFEVEASKEGNDWIRAVLKGLKRSSPTGLKITLRSIREGRKQTLAECLKKEFRLTMNILRAVISEDVYEGIRAINIDKDNSPKWDPSTLDKVDDEKVDTVFQPFEEELELKIPETEDHRWDGKYESSAYAHLQNE >itb02g09360.t1 pep chromosome:ASM357664v1:2:5962321:5966021:-1 gene:itb02g09360 transcript:itb02g09360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETAHLDGEVVLAEEVGNARLITLNRPKQLNVISSKVVKLLAENLEKWEKDDNAALIILKGAGRAYSAGGDLRMFYDGRTPKDSCLEVVYRMYWLCYHIHTYKKPQVALVHGISMGGGASLMVPMKFSVVTEKTVFSTPEASIGFHTDCGFSYIHSRLPGRFGEYFALTGARLNGKELVALGMATHFVPLDKLPELEKRLLDLNTGDESAIRSAIEEFSIAVQVDEESVLNKQAVIDECFSKDSVEEIIKSFEVEASKEGNDWIRAVLKGLKRSSPTGLKITLRSIREGRKQTLAECLKKEFRLTMNILRAVISEDVYEGIRAINIDKDNSPKWDPSTLDKVDDEKVDTVFQPFEEELELKIPETEDHRWDGKYESSAYAHLQNE >itb05g27440.t1 pep chromosome:ASM357664v1:5:30806708:30809497:-1 gene:itb05g27440 transcript:itb05g27440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDSGESLGRGTKITLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTIEKEISDDEDEEEKKDEEGKVEEVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFTLDEPNTFGNRIHRMLKLGLSIDEDAGDADADMPPLEDTDADAEGSKMEEVD >itb12g11540.t1 pep chromosome:ASM357664v1:12:9835462:9840242:-1 gene:itb12g11540 transcript:itb12g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGALAPKLKLSLPPPDEVALSKFLTQSGTFKDGDLLVNKDGIRVISDNHVETSTVIKPTDNQLSLADFEYVKVIGKGNGGVVRLVQHKWTAQFFALKVIQMNIEESIRRHIAQELRINQSSQCPYVVICYEAFFDNGAISLILEYMDGGSLQDFLKIVKRIPEPYLAAICKQVLKGLWYLHHEKHIIHRDLKPSNLLINHRGDVKITDFGVSVVLTSTSGAANTFVGTYNYMSPERIEGGSHGYRSDIWSLGLVLLECATGDFPYTPPRPEEGWDNVYELMETIVDQPEPYAPPDQFSPEFCSFISKCVQKDPKKRLSANELMRHPFISMYDHLDIDLASYFTAVRPPPATF >itb07g24430.t1 pep chromosome:ASM357664v1:7:28711820:28715136:1 gene:itb07g24430 transcript:itb07g24430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFFFLIIFFLFNFSFSTLTAKATWIQSGFWYAGSEFPVPQINSALYTHLLFAFAYINSSSYELRIAHSDEPYVSTFTQIVTQKNPSVKTILSIWAGREESPNFFSMLNQSSNRKSFIKTSIKAAREYGFHGLDLYGVIPNTDSNIGTFMDEWRAAINSESESSGTPRLILTMGAYYSPVLDAMAYPVDSIVRNFDWIHLRSYDYYLPTKDNFTGAHAALYDPFSKLNTDYGVTEWIKKRFPANKLTIGLPFHGYAWTLVNPKGNTVGSPAKGLAITADGSMSYRYIKFYISSYKVAPIYNSTYVMNYITVESFWIGYDDVEAIKTKVSYAKEKGLLGYTVFQVPNDDDNWSLSRAAQGTEEDRHDKKKSKLAILLPAGTLAAFLLCIAIYCVARKTKRSKGNTKLNQRSVDPNLQAFTFAQMQAATDSFSYQNMLGEGGFGPVFKGKLPNGQEIAVKRLSESSKQGVEEFQNEVTLAVKLQHVNLLRILGFCTEGEEKMLIYEYMPNKSLDFYLYEPARQLQLDWEKRVGIIEGVTQGLLYLQEYSAFTVIHRDLKASNILLDNEMKPKISDFGIAKIFQKEDDQANTGRIVGTYGCVPPEYVKGGVYSRKYDVYSFGVLLLQIISGKKNAYLYGTDKNLNLLEYAYEKWKSESGMEFMDPSLDDKLSWCKLIRCLQVGLLCVQEKWEDRPSMLEVESMLKSETTLPAPKMPAFSRKLIDYKEQGDEEDYCSVNVGTTSQLMPR >itb10g20440.t1 pep chromosome:ASM357664v1:10:26005461:26008822:1 gene:itb10g20440 transcript:itb10g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g61215 [Source:Projected from Arabidopsis thaliana (AT1G61215) UniProtKB/TrEMBL;Acc:Q6AWX2] MVVGPKMRWGTWEELILGGAVLRHGTDDWNVVASELRARTVYPNNFTPEACKARYEDLRKQYSGCKFWFEELRKRRIEELKQELERSEGSIGSLKSKIEILKAKRERSGQAESPVPLVKSEAVELFQKEGSKDGLSAGSFTQNIRTDESHECQIPALTSATETESKMEGSESCEREKVPSISKLACNKHGGTVKKRRGNRKRKDRVWDSKEGSIGESENVCSTSAASISPSKEASVGYDQTRRPSSVDNHKGSLCSVKNNGLMDVFNNIAQREPALVFRHRLDSQKRARYKKIIRRHMDMETIRSKLATLSIKSSVELFRDLLLLANNALVFYSKRTREYKAALALRDLVTKAYRQHQYRGPYTKTAFVLLPLPTICCPPVKPRSARPRPTKQRIPAMLQNNNNNKNAVPRSLEGLKRPSDPDSSPPLQSLVTAKKGFKQPGKAGKRASGNQVFRHRASEKEDSLHKTMPKGEMGSKPLVLKERKMTRQRLN >itb10g20440.t2 pep chromosome:ASM357664v1:10:26005461:26008822:1 gene:itb10g20440 transcript:itb10g20440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g61215 [Source:Projected from Arabidopsis thaliana (AT1G61215) UniProtKB/TrEMBL;Acc:Q6AWX2] MVVGPKMRWGTWEELILGGAVLRHGTDDWNVVASELRARTVYPNNFTPEACKARYEDLRKQYSGCKFWFEELRKRRIEELKQELERSEGSIGSLKSKIEILKAKRERSGQAESPVPLVKSEAVELFQKEGSKDGLSAGSFTQNIRTDESHECQIPALTSATETESKMEGSESCEREKVPSISKLACNKHGGTVKKRRGNRKRKDRVWDSKEGSIGESENVCSTSAASISPSKEASVGYDQTRRPSSVDNHKGSLCSVKNNGLMDVFNNIAQREPALVFRHRLDSQKRARYKKIIRRHMDMETIRSKLATLSIKSSVELFRDLLLLANNALVFYSKRTREYKAALALRDLVTKAYRQHQYRGPYTKTAFVLLPLPTICCPPVKPRSARPRPTKQRIPAMLQNNNNNKNAVPRSLEGLKRPSDPDSSPPLQSLVTAKKGFKQPGKAGKRASGNQVFRHRASEKEDSLHKTMPKGEMGSKPLVLKERKMTRQRLN >itb06g23750.t1 pep chromosome:ASM357664v1:6:25553135:25554735:1 gene:itb06g23750 transcript:itb06g23750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLICMVKGDEKSLQRALDVVHRRSHDYVALLFYASWCPFSRKFKPIFSVVSSLFPWVPHFAIEESAIKPSTLSKYGVSGFPTLFLVNSTIRFRYHGSRSLDSLVDFYGLLGVETASKDNISLDKIRCSSNNRRDGGSEQESCPFSWSRSPENFLRQETYLALATAFVLMRLLYVVFPAVCRFSRASRKCILNLRTRSLWEYPQLCPSRAVQLFNSLKEPCKRSNLQEGAMNAKAWASKSLASVSIGDASSSRAVAAVSSTH >itb09g27530.t1 pep chromosome:ASM357664v1:9:27777048:27777660:1 gene:itb09g27530 transcript:itb09g27530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMTRIIRAKQGLRRSSSRTNRESEVPKGHFAVYVGESEKKRFVIPVSYLKDPSFQDLLCQAEDEFGFDHPMGGLTIPCLEDTFLDIISNLRS >itb15g19530.t1 pep chromosome:ASM357664v1:15:21891736:21892786:-1 gene:itb15g19530 transcript:itb15g19530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMIMASSKALMPTALPAPRLKISASLPKLTLSELPKRNQFRSLTLPSSLKSLSVILGTALAAAPPSMAEEIEKASLFDFNLTLPIIMAEILFLMFALDKIYFSPLGKFMDERDAAIREKLNSVKDTSAEVKQLEEQGAAVMKAARAEISAALNKMKKETQEEVEQKLAEGRKKVEAELAEALAKLETQKVETIKALDSQISALSDDIVKKVLPVN >itb10g19450.t1 pep chromosome:ASM357664v1:10:25289097:25290024:1 gene:itb10g19450 transcript:itb10g19450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTHVRGNSVEHKYNININVRQSNYRLLVEMEAWSTCFNLVSKSCKKVIIVACFDDMDLQVHAGRLRRAFTAIAAVEGVHQIKTGNLVSLSEQQLLDCDERSYGCNGGMITEAFQSIQEIGGLVSESEYPYQGSQGMSCNNQGSPVASITGFNEVEQGESALLQAVTNQPVSVGITIGGMAFQHYSTGVFNGECGSGFHHAVTVVGYGTSEDGEKYWLIKNSWGTSWGEEGYMRMARDTSEGGLCGLATRAAYPTA >itb03g08970.t1 pep chromosome:ASM357664v1:3:6798068:6799836:1 gene:itb03g08970 transcript:itb03g08970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKILATALRRTLAKPSIYCRRFSTAATAAAVEPFEEDAAGISMKGVRIAGRPLYLDVQATSPVDPRVVDAMLPYYLSRFGNPHSRTHLYGWESDRAVEAARAHVAALINASPKEIIFTSGATESNNVSVKGVMHFYKEKKRHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVDPDGLVNLDELRAAIRPDTGLVSIMMVNNEIGVIQPVQEIGEICREFNVPFHTDAAQALGKIPIDVETMNISLMSLSGHKIYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGFGAACELAKKEIEYDTVKIKALQDRLLNGIKARIDGVVVNGSLERRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRYGIGRFTTEEEIDRAVELTVNQVEKLREMSPLYEMVKEGIDIKSIQWAQH >itb01g03780.t1 pep chromosome:ASM357664v1:1:2469408:2470483:1 gene:itb01g03780 transcript:itb01g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKMAKGRKHTASWSERLLGSYSYGNGNGHERIAGGESELGEDDVWSTVDGMANDRSGEWSPRAGSESGGSFDGFRSRRHRQRVPRTEYHRLQDQDDGTSLTFDDLGETTPSGVVHQFLGQHDGVTAPANVRHLSTSVPVNIPNWSNFNRVNSVESFYDSDSVSYDRDFEMVPPHEYLAREYGRTGTIAANSMCEGAGRTLKGRDMSRVRDAVWSQTGFNG >itb04g05730.t1 pep chromosome:ASM357664v1:4:3715326:3715931:1 gene:itb04g05730 transcript:itb04g05730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLKEDLMRLLDEFTDMPVEGFGAALLKGYGWSEGRGIGCNAKEDVKVRKYKRWSAKEGIGFTAELPNDTKVHKVDGGEKSDKKMNANGKEERGEKEGKGLYVGKNIRIVGGREIGMKGKVVEVKSGGNMIIRLSSDDREVIVQASDVAELDFVEEEKCMRELKIKDSNKDSSSVRHRRESRDEVSRDREIREERSRDR >itb02g17630.t1 pep chromosome:ASM357664v1:2:13775899:13778103:1 gene:itb02g17630 transcript:itb02g17630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQLAELQQREQMLAESNKLLRRKLEENVAGIPLRLSWEDGNQAIQQNRQLPPQSEGFFQPLGLNISGQIGYNSVGTDEVNVAASAHNINGFFPGWML >itb07g16040.t1 pep chromosome:ASM357664v1:7:19262311:19266290:1 gene:itb07g16040 transcript:itb07g16040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPPLSLSLLYIRHSSSSSSRVERLKLTVTFRPGEEGDRLPAPPVPTSRPQPQRWSELQRIDGSEEQRLQLRRRRRRQRIDGGCLRLSSPLHLSRLPPLFPGEQRREASRQSGGGFAAVRRAHSVKERQRAVAAFRQIEAVKVGGRRAHVPKMNLDEIFEQKDEVAKAVLQELEVFVFLAAEYETPKNALNQVGGEVSC >itb06g03350.t1 pep chromosome:ASM357664v1:6:5644185:5647713:-1 gene:itb06g03350 transcript:itb06g03350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNEVVRPAVTKFSPSLWGDEFRYFVFDNEVAKRYAQEIELLKERVRSMLVRIRSSKPAEKLNFIDTIERLGISYHFHSEIDEMLKEIYNANLKFHGDDLCTSALMFGLFRQHGYNISSENGKFRNNLSGDIKGLLNLYEASHMNGHKDDILKETYTFSRTHLEVVHPQLNSTLGTQVRHALEQPLHKGIPRVEISFFIRVYQEDESKNDVLLRFAKLDYNLLQMHHKHELYQLMRWWKELDFVTTLPYARDRAVESYFWALGVFFELEYSKARLMIAKSIAMIAIIDDTFDSFGTPDELEIYTDAIQKWDINQMNRLSDYMKISYKALINLYDEEFNKELSEEGKSFALYNAKERMKELVRAYYTELKWSTKGCMPSFVEYLNNYGLASSTIYSVITTFLLGQKPVRREASEWVNQNPRILEANAILARLINDIASYEREKSSSLYTGIDCYMRDYGVSVHEAMDKLQEIVDNAWKDINEDIVEPTLPISREILMCLLNIARVDSVVYMQNQDGFTNSEKVMKPYMIAVLVGSFEI >itb04g31340.t1 pep chromosome:ASM357664v1:4:34244967:34250611:-1 gene:itb04g31340 transcript:itb04g31340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGTGPASNGDAAVASRSKTKPKDGEDGEDPEQSKLRAGLNSAIIREKPNVKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLSESGFEDLAHKTEGFSGSDISVCVKDVLFEPVRKTQDAMFFIKTSNDTWMPCGPKQPGAVQTNMQELAAKGLASQIIPPPITKTDFDKVLARQRPTVSKSDLDVHERFTQEFGEEG >itb12g20420.t1 pep chromosome:ASM357664v1:12:22903124:22908866:1 gene:itb12g20420 transcript:itb12g20420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDFIILLLLIIHLFAASPPVSASLFNATNTHSSLPANNLQIANEVASLLTWKSSLDLKSQKLLSSWVVGSNHCNWIGIDCNTDGSIISLNLTGYGLRGQISQEIGLLKSLVVFDLSSNALTGQIPTLLGNLSVLVNLSLFENQLCGSIPKEIGKLKSLQQLRLSHNNLSGQIPQEIGLLKSLVVFDLSSNALTGKIPPEIGNLTVLLDLSLFENQLYESIPKELGKLNSLQLLRLSHNNLSGQIPQEIGLLISLVEIDFRSNSFSGQIPISIGNLRNLTIFYLDQNQLSGNIPQEIGNLTNLVYLSLLENQLYGSIPREVGKLKSLRGLWLYSNYFSGQIPSEIGNLSKLILLPLQENQLHGSIPNEFRNLQNLKFLSVNTNNLSGQIPQKIGLLKSLVVFDLSSNALTGQIPTSIGNLSMLINLNLQLNQLYGSIPKEIGKLKSLQQLRLSHNNLSGQIPQEIGNLSMLINLGLSFNALIGQIPTSLCNLRNLKMLYLGKNQFSGHIPKGFGLLTSLLNLDLGENALAGEIPSTIGNLKSLTVLHLQINNLSGQIPQEMGLIVSLVELSLSENSLTGQIPTSIGNLSMLINLNLQLNQLYGSIPKEIGKLKSLQQLRLSHNNLSGQIPQEIGNLSMLINLGLSFNALIGQIPTSLCNLRNLKMLYLGKNQFSGHIPKGFGLLTSLLNLDLGENALAGEIPSTIGNLKSLTVLHLQINNLSGQITVLHLQINNLSGQIPQEMGLIVSLVELSLSEMGLIVSLVELSLSENSLTGQIPTSIGNLSMLINLNLQLNQLYGSIPKEIGKLKSLQQLRLSHNNLSGQIPQEIGNLSMLINLGLSFNALIGQIPTSLCNLRNLKMLYLGKNQFSGHIPKGFGLLTSLLNLDLGENALAGEIPSTIGNLKSLTVLHLQINNLSGQIPQEMGLIVSLVELSLSKNSLTGQIPTSIGNLQTLKFLYLNDNYFSSHIPQEIGLLNSLVVFDLSSNALTGQIPPEIGNLSMLVNLNLQLNQLYGSIPKELGKLRSLEGLLLHFNNLVGQIPFEFNNLTNLRSFQISNNHLTGSLPENICFGPSLENISIAYNGFSGKIPKSLKNCTSLYRVRLDGNEIYGDVSTEFGIYPNLNYIDLSYNNLHGHLSSNWALCPKLTALKMADNKISGNIPLDLGNASELQYIDLSSNQLVGRIPGSLGKLSKLYKLKLDNNKLNDNIPLEVGELSLLSELNLASNNFVGSIPPQIGRCQHLITLNLSRNMLVGKIPIDMLSLKSLENLSLSHNMLSDKIPPQVGGLTNLQTMDLSHNNLSGSIPTSIAQCAALVSVDISYNQLEGPIPNTKAFLQAPYSALSNNKGLCGNHSGLKLCSSHSQIDDVKGNSVIIISIVLGSSFLLAVVIIIFVIFRRPIRNTVVEQRDFTNDLFTIWSFDGKMTYENIIEATRNFDSSYCIGVGGHGSVYRAELPSGQIVAVKKFHTLGMQDDERLDLRSFSNEISTLTNVRHRNIVKLYGFCAHQRHSFLIYEYLQGGSLAHILSDDEKALHLSWLKRIYVVKAMANALSYMHHDCSPHIVHRDITSNNVLFDSEYEAHVSDFGTARFLSPDSSNWTSFAGTMGYTAPEFAYTAMVNNKCDVYSFGVVTLEVIMGKHPGDLITCISSSSSSAIDGILLRDLLDPRLSTPTGHDAQQLVLVAKIAISCLNSNPQYRPTMQEVSLLLSKERDFPNILPEITITQLLSLEFPTP >itb10g05380.t1 pep chromosome:ASM357664v1:10:5511572:5518020:1 gene:itb10g05380 transcript:itb10g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSGGGGKTRVGRYELGRTLGEGSFAKVKFARNVETGENVAIKILDKEKVLKHKMIGQIKREIATMKLIRHPNVIRLYEVMASKTKIYIVMEFVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVCHRDLKPENLLLDASGVLKVSDFGLSALPQQVREDGLLHTKCGTPNYVAPEVINNKGYDGVKADLWSCGVILYVFMAGYLPFEESNLMALYKKILKADFTCPPWFSTSAKKLIKKILDPNPQTRMTFAEVIVNDWFKKGYKPPVFEQENVNLDDVNAIFNESADSSNLVVERRLEQTPAPLSMNAFELISKSQGLNLSTLFEKQMGLVKKETRFTSKRPASEIISKIEETVAPLGFNVRKNNYKLKLAGEKTGRKGNLSVATEIFEVAPSLYMVELRKAEGDTLEFHQFYKNLSVGLKDIVWKTDQEVRAELEAGKGTVSARTGAGKRAVSA >itb06g15990.t1 pep chromosome:ASM357664v1:6:20205382:20206080:-1 gene:itb06g15990 transcript:itb06g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEGQKRCKVIKIDSKQSWDIFMYHAKNQARPIVIHFTASWCIPSVAMAPVIAELALSYQNILFLSVDVDEVKEVAAEMEIKAMPTFMFMRDGVEVVDKLVGANPEEIRNRIAAAFINSTT >itb09g08110.t2 pep chromosome:ASM357664v1:9:4877630:4884046:1 gene:itb09g08110 transcript:itb09g08110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGESMNGGGIVAGGDGDIEGGQLVELVEASLLPTDAKSDPPSDAEAPADDAPVGISDDQITPLLPKTERPKINIFTIAYPRRKSNNKEQVIRTSESDTSPFTQFIIWAWSGSRYSGLVCMAISSVIYCTMDVVSEFFSGQSIPLFEMAFTRCTLLLILSFVWLKRTGQPIFGPKNVNTLMALRAVIGYFSLLSFIYCIQRLPLSQAIVLSFTTPIMASVVARFILHEKLKITEIGGLATSFFGVLFLFQPMVFTKGAVPNAVGINEYVRGSHHIYAVLVGLCSSIFGGVSYCLTKAGAKTTDQPVLTVFAFGLFASPAAGICTFAFQNFVLPSFYSLILMIVLGVLAFFAEITLARGLQLEKTSRVANVLYLEAALSQLLRMGTSRMASFGRLVGCSLIVISASCTMYFGPERD >itb09g08110.t3 pep chromosome:ASM357664v1:9:4877630:4884046:1 gene:itb09g08110 transcript:itb09g08110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGESMNGGGIVAGGDGDIEGGQLVELVEASLLPTDAKSDPPSDAEAPADDAPVGISDDQITPLLPKTERPKINIFTIAYPRRKSNNKEQVIRTSESDTSPFTQFIIWAWSGSRYSGLVCMAISSVIYCTMDVVSEFFSGQSIPLFEMAFTRCTLLLILSFVWLKRTGQPIFGPKNVNTLMALRAVIGYFSLLSFIYCIQRLPLSQAIVLSFTTPIMASVVARFILHEKLKITEIGGLATSFFGVLFLFQPMVFTKGAVPNAVGINEYVRGSHHIYAVLVGLCSSIFGGVSYCLTKAGAKTTDQPVLTVFAFGLFASPAAGICTFAFQNFVLPSFYSLILMIVLGVLAFFAEITLARGLQLEKTSRVANVLYLELKEIHRIF >itb09g08110.t4 pep chromosome:ASM357664v1:9:4877630:4884046:1 gene:itb09g08110 transcript:itb09g08110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGESMNGGGIVAGGDGDIEGGQLVELVEASLLPTDAKSDPPSDAEAPADDAPVGISDDQITPLLPKTERPKINIFTIAYPRRKSNNKEQVIRTSESDTSPFTQFIIWAWSGSRYSGLVCMAISSVIYCTMDVVSEFFSGQSIPLFEMAFTRCTLLLILSFVWLKRTGQPIFGPKNVNTLMALRAVIGYFSLLSFIYCIQRLPLSQAIVLSFTTPIMASVVARFILHEKLKITEIGGLATSFFGVLFLFQPMVFTKGAVPNAVGINEYVRGSHHIYAVLVGLCSSIFGGVSYCLTKAGAKTTDQPVLTVFAFGLFASPAAGICTFAFQNFVLPSFYSLILMIVLGVLAFFAEITLARGLQLEKTSRVANVLYLEVRSSCNLLHQVYKFQ >itb09g08110.t1 pep chromosome:ASM357664v1:9:4877630:4884046:1 gene:itb09g08110 transcript:itb09g08110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGESMNGGGIVAGGDGDIEGGQLVELVEASLLPTDAKSDPPSDAEAPADDAPVGISDDQITPLLPKTERPKINIFTIAYPRRKSNNKEQVIRTSESDTSPFTQFIIWAWSGSRYSGLVCMAISSVIYCTMDVVSEFFSGQSIPLFEMAFTRCTLLLILSFVWLKRTGQPIFGPKNVNTLMALRAVIGYFSLLSFIYCIQRLPLSQAIVLSFTTPIMASVVARFILHEKLKITEIGGLATSFFGVLFLFQPMVFTKGAVPNAVGINEYVRGSHHIYAVLVGLCSSIFGGVSYCLTKAGAKTTDQPVLTVFAFGLFASPAAGICTFAFQNFVLPSFYSLILMIVLGVLAFFAEITLARGLQLEKTSRVANVLYLELKEIHRIF >itb08g11200.t1 pep chromosome:ASM357664v1:8:11096020:11096764:1 gene:itb08g11200 transcript:itb08g11200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSLLFPGIRFQPTDKELVLHYLHPKVFNSPLPAYIILEIDNVCKSDPWVLPGTLCCRATLSGYWKPTGKDKKIAVSGEAQHVVGMKKTLVFYKGKAPNGSKTDWIMHEYRLADAPQPQANWVLCRIFLKKTGGRNGERSHSSSRVAFHDFFAAERADLNLAPASSSSSRSSDHQES >itb09g17480.t3 pep chromosome:ASM357664v1:9:12749287:12753353:-1 gene:itb09g17480 transcript:itb09g17480.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHSVQAQAQKTTWNIPFLVVFSGARSLFKLDDLGLEILRIALPAALALAADPIASLIDTAFIGHLGAVEIAAVGVAISIINQANKVTIFPLVNITTSFVAEEDTVKKMAESSSSSAPVKGDDEKKDNAEGSELEKMENGLATRNETKESAQEDDPKTNVCKSPIPTDTNASKKKAKREKRNIPSASTALAMGTILGLLQTAFLMLLAKHMLGLMGVKSGSPMLSPALKYLVLRALGAPAVLLSLAMQGVFRGLKDTTTPLYATAIGDLANIILDPIFIFACHWGVSGAAIAHVISQYLLAAILFFKLVGKVELVPPSLKDLQFSRFLKNGFWLLARVIAVTFCVTLGASLAARLGATTMAAFQVCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKAKATAARVLQMGVVMGYGLAAVVGVGLYFGSGVFSKDKNVIRLITIGVPFVAGTQPINSLAFVLDGVNFGASDFSYSAYSMVLVAVLTVVTEFLLSKSNGYIGIWIALSIFMVLRTIAGLWRMGTGTGPWSFLRIKKPNKLESTS >itb09g17480.t1 pep chromosome:ASM357664v1:9:12749287:12753353:-1 gene:itb09g17480 transcript:itb09g17480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHSVQAQAQKTTWNIPFLVVFSGARSLFKLDDLGLEILRIALPAALALAADPIASLIDTAFIGHLGAVEIAAVGVAISIINQANKVTIFPLVNITTSFVAEEDTVKKMAESSSSSAPVKGDDEKKDNAEGSELEKMENGLATRNETKESAQEDDPKTNVCKSPIPTDTNASKKKAKREKRNIPSASTALAMGTILGLLQTAFLMLLAKHMLGLMGVKSGSPMLSPALKYLVLRALGAPAVLLSLAMQGVFRGLKDTTTPLYATAIGDLANIILDPIFIFACHWGVSGAAIAHVISQYLLAAILFFKLVGKVELVPPSLKDLQFSRFLKNGFWLLARVIAVTFCVTLGASLAARLGATTMAAFQVCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKAKATAARVLQMGVVMGYGLAAVVGVGLYFGSGVFSKDKNVIRLITIGVPFVAGTQPINSLAFVLDGVNFGASDFSYSAYSMVLVAVLTVVTEFLLSKSNGYIGIWIALSIFMVLRTIAGLWRMGTGTGPWSFLRIKKPNKLESTS >itb09g17480.t2 pep chromosome:ASM357664v1:9:12749355:12757022:-1 gene:itb09g17480 transcript:itb09g17480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHSVQAQAQKTTWNIPFLVVFSGARSLFKLDDLGLEILRIALPAALALAADPIASLIDTAFIGHLGAVEIAAVGVAISIINQANKVTIFPLVNITTSFVAEEDTVKKMAESSSSSAPVKGDDEKKDNAEGSELEKMENGLATRNETKESAQEDDPKTNVCKSPIPTDTNASKKKAKREKRNIPSASTALAMGTILGLLQTAFLMLLAKHMLGLMGVKSGSPMLSPALKYLVLRALGAPAVLLSLAMQGVFRGLKDTTTPLYATAIGDLANIILDPIFIFACHWGVSGAAIAHVISQYLLAAILFFKLVGKVELVPPSLKDLQFSRFLKNGFWLLARVIAVTFCVTLGASLAARLGATTMAAFQVCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKAKATAARVLQMGVVMGYGLAAVVGVGLYFGSGVFSKDKNVIRLITIGVPFVAGTQPINSLAFVLDGVNFGASDFSYSAYSMVLVAVLTVVTEFLLSKSNGYIGIWIALSIFMVLRTIAGLWRMGTGTGPWSFLRIKKPNKLESTS >itb08g06750.t2 pep chromosome:ASM357664v1:8:5787514:5792813:1 gene:itb08g06750 transcript:itb08g06750.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKFKLGRKIGSGSFGEIFLAKHIDTFEVVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPHIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMTRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRELFTREGYEFDYIFDWTILKYQQAQSSRTQQRPLHGESSRRVPLDSEKHQVGNNAPYSAELTKSSIPTSPGARAQFKLSTNRNLASGNLETVERNMLSNAYMASTSLQLDFLFTSHFFCQVIRDVKELLLKLGADLVSIRIGHGAIRGRLIIPYWLMAAVPTTRDASIGSKQGPRAHFATHLVKARFLVHKVSPTRDIDATISPEMGSRHFLLRILDASEMRELVSLFLIAYQLSLVEQFEFKSKMVTGGGPTDKDIQLLHIDSTGELEQWVHTH >itb08g06750.t1 pep chromosome:ASM357664v1:8:5787514:5792813:1 gene:itb08g06750 transcript:itb08g06750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKFKLGRKIGSGSFGEIFLAKHIDTFEVVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPHIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMMTRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRELFTREGYEFDYIFDWTILKYQQAQSSRTQQRPLHGESSRRVPLDSEKHQVGNNAPYSAELTKSSIPTSPGARAQFKLSTNRNLASGNLETVERNMLSNAYMASTSLQLDFLFTSHFFCQVIRDVKELLLKLGADLVSIRIGHGAIRGRLIIPYWLMAAVPTTRDASIGSKQGPRAHFATHLVKARVSLFLIAYQLSLVEQFEFKSKMVTGGGPTDKDIQLLHIDSTGELEQWVHTH >itb06g05580.t1 pep chromosome:ASM357664v1:6:8268699:8270410:1 gene:itb06g05580 transcript:itb06g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II D2 protein [Source:Projected from Arabidopsis thaliana (ATCG00270) UniProtKB/Swiss-Prot;Acc:P56761] MTIALGQFTKDENDLFDTMDDWLRRDRFVFVGWSGLLLFPCAYFAVGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQSEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >itb10g13740.t1 pep chromosome:ASM357664v1:10:19938316:19943520:1 gene:itb10g13740 transcript:itb10g13740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLNEGMSKETAVFGLKVWELIGIIVVFLIVFILLSVTFYLTLRKKSRRAKESLPLCQIPKVSKEIKEVQVDQVSKNEFATRDGSFPTIQDKSTEKESDGGLVSHGTWKTKNGDNNGQSDSFHLVDKDYCGPHSREEGSSAKFAVHHKSYSLHPIVAPSPLTGLPEVSHLGWGHWFTLRDLELATNRFSKENILGEGGYSVVYRGQLINGAEVAIKKLLNNLGQAEKEFTVEVEAIGHVRHKHLVRLLGYCIEGTHRILVYEYVNNGNLEQWLHGAMQQHGYLTWEARMKVLLGTAKALAYLHENIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGAGKSHISTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPPQEVNLVDWLKMMVGSRRSEEVVDPNIGSRPSTRALKRALLTALRCVDPDSDKRPKMSQVVRMLESEEYPIPREDRRQRKVQAGAAESESQRENYETDKSDNPDSTSDSKRNL >itb13g17160.t1 pep chromosome:ASM357664v1:13:24074130:24078119:1 gene:itb13g17160 transcript:itb13g17160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRNRLSAVFTRPSAPLNSVSWELQQCRGIRVKVYNNNLERALAVMQRLMQSSGVERIIKRQQPRHIKNCEKRVLAKKALQRKVRSQELARKLKSILVKKVRGL >itb12g27430.t1 pep chromosome:ASM357664v1:12:27817945:27820963:1 gene:itb12g27430 transcript:itb12g27430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGGNSVCVMDAAGCLGSALVRCLLLRGYTVHAALQTHDDDLMQSLKRQYAAEISSSRLKVFQSDLLDYHSILEAVKGCCGLFYSFEPPSDYATYDEFMGEIEVRAAHNVVEACAQTSTIDKVVFTSSATAVVWGRERNAAAAAAAAAHALHPLDERSWSDVNFCKNFKLWHGLSKTVAEKAAWALAMDREVNMVSINGGLLISPGLSMKDPYLKGAAEMYEGGFFVAVDLGFLVDAHICVFEDVSSYGRYLCFNRVINCSEDTSKLANMLLSVNPSHPLSTHSTQSMEMEDDRVHQQKISNQKLSKLMLGFESGALQIHM >itb06g06340.t4 pep chromosome:ASM357664v1:6:9003065:9006055:1 gene:itb06g06340 transcript:itb06g06340.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQCDEKREVYEYMISNRGKSGKGENFTPQQLQTTREEHDEMARLCIFRVESLKQGRSHSLLIQATRHHAAQLNLFRKGLKTLEAVDPQIRMVADKQHIDFQISEIDDTDDDGSKRYDGNDEGELSFDYRSNKQEPSNAYSLRNSMELDHADAPSTQTSGMEDIELDFKIQDHVLNRQPRVSSHSAPIGPINAEKMDISDRNREAQTSARKFHTYVLPTPADAKSLPGRTSGSFFPSSVTNLTASTNNLWRSDNYDKVKDDNSLSVRAASKAQSSSNSNNASIRLPSPLEGTSSVQQLETHNTFDVKGAKRLSFSGPISGKPLSNKPSLSASGPIGSAGRSQPESGSAYGAPRFQPPSSIDISRSASPPLEASPKISELHELPRPPGSLASKPASRYSNPLAVSNPGSSLPSRHLTVSRSFSIPSSDHRTMALNESKLADSPIMKDNAVVSSPPLSPISVSSISTLSVVGTSSGQIRVDAGGR >itb06g06340.t3 pep chromosome:ASM357664v1:6:9000968:9005119:1 gene:itb06g06340 transcript:itb06g06340.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLKKLALHKSDAKDKKDHHSSLALSDGLAQASQDMKDMRNCYDSLLSAAAAAANSAYEFSESLMEMGNCLLEKITLNGDGESGKAFSALGRVQLELQKLVDIYRTHVIVTITNPSESLLNELRKVEEMKLQCDEKREVYEYMISNRGKSGKGENFTPQQLQTTREEHDEMARLCIFRVESLKQGRSHSLLIQATRHHAAQLNLFRKGLKTLEAVDPQIRMVADKQHIDFQISEIDDTDDDGSKRYDGNDEGELSFDYRSNKQEPSNAYSLRNSMELDHADAPSTQTSGMEDIELDFKIQDHVLNRQPRVSSHSAPIGPINAEKMDISDRNREAQTSARKFHTYVLPTPADAKSLPGRTSGSFFPSSVTNLTASTNNLWRSDNYDKVKDDNSLSVRAASKAQSSSNSNNASIRLPSPLEGTSSVQQLETHNTFDVKGAKRLSFSGPISGKPLSNKPSLSASGPIGSAGRSQPESGSAYGAPRFQPPSSIDISRSASPPLEASPKISELHELPRPPGSLASKPASRYSNPLAVSNPGSSLPSRHLTVSRSFSIPSSDHRTMALNESKLADSPIMKDNAVVSSPPLSPISVSSISTLSVVGTSSGQIRGILNCLF >itb06g06340.t1 pep chromosome:ASM357664v1:6:9000963:9006055:1 gene:itb06g06340 transcript:itb06g06340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLKKLALHKSDAKDKKDHHSSLALSDGLAQASQDMKDMRNCYDSLLSAAAAAANSAYEFSESLMEMGNCLLEKITLNGDGESGKAFSALGRVQLELQKLVDIYRTHVIVTITNPSESLLNELRKVEEMKLQCDEKREVYEYMISNRGKSGKGENFTPQQLQTTREEHDEMARLCIFRVESLKQGRSHSLLIQATRHHAAQLNLFRKGLKTLEAVDPQIRMVADKQHIDFQISEIDDTDDDGSKRYDGNDEGELSFDYRSNKQEPSNAYSLRNSMELDHADAPSTQTSGMEDIELDFKIQDHVLNRQPRVSSHSAPIGPINAEKMDISDRNREAQTSARKFHTYVLPTPADAKSLPGRTSGSFFPSSVTNLTASTNNLWRSDNYDKVKDDNSLSVRAASKAQSSSNSNNASIRLPSPLEGTSSVQQLETHNTFDVKGAKRLSFSGPISGKPLSNKPSLSASGPIGSAGRSQPESGSAYGAPRFQPPSSIDISRSASPPLEASPKISELHELPRPPGSLASKPASRYSNPLAVSNPGSSLPSRHLTVSRSFSIPSSDHRTMALNESKLADSPIMKDNAVVSSPPLSPISVSSISTLSVVGTSSGQIRVDAGGR >itb06g06340.t5 pep chromosome:ASM357664v1:6:9002694:9006040:1 gene:itb06g06340 transcript:itb06g06340.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MISNRGKSGKGENFTPQQLQTTREEHDEMARLCIFRVESLKQGRSHSLLIQATRHHAAQVSLCLHKFKPLPPIFIASLVMLFSLSPLEQLNLFRKGLKTLEAVDPQIRMVADKQHIDFQISEIDDTDDDGSKRYDGNDEGELSFDYRSNKQEPSNAYSLRNSMELDHADAPSTQTSGMEDIELDFKIQDHVLNRQPRVSSHSAPIGPINAEKMDISDRNREAQTSARKFHTYVLPTPADAKSLPGRTSGSFFPSSVTNLTASTNNLWRSDNYDKVKDDNSLSVRAASKAQSSSNSNNASIRLPSPLEGTSSVQQLETHNTFDVKGAKRLSFSGPISGKPLSNKPSLSASGPIGSAGRSQPESGSAYGAPRFQPPSSIDISRSASPPLEASPKISELHELPRPPGSLASKPASRYSNPLAVSNPGSSLPSRHLTVSRSFSIPSSDHRTMALNESKLADSPIMKDNAVVSSPPLSPISVSSISTLSVVGTSSGQIRVDAGGR >itb06g06340.t6 pep chromosome:ASM357664v1:6:9003065:9006055:1 gene:itb06g06340 transcript:itb06g06340.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MISNRGKSGKGENFTPQQLQTTREEHDEMARLCIFRVESLKQGRSHSLLIQATRHHAAQLNLFRKGLKTLEAVDPQIRMVADKQHIDFQISEIDDTDDDGSKRYDGNDEGELSFDYRSNKQEPSNAYSLRNSMELDHADAPSTQTSGMEDIELDFKIQDHVLNRQPRVSSHSAPIGPINAEKMDISDRNREAQTSARKFHTYVLPTPADAKSLPGRTSGSFFPSSVTNLTASTNNLWRSDNYDKVKDDNSLSVRAASKAQSSSNSNNASIRLPSPLEGTSSVQQLETHNTFDVKGAKRLSFSGPISGKPLSNKPSLSASGPIGSAGRSQPESGSAYGAPRFQPPSSIDISRSASPPLEASPKISELHELPRPPGSLASKPASRYSNPLAVSNPGSSLPSRHLTVSRSFSIPSSDHRTMALNESKLADSPIMKDNAVVSSPPLSPISVSSISTLSVVGTSSGQIRVDAGGR >itb06g06340.t2 pep chromosome:ASM357664v1:6:9000963:9006055:1 gene:itb06g06340 transcript:itb06g06340.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLKKLALHKSDAKDKKDHHSSLALSDGLAQASQDMKDMRNCYDSLLSAAAAAANSAYEFSESLMEMGNCLLEKITLNGDGESGKAFSALGRVQLELQKLVDIYRTHVIVTITNPSESLLNELRKVEEMKLQCDEKREVYEYMISNRGKSGKGENFTPQQLQTTREEHDEMARLCIFRVESLKQGRSHSLLIQATRHHAAQVSLCLHKFKPLPPIFIASLVMLFSLSPLEQLNLFRKGLKTLEAVDPQIRMVADKQHIDFQISEIDDTDDDGSKRYDGNDEGELSFDYRSNKQEPSNAYSLRNSMELDHADAPSTQTSGMEDIELDFKIQDHVLNRQPRVSSHSAPIGPINAEKMDISDRNREAQTSARKFHTYVLPTPADAKSLPGRTSGSFFPSSVTNLTASTNNLWRSDNYDKVKDDNSLSVRAASKAQSSSNSNNASIRLPSPLEGTSSVQQLETHNTFDVKGAKRLSFSGPISGKPLSNKPSLSASGPIGSAGRSQPESGSAYGAPRFQPPSSIDISRSASPPLEASPKISELHELPRPPGSLASKPASRYSNPLAVSNPGSSLPSRHLTVSRSFSIPSSDHRTMALNESKLADSPIMKDNAVVSSPPLSPISVSSISTLSVVGTSSGQIRVDAGGR >itb03g14290.t1 pep chromosome:ASM357664v1:3:14072812:14074116:-1 gene:itb03g14290 transcript:itb03g14290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKESLCSSSDSLDVLVDQLPNVKLGGKIDIYQLEGFWFDRHLLDAAVSFKSQFEARDDDIILASFTKTGTTWLKAICTSIMHRQRSKDILEEGSPHIHVPTIESLVFSGNPCSIIAGMNSPRLLHTHFPYSFFRDAAKNSKCKVVYVTRNPKDTFVAWWYFANTISQHNHFPIDLAFECFCSGVFHYGPYFEHVLQYWNESLKTPHKVLFMKYEDLTTDPKGQVEKLAAFLDQPLTEQEVADIVWRCSIDRLKSLEVNSKGSIPWTGVPNNSFFRRGVVGDSKNHLTPEMEERLNQLTAQKFEGSSLNFDA >itb09g06790.t1 pep chromosome:ASM357664v1:9:3894136:3898448:-1 gene:itb09g06790 transcript:itb09g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMQFIGLFCISMLFILYRTTIYQYQQAEMESKSDPLYPAEDSCVSGRCSSSLPRGIIEARSDLELKPLKAMSISKSKVNVTGYHNLLAMPVGIKQKDNVDEIIQKFLSENFTIILFHYDGNVDGWWDLAWSKEAIHIVAHSQTKWWFAKRFLHPDVVSIYDYIFLWDEDLGVENFHPARYLEIVKSEGLEISQPALDPNSTGIHHKITVRSRTNKFHRRVYKDKGSTKCSNESEGPPCSGFVEGMAPVFSRSAWRCVWHLIQNDLVHGWGMDMKLGYCAQLLQGDRTTNVGIVDSEYLVHLGIQSLGDSSLKKASSPQELPKGRAVDLRVEIRRQSTYELQIFKERWEEAVKEDKNWVDPYKASQRYKKTWNYW >itb09g06790.t2 pep chromosome:ASM357664v1:9:3894136:3898448:-1 gene:itb09g06790 transcript:itb09g06790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMQFIGLFCISMLFILYRTTIYQYQQAEMESKSDPLYPAEDSCVSGRCSSSLPRGIIEARSDLELKPLKAMSISKSKVNVTGYHNLLAMPVGIKQKDNVDEIIQKFLSENFTIILFHYDGNVDGWWDLAWSKEAIHIVAHSQTKWWFAKRFLHPDVVSIYDYIFLWDEDLGVENFHPARYLEIVKSEGLEISQPALDPNSTGIHHKITVRSRTNKFHRRVYKDKGSTKCSNESEGPPCSGFVEGMAPVFSRSAWRCVWHLIQNDLVHGWGMDMKLGYCAQGDRTTNVGIVDSEYLVHLGIQSLGDSSLKKASSPQELPKGRAVDLRVEIRRQSTYELQIFKERWEEAVKEDKNWVDPYKASQRYKKTWNYW >itb03g17080.t1 pep chromosome:ASM357664v1:3:15809003:15811876:-1 gene:itb03g17080 transcript:itb03g17080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWSRYAHSPAHLAVARQDYAALKQIVLMLPKLSKAGEVKTEAESLAAETDADAVSAMIDRRDVPGRETPLHLAVRLKDPISAEILMSAGADWSLQNEHGWSALQEAVCTREENIAMIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNTSLPPGSLIVLAHKEKEITNALEGAGVQPTESEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGSWKAKVYDMLHVMVSVKSRRVPGAMTDEELFSVNDDDKIGNGAEQSEYDDVLTAEERMQLDSALRGNPDGLVEDEEPESQDCHETYDDASFESCESNGVAKEKKSWFGWNRKSSKNASDDPEDSKIVRKFSKLAPEEGKPRPNDSHRSSSDVGKDDTGEVRKGKDKSGKKKKKKGITSESKNESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPTGTFPVKLAIPIVPTIRVLVTFTKFEELQPVEEFSTPMSSPAHFQDAKSKESESSNSWISWMRGSRGAQSSDSESRSFREEIDPFHIPSDYSWVDANEKKRRMKAKKAKSKKHKKQSAARHPEGGRQVSEDTEE >itb09g28490.t1 pep chromosome:ASM357664v1:9:29062124:29063637:1 gene:itb09g28490 transcript:itb09g28490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPAYLDPSNWQQQQLNHHLQGGSSGIPTPHLAAAAAPPPPQPVVGGGGGSIRPGSMAERARLANMPTPEVALRCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNNKRSGKGGGGGASSSSSKSTSSTTTASNDRQQSNNSGTVSAVSGPTTAHNLLGLSPQIPQLPFMSSSPIPQLSDHHYAAGISPAADNLLGGGGDNLLGGGGGVASLLSPGGGIIEPWRLQQPPQFPFLDPMYNFHGGFESPTGFIGGAPFRQRISSAMLAQLAAVKMEDTNNNHTINNQESSLPRQILGINNNIINPGGNEHWNGDNNGGGGAWSDISASFSSSSTSNTHL >itb02g12110.t1 pep chromosome:ASM357664v1:2:8220019:8222733:-1 gene:itb02g12110 transcript:itb02g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSESKGEEREMEIRSDSVTTEEEPITSMPTRSRGGWKAIMYILANESFEKLASMSLIANMTVYLRTKYNLEGVFLVNVVTIWNGTSNISTLGGAFVSDAYLGRFLTLFFGSLCSLMGMGTVTLTAGLSSLRPPACHNQSENCQQPYGWQLAILYTGLALLSLGSGGIRPCNIAFGADQFDTDTPKGRAQLESFINWWYFSFTIALLIVLTGVIYIQTEMSWTIGFAIPTFCLVLSLTIFLLGRHAYVYKKPQGSVFVDLAKVVVASIRKRHVELGEGSFYDPPAEKPKLPKTERFMFLNKAAVIVDEDELDEEGVPRNTWRLCSVNQVEQLKCLFGILPVWISGLGCFVVMDQQGSFGNLQAIQMNRAIGSHFQVPPAWMGITSMIALTLWILVYERLYIVSFRKILNRDVRMTPGQKISAGIVTSILCMVAAGVVEEKRRSSALKHHTFESPLTIALLLPQFVLSGMTEALAAVAIMEFFTTQIPEALRSLGGSVFFLSLSFSSYLSSLIVNVIHAITVKTGPTPWLGGHDLNKNRLEYYYYIIAAIAVLNFLYYTLFASKFVTCKMDDATEAGAMEDSSWRLTRNLEQRDEETGSHRASA >itb13g24140.t1 pep chromosome:ASM357664v1:13:29877798:29879749:-1 gene:itb13g24140 transcript:itb13g24140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAHESDLNLKATELRLGLPGTEECEKEMVSSAKNKNKRALPESACDEEDCESKANSDAKASPVAKAQIVGWPPVRSYRKNSLQVKKAEASESGMYVKVSMDGAPYLRKIDLKVYNGYPELLKALETMFKLSIGQYSEREGYKGSEFAPAYEDKDGDLMLVGDVPWEMFLSSCKRLRIMKGSETRGLGCGN >itb13g24140.t2 pep chromosome:ASM357664v1:13:29877798:29879749:-1 gene:itb13g24140 transcript:itb13g24140.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAHESDLNLKATELRLGLPGTEECEKEMVSSAKNKNKRALPESACDEEDCESKANSDAKASPVAKAQIVGWPPVRSYRKNSLQVKKAEASESGMYVKVSMDGAPYLRKIDLKVYNGYPELLKALETMFKLSIGQYSEREGYKGSEFAPAYEDKDGDLMLVGDVPWE >itb07g23520.t1 pep chromosome:ASM357664v1:7:27894060:27900978:-1 gene:itb07g23520 transcript:itb07g23520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADWKATLLSLDGLSLKVVEIPPYEDDVRCLEGVKAALSDPLNKLGAWTFSNDSAASICKFDGVSCWNQKENRLISIQLPAMSLSGSLPASLQFCRSLQSLDLSGNSLSGSIPSQICAWLPYLVSLDLSGNSFSGAIPPDLVNCKFLNTLVLNNNQLSGEIPYEIGRLERLKRFAVSNNDLSGTIPADLARFSKDDFEGNYGLCGGPLDSKCSRLNKRNLSVIVAAGVLGAVGSLILALGIWWWFLTGSSKKKNNVG >itb02g04460.t1 pep chromosome:ASM357664v1:2:2659383:2660528:-1 gene:itb02g04460 transcript:itb02g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSDFDLTCTLVDSSAILAELAILTAPKSDQIRTENQIARMTSSDLRNSWEVLSKKYTDGYEQCIENMLATEKAEKFNYEGLQEVLEQLSDFEKEANLRVIESGVLKGLNLEDIKRAGGHLILQNGCMNFFQTVIKKESLNADVHVLSYCWCGDLIRSAFSSGGLNDELKVHANELEFEESFCTGEIVKKVESPIDKHQAFGKIIVERCASDKKIPLTVYIGDSVGDLLCLLEADVGIVVGSSSSLRRVGTHFGVRFIPFFRGVVDKQKESDEVKGADSSSPWKRLSGILYTVSSWAEIHAFVIGS >itb14g11690.t1 pep chromosome:ASM357664v1:14:13373544:13374507:1 gene:itb14g11690 transcript:itb14g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLENPILLVISILLLSLSFPATGEDHVFGKPVDRKSLGLKKEKASHFRFFWHDILSGNNPTSIRVIQPPNRNSSFLFGLTNMIDNPLTIGPELGSKCVGRAQGMYASAAQQELGFLMVMNLAFLEGKYNGSTLTVVGRNPAMEKVREMSVVGGSGLFRFARGYVQAKTHWIDFNTGDATVQYDAYVLHY >itb09g03160.t1 pep chromosome:ASM357664v1:9:1777606:1780333:1 gene:itb09g03160 transcript:itb09g03160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSSSAVTSVFVVGKYPTQLLTVEPDKAASNAPPKPLLIATPTETGDFPVLMLFHGYMFLNSFYSQLIQHIASHGFIVVAPQLYLLVGCHATEEIKSAAEVTNWLSEGLSSFLPSQVHPDLEKLGLAGHSRGGKVAFALALGKTATTDLKFSAIIGVDPVGGTSPNILDTTPDHFDLNMPAMVIGSGLGEVKRNFLSCPCAPKGRNHAAFYNKCNAPACYLVAKDYGHTDMLDDDTRGSLRGKLSYCMCKNGAARAPMRAFVGGAVVAFLRGFLESDMKALMGIIDQTETAPVELQAVDSKDLNLADKPINHV >itb04g22500.t1 pep chromosome:ASM357664v1:4:27701460:27702973:-1 gene:itb04g22500 transcript:itb04g22500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEGRRYWCYHCCEVVNPMIESEGGVEWQCPICGNGFVEEMESATAPQNPFDSDPALALWAPILLGMMSSNARHRFRRLELEGNNSESNGGNERLRRLELEDNNNSESNGEGEDRELESLIRRRRSIARLVQLLQSIRGGLLSANSSVVDRSERGRRFGGEPMIVINPLNQTIIVQGSSDSNPPPPTPPLPGNQAIGALGDYFIGPSLEMLLQHLAENDPNRYGTPPAQKGTVEALPMVKVEDDASQCSVCLEDFEIEAEAKEMPCKHRFHSDCILPWLQLHNSCPVCRYQLPPDQPNNAEEAPQGSRNQNGQHFSIAIPWPFSSSTPPASQSSNGYGYSLPPNTSSSTNASQTTHTHDN >itb05g14830.t1 pep chromosome:ASM357664v1:5:22128921:22129694:-1 gene:itb05g14830 transcript:itb05g14830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITTDESSLLALKSSISMNSHPIIMANWSNASSVCEWKGVTCGRRNLRVVALDISQMGLSGTIPPQFGNLSFLVSFNASGNNFIGNLPDDLTHLRRLRVFDVSSNNLTGEIPSRIGFLSNLLILNLDHNLFTGSIPLSFLNLSRLETMDLSVNKLNGSITSTIFNVSTLESLRVIENHFSGTIPLDLCLRLINLIRLDVTSNVLSGEVPKSLSMCSKVRYLGLSYNGFVGTIPPELGNLTSLKILRLGGNNLEGTT >itb15g12020.t2 pep chromosome:ASM357664v1:15:9885065:9891446:1 gene:itb15g12020 transcript:itb15g12020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLSLAVMFSCYGVVSCLRFSSINNRDDAFLLLPPSPSNGNGNQRISTTPTTMVLPLYPPKDSSPQASDAKTHSRRSLLNSLPNARMGLHDDLLLNGYYTTRLWIGTPPQIFALIVDTGSTVTYVPCSTCEQCGHHQDPKFQPELSSTYNPVKCNIDCTCDNDNEQCIYERQYAEMSSSSGVLGEDIISFGNQSELKPQRATFGCENLETGDLYSQHADGIMGLGRGDLSIVDQLVEKKVITDSFSLCYGGMDIGGGAMVLGGVSPPADMVFTRSNPTRSPYYNIELKEMHVAGKKLPINPRVFDGKHGTVLDSGTTYAYLPEEAFKAFKHAVMHEIRSLKKIDGPDPNYNDICFSGAGSDISKLSKAFPSVDMVFSNGQKLSLSPENYLFRHSKVHGAYCFGIFQNGKDPTTLLGGIVVRNTLVTYDREHEKIGFWKTNCSELWDRLGASNAPSPSPSESDNKNSTVNVAPAPTEHPTEPVRNITSEDIKVGHLTVYMSLSMNYSDLKPQISELTKSIALELHVNISQVHLVNFSSTANDSLIKLTIFPEKPARYMQNATAMVSFPSLIVCRLFLKMRGVCIFLVFINRKWFHAWLNIMCTFLIILEAMNWWDGELSPLQNEHGGRKITWL >itb15g12020.t1 pep chromosome:ASM357664v1:15:9885065:9891446:1 gene:itb15g12020 transcript:itb15g12020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLSLAVMFSCYGVVSCLRFSSINNRDDAFLLLPPSPSNGNGNQRISTTPTTMVLPLYPPKDSSPQASDAKTHSRRSLLNSLPNARMGLHDDLLLNGYYTTRLWIGTPPQIFALIVDTGSTVTYVPCSTCEQCGHHQDPKFQPELSSTYNPVKCNIDCTCDNDNEQCIYERQYAEMSSSSGVLGEDIISFGNQSELKPQRATFGCENLETGDLYSQHADGIMGLGRGDLSIVDQLVEKKVITDSFSLCYGGMDIGGGAMVLGGVSPPADMVFTRSNPTRSPYYNIELKEMHVAGKKLPINPRVFDGKHGTVLDSGTTYAYLPEEAFKAFKHAVMHEIRSLKKIDGPDPNYNDICFSGAGSDISKLSKAFPSVDMVFSNGQKLSLSPENYLFRHSKVHGAYCFGIFQNGKDPTTLLGGIVVRNTLVTYDREHEKIGFWKTNCSELWDRLGASNAPSPSPSESDNKNSTVNVAPAPTEHPTEPVRNITSEDIKVGHLTVYMSLSMNYSDLKPQISELTKSIALELHVNISQVHLVNFSSTANDSLIKLTIFPEKPARYMQNATAMEMVSRLAEHHVHLPDNFGSYELVGWRIEPPPKRTWWQENNLAIVAALVAVVILGLSASLGWFIWKRRQQSPLSYKPVDTAIPEQELQPL >itb03g07410.t3 pep chromosome:ASM357664v1:3:5427236:5429572:-1 gene:itb03g07410 transcript:itb03g07410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEPPNGIWAPGKHYYRMWQTVFEVDTKYIPIKPIGRGAYGVVCSSVNRESNEKVAIKKINDVFSNRIDALRTLRELQLLRHIRHENVIALKDVMMPVHRRSFKDVYLVYELMDTDLHHIIKSSQTLSNDHCKYFLFQLLQGLNYLHSANILHRDLKPGNLLVNANCELKICDFGLARTTRDNGQFMTEYVVTRWYRAPELLLSSDNYGTSIDVWSVGCIFGEILGRKPLFQGSECLNQLKLIINVLGTQPEADLRFIDNSKAKKFIRSLPFSRGIHFSSLFPQADPLALDLLQQMLVFDPSKRITVAEALHHPYLSDLYDPLNSPPACFPLNLDIDESIGEPVIRELMLREMLYYHPEAAYANAFY >itb03g07410.t2 pep chromosome:ASM357664v1:3:5427235:5429830:-1 gene:itb03g07410 transcript:itb03g07410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSGMATAAEPPNGIWAPGKHYYRMWQTVFEVDTKYIPIKPIGRGAYGVVCSSVNRESNEKVAIKKINDVFSNRIDALRTLRELQLLRHIRHENVIALKDVMMPVHRRSFKDVYLVYELMDTDLHHIIKSSQTLSNDHCKYFLFQLLQGLNYLHSANILHRDLKPGNLLVNANCELKICDFGLARTTRDNGQFMTEYVVTRWYRAPELLLSSDNYGTSIDVWSVGCIFGEILGRKPLFQGSECLNQLKLIINVLGTQPEADLRFIDNSKAKKFIRSLPFSRGIHFSSLFPQADPLALDLLQQMLVFDPSKRITVAEALHHPYLSDLYDPLNSPPACFPLNLDIDESIGEPVIRELMLREMLYYHPEAAYANAFY >itb03g07410.t1 pep chromosome:ASM357664v1:3:5427235:5429830:-1 gene:itb03g07410 transcript:itb03g07410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEPPNGIWAPGKHYYRMWQTVFEVDTKYIPIKPIGRGAYGVVCSSVNRESNEKVAIKKINDVFSNRIDALRTLRELQLLRHIRHENVIALKDVMMPVHRRSFKDVYLVYELMDTDLHHIIKSSQTLSNDHCKYFLFQLLQGLNYLHSANILHRDLKPGNLLVNANCELKICDFGLARTTRDNGQFMTEYVVTRWYRAPELLLSSDNYGTSIDVWSVGCIFGEILGRKPLFQGSECLNQLKLIINVLGTQPEADLRFIDNSKAKKFIRSLPFSRGIHFSSLFPQADPLALDLLQQMLVFDPSKRITVAEALHHPYLSDLYDPLNSPPACFPLNLDIDESIGEPVIRELMLREMLYYHPEAAYANAFY >itb05g00850.t1 pep chromosome:ASM357664v1:5:724732:728731:1 gene:itb05g00850 transcript:itb05g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAPDASSTDGEAYAIASGRTSLVDDEIAWTVNFHSHYFFFFIAFRYLHFVQEGSMDNHSAKEHGLKKVSSHCSISEMDDYDLSKLFDRPRLNIERGHPVGTIAGNDHAGEEVLNNDQVFVRDFVPSALAFLMNGETDILKNFLLKTLLLQGWEIKVDRFKLGEGVMPASFKVLHDPFRKTDTIIADFGETTIGRVAPVDSGFWWIILLRAYTKSTGDLSLSESPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYHIEIQALFFMALRCALAMLKLDAEGEEFIERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSSARMDFRWFALGNCVAILSSLATPEQASAIMDLIESRWEELIARRAIDLAESRLVKDSRLVKESHEKSGRYIGKQARKQQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVLKRSSSWTC >itb04g29300.t1 pep chromosome:ASM357664v1:4:32729892:32732385:1 gene:itb04g29300 transcript:itb04g29300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLLTGLSIENYHPSTVLSMDSIATSHEESERDMTRHIVLSCPPDINLPLSVEPSPPPPSWNHEAFDMLEVGLGPQINDSDKLLDIPKVGRKCAKRLDCVWGAWFFFSFYFKPVLKEKSKSNIVRDSNGVSGFDKSDLQLDAFLVQHDMENMYMWVFKERPENALGKMQLRNYMNGHSRQGERPFPFSTDKGFVRSHKMQRKHYKGISNPQCLHGIELVPSPNLSCIDEEERKKWMELTGRDLNFCVAPEARDFSSWRNLPNTDFELERPIPAPKNNAHPPSKKLLSGSGMNLSTQSSNHVNSNGLDLSSGCGKKRKDLFSHGSDEECYLPAIPSSERPQDADVHSIERPWMSEFSGVMRNVYGPVTAAKTIYEDENGYLIIITLPFADPGRVKVHWWNNLTHGVVKISSVSTACMPFIQRNDRMFKLTDPSPEHCPPGEFKREIPLPTRIPDDAKLEAYFDKSGTVLEIKVPKHRVGPEEHEVPVCLRPPNEFVLT >itb04g02770.t1 pep chromosome:ASM357664v1:4:1702783:1705341:-1 gene:itb04g02770 transcript:itb04g02770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFQPIEKPLVLLGCAYLSWKMIRHIWGVLKTFEKEPVTVLVTGAAGQIGYALVPMIARGAMLGGDQPVIIHMLDVASESLNAVKMELIDSAFPLLRGVVATTDVSEACQGVNIAIMAGGIPRREGMERKDVMSKNVSIYKSQASALQQHAAPDCKVLVVANPANTNALILREFAPAISEKNITCLTRLDHNRALAQISEKLDIHVSEVKNVIIWGNHSSTQYPDASHATVKIERGEKRVAELFADDDKWLQTEFITTVQQRGAEIIKVRKQSSALSAASAVCDHIRDWVLGTPKGTWVSMGVYSDGSYGIPPGLMYSFPVTCKNGEWSIVEGLKIDAFSREKMDASARELIEEKVLANSCLY >itb07g23720.t2 pep chromosome:ASM357664v1:7:28092869:28096497:1 gene:itb07g23720 transcript:itb07g23720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQASSIFTAVMVVLPAKIPWRSRPILRTPSLIVRTSKSITAARRFISTPLGAAPPRKYVYPDPIPEFAAAETEKFKSELSNRLWKENETFSSRNELDAVVSVCAEIFSKFLHDEYGGPGTLLVEPFTDMFVALKGRKLPGASLAARASLLWAQKYVDQDWEIWNSKHPT >itb07g23720.t1 pep chromosome:ASM357664v1:7:28092869:28096497:1 gene:itb07g23720 transcript:itb07g23720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQASSIFTAVMVVLPAKIPWRSRPILRTPSLIVRTSKSITAARRFISTPLGAAPPRKYVYPDPIPEFAAAETEKFKSELSNRLWKENETFSSRNELDAVVSVCAEIFSKFLHDEYGGPGTLLVEPFTDMFVALKGRKLPGASLAARASLLWAQKYVDQDWEIWNSKHPT >itb11g22580.t1 pep chromosome:ASM357664v1:11:24539807:24544847:-1 gene:itb11g22580 transcript:itb11g22580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVDFKWYDGFFLSMLATSIIIVAINWKRYHSCVYPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDFGWQQRHARFCGRIVVLSILALLLYPFLWVWTIIGTLWFTSARNCLPEEGQKWGFLIWLLFSYCGLVCIACLSTGKFLARRQAHLLRDQQGPSFSEFGVLVDMIRVPEWAFDVGQEMRGMGQDAAAYHPGLYLTAAQREAVEALIQELPKFRMKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNIHSDSERSSSNSVTANSYVRTQPSSQSYMLRLHGFLRPVRTENAGPGSVSEAETDTASPTVENRGGIGVTATSESHENGESVEVVVEHASPRQ >itb08g17600.t1 pep chromosome:ASM357664v1:8:20264748:20270063:1 gene:itb08g17600 transcript:itb08g17600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVTSEFPPQQVMGWNFGSHDGHGMIFFTVILIYEYSGWGWGCGGRCWSVSRYSSFSHLCGLRLGLNGGRVCRSVLQTAIENFCGSVTADCVVVRPLVVARNKGRGKRLKGAREIAMTMKKRGDGHVLHVDWPTAMTAVTSERGNSTDTVIAYSTIEKWSQIWFLIRGIIPNLRGVDYPVTTDKAARNQLRVGGPGRRQQWLRMRPMAWEAAVVRVAGGSPCDVQQTRKRRTLSNTQKRPITLEP >itb06g20870.t1 pep chromosome:ASM357664v1:6:23665331:23668263:1 gene:itb06g20870 transcript:itb06g20870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSDFAQKLLHDLRGRKEHMATGKTHSGNQPDANAETGRFSRGSSQQIKAIESDGSEIGNSQRSSISNRSFHMQESSGEVAKMNCSGNNPMLSFLQRLTSFQKHYHPSNDHQVGKELLKGAMDLEESLRVLVNLQEASECMMSPERKNQMMLLEDDEEDTAAKVANHKHSRDLPRFSFDVETEMKQQETDNRNEKQPSQTSDLVSHRRSSSCTDFTAQSTKLELKNQTKGRLSSVIAKLMGLDELPQSADTKALRRHSTSKRKEGPVLKKIANADSVNEKNMQSKNAKPTQETVQIENETSDRLLLNNQQKPKDIIEVAGDNNQANRKEQHLSKQKPQVNKHKGRQAEATIPQTVRNKSPSLLEKRFPVNQTSHGKTNGTKSTTGMPQKSLPNSEAPAKDGPAVTMGSSGNRNMNQIGLPKKLSSRTREGIGTYTLARKKPIKVPSTEKKENYFQIHRKREFRKIDGSSRKGTPPHMERSPGKQTAIFKATDQLRRDMAKHTGIEGKLSQDKPKEAKQNTTMIHKSEVSSKPLSSAQELPAETKDSIYIAGENDCQNLEYQKILPNHSSTGDYYTNNKNCQVFQDEQGRFVPTKLPENKNGLTEPEKHLKELLVTSQQFLNTIEAFFKLNIPTSTFQTNDPNSKSSEFKLKLHCSYEVVKRKARRRRLLNHPYAKTSMGCIKVRSLDDLVKQLCKGFDVLNSYWSKGKENCHTAADYLHTMVEKDIQNINPDLNSMWDFGWDTMMSTSQEKDEIIKDVERHLLNGLLDEITLDLLQITVSA >itb07g15680.t1 pep chromosome:ASM357664v1:7:18695314:18696042:-1 gene:itb07g15680 transcript:itb07g15680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSYARMTYDVFLSFRGETRGSFSDHLYANLRRVGVNTFRDDESIRRGENISVELSRAIEESRISIIVFSKDYAGSRWCLDELVKIMECKQKLNQIIFPIFYNVDPSEVRKQTRTFGDALTLHIQQFGDQKVNEWKDVLTAAANLSGWDLQTMSNG >itb06g12040.t1 pep chromosome:ASM357664v1:6:16569210:16571281:-1 gene:itb06g12040 transcript:itb06g12040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRASGGYSSISNGVVCPKPRRVNESIRPFCFLEFSGQMKACDSDAGTEILDIILARETSGAGKLNIREGASSPPFFFGSPPSRASNPLIQDAHFSKENFVPPLATLTSPSPPLPSSSPSSARKNGGGCGMKFGHKPAPVRIEGFNCNGNCRVSAVA >itb03g27800.t1 pep chromosome:ASM357664v1:3:28028936:28029226:1 gene:itb03g27800 transcript:itb03g27800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPKYMFVLPQPSSGPLSLPFARHPFSCSRSKSTISALLLASLYTTNHTHGLPITLTPKKKNFTTCRGLGFTASFRCRCRRDYRLGPRQTGIPGE >itb03g22640.t1 pep chromosome:ASM357664v1:3:20685976:20688729:1 gene:itb03g22640 transcript:itb03g22640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGLVSLIRTLELQFLEQRPFLVVDYNDEIQCLHQRLSVLVALLEESEKELNVSQAQKDVIARAKDISLRAEDEIESEVIEILSNGASHETLHQILQRLAQDTEGLIEQRNTTQMLPSYNPGQSSQEHIIPGRASKPQQDDAIMVGHAQELKRMKEMLLQLSTQERQVISIVGMGGIGKTTMAKNIYDDPSIRSRFDVLGWVTVSQHYDLQRILSDLCCSVVQMTDEEISKVKSSVELADKLRRRLMGRRYLLVVDDIWGNRGWDDLQRCFPDDSNGSRILLTTRLKKVADYIGCSGKYVSNLPFLNSNESLKLFSHKMGSIKLPPEVEEVGRSIVYKCGGLPLAITVAAGLLSQTKKSAENWQSIASEMNILMTSDLHDQCSTILTLSLNNLPYHLKACFLYFGVFSKVRTEIPTKDLLNLWIAEGFIREDSGRSLEEGAMGYLQDLIDRNLVLVSKLSFTGNIKTCRLHDLLFDFCLREVEKENVNNNFHKSRTLLFFFGDSGYFVRNDYVSWRQDVSFKMIRVLDLRTIYFGGAPTFDITDLILLRYLALSSIRCVRVLKHHCLQTLIVKFRNAWLREEIDCDEEIGSQWLQDLWKSQNLRFIEYPYPFPIDTDEIPVHDILHTLYWVSYFQCTKEFFLKIPNVKVLGIRCTEISTFQIGTWWDNLHYLTKLEKLVVEDLKSEPSKLGSINSFPQSLKKLKIRGSQLSWEVVTVISMLPNLESLKLVQAYKMGDEWETGDGGFPKLKFLFIDGANLKNWETMSDHFPVLQRLALHHCHDLEKIPEDFESITTLQLIELKDCYLSLVESAKDIQEAQSTYGNDQLVVRDYDTRAGRV >itb03g18040.t1 pep chromosome:ASM357664v1:3:16427006:16440560:-1 gene:itb03g18040 transcript:itb03g18040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDSKGKLESNSPHAGRSKSFSFRAPQEKFTIQDFELGKIYGVGSYSKVVRAMKKDTGNVYALKIMDKKFITKENKAAYVKLERIVLDQLDHPGIVRLYFTFQDACSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEAVEALEYIHTMGLIHRDLKPENLLLSADGHIKIADFGSVKPMQDSRITVLPNVASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTVYQMLAGTSPFKDSSEWLIFQRIVARDLRFPDYFSNDARDLVDRLLDMDPRRRPGAGPDGYSALKSHRFFEGIDWKNLRSRTPPKLAMEQRNHSHAADASARTNEGNDGASPSSDAASSITKLAAVDSFDSRWQEFLEPGEIVLMASVLKKMQKLTSKKVQLILTSKPRLIYVDPAKLVVKGNIIWSDNPNELSVQAASPSQLKICTPKKILLFEDSKQKANQWKKAIEALQHRRVDDADDAMMPPATSFPIYAHRPPTESFHHRLIDIVKIALIKLFVSPYATVCELYCGKVPDEEKWDEAQIGHYIGIDVATSGMNEKKEAWESQRKVYTTELIEIDPCTEDLKTHLQDKDNQADIVFCMHNLQLCFESEEKARKLLHNVSSLLKPGGYFLGITTDSSTIWAKYQKNVEAYHNKGSGMKPNIVPNCIRSESYTIAFEVEEEKFPFFGKKYQLKFANDISAETQCLVHFPSLIRLAREAGLDYIEIQNLTDFYDDYRPQFVGMLQDVNHNLIDPRGRLLPRAYDVLGLFTTFVFRKPDPDIAPPLMTPFIVRRNNDDEASEYNSTKHILQMIPMDSQGIVWRDDEKNGQVDSSQGLGKITEQKGILGPGPAELRFPEAL >itb05g00370.t1 pep chromosome:ASM357664v1:5:274894:277192:-1 gene:itb05g00370 transcript:itb05g00370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVNALKPLGCNWLPFSAQSSRWKKISVPSSRLKVQSSQMATNSSESSSKVIDSHLHVWASPQEAADKFPYFPGQEPSLRGHVDFLLECMKEADVDGALIVQPINHKFDHSYVTSVLKKYPSKFVGCCLANPAEDGSGVKQLEDLILKDGYRAVRFNPYLWPSGEKMTNGVGKAMFSKAGELGVPVGFMCMKGLDLHLSEIEELCTEFPSTVVLLDHVAFCKPPVNEKENQLFSAFLKLSRFPQVYVKFSALFRVSRNPYPYEDLSPVVSQVVSNYGANRVMWGSDFPFIVEECGYKEAKEAVYSLAQKAQLSSSDVELIMGKTVLKVFNGQWLS >itb02g24940.t1 pep chromosome:ASM357664v1:2:25674539:25675830:-1 gene:itb02g24940 transcript:itb02g24940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPANSRSPEENMKMKKNKESSKRKCRDGEDIRSVSASRLEATDGSIEPRRKSCDVRDRNTLALLIDINDKNNAPNANAKVESKNIRFSRLAHCDEELVEDAEIGEEIRVPVNTLQPNVEAANDDVSMEGELKTMKEYIDLEIQSKSQKPNDLKDIAVNFWEAASVFSKKLRKWRQKHTAKKPGSDDGKRVDHNSFSNPYLRH >itb06g23490.t1 pep chromosome:ASM357664v1:6:25434833:25436592:-1 gene:itb06g23490 transcript:itb06g23490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELVDIKFRLADGTDIGPDKYGPSSTVGSLKEKIISQWPKDKENGPKTINDVKLINAGKILENSKTLAESGLPVVEDPGCLVTMHVLVRPPIAGKKSDKLRHKDTSKPAGCSCSIL >itb06g06560.t1 pep chromosome:ASM357664v1:6:9194094:9194414:-1 gene:itb06g06560 transcript:itb06g06560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLNTIGPLALAMLAVWPIAVDAAYHAVPAPAVDCNSVILNLADCLTFFIDGSTEAKPLGSCCSGLKMVMKTNTECLCKGFKKIPQYQRIAAPCQDSRSAYISL >itb13g19770.t1 pep chromosome:ASM357664v1:13:26706271:26709544:-1 gene:itb13g19770 transcript:itb13g19770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESTQPPPPEVEAPPPAEEPPLASVEIPIPPPAVKEEHHAVVSVVEKEAPLASFSPPPELSAAAPAEEHVSVTVPPEKAAVQEESAAESEKSKAAEVKKAPESFGSFKEESNVVSDLSESERKAWEEFKTLVQESLITPKTAEQPSSEISNLPQEPSIWGIPLLKDDRSDVILLKFLRARDFKVKESFAMLNNTLRWRKEFNIDELVDEDLGDHLEKVVFMHGRDKEGHPVCYNVYGEFQNKELYNKTFADEEKRSRFLRWRIQFLEKSIRKLDFNPGGTNTIFQVSDLKNSPGPGKRELRIATKQALHLLQDNYPEFVAKQVFINVPWWYLAFYTMIGPFLTQRTKSKFVFASPAKTAETLFKYISPEQVPIQYGGLSVDYCECNPEFTVDHPATEIIVKPATKQTVEIIVNEKCIIVWELRVLGWEVTYSAEFVPNTEGSYTINIQKPRKMAPTDEPVVSSSFKISELGKILLTIDNPTSKKKKLLYRFKDIAYCD >itb06g10290.t1 pep chromosome:ASM357664v1:6:14641304:14642650:1 gene:itb06g10290 transcript:itb06g10290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQHVNGEDNSVIANSGMSFEGGWYVLGQDQQQIGPYTAAELREHYSSGYLVENTLVWTEGRSDWQPLSSIPGLLADIPQQSTGYPTGAAFVNDEDEFEKFQKEVKEAEAEINDDLDRPSTPPEGEEEFTDDDGTKYKWDRSLRAWVPQETASERNEYGLEDMIYETKEATCSSKRKLREKKEANKPADSWFELKVNTHVYVTGLPEDVTLDEIVEVFSKRGIIKEDIDTKKPRVKIYVDKETGKNKGDALVTYMKEPSVDLAIELLDGVPLRLGDKIPMSVTRAKFEQKGDKFISKQLQADKQKKRKLQKVEQKMLGWGGRDDAKVSIPATVLLRYMFTPTELRADENLRPELEADVKEECAKLGPVDSVKVCENHPQGVILVRFKDRKDARKCIEMMNGRWFAGRQVNASEDDGSVNHALVRDWEGEAERLEKFGAELETETDD >itb04g10020.t2 pep chromosome:ASM357664v1:4:9269789:9273747:1 gene:itb04g10020 transcript:itb04g10020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGFCKCLYYIFLILLATAELVEMGAGEELIPTTLDGPFKPVSRRFDPSLRQGSDDLPMEHPRLKRNVTSMFPEQIALALSTPTSMWVSWVTGEYQIGLNVTPLDPTKVGSEVLYGKASRKYTKKKTGVSMVYSQLYPFEGLWNYTSGIIHHVRIDGLEPQTKYYYKCGDSSLGEMSEELVFETLSLPAPNQYPRRIAVVGDLGLTSNSTTTIDHLIVNDPSMVLMVGDLSYANQYLTTGGKGASCYSCQFPDAPIRETYQPRWDGWGRFMEPLTSRVPMMVIEGNHEIEPQAEGVTFQSYLTRFYVPSKESGSTSNFYYSFNAGGVHFIMLGAYVDYNRTGAQYSWLLEDLKKLDRSITPWLVAAWHSPWYNSYSSHYQEFECMRLEMEEVLYQYGVDIIFSGHVHAYERMNRVYNYTLDPCGPVYIIVGDGGNIEKVDVDFADDPGKCPSPGDNKPEFGGVCHMNFSSGPAKGNFCWDTQPEWSAYRESSFGHGILEVINSTYALWTWHRNQDIYKKNAHGDQIYIVRQPESCSVSSKDTKLAPNVPPVARNGAAVNVMESLYLLFFLSVLVFIQHLRI >itb04g10020.t3 pep chromosome:ASM357664v1:4:9269789:9273747:1 gene:itb04g10020 transcript:itb04g10020.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGFCKCLYYIFLILLATAELVEMGAGEELIPTTLDGPFKPVSRRFDPSLRQGSDDLPMEHPRLKRNVTSMFPEQIALALSTPTSMWVSWVTGEYQIGLNVTPLDPTKVGSEVLYGKASRKYTKKKTGVSMVYSQLYPFEGLWNYTSGIIHHVRIDGLEPQTKYYYKCGDSSLGEMSEELVFETLSLPAPNQYPRRIAVVGDLGLTSNSTTTIDHLIVNDPSMVLMVGDLSYANQYLTTGGKGASCYSCQFPDAPIRETYQPRWDGWGRFMEPLTSRVPMMVIEGNHEIEPQAEGVTFQSYLTRFYVPSKESGSTSNFYYSFNAGGVHFIMLGAYVDYNRTGAQYSWLLEDLKKLDRSITPWLVAAWHSPWYNSYSSHYQEFECMRLEMEEVLYQYGVDIIFSGHVHAYERMNRVYNYTLDPCGPVYIIVGDGGNIEKVDVDFADDPGKCPSPGDNKPEFGGVCHMNFSSGPAKGNFCWDTQPEWSAYRESSFGHGILEVINSTYALWTWHRNQDIYKKNAHGDQIYIVRQPESCSVSSKVNLLLSFCVESWGVCN >itb04g10020.t1 pep chromosome:ASM357664v1:4:9269789:9273747:1 gene:itb04g10020 transcript:itb04g10020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGFCKCLYYIFLILLATAELVEMGAGEELIPTTLDGPFKPVSRRFDPSLRQGSDDLPMEHPRLKRNVTSMFPEQIALALSTPTSMWVSWVTGEYQIGLNVTPLDPTKVGSEVLYGKASRKYTKKKTGVSMVYSQLYPFEGLWNYTSGIIHHVRIDGLEPQTKYYYKCGDSSLGEMSEELVFETLSLPAPNQYPRRIAVVGDLGLTSNSTTTIDHLIVNDPSMVLMVGDLSYANQYLTTGGKGASCYSCQFPDAPIRETYQPRWDGWGRFMEPLTSRVPMMVIEGNHEIEPQAEGVTFQSYLTRFYVPSKESGSTSNFYYSFNAGGVHFIMLGAYVDYNRTGAQYSWLLEDLKKLDRSITPWLVAAWHSPWYNSYSSHYQEFECMRLEMEEVLYQYGVDIIFSGHVHAYERMNRVYNYTLDPCGPVYIIVGDGGNIEKVDVDFADDPGKCPSPGDNKPEFGGVCHMNFSSGPAKGNFCWDTQPEWSAYRESSFGHGILEVINSTYALWTWHRNQDIYKKNAHGDQIYIDTKLAPNVPPVARNGAAVNVMESLYLLFFLSVLVFIQHLRI >itb02g20370.t1 pep chromosome:ASM357664v1:2:18336588:18337124:1 gene:itb02g20370 transcript:itb02g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNFMTLAVLLLLLGEAQFSLATCDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPQLKDYLVNSAAAKKVYELCKVTMPKC >itb09g07900.t1 pep chromosome:ASM357664v1:9:4729529:4733719:-1 gene:itb09g07900 transcript:itb09g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVLIISAAFLFLLGGATAEAYNATSRHIIARRRAAAGGGCNLFQGRWVVDASYPLYQSSSCPFIDPEFDCIKYGRPDKQFLRYAWKPDSCNLPRFDGADLLRRWRGKKIMYVGDSLSLNMWESMVCMIHQSVPNAKTSYSRTGTLSYVTFQDYGVTIYLYHSTYLVDIVKENIGRVLKLDSIQQGDGWKGMDVLIFNTWHWWTHQGKSQPWDYVQDGSQVTKDMDRLVAFYKGLTTWGRWVDLNVDPSKTKVFFQGISPTHYMGRDWSSSTKNCNGEQLPLEGSTYPAGAPAAAVVVSKVLSRIQKPVYLLDITFLSQLRKEAHPSTYSGDHSGLDCSHWCLPGLPDTWNHLLYAAL >itb11g04790.t2 pep chromosome:ASM357664v1:11:2553118:2555581:-1 gene:itb11g04790 transcript:itb11g04790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGAAPPPPPPPEASKHLRAKKAIGKLKRSVRMVKWYQTLKRKLEGQMQQVKNAVGKKTRVQGTSGEKHGLDEAIAEMMKRSAYFQLIEEDVSNHHQEIIQLRSAIHSFQTKDMQKLLQFHKEVEEQLEKLIDETKVLTRFEDFPVKKLESLREASALYSKLKEIIDTLQNWKIESPLGEFLDKVESFFNKIKVEMEAVERNKDEQAKKFQGHNISFDFNILVRVKESMVDISSSCMELALKERREASEAKRRGSVKQLWRAFQLAFRIYSFAGGQDDRADRLTEELAQEITDAQT >itb11g04790.t1 pep chromosome:ASM357664v1:11:2553118:2555581:-1 gene:itb11g04790 transcript:itb11g04790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLRILPSPPSRKNSSKRPQVGKPSWDEDDDPVNSNAKSHPVSPPTPSLELQRGIPLRSLSINLPLLSPAKPLRLAYLGKSPSPSPPAKPAQAMAPGAGAAPPPPPPPEASKHLRAKKAIGKLKRSVRMVKWYQTLKRKLEGQMQQVKNAVGKKTRVQGTSGEKHGLDEAIAEMMKRSAYFQLIEEDVSNHHQEIIQLRSAIHSFQTKDMQKLLQFHKEVEEQLEKLIDETKVLTRFEDFPVKKLESLREASALYSKLKEIIDTLQNWKIESPLGEFLDKVESFFNKIKVEMEAVERNKDEQAKKFQGHNISFDFNILVRVKESMVDISSSCMELALKERREASEAKRRGSVKQLWRAFQLAFRIYSFAGGQDDRADRLTEELAQEITDAQT >itb12g11700.t1 pep chromosome:ASM357664v1:12:10068069:10069373:-1 gene:itb12g11700 transcript:itb12g11700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIPDGEVGSTLSDSKLTLFDKYEMGKILGCGASAKVYHARVIPTGQNVAVKAISKQRIVKGGLTGNVMREISIMRRLRHPHIVRLHEVLATRTKVYTVMEYAKGGELFGKVAKGRFSEDLSRKYFQQLISAVDYCHARGVYHRDLKPENLLLDEKWDLKVTDFGLGAVKDQVRPDRRLHTLCGTPAYVAPEILTRKGYDGAKVDLWSCGVILFVLNASYLPFRDSNLMAMYRKIYRGEFRVPKWTSPELKHLLTRILDANPLTRITIEGIKNDPWFKKGYKEVKTHSDSEPEFKPHPDFYGENNCFNAFDLISNSSGLNLSPMFDYPGGGSVVANRFLSAEPVERIGDTVEEIGRREGMRVTRKKGWVRVEGQNGDFTLVVETNRLTEKLVVVDVKRKQTMEESGQDVWIVKFKSRLSRLIYRPEEQVSGIS >itb08g04060.t1 pep chromosome:ASM357664v1:8:3239682:3242855:-1 gene:itb08g04060 transcript:itb08g04060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQRELAENANGKPPVKKQSAGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIKPDEGYYLGGTFVFSFHISPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNPDAAAVLRDNPKLFESNVRRAMAGGYVGQTFFPRCV >itb04g27040.t1 pep chromosome:ASM357664v1:4:31184640:31188301:1 gene:itb04g27040 transcript:itb04g27040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCLAPALLGQDVFSFYLAFEPVLGQGYVWKIHKAPESDKWELAMRSYQLWEILAKNIELEGMDPSEIFGWKKTGSLLIGRTSEESSILRSKVKQLSDAGIRAEFLTRNDLLSEEPALLIEKEGGAAYAPDDCQLDARRAVAFIEKGNRLFAAEGRYAEFYHEPATSLLRSANTGEVEAVQTSKTILHSKKAVILAAGCWSGSLMHDMIKHHNIEVDLPIKPRKGHLLVIENFKPFKLNHGLMEAGYVSHQSATLKSAPNSGSIYDAQSTSVSMTATMDTSGGLVLGSSRQLVGFSTEVDESIINRIWERAGEFFPLLRKESLIDLRQNREVRVGLRPYLPDGKPAIGPVPGFSNLFVAAGHEGEGLTLAPGTAEMIVDMVLGNPWKVDPAPFAVHGRFRS >itb15g19540.t1 pep chromosome:ASM357664v1:15:21894163:21896710:-1 gene:itb15g19540 transcript:itb15g19540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMQAYDESCNSTPMIRFQRNGSLSCPVEFLRRDGHLRRSFSYSKIPQRPLKLTVLKLDGSSFEIEVPRNGQVVELKKAVEAAFSHLPYKVSWPHVWGHFCLSHDYEKLLSESDPIGLYGIRDGDQLEFVRHVSISYLGKRTEREDDPDPDLYEPCFSSGFQDKHAKWLEHDNHYLGKLEYHRVDIYDDVEDEEKDSLNGSPRSNRKHKLLHILRKWFTHHRKLQRKGSMSRIFVDNSRNIRLLSQYRNGSRKIVEYNFDTRSCNVSIR >itb05g22350.t2 pep chromosome:ASM357664v1:5:27773573:27780958:-1 gene:itb05g22350 transcript:itb05g22350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQEDLWQQVFPVGTEWDQLDMVYQYKWNFSNLEEAFEEGGKLYGKKVYLFGCTEPQLVSFQGQAKVTCIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVERLKSQIFILGCTQRRAGLKHLKLERVKKFEYCLPYFYQPFKEDELEQSTIVQIIYPEEPKPVFCEFDWELDELDEFTDKLIEDEELSEDQKDAFKNFIKEKVREAKKANREAREARKKAIAEMSAEKKAAFENMRFYKFYPVTSPDAPDVSNVKSPFINRYYGKAHEIL >itb05g22350.t1 pep chromosome:ASM357664v1:5:27773541:27782063:-1 gene:itb05g22350 transcript:itb05g22350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAKRKASQKDKAPAEAKEKKTTRRKQQSKSAEEKENEPEPEPEPSQSAEEQSQSAAQEDTEEPSKSLAEGEPSKKPTRTRAKRARTSKQDTETEYFPEKRNLEDLWQQVFPVGTEWDQLDMVYQYKWNFSNLEEAFEEGGKLYGKKVYLFGCTEPQLVSFQGQAKVTCIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVERLKSQIFILGCTQRRAGLKHLKLERVKKFEYCLPYFYQPFKEDELEQSTIVQIIYPEEPKPVFCEFDWELDELDEFTDKLIEDEELSEDQKDAFKNFIKEKVREAKKANREAREARKKAIAEMSAEKKAAFENMRFYKFYPVTSPDAPDVSNVKSPFINRYYGKAHEIL >itb05g22350.t5 pep chromosome:ASM357664v1:5:27773541:27782063:-1 gene:itb05g22350 transcript:itb05g22350.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAKRKASQKDKAPAEAKEKKTTRRKQQSKSAEEKENEPEPEPEPSQSAEEQSQSAAQEDTEEPSKSLAEGEPSKKPTRTRAKRARTSKQDTETEYFPEKRNLEDLWQQVFPVGTEWDQLDMVYQYKWNFSNLEEAFEEGGKLYGKKVYLFGCTEPQLVSFQGQAKVTCIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVERLKSQIFILGCTQRRAGLKHLKLERVKKFEYCLPYFYQPFKEDELEQSTIVQIIYPEEPKPVFCEFDWELDELDEFTDKLIEDEELSEDQKDAFKNFIKEKVREAKKANREVFG >itb05g22350.t3 pep chromosome:ASM357664v1:5:27773541:27782063:-1 gene:itb05g22350 transcript:itb05g22350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAKRKASQKDKAPAEAKEKKTTRRKQQSKSAEEKENEPEPEPEPSQSAEEQSQSAAQEDTEEPSKSLAEGEPSKKPTRTRAKRARTSKQDTETEYFPEKRNLEDLWQQVFPVGTEWDQLDMVYQYKWNFSNLEEAFEEGGKLYGKKVYLFGCTEPQLVSFQGQAKVTCIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVERLKSQIFILGCTQRRAGLKHLKLERVKKFEYCLPYFYQPFKEDELEQSTIVQIIYPEEPKPVFCEFDWELDELDEFTDKLIEDEELSEDQKDAFKNFIKEKVREAKKANREAREARKKAIAEMSAEKKAAFENMRFYKFYPVTSPDAPDVSNVKVRIMIYIFFLVGVISLCAFMAQHKLGSLKHSCSGCKSQIGIVNT >itb05g22350.t4 pep chromosome:ASM357664v1:5:27775481:27782063:-1 gene:itb05g22350 transcript:itb05g22350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGAKRKASQKDKAPAEAKEKKTTRRKQQSKSAEEKENEPEPEPEPSQSAEEQSQSAAQEDTEEPSKSLAEGEPSKKPTRTRAKRARTSKQDTETEYFPEKRNLEDLWQQVFPVGTEWDQLDMVYQYKWNFSNLEEAFEEGGKLYGKKVYLFGCTEPQLVSFQGQAKVTCIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVERLKSQIFILGCTQRRAGLKHLKLERVKKFEYCLPYFYQPFKEDELEQSTIVQIIYPEEPKPVFCEFDWELDELDVSGLITVPSLLPLSVIGSVN >itb10g14480.t1 pep chromosome:ASM357664v1:10:20864188:20869365:-1 gene:itb10g14480 transcript:itb10g14480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLATELVKCLSESDCVKVQNRPFRECAKEKSPSISSECVGLRETYFNCKRGQVDMRARIRGNKGY >itb07g21830.t5 pep chromosome:ASM357664v1:7:26363371:26369368:1 gene:itb07g21830 transcript:itb07g21830.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLSHDESLQLLSWHAFGVPIPLEEYIELSKRIASYTDGLPLALTIIGSHLRGKSVQEWSDDAEKLKGIPNDDVLKILKISYDSLDDDTQNIFLDIACFFIGQNKNDTSMILEACGFYAKSGIRILIERCLLTTYGGGEFETLQMHDLVRDLGREIVRKESSREPGKQSRLIDPKDVFDVLPGNKGTKAIEGMIVNSNMLKIVPLNTQIFKKMVKLRILILNGTCLSGSFKYLSNELRLLRLHYCHLSCIPSNFRCEKLVELDMKGSNIKEFQCNMQHFRCLRILKLDNCIQLKKTPNFTGAHTLQKVSFRWCSKLVKVHPSIGSLERLVELEMQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb07g21830.t1 pep chromosome:ASM357664v1:7:26361857:26369368:1 gene:itb07g21830 transcript:itb07g21830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSIPLELNLISQASDGYNGLVRLQEKLLCKTLNGKKLEIDNVDEGISLIKERLRSKSVLIVLDDIDDTRQLESLAGQRNWFGSGSTIIITTRDVQLLSDLEAHEKYMVETLSHDESLQLLSWHAFGVPIPLEEYIELSKRIASYTDGLPLALTIIGSHLRGKSVQEWSDDAEKLKGIPNDDVLKILKISYDSLDDDTQNIFLDIACFFIGQNKNDTSMILEACGFYAKSGIRILIERCLLTTYGGGEFETLQMHDLVRDLGREIVRKESSREPGKQSRLIDPKDVFDVLPGNKGTKAIEGMIVNSNMLKIVPLNTQIFKKMVKLRILILNGTCLSGSFKYLSNELRLLRLHYCHLSCIPSNFRCEKLVELDMKGSNIKEFQCNMQHFRCLRILKLDNCIQLKKTPNFTGAHTLQKVSFRWCSKLVKVHPSIGSLERLVELEMQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb07g21830.t4 pep chromosome:ASM357664v1:7:26363371:26370828:1 gene:itb07g21830 transcript:itb07g21830.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb07g21830.t6 pep chromosome:ASM357664v1:7:26363371:26369370:1 gene:itb07g21830 transcript:itb07g21830.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb07g21830.t7 pep chromosome:ASM357664v1:7:26361857:26370974:1 gene:itb07g21830 transcript:itb07g21830.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSIPLELNLISQASDGYNGLVRLQEKLLCKTLNGKKLEIDNVDEGISLIKERLRSKSVLIVLDDIDDTRQLESLAGQRNWFGSGSTIIITTRDVQLLSDLEAHEKYMVETLSHDESLQLLSWHAFGVPIPLEEYIELSKRIASYTDGLPLALTIIGSHLRGKSVQEWSDDAEKLKGIPNDDVLKILKISYDSLDDDTQNIFLDIACFFIGQNKNDTSMILEACGFYAKSGIRILIERCLLTTYGGGEFETLQMHDLVRDLGREIVRKESSREPGKQSRLIDPKDVFDVLPGNKGTKAIEGMIVNSNMLKIVPLNTQIFKKMVKLRILILNGTCLSGSFKYLSNELRLLRLHYCHLSCIPSNFRCEKLVELDMKGSNIKEFQCNMQHFRCLRILKLDNCIQLKKTPNFTGAHTLQKVSFRWCSKLVKVHPSIGSLERLVELEMQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb07g21830.t2 pep chromosome:ASM357664v1:7:26361847:26369368:1 gene:itb07g21830 transcript:itb07g21830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSIPLELNLISQASDGYNGLVRLQEKLLCKTLNGKKLEIDNVDEGISLIKERLRSKSVLIVLDDIDDTRQLESLAGQRNWFGSGSTIIITTRDVQLLSDLEAHEKYMVETLSHDESLQLLSWHAFGVPIPLEEYIELSKRIASYTDGLPLALTIIGSHLRGKSVQEWSDDAEKLKGIPNDDVLKILKISYDSLDDDTQNIFLDIACFFIGQNKNDTSMILEACGFYAKSGIRILIERCLLTTYGGGEFETLQMHDLVRDLGREIVRKESSREPGKQSRLIDPKDVFDVLPGNKGTKAIEGMIVNSNMLKIVPLNTQIFKKMVKLRILILNGTCLSGSFKYLSNELRLLRLHYCHLSCIPSNFRCEKLVELDMKGSNIKEFQCNMQHFRCLRILKLDNCIQLKKTPNFTGAHTLQKVSFRWCSKLVKVHPSIGSLERLVELEMQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb07g21830.t3 pep chromosome:ASM357664v1:7:26363371:26370828:1 gene:itb07g21830 transcript:itb07g21830.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLSHDESLQLLSWHAFGVPIPLEEYIELSKRIASYTDGLPLALTIIGSHLRGKSVQEWSDDAEKLKGIPNDDVLKILKISYDSLDDDTQNIFLDIACFFIGQNKNDTSMILEACGFYAKSGIRILIERCLLTTYGGGEFETLQMHDLVRDLGREIVRKESSREPGKQSRLIDPKDVFDVLPGNKGTKAIEGMIVNSNMLKIVPLNTQIFKKMVKLRILILNGTCLSGSFKYLSNELRLLRLHYCHLSCIPSNFRCEKLVELDMKGSNIKEFQCNMQHFRCLRILKLDNCIQLKKTPNFTGAHTLQKVSFRWCSKLVKVHPSIGSLERLVELEMQGCNIKEFQCNMQHFRCFRILNFDTCEQLKKTPNFTGAHALQKVSFQFCSNLVEVHPSIGSLERLVELHFERCKKLKVLPSSICNLKSLEVLDLDYCKKLRELPIDLGKLEQLRELHACETDISHIPFSLGCLRNLKELNLRQDTKKSRDGVAFFAPSVANSCSFEVIENLTSLVSLNLSGRSCNLQSLPFRLCHLSNLKDLGLEDFQNLRVLVELPPSLVRLSANNCVSLVKIVTVSNLKKLEMLCLENCKSLVELPNMESLSSLECLHISNCNALSIPDKYLHEEDFPIALRSLSSSLNEIDLMGSYYLQSLLLSLCHQYSNLMYLSLDDLQNLRSLPQLPPNLSLLSAKNCVSLEKIADLSNLKRLWSLDIQNCKSLVELLGLESVGSLRSLGIANCSGLRIPPIEKWFKVHPCRDDSVDIFVGVVDQGTIVSSLFVVCPFIVIDYSEIDYADDGRNRIDLSVRSKSSGANWILMEAKPEYKLSGMFEVPIMMGEELEVYAELHPWQKIFCVCEIHRNREGEVRFFPSTRGCIPSYNMEDGEKKRKRKRKVEIGRGGQRRFLPITRGLIPCVMTQLGQDGQRKRKRKAPIDRGGRSIRQRRL >itb01g07120.t4 pep chromosome:ASM357664v1:1:5338038:5342503:-1 gene:itb01g07120 transcript:itb01g07120.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTPVRFTLGKQSSLAPERIVDEWLDGKEDGEDGVLDIDPRVRLMYSANEGDLEGIKEILESGIDVNSPDIDFRTALHVAACQGFTDVAQLLLDNGAKVDPKDRWGSTPLADAIHYKNHDMIKLLEKHGARPPVAPTQVKNARQVPEYEIRPDELDFSNSIELSKGTFHIASWRGTQVAVKKFGEEVITDEEKVKAFIDELTLLQKIRHPNVVQFLGAVTQSSPMMIVMEYLPKGDLRTYLDTNGPLKPTKALRFAMDIARGMNYLHENKPEAIIHRDLEPSNVLRDDTGHLKVADFGVSKLVKVTNRVIREDRPLACDETSCRYVAPEVFKNEEYDTKVDVFSFALILQEVNFCNIL >itb01g07120.t3 pep chromosome:ASM357664v1:1:5338038:5342503:-1 gene:itb01g07120 transcript:itb01g07120.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTPVRFTLGKQSSLAPERIVDEWLDGKEDGEDGVLDIDPRVRLMYSANEGDLEGIKEILESGIDVNSPDIDFRTALHVAACQGFTDVAQLLLDNGAKVDPKDRWGSTPLADAIHYKNHDMIKLLEKHGARPPVAPTQVKNARQVPEYEIRPDELDFSNSIELSKGTFHIASWRGTQVAVKKFGEEVITDEEKVKAFIDELTLLQKIRHPNVVQFLGAVTQSSPMMIVMEYLPKGDLRTYLDTNGPLKPTKALRFAMDIARGMNYLHENKPEAIIHRDLEPSNVLRDDTGHLKVADFGVSKLVKVTNRVIREDRPLACDETSCRYVAPEVFKNEEYDTKVDVFSFALILQEVNFCNIL >itb01g07120.t2 pep chromosome:ASM357664v1:1:5338038:5342503:-1 gene:itb01g07120 transcript:itb01g07120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTPVRFTLGKQSSLAPERIVDEWLDGKEDGEDGVLDIDPRVRLMYSANEGDLEGIKEILESGIDVNSPDIDFRTALHVAACQGFTDVAQLLLDNGAKVDPKDRWGSTPLADAIHYKNHDMIKLLEKHGARPPVAPTQVKNARQVPEYEIRPDELDFSNSIELSKGTFHIASWRGTQVAVKKFGEEVITDEEKVKAFIDELTLLQKIRHPNVVQFLGAVTQSSPMMIVMEYLPKGDLRTYLDTNGPLKPTKALRFAMDIARGMNYLHENKPEAIIHRDLEPSNVLRDDTGHLKVADFGVSKLVKVTNRVIREDRPLACDETSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCPPFRAKKDNEVPKSYAAKQRPPFKASAKFYAHGLKELIEECWNEKPSQRPTFKQIIPRLESIYNKFVQKRHWKVSPCSFPQYCYCHNSSFCAYLHPMK >itb01g07120.t6 pep chromosome:ASM357664v1:1:5338038:5342503:-1 gene:itb01g07120 transcript:itb01g07120.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTPVRFTLGKQSSLAPERIVDEWLDGKEDGEDGVLDIDPRVRLMYSANEGDLEGIKEILESGIDVNSPDIDFRTALHVAACQGFTDVAQLLLDNGAKVDPKDRWGSTPLADAIHYKNHDMIKLLEKHGARPPVAPTQVKNARQVPEYEIRPDELDFSNSIELSKGTFHIASWRGTQVAVKKFGEEVITDEEKVKAFIDELTLLQKIRHPNVVQFLGAVTQSSPMMIVMEYLPKGDLRTYLDTNGPLKPTKALRFAMDIARGMNYLHENKPEAIIHRDLEPSNVLRDDTGHLKVADFGVSKLVKVTNRVIREDRPLACDETSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCPPFRAKKDNEVPKSYAAKQRPPFKASAKFYAHGLKE >itb01g07120.t5 pep chromosome:ASM357664v1:1:5338038:5342503:-1 gene:itb01g07120 transcript:itb01g07120.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTPVRFTLGKQSSLAPERIVDEWLDGKEDGEDGVLDIDPRVRLMYSANEGDLEGIKEILESGIDVNSPDIDFRTALHVAACQGFTDVAQLLLDNGAKVDPKDRWGSTPLADAIHYKNHDMIKLLEKHGARPPVAPTQVKNARQVPEYEIRPDELDFSNSIELSKGTFHIASWRGTQVAVKKFGEEVITDEEKVKAFIDELTLLQKIRHPNVVQFLGAVTQSSPMMIVMEYLPKGDLRTYLDTNGPLKPTKALRFAMDIARGMNYLHENKPEAIIHRDLEPSNVLRDDTGHLKVADFGVSKLVKVTNRVIREDRPLACDETSCRYVAPEVFKNEEYDTKVDVFSFALILQEVNFCNIL >itb01g07120.t1 pep chromosome:ASM357664v1:1:5338038:5342503:-1 gene:itb01g07120 transcript:itb01g07120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTPVRFTLGKQSSLAPERIVDEWLDGKEDGEDGVLDIDPRVRLMYSANEGDLEGIKEILESGIDVNSPDIDFRTALHVAACQGFTDVAQLLLDNGAKVDPKDRWGSTPLADAIHYKNHDMIKLLEKHGARPPVAPTQVKNARQVPEYEIRPDELDFSNSIELSKGTFHIASWRGTQVAVKKFGEEVITDEEKVKAFIDELTLLQKIRHPNVVQFLGAVTQSSPMMIVMEYLPKGDLRTYLDTNGPLKPTKALRFAMDIARGMNYLHENKPEAIIHRDLEPSNVLRDDTGHLKVADFGVSKLVKVTNRVIREDRPLACDETSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCPPFRAKKDNEVPKSYAAKQRPPFKASAKFYAHGLKELIEECWNEKPSQRPTFKQIIPRLESIYNKFVQKRHWKVRPLKCFHNFEAMWRKDGSSVGSRTRSSRSGRGI >itb14g00640.t1 pep chromosome:ASM357664v1:14:434874:442376:1 gene:itb14g00640 transcript:itb14g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSISVITPSLFLKDDQIYASFLRAITDFEAHGDNLRADKQISDLFKQNPELYINFTRFTADDNCRSHRVRVLETMAPRFSPTEEELVCFYLKHKLQGDREDMDAVIPVVNIYDHCPWDLPQLVGERCRGDELEELFFFVDMQENISRGGKPKRLTPQGYWKAAGIPALMYSNNNEIVGVKRTMIFYRGRAPTGIKTEWKMIEYRAILGQPPRTATMSDVQLQHEFSLCRIYKKARFDRGFDRRLSAPAAIFAVPRAPEPPSPHLQTVPEAMAAQSSSSHPQAVPESVEDSSSLQNNFWDVCVPLWDWADMGFDPRTHKLNC >itb12g02590.t1 pep chromosome:ASM357664v1:12:1693110:1696385:1 gene:itb12g02590 transcript:itb12g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCGFRDTWDSFSDRCFGHFPCLSDPVRRATLGLKVALVMLHVVYAGVLFIINKDLVEKTRQEPWYTAMYLSLFIVTLAQYFITSGSSPGYVLDAMRAVNEAGALSNRMSVASKQPASSKNGSVVITIDQSKVGRDLLDSNATSWTKLVMDMYPHGTSVRTVTCTYCNALQPPRTKHCQDCDKCVLQFDHHCVWLGTCIGQGNHCKFWWYISEETALSIWTGILYIQYLKSNISKPWWIYTFVILLLAVLFVCLVFLLLLLLFHSYLVLTNQTTYELVRRRRIQYLRGIPERVFPFSKGACRNLYDFCCAPSNIYRMEPLPTAREIEEKSRPYTCLDILSCRCCC >itb07g07030.t1 pep chromosome:ASM357664v1:7:5297788:5298884:1 gene:itb07g07030 transcript:itb07g07030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLAVFLLLAVAALTIPTTHGLNPRKLDDTTGENKCGGCPCNNPCPVPSPPPPPPALPPPSPPPPKKPPSSYCPPPPGGGYVPSGPTTPNNQYIYFNGPPGNLYPVDQYFSGAGKPGFSLLIAGAFLGVLALYW >itb03g00490.t1 pep chromosome:ASM357664v1:3:238811:242502:-1 gene:itb03g00490 transcript:itb03g00490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASGFYTGEDFNLDSKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAIKIIHKGETPEEIAKRDARFAREVAMLSRVQHKNLVKFLGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDMAVIVTSCWKEDPNARPNFTRIIQMLLHYLSTISPLETPPIPPRIFTSENAVLPPESPGTSSLMAKRGDSGDTPNAHAPSEDKQKGLFFCFKQCY >itb15g02100.t1 pep chromosome:ASM357664v1:15:1289999:1292006:1 gene:itb15g02100 transcript:itb15g02100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDSSFVQGKMKDKMVGIAKNVEKIGRDDPRRIVHSAKVGVALTLNSLFYYYNPLYEGFGQYGIWALLTVMAVFEFTVGATLSKGLNRASATLLAAAMGVGAKYLGDLSGDKAEPVVLGILVYILAAILSFTRFFPGIKTKYDYGVVIFILTFSMVAVSGYRTEQIIQFAHQRLSTVAIGGVTCLLISVLVCPAWAGEDLQDLIAANIENLGKSLEGFGSAYFRVLEGEGGGMEAFLQDYKSAFNSKATEDSLAVFAWWEFGHGKFTFGHPWEEYLKVGGLTRECASHLQALSSYFNTTDDDEATLLTFKSKIEEPCTRMCFQSTHALKAISLTIKTMEHPSPAIQDHLRNSRAAINDLKVLIRSSSLSTELLFQIIPCAAVTSILIHIVDCVDKISKSVEDLSEKAGFKKPKEKSPSPAPEEQQEPPQQQLLHSGTIRPVSEENKVEVVAITIDYTHHQNYVE >itb02g06110.t1 pep chromosome:ASM357664v1:2:3793095:3794465:-1 gene:itb02g06110 transcript:itb02g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSILTFFFFLSSQPATVVLSAKCTTATAAKKFEKCTPLPSQDASIAWTFHAHNATLDVAFFGSFISPSGWVGWGINPTSAEMTGTRALIAFPDPNSGQLVLLPYIIDPTVKLQRNPLLSRPLDVHLLSSSAAMYGGRMATVHDGATIQIYASVKLVPNKTKIHFVWNRGVYVQGYSPTIHPTTINDLASVSTIDVLSGSSAEFHGSDFATLKIVHGILNAISWGIMLPVGVVTARYLRHVQSLGPTWFYAHAGIQLSTIVLGTIGFGIGLRLGELSPGRVYGLHRKLGLATFCLGILQTMALLFRPKTTNKFRRYWKSYHHFVGYACVVLGVVNVFQGFEVMGEGRSYGKLAYCLCLSTLAGVCVALEVNSWVIFCRKAKEEQLRREGLMGVSDKFSGGSSTH >itb15g17310.t1 pep chromosome:ASM357664v1:15:17798167:17802794:-1 gene:itb15g17310 transcript:itb15g17310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMLARRAVLTETPVMVQIQELIRGVKDCISLAQGVVYWQPPKQALDKVKGLVEEPSISRYGADEGLPELREALMKKLQGENNLHKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGPGHPNTLHPDADWLEKTLKNTVPTPKLVTVVNPGNPSGTYIPEPLLKRISDLCKQAGCWLLVDNTYEYFMYDGRKHVCLEDNHIVNIFSFSKAYGMMGWRVGYIAYPSKVDGLTAQLLKVQDNIPICASIISQRLALHSLEVGPEWVTDQVKNLVKNRELLIEALSPLGEEAVKGGEGAIYLWAKLPDKYLDDFEVVRWLARKHGVVLIPGSSSGCPGYVRISFGGLKEDDCRAASERLRKGLEELVSSGMGC >itb07g23090.t1 pep chromosome:ASM357664v1:7:27574337:27577429:-1 gene:itb07g23090 transcript:itb07g23090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYPWAWVIHSENLRSEREREMACVALTSVIATMKLEFLQPNNLRVCLDDEAFISVESLFEKLLFLQVLLLEKSGDCGAAIQDLEIKIRDFALDAEDRIETQLSNFLLSNQETAAKQLHQTLREAAENAAHLLIKISNESDEANECQSTTVPWLLDTSLRLQPPKLEGRMVGRRNDYLLVKNQLLYSDQRRVILIVGMNGIGKTTLAASVYEDSSVASHFDVRAWITMSGEYNVKQTLHDLLLTLAEPDHETRKRTTLDDDDLLAKQVSKCLKGKRYLIVLDNLWNNRIWDDIQEYFPNDSNGSRIVLTTTHFDQGSYTSLDCIHNMTLLDSKESWDLFCSNPFLEKHMAPKFEKIRSYVLLKCEGLPLSIVTVAQRLSECNNIQQEWEKVEKELELLGFLDSSALTLRYNQLPQYLKVCFLYLGVFPKRTVIRIKQLVRLWKAEGVLNPFGNEDLESQAYEYLRELIDRSLVLIENWSSDGKIKSCKMHSALHSFCGWFKGLDYIVSANRNLQTLVVSNSNESKPRGPTLHLPSTVWESPQLQHLELGNSYVIDPPNMVKDNMQTLSWVCPTHCRTEVYRKFPNIKKLKIFGFGGRPIILDDLNYLVRLERLTISISFGCVVTLPKSLSMFPSQLKKLRLNGTSLSERDLMVIGMLPQLEVLKLENALHGEVWKVAKGGFYRLKFLLLKDKTLKLWMAHEYSFLCLKRLVLRFCYSLKTIPMIRNLRSIELEQCCPSVVAFASRFAEYSQEFRHDFENEIFEIIIK >itb09g08920.t1 pep chromosome:ASM357664v1:9:5330698:5331393:1 gene:itb09g08920 transcript:itb09g08920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTTTTTTLLPLFLYLFVFVCLSSSSSASTQRVADKNNMIRCIERERLALLDFKHGLVNDYGVLFSWGSDDECCNWWGVNCNNNTDHVTMLDLNTFKIDSYLSGHKVSPSLLELKHLNYLDLSYNYFQGSPIPEFIGSFKRLRILSLMDAGFSGTIPPQLGNLTNLQVLNISGDDSNFNLKIKNLEWLSLLSSLRSFYLTGVDIDLIESSKTSVTLTPFLEELQLPLRSP >itb05g11690.t1 pep chromosome:ASM357664v1:5:17849560:17852869:1 gene:itb05g11690 transcript:itb05g11690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSAKKDEYRSYLSEEEVKDINWRYGAPPNYDIVNKLFEEGRTKIWPAGSLGEKVQNLVKTWEMEMFHKISPEDYKTIDPKKYLFSLNGRRALTLEEKQKLAGGYNSLLQTSLPEKFRCYNPAEETVDSAHRAFTTAFPRGFALEVLQVYSGPPVIVYKFRHWGFMEGPFKGHAPTGEMVELYGMSIFEIDENSKVVKVEFFYDRGELLGNLVKGASNDGSTIEAASSSCPFLIKTG >itb12g03700.t1 pep chromosome:ASM357664v1:12:2421721:2423753:-1 gene:itb12g03700 transcript:itb12g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNFDREDGLETILEVPIPDEMFASMGNNVAVRWQTLSTWMKAQTSDKWSSPVIVARYNEMSFLLYTVGSPLIPVQVHLDPSVNRPVKHTSIEASTAKYILTQYIAATGGQAAINAVKSMCIIGHIKIGTSDFHQGDQNVKARTNEERGGFVLWQKNPDLWCLELLISGCKVISGSNGKTVWRQSSNQQRPISKGSPRPLRRFLQGLDPRSIANLFIDAVCIGEKIINDEDCFILKLDTPQSALEAQSAQNYETIHHTIWGYFSQRSGLLVKFEDSRLLTVKSARDDDGVFWETSNESVIEEYKYVDGVNIAHGGRTSVTVFRYGEQSANHKRELQETWKIEEVDFNVDGLNQEFFMPPTDFSKD >itb13g00780.t1 pep chromosome:ASM357664v1:13:703470:707328:1 gene:itb13g00780 transcript:itb13g00780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATRVRPKNVSDSEEMAIYGPAGTKPQKSVGVQRPLSKPLKKGEKAAYEGDMVEKDKSGVQSMLRRHEFLMHSNLSLSASCSSDASTDSFRSRASTGQIYRTSSASPRWKQSSSRSKVVVSDSSHSPDSVHSKKRCAWVTPNTDPSYANFHDEEWGVPVHDDKKLFELLVLCGALAELTWPSILSKRHIFREVFADFDPVAVAKFNERKIIAPGSTASSLLSELKLRTIIENARQVSKIIQEFGSFDNYIWSFVNHKPTVSRFSYARQVPVKTPKADVISKDLVRRGFRGVGPTVIYSFMQSAGITNDHLISCFRFQECIAAALGKQKDDSEGPDEAGERGNEAKESEMCRSIDELSFSSE >itb12g03210.t1 pep chromosome:ASM357664v1:12:2121641:2122590:-1 gene:itb12g03210 transcript:itb12g03210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKSVSHAIHELSLKLSFHPRGKILSSIQVVATWHCRSHFCRFLARNVDNYSTSGGTDAIIFVWVGLKTIQDFLNMYFRNVYKPIPNVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWGFTGKEANMDREDTKMPVKKWWDVYNDESLDYKNTAPAPAVLPKTGIVRYITAPSAA >itb04g01090.t1 pep chromosome:ASM357664v1:4:607711:609305:1 gene:itb04g01090 transcript:itb04g01090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSFLDHDKGSEKKSRTVNPLNGCLESIHFNHIRLLDSTPPEIPMKHHNNRKADRSKKAKDRSLGIFWVDLRLKKQEKMEGEGKKVTGNGGPGKTTASEEAALKKCLEENKGDHAKCKPLIEALKSAVVTPEKRVLSPLRLRIGSLTEV >itb05g13490.t6 pep chromosome:ASM357664v1:5:20487395:20502354:1 gene:itb05g13490 transcript:itb05g13490.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGTGGGGESAGGKFSTSYEESAGRQRFKVKLTPGETTIVSWKKLLKDATGSHSRRNAVSDPAPSSSAAAAANQPLDSHIAPEVKDAPPGNRLNAVIEKIERLYVGKHSDDEEDLSDVPDEDEYDTEDSFIDDTELDEYFEVDNSAIKHDGFFVNRGNLERIEPTSLQIQLPEKRRRKDQAKGHSGSDGFIPNKLAKVAKDTGKPVPDVAGASGLPYSGVMLDLHHENDSPNITNGLHQSLQGGEKSVGHDERLNTGPPEAKSSIQPVRREASSVRRKGTMLEKAIRDLEKIVAESRPPNTDVQEADNSSQAIKRRLPLEIKHKLAKVARLAQASHGKVSDELVNRLMSIVGHLIQLRTLKRNLKAMINMGLSAKQEKDDRVQQIKAEVADMIRTRIPPAKSKTIEQEDRTMEDFQDVIKKRYRMDNALEDKICDLYDLYIEGLEEDAGPQVRKLYSEVDCTLSAVNIFATVWRLKY >itb05g13490.t5 pep chromosome:ASM357664v1:5:20487395:20502354:1 gene:itb05g13490 transcript:itb05g13490.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGTGGGGESAGGKFSTSYEESAGRQRFKVKLTPGETTIVSWKKLLKDATGSHSRRNAVSDPAPSSSAAAAANQPLDSHIAPGKHSDDEEDLSDVPDEDEYDTEDSFIDDTELDEYFEVDNSAIKHDGFFVNRGNLERIEPTSLQIQLPEKRRRKDQAKGHSGSDGFIPNKLAKVAKDTGKPVPDVAGASGLPYSGVMLDLHHENDSPNITNGLHQSLQGGEKSVGHDERLNTGPPEAKSSIQPVRREASSVRRKGTMLEKAIRDLEKIVAESRPPNTDVQEADNSSQAIKRRLPLEIKHKLAKVARLAASHGKVSDELVNRLMSIVGHLIQLRTLKRNLKAMINMGLSAKQEKDDRVQQIKAEVADMIRTRIPPAKSKTIEQEDRTMEDFQDVIKKRYRMDNALEDKICDLYDLYIEGLEEDAGPQVRKLYSELATYWPNGIIDNHGIKRAICRAKDRRKALYNQRKEREKIGRSKVSGSKVEVPCGVETPILAQPVHTQERSAVNARDHVSTSANKPVPNTEAVNVNANANAGVPMPERVKGSSSSCSDMPKKKAKRKPESELNEGEQRAEKMSSSTQAVAENKCQKPLAAAHVKPTFQPVGPPSFGPLIS >itb05g13490.t2 pep chromosome:ASM357664v1:5:20487395:20502354:1 gene:itb05g13490 transcript:itb05g13490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGTGGGGESAGGKFSTSYEESAGRQRFKVKLTPGETTIVSWKKLLKDATGSHSRRNAVSDPAPSSSAAAAANQPLDSHIAPEVKDAPPGNRLNAVIEKIERLYVGKHSDDEEDLSDVPDEDEYDTEDSFIDDTELDEYFEVDNSAIKHDGFFVNRGNLERIEPTSLQIQLPEKRRRKDQAKGHSGSDGFIPNKLAKVAKDTGKPVPDVAGASGLPYSGVMLDLHHENDSPNITNGLHQSLQGGEKSVGHDERLNTGPPEAKSSIQPVRREASSVRRKGTMLEKAIRDLEKIVAESRPPNTDVQEADNSSQAIKRRLPLEIKHKLAKVARLAQASHGKVSDELVNRLMSIVGHLIQLRTLKRNLKAMINMGLSAKQEKDDRVQQIKAEVADMIRTRIPPAKSKTIEQEDRTMEDFQDVIKKRYRMDNALEDKICDLYDLYIEGLEEDAGPQVRKLYSELATYWPNGIIDNHGIKRAICRAKDRRKALYNQRKEREKIGRSKVSGSKVEVPCGVETPILAQPVHTQERSAVNARDHVSTSANKPVPNTEAVNVNANANAGVPMPERVKGSSSSCSDMPKKKAKRKPESELNEGEQRAEKMSSSTQAVAENKCQKPLAAAHVKPTFQPVGPPSFGPLIS >itb05g13490.t3 pep chromosome:ASM357664v1:5:20487442:20502345:1 gene:itb05g13490 transcript:itb05g13490.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGTGGGGESAGGKFSTSYEESAGRQRFKVKLTPGETTIVSWKKLLKDATGSHSRRNAVSDPAPSSSAAAAANQPLDSHIAPEVKDAPPGNRLNAVIEKIERLYVGKHSDDEEDLSDVPDEDEYDTEDSFIDDTELDEYFEVDNSAIKHDGFFVNRGNLERIEPTSLQIQLPEKRRRKDQAKGHSGSDGFIPNKLAKVAKDTGKPVPDVAGASGLPYSGVMLDLHHENDSPNITNGLHQSLQGGEKSVGHDERLNTGPPEAKSSIQPVRREASSVRRKGTMLEKAIRDLEKIVAESRPPNTDVQEADNSSQAIKRRLPLEIKHKLAKVARLAQASHGKVSDELVNRLMSIVGHLIQLRTLKRNLKAMINMGLSAKQEKDDRVQQIKAEVADMIRTRIPPAKSKTIEQEDRTMEDFQDVIKKRYRMDNALEDKICDLYDLYIEGLEEDAGPQVRKLYSELATYWPNGIIDNHGIKRAICRAKDRRKALYNQRKEREKIGRSKVSGSKVEVPCGVETPILAQPVHTQERSAVNARDHVSTSANKPVPNTEAVNVNANANAGVPMPERVKGSSSSCSDMPKKKAKRKPESELNEGGGSHDIGIGYV >itb05g13490.t4 pep chromosome:ASM357664v1:5:20487456:20502319:1 gene:itb05g13490 transcript:itb05g13490.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGTGGGGESAGGKFSTSYEESAGRQRFKVKLTPGETTIVSWKKLLKDATGSHSRRNAVSDPAPSSSAAAAANQPLDSHIAPGKHSDDEEDLSDVPDEDEYDTEDSFIDDTELDEYFEVDNSAIKHDGFFVNRGNLERIEPTSLQIQLPEKRRRKDQAKGHSGSDGFIPNKLAKVAKDTGKPVPDVAGASGLPYSGVMLDLHHENDSPNITNGLHQSLQGGEKSVGHDERLNTGPPEAKSSIQPVRREASSVRRKGTMLEKAIRDLEKIVAESRPPNTDVQEADNSSQAIKRRLPLEIKHKLAKVARLAQASHGKVSDELVNRLMSIVGHLIQLRTLKRNLKAMINMGLSAKQEKDDRVQQIKAEVADMIRTRIPPAKSKTIEQEDRTMEDFQDVIKKRYRMDNALEDKICDLYDLYIEGLEEDAGPQVRKLYSELATYWPNGIIDNHGIKRAICRAKDRRKALYNQRKEREKIGRSKVSGSKVEVPCGVETPILAQPVHTQERSAVNARDHVSTSANKPVPNTEAVNVNANANAGVPMPERVKGSSSSCSDMPKKKAKRKPESELNEGEQRAEKMSSSTQAVAENKCQKPLAAAHVKPTFQPVGPPSFGPLIS >itb05g13490.t1 pep chromosome:ASM357664v1:5:20487395:20502354:1 gene:itb05g13490 transcript:itb05g13490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGTGGGGESAGGKFSTSYEESAGRQRFKVKLTPGETTIVSWKKLLKDATGSHSRRNAVSDPAPSSSAAAAANQPLDSHIAPEVKDAPPGNRLNAVIEKIERLYVGKHSDDEEDLSDVPDEDEYDTEDSFIDDTELDEYFEVDNSAIKHDGFFVNRGNLERIEPTSLQIQLPEKRRRKDQAKGHSGSDGFIPNKLAKVAKDTGKPVPDVAGASGLPYSGVMLDLHHENDSPNITNGLHQSLQGGEKSVGHDERLNTGPPEAKSSIQPVRREASSVRRKGTMLEKAIRDLEKIVAESRPPNTDVQEADNSSQAIKRRLPLEIKHKLAKVARLAASHGKVSDELVNRLMSIVGHLIQLRTLKRNLKAMINMGLSAKQEKDDRVQQIKAEVADMIRTRIPPAKSKTIEQEDRTMEDFQDVIKKRYRMDNALEDKICDLYDLYIEGLEEDAGPQVRKLYSELATYWPNGIIDNHGIKRAICRAKDRRKALYNQRKEREKIGRSKVSGSKVEVPCGVETPILAQPVHTQERSAVNARDHVSTSANKPVPNTEAVNVNANANAGVPMPERVKGSSSSCSDMPKKKAKRKPESELNEGEQRAEKMSSSTQAVAENKCQKPLAAAHVKPTFQPVGPPSFGPLIS >itb13g19940.t1 pep chromosome:ASM357664v1:13:26813709:26821926:-1 gene:itb13g19940 transcript:itb13g19940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETTIHGHTDDHHETSVDDGETLAAGNNTTTRLADRKVSWSKLRRVDSLSLEAGRVSFAPSHHHASSRDNWQRTLHLAFQCIGVIFGDIGTSPLYVYASTFTKINNKNDILGVLSLVIYTIILVPLLKYVCIILWANDNGDGGTFALYSLMCRHMSVSLRPNQEPEDIELSNNRLETPSNELKRAQKIKEKLESSMLIKTVLFLVTMLATSMVIGDGVLTPCVSVLSAVSGVKSLGEDAVMWISIAILVLLFNVQRFGTDKVGYVFAPALCLWFSFICGIGLYNLCRYDITVLHAFNPMYIVDFFRRNGKEGWASLGGIVLCITGTEAMFADLGHFNVRAVQISFSGVVLPAVLIAYCGQAAYLTKYPDHVGNIFYDSIPDPLYWPMFAIAVMAAIIASQALISGAFAIIRQSLSLGCFPRVKVIHTSSKYEGQVYIPEVNWILMILCITVTYSFKTTTQINNAYGIAVVSVMLITTCMVSLIMLVIWKTQIWLVALFFVAFISIEGIYLSAVLYKFTQGGYLPLLFALVLMMVMVVWHYVHKERYSFELNKVSSEYVRDLAKNPEVKTVPGIMLLYSELVEGIPLIFPHYVSNVRSLHSVVVLVSIKYLPVSKLVPEERFLFRQVGPREYRMFRCVVRYGYNDKIEEPMEFEGQLVDHLMEFIRQEHFIAGSPLALENIHEQPLPAEQVDESLENVSARLSSAEIQTVPSTRTNEAVAGWAEDVQFVQHAKEQGGVFYLLGEATVQAKHDSCFFKKLAVNYVYSFLHKNFRQEENAFAVPQNQHLKVGMVYEI >itb15g00020.t1 pep chromosome:ASM357664v1:15:27334:39234:1 gene:itb15g00020 transcript:itb15g00020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGKGLHSIKSLPVDFKFVKSDSSEQLDVGNEIGYRMTSDSIPENGEFLSKVNGNVNRGNDESPYRSLSFPMDERPFAVLDDPNIATSHLLSFAPTAVESKWSDTSSYATKKKSHSWFQLPNGKWELATIISTSGTESVISFPEGKVLKVKSENLLPANPDILDGVDDLMQLSYLNEPSVLNNLQYRYSQDMIYTKAGPVLVAINPFKKVPLYGNDHIEAYRRKSIESPHVYAITDTAMREMVRDEINQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSQTGKISGANIQTWKLNLKGLNDYKYLRQSNCYSISGVDDAEQFQVVVEALDVVHVSKEDQESVFSMLAAVLWLGNISFTVVDNENHVEPVVDEGLSTVANLLGCDVEALKLALSTRKMRVGNDNIVQKLTLIQAIDTRDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWKRVDFEDNTNCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNPCFKGGRGKAFTVCHYAGEVTYDTSGFLEKNRDLLHLDSIQLLSSCKCHLPQIFASNMLCQTEKIVVGPLYKSGGADSQKLSVATKFKGQLFQLMQRLESTTSHFIRCIKPNNFQSPAKYDQGLVLQQLRCCGVLEVVRISRSGFPARMSHQKFARRYGFLLLDHIASQDPLSVSVAILHQFNILPDMYQVGYTKLFFRTGQVGVLEDTRNRTLHGILSVQSCFRGHRARKYLEELKRGIVTLQSFVHGEKSRREYAILLQKYRSAVCIQKHVKERIHRRTYRNMCNASILIQSVIRGWLVRRCSGDIGLLQFGSKQGNESEEVSVKSTFLAELQRRVLRAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDDSARNSDASVNASDDKESTWDMGSNRALENNGVRPVRAGLSVIGQLAEEFEQRSQVFGDDAKFLVEVKSGLTEANLNPDHELRRLKQMFESWKKDYGARLKETKVILHKLGSEEGASDKMKKKWWGRRNSSRFN >itb15g07840.t1 pep chromosome:ASM357664v1:15:5497274:5504290:-1 gene:itb15g07840 transcript:itb15g07840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MKGRVFLLLFLLLSSDFRFSHSEETKNKFREREANDDSLGYPNIDEDELLNTQCPQHLELRWQTEVSSSIYASPLVADINSDGKLEVVVPSFVHYLEVLEGSDGDKVPGWPAFHQSTVHSSPFQYDIDKDGVREIGLATYNGEVLFFRVSGYMMSDKLVIPRLRVKQNWYVGLNPDPVDRSHPDVHDDQLIQEALIESLARQNISVTHGANSSHTTTEVHPEVNFTPKEAHHENVSTHNEAHLGNDSISLPTGVSNDTLNAEKVENQSERRDNQTDTETNLSRNITNAVPGSSNETITNVGKADNRRRLLEEKAGDQGVHAATVENEGGLDTDADSSFELFRDNDELADEYNYDYDDYVDENMWGDEEWTEEEHEKMENYVNVDAHVLCTPVIADIDKDGVSELVVAVSYFFDHEYYDNPEHLKELGGIEIGKYVAGGIVVFNLDTKQVKWSTQLDLSTDTGNFRAYIYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHKGKVRENFPLEMAEIQGSVVAADINDDGKIELVTTDTHGNVAAWTAQGKEIWERHLKSLIPQGPTIGDVDGDGNTDVVVPTLSGNIYVLSGKDGSIVRPYPYRTHGRVMSQVLLVDLSKRGEKKKGLTIVTTSFDGYLYLIDGQTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKAWRSPNQGRNNAAYRYNREGIYVTPSSRAFRDEEGKNFWVEIEIVDKHRVPSGSQAPYNVTVNLLVPGNYQGERTIKHNQIIETPGKHRIKLPTVGVRTGGTVVVEMVDKNGLYFSDDFSITFHMYYYKLLKWLLVLPMLGMFAVLVILRPQEAMPLPSFSRNTDL >itb15g07840.t2 pep chromosome:ASM357664v1:15:5498241:5504290:-1 gene:itb15g07840 transcript:itb15g07840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MKGRVFLLLFLLLSSDFRFSHSEETKNKFREREANDDSLGYPNIDEDELLNTQCPQHLELRWQTEVSSSIYASPLVADINSDGKLEVVVPSFVHYLEVLEGSDGDKVPGWPAFHQSTVHSSPFQYDIDKDGVREIGLATYNGEVLFFRVSGYMMSDKLVIPRLRVKQNWYVGLNPDPVDRSHPDVHDDQLIQEALIESLARQNISVTHGANSSHTTTEVHPEVNFTPKEAHHENVSTHNEAHLGNDSISLPTGVSNDTLNAEKVENQSERRDNQTDTETNLSRNITNAVPGSSNETITNVGKADNRRRLLEEKAGDQGVHAATVENEGGLDTDADSSFELFRDNDELADEYNYDYDDYVDENMWGDEEWTEEEHEKMENYVNVDAHVLCTPVIADIDKDGVSELVVAVSYFFDHEYYDNPEHLKELGGIEIGKYVAGGIVVFNLDTKQVKWSTQLDLSTDTGNFRAYIYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHKGKVRENFPLEMAEIQGSVVAADINDDGKIELVTTDTHGNVAAWTAQGKEIWERHLKSLIPQGPTIGDVDGDGNTDVVVPTLSGNIYVLSGKDGSIVRPYPYRTHGRVMSQVLLVDLSKRGEKKKGLTIVTTSFDGYLYLIDGQTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKVG >itb02g05370.t1 pep chromosome:ASM357664v1:2:3223015:3228090:1 gene:itb02g05370 transcript:itb02g05370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFSSACFSGLSLRKSQASPVRAMASESSVAVRIGEEEKVKLGGSELKVTKLGIGAWSWGDTSYWNNFEWDDRKLKAAKGAFEASIDSGITFIDTAEVYGSRFSFGAINSETLLGRFIKERKERTPDVEVAVATKFAALPWRLGRESVLAALKDSLSRLELSSVELYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSEKRLRDAYAQLKKRGIPLASNQVNYSLIYRLPEENGVKAACDELGITLIAYSPIAQGALTGKYTPENPPTGPRGRIYTPEFLTQLQPLINRIKEIGESYSRTPTQVVLNWLISQENVVPIPGAKNAEQATEFAGALGWRLTKEEVEELRSLASDIKRVVGFPVEKL >itb02g05370.t2 pep chromosome:ASM357664v1:2:3223015:3228090:1 gene:itb02g05370 transcript:itb02g05370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFSSACFSGLSLRKSQASPVRAMASESSVAVRIGEEEKVKLGGSELKVTKLGIGAWSWGDTSYWNNFEWDDRKLKAAKGAFEASIDSGITFIDTAEVYGSRFSFGAINSETLLGRFIKERKERTPDVEVAVATKFAALPWRLGRESVLAALKDSLSRLELSSVELYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSEKRLRDAYAQLKKRGIPLASNQVNYSLIYRLPEENGVKAACDELGITLIAYSPIAQGALTGKYTPENPPTGPRGRIYTPEFLTQLQPLINRIKEIGESYSRTPTQVHFRQFISELHVSSPFGFLGYHGPPK >itb09g30660.t3 pep chromosome:ASM357664v1:9:31282768:31291057:1 gene:itb09g30660 transcript:itb09g30660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g30660.t1 pep chromosome:ASM357664v1:9:31282773:31291074:1 gene:itb09g30660 transcript:itb09g30660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g30660.t2 pep chromosome:ASM357664v1:9:31282773:31291074:1 gene:itb09g30660 transcript:itb09g30660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYKVGDKGVIQAEPRLEPKKHRRQSRKRLNQSVLDELDELDDEDEETLDDDNPILRAMKQENS >itb09g30660.t4 pep chromosome:ASM357664v1:9:31286892:31291074:1 gene:itb09g30660 transcript:itb09g30660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASMSLLRPRVVPLLFSTFSASASRNTYLSSPFAIVLAARHHHQGSKNAPRKLQQPNKNLLKARETIKQFSPLAPVLSSEDKTNLSQDQAVGMVAASQANFMRVIVQSLPSEVCSGSGLVEGFTDAPGVGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVFERKCEILDPPVANVDHLLVLFSMEQPKLEPFSLTRFLIEAESTGIPFTLALNKCELVPEETLAAWKSRLRKWGYEPIFCSVESQRGIDTLLFIMREQTSVIVGPSGVGKSSLINAMRFNKRGAVGVGEGDNCSNLILDSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSEGGYLADTPGFCHPSLMKVTKQSLAEHFPEIQKMLKEKEPAKCSFSNCLHLGEPGCLVGGDWERYPYYLQLLDEIKIREEFQLRVVGTKRESDVRYAIVSLTKFILI >itb05g09870.t1 pep chromosome:ASM357664v1:5:14479143:14482540:1 gene:itb05g09870 transcript:itb05g09870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNCEVLSALPLDEVPRRSANFHPTIWGDYFLTYSSQPTEVDTQEWLDHQQLKEEIKNMLVEAPHISSQKLELINKIQRLGVCYQFENEIESLLEHIFKAYDDDFNDGKDENDLFVVSLRFRLLRQDGYHVSANVFEKFKEGNGKFKELLTKNVQAMLSLYEASHLRVHGEQILEEALTFTTSHLESMLGLPHLSNPLRSQVSEALKQPIRRRLTRLDAQKFISSFEPDGTQDALLLKFAKLDFNLLQKQHQRELGSLTRWDASATNQLPEYMRCTYIYLLDTYAEMEKELAEKGESYRVNYAKIEMTKLVGAYYDEAKWYSDSCSPTFEEYMKVALVTSGYTMLATTSLVGMQEDFLTKEVFDWMISGPLIVRASEIVGRLMDDIAGYEFEQQRGHLESSVQIFMKEYGKSKEETTAELQEQVINAWKDINQECLKPTVFPMAILTRILNLTRVVDLLYHDGDWYTHSKTKLKQIITSTMVNPIP >itb07g10120.t1 pep chromosome:ASM357664v1:7:10469120:10471583:1 gene:itb07g10120 transcript:itb07g10120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MSKRFGKRKEDDGFASESNDRPKKTSKTDDSDDSDDNLVWEISKNRRVAVRNWQGKLVVDFREFYVKDGKEMPGKKGISLTMDQWKVLREHVEEIHKAVATGE >itb11g06120.t1 pep chromosome:ASM357664v1:11:3645987:3648138:-1 gene:itb11g06120 transcript:itb11g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIISTPKGAVGFSSPRSSPNVAALLKIKIISWSQETGLPVSVRIRFANRTYNLHKHLLLSKSGYFKKKLNESEEVELPDDFPGGAETFEAIALFIYGSPTLIDPFNVAALRCAAEFLEMTEGHSPGNLCERFDIYLNQVVLQRWDDTLVVLQRCQSLLPGAEDLLIVSRCIESLAFMACMEILDPERRRDHPIVTLEALAVAMADEPAWSSQNQTLKQILRQDLWIKDLIALQFPFFKRVIASLRRQGMKEKYVSPIILFYANEWAISRAEDDTKMILKGILDLLPMGEKASKLIPVGFYFSLLSRSLEAGLASDSREKLQHQIASQLHLAQVEDFLLPNNELETMKSIFSIYAAAAAFNMELNPAPSPRNSAVAELWDAYLTKIAVDPQVTSKRFMELIETLPLSCRQSHDHLYRALDTFLVAHPSISQDEKWQVCKLYLFSSSTHNRHSKNAQTHFGTRTVESSPAALQAQDTQIPEAKTWKKVPALIEVKGAADH >itb11g06120.t2 pep chromosome:ASM357664v1:11:3645577:3648138:-1 gene:itb11g06120 transcript:itb11g06120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIISTPKGAVGFSSPRSSPNVAALLKIKIISWSQETGLPVSVRIRFANRTYNLHKHLLLSKSGYFKKKLNESEEVELPDDFPGGAETFEAIALFIYGSPTLIDPFNVAALRCAAEFLEMTEGHSPGNLCERFDIYLNQVVLQRWDDTLVVLQRCQSLLPGAEDLLIVSRCIESLAFMACMEILDPERRRDHPIVTLEALAVAMADEPAWSSQNQTLKQILRQDLWIKDLIALQFPFFKRVIASLRRQGMKEKYVSPIILFYANEWAISRAEDDTKMILKGILDLLPMGEKASKLIPVGFYFSLLSRSLEAGLASDSREKLQHQIASQLHLAQVEDFLLPNNELETMKSIFSIYAAAAAFNMELNPAPSPRNSAVAELWDAYLTKIAVDPQVTSKRFMELIETLPLSCRQSHDHLYRALDTFLVAHPSISQDEKWQVCKYLNCQKLSQEVCIEAVQNELMPLRLIVQALFVQQLNTQQAFKECSDSFRYTNGGEFSGSIASSRYTNPRSQNLEESPCIDRSERSSRPLGFLLQKDLTFHKSELSKKDYESTSFRIQNLEHELQSLKRTLELQHISKKTGPPPAKKENAAASENSQRVRPFGLEGRTPSRKRKPIIHMANCIGSVNFASHRGYATRLLKVLRRISSFGRVKSRRTSASGIKQKKL >itb03g04180.t1 pep chromosome:ASM357664v1:3:2601112:2602615:-1 gene:itb03g04180 transcript:itb03g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEGSNKLHIMMFPWLAFGHMIPYLELSKHIASRGHKVSFVSTPRNINRLPKLPPNLASFIHFVKLPLPAHQNLPENAEATIDLPYDKVKYLKLARDSLQETMAKLLEESSPDWILHDFDSYWVGSVASKLGVSTAFFSIFTPPTLAFFGRLVDFAEMTKPEDFIVPPKWVPFKTTVAFRLFEILRIFDAVTEEDDNVSDIFRLISSIERSDIVAVRGCSEFDSEWLKVLENIHRKPFFPVGQLPTTAYDEPTDNAWKDIKAWLDKQATGRVIYVAFGSEAKPSQTELTEIALGLELSGFPFFWVLRTRRGESDPDPIHLPEGFENRTKGRGIVCTSWAPQLNILSHDSVGGFLTHSGWSSVVEAIQFEKPLVLLTFLADQGLNARVLEEKKMGYSVPRDERDGRFTSESVAESLRLVVVEKEGNIYRQKIKEMKGLFCDQTKQDGYVDNLLHYLHCHRKSIKYGTQRISMDG >itb05g05310.t1 pep chromosome:ASM357664v1:5:5035763:5045843:-1 gene:itb05g05310 transcript:itb05g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAATLRTTSLNLSARASLPSVRRRHYFPATRFPLPGDYSFRIQLNLPLARKYPFITVTPIRAQAKSYRGNGSENQFPEVPAKEYNWVKPILKFASDNFLPIALVCGVALGLANPSLGCLADRYHLSKFSTFGIFIISGLTLRTEEVGAAAEAWPVALFGLASILFLTPLFSKIILLLRLQPQEFITGLAIFCCMPTTLSSGVALTRLAGGNSALALSMTVISSLIGILIIPFSISKLVATGVGVSVPTEQLMRSLVLTLLVPLIVGKVFREIFKSVADFADQNRKLLSMTNSMLLSLAPFIQVSRSRSLLLLVNPAVFLVAVVMGAVLHAMLFAFNAVAIQVLSSISGGSESAFAKKENASALLLVASQKTLPIMVAVAEQLGGGLGETGLLVLPCVAAHLNQIIIDSFIVNFWLQKDRATYNAKVA >itb01g21560.t1 pep chromosome:ASM357664v1:1:27655814:27658871:1 gene:itb01g21560 transcript:itb01g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAELFYTRRTRLGRGSSGFESDSVPDRISPHHRISGNRHHRHQRCGNGARRVGRLDLIGSDPLPRSRILSHRSSVQEHDSVDLDPFTSPSSPGSINSSENEINIRDRFRITGDDGLPGSVILARERLLQRLRGISLSGNRCSNRVSTSTNRRNFTTEDDFRVAGSRDRVARTGMPVDVVLRDRIKRPPGLTKESLESLRVEFFNNLDHSIQEAIPSVPLECSICLEAFSNGDKLFCLPCEHKFHDVCLVPWVRTCGDCPYCRACISKNSS >itb04g26590.t3 pep chromosome:ASM357664v1:4:30872761:30873956:1 gene:itb04g26590 transcript:itb04g26590.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MAARPNVPKFGNWENEEEPYTVYFDQARKYKGGKAINPNDPEMYQSAAPSKPRTLPPEEPVRRGGVRQTNERREDGDFQQFSNHSSRNNNNNGNMSSRGRGSNSGGRRRQSGGSDHSFEKSPLHHQQRAKLAPGRGSPSHDNSFGTPGRSYDSSYGGIGKSRLKPESPDRAAIPKFGGWDDVNPQSAENYTEIFNKVREAKRLDSTDIPGTGTPSRSSYNTQKQEERPRVCQSFYK >itb04g26590.t4 pep chromosome:ASM357664v1:4:30872789:30873956:1 gene:itb04g26590 transcript:itb04g26590.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MQRPNVPKFGNWENEEEPYTVYFDQARKYKGGKAINPNDPEMYQSAAPSKPRTLPPEEPVRRGGVRQTNERREDGDFQQFSNHSSRNNNNNGNMSSRGRGSNSGGRRRQSGGSDHSFEKSPLHHQQRAKLAPGRGSPSHDNSFGTPGRSYDSSYGGIGKSRLKPESPDRAAIPKFGGWDDVNPQSAENYTEIFNKVREAKRLDSTDIPGTGTPSRSSYNTQKQEERPRVCQSFYK >itb04g26590.t2 pep chromosome:ASM357664v1:4:30872789:30874100:1 gene:itb04g26590 transcript:itb04g26590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MQRPNVPKFGNWENEEEPYTVYFDQARKYKGGKAINPNDPEMYQSAAPSKPRTLPPEEPVRRGGVRQTNERREDGDFQQFSNHSSRNNNNNGNMSSRGRGSNSGGRRRQSGGSDHSFEKSPLHHQQRAKLAPGRGSPSHDNSFGTPGRSYDSSYGGIGKSRLKPESPDRAAIPKFGGWDDVNPQSAENYTEIFNKVREAKRLDSTDIPGTGTPSRSSYNTQKQEERPRKCCFPWW >itb04g26590.t1 pep chromosome:ASM357664v1:4:30872789:30874100:1 gene:itb04g26590 transcript:itb04g26590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MAARPNVPKFGNWENEEEPYTVYFDQARKYKGGKAINPNDPEMYQSAAPSKPRTLPPEEPVRRGGVRQTNERREDGDFQQFSNHSSRNNNNNGNMSSRGRGSNSGGRRRQSGGSDHSFEKSPLHHQQRAKLAPGRGSPSHDNSFGTPGRSYDSSYGGIGKSRLKPESPDRAAIPKFGGWDDVNPQSAENYTEIFNKVREAKRLDSTDIPGTGTPSRSSYNTQKQEERPRKCCFPWW >itb10g16160.t1 pep chromosome:ASM357664v1:10:22410395:22410832:1 gene:itb10g16160 transcript:itb10g16160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKVEIKRIEDKAKRHTTFTKRRQGLFKKASELCKRCNAEAAVITFSLAGNAFAFGHPSVNAVLARYDSYDANRGSVDDDDAEARKVPEDAVVVASNEGLNDEDDDMKVEEMSMEELEKLDSAMEEMKRKVIARVNEIISLTG >itb02g03910.t1 pep chromosome:ASM357664v1:2:2333803:2337661:1 gene:itb02g03910 transcript:itb02g03910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFLDELDCGSFFDHIDDLIEFPPENECVGVGSGDCNNFPSIWNDPLPDSEPLFSGSHNNSASDLSAELSVPYEDIIQLEWLSTFVEDSFSGGGLTLGKENAPVNMEPPHNKFQTSSPVSVLESSSSSSSSSSCSGGKTIPLSPSRCGAQRARSKRPRPATFNPRPAIQLISPTSSFTEAPQPFVVAPAVSSESENFAESPMKKVTTRPEAPEQKKKKKIKFTIPTPRMDTNQNQNQPPQAIRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSLHSNSHKKVIEMRSKTGVENTPITETPSTPQPAFNPSNNPSVEF >itb09g06360.t1 pep chromosome:ASM357664v1:9:3642501:3645435:-1 gene:itb09g06360 transcript:itb09g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRKIGFLTLCHHLFLTISFFCLQFGVSTSTDTITAHYSLEGSETILSNSQNFKMGFFRPENSSKYYVGIMFNVPSMAVVWVANRDKGMDDSRGSMGISEDGNLEVLDGQKRVVWSTSKYNISTANTTAQLLDSGNLVLKDSSSGRYLWESFGENSDTLVEGMKLGNGASSIGITRELRSWKSPWDPSPGAFSARLRHQNIPEMIVVQNNSKIYWRSGLWNKQIFIGLPHMNSVYDYGSQIIKDDEGDITYDTFKNMKESTKLHYALNSTGCLVEKHWDEEKSQWVVRLVSGVSGQCDLYGNCGPFGIYDPYDSKSCSCLKGYRPKDEMEWGNGNWSSGCIRNAALQCHRNSSNEENNKKDGFLKLQRVKVPDFARWVPSLDDSCETDCLRDCACIAYSYYTGIGCMHWSQDLIDTQQFLTGGADLYIRLPYSELDQKRNNKVIIIVITLTIGSLAIASCLYFGLVKHRGKKSPIMPREDSSQGVLEDGQQIAVKRLSEFSAQGQKEFMNEVVVISKLQHRNLVRLLGCCIEREEKMLVYEFMPNGSLDALLFDPNKEVVLDWMKRLMIIEGIGRGLLYLHRDSRLRIIHRDLKASNILLDEQLNPKISDFGLARIFGSNQNQAKTQRVIGTYGYMAPEYAMNGKFSEKSDVFSFGVLLLEIVSGRKNSAFYHDGFAISLGTHAWKLWNLDKMEEMAEPEMYDMSFKMSIRRCVHIGLLCVQEYADDRPNISSVLSMLSSEIAKLPHPKQPAFTGGQSFPDNKFHKQSRSFVNVTISDVEGR >itb11g00040.t1 pep chromosome:ASM357664v1:11:12767:19024:1 gene:itb11g00040 transcript:itb11g00040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATRNASPSYPPTSLPPLTDTDIIQLAQLYHPQSSTPLPQFLLSEASHETLLSYLHARAASPNSSLAVAEYASALLSLTHLHPSLLSLTSSLILSYTSLFCSHKIPHDCHSLSTLQLFTTHLDSVSFPDLGSILDTILCYTHQITDSEDTHILILLSKCLQLIRTSTEIDKPIDYFNSAIDRMLNFNWSNAFLVKMVEILRDFSFLDRIKKENFLEKVFSGMEKVELQDLPGLVYQLLVLASKGFGKRKVIEGIVMYFGENLAGKGGSTVRQVEGTVLLHVNFAVKQDPSLGLEILGLVRSYCPAFNHFAVTVLLSVARIRRFTESTIGVLKTALFAAYRDSSFAQDCKWLSGDLKEEYLKTARMMEKALLGTVNDSNLGREHVVPSIVQLGFLLLEGVEEGSHKEFDKLDGILGPEELGTQVLRSLFEVHDMARNEIIEQCKLRVLSLKPEQGLPIVRLLGCLIRRYSYPMLEHVSHLKALMDYFTFMNGKVSSHLVTALLPLIKQSRDLQDYIILVLRKAMFRREDSVRYAAIHSILDLVLGEKQSQRDDTFSLQESSSQASCSQQAENLCAAGCVLFLELNGLLQRCLYQQAKVREILYYGLLKLVLVDPLSVGAVLDFLLPHFFQFYKEDAVVQLSVSQCVKSESGKIYIEEPLDCLLSCISWILLLQQHGKTDNSSDSWTSFGFSLTQENEQAGRTWSAESLSNSLSKIRKFLRKGDLEGLLGKSMDAGSAPPGEEKHRCYSLIWSGIIEVMLNIIITEFGKATDAKKIDLEKELFEFVSIHEWLGENVNIAKQSGGVKRGSQRLTANDASERIDLSSTILSEERIPLLATSAICQLLHTAQESWKSVGIDSNLASQDRSQLSSGKASAPCANIFPFVLDICWRQLKSYSCMAKENPLKVLIYGDIKQLGQPLLKMVWFLLSASKSNKVNKKDASGRKDTDYKKEHIYSLLVCLKELIKINLCGPGYLDLMDDLVSISEARSDGSDNEYEQANGLLDHNMRSDEVFIRKSIWVLLNELLAHSLFREVEVVSDIVSLIGSKLGEEGRDVVGNLARCICKTRNVTNPKVAKSVINLALSLSSPPNDLIIAQDMAAEVLKVVGKEDCDPEETSDTYSIINKSTSAAIASTILQFLESSIGDIEWMSSMKLKASSCSYHKGPSCSHQRCEKASELVMEESLYSRAEGVVKVASFFVLMNLKDPQAEQLLRLAARFYKNLARISKLQVASKGCKQLLPSLKYQKLVEATCRQLTAPLYNFVANMQKKQQEGAGGRGMVNKIKRENRCIPELIYQIEDYEKYLIQLSKASKLNLLRHAKRSTCRDFKILLEPAADSSNDNNAAVVGEKRESSSVEENEDDEEAAEEEEEEEEEAALYKAKRSKTRIVEDSDEDADAAA >itb08g15550.t1 pep chromosome:ASM357664v1:8:17721637:17723989:1 gene:itb08g15550 transcript:itb08g15550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEQRRKRKRILRPSSTPSSLLHFLSLRSFLLCFSFLLFLYLLSFKIPIINSSAFRPVLVVSSFSLMSSSSSSSSSSSFRDFRRFLSPVKIEGRVLFPDHVLVLARKNGGLGTELECVYYSVDKDKDSEEEQEENVLSVDDYDESSRMIVRCPVPPRNHSAVVSLQKVGRRRKGLDMRRVWRSNHNKNHNKTVISWENVVYTAAMDGDTAVVFVKGLNLRADRESNPRQFSCHFGLGSGGNKEGRFTLMTRAITAAQEVVRCSLPLSIRKVPEKALGVRVTIGMKSSSRQNRVLLPSVAKISSLKSDQGRKGGGGGVGKYELCVCTMVWNQAFALREWIMYHAWLGVERWFIYDNNSNDGIKDVVDELEMQNFNVTRHVWPWIKTQEAGFSHCALRAKAECNWVSFMDVDEFFYFPYSTPQHQRFRQLGYAGENSLRNLVANFSASSTTLAEIRTACHSYGPSGLNSPPSQGVTVGYTCRLKSPERHKSIIRPDALDTTLLNVVHHFHLKKGFQYLNLPQGTAVINHYKYQVWEVFRAKFFRRVATYVADWQENQNEGSRDRAPGLGTEAIEPPNWRLQFCEVWDTGLRDFVLANFADSSTGLLPWERSPV >itb04g12480.t2 pep chromosome:ASM357664v1:4:12216857:12225344:1 gene:itb04g12480 transcript:itb04g12480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MYPSSTSSSSHGSMGPSGSGGGGGLTRYGSAPSSVLSPAVDSVMSRGATRDFSALASSHHSPLGPSRYFSESAGKAAAAVSSSSKEHADNKSSAAVLQRSYNFHELAIGNGGTAAGGSTSTSPLVRHSSSPARFLNQLATAAGDSNGFQVSMGLGSCGLGVPESRRGVSRLNSQLSFTRQETLSQVAEENEDVVGGSSSNSMENNGQRKSTHSYATGSFGVGTSWRDQDHNHHHNHNHEQSIAFSHMGSWHEDKNQPVMFSITPGKRAKNANGDIAGGLNAMETQLQFGMPQVALEMASMDRYMQIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIKCLQDKVQELHKELEHCTCGCKKTK >itb04g12480.t1 pep chromosome:ASM357664v1:4:12216857:12225344:1 gene:itb04g12480 transcript:itb04g12480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MNWPLVTAAPPPEVLRPRHHWYGTAAHRPGFSTNLLPPRVTVTLNCKIWQCVNVGFQVSMGLGSCGLGVPESRRGVSRLNSQLSFTRQETLSQVAEENEDVVGGSSSNSMENNGQRKSTHSYATGSFGVGTSWRDQDHNHHHNHNHEQSIAFSHMGSWHEDKNQPVMFSITPGKRAKNANGDIAGGLNAMETQLQFGMPQVALEMASMDRYMQIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIKCLQDKVQELHKELEHCTCGCKKTK >itb10g06360.t1 pep chromosome:ASM357664v1:10:7080626:7083102:1 gene:itb10g06360 transcript:itb10g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCDEWSEDPIKCNNESFRKPMPWIGIYIAAASAICSFAMAVDAILGLRSRKLWFPCKFFTLNAASLTLLAIAVKLPMDLNSPMPGSFDQFSKLSSVIFMATSMANFMPSLGVMGSKGVLMNMTALGILVITLLVNVCIQLGTGLIFSFTCEHVLVICFTFILFWMMSCSALVVPTTKKILERKYHEISEMICAESVEGGGVEIEILKEDVRKYWLMAESSNPQFVMVRSPTCFASSAISCLSAIVLCEAFVRSFFFDRFSGNVNFFEGKRSSSDYQATTSVIVFIQSASVVLGTLAPLFRCFIAIKIKISGGGLSVIPEKYWTERQMEWIERPLPLQIGGGPYFKKAINKSKDMALCFCIKVQIVTVVACKIVQLFCLAFVRPLLSCFLEHELNCSQDRGVGCYVLHLEGEDQLPQVMAKNNNVLSRFIQNGINQQPQHLVKLLLQKMTDNFFGVAKFNSTQVHSLNSQEPPNCWKLPIVTLTSIAIALPHSSSCVKEVENLVCSVSQGLKYASIIDEVLGTGGALKKVRDAADVAWSEVSLSGRFLNKDITEMARDKANTSREILAKLCEIARDYVKESGSLANDCSHWPNKVIAANSMYRITQSLLLQLTSYNELSTEELFGHISVMIADILGACLTNLSKAITTKCSTVSIDKRGETAQHMALVIGETEEILKMLKKHQLPSLAPKQRIDIDEWRQLLVGMSPLVSSSLLRGHRACTSSVELQV >itb05g08010.t1 pep chromosome:ASM357664v1:5:10752671:10756413:1 gene:itb05g08010 transcript:itb05g08010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSNFLRSSKDALTKSFNSAKCKTSLKLASSRLKLLRNKKELQLKQMQRELAQLLESGQNQTARIRVEHVVREEKMIAAYDLIEIYSAFLRGLSFVIYFLCWIHKNGPFDLKEAITSVVFASPRCGDVPELIDVRKHLTAKYGKEFINAAVELRPDCGVSRLLVEKLSAKAPDGQTKLKILTAIAEEQGVKCDPKSFGELDLPPNDLLNGSNTFEKASKIYEDPPHFGADARTRPNHNQGPYASPNFLEMNSGSSMGMHSSASLPGGMSGEMQFSSSQLRLTHSGLRSERAEVRKSFAEDGNFPLDRKNRNMEFKDAASAARAAADSAEHASLAARAAAELSRVSGQYPTESQRAHADITRDKEKGNYSASKKMGEHTFKDSQNVLFPNRNYMLQHNDGRTKQDEDSEKVPISFGSSRSRVAIDKDSVISFQEADISGEKSSKEEDSNVEDVMNSHSDDSEHEHFNGSAKNMTSENFNYFGEETTMEDPQNAHYASHPSTLNYCDHIQSSNDQNFRYEASEDLFLNADEGHPHKVNVQISSHYEASAVFDEPNSDDGYDDNSDDTKFDTGPVYDDQQSTFYFPLPEIKLPTYPSILGDSGNPRLNMNKFPENYTSTPESFEEKHSPPESPQSFAASDNSQVENFAPATFDDSDGGSSQSEDIEKPELIRTEASLSQMHPNPNGAVRSSTEVTGTNKTQLSHSSSNESIANGTKDDFPLSNSPGANKDDGNFTQSSSDYGKELNFGTLTGSLRHKHFIPPFTRSGQNDVSSVKKPEEIPPMMPQSIASQTVENSSFGINMKKDNESRSRTLNPHCDQDPDSSDEDFSQ >itb05g08230.t1 pep chromosome:ASM357664v1:5:11301669:11303631:1 gene:itb05g08230 transcript:itb05g08230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSARSSPRVRKGAWSEEEDDLLRKCIQKFGEGKWHLVPFRAGLNRCRKSCRLRWLNYLHPDIKRGHFSLEEADLILRLHKLLGNRWSLIAGRIPGRTANDVKNYWHSHLKKKVVGMHMTTSSNSSRQDNNWDDEKSKAPQITENTLFRPRPRRFFRTSPALSTLTGKAPPPPHQLQASQSESTPPPDLLMVNNVQQNNNSIATNLPSETTSVQWWEDLLYDDNEQLNHQGTTDMHQGIIDWTDDGFPIDVDLLTLLDPTN >itb01g15440.t1 pep chromosome:ASM357664v1:1:17955907:17962135:1 gene:itb01g15440 transcript:itb01g15440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAMEPLILGRVVGDVVDPFTLTSLRIVVTYNNKLVSNGHEFFPSAITTKPRVEIHGTDDLRTFFTLVMTDPDVPGPSDPYLREHIHWIVTDIPGTTDATFGRELVSYESPKPNIGIHRFVFILFKQTRRLSVVTPPFSRDHFNTRRFAADNGLGSPVAAVFFNAQRETAARRR >itb08g03610.t1 pep chromosome:ASM357664v1:8:2928728:2929987:-1 gene:itb08g03610 transcript:itb08g03610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSFVIVSSFLWSLNCIVESKTHPGDIAVLMDFKNGFDPISIPPGSCLSSWDFAVDPCDYIFSDRFTCGIRCDVIVYGSSRVTEISLDQAGYNGSLSHSSAWNLPYLDVLDVSFNSLSGDIPVSVSNLTRLRRFSLSRNSFTGSIPGSIGLLLYLQELFLDNNRLTGSIPPSFNALVRLKRLELQNNNLSGELPNLSQLGNLYFVDLSDNSISGRFAAVFPRSVIELSIRNNYLTGRIAGNTVSELRYLQVLDLSHNELSGRAPAALFRHRSLQQLTLSHNNFSLLQIPEDRGVLSKLIAIDLSYNKLRGSLPEFMASLPMLSALSLEHNKFSGTIPTQYATKAAIPKAGTASFERLLLGGNYLFGPVPGPLWRLKPGSSNVSLVDNCLYRCPEEVYVCQGGIQKSPVDCNKFRPMIP >itb05g06040.t2 pep chromosome:ASM357664v1:5:6073946:6077493:1 gene:itb05g06040 transcript:itb05g06040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAHSEDKTLQAICYKRGSLQLLDQRKLPLQTIYLDIKNAKDGWDAIKEMVVRGAPAIAIAAALSLAVEASNLETFNGTAQDAVSFLSQKLEYLVSSRPTAVNLSDAATKLNEVIKKEASTASEAKTVFEAYIMAAEIMLEDDVASNKAIGSHGASLLQNQLVDSKKISILTHCNTGSLATAGYGTALGVIRAVNTAGFLERAYCTETRPFNQGSRLTAFELVTENIPATLIADSAAAALMKAGCVNAVIVGADRIAANGDTANKIGTYSLAVCAMHHGIPFYVAAPLTSVDLSLSSGQQIVIEERSPKELLHTHGGLGEQIAASGICVWNPAFDVTPASLISGIFTEKGVISKDGNDAFDITGFVHKVSGNV >itb05g06040.t3 pep chromosome:ASM357664v1:5:6073735:6077495:1 gene:itb05g06040 transcript:itb05g06040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAHSEDKTLQAICYKRGSLQLLDQRKLPLQTIYLDIKNAKDGWDAIKEMVVRGAPAIAIAAALSLAVEASNLETFNGTAQDAVSFLSQKLEYLVSSRPTAVNLSDAATKLNEVIKKEASTASEAKTVFEAYIMAAEIMLEDDVASNKAIGSHGASLLQNQLVDSKKISILTHCNTGSLATAGYGTALGVIRAVNTAGFLERAYCTETRPFNQGSRLTAFELVTENIPATLIADSAAAALMKAGCVNAVIVGADRIAANGDTANKIGTYSLAVCAMHHGIPFYVAAPLTSVDLSLSSGQQIVIEERSPKELLHTHGGLGEQIAASGICVWNPAFDVTPASLISGIFTEKVGFLYPSLPPSS >itb05g06040.t1 pep chromosome:ASM357664v1:5:6073677:6077495:1 gene:itb05g06040 transcript:itb05g06040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAHSEDKTLQAICYKRGSLQLLDQRKLPLQTIYLDIKNAKDGWDAIKEMVVRGAPAIAIAAALSLAVEASNLETFNGTAQDAVSFLSQKLEYLVSSRPTAVNLSDAATKLNEVIKKEASTASEAKTVFEAYIMAAEIMLEDDVASNKAIGSHGASLLQNQLVDSKKISILTHCNTGSLATAGYGTALGVIRAVNTAGFLERAYCTETRPFNQGSRLTAFELVTENIPATLIADSAAAALMKAGCVNAVIVGADRIAANGDTANKIGTYSLAVCAMHHGIPFYVAAPLTSVDLSLSSGQQIVIEERSPKELLHTHGGLGEQIAASGICVWNPAFDVTPASLISGIFTEKGVISKDGNDAFDITGFVHKVSGNV >itb12g21680.t1 pep chromosome:ASM357664v1:12:23970508:23971471:1 gene:itb12g21680 transcript:itb12g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSINYASGALYVKGANTRERRNITGRVRVQGRRELLFSTLAAVELSDSRTELLNKFLKKSEENKEKNDKERLESYYKRNYKDYFGVIEGTLRQKVQNKEQLTESEQRILEWLDKNK >itb10g10510.t1 pep chromosome:ASM357664v1:10:15231399:15231767:1 gene:itb10g10510 transcript:itb10g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVGLQYLEAIRSLKASGFQPIRTVYLSFVPDEEIGGHDGAESFAHSDIFSKMNVGIVLDEGLASPTDNYRAFYGERSPWWLIIKAVGAPGHGAKLYDNSAMENLFTSIESIRGSGLLSLT >itb09g09850.t1 pep chromosome:ASM357664v1:9:6036139:6039319:1 gene:itb09g09850 transcript:itb09g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKPGVLLLHSWSVGAPILLRTSHFPQRQKRVRLVVSAAAVESVNQGELTARERRQLRNARRESKPANNWREEVEERLIKKKKKETKSWKEELNLNHLARLGPQWWVVRVSRVNGHEKAERLARSLARNFPDIDFQVYIPSVQTKRILKNGTLSVKPKALFPGCVFLKCVLNKDIHDFIRECDGIGGFVGSKVGNIKRQINKPRPVDEEDMEAIFKQAKEEQEKADQAFEEEQQGQEAPEAKVSVSPEATVPVSPTKWGRRGRKASEPLGADNKLLVLGSTIQVVSGTFLGFSGILKKLDKKAGLATVGFTLFGKETLADLDVKEIVAEVD >itb09g09850.t2 pep chromosome:ASM357664v1:9:6036139:6039319:1 gene:itb09g09850 transcript:itb09g09850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MKPGVLLLHSWSVGAPILLRTSHFPQRQKRVRLVVSAAAVESVNQGELTARERRQLRNARRESKPANNWREEVEERLIKKKKKETKSWKEELNLNHLARLGPQWWVVRVSRVNGHEKAERLARSLARNFPDIDFQVYIPSVQTKRILKNGTLSVKPKALFPGCVFLKCVLNKDIHDFIRECDGIGGFVGSKVGNIKRQINKPRPVDEEDMEAIFKQAKEEQEKADQAFEEEQQGQEAPEAKVSVSPEATVPVSPTKWGRRGRKASEPLGADNKLLVLGSTIQVVSGTFLGFSGILKKLDKKAGLATVGFTLFGKETLADLDVKEIVAEVD >itb05g11920.t1 pep chromosome:ASM357664v1:5:18189470:18200039:-1 gene:itb05g11920 transcript:itb05g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDEDLKMALRMSLQSDSPEPKRSKPGENAVGGEEEESPEVRNRRKQRELMAAAAEKRMMAAKHAAAAVSASAAATVVGTVETRGAIAAKQEKSGGSSARTDKALNLVKREDKNVNLGKELSVAEAEQLFSLIFGSAVTKEVLRQWSNQGIRFSCDPETSMGLVQHEGGPCGVLAAIQAFVLKYLLFSMEELNTTMPSISTNTGLRGFSKHEYVGADIFSSLTEDKKSIALVRSMAEILFLCGTNGRAVIASLRILDGDLEGSVDSSRDEVIAKALDGLTIESGLDFHNVLIVNEYTSPASALERIEAMLPVYRSRMGAMLFLISALLSRGMDSVQADRDDPSQPLVTAPFGHASQEIVNLLLCGRAVANVFDGMMDLGGGMFVKGISTTVEVGFLTLLESLNYCKVGQYLKCPRWPIWVVGSESHYTVLFALDTKVQEENELEGRESQIRRAFDAHDQSGGGGFIGVEGFHQVLRDTNIILPAEKLEQLCNTGFIVWSEFWQILLDLDKNLGGLKDSSGLLGKKVFDLYHFNGIAKSVMNGNQASSGREIPIQRPRLTKLRVSVPPRWTPEEFMSDVPAKIDPGAKDTVTEVSKPEPSQHAPLVDCIRTRWARATCSWEGDAPSIV >itb05g11920.t2 pep chromosome:ASM357664v1:5:18189664:18200021:-1 gene:itb05g11920 transcript:itb05g11920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDEDLKMALRMSLQSDSPEPKRSKPGENAVGGEEEESPEVRNRRKQRELMAAAAEKRMMAAKHAAAAVSASAAATVVGTVETRGAIAAKQEKSGGSSARTDKALNLVKREDKNVNLGKELSVAEAEQLFSLIFGSAVTKEVLRQWSNQGIRFSCDPETSMGLVQHEGGPCGVLAAIQAFVLKYLLFSMEELNTTMPSISTNTGLRGFSKHEYVGADIFSSLTEDKKSIALVRSMAEILFLCGTNGRAVIASLRILDGDLEGSVDSSRDEVIAKALDGLTIESGLDFHNVLIVNEYTSPASALERIEAMLPVYRSRMGAMLFLISALLSRGMDSVQADRDDPSQPLVTAPFGHASQEIVNLLLCGRAVANVFDGMMDLGGGMFVKGISTTVEVGFLTLLESLNYCKVGQYLKCPRWPIWVVGSESHYTVLFALDTKVQEENELEGRESQIRRAFDAHDQSGGGGFIGVEGFHQVLRDTNIILPAEKLEQLCNTGFIVWSEFWQILLDLDKNLGGLKDSSGLLGKKVFDLYHFNGIAKSVMNGNQASSGREIPIQRPRLTKLRVSVPPRWTPEEFMSDVPAKIDPGAKDTVTEVSKPEPSQHAPLVDCIRTRWARATCSWEGDAPSIV >itb02g10640.t1 pep chromosome:ASM357664v1:2:6880100:6880833:1 gene:itb02g10640 transcript:itb02g10640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKQAHLAILFLFIFLSTLALSEAAAVDADPATVYVNPATFADIDGDGAFIEYGEAEGEGEEMTMMNSELHRRMRAGAENIPKTIRFPKHR >itb05g23440.t1 pep chromosome:ASM357664v1:5:28546322:28555128:1 gene:itb05g23440 transcript:itb05g23440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGEATTEEEMNGVEESEYEVVDLRDKINSTRGSRFKLMENELGLESIRRKFSRQIVINGLKDLSQGLVIHPENWWYRTWEKFILVWAIYSSFFTPFEFGFFRGLPEKLFFLDICGQIVFLVDIVVQFFVAYRDSQTYRMVYKRSPIALRYLKSHFVIDLLSCMPWDIIYKAVGEKEEVRYLLWIRLCRARRVTAFFQKLEKDIRINYLFTRIIKLITVELYCTHTAACIFYYLATTLPEQKEGYTWIGSLKLGDYSYSHFREIDLWTRYTSSMYFAIVTMATVGYGDVHAVNNREMIFVMIYVSFDMILGAYLIGNMTALIVKGSKTERYRDKMTDLLKYMNRNRLGKSIRSQIKGHLRLQYESNYTDSAVLQDMPISIRAKISQSLYQSYIENVPLFKGCSAEFIGQIVTKVCEEFFLPGEVIMEQGNAIDQLYFICDGVLEQVIIGKDGTEETVALLEPNSSFGEVSILCNIPQPYTIRVCELSRLLRIDKQSFSNIVDIYFHDGRRILTNLLEVKESKISLKQLNSDITFHIEKQEAELALKVNCAAYHGDLNQLKTLIRAGADPKQKDYDGRSPLHLAASRGYEEIALYLIREDVDLDAQDNFGNTPLFEAIKNGHDRVASLLVKEGASLKIENAGSFLCSVVTRGDSDLLRRLLSNGVDSNSKDYNHQTPLHVAASQGSYLMANLLLEAHASVLLKDRWGNTAVDEAIKTGNKQLIELLNEAKSAQLSKLPAGCLEDLKDNQMSRKKCTVFPFHPEESKDVKKPGVVLWVPGSVEELVKTGSEQLSLPSGSFILTEDGGKIIDVDTISDGQKLYLISETH >itb03g16460.t1 pep chromosome:ASM357664v1:3:15413810:15414933:1 gene:itb03g16460 transcript:itb03g16460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQPMLLNIGLNTPSPRPRIQSPFQSTVHVQKLRRVNVLILILRFLAFCFSLAAAIFMFTNFRDSGSKWQHYDAFRFVAFANAIVAVYSLLQVGASVWEVSRGTTVFTEIVQVWFDFCLDQVFAYLLLSIDAAGAAFARTMKDAGTCAADNAFCVQSYISIALGFAGFVFLGSSSLLSGFRVVCFVINGSRFRL >itb01g07740.t1 pep chromosome:ASM357664v1:1:6121657:6124454:-1 gene:itb01g07740 transcript:itb01g07740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLSSLRGHFFKHLSRTTIIAANFSSGRRIHLLRSPSCHSFFPSTPTCSRKHQHELIISSAALSTASSSSPSGTLSGDSFTSPSYLSVRIRCQKDVADMLSETLLCFGASSTTVDEGDDNGVCLDCVFAVNQDVKQCISRAVDSIGLGEIPSYEVVVQNHIDWIKATQESFQPLEIKEGLWIVPDWIPVPDPRATNIILNPGLAFGTGEHTTTKLCLLLLHDIIKGGESFLDYGTGSGILAIAAIKFGAALSVGFDIDPVAITAARHNASLNDIGPDKFLVELVPESSTLPNDNSSSVEMSIPHVNDMDVLNERDKYDVVIANILLNPLLELADQIVSYAKPGAVVGLSGIISEQIPCILEHYSKLLDEMKVTEMNDWACVTGLKKRIP >itb07g07920.t1 pep chromosome:ASM357664v1:7:6210323:6211107:1 gene:itb07g07920 transcript:itb07g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSFKKRVRDDSDELAFNSPEVKRLRENLLDDLDDSEFSAATQDLYSFMKSFEDEITASPLPAAESVSVDDLTAGTRPDLGFLLEASDHELGLPPPTASPSRVENELTTQLVGVPSESIEFGVGGGSWGLEEQIPSCDSFELGILDLENFDFSGGEYVALDGLFDHSDLGFGSTDFLR >itb07g08450.t1 pep chromosome:ASM357664v1:7:6646468:6649044:-1 gene:itb07g08450 transcript:itb07g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQLVIAAVFIMIFAAVVRKPKKSPKPAGVPPPPPGPPGLPLIGNLHQFDAKNPHLYLTNLAKKYGPVISLKLGSIPVVVISSASAVKQALKTHDKTFSGRPEVTSQQKLSYKGLDIVFSQYNDHWKEMRKTCNMHLFSPKNVQSFRPIRQQEVSLMLKQISKTAGSPEPINLSDTAMSTAVDFICRSAFGRKYEGEERIKFEEEFHEAQALLVHFFVADLFPSLGWIDRLSGMTEKLEKSFREADGFAQRLVDEHLSVSRPASMEGDIIDVLLRLKKQQSSTQHLTFDHIKAVLLDILVAGTETIATTVVWAMTNLMMKPAAMEKVQAEIKQLVGNKHFVDEDTIQRLPYFLAVVKETLRLYPPTPLLQPRETTDKCTIEGYEIQAKSFVIVNAWAIGRDPEYWEDSEEFRPERFLNSGIDYKGQDFELIPFGAGRRICPGLNMGIASVEVILANLLYSFEWEMPPGLKREEIDTQVLPGITMQKKIPLCLLAKNSS >itb07g18630.t1 pep chromosome:ASM357664v1:7:23097748:23102962:1 gene:itb07g18630 transcript:itb07g18630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEAPSAEDSNQKSDQSFVNDFDSNTMRKTKPGRKRLFLTLTIFISFLIGLPFLLKSIEIHRAPLPFKDIDSLALSIERSPLLFPCKFQVVFVNLDHSTTSTASELSFLITSQMQKLTSTKSPVCGTCLSNFTVAVTLESSSSNCIYSENQDAVWKCGALSGLDFEKSLDNTDDFLESVLDSRSSRVYTVVVVNRDEEVRAVVGKYRHAWIVGKLSEQEAVEKVVEIFVEVFVNGGKEEGSIHGEFMPVGADGRVVLSFNLLNADPRDWVYDWDFQELDDMLLAPIVQALGPIADISVESQVLYHTPKSSFSGWDEKQGSYIFSIKDLPFFVNSNEWHLDTSTAAGGRSKVLHFVVYVPSEEECPLQLLLPNGKLSKTNGFISPMWGGVVVWNPPSSCSRNSEMQPLRHKISSEELKKVFEVFMGQLRQLFGLNSDSLYVGATATSRLLTSEKGFTQWELDFISRQHTCFNLLQCGTTLGSLSRLVQSLPRMIIMDEIGKQVKFSLDAAKSSLSNASIGNYDASAVSSRQARSLAEDAFYHPSMMSVSYYSFEHCFAVYSPFFLPVALHVILAVLREWKRCKQENKKYLAWKAKVN >itb11g08820.t1 pep chromosome:ASM357664v1:11:5775863:5778615:1 gene:itb11g08820 transcript:itb11g08820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLQTINSSSVKIRGEYRSVLLQVISIVPAISGAELWPDHGFFIKVSDSSHSTYVTLSRHDNDLILNNKLQLGQLFYVDRMEAGTPVPVLVGVRPIPGRHPFFGSPKDLMQMMEASEGPVESAEREGEVKKEISGKNSKKIVIKEEKVGVASRYMQGVLTAAQMKAKGSDENENNGGGGQGVGGLRGKQQEIKVQARPTTPSARSDAVLTSNSTINGVSSKTVPKIPTPKRTNKQENVDVNFMSNNRQNILSSDPISWSSLPPNLVKPGKGMLRMKKLSSLIAAEAQAEAMAAKNVLQCLSMFADLCSSASPENPHLSMTKFFALHDLIEQPKIAAKKEQRVLDDYCLTKLSVTDNEKHNKKTDSNLSKGKLKPSKPPLELGVTEKQEWARGDGLKATKDLRESLSNESHLWFLKFLGAALDVGFQVNNNNNNNNNKENKSKGRQEEVITGGNHIALTLSLLKQANEWLDKVMMRGNLGSEMHGFVERLKQKVYACLLVHVDSAASALDTRSHHK >itb02g06890.t1 pep chromosome:ASM357664v1:2:4316101:4317740:-1 gene:itb02g06890 transcript:itb02g06890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MLEEGDNPFPALPGPTQAETTTPRVLTILSSVLEKLVARNDRLALLCLDGGTENNTDGSSSSSSSSSAGFRLGKSLNAFHGVRAPSISIPKYIERIYKYTNCSPSCFVVGYVYMDRLAHKYPNSLVISLNVHRLLVTCVMVASKILDDEHYNNAFFARVGGVSNAELNKLEIELLFLLDFGVSVSSQVFECYCQHLEKEILQCNGIGGDATILNIIQRGVEAYALDDDTKHASSPLPPPNFAD >itb10g16600.t1 pep chromosome:ASM357664v1:10:22895221:22896672:1 gene:itb10g16600 transcript:itb10g16600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRPHKALPILRGQGMETTVTAALKPSLLPSPNHKTAFITSDRFCVTPLKRSTLFPYSSAARSLTLRCTGGNGSSSEKGEPRNLKDALSGMVDARLEELLTREENRVLFDGLEQATRRVELAKKELAEIERQEVEAKAMRDYIIQLETRASEIAECQKDIAEARAMIKEAEQALGSGEALGESGNEAMSINDERLESVKAALISAVVGTIAGLPISLTRIATTSELILPLAITFVSCALFGVTFRYAVRRDLDNFHLKSGTSAAFGFVKGLATLSNGPPLELNSASFISHALDGATSVSENLLIFLFAAVGLDLCIKLRILSPFPIDRSAQD >itb13g05290.t1 pep chromosome:ASM357664v1:13:6257632:6260418:-1 gene:itb13g05290 transcript:itb13g05290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQADEISNLIRERIEQYNREVNIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESKNVGVVLMGDGLMIQEGSSVKATARIAQIPVGDAYLGRVINALANPIDGRGEISASGFRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVTTLQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLVIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKSSSKLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKASQNQLARGQRLRELLKQSQCAPLSVAEQIITIFTGINGYLDSLELGQVKKFIVELRTYLKTNKPRFEEIISSTKIFTEEAEALLKEAIRDQMDRFLLQQQV >itb04g00020.t2 pep chromosome:ASM357664v1:4:16550:19188:-1 gene:itb04g00020 transcript:itb04g00020.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPEDLEKLYSEVHLLRQLKHENIIKFCDSWIDDKKKTINMITELFTSGSLRQYRKKHKTIDMKAIKNWARQILQGLAYLHSQNPPIIHRDLKCDNIFVNGNRGEIKIGDLGLATVLQQSTAKSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTLDFPYSECKNPAQIYKKVTSGIKPASLSKVTDPEVREFIKKCLVAAPQRSPAKELLKDAFFQFEKYKKPLYVPLQLPTQIPRSLSSLNYEPNSMDIDPEHNQSAGTDSNCGSPHAQVLEFQRFHRNNEFKLRGEKNDDSSISLTLRIADPCGQVRNIHFLFYLDTDTALSVAGEMVEQLELAKHDVAFIADFINYLIMRIIPGWKPSSECDSSGGKSTCEGDEAMTGCSKSTLHQDDISSSQSEISSQADKGKVYLHSRVASPHVAEMEDKESQGSIAFEVMVMGNHVSARNRMSSGSVDYGVDGMCKGSGRMISDMDLWDLCQDEYKVQGNGNGSGNVSELTKHMEWTFADMSGTRLFLNPAGNSLSLMEKTRETELNVHLASIEEQYQQWFQQLSRMREEALQAARKRWITK >itb04g00020.t1 pep chromosome:ASM357664v1:4:16550:20218:-1 gene:itb04g00020 transcript:itb04g00020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTIIVRREAIGTRVFRGIIPSAADSRAPFGRRLLQPPLIRLRFSSLFLAFLWAVVFLSVFPFSFSSYPSLVSSSTAVWSVAGMTSSGTRSGSFHSGNGPGLGIGNGSFLGFSANGKYRLFASSNEQPDLEEVDYVEKDPRGRYLRYNQVLGKGAFKTVFKAFDQIDGIEVAWSRVKIADMLQSPEDLEKLYSEVHLLRQLKHENIIKFCDSWIDDKKKTINMITELFTSGSLRQYRKKHKTIDMKAIKNWARQILQGLAYLHSQNPPIIHRDLKCDNIFVNGNRGEIKIGDLGLATVLQQSTAKSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTLDFPYSECKNPAQIYKKVTSGIKPASLSKVTDPEVREFIKKCLVAAPQRSPAKELLKDAFFQFEKYKKPLYVPLQLPTQIPRSLSSLNYEPNSMDIDPEHNQSAGTDSNCGSPHAQVLEFQRFHRNNEFKLRGEKNDDSSISLTLRIADPCGQVRNIHFLFYLDTDTALSVAGEMVEQLELAKHDVAFIADFINYLIMRIIPGWKPSSECDSSGGKSTCEGDEAMTGCSKSTLHQDDISSSQSEISSQADKGKVYLHSRVASPHVAEMEDKESQGSIAFEVMVMGNHVSARNRMSSGSVDYGVDGMCKGSGRMISDMDLWDLCQDEYKVQGNGNGSGNVSELTKHMEWTFADMSGTRLFLNPAGNSLSLMEKTRETELNVHLASIEEQYQQWFQQLSRMREEALQAARKRWITK >itb06g11090.t1 pep chromosome:ASM357664v1:6:15607775:15608335:1 gene:itb06g11090 transcript:itb06g11090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNILLQSSYQTAIFVTLQLKGTAILGISQEADESMIFNAFVFCQLVNIFSSRGLKELNIFKSFGQNPWFWVGSVAFVVIHLPFDAVYRFIAGDAGWNWKQWLECCLIWAVSWLMDLIAKFQITEIAKHWAQELGSTSDWLIRIMRRSTSMNLNASAPSESMSNLQNPLMAAESTANTVQSNSSG >itb11g05040.t1 pep chromosome:ASM357664v1:11:2943548:2945316:1 gene:itb11g05040 transcript:itb11g05040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSPPSYAAVLEQCSVAPPPGKVAAFSLPLTFLDLQWLHSSPAHRLIFYQHPISTTHFKDTIIPHLKTSFSIALQYYPLLAAKLAVPSDNSTPPEFVYGDGDGVSLVFAVSDDNGRFDRLSSNHARNCNEFYPLIPTLPSVTRGMFAVKVTLFPNAGICVGIASYHVAADDSSNFGFMKAWTALSILAHKSSPLSLSDEYLPFLDRTAIEDYPKGLKTVAWNQMKHIKIINDDGDGDCVPFADVTGKARATFIVTRNDVEKLKTHVISSGTKKTSSFVVTCAYVWICLLRSQCLGPSVNRDGDDDDDDDTVYFVCAADCRARLDTPLPAKYFGNCVVPCIARAKAGRLIAAEEGLAVAAEAIGDAIKWQLQGEEGVLRGAENWMSFFATVNHERILSVSGSPRFDYYEMDFGWGKPKKTEITSIDNSGGFQVGCAKESLDIEVGVSVPATKMHLLKTLFDEGLQA >itb12g03330.t1 pep chromosome:ASM357664v1:12:2169988:2171912:1 gene:itb12g03330 transcript:itb12g03330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDHAPTLPAPKVCVTGAAGYVGSWLVMKLLQRGYVVHATVRDPGTNNEIIRPAVKGVLSIINSCAKAKTVKRLVFTSSAVTLLVQENPKPVYDESSWSDLDLIYAKKMPGWMYFASKTQAEKEAWKAAKEKQIDFISIIPPLVIGSSIVPTVPLSSIIALSPVTGNEAHYFVIKQGQYVHLDDLCEAQIFLFEHRKAEGRFICSSHDATIHDLAKMIRQNWPEYYVPSEFKGIEKDLPVVSLSSKKLLDIGFQFKYTLEDMYREAIETLRNKCVLPYSIKPPPKEQEWENGKTLEA >itb12g09330.t1 pep chromosome:ASM357664v1:12:7319590:7325698:-1 gene:itb12g09330 transcript:itb12g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQRPLLIPSPRTAGVEELPYTPVFIDQLKESKSVSHTTKSPFGMDSNTHSEISTFPESFHSSSRRSMSSNRFRDSRAGSIKEETFGGLGSRGMRNGSYGAGSEGFSRPLKEISDEDARLVYINDPSKTNENFEFARNSIRTAKYSIITFLPRNLFEQFHRVAYIYFLVIAILNQIPQMAVFGREASILPLAFVLFVTAVKDAYEDYRRHRSDKVENHRLASVLINGQFQQKKWKDVMVGEIIKISSGEPIPCDMVLLSTSDTTGVAYIQTINLDGESNLKTRYAKQETQMKNPENEKVDGLIKCEKPSRNIYGFQANMEIEGKRVSLGPSNIILRGCDLKNTAWAIGVVVYAGQETKAMLNNSGAPSKRSRLETRMNREIIILSFFLVALCTVISICAGVWLRHHKDDLDIIPFFRRKDYSEAEVENYNYYGWGAQIVFTFLMCVIVYQVMIPISLYISMELVRIGQAYFMIQDNRMFDEASNSRFQCRALNINEDLGQVKYVFSDKTGTLTENKMEFQCASIWGVDYGSTKSSLVEDQFAFNVQVDGQVLRPKMKVMVDPELIKISKSGKHTDQGKRIHDFFLALAACNTIVPITEDSSDPAVKLIEYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGEKQRFNVLGLHEFDSDRKRMSVILGCPDNTIKLFVKGADTTMFSVIDTSLNSDIVHATESHLHSYSSTGLRTLVVGMRELSASELERWQSSYEAASTAVIGRAALLRKVAIDIESDLSILGASGIEDKLQQGVPEAIESLREAGIKVWVLTGDKQETAISIGYSSKLLTSTMTHITIKNSSKDSCKRSLEDGLRLTKKHAAHNSEANSVASTSSVALIIDGTSLVHILDSELEEQLFQLASNCTVVLCCRVAPLQKAGIVALIKSRTDDMTLAIGDGANDVSMIQTADVGIGISGQEGRQAVMASDFAMAQFRFLVPLLLVHGHWNYQRLGYMILYNFYRNAILVLVLFWYALFTAFTLTTAITDWSSVLYSIIYSSLPTIVVGVLDKDVSRTTLLKYPQLYGAGQREESYNGKLFWVMILDTVWQSAVAFFVPLIAYWKRDIDISSLGDLWILAVVILVNLHLAMDVIRWNWITHASIWGSIVATVICVIIIDALPFLPGYWAIFHVAGDATFWLCLIGIIVAAHVPRFIVKVFVQHFTPSDIQIAREEEKFGHRTVSHNVEIEMNPVFDSPQ >itb09g17020.t1 pep chromosome:ASM357664v1:9:12246300:12246791:1 gene:itb09g17020 transcript:itb09g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRSLNAELPSLINMGILSRFLPSLPWLLLALLQIFSLALAADKSLYIVHMDKSAMPRAFATHHHWYSSAIDSVKTSSHPHPPKLIYSYDNVIHGFSAVLSEEELEALKLSPGFVSAYKDHPFGLHTTYTSDFLKLNADTGLWPASKFGEDVIIGVIDSGV >itb05g16690.t1 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQGPNYFEIDLDIHRFGYIARKGLDAFRERLREGILDLGLTIQAQKPEELPEKVLCCIRLNKIDFIDGGQIPTLMRHGDDSFSDSE >itb05g16690.t3 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQARIDTIFCFFDSCN >itb05g16690.t4 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQARIDTIFCFFDSCN >itb05g16690.t8 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRYVYPPILTPKCKLLLNGMLPRVMQIHHITRNFTTISSSCLHILLQCSSGMLTGRV >itb05g16690.t11 pep chromosome:ASM357664v1:5:23843393:23848026:1 gene:itb05g16690 transcript:itb05g16690.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQGPNYFEIDLDIHRFGYIARKGLDAFRERLREGILDLGLTIQAQKPEELPEKVLCCIRLNKIDFIDGGQIPTLMRHGDDSFSDSE >itb05g16690.t9 pep chromosome:ASM357664v1:5:23844628:23848026:1 gene:itb05g16690 transcript:itb05g16690.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEYLVIFWIAGKVGFSFVDSIMGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQARIDTIFCFFDSCN >itb05g16690.t6 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRYVYPPILTPKCKLLLNGMLPRVMQIHHITRNFTTISSSCLHILLQCSSGMLTGRV >itb05g16690.t5 pep chromosome:ASM357664v1:5:23844628:23848026:1 gene:itb05g16690 transcript:itb05g16690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEYLVIFWIAGKVGFSFVDSIMGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQGPNYFEIDLDIHRFGYIARKGLDAFRERLREGILDLGLTIQAQKPEELPEKVLCCIRLNKIDFIDGGQIPTLMRHGDDSFSDSE >itb05g16690.t10 pep chromosome:ASM357664v1:5:23844628:23848026:1 gene:itb05g16690 transcript:itb05g16690.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEYLVIFWIAGKVGFSFVDSIMGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRYVYPPILTPKCKLLLNGMLPRVMQIHHITRNFTTISSSCLHILLQCSSGMLTGRV >itb05g16690.t7 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQVCLSSDPHSKMQALVERDAASCNANSSHNPQFYYNFFQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQARIDTIFCFFDSCN >itb05g16690.t2 pep chromosome:ASM357664v1:5:23844522:23848026:1 gene:itb05g16690 transcript:itb05g16690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSPRIRVRRKCHRRPKRLCRKVPSSVPGETKKRNSNAGPRVTDIAVSEIVHTATMCRRQEVSNPALHLSQLQWHHSQIDATVICQEEAWFDTVSVLESDSDDDFSSVHGEFLPHIPSGQVLQYEASSCLVDSKCKYKEYHERYLKIDGSRTDKLLSKDAKDPSVLAVIGTQGYELPSFGRTEEFGNTRKKTLDCSYEGFNSIKCDIRDTVSGHEKTQGNVLKSVLPKLVNSLSFNDKIISVPSPGLHSQRRQSTIIRLSVTRTSIDQVETDEYCASKMHLFRPRAGLIIPHCTEEKPTSGTWSAIKPSNFTLRSSSFFRDKKKSPAPNTCPYAPIGVDLFVCPRKINHIAQHLELPSIKADRKIPPLLIVNIQLPTYPAPMFLGDADGEGLSLVLYFRLSESFENDISPQFQDNIKRLVEDDMERVKGFAKESMVPFRERLKIMVGVVNPEDLVSNSTERKLLNAYNEKPVLSRPQHSFYQGPNYFEIDLDIHRFGYIARKGLDAFRERLREGILDLGLTIQAQKPEELPEKVLCCIRLNKIDFIDGGQIPTLMRHGDDSFSDSE >itb09g13960.t2 pep chromosome:ASM357664v1:9:9177102:9181642:1 gene:itb09g13960 transcript:itb09g13960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPIFLMDLRQSR >itb09g13960.t3 pep chromosome:ASM357664v1:9:9177862:9181316:1 gene:itb09g13960 transcript:itb09g13960.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPIFLMDLRQSR >itb09g13960.t8 pep chromosome:ASM357664v1:9:9179187:9181316:1 gene:itb09g13960 transcript:itb09g13960.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFAELLLTFFSPIMFYIQLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPIFLMDLRQSR >itb09g13960.t6 pep chromosome:ASM357664v1:9:9177102:9180857:1 gene:itb09g13960 transcript:itb09g13960.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t9 pep chromosome:ASM357664v1:9:9177480:9180860:1 gene:itb09g13960 transcript:itb09g13960.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIQLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t5 pep chromosome:ASM357664v1:9:9177102:9180857:1 gene:itb09g13960 transcript:itb09g13960.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIQLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t10 pep chromosome:ASM357664v1:9:9177480:9180860:1 gene:itb09g13960 transcript:itb09g13960.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t7 pep chromosome:ASM357664v1:9:9177102:9180857:1 gene:itb09g13960 transcript:itb09g13960.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t4 pep chromosome:ASM357664v1:9:9177144:9180818:1 gene:itb09g13960 transcript:itb09g13960.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIQLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t11 pep chromosome:ASM357664v1:9:9179158:9180857:1 gene:itb09g13960 transcript:itb09g13960.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFAELLLTFFSPIMFYIQLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPV >itb09g13960.t1 pep chromosome:ASM357664v1:9:9177102:9181642:1 gene:itb09g13960 transcript:itb09g13960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLNESKAPSRIGDRPTSDVVVRIRTQDGRDHRVYCHSHILVQQSKYFADRLSDTWPTCQILDSRNCVEVHCEESDLDYYVNVLRLFYVISDCSVTDICQGVRSALGILRAAFKLGCPQIITACAEYLEAVPWEETEEEDILKTIPGMGSQVAPVIARLQPVLPSAVVKIFLSAMQFATSLPPDSLNDLKTTAQEQLEYMVVEDDDAPLLTADNEIKLEVVQCIKRLHDRFSQLVESLLCDVQQSMSEDGKLQLFKSCLSDLSWACQILTKLEIMRDFVHRWTEISVKIIKVVQQLSPEAETIGAKLKVLEVAAKVLEAIGYGTVVLPATKRLHMAKVWLPFVRAMKPLIDSVIVDSEDDLIPKIDGDLWQSLEASFVSMILTLPSADQAEILTEWWTNQHVQYPDLTEAFEAWCYRSKAAKRRLAMLEGDHSTANPIFLMDLRQSR >itb15g03080.t1 pep chromosome:ASM357664v1:15:1947069:1948517:1 gene:itb15g03080 transcript:itb15g03080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDAAARERDAAMREREEMQYNAEMHKREAQDAVGREKDARVAAMREKDAATRKKEEMQHVAEVNKREAHDARVAAAREKDARVAAAREKQEMQHVAEANKGQALDAAAREKDARVAATREKDARIAAEREREEMQHFAEANRREALDAAAREKDARVAATREKEEMRNLAEVNKREALDAAARVKNARIAAAREKEEMQHVAEANKREALDAATREKEEMQRLAEANKREALEAAARAKDASAAAAREKEEMQRLAEAYKREAHDVAAREKDARLAATREKEEMQYVAEANKQEALIAARREKEAAAREREAAKREKDAVAREREASAREKGAATRNAEVNKQEAHEWAKREEDAAKREKNAANREKEAAKREKEAMQHDVEVNKWETHNLNVTERHVATGGRGFATYDETTDFGTPPAYGDRVIPNICGEVPAPAPVHTQPPNHPTGNLNPPVHSEPPTGVLNPDEDASDVPTRPTYY >itb02g07300.t1 pep chromosome:ASM357664v1:2:4521288:4523038:-1 gene:itb02g07300 transcript:itb02g07300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKFSGKSMKMEVYVVVFMALMLCFCGGSFAGLLSQRAQPLDPDDNSNPNTVPAFPVQSETQTCRLDLSDELFGGVSAACGGDLDRSRCCPVLAAWLFAAHARAALQISAAAPPSGSDLPMVPDDNQKCVNSLQTSLQSRNIHLPQPNASCDAVLCFCGIRLHQITSLTCSAAFNLTAPRNATPTAALANLERNCRNASYSGCTKCLGALQKLNGGGAKNGTHKVRNGQGAAYDSDRVTKMLNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPPHDSKCSPDQENMPLAVNSLQFEKSDSSSSSSASSLPIFFRTLPLLILGVNILLINY >itb11g08440.t1 pep chromosome:ASM357664v1:11:5550639:5553687:-1 gene:itb11g08440 transcript:itb11g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNKARKRALHRLLFLERQLPASRLFSRSDQPSNPIFRLRETNCSVLFCSPMVDLGKGASFPTADNPFARLPDHILVEIFIRVPITKWSQLSCVKKQWANLFREECLWHAALIRTFPLAAQARRWPGPIPRGTSKRRYAALYISKHMFSLDDEVDEIVGHSYLFLKEQLEISKMPPPSGILHGTIIDQFIACAKSRDKAHELASLIWLAVIDNMEENEQTLLILKRLASEGDVILPFPYSRSSKVQWRVFDRLFTDFRDCLNHVKYCEILAYAKQRFQPIPSSWLGY >itb11g08440.t2 pep chromosome:ASM357664v1:11:5550828:5553687:-1 gene:itb11g08440 transcript:itb11g08440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNKARKRALHRLLFLERQLPASRLFSRSDQPSNPIFRLRETNCSAVLFCSPMVDLGKGASFPTADNPFARLPDHILVEIFIRVPITKWSQLSCVKKQWANLFREECLWHAALIRTFPLAAQARRWPGPIPRGTSKRRYAALYISKHMFSLDDEVDEIVGHSYLFLKEQLEISKMPPPSGILHGTIIDQFIACAKSRDKAHELASLIWLAVIDNMEENEQTLLILKRLASEGDVILPFPYSRSSKVQWRVFDRLFTDFRDCLNHVKYCEILAYAKQRFQPIPSSWLGY >itb11g08440.t3 pep chromosome:ASM357664v1:11:5550639:5553687:-1 gene:itb11g08440 transcript:itb11g08440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNKARKRALHRLLFLERQLPASRLFSRSDQPSNPIFRLRETNCSVLFCSPMVDLGKGASFPTADNPFARLPDHILVEIFIRVPITKWSQLSCVKKQWANLFREECLWHAALIRTFPLAAQARRWPGPIPRGTSKRRYAALYISKHMFSLDDEVDEIVGHSYLFLKEQLEISKMPPPSGILHGTIIDQFIACAKSRDKAHELASLIWLAVIDNMEENEQTLLILKRLASEGDVSKYQTIILIIISCTFFCHS >itb11g02390.t1 pep chromosome:ASM357664v1:11:1204010:1209751:1 gene:itb11g02390 transcript:itb11g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLIPERYVGDCRFGVGVFFIFSFSAMFDWNDEELTNIIWGETGESDDHIVPYPDESDGKPPASRGDSVKEEWDLEASNAKPGDQKKPALKSGFDIKLESSSKHATDEALPALAFGKDSWPDLSLSNTTKMDQGSSCKETTNDVTEISKHEDGTAQPGINSEIFQKQQVDGEQSDFIDYGWDNIGSFDDLDKIFSNDDPIFGHTSLSNTEELWPSSKDLTSSPDKSIPMSIDSPSLGLGALRSTSEKFETKAEYMLDRNQPFARAYGEVNNITPNVSETLHAYAGGKNFPIMKEKTALEMVGKPPAFSLQLDTGMAGIPNQPTGKFISCVQENLQKRPLKGRIKSEEQSQISQLQDICGSWSSSMNQLNSHYISVNQHCPPVGIPQPRHLQVPQSLQYKQYPGHMLASPVSGDFSNQYPMPALTQFHAGQGCHQSITSGYDASPRSINTLNKSSDAPSKSLMMTPQEKIEKLRRRQQMRAMLAIQRQQQQFGNQVLSTDHSMTEGGNAEVEESLCTIPTLDPNSPLEQNDSNTVGIAVEDRSMEESVLYRLQDIISKLDIRIRLCIRDSLFRLAQSAAQRRHANDTSSTNKGSKEMVPNNEEINSNRIARLPNVETETNPIDRTVAHLLFHQPMDLSPKVAEMPESQLSDKLYYESKATCSLSLPTRNLPPSSESHHAISPHGVKTPSSCPEGEQPQNSPCLEASENASNNEAADSGVVGVEPSTI >itb11g02390.t2 pep chromosome:ASM357664v1:11:1204010:1209751:1 gene:itb11g02390 transcript:itb11g02390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLIPERYVGDCRFGVGVFFIFSFSAMFDWNDEELTNIIWGETGESDDHIVPYPDESDGKPPASRGDSVKEEWDLEASNAKPGDQKKPALKSGFDIKLESSSKHATDEALPALAFGKDSWPDLSLSNTTKMDQGSSCKETTNDVTEISKHEDGTAQPGINSEIFQKQQVDGEQSDFIDYGWDNIGSFDDLDKIFSNDDPIFGHTSLSNTEELWPSSKDLTSSPDKSIPMSIDSPSLGLGALRSTSEKFETKAEYMLDRNQPFARAYGEVNNITPNVSETLHAYAGGKNFPIMKEKTALEMVGKPPAFSLQLDTGMAGIPNQPTGKENLQKRPLKGRIKSEEQSQISQLQDICGSWSSSMNQLNSHYISVNQHCPPVGIPQPRHLQVPQSLQYKQYPGHMLASPVSGDFSNQYPMPALTQFHAGQGCHQSITSGYDASPRSINTLNKSSDAPSKSLMMTPQEKIEKLRRRQQMRAMLAIQRQQQQFGNQVLSTDHSMTEGGNAEVEESLCTIPTLDPNSPLEQNDSNTVGIAVEDRSMEESVLYRLQDIISKLDIRIRLCIRDSLFRLAQSAAQRRHANDTSSTNKGSKEMVPNNEEINSNRIARLPNVETETNPIDRTVAHLLFHQPMDLSPKVAEMPESQLSDKLYYESKATCSLSLPTRNLPPSSESHHAISPHGVKTPSSCPEGEQPQNSPCLEASENASNNEAADSGVVGVEPSTI >itb06g25670.t1 pep chromosome:ASM357664v1:6:26612249:26614962:1 gene:itb06g25670 transcript:itb06g25670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVENGDLMDMMEEKMRIISSTGGVSEKPDRKTVERKRRIHMKYLCGKLVSLIPPHHFYPSKQESVSQQDQLDQAATYIKALRERVEKLEKMKKKMSWGEEEEEGEEEEKSATTAAVENGDLMDMMEEKMRIISSTGGVSEKPDRKTVERKRRIHMKYLCGKLVSLIPPHHFYPSKQESVSQQDQLDQAATYIKALRERVEKLEKMKKKMSWGEEEEEGEEEEKSATTAAGCTLLKSPVIEVRDLGSTLEVVLMSATNKPNLMLHQLIKIVEEEGAQVETASFSTVGDKVKVARLGMETSRVYGRLKQLIT >itb08g15100.t1 pep chromosome:ASM357664v1:8:17144529:17145460:-1 gene:itb08g15100 transcript:itb08g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVAQTRVRYNKGKRNPCSQITVCKNRLEPETIAKTNGTIAKIKGTIAIVTQGRRRRHRQPGVIANQCRRCTLPESRPIASHCLLPSSNATVSIADHRIKARPHKIAGTDPERGIEKVCMV >itb12g05920.t1 pep chromosome:ASM357664v1:12:4426654:4427913:-1 gene:itb12g05920 transcript:itb12g05920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHPLQKQKHRNEGQWSRVSEETSFGAFRKAVLPISTTLVIFATFNFTSYNISDSLIQSSKLIPGGECNIFQGNWVPFPEGPYYTNVTKCDIEDRQNCMKFGRPDTGFLKWRWQPDRCELPLFDGRQFLEIVREKTMAFVRDSVARNQMQSLKCLLASVADPVKVSDGANGRWVYKEYNFTLLSFWCRT >itb08g09620.t1 pep chromosome:ASM357664v1:8:8856989:8859935:1 gene:itb08g09620 transcript:itb08g09620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVNGSESKQYKFLIYGRTGWIGGLLGKLCEAQGIQYDYGSGRLENRASLESDIASVNPTHVFNAAGVTGRPNVDWCETHKVETIRANVVGTLTLADVCREKGLILVNYATGCIFEYDSGHPLGSGVGFKEEDTPNFIGSFYSKTKAMVEDLLKNYENVCTLRVRMPISSDLSNPRNFITKIARYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYREYINPSFTWKNFTLEEQAKVIVAPRSNNELDAAKLKKEFPELMSIKESLIQYVFKPNRKTPVA >itb15g22570.t1 pep chromosome:ASM357664v1:15:25244326:25244679:1 gene:itb15g22570 transcript:itb15g22570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDQMNPFLYKAVVKGNVEDYHEALRQMPEEEARRRQVTPNGNTVLHVAAIHGHKDLVEEILKEVEDDDAAMSLLFAKNNRNESVLHCAAKKGHDSIVSVILSAIKKHEDVESAGG >itb10g05050.t1 pep chromosome:ASM357664v1:10:5051284:5052918:-1 gene:itb10g05050 transcript:itb10g05050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIQKIPSSSSSSPVKPGKTTTNVHSFSLYIFLINAVFFSAVYYLLIRWREKIRHSNPLHVLSFSEIAALFTFLFSSLYLLGFFVRPLHNQEDEEEEQEQDDNNVKKLPQVALEQKPRKCAPKYQLEGDDNDEEIVNAVVEGKIPSYSLESKLGDCRRAAGIRREALERITGKSLEGLPLEGLDYESILGQCCEMPVGYVQIPVGIARPLLLDGKEYSVPMATTEGCLVASTNRGCKAILASGGATSVVFRDAMTRAPVVRFATAKRAAELMLYLHDPKSFPTLSAAFNKSSRFARLRSIKCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDIIGISGNYCSDKKPAAVNWIEGRGKSVVCEAVIKEDIIKKVLKTTAASLVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYIATGQDPAQNIESSHCITMMEAINGGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKEEPGSNSRRLATIVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSNKPPTS >itb02g03790.t1 pep chromosome:ASM357664v1:2:2249884:2254070:1 gene:itb02g03790 transcript:itb02g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) UniProtKB/Swiss-Prot;Acc:Q9SS47] MITSRSEEDNNLSTRNHGLGGDTGKGMRGGSSSNGNVESKKSLESELELMVKRQQQQIDRSMLFERESELNIFRSGSAPPTVEGSLSAVGSLFRNPNFSLFDNGNGNGGSGGALTEEEIRSHPAYLAYYYSHENLNPRLPPPLLSREDWRVAQRFQQAGGSAFSGIVDWRSNGFLDEGGSSSLFSMQPRLSPQMVEDDLMKLRKAAVSNLPRKNSVEQLDQQAAGSAEGAMSGMGIRRKSFANIVQEGLDQPATLSDRVESTQALHTLQAPPGFSRVQKVKNSFTRSSATAPPLDSSLARSRTPEPHPVARSSPGLANGVSIAGSKNLTVLPSEMSEVAELAASLTGLSLPSNQRLKDDGLLHSQLQMRVSNGEEQQQFIDKSGAKRLGSATDYVGRVSDKKIVSALHNSNLDFEGKMNLLRRRSLANLQSPPSATEVASMDNSNALLQVDNFPSGDGHRGGYTYGQKLNMAMNNKLDIGSHLKGANGLNSRSERNEARPNLQSPVTDSWKIQYLKKAACYARNTMAVPDHRSQGRNFIGTSHAYGDLQGLEKVYIEALLEQQRQLYQSPSVHNCDSLGNQYHGNHDSCQGNRTVNSLHPRVGSRSSSFQNEHPRVSSTYKNSTGEPARMWHSESDPKVEGRLASSLLEELKSNKARSIDLIDVLNHVVEFSTDQYGSRFIQQKLETASTEDKMKIFPEIIPHARNLMTDVFGNYVIQKFLQHGTESQRKELATQLIGHVLPLTLQMYGCRVIQKALEVVDVEQQTQMVSELDGSVMKCVRDQNGNHVIQKCIECVPQDRIRFIISSFFGQVVALSTHPYGCRVIQRVLEHCDDPEMQNIIMDEIMQSVCTLVQDQYGNYVIQHVLQHGKPHERSSIISKLAGQIVKMSQQKFASNVVEKCLIFGTPEERKLLVNEMLGSTDENEPLQVMMKDPFGNYVVQKVLETCDDQSRELILSRIKVHLTALKRYTYGKHIVSRVEKLIATGGENNLTCHVIYESPDIAQLVDQFKDS >itb08g01000.t2 pep chromosome:ASM357664v1:8:738597:742945:-1 gene:itb08g01000 transcript:itb08g01000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPHTRDEAKPLADAWDFKGRPALRSSSGGWIAAAMILGVEACERLTTLGIGINLVTYLTGTMHLGNAGAANVVTNFLGASFMLTLLGGFVADTFLGRYLTIGIFTTVQAAGVTILTISTIIPSLQPPKCSPESGSCVPASGGQLGVLYLALYLTALGTGGLKSSVSGLGTDQFDDSDKRERGQMVKFFSWFIFFINVGSLLAVTVLVYIQDNVGRRWGYGLCACAIVAALVLFLAGTRRYRFKKLVGSPMTQIASVYVAAWRKRRLELPSDPELLYNVDDVAGDQEHKKIKMPHSKEFRFLDRAAIKEGVTEVNKWSIATLTDVEEVKQVIRMLPTWATTIMFWTIHAQLMTFSVAQAATMDRHMGKSFEVPAASMAVFYVGGILVTIMCYDRIMVPIFRRVLNNPQGLSPLQRIAIGLALSVVGMMAAALVEIKRLRVARSHTLDKAGTVPMSVFWLAAQYLIVGSGEALTYVGQLDFFLRECPKGMKTMSTGLFLSTVALGLFFSSVLVTIVDKVTVKKPWLADHLNQGRLYDFYWLLAIMSALNLMAFLWCTRRYVYKEKRLAEMGIELEEETHIAGGH >itb08g01000.t1 pep chromosome:ASM357664v1:8:738597:742093:-1 gene:itb08g01000 transcript:itb08g01000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLGGFVADTFLGRYLTIGIFTTVQAAGVTILTISTIIPSLQPPKCSPESGSCVPASGGQLGVLYLALYLTALGTGGLKSSVSGLGTDQFDDSDKRERGQMVKFFSWFIFFINVGSLLAVTVLVYIQDNVGRRWGYGLCACAIVAALVLFLAGTRRYRFKKLVGSPMTQIASVYVAAWRKRRLELPSDPELLYNVDDVAGDQEHKKIKMPHSKEFRFLDRAAIKEGVTEVNKWSIATLTDVEEVKQVIRMLPTWATTIMFWTIHAQLMTFSVAQAATMDRHMGKSFEVPAASMAVFYVGGILVTIMCYDRIMVPIFRRVLNNPQGLSPLQRIAIGLALSVVGMMAAALVEIKRLRVARSHTLDKAGTVPMSVFWLAAQYLIVGSGEALTYVGQLDFFLRECPKGMKTMSTGLFLSTVALGLFFSSVLVTIVDKVTVKKPWLADHLNQGRLYDFYWLLAIMSALNLMAFLWCTRRYVYKEKRLAEMGIELEEETHIAGGH >itb15g17390.t1 pep chromosome:ASM357664v1:15:18048988:18050631:1 gene:itb15g17390 transcript:itb15g17390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASQGPQSSSSVQRFYHQPQQQVEQYYATFHVLNNNVSNDSGSVGEQGFFQTQNEQFFTLDSAPAAIDSVYYDSPPAASVSSNRSAFSPQCSQSYMSDMHHSSDNTTCGSPLSGCSGVVDGNELRHVLRELENKLLGPESEIDDNYSCSFGDAVPKSSSMMKWKRMLDIAPSLDTKELLFACAEAVSDADISTAEVLMNVLEKRVSVSGDPMQRLSAYLLEGLRARILSSGSIIYKKLKCKEPTSSELLSYMQVLYHICPYFKFAYMSANVVIREAMMNENRIHIIDFQVAQGSQWMFLIKSLADRPGGPPFSLRITGVDDSQSAHARGGGLQLVGERLAKFAESCGVPFEFHAAGISGSEVELENLWIQPGEAVAVNFPYMLHHMPDESVSTMNHRDRLLRLVKSLSPKIVTLVEQESNTNTTPFLPRFRETLDYYTAMFESIDAARPRDDRQRISAEEHCVARDIVNIIACEGADRVERHEPFGKWSMRFTMAGFTPCPLSPSVGEAMRHMLQEYSPNFGIAEGHGALYLGWKNRALASSSAWR >itb01g31560.t1 pep chromosome:ASM357664v1:1:35245390:35249425:-1 gene:itb01g31560 transcript:itb01g31560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVCIPFPAQGHINPMLQLAKLLHHKGFHITFVNNEFNHDRLLRSRGSKAMEGLPSFKFEAIPDGLSPSNPDATQDVAFLTVSSTNYCLDPFRELVKRLNDDPSSESPPVTCIVSDGSMSFTHKVADELCIPNVFFWTCSTCGLDGYVHYRQLAEKNLTPIKEPNYLTNGYLDTIIDWIPGLKGIPAKYLPSFIWNSGQDDDPNYVISQFAIREVEAIPKASAIILNTFDELEPEAINALRSKFPGIYTIGPLHLLRNQFPLGDDLKSIGCNLWKEDPYCLEWLDTKEAGSVVYVNYGSVTVMTPEQLLEFAWGLANSKFTFLWIIRPDLVKGESAILPPEFLTETRERGLLASWCPQEQVLNHPSVGCFLTHCGWNSTLESISAEPNYLTNGYLDTIIDWIPGLKGIPAKYLPSFIWNSGQDDDPNYVISQFAIREVEAIPKASAIILNTFDELEPEAINALRSKFPGIYTIGPLHLLRNQFPLGDDLKSIGCNLWKEDPYCLEWLDTKEAGSVVYVNYGSVTVMTPEQLLEFAWGLANSKFTFLWIIRPDLVKGESAILPPEFLTETRERGLLASWCPQEQVLNHPSVGCFLTHCGWNSTLESISAGVPMLCWPFFSEQPTNCWIKISKIARQFRPSPLPVSTKSTFCMHDTLSPFSVCMMAEVYQNLYWLFLRLLPESFLFSSGLNYMAANA >itb14g14640.t3 pep chromosome:ASM357664v1:14:17443812:17456804:1 gene:itb14g14640 transcript:itb14g14640.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWLGLLCFVACLQLSLSGQENSFESVPDLEKSMYVAIDGYPCVRLLNISGEIGCSNPGRGNVVAPVVRFKDTIKLDKQSAILTSLEEFDSFFTRFSSDPDFAKHVAGMLVESGTRSTNELKGFSPDKKFPLVEFAPYNSGSFEWNPTGSGVMWEAYSFPVFLLSESSTLTLREVAIKNEKRKNSYTSNVVDFDLVMQTTKSGTRDSESCLRQQTCLPLGGYSVWSALPPINLSSEKSRPVILAVASMDSASFFRDRSIGADSPISGLISLLAAVDALSRFDYSRDFIKQGSVWSALPPINLSSEKSRPVILAVASMDSASFFRDRSIGADSPISGLISLLAAVDALSRFDYSRDFIKQLVFVVFTGESWGYLGSRRFLLELDQHSDAVRGLDFSMIETVLEIGSVGKSTAQDVTTFFAHTTGGAYTNETLNALFHAQDSLKTQNIKISKAKKTNPGLPPSSLMAFVRKNPQTSGIVLEDFDDAYRNKFYHSHLDDQSNVNSSAIVAAASLVARSLYVLASDKKEVNASLLTTINANSSLVEELLGCLLSCEPGLSCGLVKSYIAPSMSCPSHYVGVILGEPSSVPYLGNVGDVSRFIWNFLAEKTSIRPTNTSSACPKDCGRDGEACIQTETDGKGICVISTTRYIPAYSTRLKYESDTWMVLPSNSTEPDPDPVWTESNWDMIRLRAYTVQGASYDRFVLLLGIVVTLLAYLIIVMARAFITKAMKCD >itb14g14640.t1 pep chromosome:ASM357664v1:14:17443501:17457031:1 gene:itb14g14640 transcript:itb14g14640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWLGLLCFVACLQLSLSGQENSFESVPDLEKSMYVAIDGYPCVRLLNISGEIGCSNPGRGNVVAPVVRFKDTIKLDKQSAILTSLEEFDSFFTRFSSDPDFAKHVAGMLVESGTRSTNELKGFSPDKKFPLVEFAPYNSGSFEWNPTGSGVMWEAYSFPVFLLSESSTLTLREVAIKNEKRKNSYTSNVVDFDLVMQTTKSGTRDSESCLRQQTCLPLGGYSVWSALPPINLSSEKSRPVILAVASMDSASFFRDRSIGADSPISGLISLLAAVDALSRFDYSRDFIKQLVFVVFTGESWGYLGSRRFLLELDQHSDAVRGLDFSMIETVLEIGSVGKSTAQDVTTFFAHTTGGAYTNETLNALFHAQDSLKTQNIKISKAKKTNPGLPPSSLMAFVRKNPQTSGIVLEDFDDAYRNKFYHSHLDDQSNVNSSAIVAAASLVARSLYVLASDKKEVNASLLTTINANSSLVEELLGCLLSCEPGLSCGLVKSYIAPSMSCPSHYVGVILGEPSSVPYLGNVGDVSRFIWNFLAEKTSIRPTNTSSACPKDCGRDGEACIQTETDGKGICVISTTRYIPAYSTRLKYESDTWMVLPSNSTEPDPDPVWTESNWDMIRLRAYTVQGASYDRFVLLLGIVVTLLAYLIIVMARAFITKAMKCD >itb14g14640.t2 pep chromosome:ASM357664v1:14:17443812:17456804:1 gene:itb14g14640 transcript:itb14g14640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWLGLLCFVACLQLSLSGQENSFESVPDLEKSMYVAIDGYPCVRLLNISGEIGCSNPGRGNVVAPVVRFKDTIKLDKQSAILTSLEEFDSFFTRFSSDPDFAKHVAGMLVESGTRSTNELKGFSPDKKFPLVEFAPYNSGSFEWNPTGSGVMWEAYSFPVFLLSESSTLTLREVAIKNEKRKNSYTSNVVDFDLVMQTTKSGTRDSESCLRQQTCLPLGGYSVWSALPPINLSSEKSRPVILAVASMDSASFFRDRSIGADSPISGLISLLAAVDALSRFDYSRDFIKQLVFVVFTGESWGYLGSRRFLLELDQHSDAVRGLDFSMIETVLEIGSVGKSTAQDVTTFFAHTTGGAYTNETLNALFHAQDSLKTQNIKISKAKKTNPGLPPSSLMAFVRKNPQTSGIVLEDFDDAYRNKFYHSHLDDQSNVNSSAIVAAASLVARSLYVLASDKKEVNASLLTTINANSSLVEELLGCLLSCEPGLSCGLVKSYIAPSMSCPSHYVGVILGEPSSVPYLGNVGDVSRFIWNFLAEKTSIRPTNTSSACPKDCGRDGEACIQTETDGKGICVISTTRYIPAYSTRLKYESDTWMVLPSNSTEPDPDPVWTESNWDMIRLRAYTVQGASYDRFVLLLGIVVTLLAYLIIVMARAFITKAMKCD >itb05g01860.t1 pep chromosome:ASM357664v1:5:1451299:1452971:-1 gene:itb05g01860 transcript:itb05g01860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPSSMVTVGNGEEDAVMHVLAVDDSVVDRTIVEKLFKSSSCKVTTAENGVRALEYLGLLPGDDQHNSPNTNGSAMFKDVPVVVMSSENTPSQINQCIEAGAKMYILKPLKQADVNQLKSQLMQS >itb03g02080.t1 pep chromosome:ASM357664v1:3:1164482:1165277:1 gene:itb03g02080 transcript:itb03g02080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYCNIIGAAATLLLLLLITTTAADDLGRKCGGGGAECAAARGGGNEEMWMMEPEGSRGFINPVTYLKYHSICYDALRMGPICFAPRIGGCFGERKNLKRNCDYFNRSCLRG >itb13g14340.t1 pep chromosome:ASM357664v1:13:20914147:20918610:-1 gene:itb13g14340 transcript:itb13g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKVLVVCGTRYIGRRIVKASLAQGHTTHVLLRLQIGLDIDKFQMLLSFKEQGARLVDASFDDHQSLVDAVKEVDVVICTMSGTHFRSHNILLQRKLVDAIKEADNIKRFIPSEFGMDPARMGHALEPGRVTFDEKMEIRKAIEEANIPQTYISANCFAGYSCLNLSQLGSVLPPKHKLYIYGDGNAKVVYMDEDDVATYTMKTIDDPRALNKTIYLRPQENILTQRELIATWEKLTGKQLEKISLSADEFLASMKGM >itb14g21560.t1 pep chromosome:ASM357664v1:14:23509499:23516362:-1 gene:itb14g21560 transcript:itb14g21560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSDSSPKSRKSSGEHSNDTVRALMLRINQLKKQIQSERSLSVKANVEENKERLETHLSQLVVLTASRTDASTFENENNGLGKMLSSRIENPLCKVSGLIQESGERDCASGQEVVTVTTAKLPFVDKLPPYTTWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEENAETEEKKHDFSEGEDRILWMVSQEFGLSEKVLNILTQFIGGSTSGIQDRCSILEGKYTETEQNLKDFGEKGSERNLLLEKSLSTALDSFDNLFCRRCLVFDCRLHGCSQVLIDASEKQSYSPDNEARGKPCSDQCYLQLKASKDLHGDQATDLPGGSESKILEEPRSLVCLNTREQGEHTDSNLKRKALEPTYIIPENEKLVSDELQDSCNKKLKLSVPSVVSLPAECVSDINEPKVITDDVDESHKHSSESSCLLSISGDNTEANERIEEAATDGTPNRNPSSSFRRILGKGLLGISDWKPLEKELYLKGVEIFGRNSCLIARNLLPGLKTCIEVSSYMCNDGAATHHGSSGISCFFPGDNGKALMDRMVSDMPGRSRLLRRRGRTRKLKYSSKSAGHPSMWRRIADGKNQSCKQYTPCGCQFMCGKQCPCLQNSTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDASLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKDRIEASEELFYDYRYGPDQAPIWARKPEDLKRDDSPVPQGRPKKHHSH >itb14g21560.t4 pep chromosome:ASM357664v1:14:23510653:23515512:-1 gene:itb14g21560 transcript:itb14g21560.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRIENPLCKVSGLIQESGERDCASGQEVVTVTTAKLPFVDKLPPYTTWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEENAETEEKKHDFSEGEDRILWMVSQEFGLSEKVLNILTQFIGGSTSGIQDRCSILEGKYTETEQNLKDFGEKGSERNLLLEKSLSTALDSFDNLFCRRCLVFDCRLHGCSQVLIDASEKQSYSPDNEARGKPCSDQCYLQLKASKDLHGDQATDLPGGSESKILEEPRSLVCLNTREQGEHTDSNLKRKALEPTYIIPENEKLVSDELQDSCNKKLKLSVPSVVSLPAECVSDINEPKVITDDVDESHKHSSESSCLLSISGDNTEANERIEEAATDGTPNRNPSSSFRRILGKGLLGISDWKPLEKELYLKGVEIFGRNSCLIARNLLPGLKTCIEVSSYMCNDGAATHHGSSGISCFFPGDNGKALMDRMVSDMPGRSRLLRRRGRTRKLKYSSKSAGHPSMWRRIADGKNQSCKQYTPCGCQFMCGKQCPCLQNSTCCEKYCGYV >itb14g21560.t5 pep chromosome:ASM357664v1:14:23509499:23516362:-1 gene:itb14g21560 transcript:itb14g21560.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSDSSPKSRKSSGEHSNDTVRALMLRINQLKKQIQSERSLSVKANVEENKERLETHLSQLVVLTASRTDASTFENENNGLGKMLSSRIENPLCKVSGLIQESGERDCASGQEVVTVTTAKLPFVDKLPPYTTWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEENAETEEKKHDFSEGEDRILWMVSQEFGLSEKVLNILTQFIGGSTSGIQDRCSILEGKYTETEQNLKDFGEKGSERNLLLEKSLSTALDSFDNLFCRRCLVFDCRLHGCSQVLIDASEKQSYSPDNEARGKPCSDQCYLQLKASKDLHGDQATDLPGGSESKILEEPRSLVCLNTREQGEHTDSNLKRKALEPTYIIPENEKLVSDELQDSCNKKLKLSVPSVVSLPAECVSDINEPKVITDDVDESHKHSSESSCLLSISGDNTEANERIEEAATDGTPNRNPSSSFRRILGKGLLGISDWKPLEKELYLKGVEIFGRNSCLIARNLLPGLKTCIEVSSYMCNDGAATHHGSSGISCFFPGDNGKALMDRMVSDMPGRSRLLRRRGRTRKLKYSSKSAGHPSMWRRIADGKNQSCKQYTPCGCQFMCGKQCPCLQNSTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDASLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKVHNPFSTHFATPLSSV >itb14g21560.t2 pep chromosome:ASM357664v1:14:23509499:23516362:-1 gene:itb14g21560 transcript:itb14g21560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRIENPLCKVSGLIQESGERDCASGQEVVTVTTAKLPFVDKLPPYTTWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEENAETEEKKHDFSEGEDRILWMVSQEFGLSEKVLNILTQFIGGSTSGIQDRCSILEGKYTETEQNLKDFGEKGSERNLLLEKSLSTALDSFDNLFCRRCLVFDCRLHGCSQVLIDASEKQSYSPDNEARGKPCSDQCYLQLKASKDLHGDQATDLPGGSESKILEEPRSLVCLNTREQGEHTDSNLKRKALEPTYIIPENEKLVSDELQDSCNKKLKLSVPSVVSLPAECVSDINEPKVITDDVDESHKHSSESSCLLSISGDNTEANERIEEAATDGTPNRNPSSSFRRILGKGLLGISDWKPLEKELYLKGVEIFGRNSCLIARNLLPGLKTCIEVSSYMCNDGAATHHGSSGISCFFPGDNGKALMDRMVSDMPGRSRLLRRRGRTRKLKYSSKSAGHPSMWRRIADGKNQSCKQYTPCGCQFMCGKQCPCLQNSTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDASLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKDRIEASEELFYDYRYGPDQAPIWARKPEDLKRDDSPVPQGRPKKHHSH >itb14g21560.t3 pep chromosome:ASM357664v1:14:23510653:23516362:-1 gene:itb14g21560 transcript:itb14g21560.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSDSSPKSRKSSGEHSNDTVRALMLRINQLKKQIQSERSLSVKANVEENKERLETHLSQLVVLTASRTDASTFENENNGLGKMLSSRIENPLCKVSGLIQESGERDCASGQEVVTVTTAKLPFVDKLPPYTTWIFLDRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEENAETEEKKHDFSEGEDRILWMVSQEFGLSEKVLNILTQFIGGSTSGIQDRCSILEGKYTETEQNLKDFGEKGSERNLLLEKSLSTALDSFDNLFCRRCLVFDCRLHGCSQVLIDASEKQSYSPDNEARGKPCSDQCYLQLKASKDLHGDQATDLPGGSESKILEEPRSLVCLNTREQGEHTDSNLKRKALEPTYIIPENEKLVSDELQDSCNKKLKLSVPSVVSLPAECVSDINEPKVITDDVDESHKHSSESSCLLSISGDNTEANERIEEAATDGTPNRNPSSSFRRILGKGLLGISDWKPLEKELYLKGVEIFGRNSCLIARNLLPGLKTCIEVSSYMCNDGAATHHGSSGISCFFPGDNGKALMDRMVSDMPGRSRLLRRRGRTRKLKYSSKSAGHPSMWRRIADGKNQSCKQYTPCGCQFMCGKQCPCLQNSTCCEKYCGYV >itb12g23100.t1 pep chromosome:ASM357664v1:12:24982795:24983157:-1 gene:itb12g23100 transcript:itb12g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQLKYILQTRLVNKRYKCFLPNNLSQRWAGRSVVGEGSSVSMLATTWNVLVHLGRPVSEFKCIDMLEDFSPFWRANL >itb09g27430.t1 pep chromosome:ASM357664v1:9:27701703:27702661:1 gene:itb09g27430 transcript:itb09g27430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLIKLVRRWQKFAAIQRKRISFPRENDDADSCSTSSAVNKGHFAIYTADQKRFVVPLSYVENEIIRQLLSMSEEEFGLPSNGPITLPCDAVFMEYIISLLSRGLSRELENAVLVSVASYQCSSASLHQEGLRTQELLVC >itb03g09200.t1 pep chromosome:ASM357664v1:3:7081172:7083728:1 gene:itb03g09200 transcript:itb03g09200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKISETRDLTRIERIGAHSHIRGLGLDSALEPRAASEGMVGQTSARKAAGVIVKMVQEGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGQETPFASLAGSELFSLEMSKTEALMQAFRKAIGVRIKEEAEVIEGEVVEIQIDRPAVAGAASKTGKMTLKTTDMETVYDLGTKMIEALSKGKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRTEVRDQIDTKVAEWREEGKAEILPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITAIRGTNYKSPHGIPIDFLDRLLIISTQPYSEEEIRKILDIRCQEEDVEMSDDAKLLLTKIGVDTSLRYAIHLITAAALACQKRKGKVVDMEDVSRVYELFYDVKRSTQYLMEYQSQYMFNDVPSAELDEDGATPMVS >itb03g09200.t2 pep chromosome:ASM357664v1:3:7081172:7083599:1 gene:itb03g09200 transcript:itb03g09200.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKISETRDLTRIERIGAHSHIRGLGLDSALEPRAASEGMVGQTSARKAAGVIVKMVQEGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGQETPFASLAGSELFSLEMSKTEALMQAFRKAIGVRIKEEAEVIEGEVVEIQIDRPAVAGAASKTGKMTLKTTDMETVYDLGTKMIEALSKGKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRTEVRDQIDTKVAEWREEGKAEILPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITAIRGTNYKSPHGIPIDFLDRLLIISTQPYSEEEIRKILDIRCQEEDVEMSDDAKLLLTKIGVDTSLRYAIHLITAAALACQKRKGKVVDMEDVSRVYELFYDVKRSTQYLMEYQSQYMFNDVPSAELDEDGATPMVS >itb12g10310.t1 pep chromosome:ASM357664v1:12:8372969:8375274:-1 gene:itb12g10310 transcript:itb12g10310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVTWAVVISAILLRFAASQDIGFTYNGFRSANLSLDGIAEVTSNGLLRLTNDTQLQKGRALYPLPINFKNSPNGSAFSFSTTFVFAIVPAYSILAGHGMTFAISPSGGLPGAFPSPFLGLFNQTNTGNATNHVVAVELDTLQNHEFNDIDGNHVGIDINGLNSVVSEPAGYYESNSFRNLSLVSGKPTQVWVEYDGRAKKMNVTIAPLNVGKPNRPILSWSIDISKDIYETVSIGFTAATGAVVSTHYLLGWSFKINGMAQPLDISRLPKLPRIGPKRKPRILVIAVPLISMISLVAIVSAVAYCILRKKKFSELLEDWEVEYRPQRFKYKELYIATKGFSDKEVLGSGGFGKVYRGVLHNSTCEIAVKKVSHQSIQGMRAFIAEVVSMGRLCHRNLVPLLGYCRRKGELFLVYEYMLNGSLDKYLYRQPNWSLGWNQRFGVIKGVASALLYLREEWEQVVVHRDVKASNVLLDSEMNARLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHVKTGKATTSTDVFAFGAFLLEVTCGRRPIEPQAPNDDVVLVEWVFSWWNRGEILHVVDPTLGEDYVVEEVELVLKLGLMCSLLEPTFRPSMRQVVMYLEGSIAPPELSSLSLSTAGLTIAQGQGFDDFVVSLSSSSGIKTCSRCSLSTDSILSRGR >itb08g04700.t5 pep chromosome:ASM357664v1:8:3851806:3864563:1 gene:itb08g04700 transcript:itb08g04700.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANSGEDCPVKVAVHVRPLIGDEKLQGCQDCVTVVPGKPQVLIGSHSFTFDHVYGSTGSSPSSMYEECVAPLVDALFEGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPLVMNALFNKIGTLKHQTEFHLHVSFIEIHKEEVRDLLDPSSLNRSETANGHVGKPSIQIRETSNGVITLAGSTERSVRDLKEMADCLEQGSLSRATASTNMNNQSSRSHAIFTITMEQIRKVNPMVSSDGNNNSMTEEHLCAKFHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINIDPVSNEMLKMRQQLEYLQAELCARGGGASCDEIRALKNRIALLESTNEELSRELHEYRSKCAGTEPCGVDAKVSGAFSAKSEGLKRGLQSIESSDYPMSENGDPGEMDDEAAKEWEYALLQDSMGKELNELNRRLEEKESEMKLYGGVDTMALKQHFGKKILELEEEKRAVQRERDRLLAEVENLAANSDAQKLRDMHAQKLKSLEAQIQDLKKKQESQVHLVKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRKNEHERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRENSVNSNGNGAYGLNNGKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALADELAVLRQVDEFRSNGISPPRGKNGLFRASSMSPNARMARIASLEQMLSISSNSLVSMASQLSEAEEREQAFSNRGRWNQLRSMGDAKNLLQHMFNSLADTRCQMWEKELEIKEMKEQMKQLIGVLQQSEIKRKEIEKELKLREHAVAVASSMSALGNSNKHSDDSLSVPLSPVSVPAQKQLKYTAGIVNASVKESAAFIDQARKMVPMGQLSMKKLTMVGQGGRLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPHTRTLPDIVCRKRQ >itb08g04700.t2 pep chromosome:ASM357664v1:8:3851806:3864979:1 gene:itb08g04700 transcript:itb08g04700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEECVAPLVDALFEGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPLVMNALFNKIGTLKHQTEFHLHVSFIEIHKEEVRDLLDPSSLNRSETANGHVGKPSIQIRETSNGVITLAGSTERSVRDLKEMADCLEQGSLSRATASTNMNNQSSRSHAIFTITMEQIRKVNPMVSSDGNNNSMTEEHLCAKFHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINIDPVSNEMLKMRQQLEYLQAELCARGGGASCDEIRALKNRIALLESTNEELSRELHEYRSKCAGTEPCGVDAKVSGAFSAKSEGLKRGLQSIESSDYPMSENGDPGEMDDEAAKEWEYALLQDSMGKELNELNRRLEEKESEMKLYGGVDTMALKQHFGKKILELEEEKRAVQRERDRLLAEVENLAANSDAQKLRDMHAQKLKSLEAQIQDLKKKQESQVHLVKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRKNEHERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRENSVNSNGNGAYGLNNGKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALADELAVLRQVDEFRSNGISPPRGKNGLFRASSMSPNARMARIASLEQMLSISSNSLVSMASQLSEAEEREQAFSNRGRWNQLRSMGDAKNLLQHMFNSLADTRCQMWEKELEIKEMKEQMKQLIGVLQQSEIKRKEIEKELKLREHAVAVASSMSALGNSNKHSDDSLSVPLSPVSVPAQKQLKYTAGIVNASVKESAAFIDQARKMVPMGQLSMKKLTMVGQGGRLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPHTRTLPDIVCRKRQ >itb08g04700.t1 pep chromosome:ASM357664v1:8:3851806:3865041:1 gene:itb08g04700 transcript:itb08g04700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANSGEDCPVKVAVHVRPLIGDEKLQGCQDCVTVVPGKPQVLIGSHSFTFDHVYGSTGSSPSSMYEECVAPLVDALFEGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPLVMNALFNKIGTLKHQTEFHLHVSFIEIHKEEVRDLLDPSSLNRSETANGHVGKPSIQIRETSNGVITLAGSTERSVRDLKEMADCLEQGSLSRATASTNMNNQSSRSHAIFTITMEQIRKVNPMVSSDGNNNSMTEEHLCAKFHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINIDPVSNEMLKMRQQLEYLQAELCARGGGASCDEIRALKNRIALLESTNEELSRELHEYRSKCAGTEPCGVDAKVSGAFSAKSEGLKRGLQSIESSDYPMSENGDPGEMDDEAAKEWEYALLQDSMGKELNELNRRLEEKESEMKLYGGVDTMALKQHFGKKILELEEEKRAVQRERDRLLAEVENLAANSDAQKLRDMHAQKLKSLEAQIQDLKKKQESQVHLVKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRKNEHERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRENSVNSNGNGAYGLNNGKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALADELAVLRQVDEFRSNGISPPRGKNGLFRASSMSPNARMARIASLEQMLSISSNSLVSMASQLSEAEEREQAFSNRGRWNQLRSMGDAKNLLQHMFNSLADTRCQMWEKELEIKEMKEQMKQLIGVLQQSEIKRKEIEKELKLREHAVAVASSMSALGNSNKHSDDSLSVPLSPVSVPAQKQLKYTAGIVNASVKESAAFIDQARKMVPMGQLSMKKLTMVGQGGRLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPHTRTLPDIVCRKRQ >itb08g04700.t3 pep chromosome:ASM357664v1:8:3851806:3864979:1 gene:itb08g04700 transcript:itb08g04700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANSGEDCPVKVAVHVRPLIGDEKLQGCQDCVTVVPGKPQVLIGSHSFTFDHVYGSTGSSPSSMYEECVAPLVDALFEGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPLVMNALFNKIGTLKHQTEFHLHVSFIEIHKEEVRDLLDPSSLNRSETANGHVGKPSIQIRETSNGVITLAGSTERSVRDLKEMADCLEQGSLSRATASTNMNNQSSRSHAIFTITMEQIRKVNPMVSSDGNNNSMTEEHLCAKFHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINIDPVSNEMLKMRQQLEYLQAELCARGGGASCDEIRALKNRIALLESTNEELSRELHEYRSKCAGTEPCGVDAKVSGAFSAKSEGLKRGLQSIESSDYPMSENGDPGEMDDEAAKEWEYALLQDSMGKELNELNRRLEEKESEMKLYGGVDTMALKQHFGKKILELEEEKRAVQRERDRLLAEVENLAANSDAQKLRDMHAQKLKSLEAQIQDLKKKQESQVHLVKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRKNEHERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRENSVNSNGNGAYGLNNGKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALADELAVLRQVDEFRSNGISPPRGKNGLFRASSMSPNARMARIASLEQMLSISSNSLVSMASQLSEAEEREQAFSNRGRWNQLRSMGDAKNLLQHMFNSLADTRCQMWEKELEIKEMKEQMKQLIGVLQQSEIKRKEIEKELKLREHAVAVASSMSALGNSNKHSDDSLSVPLSPVSVPAQKQLKYTAGIVNASVKESAAFIDQARKMVPMGQLSMKKLTMVGQGGRLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPHTRTLPDIVCRKRQ >itb08g04700.t4 pep chromosome:ASM357664v1:8:3851806:3864979:1 gene:itb08g04700 transcript:itb08g04700.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANSGEDCPVKVAVHVRPLIGDEKLQGCQDCVTVVPGKPQVLIGSHSFTFDHVYGSTGSSPSSMYEECVAPLVDALFEGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPLVMNALFNKIGTLKHQTEFHLHVSFIEIHKEEVRDLLDPSSLNRSETANGHVGKPSIQIRETSNGVITLAGSTERSVRDLKEMADCLEQGSLSRATASTNMNNQSSRSHAIFTITMEQIRKVNPMVSSDGNNNSMTEEHLCAKFHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINIDPVSNEMLKMRQQLEYLQAELCARGGGASCDEIRALKNRIALLESTNEELSRELHEYRSKCAGTEPCGVDAKVSGAFSAKSEGLKRGLQSIESSDYPMSENGDPGEMDDEAAKEWEYALLQDSMGKELNELNRRLEEKESEMKLYGGVDTMALKQHFGKKILELEEEKRAVQRERDRLLAEVENLAANSDAQKLRDMHAQKLKSLEAQIQDLKKKQESQVHLVKQKQKSDEAAKKLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRKNEHERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSSRENSVNSNGNGAYGLNNGKSLQRWLDNELEVMVNVHEVRYEYEKQSQVRAALADELAVLRQVDEFRSNGISPPRGKNGLFRASSMSPNARMARIASLEQMLSISSNSLVSMASQLSEAEEREQAFSNRGRWNQLRSMGDAKNLLQHMFNSLADTRCQMWEKELEIKEMKEQMKQLIGVLQQSEIKRKEIEKELKLREHAVAVASSMSALGNSNKHSDDSLSVPLSPVSVPAQKQLKYTAGIVNASVKESAAFIDQARKMVPMGQLSMKKLTMVGQGGRLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETIMRAKPHTRTLPDIVCRKRQ >itb03g12430.t1 pep chromosome:ASM357664v1:3:11618811:11619224:1 gene:itb03g12430 transcript:itb03g12430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRTEHGNRQQRNNVRGFQSSGVGPRQRTNLRRTSIDSDLKKQRRRFRPQEAAAVTGPSSTFPCSSYRQQSCNSGLPPLVIAVSDGSVGNHIPRRKMLPEEEAVNPGKFVRFLNQDFSALVGRKIWFRLFLLYLS >itb04g29610.t1 pep chromosome:ASM357664v1:4:32925887:32929174:-1 gene:itb04g29610 transcript:itb04g29610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb04g29610.t2 pep chromosome:ASM357664v1:4:32928084:32929201:-1 gene:itb04g29610 transcript:itb04g29610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >itb01g05380.t1 pep chromosome:ASM357664v1:1:3691152:3695481:-1 gene:itb01g05380 transcript:itb01g05380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAPAAATGRKAARAAAGKQSSSSSSLPGTKCPAPFLTKTYDILEEEDEKRRRDDEKAAAAVVSWNAHGDGFVVWSPTEFSDHMLPRYFKHNNFSSFVRQLNTYGFKKVTPKRWEFQHEKFQRGRRHLLVEIGRKKTEPSAFPVYLRANYEEEEDTIITSSTTTTTTLSSSSRLLLMNLLEENKKLRKERMELQMQIAHFKTLQMRLLECLSSQCMATQQ >itb14g16510.t1 pep chromosome:ASM357664v1:14:19838753:19839833:1 gene:itb14g16510 transcript:itb14g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLQLLIFIALSLSSFTTTNAAGNSKLFREYIGAESAAVRLTDVPINPGVEFHFILAFAIDYTTGGNPSPTDGNFNVFWETKHLSPADIAAIKSHHGNVKVAISLAGDTVTKRQKAYFAPTSTATWLKNAVSSLTALIKKYNADGIDIDYEHFKSTPEEFTECIGELVTELKKSGAISFASIAPFDDDGGEVAGNYRALWRKYGGVIDYVNFQFYAYEKLSVAEFVERFEEQSSKYDGGQILASFVNKGGGGLGPGDGFFDACRELKGKGNLGGIFVWCADESAQIGFRNEKKSQDLLLK >itb01g15100.t2 pep chromosome:ASM357664v1:1:17396507:17400977:1 gene:itb01g15100 transcript:itb01g15100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METFSSTVASRHFSPSLTKISLSNPKTSYLSLPSSWPGSTHSLSFSSRNSFTREAWRFINSKNVVSFRREMRGVIRAEMFGQLTTGLESAWTKLRGEEVLNKENIVEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGTGLIRGVRPDQQMVKIVHDELVKLMGGEVSELVFAKSGPTVILLAGLQGVGKTTISAKLALYLKKLGKKCMLIAGDVYRPAAIDQLVILGEQVDVPVYTAGTDIKPAEIAKQGLEEAKKKNIDVVIMDTAGRLQIDKAMMDELKEVKQVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILSKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRMVARMGSMSRIIGMVPGMSKVTPAQIRDAEKSLKDVESIIEAMTPGLLFIT >itb01g15100.t1 pep chromosome:ASM357664v1:1:17396495:17402454:1 gene:itb01g15100 transcript:itb01g15100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METFSSTVASRHFSPSLTKISLSNPKTSYLSLPSSWPGSTHSLSFSSRNSFTREAWRFINSKNVVSFRREMRGVIRAEMFGQLTTGLESAWTKLRGEEVLNKENIVEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGTGLIRGVRPDQQMVKIVHDELVKLMGGEVSELVFAKSGPTVILLAGLQGVGKTTISAKLALYLKKLGKKCMLIAGDVYRPAAIDQLVILGEQVDVPVYTAGTDIKPAEIAKQGLEEAKKKNIDVVIMDTAGRLQIDKAMMDELKEVKQVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILSKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRMVARMGSMSRIIGMVPGMSKVTPAQIRDAEKSLKDVESIIEAMTPEEREQPELLAESPDRRKRVAQDSGKTEEQVSQLVAQLFQMRVRMKNLMGMMESQSIPALSNLEESVKSQLKAPPGTARRKRRSGTRIQFAQNSMASTITKNSA >itb15g09190.t2 pep chromosome:ASM357664v1:15:6563715:6565588:1 gene:itb15g09190 transcript:itb15g09190.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MILADWSNLPVELLGLIADRLHFALDYVRFGMVCRPWRRVVVEKGYSSPSFLPWLMLSQKINSELRGVCYPYKHRSFYLYLPELNNKRCWGSPFGWVVTLDSDFQLGLLNPLSRAQLMLPPLRKCPNLKNMDCGAKRFRNSFVYKAALSSSPLCLDFVVLAIYSDNEKMAILKGGSHTWTPLECCPGYVDDAICFRGIVYIVSSLGEIVICDCQGSVLQNIIFTPLVDEVPKTNFISAYLVEIDGQVYVLCRFMSDTRNTGTPFRPYMRTRRFDVYKLDLGNGKWYEVVESLGNWSIFVGTNYSFSICTNEHPECESNCIYFTDDYSGVSHNTPTGYDMGVYNLETQKIQWLAQDDLSVFSFSVPVWFKPSLP >itb15g09190.t4 pep chromosome:ASM357664v1:15:6563940:6565588:1 gene:itb15g09190 transcript:itb15g09190.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRPWRRVVVEKGYSSPSFLPWLMLSQKINSELRGVCYPYKHRSFYLYLPELNNKRCWGSPFGWVVTLDSDFQLGLLNPLSRAQLMLPPLRKCPNLKNMDCGAKRFRNSFVYKAALSSSPLCLDFVVLAIYSDNEKMAILKGGSHTWTPLECCPGYVDDAICFRGIVYIVSSLGEIVICDCQGSVLQNIIFTPLVDEVPKTNFISAYLVEIDGQVYVLCRFMSDTRNTGTPFRPYMRTRRFDVYKLDLGNGKWYEVVESLGNWSIFVGTNYSFSICTNEHPECESNCIYFTDDYSGVSHNTPTGYDMGVYNLETQKIQWLAQDDLSVFSFSVPVWFKPSLP >itb15g09190.t3 pep chromosome:ASM357664v1:15:6563699:6565432:1 gene:itb15g09190 transcript:itb15g09190.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MILADWSNLPVELLGLIADRLHFALDYVRFGMVCRPWRRVVVEKGYSSPSFLPWLMLSQKINSELRGVCYPYKHRSFYLYLPELNNKRCWGSPFGWVVTLDSDFQLGLLNPLSRAQLMLPPLRKCPNLKNMDCGAKRFRNSFVYKAALSSSPLCLDFVVLAIYSDNEKMAILKGGSHTWTPLECCPGYVDDAICFRGIVYIVSSLGEIVICDCQGSVLQNIIFTPLVDEVPKTNFISAYLVEIDGQVYVLCRFMSDTRNTGTPFRPYMRTRRFDVYKLDLGNGKWYEVVESLGNWSIFVGTNYSFSICTNEHPECESNCIYFTDDYSGVSHNTPTGYDMGVYNLETQKIQWLAQDDLSVFSFSVPVWFKPSLP >itb15g09190.t1 pep chromosome:ASM357664v1:15:6563699:6565588:1 gene:itb15g09190 transcript:itb15g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MILADWSNLPVELLGLIADRLHFALDYVRFGMVCRPWRRVVVEKGYSSPSFLPWLMLSQKINSELRGVCYPYKHRSFYLYLPELNNKRCWGSPFGWVVTLDSDFQLGLLNPLSRAQLMLPPLRKCPNLKNMDCGAKRFRNSFVYKAALSSSPLCLDFVVLAIYSDNEKMAILKGGSHTWTPLECCPGYVDDAICFRGIVYIVSSLGEIVICDCQGSVLQNIIFTPLVDEVPKTNFISAYLVEIDGQVYVLCRFMSDTRNTGTPFRPYMRTRRFDVYKLDLGNGKWYEVVESLGNWSIFVGTNYSFSICTNEHPECESNCIYFTDDYSGVSHNTPTGYDMGVYNLETQKIQWLAQDDLSVFSFSVPVWFKPSLP >itb10g08450.t1 pep chromosome:ASM357664v1:10:10563886:10570045:1 gene:itb10g08450 transcript:itb10g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAWSCVLLLSSTLFYGVVFADQIFSAHTGGTLGRSSKEPKYKIEFHPEDSPFLPDDDQEAVVMPNKKGEKFLCFLPKVHNSKSSKLFSHQNTSNLILETEKHIKLKTPDELLEVLKDICLIRQEGWWSYEFCYQKKLRQIHLEDEKVVQEFVLGYYDSEATAASNENRSDISTLKDPRSKDASQRYHAHHYINGTTCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTVQCPTLCKHPLFQEERPVWHTINCNVLPKDYKEMKVQQENFGGEKIRMVTELEYPPTFDSEEYAT >itb08g06710.t1 pep chromosome:ASM357664v1:8:5748284:5750769:1 gene:itb08g06710 transcript:itb08g06710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGSLEQFSSPKIELEQYPTGAHIASRMLYTAENSFGDVSNKVVADFGCGCGTLGLAAALLEAEHVIGLDVDTESLEIAATNADELEVDMEFVQCDIRNLQWKGQIVDTVVMNPPFGTRKKGADMDFLFVALKVASQAVYSLHKTTTREHIKRAALRDYNASSAEVICELRYDVPQLYKFHKKKEVDIAVDLWRFVPQRHAKRTVQS >itb08g06710.t2 pep chromosome:ASM357664v1:8:5748284:5749899:1 gene:itb08g06710 transcript:itb08g06710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGSLEQFSSPKIELEQYPTGAHIASRMLYTAENSFGDVSNKVVADFGCGCGTLGLAAALLEAEHVIGLDVDTESLEIAATNADELEVDMEFVQCDIRNLQWKGQIVDTVVMNPPFGTRKKGADMDFLFVALKVASQAVYSLHKTTTREVSLATVQVVPCGIES >itb10g07220.t2 pep chromosome:ASM357664v1:10:8506701:8509134:1 gene:itb10g07220 transcript:itb10g07220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFWRVLCRRIYVGLFSLVSSACVAVMADGGSSRHRLKRSGQTGVTDGRGMYRRGKNAR >itb10g07220.t1 pep chromosome:ASM357664v1:10:8506701:8509134:1 gene:itb10g07220 transcript:itb10g07220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGFWRVLCRRIYVGLFSLVSSACVAVMADGGSSRHRLKRSGQTGVTDGRAWPSYYHLENPEQPLLRF >itb09g10780.t2 pep chromosome:ASM357664v1:9:6643902:6650516:-1 gene:itb09g10780 transcript:itb09g10780.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRESFGGAAVEEREVTSPPSTSNPSPTSIGPLRWARAEEASRDIIQKVQPTTVSAERRRAVIDYVQRLIRGTLGLEVFPYGSVPLKTYLPDGDIDLTAFGCPMVEDALVNDMVSVLEGEDQNMAAEFTVKDVQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLEQVDQLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSTLDGPLAVLYKFLDYFSKFDWETYCICLAGPVRISSLPEIVVEVPENDGVELLLTNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGAKKLKRILLQSDDRIVDELHNFFANTLGRHGSGQRPDVQDLSPVASCNSFCHALPVSETDSSQSEVNSRTESGSPTDTHGDCTFDPYGSTDREDRDQEGAMDGCQYKQQSDSVGPGKGNALIHRLCGDATELASSIAQGLKLSNDSPKFASSHSACNGEMNLEKKLDTCEDKCLEQSHSNDKDEDFVPVAIKDDHLALKALACSDGSHQLNLDQPSASGGGSLQPVNALLELSGDYDHYVSCLQFGRWCYENISTVPAMPISPPPLNPFQIKYSWNSQQPTKFKRNGFSYGGTNGVVPNQAFYTLNPMLAPNIAFGEMPKPRGTGTYFPNMSRRPQGYRPSAVKGRNQAPLRYHRANSWNGGMFTETQTLERNWHDLPQPQSGVDRSEIHQSYSPHGRDYPNTNGVASHPEAVIEFGTVGHVTPLSPSSERSRQQKHLPLPAQHSNPASPSLGFQQPKPYFSRDPDRAPVKSAYHLKDEEDFPPLSV >itb09g10780.t4 pep chromosome:ASM357664v1:9:6643883:6650439:-1 gene:itb09g10780 transcript:itb09g10780.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRESFGGAAVEEREVTSPPSTSNPSPTSIGPLRWARAEEASRDIIQKVQPTTVSAERRRAVIDYVQRLIRGTLGLEVFPYGSVPLKTYLPDGDIDLTAFGCPMVEDALVNDMVSVLEGEDQNMAAEFTVKDVQLIRAEVDQLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSTLDGPLAVLYKFLDYFSKFDWETYCICLAGPVRISSLPEIVVEVPENDGVELLLTNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGAKKLKRILLQSDDRIVDELHNFFANTLGRHGSGQRPDVQDLSPVASCNSFCHALPVSETDSSQSEVNSRTESGSPTDTHGDCTFDPYGSTDREDRDQEGAMDGCQYKQQSDSVGPGKGNALIHRLCGDATELASSIAQGLKLSNDSPKFASSHSACNGEMNLEKKLDTCEDKCLEQSHSNDKDEDFVPVAIKDDHLALKALACSDGSHQLNLDQPSASGGGSLQPVNALLELSGDYDHYVSCLQFGRWCYENISTVPAMPISPPPLNPFQIKYSWNSQQPTKFKRNGFSYGGTNGVVPNQAFYTLNPMLAPNIAFGEMPKPRGTGTYFPNMSRRPQGYRPSAVKGRNQAPLRYHRANSWNGGMFTETQTLERNWHDLPQPQSGVDRSEIHQSYSPHGRDYPNTNGVASHPEAVIEFGTVGHVTPLSPSSERSRQQKHLPLPAQHSNPASPSLGFQQPKPYFSRDPDRAPVKSAYHLKDEEDFPPLSV >itb09g10780.t5 pep chromosome:ASM357664v1:9:6643883:6650439:-1 gene:itb09g10780 transcript:itb09g10780.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRESFGGAAVEEREVTSPPSTSNPSPTSIGPLRWARAEEASRDIIQKVQPTTVSAERRRAVIDYVQRLIRGTLGLEVFPYGSVPLKTYLPDGDIDLTAFGCPMVEDALVNDMVSVLEGEDQNMAAEFTVKDVQLIRAEVDQLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSTLDGPLAVLYKFLDYFSKFDWETYCICLAGPVRISSLPEIVVEVPENDGVELLLTNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGAKKLKRILLQSDDRIVDELHNFFANTLGRHGSGQRPDVQDLSPVASCNSFCHALPVSETDSSQSEVNSRTESGSPTDTHGDCTFDPYGSTDREDRDQEGAMDGCQYKQQSDSVGPGKGNALIHRLCGDATELASSIAQGLKLSNDSPKFASSHSACNGEMNLEKKLDTCEDKCLEQSHSNDKDEDFVPVAIKDDHLALKALACSDGSHQLNLDQPSASGGGSLQPVNALLELSGDYDHYVSCLQFGRWCYENISTVPAMPISPPPLNPFQIKYSWNSQQPTKFKRNGFSYGGTNGVVPNQAFYTLNPMLAPNIAFGEMPKPRGTGTYFPNMSRRPQGYRPSAVKGRNQAPLRYHRANSWNGGMFTETQTLERNWHDLPQPQSGVDRSEIHQSYSPHGRDYPNTNGVASHPEAVIEFGTVGHVTPLSPSSERSRQQKHLPLPAQHSNPASPSLGFQQPKPYFSRDPDRAPVKSAYHLKDEEDFPPLSV >itb09g10780.t1 pep chromosome:ASM357664v1:9:6643883:6650516:-1 gene:itb09g10780 transcript:itb09g10780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRESFGGAAVEEREVTSPPSTSNPSPTSIGPLRWARAEEASRDIIQKVQPTTVSAERRRAVIDYVQRLIRGTLGLEVFPYGSVPLKTYLPDGDIDLTAFGCPMVEDALVNDMVSVLEGEDQNMAAEFTVKDVQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLEQVDQLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSTLDGPLAVLYKFLDYFSKFDWETYCICLAGPVRISSLPEIVVEVPENDGVELLLTNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGAKKLKRILLQSDDRIVDELHNFFANTLGRHGSGQRPDVQDLSPVASCNSFCHALPVSETDSSQSEVNSRTESGSPTDTHGDCTFDPYGSTDREDRDQEGAMDGCQYKQQSDSVGPGKGNALIHRLCGDATELASSIAQGLKLSNDSPKFASSHSACNGEMNLEKKLDTCEDKCLEQSHSNDKDEDFVPVAIKDDHLALKALACSDGSHQLNLDQPSASGGGSLQPVNALLELSGDYDHYVSCLQFGRWCYENISTVPAMPISPPPLNPFQIKYSWNSQQPTKFKRNGFSYGGTNGVVPNQAFYTLNPMLAPNIAFGEMPKPRGTGTYFPNMSRRPQGYRPSAVKGRNQAPLRYHRANSWNGGMFTETQTLERNWHDLPQPQSGVDRSEIHQSYSPHGRDYPNTNGVASHPEAVIEFGTVGHVTPLSPSSERSRQQKHLPLPAQHSNPASPSLGFQQPKPYFSRDPDRAPVKSAYHLKDEEDFPPLSV >itb09g10780.t3 pep chromosome:ASM357664v1:9:6643883:6650508:-1 gene:itb09g10780 transcript:itb09g10780.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRESFGGAAVEEREVTSPPSTSNPSPTSIGPLRWARAEEASRDIIQKVQPTTVSAERRRAVIDYVQRLIRGTLGLEVFPYGSVPLKTYLPDGDIDLTAFGCPMVEDALVNDMVSVLEGEDQNMAAEFTVKDVQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLEQVDQLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSTLDGPLAVLYKFLDYFSKFDWETYCICLAGPVRISSLPEIVVEVPENDGVELLLTNDFLRYCVKMFSVSSRGYDMNSRTFQQKHLNIVDPLKENNNLGRSVSKGNFYRIRSAFTYGAKKLKRILLQSDDRIVDELHNFFANTLGRHGSGQRPDVQDLSPVASCNSFCHALPVSETDSSQSEVNSRTESGSPTDTHGDCTFDPYGSTDREDRDQEGAMDGCQYKQQSDSVGPGKGNALIHRLCGDATELASSIAQGLKLSNDSPKFASSHSACNGEMNLEKKLDTCEDKCLEQSHSNDKDEDFVPVAIKDDHLALKALACSDGSHQLNLDQPSASGGGSLQPVNALLELSGDYDHYVSCLQFGRWCYENISTVPAMPISPPPLNPFQIKYSWNSQQPTKFKRNGFSYGGTNGVVPNQAFYTLNPMLAPNIAFGEMPKPRGTGTYFPNMSRRPQGYRPSAVKGRNQAPLRYHRANSWNGGMFTETQTLERNWHDLPQPQSGVDRSEIHQSYSPHGRDYPNTNGVASHPEAVIEFGTVGHVTPLSPSSERSRQQKHLPLPAQHSNPASPSLGFQQPKPYFSRDPDRAPVKSAYHLKDEEDFPPLSV >itb09g28160.t1 pep chromosome:ASM357664v1:9:28803873:28811876:-1 gene:itb09g28160 transcript:itb09g28160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSSVDAILEFLRKNKFARAEAALLCELSNHTDSNGVLHKPAVEDKELNQPLEEANGRKISGENLRKDYHKNEEVGKDMSFRSIEEISKELIISEIECGAGRNGPDCKGKNGANVGEQSKVNESVGTSEKNFGFNSSKDTAFDLYSRKYNPNIGPIVYGKTMPNPGEFHNSGNASENSGEVSSSYNERRTSSPGNSGKTKMEIVREKNQNSELKEGDQWHKQIGSYSKDAFADNSWNDCLMKTVFPFSKEDVSTSYDHKIVIGDKNEEKRKPEITDIKAAIKEQVDEIGRALYFVRAHGSEPKDLSGFGLPLGSENQKEELPRLPPVRLKSEEKPFNIQWVEKFERDGNDLSISSTDKTYLIGSFLDVPVGQEINTSGGKRFVGGSLLSVSQGIVEDSSDLVSGFATIGGLSEPVDYPTEYWDSDEYDDDDDVGYTRQPIEDETWFLSHEIDYPSDTEKGTGHGSVPDPQRSQNREEDDQSFAEEDSYFSGEQYIKSKTVDGVRLADDSTGLSATEIYRRADHDGFTAQYDGHLMDEDELNLIRAEPVWQGFVTQANELAMLEDEKILNECARSHLDQICLDDDQHGSVRSIGVGINSDVADIGSEVRESLIGGSIDGDIEYFGNNYVGIGGSKHVLHDSDKTYSERSNGDKKAANRSSDKFITCLDRTKSHLDGGFSFPPPRDGQLVQTNSGKSIWSNEIKSAIAEDIDDCLVKNDDMLVPWKRKSSESSPVKISRYENNANATGSANSSPSSLSNYDGYTEHDHVKEEHDTQVSAREDDLGASLEDEEVVAVQEQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHSLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYGKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQEMLAKGRDMYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPVGDQCFIDFLTHLLEINPKKRPSATEALKHPWLSYPYEPISS >itb04g25580.t1 pep chromosome:ASM357664v1:4:30127789:30133653:1 gene:itb04g25580 transcript:itb04g25580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTATWIRYLANKAEYSYYLCWKNYIAGHITEKEAGDAIFKHFFQGKLTFLHFNKGEEMAPTIGAQGGTLLVRKIPMSSPMKVFVGDVVVLKSPVKSDNFLVRRLAAIEGYEMASTDEKDEPFVLEEDQCWVVADNENIKPKDANDSRTFGPVCTADIIGRVIYCLKSAVDHGPVNNSIFSMQKDTPVLEVELDVDEMVKNHKA >itb07g05870.t3 pep chromosome:ASM357664v1:7:4055932:4060988:1 gene:itb07g05870 transcript:itb07g05870.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKQVEEIVSSNGAEPATIAILDGIPCIGLSMEELERLAILGSKARKTARRDIAHVVATKGNGATTVSATMFFASMAGIPIFVTGGVGGVHRHGENTMDVSSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVAAYQTNEFPAFFTEASGCKAPCRVDTPEDCARLIDASTKLGLQTGILIAVPIPKEHSASGSLIESAIQRALLEAEEKNITGNAQTPFLLARVNELTGGASLASNIALVKNNALIGAKIAVFLAQLRCHT >itb07g05870.t1 pep chromosome:ASM357664v1:7:4054543:4061850:1 gene:itb07g05870 transcript:itb07g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEYTPLKRPLNFKIPRFHTRTRTSVFSPPPAAANCRTIYQLSLKMESPAVSRLATIQRHLTSSTSVKGCYDGLIKISPKVSEALAHGRPVVALESTIISHGMPYPQNLETAKQVEEIVSSNGAEPATIAILDGIPCIGLSMEELERLAILGSKARKTARRDIAHVVATKGNGATTVSATMFFASMAGIPIFVTGGVGGVHRHGENTMDVSSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVAAYQTNEFPAFFTEASGCKAPCRVDTPEDCARLIDASTKLGLQTGILIAVPIPKEHSASGSLIESAIQRALLEAEEKNITGNAQTPFLLARVNELTGGASLASNIALVKNNALIGAKIAVFLAQLRCHT >itb07g05870.t2 pep chromosome:ASM357664v1:7:4054543:4060988:1 gene:itb07g05870 transcript:itb07g05870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEYTPLKRPLNFKIPRFHTRTRTSVFSPPPAAANCRTIYQLSLKMESPAVSRLATIQRHLTSSTSVKGCYDGLIKISPKVSEALAHGRPVVALESTIISHGMPYPQNLETAKQVEEIVSSNGAEPATIAILDGIPCIGLSMEELERLAILGSKARKTARRDIAHVVATKGNGATTVSATMFFASMAGIPIFVTGGVGGVHRHGENTMDVSSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVAAYQTNEFPAFFTEASGCKAPCRVDTPEDCARLIDASTKLGLQTGILIAVPIPKEHSASGSLIESAIQRALLEAEEKNITGNAQTPFLLARVNELTGGASLASNIALVKNNALIGAKIAVFLAQLRCHT >itb07g05870.t4 pep chromosome:ASM357664v1:7:4055932:4060988:1 gene:itb07g05870 transcript:itb07g05870.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKQVEEIVSSNGAEPATIAILDGIPCIGLSMEELERLAILGSKARKTARRDIAHVVATKGNGATTVSATMFFASMAGIPIFVTGGVGGVHRHGENTMDVSSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVAAYQTNEFPAFFTEASGCKAPCRVDTPEDCARLIDASTKLGLQTGILIAVPIPKEHSASGSLIESAIQRALLEAEEKNITGNAQTPFLLARVNELTGGASLASNIALVKNNALIGAKIAVFLAQLRCHT >itb12g25380.t1 pep chromosome:ASM357664v1:12:26612961:26615315:1 gene:itb12g25380 transcript:itb12g25380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNDSLKVLVVDDDSTCLAIVAALLVKMEFQGMVSWLFSILSTPFKFRAWPVYLPCFFVVFSGLILGKLALVFGNVVALKNGNDAIEALRTQGRFDVVISDVHMPGMNGFELQELIFKQFRIPVVLMSGDYEEGIVRQAMQNGAISFIRKPVSPNDLRGIWQYIIAQKRSKATTEQVNTDDQDNAVIGGSSSGTHSYSGYDTTSKKKMVWTDQIHFIFLDAISSLGPENATPKNILKAMNVPGLTRENVGSHLQKYRQFLRRNIQDLENGNNGGGGNQPRFGSRKFRRLAKLEETFAQGGLGAALSSPTSSAALGRSSGDARIRGGRGGSPERGQQGVLLSADDESMFYRGLRRGPMITSPNESSPASYEVNPGGSKESSPYPTLTALMQNRLDSSSNDDNANVSGFGNVNVVSGNEDVQVPGEGNFDIYDAILNFIGETEDGGDENISMYGNITAGQDNSGYNQIGNLSMISSIK >itb11g01530.t1 pep chromosome:ASM357664v1:11:744406:747390:1 gene:itb11g01530 transcript:itb11g01530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPVTTTAAPLNRRRLSFSSSIPNLLQFPSGFSSLTLKRQKSTAITCASISQVHSYGTVDYEKRPMPNWGIIYKRISMIEYPQKGASTVLNQCENEGKTISRLELLFVIRKLRKFRRHKLALEVCEWMNDRAERYKITTSDTAIQLDLISKVHGISNAEKYFLTLPNSLKDRRIYGSLLNAYVRVGMKEKAESLFDEMRNKGYTRNTLPYNLMLNLYMKVKDYDKLDSMICEMDQKNIWYNIFTYHILLSSHGYRGSIEMMERTFEKMRLDTRINPNWSTYGIMASVYIKTGELGKAEECLKKMKCIITGRDRTPYHHLFSLYAGVGNKEEVFRIWNVYKSNFPFIPNSSYHSIISSLLRLDDVEDAENIFDEWLSAKSRYDPRVANLLLVCYVRKGLFERAEGFLAQIIDAGVKPNPKTWEILADGHIKERRISDALSCLNEAVSAEGSKNWRPWPATISSFFNLCELENDMESKELLIEVLKQAGCLHPEDYVSHIPTPNGTNTNNEPDTWEDTFLDDNDDESAELLLGELHGSL >itb13g15890.t1 pep chromosome:ASM357664v1:13:22793985:22807403:-1 gene:itb13g15890 transcript:itb13g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLIYADMCRWWAWWLLAAAVAVRGEDVTYDGRSLIIDGQRKLLFSGSIHYPRSTPDMWPSLIRKAKEGGLHVIQTYTFWNLHEPQPGQYDFSGSKDIVRFVKEIQAQGLYACLRIGPYIEAEWTYGGFPFWLHDVPGIVFRSDNEPFKLYMQNYTAKIVNMMKSEGLYASQGGPIILSQIENEYQNIEAAFGEKGPSYVRWAAEMAVGLETGVPWDMCKQDDAPDPVINACNGMKCGEINFAPNSPNKPAIWTENWTSFIQVYGTNATLRSAEDIAYHVALFIARKNGSYINYYMYHGGTNFGRTAASFIITGYYDQAPLDEYGLLREPKYGHLKELHAAIKMCSQILLSGTPNNFSLGQSQQAYVFRGDSGACAAFLINSDGKQSAVVQFQNSSYELPRKSISILPDCKTVVFNTAKVNTQSNTRSMQPALKFDSAGQWEEFKEQVVEFDDTTIRADTLLEHMNTTKDVSDYLWYTLSLQQDSSDPKSAISVKSLGHVLHIFVNGELVGSAHGAFRNSSFTLESTVSLNEGMNNISLLSATVGLPDSGPYLEHSTLGPHKVTIEGSEGSQDLSNNSWGYQVGLLGEKLQIYTTKGSNVVQWKEFSSAQPLVWYKTFFDEPEGNDPLALNLGSMGKGEVWVNGQSIGRYWVSFRTLAGIPSQTWYNVPRSFLKPTGNLLILFEEEHGNPCNITLDTVSITSVCGHVTDSNPPFQIPWKRYARRPKVQLNCPPNRNISKILFASFGRPFGDCSAYAVGSCHSSNSRAIVEEACIGKRKCSISQSYKRFGGDPCPGIPKSLLVDVQCG >itb13g15890.t2 pep chromosome:ASM357664v1:13:22793985:22807403:-1 gene:itb13g15890 transcript:itb13g15890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLIYADMCRWWAWWLLAAAVAVRGEDVTYDGRSLIIDGQRKLLFSGSIHYPRSTPDMWPSLIRKAKEGGLHVIQTYTFWNLHEPQPGQYDFSGSKDIVRFVKEIQAQGLYACLRIGPYIEAEWTYGGFPFWLHDVPGIVFRSDNEPFKLYMQNYTAKIVNMMKSEGLYASQGGPIILSQIENEYQNIEAAFGEKGPSYVRWAAEMAVGLETGVPWDMCKQDDAPDPVINACNGMKCGEINFAPNSPNKPAIWTENWTSLKNGSYINYYMYHGGTNFGRTAASFIITGYYDQAPLDEYGLLREPKYGHLKELHAAIKMCSQILLSGTPNNFSLGQSQQAYVFRGDSGACAAFLINSDGKQSAVVQFQNSSYELPRKSISILPDCKTVVFNTAKVNTQSNTRSMQPALKFDSAGQWEEFKEQVVEFDDTTIRADTLLEHMNTTKDVSDYLWYTLSLQQDSSDPKSAISVKSLGHVLHIFVNGELVGSAHGAFRNSSFTLESTVSLNEGMNNISLLSATVGLPDSGPYLEHSTLGPHKVTIEGSEGSQDLSNNSWGYQVGLLGEKLQIYTTKGSNVVQWKEFSSAQPLVWYKTFFDEPEGNDPLALNLGSMGKGEVWVNGQSIGRYWVSFRTLAGIPSQTWYNVPRSFLKPTGNLLILFEEEHGNPCNITLDTVSITSVCGHVTDSNPPFQIPWKRYARRPKVQLNCPPNRNISKILFASFGRPFGDCSAYAVGSCHSSNSRAIVEEACIGKRKCSISQSYKRFGGDPCPGIPKSLLVDVQCG >itb01g15610.t2 pep chromosome:ASM357664v1:1:18640685:18650587:1 gene:itb01g15610 transcript:itb01g15610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLNQIPDDNFHQPPPHLLPKIAGAAAGAPYHESLSGEKSKGKGSDSDLIDNRMNQAQSRIGASGYPVSIALQRQSSGSSFGESSISGEYCIPSLSNPDASYGQLNDGVGGLKAAEVSSVGGGGSSSSKSWAQQTEESYQMQLALALRLSSEATCAEDPNFLDPVPDEAASRSSALTVSAEAMSHRFWVNGCLSYFDKIPDGFYLIHGMDPYVWIVCTDLQESGRIPSLESLKAADPSILPSVEVTLIDRRTDPSLKELQNRIHNLSPSCITTKEVVDQLAKLVCNHMGGAASAGETDLVPIWKECRDDLKDCLGSIVIPIGNLSVGLCRHRSLLFKVLADTIDLPCRIAKGCRYCNRDDASSCLVRFGLDREYLVDLVGMPGCLCEPDSMLNGPSSIAISSPLRFPRFKQVEPRIDFKLLAKQYFSDCQSLNLVFIDSSTGTTVSGDAGVPVYPKQADSGGMDRNCFIPSSSNQEEISRFPLPPTNVQRMGGGLESQRAGMYGPSNIINSMNVGRDVQLPIIRPDPRLDSTKDTRFTDGGQLALRKPKELAIDVEDLDIPWTDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERYHEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKHGAREALDEKRRLCMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDLYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLEIPHDLNPQVAAIIEACWANEPWKRPSFSTIMDLLRPLIKLPVTPQPGRTDMQLLT >itb01g15610.t3 pep chromosome:ASM357664v1:1:18640731:18648791:1 gene:itb01g15610 transcript:itb01g15610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLNQIPDDNFHQPPPHLLPKIAGAAAGAPYHESLSGEKSKGKGSDSDLIDNRMNQAQSRIGASGYPVSIALQRQSSGSSFGESSISGEYCIPSLSNPDASYGQLNDGVGGLKAAEVSSVGGGGSSSSKSWAQQTEESYQMQLALALRLSSEATCAEDPNFLDPVPDEAASRSSALTVSAEAMSHRFWVNGCLSYFDKIPDGFYLIHGMDPYVWIVCTDLQESGRIPSLESLKAADPSILPSVEVTLIDRRTDPSLKELQNRIHNLSPSCITTKEVVDQLAKLVCNHMGGAASAGETDLVPIWKECRDDLKDCLGSIVIPIGNLSVGLCRHRSLLFKVLADTIDLPCRIAKGCRYCNRDDASSCLVRFGLDREYLVDLVGMPGCLCEPDSMLNGPSSIAISSPLRFPRFKQVEPRIDFKLLAKQYFSDCQSLNLVFIDSSTGTTVSGDAGVPVYPKQADSGGMDRNCFIPSSSNQEEISRFPLPPTNVQRMGGGLESQRAGMYGPSNIINSMNVGRDVQLPIIRPDPRLDSTKDTRFTDGGQLALRKPKELAIDVEDLDIPWTDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERYHEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKHGAREALDEKRRLCMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVR >itb01g15610.t1 pep chromosome:ASM357664v1:1:18640678:18650587:1 gene:itb01g15610 transcript:itb01g15610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLNQIPDDNFHQPPPHLLPKIAGAAAGAPYHESLSGEKSKGKGSDSDLIDNRMNQAQSRIGASGYPVSIALQRQSSGSSFGESSISGEYCIPSLSNPDASYGQLNDGVGGLKAAEVSSVGGGGSSSSKSWAQQTEESYQMQLALALRLSSEATCAEDPNFLDPVPDEAASRSSALTVSAEAMSHRFWVNGCLSYFDKIPDGFYLIHGMDPYVWIVCTDLQESGRIPSLESLKAADPSILPSVEVTLIDRRTDPSLKELQNRIHNLSPSCITTKEVVDQLAKLVCNHMGGAASAGETDLVPIWKECRDDLKDCLGSIVIPIGNLSVGLCRHRSLLFKVLADTIDLPCRIAKGCRYCNRDDASSCLVRFGLDREYLVDLVGMPGCLCEPDSMLNGPSSIAISSPLRFPRFKQVEPRIDFKLLAKQYFSDCQSLNLVFIDSSTGTTVSGDAGVPVYPKQADSGGMDRNCFIPSSSNQEEISRFPLPPTNVQRMGGGLESQRAGMYGPSNIINSMNVGRDVQLPIIRPDPRLDSTKDTRFTDGGQLALRKPKELAIDVEDLDIPWTDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERYHEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKHGAREALDEKRRLCMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDLYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLEIPHDLNPQVAAIIEACWANEPWKRPSFSTIMDLLRPLIKLPVTPQPGRTDMQLLT >itb01g15610.t4 pep chromosome:ASM357664v1:1:18640685:18647873:1 gene:itb01g15610 transcript:itb01g15610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLNQIPDDNFHQPPPHLLPKIAGAAAGAPYHESLSGEKSKGKGSDSDLIDNRMNQAQSRIGASGYPVSIALQRQSSGSSFGESSISGEYCIPSLSNPDASYGQLNDGVGGLKAAEVSSVGGGGSSSSKSWAQQTEESYQMQLALALRLSSEATCAEDPNFLDPVPDEAASRSSALTVSAEAMSHRFWVNGCLSYFDKIPDGFYLIHGMDPYVWIVCTDLQESGRIPSLESLKAADPSILPSVEVTLIDRRTDPSLKELQNRIHNLSPSCITTKEVVDQLAKLVCNHMGGAASAGETDLVPIWKECRDDLKDCLGSIVIPIGNLSVGLCRHRSLLFKVLADTIDLPCRIAKGCRYCNRDDASSCLVRFGLDREYLVDLVGMPGCLCEPDSMLNGPSSIAISSPLRFPRFKQVEPRIDFKLLAKQYFSDCQSLNLVFIDSSTGTTVSGDAGVPVYPKQADSGGMDRNCFIPSSSNQEEISRFPLPPTNVQRMGGGLESQRAGMYGPSNIINSMNVGRDVQLPIIRPDPRLDSTKDTRFTDGGQLALRKPKELAIDVEDLDIPWTDLVLKERIGAGSFGTVHRADWHGSVRPIFFKFTSFGAHSLSILSWILKEHLNVTLL >itb07g15570.t1 pep chromosome:ASM357664v1:7:18617570:18618256:-1 gene:itb07g15570 transcript:itb07g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIDDYSDYLTTYSVRPYPTVGEDNAPFACAASSTHVHVRFSYHTVDDSDDGEYEVESRIICLRDEVLAEESGDDCRSFILSEGLCYWPIDAGTVLDIADEVVQKALQFKRNLRVEIRSVQIRGGSDVQETYEDYDDRDFGGADGGCVDIKSLKRKRIAYDERDCCCVICLEVLTAGTDVGVMPCSHYSFHHDCLSSWLERSPSCPLCRRKMSAVTAATAADSRS >itb03g23500.t2 pep chromosome:ASM357664v1:3:21705122:21708663:1 gene:itb03g23500 transcript:itb03g23500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFILFVILFCGAIFCLTRAEPMEDKRALLDFIDRIHHSRKLNWKEETSACSSWVGVTCNHNKSRIIAVRLPGIGMRGSIPLNSLSRLSGLQILSLRSNRISGSFPSDFWKLGNLTMLYLQSNSFHGPLPADFSVWKSLSVLNLSNNEFNGSIPSSMSNLTHLTALSLANNSLSGDIPDLNLPSLQLLDLSNNNLTGNLPPSLSRFPDSAFAGNHLSPEVSLPPIPSVLPPGAPLSKKSKSLSEPALLGIIIGSCALGFAVIAVLLILCYSQKEDENGAPAKPVKKDSSVSKAASSSQNGRDNLVFFEGCNLAFDLEDLLRASAEILGKGTFGTTYKAALEDATIVVVKRLKEVGVGRKEFEQQMEVVGSIRHENVAPLRAYYYSKDEKLMVYDYYSYGSISAMLHAKREEGWIPLDWDTRVRIAIGAARGIAYIHGQSGGKLVHGNIKSSNIFLNSEQYGCVSDLGLATLISPIAPPIRRTAGYRAPEVKDTRKATQESDVYSFGVLLLELLTRKSPTHAMGGNEVVDLVRWVHSVVREEWTAEVFDVELLKCPNIEEEMVEMLQIGMSCVGRMAEQRPNMLDVVKMVEGIRRVNTGTQPSTEASTPTLMTPPFPEVGSSYSHPH >itb03g23500.t3 pep chromosome:ASM357664v1:3:21705705:21708632:1 gene:itb03g23500 transcript:itb03g23500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFILFVILFCGAIFCLTRAEPMEDKRALLDFIDRIHHSRKLNWKEETSACSSWVGVTCNHNKSRIIAVRLPGIGMRGSIPLNSLSRLSGLQILSLRSNRISGSFPSDFWKLGNLTMLYLQSNSFHGPLPADFSVWKSLSVLNLSNNEFNGSIPSSMSNLTHLTALSLANNSLSGDIPDLNLPSLQLLDLSNNNLTGNLPPSLSRFPDSAFAGNHLSPEVSLPPIPSVLPPGAPLSKKSKSLSEPALLGIIIGSCALGFAVIAVLLILCYSQKEDENGAPAKPVKKDSSVSKAASSSQNGRDNLVFFEGCNLAFDLEDLLRASAEILGKGTFGTTYKAALEDATIVVVKRLKEVGVGRKEFEQQMEVVGSIRHENVAPLRAYYYSKDEKLMVYDYYSYGSISAMLHAKREEGWIPLDWDTRVRIAIGAARGIAYIHGQSGGKLVHGNIKSSNIFLNSEQYGCVSDLGLATLISPIAPPIRRTAGYRAPEVKDTRKATQESDVYSFGVLLLELLTRKSPTHAMGGNEVVDLVRWVHSVVREEWTAEVFDVELLKCPNIEEEMVEMLQIGMSCVGRMAEQRPNMLDVVKMVEGIRRVNTGTQPSTEASTPTLMTPPFPEVGSSYSHPH >itb03g23500.t1 pep chromosome:ASM357664v1:3:21705122:21708663:1 gene:itb03g23500 transcript:itb03g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFILFVILFCGAIFCLTRAEPMEDKRALLDFIDRIHHSRKLNWKEETSACSSWVGVTCNHNKSRIIAVRLPGIGMRGSIPLNSLSRLSGLQILSLRSNRISGSFPSDFWKLGNLTMLYLQSNSFHGPLPADFSVWKSLSVLNLSNNEFNGSIPSSMSNLTHLTALSLANNSLSGDIPDLNLPSLQLLDLSNNNLTGNLPPSLSRFPDSAFAGNHLSPEVSLPPIPSVLPPGAPLSKKSKSLSEPALLGIIIGSCALGFAVIAVLLILCYSQKEDENGAPAKPVKKDSSVSKAASSSQNGRDNLVFFEGCNLAFDLEDLLRASAEILGKGTFGTTYKAALEDATIVVVKRLKEVGVGRKEFEQQMEVVGSIRHENVAPLRAYYYSKDEKLMVYDYYSYGSISAMLHAKREEGWIPLDWDTRVRIAIGAARGIAYIHGQSGGKLVHGNIKSSNIFLNSEQYGCVSDLGLATLISPIAPPIRRTAGYRAPEVKDTRKATQESDVYSFGVLLLELLTRKSPTHAMGGNEVVDLVRWVHSVVREEWTAEVFDVELLKCPNIEEEMVEMLQIGMSCVGRMAEQRPNMLDVVKMVEGIRRVNTGTQPSTEASTPTLMTPPFPEVGSSYSHPH >itb06g23390.t1 pep chromosome:ASM357664v1:6:25367250:25369744:1 gene:itb06g23390 transcript:itb06g23390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE332 [Source:Projected from Arabidopsis thaliana (AT4G09650) UniProtKB/TrEMBL;Acc:A0A178V1L3] MAALQQTPITFQARSPPSTQIIVSPTAKLSFSGGLRLPKFTVKAPSKKLSRGGRGGGVLGAKMVDSPAESYAVALCDVAKSNGTLEKTAADLEKIENVFTEESVFDFFANPIMSDEKKREVVAEIAKSSELQPHVVNFLNILVDMDRMELIKEIVQEFELVYNKLTDTELAVVTSVVKLESQHLAQIAKGVQRLTGAKNVRIKTVLDPSLVAGFTIRYGDSGSKMIDMSVKKQLDDIAAQLDIGDLQLAV >itb12g15260.t1 pep chromosome:ASM357664v1:12:15647852:15650718:1 gene:itb12g15260 transcript:itb12g15260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTFEGHHTKVVQLGPRYGFILFIVSEVMFFFALFRASSHSSLAPTVEIGGIWPPKGIAVLDPWEIPFLNTLIPPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFSIICGIRQYLGHLTKEHHVGFEAAACGNALQSEVLRLREEMFLVDAGLGTPRICMQDEPTGVPINRATRFENKVGSLDLVAGESLIKEQILERFFIDLVAGESLIKERAAARFNDLVGSTDVVAGEPLLLLPRRFRQNRAWLELNKIWRTNTKVKGFIIEKVKGGSSVAIAGFITFLPFRRRQRRSNDRFAIESINPKKTNIVE >itb11g21860.t1 pep chromosome:ASM357664v1:11:23647965:23651392:-1 gene:itb11g21860 transcript:itb11g21860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGYNTRKFKGTGNNRREVKDDDDAYGCIFRYEYEYDDRVHMFDPTKCHQIPVENIETLQNLGCAHTVLPKVIRAEDRIYLLSRKDDHFGYRIWSSKEHLDENVPLDFQYFDLNKKLFETLPPPPIRINLEMHLSVIGVKGHFFLRGYIYVFITDTTTCFETFKFSTKDSKWEDCKSFVDRFEERNIPFPFLHAGDMGVSDEFDDNTWILVSLHGKLPTAYRVRLSDTGDIDPISHRVLAEFKFSDADMPYSVHDWKQLADMGGERFCVMHTTSSGDFFIYVFEINFRLEHAIQTFESGDRSSNIIFSMKFNPYDTLPKGHVLTGFCIASAPLPASPDNEDQDKTPPRASPDNADQEDQNSSSCATENPSPPPLPYCLHFASPLLQFCFYSCQMASHRLASATGLHGLALLSLIQLQVLWTTSGGVRRRPACVVDESGGATRGEGRSWQCWLKEEEEEERRRWLFWRRRTLRW >itb12g16710.t2 pep chromosome:ASM357664v1:12:18154411:18160229:1 gene:itb12g16710 transcript:itb12g16710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLELWRQGGIVLGTMMDGCRFYRTKPKVVDLKKLRPMILKRIENRAKDYPVEDMVPVAQEVLKARAALYHGVSTLLQHLPLWICKYCPEVYVGENGHLIRTCGGYRRRTKNQLHVWIKGSLDSILIPVETFHLHNMFQNVIKHHERFDYSRISAVLELCLQAGANPNEESIDASKMEHESNFKIVPPFDQQMRLLGKETLNAWETLRSGVHKLLLVYPVKVCKHCSEVHVGPSGHKARLCGVFKYESWRRTHFWEKAAVDDLVPPNIVWHRRPQDPPLLLDEGRNYYGHAPAVVDLCTKSGAIAPSKYFCMMKVDGLSAPISDKP >itb12g16710.t1 pep chromosome:ASM357664v1:12:18154411:18160229:1 gene:itb12g16710 transcript:itb12g16710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLELWRQGGIVLGTMMDGCRFYRTKPKVVDLKKLRPMILKRIENRAKDYPVEDMVPVAQEVLKARAALYHGVSTLLQHLPLWICKYCPEVYVGENGHLIRTCGGYRRRTKNQLHVWIKGSLDSILIPVETFHLHNMFQNVIKHHERFDYSRISAVLELCLQAGANPNEESIDASKMEHESNFKIVPPFDQQMRLLGKETLNAWETLRSGVHKLLLVYPVKVCKHCSEVHVGPSGHKARLCGVFKYESWRRTHFWEKAAVDDLVPPNIVWHRRPQDPPLLLDEGRNYYGHAPAVVDLCTKSGAIAPSKYFCMMKVDGLSAPISDKP >itb12g16710.t3 pep chromosome:ASM357664v1:12:18154652:18161695:1 gene:itb12g16710 transcript:itb12g16710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLELWRQGGIVLGTMMDGCRFYRTKPKVVDLKKLRPMILKRIENRAKDYPVEDMVPVAQEVLKARAALYHGVSTLLQHLPLWICKYCPEVYVGENGHLIRTCGGYRRRTKNQLHVWIKGSLDSILIPVETFHLHNMFQNVIKHHERFDYSRISAVLELCLQAGANPNEESIDASKMEHESNFKIVPPFDQQMRLLGKETLNAWETLRSGVHKLLLVYPVKVCKHCSEVHVGPSGHKARLCGVFKYESWRRTHFWEKAAVDDLVPPNIVWHRRPQDPPLLLDEGRNYYGHAPAVVDLCTKSGAIAPSKYFCMMKVDGLSAPISDKP >itb10g15860.t1 pep chromosome:ASM357664v1:10:22102303:22103390:1 gene:itb10g15860 transcript:itb10g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCKKSRDSFCKILKQVHSSSPPLSLLALCLRRRLSPLSPPLSVSQLFRDSVSASLAQEFDYRSDSYQVLYWLSSLTSTDENFITKSGAQCVASSEDLVQWVQTIVCDVTWFSRCRKLYEVPWI >itb09g18800.t1 pep chromosome:ASM357664v1:9:14884282:14885047:-1 gene:itb09g18800 transcript:itb09g18800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQKNNTPKTPLVTSDSDSDSDSETAKFNDEPQQIEPLLGMAFFDSVLSKEKPLSRMVFPAKMNAVLPDGTVPAVITYGKRTWSTTLHGDKKRKVLNYTTWKQFLNGSKIRIGDGLILEVMQISQELVKFKAQILRGDFPAELLPKITGGTPETPIIIHD >itb11g06860.t3 pep chromosome:ASM357664v1:11:4154743:4158974:1 gene:itb11g06860 transcript:itb11g06860.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MAASSVPMLQFPAQSLHSKSPSSTTFRSFTFSLPKLTGNSGRYSVIRCSSSSGRKPDGYSADKEVKTVEKILEEKRRAELSARIASGEFTVEQSEIPSILVDGLSRLGVPKGFLEFLSKMLGGSEAYPQIPEAKGSIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAKMIGLFGQATDRLCSKLDAAASDGEDMEMESLFSRLTLDIIGKAVFNYDFDSLSVDAGIVEAVYTVLREAEDRSVAPIPFWEIPIWKDFSPKLKKVNTALKLINDTLNDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVVAKLQDEVDSVLGDRYPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRL >itb11g06860.t4 pep chromosome:ASM357664v1:11:4154692:4161210:1 gene:itb11g06860 transcript:itb11g06860.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MLGGSEAYPQIPEAKGSIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAKMIGLFGQATDRLCSKLDAAASDGEDMEMESLFSRLTLDIIGKAVFNYDFDSLSVDAGIVEAVYTVLREAEDRSVAPIPFWEIPIWKDFSPKLKKVNTALKLINDTLNDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVVAKLQDEVDSVLGDRYPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRGEDIFISVWNLHRSPNHWEDADKFNPERWSIDGPNPNETNQNFSYLPFGGGPRKCIGDMFATFETVVAVAMLIRRFEFQLAIGAPPVEMTTGATIHTTQGLKMTVTRRIRPPVVPTLEMPLLEVGSSADVSDITDKKGEVSAARSSV >itb11g06860.t1 pep chromosome:ASM357664v1:11:4154692:4161210:1 gene:itb11g06860 transcript:itb11g06860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MAASSVPMLQFPAQSLHSKSPSSTTFRSFTFSLPKLTGNSGRYSVIRCSSSSGRKPDGYSADKEVKTVEKILEEKRRAELSARIASGEFTVEQSEIPSILVDGLSRLGVPKGFLEFLSKMLGGSEAYPQIPEAKGSIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAKMIGLFGQATDRLCSKLDAAASDGEDMEMESLFSRLTLDIIGKAVFNYDFDSLSVDAGIVEAVYTVLREAEDRSVAPIPFWEIPIWKDFSPKLKKVNTALKLINDTLNDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVVAKLQDEVDSVLGDRYPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRGEDIFISVWNLHRSPNHWEDADKFNPERWSIDGPNPNETNQNFSYLPFGGGPRKCIGDMFATFETVVAVAMLIRRFEFQLAIGAPPVEMTTGATIHTTQGLKMTVTRRIRPPVVPTLEMPLLEVGSSADVSDITDKKGEVSAARSSV >itb11g06860.t2 pep chromosome:ASM357664v1:11:4154692:4160478:1 gene:itb11g06860 transcript:itb11g06860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MAASSVPMLQFPAQSLHSKSPSSTTFRSFTFSLPKLTGNSGRYSVIRCSSSSGRKPDGYSADKEVKTVEKILEEKRRAELSARIASGEFTVEQSEIPSILVDGLSRLGVPKGFLEFLSKMLGGSEAYPQIPEAKGSIKAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAKMIGLFGQATDRLCSKLDAAASDGEDMEMESLFSRLTLDIIGKAVFNYDFDSLSVDAGIVEAVYTVLREAEDRSVAPIPFWEIPIWKDFSPKLKKVNTALKLINDTLNDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVVAKLQDEVDSVLGDRYPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRGEDIFISVWNLHRSPNHWEDADKFNPERWSIDGPNPNETNQNFSYLPFGGGPRKCIGDMFATFETVVAVAMLIRRFEFQLAIGAPPVEMTTGATIHTTQGLKMTVTRRIRPPVVPTLEMPLLEVGSSADVSDITDKKGEVSAARSSV >itb15g14210.t1 pep chromosome:ASM357664v1:15:12468976:12469461:1 gene:itb15g14210 transcript:itb15g14210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKFPVALLSFMVIAILFHISDAQNSPQDYLNPHNAARDQVRVPHMTWDATLASYAQSYANSRAGDCNMVHSGGPYGENLAAAFPALNAAGAVKMWVDEKPKYDYNSNSCVGGECRHYTQVVWRSSVRLGCGRARCNNGWWFVCCSYAPRGNIIGQRPY >itb01g32520.t1 pep chromosome:ASM357664v1:1:36003397:36005882:1 gene:itb01g32520 transcript:itb01g32520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTVGNLALKIPVASKPARTVVHPSSSPCFCKIKLKSFSVQAAVVPHILPDSQFPDGQVQPLAATFHLSKSDLDRLAAKSLFGGKLHLKISIYTGRRGTNCGVSSGRLLGKVSVPVDLTGTESRAVVFHNGWIAVGKDAKNSSAQFHMNVKAEPDPRFVFQFDGEPECSPQVFQIQGNIRQPVFTCKFSFRTTADRNQRSRSLPSEQSGPRGWLSSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGSNDGLGYRFELIPDSAASGIVLAESTLSCSKGGKFSIDLASSSGSNNGTRTPRNATSPACSPRGSGDFGYGLWPYCAYRGFVMSASVEGEGAGSKGRKPTVEVSVQHVNCTEDAAAFVALSAAIDLSMDACRLFSQKLRKELRPPQDLLS >itb12g25820.t1 pep chromosome:ASM357664v1:12:26949525:26953426:1 gene:itb12g25820 transcript:itb12g25820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRLLQVFGLGSAAIFVFLLWKILHSCCILPSLTDRKLRENGFGGPPPSFPFGNLDEMRKSGNQGHDNGDVKTLSESSSSSSSSSSSGISNDIHATAFPYFARWQKLHGKVFIYWLGTEPFLYIADPEFVKKMSAGVLGKTWGKPTVFKNDREPMFGKGLVMVEGDDWVRQRHVITPAFTPSNLKGMAGLMVESAKNMVERWAAMMNSGSLEIDVEREITSTTAEIIAKTSFGISYEKGQKVFDKLRAMQMTLFKSARFVGVPFGKYMCPKQTLEAKNLGKEIDALVLAIIEERKRSNGSDHPHNDLLSLLLAENQAKGKSGKSLSTRELVDECKTFFFGGHETTALALTWTLLLLAMHPEWQTVLREEIQNVIGDDEVDASKLAGLKKMGWVMNEALRLYSPAPNVQRQAREDIKVDELVIPSGTNMWIDVVSMHHDKKYWGEDVNEFKPERFKDDINGGCKHKSGFLPFGFGGRMCIGRNLTNMEYKIVLTQILTRFSFSVSPNYKHSPAIMLSLRPSQGLPLIFEPLQN >itb02g13720.t1 pep chromosome:ASM357664v1:2:9936355:9937203:-1 gene:itb02g13720 transcript:itb02g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNESKVNETMSASNSCMKRLQGKVVIVTGGASGIGEATARLFADHGTRAVVIADLQDEKGVAVAESIGLDKCSFVKCDVSDEEQVKAMIDWTVQKYGQLDVMFSNAGIVSPTDQKVLDLDFSDFDRVFRVNARGAAVCVKHAARAMVEGRVRGSIVCTASVAATRGGVRRTDYIMSKHAVLGLVRSASQQLGAHGIRVNCVSPSVTPTPIILANREEASSYVEKIYGPLTSLKGITSDVKHVANAVLFLASDESAFITGHDLSVDGGLICLAVPGPGRN >itb09g19250.t1 pep chromosome:ASM357664v1:9:15468585:15473294:1 gene:itb09g19250 transcript:itb09g19250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVVGNGIEKGDGKCQGDNMGYVSRVEVAHGSMNLHDRVDTSIEVAPCLNVDSIAATPAAQTPSPLHPLLRLRRISRCNSITIPPSTSLPTIELGQKRFLPIAAPTVFTFVAAHHRRCRSSLFACFSSSSTSLLLLVNCALSDIGNISSIKGD >itb09g19250.t2 pep chromosome:ASM357664v1:9:15468585:15472192:1 gene:itb09g19250 transcript:itb09g19250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVVGNGIEKGDGKCQGDNMGYVSRVEVAHGSMNLHDRVDTSIEVAPCLNVDSIAATPAAQTPSPLHPLLRLRRISRCNSITIPPSTSLPTIELGQKRFLPIAAPTVFTFVAAHHRRCRSSLFACFSSSSTSLLLLVNCALSDIGNISSIKGD >itb09g19250.t3 pep chromosome:ASM357664v1:9:15468585:15472192:1 gene:itb09g19250 transcript:itb09g19250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEVVGNGIEKGDGKCQGDNMGYVSRVEVAHGSMNLHDRVDTSIEVAPCLNVDSIAATPAAQTPSPLHPLLRLRRISRCNSITIPPSTSLPTIELGQKRFLPIAAPTVFTFVAAHHRRCRSSLFACFSSSSTSLLLLVNCALSDIENGLSTVEKGIEVAEEESETTVSFGALAQAGDGELRRRSSDLGFVLTYKQFLKTTDIGLACAQNIPRYAIGK >itb11g07990.t1 pep chromosome:ASM357664v1:11:5123111:5128295:1 gene:itb11g07990 transcript:itb11g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPVAISGAGGWWCWRNSSGNGGKSNSGDLCGVSSAFTFFLVSFLLLGSIAALYARLMFTPNVHAGLPTLGCCEDNEGSWSIGVFYGDSPFSLKPIDDMNLQRDKSAAWPVANPVVTCASASQAGIPSNFVADPFLYVKGDDLYLFFETKNPITKQGDIGVAKSMDKGVTWEQLGVALDESWHLSYPYVFDYDGNIYMLPEGSEKGDLRLYRAVDFPTKWVLEKVVLKKPIIDPFIIPHDGKYWLFGSDHSGIGTQSNGQLEIWYATSPLGPWRPHKKNPIYNTDASKGARNGGRPFVYDGNIYRVGQDCGETYGRRIRTFRVENLTTHYFKEVEVSLGVKESIKGQNAWNGARNHHVDVQQLSSGEWIAVLDGDRVHSGDAMHRFVLGTASVFGVAALVMLLGLLLGALSFTVPLSWRSHNLGKKSDAFSSWEKSNSFSLKFRLFCCQLNRLSSFLRANIKPNTCAGTSILAVTFLMAAFLTCAGVNYIYGGSGAQEPYVLNGHYSQFTLLTMTYDARMWNLKMYIKHYSRCSSVREIVVVWNKGKPPQLSEFDSAVPVRIRVEEKNSLNNRFKLDPLIKTRAVLELDDDIMMTCDDIERGFFIWREHPDRIVGYYPRLANGSPLQYRAERHARKHNGYNMILTGAAFIDAQRAFERYSSEEAAGGREIVDKFFNCEDVLLNYLYANASASSNTVEYVKPAWAIDMSKFSGVAISSNTQAHYNIRSKCLEKFSEIYGSIANRKSEFGSRKDGWDV >itb01g28670.t1 pep chromosome:ASM357664v1:1:33069812:33073834:1 gene:itb01g28670 transcript:itb01g28670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSDDRLGFGKMGYGCQHYRRRCKIRAPCCNEVYGCRHCHNEEASMLRNPFDRHELNRHEVKQVICSVCDTEQPVAQVCTNCGVKMGEYFCNICNFFDDDVEKGQFHCDDCGICRVGGRENFFHCNKCGSCYAISLRNNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHYECFYEMIKRDKYCCPICSKSIIDMSSIWKEMDEEIEQTAMPDDYRDRKVWILCNDCNDTTEVLFHIIGQKCRHCQSYNTRTIAPPVLPDP >itb01g28670.t2 pep chromosome:ASM357664v1:1:33070194:33073834:1 gene:itb01g28670 transcript:itb01g28670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNPFDRHELNRHEVKQVICSVCDTEQPVAQVCTNCGVKMGEYFCNICNFFDDDVEKGQFHCDDCGICRVGGRENFFHCNKCGSCYAISLRNNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHYECFYEMIKRDKYCCPICSKSIIDMSSIWKEMDEEIEQTAMPDDYRDRKVWILCNDCNDTTEVLFHIIGQKCRHCQSYNTRTIAPPVLPDP >itb03g29100.t3 pep chromosome:ASM357664v1:3:29875779:29882909:1 gene:itb03g29100 transcript:itb03g29100.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLKELWSKKTLLSLGLGQFLSLLITSTGFSSSELARRGINVPTSQSFFNYVLLALFYGAIMIYRKEPLKAKWYYYVILGLVDVEANYLVVKSYQYTSLTSVMLLDCWAIPCVMLFTWIFLKTKYKIGKFFGVFICVAGLVLVIFSDVHAADRSSGSSPIKGDILVIAGATLYAISNVSEEFFVKSADRVELMAFLGLFGAIISACQISIFERNELKSIHWTAGATFPFFGFSLAMFLFYSGVPVLLKISGATMLNLSLLTSDMWSVFIRIFAYHEKVDWMYYVAFAAVVVGLIVYSGADKAAEVADEGVVQSKRFDEEAGSDHLTQKDATGASSKHDFASTSSRETFAVRSSIDIRNETEGGKC >itb03g29100.t1 pep chromosome:ASM357664v1:3:29875564:29882909:1 gene:itb03g29100 transcript:itb03g29100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLKELWSKKTLLSLGLGQFLSLLITSTGFSSSELARRGINVPTSQSFFNYVLLALFYGAIMIYRKEPLKAKWYYYVILGLVDVEANYLVVKSYQYTSLTSVMLLDCWAIPCVMLFTWIFLKTKYKIGKFFGVFICVAGLVLVIFSDVHAADRSSGSSPIKGDILVIAGATLYAISNVSEEFFVKSADRVELMAFLGLFGAIISACQISIFERNELKSIHWTAGATFPFFGFSLAMFLFYSGVPVLLKISGATMLNLSLLTSDMWSVFIRIFAYHEKVDWMYYVAFAAVVVGLIVYSGADKAAEVADEGVVQSKRFDEEAGSDHLTQKDATGASSKHDFASTSSRETFAVRSSIDIRNETEGGKC >itb03g29100.t2 pep chromosome:ASM357664v1:3:29875536:29882906:1 gene:itb03g29100 transcript:itb03g29100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLKELWSKKTLLSLGLGQFLSLLITSTGFSSSELARRGINVPTSQSFFNYVLLALFYGAIMIYRKEPLKAKWYYYVILGLVDVEANYLVVKSYQYTSLTSVMLLDCWAIPCVMLFTWIFLKTKYKIGKFFGVFICVAGLVLVIFSDVHAADRSSGSSPIKGDILVIAGATLYAISNVSEEFFVKSADRVELMAFLGLFGAIISACQISIFERNELKSIHWTAGATFPFFGFSLAMFLFYSGVPVLLKISGATMLNLSLLTSDMWSVFIRIFAYHEKVDWMYYVAFAAVVVGLIVYSGADKAAEVADEGVVQSKRFDEEAGSDHLTQKDATGASSKHDFASTSSRETFAVRSSIDIRNETEGGKC >itb04g25650.t1 pep chromosome:ASM357664v1:4:30198410:30200121:-1 gene:itb04g25650 transcript:itb04g25650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTGMAIKLGFVIVIALTIVSICGAAIFRPISDSHRSAALELFTLSDGSFGSLEETYEALRTFDALGIDKKADIKASTCKSVVDILSTPSSHLKDLFQALRVNGLLKCELNDGVIARTASRLKGVNSAKSLLDFYYSIGGLVLIKDLSSEIDVHLKDAKGVFHSIKALSQSDGRWRYNSNNPESSYYAAGIALETLAGVVSLASSKIEYSLIDALKNDVSKLFDGIERYGMHFRAFDVIEILPTE >itb12g03560.t1 pep chromosome:ASM357664v1:12:2344248:2346970:-1 gene:itb12g03560 transcript:itb12g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRKASHSDKETESAEKDAKMRELRATIGALTGRSLKFCNDACIRLYLEARNWDVEQAKKMLEETLKWRSTYKPEEIRWHEVAHEGETGKASISNFHDRQGRTVLIMRPGKQNTSSAEGQARHLVYLMEKAIMNLPEGQEQMTWLVDFNGWSLRKSVPIKTARDITYLLQNHYPERLALVVLYSPPGIFETFWKIVKYFVDPKTFQKIRFVYPNNQDSMEVMKTFFDSENLPREFGGKTTLIYDHEEFSRLMTQEDVKTAKFWGFDDVHCHDAKDHLSNAEPEPVCIA >itb09g02200.t1 pep chromosome:ASM357664v1:9:1311379:1312797:1 gene:itb09g02200 transcript:itb09g02200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHVFFFPMMAYGHMIPILDMAKLFASRGVKATIITTPANQPVFSKAVDKYTQLEIRLLEFPGVEVGLPESCQRHDQIPAADNGLLRFFKACAMLQPSLEDLLQELRPNCLVADMLFPWATNVAAKFDIPRLIFHGTSYLALCAVNSLRIHKPFNNVTSDLELFNIPNLPHELKLTKLQVSPFERGEVENPKLEFMRGLRESEETSYGAIFNSFYELEPDYAEHYKNVLGIRAWSVGPLSLYNRDLEDKAQRGKKSAIDENECLEWLDSKNPHSVVYMCFGSFANFAPSQLHEMAMGIEASGMDFVWVIRNKSEEEKWMPEGFEERTKGKGLIIRGWAPQVLILDHEAVGAFVTHCGWNSTLEGVSAGVPMVTWPLFAEQFVNEKLMTDILRTGTGVGSKEWKISGNDGVKREAITEAIKKVMISEESEEMRSRAKAMKDKAKKAIEEGGSSYLGLSSLLDELRAYHAKK >itb02g23000.t1 pep chromosome:ASM357664v1:2:22756101:22756454:-1 gene:itb02g23000 transcript:itb02g23000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKGSQKVAGEVEPNALLRALSKSGKHAQLKRVTFRDPRMTCYNNYDRYASPSPPYTRQGYDNRYNAIEDSYARDLHEHSLGYDTNYSGGYLALAQYLPIVDEYKDAGSTSLCTIM >itb10g17720.t1 pep chromosome:ASM357664v1:10:23855428:23856084:1 gene:itb10g17720 transcript:itb10g17720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLTKQSIIMLSLVAAIVAMASEPSPLQDICVADFSGSSSIRVNGFPCLDPEKVEAHHFSYSGLNVAGNFSIYGTSINRLTVDQIPGLNTLGISTVRVDYLPKGVVPPHIHPRATEILLVLHGKISVGFVTSNPENRLITKVLQEGDAFVFPTGHVHFQQNVGPGNATVIAFLSSQNPGVISVANSIFGTNPPINNDVLTKAFQVNRATIKSLRSQF >itb09g09190.t1 pep chromosome:ASM357664v1:9:5493408:5493797:1 gene:itb09g09190 transcript:itb09g09190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIPYGGIMDEISPSETPYPHRAGNLFFLGYTNEWDEVGQVAAQKHLSWIRKFYAYLTPYVSNNPRESYSNYRDLDLGQNNLVGTTSLDQASYWGYKYFKNNFYRLARVKALVDPDNFFRNEQRIIPL >itb01g27860.t2 pep chromosome:ASM357664v1:1:32480904:32486344:-1 gene:itb01g27860 transcript:itb01g27860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAFATQREFVGGPVLEEEAKQRWPHRYQKGTENSNGGTMSITSQDEPAGVLQAKRHLQQQKLMAWFISLGMMLMLRLERHNGTIEPRTLLSKGVMSLLTNRVSSLLKLRMITPLIVDNVNIHRIPSNGKNSIPCNQLTEGYDVMLCIIEQVQLKAKRMAGGIGINLATADIVILYDSDWNPQVDLQAQDLAHRIGQKEVQVFCFALSILLRKSSKDSTIRDEDIYRIIAKGEEATAELDAKMKKFTEDAIKFKMDDLMLSTADIYDSNDENVDLKKIASENWIEPPRRERKCNYSKLEYFKQTMRQNGPSRPKEPRIPCMPQLHDFQFFNTQRLSELFEKEVRSLMMWENL >itb01g27860.t1 pep chromosome:ASM357664v1:1:32480904:32486344:-1 gene:itb01g27860 transcript:itb01g27860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAFATQREFVGGPVLEEEAKQRWPHRYQKGTENSNGGTMSITSQDEPAGVLQAKRHLQQQKLMAWFISLGMMLMLRLERHNGTIEPRTLLSKGVMSLLTNRVSSLLKLRMITPLIVDNVNIHRIPSNVQLKAKRMAGGIGINLATADIVILYDSDWNPQVDLQAQDLAHRIGQKEVQVFCFALSILLRKSSKDSTIRDEDIYRIIAKGEEATAELDAKMKKFTEDAIKFKMDDLMLSTADIYDSNDENVDLKKIASENWIEPPRRERKCNYSKLEYFKQTMRQNGPSRPKEPRIPCMPQLHDFQFFNTQRLSELFEKEVRSLMMWENL >itb05g04690.t1 pep chromosome:ASM357664v1:5:4310327:4314941:1 gene:itb05g04690 transcript:itb05g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSAAMWPSRSQASTSNEDSVRSEARGGSGREPESPMAVQSKPPEHMTMPKPPERVAMPKPPEHVAVPKPTEHVAMPKTETDEKQPSKPAKPAYIKRVPSAGLRTNVLQKRTGNLKEFFSIGKKLGQGQFGVTFLCVEKETGKQYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMEYCAGGELFDRITQRGHYTERQAAELTRTIVGVVETCHSLGVMHRDLKPENFLFVDKQEDSLLKTIDFGLSIFFKPGEKVTDVVGSPYYVAPEVLRKRYGPEADVWSAGVIVYILLSGVPPFWAENEQGIFEEVLHGELDFTSQPWPSISEGAKDLVRRMLIRDPRKRLTAHEVLCHPWVQVGGVAPDKPLDSAVLSRMKQFTAMNKLKKMALRIIAETLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGYITADELQQACEEFGIEDARLEEMIREADQDNDGCIDYNEFVAMMQKGNPVIGGGKIGPERSFSIRFKEAIRV >itb02g09720.t1 pep chromosome:ASM357664v1:2:6244272:6247515:1 gene:itb02g09720 transcript:itb02g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHINSVVLSLALLIAALTWASWRIINYVWFTPKKVEKWLREQGFSGNPYRLWHGDLKDIKKMTAQAYSKPISLSDDILPRVFPFHHQILHKYGKKSYFWQGPIARVLIMDPEMLREILYKYSIFQKPISGSPIFRLLVAGIVSQEGERWVKHRTLLNPAFKLHNLKQMLPAVHACCSNMVRKLENWVKEKGAVEMDLWPHLGSLSADVISRTAFGSSYEEGKRIFQLQTEQAKLAFGYTQSIYIPGWRFLPTKTNRRMLQIYKEVRGLMLGIINKRIKAMRVGEAENDDLLGILMDSNFKAIRTGRRNNVGLTIDEVVEECKLFYFAGQETTTNLLAFTIVMLCKHQDWQARARQEVFQVFGNIIEPDFERLNHLKIVTMILYEVLRLYPPATMLLRKTVGKTELRGVTMPNGLELMLPMIFVHHDTDLWGDDAKEFKPSRFSEGIEKATKGHASFFPFSSGPRVCIGQHLAMTEAKLAIAMILHHFSLDLSPSYVHAPFSLLSLQPQYGVNVILTKV >itb02g09720.t2 pep chromosome:ASM357664v1:2:6244272:6246191:1 gene:itb02g09720 transcript:itb02g09720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHINSVVLSLALLIAALTWASWRIINYVWFTPKKVEKWLREQGFSGNPYRLWHGDLKDIKKMTAQAYSKPISLSDDILPRVFPFHHQILHKYGKKSYFWQGPIARVLIMDPEMLREILYKYSIFQKPISGSPIFRLLVAGIVSQEGERWVKHRTLLNPAFKLHNLKQMLPAVHACCSNMVRKLENWVKEKGAVEMDLWPHLGSLSADVISRTAFGSSYEEGKRIFQLQTEQAKLAFGYTQSIYIPGWRFLPTKTNRRMLQIYKEVRGLMLGIINKRIKAMRVGEAENDDLLGILMDSNFKAIRTGRRNNVGLTIDEVVEECKLFYFAGQETTTNLLAFTIVMLCKHQDWQARARQEVFQVFGNIIEPDFERLNHLKIVSKLQTSSYLLIRIKRLYVSQIVIVSSNVTLRTSAQPSPNYILNS >itb09g26770.t1 pep chromosome:ASM357664v1:9:27439782:27440502:-1 gene:itb09g26770 transcript:itb09g26770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMGRILRAKQGLRRSSSRTNRESEVPKGHFAVYVGESEKKRFVIPVSYLKDPSFQDLLCQAEEEFGFDHPMGGLTIPCVEDTFLDIISSLRS >itb11g10630.t1 pep chromosome:ASM357664v1:11:7600428:7603606:-1 gene:itb11g10630 transcript:itb11g10630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEWKEEDYLETAFMFPGILFAVFFICTECSDLGGAVPFGTMFDFEEVHERVFKGLVELFDLPTNVKVQNKSTKPLYGYVGQIPIVPLYESMGIDHANTLQGIQSFTKLMWPHGNHDFSEAMLVYSKVAAELEEMVVRMVFESYGVEKYYESHVKSACYLARVMKYREAQENEPKLGFVSHTDKSFMSTIYQNCNINGLEIKTKNGDWFGVQLSPSSIVVMAGDAIMAWSNNRIKSPHHRVMMEGKGPRYSIAQFSFMEKTMIQTPTELVDDDHP >itb07g04500.t2 pep chromosome:ASM357664v1:7:3004835:3008126:1 gene:itb07g04500 transcript:itb07g04500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRRRAYDDKQPSETKRILLAVVFGTMFGYHIGASSFPSLLTTRVIPAPTLQLNVNKSLNVNSTTETRDKTPNKTAMDPTKIWVSSNPRGAELIPPKLVVSETDFFPHRLWGLPSEDLPFTPKYLVTFTVGYNQKNIIDAAFSENFTILLFHYDGKTTEWNEFEWSKRAIHVSVPKQTKWWYAKRFLHPDIVAAYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPALEPVEGFWIAWEMTKRKNGHEVHKVTEEKPGWCTDPHLPPCAGFVEIMVPVFSRDSWRCVWYMIQNDLVHGWGLDLALQRCVEPAHEKIGVVDAQPVFHQRMTTLGDEGKSENGKPSWKAVNERCNAEWVMFRTRLANAEKDYYNNSIGIKFSDPTSH >itb07g04500.t1 pep chromosome:ASM357664v1:7:3004835:3008126:1 gene:itb07g04500 transcript:itb07g04500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRRRAYDDKQPSETKRILLAVVFGTMFGYHIGASSFPSLLTTRLNVNKSLNVNSTTETRDKTPNKTAMDPTKIWVSSNPRGAELIPPKLVVSETDFFPHRLWGLPSEDLPFTPKYLVTFTVGYNQKNIIDAAFSENFTILLFHYDGKTTEWNEFEWSKRAIHVSVPKQTKWWYAKRFLHPDIVAAYEYIFMWDEDLGVENFNAEEYIKLVKKHGLEISQPALEPVEGFWIAWEMTKRKNGHEVHKVTEEKPGWCTDPHLPPCAGFVEIMVPVFSRDSWRCVWYMIQNDLVHGWGLDLALQRCVEPAHEKIGVVDAQPVFHQRMTTLGDEGKSENGKPSWKAVNERCNAEWVMFRTRLANAEKDYYNNSIGIKFSDPTSH >itb11g12420.t1 pep chromosome:ASM357664v1:11:9267305:9268303:-1 gene:itb11g12420 transcript:itb11g12420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMLQENPTSPTTISPRISFSHYLCQKENYPYYSDLNSDFDFCFHPAQKTSSADELFCDGFILPFREKFVANSKHLSFISKPRPLGSSSSSSSFPPLPKIPSSQKKEISKESTEQQKSAQARPFWGIKRSSSLNCHKKGSFWSLPLLSRSNSTGSLRNSKQSAKEGLKGGHFRHIKTTTSSSATSFSSPYVYGFPSSQKPPLRRNHGGVNYGNGVRISPVINVTPPNCISVATVNLFGLGSLFRNGKDKRSGKK >itb10g14320.t1 pep chromosome:ASM357664v1:10:20664132:20671302:1 gene:itb10g14320 transcript:itb10g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAREAQNREAFRQAVTNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGVCYRADHLLKDFCNEKLERDPNLPAEKAAEYRHVLAVLDDLSAEELGAKIKDYGITAPDTRNPLSAPYPFNLMFQTSIGPSGLSPGYLRPETAQGIFVNFKDLYYYNGSKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFSDVSKLEFLMFPREDQVSGRSARRIPLGEAVAQGIVNNETLGYFIGRVYLFLTHLGIDRDRLRFRQHLQNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTDKSGVSLIAHEKLPEPREVEKLVITPVKKELGLAFKGNQRMVVEALESMGEKEALELKATLESKGEAEFQVCTLDKVVTIKKNMVSISKEKIKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYTRASRDGDEQLNVFRFPPLVAPIKCTVFPLVQNAEYEEVAKQIARSLTAAGLSYKIDITGTSIGKRYARTDELGVPFAITVDSTTSVTVRERDSKQQIRVNVDEVASVIKEVTEGHSTWADVMWKYPTHSS >itb12g04650.t2 pep chromosome:ASM357664v1:12:3082419:3085960:-1 gene:itb12g04650 transcript:itb12g04650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIISPSPRTLSSSSPITQMFQLPRSSSSLSSTRISPPALHSRKSTPISAKASSNSPAVFSEDISDVLGEVSIFRATGEPVKFKQLWDQQEGVAVVALLRHFGCVCCWELASTLRDSKPRFESAGAKLIAVGVGEPKKAAILAERLPFPLDCLYADPDRKACTMDLAAPFSTPPVPKYFPDSKNCEKLIRTTPLLPPPMIEVVSYNRGECLCLKGSNCCMRGRMKGLAIMPP >itb12g04650.t1 pep chromosome:ASM357664v1:12:3082223:3086023:-1 gene:itb12g04650 transcript:itb12g04650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIISPSPRTLSSSSPITQMFQLPRSSSSLSSTRISPPALHSRKSTPISAKASSNSPAVFSEDISDVLGEVSIFRATGEPVKFKQLWDQQEGVAVVALLRHFGCVCCWELASTLRDSKPRFESAGAKLIAVGVGEPKKAAILAERLPFPLDCLYADPDRKAYDVLGLYYGLGRTFFNPASTKVFSRFKELREANKNYTIAATPDDRSSVLQQGGMLVFKGKQLLYARKDEGTGDHAPLDDVFDACCKLPVTLS >itb03g22240.t1 pep chromosome:ASM357664v1:3:20305993:20308667:-1 gene:itb03g22240 transcript:itb03g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNAPVPPPLNPPPSLPPGLRFFPALNSPHKTFHQILVFALTFTAYAAFHASRKPPSIVKSVLGTDPKTLNTTSNASLERTGGWAPFDGPDGPHRLGELDLAFLLSYSAGMYFAGHIGDSIDLRLFLTAGMVGSGFFVVVFGLGYFLDVHWLPFFVVVQILCGLFQSIGWPCVVAVVGNWFGEGRRGFIMGAWNSHTSVGNIVGSLVASSVLGYGWGWSFVLPGAFIVAVAILVYLFLVVRPDDIGFDRPADEGEALVGEDEGEVVRLVASRNEGSIAIGFLEAWKLPGVAQYAFCLFFSKLVAYTFLYWLPFYIRHTAVAGVHLSHEKAGMLSTIFDIGGVIGGVLAGVISDKIEARAVTSVAFFLLSIPALILYRIFGSISMFANVALMLISGSVVNGPYALITTAVAADLGTQSVAGGSSRALATVTAIIDGTGSVGAAIGPLLAGYISTRGWTSVFFMLILSISFAGLLLIPVVKSEIEAKRNEGKWLWLRRDSQ >itb03g22240.t2 pep chromosome:ASM357664v1:3:20305993:20308665:-1 gene:itb03g22240 transcript:itb03g22240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELNAPVPPPLNPPPSLPPGLRFFPALNSPHKTFHQILVFALTFTAYAAFHASRKPPSIVKSVLGTDPKTLNTTSNASLERTGGWAPFDGPDGPHRLGELDLAFLLSYSAGMYFAGHIGDSIDLRLFLTAGMVGSGFFVVVFGLGYFLDVHWLPFFVVVQILCGLFQSIGWPCVVAVVGNWFGEGRRGFIMGAWNSHTSVGNIVGSLVASSVLGYGWGWSFVLPGAFIVAVAILVYLFLVVRPDDIGFDRPADEGEALVGEDEGEVVRLVASRNEGSIAIGFLEAWKLPGVAQYAFCLFFSKLVAYTFLYWLPFYIRHTAVAGVHLSHEKAGMLSTIFDIGGVIGGVLAGVISDKIEARAVTSVAFFLLSIPALILYRIFGSISMFANVALMLISGSVVNGPYALITTAVAADLGTQSVAGGSSRALATVTAIIDGTGSVGAAIGPLLAGYISTRGWTSVFFMLILSISFAGLLLIPVVKSEIEAKRNEGKWLWLRRDSQ >itb10g12510.t1 pep chromosome:ASM357664v1:10:18578069:18580409:-1 gene:itb10g12510 transcript:itb10g12510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAKITVCISGIRVPFPKFRPPGPRRTSSFLLSNHPLERRMPPRRASKRKRISEDELKNVEKQEEEEAPAAASNETTAFKSSSAKNIKENAPLVVFAHGAGAPSTSEWMIRWKNMLAKALNAIEVVTVDYPYISGGKRKAPPKAEKLVDFHSDVVKEAVAKYPNHPLILVGKSMGSRVSCMVASGQDIGASALVCLGYPLKGINGAVRDEILLQLRVPIMFVQGSKDGLCPLDKLEAVRKKMTCANELHVIEGGDHSFKIAKRHLQFSETTQEEAEDLAVQAVATFVANL >itb05g22330.t1 pep chromosome:ASM357664v1:5:27760086:27767149:1 gene:itb05g22330 transcript:itb05g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRAHPGSPTGSPLSGNSPPDTGSSKELDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVASLKAYLNKYRETEGEKNSMARIDANNTENSPTSSNSNNNNNNFAIGAASPGGNFINGSFSKIIGFGGEAHHHHHLLQMSSNNGGGGGGGMMMSMGKAVKGIDGNVQRPAAMATTDHDLHGIIECFVCNSVAYLILIPITNTTSSPSNLTMDATQPVNPPTEPASVNDVVASNPTTEIPPPSLPSVTATATNRKNTKKKRRPATPELPDSHSPTASSSSACLSATSFAGSKQRGFRVFNGRRNPRALTAAARHRAGDVDAVALHLGMSIAAVFAQVLERKDAAIENNMSVDHLSTICTLAVRESLANAFGDQFESFVRNFEKSFRSTLMTLRLVNESSMKNGLEHQKPANRGTSISEVNLHFKDGLEDPACESSIKNGVEHQKPTIGGSSILEVDMQSPCILEDSGRYPDLSEFNLEPALQSITSNHQISNQDELNENMLVESMNQLTLHDRETIKQLACVSSNTSCSAITNTKRNTFEKAVVEQARSNDLKTFEIGLMIKKLQLKERQLAVNSQSNILERWKLSLGVSRASFKAEKFKNQLEDAKHTELLKTCIDCLVAGLFIMVGCLGYGTYVYSHQRITEATAACTPSTESKSWWMPKSMLSVNSGLQTLKCQVQVLSRMLFGVLMILAVAYLLFQRSATSHHAMPVTFILLLLGALCGLAGKLCVDTLGGSGYHWLLYWEVLCLLHFFSNFFISTLCIILVGPVTAPEKTGTCQIIVPYWMRRVVFYSTMLLFLPLLCGLIPFAGPREWKDHFSSLAVEYFWGTDYY >itb10g00510.t2 pep chromosome:ASM357664v1:10:338969:342394:1 gene:itb10g00510 transcript:itb10g00510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MEQGIENLISARKSLRSNLEKSKALGLSLQKAGPRFAEISQRLPSLEAAVRPIRAQKDALSAVAGHINRAVVPATAVLKVFDAIHGLEKSLSDPQSDLVGYLGVLKRLEEALRFLGENCEMAIQWLADIVEYLEDHRVADGRFISNLKEELSGLRKLQSGDEKARLDGGLLVIALDRLENEFRRLLTENSVPLPMSDPPLPGEQACIAPSPLPVFVIQRLQAILGRLIANNRLENCISIYVEVRSSNVRASLQALNLDYLEISVSEFNDVLSIEGHIAQWGRHLEFAVKHLFEAEYKLCNDVFERLGLDVWMSCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFSSLNKLRLDFNRLFGGTACADIQNLTRDLIKRVIEGACEIFWELLLQVELQRQTPPPSDGSVPKLVIFITEYCNKLLGDDYKQILTQVLVIERSWKHEKFQERLLIDELLNIMKAVELNLETWSKGYQDSVLSYVFLMNNHWHLYKHLKGTKLGTLLGDSWLREHEQYKDYYSAFFLKESWSKLPALLSREGLILFSGGRATARDLVKKRLKAFNEAFDDMYKKQSNWVMLDKDLREKTCQMIIQAIVPVYRSYMQNYGPLVEQDASASKYAKYTVQTLEKMLNSLFHPRPVRHGSFKVRQPSGKFNNSVTDQLPSSPNMK >itb10g00510.t1 pep chromosome:ASM357664v1:10:338969:342394:1 gene:itb10g00510 transcript:itb10g00510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MEQGIENLISARKSLRSNLEKSKALGLSLQKAGPRFAEISQRLPSLEAAVRPIRAQKDALSAVAGHINRAVVPATAVLKVFDAIHGLEKSLSDPQSDLVGYLGVLKRLEEALRFLGENCEMAIQWLADIVEYLEDHRVADGRFISNLKEELSGLRKLQSGDEKARLDGGLLVIALDRLENEFRRLLTENSVPLPMSDPPLPGEQACIAPSPLPVFVIQRLQAILGRLIANNRLENCISIYVEVRSSNVRASLQALNLDYLEISVSEFNDVLSIEGHIAQWGRHLEFAVKHLFEAEYKLCNDVFERLGLDVWMSCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFSSLNKLRLDFNRLFGGTACADIQNLTRDLIKRVIEGACEIFWELLLQVELQRQTPPPSDGSVPKLVIFITEYCNKLLGDDYKQILTQVLVIERSWKHEKFQERLLIDELLNIMKAVELNLETWSKGYQDSVLSYVFLMNNHWHLYKHLKGTKLGTLLGDSWLREHEQYKDYYSAFFLKESWSKLPALLSREGLILFSGGRATARDLVKKRLKAFNEAFDDMYKKQSNWVMLDKDLREKTCQMIIQAIVPVYRSYMQNYGPLVEQDASASKYAKYTVQTLEKMLNSLFHPRPVRHGSFKVRQPSGKFNNSVTDQLPSSPNMK >itb12g17610.t1 pep chromosome:ASM357664v1:12:19723035:19724043:1 gene:itb12g17610 transcript:itb12g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPRNCHFWNCLLFLLLNYTSSVFCYQYKVGDLASWNVPSSSNRDVYIKWSKNQTFKIGDSLFFLYPPSQDSVIQVTKQSYRTCNLKDPILSLNNGNSLFNITAPGNFFFISGAKGHCENSQKLQVFVAGGNGSAGYDDDADIPIASAPAAAAGPSFSNDFGPNPSQHSSSSSPKTPISAVSAAVLSLILSYSHMKVTL >itb01g05180.t1 pep chromosome:ASM357664v1:1:3538412:3538897:-1 gene:itb01g05180 transcript:itb01g05180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLSTAKNAGGQMVRKSNFRRPPCDVFVNHRGIDTKKNVAGLLYDHLRRAGLRPFLDSKNMKAGDKLFDKIDPAIRGCKVGVAVLSPQYCDSYFCLHELALMMECRKRVIPVFCDVKPSELAVVDKWNGAYPAEDLERFRLALEEAKYTVGLTFDTLNG >itb05g16120.t1 pep chromosome:ASM357664v1:5:23401865:23403025:1 gene:itb05g16120 transcript:itb05g16120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTRVFSSRLNSYQVLPKLINLCPRSISILIVASIMFGIYNFDDCICWDKSAENISLLLVRFQFTFTVAARLAMFSYHPLVFS >itb12g06220.t2 pep chromosome:ASM357664v1:12:4636828:4639815:1 gene:itb12g06220 transcript:itb12g06220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNARSSPSFQTEINWDKLDKTKFYLVGAGIFSGLTVALYPISVVKTRLQVADHYAAEKNAFSVFRGILRTDGIPGLYRGFATVVTGTIPVRIIYLTALETTKVAALKMVEPFKLSEPAQAAIANGAGGLVASLCSQSVFVPVDVVSQRLMVQGYSGHANYSGGFDVARKILKSEGIRGLYRGFGLSAITYAPSGAVWWGSYGSSQRFIWRHLFGSDQSQHAPTEGMIVAVQAAGGIIAGATASCITTPFDTIKTRLQVMGHGDNKPTARQVVKQLIAEDGWTGLYRGLGPRFFSMSAWGTSMILAYEYLSMTLSPSLYLSPSLPHHTI >itb12g06220.t1 pep chromosome:ASM357664v1:12:4636820:4640073:1 gene:itb12g06220 transcript:itb12g06220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNARSSPSFQTEINWDKLDKTKFYLVGAGIFSGLTVALYPISVVKTRLQVADHYAAEKNAFSVFRGILRTDGIPGLYRGFATVVTGTIPVRIIYLTALETTKVAALKMVEPFKLSEPAQAAIANGAGGLVASLCSQSVFVPVDVVSQRLMVQGYSGHANYSGGFDVARKILKSEGIRGLYRGFGLSAITYAPSGAVWWGSYGSSQRFIWRHLFGSDQSQHAPTEGMIVAVQAAGGIIAGATASCITTPFDTIKTRLQVMGHGDNKPTARQVVKQLIAEDGWTGLYRGLGPRFFSMSAWGTSMILAYEYLKRLCASND >itb07g19120.t1 pep chromosome:ASM357664v1:7:23576902:23578586:1 gene:itb07g19120 transcript:itb07g19120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGISEDKVKPALIHVLKLYNKNWDLIEEENYRPLIEAIFEYEEAEAANLEKSSKNAEQEDNVKEEEVGHEEPIRPLKRLRLRLKAHLSSPSSLGGTSQVRHDVEGAELLGLHNSSNGHMNSEPQSASCQFIAQNKGKQPVSPNATAQETYSLPQLSGANKSQPSSCCAGTGLGSERGKEVLSPQIMSAEKPNSEPNNAQSSKKKFHALIKPKEEPVTEDMPQEVPVSGTHAVSAKKGGSSNANGLIRNEDHL >itb02g23310.t1 pep chromosome:ASM357664v1:2:23157583:23161539:1 gene:itb02g23310 transcript:itb02g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVRFQRRRTDHKHVVPSFLLTHRTLLSLTWAIGFILVVAWQSNAVDRLFVFRYWAPPPRPIPKLRSPVFNLSDFGAVGDGVTLNTKAFERAISEIRKRGGGQLNVQPGRWLTAPFNLTSHMTMFLAENAEILGIDDERYWPLMPPLPSYGHGREHAGPRYGSFIHGQNLTDVVITGHNGTINGQGQVWWGKYRKKLLNFTRGPLLQIMWSRDIHISNVTFRDSPFWNLHPYDCQNVTIKGVTILAPLSGAPNTDGIDPDSCVNMVIEDSYISVGDDGVAIKSGWDQYGIAYSRPSSNITIRNLIVRSMISAGVSIGSEMSGGVSNITVDNLLVWNSKRGIRIKTSPGRGGYIQNITYRNLTLENVRVGIVLKTDYNEHPDEGYDPKALPTIKNISFTGIHGYGIRIPVRIYGSAEIPVEDVTFQNMSVGITYKKKHIFQCSFVKGRVIGKIFPSPCENLDLYDEAGQLVRQSKPRNSLDNTDYDS >itb08g03950.t1 pep chromosome:ASM357664v1:8:3168331:3171056:-1 gene:itb08g03950 transcript:itb08g03950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRNECINYSYSYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFDTFMDSSRETLLKDALFALKETLQGEKLTSSVCTVAVLGVGEAFHILDKEAIHALINEFELAVEDAPAAPEEGAAEGGAAPEEGAPTAEGAAPMDI >itb04g09850.t1 pep chromosome:ASM357664v1:4:9081675:9084280:-1 gene:itb04g09850 transcript:itb04g09850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MLRMIPCWLLHIYAFFCFVKVVMANNKVIWGPRAWAHLSDVRQRSPLVQCITNFVSMDFMANTLLSAGASPAMIHSADEIPEFTPKVHALLINMGTLTADWLPSMKLAAQVANQAGKPWVLDPVAAGASGFRLNACLELLGFRPTVVRGNASEILALSKGCVDSNSKGVDSIHGSTDAVEAAKSLARISGSIVAVSGATDFVTDGQRVIGVQNGVPMLQKITATGCSVTALIAAFVSVDPSHALEATVSAFSVFGIAGEAGMETAKDEPSQ >itb02g14740.t1 pep chromosome:ASM357664v1:2:10713516:10714734:1 gene:itb02g14740 transcript:itb02g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSENLSFFSPEYSSPSMLPIPPTPKKPRLPTNPSPKNQEKQRLPTNPSPENQEKQRLPTNPSPENQEPKLPTSTPPETKSEQYPVVLRPPRRKTNPMVWCGAILCLIFSLFLIFFGVATLIIFLAVKPRTPAFDTPAASLNFVYLNSLQFLNGEFSFVANFSNPNRRLNVRFESLEVELFFSDSLIATQILQPFSQREGEVKLIPVHLISSLVYLPPNHALGLQRQVLSNRIVYNIRGTFKVRFDIIGLFHYSYWLHGTCQVEMTGPPNGVLITHTCKTKR >itb01g01720.t1 pep chromosome:ASM357664v1:1:1023705:1024490:-1 gene:itb01g01720 transcript:itb01g01720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHLTLFFSLSLSILAAATFNPIRLPTGGVPVVDIDGNDLFAGSEYYMSADVSGQARGQGVGLEKLNSTAPCLNDVVQVRMFDLGDPVVFTPAEKDNFVIPSTFQTISFTAAALDFYNATEECADKVTWNVEHDDQFGLQLVKAGEAVENVSNSFKIELVEMRLKAYKLTYCPRYEDNCYNVGRYYDRATRTMRLALTNTTATLIVFKKK >itb01g17020.t1 pep chromosome:ASM357664v1:1:21542518:21543802:-1 gene:itb01g17020 transcript:itb01g17020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMICTRPDIAQAVGVVSRYMANPGREHWNCVKRILRYIKGTSDVALCYGGSDFLINGYVDSDYAGDLDKSKSTTGYVFKVAGGAVSWVSKLQAVVATSTTEAEYVAATQASKEAIWLKMLLEELGHKQEFVSLFCDSQSALHLARNPAFHSRTKHIRVQYHFIREKVEEGTVDLQKIHTTDNVANFLTKVINVDKFTWCRSSCGLIET >itb13g15180.t1 pep chromosome:ASM357664v1:13:21899205:21903627:1 gene:itb13g15180 transcript:itb13g15180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHGYILPLIVSVIVALGVVSFALCIAAEFKKSKKEDLRLDGEFCYLPRSAAFGLGIAALVCFSGAQVAGNLLVIGRTFLLDKRRKSKFVIFCVVLSWVSFGVAAILMGTATSMNKSQPLGEGWLDGECYMVKNGVFSASAILIIITVASTLASALITTQKRQLVEQTTKVHAHGSK >itb07g14950.t1 pep chromosome:ASM357664v1:7:17615947:17620735:-1 gene:itb07g14950 transcript:itb07g14950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSFASLSISPPSHSSYALTHCPISRKQVSFFFNSRSPNARRRPVSLRSQMPDSDSVKAGPNGSGSRSPASLSHDCSGAGASSSPSNAIDFLTLCHSLKTTKRKGWINHGIRGPESIADHMYRMAVMALIAGDLPGVNRERCIKIAIVHDIAEAIVGDITPSDGVPKEEKSRREKAALNEMCEILGGGMRAEEIQELWAEYENNASLEANLVKDFDKVELILQALEYEAEHGKVLDEFFLSTAGKIQTELGKSWAAEIHSRRNARLAKRQN >itb06g15780.t1 pep chromosome:ASM357664v1:6:20040749:20041900:1 gene:itb06g15780 transcript:itb06g15780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTNFREGTEAAPRKKAAAASA >itb05g25850.t1 pep chromosome:ASM357664v1:5:30027461:30030101:-1 gene:itb05g25850 transcript:itb05g25850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACVYEQLKSQPPWLLVLLSLGFLKLLALSVTLLKWVHVNFLRPGKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLVLVGRNPEKLKDVSDSIKGKYGKIEIKSVVVDFSGDLDDGVRRIREAIEGIDVGVLINNVGVSYPYARFFHEVDDKLLADLIKVNVEGTTKVTQAVLPGMIQRKKGAIVNIGSGAAIVIPSDPLYSVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYARAALRWIGYEPRCTPYWPHSLLWSLLYSLPESAVDAWRLKFCLGIRKRGQLKDSRKTD >itb08g03550.t1 pep chromosome:ASM357664v1:8:2893358:2893618:1 gene:itb08g03550 transcript:itb08g03550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQGADDKSEVTAPSPDRNSAAEHQMNVKSRDVNFSNGVTNSKGGTGGETRGLLHLLHSSLSLSSLIIALLQVRAACHNSPHSS >itb09g10380.t1 pep chromosome:ASM357664v1:9:6448235:6449527:1 gene:itb09g10380 transcript:itb09g10380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTTTTTDNTQEFHQATEFLPENVSLSLPTHDGLEFWQFMVAGSIAGSVEHMAMFPVDTLKTRMQAVGSLASKAAAISLRQSLGSIMKVEGLAGLYRGIAAMGLGAGPAHAVYFSVYEVCKKSLSGGNPKNSTAHALSGVFATVASDAVITPMDVVKQRLQLKSSPYKGVMDCVKKVAMEEGIGAFYVSYRTTVVMNAPFTAVHFATYEAAKRGGMEVLPEIAGDEETLIVHATAGAAAGALAAAVTTPLDVVKTRLQCQGVCGCDRFSSGSIGDVIQAIVKKDGYGGLMRGWIPRILFHAPAAAICWSTYEAAKEFFQQLNDKNSSVD >itb13g16250.t1 pep chromosome:ASM357664v1:13:23187697:23189143:-1 gene:itb13g16250 transcript:itb13g16250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSLSPLSLVFLAIAPFVISSPISPFPAPPENSKSSAAFKPGVAVVVGILTTVFSVTFLVLLYAKHCKGGSGGGYRSGGVLAAAAADLRKNSGIDRTVIESLPVFRFGALRGQKEGLECAVCLNRFEPNEVLRLLPKCRHGFHVECVDTWLDAHSTCPLCRYRVDPEDVLLVMDPSVLQRASQEKPQMAASPAPATEPVKGKEARNFRASGRHSWAGERGHVSSLQIIVENPGGGGGAQNTTPFNGRRSLDSWKKKSNGNGKNNSHHETVSFSCLDRANRKDGLLLADKTDEQQQRRLEHRIIVSDSSGPRYRWSDVEPSDSLYLQSASLFSESRRFSESRRSTAVTGSGRSVINGRSVSEITGVSRFRSYEEEEEHKEERRRQRQEGAVTRWLAWIAHYPSQSNRTSGRSDQPPDSLTT >itb06g01650.t1 pep chromosome:ASM357664v1:6:2958340:2959225:1 gene:itb06g01650 transcript:itb06g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSKWIDLNLEKQVEWLPVQGGWRSIMLTVPIFIQFHQCCIHTTDLYHLLDLQYSTNLIDKERKNFHYH >itb01g13260.t1 pep chromosome:ASM357664v1:1:13288885:13290085:-1 gene:itb01g13260 transcript:itb01g13260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKLPFLFRTSEAWPPWPSCGFIGNPKTLSFRADSDSNAMLKTLNSAYLVDECSVCCGEFPPPPPPPPPQAAAESFGDGGECVENVIRRLRSSERLFFEPGGARSSKLLEEEEPPRTVAGGGGESFVFKESVEMEIASRNPFADFRRSMAEMVEAHGGGFPHDWKFLEELLACYLRLNGKTSHGYIVGAFVDLLVELFMDQSLANEESDTINVPSSSSSSSTSSINTFNSHCQLSSSSSSSSSSTTHSFTSPLSFCSSLSLLEEAEDETEKSIDNASSSSSSSLSPHFS >itb05g12970.t1 pep chromosome:ASM357664v1:5:19809854:19810309:-1 gene:itb05g12970 transcript:itb05g12970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKMIILRSSDGDIFEVEETVAIEMQMIKYMIDDECVDTTIPIANVTGKILTKVIEYCKSHAEATNTSQDDLKDFDANFVKVDHETLLDLIIAANFLNIKSLLDLTCQTAANLIEKMTVEEVRKFFNIQSDFTPEEEEEIRKESPWAFE >itb09g05510.t1 pep chromosome:ASM357664v1:9:3139281:3147885:-1 gene:itb09g05510 transcript:itb09g05510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGVPVTDNMEIEKDDREFGGDNSLELQQQSPKKGGPPKKRSDPAEKDAGFEENSESGKENSEEIGAVAVAAEEKMSGMSHQKIKQIPVDGGEEGIGMGAEIEGENGGSVNKKNKSGEFIPDENGEAGAGIKVEKEVESGDSVKKNKLCNAKTQENDSQGHDREEELMNTENEEELGGNQQEDEGSAELMNIEKGKAAGGEEEEENEGCKSHARAFPRRQSSQKAIEKIGELKEQMMEWDEADKEVSRNRGRKKKILDNEGHAEDKRRKRGKRGKDEERRGEEDGEEEKVKEITESSRTLRPRKVKDEGDYSVPRIRNRRDENGNKVESNMCHQCQRNDKGPVVRCTSCKTKRYCGTCMRTWYPGMPEEAFAEKCPVCLENCNCKACLRLDGPIRKLKKLKFEVSNEEKIQYSKYILQVLLPLLRRFSAEQMMEKEIETKIQGVRLSELKLQKAKCQLNERVYCDNCRTSIFDFHRSCSNCSYDLCLTCCQELRDGHLRGNVEEIVMEYIDRGLDYLHGKASESTTMETNTKEFVETNSLEDSKSASEVKSTSPTKSLESLVGCCHEWRSEENGRIFCPPENMRGCNKGTLELKHLLGECYVTELLAKAEEIAKSCKLNDMPESSQRLCSCSKSVDENAIDKNKLRKAATREDSNDNYLYCPAAKDIQHKDLKHFRWHWLEGEPVIVSNVLETTSGLSWEPMVMWRAFRQIKHLNHSRHLDVNALNCLDWCEVEVNIHKFFEWYKNGTTDIYGWPLILKLKDWPPSDLFEERLPRHGAEFKNSLPFKAYTDPQNGYLNLATKLPEKSLKPDMGPKTYIAYGVPLELGRGDSVTKLHCDMSDAVNVLTHTQGINLESKQLSKIEELKGYHAVQDKKEQQMIHKLDKCEDGLHELNEEYSQQDSLVNPNSVDQGDEKSSTSFMLESNDMCMGILGTENVSRSSETGASSQENENESHNFNGSQLFSDVFEETGGALWDIFRREDIPKLEEYLKKHFKEFRHTYCSPVPKVVHPIHDQTFYLTVEHKRRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVPECVRLTEEFRTLPQNHRAKEDKLEVKKMVIYAVHEAVEDLEKLNSVVSVSLRSLDK >itb09g05510.t3 pep chromosome:ASM357664v1:9:3139293:3147885:-1 gene:itb09g05510 transcript:itb09g05510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGVPVTDNMEIEKDDREFGGDNSLELQQQSPKKGGPPKKRSDPAEKDAGFEENSESGKENSEEIGAVAVAAEEKMSGMSHQKIKQIPVDGGEEGIGMGAEIEGENGGSVNKKNKSGEFIPDENGEAGAGIKVEKEVESGDSVKKNKLCNAKTQENDSQGHDREEELMNTENEEELGGNQQEDEGSAELMNIEKGKAAGGEEEEENEGCKSHARAFPRRQSSQKAIEKIGELKEQMMEWDEADKEVSRNRGRKKKILDNEGHAEDKRRKRGKRGKDEERRGEEDGEEEKVKEITESSRTLRPRKVKDEGDYSVPRIRNRRDENGNKVESNMCHQCQRNDKGPVVRCTSCKTKRYCGTCMRTWYPGMPEEAFAEKCPVCLENCNCKACLRLDGPIRKLKKLKFEVSNEEKIQYSKYILQVLLPLLRRFSAEQMMEKEIETKIQGVRLSELKLQKAKCQLNERVYCDNCRTSIFDFHRSCSNCSYDLCLTCCQELRDGHLRGNVEEIVMEYIDRGLDYLHGKASESTTMETNTKEFVETNSLEDSKSASEVKSTSPTKSLESLVGCCHEWRSEENGRIFCPPENMRGCNKGTLELKHLLGECYVTELLAKAEEIAKSCKLNDMPESSQRLCSCSKSVDENAIDKNKLRKAATREDSNDNYLYCPAAKDIQHKDLKHFRWHWLEGEPVIVSNVLETTSGLSWEPMVMWRAFRQIKHLNHSRHLDVNALNCLDWCEVEVNIHKFFEWYKNGTTDIYGWPLILKLKDWPPSDLFEERLPRHGAEFKNSLPFKAYTDPQNGYLNLATKLPEKSLKPDMGPKTYIAYGVPLELGRGDSVTKLHCDMSDAVNVLTHTQGINLESKQLSKIEELKGYHAVQDKKEQQMIHKLDKCEDGLHELNEEYSQQDSLVNPNSVDQGDEKSSTSFMLESNDMCMGILGTENVSRSSETGASSQENENESHNFNGSQLFSDVFEETGGALWDIFRREDIPKLEEYLKKHFKEFRHTYCSPVPKVVHPIHDQTFYLTVEHKRRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVPECVRLTEEFRTLPQNHRAKEDKLEVKKMVIYAVHEAVEDLEKLNSERREERLSE >itb09g05510.t2 pep chromosome:ASM357664v1:9:3139293:3147885:-1 gene:itb09g05510 transcript:itb09g05510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGVPVTDNMEIEKDDREFGGDNSLELQQQSPKKGGPPKKRSDPAEKDAGFEENSESGKENSEEIGAVAVAAEEKMSGMSHQKIKQIPVDGGEEGIGMGAEIEGENGGSVNKKNKSGEFIPDENGEAGAGIKVEKEVESGDSVKKNKLCNAKTQENDSQGHDREEELMNTENEEELGGNQQEDEGSAELMNIEKGKAAGGEEEEENEGCKSHARAFPRRQSSQKAIEKIGELKEQMMEWDEADKEVSRNRGRKKKILDNEGHAEDKRRKRGKRGKDEERRGEEDGEEEKVKEITESSRTLRPRKVKDEGDYSVPRIRNRRDENGNKVESNMCHQCQRNDKGPVVRCTSCKTKRYCGTCMRTWYPGMPEEAFAEKCPVCLENCNCKACLRLDGPIRKLKKLKFEVSNEEKIQYSKYILQVLLPLLRRFSAEQMMEKEIETKIQGVRLSELKLQKAKCQLNERVYCDNCRTSIFDFHRSCSNCSYDLCLTCCQELRDGHLRGNVEEIVMEYIDRGLDYLHGKASESTTMETNTKEFVETNSLEDSKSASEVKSTSPTKSLESLVGCCHEWRSEENGRIFCPPENMRGCNKGTLELKHLLGECYVTELLAKAEEIAKSCKLNDMPESSQRLCSCSKSVDENAIDKNKLRKAATREDSNDNYLYCPAAKDIQHKDLKHFRWHWLEGEPVIVSNVLETTSGLSWEPMVMWRAFRQIKHLNHSRHLDVNALNCLDWCEVEVNIHKFFEWYKNGTTDIYGWPLILKLKDWPPSDLFEERLPRHGAEFKNSLPFKAYTDPQNGYLNLATKLPEKSLKPDMGPKTYIAYGVPLELGRGDSVTKLHCDMSDAVNVLTHTQGINLESKQLSKIEELKGYHAVQDKKEQQMIHKLDKCEDGLHELNEEYSQQDSLVNPNSVDQGDEKSSTSFMLESNDMCMGILGTENVSRSSETGASSQENENESHNFNGSQLFSDVFEETGGALWDIFRREDIPKLEEYLKKHFKEFRHTYCSPVPKVVHPIHDQTFYLTVEHKRRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVPECVRLTEEFRTLPQNHRAKEDKLEVKKMVIYAVHEAVEDLEKLNSVVSVSLRSLDK >itb02g24210.t1 pep chromosome:ASM357664v1:2:24563801:24565888:1 gene:itb02g24210 transcript:itb02g24210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEVVENEELIVWSGNQPEKKPTGGSALPAIDDGGIEKEAAAGEAVPKPNTAGALKGMARSQLYEICAANRWSPPVFDCCSEEGPNHQKMFKLKVRVEINREHKATTLACFSNPFPKKKAAAENAAEGAL >itb01g25090.t2 pep chromosome:ASM357664v1:1:30589314:30592020:1 gene:itb01g25090 transcript:itb01g25090.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MILVQSIVERLRPIVGSKNWDYCVFWKMSEDQRFLEWLCCCCAGADGVHNCEQELLFPVSSSSSSSSSSCLPCRDVLFQHPRTKSCDLLAQLPASILLDSGMYAQAFLSSQAKWLNFSQLSESNVSDEIIGTRVLIPQPLGLIELFAAKLVPEDQQVIDFVSSQCSIYLEQQAMMLNSGSSSGIPADNGDDQLDPRNLFQPVISPSPSAHPIHLTSLPMSFLPQLSYTADIGNCKSGTSMLMQNTSELSAFRSQMENGLDEIDVVQKQLMERLENKDDQGGNDDDSYRQENGRSHSISDSDQNEDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNERLYALRALVPKISKLDRASILGDAIEYVMELQKQAKDLQNELEVNSDDEGTGGRNRNNNLRAEDRNGINPCVPKPEPEKHSNLESEKTDDKVQQMEVYFVCFIHVI >itb01g25090.t1 pep chromosome:ASM357664v1:1:30589314:30592020:1 gene:itb01g25090 transcript:itb01g25090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MILVQSIVERLRPIVGSKNWDYCVFWKMSEDQRFLEWLCCCCAGADGVHNCEQELLFPVSSSSSSSSSSCLPCRDVLFQHPRTKSCDLLAQLPASILLDSGMYAQAFLSSQAKWLNFSQLSESNVSDEIIGTRVLIPQPLGLIELFAAKLVPEDQQVIDFVSSQCSIYLEQQAMMLNSGSSSGIPADNGDDQLDPRNLFQPVISPSPSAHPIHLTSLPMSFLPQLSYTADIGNCKSGTSMLMQNTSELSAFRSQMENGLDEIDVVQKQLMERLENKDDQGGNDDDSYRQENGRSHSISDSDQNEDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNERLYALRALVPKISKLDRASILGDAIEYVMELQKQAKDLQNELEVNSDDEGTGGRNRNNNLRAEDRNGINPCVPKPEPEKHSNLESEKTDDKVQQMEPQVEVAQLDGSEFLVKVFCEHKYGGFVRLMEALNSLGLEVTNVNTTRHTCLVSNIFKVEKRDSEMVQADHVRESLLELTRNPGGRGWAEMGGKEASVESSDHVVLNGMEHHRLHHHLQLHTQHHLHRLHN >itb01g25090.t3 pep chromosome:ASM357664v1:1:30589314:30592020:1 gene:itb01g25090 transcript:itb01g25090.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABORTED MICROSPORES [Source:Projected from Arabidopsis thaliana (AT2G16910) UniProtKB/Swiss-Prot;Acc:Q9ZVX2] MILVQSIVERLRPIVGSKNWDYCVFWKMSEDQRFLEWLCCCCAGADGVHNCEQELLFPVSSSSSSSSSSCLPCRDVLFQHPRTKSCDLLAQLPASILLDSGMYAQAFLSSQAKWLNFSQLSESNVSDEIIGTRVLIPQPLGLIELFAAKLVPEDQQVIDFVSSQCSIYLEQQAMMLNSGSSSGIPADNGDDQLDPRNLFQPVISPSPSAHPIHLTSLPMSFLPQLSYTADIGNCKSGTSMLMQNTSELSAFRSQMENGLDEIDVVQKQLMERLENKDDQGGNDDDSYRQENGRSHSISDSDQNEDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNERLYALRALVPKISKLDRASILGDAIEYVMELQKQAKDLQNELEVNSDDEGTGGRNRNNNLRAEDRNGINPCVPKPEPEKHSNLESEKTDDKVQQMEVYFVCFIHVI >itb04g27390.t1 pep chromosome:ASM357664v1:4:31418721:31423279:1 gene:itb04g27390 transcript:itb04g27390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANELKKENEKGVEILRSCEVGFRLFPFHLYINPSFRFSILIPILFVFLIPLFALTANFKPPRYNQESFYSKKIVRSFGRLRFLCRDSPPASDLRIVDTSLLNQEFWSDCKFSSMASDSAKSSKAMRRLESKKSHSWWWDSHISPKNSRWLQENLEKMDQNIKRMLKLVEEDGDSFAKKAEMYYQKRPELINLVEEFYRMYRSLAERYDNVTGELRKSIPSDLQSQGSGISGVGSEPSSTWPSPDLRPTRQRSGPRAAGFEFFLGGGHSSDFNKEGDESSTLDSESESDDSSSINNYSTTLSNDDDHGLRRKIFELESELREVKEKLHLQQQEISEGSFTGSQNGNPETLLARVPGFEEELRIANERLQLSEEEIVRLRIELQKYESGLYTPTDGNSLVVEAKELPELHEIADPEAEVLDPEFKKIQALEEDLRITKEKLHNSEEEVKSLRRELKSNESSIKQLQDQLGSKQKDASAWTTKLDKEKREVSRLQDRILRYKNNLSERDQEIRGLKETISNANKALSEENSQLQEEITKLLKERAYLQDDVKEMDVRCQCLEEEARRVLAGKSEMEALLGSEIELLKISISESNDRLEELNRCIEELKSKNDFLTAEKDELNSRVVTLSAGLDSRDDRIHEMNKHMHQLHMEHVQLLAENEGARKLVEELRSTVKDLETDIKRQHELIEEGAEEKREAIRQLCFSLEHYRNGYHRLREVVMGNKRVPVMAS >itb14g05310.t1 pep chromosome:ASM357664v1:14:4644393:4645591:1 gene:itb14g05310 transcript:itb14g05310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLQNLMIFAVAAAAVIYTAAGASKVYDASKYCQTADDKKLCEQMVSGAQNLHDASEKAILATKQYAKKIEGESTAVIPAVKDLPPETKKSIVDTCKESYKNAVDDLDLSLKALLDHDKGTLMTRLSAALDSDCEDAVNEFGVKFPLQHDMKRYDAELENALAVVTQQ >itb05g03020.t1 pep chromosome:ASM357664v1:5:2438147:2440297:1 gene:itb05g03020 transcript:itb05g03020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVECIGHILIFPYPAQGHVNSMLKLAHLLDLANFHITFFVSSTIHARLLALTDVDSGRFSPRFRLKSFPPGIYDGGIDSREGALELYHSLHTIAKPFLKQYIAHNRDSGLPFTCFISDLVLSIALEVSEQCFNLPVYYFRTIGACAFWAFFSLPDLFEAGELPIKENGMESPITKVKGMEDFLRARDLPSWCRYEEDDTARIVYTETRRAVEARALILNTFEDLEGPILSQIRTKCPKVYTIGPLHARLMATLASKSTHSNSLWQEDETCMAWLDSQEPKSVIYVSFGSISVITRQQLMEFWYGLVNSGKKFLWVMRSDLVAGKDVESPIPSELEEGTKANGYIIGWAPQEAVLDHPAVGGFLTHSGWNSTLESIAAGVPMICWPFFADQQTNSRFVGEVWKLGLDMKDVCDRSSVEKLIRELMEKRKGEFLERAENMVKLAKEAISEGGSSYCNFDCLIQDIIKLNESMSEEDTVKCAD >itb11g04680.t1 pep chromosome:ASM357664v1:11:2498391:2502829:-1 gene:itb11g04680 transcript:itb11g04680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLTDWYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKLKPIMLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGRVAKVLTDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSLETGFEQKPIAWVRGKIRLLKRDGFDYYVGCNYCNKIVHSTEGLQLHCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCNDVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGDSVDDSQASSPPNDKGKKPKIG >itb14g15670.t2 pep chromosome:ASM357664v1:14:18993113:18999245:1 gene:itb14g15670 transcript:itb14g15670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTPYRSGGLRKSHDSARLIITTIMGMVFGYFIGMSFPFVSLAKINLPSSLMSSHDVAIVSEEHQNFASRSFPENLGSGSTPITPKIFVPTNPRGAESLPPGIVVAESDFYLRRLWGDPHEDLIRKPKYLVTFTVGWDQRNNINAAVKKFSEDFQIMLFHYDGRTTEWDQFEWSKHAIHVSIKKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNGDRYMQLVRKHGLDISQPGLEPNNGLTWQMTKRRGDKEVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSRAAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHQKIGVVDSQWIIHQVIPSLGKQVE >itb14g15670.t1 pep chromosome:ASM357664v1:14:18993106:18999345:1 gene:itb14g15670 transcript:itb14g15670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTPYRSGGLRKSHDSARLIITTIMGMVFGYFIGMSFPFVSLAKINLPSSLMSSHDVAIVSEEHQNFASRSFPENLGSGSTPITPKIFVPTNPRGAESLPPGIVVAESDFYLRRLWGDPHEDLIRKPKYLVTFTVGWDQRNNINAAVKKFSEDFQIMLFHYDGRTTEWDQFEWSKHAIHVSIKKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNGDRYMQLVRKHGLDISQPGLEPNNGLTWQMTKRRGDKEVHKDTDEKPGWCSDPHLPPCAAFVEIMAPVFSRAAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHQKIGVVDSQWIIHQVIPSLGKQGQVVNGKIAWQGVRERCRGEWAMFQDRLANADKRYFTKLG >itb06g16800.t1 pep chromosome:ASM357664v1:6:20823845:20826464:1 gene:itb06g16800 transcript:itb06g16800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGSWPRTNRGRSRGVGGRYTPSPRGQSSRPSFQPNGSPPVAYSWRPRGSTTSGHNVEPCSSEGEGSGETIADGISKKFASLSPLDNPYRHRGEFTPSGRNDEPCSSEREGSAETLANGIKKFASSSPVDNPYRHRGRFSHSGHNVERCSSERECSGDTLANGISKKFASLSPLDNPYRNDDSPQSTFSCVGNPMQVEHNSSIKQPFSSSASGFKQKDSPSSCQSVKGCSPVDRTFLKNESIHAVNSDFGKRLSEEINQSEQSSKEEANNGDKSKNLDVGFDICQERAGNLIKLKTPLHVKNKEKRNEIKRSMEVQNIKILCDGMVLLKSFISLLDQVRIVNTCRKLGIGPGGFYQPGYNDGAKLHLKMMCLGKNWDPETSQYGDKRPYDGAKPPVIPDELHHLVQKAIQHSQSYLEKHSKCRNMDDVLPSMSPNVCIVNFYTTSGKLGLHQDKDESPESIKRRLPVVSFSIGDAAEFLYSNERDPEKADKVILESGDVLIFGGKSRLIYHGVSSIKQNTASPSLLEETNLKPGRLNLTFRQY >itb09g16940.t1 pep chromosome:ASM357664v1:9:12100638:12103988:1 gene:itb09g16940 transcript:itb09g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVISALLEVLFEKIASLVSKEYGGPLGTTRKEMEKLQSVLSTIKAVLQDAEERQLRDNALKNWLLKLKDIFYDADDLVDEYLTELLRNQVISIPHDWDSCCCVLQAANSLIFNTNLLYLCYKMKLNLKEIGDRLELVASERLKFHLRDAVVYQSEPFRVESDSYLLESKVFGRDGDERNIVKLLTEPQGRKGVSVISIVGIAGIGKTTVAKLAYHSPEVERYFDRRIWVCVAEGFNVKRLMKAIVESDTGSESPKALRALKNAKKLRSFLLLNGWRKLSMVTKGFFLSLKSVRALDFSGTRVKKISKSVATLLHLRYLNLSNTMLKTLPDSVCGLLNLETLILNRCIRLVELPEDLRNLVNLKHLDIYGCEQLTKLPRGIGKMKSLQTLPIYIVRKEAANDISELQSLDIGGELMIKNLENLAHETYAKNANLKEKRNLLFLQLEDSAMLCNLKKLTCFACPNLKCLPNLPSVDSLELHVFSSKLLEVVATKIVTLSHLIISECPELECLPQGLLKNNKHLSSVEIQSCPKLQSLSSEPKGCSCSSLQSLSISNCGNLSSLRDSCGLQSLKSLSIRGCPSLSLETEMKGLNSLQFLSLSDCEELTTLPVVVQPLKSLQTLHLWSCPKLNALPDWIADLSSLREFELWYCENLHSFPDSMKSLTQLRFLSIWGSPLLEVRCRKGEGEDWYKIQHVPFIKINGPYIQAMTGESSIL >itb05g02440.t1 pep chromosome:ASM357664v1:5:1978736:1984036:1 gene:itb05g02440 transcript:itb05g02440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKALTTTRFSDLKPPLSQPVLEALTNGGFDFCTPVQAATIPLLCSHKDVAVDAATGSGKTLAFVVPLVEIIRRSSPPKPHQVMGIVISPTRELSSQIFHVAQPFISTLPNIKPMLLLGGAEVKTDMKKIEEEGANLLIGTPGRLFDIMERMDMLDFRNFEVLILDEADRLLDMGFQRQINSIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAEAKSLNDSTSTGQLASSRTPAGLQDEYLVCETDKKSSQLADLLIRNKSNKVIIYFMTCACVDYWGLVLPHISSLKKLSLIPLHGKMKQAAREKALSTFTSLSSGILLCTDVAARGLDIPGVDCIIQYDPPQDPDVFVHRVGRTARLGRQGHAIIFLSPKEEAYVDFLRIRRIPLEARECSDEAPDIVPEIRLAAKKDRDVMEKGIRAFVSYIRAYKEHNCSYIFRWKELEIGKLGMGYGLLQLPSVPEVKHHSLSTESFIPVKDINLEEIKYKDKSREKQRKKNLEAKKAIKQQEVRKPKRDSSLSKAEMKKQTAKKRRATQTAEDDDEMAKEYRLLKKLKKGTIDETEFAKLTGIEDLL >itb05g18940.t1 pep chromosome:ASM357664v1:5:25599120:25599848:-1 gene:itb05g18940 transcript:itb05g18940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSADQRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRKKWVIHIERITREKVNGSTVNVGIHPSKVVITKLRLDKDRKSLIDRKAKGRAAHDKDKGTKFTAEDIMQTVD >itb06g19930.t1 pep chromosome:ASM357664v1:6:23084574:23091515:-1 gene:itb06g19930 transcript:itb06g19930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCAGGTVRDRSEVLKERSSGSSKKLKSMKSFLNEDINADSNEYPDMGTMGRTHNHNLYDSGELHLSISRELKPATPARTGNNKGPQVSSFLGKASIVGLEKAVEVLDTLGSSMTNLNNSGFISGAAGSRGNRIYILAFEVANTITKGANLLQSLSEESVQYLKEEILPSKAVQELVSTDMNELLAIAAADKREEFDVFSREVVRFGNLCKDPQWHSLDRFFAKLSSEPLSHKQLKEEADRTMQELSTLAQHTSELYHELHAVDRFEQDYQRKIEELESLNLPRKGESLMMLHSELKHQRKLVRGLKKKSLWSKSLEEVVEKLVDIVTFIHQEILEAFGDDVLKYIDREPTKKPERLGVAGLALHYANLITQIDNITSRPTALPPNTRDALYNGLPPAVKMALRSRLQALDTKEEELTIPQIKAEMEKNLQWLVPLAADTSKAHQGFGWVGEWANTGSDFGKKATMQNNLIRLQTLYHADKKKMDAFILELVEWLHRLISLVRYKGPRILPGRSPTGKGLTLHTETLNNNPKKNEVQLSHEDKTLLEEVMKRRKLVPGISKSQEFVISKKGRQVWALSRSTGSSPCTEVERPKPNVLDILDGLGTKF >itb14g13450.t1 pep chromosome:ASM357664v1:14:15458785:15468800:1 gene:itb14g13450 transcript:itb14g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFGFNSPAMGAQKAASQRAARPRVSKKKYTKAPNRSCSLGRDDESSSFNWFRPASWDPGGQVISGSLGSSGYEGLNGNTEFVFGAKKDGSESSILNTNSCGNMEDDDKGDEMRRLKQHLNVNNKDCREEEIGDSDTQMLLTKEVQMGNAACTPKFDGIKLNNNSSSGSSNLQRCGSESVAFAGVKLLNETRKLDMKKDSVACELKQPPAAAFGGIHSFGRSSENEHQPDELNNNLLRIKETMNNLGGVGSSEEKNSFVFGGCGNTKMQLDDVSKSNIRRLSLSGQPTKHLPPVLAKIKTDTKSSDILNDKSCLNVSSGSIPSEFSFQAVKKESKVSQVQVHNQSNTLSTLQEDWPRNNVEFSFNGTPDTAVMQHHVVEFRTTTLKGSLNRKPETKRNPTKDIRLKKKKGKLKKAISDPLKFGQDYLQLNAQSSESYSVMDISPYQETPTENTFTRVPSGISNEIINQNGNSLTDSHLLVSNGTTDQHLACETEHSDINDNSIKHHELEQVESWDSNSQSSFAEGPYEKSFSGAKTDNFGSAIHHLDYSVDSLVTAAENKRSSIAPIEKEDSDGSTHTNLEDACQTSFIFSASSTERVQPSVVTWHQKKKSQRRIRNGPSISTSSSKVSYSCTPVKSFQVCASSFLSPSQGQQGNIPALSSRSLSRNGSFKKKVVKLGIMSTADVNMAAHETCERWRLRGNQAHASGDLSKAEDCYTQGVNCISQTETSKTCLRALMLCYSNRAATRMSLGRMRAALEDCLNATAQDPNFHRAQVQAANCCLALGEIQNASKYFMKCLQEGSDTCVDRKLLVEASEGLEKAQKLSNYMKQSAELLERRTTNDAEYALAVLADALMISSYSEKLLEMKADALLMLQKYEEAIQLCEQTFTSAQLNTSTSDSEPANLDASNKQRSDSHRFWRCLVTVKSYFHLGKLEEADNFLKKQEKSVSPTNGGGSRTPALQSIIPLAATVHELLHLKTAGNEAFQSGKHAEAIEYYTAAISCSVESRPFAAICFCNRAATFQAIGQIVDAIADCNLSVALDGNYEKVISRRALLLEMIRDYEQAASDLQRLISLLMRPMENKINQSGPSDKTSFMSEIRQTQQKLSAIEEESRKNIPLNMYLILGVDPSAAQSEIKKAYRKAALKHHPDKAGQSLPRNDNPDDGRQISEEVRRNADRLFKMIGEAYALLSDPGKRSRYDLEEETRNGQHRGNGGSTMKTHMDFQNYPFVDRSGNRWQKGDVWRTYGNS >itb14g13450.t2 pep chromosome:ASM357664v1:14:15459207:15468800:1 gene:itb14g13450 transcript:itb14g13450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKAASQRAARPRVSKKKYTKAPNRSCSLGRDDESSSFNWFRPASWDPGGQVISGSLGSSGYEGLNGNTEFVFGAKKDGSESSILNTNSCGNMEDDDKGDEMRRLKQHLNVNNKDCREEEIGDSDTQMLLTKEVQMGNAACTPKFDGIKLNNNSSSGSSNLQRCGSESVAFAGVKLLNETRKLDMKKDSVACELKQPPAAAFGGIHSFGRSSENEHQPDELNNNLLRIKETMNNLGGVGSSEEKNSFVFGGCGNTKMQLDDVSKSNIRRLSLSGQPTKHLPPVLAKIKTDTKSSDILNDKSCLNVSSGSIPSEFSFQAVKKESKVSQVQVHNQSNTLSTLQEDWPRNNVEFSFNGTPDTAVMQHHVVEFRTTTLKGSLNRKPETKRNPTKDIRLKKKKGKLKKAISDPLKFGQDYLQLNAQSSESYSVMDISPYQETPTENTFTRVPSGISNEIINQNGNSLTDSHLLVSNGTTDQHLACETEHSDINDNSIKHHELEQVESWDSNSQSSFAEGPYEKSFSGAKTDNFGSAIHHLDYSVDSLVTAAENKRSSIAPIEKEDSDGSTHTNLEDACQTSFIFSASSTERVQPSVVTWHQKKKSQRRIRNGPSISTSSSKVSYSCTPVKSFQVCASSFLSPSQGQQGNIPALSSRSLSRNGSFKKKVVKLGIMSTADVNMAAHETCERWRLRGNQAHASGDLSKAEDCYTQGVNCISQTETSKTCLRALMLCYSNRAATRMSLGRMRAALEDCLNATAQDPNFHRAQVQAANCCLALGEIQNASKYFMKCLQEGSDTCVDRKLLVEASEGLEKAQKLSNYMKQSAELLERRTTNDAEYALAVLADALMISSYSEKLLEMKADALLMLQKYEEAIQLCEQTFTSAQLNTSTSDSEPANLDASNKQRSDSHRFWRCLVTVKSYFHLGKLEEADNFLKKQEKSVSPTNGGGSRTPALQSIIPLAATVHELLHLKTAGNEAFQSGKHAEAIEYYTAAISCSVESRPFAAICFCNRAATFQAIGQIVDAIADCNLSVALDGNYEKVISRRALLLEMIRDYEQAASDLQRLISLLMRPMENKINQSGPSDKTSFMSEIRQTQQKLSAIEEESRKNIPLNMYLILGVDPSAAQSEIKKAYRKAALKHHPDKAGQSLPRNDNPDDGRQISEEVRRNADRLFKMIGEAYALLSDPGKRSRYDLEEETRNGQHRGNGGSTMKTHMDFQNYPFVDRSGNRWQKGDVWRTYGNS >itb06g16310.t1 pep chromosome:ASM357664v1:6:20466173:20474920:-1 gene:itb06g16310 transcript:itb06g16310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGDGSSGGGGGGLTAPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKKNAGNQRELERMDYQVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKIKKICNMNIDPSRAVGNGSVASSSISSNPKLCLANGGCTDKLYNNLNALRLPTVTSHESSLAARCRRVYAHAHDYHINSISSNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEELTEVITSAEFHPIHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEHEAPGSRSFFTEIIASLSDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQAQTTPSRPSRSLSSITRVVRRGAESPVVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >itb06g16310.t2 pep chromosome:ASM357664v1:6:20466152:20474608:-1 gene:itb06g16310 transcript:itb06g16310.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGDGSSGGGGGGLTAPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKKNAGNQRELERMDYQVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKIKKICNMNIDPSRAVGNGSVASSSISSNPKLCLANGGCTDKLYNNLNALRLPTVTSHESSLAARCRRVYAHAHDYHINSISSNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEELTEVITSAEFHPIHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEHEAPGSRSFFTEIIASLSDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQAQTTPSRPSRSLSSITRVVRRGAESPVVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >itb06g16310.t3 pep chromosome:ASM357664v1:6:20466152:20474608:-1 gene:itb06g16310 transcript:itb06g16310.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGGDGSSGGGGGGLTAPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKKNAGNQRELERMDYQVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKIKKICNMNIDPSRAVGNGSVASSSISSNPKLCLANGGCTDKLYNNLNALRLPTVTSHESSLAARCRRVYAHAHDYHINSISSNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEELTEVITSAEFHPIHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEHEAPGSRSFFTEIIASLSDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQAQTTPSRPSRSLSSITRVVRRGAESPVVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >itb14g06140.t1 pep chromosome:ASM357664v1:14:5327711:5342263:1 gene:itb14g06140 transcript:itb14g06140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRANGSLPSLQSIKSLPVDARFVQSGSLKKPDVVNQKGYRMASDMVADNGEFFAEVNGISNEDNDESPYRSFSFSANERPSAVDDDLNVTTYPSRSVAPTSAESKWSDTKFYAAKKVRSWLQLPDGNWQLVTIISTSGTESVISFSDGRVQNVKSENLLPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNKDMIYSKAGPVLVAINPFKNVPLYGNDDVEAYKRKSSDSPHVYAITDTAMREMVRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFSTFYLQSRVVQCTEGERSYHIFYHLCSGAPPALRDKLNLKGAYEYKYLRQSNCFSISGVDDAEQFKFVTEALDVVHVSKEDQESVFSMLAAVLWLGNISFTVIDSENHVEPVDDEGLITVATLLGCEVEALKLALSTRKMRVGKDNIIQKLTASQAIETRDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNKDCLNLFEKKPLGLLSLLDEESTFPNGTDMTFANKLKQHLNSNPCFKGDREKSFTVCHYAGEVTYDAFGFLEKNRDLLHLDSIQLLSSCKCHLPQIFASNMLTQSEKPVVGPLYKSGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNSFQSPGKYDQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLDHVASQDPLSVSVAILHQFNILPDMYQVGYTKLFFRTGQVGVLEDTRNRTLRGILRVQSCFRGHQARTYLKQLKRRVTTLQSFVRGEKTRREYAILLQKHRAAVCIQKQIKGRIHRRTLKNVHSASIIIQSVIRGWLVRRCSGDIGLLQFGGKKGNECEEVLVKSSFLSELQRRVLRAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLALDDMERNSDASVNTMSDDRESIQLGIEAGSNRNNRDSSSGVRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDANLNPDRELRRLKQMFEAWKKDYGTRLRETKVILHKLQGNDELVNDKMRKKWWGKRNNSSRFN >itb09g00690.t1 pep chromosome:ASM357664v1:9:462414:464078:-1 gene:itb09g00690 transcript:itb09g00690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSLSRRGILLGFLLSFFLVVNVNFCYADQGEKTVQVVGFGECADCKENNIQTTQAFSELRVSIDCKLENGEMRTRGMGKLDKDGKFEVSLPAAIVKEDGGLKEECYAQLHTASSAAPCPAHNAIEASKIVKTGGKLQGFEAAGKLKFSAAICASASFWPPFYKKPFFKKPLPHPFPFYKPKPHPIYKPKPKPPIYIPHVPIYKPKPKPPIYIPHVPIYKPKPKPPIYKPPTPVYTPTPNPPVYKPPAPVYKPKPKPPVYKPPTPVYTPKPFPPVPIHKPFPKPPIYKPPFYKPHPFHKPWPPVYIPPFKKPCPPPHG >itb05g14700.t1 pep chromosome:ASM357664v1:5:21940279:21943977:1 gene:itb05g14700 transcript:itb05g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGGDEDPGSFQVGPSGENRSQLIGSTAAATPAAPAASVAGNSTDVKKKRGRPKKYGPDGSVRLALSPMPISASIPLTGDYSAWKQQPTVGSLKKKKKFLLENHGERMAYSVGANFTPHVITVNAGEDVTMKIISFAQQGSRAICVLAANGAISNVTLRQPNSSGGTLTYEGRFEILSLTGSFMPSDNGVTKSRSGGMSVSLSGPDGRVLGGGLSGMLVAAGSVQVVVGSFLHGHQLEQKPKKPRFEHTSSIHNLVSSNPVSDEKSEGAYSGQSPNPTSSPSFHGDNLHSANSMHFSRMSAIGNNNISLSREELQAPSPSKCEVSC >itb02g13630.t1 pep chromosome:ASM357664v1:2:9806538:9809594:-1 gene:itb02g13630 transcript:itb02g13630.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSLGCGRSAIVRRMVNLPRNILGGFSRMMDQGMELMRTGGIIRRPHHHLPPLDFPFHHHYDPTAHDEWAFLNSFEHQYGTQHPFFYACKFMDALKICRDEHKLLFLYLHSPGHPFSPSFCRETLCCEVVVQFLDANYVCWGALADAGEGLQMGATLRASTYPFCCVVASAPGDSIAVLQQMEGPVSAAELVEILQRTMEEQGLAFGTALPKQQQKLWADRRLREEQDVAYITSLQIDQEKEKLRSRESEQNVPKQETPTSSGRPKHISSQTHQRNKVKEGISFGKGTSQRNGANGVKSAEIIQIQIRFPNGERKEQSFLSTDKIQAIYRYIDSLGMPGVGNYRLISNFPKKVYGVDQMAMTLKDAGLHPKASLFLELI >itb09g04030.t1 pep chromosome:ASM357664v1:9:2236809:2239408:-1 gene:itb09g04030 transcript:itb09g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWYLCVFYHRLLDYRRPEVESLAQLFGAFDDDQRSAASEHSLEWRLPQHYHPDSPFHFVNLPSEEIARNVANRSILVKGIYELWGEGSSLEELEEAIKNYPDERKLPYLTSDSTFKIIVDSFGKVISFQEQNDRIQALSYIPFQGRVNLRNPDHKFYLIETDNYGSNNGLPPIVQRRVFFGREIGAADRKLLPTYQLKSRTYLGPTAMDAEMAFLMANQAQVKHGKLVYDPFVGTGSILVAAAHYGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLQMPVALLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGVVDPYTVPDDKRTDHIPSTASYSLVECVHDLLDLAARMLVMGGRLVYFFPVLRDDESITPTFPEHPCFRLVASSEQILSLRYSRVLLTMVKTGPYTEDIAEAARIKHLEFKANHLKWLEEGNLHSAVFSPADSKLNESGETKVSKDSKPRYRGKYV >itb13g17700.t1 pep chromosome:ASM357664v1:13:24647909:24650268:1 gene:itb13g17700 transcript:itb13g17700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGRQRRKTQPWQKWGWETLIDGDGYFFSTREVKNGRGNRFNKAIGKDKKIVVSGVLQRLVGMKKTLVYYKGKPSNGKRYFFSRREVKNDSGNRCNRATGKDKKIIIPGEPQHLIGMKITLVYYKGKPSNGSRIDWILHKYCTSNAPKP >itb11g03920.t3 pep chromosome:ASM357664v1:11:2113511:2117952:1 gene:itb11g03920 transcript:itb11g03920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSGKSKHGGIYKRRSVGNRKNAKDNYPPLRMYLPVTPLSSERTRKSSGCGIREGYEMASDRMDETFHLPSAKGYASREMVSETCDPKSDTNMHTTSSSIDNAVSGTALKDEYAEQKQDPGCTSPFGGSSSGSSGTPHGQLTDGTISHTGDSTINNGSAERSENHDFDVLEAGDVLEQEVEVDRDVESRLEETCVLVEGDELLAPQDPVKHKSYKKKIREAFSSRMRLTRKEYENLATRYENQPSNRDSEEKEVGMKASTKVSSASDFPDSEWELL >itb11g03920.t1 pep chromosome:ASM357664v1:11:2113511:2117952:1 gene:itb11g03920 transcript:itb11g03920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAISWVGNIYQKFEAMCLEVEEVMYQDTVDYVENQVQTVGASMKKFYSEIMQDLHPESYVDPVKVAAADLSLNPYAHHEIEKKQKASLKEHSRGTDMKFTEDTEVIKGKSKHGGIYKRRSVGNRKNAKDNYPPLRMYLPVTPLSSERTRKSSGCGIREGYEMASDRMDETFHLPSAKGYASREMKQDPGCTSPFGGSSSGSSGTPHGQLTDGTISHTGDSTINNGSAERSENHDFDVLEAGDVLEQEVEVDRDVESRLEETCVLVEGDELLAPQDPVKHKSYKKKIREAFSSRMRLTRKEYENLATRYENQPSNRDSEEKEVGMKASTKVSSASDFPDSEWELL >itb11g03920.t2 pep chromosome:ASM357664v1:11:2113511:2117952:1 gene:itb11g03920 transcript:itb11g03920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAISWVGNIYQKFEAMCLEVEEVMYQDTVDYVENQVQTVGASMKKFYSEIMQDLHPESYVDPVKVAAADLSLNPYAHHEIEKKQKASLKEHSRGTDMKFTEDTEVIKGKSKHGGIYKRRSVGNRKNAKDNYPPLRMYLPVTPLSSERTRKSSGCGIREGYEMASDRMDETFHLPSAKGYASREMVSETCDPKSDTNMHTTSSSIDNAVSGTALKDEYAEQKQDPGCTSPFGGSSSGSSGTPHGQLTDGTISHTGDSTINNGSAERSENHDFDVLEAGDVLEQEVEVDRDVESRLEETCVLVEGDELLAPQDPVKHKSYKKKIREAFSSRMRLTRKEYENLATRYENQPSNRDSEEKEVGMKASTKVSSASDFPDSEWELL >itb12g01960.t2 pep chromosome:ASM357664v1:12:1311755:1315311:-1 gene:itb12g01960 transcript:itb12g01960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNTSSSSSSSPGFWSLFTRIFCRKASYLLPPCTKASSIAGVDVESLIARSEEYSEIPELKMSQEVMGRNINNNNEVFWEWALLVSPFFFWGTAMVAMKQVLPKTGPFFVSAFRLIPAGLILIFFAASRGRKFPSGIAAWLSIAVFALVDAACFQGFLAQGLERTSAGLGSVIIDSQPLTVSVLAVLLFGESIGFIGAAGLVLGVIGLLLLEVPVLVLDDGKFSFWGSGEWWMLLAAQCMAVGTVMVRWVSKYCDPIMATGWHMVIGGLPLVAISLLNHDPALNGSFVELTSTDLLALLYTSVFGSAISYGVYFYNATRGKFI >itb12g01960.t1 pep chromosome:ASM357664v1:12:1311731:1315311:-1 gene:itb12g01960 transcript:itb12g01960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNTSSSSSSSPGFWSLFTRIFCRKASYLLPPCTKASSIAGVDVESLIARSEEYSEIPELKMSQEVMGRNINNNNEVFWEWALLVSPFFFWGTAMVAMKQVLPKTGPFFVSAFRLIPAGLILIFFAASRGRKFPSGIAAWLSIAVFALVDAACFQGFLAQGLERTSAGLGSVIIDSQPLTVSVLAVLLFGESIGFIGAAGLVLGVIGLLLLEVPVLVLDDGKFSFWGSGEWWMLLAAQCMAVGTVMVRWVSKYCDPIMATGWHMVIGGLPLVAISLLNHDPALNGSFVELTSTDLLALLYTSVFGSAISYGVYFYNATRGSLTKLSSLTFLTPMFASIFGFVYLGETFTPVQMAGALVTLVGIYMVNYKSCYE >itb06g20730.t2 pep chromosome:ASM357664v1:6:23583096:23586589:-1 gene:itb06g20730 transcript:itb06g20730.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESHPKTEPQYEHINDVTISVADTAAAAVSPASITDAPPQKASPVTKLPSRPRKIRKLSPSGAAATAAAAAQSSDLVPRIVARSLSCHGELEAAISYLRSSDPRLAPLIDLHAPPSLEPFLPPFLALTKNILFQQLAFKAGTSIYTRFISLCGGESNVLPDVVFSLTPQQLRQIGISARKASYLHDLARKYQTAILSDKSIIEMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPIHDLGIRKGVQMLNELEDLPRPSQMDQLCEKWRPYRSVAAWYIWRFVEAKNTNSNTNVVVTDLDASLQQQQQEQHQLHQQFLDPMNGIMNLGACAWGQ >itb06g20730.t3 pep chromosome:ASM357664v1:6:23585114:23586584:-1 gene:itb06g20730 transcript:itb06g20730.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESHPKTEPQYEHINDVTISVADTAAAAVSPASITDAPPQKASPVTKLPSRPRKIRKLSPSGAAATAAAAAQSSDLVPRIVARSLSCHGELEAAISYLRSSDPRLAPLIDLHAPPSLEPFLPPFLALTKNILFQQLAFKAGTSIYTRFISLCGGESNVLPDVVFSLTPQQLRQIGISARKASYLHDLARKYQTAILSDKSIIEMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPIHDLGIRKGVQMLNELEDLPRPSQMDQLCEKWRPYRSVAAWYIWRFVEAKNTNSNTNVVVTDLDASLQQQQQEQHQLHQQFLDPMNGIMNLGYFFPVPSSFE >itb06g20730.t1 pep chromosome:ASM357664v1:6:23583075:23586584:-1 gene:itb06g20730 transcript:itb06g20730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESHPKTEPQYEHINDVTISVADTAAAAVSPASITDAPPQKASPVTKLPSRPRKIRKLSPSGAAATAAAAAQSSDLVPRIVARSLSCHGELEAAISYLRSSDPRLAPLIDLHAPPSLEPFLPPFLALTKNILFQQLAFKAGTSIYTRFISLCGGESNVLPDVVFSLTPQQLRQIGISARKASYLHDLARKYQTAILSDKSIIEMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPIHDLGIRKGVQMLNELEDLPRPSQMDQLCEKWRPYRSVAAWYIWRFVEAKNTNSNTNVVVTDLDASLQQQQQEQHQLHQQFLDPMNGIMNLGVF >itb15g11920.t1 pep chromosome:ASM357664v1:15:9793513:9797417:-1 gene:itb15g11920 transcript:itb15g11920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNCSSSCSSNINGNHHLHHHHQHHKHLPKRIILVRHGESQGNKDDAAYTVIPDHKIPLTSQGFDQAELSGTRIRDVISDQGASHTWKVYFYVSPYERTRTTLREIGRAFPRKRVIGVREECRIREQDFGNFQVAERMKVIKETREKFGRFFFRFPEGESAADVFDRVSSFLESLWRDIDMNRLHSDPGDDLNLIIVSHGLAIRVFLMKWFKWTVEQFERLNNLENCEFRIMQLGSGGDYSLAVHHTEEEMQAWGLCTDMIADQKWRACATRGDWNEKCPWYLDAFFDTFADSSDEDEEEMETVSCESS >itb02g05350.t2 pep chromosome:ASM357664v1:2:3212259:3215336:1 gene:itb02g05350 transcript:itb02g05350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADYKRKSNFPRKIFLAAGLIAVCFLLFRRSPSFTGSSKFKMHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNMGAVKVLQGLYPEPGRLQFIYADLGDPAAVDKLFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLMLVKAMVAHNVKTLIYSSTCATYGEPEKMPITESTPQVPINPYGKAKKMAEDIILDFSKTSDMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKIRGVDYTTPDGTCIRDYIDVTDLIDAHVKALEHATRGKVGIYNVGTGKGSSVKQFVEACKKATGVEIKIEFLSRRPGDYAEVYSDPSKIRQELNWSAKYTLQESLAIAWRWQKLHRNGYSK >itb02g05350.t3 pep chromosome:ASM357664v1:2:3212259:3215336:1 gene:itb02g05350 transcript:itb02g05350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADYKRKSNFPRKIFLAAGLIAVCFLLFRRSPSFTGSSKFKMHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNMGAVKVLQGLYPEPGRLQFIYADLGDPAAVDKLFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLMLVKAMVAHNVKTLIYSSTCATYGEPEKMPITESTPQVPINPYGKAKKMAEDIILDFSKTSDMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKIRGVDYTTPDGTCIRDYIDVTDLIDAHVKALEHATRGKVGIYNVGTGKG >itb02g05350.t1 pep chromosome:ASM357664v1:2:3210384:3215336:1 gene:itb02g05350 transcript:itb02g05350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADYKRKSNFPRKIFLAAGLIAVCFLLFRRSPSFTGSSKFKMHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNMGAVKVLQGLYPEPGRLQFIYADLGDPAAVDKLFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLMLVKAMVAHNVKTLIYSSTCATYGEPEKMPITESTPQVPINPYGKAKKMAEDIILDFSKTSDMAVMILRYFNVIGSDPEGRLGEAPRPELREQGRISGACFDAARGIIPGLKIRGVDYTTPDGTCIRDYIDVTDLIDAHVKALEHATRGKVGIYNVGTGKGSSVKQFVEACKKATGVEIKIEFLSRRPGDYAEVYSDPSKIRQELNWSAKYTLQESLAIAWRWQKLHRNGYSK >itb09g06010.t1 pep chromosome:ASM357664v1:9:3437796:3439073:-1 gene:itb09g06010 transcript:itb09g06010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAKQTGILVCLLIMILDIAAGILGIQAEVAQNKVNNLKVWIFECRDPSYQAFKLGLAATILLVLAQVISKLLGGCICIRSREELDQSSSNKQLAFASLVLQWLIFVIAFSILVMGTLANSRSRKSCGISHQHYLSVGGILCFIHGLFSVSYYIAATAAVQEEKKLNQQGGHA >itb10g01850.t1 pep chromosome:ASM357664v1:10:1515364:1516427:-1 gene:itb10g01850 transcript:itb10g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNTISEEEKWWGDKHLEQINGFWFMPRYIPIIHRVLAEFNPRPSDVILSSFPKTGTTWLKSLLYSIINRSSLHSLVNNNPHDLVPCLEVQVYGDQESSDSPTHLSSEDTTTLFHTHIPYQLLGKTLESSGCRVVYVARNPKDTLNSFWHFANKWKMAEEAPWELEEAVEKFLRGTVPYGPYYEHVLGYRMASLKNPSKIFFITYEELKDDTKTHVKRLAEFLGCPFAEEDDKGVEEIVKCCSFEES >itb12g19500.t1 pep chromosome:ASM357664v1:12:21898578:21900924:1 gene:itb12g19500 transcript:itb12g19500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTALMILAFVAAYLIWFKSVTASLKGPRLWPVVGSLPGLIMNGHRMHEWIAENLRACGGTYQTCIFAVPVLARKQGLVTVTCDPKNLEHILKVRFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRRTAALEFTTRTLRQAMARWVSRAIKMRFCPILKTAQLESKPVDLQDLLLRLTFDNICGLAFGKDPQTLSPGLPENSFASAFDRATEATLHRFILPEFIWKFKKWLGLGMEVNLSQSLTHVDHYLTDVITTRKLELVSQQEGGPQHDDLLSRFMRKKESYSDKFLQQVALNFILAGRDTSSVALSWFFWLVSLNPRVEEKILIELCTVLMETRGKDTSKWLEDPLIFEEVDQLIYLKAALSETLRLYPSVPEDSKHVITDDVLPDGTFVPAGSNITYSIYSVGRMKFIWGEDCLEFKPERWLSKDGKKFELDDQFRFVSFNAGPRICLGKNLAYLQMKSIAAAVLLRHRLAVAPGHKVEQKMSLTLFMKYGLLVNVFPRDLAPIMAKIGTRESRDEPRLGNGNYYAQVVTVNAAA >itb14g06280.t1 pep chromosome:ASM357664v1:14:5537721:5542402:1 gene:itb14g06280 transcript:itb14g06280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESFNVSMSVDGLAPFEQSDTGKEQEERCDVVLDIRNDETSNRGIDNGKEIEISGAYQEYPWKRNFYKPSLVSIGPKYSKEVDQVKDKEYKNLYMKSFFERAEGHSMEEYQEYLRNEMKLLDKARNYYQQIGITYPHNDEEFAEMLVLDGCFVVEFVLKCKEGGNGDPRNSTEGKKAREDMLMFENQLPFEVLSAIYKKIIGNTKEVPNFIRLVKFAFASLAPKFSINNFYDDNKPQKPMDLLHVVYSLCLPRNAQALISQSAKGNEENMWLKLNHMNSATELKEVGISFEKIGQVFNMPKKYEKIPALKYPGGTSLFDITFYNGVMTIPCFKVDNFSELFFRNMIAMEQRCDTLNPKYFTDYARLMDHLLDTNRDGCG >itb03g20410.t2 pep chromosome:ASM357664v1:3:18329925:18334145:1 gene:itb03g20410 transcript:itb03g20410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRFVGKDSRFLSFDPSQKPSHGFSPRRIQSHLSFRDGVSKAEPKKNCLRIQNKPQISVDGTIKTPALWKLRLSCKAAANVSGDIPGDSSSGMSQYERIIETLTTLFPVWVILGTVIGIYKPSAVTWLETDLFTLCLGFLMLSMGLTLTFDDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMALKLSAPLATGLILVSCCPGGQASNVATFISKGNVALSVLMTTCSTIGAILMTPLLTKVLAGQLVPVDAVGLAISTFQVVLVPTIIGVLSNEYFPKFTSKIITVTPLIGVILTTLLCASPVSLQHWLSLSNSFNHFLM >itb03g20410.t1 pep chromosome:ASM357664v1:3:18329925:18334145:1 gene:itb03g20410 transcript:itb03g20410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRFVGKDSRFLSFDPSQKPSHGFSPRRIQSHLSFRDGVSKAEPKKNCLRIQNKPQISVDGTIKTPALWKLRLSCKAAANVSGDIPGDSSSGMSQYERIIETLTTLFPVWVILGTVIGIYKPSAVTWLETDLFTLCLGFLMLSMGLTLTFDDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMALKLSAPLATGLILVSCCPGGQASNVATFISKGNVALSVLMTTCSTIGAILMTPLLTKVLAGQLVPVDAVGLAISTFQVVLVPTIIGVLSNEYFPKFTSKIITVTPLIGVILTTLLCASPIGQVADVLKTQGAQLLFPVALLHAAAFFLGYWISKMFFGESTSRTISIECGMQSSALGFLLAQKHFKNPLVAVPSAVSVVCMALGGSALAVYWRNQAIRVDDKDDFKE >itb03g20410.t3 pep chromosome:ASM357664v1:3:18329925:18334163:1 gene:itb03g20410 transcript:itb03g20410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRFVGKDSRFLSFDPSQKPSHGFSPRRIQSHLSFRDGVSKAEPKKNCLRIQNKPQISVDGTIKTPALWKLRLSCKAAANVSGDIPGDSSSGMSQYERIIETLTTLFPVWVILGTVIGIYKPSAVTWLETDLFTLCLGFLMLSMGLTLTFDDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMALKLSAPLATGLILVSCCPGGQASNVATFISKGNVALSVLMTTCSTIGAILMTPLLTKVLAGQLVPVDAVGLAISTFQVVLVPTIIGDVVYLDSAFVL >itb03g20280.t2 pep chromosome:ASM357664v1:3:18207687:18211402:-1 gene:itb03g20280 transcript:itb03g20280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNIVHSCSSREPANASDSTADTNSSNVSRGSQVILNVYDLTPINHYTIWFGFGIFHSGIEVHGMEYGFGAHDFPISGVFEVEPKSCPGFIYRCSIPLGQINLAPYEFRTFIENVASEYHGDTYHLISKNCNHFTDDMARRLTRKSIPGWVNRLARLGAFCSCLLPESLQVTSVKQLPEYHICAEEDGSGSMSTVTPQEPTESEDGDQDKHLLSPPRGSGEVCFIREISK >itb03g20280.t3 pep chromosome:ASM357664v1:3:18207763:18209515:-1 gene:itb03g20280 transcript:itb03g20280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFGAHDFPISGVFEVEPKSCPGFIYRCSIPLGQINLAPYEFRTFIENVASEYHGDTYHLISKNCNHFTDDMARRLTRKSIPGWVNRLARLGAFCSCLLPESLQVTSVKQLPEYHICAEEDGSGSMSTVTPQEPTESEDGDQDKHLLSPPRGSGEVCFIREISK >itb03g20280.t4 pep chromosome:ASM357664v1:3:18207728:18209394:-1 gene:itb03g20280 transcript:itb03g20280.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGFGAHDFPISGVFEVEPKSCPGFIYRCSIPLGQINLAPYEFRTFIENVASEYHGDTYHLISKNCNHFTDDMARRLTRKSIPGWVNRLARLGAFCSCLLPESLQVTSVKQLPEYHICAGGFLYLSSKEDGSGSMSTVTPQEPTESEDGDQDKHLLSPPRGSGEVCFIREISK >itb03g20280.t5 pep chromosome:ASM357664v1:3:18207687:18211400:-1 gene:itb03g20280 transcript:itb03g20280.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNIVHSCSSREPANASDSTADTNSSNVSRGSQVILNVYDLTPINHYTIWFGFGIFHSGIEVHGMEYGFGAHDFPISGVFEVEPKSCPGFIYRCSIPLGQINLAPYEFRTFIENVASEYHGDTYHLISKNCNHFTDDMARRLTRKSIPGWVNRLARLGAFCSCLLPESLQVTSVKQLPEYHICAGGFLYLSSSTNCVLS >itb03g20280.t1 pep chromosome:ASM357664v1:3:18207728:18211400:-1 gene:itb03g20280 transcript:itb03g20280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNIVHSCSSREPANASDSTADTNSSNVSRGSQVILNVYDLTPINHYTIWFGFGIFHSGIEVHGMEYGFGAHDFPISGVFEVEPKSCPGFIYRCSIPLGQINLAPYEFRTFIENVASEYHGDTYHLISKNCNHFTDDMARRLTRKSIPGWVNRLARLGAFCSCLLPESLQVTSVKQLPEYHICAGGFLYLSSKEDGSGSMSTVTPQEPTESEDGDQDKHLLSPPRGSGEVCFIREISK >itb05g17460.t1 pep chromosome:ASM357664v1:5:24395628:24399491:-1 gene:itb05g17460 transcript:itb05g17460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREIKGVFWSVLFLCVLASAAALLSPKGVNFEVQALMGIKASLKDPHGVLDNWDGDAVDPCSWSMVTCSPDGFVVGLGTPSQNLSGLLSPSIGNLTNLQIILLQNNNITGPIPVEIERLSSLQTLDLSNNLFTGKIPPTLGRLKSLKYMRFNNNSLTGEIPVSLTNMTQLSLVDLSNNNLSGPVPRFPSRTFNIVGNPLICATGSEPYCNGMALLPISMPLNTSQTTPPSGEQKNHKLAIVFGSSLGCTCILILGIGLFLWSRRRQEQQMLYDGRDLEEVSLGNLRRFQFKELQIATNNFSGKNILGKGGFGNVYKGHLSDGTAVAVKRLKDGSAIGGERQFQTEVEMISLAVHRNLLRLYGFCMTATEKLLVYPYMSNGSVASRLKVKPVLDWGTRKRISIGAARGLLYLHEQCDPKIIHRDVKAANILLDESCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRAIEFGKAANQKGAMLDWVKKIHQEKKLDALVDKDLKDKYDQIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQKTEQPNHKYGAHALSSSERFSDLTDDSSVLAQAIELSGPR >itb05g17460.t3 pep chromosome:ASM357664v1:5:24395770:24399036:-1 gene:itb05g17460 transcript:itb05g17460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNNNSLTGEIPVSLTNMTQLSLVDLSNNNLSGPVPRFPSRTFNIVGNPLICATGSEPYCNGMALLPISMPLNTSQTTPPSGEQKNHKLAIVFGSSLGCTCILILGIGLFLWSRRRQEQQMLYDGRGRDLEEVSLGNLRRFQFKELQIATNNFSGKNILGKGGFGNVYKGHLSDGTAVAVKRLKDGSAIGGERQFQTEVEMISLAVHRNLLRLYGFCMTATEKLLVYPYMSNGSVASRLKVKPVLDWGTRKRISIGAARGLLYLHEQCDPKIIHRDVKAANILLDESCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRAIEFGKAANQKGAMLDWVKKIHQEKKLDALVDKDLKDKYDQIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQKTEQPNHKYGAHALSSSERFSDLTDDSSVLAQAIELSGPR >itb05g17460.t2 pep chromosome:ASM357664v1:5:24395628:24399491:-1 gene:itb05g17460 transcript:itb05g17460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMREIKGVFWSVLFLCVLASAAALLSPKGVNFEVQALMGIKASLKDPHGVLDNWDGDAVDPCSWSMVTCSPDGFVVGLGTPSQNLSGLLSPSIGNLTNLQIILLQNNNITGPIPVEIERLSSLQTLDLSNNLFTGKIPPTLGRLKSLKYMRFNNNSLTGEIPVSLTNMTQLSLVDLSNNNLSGPVPRFPSRTFNIVGNPLICATGSEPYCNGMALLPISMPLNTSQTTPPSGEQKNHKLAIVFGSSLGCTCILILGIGLFLWSRRRQEQQMLYDGRGRDLEEVSLGNLRRFQFKELQIATNNFSGKNILGKGGFGNVYKGHLSDGTAVAVKRLKDGSAIGGERQFQTEVEMISLAVHRNLLRLYGFCMTATEKLLVYPYMSNGSVASRLKVKPVLDWGTRKRISIGAARGLLYLHEQCDPKIIHRDVKAANILLDESCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRAIEFGKAANQKGAMLDWVKKIHQEKKLDALVDKDLKDKYDQIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQKTEQPNHKYGAHALSSSERFSDLTDDSSVLAQAIELSGPR >itb07g12900.t2 pep chromosome:ASM357664v1:7:14960049:14962624:1 gene:itb07g12900 transcript:itb07g12900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKMVSCRYPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVIKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFTTSVPNLNFRNELLSAGNPGFGSHVFRPPQNEVMMGVDAAGNHHHQLGLDGQKPRLPLWLDSNNANEFGGGGGLAHELLQMAAPPAANMLGLSSQNHWFHGSGSASSSSLPPVMKEEDEGKISSMFYEAAAAGAAPPPPAHMSATALLQKAAQMGSSRSGNNTSSPLFGTGFGLVGSTLSSLAGSFTSRNELLHHQQHHHQNLGKQQPQPHDQTLNGLQTSNASTLTAPANNNNNNNTQPNDHHPSLLLGDMNSSSSLVGENSSSSLMMQNTKGKHLNHTLPSGNSEVVEGSLTRDFLGVGGSEGRPFFQPHELVKFASTSSSLPLNDYSRHN >itb07g12900.t1 pep chromosome:ASM357664v1:7:14960049:14962624:1 gene:itb07g12900 transcript:itb07g12900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDDALSSLTSSMRAFINNNTNQDPNPNPNPNPSPSPNPNPNPSNKRKRNLPGNPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVIKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFTTSVPNLNFRNELLSAGNPGFGSHVFRPPQNEVMMGVDAAGNHHHQLGLDGQKPRLPLWLDSNNANEFGGGGGLAHELLQMAAPPAANMLGLSSQNHWFHGSGSASSSSLPPVMKEEDEGKISSMFYEAAAAGAAPPPPAHMSATALLQKAAQMGSSRSGNNTSSPLFGTGFGLVGSTLSSLAGSFTSRNELLHHQQHHHQNLGKQQPQPHDQTLNGLQTSNASTLTAPANNNNNNNTQPNDHHPSLLLGDMNSSSSLVGENSSSSLMMQNTKGKHLNHTLPSGNSEVVEGSLTRDFLGVGGSEGRPFFQPHELVKFASTSSSLPLNDYSRHN >itb14g15890.t1 pep chromosome:ASM357664v1:14:19210182:19222559:1 gene:itb14g15890 transcript:itb14g15890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDCNNRIEHVYREQIGVADSFAKMTCELEGGFRIFSRPIRTAFKFFRKRDATGSLLTKMRDFCGGMRTCNMNIYSLIIFLLFGEFTSSRAAFRPPVPGENFNVGVVVDEGSAMGKVVRSCVTMAVSDYNERLNGTRIHLHVRNSEGDPLLALTAAQDLVENNKVQAIFIMPETSSEATLLAILGDKAKVPVFSFSPLQSSTQHPYLIQVSEDESTGLKGVTAFLASVKWKNVIIIHDHPAGDATKSLSYLLNTLQEHNVRVVHVSTLSPLTEDNRIIEEELNKLKKMQTSIFIVHLSAPLAARLFQSAKTLGLMAAGYAWVVTDKTMDLFHTLDSEAIESMQGAVGFKSYVPKSPKLQDFALRWKKEAEMELSVFGIWAYDAVWALGKAIRESANISDSLVLNKVLHSKFAGLSGQFELLDGKLVSKTFEVVNVIGPGEKRVGFWTNVSGFPEKNIDSIIWPGPSLGTPKDTQIRRLRIGVPVKKGFNEFIAVSRDNQTGKTTATGFCVDVFDAAISSLGYEVPYDFIRFVVLDDNEPSQGNYNDLIYQIHLQKLDAVVGDTTFTSNRSSYVDFTLPYTDPGVGTVARLGNTGKWFFLKPFDRDLWIVTAISFIITGFTIWLIEHERNEEFQGSPAQQIGTILWFTSSTLVYAQRERLLSHLSKFVLCIWMLVVFIISSSYTATLSSLLTLEQIQLAKGDYIGYRFFSQGIILNNTNFADTRLIRYNSREDYHEALSNGSIGGIIDEIPYIKSFLAKYPSQYALIKTTSTTNGFSFAFQKGSPLVAEFSGAIAQLREEGKLKELEDKWFRKEEEALLPEESDGPNVKTLDVGSFRYLFLVSGISKAIAVMVFLWYMLCKKLWIYNCIIRIASRGNLAFMLRLLLPIKPNTINGVHATSPV >itb15g22320.t1 pep chromosome:ASM357664v1:15:25087813:25091966:-1 gene:itb15g22320 transcript:itb15g22320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYLRLPKGQTMDDIPEGVLEDCVQLVKANSIQGNKLNNIDVVYTPWQNLRKTASMEVGQVSFHNPKMVRTVRVEKRINEIVNRLNRTKVERKPDLKAEREAVSAAEKAERKQQLREKKRREEMERLEKERQTELRSYKNLMVAEKMTSNKNIASTGKSLQELEEDFM >itb12g27180.t1 pep chromosome:ASM357664v1:12:27715357:27717036:1 gene:itb12g27180 transcript:itb12g27180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVFVSRKSLFLVSFVIISAALFSCCFASTFTVTNNCPFTIWPATLSGSGAPPLPTTGFQLDSGESVKIPSAAGWSGRIWARTGCTFDASGVGSCQTGDCEGKLECGGMGATPPASLFEITLGQGDVKDFYDVSIVDGYNLPMVAAPQGVLGGCNATGCLSNLNMGCPKELQVYGGEGEGEVVGCKSACEAFGLAQYCCSGEFANPSTCKPSFYSTIFKRACPRAYSYAFDDGTSTFTCKASEYAIVFCPTGAIRSPPAAETANQKYVMTTDYSSPVSSSATTALHPLLTLVLIMFITYLIFC >itb06g13720.t1 pep chromosome:ASM357664v1:6:18397152:18397622:-1 gene:itb06g13720 transcript:itb06g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSETCFEPQCLHESRRFEIRATDVAQPQEHKLQVTLNNVLHPGKLKIAIVGFGNFGQFLTKAFISQGHTVLAHSQTNYSLKAHSISANPHDHCEQHPDVILLCTSIISTELVLRSLPIQHLKRNTLFVDVLWVKEFPKNIFLHLLSPHFDILC >itb01g03040.t3 pep chromosome:ASM357664v1:1:1971351:1975896:-1 gene:itb01g03040 transcript:itb01g03040.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLDRAKVVLRHLPPTLQQATLLEKVDSRFSGRYNWLSFHPGKISQKQQTYSRAYVEFKRPEDVVEFADFFNGHLFVNEKGTQFKTIVEYAPSQLVPKQGFKKDGRDGSILKDPEYMEFLEFIAKPVEYLPSAEIQLERREAERTVAAKDALIITPLMEYVRQKRAVKTGVRRAVPSGKSTRRVGGASSGSPSSSASKRGSEKRRTSKTMYVPRDSSKVVSGKEKSYILITKRDGQKFSDKSGTSPYAYGVAPAHGESGGSGTADSGKKILLTKGKEKEVALQNMLNSSAPRPNQRHEASRRIVKSILLKDAHQNQLSSAVQSEQEIQDRDIKPPRPPTMQLSQKDCNGSHEDKVTGNEPHNIHIEKLEKRTRSRDKPDRGVWTPLRRSNSSQAGDEHLSSSNQSTEVQDSTEGGHLEIKKDTSIARTGDYRPVGSGRNSHSSVDNGIYRHGARRGSAHGMKDADGTSVGEGKPSRRGGPSGYGSRGHEVSLLSLRIMVSKL >itb01g03040.t1 pep chromosome:ASM357664v1:1:1970242:1975904:-1 gene:itb01g03040 transcript:itb01g03040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLDRAKVVLRHLPPTLQQATLLEKVDSRFSGRYNWLSFHPGKISQKQQTYSRAYVEFKRPEDVVEFADFFNGHLFVNEKGTQFKTIVEYAPSQLVPKQGFKKDGRDGSILKDPEYMEFLEFIAKPVEYLPSAEIQLERREAERTVAAKDALIITPLMEYVRQKRAVKTGVRRAVPSGKSTRRVGGASSGSPSSSASKRGSEKRRTSKTMYVPRDSSKVVSGKEKSYILITKRDGQKFSDKSGTSPYAYGVAPAHGESGGSGTADSGKKILLTKGKEKEVALQNMLNSSAPRPNQRHEASRRIVKSILLKDAHQNQLSSAVQSEQEIQDRDIKPPRPPTMQLSQKDCNGSHEDKVTGNEPHNIHIEKLEKRTRSRDKPDRGVWTPLRRSNSSQAGDEHLSSSNQSTEVQDSTEGGHLEIKKDTSIARTGDYRPVGSGRNSHSSVDNGIYRHGARRGSAHGMKDADGTSVGEGKPSRRGGPSGYGSRGHEKQVWVQRSSSGS >itb01g03040.t2 pep chromosome:ASM357664v1:1:1970242:1975904:-1 gene:itb01g03040 transcript:itb01g03040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLDRAKVVLRHLPPTLQQATLLEKVDSRFSGRYNWLSFHPGKISQKQQTYSRAYVEFKRPEDVVEFADFFNGHLFVNEKGTQFKTIVEYAPSQLVPKQGFKKDGRDGSILKDPEYMEFLEFIAKPVEYLPSAEIQLERREAERTVAAKDALIITPLMEYVRQKRAVKTGVRRAVPSGKSTRRVGGASSGSPSSSASKRGSEKRRTSKTMYVPRDSSKVVSGKEKSYILITKRDGQKFSDKSGTSPYAYGVAPAHGESGGSGTADSGKKILLTKGKEKEVALQNMLNSSAPRPNQRHEASRRIVKSILLKDAHQNQLSSAVQSEQEIQDRDIKPPRPPTMQLSQKDCNGSHEDKVTGNEPHNIHIEKLEKRTRSRDKPDRGVWTPLRRSNSSQAGDEHLSSSNQSTEVQDSTEGGHLEIKKDTSIARTGDYRPVGSGRNSHSSVDNGIYRHGARRGSAHGMKDADGTSVGEGKPSRRGGPSGYGSRGHEKQVWVQRSSSGS >itb12g02550.t2 pep chromosome:ASM357664v1:12:1661249:1665161:1 gene:itb12g02550 transcript:itb12g02550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLNLQTPKLYSIFSPSPSSHKLPLPQPQFPSLPLTFTRNTSICLSAPRHSGLVQDEDEEPIIGDCVVFEEGIFDDPYLRPSPRSERGITGQNKKKKKKDSDEVEPEPENLVPEKWTQVQREINITKKEKRRLALEIEFGRRVERRRLLLRPIPDVEKDYSKVVDQKLEQLKPIVLDNPVFPEEEEKSDEEELSGGEEEFSVSNSRVAPRNPRRAVYGGGLDDIRNFFNSESYDPSENKTSEGRRKLFSKEEKLLLNNKFPDLAVATSGKWQPFHTFAASGEFYFTRSLLKHIVDVNLPDKDGLTAIHRAILAKKHAIFNFLLRESANPFIRDKDGATLMHYAVWAASSPMIKILLLYNVDINLQDEVCIKLSSLQFIAYQCIIY >itb12g02550.t1 pep chromosome:ASM357664v1:12:1661249:1665174:1 gene:itb12g02550 transcript:itb12g02550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLNLQTPKLYSIFSPSPSSHKLPLPQPQFPSLPLTFTRNTSICLSAPRHSGLVQDEDEEPIIGDCVVFEEGIFDDPYLRPSPRSERGITGQNKKKKKKDSDEVEPEPENLVPEKWTQVQREINITKKEKRRLALEIEFGRRVERRRLLLRPIPDVEKDYSKVVDQKLEQLKPIVLDNPVFPEEEEKSDEEELSGGEEEFSVSNSRVAPRNPRRAVYGGGLDDIRNFFNSESYDPSENKTSEGRRKLFSKEEKLLLNNKFPDLAVATSGKWQPFHTFAASGEFYFTRSLLKHIVDVNLPDKDGLTAIHRAILAKKHAIFNFLLRESANPFIRDKDGATLMHYAVWAASSPMIKILLLYNVDINLQDEYGWTPLHLAVQSRRTDVVRLLLLKGADKTLRNRDGLTPIDLCLHSGRNIRTYELLKLLKQLPNNSKKISAS >itb15g10980.t1 pep chromosome:ASM357664v1:15:8724737:8731346:-1 gene:itb15g10980 transcript:itb15g10980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRNAHQEMALISKMKNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKAKGIHFTEEKLCRWLVQLLMALDYLHMNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLSSDELASSIVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALKPAFKAFDMQALINKINKSIVAPLPTKYSGPFRGLIKSMLRKNPELRPSAAELLRHPHLQPHVINIHLKLNSPRRNSLPNYWPDNDIKKSRFTGPESVPYYREKRLSCGNDRTLNPSVSENDHDYSFSGQRYRDKPSRITALSTGSTEGGTITKKVTTKASNAVKNTKATLTKASPTPKRQASALKHNELVPTSRTLVKRSVSTTRRASLPLTTKAAARELPHRPSLGVLDCYRSPDVSVNAPRMDKMLEFPLASYEDPFHPIRKTSSTSVQDSSGSPEGDCSIMKDKCTIQIADSKSDKLSYTGGWQGFTSPILPMDREDGSDCSDQNATAGASSRTSSDQRRRRFDMSSYKHRAEALEGLLEFSARLMQEERFEELGVLLKPFGPGKVSPRETAIWLSKSFKENTCKPEDQIL >itb05g21070.t1 pep chromosome:ASM357664v1:5:26972915:26977693:-1 gene:itb05g21070 transcript:itb05g21070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTATIVGLSAGKRLICSSFYYSDIGERLSCGSDHTLPCHQVLSVNSTVMAKKSSNYSTSCVSNQRMQSIKAIKEHVTVASNLFNMEPCVQRNKQLQKQGSEEENQLEDLLLLQKFMLEKQWNLSAEKSTIAYTTMENNCKNIQVTCSGTSARRRRIDSRRQIIQQKNSVAKIDTSKRVRSIIGTEQLQNSLKGYVKGIVSEELLTHGEVVELSKMIKLGLYLEEQKSRLKDRLGCDPSEEQLAVSLRISRTDLKLTMIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMADLIQGGLVGLLRGIEKFDPSKGYKLSTYVYWWIRQGISRALVKNSSMLRLPKHLHGRLSLIRNAETKLKDKGITPSIDRIAETLNISKKKVTNATEAVSKVFSLDREAFPSIDGLPGKSLHSYIADSHLENNPWHRVDEWALKDEVNNLIHTTLKEREREIIRLYHGLDNECLTWEDISKRIGLSRERVRQVGLVAFEKLKHAARKKRLKAMLVKQ >itb07g10050.t1 pep chromosome:ASM357664v1:7:10307949:10310153:1 gene:itb07g10050 transcript:itb07g10050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAPGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSAISLIAGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLEVLPHPQGLGPLFTGQWNIYAQNPDSSSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAITFLFLVAGHMYRTNFGIGHSMKDLLDAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTSGPAFNAGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >itb03g28080.t1 pep chromosome:ASM357664v1:3:28724017:28724780:-1 gene:itb03g28080 transcript:itb03g28080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRELAGRPPLMLFVDGKKRVAIVGHRPRHRLILHHAIVVIVSHLKNQNYYWSLVRHSFCARSLVSAMIQVICQSREDRKMSEFHREYFFVRGFVHRWFPQRRSRWKGEPSSKVAVVGEPDPPVRQQ >itb06g07180.t1 pep chromosome:ASM357664v1:6:10523946:10526375:1 gene:itb06g07180 transcript:itb06g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESCAITSLLPPPIPRSEGQLTTDQEADREQMRNREECLLLCLAEKLLGVQFRLRTKLNDDNSCIRFLEERFNRREDRACGDGTIRTERHSGNQRHKRSEVPENCLVVEFQDCCHQPNCMHHLFSLFSPKTPSGPFAATRESNRPRETDWARLSLAVDELRHWLWSLKSQKWFSGSVLEVRSGSSWMMRARSGKWKRWLHGSAMF >itb06g15410.t2 pep chromosome:ASM357664v1:6:19771401:19776901:1 gene:itb06g15410 transcript:itb06g15410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTHSVTTVRYVGRKDKFTSLRCRSFYRYVVCSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLSIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQAIGETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFIGLDATIKINLLIFVPFYLAVNLVYGAEVSKELMPLWILGPFVVALYIKMLRGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEIRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb06g15410.t1 pep chromosome:ASM357664v1:6:19771401:19776901:1 gene:itb06g15410 transcript:itb06g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLHLQHSYKTNPFQSSSSSSGNKLTHSVTTVRYVGRKDKFTSLRCRSFYSGGAPLFLKPKAKVLKILAFKSSSQNNSGGSSGSKTKKESIKLSIVSQGSEETSVESPRAQNLPISYTSETVDTTSGTLAIQKIFKHWLAILRTPSPDQAIGETVEGPSSTEAVEPQNLVQQKERGNILQAVWSYFIGLDATIKINLLIFVPFYLAVNLVYGAEVSKELMPLWILGPFVVALYIKMLRGICALYVFCFKQTVRVVKNFPTYYMLANEYIVQGKLKEEIRARFLQPVLDIKNIDYKEVAKSRMEDLKVILAEKYLDLAESIWPYYCRAIRTLKRANLI >itb06g02490.t1 pep chromosome:ASM357664v1:6:4336969:4345620:1 gene:itb06g02490 transcript:itb06g02490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVPSKTTATPTSAAAASSSSVSESAAVQESPFSNYLSSLSPIGPVKTAIVVQDFPGIITPPHVFTSPRINPRIASSFLERGSFLQLPNEEFSVKDDSQNNISTLRDRAGSSNSQLNSGFVPHTDKVSDNNSPVQEQAESQPICVDDFLVDAAKLGSVDSKNSSLQQADGLIGSKESVDLKGKENKNNNEIEMAPGDINSKKTNGKKRVDDAESVPSSKMQPDSSLENQYDHRGTSEAGEHQRGVFRRCLQFEDVQPKTALTPDPCNLSESVNCSNSAVSPIALEALESFPLNTPTAQSSRQFGDTQNTENSSFKTPKPSGIGLHLNSIVSTVQGGSGATVSMKSAERGNFSLQGKKLMSMTSHLEKSEKCLISSNVGEDILASIEESSLQGESSAPLPLHSVKPIDSIASLKPMKCLSTPGNKRKSSSENIDGTMASNQSSPKKKRKKTSDASDGDGCKRCNCKRSKCLKLYCDCFAAGIYCAEPCACQGCFNRPEYEDTVLETRQQIESRNPLAFAPKVVQHMTDSHSNIVGDTGVSFTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSSGCRCEGCKNVYGQKEEYGRIKTLVKKLGTFEQTELSNPHNLTPLTPSFQCSDHRNDASKSWFTSGRCSQSPESGHTLIPRYGVSKKGFTKSSDNPESIEETTDIMDLVSFDPEMEDNSSAAANEYSPGEMNQLVVLPNSQQWVNDSRERLYAGPCHLSSTSSFGWRSSPMTPMTQYDGSKEFYESINSGKSSFNAIEDDTPEILKDASTPPGGVKVSSPNKKRVSPPHGRLKDPDDGSSSARRLKAGRKYKLGSVPSFPPLTPCFVSKGSSEDQIKNDTQKPSSST >itb06g02490.t2 pep chromosome:ASM357664v1:6:4336981:4345711:1 gene:itb06g02490 transcript:itb06g02490.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVPSKTTATPTSAAAASSSSVSESAAVQESPFSNYLSSLSPIGPVKTAIVVQDFPGIITPPHVFTSPRINPRIASSFLERGSFLQLPNEEFSVKDDSQNNISTLRDRAGSSNSQLNSGFVPHTDKVSDNNSPVQEQAESQPICVDDFLVDAAKLGSVDSKNSSLQQADGLIGSKESVDLKGKENKNNNEIEMAPGDINSKKTNGKKRVDDAESVPSSKMQPDSSLENQYDHRGTSEAGEHQRGVFRRCLQFEDVQPKTALTPDPCNLSESVNCSNSAVSPIALEALESFPLNTPTAQSSRQFGDTQNTENSSFKTPKPSGIGLHLNSIVSTVQGGSGATVSMKSAERGNFSLQGKKLMSMTSHLEKSEKCLISSNVGEDILASIEESSLQGESSAPLPLHSVKPIDSIASLKPMKCLSTPGNKRKSSSENIDGTMASNQSSPKKKRKKTSDASDGDGCKRCNCKRSKCLKLYCDCFAAGIYCAEPCACQGCFNRPEYEDTVLETRQQIESRNPLAFAPKVVQHMTDSHSNIVGDTGVSFTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSSGCRCEGCKNVYGQKEEYGRIKTLVKKLGTFEQTELSNPHNLTPLTPSFQCSDHRNDASKSWFTSGRCSQSPESGHTLIPRYGVSKKGFTKSSDNPESIEETTDIMDLVSFDPEMEDNSSAAANEYSPGEMNQLVVLPNSQQWVNDSRERLYAGPCHLSSTSSFGWRSSPMTPMTQYDGSKEFYESINSGKSSFNAIEDDTPEILKDASTPPGGVKVSSPNKKRVSPPHGRLKDPDDGSSSARRLKAGRKYKLGSVPSFPPLTPCFVSKGSSEDQIKNDTQKPSSST >itb10g23810.t1 pep chromosome:ASM357664v1:10:27958980:27962287:1 gene:itb10g23810 transcript:itb10g23810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNPPAKLNGDSPVDDGDPLRTNNPLPGGVIVGGVLRKKAAGIRPWLLLDSTGQAQVVEVGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVAPFVDELQHRILRHHQATNSQEAGVGQDNADWENLYGFEEPQPNRASPQSYSGGFPPKDEEGKADGKQQAGENRDGLKLLPFEFVALEACLEAACSCLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDDDMAEMYLTEKLLQQLEGSSVSSINEQDGLDEGVIQEDLIDRIPAEVSMGANTGSTSYDADLPHIDRQPERLNTLGRGSQGTRTSTTHSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTAATLVISAFVVVAGVFGMNIGIDLFKPEKAGMPEFLWTIGGGCTGSIFLYVIAIAWCKHKRLLE >itb13g23970.t1 pep chromosome:ASM357664v1:13:29761170:29763789:-1 gene:itb13g23970 transcript:itb13g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSGAESFRLSNGISGTGSHFLGSDLILKAFPQKGHVSYPRSSTQRGVRTTKCAISSSRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPAELHSRGLSVVDVGGGTGFTTLGIVKRVNPKNVTIIDQSPHQLAKAKQKEALKECKILEGDAEDLPFPTDCFDRYISAGSIEYWPDPQRGIKEAYRVICQGGVACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFVQVKLKRIGPKWYRGVRRHGLIMGCSVTGVKAYSGDSPLQMGPKAEDVSKPSNPFVFLSRLILGAIAATYYVLVPIYMWIKDQIVPKGQPI >itb03g11560.t1 pep chromosome:ASM357664v1:3:9777641:9783680:-1 gene:itb03g11560 transcript:itb03g11560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQFQNPDNGGTSIYDAGVLFVDAPEYYLSDYLLPENIPPPAEQQTMVPTESVGSGSSNPIPTSSNIEYKNRTKKKMERTKSRIAFRIKTELETLDDGFKWRKYGKKMVKTSPNPRNYYKCSSGGCGVKKRVERDREDSSYVIATYEGVHNHESPCVLYYTHEMYPTLPIGAHEWALQPSSLASSSL >itb07g03660.t1 pep chromosome:ASM357664v1:7:2436423:2439834:-1 gene:itb07g03660 transcript:itb07g03660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFISRSSHLRLLTQISSRTRFPDPKPLFPHSPIPVFVPRTFQFQFFSTNNNNGESPPSDFWKLSSETQSVFSEEIEGSETAPPDNTPSLRDWEGEGDAPPEKASETAARGEHEWATAAGYEAWSSLVDEGGERVFDIGEEEQREIVSFNAEEEERLRKEKMEEEKRLELEEKALTPILKGPNRAFGDLIAASGITDDMLDSLMALKDFEGIKGLPPLHEIEDMRYERSTMKSSRAEIERQKQEEIAKARVRQVDEKGRAYGTGRRKCSIARVWIEPGDGKFVVNDKEFDVYFPMLDHRANLLRPFSETKTLGMWDVKCTVKGGGVSGQVGAIQLGVSRALQNWDPELRPPLREGGFLTRDSRVVERKKPGRAKARKSFQWVKR >itb15g11540.t1 pep chromosome:ASM357664v1:15:9329610:9330960:1 gene:itb15g11540 transcript:itb15g11540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKIVNVVEGVELHTGVFDAEEQMKIVECVYKYQQLGRKGQLRGSVLVLQGNGADVAKHCVPRVSSKRISITFRRMDESKLPYNYKFDPELMRIQPIVLRPPQFQESSTPQSVGKNEKVNHDKPQYSSPAHGMKNHNILAANEEFPHLLKALVLQARTQVLIR >itb01g12130.t1 pep chromosome:ASM357664v1:1:11560104:11561837:-1 gene:itb01g12130 transcript:itb01g12130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVWTWYQNWLAVRPLETQVISSGVLWAIGDVVAQSINISTSNKRLLISGSNKDLTIDRKRVGITAMYGAGFFGPFGHFWYEGLDQFIKLRFRLQPNSLQFLATKVAVDVLVFGPLNLLLFFTYMGFSTGKSASQVKETIKRDFLPALMLESSAWPVAQAVNFRYVPVQYQLLYVNIFSLLDSAFLSWLEQQQKEASGTQCFASVPISNDPKDECK >itb02g14040.t1 pep chromosome:ASM357664v1:2:10267440:10269622:1 gene:itb02g14040 transcript:itb02g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVAIKDRGMAIQTTGKFCRMAFRSSLSLFLIFTLIFAAQARLSLYPPGHDALILLQKGLGVYTQNQNTDLQNPCYGHGVFCEKRVANNSSVLRVVRIVYENKQTRGNLSPAIGRLSEIRELSLPNNGLVGNIPPQILLCKKLEVLNLKRNKFSGQVPAELSALIRLRVLDLSSNKLSGNLNFLKYFPNLEKLSLADNLFTGKIPPSLKSFRNLRFFNVSGNSLLEGPIPKLTQLEYLSADSTQSYNPLPKRYVLAERRKPNITTAMAPSSSAPAPAPESPTDAHHHHKKKRKVAGWMLGFLAGAIAGTLSGFISSVLFKLFMVYLGRDKKDSGITLFSSIIKKAEDLSFLEKEDGLAELELIGRGGCGHVYKAEIPGLMIAIKKIDQPHKEAAELTQEDTKELHKKMRQIKSEIKIVGQIRHRNLLPLLAHVPNKDCHYLIYEYMKNGSLQDVLEKVSQGNADLDWINRHRIALGIAAGLEYLHSHSERITHRDLKPANILLDDDMEARITDFGLAKAVPEAFTHVTTSVVAGTPGFIAPEYQKTFKFTEKCDIYSFGVLLAVLVIGKLPSHEFFQSTTELFLVKWLRSQMVSDDPKRAIDPKLMGNGYEDQMLLVLRIACFCTLENPKERPSSKDVRCMLSQIQH >itb05g10510.t1 pep chromosome:ASM357664v1:5:16050499:16052351:1 gene:itb05g10510 transcript:itb05g10510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEKIEKNLRMHRQDSTSATSIAPSFFFGDYSTGLCCYSLQDGIGFLSYVVLSFPSYDEDYDAWEPIEGLRYN >itb13g20460.t1 pep chromosome:ASM357664v1:13:27279473:27286792:1 gene:itb13g20460 transcript:itb13g20460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPDDLLSSRSSDQTWIPKGSDEDKSFTGGVLDLSKDQAVHDSSIPLSPQWLYAKPIDTKTDMRTPSSLSLGSSADSSQKEAWRTDAPEDKKDWRRTTAETESRRWREEERETGSLARRDRRKPDRRAVENASAKETTENRALPTSDRWHDGGNRNAGLDARRDSKWSSRWGPEEKEKEARTEKKIDTEKEDANSDTQTFVASRSVSERDPDARDKWRPRHRLEGTTAVPGSYRTAPGFGIEKGRAEGSYVGFVIGRGRSSTSIQRPSSGGIGAALSESDKSVLGKPVTAANTFCYPRGKLLDIYRKQRIDSSFANVYENMEEAPPITLETVIEPLSLVVPDADEEAILNDICTGKITSSGALYNSYRKGRSTDNITEIEDMEPSNGKVSPLSTGTMEKKVDIIPNLSKDIHESAVDNNAIETNLHEIEGKNRVPEVVAGDDILSTLIRDEYINRSVDIGTQFEASELIPSHRAKTTASDGIGSKLSNDSNSLIGMPSSAQYLGRNTIENQLKRGIPPEELSMFYCDPQGEIQGPFLGVDIISWFEQGFFGSDLPVRLVDAPEDSPFEELGDVMPHLRVRDGYGGVSDPSSKLEQPATPEGALIADIHDSASTVIGSSAARDGLIWQPSDFSGPYSPHIQSKVSDHEFLQSKKSFSRGNNFNDFYAQDEEIVFPGRPGSGGNPIGRTSGTTSDPSSIINGSSIPNELSEHGIAVQRDNKLHPFGLLWSELEGPFARNDSRAGQDQLVNPISGRDSSFGTMSESTVSMEARPDAYKSNVHSDQNPYQGAMDVRHLSRMDQESNCYDLADKLLSQQLQQQHLPPHSLMSHNAHMNDAMMERVGTQNSIHSPHLASQMGQDLEQFIALQAQQQRQLQFQQLQQQQQYHQQQMLLKEQQQSQAREQLLLEQILRNQMLDSNPGQSHVDAMIANSALEQVLLKQKILSELQQRPHLPTRQADPSIEHLIQARFGQMPHQEHQNDLLELLSRAKHGQMHPLEHQIIQHEQLHGRQLPMGLRRQRMEMEEDRQTPFWPINEPGQFLRNPPGAHRASSGVGPLDLFQKQQILSPEDHIGLLERNLPLQDRRPQRGRYDPGLNPFERSMSLPGGGVNLDVVNTMARAQGLHVQDPNVQMHSGGNVGGFSGVYHHQSLVPNAFHGSHSDSVEGQWSESNGQLPTDWIESHVQRLHLTGERQMKESEMKRSSDDSSLWMSAGGNDESSRRLLMELLHQKSDQQSIHRSENLVGTSYERGLASAHTSGTNTVNYNFNSVSDQEMGLSQSLVGPSSSNLEIASEKFSFNSLSGSLVEEPFLSGINDKASLANIDQGEVPVSVLRRNTSLSTGGLYSDDIRTGGAPVEDVNKDRTSAATSRGPENILLKRPPVLRVLSNQEGLSEMNVDRLVRGRSPSNVVACEVEKRDGGANALNQVSDAGATGKKDTSFRRTASFGDGEVTETSFSDMLKSTAKKASLEVHSSTAASESSSDAAQGGRSNKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >itb06g16510.t1 pep chromosome:ASM357664v1:6:20674887:20676917:-1 gene:itb06g16510 transcript:itb06g16510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFRSNSTREGSKQICGPPTSMKGLRSYSTSHATCYSSASFTEHNNNNNNKEMKMKRNKSRVSSSSSSSSSSSSSSGFGSRSWSFNDAEVQRKKRVVGYKAYAVEGKMKGSFRKSFRWIKDHLVSAWW >itb15g14320.t2 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVATERGEPLGESKIFDRLVIKCDWRG >itb15g14320.t1 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVATERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEGSSSKTARFEIDFNECYS >itb15g14320.t3 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVATERGEPLGESKIFDRLVIKCDWRG >itb15g14320.t8 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVATERGEPLGESKIFDRLVIKCDWRG >itb15g14320.t6 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVATERGEPLGESVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEGSSSKTARFEIDFNECYS >itb15g14320.t7 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVATERGEPLGESKIFDRLVIKCDWRG >itb15g14320.t5 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCA >itb15g14320.t4 pep chromosome:ASM357664v1:15:12566847:12574584:1 gene:itb15g14320 transcript:itb15g14320.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGFLLGCTRRSSHSLLSAIFSYPAAHEVRTVGSHICRRALLSGGNVNLCRSQWRVFWLGERRFCGYAVEQFSDDEYECDYESHPASSSVANIDEWKWKLSLLSRSEDQEVVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVISKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRIHLSSEKSDGMPSESLAADKVENVTMDENPDSFLDDSVMEKVLQRRSLRMRNLQRTWQESPEGHKMIGFRKSLPSFKEKERLLQAIACNQVVVISGETGCA >itb10g06590.t1 pep chromosome:ASM357664v1:10:7441118:7449397:1 gene:itb10g06590 transcript:itb10g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLVCSGCRTILLYPRGATNVCCAVCNALTPVPPPGMEMGQMAQLICGGCRTLLMHPRGATSVRCSCCHTVNLVPGPNQFAHINCGNCRTMLMYPCGAPSVKCAVCHFITNVNAGDARVPIPSHPPNGSATSSSAPPSSTAAPRSQNQTVVVQNPMSVDESGKLVSNVVVGVTTTT >itb11g07440.t2 pep chromosome:ASM357664v1:11:4561944:4565966:1 gene:itb11g07440 transcript:itb11g07440.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFCKLKLYVIEFKLVGFIVYIREGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLDGSHSFVTQHPWINTVRVAVNLEAMGIGGKSGIFQAGPDPWAIQNFAKVAKRPSGQIVSQDLFGSGVIKSTTDFQVYKEIAGLSGMDFAFTDHTAVYHTKNDKHALLKPGSLQHLGENMLPFLLHVATSPDFPTGKNTLSQGNSEEEVDTVVYFDILGRFMVVYPQSLADMINTSVIALALFMWSALLNQGGLSSLVSMALSVLSILLMWICSLGLSVLVAYILPSISASPVPFIASPWLVIGLFAAPALLGAFIGQHVVYLILHKFLSYTFSETKGFLPLSFGGDEEDVAVLDSEKWMFKAGMLQWLLVLAVGNYLSVGASYFALFWMISPAVAYFLFEVLAESTKPLNPLTAAIGLTVPLVVSSGVFVQLVNTLIGNLVRFVSNPGEQADWISTAIVAALIAAIVCLTMVYVLPYIHNSGAKYQFITTTCIVFLVSLGVVVENMVPTFIEDTARAVNIVQVVNKTGNATVSHISMFSTTPGGLDVEAELLGGGLVCGREKAFDFVSFTAYYSCWTAELGWNNAKIPALRVGGDSEENGDRATLVHITTEDATRWCLGINTNEIQDFQLKDESGELISRGEKNGVDGWHIMRFAGGKNSPTKFDLTLHWHKNSSGKRVVEGSEGEEVLLKLRADVNAITPELDKILEKMPSWLSQYGKSASPFTLAYFDTLYVADPSLTMGSSVSVASE >itb11g07440.t1 pep chromosome:ASM357664v1:11:4558400:4565966:1 gene:itb11g07440 transcript:itb11g07440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSGYEILVLFAFVICGTWGVFHYQFKVLPEALSPEDAGVTGFSEVAAMAHDKALSSLGPHPLGSAVLDTALQYVLKAAKTIQEEAYGDVNVEVQCFHANTGVNTLSGGSYYGKTLVYSDMKHVLIRISSKSAATKLRSGEEDNAILVSAHVDTVFAAEGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLDGSHSFVTQHPWINTVRVAVNLEAMGIGGKSGIFQAGPDPWAIQNFAKVAKRPSGQIVSQDLFGSGVIKSTTDFQVYKEIAGLSGMDFAFTDHTAVYHTKNDKHALLKPGSLQHLGENMLPFLLHVATSPDFPTGKNTLSQGNSEEEVDTVVYFDILGRFMVVYPQSLADMINTSVIALALFMWSALLNQGGLSSLVSMALSVLSILLMWICSLGLSVLVAYILPSISASPVPFIASPWLVIGLFAAPALLGAFIGQHVVYLILHKFLSYTFSETKGFLPLSFGGDEEDVAVLDSEKWMFKAGMLQWLLVLAVGNYLSVGASYFALFWMISPAVAYFLFEVLAESTKPLNPLTAAIGLTVPLVVSSGVFVQLVNTLIGNLVRFVSNPGEQADWISTAIVAALIAAIVCLTMVYVLPYIHNSGAKYQFITTTCIVFLVSLGVVVENMVPTFIEDTARAVNIVQVVNKTGNATVSHISMFSTTPGGLDVEAELLGGGLVCGREKAFDFVSFTAYYSCWTAELGWNNAKIPALRVGGDSEENGDRATLVHITTEDATRWCLGINTNEIQDFQLKDESGELISRGEKNGVDGWHIMRFAGGKNSPTKFDLTLHWHKNSSGKRVVEGSEGEEVLLKLRADVNAITPELDKILEKMPSWLSQYGKSASPFTLAYFDTLYVADPSLTMGSSVSVASE >itb11g07440.t3 pep chromosome:ASM357664v1:11:4558400:4565966:1 gene:itb11g07440 transcript:itb11g07440.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSGYEILVLFAFVICGTWGVFHYQFKVLPEALSPEDAGVTGFSEVAAMAHDKALSSLGPHPLGSAVLDTALQYVLKAAKTIQEEAYGDVNVEVQCFHANTGVNTLSGGSYYGKTLVYSDMKHVLIRISSKSAATKLRSGEEDNAILVSAHVDTVFAAEGAGDDSSNVAVMLELARGLSKQASGFKNSVIFLFNTGEEEGLDGSHSFVTQHPWINTVRVAVNLEAMGIGGKSGIFQAGPDPWAIQNFAKVAKRPSGQIVSQDLFGSGVIKSTTDFQVYKEIAGLSGMDFAFTDHTAVYHTKNDKHALLKPGSLQHLGENMLPFLLHVATSPDFPTGKNTLSQGNSEEEVDTVVYFDILGRFMVVYPQSLADMINTSVIALALFMWSALLNQGGLSSLVSMALSVLSILLMWICSLGLSVLVAYILPSISASPVPFIASPWLVIGLFAAPALLGAFIGQHVVYLILHKFLSYTFSETKGFLPLSFGGDEEDVAVLDSEKWMFKAGMLQWLLVLAVGNYLSVGASYFALFWMISPAVAYFLFEVLAESTKPLNPLTAAIGLTVPLVVSSGVFVQLVNTLIGNLVRFVSNPGEQADWISTAIVAALIAAIVCLTMVYVLPYIHNSGAKYQFITTTCIVFLVSLGVVVENMVPTFIEDTARAVNIVQVVNKTGNATVSHISMFSTTPGGLDVEAELLGGGLVCGREKAFDFVSFTAYYSCWTAELGWNNAKIPALRVGGDSEENGDRATLVHITTEDATRWCLGINTNEIQDFQLKGTLLLLLLFH >itb05g11460.t1 pep chromosome:ASM357664v1:5:17501790:17502728:-1 gene:itb05g11460 transcript:itb05g11460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPERCWIERTNRSPRTLQWLTGSGREIAGSDEKPSEADAAARRTRSQPKPTSPLAILPASPSLRRLPAAPTDYDQHEQKREREAAASPGREASLANRRKKEQPSRRRHWISGESESKNGDSILMARFFLYIFRCFAAQWRRFYRKWRRTGDRRPMTVEVARKGETPAIIRMVE >itb05g13830.t1 pep chromosome:ASM357664v1:5:20940435:20943471:1 gene:itb05g13830 transcript:itb05g13830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPALFILLLFFYHASADHGGSNAQTTIVFTTLGRSSYAFDIYTLPTSLPPSKAVELQLTDGESVNFNGYFPSSLPPSLVSRLPDPVLSINTSPFHLVYVTERNGSHHVFLDSVYYGGSGNGGKRKRSLLEEESRVSVVNRVQVPLVGVEQTGGRVSMKDKPTLVGESLIYVSTHEDPGVGRASWAAVYSTQLGSGLTRRLTPYSVADFSPAVSPSGVWTAVASYGETGWDGDVEELGTDIYVFLTRDGSNRVKVVEHGGWPAWGDDSTLYFHRRCHDGWWSVFMAVLRENGELGVESVSTQRVTPPGLHAFTPAANKRLLAVATRRPGSDYRHIELFDVDSGRFTELTKPVSPKTHHLNPFFSPDGSWVGYHKCRGTGNGKGNTLLLETVQHQAPEPEFSLFRFDGSFPSFSPDGSRIAYVDFPGVYVVNHDGSGLKKVSEETAFSTAWDPKRKGVVYTSVGPDFASETTAVDIVAINVDDESRSLKKLTVGGKNNAFPSPSPDGKWIVFRSGRSGHKNLYIMDALEGETGGLFRLTEGPWSDTMCNWSPDGEWIAFASDRESPGSGSFELFLIHPNGTGLRKLVQSGTGGRTNHPWFSPDGKHIVFTTDYGGVSAEPISNPHHYQPYGEIFIIRSDGSELQRLTHNSYEDGTPTWGPIYIQPKDIEWPDGGDACSFEDCHWLNISPTSKSSNASIAQCAHLPK >itb12g22280.t1 pep chromosome:ASM357664v1:12:24393320:24395677:-1 gene:itb12g22280 transcript:itb12g22280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKLAESLRRSLPTAARFYSTARFLSSASSSPSITQQPDIPAVRCSPQPSFLISHSLLPSSSPYGINSARFNPARLRVNRSGNPPYNPGSSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGAAKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEVDEETANKMEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPVPQRDRVRPNTRYNRRRDMR >itb02g01770.t2 pep chromosome:ASM357664v1:2:971751:976339:-1 gene:itb02g01770 transcript:itb02g01770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVGVGGGGGGAAAAAGGGQYNPRTVEEVFKDFKGRRNGLIKALTTDVNDFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGSRFGFDKADRKRLFNMINDMPTVFEVVTGAAKKQQKEKSSVSNHSSNKTKSNPKPQRAASESQARFTKPPPKDEEEGLEEEDEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >itb02g01770.t1 pep chromosome:ASM357664v1:2:971751:976364:-1 gene:itb02g01770 transcript:itb02g01770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVGVGGGGGGAAAAAGGGQYNPRTVEEVFKDFKGRRNGLIKALTTDVNDFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGSRFGFDKADRKRLFNMINDMPTVFEVVTGAAKKQQKEKSSVSNHSSNKTKSNPKPRAASESQARFTKPPPKDEEEGLEEEDEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >itb01g08060.t1 pep chromosome:ASM357664v1:1:6390745:6395312:-1 gene:itb01g08060 transcript:itb01g08060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLGHKVADLCLGKPALRSLSVVSATVGEALAALNSGEDDAISVWSCDHSVGGENKEAGCVCIGKICMVDIISYLCEVEENLSSPSLGLAAPLSVLLSQIPGPIRHLEPSSSVVEAIDLMAQGVQNLVVPIERVRSSSSRRKFHLQKKTPPAITTLHNAQEFCWLTQEDVMRYLLGSIGLFSPLPALSIHALGIIAAEFSAVGYHSPASSALKAIHRSLADQTSVAVVDDDGVLIREISPSALAACDETVAAAVAALSAGELMAYIDGNSSSSQSLRMSRRAEAIVCHPGSSLAAVMIQAIANRVNYVWVIEDDWSLVGIVTFSNILEVFRQYLEESET >itb11g03680.t2 pep chromosome:ASM357664v1:11:1999077:2005006:1 gene:itb11g03680 transcript:itb11g03680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIKCCLDSIRHISDDIKDAIVYLDAGSTECFEFLGAFSLLFELGAHAICSLEKVSPLDKVADWSSSSGTNKKIVIITSRLLSDAHRYILRCLSTLQNVHRCAIFTSISEMAHSAYPDSPLGPDAFPEYESLLVQDYEELLRRSQVKCEPSGESLSKESVAPEDEEGWSPLATSAEHMSEFDPVSSLKGSEKDTVMGKAAALGSKLVVSVHHFPLVLCPFSPRFFVLPSEGSIAEAYLSTEQENSVSRGLPPISTGIPPDGEDIPPGATLTAQFLYHLATKMDLKLEIFSLGDFSKTVGKLLTDMSSLYDVGRRKRSAGLLIIDRTLDLLTPCCHGDSLVDQFFSSLPRRERTASLGTPNQLKFGPTYLQRAPLNVLIPLETFIKEESSTGNNSRLVESIEAFLSGWNSTSEMTDSMDLSAKLNEGKSLKSVESDLLCGSFVSTDNYQGTPYLEAILDRRTKDGTVLIKKWLQEALRREKISLNMKISPGYASKSELQAMIRALAKSQSSLMKNRGIIQLAIAALYVLDELHSARWNAFNSAEKILAVNARDTSQSLAAQISDLINKSALVGVQETTRGLLTLHDALLLAVNGYILAGENFPTSGSSGPFSWQEEHFIKESIVDAIIENPAVAKLKFLQGLTEELEANLNKRKQEEKEESLDQAENIDFGDEWGSWGDEDVDKDKSKEQVYDDMQLKLELRDRVDNLFKFLHKLCKLKTNVSFREWSPALESKFSDDPYSNKGMLYKVLSRVLDKQDIPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNIILVFVVGGINGVEVCSPRTLLFINIALLL >itb11g03680.t1 pep chromosome:ASM357664v1:11:1999077:2005006:1 gene:itb11g03680 transcript:itb11g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIKCCLDSIRHISDDIKDAIVYLDAGSTECFEFLGAFSLLFELGAHAICSLEKVSPLDKVADWSSSSGTNKKIVIITSRLLSDAHRYILRCLSTLQNVHRCAIFTSISEMAHSAYPDSPLGPDAFPEYESLLVQDYEELLRRSQVKCEPSGESLSKESVAPEDEEGWSPLATSAEHMSEFDPVSSLKGSEKDTVMGKAAALGSKLVVSVHHFPLVLCPFSPRFFVLPSEGSIAEAYLSTEQENSVSRGLPPISTGIPPDGEDIPPGATLTAQFLYHLATKMDLKLEIFSLGDFSKTVGKLLTDMSSLYDVGRRKRSAGLLIIDRTLDLLTPCCHGDSLVDQFFSSLPRRERTASLGTPNQLKFGPTYLQRAPLNVLIPLETFIKEESSTGNNSRLVESIEAFLSGWNSTSEMTDSMDLSAKLNEGKSLKSVESDLLCGSFVSTDNYQGTPYLEAILDRRTKDGTVLIKKWLQEALRREKISLNMKISPGYASKSELQAMIRALAKSQSSLMKNRGIIQLAIAALYVLDELHSARWNAFNSAEKILAVNARDTSQSLAAQISDLINKSALVGVQETTRGLLTLHDALLLAVNGYILAGENFPTSGSSGPFSWQEEHFIKESIVDAIIENPAVAKLKFLQGLTEELEANLNKRKQEEKEESLDQAENIDFGDEWGSWGDEDVDKDKSKEQVYDDMQLKLELRDRVDNLFKFLHKLCKLKTNVSFREWSPALESKFSDDPYSNKGMLYKVLSRVLDKQDIPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNIILVFVVGGINGVEVREAQEALSESTRPDIDLILGGTTLLTPNDMFELLLGESGYI >itb02g09700.t3 pep chromosome:ASM357664v1:2:6234598:6236583:1 gene:itb02g09700 transcript:itb02g09700.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGKNCFVWSGPAPRVIISEPELMQEVFMNNTIFKRPKPHPLVKFLVSGLGRYEDQQWARHRKIISPAFYPDKIKCMVPSVTLSCEEMIIKKWELLTSEKNWCDFDVQPYLDRFTSDVISRTAFGCSYVEGNKIFSLQTEQAELTRQVLHSVYSPDWWLLSSKRSRRMHEIDNEIRGMMKGMIGKRERGMKEKVGDDDEEEDLDLLGVLLKSGFSTDEVIEECQHFYFAGQESGSDFLVWIMILLSIHQTWQDRARQEVQSVFRNNKPDFDGLNRLKILTMILNEVLRLYPPSPYTIRTMYEETKLGEMKLPPNIILVLSSLLVQRDPDVWGADAGEFKPERFAEGVAKATKNRLAFLPFGWGPRTCIGNNFAMMEAKIAIATILQRFSFQLSPSYAHSPCFVVTLKPQFGAPLVLHKI >itb02g09700.t1 pep chromosome:ASM357664v1:2:6232818:6236583:1 gene:itb02g09700 transcript:itb02g09700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQFWVSILFLFVSVAAVVIWACRVVQWVWLKPRKLEKWLRQQGLNGSSYRVFYGDTKEMANLSAKAKSKPINLSDDILPRVLPFYHLAVTKYGAYVCKNCFVWSGPAPRVIISEPELMQEVFMNNTIFKRPKPHPLVKFLVSGLGRYEDQQWARHRKIISPAFYPDKIKCMVPSVTLSCEEMIIKKWELLTSEKNWCDFDVQPYLDRFTSDVISRTAFGCSYVEGNKIFSLQTEQAELTRQVLHSVYSPDWWLLSSKRSRRMHEIDNEIRGMMKGMIGKRERGMKEKVGDDDEEEDLDLLGVLLKSGFSTDEVIEECQHFYFAGQESGSDFLVWIMILLSIHQTWQDRARQEVQSVFRNNKPDFDGLNRLKILTMILNEVLRLYPPSPYTIRTMYEETKLGEMKLPPNIILVLSSLLVQRDPDVWGADAGEFKPERFAEGVAKATKNRLAFLPFGWGPRTCIGNNFAMMEAKIAIATILQRFSFQLSPSYAHSPCFVVTLKPQFGAPLVLHKI >itb02g09700.t2 pep chromosome:ASM357664v1:2:6232818:6236583:1 gene:itb02g09700 transcript:itb02g09700.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQFWVSILFLFVSVAAVVIWACRVVQWVWLKPRKLEKWLRQQGLNGSSYRVFYGDTKEMANLSAKAKSKPINLSDDILPRVLPFYHLAVTKYGKNCFVWSGPAPRVIISEPELMQEVFMNNTIFKRPKPHPLVKFLVSGLGRYEDQQWARHRKIISPAFYPDKIKCMVPSVTLSCEEMIIKKWELLTSEKNWCDFDVQPYLDRFTSDVISRTAFGCSYVEGNKIFSLQTEQAELTRQVLHSVYSPDWWLLSSKRSRRMHEIDNEIRGMMKGMIGKRERGMKEKVGDDDEEEDLDLLGVLLKSGFSTDEVIEECQHFYFAGQESGSDFLVWIMILLSIHQTWQDRARQEVQSVFRNNKPDFDGLNRLKILTMILNEVLRLYPPSPYTIRTMYEETKLGEMKLPPNIILVLSSLLVQRDPDVWGADAGEFKPERFAEGVAKATKNRLAFLPFGWGPRTCIGNNFAMMEAKIAIATILQRFSFQLSPSYAHSPCFVVTLKPQFGAPLVLHKI >itb14g17570.t2 pep chromosome:ASM357664v1:14:20786506:20790751:-1 gene:itb14g17570 transcript:itb14g17570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCRYIATKSVESSGKFRFFSPPHRTATQHLLFYTYIRYSTATFPFYSSPSSSIILPAGLQIVFGCELKLPLLLLGMGSFEGKLDLENGGWGSTKAEVEEHPAGAGASCRTAHSLSSSMVRKKSDPELVSRVRFRVLRDFLANLQEVILGTKLCVLFPAIPLAVLAQFYSFGRPWIFALSLLGLAPLAERVSFLTEQIANYTGPTVGGLLNATCGNATELIIALLALHQRKIHVVKYSLLGSILSNLLLVLGSSLFCGGLANIKKEQRFDRKQADVNAFLLLLGLLCLMLPLMFRYSFEAGDYAATSVLWLSRVSSIFMFVAYIAYLFFQLKTHRQFFEAPEEEGDKLSEDEAVIGFWSAFVWLIAMTITIALLSEYVVGTIEAASDSWGLSVSFISLILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSASQILMFVVPLCVLVGWIMGTRMDLDFSLLETGSLVISIILTAFTLQDGTSHYMKGVILCLSYCAIAACFFLHKLPVGQDPGSKPTGVKTA >itb14g17570.t1 pep chromosome:ASM357664v1:14:20786504:20790647:-1 gene:itb14g17570 transcript:itb14g17570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEGKLDLENGGWGSTKAEVEEHPAGAGASCRTAHSLSSSMVRKKSDPELVSRVRFRVLRDFLANLQEVILGTKLCVLFPAIPLAVLAQFYSFGRPWIFALSLLGLAPLAERVSFLTEQIANYTGPTVGGLLNATCGNATELIIALLALHQRKIHVVKYSLLGSILSNLLLVLGSSLFCGGLANIKKEQRFDRKQADVNAFLLLLGLLCLMLPLMFRYSFEAGDYAATSVLWLSRVSSIFMFVAYIAYLFFQLKTHRQFFEAPEEEGDKLSEDEAVIGFWSAFVWLIAMTITIALLSEYVVGTIEAASDSWGLSVSFISLILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSASQILMFVVPLCVLVGWIMGTRMDLDFSLLETGSLVISIILTAFTLQDGTSHYMKGVILCLSYCAIAACFFLHKLPVGQDPGSKPTGVKTA >itb15g04890.t1 pep chromosome:ASM357664v1:15:3164852:3168544:-1 gene:itb15g04890 transcript:itb15g04890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCETLDQWRDYFRSANSDIFFIIEHAIIVAAADFPNEFKLRKGGIAEMLFTCKLARCLACNKDEVVLPFGDGGNAPGKRVGCNGELEVDGSKESKTKSGGDDHVEEMNLNQVSNCSYGDAEALTDEIEEESQTVEEVLRIKKILDNYEEESDSILFDSLRRLQLMSLSVENLQATGIGKSVKALRNHGSKQIRPLVQTLLGEWMLMANEWFSATNAISSTESTPDSGKSSVVEVEEEEEEGLPSPPMDEGVFFTTAATTGMELSHFFDGMDDDGNPHTSGEFKKNRENGRKPSVENQSIPVRKDQLSERANPSSRDPKNKHPKKDEAVMKKQTTVDKPNKPSASDSGPRRPAKPALDHKASSYETKSQQRSDGTTLPKRSVGSPQNKLRCSDEDSVKKLEATKRRLQERYQEVEKAKKQRTIQVMELHDIPKQGLGNKNAHAKPGNHNKLRANGRH >itb15g04890.t2 pep chromosome:ASM357664v1:15:3164863:3168530:-1 gene:itb15g04890 transcript:itb15g04890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCETLDQWRDYFRSANSDIFFIIEHAIIVAAADFPNEFKLRKGGIAEMLFTCKLARCLACNKDEVVLPFGDGGNAPGKRVGCNGELEVDGSKESKTKSGGDDHVEEMNLNQVSNCSYGDAEALTDEIEEESQTVEEVLRIKKILDNYEEEATGIGKSVKALRNHGSKQIRPLVQTLLGEWMLMANEWFSATNAISSTESTPDSGKSSVVEVEEEEEEGLPSPPMDEGVFFTTAATTGMELSHFFDGMDDDGNPHTSGEFKKNRENGRKPSVENQSIPVRKDQLSERANPSSRDPKNKHPKKDEAVMKKQTTVDKPNKPSASDSGPRRPAKPALDHKASSYETKSQQRSDGTTLPKRSVGSPQNKLRCSDEDSVKKLEATKRRLQERYQEVEKAKKQRTIQVMELHDIPKQGLGNKNAHAKPGNHNKLRANGRH >itb15g04890.t3 pep chromosome:ASM357664v1:15:3164858:3168544:-1 gene:itb15g04890 transcript:itb15g04890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADCETLDQWRDYFRSANSDIFFIIEHAIIVAAADFPNEFKLRKGGIAEMLFTCKLARCLACNKDEVVLPFGDGGNAPGKRVGCNGELEVDGSKESKTKSGGDDHVEEMNLNQVSNCSYGDAEALTDEIEEESQTVEEVLRIKKILDNYEEESDSILFDSLRRLQLMSLSVENLQATGIGKSVKALRNHGSKQIRPLVQTLLGEWMLMANEWFSATNAISSTESTPDSGKSSVVEVEEEEEEGLPSPPMDEGVFFTTAATTGMELSHFFDGMDDDGNPHTSGEFKKNRENGRKPSVENQSIPVRKDQLSERANPSSRDPKNKHPKKDEAVMKKQTTVDKPNKPSASDSGPRRPAKPALDHKASSYETKSQQRSDGTTLPKRSVGSPQNVSN >itb15g04890.t4 pep chromosome:ASM357664v1:15:3164863:3168530:-1 gene:itb15g04890 transcript:itb15g04890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MADCETLDQWRDYFRSANSDIFFIIEHAIIVAAADFPNEFKLRKGGIAEMLFTCKLARCLACNKDEVVLPFGDGGNAPGKRVGCNGELEVDGSKESKTKSGGDDHVEEMNLNQVSNCSYGDAEALTDEIEEESQTVEEVLRIKKILDNYEEEATGIGKSVKALRNHGSKQIRPLVQTLLGEWMLMANEWFSATNAISSTESTPDSGKSSVVEVEEEEEEGLPSPPMDEGVFFTTAATTGMELSHFFDGMDDDGNPHTSGEFKKNRENGRKPSVENQSIPVRKDQLSERANPSSRDPKNKHPKKDEAVMKKQTTVDKPNKPSASDSGPRRPAKPALDHKASSYETKSQQRSDGTTLPKRSVGSPQNKLRCSDEDSVKKLEATKRRLQERYQEVEKGCIIFSFEFKIFVFNHHLQSIERTALMEFLIIIWLCLITRP >itb15g05460.t1 pep chromosome:ASM357664v1:15:3502529:3505538:-1 gene:itb15g05460 transcript:itb15g05460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGCGGTRMQDPKSNGLEREGSLYSLTLDEVQQQFGGLGKPLSSMNLDELLKTVCTAEAASQGVQQRVDYSGAPLASGAPLHRQSSLELSGDLSKKTVDEVWQDIQQGQKSSSLERKATLGEMTLEDFLVQARIVAESSQGKNDLGSVQGDDSTVNVAQQAHGALFHVPPIQQPRPPQSHQQQVSAMPGFMAAHPVQQAIPVGPNPIMDATYPGTQMTVALDPMMGTLSDTQTLGRKRVAPDNVVIEKSVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENARLRREKEIEKAMPTVPPPEPKYQLRRTSSAPF >itb15g05460.t2 pep chromosome:ASM357664v1:15:3502594:3505538:-1 gene:itb15g05460 transcript:itb15g05460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGCGGTRMQDPKSNGLEREGSLYSLTLDEVQQQFGGLGKPLSSMNLDELLKTVCTAEAASQGVQQRVDYSGAPLASGAPLHRQSSLELSGDLSKKTVDEVWQDIQQGQKSSSLERKATLGEMTLEDFLVQARIVAESSQGKNDLGSVQGDDSTVNVAQQAHGALFHVPPIQQPRPPQSHQQQVSAMPGFMAAHPVQQAIPVGPNPIMDATYPGTQMTVALDPMMGTLSDTQTLGRKRVAPDNVVIEKSVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENARLRREKEIEKAMPTVPPPEPKYQLRRTSSAPF >itb01g32950.t1 pep chromosome:ASM357664v1:1:36271166:36271411:-1 gene:itb01g32950 transcript:itb01g32950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISALQSMINFLPFVWRDLCRIATKRPKEESHLRGPPRYDMARCPHIVATVSPPQSPSVAATPPPRSQPEPEFRLPQQAPP >itb06g11820.t1 pep chromosome:ASM357664v1:6:16372730:16377656:1 gene:itb06g11820 transcript:itb06g11820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHRVTYGIHVLLADHDHKFLASTVDMLKRQFYKVTVVDSANAAISVLNRKEEKFDAVIANIHSPDRQAYKLLRDAVSMDLLVIFLCDEEDAEVAVRLIEHGAFALLQKPTCQETLKNLWQHVVRERSMLRAKQMIFMEKTNRELAVINNGVVGGGGDNRGKGVMRVEENENYEMSYRGKGKRSREQSLSEATRMTTMTQGMSRVKRKTCTEWTVDLHEKFMSAVHQLGDGRCYPKEILELMNVPGLTRMQVASHLQKCRNDNWRAPEERRAPPMSSASPASGSGSRNEQRRFGTMPKLTAAAAAAAAAAGGNSQQLGSTMSPEVQSSPSITGAVTGDPSSQPPADRQYLAIGAAAFVSKLESSSPPSAAVQPAVVATAVGTFTATSGQASIVGSVNYGTGGILQALGCGSGGSLFRNKDAFTDDPNNNTTAGDDSFVTPQAPRIHRRLQSDEFFSFNDVDYEYLIQGFSDNNARQASVALQAPTHNNTSSSEFNDKAGFNPVQNQEAKAQTSEMDIAKISP >itb03g03690.t5 pep chromosome:ASM357664v1:3:2174289:2178295:1 gene:itb03g03690 transcript:itb03g03690.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALILAVLYCGYLCEGVNSTLSARPSVVNIGCILTMRSDVGKITKLVIETAVEDINSNPAVLGGTKLNVTVLDNNSSGLMGILEAINFLETDTVAIIGPQSSVIAHVISHIANEIHVPLLSFSATDPALTSLQYPFFVRTSPNDKFQMAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWRKHDQLLRPPCPYDMAICGFNNQL >itb03g03690.t6 pep chromosome:ASM357664v1:3:2174082:2178232:1 gene:itb03g03690 transcript:itb03g03690.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALILAVLYCGYLCEGVNSTLSARPSVVNIGCILTMRSDVGKITKLVIETAVEDINSNPAVLGGTKLNVTVLDNNSSGLMGILEAINFLETDTVAIIGPQSSVIAHVISHIANEIHVPLLSFSATDPALTSLQYPFFVRTSPNDKFQMAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWFGISTFFSANRENTISCLGRLVLMIWLFVVLIINSSYTASLTSILTVQHLFSPIKGIDTLLTTNDPIGYQRGSFVRNYLIEELGFHESRLVPFNVTEDYAKALKDGPSKGGVAAVVDERAFLELFLSTHCEFCIVGSEFTRNGWGFVSSSISFAFICSRTGSLLPCHCTWTVCLLSINDK >itb03g03690.t3 pep chromosome:ASM357664v1:3:2174082:2178232:1 gene:itb03g03690 transcript:itb03g03690.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWFGISTFFSANRENTISCLGRLVLMIWLFVVLIINSSYTASLTSILTVQHLFSPIKGIDTLLTTNDPIGYQRGSFVRNYLIEELGFHESRLVPFNVTEDYAKALKDGPSKGGVAAVVDERAFLELFLSTHCEFCIVGSEFTRNGWGFAFPKDSPLAVDLSTVILKLSENGELQRIHDKWLLRSACTSQNTKLEVNRLELKSFSGLFFMCAFACLLALLVYVVLVIRQFMQYYAEPEPSSSVSSSRSARLQTFLSFVDEKESSVKCRSKRRQLEGGSSRSTDEGAY >itb03g03690.t4 pep chromosome:ASM357664v1:3:2173930:2178225:1 gene:itb03g03690 transcript:itb03g03690.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALILAVLYCGYLCEGVNSTLSARPSVVNIGCILTMRSDVGKITKLVIETAVEDINSNPAVLGGTKLNVTVLDNNSSGLMGILEAINFLETDTVAIIGPQSSVIAHVISHIANEIHVPLLSFSATDPALTSLQYPFFVRTSPNDKFQMAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWFGISTFFSANRENTISCLGRLVLMIWLFVVLIINSSYTASLTSILTVQHLFSPIKGIDTLLTTNDPIGYQRGSFVRNYLIEELGFHESRLVPFNVTEDYAKALKDGPSKGGVAAVVDERAFLELFLSTHCEFCIVGSEFTRNGWGFAFPKDSPLAVDLSTVILKLSENGELQRIHDKWLLRSACTSQNTKLEVNRLELKSFSGLFFMCAFACLLALLVYVVLVIRQFMQYYAEPEPSSSVSSSRSARLQTFLSFVDEKESSVKCRSKRRQLEGGSSRSTDEGAY >itb03g03690.t7 pep chromosome:ASM357664v1:3:2174850:2178295:1 gene:itb03g03690 transcript:itb03g03690.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWFGISTFFSANRENTISCLGRLVLMIWLFVVLIINSSYTASLTSILTVQHLFSPIKGIDTLLTTNDPIGYQRGSFVRNYLIEELGFHESRLVPFNVTEDYAKALKDGPSKGGVAAVVDERAFLELFLSTHCEFCIVGSEFTRNGWGFAFPKDSPLAVDLSTVILKLSENGELQRIHDKWLLRSACTSQNTKLEVNRLELKSFSGLFFMCAFACLLALLVYVVLVIRQFMQYYAEPEPSSSVSSSRSARLQTFLSFVDEKESSVKCRSKRRQLEGGSSRSTDEGAY >itb03g03690.t1 pep chromosome:ASM357664v1:3:2174289:2178295:1 gene:itb03g03690 transcript:itb03g03690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALILAVLYCGYLCEGVNSTLSARPSVVNIGCILTMRSDVGKITKLVIETAVEDINSNPAVLGGTKLNVTVLDNNSSGLMGILEAINFLETDTVAIIGPQSSVIAHVISHIANEIHVPLLSFSATDPALTSLQYPFFVRTSPNDKFQMAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWFGISTFFSANRENTISCLGRLVLMIWLFVVLIINSSYTASLTSILTVQHLFSPIKGIDTLLTTNDPIGYQRGSFVRNYLIEELGFHESRLVPFNVTEDYAKALKDGPSKGGVAAVVDERAFLELFLSTHCEFCIVGSEFTRNGWGFAFPKDSPLAVDLSTVILKLSENGELQRIHDKWLLRSACTSQNTKLEVNRLELKSFSGLFFMCAFACLLALLVYVVLVIRQFMQYYAEPEPSSSVSSSRSARLQTFLSFVDEKESSVKCRSKRRQLEGGSSRSTDEGAY >itb03g03690.t2 pep chromosome:ASM357664v1:3:2174082:2178232:1 gene:itb03g03690 transcript:itb03g03690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFWALILAVLYCGYLCEGVNSTLSARPSVVNIGCILTMRSDVGKITKLVIETAVEDINSNPAVLGGTKLNVTVLDNNSSGLMGILEAINFLETDTVAIIGPQSSVIAHVISHIANEIHVPLLSFSATDPALTSLQYPFFVRTSPNDKFQMAAIASIVEFYQWKAVIAIYVDDEYGRSGIAALADELTMKRCRISFKATLKPQATSDEVRDMLVRVALTESRIIVVHTYPYTGLDIFSLAKSLGMTESGYVWIATHWLSTIFDTKGPLSSDVMDSIQGTITLRTYVPDSEVKRNFVSRWSTLAKRIDTNSTLGMCTYGLYAYDTVWLLARALDAFFKQGGNISFSKDPMLETHRGGLDLHSMNVFDGGKLLLDNILKTNITGVTGLFNFTPDRELYHPAFEVINVIGTGTKKVGYWSNYAGLSKVPPDTLYSYPQSCNPSIPQLNPVVWPGETIQKPRGWVFPNYGKPLKVGVPIRAGFNEFVEQVPGADMFKGYCIEVFTTALNYLPYAVPYKFVPFEDADDTELVRRITEGVYDAAVGSIAITTNRTLMVDFTQPYIESGLVVVAPFRERGSNAWAFLRPFSPMLWCVTGMFFLVIGVAVWILEHRMNDDFRGPPRKQIETIFWFGISTFFSANRENTISCLGRLVLMIWLFVVLIINSSYTASLTSILTVQHLFSPIKGIDTLLTTNDPIGYQRGSFVRNYLIEELGFHESRLVPFNVTEDYAKALKDGPSKGGVAAVVDERAFLELFLSTHCEFCIVGSEFTRNGWGFAFPKDSPLAVDLSTVILKLSENGELQRIHDKWLLRSACTSQNTKLEVNRLELKSFSGLFFMCAFACLLALLVYVVLVIRQFMQYYAEPEPSSSVSSSRSARLQTFLSFVDEKESSVKCRSKRRQLEGGSSRSTDEGAY >itb05g05320.t2 pep chromosome:ASM357664v1:5:5050334:5052554:1 gene:itb05g05320 transcript:itb05g05320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEEGDSVEKLERMLSLLQSSGMVEGSLESSLEDIGLNVDEEHIIKVLETKYIPGENLIEFFSWALRRPGMALSTTMVEMLTRAICSGETMRDAYALWNLLKELGEKEIGVLSTDILNELISFFSRFAKGKAAYEVFNKFGDFGCEPNSDTYYFTIEAVSRRKIYDWASTVSEKMLGAQKLPNACRVGKIISFLCKGNKARDAHLVYMAAKGNGVSLPQTSVNLLIKSLCDKDEDVYSALEMVQEFSEDERKHAIYSFSSVIQGLCRPKDDGEKKAHRSKDVEEAKNLLLNMVDAGPPPGNGVFNTVITALSKQGDMEEATKSLKMMEERGLKPDVYTYTVIISGYVRKLEMDEARKILGDAKKKHSKLSSATYHTLIRGYCKLEQFDEALALLREMKEHGVEPSADEYNKLIQSLCLNAVDWEKAEKLLEEMKGNGVHLNAITKGLIRAVKEMEQDELERKEMTVDA >itb05g05320.t1 pep chromosome:ASM357664v1:5:5050334:5052554:1 gene:itb05g05320 transcript:itb05g05320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSTITVGRKALLRRLEAKFKQSILQSASQVCYSIESPLNPTPHFTASRNCVCQYSFRFFSSNPSLDTEESEFSNADGSVSGHWASAFDAEKSEDGSSSVFGEVDDGFAAANDFAVENGDVVKADGEPEVSLGEEGMEKVEEGDSVEKLERMLSLLQSSGMVEGSLESSLEDIGLNVDEEHIIKVLETKYIPGENLIEFFSWALRRPGMALSTTMVEMLTRAICSGETMRDAYALWNLLKELGEKEIGVLSTDILNELISFFSRFAKGKAAYEVFNKFGDFGCEPNSDTYYFTIEAVSRRKIYDWASTVSEKMLGAQKLPNACRVGKIISFLCKGNKARDAHLVYMAAKGNGVSLPQTSVNLLIKSLCDKDEDVYSALEMVQEFSEDERKHAIYSFSSVIQGLCRPKDDGEKKAHRSKDVEEAKNLLLNMVDAGPPPGNGVFNTVITALSKQGDMEEATKSLKMMEERGLKPDVYTYTVIISGYVRKLEMDEARKILGDAKKKHSKLSSATYHTLIRGYCKLEQFDEALALLREMKEHGVEPSADEYNKLIQSLCLNAVDWEKAEKLLEEMKGNGVHLNAITKGLIRAVKEMEQDELERKEMTVDA >itb09g18370.t1 pep chromosome:ASM357664v1:9:14102691:14103432:1 gene:itb09g18370 transcript:itb09g18370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEKHFHNEEVQVLPLARKHFSPKRQRELLFQSLCVMPLRLIECVLPWLVGSLNEEDARSFLHNIHMAALASDTALVTLFTGWACKGRTGDTCLSSNATGCCPDEEL >itb01g25400.t1 pep chromosome:ASM357664v1:1:30820831:30824728:1 gene:itb01g25400 transcript:itb01g25400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTSCRDLFYAPWVHDLFMERVQGNGQCSLFCPNEAPGLADCCGEEFEELYTEYERKGKAKKVVHSQNLWFEILKSQIETGTPYMLYKAACGLNIIYQRRRLKILMLEMYTMSWQLWRTVVCNLASIALPPFVREKGVPIKPQPSKLFGSRGSINRYFDFDKLAEVTAIVTTNLNKIIDVNFYPVKTAKNSNLHHRKALYDTYDGSAISKGTLQPDMWGVTPSNQWDWVALRAMIDKNEVSPNPGLGRVVFLTAHELFDLFHTGDRRRRSPSPIVSENAHNPPSMRLIFLPVATTLSR >itb04g09400.t1 pep chromosome:ASM357664v1:4:8713355:8714722:-1 gene:itb04g09400 transcript:itb04g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLATHFTSFVFLLPLGIRRLLCSSSLYLKNPALFRSRIWYFSDPKWKNIDFYALLIVLPLASFSLVFLFSAFSVHPTYGFSLLNQSLVIFLFWVLMILIIAKESFDLCSIPEGFLFIFAGIAFYIEFLMNGKGIVGLGGDLYGVLGMLALICAACCMFLAIRPTAFLGEFLLSSVLVLKGTWVLQVGLSLFTDTIGFKGCEKITEDVVKGNADVKCPLEEDRLRGLALMNLLFVGHVILVMILSFLFFGVANWNRNLRSGETNGSLLAEIRPEGVQMHQLSEFEIE >itb12g23310.t1 pep chromosome:ASM357664v1:12:25090394:25093612:-1 gene:itb12g23310 transcript:itb12g23310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGDGSSMSESLIDSSSRGSSSESSSDESRRKGGGYSPPALGWPIRKAQTQSTKCSRKGVAEKQVKAKENEDDNGDDSKLKKELGSRIPELEMMKERFAKLLLGEDMSGCGKGVSTALAISNAITNLCATVFGQLWRLEPLPPEKKSMWRREMEWLVAVSDYVVELIPSWQTLPDGSKLEVMSSRPRSDISINLPALKKLDNMLIEIVDSFTSTEFWYVDKGVVAAENDGSASFYKAIPRQEDKWWLPVPRVPADGLPDATRKQLNHKRECTSQILKAAMAINSVTLAEMEVPESYLEALPKNGRACLGDVIYRYITSDSFSSECLLDCLDVSSEHVALELANRVEAAIYVWRRRHHPRPPTHPNRSTAKSSWDIVKDLVVEDKRDLLAERAENLLLCLKQRFPNLAQTTLDASKIQCNKDVGKSILESYSRVLESLAFNIAARIDDLLYVDDITRQSDKHSAVTAINTFANRKVSSPFSLPVSGTPFKSAFSTPTLSPAPLISPARGELTPFLHGNTAKLARRGLGVKRALSNYLGGETKTKTCGNPLEALASISNKSSGSLPSKPSKPCLETQELEKENCSVLKPVNR >itb07g06590.t1 pep chromosome:ASM357664v1:7:4737650:4743293:1 gene:itb07g06590 transcript:itb07g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDSTSSSNTTGTGNSSELSPLQKHVLFFDINKDGIIYPWETYQGFRKIGCGVLLSVFASIFINLGLSRKTRPGKWPSLLLPIEVKNIKLAKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNANGLTSEELDEVLKANREPKDYKGWVAALSEWKILYLLGKDKNGILPKETIRGVYDGSLFEIMAKEHASKKQV >itb07g06590.t2 pep chromosome:ASM357664v1:7:4737654:4743144:1 gene:itb07g06590 transcript:itb07g06590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDSTSSSNTTGTGNSSELSPLQKHVLFFDINKDGIIYPWETYQGFRKIGCGVLLSVFASIFINLGLSRKTRPGKWPSLLLPIEVKNIKLAKHTSDSGVYDSEGRFVESKFEEIFKKHAKSNANGLTSEELDEVLKANREPKDYKG >itb03g03540.t1 pep chromosome:ASM357664v1:3:2078931:2079356:1 gene:itb03g03540 transcript:itb03g03540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIEALAMAGADYLEFNVELESSLPPPHLLADDEGEEFWWKKPSSIPATSNQQSKNLVPYQSESNRADPVLNEDDLMTTMNLSCRKDEDEVVCKLVLKLMSFTASLKKEKLERRNFGEKMMVLTVLGFIQGLEDTISCF >itb13g22620.t1 pep chromosome:ASM357664v1:13:28896559:28896948:1 gene:itb13g22620 transcript:itb13g22620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNEAGIQAPVLCVNNCGFFGTVATMNMCSKCYKDTVLKQEQARLAASSIESIMNGSGKSVDVVVVSSHDGSAESSAVTAQDSRTQPENNGGENTKDGPKRCSACRKQVGLTGFSCRCGNLYSNKHEC >itb10g08180.t1 pep chromosome:ASM357664v1:10:10278886:10279269:-1 gene:itb10g08180 transcript:itb10g08180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYHVRFGERWDRLYNRGSRCKLFLSIAGQMTTGSSVYSTSIHHFELYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRRKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >itb06g23560.t2 pep chromosome:ASM357664v1:6:25459171:25461385:-1 gene:itb06g23560 transcript:itb06g23560.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSKGLSLLLLGQKNEEKPMRVTPWSHYQLVNQETDTDPELASRKSRLVRGCASFVCFGRAAAGLESPSPLKVGPTQQQEVSPASCPASEKGKDTDSDCLVEDTNTSAKLSLKSSLRKPANSIAVPGGSANDCETVGETSNDAPDHILRRKVQWTDTSGGELFEIREFELSDEESGDEYDSGNERSCSCKIM >itb06g23560.t1 pep chromosome:ASM357664v1:6:25459151:25461577:-1 gene:itb06g23560 transcript:itb06g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSKGLSLLLLGQKNEEKPMRVTPWSHYQLVNQETDTDPELASRKSRLVRGCASFVCFGRAAAGLESPSPLKVGPTQQQEVSPASCPASEKGKDTDSDCLVEDTNTSAKLSLKSSLRKPANSIAVPGGSANDCETVGETSNDAPDHILRRKVQWTDTSGGELFEIREFELSDEESGDEYDSGNERSCSCKIM >itb05g14350.t1 pep chromosome:ASM357664v1:5:21441350:21445758:1 gene:itb05g14350 transcript:itb05g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQGPTILLLSLIFLFLALANSQYVPTPTPCTCIETGLPPFSPPSNTGGGDQPPIPPPGSGQPPISPGSSGTPPITPPSNDGIIQPPVSPPDNGQPPVSPPNNGQPPGQPPVSPPNNGQPPVSPPSNDQPPISPPSNVQPPFSPPQNSQPPLSPPGNGQPPISPDSAQPPILPPSTGSNGEPPISPGSSGQPSSDDGDTQPPSPQGSGQPPVSPTVSGQPPISPPSVSGQPPISPGSSGTPPITPPSNDNSTRPPVSPESNSQPSISPPSNDQPPVSPPDNGQPPVLPPNNGQPPVSPPSNDQPPISPPSNVQPPLSTPPNAQPPLSPPSNGQPPISPDSAQPPISPPPPISPGSSGQPSSDDGDTQPPSPHGSGQPPVSPTVSGQPPISPPSVSGQPPISPGSSGTPPITPPSNDNSTRPPVSPESNSQPSISPPSNDQPPVSPPGSSQPPISPPPPISPGSSGQPSSDDGDTQPPSPQGSGQPPVSPTVSGQPPISPPSGSDQPPISPGSSGTPPITPPSNDNSTRPPVSPESNSQPSISPPSNDQPPVSPPDNGQPPVSPPNNGQPPVSPPSNDQPPISPPSNVQPPLSTPPNAQPPLSPPSNGQPPISPDSAQPPISPPPPISPGSSGQPSSDDGDTQPPSPHGSGQPPVSPTVSGQPPISPPSGSGQPPISPGSSGTPPITPPSNDNSTRPPVSPESNSQPSISPPSNDQPPVSPPDNGQPPVSPPNNDQPPVSPPSNDQPPISPPSNVQPPFSTPQNAQPPLSPPSNGQPPISPDSAQPPISPTGSGQPAFSPQSNVSGGGQPSIAPPGNGKPPVSPPGSSQPPISPLGSGQPPISPPGSSQPPFSPPHNAQPPLSPPGSGQPPVSPPSFSSQPLISPPNNGGRSPISPPNDNDGVAQPPISPPPPISPGSSGQPSSDDGDTQPLHLMAVANHPSHLHNDQPPISPPSNVQPPFSTPQNAQPPLSPPSNGQPPISPDSAQPPISPTGSGQPAFSPQSNVSGGGQPSIAPPGNGKPPVSPPGSSQPPISPLGSGQPPISPPGSSQPPFSPPHNAQPPLSPPGSGQPPVSPPSFSSQPSISPPNNGGRSPISPPNDNDGGTLQPPISPPGNRQPPTSPPSNGQPPVSPYSSIGEPPISPPSNGVNVTQPPISPPSNGVNVTQPPIPPPNNSGRTCPIDKNDLGVCAITLKDIGSIFQADHHIPIQPCCKCLKELSNFEAATCLCTASNLLAKLINNPNLAINTLLKLCQMRAPKGFNCIPRRML >itb02g19260.t2 pep chromosome:ASM357664v1:2:16286211:16290725:-1 gene:itb02g19260 transcript:itb02g19260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAGKEVAGEGLSANIAGMSKNQLYDIMCQMKKLMEQNEKQARQILIQNPTLARDLFQAQIMLGMVKPAQPMSTPTPATQSTQPSPSHVQQSSNQAAPAVSAQSGLQDQMRKQHHSQPPLSMSSSIAPSSNLQPPSLPSHPLQQVQQPKGHTSAPSAPMSLPQSAQAPNMPPLPHHSAAQPPPHLQSHMPSAPTQLDQSIQTSGIQHLPLQPPLPPQMRPSMQSFPQQIHPQMGPNVGFQQSGTQMHHSQPMFHAAKPPAPMGPSFMQGQPQLPNQPPPQSLYQAGSSHIRTDFNQVGSSMPADRGSAWIPGLPESAPTGQLPGPPPPFPSQMGPGNQPARQPAVIYAFLFSSIFQCYSC >itb02g19260.t1 pep chromosome:ASM357664v1:2:16286211:16290725:-1 gene:itb02g19260 transcript:itb02g19260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MAGKEVAGEGLSANIAGMSKNQLYDIMCQMKKLMEQNEKQARQILIQNPTLARDLFQAQIMLGMVKPAQPMSTPTPATQSTQPSPSHVQQSSNQAAPAVSAQSGLQDQMRKQHHSQPPLSMSSSIAPSSNLQPPSLPSHPLQQVQQPKGHTSAPSAPMSLPQSAQAPNMPPLPHHSAAQPPPHLQSHMPSAPTQLDQSIQTSGIQHLPLQPPLPPQMRPSMQSFPQQIHPQMGPNVGFQQSGTQMHHSQPMFHAAKPPAPMGPSFMQGQPQLPNQPPPQSLYQAGSSHIRTDFNQVGSSMPADRGSAWIPGLPESAPTGQLPGPPPPFPSQMGPGNQPARQPALTPEMEQALLQQVMSLTPEQINSLPPEQRTQVLQLQQMLRK >itb08g00740.t1 pep chromosome:ASM357664v1:8:563077:565974:1 gene:itb08g00740 transcript:itb08g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEPAERRTIGEVIIHSSHRPSLIVASLLKPAHRRMMVIADAQLINGEMDGGRDPFFGFGNPFGGFGAHRSLMSSFFGGRDPFDDPFFTQPFGGLFESSFVGPNIGPNLPGFPNSGIFGPNGSQFMGPRLAGFPGSGVFGPNGSQFIDSRPTGFLEQQQLPQRTASRGPIIEELDSDDETEEKEEKERTSKEGNPRKHGRLSGEPFVEDPDDETEERRRKQIHYGNEFGQRQMQHFQPQPQGQSFTFQSSTVTYGGANGAYYTSSRTRRTGSDGLAFEESKEANSATGQARHKISRGIHDKGHSVMRKLNPDGRVDTMQTLHNLNEDELPSFEEDWKGKAKMHLPSWSQGLNANGAIGDGSNAQNGVASRGGWALPSTERVPQSSSTRPIYGDGTFRNEQPSVNQNQNQNQSFSSTATRKDDKRGGRRFH >itb07g09510.t1 pep chromosome:ASM357664v1:7:8949507:8952517:1 gene:itb07g09510 transcript:itb07g09510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLGLFFAILVAIVVSKLRGKKYKLPPGPLPVPVFGNWLQVGDDLNHRNLTDYAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRRGWEDEIGLVVEEVKKNPEAGSTGIVLRRRLQLMMYNNLFRIMFDRRFESEEDPMFKKLRALNGERSRLAQSFDYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDHFVEERKKLSSTKGMDNNSLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNNPGIQKKLREEIDAVLGPGVQLTEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPSTWKNPEEFRPERFFEEEKHVEANGNDFRFLPFGVGRRSCPGIILALPILGIVLGRLVQNFELLPPPGHSKVDTTEKGGQFSLHILKHSTIVMKPRCF >itb15g04420.t1 pep chromosome:ASM357664v1:15:2811288:2812894:1 gene:itb15g04420 transcript:itb15g04420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFVKQAKQYSEGRPSYPQELFHFIASKTPSHDLAWDVGTGSGQAAKSLATIYKSMVATDTSQQQLEYAAKLPNVRYERTPPKMSTAELEALVGKESTFDLVTVAQAMHWFDLPAFYQQAKRLLKKPDGVIAAWCYTLAQVNEAVDALLRNLYFVDLDPYWESPKKLVDDEYRSIEFPFEAVEGCAGTGPFEFTAERVMDLDGYLTWLRSWSAYQTAKHKGVEVLGEDIVERFRDAWNGDGGGQKRVTFPVFLRIGKVGNDS >itb06g18790.t1 pep chromosome:ASM357664v1:6:22359949:22366446:-1 gene:itb06g18790 transcript:itb06g18790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICFFAVWVGGGFVGEGTTSRFITFLPFAFIFFWFSAFWFNKFLGFVVGARSSSLAAQSFPGMRVSLAGVNPQPEEGTGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVTASTNKEIDAHIPSYPGLPPQLICQLHNVTMHADNETDEVYAQMTLQPLSAQEQKDVCLLPAELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIAKDLHGNEWKFRHVFRGQPKRHLLTTGWSVFVSAKRLVAGDAVIFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSDFVIPLAKYAKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFSLRLKRPWPSGLPSFPGLSNGDMHISSPLSWLRGNMGDQGIQSLNFQGFGITPFMQPRLDASMLGLQPDIYQTMATLDSSKLASQQSLLQFQQSIPSSSSSLIQSQMLQRPHPQQNLLQSFQENQVISQAQILQQQLQRQQSYNEQQQLHPPPIQQFQEQQQMSKPISSLPRMNSTTQSQLSHFQALSSTVPQQSFSDLVGNHVMTSNNSSTQSLPRSFSHDEASQLLNMHETNPLATLPSKRIALESQVSSRATPYAVSQADMISPNSKVSDLPTFLPPFPGKEFPEYQGAAYSQSNNALLGVNTDSSLLLQNGISNLKNSGGENGFLNMPFSTCNFTSGVGTDYPLNPDMGTSSCVDESGFLQSSENVDQANPPNRTFVKVHKSGSFGRSLDISKFSSYHELRSELARMFGLEGLLEDPERSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPFEVHQMGKEEGLDIPNNLPAANQRLPNGGSSCEVHVSQNETRNMNGIPLGSLDY >itb06g18790.t2 pep chromosome:ASM357664v1:6:22359949:22366362:-1 gene:itb06g18790 transcript:itb06g18790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRICFFAVWVGGGFVGEGTTSRFITFLPFAFIFFWFSAFWFNKFLGFVVGARSSSLAAQSFPGMRVSLAGVNPQPEEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVTASTNKEIDAHIPSYPGLPPQLICQLHNVTMHADNETDEVYAQMTLQPLSAQEQKDVCLLPAELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIAKDLHGNEWKFRHVFRGQPKRHLLTTGWSVFVSAKRLVAGDAVIFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSDFVIPLAKYAKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFSLRLKRPWPSGLPSFPGLSNGDMHISSPLSWLRGNMGDQGIQSLNFQGFGITPFMQPRLDASMLGLQPDIYQTMATLDSSKLASQQSLLQFQQSIPSSSSSLIQSQMLQRPHPQQNLLQSFQENQVISQAQILQQQLQRQQSYNEQQQLHPPPIQQFQEQQQMSKPISSLPRMNSTTQSQLSHFQALSSTVPQQSFSDLVGNHVMTSNNSSTQSLPRSFSHDEASQLLNMHETNPLATLPSKRIALESQVSSRATPYAVSQADMISPNSKVSDLPTFLPPFPGKEFPEYQGAAYSQSNNALLGVNTDSSLLLQNGISNLKNSGGENGFLNMPFSTCNFTSGVGTDYPLNPDMGTSSCVDESGFLQSSENVDQANPPNRTFVKVHKSGSFGRSLDISKFSSYHELRSELARMFGLEGLLEDPERSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPFEVHQMGKEEGLDIPNNLPAANQRLPNGGSSCEVHVSQNETRNMNGIPLGSLDY >itb04g30210.t1 pep chromosome:ASM357664v1:4:33389418:33390659:1 gene:itb04g30210 transcript:itb04g30210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSYFPRANYRFLSTDRDAPIGGDSVFELEESDVWSAAHSVSPERRKAIPSSRVRKPSAVSGTRVVGAAPGSLPVNVPDWSKILKDEYRENRRRDCDDDFDDEEDGDGGDRVPPHEFVAQQLARTRIASFSVHEGIGRTLKGRDLSRVRNAIWKKTGFED >itb09g03600.t1 pep chromosome:ASM357664v1:9:1991509:1993204:-1 gene:itb09g03600 transcript:itb09g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRTNQLNDSGQIIAINCPHFCDPKTNPNGLCPLPCIPLCFANCKSTLMLPQDPPPPPTAADSGDLNSHPAKHFRASLFLTVFLSILATSFFLFSFYTVIKLYRNWRAGGSGRSERHPEEDARDFVDDDHALTVDHPIWYIRTVGLQSSIINAIAVCKYKRGEGLVEGTECAVCLNEFQDDETLRLLPKCNHAFHIPCIDTWLRSHTNCPLCRAGIVSNTVVAAVPATEQSFQSSVPVQEPETLDEEQIEIEELIETAEIEREARNEEIRANYAIEEENEAQIGIKRSFSLDSVSALMVSAAVVKAFADESAGSKSNTQKKAEKQKGTSSSSSSSSSSSSSSSSSLMPGLMKRSLSCGGKVFSSRYNRRPSAILPR >itb11g01260.t1 pep chromosome:ASM357664v1:11:568600:572206:-1 gene:itb11g01260 transcript:itb11g01260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFEDFAQFDISVEEKDKLVGEVIRYVLFKTEQSSGCPIKREDLTQLITGKNYRQRNLPAFIINEARKKLEEIFGYEMKELQRARSSTTNRYSQQATADAKSYILIGKLPPKAYKECVEDKSKSHVTGFTFVVMSIIYLAGGNITQEDLWRHLRRLGLMETDESHPVLGNLKQTLEALVQQRYVHKEKVNGPEGNVIHYELAERALDEDINNRMKEYISKIVQKDINTVDVD >itb05g12830.t1 pep chromosome:ASM357664v1:5:19520434:19521379:1 gene:itb05g12830 transcript:itb05g12830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSLKLACFLVLFMATLSLLPSSLMAKMSIGPNKLQTFMTGQLCKSHSDCRSNSAYPGNFCMNKNSGSGIGHCVGFQSTLALPSETKDEEGKPRVGCGQCDSDEDCRDCSTLAYCYYEVSLLIGFCA >itb05g12830.t2 pep chromosome:ASM357664v1:5:19520434:19521379:1 gene:itb05g12830 transcript:itb05g12830.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSLKLACFLVLFMATLSCYFSLLSLIHIRSLTASLHSLYNMYICLLHAVLPSSLMAKMSIGPNKLQTFMTGQLCKSHSDCRSNSAYPGNFCMNKNSGSGIGHCVGFQSTLALPSETKDEEGKPRVGCGQCDSDEDCRDCSTLAYCYYEVSLLIGFCA >itb15g06110.t1 pep chromosome:ASM357664v1:15:4004365:4006262:1 gene:itb15g06110 transcript:itb15g06110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGNYISRLCMPKFLFKNHGDGDADEDESWHNVEFSSENVHLIATGEEWEEKMEEAKKDDKIVIVNFSASWCVPCRAIAPLYSELSEKHLSWMFLVVDVDVLSDLSSTWDIKATPTFFFLRGGQQFEKVIGANKEELQKKVAAVADSETPSQP >itb12g27360.t1 pep chromosome:ASM357664v1:12:27784244:27786392:1 gene:itb12g27360 transcript:itb12g27360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGRKQGVAMFTNLLKTLTARPSVPNFPSGSRGVSGAAGIVSSEVLTSHTAKWMQDTGKKSPMELINEVPPIKVEGRIVACEGDSDPALGHPIEFICLDLDAPAVCKYCGLRYFQDHGHHH >itb10g13760.t3 pep chromosome:ASM357664v1:10:19948359:19967052:1 gene:itb10g13760 transcript:itb10g13760.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGSNEIESIRADDELLEIGPSLLTSSFRHHHHHNLSFRSNNSVLSSTTNEDVFDEDYLLQWAAIERLPTFNKLRSAVFDDENKQGKRVVDVTGLGGVERHMFIEKLIKHIEHDNLRLLKKIRKRIDRAGVKLPSVEVRYANLYVEAECEVVHGKPLPTLWNSFKSLMMVFARRIPCLESEVAKIKIVNDVSGVIKPGRMTLLLGPPGCGKTSLLKALSANLDNSLKVRGDISYNGYTLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSRCQGVGSRGDILVELIKMEKESRIVPDQDIDIYMKAISIEGQKTNLQTDYILKILGLDVCADTIVGNAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPEVFDLFDDIILMAEGKVIFHGPRSNILEFFENCGLKCPERKGVADFLQEVISRKDQAQYWNNQTQQAYSYVSVDTISTKFKESPYGNNLFKELFVPYDNSKSHKNAINSSVYSLPKWTLFRACISREFLLMKRNSFIYIFKSVQLIASAFITITVFWRTQTDVDLLHANYYLGALFYTLFLQVVDGLPEMSMTITRLEVFFKQRELCFYPAWAYAIPTTILKFPLSLLQSVLWTSITYYAVGFSPEVGRFFRQLLVCFAMHMSSISLFRFLAAIFPTIVVATTVGNLSIMIVLLFGGFIIPRTSMSVWLKWGFWVSPLSYGEIGLTLNEFLAPRWEKMLPTNMTIGEEVLESRGLNFDGYLYWVSIGALFGFIILFNLGFTLALSFLKPPGSRAIISKEKFSKIQGSGKSLHKVPVEEKTSEPSNQGRVILPFEALSVVFQDVQYYIDMPVALKERDFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIRIGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLPPEIDSKTKYEFVKEVIETIELDGIKDMLVGMPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVGTGRTIVCTIHQPSIDIFEAFDELILLKAGGKMIYWGPLGWHSCKMIEYFEGISGVPKIKDNYNPATWMLEVTSTFAEAELGLDFAEIYQKSSLYKNNKELVKMLSIPRHGSKQLHFPTPFPQKGWGQFKTCLWKQYWSYWRSPSYNMMRFIHTLITSLLLGLLFWDQGKKIENQQSLFNILGSMFTGVIQCGINNCSTILPYVSTERTVLYRDRFAGMYASWAYALAQV >itb10g13760.t5 pep chromosome:ASM357664v1:10:19948359:19962903:1 gene:itb10g13760 transcript:itb10g13760.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGSNEIESIRADDELLEIGPSLLTSSFRHHHHHNLSFRSNNSVLSSTTNEDVFDEDYLLQWAAIERLPTFNKLRSAVFDDENKQGKRVVDVTGLGGVERHMFIEKLIKHIEHDNLRLLKKIRKRIDRAGVKLPSVEVRYANLYVEAECEVVHGKPLPTLWNSFKSLMMVFARRIPCLESEVAKIKIVNDVSGVIKPGRMTLLLGPPGCGKTSLLKALSANLDNSLKVRGDISYNGYTLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSRCQGVGSRGDILVELIKMEKESRIVPDQDIDIYMKAISIEGQKTNLQTDYILKILGLDVCADTIVGNAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPEVFDLFDDIILMAEGKVIFHGPRSNILEFFENCGLKCPERKGVADFLQEVISRKDQAQYWNNQTQQAYSYVSVDTISTKFKESPYGNNLFKELFVPYDNSKSHKNAINSSVYSLPKWTLFRACISREFLLMKRNSFIYIFKSVQLIASAFITITVFWRTQTDVDLLHANYYLGALFYTLFLQVVDGLPEMSMTITRLEVFFKQRELCFYPAWAYAIPTTILKFPLSLLQSVLWTSITYYAVGFSPEVGRFFRQLLVCFAMHMSSISLFRFLAAIFPTIVVATTVGNLSIMIVLLFGGFIIPRTSMSVWLKWGFWVSPLSYGEIGLTLNEFLAPRWEKMLPTNMTIGEEVLESRGLNFDGYLYWVSIGALFGFIILFNLGFTLALSFLKPPGSRAIISKEKFSKIQGSGKSLHKVPVEEKTSEPSNQGRVILPFEALSVVFQDVQYYIDMPVALKERDFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIRIGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLPPEIDSKTKYVWLAISILHQDCTILF >itb10g13760.t2 pep chromosome:ASM357664v1:10:19948359:19968205:1 gene:itb10g13760 transcript:itb10g13760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGSNEIESIRADDELLEIGPSLLTSSFRHHHHHNLSFRSNNSVLSSTTNEDVFDEDYLLQWAAIERLPTFNKLRSAVFDDENKQGKRVVDVTGLGGVERHMFIEKLIKHIEHDNLRLLKKIRKRIDRAGVKLPSVEVRYANLYVEAECEVVHGKPLPTLWNSFKSLMMVFARRIPCLESEVAKIKIVNDVSGVIKPGRMTLLLGPPGCGKTSLLKALSANLDNSLKVRGDISYNGYTLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSRCQGVGSRGDILVELIKMEKESRIVPDQDIDIYMKAISIEGQKTNLQTDYILKILGLDVCADTIVGNAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPEVFDLFDDIILMAEGKVIFHGPRSNILEFFENCGLKCPERKGVADFLQEVISRKDQAQYWNNQTQQAYSYVSVDTISTKFKESPYGNNLFKELFVPYDNSKSHKNAINSSVYSLPKWTLFRACISREFLLMKRNSFIYIFKSVQLIASAFITITVFWRTQTDVDLLHANYYLGALFYTLFLQVVDGLPEMSMTITRLEVFFKQRELCFYPAWAYAIPTTILKFPLSLLQSVLWTSITYYAVGFSPEVGRFFRQLLVCFAMHMSSISLFRFLAAIFPTIVVATTVGNLSIMIVLLFGGFIIPRTSMSVWLKWGFWVSPLSYGEIGLTLNEFLAPRWEKMLPTNMTIGEEVLESRGLNFDGYLYWVSIGALFGFIILFNLGFTLALSFLKPPGSRAIISKEKFSKIQGSGKSLHKVPVEEKTSEPSNQGRVILPFEALSVVFQDVQYYIDMPVALKERDFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIRIGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLPPEIDSKTKYEFVKEVIETIELDGIKDMLVGMPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVGTGRTIVCTIHQPSIDIFEAFDELILLKAGGKMIYWGPLGWHSCKMIEYFEGISGVPKIKDNYNPATWMLEVTSTFAEAELGLDFAEIYQKSSLYKNNKELVKMLSIPRHGSKQLHFPTPFPQKGWGQFKTCLWKQYWSYWRSPSYNMMRFIHTLITSLLLGLLFWDQGKKIENQQSLFNILGSMFTGVIQCGINNCSTILPYVSTERTVLYRDRFAGMYASWAYALAQVIIEIPYLFAQTVVFTAITYPMIGYYGSAHKVLWYFYAMFCSQMYFKYLGMLLVAMTPSFHIAATLQSPFYSMFNLFAGFMICKPQIPKWWIWFYYLIPTSWSLNCMLSSQYGDIEEEIMVFGEKKTVAAFLRDYFGYHHHQLPIVAAMLILFPLFFACLFTLCIQKLNFQRR >itb10g13760.t1 pep chromosome:ASM357664v1:10:19948359:19968205:1 gene:itb10g13760 transcript:itb10g13760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGSNEIESIRADDELLEIGPSLLTSSFRHHHHHNLSFRSNNSVLSSTTNEDVFDEDYLLQWAAIERLPTFNKLRSAVFDDENKQGKRVVDVTGLGGVERHMFIEKLIKHIEHDNLRLLKKIRKRIDRAGVKLPSVEVRYANLYVEAECEVVHGKPLPTLWNSFKSLMMVFARRIPCLESEVAKIKIVNDVSGVIKPGRMTLLLGPPGCGKTSLLKALSANLDNSLKVRGDISYNGYTLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSRCQGVGSRGDILVELIKMEKESRIVPDQDIDIYMKAISIEGQKTNLQTDYILKILGLDVCADTIVGNAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPEVFDLFDDIILMAEGKVIFHGPRSNILEFFENCGLKCPERKGVADFLQEVISRKDQAQYWNNQTQQAYSYVSVDTISTKFKESPYGNNLFKELFVPYDNSKSHKNAINSSVYSLPKWTLFRACISREFLLMKRNSFIYIFKSVQLIASAFITITVFWRTQTDVDLLHANYYLGALFYTLFLQVVDGLPEMSMTITRLEVFFKQRELCFYPAWAYAIPTTILKFPLSLLQSVLWTSITYYAVGFSPEVGRFFRQLLVCFAMHMSSISLFRFLAAIFPTIVVATTVGNLSIMIVLLFGGFIIPRTSMSVWLKWGFWVSPLSYGEIGLTLNEFLAPRWEKMLPTNMTIGEEVLESRGLNFDGYLYWVSIGALFGFIILFNLGFTLALSFLKPPGSRAIISKEKFSKIQGSGKSLHKVPVEEKTSEPSNQGRVILPFEALSVVFQDVQYYIDMPVALKERDFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIRIGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLPPEIDSKTKYEFVKEVIETIELDGIKDMLVGMPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVGTGRTIVCTIHQPSIDIFEAFDELILLKAGGKMIYWGPLGWHSCKMIEYFEGISGVPKIKDNYNPATWMLEVTSTFAEAELGLDFAEIYQKSSLYKNNKELVKMLSIPRHGSKQLHFPTPFPQKGWGQFKTCLWKQYWSYWRSPSYNMMRFIHTLITSLLLGLLFWDQGKKIENQQSLFNILGSMFTGVIQCGINNCSTILPYVSTERTVLYRDRFAGMYASWAYALAQVIIEIPYLFAQTVVFTAITYPMIGYYGSAHKVLWYFYAMFCSQMYFKYLGMLLVAMTPSFHIAATLQSPFYSMFNLFAGFMICKPQIPKWWIWFYYLIPTSWSLNCMLSSQYGDIEEEIMVFGEKKTVAAFLRDYFGYHHHQLPIVAAMLILFPLFFACLFTLCIQKLNFQRR >itb10g13760.t4 pep chromosome:ASM357664v1:10:19948359:19965600:1 gene:itb10g13760 transcript:itb10g13760.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGSNEIESIRADDELLEIGPSLLTSSFRHHHHHNLSFRSNNSVLSSTTNEDVFDEDYLLQWAAIERLPTFNKLRSAVFDDENKQGKRVVDVTGLGGVERHMFIEKLIKHIEHDNLRLLKKIRKRIDRAGVKLPSVEVRYANLYVEAECEVVHGKPLPTLWNSFKSLMMVFARRIPCLESEVAKIKIVNDVSGVIKPGRMTLLLGPPGCGKTSLLKALSANLDNSLKVRGDISYNGYTLQEFVPQKTSAYISQYDLHIPEMTVRETLDFSSRCQGVGSRGDILVELIKMEKESRIVPDQDIDIYMKAISIEGQKTNLQTDYILKILGLDVCADTIVGNAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILMSLLQPAPEVFDLFDDIILMAEGKVIFHGPRSNILEFFENCGLKCPERKGVADFLQEVISRKDQAQYWNNQTQQAYSYVSVDTISTKFKESPYGNNLFKELFVPYDNSKSHKNAINSSVYSLPKWTLFRACISREFLLMKRNSFIYIFKSVQLIASAFITITVFWRTQTDVDLLHANYYLGALFYTLFLQVVDGLPEMSMTITRLEVFFKQRELCFYPAWAYAIPTTILKFPLSLLQSVLWTSITYYAVGFSPEVGRFFRQLLVCFAMHMSSISLFRFLAAIFPTIVVATTVGNLSIMIVLLFGGFIIPRTSMSVWLKWGFWVSPLSYGEIGLTLNEFLAPRWEKMLPTNMTIGEEVLESRGLNFDGYLYWVSIGALFGFIILFNLGFTLALSFLKPPGSRAIISKEKFSKIQGSGKSLHKVPVEEKTSEPSNQGRVILPFEALSVVFQDVQYYIDMPVALKERDFTEKRLQLLSNITAALRPGVLTALMGVSGAGKTTLLDVLSGRKTSGIVEGEIRIGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLPPEIDSKTKYEFVKEVIETIELDGIKDMLVGMPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVGTGRTIVCTIHQPSIDIFEAFDEVISNC >itb13g18720.t1 pep chromosome:ASM357664v1:13:25637635:25639802:1 gene:itb13g18720 transcript:itb13g18720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLNEDQIMEFQEAFSLFDKDGDGSITVEELATVIRSLDQNPTEEELQEMMNDVDTGNNGAIEFKEFLNALSKRMEDTDSEEELKEAFKVFDKDQNGYISANELVFAASGGSEEDGRSEEITQKFIGNAAFSGDKRVTIDSSRCRVFRGISTGRKKNMTLRGRPGRISIFMEWFFGGLAYRASHVMNSKFFASLYYRREIYSPIRNICILS >itb14g07670.t1 pep chromosome:ASM357664v1:14:7059090:7059969:-1 gene:itb14g07670 transcript:itb14g07670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKSPHFSTYFLSLSHLTSCPLIPINLPNNMASATLPSMLLIFFVAFTVATAHHSPSPSDKTRLVKACSEALGPSPGGRPLITFCARDFLAGKASLLAHSRKREAAAIVVNEARKKAKVIIDFKSKIDSDKSLSKGELKDLKSCWESMSVVIKTIGEVYVNVAVKKLSADVLGENIDNNITRAMGQCKFSAAERQGGLWAEFHAKADESFNAQIVALAFMNEYHSV >itb15g02150.t1 pep chromosome:ASM357664v1:15:1322169:1327395:-1 gene:itb15g02150 transcript:itb15g02150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIKPAEARTAPGTGTASSASIVSFISKGLREMRTSADADIELLRNRANSIFKLADQGVEHFINSASFTVPTVTASAVTTPAEIEFVKKLRPKISEFRRAYSSPDFKWGTKGKLRIDLSAFRNAIAPDFEEESESERWRTWRRRLRFTEGGEKEEGHSGESSWEPIKALQTRCKEIEAELKTTSSSPAEILEGIKNSEFVEKVKSSLKSICKEPEETKEVPPLDVPELLAYLVRQSSPFLDQLGITRGISDKIVENLFSKRKNQMLQSLPEGESLIVASDSISDELDLRIATVLQSTGHSYEGGFWTDSTKRNHSDGKRHVSIITTASLPWMTGTAVNPLFRAAYLANTAKQNVTLLVPWLCKSDQELVYPNNLTFSSAEEQENYMRNWLEERIGFKTDFKISFYPAKFSKSRRSIIPAGDTTQFIPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRVYCHKVLRLSAATQDLPKSTICNVHGVNPKFLKIGDKIAADRERGEQVFSKGAYFLGKMVWAKGYRELIDLLSKHKKDLDGFNLDAFGNGEDAHEVQSAAKRLNLNVNFMKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRTFPNCLTYKSPEEFVVKIKEAMSSEPQPLTPEQRYKLSWEAATQRFLEFSDLDKILNNEMLLDRRNQKGIVKSTSMPNLGEMVDGSLAFAHYCLTGNEFLRLCTGAIPGTRDYNKEHSNDLHLLPPEVENPVYTW >itb15g02150.t3 pep chromosome:ASM357664v1:15:1322169:1327395:-1 gene:itb15g02150 transcript:itb15g02150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MINIKPAEARTAPGTGTASSASIVSFISKGLREMRTSADADIELLRNRANSIFKLADQGVEHFINSASFTVPTVTASAVTTPAEIEFVKKLRPKISEFRRAYSSPDFKWGTKGKLRIDLSAFRNAIAPDFEEESESERWRTWRRRLRFTEGGEKEEGHSGESSWEPIKALQTRCKEIEAELKTTSSSPAEILEGIKNSEFVEKVKSSLKSICKEPEETKEVPPLDVPELLAYLVRQSSPFLDQLGITRGISDKIVENLFSKRKNQMLQSLPEGESLIVASDSISDELDLRIATVLQSTGHSYEGGFWTDSTKRNHSDGKRHVSIITTASLPWMTGTAVNPLFRAAYLANTAKQNVTLLVPWLCKSDQELVYPNNLTFSSAEEQENYMRNWLEERIGFKTDFKISFYPAKFSKSRRSIIPAGDTTQFIPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRVYCHKVLRLSAATQDLPKSTICNVHGVNPKFLKIGDKIAADRERGEQVFSKGAYFLGKMVWAKGYRELIDLLSKHKKDLDGFNLDAFGNGEDAHEVQSAAKRLNLNVNFMKGRDHADDSLHG >itb15g02150.t2 pep chromosome:ASM357664v1:15:1322169:1327395:-1 gene:itb15g02150 transcript:itb15g02150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MINIKPAEARTAPGTGTASSASIVSFISKGLREMRTSADADIELLRNRANSIFKLADQGVEHFINSASFTVPTVTASAVTTPAEIEFVKKLRPKISEFRRAYSSPDFKWGTKGKLRIDLSAFRNAIAPDFEEESESERWRTWRRRLRFTEGGEKEEGHSGESSWEPIKALQTRCKEIEAELKTTSSSPAEILEGIKNSEFVEKVKSSLKSICKEPEETKEVPPLDVPELLAYLVRQSSPFLDQLGITRGISDKIVENLFSKRKNQMLQSLPEGESLIVASDSISDELDLRIATVLQSTGHSYEGGFWTDSTKRNHSDGKRHVSIITTASLPWMTGTAVNPLFRAAYLANTAKQNVTLLVPWLCKSDQELVYPNNLTFSSAEEQENYMRNWLEERIGFKTDFKISFYPAKVSSCLLDEFAGLYRSKPRIMRMFRLMFFSSLSSQNQGGV >itb12g03090.t1 pep chromosome:ASM357664v1:12:2052439:2053522:1 gene:itb12g03090 transcript:itb12g03090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHVISCHTVEAWNEQLQKGNNCNKLMVIDFTASWCGPCRIIAPFLAELAKKLPNVIFVKVDVDELKTIANDWAIEAMPTFMFLREGKILDKVVGVRKDELQNTIAKHMNTTSSASTTSASTTYCF >itb01g30330.t2 pep chromosome:ASM357664v1:1:34400041:34403434:1 gene:itb01g30330 transcript:itb01g30330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAPASKADKKAAVDAAAWIFNVVTSVGIIIVNKALMATYGFSFATTLTGMHFATTTLMTAVLRWLGYIQSSHLPFSDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRDTKLSIGVVLLGVAVCTVTDVSVNTRGFIAALIAVWSTALQQYYVHFLQRKYSLSSFNLLGHTAPAQAASLLIVGPFLDYWLTSSRIDHYNFTVASTAFILLSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNLHVVFGMILAVMGMVWYGNASSKPGGKERPKHPETSEQNDEKV >itb01g30330.t1 pep chromosome:ASM357664v1:1:34400041:34403434:1 gene:itb01g30330 transcript:itb01g30330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAPASKADKKAAVDAAAWIFNVVTSVGIIIVNKALMATYGFSFATTLTGMHFATTTLMTAVLRWLGYIQSSHLPFSDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRDTKLSIGVVLLGVAVCTVTDVSVNTRGFIAALIAVWSTALQQYYVHFLQRKYSLSSFNLLGHTAPAQAASLLIVGPFLDYWLTSSRIDHYNFTVASTAFILLSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNLHVVFGMILAVMGMVWYGNASSKPGGKERPKHPETSEQNDEKV >itb01g04690.t1 pep chromosome:ASM357664v1:1:3192053:3192726:1 gene:itb01g04690 transcript:itb01g04690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGCGEVVFEDLFPAMVESLGTEGFMKELCDGFRLLAEEEKGVITFESLKKKSSALLGLPAMSDEEVRCMLKEGDMDGDGCLNKMEFCVLMFRLSPDLMNKSRLWLFAPDRSSH >itb02g13450.t1 pep chromosome:ASM357664v1:2:9578820:9585175:1 gene:itb02g13450 transcript:itb02g13450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKNRMLCYKQDWTGGISAGIRILAPTTYIFFASAIPVISFGEQLERNTDGIITAVQTLASTALCGVIHSIFGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGPSLFLAWTGWVCVWTAFLLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVEEFRTPERENPKQAAFLPSWRFGNGMFALVLSFGLLYTALSSRKARSWRYGTGWLRGLIADYGVPFMVLVWTAISYIPVHDVPQGIPRRLFSPNPWSPGAYKNWTVIKEMVHVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGLLVIVCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSIMKNANLGQLYRSMQEAYHEMQTPLVYQTPSGLGLKELKDSTIQQASSTGHIGAPVDETIFDVDKDIDELLPLEVKEQRLSNLLQSVMVGGCVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDNHAVFVETVPFKTIAGFTLFQTVYLLLCFGITWIPIAGVLFPLLIMLLVPVRQYFLPRFFKGAHLHDLDAAEYEEAPPITYNMSLDDQDGQRPVDSGELLDQMITRSHGEIRHISSPKITSSTPTSVDEIKSEHSPHLSHRAYSPRVKGLRAERSPSLETKRTPSPGPSKLGGSPST >itb05g22240.t2 pep chromosome:ASM357664v1:5:27704654:27711661:-1 gene:itb05g22240 transcript:itb05g22240.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MGPITLAVNAKNSLVAPDASNRKMENLPMNPRKFFASINKEEEKPFDFFRILFEGVIAGGTAGVVVETALYPIDTIKTRLQAAQGGGRIALKGLYSGLAGNLAGVLPASAVFVGVYEPTKKKLLKAIPEKFSAVAHLTAGAIGGFAASLIRVPTEVVKQRMQTGQFASPPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKKAARRDLNDPENAIIGAFAGALTGAITTPLDVVKTRLMIQGSSNQYKGIVDCVQTIVREEGPQALLKGIGPRVLWIGIGGSIFFGVLESTKRYLEQRRPSSHQNEMPKQE >itb05g22240.t1 pep chromosome:ASM357664v1:5:27704654:27711661:-1 gene:itb05g22240 transcript:itb05g22240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MGPITLAVNAKNSLVAPDASNRKMENLPMNPRKFFASINKEEEKPFDFFRILFEGVIAGGTAGVVVETALYPIDTIKTRLQAAQGGGRIALKGLYSGLAGNLAGVLPASAVFVGVYEPTKKKLLKAIPEKFSAVAHLTAGAIGGFAASLIRVPTEVVKQRMQTGQFASPPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKKAARRDLNDPENAIIGAFAGALTGAITTPLDVVKTRLMIQGSSNQYKGIVDCVQTIVREEGPQALLKGIGPRVLWIGIGGSIFFGVLESTKRYLEQRRPSSHQNEMPKQE >itb01g17070.t1 pep chromosome:ASM357664v1:1:21741423:21743666:-1 gene:itb01g17070 transcript:itb01g17070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRPPQRDFFDSSTFIHGRGAADLFISPAMESNGHVCSGDLPTVMVTNDDGVDAPGLRALVSVLVSTNRFNVLVCAPDSEKSAVSHSITWRHAVPVKRVEISGATAFAVTGTPADCASLGISKALFSSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFVNDVPSISLSYDWVHGKSTIDDFALAAEACIPLFTAILADIKNKTYPLNCFLNITVPTNVPTHKGYRLTKQGKSFIRTGWKQVTSETEGGKMLSTMTMDMTPTQTKQQSVVTIQEDQFFFSREVRAKQVDSDGTDYGSLQEGYITVTPITALFNVDLDGVTFLNEWLRSVGECCNSTP >itb01g10870.t1 pep chromosome:ASM357664v1:1:9476549:9478985:1 gene:itb01g10870 transcript:itb01g10870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRAFRPTNEKIKKIHVHPTQPWLVTADVSDHVSVWNWEYRQVIYELKAGGVDERRLVGAKLEKLAEGESEGNQLKLYGLGGNGFIGLPLKGFAASAAMLLNLNPTILLHGSSVKQVKFYDDDVRYWQLLRNRSAAAEAPTAASNVTSAFSAPSPSAKGRHFLVICCENKAIFLDLVTMRGRDVPKQDLDNKSLIWQVLIYPSSSYKTIDGIKSSESESLLVLMLSHHIDNSSWLFT >itb01g18230.t1 pep chromosome:ASM357664v1:1:23210997:23218588:-1 gene:itb01g18230 transcript:itb01g18230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MESKCFRNSKDGEQPWRVLEFYSGIGGMRYSLINAAVNAVVLEAFDINDVANDVYEHNFGHRPHQGNIQTLSAADIDGYKADAWLLSPPCQPYTRQGLQKGSKDARASSFLKILELIPDTTRPPLFLFVENVVGFETSDTHEMMMEILRKNQFVTQEFILSPLQFGIPYSRPRYYCLAKRKPLTFRSAQHDNQLLWSPGPLLWQDENTIDKDDDQSQEYWDGLLQHCQPIENFLEWKGSANGCDSKSSFLADNDSEKVDKDDGDIGHNSDFSSQYLVPSSLVKRWGNAMDIVFPHSKRCCCFTKSYYRYVKGTGSLLATKQGTEKCKSSLEELCLRYFTPREVANLHSFPASFQFPQHVSLRQRYALLGNSLSVGVVAPLLQYLFTEPP >itb01g18230.t3 pep chromosome:ASM357664v1:1:23210997:23218588:-1 gene:itb01g18230 transcript:itb01g18230.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MESKCFRNSKDGEQPWRVLEFYSGIGGMRYSLINAAVNAVVLEAFDINDVANDVYEHNFGHRPHQGNIQTLSAADIDGYKADAWLLSPPCQPYTRQGLQKGSKDARASSFLKILELIPDTTRPPLFLFVENVVGFETSDTHEMMMEILRKNQFVTQEFILSPLQFGIPYSRPRYYCLAKRKPLTFRSAQHDNQLLWSPGPLLWQDENTIDKDDDQSQEYWDGLLQHCQPIENFLEWKGSANGCDSKSSFLADNDSEKVDKDDGDIGHNSDFSSQYLVPSSLVKRWGNAMDIVFPHSKRCCCFTKSYYRYVKGTGSLLATKQGTEKCKSSLEELCLRYFTPREVRLFTP >itb01g18230.t2 pep chromosome:ASM357664v1:1:23210997:23218585:-1 gene:itb01g18230 transcript:itb01g18230.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MATRQMLGFFLLLVNHILDKVMKGIILFCVSCFHLMIKKCRVGWYVSSIPCSGLQKGSKDARASSFLKILELIPDTTRPPLFLFVENVVGFETSDTHEMMMEILRKNQFVTQEFILSPLQFGIPYSRPRYYCLAKRKPLTFRSAQHDNQLLWSPGPLLWQDENTIDKDDDQSQEYWDGLLQHCQPIENFLEWKGSANGCDSKSSFLADNDSEKVDKDDGDIGHNSDFSSQYLVPSSLVKRWGNAMDIVFPHSKRCCCFTKSYYRYVKGTGSLLATKQGTEKCKSSLEELCLRYFTPREVANLHSFPASFQFPQHVSLRQRYALLGNSLSVGVVAPLLQYLFTEPP >itb13g24090.t1 pep chromosome:ASM357664v1:13:29829141:29833063:-1 gene:itb13g24090 transcript:itb13g24090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKNHFFHFLITHALYLLFIIPLLGVLSAHYFFTLKLNLAPVLACTSFLVFLGNFYSKNRPRNVYLVDFACYKPKPELMGSAEQYIERSRQANLFTEESLSFQKKVLERSGLGQKTYIPESIMGVNAKPSLDEVRKDAEAAIFGCIDEVLEKTGVKAEDIGIVVVNSSVFDPAPSLSAMIVRRYKLVSTVKSFNLGGMGCSAGLISIDLAKHLLQASPNCYALVVSTEIQSLNWYLGNDRSMLMSNCIFRLGGAAVLLSNRAADRRRSKYQLMRTVRTHTGAHNNSHSCVSLKEDDGGLVGVALSKDLMEMAGEALKTNITTLGPLALPISEQLLFFLTVVARKVFKMKKVKPYIPDFKLAFEHFCIHAGGKAVLDAIEKNLELSEWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRMRKGDRTWQIAFGSGFKCNSVVWRAMKNINPAMEKNPWMDEIHEFPVHNSLKI >itb07g23220.t1 pep chromosome:ASM357664v1:7:27671761:27674891:-1 gene:itb07g23220 transcript:itb07g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSLPWFTGEAKFRVSNFVVGRGGGGDRGGAAEFPVSSTAASSSELGGCRLRLARAAKSFVTGALGQLSDTLLKSEVDHTKGENGSNGSSEPREKARCLAGFYEEHVIVKETLVDNSVTAPVKEALTGSLINLELQEKAELLSSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKVIVKRFHEVGIQVLGDVVLNHRCASFRNHNGVWNIFGGRLV >itb07g23220.t2 pep chromosome:ASM357664v1:7:27671761:27672772:-1 gene:itb07g23220 transcript:itb07g23220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYARALTGSLINLELQEKAELLSSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKVIVKRFHEVGIQVLGDVVLNHRCASFRNHNGVWNIFGGRLV >itb02g19270.t1 pep chromosome:ASM357664v1:2:16291133:16291459:-1 gene:itb02g19270 transcript:itb02g19270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEKISHVEEHHRPLDALQPPACSAPRRPQQSSLAAPAMSQQSSIGGNRSSPASAEIEAVHPRCSRLALHRGVANHAGKSPLLSIQPRRQSHVLASSFQFSYFHCN >itb11g22160.t1 pep chromosome:ASM357664v1:11:23987603:23991022:-1 gene:itb11g22160 transcript:itb11g22160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTRQSAKQLQVVSIVGMGGIGKTTLARKVYEDSSISFHFDKRAWVTVTQEFNMEQMLQCLIGCVNAASNGNLVESLRKHLKDQRYLIVMDDIWSSTAWKSVQRCFPDDNNGSRILLTSRLREVAEYASSGNSTINMPFLDANKSWNLFCNVFGKTKFLSVFEQIGRGIVNKCEGLPLAIIVVASLLSKTEAVVKKWKNVAENGYSNTASSKATTFINNICCVRFNRGRRSQRRRSQCRTFNRRSSCCIVNWSWPCADWRPCRH >itb09g23700.t1 pep chromosome:ASM357664v1:9:23221931:23225018:-1 gene:itb09g23700 transcript:itb09g23700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G21600 protein [Source:Projected from Arabidopsis thaliana (AT1G21600) UniProtKB/TrEMBL;Acc:Q9XI19] MNPALILTFLPPKLPSSITAPSLQRSTSLLTYTKPIAWSLRPIPRPSRRLDFRAWTDDGDADGGDPDDYDMDEDEAEEADNKKDFDVDYDTSLGLSISAAGAGGDDDIAMVYSSSFVSTQGWDSEKIVDYRINEDEFHKISLHDCDFFIRRPPDPDNDVYDFREMYVTPPDTDVYAIPKVLAPMPQKYIRCGKSEYGSYNVTEPPIDAPRDPMYKSEREVLKVYLTKHYRNRRLGDPDFVLDFEEIYVIDSKTKSITRAKVVVTVPEGINRDRKNDLLVIHDNGNSFKIIPSEEREDPSLVIQKEEWKNTREDMEKHLRKLRDFSISNWF >itb15g11300.t1 pep chromosome:ASM357664v1:15:9107595:9113170:1 gene:itb15g11300 transcript:itb15g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRSKAKCLHCSILFFFFFVSRTALFSQLTGQFQVSSDREPVIDCIDCLYEQVDHQVRCCCLIWPIDHAGRTPNLMMFLCCCIVVVFNFLLISGVDANRLMLLSP >itb13g23920.t1 pep chromosome:ASM357664v1:13:29720587:29721449:1 gene:itb13g23920 transcript:itb13g23920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVRAITTPFRKARTLFNHQPRDKNSQQQPGEYESRMTADLHGEVMACGYEDVHVMWSILDKSRPRSLQC >itb12g26910.t1 pep chromosome:ASM357664v1:12:27589505:27591558:-1 gene:itb12g26910 transcript:itb12g26910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFPTPSGSHHFIHNGTNTTSYPTPPPQIAPPPPPQRSTSRLLYSSPSPPVVIDSGEDFGSLSLIACLLILSFLSLFFIIHLRIKSRLLPHLQNFNSLWTVRLLLVFFAVFWALNEVLRLPILHRAYNTFPLLPPLTLDQQTGLCKVHVVLSLGFFEPGFLVTLLFLVNVSIKKSNPSRLWAVAAVVMACSPVFLLQIILVYFSPFEAPSQRIFLHPSSILSMDSHGNKFVICTYPTFSCVVFGAFAVGYSLAFMLSCWKVVAFVINKTISCRINTLAVSVMVSLPAQIVCLCVLLVSLPGGNIHGCAIFAMFVSVSWCVAVGEVILIIKPIADSLAAAAAGGGGCGGGDYSPCSSDATAETRRWEEAG >itb12g26910.t2 pep chromosome:ASM357664v1:12:27589505:27591558:-1 gene:itb12g26910 transcript:itb12g26910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFPTPSGSHHFIHNGTNTTSYPTPPPQIAPPPPPQRSTSRLLYSSPSPPVVIDSGEDFGSLSLIACLLILSFLSLFFIIHLRIKSRLLPHLQNFNSLWTVRLLLVFFAVFWALNEVLRLPILHRAYNTFPLLPPLTLDQQTGLCKVHVVLSLGFFEPGFLVTLLFLVNVSIKKSNPSRLWAVAAVVMACSPVFLLQIILVYFSPFEAPSQRIFLHPSSILSMDSHGNKFVICTYPTFSCVVFGAFAVGYSLAFMLSCWKVVAFVINKTISCRINTLAVSVMVSLPAQIVCLCVLLVSLPGGNIHGCAIFAMFVSVSWCVAVGEVILIIKPIADSLAAAAAGGGGCGGGDYSPCSSDATAETRRWEEAG >itb12g21720.t2 pep chromosome:ASM357664v1:12:23989558:23991512:1 gene:itb12g21720 transcript:itb12g21720.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLFASSISSVSTATAPIPSILVALSMLCVMPAASPKLTGGSFLLFPPILTPRDAHQVFLEMRNRGHCPNVVSYTTLINGYCGVGEIGDAWNLFDEMSERGVSPNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCLCREGLFHEVFRIAEDMPQGKCVVEEFSYSQMIDSLCRYGMYNGGARIIYIMKKRGFKPSLVAYNTIVHGICRECDFFRAYQLLEEGIQFGYSPSEFTYNLLIEGLCSVSDLDKAKNVLNIMLNKKVVDRTRIYNIYLRAICLNDNPTELLNTLVTMLQTQCQPDLITLNIVINGFCKMGRVEEALKVLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDDTTVVGGLLEVGRDEEALHLLRNTMPMNGITLGVLRNTMPMNGITLGVLTYNTTLQGLFKMHRVDDAMEMFNCMIGHGTAADCTTYTIIIDGLFKSKRIDEAKRFWDDIVWPSRVHDSYVYSAILKGLCRSGRVEEACDFLYELVDCGVTLCHVNYNIVIDGACKLGLKKEAYQILGEMRKNGLAPDAVTWRILDKLHQKRGMQFCDSEDLTLQSQEPLVN >itb12g21720.t1 pep chromosome:ASM357664v1:12:23989350:23992257:1 gene:itb12g21720 transcript:itb12g21720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRKFSSTSSSIACCIRSLSSSPLPCIFHTTNHFKEEQQGRELEVDQHTVTNRAYWTRKIHKLCSFDRNVDEALRLIDQLRLYGYCPDSLNLSSVIHALCHARRFPEAHRRFFLAVSAHSNVNESTCNVIIARLLDSRSPESTLHVIRALFREKPEFVPSLMNYNRLMDQFCALSRPRDAHQVFLEMRNRGHCPNVVSYTTLINGYCGVGEIGDAWNLFDEMSERGVSPNAFTYSILIRGVLRKRDIEKGKALIGNLWEVMIGEEDMHVNTAAFSNVIDCLCREGLFHEVFRIAEDMPQGKCVVEEFSYSQMIDSLCRYGMYNGGARIIYIMKKRGFKPSLVAYNTIVHGICRECDFFRAYQLLEEGIQFGYSPSEFTYNLLIEGLCSVSDLDKAKNVLNIMLNKKVVDRTRIYNIYLRAICLNDNPTELLNTLVTMLQTQCQPDLITLNIVINGFCKMGRVEEALKVLQDMMSGKFCTPDVVTYTTVVGGLLEVGRDDTTVVGGLLEVGRDEEALHLLRNTMPMNGITLGVLRNTMPMNGITLGVLTYNTTLQGLFKMHRVDDAMEMFNCMIGHGTAADCTTYTIIIDGLFKSKRIDEAKRFWDDIVWPSRVHDSYVYSAILKGLCRSGRVEEACDFLYELVDCGVTLCHVNYNIVIDGACKLGLKKEAYQILGEMRKNGLAPDAVTWRILDKLHQKRGMQFCDSEDLTLQSQEPLVN >itb14g13310.t1 pep chromosome:ASM357664v1:14:15254938:15255279:1 gene:itb14g13310 transcript:itb14g13310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYIGGEVAWRHGALLHSSKHERKIRRLKLLALSFFRFSVCLQSCFLSDGELERRMEMPSHFYQLEMGKREREKTETGFDSVVRNLVREREYTFFVFYPKFHSSRLLYSHLE >itb05g08160.t1 pep chromosome:ASM357664v1:5:10922788:10925034:-1 gene:itb05g08160 transcript:itb05g08160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESWVPLFKIFLNSTCPEADASLWLQQSFDPASSSISTTSFISLLTKAIDIDLTDPSSPSSSPSNHRKRVMWIRTLPNAVQARILSFLLYDSRMFLKRDLCKLAEKILNEGKELDFWVQRAAQQLFDVLSEPKCEEVSCLHLDAEEEKMEHEFWSLPDWLKDAASKNDLVLPWLPMSLSELISGMQLSSYGENDDLLVGVEENKEEDLDERMEEVGAGFSEDDYSLDPGVEKMAVCLKVRILNFESTVKTIELAREVQQLCRGGNALALFHIIEPWGADDETASILISHLVDGEEDELGWPSYVLCSIVLPKLLSLLEPASRVLMTAIVEYCKVHQKAAEYALLFPLILKVGGINNPICDVITKIMKECLHPGHASALCQRLLCEQKDAPKFICLPCHRYLIGRELAQILSFPLTMFFMDSNLGPLSANLVLA >itb13g05210.t1 pep chromosome:ASM357664v1:13:6192282:6196077:-1 gene:itb13g05210 transcript:itb13g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETNEVGSSEDGAKFVSNAGSEKDVELDGSEGASGSDDECDDGYSGESEEEEVSEEEITPFSFLEDDANYEALAEKKRKAVAAHQNEGPSKKQKETEVLGASFDEIMEAMCYGSKRKSRRRKRKKGRPKGSRGNGDPVAKKLLGEATLCYATGDYERAVKLLTECIRRNPMHDAYHTLGLVYNAKGDKKRAIYAYMLATKSVTRPKETSLWKSLLSWFLDLGNSAAVNYYLPKAIAADPEDITLKFLGASHYTELQEHEKAAKLYEQIHQLCPDNSDACISAAELYKQCGKVECSLVSLENYLSHYPTGGDPRIKSMLISICIENGEYSRALQHIRKDCSGKELSFDLTAKAGICYLHLGDLDNAKALFGAFHLENANDFTESIIEIADTFKKLEHYESALHYYLMLERKLGPISGTLNLKIAECYLSLIKMDEAITFFCKAIPLLENSVETRLTLASLLLEKEKDKEAISFLSPPNSEFGLQTVCNIPEKWWHEEKVQLRLANIYRDKDMIQEFVDTFYPLVYRSLTSEGPKKVGKKLPKRVLLERAKVLDNDQTDNPLQGFKPVANVTDRRKASRAKRVLQRRSELKEEKKTKTLAAGLDWKSEDSDDEFRVSLHKKKPPTPKLLKDEENHQLFVDLTKALASLGRYEEAFDVCNLTLRFASDILSNERRDSVTTLGAQLACKIQDAKSGCSFLRHFLHQHPSKMAAWSYYYKALSKLEIAPSTNNRFLLHARNQQKDCIPPIIIAGHQFCEIGQYQVAAREYLETHKLLPNCPLINLCIGTALINLAFDIRLKNKHQCVLQGLAFLYNNLQLCENSQEALYNIARAYQQVGLVSLAASYYENVLTTMEKDCPIPSFPYENQCAQIRKPGHCDLRREAAYNLHLIYKASGAFDLSRQVLQDHCTV >itb11g13410.t1 pep chromosome:ASM357664v1:11:10368307:10372897:1 gene:itb11g13410 transcript:itb11g13410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEGKWSLQGMTALVTGGTRGIGYAIIEELAGFGAEVYTCSRNQNDVDECVERWREKGLKVAGSACDISSRPQREELMEKVANHFNGKLNILVNNAGIIVPKDAIEFTAEDYTNVMGTNFEASFHLCQLAYPYLKASQMGSIVFNSSAVGIIPVIKSTLYSASKGAINQVTKNLACEWAKDNIRVNSVAPWIIRTKLVNDADSDAEISDEIERMIRRTPISRPGEVGEVSSLVAFLCLPAASYITGQTICVDGGYTITGFP >itb04g12060.t1 pep chromosome:ASM357664v1:4:11768219:11781830:-1 gene:itb04g12060 transcript:itb04g12060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQADPRQYHLQQVAQEQQQQNQQLISRILYDGSGLAGGDQRVDSSSSALSATASTNITPDVAREIDEDMLVTLAHQNYKAGNYNQALENCKAVYEKNPQRTDNLLLFGAIYYQLHDFDMCIAKNEEAIRVNPHFAECYGNMANAWKEKGNIDVAIRYYLVAIEFRPNFADVWSNLASAYMRKGRLTEAAQCCRQALALNPRLVDAHSNLGNLMKTQGLVQEAYTCYVEAIRIQPTFAIAWSNLAGLFMEAGDLNRALQYYKEAVKLKPNFPDAYLNLGNVYKALAMPQEAIMCYQRALQVRPDYAMAFGNLASLYYEQGNLDMAILNYRGAISCDAGFLEAYNNLGNALKDSGRVEEAIHCYHQCLSLQPNHPQALTNLGNIYMDWNLVSAAAQCYKATLVVTTGLSAPFNNLAIIYKQQGSYADAISCYNEVLRIDPLAADGHVNRGNTYKEIGRVNEAIQDYLRAIAIRPSMAEAHANLASAYKDSGNVEVAIKSYRQALILRPDFPEATCNLLHTLQCVCDWDNREQMFIEVEGILQRQIKMSVLPSVQPFHAIAYPLDPMLALDISRKYAQHCSVIASRYSLPSFNHPPPLPIKGGSGNVRLRVGYVSSDFGNHPLSHLMGSVFGMHEKENVEVFCYALSPNDGTEWRLRIQSEAEHFKDVSSMSSDMIARMINEDQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIHYLVTDEFVSPTRYSHIYSEKLVHLPHCYFVNDYKQKSCDVLDPDCLPKRSDYGLPEDKFIFACFNQLYKMDPEICTTWCNILKRVPNSALWLLRFPAAGELRLRAYAAAQGVQPDQIIFTDVAMKQEHIRRSSLADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGDEMIVNSMKEYEEKAVSLALDRPKLQDLTNRLKVARITCPLFDTERWVRNLERAYFKMWNLYCSGQHPLPFKVIENDKEFPYDR >itb04g12060.t3 pep chromosome:ASM357664v1:4:11768236:11781789:-1 gene:itb04g12060 transcript:itb04g12060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQADPRQYHLQQVAQEQQQQNQQLISRILYDGSGLAGGDQRVDSSSSALSATASTNITPDVAREIDEDMLVTLAHQNYKAGNYNQALENCKAVYEKNPQRTDNLLLFGAIYYQLHDFDMCIAKNEEAIRVNPHFAECYGNMANAWKEKGNIDVAIRYYLVAIEFRPNFADVWSNLASAYMRKGRLTEAAQCCRQALALNPRLVDAHSNLGNLMKTQGLVQEAYTCYVEAIRIQPTFAIAWSNLAGLFMEAGDLNRALQYYKEAVKLKPNFPDAYLNLGNVYKALAMPQEAIMCYQRALQVRPDYAMAFGNLASLYYEQGNLDMAILNYRGAISCDAGFLEAYNNLGNALKDSGRVEEAIHCYHQCLSLQPNHPQALTNLGNIYMDWNLVSAAAQCYKATLVVTTGLSAPFNNLAIIYKQQGSYADAISCYNEVLRIDPLAADGHVNRGNTYKEIGRVNEAIQDYLRAIAIRPSMAEAHANLASAYKDSGNVEVAIKSYRQALILRPDFPEATCNLLHTLQCVCDWDNREQMFIEVEGILQRQIKMSVLPSVQPFHAIAYPLDPMLALDISRKYAQHCSVIASRYSLPSFNHPPPLPIKGGSGNVRLRVGYVSSDFGNHPLSHLMGSVFGMHEKENVEVFCYALSPNDGTEWRLRIQSEAEHFKDVSSMSSDMIARMINEDQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIHYLVTDEFVSPTRYSHIYSEKLVHLPHCYFVNDYKQKSCDVLDPDCLPKRSDYGLPEDKFIFACFNQLYKMDPEICTTWCNILKRVPNSALWLLRFPAAGELRLRAYAAAQGVQPDQIIFTDVAMKQEHIRRSSLADLFLDTYKIPLTFWHQVYTLSTWALNSIFTLDRPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGDEMIVNSMKEYEEKAVSLALDRPKLQDLTNRLKVARITCPLFDTERWVRNLERAYFKMWNLYCSGQHPLPFKVIENDKEFPYDR >itb04g12060.t2 pep chromosome:ASM357664v1:4:11768237:11781646:-1 gene:itb04g12060 transcript:itb04g12060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQADPRQYHLQQVAQEQQQQNQQLISRILYDGSGLAGGDQRVDSSSSALSATASTNITPDVAREIDEDMLVTLAHQNYKAGNYNQALENCKAVYEKNPQRTDNLLLFGAIYYQLHDFDMCIAKNEEAIRVNPHFAECYGNMANAWKEKGNIDVAIRYYLVAIEFRPNFADVWSNLASAYMRKGRLTEAAQCCRQALALNPRLVDAHSNLGNLMKTQGLVQEAYTCYVEAIRIQPTFAIAWSNLAGLFMEAGDLNRALQYYKEAVKLKPNFPDAYLNLGNVYKALAMPQEAIMCYQRALQVRPDYAMAFGNLASLYYEQGNLDMAILNYRGAISCDAGFLEAYNNLGNALKDSGRVEEAIHCYHQCLSLQPNHPQALTNLGNIYMDWNLVSAAAQCYKATLVVTTGLSAPFNNLAIIYKQQGSYADAISCYNEVLRIDPLAADGHVNRGNTYKEIGRVNEAIQDYLRAIAIRPSMAEAHANLASAYKDSGNVEVAIKSYRQALILRPDFPEATCNLLHTLQCVCDWDNREQMFIEVEGILQRQIKMSVLPSVQPFHAIAYPLDPMLALDISRKYAQHCSVIASRYSLPSFNHPPPLPIKGGSGNVRLRVGYVSSDFGNHPLSHLMGSVFGMHEKENVEVFCYALSPNDGTEWRLRIQSEAEHFKDVSSMSSDMIARMINEDQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIHYLVTDEFVSPTRYSHIYSEKLVHLPHCYFVNDYKQKSCDVLDPDCLPKRSDYGLPEDKFIFACFNQLYKMDPEICTTWCNILKRVPNSALWLLRFPAAGELRLRAYAAAQGVQPDQIIFTDVAMKQEHIRRSSLADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGDEMIVNSMKEYEEKAVSLALDRPKLQDLTNRLKVARITCPLFDTERWVRNLERAYFKMWNLYCSGQHPLPFKVIENDKEFPYDR >itb12g11910.t1 pep chromosome:ASM357664v1:12:10417462:10419352:-1 gene:itb12g11910 transcript:itb12g11910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLNSPPSVSSASSILLPPFPKTPTSFIKNPGNFHPFARNIAQEESSLTENPDKLSTKQAFVSTNQSEIELSEENSSAHAIWVSENCEKNDPETARTVLNRMLDNGVQPNVAMFTTVINSFCKKGRLKQAFEVFETMGQAGCEPTINTYNCLLKGMCYVGRVEAAYEMLKTVKKSALKPDIYTYTAVMDGFCKVGRSDEATELLDEALEMGLSPSVVTYNTLFNGYFKEGRPLDGIRLLEQMKLKNCIPDYVTYSTLLHGLLKWGKIRVALSVYKEMLELGHDVDGRMMNTLLRGLCRRGRMNEWVLRDAYEVFDRMRERKIVVEHRAYELVIEALCNGKEVDKALASLVEMVRIGYSPGRFTFCNVIRALCEDGKVDDALSVLSLTHRSSRFWVGVPPYNILIKELNRQGRALDAGNVYGAALKRGLMVPRKKPIRFSDKAQAPHIANG >itb03g04740.t1 pep chromosome:ASM357664v1:3:3064448:3065490:1 gene:itb03g04740 transcript:itb03g04740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEIIKQESDMIFSGGEVKSLEVENNGDPRENNNNNNNKSPKEKHYVGVRKRPWGKYAAEIRDSTRNGMRVWLGTFNSAEEAALAYDQAAVLMRGPSSCLNFPVDRVNESLQEMGCCYGCKDGWSPAEALKEKHKKRSSRGKRKQAENNGGGGGGVGFEKAARDCDGDDLPPLVFEDLGADLLDQLLSESYTSQ >itb14g06550.t1 pep chromosome:ASM357664v1:14:5814433:5815451:-1 gene:itb14g06550 transcript:itb14g06550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTENIDMIFSVRYFNKAYKAGLGQFLMKLPSYGDLKMVMSDYEDFVMIEKLHAVSFSSLRNMVNRSDAKNILISSGETRLAQMLGDQEIKGATTIYLACSSPEAAEAFCKEIEYHPIIKDSYQLLQMVSPEVLEIKMTSALRRRLCRAFKHHAGLILHRKKVEDTSCFT >itb04g07920.t1 pep chromosome:ASM357664v1:4:5479206:5479941:1 gene:itb04g07920 transcript:itb04g07920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFICNGKNSWPELVGVDGFVAKATVESENSLVEAELVYEKCPVTLDFRCNRVRIGVDCNNLVIATPVIG >itb05g27320.t1 pep chromosome:ASM357664v1:5:30753486:30755556:1 gene:itb05g27320 transcript:itb05g27320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPTKADTNTKPAADPSKPPAKKWFCCVQTPHVDS >itb07g02910.t1 pep chromosome:ASM357664v1:7:1938139:1945003:-1 gene:itb07g02910 transcript:itb07g02910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIAEVGAEDLVRAGLAPEEAALLEGELKDAVALSRRRLRANAAAGEETARGEEPRDVWREITARKLLKPWHPHALHQLIYYSVYHDYDESSLGPPLYWFPSLDEAKCTNLGRLMETHGPKVLGASYKDPIASFKQFHKFSVEHPEIYWSFILNELSIRFREAPKCILDTSDKSKPRGSWLPGSVLNIAECCLLPSSYPRKPDNSLAIVWRAEGRDDEDVNCMSLKELREQVMLVANALDSIFSKGDTIAIDMQMTEKAVIIYLAIVLGGFVVVSIADSFAPKEIATRLRVSKAQGIFTQDFIMRGGRRFPLYSRVVEAAPKKVIVVPAAGEDVEIQLRQQDVSWEGFLAGAHHLPRPSYYTPAYQPIDAITNILFSSGTTGDPKAIPWTHLSPMRTAADSWAHADVQAGDVYCWPTNLGWVMGPTLLYACFLTGATLALYHGSPLGRSFGKFVQDAGVTILGTVPSLVKAWKSTDCMKGLDWTRIRVFATTGEASNVDDDLWLTSRAYYKPIVECCGGTELASTYIAGSLLQPQAFGTFSTASMSVDFVILDEDGHAYPDDKACIGEVGLFPINFGASEVLLNADHERVYFKGMPVHKGMQLRRHGDILKRTVGGYLVVQGRADDTMNLGGIKTSSVEIERVCNLADKNVVETAAVSASPANGGPEQLVIFVVLKEGFKIAPEELKTKFSRAIQRNLNPLFKVNAVKIVPSFPRTASNKVLRRLLRDKWKQELLTQSKL >itb07g02910.t2 pep chromosome:ASM357664v1:7:1938139:1944863:-1 gene:itb07g02910 transcript:itb07g02910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIAEVGAEDLVRAGLAPEEAALLEGELKDAVALSRRRLRANAAAGEETARGEEPRDVWREITARKLLKPWHPHALHQLIYYSVYHDYDESSLGPPLYWFPSLDEAKCTNLGRLMETHGPKVLGASYKDPIASFKQFHKFSVEHPEIYWSFILNELSIRFREAPKCILDTSDKSKPRGSWLPGSVLNIAECCLLPSSYPRKPDNSLAIVWRAEGRDDEDVNCMSLKELREQVMLVANALDSIFSKGDTIAIDMQMTEKAVIIYLAIVLGGFVVVSIADSFAPKEIATRLRVSKAQGIFTQDFIMRGGRRFPLYSRVVEAAPKKVIVVPAAGEDVEIQLRQQDVSWEGFLAGAHHLPRPSYYTPAYQPIDAITNILFSSGTTGDPKAIPWTHLSPMRTAADSWAHADVQAGDVYCWPTNLGWVMGPTLLYACFLTGATLALYHGSPLGRSFGKFVQDAGVTILGTVPSLVKAWKSTDCMKGLDWTRIRVFATTGEASNVDDDLWLTSRAYYKPIVECCGGTELASTYIAGSLLQPQAFGTFSTASMSVDFVILDEDGHAYPDDKACIGEVGLFPINFGASEVLLNADHERVYFKGMPVHKGMQLRRHGDILKRTVGGYLVVQGRADDTMNLGGIKTSSVEIERVCNLADKNVVETAAVSASPANGGPEQLVIFVVLKEGFKIAPEELKTKFSRAIQRNLNPLFKVNAVKIVPSFPRTASNKVLRRLLRDKWKQELLTQSKL >itb01g04460.t1 pep chromosome:ASM357664v1:1:3010002:3013586:1 gene:itb01g04460 transcript:itb01g04460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPPFTSLFVLISLLTGVTSQLLGQLCPNTSSSTYAPNSTYRANLDVLLSALSSNANRTDGFYNTTVGGRNAAVYGLFMCRGDVSAAVCSACVSDATVTVLQQCPNQMTATIWYDYCMLRYSDGPVYGRPDPSSVIFILRNTLNDSQPDRFMESVRKTLDWVVSLVVNDESPHKKFATREDNISASERIYCLGQCRPDLSRLDCRRCLSNGVQQLRTPTMGARTITADCSIRYEVYPFYNSTAASAPPLAAGEGNRGNSSSKVIIAIVVPVIGIIFFIAIFCFVRVRKVRKQNTTTEQTDVSGISTEGCLQYDLATIQVITSNFSPENKIGEGGYGYVYKGKLLNGQEVAVKRLSKSSGQGTQEFKTEVEVVAKLQHRNLVRLLGFCSEGEEKILIYEFVPNKSLDYFLFEPKKRHLLDWSRRYKIIEGIARGLRYLHEDSRIRIIHRDLKASNILLDGNMNPKIADFGMAKIFGVDQTQENTNRVVGTYKKMSFLIPIVRFIHFLPITEILYVFTLMAGSGYMSPEYAMLGHFSVKSDVYSFGVLLLEIVTGKRNANFSDRSGVQDLLSYAWKHWRDGTPLGIVDPVLGESYSRNEVIQCIHIGLLCIQENIDERPTMGNVDLMLNSYSITKSVPREPAFFYSGRSSEQRGVESNKSMSKSMPWSVNEMSITELGPR >itb01g11960.t1 pep chromosome:ASM357664v1:1:11328408:11346135:1 gene:itb01g11960 transcript:itb01g11960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPLDWYCQPVKNGVWSTAVENAFGVYTPCVTDSLVISISHLVILVLCLYRIWKIKKDFTVQRFCLKSKYYNYVLGLLAAYCTGVPLYRLVMRISALNVDGQTGIAPYEIISLTIEALAWFSMVVMIGIETKVYIRETRWFVRFGVIYALVGDAVMFNLIMAVAEFYSRSVLYLYISEVAVQALFGMLLLFYAPDLDPYPGYSPVRTESIDNTAYEELPEAEQICPERQANILSAITFAWMNPLMQLGYKRPLTEKDVWKLDTWDRTETLNDAFQKCWAEESQRPRPWLLRALNSSLGGRFWWGGFWKIFNDLSQFVGPLILNELLQSMQNGDPAWIGYIYAFGIFLGVVFGVLCEAQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHESRKKFATGKITNLMTTDAESLQQICQSLHTLWSAPFRIVIALVLLYEQLGVASLLGAIMLALMFPLQTFVISKMQRMTKEGLQRTDKRIGLMNEILAAMDTVKSYAWEESFQSKVQGVRNEELNWFRQAQMLGALNMFLLNSIPVFVIVISFGVFTLLGGDLTPARAFTSLSLFSVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEDRILLPNPPLEPGLPAISIKNGSFSWESKAEKPTLSNINLDIPIGSLVAVVGGTGEGKTSLISAMLGEVPAVTDASVAIRGTVAYVPQISWIFNATVRDNILFGSQFERARYDKAIDVTALEHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDADVGRQVFEKCIRGELRGRTRVLVTNQLHFLSQVDRIIVVHDGTVKEEGTFEYLSNNGAIFQRLMENAGKMEEYADDNNEFIDMVGDKTSKPVNGETNASSKDAGETNKKKEGKSILIKQEERETGVVSWNVLARYKNALGGAWAVLILLMCYVSTEVLRVASSMWLSFWTDESSSTRYSSGFYNLIYSVLSFAQVFVTLVNSFWLITSSLAAARRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFANMFMGQIAQLLSTFVLIGIVSTMSLWVILPLLVLFYGAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLPTIRAYKAYDRMAMINGNSMDNNIRYTLVTMSGNRWLAIRLETLGGIMIWLTATFAVMQNGRAENQEAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIDLPSEGPAIIESNRPPPAWPSAGSVQFENVVLRYRPELPPVLHGISFTISPSDKVGVVGRTGAGKSSMFNALFRLVELERGRIIIDDYDVSKFGLTDLRKVLGIIPQSPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRSSLGLDTEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGQVLEYNTPEALLLNEESAFYRMVQSTGAANAQYLRGLVLREGGEKSEKENQLDGRRRWLASSRWAAAAQYALAVSLTSSQNDLLQLEIEDEVNILRKTKDAVVTLQGVLEGKHNKEIEETLDNYQLSRDRWWFSLYRMIEGLATMSRLAPNRIQHSEYEFEGKAIDWDRAEM >itb01g11960.t2 pep chromosome:ASM357664v1:1:11328408:11346135:1 gene:itb01g11960 transcript:itb01g11960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPLDWYCQPVKNGVWSTAVENAFGVYTPCVTDSLVISISHLVILVLCLYRIWKIKKDFTVQRFCLKSKYYNYVLGLLAAYCTGVPLYRLVMRISALNVDGQTGIAPYEIISLTIEALAWFSMVVMIGIETKVYIRETRWFVRFGVIYALVGDAVMFNLIMAVAEFYSRSVLYLYISEVAVQALFGMLLLFYAPDLDPYPGYSPVRTESIDNTAYEELPEAEQICPERQANILSAITFAWMNPLMQLGYKRPLTEKDVWKLDTWDRTETLNDAFQKCWAEESQRPRPWLLRALNSSLGGRFWWGGFWKIFNDLSQFVGPLILNELLQSMQNGDPAWIGYIYAFGIFLGVVFGVLCEAQYFQNVMRVGYRLRSTLVAAVFRKSLRLTHESRKKFATGKITNLMTTDAESLQQICQSLHTLWSAPFRIVIALVLLYEQLGVASLLGAIMLALMFPLQTFVISKMQRMTKEGLQRTDKRIGLMNEILAAMDTVKSYAWEESFQSKVQGVRNEELNWFRQAQMLGALNMFLLNSIPVFVIVISFGVFTLLGGDLTPARAFTSLSLFSVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEDRILLPNPPLEPGLPAISIKNGSFSWESKAEKPTLSNINLDIPIGSLVAVVGGTGEGKTSLISAMLGEVPAVTDASVAIRGTVAYVPQISWIFNATVRDNILFGSQFERARYDKAIDVTALEHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDADVGRQVFEKCIRGELRGRTRVLVTNQLHFLSQVDRIIVVHDGTVKEEGTFEYLSNNGAIFQRLMENAGKMEEYADDNNEFIDMVGDKTSKPVNGETNASSKDAGETNKKKEGKSILIKQEERETGVVSWNVLARYKNALGGAWAVLILLMCYVSTEVLRVASSMWLSFWTDESSSTRYSSGFYNLIYSVLSFAQVFVTLVNSFWLITSSLAAARRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFANMFMGQIAQLLSTFVLIGIVSTMSLWVILPLLVLFYGAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLPTIRAYKAYDRMAMINGNSMDNNIRYTLVTMSGNRWLAIRLETLGGIMIWLTATFAVMQNGRAENQEAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIDLPSEGPAIIESNRPPPAWPSAGSVQFENVVLRYRPELPPVLHGISFTISPSDKVGVVGRTGAGKSSMFNALFRLVELERGRIIIDDYDVSKFGLTDLRKVLGIIPQSPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRSSLGLDTEVYIISSTLPTVTTRGCAPDKSRFVTLADKGPQGGLRGRGEFQCWTEAAIESCSSIASQIKDSCSG >itb04g32040.t1 pep chromosome:ASM357664v1:4:34719488:34725083:-1 gene:itb04g32040 transcript:itb04g32040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGAATTATPRPITSADEDALLKQFFAEVSEVERDNEVNRILSCFKLNPFEYLNLSFDSSLEDVKRQYRKLSLLVHPDKCKHPQAKEAFGALAKAQQLLLDPQERDYVLNQVNAAKEELKSKWKKQVKKDTASKLKSLVSEGKFEQEYEQSEEFQQQLKLKVREILTEQEWRRRKMQMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKGGKKVKKGEIRPPKLKTEDPNKSYVQRPVKRG >itb14g20910.t4 pep chromosome:ASM357664v1:14:23162880:23165125:1 gene:itb14g20910 transcript:itb14g20910.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLSFCRKLCTSRSFLVHFFNKEFSSTSQRKLEGKVALITGAAGGIGKETAAKFIDNGAKVVIADIQEQLGKDTAAKLGENAAFVTCDVSEERDISAAVDFAVSKHGKLDIMYNNAGIACRTPASIVDLDMAAFERVMAVNVRGVVAGVKHAARVMIPRRSGTILCTASVTGVIGGLAQHTYSTSKSSVIGIVKSVAAELCKHGIRVNCISPFAIPTAFAISEMKDYFPGVDDRGLAGMLHKAGVLEGAYCEPCDVANAAVYLASDDAKYVSGQNLVVDGGFTSMKSLNLSPP >itb14g20910.t3 pep chromosome:ASM357664v1:14:23162880:23165125:1 gene:itb14g20910 transcript:itb14g20910.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVVPRKLCTSRSFLVHFFNKEFSSTSQRKLEGKVALITGAAGGIGKETAAKFIDNGAKVVIADIQEQLGKDTAAKLGENAAFVTCDVSEERDISAAVDFAVSKHGKLDIMYNNAGIACRTPASIVDLDMAAFERVMAVNVRGVVAGVKHAARVMIPRRSGTILCTASVTGVIGGLAQHTYSTSKSSVIGIVKSVAAELCKHGIRVNCISPFAIPTAFAISEMKDYFPGVDDRGLAGMLHKAGVLEGAYCEPCDVANAAVYLASDDAKYVSGQNLVVDGGFTSMKSLNLSPP >itb14g20910.t1 pep chromosome:ASM357664v1:14:23162880:23166505:1 gene:itb14g20910 transcript:itb14g20910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVVPRKLCTSRSFLVHFFNKEFSSTSQRKLEGKVALITGAAGGIGKETAAKFIDNGAKVVIADIQEQLGKDTAAKLGENAAFVTCDVSEERDISAAVDFAVSKHGKLDIMYNNAGIACRTPASIVDLDMAAFERVMAVNVRGVVAGVKHAARVMIPRRSGTILCTASVTGVIGGLAQHTYSTSKSSVIGIVKSVAAELCKHGIRVNCISPFAIPTAFAISEMKDYFPGVDDRGLAGMLHKAGVLEGAYCEPCDVANAAVYLASDDAKYVSGQNLVVDGGFTSMKRRRSDT >itb14g20910.t2 pep chromosome:ASM357664v1:14:23162880:23166505:1 gene:itb14g20910 transcript:itb14g20910.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLSFCRKLCTSRSFLVHFFNKEFSSTSQRKLEGKVALITGAAGGIGKETAAKFIDNGAKVVIADIQEQLGKDTAAKLGENAAFVTCDVSEERDISAAVDFAVSKHGKLDIMYNNAGIACRTPASIVDLDMAAFERVMAVNVRGVVAGVKHAARVMIPRRSGTILCTASVTGVIGGLAQHTYSTSKSSVIGIVKSVAAELCKHGIRVNCISPFAIPTAFAISEMKDYFPGVDDRGLAGMLHKAGVLEGAYCEPCDVANAAVYLASDDAKYVSGQNLVVDGGFTSMKRRRSDT >itb10g16070.t4 pep chromosome:ASM357664v1:10:22330533:22333428:-1 gene:itb10g16070 transcript:itb10g16070.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVASGSSSSASRIVRCPDCGNVLPELPDFSVSLCGSCGAVLRAKWKGHVKGGLSPDISDDEKGGVVTSKRGIAMGSNNVSETEGNRKLELGNCSTSRSENGDFLARSGKRNPISVRTRVEKSMRDRLSDRDEYGSSIDEELDLDDWACRNDARMDIGDGRYGSKLEEIRPPRVGPFGSRIGNEKWGGERNGSAMDVARQKRGMNFAPLEEGSLDYVMRSSYGAGDYRRYHEDGFNGFTRVADLESDRAELLRRIDELKDQLSQTCGDVAENPKERIAIEPRMASKTPFDPYRSRDVYRQGLFGANKQPPRDQGCHVLGPPYIYDVAEDVPYKGRHGSIVQDSYSVTRYRHGKVEYDNAYYEQVNTRAYQPYPCFPQMYHNEYPDPLMDVNPHPLRLNPHKSPFHRPSCSCSQCFNQNPKVSPLIPPPAFGNQRSHDPANPVLYPPEISAAHNQQWHRRGSGGHAQEKFDVGHQNSPRKAVIAHGSGRVCRAISGGAPFITCCNCFELLKLPWKVGMMGKNQNKMRCGACSYIMLYELSSKGITLSVPQQIAKLSADGSGDIPSESFENSHGSMNPCSNYDDHSYEFSDTKVEPLPANLKSISDESGKRQDARSLSSSFSEPEKNPEVMVGKDHSLSVGLPSKDDVPLPLSNSPLHHNVSSDDVGDGYIMVSKWSSENEGQQNSMEDAPVETVMDISINESINSSVSQEFFAGLVKSRTEELSKCNQNSEDRRSNVFVNGQLIPACEVKRAEDLAGPIQIGDY >itb10g16070.t2 pep chromosome:ASM357664v1:10:22329495:22333524:-1 gene:itb10g16070 transcript:itb10g16070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVASGSSSSASRIVRCPDCGNVLPELPDFSVSLCGSCGAVLRAKWKGHVKGGLSPDISDDEKGGVVTSKRGIAMGSNNVSETEGNRKLELGNCSTSRSENGDFLARSGKRNPISVRTRVEKSMRDRLSDRDEYGSSIDEELDLDDWACRNDARMDIGDGRYGSKLEEIRPPRVGPFGSRIGNEKWGGERNGSAMDVARQKRGMNFAPLEEGSLDYVMRSSYGAGDYRRYHEDGFNGFTRVADLESDRAELLRRIDELKDQLSQTCGDVAENPKERIAIEPRMASKTPFDPYRSRDVYRQGLFGANKQPPRDQGCHVLGPPYIYDVAEDVPYKGRHGSIVQDSYSVTRYRHGKVEYDNAYYEQVNTRAYQPYPCFPQMYHNEYPDPLMDVNPHPLRLNPHKSPFHRPSCSCSQCFNQNPKVSPLIPPPAFGNQRSHDPANPVLYPPEISAAHNQQWHRRGSGGHAQEKFDVGHQNSPRKAVIAHGSGRVCRAISGGAPFITCCNCFELLKLPWKVGMMGKNQNKMRCGACSYIMLYELSSKGITLSVPQQIAKLSADGSGDIPSESFENSHGSMNPCSNYDDHSYEFSDTKVEPLPANLKSISDESGKRQDARSLSSSFSEPEKNPEVMVGKDHSLSVGLPSKDDVPLPLSNSPLHHNVSSDDVGDGYIMVSKWSSENEGQQNSMEDAPVETVMDISINESINSSVSQEFFAGLVKSRTEELSKCNQNSEDRRSNVFVNGQLIPACEVKRAEDLAGPIQIGDYWYDVRAGFWGVMGHPCLGIIPVSNKFVFFFSKYCLLN >itb10g16070.t1 pep chromosome:ASM357664v1:10:22329493:22333604:-1 gene:itb10g16070 transcript:itb10g16070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVASGSSSSASRIVRCPDCGNVLPELPDFSVSLCGSCGAVLRAKWKGHVKGGLSPDISDDEKGGVVTSKRGIAMGSNNVSETEGNRKLELGNCSTSRSENGDFLARSGKRNPISVRTRVEKSMRDRLSDRDEYGSSIDEELDLDDWACRNDARMDIGDGRYGSKLEEIRPPRVGPFGSRIGNEKWGGERNGSAMDVARQKRGMNFAPLEEGSLDYVMRSSYGAGDYRRYHEDGFNGFTRVADLESDRAELLRRIDELKDQLSQTCGDVAENPKERIAIEPRMASKTPFDPYRSRDVYRQGLFGANKQPPRDQGCHVLGPPYIYDVAEDVPYKGRHGSIVQDSYSVTRYRHGKVEYDNAYYEQVNTRAYQPYPCFPQMYHNEYPDPLMDVNPHPLRLNPHKSPFHRPSCSCSQCFNQNPKVSPLIPPPAFGNQRSHDPANPVLYPPEISAAHNQQWHRRGSGGHAQEKFDVGHQNSPRKAVIAHGSGRVCRAISGGAPFITCCNCFELLKLPWKVGMMGKNQNKMRCGACSYIMLYELSSKGITLSVPQQIAKLSADGSGDIPSESFENSHGSMNPCSNYDDHSYEFSDTKVEPLPANLKSISDESGKRQDARSLSSSFSEPEKNPEVMVGKDHSLSVGLPSKDDVPLPLSNSPLHHNVSSDDVGDGYIMVSKWSSENEGQQNSMEDAPVETVMDISINESINSSVSQEFFAGLVKSRTEELSKCNQNSEDRRSNVFVNGQLIPACEVKRAEDLAGPIQIGDYWYDVRAGFWGVMGHPCLGIIPPNIPEFDFPMPKNCAAGNTGVFVNGRELHQKDLNLLSSRGLPITKNKSYRVEISGKVMDEQTGEELESLGKLAPTVERAKHGFGMKIPKFIAEKSY >itb10g16070.t3 pep chromosome:ASM357664v1:10:22329662:22333573:-1 gene:itb10g16070 transcript:itb10g16070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVASGSSSSASRIVRCPDCGNVLPELPDFSVSLCGSCGAVLRAKWKGHVKGGLSPDISDDEKGGVVTSKRGIAMGSNNVSETEGNRKLELGNCSTSRSENGDFLARSGKRNPISVRTRVEKSMRDRLSDRDEYGSSIDEELDLDDWACRNDARMDIGDGRYGSKLEEIRPPRVGPFGSRIGNEKWGGERNGSAMDVARQKRGMNFAPLEEGSLDYVMRSSYGAGDYRRYHEDGFNGFTRVADLESDRAELLRRIDELKDQLSQTCGDVAENPKERIAIEPRMASKTPFDPYRSRDVYRQGLFGANKQPPRDQGCHVLGPPYIYDVAEDVPYKGRHGSIVQDSYSVTRYRHGKVEYDNAYYEQVNTRAYQPYPCFPQMYHNEYPDPLMDVNPHPLRLNPHKSPFHRPSCSCSQCFNQNPKVSPLIPPPAFGNQRSHDPANPVLYPPEISAAHNQQWHRRGSGGHAQEKFDVGHQNSPRKAVIAHGSGRVCRAISGGAPFITCCNCFELLKLPWKVGMMGKNQNKMRCGACSYIMLYELSSKGITLSVPQQIAKLSADGSGDIPSESFENSHGSMNPCSNYDDHSYEFSDTKVEPLPANLKSISDESGKRQDARSLSSSFSEPEKNPEVMVGKDHSLSVGLPSKDDVPLPLSNSPLHHNVSSDDVGDGYIMVSKWSSENEGQQNSMEDAPVETVMDISINESINSSVSQEFFAGLVKSRTEELSKCNQNSEDRRSNVFVNGQLIPACEVKRAEDLAGPIQIGDYWYDVRAGFWGVMGHPCLGIIPPNIPEFDFPMPKNCAAGNTGVFVNGRELHQKDLNLLSSRGLPITKNKSYRVEISGKVMDEQTGEELESLGKLAPT >itb06g06120.t1 pep chromosome:ASM357664v1:6:8817188:8817541:-1 gene:itb06g06120 transcript:itb06g06120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 45 [Source:Projected from Arabidopsis thaliana (AT3G01970) UniProtKB/Swiss-Prot;Acc:Q9S763] MKNQTALFLGFTPPLAASSEDAKKVVGYPIPKEAKDNNSDNNVGVDEEISLLLSQKESSEGVVSKKKGEKKARMPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRLVSTSTLP >itb03g00740.t1 pep chromosome:ASM357664v1:3:395704:397209:-1 gene:itb03g00740 transcript:itb03g00740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE329 [Source:Projected from Arabidopsis thaliana (AT3G55250) UniProtKB/TrEMBL;Acc:A0A178VJJ9] MGVVTAQSSSLRPLQLHTRSITCNSFFPRRLYRLRGIARPSTGSNAVAFQVKAYMDESNSISGFANKVIGSLPVIGLLARIASNEGGVGGDIIDFAEFRRRVGNKCSVNDSRAFYDFQDRRGRSGDPLYVLLCCWLAAVGAGLLKSEEILEGVARLRISNDIELEEQNFMAMMNEAREKRAKLRAPAPSIPMEIRAEKALDAVYVCCYGDDPMEEEDQRLLCILLGAVFPTVNKAEIGRIVKEKAKRIADGTDELQFPMPKPLSKEAVQAQLKDLEFLKQQRQT >itb04g27620.t1 pep chromosome:ASM357664v1:4:31605270:31607142:1 gene:itb04g27620 transcript:itb04g27620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLINRKDVVLVKPAEPTPSEILSFSTIDNDPNIELLCQTLYVYQANPTSNSNGSSHAEVCVTPESADPVLVLKTALSKALVYYYPLAGKLKRNSNGRLEITCNGDGVPFMEATADCALSSLNYFDGTDVKIGQEFVFDWPSHSAAGYHPLVLQVTRFSCGGFTVGMGLSHSVCDGYGAALFFRAMTELATGKEHPSVKPVWEREILVGKAISPAGQDPFDFIRKTSLTQKSITMTESKEDKNSIRNETESKEDKNLVCSETESKENKNSVHNETENKENKNYVHNETENKENKNYVRYLSSSITYETDAILHQCFNVDAESIRWLKKRFTEEAAAHGDGESLTEAFTALEVLAAYIWRSRIRALKMNPDGNTILCLAVGIRKLLTDPPLPAGYYGNAFVSANAVSQCKSLDRGPLYKVAKMIKESKKAVSSNDQIRRSIDTLEAFVQGNRKVDGGGAAFVLTDWRQLRLSEEVEFGWGLSVNMIPLPWEMFGYVDLCIFLPPCRVNTAMEGGVRVLVSLPGAAMATFNEEMDALKKWSSDNGMPRC >itb05g16960.t2 pep chromosome:ASM357664v1:5:24062713:24064225:-1 gene:itb05g16960 transcript:itb05g16960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANIAVDPRSKLSDELTSEKDGQSEIDPVGASLALLEISPAVGSGSRGGVADVAAVDGGSSRRKLIILDVNGLLGDVVRRRVPGGASFSGGTSEIIKRPFCDDFLRFCFDKFDVGIWSSRLEKNLDPIVDYLLGDQKKKLLFCWNMSHCTQTGFNTPENKYKPLVLKELRKIWENEFPNLPRVKGYYNESNTLLLDDTPYKALLNPVHSSIFPETYKLMNKNDKALGPGGDLRVYLECLADAEDVRGYVEKHPFGQKAIDETTSNWNFYSRVLGSLP >itb05g16960.t1 pep chromosome:ASM357664v1:5:24062713:24064225:-1 gene:itb05g16960 transcript:itb05g16960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANIAVDPRSKLSDELTSEKDGQSEIDPVGASLALLEISPAVGSGSRGGVADVAAVDGGSSRRKLIILDVNGLLGDVVRRRVPGGASFSGGTIIKRPFCDDFLRFCFDKFDVGIWSSRLEKNLDPIVDYLLGDQKKKLLFCWNMSHCTQTGFNTPENKYKPLVLKELRKIWENEFPNLPRVKGYYNESNTLLLDDTPYKALLNPVHSSIFPETYKLMNKNDKALGPGGDLRVYLECLADAEDVRGYVEKHPFGQKAIDETTSNWNFYSRVLGSLP >itb04g12110.t1 pep chromosome:ASM357664v1:4:11882312:11885153:1 gene:itb04g12110 transcript:itb04g12110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLFLFLLSLILKPQLSSSVSCTNHKFSRNRSYQKCADLHYLNSYIHWTYDSDKSLLRFAFVAPPGTPEGWVSWAINPNGTGMVGAQSLVAFKQLDGAMAVKTYRLNSYRDIREGELSYEVSDMEGEYSGGVMTIFATVKLPAGTDNVNQVWQVGNSVVNGQSPAKHEFQEENLKALGKLDLVKGESFRDDDSGNSKLRNKNIHGILNVVSWGISFPVGIIMARYVKTFSDPAWFYAHVTCQLSSYVVGVGGWGTGLKLGSQSSGIVYSIHRNLGITLFCFATLQVFALFLRPKKNHKYRLYWNMYHHGIGYAVLILGIINVFKGFEILQPERKWKVAYISLLSVLGGIALVLEVVTWSVVIKRKKMNNDANN >itb15g24160.t1 pep chromosome:ASM357664v1:15:27010344:27017671:-1 gene:itb15g24160 transcript:itb15g24160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTGASTYEIIMENLGGERKNSNKDEEKLVEEEAGANQPFHHNRHRVIPSNVVKILVPFTIVTLSCLLLYRSTSTGKLFPNLSYLKHGNFSAPNKTIPSSSTEKVVENSVEKVLEKAAMANKTVIITTLNAAWTTSGTIFDLFLESFRIGNQTEGLLKHVVVVALDKTAYARCRELHPHCYSLSTDGVDFSGEAHFMSQDYLKMMWRRIDFLRVVLEMGYSFIFTDADIMWLRQPFSQFYPDTDFQIACDHYWHDSSDLNNSPNGGFNYVKSNNRSIEFYKFWYKSREAFPGKHDQDVLNIIKFDPFIKQIGLKIRFLNTAYFGGFCEPSKDLNLVRTMHANCCIGLDNKIHDLKMVIDDWKKYMGLASNERTSRPQTWTVPRRCG >itb15g24160.t2 pep chromosome:ASM357664v1:15:27010344:27017671:-1 gene:itb15g24160 transcript:itb15g24160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTGASTYEIIMENLGGERKNSNKDEEKLVEEEAGANQPFHHNRHRVIPSNVVKILVPFTIVTLSCLLLYRSTSTGKLFPNLSYLKHGNFSAPNKTIPSSSTEKVVENSVEKVLEKAAMANKTVIITTLNAAWTTSGTIFDLFLESFRIGNQTEGLLKHVVVVALDKTAYARCRELHPHCYSLSTDGVDFSGEAHFMSQDYLKMMWRRIDFLRVVLEMGYSFIFTFYPDTDFQIACDHYWHDSSDLNNSPNGGFNYVKSNNRSIEFYKFWYKSREAFPGKHDQDVLNIIKFDPFIKQIGLKIRFLNTAYFGGFCEPSKDLNLVRTMHANCCIGLDNKIHDLKMVIDDWKKYMGLASNERTSRPQTWTVPRRCG >itb08g04600.t1 pep chromosome:ASM357664v1:8:3779005:3783262:-1 gene:itb08g04600 transcript:itb08g04600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQMQHQSPVPAPNGVPAASAVAGAAVSIAAAAPGAGVASQFTTTSLYVGDLEPTVTDSQLYDVFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALEVLNFTPLNGKSVRVMYSHRDPSLRKSGTANIFIKNLDKSIDNKALYETFSSFGNILSCKIATDTNSQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETSTVKTKFNNVFVKNLSESTTDEDLNKTFGEFGTITSAVVMRDADGKSKCFGFVNFENADDAAQAVEALNGKKFDDKEWYVGKAQKKSEREQELRSKFEQTAKETVDKYQGANLYVKNLDDSIDDDKLKELFNDYGTITSYKVMRDQSGISRGSGFVAFSAPEEASRALTEMNGKMIISKPLYVAIAQRKEERKAKLQAQFQQMRPVAVTPALAPRMPMYPPGAPGIGQQLFYGQAPPAMIPHQAGFGYQQQLVPGMRPGGAPMPNFFVPIVQQGQQAQRPGGRRGSGPVQQAPQTMPLMQQQMLPRGRMYRFPPGRNVPEVPVPGVGGGLLSVPYDMGGVALPRDGSLGQPVPITALASALANAPPEQQRTMLGENLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVQQANSPADQLASLSLNDSLVSS >itb01g00790.t1 pep chromosome:ASM357664v1:1:387237:389203:1 gene:itb01g00790 transcript:itb01g00790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSKTSEKWKLCSYASFVISCFILLLCLSFNTEVLGFWSLQNSMITTMPPLYSLPAQENPEFLNVAGNGHGNVFPQNHEKCDIFEGKWVYKPMESPLYEVSKCPFLSDQVSCQRNGRPDSEYEKWSWEASGCVVPSFNATDMLERLRGKRVIIVGDSLNRNQWESLACLLYSAIPSSRAIVSVQSGSYKVFKAKDYNVSVEFYWSPFLVQLDSNQAGSPKVLRLDKLDPSSKRWKGAEIMVFNTGHWWTHRGKLKAWDLFQYEEKLTDEMGIESAFKLAMQTWGKWIDDNIDPTKTTIFFRSISPEHHGQHWCYNETKPIIDVSDMTVFPEPLRKIVERTVSNLKTRVRYLNITKLSQYRKDAHPTVYARKNGKQMIEKKLNPKETYSDCSHWCLPGLPDTWNRLLYASMVLDGSTCGPNSKHFISHSTSSIVNSSNA >itb05g15650.t1 pep chromosome:ASM357664v1:5:23035004:23056528:-1 gene:itb05g15650 transcript:itb05g15650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MAKSYARENVPLSRFGVLVAQLESIVASAAHKPPDPLLCFDLLSDLISAIDEEPKESILLCQRKCEDALYSLLVLGARKPVRHLASLAMARVIQKGDSISIYSRASSLQGFLSDGKKNEPHRFAGAAECLGELYRYFGRRITSGLLETTAILTKMLKFNEDFVRHEGFHMLQNALEGSGGSAASSAYTEAFRIIMRTGIGDKSFNVRIAAARCLKAFANIGGPGLGVGELESSSSYCVKALEDPIPSVRDAFAEALGALLALGMNPNAQVQLRGKAHFTQKKLEGGLERHLVLPFIKASGPRSKDLRVGLTLSWVSFLQAIRMKYLQPDSELDKFATQVIDMLRVDNSFDAQAVACVLYILRVGITDQMSEATQSGFLVVLSKQLQSPDATPSMRVAALRTLSYALKTLGEVPAEFKEVLDNTVVAAVSNQSPQVRVEAALTLRALAEVDPTCIGGLISYAVTMLSAVRENISFEKGTNLKAELDCLNGQAAVLAALVSVSPKSPLGYPARLPRSVLEVSKKMLLESSRNPTSAAVEKEAGWMLLSSLLSSMPKQELEEEVFDILSLWATVFSRNPERHISETVDLPSTLCVWSAAMDTFTVFIKCFLSSDAVSKGILLQPVQLYLSRALSYILQLVARDHRNAKPGVDVFIIKTLQAYQSLSDPNAYKSDHARIVHVCTTPFREASKCEESSCLRMLLDKRDAWLGPWNPGRDWFEDELRSFQGGRDGVLPCVWEHEPPSFPQPETISKSLVNQMLLSFGTMFASQDSKGMLSLLGTVEQSLKAGKKQAWHSANVTNICVGLLAGLKALHALRPEPLGLEVLNATQSIFQIILVEGDITASQRRALSEGLGLVARLGNDVFTAKLTRSFLGDIHSGADSHYAASIALALGCIHRSAGGMALSSLVPATVNSLSSLAKSSVTGLQIWSLHGLLLTIEAAGLSYVSHVQATLGLATDVLLSNEIGSTDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSRQETATLLENVRFTQQLVLFAPQAVSVHSHIQTLLPTLSSRQPTLRHLALSTVRHLIEKDPVSVINEQIENALFHMLDEETDAEIGRLARTTIMRLLYASCPSCPSHWLSICRNMILALSSRDAKTRDNMDSDSSGPGGETRLHFGGDEENMVSSSKSQSPQSYAFGYSSIYSKDKHLRYRTKVFAAECLSHIPEAVGKNPAHFDLDVTGGHRSGDWLVLHLQELISLAYQISTIQFENMRHIGVALLSTIMDKFETVSDPELPGHLLLEQYQAQLVSAIRTALDSSSGPVLLEAGLQLATKILTCKIVSHDQLAVKRIFSLISRPLSEFDDLYYPSFAEWVSCKIKIRLLTAHASLKCYTYTFLRNQHSGISDEYLALLPLFSESSKVLGIYWLGVLKDYSYVCFRSHPKESWKPFLDGIQSSLVSTKLLPCLEESWPLILQAVVLDAVPTNFVAKESSATYNESQSTFISGYSMVKVGLEDFQFLWGFSVLALFQGQAHSLGDYMMPMGSAESEIRDESSLDVNSVDSKLCDTLLPVFHALSTERFFSAGFLTVDICRELVQAFSFSICVKDAWDSLAISVLSQIVQHCPKEFLETENFPYLATELCLAFFFKFFLSDAKSQYHSDWENMISVTLTTAAVLLRRFEPKMGLKSLLAFTLVGYKCIGFATTDICLIRVKDFVQSITSSLQQYITATPDLGDDEVQSFTTTTRACLSASASLIKDCIESIHQSENKKSNMLKLLLMKLAMSLEQTITLAKVSFEIEHHRENEEYKPILYTTLCHSTHCIRTALCDSEIQVQVIGLQVLKGMLQRGVTTESTSFIIFFVGELMEDIIAVIHKSLKKVINREVVAIIGECLKILMLLQTLSKHSDSQKGLMNLFLETILMIFTIPEDNSEEISDLRIMAVKLVSQLAQISTSAVYFKDVLLAMPITRRQQLQDIIRASVNQDQNPSQVKSTGPPLLVKLPAKMEETKDQNFTSAFPTKESEDNSLEEEEEDDDDFDDDWDTFQSFPASVNGDPPTSEIAVDSNLTTNSSVSNSDSGNNDLETQNSSKPLYMVEELNLQDETAAGENYEFATSSSDQIQEPHDCQDNLDHTEEPVTSEQKGTIELSQEGEHVSPIQPVVEVKEKDSESSQGTGLKIVSTSDSSLPESLHSTETLSDEESCRVGVDDRNGDKPAKELDDQHDKETDIKDRNIDKDSTDNIHSSEDTEG >itb05g03600.t1 pep chromosome:ASM357664v1:5:3092648:3095887:-1 gene:itb05g03600 transcript:itb05g03600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDVQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb05g03600.t2 pep chromosome:ASM357664v1:5:3092648:3095887:-1 gene:itb05g03600 transcript:itb05g03600.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDVQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb05g03600.t3 pep chromosome:ASM357664v1:5:3092648:3095006:-1 gene:itb05g03600 transcript:itb05g03600.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDVQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >itb12g00470.t1 pep chromosome:ASM357664v1:12:384117:384774:1 gene:itb12g00470 transcript:itb12g00470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFGEEFIIESYKVPWLIWIQLLITLLLLLLLLAGFSLVASDPSNHPSSSAQSNHQIQRVDENKKFEGLRGEERETSSVKDASVLRSFGRRRHPCHYLGLAKQAFLKCLGLDSSSESESDNNVKQGKED >itb01g23160.t1 pep chromosome:ASM357664v1:1:29220198:29225203:-1 gene:itb01g23160 transcript:itb01g23160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKGKHRLDKYYHLAKEHGYRSRAAWKLVQLDSKFSFLRSSQSVLDLCAAPGGWMQVAVERVPVGSLVIGVDLDPIRPIRGAIAVQDDITTPKCRATLKKLMAENGCRTFDLVLHDGSPNVGGAWAKEATSQNALVIDSVKLAAELLSPKGTFVTKVFRSQDYSAVLYCLRQLFEKVEVDKPLASRSASAEIYIVGFKYKAPAKIDPRLLDFKHLFQGGKEPPKVIDVLRVTKQKRHRDGYEDGASILRKVCSAVDFIWSEAPLDILGSVTSISFDDPACLPIREHTLTTEEVKALCDDLRILGKQDFKHILKWRMHIRKALSPSEKSITPSISVEPESKEDEDEKILNEMEELTFAIERKKKKEKRLQAKRQAKEKARKALGVQIDATGDGYGDQDLFSLSSIKGKKDLVAVDNDEYLEPGEGNSEDSESDAEAQEDTSSDVDSEEERRRYDEHVEELLDEAYESYVARVEGKTKQRKRTKRAYEKDDELLEGDNDDAMVHSDQDTDNDQGEHELNPLVVPLEDAPTQEEIAAQWFNQDVFAEPDEEDILDKYDSEDEMQIDEPGKSAKKSRQMGKDASEKQTIGVTRKTKSSVLQVPSSEVAEDFEIVPAPPTDSSDSSSSDDSDDDDINNKAEILAYAKKMLTKKQREEMLDDAYNKYMFHDEGLPKWFADEEIKHRQPIKPITKEEVAAMRAQFKEIDARPAKKVAEAKARKKRAAHRKLEKIRKKANSISDQADISDRSKTKMIDQLYKKVGPKKPEREYVVAKKGVQVRAGKGKVLVDRRMKKDARKNGISKKGKGKNGKQKGKGSMKAKGKKGEARQNSRK >itb14g20210.t1 pep chromosome:ASM357664v1:14:22675990:22677348:-1 gene:itb14g20210 transcript:itb14g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTFPWGKGEDDSSSDDDGDSSSVDSDADENAAEKSSKDKSSRAESGKQKRKGIDFEALSKHGYKGGLSVLKVPPPKESDEQKDWTWSKGENARAKEKEETYQDRQKTRAALLEAEELVHARTQKEKNLSFSQKEKRKRDMGQASRGKNYVEEEKRLLRESGIYSGFDS >itb05g00420.t1 pep chromosome:ASM357664v1:5:309763:314474:-1 gene:itb05g00420 transcript:itb05g00420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSLTRLRASANSFLAQYEPLALLLAPLLSLLVARGFQSFFAVIHEHGLKATLVGFFMSLVKLLPGVKRYIDSEKQKVVEKMQASGKSKRDGWRTDLPKNGLGDGVIEKMRDEKEKDVNWQGKCSGTVYIGGNENEGHFSLINQACSMFAHTNPLHMDVFPSVVRFEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKSSRDYMKAKKGITKPEMIIPVSAHSAYDKAAEYFKIKLWRVPVDKEFRADSKAIKKYINKNTIMIVGSAPGFPHGIIDPIEELGELASSYKICLHVDLCLGGFVLPFARKLGYPVPPFDFTVQGVTSISVDVHKYGLAPKGTSVVLYRNHDIRKHQFVAVTEWTGGLYISPTIAGSRPGGLIAGAWAAMMSLGLEGYLENTKQIMEASKRIQKGIQEIPELFVIGRPDMSIIAFGSDVVDIFEVNDVLSSKGWHLNALQRPNSIHICVTLQHASVAQEFVKDVKDAFQTVKQNPGPMSGGLAPIYGAAGRIPDRVMVKDLLIDYMDGTC >itb01g33840.t1 pep chromosome:ASM357664v1:1:36804930:36806172:-1 gene:itb01g33840 transcript:itb01g33840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRESIKNQVDLSLKLANHIFSTEAGGDSNLVFSPLSINVILSLIAVGSDSPTRDQLLAFLKSDSTDNLNTFYSQIVGYILVDGSLTGGPSLSVANGLWMDRTLPIKPSFKHVVDTVYKAASESVDFRHKASQVVDEVNLWVEKKTNSRINHILPPGAVNNRTRLLFASAWYFNGAWSQEFDARMTKDHKFHLLNGSSIQVPFMSNYVKQSVKAFNGFKVLKLSYNRGNDYKERRSFSMYFFLPDAMDGLPSLLEKATSESGFLERHLPTHMVSVGKFLIPKFQISFQFEGSRVLEELGVVAPFNRTVGGLTEMVDSLGGYELYVSKILHGSFIEVNEGGTEAAGV >itb04g12390.t3 pep chromosome:ASM357664v1:4:12104009:12107717:-1 gene:itb04g12390 transcript:itb04g12390.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLNISVSTSAIPASQNPQSSPASEPHPPKLVPGSNPLQPLSFKGLILPDTILGSIATANIHLQPKNRKPTTRLNPHNFHHFIPSPSSRFKNYLFPSSPPTSSSPAAPERTSKILNQNNLSSFDASIIYETNLKHLCFYLRNSSLAKPSIKPSFRTPSS >itb04g12390.t2 pep chromosome:ASM357664v1:4:12104374:12107717:-1 gene:itb04g12390 transcript:itb04g12390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLNISVSTSAIPASQNPQSSPASEPHPPKLVPGSNPLQPLSFKGLILPDTILGSIATANIHLQPKNRKPTTRLNPHNFHHFIPSPSSRFKNYLFPSSPPTSSSPAAPERTSKILNQNNLSSFDASIIYETNLKHLCFYLRNSSLAKPSIKPSFRTPSS >itb04g12390.t1 pep chromosome:ASM357664v1:4:12103129:12107717:-1 gene:itb04g12390 transcript:itb04g12390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLNISVSTSAIPASQNPQSSPASEPHPPKLVPGSNPLQPLSFKGLILPDTILGSIATANIHLQPKNRKPTTRLNPHNFHHFIPSPSSRFKNYLFPSSPPTSSSPAAPERTSKILNQNNLSSFDASIIYETNLKHLCFYLRNSSLAKPSIKPSFRTPSS >itb05g28180.t1 pep chromosome:ASM357664v1:5:31277712:31296390:-1 gene:itb05g28180 transcript:itb05g28180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFLTDEEFERCSHDPILVAEKADAFIRQLYNQLETVKAQADAASITAEQTCSLLEQKYVSLSSEFSALQSQQSQLNSSLDQRLSELAQLQADKHQLHLLSTGKDGEIERLSTEVSELHKSKRQLMELLENKDLEISEKNATIKSYLDKIVTLSDNTASKEARLSDLESELARSQASCSQLLQEKELIERHHTWLNDELTTKVNDLINLRKTHSKLEADMSVKLSDVERKFSESSRSLTWYKDRVRELELKLASLEQELLSSKDAASRTEEQSSAEISTLNKLVELYKESSEEWSKKAGELEGVIKALEAHSNQIETDYKEKLEKEVSTRKELEEALASLKEKLATCEAELEKSKVESKTMPLSSLSTELFVPSVPSTEMIEDDRWLVPSIPAGVSGTALAASLLRDGWSLVKIYTKYQEAVDALRHEQLGRKQSQAILERVLHEIEEKAGIILDEREEHERLVEGYSALDEKLKHSLSEKATLESKIEDLKADLRRCERDYVVAQRENLDLQKQVTLLLKECRDIQLRCGSVEHKSVYENMAIAESDADNAESLLSFKDISGLVEQNAQLRSLVRNLTCQIETRELEWKDKFEKELQKQIDDASSKVNAILARADEQGRMVESLHTSVAMYKKLYEEEHRLRTSDRKSPQDSTEDQRTETMILTESSQGVSQKAQEHRLERLKCIEDELVKLRTEVISLRSERDKSALEAQFAQGKLDRFLKEFEHLREEHNAVLSRNVEFSQLIVDYQKKLRENSESCTAAEELSRKLKMEVSILKHEKEILVRSEKRASDEVRSLSERVHRLQASLDTIQSTEEVREEARGAERRKQEEYIKHIEKEWAEAKKELQEERNNVRNLTLVRENDLKSALRQVEEMGKELANSLHSLSMVESRAAVAEARAAGLEEKLQSSHTKISDIDGGSGPSSSSSEKIVADLCTAQEEIKNLREEVKFCKDHMLQYKSIAQANEEALKQMEQAHENFKVEADNMKNSLEEEILLLRKRAKELEGECDLRTKEAASANAGKDEELAAAFSEIAHLKEDCCLKMSQIGVMEIQISSLKDDLEKEHQRWQAAQANYERQVILQSETIQELTRTSQALASLQEETSKLHKMSDALKSENIELKAKWDAEKKELEELKSEADKKFNEVNEQNKILLSRLEAIHIKQAEKDRVSAGISSGTTATEIDDGLLNVVNYLRRSKEIAETEISLLKQERLRLQSQLENALKAAETAEASYRAERDNSKALLYREEEFKGLQLQIRELNLLRESNLQLREENRHNFEECQKLRETAQKARSEADDLEKFLKDREHEVEAFRKEADIQRMEKQHLEKRIDELVEKCKSFDMEDYERVREAVRQMQETLKEKDDQLEEIRKHVSERQELISKLEQDLARNRVELSQRESRINELLQAEATLRSELDKMKRSTNLQKKKFENLVKEKDELNKENQVLLKNLEEARQGRRNVGDAITEQALKEKEKEKDTRIQMLEKTLERHREELKREKEEHRTEKARRLKTQRTCTESIETVKQQQSKLLDELEKHKQALKMFTDEGEKSRQPKGGQSEGTSVDLLLAGTRLADFTAAYLQAVNNFEQVVQPICIEAGVSTAADISSGPEISLSSGPAVSLVLPTSSTKTEDEREKRLVSSKMSSETRKTGRKLVRPRILKPEELHDDIEMQEVDATASSGKPLASHAVETQENPMPTSQPSVRKRPSATSTSELQEETPTSEEASTHMQPVLKKPKGPETSQEGGEVKSVVNLVPESVLATEEYDVGDLTQGFKEEDDEKDEAGSAGEQGEDVSVDAINHANLQNDRSDVVDDILDRSGVTAIQDDDQLNFQVQQDIHQTAIESGSEKEEGELVADITDIEGGSNLSMGSPDVEGQSEQTVTPENLTGVDEDPFINMASETGEVDSSRVLDDEKTDGGEELVEVQDKMNDGSDRVMAETDQVPESVPTTSEKPSTSNNPVADLKEENPGIATDTEEATQSTVTSRSSTTINLMERARERARERQGQHTTLAHPSAPTRARGRLLRGRQVRGGRSGRGQTPG >itb05g28180.t2 pep chromosome:ASM357664v1:5:31277712:31296390:-1 gene:itb05g28180 transcript:itb05g28180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFLTDEEFERCSHDPILVAEKADAFIRQLYNQLETVKAQADAASITAEQTCSLLEQKYVSLSSEFSALQSQQSQLNSSLDQRLSELAQLQADKHQLHLLSTGKDGEIERLSTEVSELHKSKRQLMELLENKDLEISEKNATIKSYLDKIVTLSDNTASKEARLSDLESELARSQASCSQLLQEKELIERHHTWLNDELTTKVNDLINLRKTHSKLEADMSVKLSDVERKFSESSRSLTWYKDRVRELELKLASLEQELLSSKDAASRTEEQSSAEISTLNKLVELYKESSEEWSKKAGELEGVIKALEAHSNQIETDYKEKLEKEVSTRKELEEALASLKEKLATCEAELEKSKVESKTMPLSSLSTELFVPSVPSTEMIEDDRWLVPSIPAGVSGTALAASLLRDGWSLVKIYTKYQEAVDALRHEQLGRKQSQAILERVLHEIEEKAGIILDEREEHERLVEGYSALDEKLKHSLSEKATLESKIEDLKADLRRCERDYVVAQRENLDLQKQVTLLLKECRDIQLRCGSVEHKSVYENMAIAESDADNAESLLSFKDISGLVEQNAQLRSLVRNLTCQIETRELEWKDKFEKELQKQIDDASSKVNAILARADEQGRMVESLHTSVAMYKKLYEEEHRLRTSDRKSPQDSTEDQRTETMILTESSQGVSQKAQEHRLERLKCIEDELVKLRTEVISLRSERDKSALEAQFAQGKLDRFLKEFEHLREEHNAVLSRNVEFSQLIVDYQKKLRENSESCTAAEELSRKLKMEVSILKHEKEILVRSEKRASDEVRSLSERVHRLQASLDTIQSTEEVREEARGAERRKQEEYIKHIEKEWAEAKKELQEERNNVRNLTLVRENDLKSALRQVEEMGKELANSLHSLSMVESRAAVAEARAAGLEEKLQSSHTKISDIDGGSGPSSSSSEIVADLCTAQEEIKNLREEVKFCKDHMLQYKSIAQANEEALKQMEQAHENFKVEADNMKNSLEEEILLLRKRAKELEGECDLRTKEAASANAGKDEELAAAFSEIAHLKEDCCLKMSQIGVMEIQISSLKDDLEKEHQRWQAAQANYERQVILQSETIQELTRTSQALASLQEETSKLHKMSDALKSENIELKAKWDAEKKELEELKSEADKKFNEVNEQNKILLSRLEAIHIKQAEKDRVSAGISSGTTATEIDDGLLNVVNYLRRSKEIAETEISLLKQERLRLQSQLENALKAAETAEASYRAERDNSKALLYREEEFKGLQLQIRELNLLRESNLQLREENRHNFEECQKLRETAQKARSEADDLEKFLKDREHEVEAFRKEADIQRMEKQHLEKRIDELVEKCKSFDMEDYERVREAVRQMQETLKEKDDQLEEIRKHVSERQELISKLEQDLARNRVELSQRESRINELLQAEATLRSELDKMKRSTNLQKKKFENLVKEKDELNKENQVLLKNLEEARQGRRNVGDAITEQALKEKEKEKDTRIQMLEKTLERHREELKREKEEHRTEKARRLKTQRTCTESIETVKQQQSKLLDELEKHKQALKMFTDEGEKSRQPKGGQSEGTSVDLLLAGTRLADFTAAYLQAVNNFEQVVQPICIEAGVSTAADISSGPEISLSSGPAVSLVLPTSSTKTEDEREKRLVSSKMSSETRKTGRKLVRPRILKPEELHDDIEMQEVDATASSGKPLASHAVETQENPMPTSQPSVRKRPSATSTSELQEETPTSEEASTHMQPVLKKPKGPETSQEGGEVKSVVNLVPESVLATEEYDVGDLTQGFKEEDDEKDEAGSAGEQGEDVSVDAINHANLQNDRSDVVDDILDRSGVTAIQDDDQLNFQVQQDIHQTAIESGSEKEEGELVADITDIEGGSNLSMGSPDVEGQSEQTVTPENLTGVDEDPFINMASETGEVDSSRVLDDEKTDGGEELVEVQDKMNDGSDRVMAETDQVPESVPTTSEKPSTSNNPVADLKEENPGIATDTEEATQSTVTSRSSTTINLMERARERARERQGQHTTLAHPSAPTRARGRLLRGRQVRGGRSGRGQTPG >itb12g04990.t1 pep chromosome:ASM357664v1:12:3301303:3302061:-1 gene:itb12g04990 transcript:itb12g04990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDEPLTKRDLTKLETSKAFDPFTDLGGLHCLDVFRRSLLRTGPEPSPRIWIKKWSHSSRVADKRRQQLIHCVSELREAGIKFKKRKTDRFWDIKFKNGYLKIPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYIIFMDNLINSPADVSYLHYFGIIEHWLGSDAEVAELFNRLCQEVVFDINDSYLSRLSEQINRYYDHRWNAWRAALKHKYFNNPWATISFFAAVILLIMTFAQTFYGVYGYYNPRS >itb12g10410.t1 pep chromosome:ASM357664v1:12:8474759:8480040:1 gene:itb12g10410 transcript:itb12g10410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGRSEEDMEGDAEKRTTKFEVLMCGYLPGVVSQKPPLVSPVAVASPESGVDGDSWKDVCGGGCGFAMAIAESGKLITWGSADDQGQSYLTSGKHGEIPEPFQLPTETPIMKASAGWAHCVSLTEKSEVYTWGWKECVPSVKITRSLASEGGAEGDTSGKQSSALFEQESPLSQGSKSAGVSVFHLDNKKTGEEIPKRRKVSPFKQEIESPPPTDESLSAPPCLVALDPGIKITSVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIKIVASPHLIPCIEPATCGKERTSGITSTPAPKVLGNYIKGIACGGRHSAVITDNGALLTFGWGLYGQCGQGSTNDVLRPTCVPSLLNTRVEDVAAGLWHTLCICADGRVHAFGGNQFGQLGLGTGTDQSEVTPRLVDATILESKNAKKVSCGARHSTIMTEDAKVYSWGWNKYGQVCE >itb12g10410.t2 pep chromosome:ASM357664v1:12:8474759:8480040:1 gene:itb12g10410 transcript:itb12g10410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGRSEEDMEGDAEKRTTKFEVLMCGYLPGVVSQKPPLVSPVAVASPESGVDGDSWKDVCGGGCGFAMAIAESGKLITWGSADDQGQSYLTSGKHGEIPEPFQLPTETPIMKASAGWAHCVSLTEKSEVYTWGWKECVPSVKITRSLASEGGAEGDTSGKQSSALFEQESPLSQGSKSAGVSVFHLDNKKTGEEIPKRRKVSPFKQEIESPPPTDESLSAPPCLVALDPGIKITSVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIKIVASPHLIPCIEPATCGKERTSGITSTPAPKVLGNYIKGIACGGRHSAVITDNGALLTFGWGLYGQCGQGSTNDVLRPTCVPSLLNTRVEDVAAGLWHTLCICADGRVHAFGGNQFGQLGLGTGTDQSEVTPRLVDATILESKNAKKVSCGARHSTIMTEDAKVYSWGWNKYGQLGLGDTIDRNIPAQVPLDDYTLKNIACGWWHTLLLAETLR >itb12g10410.t3 pep chromosome:ASM357664v1:12:8474759:8480040:1 gene:itb12g10410 transcript:itb12g10410.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGRSEEDMEGDAEKRTTKFEVLMCGYLPGVVSQKPPLVSPVAVASPESGVDGDSWKDVCGGGCGFAMAIAESGKLITWGSADDQGQSYLTSGKHGEIPEPFQLPTETPIMKASAGWAHCVSLTEKSEVYTWGWKECVPSVKITRSLASEGGAEGDTSGKQSSALFEQGLTVAESPLSQGSKSAGVSVFHLDNKKTGEEIPKRRKVSPFKQEIESPPPTDESLSAPPCLVALDPGIKITSVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIKIVASPHLIPCIEPATCGKERTSGITSTPAPKVLGNYIKGIACGGRHSAVITDNGALLTFGWGLYGQCGQGSTNDVLRPTCVPSLLNTRVEDVAAGLWHTLCICADGRVHAFGGNQFGQLGLGTGTDQSEVTPRLVDATILESKNAKKVSCGARHSTIMTEDAKVYSWGWNKYGQLGLGDTIDRNIPAQVPLDDYTLKNIACGWWHTLLLAETLR >itb10g22060.t3 pep chromosome:ASM357664v1:10:27030003:27033060:1 gene:itb10g22060 transcript:itb10g22060.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEDRELERRRKSCSIVSSWLSTFEPDQVDSPDDFEIGQNEGVEMKDKDANKKIMRRRIEEYKITVQNPCRQGKKLLVLDIDYTLIDHRSTAENPYQLMRPSVYAEYDIIIWSATSMDVIEYKMERFGILNNPNYKITAMLDHLAMITVQSDTHGLINCKPLGLIWAKFPEFYDPKNTIMFDDLRRNFAMNPQNGLTIKPFRKAHSSRSTDQELMKLTQYLLAIADLDDLSALNHKDWESYKEDDFKRGIRGSQRHQYFTRLGITIPFWGTYMSYLFLVCLPLFSNTSRQ >itb10g22060.t1 pep chromosome:ASM357664v1:10:27030003:27033060:1 gene:itb10g22060 transcript:itb10g22060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEDRELERRRKSCSIVSSWLSTFEPDQVDSPDDFEIGQNEGVEMKDKDANKKIMRRRIEEYKITVQNPCRQGKKLLVLDIDYTLIDHRSTAENPYQLMRPYLHEFLSAVYAEYDIIIWSATSMDVIEYKMERFGILNNPNYKITAMLDHLAMITVQSDTHGLINCKPLGLIWAKFPEFYDPKNTIMFDDLRRNFAMNPQNGLTIKPFRKAHSSRSTDQELMKLTQYLLAIADLDDLSALNHKDWESYKEDDFKRGIRGSQRHQYFTRLGITIPFWGTYMSYLFLVCLPLFSNTSRQ >itb10g22060.t4 pep chromosome:ASM357664v1:10:27031139:27033105:1 gene:itb10g22060 transcript:itb10g22060.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYLHEFLSAVYAEYDIIIWSATSMDVIEYKMERFGILNNPNYKITAMLDHLAMITVQSDTHGLINCKPLGLIWAKFPEFYDPKNTIMFDDLRRNFAMNPQNGLTIKPFRKAHSSRSTDQELMKLTQYLLAIADLDDLSALNHKDWESYKEDDFKRGIRGSQRHQYFTRLGITIPFWGTYMSYLFLVCLPLFSNTSRQ >itb10g22060.t2 pep chromosome:ASM357664v1:10:27031295:27033105:1 gene:itb10g22060 transcript:itb10g22060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIEYKMERFGILNNPNYKITAMLDHLAMITVQSDTHGLINCKPLGLIWAKFPEFYDPKNTIMFDDLRRNFAMNPQNGLTIKPFRKAHSSRSTDQELMKLTQYLLAIADLDDLSALNHKDWESYKEDDFKRGIRGSQRHQYFTRLGITIPFWGTYMSYLFLVCLPLFSNTSRQ >itb09g20440.t1 pep chromosome:ASM357664v1:9:17275470:17277885:-1 gene:itb09g20440 transcript:itb09g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPPPSHPPLTTTSFPDNLGTIGLGYAIAIAFGFLLLFSALLLASYSCCRTIASRRRRLRQEQSFPAARENSVYLHRMIFVAADEDDDDSGAAQNVAVVGLQQAVINSYPKLAYSQNNSRFTGDDAVCSICLCHYKDSEILRMLPDCKHCFHVGCIDAWLKLNASCPVCRNSPLPTPLSTPLSEVIPISQYSDGRRRL >itb14g06900.t1 pep chromosome:ASM357664v1:14:6263942:6265108:1 gene:itb14g06900 transcript:itb14g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQIDVIRLILLNVEVEALIRFQCVCKEWRSTIQHPDFKLSYRGPRRVLAAAASDSKLTFTSITNNNSPRIKTLFQVSENHSFLDTFRPLVRLWSGVWCSCNGLVLFSMGKHILLWNPSTRCCTKVLELSLRTDRDRYVFSGLCYVSSTGDYKAVLLLGSRYYAPLWVASLKNKEWLKMPFPYLPHSSIDDGINFRNTLHLRAICRYTRREMIIVFEVESDEFKELELPTPELHGGGKRAILGLGIMDGCLCMANKGEERREELQVWVMKEYGVKESWVCQFVISAPASELFNFGFSATTLYPSKCNTKVLICSCYCGSWEIFVYDVKNNKPDNHFSEESKYCGDAAAICSYVQSFVSPHEFIWKDDQHKSSENDVVLRFILEMFNI >itb03g04840.t1 pep chromosome:ASM357664v1:3:3181499:3184778:1 gene:itb03g04840 transcript:itb03g04840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDWVAKSLCNLQAGLGFHWFEAPAHPWYEDRYFYEQISGDGTYPICGKRTAPDQYVFNTTAKQLLYELQLATPRTNGLFAAARKDASGGGGSGSGGSAFAVAQCIETIDDTGCQACLTITYRNILGCLSKSAAGRAIAPGCFMRYSNKRFFPSNQTTNLAAFLETENYRYKDLKAATKGFSAENKLGQGGFGDVYKGTLKNGDVVAVKKLAMISNRAKTDFETEVRLITNVHHRNLIRLLGCSAKGEELLLVYEYMANASLDRYIYGDKRGMLNWKQRVDIILGTATGLTYLHEQFHVCIIHRDIKSSNILLDDDFQPKIADFGLARLLPENQSHVSTKFAGTLGYTAPEYALHGHLSEKVDIYSFGIVILEIISGRRSSDIRVEPVTEYLLEQAWKLYENGEHLRLVDSTLDPNDYTAEEVKRILEIALVCTQSPPNLRPSMSEMVIMLSSDRPIFQNQPNRPTVISDLGNRVQVVTDASTTTASSASHATVSISDVSGR >itb07g19180.t1 pep chromosome:ASM357664v1:7:23624128:23630004:1 gene:itb07g19180 transcript:itb07g19180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSVQSTGAGQLSNCDAFGALSSPLHVLPTNLKEIYPKFPESQQVSLGRDIIQHPPAVLCPIPSDSGVVGHLLSSSSGSSGFSSDLKFSSVPPHEKHPKQPSFLSQSTTHSVILEAATSSHYAQENNNSWCIDSFSDFLDYPVNAPVQNSQLDYSNNGDCVFTSEDLSKHSDWQEWAEQLIADDDAFTHDWNNIVADTCPTDPEAKMQYQAPNQFSNVQNQQHQASHHPAALSGEALIVGSASSTISGATTKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLRLMKVEGLTIYQVKSHLQKYRTARYKPDSAEGSSEKKQTSVDDLPSLDLKTGIEITEALRLQMEVQKRLHDQLEIQRNLQLRIEEQGRYLQMMFEKQCKSMPGIGLLKGLPSTAENPNESDPGVPHSDHPKTDDVVNVVTLSDDSQILCEKQCGEEVTEIPEKKCG >itb07g19180.t2 pep chromosome:ASM357664v1:7:23625120:23629765:1 gene:itb07g19180 transcript:itb07g19180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSVQSTGAGQLSNCDAFGALSSPLHVLPTNLKEIYPKFPESQQVSLGRDIIQHPPAVLCPIPSDSGVVGHLLSSSSGSSGFSSDLKFSSVPPHEKHPKQPSFLSQSTTHSVILEAATSSHYAQENNNSWCIDSFSDFLDYPVNAPVQNSQLDYSNNGDCVFTSEDLSKHSDWQEWAEQLIADDDAFTHDWNNIVADTCPTDPEAKMQYQAPNQFSNVQNQQHQASHHPAALSGEALIVGSASSTISGATTKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLRLMKVEGLTIYQVKSHLQKYRTARYKPDSAEGSSEKKQTSVDDLPSLDLKTGIEITEALRLQMEVQKRLHDQLEIQRNLQLRIEEQGRYLQMMFEKQCKSMPGIGLLKGLPSTAENPNESDPGVPHSDHPKTDDVVNVVTLSDDSQILCEKQCGEEVTEIPEKKCG >itb07g19180.t3 pep chromosome:ASM357664v1:7:23624128:23629774:1 gene:itb07g19180 transcript:itb07g19180.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSVQSTGAGQLSNCDAFGALSSPLHVLPTNLKEIYPKFPESQQVSLGRDIIQHPPAVLCPIPSDSGVVGHLLSSSSGSSGFSSDLKFSSVPPHEKHPKQPSFLSQSTTHSVILEAATSSHYAQENNNSWCIDSFSDFLDYPVNAPVQNSQLDYSNNGDCVFTSEDLSKHSDWQEWAEQLIADDDAFTHDWNNIVADTCPTDPEAKMQYQAPNQFSNVQNQQHQASHHPAALSGEALIVGSASSTISGATTKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLRLMKVEGLTIYQVKSHLQDPQKRSRLLLMICHLWT >itb07g19180.t4 pep chromosome:ASM357664v1:7:23624128:23628100:1 gene:itb07g19180 transcript:itb07g19180.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSVQSTGAGQLSNCDAFGALSSPLHVLPTNLKEIYPKFPESQQVSLGRDIIQHPPAVLCPIPSDSGVVGHLLSSSSGSSGFSSDLKFSSVPPHEKHPKQPSFLSQSTTHSVILEAATSSHYAQENNNSWCIDSFSDFLDYPVNAPVQNSQLDYSNNGDCVFTSEDLSKHSDWQEWAEQLIADDDAFTHDWNNIVADTCPTDPEAKMQYQAPNQFSNVQNQQHQASHHPAALSGEALIVGSASSTISGATTKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLRLMKVEGLTIYQVKSHLQDPQKRSRLLLMICHLWT >itb10g17880.t1 pep chromosome:ASM357664v1:10:23987822:23989356:1 gene:itb10g17880 transcript:itb10g17880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEPLPFLLGVIMKIEEKDCCMWEMFKCHQSNHHVIQLSLADIRLWTSDDFFLNASLFLPFRELQNLSLDGNSIRGFRGVLNVSKLQVLDLSDNWFDEIPRLDLLSSLKILNMEGNRINTWSHIEELTTLKHLELLNFASNELRGKIPHSLGSLTSLKFLSFMDNRLINGSLIDGGLCKLSNLEELDLKSNSFEGRIPSCLGNLTSLRVLILKSNYLTGTLPSAAFSTLNSLKYLTLSYNYFGLMTIMCI >itb10g00330.t3 pep chromosome:ASM357664v1:10:219390:222978:1 gene:itb10g00330 transcript:itb10g00330.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEAIEAALPPSSSTPDPAAADTGSGGKWFHGWLMDCHGLWHNLLLILPSALFLIYLASQAKKNYPKILNGRSHIITALYGFLWLVSILNLAWCSLQVWECSGGKQLAWNILSLLTTSGMMFLEVSLIAFLLQGNYSSGQEALTRTFLISGLVVGLDMFLKAIYIFGFGIQLFVLENNGSQWGLWVLHKLLLTAAYGLIFFMYRSRWRERLPARPAFYNYISIMLGLNVATLFACALAANGAGFGLWYVSLCNFP >itb10g00330.t2 pep chromosome:ASM357664v1:10:219346:222986:1 gene:itb10g00330 transcript:itb10g00330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEAIEAALPPSSSTPDPAAADTGSGGKWFHGWLMDCHGLWHNLLLILPSALFLIYLASQAKKNYPKILNGRSHIITALYGFLWLVSILNLAWCSLQVWECSGGKQLAWNILSLLTTSGMMFLEVSLIAFLLQGNYSSGQEALTRTFLISGLVVGLDMFLKAIYIFGFGIQLFVLENNGSQWGLWVLHKLLLTAAYGLIFFMYRSRWRERLPARPAFYNYISIMLGLNVATLFACALAANGAGFGLWLYNIMVIFYHAFYLPLLYVTFLADFFQEEHMNLENAYYSEMKDAGFFDIDWEDNMATTP >itb10g00330.t1 pep chromosome:ASM357664v1:10:219390:222820:1 gene:itb10g00330 transcript:itb10g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEAIEAALPPSSSTPDPAAADTGSGGKWFHGWLMDCHGLWHNLLLILPSALFLIYLASQAKKNYPKILNGRSHIITALYGFLWLVSILNLAWCSLQVWECSGGKQLAWNILSLLTTSGMMFLEVSLIAFLLQGNYSSGQEALTRTFLISGLVVGLDMFLKAIYIFGFGIQLFVLENNGSQWGLWVLHKLLLTAAYGLIFFMYRSRWRERLPARPAFYNYISIMLGLNVATLFACALAANGAGFGLWLYNIMVIFYHAFYLPLLYVTFLADFFQEEHMNLENAYYSEMKDAGFFDIDWEDNMATTP >itb02g08690.t1 pep chromosome:ASM357664v1:2:5518060:5518365:1 gene:itb02g08690 transcript:itb02g08690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLALQCSVFSSTGKICGAVYYVVLAKETYYLACTLFWSRDFRDLGVPKDSCYSCLVRQICFRDNQRTKRLIVAWFVFYLNAIVPSNPALLYASLLRSTT >itb09g08440.t1 pep chromosome:ASM357664v1:9:5050366:5057038:1 gene:itb09g08440 transcript:itb09g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNCKTLGMKGSLSSNFRLRKLLSGGWRWRRKYLILWLIFVAIGLIGLLISLNNGLMRRKVDAPDLDEDSTNLLLEHFNVSKEGIQVLSAENVVYQKQYELAIEKLEANGQCPVPDENTLTNLDIVVQQIPLPISHCASLATSSDHQFCEKEPLQGRALGDQCKDAAFYFTKVCWWILLGIAISWKLCWLCGESGGNDWQKQVQQQELPQQPQLLQHLQQQQAQASSRIARKWWEKLLVISVSVGVMGSIWLFSHLNEEFTVRRKETIASMCDERARMLQDQFNVSMNHVHAWAFLVSTFHHGKQPSAIDQKTFEEYADRTAFERPLTSGVAYAIKLCHSERENFEKRQGWTIKKMESEDQSLAQEYISGNLDPAPIQDEYAPVIFSQQTISHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNNVGVILTFAVFNTDLPPDATPEQRINATLGYFGAAYDFPSLVEKLLHQLASKHTIVVNVYDTTNASAPIRMYGMEEADLDETDRELVHVINLDFGDPARRHEMHCRFKQKRPPPWTAIAASIGVLVITLLLGHIFHAAINRIAKFERDYQKMMDLKHRAEAADIAKSQFLATVSHEIRTPTNGVLGMLQMLMDTNLDATQLEYAQTAHASGKDLISLINEVLDQAKIESGRLELEAVAFDLRAVLDKVLSLCSGRSHEKRIELAVYVSDQVPEVVIGDPGRFRQIITNLVGNSIKFTKEKGHVFVSVHLADEVKSPNDVKDEVLRQSLTLVQDRPNTSFNTLSGFPIVDRWRSWQNFKKLSEEKTENIKLLVTVEDAGVGIPLEAQGRIFMPFMQADSSTSRTYGGTGIGLSISKRLVELMGGEIGFFSEPGTGSTFSFTAAFARAEEGLLESKRQRNDPSVSELRGLRALVIDDKSIRAEVTRYHLQRLGLNVKIISKMDSSCSHLSTCLEASPLEHLALIFIDKDNWDDETSITLSKILKELRANSSNVVSGVIPKFVLLATNMSATNRNELRLAGLVDSILIKPLRLSALVSCIQETMGFMNKRHITRRKPSSLGSLLKDKRILVVDDNVVNRRVAEGAIRKYGAIVSCVDSGKAALALLKPPHKFDACFMDLQMPEMDGFEATRQIRCLESKYNENINSGEVLIEMHGKVSHWHTPILATTADVIQATNEKCLQCGMDDYISKPFDEWQLYSAVARFFESG >itb10g15310.t1 pep chromosome:ASM357664v1:10:21556905:21568508:1 gene:itb10g15310 transcript:itb10g15310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQEMWNSNAPPGTAGAVGGGVPPPPPGTAGGLPPIMPPGTTGAGPSSSGGPPPPPSYTVLPTEAQLEEKARKWMQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVREVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELEEEEDSAVYNWFYDHKPLVKTKLINGPSYRRWHLSLPIMATLHRLAGQLLSDLTDHNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMIMYIKTEDPDLPAFYYDPLIHPITSKEKKEKRIHEDDDDDFELPEGVEPLLKDTQIYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQQQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQEIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYNDTRVEKRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKSIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKDNTQLTAVTTRTTNVHGDELIVTTTSPYEQSNYASKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPDHDFLTDLEPLGWMHTQPNELPQLSPQDVTSHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPTGYEWGRANKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYNEDHRPTHFLEFSNMEEGETAEADREDTFS >itb12g27330.t1 pep chromosome:ASM357664v1:12:27776589:27777455:-1 gene:itb12g27330 transcript:itb12g27330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLLDMCTLGTAPLDKCTKNDAQANQIWNIGRNNIFISSNFLPSSIKLRADFFCLVILIKSFVSALQQSPSRDCSQLRVCSAIHPRCIEEPKENVEAANICRAESNSYTGCHLPCFQ >itb14g05870.t1 pep chromosome:ASM357664v1:14:5117562:5123768:1 gene:itb14g05870 transcript:itb14g05870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MTKDMKLSILVFLLIFLTKFEFICSKGRHEQKKQDKISSLPGQNFLVNFSHYAGYVTVNEEQGKEFFYWFIQASHDPSSKPLALWLNGGPGCSAIAFGEAEEIGPFHINPDGKSVYLNSYAWNQVANIIFLDSPAGVGFSYANSTFDFSNQGDQSTAEDNLKFLLKWLERFPEYKQREFYIIGESYAGHFVPQLSKAILEYNSENEDNSINLKGFMIGNPLVDDHHDQVGISQFMWTLGLISDQSYEMLNLYCANDSYLNASEECDNAHSVAAEEIGDIDYYSVFTPVCTTAATTLAGRNWLTQRWLRKSRSSRKYDPCTEKYAISYFNQLSVQKALHVRGAPINWETCNNEVHYKWKDSAGSVLPTIKELTGIRKWVLSGDTDAVIPITSTRYSIDALHLPTVGPWRTWDDDGQVGGWTQEYEGVSFVTVRGGGHEVPLHKPKQALAIFKSFLAGEQMPSFQQLSNDI >itb04g25300.t1 pep chromosome:ASM357664v1:4:29903541:29905089:1 gene:itb04g25300 transcript:itb04g25300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEPVAMVEVTADPAESEPSKEEEAAPAPAKVTKAKKAKETKAKKPAAPRKRNPSHPPYFEMIQEAIVTLKERTGSSQYAIQKFIEDKQKNLPPNFRKLLLVQLRKFVASDKLVKVKNSYKIPSARSSAAKPAAAPAAPAKKKPATAAKPKATKEKKAAAPAKPKPKPKAKAAAKPKAAAKPKAKPAAKANPAPKAKAVAAKPKAAAAKAKPKAAAKTKAAAKPKKEPAAKVARTATRSTPSGKAAPAKAAPKKATPVKKAPAKGVKPKSVKSPVKKATARKGRK >itb06g19520.t1 pep chromosome:ASM357664v1:6:22827263:22828525:-1 gene:itb06g19520 transcript:itb06g19520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTLFVFALLSTQIIDGFTVELIHPNSHSNPYRNPSNNRFDWIRKAYNNSRSRAASIQSRFGIDGSSKFKTNIKPLDGGYAMRYSIGTPPFETYGLVDTGSDVTWTQCEPCIDCFPQSLPIFDPKHSKSYKTVMCNNSDTTCSLDYEFHCSNDNICQYQLPYNDYSYTFGDVATDTLTIGDASFKNVVLGCGHRNKAMFSNSTASGIVGLGYSNVSIIKQLRKEISGKFAYCLSPQSDSKSYISFGMDAIVKGPDVVSIPVVTSSIDPYYWLVLESMSVGDKSFPIRPPPLQSYGNTIIDSGTTVTIIPLYVFDSMKLELMNQIHETPIDDPQGFFGLCFSSVQIKAPKIVAHFVGGDVELSPRGLFEEVEEGISCLTIVPNYLQGIYVFGSQSQVDYLVGFDLEKNALTFKSADCSKF >itb01g09990.t1 pep chromosome:ASM357664v1:1:8279397:8281188:-1 gene:itb01g09990 transcript:itb01g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGISAVESSADEINDSPIEEVRLTVPITDDPTMPCVTFRTWVLGVTSCVILAFLNQFFGFRQNAIYVSSVSTQIVVLPIGKLMAAYLPTKVVQIPATKWSFSLNPGPFNIKEHVLITIFASSGSDSSFAMLGYGLAGIFKKFLIDSPYMWWPSNLVQVSLFRALHDVETRPKGGLTRLQFFITVLVCSFAYYIVPNYLFPSITALSLLCWIWKDSVTAQQLGSGLKGLGIGSFALDWATVAAFRGSPLATPAFAIINMLIGYILVAYIALPIWYWTNLFDAKKFPIFSSHVFDSNGHEYNISRVLNKKTFEFDQQGYDSYSNIYLSTAFVYTYGLNFATLTATVSHVALFYGR >itb01g23300.t1 pep chromosome:ASM357664v1:1:29369740:29371525:1 gene:itb01g23300 transcript:itb01g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFCMPQTTMAPRLSLLFLCTLLATTSLVFAEDDGDDILIRQVVGDGDGDLLNADHHFTVFKRRFGKAYASDEEHDYRLSVFKANMRRAKRHQELDPAAVHGVTQFSDLTPTEFRRKFLGLNRRLKFPADAKTAPILPTDELPSDFDWRDHGAVTPVKNQGTCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGNDLQVCRFDKTKIAAKVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSKRLDHGVLLVGYGSAGYAPIRMKEKPYWIIKNSWGESWGENGYYKICQGRNVCGVDSMVSTVAAVSTTTSD >itb04g15220.t1 pep chromosome:ASM357664v1:4:16440769:16442095:-1 gene:itb04g15220 transcript:itb04g15220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHVTAHRTVVRRWICAATTAPRLSDPLTFLSSDLIPDCSFFCPFKSLPRLENFSLHPNFLNSSSLLSRSPISIFQRSFSLSIRHFLFQSLFMASPDDHPVDPLPPSSNQPPPTLPLYPEMIMAAIEELNDKNGSNKSTISRQIEETYGAMPPAHQTLLSHHLNKMRQSGQLVLVKNNYMKPDPNAPQRRGRGRPPKPKAPLPPGYVPPPPRPRGRPPKAKDPLAPAASPKKKSAQSGGTGRKRGRPRKYPKTNVAPAVAAPSSGAPRRRGRPPKVKPAVAAPVGA >itb12g25180.t1 pep chromosome:ASM357664v1:12:26461195:26462117:1 gene:itb12g25180 transcript:itb12g25180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGNCVFSLVRPATPSTATKPRRRMVAVRAESINPDIRKTEDKVVDSVVVTELAKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKKQ >itb02g00530.t1 pep chromosome:ASM357664v1:2:349597:350523:-1 gene:itb02g00530 transcript:itb02g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLLFVTLLSFVPFAFSSTLELPTSSPAVLDIEGQEVKCGVPYYVFSSAFIFQMGLCLSDVKNQTTGCPHDVVQCSINLNKPKNLGMPIILKSVNATTDPVVRENAPHLFRFPVIGLTCIHDVVWYLKDALTFWKIVTTDPAAAPGPAAAFQIQRDGPGYKIAYCASIPPPISTSVCFGLGFLQDGLNRRLGVGLGVDSAQFFFTRNASAVENLATSLLASY >itb13g25390.t1 pep chromosome:ASM357664v1:13:30789177:30790187:1 gene:itb13g25390 transcript:itb13g25390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSDSKTSNSFMHQFLQENSYPEELRESIISLPKEEGWLAPHIYNYKGFWFDPQFLYGALRCQQHFQAQHSDIILCTLPKCGTTWLKALVFALTTRKQFPVSQLETHPLLTTSPQDLIRNMEFCYARQNSSPDFPTMNNRLMSTHLPLELLPKSVGESKCKLIYLCRNQKDTVVSFWHFINKVRVELHGQEAIPFPEAFDRYCRGASSFGPFWDHMLGYWKESLESPRKVLFLKYEEIKEEPEVHLRRMAAFLECPFSEEEEESGVVGGISRLCSFESLSNLEVNKTGKSSHRPNNVYFRKGKVGDWRNHLTDEMATRLDQIVEEKFKGTGLKL >itb02g18820.t1 pep chromosome:ASM357664v1:2:15376177:15377457:-1 gene:itb02g18820 transcript:itb02g18820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRWGYFRIITGTIAGGILGFYVMHRAELKYKEIWNERLKKYEEEMKMKQSKEAPSEFQETFSTRG >itb10g24760.t1 pep chromosome:ASM357664v1:10:28403724:28409593:-1 gene:itb10g24760 transcript:itb10g24760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MADYVVKVEEGRPAGDGRPSAGPVYRCIYAKDALMEMPAGLESPWDFFSNSVKKNPKNSMLGRRQVIDKKAGSYVWMTYEESYNTAKRIGSAIRKCGVNPGDKCGIYGSNSPEWIMAMEACNSQAISYVPLYDTLGANAVEFIINHAELSIAFVQENKIPAVLTCLPRCISHLKTIVSFGNISTEKKKEAEELGVACFSWEEFSQMGNLDDELPPKRKTDICTIMYTSGTTGEPKGVILSNGAFMAEVLSVDQLIVETDKAATAEDVYFSFLPLAHIFDQIIETYCILSGASIGFWQGDIRYLIEDLVELKPTIFCGVPRVYDRIYTATMDKILAGGTVKKLLFEYAYNYKLKNMEKGLRQDEAAPFFDKLVFDKIKLAFGGRVRLMLSGAAPLPRHVEEFLRVTCCCVLSQGYGLTESCGGCFTSIANVFPMTGSVGVPMTTIEARLESVPEMGYDALGSVPRGEICLRGKTLFSGYHKREDLTKDVVVDGWFHTGDIGEWQPDGAMKIIDRKKNIFKLSQGEYVAVESLESTYSRCPLVAAVWVYGNSFESFLVAVVIPERKALEDWAQNNQETGDFVSLCKNHKARKYILDELNSTGRKHQLRGFEMLRAVHLEPTPFDIERDLVTPTFKLKRPQLLNYYKDCIDQLYKEAKGVSA >itb03g25170.t1 pep chromosome:ASM357664v1:3:24107996:24110120:-1 gene:itb03g25170 transcript:itb03g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPSGHFRKHWQNYVRTWFNQPARKQRRRVARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNSSLEGLQTNVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVHGAYLPIGRDKPAVELVKITEDMKSFKAYDKLRLERTNERHMGARLKRAAEAEKEDKK >itb03g25170.t2 pep chromosome:ASM357664v1:3:24108070:24110120:-1 gene:itb03g25170 transcript:itb03g25170.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPSGHFRKHWQNYVRTWFNQPARKQRRRVARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNSSLEGLQTNVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVHGAYLPIGRDKPAVELVKITEDMKSFKAYDKLRLERTNERHMGARLKRAAEAEKEDKK >itb09g11600.t1 pep chromosome:ASM357664v1:9:7225994:7228176:1 gene:itb09g11600 transcript:itb09g11600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIHMAGGGGVAIHYHLQLLFVTPDDVRKLNLPLECVNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFKVLGGYQHNAERIGLIRLETIRLDTLGCCLVHPVL >itb03g14650.t1 pep chromosome:ASM357664v1:3:14271094:14274007:-1 gene:itb03g14650 transcript:itb03g14650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALPSFRRTASMAAPRMLSTSSTISPNPNPNPNPIVRRPAMKSTSEPPSLAALSFPFFSNPKFALSTAPQNATISKCFASTPATNSIPLPAAELGNEESDSTSAEPNLVVVSFYKFANFPDHAELRKPLKELCEQLRVSGGIILAPEGINGSICGTRESVEEVLAFIQCGDRLKGLRRVESPVSPEEEAIHHGHTSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPSVSPIERVGKYIKPKDWNALISDPDVVVIDVRNDYETRIGKFKGAVDPCTAAFRDFPTWVEDRFELGESENQMHSAGSTGGSEKQATEMEKKPLPKVAMYCTGGIRCEKASSLLLRNGFEEVYHLEGGILKYLEEVPETESLWEGECFVFDKRVSVEHGLAQGTFKLCYGCKQPVSDADMDAPEWEYGVSCPYCFSSKSEEEKERARARQRQFERWGIIGGPDKGRKTAKIMESKQNSPAQMSSSL >itb01g35610.t1 pep chromosome:ASM357664v1:1:37728522:37731287:-1 gene:itb01g35610 transcript:itb01g35610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCVVSLALTHIFILLFLAFIVEADDYIPHTAAAGVLENEYLHGSGYSSSSEENGRTFGDDDDPDHITSKALLCFNDKNIYSGCEETYRLTQAGELHVPPEYTDQFCNGACLKETYLVLNCVGSILSRFVFYNKATIKDVKDTIKEACSLGWKRGDFDVERFIQSDESSALQASSFMVHCLVSIMDFQCSIEFLKSPFLVQQRQFSDMAGVQRETLRLDKIQTSLTEYRDADIIIFNTDQTVTLSSLSDRNNYFQEGNHVFRSMAVADAYTKALKTWAKWVDANIKNHTRVFFRGYSASHFKGGQWNSGGSCDGETRPIRNESHLGPYPWMMRVLESVIAEMKTPVLYLNISRMTDYRKDGHPSIFKQAKRQRLPGMTQDCSHWCLPGVPDAWNELLYATLLISHPN >itb11g06740.t1 pep chromosome:ASM357664v1:11:4092948:4093705:1 gene:itb11g06740 transcript:itb11g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFEEGLEEDDGDKRSKFCINDNVDVLIEILKMLDGASLGVAACVCRLWRAISRNDSLWESLCFRHVARPPDGVRAVVLALGGYRSLYMACVRPVLSRGLLGKSDDGVARRAWSRHEVELSLSLFCVDYYERVLLGDGEGAGRRGGESPASSSLLFLCKAVNV >itb08g14420.t1 pep chromosome:ASM357664v1:8:16203984:16204640:-1 gene:itb08g14420 transcript:itb08g14420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLQVHHFAAVLCFQDHGGPNFPATLPLSFPACNRPRLFTVASAHRNHQENRQNTETSITSSPLNDEATVTRKHNSKSTSMLFHFLSFDQISYSKTTPFTKRQVSSVEEEEEDKMRLLEMSLIRRRTPQFPGSSYVQSPSDPDVNSSLPPIKSLFDDQSGIVAAADDEEMLIKALEIRRRVTTEIFMEAMRKGKFGITYSRNLVSKLSDFIDFVMI >itb10g01830.t1 pep chromosome:ASM357664v1:10:1501603:1502535:-1 gene:itb10g01830 transcript:itb10g01830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNKSENEAQPSSFLIKQTKLGDETLDQINGFWFLPEHVSGVKRVLNEFEPRQDDVILASLPKTCTTWLKSLVYSIVNRSSLGSLEHSPHDLVPFLELQVYKEKESPKRLENNNAQFGNGRRIFGTHIPYQMLGTTLETSDCRVVYVTRNPKDTLNWMWHFENEQKKDEEEAWPLEEAVGNFCKGIFPFGPYYEHVLGYKNASLKNRGKVLFITYEELAKDTETHVKRLAEFLGCGFGGEENEKVAEIVKSCSFEVLSNHVMNKSEDPCSDSRQATSGDYKNYLNPQAIGRVNSLTREKFHTYGFMYGI >itb04g03000.t1 pep chromosome:ASM357664v1:4:1852877:1853503:-1 gene:itb04g03000 transcript:itb04g03000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPIILLLLSIALFPLIVHGDKEKEKRAHALIHSTCKTSAKKDSNVDYAFCTAALQARTPGECDTLRHMGMTVIKLIMSNATDTRRHIKDLVKRGKVKKALKRCVDECYEHYTEVVPHVGEALRYFKHKRYNDADTKISAVMEYAVDCEDLFVEKSGGGCGGGASPFPARNYATFELSAMALSVMHLIKEGKVH >itb04g02590.t1 pep chromosome:ASM357664v1:4:1564205:1569464:-1 gene:itb04g02590 transcript:itb04g02590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVELLHIEPLELKFQFELKKQITCSLQLTNKSDNYVAFKVKTTNPKKYCVRPNTGIVIPHSTCDVIVTMQAQKEAPLDMQCKDKFLLQCAIASPGATAKDITPEMFNKESGNHVEESKLKVVYVSPPQPPSPVQEGSEEGSSPRASVSENGAVNSSDFNNVSRAFVDSQDNSSETKALISKLTEEKNSAIQLNNKLQQELELLRREHKRGHSGISLMYVVIIGFIGILLGYILKKT >itb13g07060.t1 pep chromosome:ASM357664v1:13:8663600:8664431:1 gene:itb13g07060 transcript:itb13g07060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKTSVLALFIVFNILFCTMVSACGTCPSPKPKPKPKPTPSPSKGKCPKDALKLGVCANVLGNLLGLVVGNPPKKPCCSLIEGLVDLEAAVCLCTAIKANVLGINLNVPLSLSLLLNVCGKKVPSGFQCP >itb09g17540.t1 pep chromosome:ASM357664v1:9:12840719:12851518:-1 gene:itb09g17540 transcript:itb09g17540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTSSSHYLYACHVRPNLLSSIRFKILCVSVPLPSLFLFLSCLCLSPLASRSRTLSGPSLCLSPLQSQSPLNRSPFQLVVAILAHQRRLPSPFQLAVILLKSPLILPQSCRSSPLFDKVALSSSLKVASKIVFTAIASAAIRFQISGILPTMNAKIDRELQGKQSISLEPGGQFELSGEVNSHLYQRSNKTSLFLSNLAASPSASSAWENKKKGNRRGFYEMNGDQQHMEMHYVNTGFPYCVAGNSAYYFDGSQAPSQYPNPDQFHNEETTYWSMNMNWYKFGFSGMENSYYTPYECSSHLSTMDLTEQQPWNYPVMMNVEEPVAVETVETVESTSEENTAPSVDASPEDSNPEVPEQQDGANDQVILEDDIDPDNMTYEELLDLGEAVGTQSRGLSKELIDLLPTSKYKFSWIFSKKRYGERCVICQMKYKRGDRQMNLPCKHVYHSDCVSKWLGINKTCPICNAEVSIDEPSH >itb11g01220.t1 pep chromosome:ASM357664v1:11:550852:552635:-1 gene:itb11g01220 transcript:itb11g01220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVGKEEEASIISPRKLRDDLYLYAYKQQSSTPLVISVIASLIGRTVARNQRIARNYLRGAMVSKDNVGLTKVFECDEAPDMTIESYLERVFRYTGAGPSVYVVAYVYLDRFCHLHPQFRIGPTNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTMEMNKLEVEFLFLMRFKLHVNVSVFHSYCCHLEREVSIGGGYQIERTLRCAQDIKSKQREDTTFSLLL >itb10g00350.t4 pep chromosome:ASM357664v1:10:229423:232647:1 gene:itb10g00350 transcript:itb10g00350.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQREPPPDMVCKDKFLVQSKVVPEETSDKDITSETFSKDDGEYVQENKLRVILVVPLNSPELSPINGAQSHPPNFESSPPKCLEDLSGGEETQIPQQKPAEEEFKQENQEEPVKAKHVEIETAKDVEKVEFVDEINTMKSKLNALELKLSEAEATISRLTQETRGSAQEKESLQRELVRLRSRKGVRKVQVGFPLLYVVMVALISLALGYMFHRQ >itb10g00350.t1 pep chromosome:ASM357664v1:10:227394:232648:1 gene:itb10g00350 transcript:itb10g00350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFELVDIQPREITFTFEPRKQSSCTIQLFNKTDKHVAFKVKTTNPKKYCVRPNTGIISSKSLCEFKITMQAQREPPPDMVCKDKFLVQSKVVPEETSDKDITSETFSKDDGEYVQENKLRVILVVPLNSPELSPINGAQSHPPNFESSPPKCLEDLSGGEETQIPQQKPAEEEFKQENQEEPVKAKHVEIETAKDVEKVEFVDEINTMKSKLNALELKLSEAEATISRLTQETRGSAQEKESLQRELVRLRSRKGVRKVQVGFPLLYVVMVALISLALGYMFHRQ >itb10g00350.t3 pep chromosome:ASM357664v1:10:227620:232648:1 gene:itb10g00350 transcript:itb10g00350.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFELVDIQPREITFTFEPRKQSSCTIQLFNKTDKHVAFKVKTTNPKKYCVRPNTGIISSKSLCEFKITMQAQREPPPDMVCKDKFLVQSKVVPEETSDKDITSETFSKDDGEYVQENKLRVILVVPLNSPELSPINGAQSHPPNFESSPPKCLEDLSGGEETQIPQQKPAEEEFKQENQEEPVKAKHVEIETAKDVEKVEFVDEINTMKSKLNALELKLSEAEATISRLTQETRGSAQEKESLQRELVRLRSRKGVRKVQVGFPLLYVVMVALISLALGYMFHRQ >itb10g00350.t2 pep chromosome:ASM357664v1:10:227509:232471:1 gene:itb10g00350 transcript:itb10g00350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFELVDIQPREITFTFEPRKQSSCTIQLFNKTDKHVAFKVKTTNPKKYCVRPNTGIISSKSLCEFKITMQAQREPPPDMVCKDKFLVQSKVVPEETSDKDITSETFSKDDGEYVQENKLRVILVVPLNSPELSPINGAQSHPPNFESSPPKCLEDLSGGEETQIPQQKPAEEEFKQENQEEPVKAKHVEIETAKDVEKVEFVDEINTMKSKLNALELKLSEAEATISRLTQETRGSAQEKESLQRELVRLRSRKGVRKVQVGFPLLYVVMVALISLALGYMFHRQ >itb04g13780.t1 pep chromosome:ASM357664v1:4:13915867:13918009:1 gene:itb04g13780 transcript:itb04g13780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRNSKRRALDDRFINLPTDVLNCILDHLPVRDAARTSILSRKWRYIWAAHPNLELDPKDIVTVTKNDFVGIGNRILLQHIGPILTFYVDLSDVHMSQYPNIDLWILYLSRNGLREFTVENSSRDLYTLPSYVFLCQELIELELSNCIFKQPCGTIRSFQNLKVLFLIEVAFKPEVSASIFTASKLKTLHIEKCSGMDHLNFDGCSPSLSSLILYKNHGVKLSCFMNCKSITYAKLVFPMEVKSFGPGKRINLASLFKHWPLISNLFLDGYHLKVQSLLRFSILISRTMCLGCIIIFLCAGKLLAADSITSTLPVKVNYLRDLTVYGICFTNLVQISCILCLLHSSPTVHSLEILMSVLTVSADNNLVLKYLQERSCMGEDINSLRDLKMKYFQGSRAEMLFVKLILACCPALERVTFVDKEVEPSEVSNILKELVVFPRASRKAQIIF >itb09g02510.t3 pep chromosome:ASM357664v1:9:1421448:1423379:-1 gene:itb09g02510 transcript:itb09g02510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGGENRVAMKGSKRSTAFGPVENESAHVPGLPATKKRVVLGDLTNSNTCSTQNTSLRQTQKPKAKQKPGLKEDGDGDESVDAGFYEEDFTPENSQRSAYAPLIYQHLHSMEAEAKRRPLANYMEKVQNDLTPNMRFVLVDWLVEVAEEYRLVADTLYLAVSHIDRFLSFHTLNRKKLQLLGVSSMLVASKYEEIHPPHVEDFVYITDNTFTKEEVVLMEGELLKFLDFEIGNPTTKTFLRIFTKPAQDDSKFTTLEFEFLCSYLAELSLLDYYCVRFLPSLIAAAAIFLARLIILPKFHPWSIALQQYTGYRPYDLKDCVLAIHEMLFSKSEASMVGDKYQDHKVRLVCSRSVMYVLCAL >itb09g02510.t4 pep chromosome:ASM357664v1:9:1420172:1423397:-1 gene:itb09g02510 transcript:itb09g02510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGGENRVAMKGSKRSTAFGPVENESAHVPGLPATKKRVVLGDLTNSNTCSTQNTSLRQTQKPKAKQKPGLKEDGDGDESVDAGFYEEDFTPENSQRSAYAPLIYQHLHSMEAEAKRRPLANYMEKVQNDLTPNMRFVLVDWKKLQLLGVSSMLVASKYEEIHPPHVEDFVYITDNTFTKEEVVLMEGELLKFLDFEIGNPTTKTFLRIFTKPAQDDSKFTTLEFEFLCSYLAELSLLDYYCVRFLPSLIAAAAIFLARLIILPKFHPWSIALQQYTGYRPYDLKDCVLAIHEMLFSKSEASMVGDKYQDHKYKQVAKMSPPSEIAAHYFQDV >itb09g02510.t2 pep chromosome:ASM357664v1:9:1420191:1423397:-1 gene:itb09g02510 transcript:itb09g02510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGGENRVAMKGSKRSTAFGPVENESAHVPGLPATKKRVVLGDLTNSNTCSTQNTSLRQTQKPKAKQKPGLKEDGDGDESVDAGFYEEDFTPENSQRSAYAPLIYQHLHSMEAEAKRRPLANYMEKVQNDLTPNMRFVLVDWLVEVAEEYRLVADTLYLAVSHIDRFLSFHTLNRKKLQLLGVSSMLVASKYEEIHPPHVEDFVYITDNTFTKEEVVLMEGELLKFLDFEIGNPTTKTFLRIFTKPAQDDSKLSCGAKLIRLLLCPVLAITYCCCCDLSCKTYNPSKISSLEHCTATVYRLQTI >itb09g02510.t1 pep chromosome:ASM357664v1:9:1420172:1423397:-1 gene:itb09g02510 transcript:itb09g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGGENRVAMKGSKRSTAFGPVENESAHVPGLPATKKRVVLGDLTNSNTCSTQNTSLRQTQKPKAKQKPGLKEDGDGDESVDAGFYEEDFTPENSQRSAYAPLIYQHLHSMEAEAKRRPLANYMEKVQNDLTPNMRFVLVDWLVEVAEEYRLVADTLYLAVSHIDRFLSFHTLNRKKLQLLGVSSMLVASKYEEIHPPHVEDFVYITDNTFTKEEVVLMEGELLKFLDFEIGNPTTKTFLRIFTKPAQDDSKFTTLEFEFLCSYLAELSLLDYYCVRFLPSLIAAAAIFLARLIILPKFHPWSIALQQYTGYRPYDLKDCVLAIHEMLFSKSEASMVGDKYQDHKYKQVAKMSPPSEIAAHYFQDV >itb14g15950.t1 pep chromosome:ASM357664v1:14:19265324:19266140:1 gene:itb14g15950 transcript:itb14g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMASSSATLFFALIFLPSIVRAQERSPHGVAYESPLAISPEAYAFFHPNTQNQNSNNNTANTTSLSLCDRSESDCSPFPTASSVQSDLAHESTAARDGGKHRVGAGGAAGILIGLAFAVLLALGVFFMVIARKRNLNKANAAIAQPEV >itb12g23970.t1 pep chromosome:ASM357664v1:12:25608785:25611916:1 gene:itb12g23970 transcript:itb12g23970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVEVVDQEIRIDFALGSKCRATVTLRSLTAAAPVAFKVQTSSPHKFLVNPPSGLVPPLRSATFQVILKPQYELPASFPRSPSDRFLIRTALASDLDSVSPESTQSEFIHSWFNKTPHGSIHDVKLKVAFVGPFLLQHAVMVGDCDAVRGIVKKQRSVLSALPTREAESLVRVATQLDNSDEMVDLLLEAGLKGGGAGLDNVRFTAKGWTALHIAAAHDRTDEIERLVRANDSGALDCRDKEGKTPLFLAASKGHLGPARVLASAGAQVDTRSIDGRTALYRAVVNGDCQMVEMLVEMGADPTVTDNLGHSPIGVARDKGHEGIVKIIERGEQVLQAARQGDLRLLESLLEKGAITTFCDQYGLTPLHVAAIKGIKDAVMILVDFGADIERQDAGGHTPLHLAVEGGCAHTVEVLINRGADVNATNIKGLTPLSIARLLNYEDISQLLNQCNAA >itb02g02710.t3 pep chromosome:ASM357664v1:2:1583988:1587178:1 gene:itb02g02710 transcript:itb02g02710.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKSPSHPHSDQMLELRVGMESQKKGLSFYPLVGCLAELEFHKEPDYRDHLLSFRLPDVGRAFQDFMRTREVAEFFSGAMAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIQVIEQHGWQGLWAGNTINMVRIIPTQAIELATFECVKRAMTSTKERWTHSGGPKLQIGHISLNFPFSWLSPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSPEIYPSLSIAARKIYKDGGIGALYSGIAPTLIGMLPYSTCYYFMYETIKKSYCQAKNKESLNRAEMLLFGALSGLTASTISYPLEVARKRLMVGALQGKCPPNMVAALSEIMRVEGVSGLYRGWGASSLKVMPASGITWMFYEAWKEILLGGKQHYL >itb02g02710.t2 pep chromosome:ASM357664v1:2:1583936:1587178:1 gene:itb02g02710 transcript:itb02g02710.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPFKTRMVVGVGSKNISGSFIQVIEQHGWQGLWAGNTINMVRIIPTQAIELATFECVKRAMTSTKERWTHSGGPKLQIGHISLNFPFSWLSPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSPEIYPSLSIAARKIYKDGGIGALYSGIAPTLIGMLPYSTCYYFMYETIKKSYCQAKNKESLNRAEMLLFGALSGLTASTISYPLEVARKRLMVGALQGKCPPNMVAALSEIMRVEGVSGLYRGWGASSLKVMPASGITWMFYEAWKEILLGGKQHYL >itb02g02710.t4 pep chromosome:ASM357664v1:2:1584079:1587178:1 gene:itb02g02710 transcript:itb02g02710.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPFKTRMVVGVGSKNISGSFIQVIEQHGWQGLWAGNTINMVRIIPTQAIELATFECVKRAMTSTKERWTHSGGPKLQIGHISLNFPFSWLSPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSPEIYPSLSIAARKIYKDGGIGALYSGIAPTLIGMLPYSTCYYFMYETIKKSYCQAKNKESLNRAEMLLFGALSGLTASTISYPLEVARKRLMVGALQGKCPPNMVAALSEIMRVEGVSGLYRGWGASSLKVMPASGITWMFYEAWKEILLGGKQHYL >itb02g02710.t6 pep chromosome:ASM357664v1:2:1583988:1587178:1 gene:itb02g02710 transcript:itb02g02710.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRVGMESQKKGLSFYPLVGCLAELEFHKEPDYRDHLLSFRLPDVGRAFQDFMRTREVAEFFSGAMAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIQVIEQHGWQGLWAGNTINMVRIIPTQAIELATFECVKRAMTSTKERWTHSGGPKLQIGHISLNFPFSWLSPVAVGGAAAGIVSTLVCHPLEVLKVYFFPRNLCILDSVHP >itb02g02710.t5 pep chromosome:ASM357664v1:2:1583988:1587178:1 gene:itb02g02710 transcript:itb02g02710.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRVGMESQKKGLSFYPLVGCLAELEFHKEPDYRDHLLSFRLPDVGRAFQDFMRTREVAEFFSGAMAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIQVIEQHGWQGLWAGNTINMVRIIPTQAIELATFECVKRAMTSTKERWTHSGGPKLQIGHISLNFPFSWLSPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSPEIYPSLSIAARKIYKDGGIGALYSGIAPTLIGMLPYSTCYYFMYETIKKSYCQAKNKESLNRAEMLLFGALSGMNLETQSLLVIMHAVTSKSF >itb02g02710.t1 pep chromosome:ASM357664v1:2:1583936:1587178:1 gene:itb02g02710 transcript:itb02g02710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRVGMESQKKGLSFYPLVGCLAELEFHKEPDYRDHLLSFRLPDVGRAFQDFMRTREVAEFFSGAMAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIQVIEQHGWQGLWAGNTINMVRIIPTQAIELATFECVKRAMTSTKERWTHSGGPKLQIGHISLNFPFSWLSPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSPEIYPSLSIAARKIYKDGGIGALYSGIAPTLIGMLPYSTCYYFMYETIKKSYCQAKNKESLNRAEMLLFGALSGLTASTISYPLEVARKRLMVGALQGKCPPNMVAALSEIMRVEGVSGLYRGWGASSLKVMPASGITWMFYEAWKEILLGGKQHYL >itb12g13400.t1 pep chromosome:ASM357664v1:12:12239425:12241945:-1 gene:itb12g13400 transcript:itb12g13400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIYSSPFPHCSSIISTTRRSVNKTDISLLPAAASHFTRRDFPRTRVAVSLPAGNIINADYLETEFSGRAHGVTFTQISDSCVVRMALESGSVANILLPSGLITSYKAEMWHGGVVEILHTSVSQGEEEEEEDGSAVIQGGVSVAVDCYNDQGLSWSPKTWAFHQLKGSPKEDFIQVELMSKNTEANVEIKHIVTLKQYCLKSEINIFNHNTLPLRMSGSVLGHLTVSTPEATYAVGLQHSDFFNRPPFSTDYGIIPPGFGKRKNQTSTNFWDPAGIVGIFSRSGTRNAAEKAEGESEDEIEGEETDNYKCLIDEMSKIYRHAPRDITIIDRGRRNSVIVGREGFKEVYMLSPGSRHDSYGKYAYICIGQAAVLEPIVVESQGEWRGVHRLHNPNT >itb06g04260.t1 pep chromosome:ASM357664v1:6:6817874:6819384:-1 gene:itb06g04260 transcript:itb06g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHCNPMDFWGAWAGAAAFSAVTWVNPYIWHFTTDDNYHDKAMLYEHHHWKRARDKNKPHRFEWNKTSEEFRENYYFNWPVFFK >itb08g04030.t1 pep chromosome:ASM357664v1:8:3223862:3229347:1 gene:itb08g04030 transcript:itb08g04030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGPVAPVNSPHLRRSGSRAVVSDLGVVELGSGGEEEILHSIEVNEMKGVSTPLSSTAILPSPTLLWRFKVLLFLAWGFSCCKIGWHSVMRMSVNLRDLFLYEAFLYYNPLLLVTLMVWFWGANLWVFVQSNVNYSKIFDLDQNHLTHREIWRCATWMTIIVPTSMTAYLYLYSHGEVSLAAFQPVLLYAALTMALISPFDVFYLSTRYFFLRTCWRIVFPLQAISFADFFLADIFTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPIVLVLPYIFRLFQCLRQYKDTREKTALFNALKYSTAVPVIFLSALKYHVFPAKWVNTFRPLWLISSVLNSLYSFYWDLTRDWDLSCFTRIFKFGKPNFLSSMLYGQKWVFFWVIGSNLILRCTWTYKLSAHLRHNYLTVFAITALEIFRRFQWAFFRVENEWNKMSNRSNIQLSMGDMTNEEEKLLNSNDHNV >itb08g04030.t2 pep chromosome:ASM357664v1:8:3223862:3229347:1 gene:itb08g04030 transcript:itb08g04030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGPVAPVNSPHLRRSGSRAVVSDLGVVELGSGGEEEILHSIEVNEMKGVSTPLSSTAILPSPTLLWRFKVLLFLAWGFSCCKCATWMTIIVPTSMTAYLYLYSHGEVSLAAFQPVLLYAALTMALISPFDVFYLSTRYFFLRTCWRIVFPLQAISFADFFLADIFTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPIVLVLPYIFRLFQCLRQYKDTREKTALFNALKYSTAVPVIFLSALKYHVFPAKWVNTFRPLWLISSVLNSLYSFYWDLTRDWDLSCFTRIFKFGKPNFLSSMLYGQKWVFFWVIGSNLILRCTWTYKLSAHLRHNYLTVFAITALEIFRRFQWAFFRVENEWNKMSNRSNIQLSMGDMTNEEEKLLNSNDHNV >itb15g01680.t1 pep chromosome:ASM357664v1:15:1012759:1013722:1 gene:itb15g01680 transcript:itb15g01680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDFPPPGYRFFPTEEELVSFYLKHKLEGRFQEHIDVVIPVLNIYDHDPWSLPRKYNRSDPEQWFFFIPMQEREARGGRPTRLTAGGYWKATGSPGLVYSGNNRVIGGKRTMVFYTGRAPNGRKTEWKMNEYRFTERDASNSVSSKLSMNFMAL >itb10g04540.t2 pep chromosome:ASM357664v1:10:4299011:4303268:-1 gene:itb10g04540 transcript:itb10g04540.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MRSHTLSASNLDANFSRLCFSQSVKRSSLSKPSRFCVSFFQESFRIPRVNSQHCGLCCRSRLVPVRLMGEDFDFSPTDDWGESDGVIGYGISSSEGEESDGEIALQSVSDVDLPTTKEKSYPANDSITVTAHRLTMLGRTRRRRKIRHGILNNIGLVTFSTLLLFLVDCCAWKIVRLPLAPFFMMRPFLISAVAVSCIGYVCVPLFRTLRLRSLIRREGPAQHSAKKGTPTMGGLYFIPIGVLVADSILRFSSVEVLGASVATLAFATIGFLDDLVSLKNDNRGLSAWIRILLEVAVGIWFSLWLNTTDISSPYSMYTLLKAVVPLPAPLGLVCLGRFYPILTCFCFTSMANGVNLTDGLDGLAGGTAALAFIGMSIAVLPICPDVAIFGASIAGACVGFLMHNRYRASIFMGDTGSLAIGGALASMAAVTGMFFPLFIASGIFVVEALSVVLQVSFFKASRKLVGNGQRLFRMAPFHHHLELCGLREPVIVAGAYVVSCILGLYAGYVGLISV >itb10g04540.t1 pep chromosome:ASM357664v1:10:4298736:4303462:-1 gene:itb10g04540 transcript:itb10g04540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MRSHTLSASNLDANFSRLCFSQSVKRSSLSKPSRFCVSFFQESFRVRSCFNLKIPRVNSQHCGLCCRSRLVPVRLMGEDFDFSPTDDWGESDGVIGYGISSSEGEESDGEIALQSVSDVDLPTTKEKSYPANDSITVTAHRLTMLGRTRRRRKIRHGILNNIGLVTFSTLLLFLVDCCAWKIVRLPLAPFFMMRPFLISAVAVSCIGYVCVPLFRTLRLRSLIRREGPAQHSAKKGTPTMGGLYFIPIGVLVADSILRFSSVEVLGASVATLAFATIGFLDDLVSLKNDNRGLSAWIRILLEVAVGIWFSLWLNTTDISSPYSMKAVVPLPAPLGLVCLGRFYPILTCFCFTSMANGVNLTDGLDGLAGGTAALAFIGMSIAVLPICPDVAIFGASIAGACVGFLMHNRYRASIFMGDTGSLAIGGALASMAAVTGMFFPLFIASGIFVVEALSVVLQVSFFKASRKLVGNGQRLFRMAPFHHHLELCGLREPVIVAGAYVVSCILGLYAGYVGLISV >itb12g24370.t1 pep chromosome:ASM357664v1:12:25922933:25926463:1 gene:itb12g24370 transcript:itb12g24370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSFHFLVILLITLVVRESFAIPNFFRILAQADRPHTDQISPSPSPAASFNPNNSGKQGSGNKLSNGDAVAENACDNVSRKCTVPQINVTACFPHAEKGPESHLIVIYNDTNPQRLNITFLPKNKTLEIVELKLKLNEMNISSDIGGSSSIVISAGNLNCTISLGASSPTTNYNFPSYTPHVTPIYGAFFLAMVGLIVGGTLLCCKFWKRERHLGEVPYQELQLGEQGSLSSTKVESAAGWDEDWDDDWDEEKAVKSPGPKRLANGNTTASPDTNHWGNDWDD >itb09g12380.t1 pep chromosome:ASM357664v1:9:7846223:7848571:-1 gene:itb09g12380 transcript:itb09g12380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARHSTPPSLLGSPAYRLRLQQPVADWSQLPYDLTASIANHLDTVEDFIAFSAVCKSWRLVYLEKNWIPMKRIPWLMLGESHHNNAPMRQCFSMTRSKVYNLPKLKASDCRYWGSCHGWVVTVSKGEMYLLNPINQNHIKLPSESALHANGSFFDIIHRAYVFKVVQCLVVVAIYGPKYSLAFVRVGDSEWSTVQSSSPAQFCNVVCFEDRILALSMVGNIYLLDINGPGLPSIQSIASRPSEENWQQLYLVESSGSLLMVVYYESEQRSTPLVKFKVFRFDFSHRKWVSLEDLGDFALVVDDHHCTSVPGDDFLQPNCIYYAKDNLDNFLACQRKYIGNYMFVYNLKDKNTDLHNVGNNSLYSCPTWFIPDLW >itb02g12750.t1 pep chromosome:ASM357664v1:2:8800700:8801077:-1 gene:itb02g12750 transcript:itb02g12750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYLDFIVPIRKRTKRARSASNPWIRNGLPSSSTTASEKTPCRKEITTEVSKEFRECSHCKATETPQWRKGPMGLNTLCNPCGIRYSTGRLFPEYRPANIQSDVCSYVALPFSPEGCGNEKEG >itb02g02650.t1 pep chromosome:ASM357664v1:2:1547832:1554975:1 gene:itb02g02650 transcript:itb02g02650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVVTVGGKGSSLSSSSVAEISCGLARVNIDSSAPSVAANSKTSSSSPAAAKFHFQIPGDLLSATEVRASTLVFLNKLLLTSSSSVAAAGQLSEILNSESSDANNISIDGIAPAILPSLDFPLSVLVGVSALADHRCSALSLISDAVAALSCEALKADVSAFDLIDSGDGSTAKDDVSVAAAIKVFLNGSKMVGGSPLEPSVYDIPEVHGHFKEICRLLHSRTRTQLNSAFRASSSAAAKVSSSTLLDLAVALLRVGEISSRRAQLILDSGMDSEELLRTLKAKSPAHDLQAHFASLLSSFTNQNYIQFAHGFNSLLAMVENIVRSEAMTAFFGLVGSDLIEDTQGSATIEVNNVKKNKKKKKVLGKGTTALVQFLKDQMLSIATEADATPWEGYTNRFLSQWDPSNLGVDILLKKVKEIVESNESRRLPKIPKGTRDFAKEQMAIREKAFSVIVEVFERHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYAAMNGITSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQFEKMGPDFEVVRILTELLNELDIGDYEVKLNHRRLLDGMLAICGVPQEKFRTICSSIDKLDKQSFEQIKKEMVGEKGLSEEAADKIGTFVKERGSPLELLQKLKQEGSEFLENSESVLALDELEILFKALDKSKCINKVVFDLSLARGLDYYTGVIFEAVFKGATQVGSIAAGGRYDNLIGMFGTKQVPAVGISLGIERVFNIMEQLHKDKEVRASKTQVLVSILGDDLNLASEIASELWDAKLKAEFLVNKRVTKHFDRAKEAKIPWIVIVGERELSEGIVKLKDVSAAKEYPVPRNNLVEELRNRLNDTKC >itb08g02380.t1 pep chromosome:ASM357664v1:8:1887384:1888361:1 gene:itb08g02380 transcript:itb08g02380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPTRGGTNPMEACSIPRTSPLPALISSIPTKHFLPFPLPKSKPKPHQSSTSLSHFTLSATPRGFGYGTSQKKPKRSKKKLRKGFEDDDDEEDNEEEVEDEDQGVIPEIVTNRMMSRMGFSVGIPLFIGLLFFPFFYYLKVGLKIDVPYWIPFIVSFIFFGTALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSIWGGSKKN >itb12g16530.t1 pep chromosome:ASM357664v1:12:17818008:17824149:1 gene:itb12g16530 transcript:itb12g16530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGGGGYFVDQKAAQVENIFLEFLKSFRLDANSREPYYESEIEAMRPNESNTMFIDFSHVMRFNDILQRAISDEFLRFEPYLKSACKRFVMEQKPTFITDDNPNKDINVAFYNLPLIKRLRELTTAEIGKLVAVTGVVTRTSEVRPELLQGTFKCLDCATVIKNVEQQFKYTEPIVCMNATCTNTRKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHDIVEQARAGDTVIFTGSVVVIPDIFALASPGERAESRREGPQQKNASGQEGVKGLRALGVRDLSYRLAFIANSVQICDGRRDNDIRNRRDAEEDDNQQFTAEEIGEFHRMRNTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTSGLVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKTKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRLHQKREDSLAPAFTTAQLKRYIAYAKTLKPKLTPEARKLLVDSYVALRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARSYLDVQVHSRYVQLAVRLLKTSIISVESSEIDLSEFQEDNQDDSPNENRENNSDPVETHAETTSEPPSGPAENGPGTPSKQGKKLVISDEYFQRVTRALVLGLRQHEETVMQEGTGLVGMRQRDLIHWYVRQQNEKNSYTSAEEASAEITKVKAIIESLIRREGHLIVVDEGRQAAEDSQHATKTSRNDRILAVAPNYVVD >itb14g09310.t1 pep chromosome:ASM357664v1:14:9615276:9617732:-1 gene:itb14g09310 transcript:itb14g09310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFVKLGGGILLATLLIVASASDPSPLQDFCVADPTSPVSPFRLPISSSDSVACSSSSRRTPTAYSDLRDCDCEVHVVVNGLACKDPNLVRASDFFFSGLHLRANTTNSFGAGATPVTAAQIPALNTLGISMGRVDFAPQGLSPPHIHPRATEILIVLDGRIEAGFITSNPENRLVTKVLEKGDVFVFPEGLIHFQRNIGNGNAVAVAYFSNQNIGGIIFVANDVFGSNPAIPADVLAKAFQVDQNIISKIASKF >itb11g01940.t1 pep chromosome:ASM357664v1:11:961127:963661:1 gene:itb11g01940 transcript:itb11g01940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVRTAKKLQRLLASRLLVGAMQRGFRFFSAPFCSRTSIPSALVGFFRLFTQHRSHVEKGSLQVFCSSDLSERGTLCRYEHVRISQLQSSAPSSSVLARQHLLSSSDPTPANYETVVGGVGLGTGTTTEHLNCSKRDHYPKKPGWNQKIGPQDSRDDEKNVKLKSQNAADQSICSFAAAGNCPRGEKCPHIHGDLCSSCGKHCLHPFRPQEREEHIKKCEKLQKHLEALKHSQEIDCSVCLERVLSKPTAAERKFGILSECDHPFCISCIRNWRSSSPSSGFDVNSALRACPICRKLSYFVIPSVIWYSTKEEKQEIIASYKTKLRSIDCKHFDFGSGTCPFGSSCFYKHAYRDGRLEEVVLRQLGYDDGTTIIVKNIRLSDLLSNLQMR >itb11g11300.t10 pep chromosome:ASM357664v1:11:8237170:8244707:-1 gene:itb11g11300 transcript:itb11g11300.t10 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWR >itb11g11300.t3 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWRLVRTQEELQKYKTQNAQINS >itb11g11300.t11 pep chromosome:ASM357664v1:11:8238446:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t11 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMVKYNT >itb11g11300.t8 pep chromosome:ASM357664v1:11:8237170:8244707:-1 gene:itb11g11300 transcript:itb11g11300.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWRLVRTQEELQKYKTQNAQINS >itb11g11300.t4 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWR >itb11g11300.t6 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWR >itb11g11300.t9 pep chromosome:ASM357664v1:11:8237170:8244707:-1 gene:itb11g11300 transcript:itb11g11300.t9 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWR >itb11g11300.t1 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWRLVRTQEELQKYKTQNAQINS >itb11g11300.t2 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWRLVRTQEELQKYKTQNAQINS >itb11g11300.t5 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWR >itb11g11300.t7 pep chromosome:ASM357664v1:11:8237170:8244982:-1 gene:itb11g11300 transcript:itb11g11300.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPIGPENYVLYEEIGQGVSASVHRALCEPLNEVVAIKILDFERDNCDLSNVFREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDARCGIKLGDFGVSACLFDSGDRTRMRNTFVGTPCWMAPEVMEQLHGYDCKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIAKILLDGLPALGDRIRALKKKEEEMLAEKKIPDGQKEEMSQNEYKRGISSWNFNLDDLKAQASLIPDEEVSAEKDPVGSSNLPGGLENNGKQIQRQLSSANLVPKLQYQFSFLSQNSDGTEIDENNPSAPLSPCDTTPAFNIAKCEKSDHDDVSLPTEIHDPQNSRSSPSYNHVEKHLLGKPEFEIDGKFSDSPPVNSRQSFRDKIQPLNISACNSFHQLVEDMSAEAAKPPLRTSGKGEEFSEKTKGHLVQQKGRFKVTSEKVDLEMISPAPMLQKSHSMAVIAQHPYTSHSLTTDTMSPNLPSHSFLPVLQNMLHANITERENILNLMRQASAGETTVDGAELLLNMPLADKSLLEAAHDREKELLREITDLQWR >itb13g23150.t1 pep chromosome:ASM357664v1:13:29251334:29252735:1 gene:itb13g23150 transcript:itb13g23150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVYQQQGNLQSCFEPQIFEITATLKLKVPPSSPPCQGGWSILQSLSGIISKDAAAWEKEAAYVHPLARRSASSSARLSEKSLALCTEALGSETGTDMIESSSIFSSAALIASPAPTSRLASPEPTSRQEESLTSSQQIESRNVTSSAGAYRSMNHNSNRNSRNNNNFPPPLTTISGGANSLRVKPHREGGRLIIRAVETPSKRTYLQAERSNGRLRLSFFNADQSVDYPQITTTEENDVDVDGEEFKEESDEIESDINGEDEVSEVQELEEDEEKEEEGEEEESDVYMARDMDGNTSNVEVEMGIKKCLLSRCKESGHSQWGEPKTLWVST >itb08g07350.t1 pep chromosome:ASM357664v1:8:6284132:6291733:1 gene:itb08g07350 transcript:itb08g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSNTRRRLACCNFHFDVQNPLFHAGHRTRTLDVQIQRAALEGDWNTAERILRTNPNYSRERITKKGETVLHLGTAAKRRGFVRRLVHVLNPEDLEVKNDVGCTAFSYAAMSGVVENAKVMSEKNGKLWNIRDEKGETPIHHAVVMGHKSMVAFLCHITDFSELGDWEIIWLLEATIQNDMYDVATTMLTQCTPLTLTTLDRNQILLDVLARKRIGESRREGIMETFLLATSNVLPSLFFSNWMKQKCLGKEQGRRLFEKIWSECTSLTDGEFLELIRRKEILHYAAREGNVEFLDMILHNNPDLLWELNQKGQTILHVAVLYRQKKVVHLICNVRGYKDFIVLLEDDDRNNVLHLAATLQGLQNSSTPSQDHDHSLLLKQLLIMLDEREEEKIMPQSLLQLSTAALQFEREISWFNEVEKIVPSSLHNMRNNDDKTAKQLFSKEHMALKIEGEKSIRDTANSCMLVATLIATVAFAAAFTLPGGNEERTGTPIFIAQTTFTIFTISDVVAMISSMLSIVTFLSILILRYTEDNFRVALRRLLFGLAALCVSIGGMLVAFTAGFFVVYGKAWQTIVIAAFAGVPVALFLLLNSKLWFDTIASVLLN >itb08g07350.t2 pep chromosome:ASM357664v1:8:6284132:6291733:1 gene:itb08g07350 transcript:itb08g07350.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSNTRRRLACCNFHFDVQNPLFHAGHRTRTLDVQIQRAALEGDWNTAERILRTNPNYSRERITKKGETVLHLGTAAKRRGFVRRLVHVLNPEDLEVKNDVGCTAFSYAAMSGVVENAKVMSEKNGKLWNIRDEKGETPIHHAVVMGHKSMVAFLCHITDFSELGDWEIIWLLEATIQNDMYDVATTMLTQCTPLTLTTLDRNQILLDVLARKRIVSNWMKQKCLGKEQGRRLFEKIWSECTSLTDGEFLELIRRKEILHYAAREGNVEFLDMILHNNPDLLWELNQKGQTILHVAVLYRQKKVVHLICNVRGYKDFIVLLEDDDRNNVLHLAATLQGLQNSSTPSQDHDHSLLLKQLLIMLDEREEEKIMPQSLLQLSTAALQFEREISWFNEVEKIVPSSLHNMRNNDDKTAKQLFSKEHMALKIEGEKSIRDTANSCMLVATLIATVAFAAAFTLPGGNEERTGTPIFIAQTTFTIFTISDVVAMISSMLSIVTFLSILILRYTEDNFRVALRRLLFGLAALCVSIGGMLVAFTAGFFVVYGKAWQTIVIAAFAGVPVALFLLLNSKLWFDTIASVLLN >itb02g09670.t1 pep chromosome:ASM357664v1:2:6196154:6205216:-1 gene:itb02g09670 transcript:itb02g09670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSITEGTMIHHLVIVLLLLWLLNSFDYCHPFAYFASLVYIYLVHESYVIRLRRRLQFEEKRQSYQRRVLTDSESVRWLNHALEKIWPVCMEHIVSQKILLPIIPWFMQKYKPWTVKDIDVQHLYLGRCPPMFTEMRVLHQSTGDDHLALELGMNFCTEDMNASLAVKLRRRLGLGMRAKLHLLGMHVEGKVLVGVKFLPKWPFLDRLRVCFAEPPYFQMTVKPIFSHGLDVTELPGIAGWLDKLLTIAFEQTLVEPNMLVVDVKKFASPEPENWFTVDAKETIAHVVVQVFEAAGLKPSDLNGLADPYLKGRLGPYRFRTKTQKKTLTPKWQEEFKIPVCSWESPNDELKIEVCDKDHIVDDSLGECSIKVTDFRDGQRHDMWLALKNIKMGRLHLAITVIEGDKKDVEMDDEGTVDDEQNRDSFENDAADVGPPSELPDKAPKVADRYEPIDIEGQEETGVWVHHPGVEIAQVWEPRKGKGRKIDGEDSDSVGSFKSMDGSFKLKKSGSSHSDDNRSDENENENGHSMNRLHRGLNKISAAFHRSPRNHDKSSNLDEPAPSPHINLKALNTKNIGVTFVVDDTDAQSPERRSKEDAQSPARRSKEDAKECQEGDEQDSPTKGNIKDRAKNMLKHVGRSAHGGIKHVLSRKGSRKSKTESELTPSERDNSVVSDSSDESSVLSSVGTPKVVPDSVVHDTSPPGNDSTKSSDYITETSNETAFTENKPVDRVGHEDACVMDDNPLPGAQKVNDLESSQDSKIPLENVTE >itb02g09670.t2 pep chromosome:ASM357664v1:2:6196191:6205078:-1 gene:itb02g09670 transcript:itb02g09670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSITEGTMIHHLVIVLLLLWLLNSFDYCHPFAYFASLVYIYLVHESYVIRLRRRLQFEEKRQSYQRRVLTDSESVRWLNHALEKIWPVCMEHIVSQKILLPIIPWFMQKYKPWTVKDIDVQHLYLGRCPPMFTEMRVLHQSTGDDHLALELGMNFCTEDMNASLAVKLRRRLGLGMRAKLHLLGMHVEGKVLVGVKFLPKWPFLDRLRVCFAEPPYFQMTVKPIFSHGLDVTELPGIAGWLPNMLVVDVKKFASPEPENWFTVDAKETIAHVVVQVFEAAGLKPSDLNGLADPYLKGRLGPYRFRTKTQKKTLTPKWQEEFKIPVCSWESPNDELKIEVCDKDHIVDDSLGECSIKVTDFRDGQRHDMWLALKNIKMGRLHLAITVIEGDKKDVEMDDEGTVDDEQNRDSFENDAADVGPPSELPDKAPKVADRYEPIDIEGQEETGVWVHHPGVEIAQVWEPRKGKGRKIDGEDSDSVGSFKSMDGSFKLKKSGSSHSDDNRSDENENENGHSMNRLHRGLNKISAAFHRSPRNHDKSSNLDEPAPSPHINLKALNTKNIGVTFVVDDTDAQSPERRSKEDAQSPARRSKEDAKECQEGDEQDSPTKGNIKDRAKNMLKHVGRSAHGGIKHVLSRKGSRKSKTESELTPSERDNSVVSDSSDESSVLSSVGTPKVVPDSVVHDTSPPGNDSTKSSDYITETSNETAFTENKPVDRVGHEDACVMDDNPLPGAQKVNDLESSQDSKIPLENVTE >itb09g06470.t1 pep chromosome:ASM357664v1:9:3714248:3716700:1 gene:itb09g06470 transcript:itb09g06470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMAAVNLRSLLLFSAFLRFFLILYGEWQDAHMEVRYTDVDYLVFSDAAALMAKGDSPYKRSTYRYSPLIAFLLIPNSFLHPSWGKFLFSASDLLVGFLIHKILKLRGVPEKLSTYSVMVWLFNPFTFTIGTRGNCEPIVCAMVLWIIVCLMNDCLIQAALWYGFVVHLRIYPIVYALPIILVLDPLNFQPSKKPTLTDWSARKPQVAKSSGRRKLPDLHSLWSFFTSMFTWRRLMFGFISGSVFFISTGFFFYLYGWEFLNEALLYHLTRTDPRHNFSIYFYHIYLNYERDFSILEKLVSFLPQIMVQLALVFCFAQDFPFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWTNMKLKGKGLACILLWIGAQTHWLLWGYLLEFKGKNVFIQLWIASLLFLAANTFVLTSIIRQHNYSPVFRHSQHGVSNKTVKHE >itb02g20590.t1 pep chromosome:ASM357664v1:2:18637046:18637955:-1 gene:itb02g20590 transcript:itb02g20590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNLMIVAVLLVVLAEAQFSWAACDVNQLTPCLSAFTSNAPPSDLCCQRLKQQKPCFCQYLKDPTLKQYLSAGKKVASACKVSLPKC >itb04g11830.t1 pep chromosome:ASM357664v1:4:11450649:11452469:-1 gene:itb04g11830 transcript:itb04g11830.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILHYITHLYHQNIRNILYIRGSFNSAKMRDRCHVLLVIFPGQGQINPCLQFAKRLVNLGVKVTLSTTLYVINRISNHPEIPGIDFAPFSDGYDDGYYKLTPDAYGDAYNSLKTRGSEYVATLVKAKAADGAPFTGIIYTTLMPWVGEVARSLEVPDTLLWIQPATVLDIYYCYFTDCQDLFKSCGENGVVQIPGLPALGPTDFPSYMFSKTATNNVLDWAIQSMKDQIDLINSEENPKILVNTFDDLEVDALRAIKKMTLIGIGPLVPSAYLDGKDPSDTSFGGDLREKSDDYIEWLDSQPKGSVIYVAFGSYSDLPSTMVDQIAEALVESKMPFLWVLRETANGEKPEGKLRCKEELEKQGKIVRWCGQVEVLQHPSIGCFLTHCGWNSTIESLVFGMPVVACPLWSDQCCNAKLVQDVWKTGARVKANEDGIVEASELKRCIEFVMGEEGAELRKNAEKWRDLARSSMKECGSSYLNLKAYVHEVLLN >itb09g13120.t1 pep chromosome:ASM357664v1:9:8479970:8482247:-1 gene:itb09g13120 transcript:itb09g13120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTHTFKLGYGKVWSSSYRARSRRKLELVELEVGVGAHGEVGSSSYRVRPRIGAKTFEVGLSKMGSSSSWSSMVEKYGTSVWSIPKAAKVNGMAVSISSNVCFIRIMQKLRSKHEACRREYHQSVCLKICMFLVVIFAVAAAQETGLSPSPSPTPGMDKGAAISLQMASIALTGASLIFSLLLPLLNH >itb13g19420.t2 pep chromosome:ASM357664v1:13:26423094:26428740:-1 gene:itb13g19420 transcript:itb13g19420.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPSTPRFTGAVRQETAEYLIGAFIGDKSYPLTSDYWQKLLLHPLYLHWSTDRVHEACRLFAKNNHNTRHLAKILVHLSWCLQECVSASDVPSLSFMKALNAVYISSIFLKYLIENANTDNFEELYISLNESEEIPHNLSTDQRIEHLVVHSVFSFVGKVDVSTNSFLLHYELLNFMLVAMSTQLLSGPSPGPSDIHPFIDAAMLQESSLVNMVVQKLLHNYITRPRFPAKSLSYQIFAEGNQPGVLQRVGSVAANLVLLPLSYFASSSAEASKSPLADSSLNILLILTHYRKCLNVDPGKDESDYSISDPLPKEETCFFENPYCVALDNARDTEFDRVDIEGTAQNGPLVRLPFASLFDTLGMCLADETSVLLLYSLVHGNSDFLEYVLVRTDLDTLLMPLLETLYNAPRRAPNQIYMVLIILLILSQDSSFNASIHKLMLQSVPWYKERVLHQTSLGSLMVIILTRIINYNLSKLRDVYLHTNCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLAEMKNDKMSFSNGESIGDNLPEDSSAELHIYTDFLRIVLEILNAILTYALPRNPEVSLFFHILFVQHIILYIQ >itb13g19420.t1 pep chromosome:ASM357664v1:13:26421113:26428751:-1 gene:itb13g19420 transcript:itb13g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPSTPRFTGAVRQETAEYLIGAFIGDKSYPLTSDYWQKLLLHPLYLHWSTDRVHEACRLFAKNNHNTRHLAKILVHLSWCLQECVSASDVPSLSFMKALNAVYISSIFLKYLIENANTDNFEELYISLNESEEIPHNLSTDQRIEHLVVHSVFSFVGKVDVSTNSFLLHYELLNFMLVAMSTQLLSGPSPGPSDIHPFIDAAMLQESSLVNMVVQKLLHNYITRPRFPAKSLSYQIFAEGNQPGVLQRVGSVAANLVLLPLSYFASSSAEASKSPLADSSLNILLILTHYRKCLNVDPGKDESDYSISDPLPKEETCFFENPYCVALDNARDTEFDRVDIEGTAQNGPLVRLPFASLFDTLGMCLADETSVLLLYSLVHGNSDFLEYVLVRTDLDTLLMPLLETLYNAPRRAPNQIYMVLIILLILSQDSSFNASIHKLMLQSVPWYKERVLHQTSLGSLMVIILTRIINYNLSKLRDVYLHTNCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLAEMKNDKMSFSNGESIGDNLPEDSSAELHIYTDFLRIVLEILNAILTYALPRNPEVVYAILHRQEVFHPFKSHPRFNELLDNLFTVLDFFNSRMDAQNIVGEWSVEKVLQIIIVNCRSWRGEGMKMFTQMRFTYEQESHPEEFFIPYVWQLVLSRSGLDFSPSSINLFPVDLPVEDGFAGSDASKLQNGELDGSRHVGIWVE >itb05g12650.t1 pep chromosome:ASM357664v1:5:19323293:19334303:-1 gene:itb05g12650 transcript:itb05g12650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGGGRGGADDVEEELARIPLQAIVLADSFTTKFRPITLERPKVLLPLVNVPMIDYTLAWLEFAGVEEVFVFCCAHSKQVIDYLDNSKWFEQPNFVVTTIESHNAISAGDALRLIYERNVIHGDFVLVSGDTVSNMSLTEALKEHKERRRKDSNAVMTMVIKQSKPSPITHQSRLGTEELFIAIEPETKQLLYYEDKANDTKGYLSLEKGLLADNPSISLHNDKQDCYVDICSPEVLSLFTDNFDYQHLRRHFVKGLLLDDIMGYKIFTHEIHSSYAARIDNFKSYDTVSKDIIQRWTYPLVPDVQFFGNSATKLERRGVYRASEVKQSRSAKIGSFTVIGNNTSIGYNTEISNSVIGEGCIIGSHVKIDGCYIWNNVTIEDGCKLKHAIVCDGVIMKSAGVLDPGVVLSFKVVVGQHFLVPAYSKVSLLPQPIKQDSDEELEYADNSSAISSTSDKMNEDTRTEPLNLQQCAAFQVGNGGVGYIWSVSEYGLDDEWKHSVAPIPADKLVGITQTLTDELDVPNPDGNILPHSGELELDSVTNDSIDDAEDIRDESVFFEKEVEATFRRAVEENVKHDHVILEVNALRLSYNKASEDCAGALFYSIMKYALDTPHSSPLELVKNVATVFTKWAKLLKYYLPSIDEEIEVILKFEELCLESAKEYYPLFEKILDFLYDIEIIREEAILKWASEKEGAEESDKVFVKQSEKFIQWLKEAPEESEEED >itb09g09950.t1 pep chromosome:ASM357664v1:9:6133052:6134938:1 gene:itb09g09950 transcript:itb09g09950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSIVVISKCTVYPEYKSSNSKSLKLSVSDLPMLSCQYIQKGVLLSQPPLDSAALISLLKLSLSKALAHFPALAGRLHTDAKGHVYILSNDEGIDFVHAKAPHLSAAALLPSHADIPPQFRSFFQYDNTLSYAGHSKPLMAIQVTELNDAVFVGCTMNHAVVDGTSFWNFFNTYAEICKGEAKKITRVPDFGRDTVFNSPAVLKFPAGGPAATFSGDEPIREKIFHFTREAILKMKFRANNGKLCNGENGKLNGKITPVNHEPGLQNGAAAAAKASEISSFQSLSAQLWRSVTRARKLESSKTTTFRMAINCRHRLEPRLKPLYFGNAIQSIPTVATAGELLSHDLSWAADKLHRNVVAHDDATVRRGIQDWENNPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPLAVRSGMANKFDGKISAFPGREGNGSVDLEVVLAPETMAGLENDMEYMQYVC >itb10g25980.t1 pep chromosome:ASM357664v1:10:29225600:29226241:1 gene:itb10g25980 transcript:itb10g25980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIFGSYAQGKYKFSPVANSPHEQEVYVPSPPNRNDEHVSLDDMDFNNGDDSYYGGASWDDVWRESSPTPTPTSTNIPQVTHVDRNDIRKHKRAFEGDSSQSNKSAWTSTKKEGVATIHQMLSDLIQQINERNTSTQEMNTSMMNMMNSNMNMINSMNSSSTKYGVEDALAKVCSLPGLELHAFEFFFACTMIEDPQKRSFFFLDCWMMRVG >itb10g13930.t1 pep chromosome:ASM357664v1:10:20226876:20229672:1 gene:itb10g13930 transcript:itb10g13930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGYVKNKNLGAARLLFDQMPKRDVVSWNTMLSGYAQNGHIDEARRIFDIMPVKNEISWNALLATYVQNGRIEAARRLFELKESWAVVSWNCLMGGYLKKRMLAEARAVFDRMPTRDKVSWNTMISCYAQNGELEEARRLFDESPVKDVFTWTSMVSGYVQNGRVEEARGIFDEMLVKNEVSWNAMIAGYVQCKRIDLARELFDAMPCKNISSWNTMITGYAQNSDIVSARSLFDCMPMRDCISWAAIIAGYAQCGNSEEALHMFVEMTRDGERLNRSSFTCVISTCADIAVFELGKQIHGRLVKAGYESGCYVGNALLAMYCKCGSIGEALDVFKGIAEKDVVSWNTMIAGYARHGFGKEALDFFKMMKDFGIKPDDVTMIGVLSACSHTGLVDRGMEYFYSMKVDYGIVANSKHYTCMIDLLGRAGRLDDAQDLIKSMPFEPDAATWGALLGASRMHGNTELGEKAAENIFVLEPWNAGMYVLLSNLYAASGRWRDVSEMRLKLRDTGTRKVPGYSWVEVQNKIHIFSVGDSTHPDSARIYAFLEDLELRMKHEGYVVATKLVLHDVDEEEKAHMLKYHSEKLAVAFAILNVPTGRPIRVIKNLRVCGDCHTAIKLISKIVGRSIILRDNNRFHHFTDGICTCGDYW >itb12g10970.t1 pep chromosome:ASM357664v1:12:9095187:9099980:1 gene:itb12g10970 transcript:itb12g10970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTGSPSLKVGCLEKERWKLFWSQRPEICFLGLPGGRRSGKFSCLSSFERKNIRPIFAASSDLSSSASNSQTEFQCEEMESTSTDDFKTARVKFQLQRQCSFGQHFWVVGDDPILGSWDPSNAVPLTWSDGHVWTVEMDFPCGKSINYKFILKGAGNAILWQPGPDRVLDVWETSNTVTVCEDWDNIELRKIMEEELLAGERGESPTDTEVLIAENSIQERIDTDANANEPVQIVAENITGADEDVNASNASGSSSNDATYIEETVTSGPTDFGKSGTAVHGGNLSSNGEARLDFDEGLAILVPGLHQVLSVETEAMPSNEIQVETVAENSLGPREAEEFKLQEERLETEFQCEEMESTSTDDFKTARVKFQLQRQCSFGQHFWVVGDDPILGSWDPSNAVPLTWSDGHVWTVEMDFPCGKSINYKFILKGAGNAILWQPGPDRVLDVWETSNTVTVCEDWDNIELRKIMEEELLAGERGESPTDTEVLIAENSIQERIDTDANANEPVQIVAENITGADEDVNASNASGSSSNDATYIEETVTSGPTDFGKSGTAVHGGNLSSNGEARLDFDEGLAILVPGLHQVLSVETEAMPSNEIQVETVAENSLGPREAEEFKLQEERLELDSKEDFQTDSSNDEEASEMKTTDISGIVHQLDSKEEFQTDCSNDEETSEMKTSDVSGIVHQLDSKEEFQTDSSNDKETSEMMLTDINGIEDNGHVQEPESEVLKEYQRDTQQLETRVAESDLQWGRQTIKKILTNFGWL >itb14g17610.t1 pep chromosome:ASM357664v1:14:20843240:20844767:1 gene:itb14g17610 transcript:itb14g17610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQNKKKRRKSKASKNDGVCNSEAAAPCNDEDVLRRILEPFPCVSVEEAASAYKEANGDLNKAAEILGRLVESREDRSTTSSVSSWNAGSSSCMSTPEEVFGEDHKAQCAVRQKSKMKKVVASAGTVSTVLGKDYVSSTPKKNSSRLKGFNVESYSSKEDMEQFLCSMLGEESQLSFSVVRDVLCQCGYDADKALNILLELSASSVEQTNTGEEAFFVS >itb07g16560.t1 pep chromosome:ASM357664v1:7:20393603:20396861:1 gene:itb07g16560 transcript:itb07g16560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTGDIPPNQTIYIKNLNEKVKKEELKRSLYCLFSQYGRILDIVALKTAKLRGQAWVVFSEVTAASNAVRQMQNFPFYDKPMRIQYAKTKSDCIAKAEGTYDKKKKQEEKAERKKRAEEGQPTANGPRADTNGGPVNAARQGKPGAQETTAEPNNILFIQNLPHETTSMMLEVLFNQYPGFREVRMIEAKPGIAFVEFEDDVQSSVAMQALQGFKITPQNPMAITYAKK >itb12g17510.t1 pep chromosome:ASM357664v1:12:19596875:19603048:1 gene:itb12g17510 transcript:itb12g17510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRLGVTESGSGSSRGGGGGGGEGEVIPKQRHQPSPVVTVATLFFSSLMFDFFSDGGHVFFAEASGGAGGGGGFGAAGVHWVSGGGGSPSNVGIAVAVTAMAGLALAATLVYSRRGSLKSPWSQRQRKDALLPTEWSNLFDADGRLIDGGVRFLKKVRSGGVDPAIRAEVWPFLLGVYDLDSSKKERDNIRTQKRKEYVNLRRKCRQMLNNGDMSFKLKESSGNDNNDESGDFSPVLNSLGMEEENLSQDPPAFDNEGQKSEEPTKTASNPIHEGSKESTITASDSILERPNSLVEPEGDNGRIVDANISGDEYLSSNSDSSEEPDGEQPLLYDQDFEETDRDDQTGRSESSLPSNRVEDFATWQRIIRVDAIRANDEWIAYSPSQAAISEVKARQLAESVGLKDYDHLEPCRTFHAARLVCILEAYALYDSEIGYCQGMSDLLSPIISVIEEDDVAFWSFVGFMRKARHNFRLDEVGIRRQLTIVSKIIKCKDSHLYKHLEQLEAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQAAIRAGIGKSTWGRMRLRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEIMRECNSLTGKLDVWKLLDDAHDLVVTLHDKI >itb12g21610.t1 pep chromosome:ASM357664v1:12:23913645:23914424:1 gene:itb12g21610 transcript:itb12g21610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASREVYTMKEFMEIISIQEQTCFQKSRYEQSRRQKRKQKEEVDDDDEEVEHPLVTEMFGELYFRESPTSTLEGLFSPPRIGFPAAAPEPPAAEEVPSEETTKAARDDGGSDDRGNGKEDQTPELGSPAAPN >itb02g05700.t1 pep chromosome:ASM357664v1:2:3517045:3519795:-1 gene:itb02g05700 transcript:itb02g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEREMEDEDSVMEVLRTVEPEEELKCEEQEELGKHKNSNNGRCNKSIIEELAERLRSGRDSEEAKIEAARDIRKLVRKSSSSAKTRSRFAAAGVIPPLVQMLCPSSSSLPAREAALLALLNLAVRNDRNKVGIVSTGAIPPLVELLKCQDGRLQELATAAILTLSTADSNKPAIAGSGVAPLLTQMLSSGSVQGRVDAVTVLHNLSTSNEDPKLVLDAKAVLPLINLLKECKKYSKFAEKTTVLLEILSNSDEGRIAITNVDGGILTLVETVEDGSLVSTEHAVGALLSLCQSCRDKYRELILKEGAIPGLLRLTAEGTPLAQQRARTLLDLLRNSPPEKRLTCSMLERIVYDFAAHVDGADKAAETAKRLLQDMVHRSMEMSMSRIQLRASSCIPSKMSV >itb12g03640.t2 pep chromosome:ASM357664v1:12:2386477:2393453:-1 gene:itb12g03640 transcript:itb12g03640.t2 gene_biotype:protein_coding transcript_biotype:protein_coding METGEGEKNKPTVDSPTSVLEDEEEAFLDAKNGDSSNVSQSMLKEEEILSENRLKEEEEENDPNKADDLSETQFSRLDELLTQTQLYSEFLFEKMDDITNAAEEDEDKTVAGNKRGRGRKKKATYNNKKAKRAVAAMLTRSKEGDSSDYSSLTEEERAEKEQSELVPLLTGGKLKSYQIKGVKWMISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGLNGPYLVIAPLSTLSNWLNEINRFVPSINAIIYHGDKKERDELRRKHMPRTIGAKFPIVITSYEVALSDARKHLRHYGWKYLVVDEGHRLKNSKCKLFKELKLLPVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHDEFESWFNFCGKGNNEDLNEDLAEKRKAQIVAKLHGILRPFLLRRIKADVEQMLPRKKEIILYATLTEHQKNFQEHLINKSLEGHLIENVSTMRGFKGKLNNLMIQLRKNCNHPDLLESQFDGSFLFPPVEQIVAQCGKFQLLDKLVGKLLARKHKVLIFSQWTKMLDIIDYYFSEKNLEVCRIDGNVKLDERRRQINEFNDANSTYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRILKRAFSKLKLEHVVIEKGQFQQERSNPNCTDILQEEDLLALLREEENAEDKLVQTDISDEDLERVMDRSDLEVVHSKENGKPQQACSNALPLKGPGWEVVLPTATGGMLSGLNN >itb12g03640.t1 pep chromosome:ASM357664v1:12:2386426:2393453:-1 gene:itb12g03640 transcript:itb12g03640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEGEKNKPTVDSPTSVLEDEEEAFLDAKNGDSSNVSQSMLKEEEILSENRLKEEEEENDPNKADDLSETQFSRLDELLTQTQLYSEFLFEKMDDITVNAAEEDEDKTVAGNKRGRGRKKKATYNNKKAKRAVAAMLTRSKEGDSSDYSSLTEEERAEKEQSELVPLLTGGKLKSYQIKGVKWMISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGLNGPYLVIAPLSTLSNWLNEINRFVPSINAIIYHGDKKERDELRRKHMPRTIGAKFPIVITSYEVALSDARKHLRHYGWKYLVVDEGHRLKNSKCKLFKELKLLPVENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHDEFESWFNFCGKGNNEDLNEDLAEKRKAQIVAKLHGILRPFLLRRIKADVEQMLPRKKEIILYATLTEHQKNFQEHLINKSLEGHLIENVSTMRGFKGKLNNLMIQLRKNCNHPDLLESQFDGSFLFPPVEQIVAQCGKFQLLDKLVGKLLARKHKVLIFSQWTKMLDIIDYYFSEKNLEVCRIDGNVKLDERRRQINEFNDANSTYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRILKRAFSKLKLEHVVIEKGQFQQERSNPNCTDILQEEDLLALLREEENAEDKLVQTDISDEDLERVMDRSDLEVVHSKENGKPQQACSNALPLKGPGWEVVLPTATGGMLSGLNN >itb14g19040.t1 pep chromosome:ASM357664v1:14:21827360:21830038:-1 gene:itb14g19040 transcript:itb14g19040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQEQRAHPHRYTRGFQRRKRLLNVDLNAVPSWENLDHEGTSAPVVSQDVPPGQRVASLTSETIDIDGLDDDDDVVIISSPRALAEAKNNSMRNRRQTVVVNVDSEEHLPRVPPHNTYKRRRVPTYQSVINLEGYSNSKGDNDPSVTFVAVAPPPPPPKEPTFSCPVCMGPLVEEMSTKCGHIFCKACIKASIAAQGKCPTCRRKITMKGTIRVYLPATS >itb14g05570.t1 pep chromosome:ASM357664v1:14:4857633:4861045:-1 gene:itb14g05570 transcript:itb14g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSYFLVHNSSHLYFHKQKVCVFSNETQFQKKEFHEIKPQFSYTSSLLRPKGSGFIHPHASLSSFAEGGEGEKFEPKGLQNQKGENCEVEDDELPGMARAFHISSRTASAISICIAVAALCLPLVMKSLGQGVGLKCKALSYVTLLFGFYMACNIGANDVANAMGTSVGSGALTLRQAVLLAGVLEFSGALLMGTHVTSTMQKGILVASVFQGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGTGAVFWSSLARVTSSWVISPVIGAMASFLVYKFIRRFVYSARNPGQAAAAAAPIAVFLGVTGISYAALPLSATLSVALLQALAVGGVGAIMVDRIIRGQLGHLLAKSKSTTETTQTKNLGLLSDVAGPKGTQLEIVYGVFGYMQVVSACFMSFAHGGNDVSNAIGPLAAALSIVQGGMSGGGEILVIPNDVLGWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAAFVVLVASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVKEIVTSWAVTIPAGACLSVIYTWVLTKLLSYIL >itb04g33450.t1 pep chromosome:ASM357664v1:4:35693774:35698444:1 gene:itb04g33450 transcript:itb04g33450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAVILPLGVLFLLSGLVINFVQAIVFALVRPISKNLYRTINKEVVEILWLQPVWLFDWWANIKIQLYIDPETYKLMGKEHALFISNHRSDIDWLVGWVLAQRAGCLGYALAVIKKAISYIPVLGWSMWFSSYIILERRWAKDESTLKAGFQELKNFPRPFWLALFPEGTRFTQAKLRAAQEYAASAGLPVPKNVLIPRTKGFVLAVNQLRSFVPAIYNMTVAIPKTEPAPTMLRMLRGHSSVVHVHIERHLMKDLPEKGSDISQWCKDIFVAKDALLEQHLATGGFANKECHKNSRNMKSLLVVCTSSCLIFYGAMKLFELCPFSWTEAAFCVVFMVLVIILMQILILASQSERSNPPKESSKPPGDGLRENLLPK >itb14g19290.t3 pep chromosome:ASM357664v1:14:22017517:22020069:-1 gene:itb14g19290 transcript:itb14g19290.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFSIQVSKGGNSPFTYVIVDNQKKTRTCIFTPGYPPMIPDDLPKSKLSSALDGANIVYLDGRLHETALIVAQEAKSRGIPIIVDAERLREGLDDILDFASYVVCSTKFPQAWTSAPSIPTALVSILLRLSNVKFVIATLGEDGCLMLERTEAENTQLEEMDVDELYKKLEQREDANATIPTCISSNVGKLRANGIGMVNGRLHVGTAEKIPPSELVDTTGAGDAFIGAVIYSICTDMPPQKMLPFACQVAAIKCRALGARGHLPRWNHPCLTPFLM >itb14g19290.t2 pep chromosome:ASM357664v1:14:22017028:22020069:-1 gene:itb14g19290 transcript:itb14g19290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKGGNSPFTYVIVDNQKKTRTCIFTPGYPPMIPDDLPKSKLSSALDGANIVYLDGRLHETALIVAQEAKSRGIPIIVDAERLREGLDDILDFASYVVCSTKFPQAWTSAPSIPTALVSILLRLSNVKFVIATLGEDGCLMLERTEAENTQLEEMDVDELYKKLEQREDANATIPTCISSNVGKLRANGIGMVNGRLHVGTAEKIPPSELVDTTGAGDAFIGAVIYSICTDMPPQKMLPFACQVAAIKCRALGARGHLPRWNHPCLTPFLM >itb14g19290.t4 pep chromosome:ASM357664v1:14:22002434:22013909:-1 gene:itb14g19290 transcript:itb14g19290.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVSLGVGSLVWVEDPDVAWIDGEVLEVKGEDVKVLCTSGKTVVVKSSKIYHKDAEAPSCGVDDMTKLAYLHEPGLLQNLKTRYDINEIYTYTGNILIAVNPFRRLPHLYDTHMMAQYKGAAFGELSPHPFAVADDAYRLMMNEGVSQSILVSGESGAGKTESTKQLMRYLAYMGGRAATDGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEDLQRYKLGHPRTFHYLNQSNCYQLDGVDDSKEYLETRRAMDIVGISSEEQDAIFRVVAAILHLGNIEFAKGTEIDSSVPKDEKSMLHLRTAAELFMCDVKALEDSLCKRVIVTRDETITKCLDPEGATVSRDALAKIVYSRLFDWLVDKINNSIGQDPTSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTVCHYAGDVTYQTEQFLDKNKDYVIAEHQALLSASKCHFVSGLFPQSTEESSKQSKFSSIGTRFKQQLQSLLETLNATEPHYIRCVKPNNLLKPSIFENHNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFLDRFGMLAPEALDRSADEVTACKMLLNKVQLEGYQIGKTKVFLRAGQMAELDARRNEVLGRSASIIQRKVRSYMARRSFTLLRQSAIQLQSVCRGELARQVYESLRRETSCLRIQRNLRMHLARKAYKDVYSASVSIQTGMRGMAARTELLFRRQTKAAIIIQSHCRKFLAHLDYKKLKKAAITTQCAWRGKVARKELRALKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADMEEAKTQENAKLQSALQDLQVQFKETNELLVQERESAKKAAEKLPIIQEVPVIDHDLMNKLTAENERLKTMVSSLEKKIDETEKKFEETNKLSEERLRQVMEAESKIVNLKSAMQRLEEKVFDMESENKILRKQALLTPAKQISEPSTLSKILENGHHLNEESRTDELPSVSPAKIFETPDNKSRKPPTDRQHEDVDALMNCVMKDIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLINMIGSAIENQEDNELMAYWLSNTSTLLFLIQKSLKPAGATPSRKQQAPTSLFGRMTMGFRSSPSSVSIEAAAAALVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELGSLLSLCIQAPRTSKGSVLRSGRSFGKDTQTNHWLVIIDRLNSLLCTLKENFVPPILVQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCVQAKEEYAGSAWDELKHIRQSVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSNDVISSMRVLMTEDLNDAESSSFLLDDNSSIPFSIDELFDTLQVKDFAEVKPAEELLENPGFQFLQD >itb14g19290.t1 pep chromosome:ASM357664v1:14:22017028:22021128:-1 gene:itb14g19290 transcript:itb14g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPPLPKNRIVLGVGMAGVDFLAAVASFPNPDDKIRSTSFEVQGGGNAGNALTCAARLGLNPRIISKVADDSHGERILDELERDGVDTSFMVVSKGGNSPFTYVIVDNQKKTRTCIFTPGYPPMIPDDLPKSKLSSALDGANIVYLDGRLHETALIVAQEAKSRGIPIIVDAERLREGLDDILDFASYVVCSTKFPQAWTSAPSIPTALVSILLRLSNVKFVIATLGEDGCLMLERTEAENTQLEEMDVDELYKKLEQREDANATIPTCISSNVGKLRANGIGMVNGRLHVGTAEKIPPSELVDTTGAGDAFIGAVIYSICTDMPPQKMLPFACQVAAIKCRALGARGHLPRWNHPCLTPFLM >itb14g19290.t5 pep chromosome:ASM357664v1:14:22003126:22013909:-1 gene:itb14g19290 transcript:itb14g19290.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVSLGVGSLVWVEDPDVAWIDGEVLEVKGEDVKVLCTSGKTVVVKSSKIYHKDAEAPSCGVDDMTKLAYLHEPGLLQNLKTRYDINEIYTYTGNILIAVNPFRRLPHLYDTHMMAQYKGAAFGELSPHPFAVADDAYRLMMNEGVSQSILVSGESGAGKTESTKQLMRYLAYMGGRAATDGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEDLQRYKLGHPRTFHYLNQSNCYQLDGVDDSKEYLETRRAMDIVGISSEEQDAIFRVVAAILHLGNIEFAKGTEIDSSVPKDEKSMLHLRTAAELFMCDVKALEDSLCKRVIVTRDETITKCLDPEGATVSRDALAKIVYSRLFDWLVDKINNSIGQDPTSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTVCHYAGDVTYQTEQFLDKNKDYVIAEHQALLSASKCHFVSGLFPQSTEESSKQSKFSSIGTRFKQQLQSLLETLNATEPHYIRCVKPNNLLKPSIFENHNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFLDRFGMLAPEALDRSADEVTACKMLLNKVQLEGYQIGKTKVFLRAGQMAELDARRNEVLGRSASIIQRKVRSYMARRSFTLLRQSAIQLQSVCRGELARQVYESLRRETSCLRIQRNLRMHLARKAYKDVYSASVSIQTGMRGMAARTELLFRRQTKAAIIIQSHCRKFLAHLDYKKLKKAAITTQCAWRGKVARKELRALKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADMEEAKTQENAKLQSALQDLQVQFKETNELLVQERESAKKAAEKLPIIQEVPVIDHDLMNKLTAENERLKTMVSSLEKKIDETEKKFEETNKLSEERLRQVMEAESKIVNLKSAMQRLEEKVFDMESENKILRKQALLTPAKQISEPSTLSKILENGHHLNEESRTDELPSVSPAKIFETPDNKSRKPPTDRQHEDVDALMNCVMKDIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLINMIGSAIENQEDNELMAYWLSNTSTLLFLIQKSLKPAGATPSRKQQAPTSLFGRMTMGFRSSPSSVSIEAAAAALVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELGSLLSLCIQAPRTSKGSVLRSGRSFGKDTQTNHWLVIIDRLNSLLCTLKENFVPPILVQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCVQAKEEYAGSAWDELKHIRQSVGFLVIHQKYRISYDEITNDLCPNMHVILG >itb14g19290.t6 pep chromosome:ASM357664v1:14:22002434:22012459:-1 gene:itb14g19290 transcript:itb14g19290.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEGVSQSILVSGESGAGKTESTKQLMRYLAYMGGRAATDGRTVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPAEDLQRYKLGHPRTFHYLNQSNCYQLDGVDDSKEYLETRRAMDIVGISSEEQDAIFRVVAAILHLGNIEFAKGTEIDSSVPKDEKSMLHLRTAAELFMCDVKALEDSLCKRVIVTRDETITKCLDPEGATVSRDALAKIVYSRLFDWLVDKINNSIGQDPTSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRSDFTVCHYAGDVTYQTEQFLDKNKDYVIAEHQALLSASKCHFVSGLFPQSTEESSKQSKFSSIGTRFKQQLQSLLETLNATEPHYIRCVKPNNLLKPSIFENHNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFLDRFGMLAPEALDRSADEVTACKMLLNKVQLEGYQIGKTKVFLRAGQMAELDARRNEVLGRSASIIQRKVRSYMARRSFTLLRQSAIQLQSVCRGELARQVYESLRRETSCLRIQRNLRMHLARKAYKDVYSASVSIQTGMRGMAARTELLFRRQTKAAIIIQSHCRKFLAHLDYKKLKKAAITTQCAWRGKVARKELRALKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADMEEAKTQENAKLQSALQDLQVQFKETNELLVQERESAKKAAEKLPIIQEVPVIDHDLMNKLTAENERLKTMVSSLEKKIDETEKKFEETNKLSEERLRQVMEAESKIVNLKSAMQRLEEKVFDMESENKILRKQALLTPAKQISEPSTLSKILENGHHLNEESRTDELPSVSPAKIFETPDNKSRKPPTDRQHEDVDALMNCVMKDIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLINMIGSAIENQEDNELMAYWLSNTSTLLFLIQKSLKPAGATPSRKQQAPTSLFGRMTMGFRSSPSSVSIEAAAAALVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELGSLLSLCIQAPRTSKGSVLRSGRSFGKDTQTNHWLVIIDRLNSLLCTLKENFVPPILVQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCVQAKEEYAGSAWDELKHIRQSVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSNDVISSMRVLMTEDLNDAESSSFLLDDNSSIPFSIDELFDTLQVKDFAEVKPAEELLENPGFQFLQD >itb13g01700.t1 pep chromosome:ASM357664v1:13:1548812:1558118:1 gene:itb13g01700 transcript:itb13g01700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGQCLPDQKSVLLQIRSEITYDSSVSTKLHWDEGGDCCRWPGLSCNDATGYITSLDLSDEGSIMGGFNVSLLYKLPSLSVIMLDGVKFYAPFPDFFTDFTNLTVLSLSNCYFSGTVPHKVFQVPTLQTIDLSYNEMLGGSLPEFPENGSLKSLTLGWTMFSGNLPESIGNLRLLSHIDLAYCDFSGPIPASIIKLSKLVELSLSFNSFSGPIPASLFFLPSLQTLSLSGNKLSDKLKLTRFSEALVADFALGHYKIWGHVHLLFFPILQQNHLYLLCVDFKFERLEIIDNSASTQPTPVKYGDTLENVKLLLSECFTSVGEKFKSIVCDNLKTKRMPMTWRDTKNKVDYGMYLMRHMESYVSEAVKVLQNGIVGLLVVTDRNFRGYVCVI >itb02g00900.t1 pep chromosome:ASM357664v1:2:503134:504387:1 gene:itb02g00900 transcript:itb02g00900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFTAESCTNSSCSLGCLVSSCESMQGKNRKNKNRNKDKDKNKESGNRHPVYRGVRMRSWGKWVSEIRQPRKKSRIWLGTYPTAEMAARAHDVAVVAIKGDSTLLNFPHLLGSLPRAASASPGDVQAAAAKAAAMEELNPLSSSAAEASASATTSAASDDLGEIIQLPNLDEEGSFDSSEPKAAVDRWVYPPWWLPDDDLCAHFLNEPAGGETVNPASFETLNWAAH >itb08g07900.t1 pep chromosome:ASM357664v1:8:6810677:6811418:-1 gene:itb08g07900 transcript:itb08g07900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRIQYAFILFFVASLAILDISMAGPRFDATLISKPPVGPTTCPVPANQCGSECKRRCSGTSHVNNCLLFCNKCCDWCQCVPSGTYGNKECCSCYNDWKTQEGGPKCP >itb10g18670.t2 pep chromosome:ASM357664v1:10:24548802:24550763:-1 gene:itb10g18670 transcript:itb10g18670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENLQKWTPLIKEAFLQSFRVAKFFCAIHITNTYVCTFALTRGPSMLPTFNLTGDFVLAERLSTRFGKVVPGDVVLVRSPENPRKIINKRVVGIAGDTVKFVADPKNSEKEDTIVVPKGHVWIEGDFKYNTTDSRKFGPVPYGLIQGRIIWIVWPLEDFGSVGREVK >itb10g18670.t1 pep chromosome:ASM357664v1:10:24548930:24550763:-1 gene:itb10g18670 transcript:itb10g18670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENLQKWTPLIKEAFLQSFRVAKFFCAIHITNTYVCTFALTRGPSMLPTFNLTGDFVLAERLSTRFGKVVPGDVVLVRSPENPRKIINKRVVGIAGDTVKFVADPKNSEKEDTIVVPKGHVWIEGDFKYNTTDSRKFGPVPYGLIQGRIIWIVWPLEDFGSVGREVK >itb10g25620.t1 pep chromosome:ASM357664v1:10:28848928:28852702:-1 gene:itb10g25620 transcript:itb10g25620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSLASQFSGLRPVSKLDSSPSLSTTHSFFQNLHSHLRLSTSRKACRPVVAMAGSGKFFVGGNWKCNGTKESITKLVSDLNSAKLESDVDVVVAPPFLYIDQVKASLTDRIEISAQNCWISKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGETDEFIGKKAAYALSQGVGVIACIGELLQEREAGKTFDVCFKQMKAFADSLPSWDNVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLSKNVSAEVASKTRIIYGGSVNGSNCAELAKKEDIDGFLVGGASLKGPEFAVIVNSVTSKKVAV >itb07g04550.t1 pep chromosome:ASM357664v1:7:3050089:3053811:1 gene:itb07g04550 transcript:itb07g04550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSEAVRSVSSEVSSDLIVQVKGSRYLLHKFPLLSKCLRLQRLCSESQSPESSQHQIVQLPDFPGGVEAFELCAKFCYGITVTLSAYNIVAARCAAEYLQMTEEVEKGNLIFKLEAFLNSCILQGWKDAIVTLQSTKAFPLWSEDLGITGRCIDAIASKVLAHPTKMNLSRSYSRRGAGGDVVSCNGSESLRHSKKTSSSGKGWWAEDLAELSVDLYWRTMIAIKSGVRVPANLIGDALRIYAARWLPNVSKYAKSEESNNPSEESTDSLTDIFSKHALILESIISLLPPEKSAVSTGFLLKLLKAANILRASTASKAELSSRIAIQLEDAAVADLLIPSEPHKGFTIYDVDAVMTILEQFMMQGQPSPPTSPPRRTKDFERRRSRSAENVDLEFQESRRSSSASHSSKLKVAKLVDGYLQEIARDPNLPMSKFIAVAESIPDFARIDHDDLYRAIDIYLKDSRLQKVINGGLHACSPERASSPPGSGASPLLRASAGHRLRRPHNGASGQHQGVISQSRAARGRDQDLRRLFHRRQNDAQFQALHAENEACGRRRVGRKLRCRQRFQDDG >itb07g04550.t2 pep chromosome:ASM357664v1:7:3050089:3053811:1 gene:itb07g04550 transcript:itb07g04550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSEAVRSVSSEVSSDLIVQVKGSRYLLHKFPLLSKCLRLQRLCSESQSPESSQHQIVQLPDFPGGVEAFELCAKFCYGITVTLSAYNIVAARCAAEYLQMTEEVEKGNLIFKLEAFLNSCILQGWKDAIVTLQSTKAFPLWSEDLGITGRCIDAIASKVLAHPTKMNLSRSYSRRGAGGDVVSCNGSESLRHSKKTSSSGKGWWAEDLAELSVDLYWRTMIAIKSGVRVPANLIGDALRIYAARWLPNVSKYAKSEESNNPSEESTDSLTDIFSKHALILESIISLLPPEKSAVSTGFLLKLLKAANILRASTASKAELSSRIAIQLEDAAVADLLIPSEPHKGFTIYDVDAVMTILEQFMMQGQPSPPTSPPRRTKDFERRRSRSAENVDLEFQESRRSSSASHSSKLKVAKLVDGYLQEIARDPNLPMSKFIAVAESIPDFARIDHDDLYRAIDIYLKGHQELNKTERKRLCRTLDCRKLSMEACMHAAQNELLPLRVVVQVLFFEQARATVSGAHITELPGNIKALLAKAAPPEDGTKTSAASFTAAKMTPNSKLSTLKMKLAEDDELDGNFAAGKDSRTTAKALCVAPNRPKKMFSKLWSSRNCASQRI >itb03g21730.t1 pep chromosome:ASM357664v1:3:19676410:19676835:-1 gene:itb03g21730 transcript:itb03g21730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKKLIIAIILISMLFNISSALPLWQLPRLNWAPPSPSPALTPMPEPPSPAPKNCPIGTMKLGACVDLLGGLVHIRIGDPVIDQCCPILGGLMGIEAAFCFCQTFTFRMLNMSVLMPVALELIQTCGMEAPPGYACPKK >itb12g18620.t2 pep chromosome:ASM357664v1:12:20899510:20900998:-1 gene:itb12g18620 transcript:itb12g18620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKTAQKPPANGKPVDDDAAKKPQIWDCGSSLYDSFELKSFERQLDSALASRSLSMPHLSDRRVVHHLQPPPPPSQPKRSSSSRISRSLQKLLKSVFRPKQTSSGGGVKHLFRVPEPSRGGSFYVVYDKSGEALSTIPEVPESDILSPEIKSLVRRTASERFTPASIGISCA >itb12g18620.t4 pep chromosome:ASM357664v1:12:20899465:20900998:-1 gene:itb12g18620 transcript:itb12g18620.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKTAQKPPANGKPVDDDAAKKPQIWDCGSSLYDSFELKSFERQLDSALASRSLSMPHLSDRRVVHHLQPPPPPSQPKRSSSSRISRSLQKLLKSVFRPKQTSSGGGVKHLFRVPEPSRGGSFYVVYDKSGEALSTIPEVPESDILSPEIKSLVRRTASERFTPASIGISCA >itb12g18620.t1 pep chromosome:ASM357664v1:12:20899310:20900998:-1 gene:itb12g18620 transcript:itb12g18620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKTAQKPPANGKPVDDDAAKKPQIWDCGSSLYDSFELKSFERQLDSALASRSLSMPHLSDRRVVHHLQPPPPPSQPKRSSSSRISRSLQKLLKSVFRPKQTSSGGGVKHLFRVPEPSRGGSFYVVYDKSGEALSTIPEVPESDILSPEIKSLVRRTASERFTPASIGISCA >itb12g18620.t3 pep chromosome:ASM357664v1:12:20899310:20900998:-1 gene:itb12g18620 transcript:itb12g18620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKTAQKPPANGKPVDDDAAKKPQIWDCGSSLYDSFELKSFERQLDSALASRSLSMPHLSDRRVVHHLQPPPPPSQPKRSSSSRISRSLQKLLKSVFRPKQTSSGGGVKHLFRVPEPSRGGSFYVVYDKSGEALSTIPEVPESDILSPEIKSLVRRTASERFTPASIGISCA >itb09g08000.t2 pep chromosome:ASM357664v1:9:4804329:4811419:1 gene:itb09g08000 transcript:itb09g08000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDEMPLRFKRPNMASKSNVSNSEPNTSSSQKHDGQVGRQISNANSLNGQNSTVQKDKTVPSSKASPVKSPLVSPRVSPPTSKTSPANTVAKNSKPLASPANRSTNLNQCRSNTVVKEEKPSVSDPNDESDSEDDKPLSNRVGLSKSSSNHCNKVPGASTSGPKVVKDEDSDDEMPLSTRIKMKSNPGAPTMKSPDTNKNNKPVLPKREQNGSASSTVPSKRSPVEIKSAGQSSVKKPRLSDTSVSAKSKQPLVKAEKNEDDDEDNIPISQRIKKSSTPVNKSSSTKKATAVVSSSRKIMKKKIVKKNSKYSQSSKVPPSSGEAQKWTTLVHNGVIFPPPYKPHGVKMLYKGQPVDLTPEQEEVATMYAVMLDTEYMDKPKFKENFMNDWKKLLGRNHVIQSLEHCDFSPIYDWHLKEKEKKKQMSSEEKKALKEEKLKQEEKYMWAILDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPECPIPGESWKEIRHDNTVTWLAFWNDPINPKEFKYVFLAASSTLKGQSDKEKYEKARLLKEHIDRIRAAYTKDFNSKDPVKRQVAVATYLIDKLALRAGNEKDDDEAETVGCCTLKVENVEPVPPDTLKFDFLGKDSIRYQNDVRVLEPVFKAIQQFRKGKKDGDDLFDMLDTSKLNAHLKELMPGLTAKVFRTYNASITLQSELGRFTDGGDVAEKVDVYQKANKQVAVICNHQRSVSKTHGVQISRLNEKIEELKGVLEELKIDLARSKKGKPPLKSADGKTKRNLAPEALQKKIDETNKKIKKMENQIQVKEDLKTVALGTSKINYLDPRITVAWCKRNEVPIEKMFNRSLLAKFAWAMDVEPDFTF >itb09g08000.t1 pep chromosome:ASM357664v1:9:4804320:4811495:1 gene:itb09g08000 transcript:itb09g08000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVQPNFTDMDDDDEMPLRFKRPNMASKSNVSNSEPNTSSSQKHDGQVGRQISNANSLNGQNSTVQKDKTVPSSKASPVKSPLVSPRVSPPTSKTSPANTVAKNSKPLASPANRSTNLNQCRSNTVVKEEKPSVSDPNDESDSEDDKPLSNRVGLSKSSSNHCNKVPGASTSGPKVVKDEDSDDEMPLSTRIKMKSNPGAPTMKSPDTNKNNKPVLPKREQNGSASSTVPSKRSPVEIKSAGQSSVKKPRLSDTSVSAKSKQPLVKAEKNEDDDEDNIPISQRIKKSSTPVNKSSSTKKATAVVSSSRKIMKKKIVKKNSKYSQSSKVPPSSGEAQKWTTLVHNGVIFPPPYKPHGVKMLYKGQPVDLTPEQEEVATMYAVMLDTEYMDKPKFKENFMNDWKKLLGRNHVIQSLEHCDFSPIYDWHLKEKEKKKQMSSEEKKALKEEKLKQEEKYMWAILDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPECPIPGESWKEIRHDNTVTWLAFWNDPINPKEFKYVFLAASSTLKGQSDKEKYEKARLLKEHIDRIRAAYTKDFNSKDPVKRQVAVATYLIDKLALRAGNEKDDDEAETVGCCTLKVENVEPVPPDTLKFDFLGKDSIRYQNDVRVLEPVFKAIQQFRKGKKDGDDLFDMLDTSKLNAHLKELMPGLTAKVFRTYNASITLQSELGRFTDGGDVAEKVDVYQKANKQVAVICNHQRSVSKTHGVQISRLNEKIEELKGVLEELKIDLARSKKGKPPLKSADGKTKRNLAPEALQKKIDETNKKIKKMENQIQVKEDLKTVALGTSKINYLDPRITVAWCKRNEVPIEKMFNRSLLAKFAWAMDVEPDFTF >itb12g18890.t1 pep chromosome:ASM357664v1:12:21158688:21161169:1 gene:itb12g18890 transcript:itb12g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAQALSRIGLAGLAVMGQNLALNVAEKGFPISVYNRTTSKVDETVERAKREGQLPLVGQYNPRDFVLSIQRPRSVIILVKAGAPVDQTIAALAEHMEPGDTIIDGGNEWYENTERRISEASSKGLLYLGMGVSGGEDGARNGPSLMPGGSHQAYLNVQDILNKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNSELADIFADWNRGELESFLIEITADIFNVKDEETGNGELVDKILDKTGMKGTGKWTVQQAAELSIAAPTIAASLDSRYMSGLKGEREEAAEIFRKEGLKEDLSFINGSGVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLGELARIWKGGCIIRAVFLDRIKKAYQRNSGLANLLVDPEFAREMVQRQAAWRRVVGLAIQHGISVPGMSASLQYFDTYRRSRLPANLVQAQRDYFGAHTYERTDRPGAFHTEWSKLARKARV >itb12g10270.t1 pep chromosome:ASM357664v1:12:8337867:8339876:1 gene:itb12g10270 transcript:itb12g10270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKLLILIVYSLRKFADSEDTGFTYTGFRSANLSLDGLAELTSNGLLKLTNSSRLKTGHAFHPIPIRFKNTSTAWALSFSTTFVFAIVPEYSTLGGHGLAFAIAPKRSLLGGLPAPYIGLLNDTTNGNLTNHLFAVEFDTLLSREFEDINDNHVGININQMKSQVSKPAGYVGNGSLPQNLSLISGEPMQAWVEYSGPKKQINVSLAPINVPKPKIPLLSLSYDLTPIIGQTMYLGFSSATGSITSIHYVLGWSFRVNGVAEALEISRLPNLPRLGSKKRSEFLSIGLPVILPVSILAVILGAAYYVMIKKKFAEVLEDWELEYGPHRFKYKELYTATKGFKEKELLGTGGFGRVYRGVLPNSKNEIAVKKVSHESRHGMRAFIAEVVSMGRLSHRNLVPLLGYCRRKGELLLVYEYMPNGSLDKYLFDKPKSSLNWNQRFQVIKGVASALFYLHEEWEQVVVHRDIKASNVLLDSEWNARLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHIRKGKATTSSDVFAFGAFLLEVACGRRPIEPAAPNEDDLLVEWVFSWWSRGEILQAIDPKLGLNYVTKEANLVLKLGLLCSLLDPTSRPSMQQVVLYLDGSMVLPELSSLSLSTAGLIVGQSEGFDDFVGSLSSSGERRSAYCSSVANSILSGGR >itb01g26110.t1 pep chromosome:ASM357664v1:1:31343092:31354296:-1 gene:itb01g26110 transcript:itb01g26110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASAQVLRKQEHLEAGKKKLEEFRKKRAADRAKKATSTNQIQASDGGLERQTLDTERIRPDSDGAGTSNVVATPASEFSGVDKKYDFKENDITRKTNFGSSNYASVGSTFAANNYDVEFSTSEVHSKAIELNGNDISKLSMPENDSNNSQKKGKNDRDVGSFAFEIASDHSADNTLLPPFSNSGRVTSNSDYDGLHKTVPRFNEIFPKDLAAVNSSASHTYIGNISPENSASTHVLEKTGLIDRWASGLTSASHKDFLSPVASLTDFSSQVGQKDGALQHNYPVVPDSGYNQFSGSATYMTNTSTPLASDSAYGGFSFDGQSSSNYAQVSPPTTGFGARRSRPSFLDSISISAVSATSPPIETVNTDTFSSKVHPLDNLGSSNSESLMNSSVVSGNGSDMFKYAVAKSMETNHDFYSQKQNEDFAALEQHIEDLTQEKFSLQRSLEASRALAESLAAENSALTDSYNQQGGVVNQLQADMEALQGEIKANLAELEAVKVEYANVQLECSAADERSKLLASEVIGLEEKALRLRSNELKLERELEKTQAEVSSIKKKIASLEKERQDLQSTVDALQEEKKLLLSKLRKASTGGMFGDTSRTSPNKIDVSTSTEDLDDNEGLTTSMDDPNPGAQTTATSTESSDFPHLLDGGQLRFEGSALTIPPDQIRMIQNINTLISELSLEKAELMKAFSAESSECSKLKELNKELTRKLEAQTQRLELLTAQSMAADNIPVRQPDRPFHENTAYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >itb01g26110.t3 pep chromosome:ASM357664v1:1:31343120:31354296:-1 gene:itb01g26110 transcript:itb01g26110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASAQVLRKQEHLEAGKKKLEEFRKKRAADRAKKATSTNQIQASDGGLERQTLDTERIRPDSDGAGTSNVVATPASEFSGVDKKYDFKENDITRKTNFGSSNYASVGSTFAANNYDVEFSTSEVHSKAIELNGNDISKLSMPENDSNNSQKKGKNDRDVGSFAFEIASDHSADNTLLPPFSNSGRVTSNSDYDGLHKTVPRFNEIFPKDLAAVNSSASHTYIGNISPENSASTHVLEKTGLIDRWASGLTSASHKDFLSPVASLTDFSSQVGQKDGALQHNYPVVPDSGYNQFSGSATYMTNTSTPLASDSAYGGFSFDGQSSSNYAQVSPPTTGFGARRSRPSFLDSISISAVSATSPPIETVNTDTFSSKVHPLDNLGSSNSESLMNSSVVSGNGSDMFKYAVAKSMETNHDFYSQKQNEDFAALEQHIEDLTQEKFSLQRSLEASRALAESLAAENSALTDSYNQQGGVVNQLQADMEALQGEIKANLAELEAVKVEYANVQLECSAADERSKLLASEVIGLEEKALRLRSNELKLERELEKTQAEVSSIKKKIASLEKERQDLQSTVDALQEEKKLLLSKLRKASTGGMFGDTSRTSPNKIDVSTSTEDLDDNEGLTTSMDDPNPGAQTTATSTESSDFPHLLDGGQLRFEGSALTIPPDQIRMIQNINTLISELSLEKAELMKAFSAESSECSKLKELNKELTRKLEAQTQRLELLTAQSMAADNIPVRQPDRPFHENTAYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >itb01g26110.t2 pep chromosome:ASM357664v1:1:31343130:31354268:-1 gene:itb01g26110 transcript:itb01g26110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOS1 [Source:Projected from Arabidopsis thaliana (AT3G23980) UniProtKB/TrEMBL;Acc:A0A178VL19] MASAQVLRKQEHLEAGKKKLEEFRKKRAADRAKKATSTNQIQASDGGLERQTLDTERIRPDSDGAGTSNVVATPASEFSGVDKKYDFKENDITRKTNFGSSNYASVGSTFAANNYDVEFSTSEVHSKAIELNGNDISKLSMPENDSNNSQKKGKNDRDVGSFAFEIASDHSADNTLLPPFSNSGRVTSNSDYDGLHKTVPRFNEIFPKDLAAVNSSASHTYIGNISPENSASTHVLEKTGLIDRWASGLTSASHKDFLSPVASLTDFSSQVGQKDGALQHNYPVVPDSGYNQFSGSATYMTNTSTPLASDSAYGGFSFDGQSSSNYAQVSPPTTGFGARRSRPSFLDSISISAVSATSPPIETVNTDTFSSKVHPLDNLGSSNSESLMNSSVVSGNGSDMFKYAVAKSMETNHDFYSQKQNEDFAALEQHIEDLTQEKFSLQRSLEASRALAESLAAENSALTDSYNQQGGVVNQLQADMEALQGEIKANLAELEAVKVEYANVQLECSAADERSKLLASEVIGLEEKALRLRSNELKLERELEKTQAEVSSIKKKIASLEKERQDLQSTVDALQEEKKLLLSKLRKASTGGMFGDTSRTSPNKIDVSTSTEDLDDNEGLTTSMDDPNPGAQTTATSTESSDFPHLLDGGQLRFEGSALTIPPDQIRMIQNINTLISELSLEKAELMKAFSAESSECSKLKELNKELTRKLEAQTQRLELLTAQSMAADNIPVRQPDRPFHENTAYADEGDEVVERVLGWIMKLFPGGPSKRRTSKLL >itb15g03780.t1 pep chromosome:ASM357664v1:15:2383186:2383503:-1 gene:itb15g03780 transcript:itb15g03780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGQKSKKPNCPAMAGLPLSLLHSSLLSYFAAPLRATLRLIITPRSRAFLQMKKGSVYITKRPTWGAEACSVIACGVPAGALSAFLAVEPRKPITSVELLSVIK >itb07g07360.t1 pep chromosome:ASM357664v1:7:5579213:5580163:-1 gene:itb07g07360 transcript:itb07g07360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGTIPQEIGGLSFLSTFNISTNNFHGHIPESIGFLSKLQTLDLSYNHLTGNIPATIYNISSLRFVVMNYNSLSGMLPAGICDNYRQLQGLFLRSNRLSGEIPSSLHKCMELRVLNLEENEFHGSIPTEIGNFSKLERLLLYGNNLTGDLPWTIFNMSSLVVLDIERNEISGILPNDLCYLLPELEYLDIALNHIHGEIPQSLSRCGRLEILALSRNQLTGRFPTQICNISSLQELYLVGINLSGNFNS >itb03g03120.t2 pep chromosome:ASM357664v1:3:1759793:1761265:-1 gene:itb03g03120 transcript:itb03g03120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRTLTHFTGNMAQESSRHSGYKTLATNLIGFHGFYSQIAFMCFVSLSRNNFLKNLGGPKYDGRYLRGLVKRLLGNKTMNQTLTCTIIPTFDIKRLQPVMFTTTDAKANASKNALLSDVCLGTSAAPTYLPPYYFETKDEVGNIWRYNLIDGGVAANNPTMIALTHVSKEILTGSVQFKKMEPLDTKRMLILSLGTGAAKNEEKYDCAKASSWGVLSWVFYNGNSPLIDIYGAAGADMVDIHISTLFQSIQAERNYLRIQDDMLTGASSFVDIATSENMEMLEQIGLNLLKKSVSRVNLDTGRYEAVQGEGTNGEALTRFAKMLSDERKSRKTH >itb03g03120.t1 pep chromosome:ASM357664v1:3:1759793:1761771:-1 gene:itb03g03120 transcript:itb03g03120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAIMLSFVALLTMAQMLGHRTAMAAEKRANMVTILSIDGGGIRGIIPATILAHLEAKLQELDGPDARIADYFDVMAGTSTGGLMTAMLTASNKENRPLYAAKDINPFYREHGPRIFPAFSRNNFLKNLGGPKYDGRYLRGLVKRLLGNKTMNQTLTCTIIPTFDIKRLQPVMFTTTDAKANASKNALLSDVCLGTSAAPTYLPPYYFETKDEVGNIWRYNLIDGGVAANNPTMIALTHVSKEILTGSVQFKKMEPLDTKRMLILSLGTGAAKNEEKYDCAKASSWGVLSWVFYNGNSPLIDIYGAAGADMVDIHISTLFQSIQAERNYLRIQDDMLTGASSFVDIATSENMEMLEQIGLNLLKKSVSRVNLDTGRYEAVQGEGTNGEALTRFAKMLSDERKSRKTH >itb01g23500.t1 pep chromosome:ASM357664v1:1:29477368:29480933:-1 gene:itb01g23500 transcript:itb01g23500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYCSDCKKSTEVVFDHSAGDMVCSECGLVLESHSVDETSEWRTFANESGDNDPNRVGGPSNPLLTDGGLSTVISKPNGTTSDFLTSSLGRWQNRGSNPDRSLILAFKTIGTMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLEIEMGQSVDMGTIHAGDFMRRFCSNLGMANQAVKAAQEAVKKSEEFDIRRSPISIAAAVIYIVTQLSDDKKPVKDVSAATGVAEGTIRNSFKDLYPHLSKIVPNWYAQEQDLKNLNSP >itb12g11430.t2 pep chromosome:ASM357664v1:12:9688407:9690851:-1 gene:itb12g11430 transcript:itb12g11430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCVQNFTGQQGAYFCGVFDGHGPYGHKVSRYVRDTLPSKLSLSMKLSNLGVAANYCTENSHNNNLINTPFFNKLKSNFVKSFVEMDEDLEGESKIDTQCSGTTAVTVLKQGEHLIIGNLGDSRAIICTRDDTGQFVPEQLTVDLKPNLPSEFERIKNCNGRVIAMEEEPSVYRLWMPDDNGPGLAMARAFGDFCLKTYGLTSVPELYYRKLTDNDEFIVLATDGIWDVLSNNEVIKVVCSAKKRATAAKVLVERAVRAWKYRYPCAKIDDCAAICLFMKRQQQPGQGQGTTLSKTVSESTDASMNYSSYSAKTDDGLETVLNYKVKNGGEDSGCERDRSPAANVRRRRPNPTVHIH >itb12g11430.t1 pep chromosome:ASM357664v1:12:9688333:9691963:-1 gene:itb12g11430 transcript:itb12g11430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISCQRCGIEKGDGCPGGSLGLRGVDRNGGEDGEYDGITGRGDYDARVRLHGSSALTSMYSKQGRKGINQDAMTVWENFTGQQGAYFCGVFDGHGPYGHKVSRYVRDTLPSKLSLSMKLSNLGVAANYCTENSHNNNLINTPFFNKLKSNFVKSFVEMDEDLEGESKIDTQCSGTTAVTVLKQGEHLIIGNLGDSRAIICTRDDTGQFVPEQLTVDLKPNLPSEFERIKNCNGRVIAMEEEPSVYRLWMPDDNGPGLAMARAFGDFCLKTYGLTSVPELYYRKLTDNDEFIVLATDGIWDVLSNNEVIKVVCSAKKRATAAKVLVERAVRAWKYRYPCAKIDDCAAICLFMKRQQQPGQGQGTTLSKTVSESTDASMNYSSYSAKTDDGLETVLNYKVKNGGEDSGCERDRSPAANVRRRRPNPTVHIH >itb09g25810.t1 pep chromosome:ASM357664v1:9:25911619:25916656:-1 gene:itb09g25810 transcript:itb09g25810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3119 [Source:Projected from Arabidopsis thaliana (AT3G04790) UniProtKB/TrEMBL;Acc:A0A178V804] MATLSFISSSSLRHSNASSAAGASLMPPRQPQRSSLSVRRAPTFRAFSAPSPPPALTQDDLKKLAADKAVDYVKSGMVLGLGTGSTAAFVVAKLGELISSGQLTDIVGVPTSKRTQEQAASLNIPLSTLDDHPKLDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASDKFVVVVDDTKLVSGLGGSGLAMPVEVVQFCWKYNLLRLQEMFRELDCEAKLRLDGGKPYVTDNSNYIVDLYFKTPIKDSRAAGKEISALEGVVEHGLFLDMATAVIIAGKEGVSVKSK >itb13g25520.t1 pep chromosome:ASM357664v1:13:30872285:30873432:-1 gene:itb13g25520 transcript:itb13g25520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSDHNASNSLLPHYLQEDFGTQGFRELISSLPKEKGWLTPNIYNYKGFWFGAFHLQGALRFQQHFQAQDSDILLITLPKSGTIWLKALIFAVITRKHFLVSQETHPLLTNNPHELIPFVDVSYARETTPDFPLSNGVRVLSTHFPYPFLPESVREKNCKLIYLCRSPKDTVVSYWHFANKMRGEKSENNKEMKEISLEEAVENYCRGVSLGGPFWDHTLGYWNQSSENPRKVLFVKYEEIKEEPEAHLRRLAAFLGCPFSKEEEKGGVVGEILRLCSFDNLSGLEVNRSGKGVFGNNNSVMFRKGEVGDWRNHLTDEMASRIDQIVEEKFNGSGLKP >itb08g06740.t1 pep chromosome:ASM357664v1:8:5783668:5784336:-1 gene:itb08g06740 transcript:itb08g06740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMEKMVAVGLVWGATNALMRKGALKWDEKLRSSSHPDTPQHPVLKILNNWFNLLLTWQYSLPFLLNLSASAAFFAILSDTPISVAVPVTNGTTFAATAVFGMLLGEETRVGFALFGTFLIVLGVYICVM >itb11g12960.t1 pep chromosome:ASM357664v1:11:9899334:9900694:-1 gene:itb11g12960 transcript:itb11g12960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDVRVARDCQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALDVLGFGDYIEEVYAAYEQHRLETMDTVRAGKLSNGAEMTEEEALAAQQRMFAEARARMNNGITVPKQPNPEPEQHNTNS >itb11g12960.t2 pep chromosome:ASM357664v1:11:9899334:9900694:-1 gene:itb11g12960 transcript:itb11g12960.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDVRVARDCQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALDVLGFGDYIEEVYAAYEQHRLETMQDTVRAGKLSNGAEMTEEEALAAQQRMFAEARARMNNGITVPKQPNPEPEQHNTNS >itb11g16130.t2 pep chromosome:ASM357664v1:11:14070037:14079937:1 gene:itb11g16130 transcript:itb11g16130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MVMWVFGYGSLIWKPGFHYDDCLVGFIKGYRRVFYQGSTDHRGTPEFPGRTVTLEPADGEWGIAYKITKKEDQEVAITYLEVREKQYDKKAYLDFFTDLKASTPAISDVLVYVASPDKTINKNYLGPASIEEIASQIVRAEGPSGPNRDYLFQLEKALGLLGCEDKHVTDLAKEVRRILGETI >itb11g16130.t1 pep chromosome:ASM357664v1:11:14070037:14079937:1 gene:itb11g16130 transcript:itb11g16130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MVMWVFGYGSLIWKPGFHYDDCLVGFIKGYRRVFYQGSTDHRGTPEFPGRTVTLEPADGEVCWGIAYKITKKEDQEVAITYLEVREKQYDKKAYLDFFTDLKASTPAISDVLVYVASPDKTINKNYLGPASIEEIASQIVRAEGPSGPNRDYLFQLEKALGLLGCEDKHVTDLAKEVRRILGETI >itb11g16130.t3 pep chromosome:ASM357664v1:11:14070481:14079937:1 gene:itb11g16130 transcript:itb11g16130.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MMLWNIILTYDSMKWGIAYKITKKEDQEVAITYLEVREKQYDKKAYLDFFTDLKASTPAISDVLVYVASPDKTINKNYLGPASIEEIASQIVRAEGPSGPNRDYLFQLEKALGLLGCEDKHVTDLAKEVRRILGETI >itb12g14820.t4 pep chromosome:ASM357664v1:12:15164346:15169152:-1 gene:itb12g14820 transcript:itb12g14820.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNIWNAMEVAEGASDGQTSGIPSSHLTIDHSLSIPEMKPRLVELCKDLFKKWPNLDASHLSVETVSGGITNLLLKVSIREDSSKTVDLMVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGVFGNGMVQSFIHACTLTPSDMRKPKLAAEIAKQLHKFHQVEIPGGKEPQLWHDIFKFFQKGID >itb12g14820.t6 pep chromosome:ASM357664v1:12:15162657:15169157:-1 gene:itb12g14820 transcript:itb12g14820.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNIWNAMEVAEGASDGQTSGIPSSHLTIDHSLSIPEMKPRLVELCKDLFKKWPNLDASHLSVETVSGGITNLLLKVSIREDSSKTVDLMVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGVFGNGMVQSFIHACTLTPSDMRKPKLAAEIAKQLHKFHQVEIPGGKEPQLWHDIFKFFQKASNLEFDDSEKQRKFKTISFEEVHNEVNELKELTSHLNAPVVYAHNDLLSGNLMLNEEEGKLYFIDFEYGSYNYRGFDIGNHFNEYAGYECDYSFLGMLSDVGTQQRMSSTISSGTI >itb12g14820.t5 pep chromosome:ASM357664v1:12:15164760:15169157:-1 gene:itb12g14820 transcript:itb12g14820.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNIWNAMEVAEGASDGQTSGIPSSHLTIDHSLSIPEMKPRLVELCKDLFKKWPNLDASHLSVETVSGGITNLLLKVSIREDSSKTVDLMVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGVFGNGMVQSFIHACTLTPSDMRKPKLAAEIAKQLHKFHQVEIPGGKEPQLWHDIFKFFQKASNLEFDDSEKQRKFKTISFEEVHNEVNELKVVKYPVNLLIFGYI >itb12g14820.t3 pep chromosome:ASM357664v1:12:15163518:15169157:-1 gene:itb12g14820 transcript:itb12g14820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNIWNAMEVAEGASDGQTSGIPSSHLTIDHSLSIPEMKPRLVELCKDLFKKWPNLDASHLSVETVSGGITNLLLKVSIREDSSKTVDLMVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGVFGNGMVQSFIHACTLTPSDMRKPKLAAEIAKQLHKFHQVEIPGGKEPQLWHDIFKFFQKASNLEFDDSEKQRKFKTISFEEVHNEVNELKELTSHLNAPVVYAHNDLLSGNLMLNEEEGKLYFIDFEYGSYNYRGFDIGNHFNEYAGYECDYSLYPTKDEQYHFFRHYLEPERPYEVCWQHYYYSHSSKFCPIFYIQLNL >itb12g14820.t1 pep chromosome:ASM357664v1:12:15162657:15169157:-1 gene:itb12g14820 transcript:itb12g14820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNIWNAMEVAEGASDGQTSGIPSSHLTIDHSLSIPEMKPRLVELCKDLFKKWPNLDASHLSVETVSGGITNLLLKVSIREDSSKTVDLMVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGVFGNGMVQSFIHACTLTPSDMRKPKLAAEIAKQLHKFHQVEIPGGKEPQLWHDIFKFFQKASNLEFDDSEKQRKFKTISFEEVHNEVNELKELTSHLNAPVVYAHNDLLSGNLMLNEEEGKLYFIDFEYGSYNYRGFDIGNHFNEYAGYECDYSLYPTKDEQYHFFRHYLEPERPYEVSEKDLEALYVETSTYMLTSHLYWALWALIQAKMSPIEFDYLGYFFLRFNEYKNQKERYCSLAKAYLWGSKK >itb12g14820.t2 pep chromosome:ASM357664v1:12:15162657:15169154:-1 gene:itb12g14820 transcript:itb12g14820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNIWNAMEVAEGASDGQTSGIPSSHLTIDHSLSIPEMKPRLVELCKDLFKKWPNLDASHLSVETVSGGITNLLLKVSIREDSSKTVDLMVRLYGPNTEYVINRERELQAIPYLSAAGFGAKLLGVFGNGMVQSFIHACTLTPSDMRKPKLAAEIAKQLHKFHQVEIPGGKEPQLWHDIFKFFQKASNLEFDDSEKQRKFKTISFEEVHNEVNELKELTSHLNAPVVYAHNDLLSGNLMLNEEEGKLYFIDFEYGSYNYRGFDIGNHFNEYAGYECDYSLCVTLPFLLYSIQSIVLCSLNMKL >itb04g14180.t1 pep chromosome:ASM357664v1:4:14568542:14574065:-1 gene:itb04g14180 transcript:itb04g14180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSCSIFILLFALSICLGLTPADSLHPREPLRVIVDLGKETLGSWKDGVLQDTTEVLGSSNKGLNGTLVLGGNRTRRPDILSGFHKYRGGWDIANKHYWASVGFTGAAGFIFAVLWFIAFGFALVVHHFCGWKINIKDEETHCSQKICLIVLIVFTCAAAIGCILLSVGQDEFHAEALDTLKYVVNQSDYTVQTLRNVSEYLSLAKTVNVAQIYLPSDVKDDIDHLNVDLINAADTLEEKTDESSGKIRRVFNIMRSALVTVAAVMLIISILGLCLSILGHQHAIHIFIISGWLLVVLTFVLCGVFVIVDNAISDTCMAMEEWVDNPHAETALSNILPCVDQRTTNRTLIKSKQVVVDVANVVNGFIDTYANANPTDPVNSNYYNQSGPFMPHLCYPYDSQLQDLPCPSEQVSVANASLVWQQYICNVSAYGFCSSVGRVTPDMYGHLVAALNVSYALEHYTLPLLDLQNCNFVRDTFRNITSDYCPPLEHYLRVVNAGLALISVGVMLSLALWVIYTNRPRREEVLAKLTSRLKSSCHGKRSSNSSNLDLSSRGTTPRNTVYTIQ >itb04g14180.t2 pep chromosome:ASM357664v1:4:14568542:14574065:-1 gene:itb04g14180 transcript:itb04g14180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSCSIFILLFALSICLGLTPADSLHPREPLRVIVDLGKETLGSWKDGVLQDTTEVLGSSNKGLNGTLVLGGNRTRRPDILSGFHKYRGGWDIANKHYWASVGFTGAAGFIFAVLWFIAFGFALVVHHFCGWKINIKDEETHCSQKICLIVLIVFTCAAAIGCILLSVGQDEFHAEALDTLKYVVNQSDYTVQTLRNVSEYLSLAKTVNVAQIYLPSDVKDDIDHLNVDLINAADTLEEKTDESSGKIRRVFNIIHLSCCLAGLSILGHQHAIHIFIISGWLLVVLTFVLCGVFVIVDNAISDTCMAMEEWVDNPHAETALSNILPCVDQRTTNRTLIKSKQVVVDVANVVNGFIDTYANANPTDPVNSNYYNQSGPFMPHLCYPYDSQLQDLPCPSEQVSVANASLVWQQYICNVSAYGFCSSVGRVTPDMYGHLVAALNVSYALEHYTLPLLDLQNCNFVRDTFRNITSDYCPPLEHYLRVVNAGLALISVGVMLSLALWVIYTNRPRREEVLAKLTSRLKSSCHGKRSSNSSNLDLSSRGTTPRNTVYTIQ >itb07g18900.t1 pep chromosome:ASM357664v1:7:23313538:23315474:1 gene:itb07g18900 transcript:itb07g18900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEPIIISGKEEMRKWSRSLRAQGKSIGFVPTMGYLHEGHLSLIRESQKHAEVIVVSIYLNPGQFSPNEDLSTYPSDFLGDIQKLKSIPGGVDVVFHPHNLYDYGDGDRSKRNEKEMGRREGNVVSCVEAGGAGHQTWVRVEGLEKGLCGKNRPIFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRIIERMVRDLDFGIKVIGAEVMREDDGIAMSSRNVRLSPDERKQAVSISRALSEAKVAAEKGQVNCCKDLRNSVSKTIEEAGGRIDYAEIVDQESLEPAEVIERPVVFCVAAWFGKVRLIDNMEIKINA >itb02g06570.t1 pep chromosome:ASM357664v1:2:4109128:4110375:1 gene:itb02g06570 transcript:itb02g06570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIRGKTIGCGSSATVSIATSWNSGETFAVKSAELSKSEFLQREQEILSSLSCPQIVEYKGCDITMEDNKLMFNLLLEHMPAGTLAEHGGRIGESRMGEYAGQIAQGLDYLHSVGVVHCDIKGRNILVGNSGVKIADFGCSKRLRGASAASIGGGTPMFMAPEVAQGKEQGFAADIWALGCTVIEMATGGSSPWPNITGGPAALLYHIAFSGESPPVPDFLSDKAKDFLEKCLRRDPRERWTAKQLLKHPFLREINSFALPKQESIIISPTSVLDQGIWNSSMEESQSTCEHAQTFTTSSSDSPKQRVRQLCWNSSRPNWEHDEASWISVRSRGDDDVAGLHCREENGVFCAHTNSTVNFLDYCKSSSSSYVPLASSVVHQNIVTSGGNSTLKKRVNQLHLFYILLSLIFSLIL >itb07g24010.t1 pep chromosome:ASM357664v1:7:28290077:28291957:-1 gene:itb07g24010 transcript:itb07g24010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMANGIQSEEFSGGEKEPSDQQRSFKSTVIKRISTLFSPTISSSRKVNTVKIGQLHAQQNKTPLSRKAHEQPANRNQEKTSSALPAAKTVAGKTPPLKEEMVDTQTSQPEESTGGVTLSPASRRSSVHNHKTSAVQQGTIKTRTSGSQTAEASTRCKKSAAAPETSTPLSFIRKRKTQTSVSQSRPHASSSNMPSSSMSSFSVLNDEPVHHIKWDGFNRTRENVGFTCALCEEDLSYMPSDDYESEYYDDMESDPLNYPEVAVLQCGHSFHVRCFVNTIPDEQCTDPPCVFCASYLT >itb01g17740.t1 pep chromosome:ASM357664v1:1:22503467:22504156:-1 gene:itb01g17740 transcript:itb01g17740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMVFFLLALILFSASSLRVTKCESEAEAEVVEGTEEGGDLGIVGEDAQDFGEESLSPAPGVDTVCVFPKNPSKSVLAGEESELIVGMKNDGESTLNVLFIKATLHLPFDHRYLVQNLTTQGFSNSTIPPSAQATLPYIFGVSKFLQPGTFDLVGSVIYEINRQPYQNIFYNRTIEVTEPGGLVSVESIFLVCLGVAFVTLLGFWIRDQIQKNTTTNRSFVFENMKEF >itb08g14050.t1 pep chromosome:ASM357664v1:8:15641476:15642618:-1 gene:itb08g14050 transcript:itb08g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSKPKGITQNPFPYLTAAACEVAKCERRLRPAARTTATSPQPLSDREQRRATSPPLRDQRPATGDQPSPPRPATGDRRPALPSATATSDQPSPSLRLRDHESTTTDQPTVFCFWNLDLW >itb02g00850.t3 pep chromosome:ASM357664v1:2:477435:480238:-1 gene:itb02g00850 transcript:itb02g00850.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPTNWWTIMANAGTVHSSSSPSYQLSPSPSSSHHFYATSDSMPENPAQDFPRSWSQLLLGGGAENEKFGMSPFQYKKMVQETNWEDQINGNNNNNLSSSSSTATGVDVIKQEDHFTQIGHLYGHHPPPLPLNDHHHQYFQEFASSSPRSCVTTAFRDGGNNNNNVLFFSGNRSCPKPPEVVNTHHSSQCNSTSTSSGGAAKKARVHQSSTQPSLKVRKEKLGDRITALHQLVSPFGKVNLPSYFLLLVPAAWQFAYSLLTPRINSTYSISSTN >itb02g00850.t2 pep chromosome:ASM357664v1:2:477027:480238:-1 gene:itb02g00850 transcript:itb02g00850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPTNWWTIMANAGTVHSSSSPSYQLSPSPSSSHHFYATSDSMPENPAQDFPRSWSQLLLGGGAENEKFGMSPFQYKKMVQETNWEDQINGNNNNNLSSSSSTATGVDVIKQEDHFTQIGHLYGHHPPPLPLNDHHHQYFQEFASSSPRSCVTTAFRDGGNNNNNVLFFSGNRSCPKPPEVVNTHHSSQCNSTSTSSGGAAKKARVHQSSTQPSLKVRKEKLGDRITALHQLVSPFGKTDTASVLSEAIGYIRFLHTQIQVSSPFSSISY >itb02g00850.t1 pep chromosome:ASM357664v1:2:475057:480238:-1 gene:itb02g00850 transcript:itb02g00850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPTNWWTIMANAGTVHSSSSPSYQLSPSPSSSHHFYATSDSMPENPAQDFPRSWSQLLLGGGAENEKFGMSPFQYKKMVQETNWEDQINGNNNNNLSSSSSTATGVDVIKQEDHFTQIGHLYGHHPPPLPLNDHHHQYFQEFASSSPRSCVTTAFRDGGNNNNNVLFFSGNRSCPKPPEVVNTHHSSQCNSTSTSSGGAAKKARVHQSSTQPSLKVRKEKLGDRITALHQLVSPFGKTDTASVLSEAIGYIRFLHTQIQALSSPYLGNASAGSMAHTHQQSEEENGGRDLRSRGLCLVPLSCTQHVGVGSDSINGGGGADYWAPALGGGF >itb10g12610.t1 pep chromosome:ASM357664v1:10:18660438:18664809:1 gene:itb10g12610 transcript:itb10g12610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDAKRRRSMRLQAVRDERIGNTGQYESVMVGSGSSSDDDFETSAQEFGDMAEMGLTPEEEAMYPTIKTRGTTRQLLRAVTNLKARQRQAVREIGFGHLLNLGIRETPQRLGHWLVTNFNPSDLTLTVQGDRKIQIGKEDVAACLGLPMGGVPITVRGKREVGPMLREWRCKLGCPEGNITPTEVCDALLGDEIGGDWFKRHFSVIVATTLIESNQNGNANQQILHMLDDVVQIENLDWCGYLLQSLVHTHADWLQHKVQKYTGPIVFLTILYMDRVVFGSRGVPRFYPALRGWTTKLLNHRQLQEIKGGGFGMGDIDVPLRPGAPTVLDGLSHHVPGRMDQASEEQSFIARLVDKVKALAAAVVEIAEIVRHAPPEVTLDESFKQMLEVVHILLGLREVPPSDNAFWAKLENLEGSEVIKKAIEMRQVLRDMPSFSPGLTQDVKMPDSTDIKANLENLEGSEVINKAIEMRQALRDMPSFSPGLTQDVKMPDSTDINGDVREFATAATTLAALDEGHPAEESVEEVLADVECNLLFIVANEMAPLFVIANDQTEEEMVERQQVSMADRRMVELARLQEAKSCGSDFVAEKIY >itb12g27970.t1 pep chromosome:ASM357664v1:12:28106606:28110847:1 gene:itb12g27970 transcript:itb12g27970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSHIQARTISETGWSKVYKALNQHGNRYLDALLISVCTKGISRLSPISTVPSLLRSPPGPAVISSGSSLTSPDFARVPSLLSGRDRERDIQKARMGFLEMEPIFGQLKAEWSAPHTNPLRPFLLHVHGLPTDPPALRFHVTDFHSNTWEALKTEQDLEDMRDVTGIGGSWSDFLEYVLASIKSDDVKLVLEGDSVDAARAKLVAQKAKGMPRITILLSKLVDAAASEAVANISLELYKSLKNVQNLLISEQERCCHLSKAISVEQEKNETIQKQLDGFLYPKRQKLTKVTDKSKSDPASIMDSQNSPDGKAAQQPSTKVINRVVPTRRRAKVRGVLLQDTEDDAQN >itb13g22140.t1 pep chromosome:ASM357664v1:13:28491391:28493642:1 gene:itb13g22140 transcript:itb13g22140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQTQKHSTIPEVIEELRKMIDTGFPMLAMGLISYVKNMILVVCMGRLGSLELAGGALAIGFTNITGYSVLSGLALGMEPISSQAFGSKNLTIVSLTLKRTISMLLMASLPIGLLWFNLKPLMLWLHQDARIIHIASLFCQFAIPDLVANSFLHPLRIYLRCKGTTWPLLWSTLIATVLHLPIVFYLTFSLKLGIQGIAISTFVANFIGLLFLLGYMLCTNEQNEALYKPLATNLKPSTSIGEEWVILLRLAVPSCLAVCLEWWWYEFMTLLAGYLDRPQVTLATAAIVIQTTSLMYTLPSALSNSISSRVGNELGAGRPGKARLATAIAIVLAFLASAFGILLTTLGREVWVKIFTTDTEVLELTVTVLPIIGLCELANCPQTTCCGVLRGCARPNIGACINFYSFYLMGAPLAIIMAFVWKLEFKGLCYGLLAAQVACVISMLIVIYRTDWEKESARAKDLVGESRETSFEDPMIKFKEGEEFRISFEEGVSLQK >itb13g22410.t2 pep chromosome:ASM357664v1:13:28684312:28687968:-1 gene:itb13g22410 transcript:itb13g22410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVPLRGLGLDAGDYNHHHHHHNHQGALSLDGTNLPGDACLVLTADPKPRLRWTAELHERFVDAVTQLGGPDKATPKSIMRTMGVKGLTLYHLKSHLQKYRLGKQSSKEGTDNSKDASCVAESQDIGSPTSGSSRMIAQELNDGYQVTEALRAQMEVQRKLHEQLEVQRRLQLRIEAQSKYLQSILEKACKTLNHQAVAADGLEAAREELSELSIKVANDPPHTIFPLPSLPDIAVDCLTPNGTPASTMSMSSLAALKKRPRGFTNEESLPLDSNTKQQVEWTLANAG >itb13g22410.t1 pep chromosome:ASM357664v1:13:28684312:28687968:-1 gene:itb13g22410 transcript:itb13g22410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVPLRGLGLDAGDYNHHHHHHNHQGALSLDGTNLPGDACLVLTADPKPRLRWTAELHERFVDAVTQLGGPDKATPKSIMRTMGVKGLTLYHLKSHLQKYRLGKQSSKEGTDNSKDASCVAESQDIGSPTSGSSRMIAQELNDGYQVTEALRAQMEVQRKLHEQLEVQRRLQLRIEAQSKYLQSILEKACKTLNHQAVAADGLEAAREELSELSIKQHEAAGGMDAG >itb02g09990.t1 pep chromosome:ASM357664v1:2:6441791:6445586:1 gene:itb02g09990 transcript:itb02g09990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMIAPGREAGPKVVRLLCFVSAGFLCTAAINKWRELERKSTIQKQQEEGQLLEKPSNAVQRALE >itb02g09990.t2 pep chromosome:ASM357664v1:2:6441791:6442558:1 gene:itb02g09990 transcript:itb02g09990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMIAPGREAGPKVVRLLCFVSAGFLCTAAINKWRELERKSTIQKQQEEGQLLEKPSNAVQRALE >itb12g25280.t1 pep chromosome:ASM357664v1:12:26553845:26556076:-1 gene:itb12g25280 transcript:itb12g25280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQGGVPKPLIFDASVLQHDPNIPTQFIWPDHEKPAADPAPQLAVPLIDLGGFLSGDPAAALQASTLVGEACKKHGFFLVANHGVDASLISHAHRYMDHFFHLPLSEKQKAERKLGDHCGYASSFTGRFSSKLPWKETLSFSFSADKSSHDIVVDYFQSTLGQDFSHIGKIYQEYCNAMSNLSLGIMELLGMSLGVSQNHFREFYQENESIMRLNYYPPCRKPELTLGTGPHCDPTSLTILHQDTVGGLQVFVDNQWRSISPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNSKSPRKSLAFFLCPKKDKVVRPPTELVDSSNPRVYPDFTWPTLLEFTQKHYRADMNTLQSFSTWLASTPN >itb15g00270.t1 pep chromosome:ASM357664v1:15:169728:171546:1 gene:itb15g00270 transcript:itb15g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDSNSPSAADAGSSMHGVTGKEQTFAFSVGEDPSVPTDTSAKFSLPVDSEHKAKSFKIFSFANPHMRTFHLSWISFFTCFISTFAAAPLVPIIRDNLNLKKSDIGNASIASVSGSIFSRLVMGAVCDLLGPRYGCAFLLMLSTPTVFCMPLVSSAGGYVAVRFMIGFSLATFVSCQYWMSTMFNGKIIGMVNGTAAGWGNMGGGVTQLLMPLLYEVIRRAGATPFTAWRIAFFIPGWLHVTMGIFVLVFGQDLPDGNLDMLQKKGDAPKDKFSKVLWYAVTNYRTWIFVLLYGMSLGVELTTDNVIAEYFFDRFDLKLHTAGTIAATFGMANIVARPWGGLMSDIAARRFGMRGRLWNLWILQTLGGVFCICLGRANSLPISILTMILFSIGAQAACGATFGIIPFISRRSLGVISGLTGAGGNFGSGLTQLLFFTHSSYSTATGLSYMGIMIVVCTLPTALVHFPQWGSMLLPPSKNVSKYNEVYYYGLEWDEEEKSRGLHHGSLKFAENSQSERGRRVASSASPPPPA >itb01g17990.t1 pep chromosome:ASM357664v1:1:22876530:22877744:-1 gene:itb01g17990 transcript:itb01g17990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVFFILSIFVLIPAKNINGFTIELIHPNSPSNPYRNPSNNKFHWIRQSYSNTDIKPLDGGYVMKYSIDACSLDDDDFQCSNNNVCQYDVSYGDGSESVGDVVTDTLTIGNTSFKNVVLGCGHWNNVTFSNSIASGIVGLGYSNVSIVKQLSKEIGAKFAHCLSSQSDSKSYISFGTDAIVKGPDAVSTYISIRPEEPPFYWLSLESMSVGEKNIPVKQSPLETPGNIIIDSGMTLTTIPPDVFDSMKSEMMKQIGETPIDDPQGLFGLCYSTSKKIKVPKIVAHFSGADVELSPRRLFEEVEEGISCFTITSFTYMETSIFGCPSQVDYLVGYDLEEMTVTFKPADCSKF >itb13g22300.t1 pep chromosome:ASM357664v1:13:28599510:28604652:-1 gene:itb13g22300 transcript:itb13g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSAELLLKPVLICRNEAERCLIETSINSLRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEELQKPKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFV >itb11g06420.t1 pep chromosome:ASM357664v1:11:3839731:3843266:-1 gene:itb11g06420 transcript:itb11g06420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGAVDPTNGYCSESKTFRSLRPPVSLPPADEPLSIAEYAISLLRSPATAAADTFIIDAATDRKLSYSDFLCRTRALAGAIRSRFPSLARNDVAFVLSPTSLDVPVLYFALLSLGVVVSPANPLSTRSELAHMVRLSKPAVAFATSVASNKLPSFPLGTVILDSADFSAMLEGPTSHHDDSSDRRLVRQSDTATVLYSSGTTGLVKGVELTHRNYIALVATLYNSKFTDGNSTAPQEEAVALLMLPLFHVFGFFMLIRTVSMGETAVIMGRFDFEKMLAAVQKYRVTYIPVSPPLVVALAKSDLVAKYDLSSVKLLACGGAPLGREVAERFNARFPNVDISQGYGLTETTGGTTGMINPEECQKHGSAGRIISAVEAKIVDPETGEALPPGHRGELWLRGPLIMKGYVGDRSATSATLDSEGWLRTGDLCYFDSEGFLFVVDRLKELIKYKAYQVPPAELEHLLQSIPDVADAAVIPFPDEEAGQIPMAYVVRKPGSNITEAQIMDTVAKQVAPYKRIRRISFISAIPKSPAGKILRRELVALAVSAASSKL >itb04g22600.t1 pep chromosome:ASM357664v1:4:27855215:27861956:-1 gene:itb04g22600 transcript:itb04g22600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFVVAKAFAAATAIVFGGATLAFGLAASKLELQNADDIRTKGKDIIHPRFEALKEQLSPFRTWAEDTSKKWHLERGEDYKEKPLIKELSKILGIGNCRSVFLTPSRLPLTQDSPNPILHCSSYHSSVIGREIRGFRDDRLPCPCSSSTFMSMLYSLSPAKSCTVPYPRCPCSHPRHLAPLRIRASASLPEPNGAKVEYTPWLVAGLGNPGNKYHGTRHNVGFEMIDQISQEEGILLNTIQSKALIGIVLLNTIQSKALIGIGSIGEVPVVLAKPQTYMNFSGESVGQLAAYYQVPLRHILLVHDEMSLPNGVLRLKPKRGHGHHNGVKSVMEHLDGCHQFPRLCIGIGNPPGAMDMKAFLLQKFSETERKQVDEALKEGAEAVRMLVREGVGSRLSRFNIGQKYKYHKV >itb13g13950.t3 pep chromosome:ASM357664v1:13:20530621:20538045:1 gene:itb13g13950 transcript:itb13g13950.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDTPTRAENGSSSPLLNGTVNGQAAGNGAIQSMAVESNGGASTESDALKRRKASMLPLEVGTRVMCRWRDSKYHPVKVIERRKVSAVGNNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCTKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFCKHLRFFSFCCILISSVFIWRLLASVSCGIFRLPPTHTHTKV >itb13g13950.t2 pep chromosome:ASM357664v1:13:20530647:20539748:1 gene:itb13g13950 transcript:itb13g13950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDTPTRAENGSSSPLLNGTVNGQAAGNGAIQSMAVESNGGASTESDALKRRKASMLPLEVGTRVMCRWRDSKYHPVKVIERRKVSAVGNNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCTKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGMLSYRGYWTRVLLDILKKHKGNISIKGLT >itb13g13950.t1 pep chromosome:ASM357664v1:13:20530621:20539808:1 gene:itb13g13950 transcript:itb13g13950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDTPTRAENGSSSPLLNGTVNGQAAGNGAIQSMAVESNGGASTESDALKRRKASMLPLEVGTRVMCRWRDSKYHPVKVIERRKVSAVGNNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCTKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGMLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILSTLQALELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >itb11g04850.t1 pep chromosome:ASM357664v1:11:2589608:2591711:-1 gene:itb11g04850 transcript:itb11g04850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKIVMKKEQIDSLQSPFIVLGIDKGSNSIAKYCGHQDDGVLPVGSPFIGDEGSVNNIDEVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKWCRPILFQSEGQEPAEEVNPYLWLYLIQQISVSIDYTLEISIGARDEGISELYVQITSG >itb14g06250.t1 pep chromosome:ASM357664v1:14:5512216:5514239:1 gene:itb14g06250 transcript:itb14g06250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDDPFEVEEPISPYRDAITLDWDDETSPTRSSFDIEQLVKELTSKSMPMPSSFISHVESTISRGSDDDIIDPEIEKHFTAATSINLAFTNVAWSVLSLLTYLSLQLIQFTASTLRQIKILEYKIIEKTNEGYVGLANRDSELEDLKIKISVAEKELVELEKNVVSTLADYKSSSGYLDEAVQHPFILAPQIFKERNRAESFFKLILSTEIGRELVLKYGKWAYQTGQYNMQNRIILNLTRNLLHKYDLKRVLDILPEKIPDPGMAPAPFCETVSLEEISETETQESEIVRLNVDRTRTKLLILKNSVVWCLMLCARGCVYVCMYVCVCVGKGLGAGSSKKWG >itb03g23100.t1 pep chromosome:ASM357664v1:3:21250386:21268443:1 gene:itb03g23100 transcript:itb03g23100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKLSDGKDDPWLRSVNNHIGRQYWEFDPNLGTQEEREQVEKLRAKFHKNRFEIKHSSDLLMRMQFEKVKAQEMGLQQVKVESEEEINEERVVRTLRRGLRFYSTIQADDGHWPGDYGGPLFLLPCLVIGLSVIGELNAILQEEHQKEILRYIYNHQNVDGGWGLHIEGHSTMFGTALNYVTLRILGEEKDGGNGAMKEARKWILDHGGATFIPSWGKMWLSVLGVYEWSGNNPVPPELWLLPYILPIHPGRMWCHCRMVYLPMSYLYGKRFVGPINSTILSLRRELYNHDYHQIDWDLARNQCAKEDLYYPHPAIQDIVWYCLHNIIEPLLNKWPFNNLRKKALTHVMDHIQHEDETTNYVCIGPVNKVLNMICRWIEDPNSFAVKLHLSRIKDYLWLAEDGMKMTGYNGSQLWDVDLAVQAILATNLSDEYGSMLKKAHFFINVSQIKEDSGINLSSWYRDSIKGGWTFSTPDHGWPVSDCTAEALKAALMLSRMPDDVVGEAIEPSRLYDAVDLILSLQNNNGGFATYELTRSYQWLEMLNPSESLGKVILDYPCVECTTSAIIGLKYFTKLHPGYRRKEIEACINKALTFLLTVQLPDGSWYGTWGVCYTYGTWFGIRGLVAGGNTYETCHGIRKACDFLLSKQLPSGGWGESYLSCQDKVYTNIEGNKWHIVNTAWVLMALIHAGQAKRDPTPLHQAAKVLINSQMENGDFPQQNILGSFNNNGMISCSSYRNIYPIWALGTYLNQVLLPPKT >itb01g02780.t1 pep chromosome:ASM357664v1:1:1803037:1805053:-1 gene:itb01g02780 transcript:itb01g02780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFPKITPVTKEIQYLMHLPINEGEEDEGFLKAIGVTSGIPLMMVLKASIELGVFETIAKAGEEAKLSAKEIAASLPTQNPNAPNMIDRMLKFLASHSILSCTITEDKNGGSVHQCLYGLAPVCKHFVSNEDGVSLSPMVQLMTDRAFMDSWFNLDGILIKKLK >itb05g17590.t1 pep chromosome:ASM357664v1:5:24459562:24460952:-1 gene:itb05g17590 transcript:itb05g17590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRWKRRLINGGCLSPYSITGGGLENTPARRWNDRDFTNWLNRNLSNRLHTRFGEDWPNVFALCSDPVVALALVVFNGAEANLRWKAKKILDTKDETLKAFNDHDGSRRQRDSTKVWAVRWDRPCDDRMVLNIDGCFKASNGNSGCGGVIQDNQEGKLEGNTWGSLQMVFYRCLGKTDWVYLAREEVLSDRVTVWEGVAIYTQALTENYTVCLSQRKEVGSETGVVDILDVIPESR >itb03g28510.t4 pep chromosome:ASM357664v1:3:29255338:29260877:1 gene:itb03g28510 transcript:itb03g28510.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTLGTGSRFTVSSLAGVTTGSAAGVSGNEQFITSLRRHPTSASLAGKQPTAASPRDNCSTDFDSAEIVFSLFRLNDAVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb03g28510.t3 pep chromosome:ASM357664v1:3:29255338:29260877:1 gene:itb03g28510 transcript:itb03g28510.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTLGTGSRFTVSSLAGVTTGSAAGVSGNEQFITSLRRHPTSASLAGKQPTAASPRDNCSTDFDSAEIVFSLFRLNDAVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEVRRKRECTGMRSLNSLKFHWRRSWKQLSHLKQKVWFTQPLTSATISLLVLEAQYEHRYWIANAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb03g28510.t2 pep chromosome:ASM357664v1:3:29255338:29260944:1 gene:itb03g28510 transcript:itb03g28510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTLGTGSRFTVSSLAGVTTGSAAGVSGNEQFITSLRRHPTSASLAGKQPTAASPRDNCSTDFDSAEIVFSLFRLNDAVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEVRRKRECTGMRSLNSLKFHWRRSWKQLSHLKQKVWFTQPLTSATISLLVLEAQYEHRYWIAKFVKYGVAELV >itb03g28510.t1 pep chromosome:ASM357664v1:3:29255338:29260877:1 gene:itb03g28510 transcript:itb03g28510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTLGTGSRFTVSSLAGVTTGSAAGVSGNEQFITSLRRHPTSASLAGKQPTAASPRDNCSTDFDSAEIVFSLFRLNDAVDNNEVAGVLDGMYVVVHGHLKSFQGKMQIMSFAIRPVTDYNMIANHFLECIYVHHCNAKPKIQSSLSTQNPTEGQSQVNTQSNGYNSTSTVQFSGQKCMDGLKGIEKMVMDYLHQPSSIAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEVRRKRECTGMRSLNSLKFHWRRSWKQLSHLKQKVWFTQPLTSATISLLVLEAQYEHRYWIANAQEKGMHRNEIAQQLKVPLEKILEAIESLEAEGLVYSTIDECHYKSTSA >itb12g08590.t1 pep chromosome:ASM357664v1:12:6763234:6767056:1 gene:itb12g08590 transcript:itb12g08590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKEMRLAVGVGSRRRRLAMHHFLLATAIFTFLGLLILTLRSVDPSTDLPVNAAAATDIDEAQAEEGTPGLKKTCATVQEMGEVFSRGIREEGLRVRKIIHDHFAINGAARVRELAPEEFCRHGFVLGKASEAGFGNEMYKILTGAALSVMLNRSLIIGQTRGKYPFGQFISYSNVTFTLNEIKHLWRRNGCLTKYQRHLVMRIDDFQKPARSNVLCSNWKEWEQPIIWFQNTTDAVAAQFFLKNIHNEMRMAASDLFGKPEDLHYRPNVFGELMRFLISPSAIVEQAVNSVLKGSPDPDIALHMRMLMNRSLRAVQAALECTRKAADNLKLLSRPRVVIVSDTPSLVKEIAPDLNEFAEVLHFDYEHFEGNLSAGSRDFGNSLNFRTTDWGPAPRWVAFIDFFLASRAKYAVVSGAHRRVGTTYAQLIAALAATNSIGNSNQFL >itb04g27530.t1 pep chromosome:ASM357664v1:4:31516413:31519535:-1 gene:itb04g27530 transcript:itb04g27530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGSVLMERYELGRFLGQGTFAKVYYARNLVTGESVAIKVIDKDKVLRVGLMDQIKREISVMRLVRHPNILHLYEVMATKTKIYFVLELAKGGELFNKVAKGKLKPDVAWKYFQQLINAVDFCHSRGVYHRDLKPENLLLDEDENLKISDFGLSALAESKHQDGLLHTICGTPAYVAPEVINRKGYDGAKADIWSCGVILYVMLAGYLPFHDSNLMEMYRKIGKAQFKCPNWFPPEVRRLLSRMLDPNPATRITMAKIREHSWFKKGPSSSKSTKPNGESKDITSSDVETTHTHSPSENVNTATTEDNKQEGARLSNLNAFDIISFSPGFDLSRLFEEPCLKKETRFTSWKPAAVIISKLEDLAKRLKLKLSKRDAGLLRFEGMKEGKKGVVAIDAEIFEVTSALHLVELKKSNGDTLEYQKILNDGLRPGLQDIVWAWQSDPQQQLLEQPHEQQPHPENQQQQQQPTEQQAEPVMQQHLLQQEQLP >itb03g09330.t1 pep chromosome:ASM357664v1:3:7163133:7165169:-1 gene:itb03g09330 transcript:itb03g09330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQSDQSFNSSRTSSSSRSSRHNDNANANNNYHHHQEDEECFNFFMDEDDFSSSSSHNKHPYPPSSSSYHHHHHHQYQHFSNTPTPTTTSSTPPHSYSHHHQPSTFDPNLEFGSAFSGKWATEILVETARAVADKNSGRVHQLMWMLNELSSPYGDTDQKLAAYFLQALFSRMTDTGDRTYRSLVSASDKTCSFESTRKTVLKFQEVSPWTTFGHVACNGAIMEAFEGENKLHIVDISNTFCTQWPTLLEALATRTDETPHLRLTTVVVNKAFGGAGGGGAASIQKVMKEIGNRMEKFGRLMGVPFKFNVIHHSGDLSDLDLSALDIKEDEALAINSVGALHSVTAVGSRRDYLISVFRRLQPRILTVVEEEANVDVGVDGSDFVRDFQECLRWFRVYFESLDESFSKTSNERLMLERQAGRAIVDLVACPPSQSIERRETAERWSRRLHAGGFAPISYSDEVCDDVRALLRRYREGWTMAQCSGDSSAGIFLSWKDQQVVWASAWRP >itb03g09330.t2 pep chromosome:ASM357664v1:3:7163407:7164960:-1 gene:itb03g09330 transcript:itb03g09330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQSDQSFNSSRTSSSSRSSRHNDNANANNNYHHHQEDEECFNFFMDEDDFSSSSSHNKHPYPPSSSSYHHHHHHQYQHFSNTPTPTTTSSTPPHSYSHHHQPSTFDPNLEFGSAFSGKWATEILVETARAVADKNSGRVHQLMWMLNELSSPYGDTDQKLAAYFLQALFSRMTDTGDRTYRSLVSASDKTCSFESTRKTVLKFQEVSPWTTFGHVACNGAIMEAFEGENKLHIVDISNTFCTQWPTLLEALATRTDETPHLRLTTVVVNKAFGGAGGGGAASIQKVMKEIGNRMEKFGRLMGVPFKFNVIHHSGDLSDLDLSALDIKEDEALAINSVGALHSVTAVGSRRDYLISVFRRLQPRILTVVEEEANVDVGVDGSDFVRDFQECLRWFRVYFESLDESFSKTSNERLMLERQAGRAIVDLVACPPSQSIERRETAERWSRRLHAGGFAPISYSDEVCDDVRALLRRYREGWTMAQCSGDSSAGIFLSWKDQQVVWASAWRP >itb09g11370.t1 pep chromosome:ASM357664v1:9:7081299:7083142:1 gene:itb09g11370 transcript:itb09g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCRHTQLSARSALMVAATAWVLMNGVEGLGVNWGDISSHPLPPKSVVKMLKANGIKKVKLFNSPEPILNALAGSGIEVMIGTPNALLKDLVNYNVAKAFVKENVTRYNPTSPDGVKITLVGVGNEPFLKFYQKLGLFNVTYPALANMQKALNEAGIGKTVKATVPLNADVYMSPKEKPVPSAGMFRPDILDQVNQIIEVLDKNDAPFMINVYPFLSLYFGNGSFPVDFAFFDGVDNPLKDNGKTYTNVFDANYDTAVAALAREGHGKMTVTVGEIGWPTDGNEFANASFASRFYNGFTKHMASKKGTPARPGSDIEVYMFGLFDEDQKSILPGDFERHWGILGYDGQPKFDLDLSGGNGKGKILTGVPEIQYLPKKWCSVKSDVKFTNLKATIAYACDRADCTATSNGSSCHSLSEAEKLSYYFNAYFQAQNQATGSCNFGGLAEITTKNLSTSSCNFNIGIKLPEAPPPSSPGEDSPADGSPGKPKSASSRSLSGSGLLLCTGIFLLLPLFFLIRID >itb12g24440.t1 pep chromosome:ASM357664v1:12:25959263:25960939:1 gene:itb12g24440 transcript:itb12g24440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADRTTVYVLAPQMGNLDMPPEDGYTWRKYGQKDILGSRFPRAYYRCTHQKLYSCPAKKQVQRLDNNPQMLKVTYRYHHTCHMSATAPSAAPAGDVIQPPGTTTHPPPQPAAGGGGGGSYWLSMDIRPITSEGGVQMQTEFASTSGGGGAGPSGSGRYGREAADFGGQAVVDMADAMFNSGSSGGNNSMDFIFHTMDEN >itb13g07990.t1 pep chromosome:ASM357664v1:13:10252650:10253505:-1 gene:itb13g07990 transcript:itb13g07990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDVIQDIAVKQLDRNGFQGNREFLVEVLLLSLLHHPNLVNLIGYCCDGEERILVYEFLENGCLEDHLLDLKPGKPHLDWKTRMKIAEGAARGLEYLHETANPPVIYRDFKASNVLLDKTKLGPTGDQTHVSTRVMGTYGYCAPEYASTGQLSTKSDVYSFGVVFLEMITGRRVIDNARPSEEQNLVLTAGSTIVQR >itb02g14050.t1 pep chromosome:ASM357664v1:2:10270607:10272633:-1 gene:itb02g14050 transcript:itb02g14050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHCFGARASSSYSSSILPILHRSTAGGGSRPESPFIFRLTPRRIAQSIHFNFRLRSSDWLHLKQKPFQVFASNPNPSKGSYATKGDNVPQGPPLATILAGFLVLFAVCWILGSIVMWLVSLITNVPSPK >itb12g19100.t2 pep chromosome:ASM357664v1:12:21402086:21407518:-1 gene:itb12g19100 transcript:itb12g19100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPVKYVGIARDSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTAGIGTEQPNNWAFDTTQFDSILKRLKVQATESKNEEVNGKDEMQVDTKTKNSPSNEEAVAKVTRPQGRYKKRERGKLVHSYSSHDLEGILVKKTKSAPANDVEDVTEVVEAPEIQIVPDAEGPTEQVVPPDWWGHKFGFVSGGFLGAQSRKRKSSTDNQLDFSERTMFHEEDQENLYKRVQDKSTTGKQGLGIKDKPKKIAGCFFQGKKTSFDDSDGEDSSYSNLSMKAKNDEIYKPCENDNPKMKLKKLCKHLLKQAPGNSLKLKQLKVLIDEQSSAVFENFSEKDAIAHLKRKLEGSDKFSVEGKRVSLTSKSG >itb12g19100.t1 pep chromosome:ASM357664v1:12:21402086:21407518:-1 gene:itb12g19100 transcript:itb12g19100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPVKYVGIARDSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTAGIGTEQPNNWAFDTTQFDSILKRLKVQATESKNEEVNGKDEMQVDTKTKNSPSNEEAVAKVTRPQGRYKKRERGKLVHSYSSHDLEGILVKKTKSAPANDVEDVTEVVEAPEIQIVPDAEGPTEQVVPPDWWGHKFGFVSGGFLGAQSRKRKSSTDNQLDFSERTMFHEEDQENLYKRVQDKSTTGKQGLGIKDKPKKIAGCFFQGKKTSFDDSDGEDSSYSNLSMKAKNDEIYKPCENDNPKMKLKKLCKHLLKQAPGNSLKLKQLKVLIDEQSSAVFENFSEKDAIAHLKRKLEGSDKFSVEGKRVSLTSKSG >itb05g00560.t1 pep chromosome:ASM357664v1:5:477832:479418:-1 gene:itb05g00560 transcript:itb05g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVERRVVKRRKSRAAAAAGGGGERTSGRKRPWLSIDDVGKVDYFDSLPDDIVLSILSKLSSNADCPADFMTVLITCKRLNGLGFHPLVLSAASPKMLAVKAQNWSVSAHRFLKLCADAGNFEACYILGMIRFYCYRNRGSGASLMAKAAINSHAPALYSLAVMQFNGSGGSKTDKDLRAGVALCARAASVGHVDALRELGHCFQDGYGVKRNVPEGRRFLVQANVREVANVLATTPSAVLHGGSWLAWNPLQHHMGGGTSCPLLSDFGCNVPSPEPHPANKFLTDWFKSKGGIPGPGLRLCSNVGCGRPELRRHEFRRCSVCGSVNYCSRACQAMHWRSVHKSECVPAERWPDAALEIPAADVMVNGNGEEIGM >itb01g15280.t1 pep chromosome:ASM357664v1:1:17641015:17643592:-1 gene:itb01g15280 transcript:itb01g15280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSHGQFLPVCLICLVIQRSLGWVMSFQAVLIVTVKLPSEEEALLDSPTIICLKKSKLLLMSMKFLETLYQLSIGLLLTATHRITRFLNIMYISFSRYGIAPGMKLIFVFMNSSRHPISKKGYIQFQVPTKCNKDHTVEWIEKHYPGLFQNMYFGNHFALNGKSIPKSEICRSLGAKVLIDDNPRYAIECAEVGMKVLLFDYENSYPWCKAESVEGHPLVTKVHNWEEVEQQLVSWTFPKIVAKDTGIANLIATLQLCIANNCLNFQLIHTPEIPESLRDFLKNDSNIFVGVGVSDDAAKLERDYGLEVVNTADLRHLAMKAKAGHAMQGWPV >itb07g03790.t1 pep chromosome:ASM357664v1:7:2550687:2555395:-1 gene:itb07g03790 transcript:itb07g03790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISFHLTSLSLPSINTRRKSIQEVKPLTLGRIVEIRKNCRAKVTLEMANNVGDGQEEKQQGIPLLTPYKLGTFQLSHRVVLAPLTRQRSYGHVPQPHAILYYSQRTTKGGLLIAEAAGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHGGRVSNKGFQPNGQAPISSTDKPLTPRFLPNSIDVTEFTPPRRLRTDEIPQIINDFRLAARNAIEAGFDGVEIHGAHGYLIDQFLKDEVNDRTDQYGGSLENRCRFALEIVDAIANEIGADRVGIRLSPFANYMEAGDSNPNALGVYMAEALNKYGILYCHMVEPRMKTVEEKSECPDSLLPMRKAFKGTFLVAGGYDREDGIKALAENRGDLVVYGRLFLANPDLPKRFELNAPLNKYNRDTFYTSDPVIGYTDYPFLETTA >itb15g08670.t1 pep chromosome:ASM357664v1:15:6095827:6103083:1 gene:itb15g08670 transcript:itb15g08670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MAARAMNSCVIIRSAASPPPTFWSCCPQRQFRTRRFHRYLRLHSRCSLPRYPLSHDGARSYSVQSLVETVIEELYSMRKRGRIRASTELGLTSTSELLEDKVGKQAMQKGLLLEFKKDSERLLLAVALRPDGKKNWIVSDQNGVTTSIKPQQVTFIVPGIENFDPMEISNFVQKAHNNLDPALLEFAWNELLENKKSVTVEELAEMIFGSAEPLESYCAYLLLSRDDIYFTVLGSKGPQSIYGPRPVRQVDQLLQKKLAKEAEEKEIEEFVQLLKSIKEMPPHAKPPNSMWKTEEKNWQKIKSLEAFAIDACKNDDQKRMTGTILKAMGMAKTASAAVTLLIDIGYFPVHVNLDLLKLSIRTDHPDEIVLAAESLLLESTDLDEVDRVDLTHLKVYAIDVDEADELDDALSATRLEDGRIRIWIHVADPASLVQPGSIIDKEARRRGTSVFLPTATYPMFPERLAMQGMSLKQGQQCNAVTVSVILRSDGSIEEYSVENSIIKPTYMLTYESASELLHMNLDEEIELKILSEAATLRFRWRQQQGAIDASNLETRIKVTNPNDPEPSIKLYVENQSDPAMRLVSEMMILCGEVIATYGSYNKIPLPYRGQPQSNIDTSAFAHLPEGPVRNSAIVRIMHAAEMDFRKPVRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFIRGDSPPFSAGELEGMASMINMYIRVVRRLCNSSLRYWVLEYLRRQSKNTKFRAVVLKFIKDRNALILLLEIGTQASVWVSTGVQIGDEVEVRVEEAHPRDDILCLKEVPRA >itb15g08670.t2 pep chromosome:ASM357664v1:15:6095846:6101973:1 gene:itb15g08670 transcript:itb15g08670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MAARAMNSCVIIRSAASPPPTFWSCCPQRQFRTRRFHRYLRLHSRCSLPRYPLSHDGARSYSVQSLVETVIEELYSMRKRGRIRASTELGLTSTSELLEDKVGKQAMQKGLLLEFKKDSERLLLAVALRPDGKKNWIVSDQNGVTTSIKPQQVTFIVPGIENFDPMEISNFVQKAHNNLDPALLEFAWNELLENKKSVTVEELAEMIFGSAEPLESYCAYLLLSRDDIYFTVLGSKGPQSIYGPRPVRQVDQLLQKKLAKEAEEKEIEEFVQLLKSIKEMPPHAKPPNSMWKTEEKNWQKIKSLEAFAIDACKNDDQKRMTGTILKAMGMAKTASAAVTLLIDIGYFPVHVNLDLLKLSIRTDHPDEIVLAAESLLLESTDLDEVDRVDLTHLKVYAIDVDEADELDDALSATRLEDGRIRIWIHVADPASLVQPGSIIDKEARRRGTSVFLPTATYPMFPERLAMQGMSLKQGQQCNAVTVSVILRSDGSIEEYSVENSIIKPTYMLTYESASELLHMNLDEEIELKILSEAATLRFRWRQQQGAIDASNLETRIKVTNPNDPEPSIKLYVENQSDPAMRLVSEMMILCGEVIATYGSYNKIPLPYRGQPQSNIDTSAFAHLPEGPVRNSAIVRIMHAAEMDFRKPVRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFIRGDSPPFSAGELEGMASMINMYIRVVRRLCNSSLRYWVLEYLRRQSKNTKFRAVVLKFIKDRNALILLLEVIHSSCSK >itb15g08670.t3 pep chromosome:ASM357664v1:15:6095827:6103083:1 gene:itb15g08670 transcript:itb15g08670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MAARAMNSCVIIRSAASPPPTFWSCCPQRQFRTRRFHRYLRLHSRCSLPRYPLSHDGARSYSVQSLVETVIEELYSMRKRGRIRASTELGLTSTSELLEDKVGKQAMQKGLLLEFKKDSERLLLAVALRPDGKKNWIVSDQNGVTTSIKPQQVTFIVPGIENFDPMEISNFVQKAHNNLDPALLEFAWNELLENKKSVTVEELAEMIFGSAEPLESYCAYLLLSRDDIYFTVLGSKGPQSIYGPRPVRQVDQLLQKKLAKEAEEKEIEEFVQLLKSIKEMPPHAKPPNSMWKTEEKNWQKIKSLEAFAIDACKNDDQKRMTGTILKAMGMAKTASAAVTLLIDIGYFPVHVNLDLLKLSIRTDHPDEIVLAAESLLLESTDLDEVDRVDLTHLKVYAIDVDEADEVCCSVLLMYSFFTNYKQSFQKHMQLDDALSATRLEDGRIRIWIHVADPASLVQPGSIIDKEARRRGTSVFLPTATYPMFPERLAMQGMSLKQGQQCNAVTVSVILRSDGSIEEYSVENSIIKPTYMLTYESASELLHMNLDEEIELKILSEAATLRFRWRQQQGAIDASNLETRIKVTNPNDPEPSIKLYVENQSDPAMRLVSEMMILCGEVIATYGSYNKIPLPYRGQPQSNIDTSAFAHLPEGPVRNSAIVRIMHAAEMDFRKPVRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFIRGDSPPFSAGELEGMASMINMYIRVVRRLCNSSLRYWVLEYLRRQSKNTKFRAVVLKFIKDRNALILLLEIGTQASVWVSTGVQIGDEVEVRVEEAHPRDDILCLKEVPRA >itb15g08670.t4 pep chromosome:ASM357664v1:15:6095859:6103083:1 gene:itb15g08670 transcript:itb15g08670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MQKGLLLEFKKDSERLLLAVALRPDGKKNWIVSDQNGVTTSIKPQQVTFIVPGIENFDPMEISNFVQKAHNNLDPALLEFAWNELLENKKSVTVEELAEMIFGSAEPLESYCAYLLLSRDDIYFTVLGSKGPQSIYGPRPVRQVDQLLQKKLAKEAEEKEIEEFVQLLKSIKEMPPHAKPPNSMWKTEEKNWQKIKSLEAFAIDACKNDDQKRMTGTILKAMGMAKTASAAVTLLIDIGYFPVHVNLDLLKLSIRTDHPDEIVLAAESLLLESTDLDEVDRVDLTHLKVYAIDVDEADELDDALSATRLEDGRIRIWIHVADPASLVQPGSIIDKEARRRGTSVFLPTATYPMFPERLAMQGMSLKQGQQCNAVTVSVILRSDGSIEEYSVENSIIKPTYMLTYESASELLHMNLDEEIELKILSEAATLRFRWRQQQGAIDASNLETRIKVTNPNDPEPSIKLYVENQSDPAMRLVSEMMILCGEVIATYGSYNKIPLPYRGQPQSNIDTSAFAHLPEGPVRNSAIVRIMHAAEMDFRKPVRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFIRGDSPPFSAGELEGMASMINMYIRVVRRLCNSSLRYWVLEYLRRQSKNTKFRAVVLKFIKDRNALILLLEIGTQASVWVSTGVQIGDEVEVRVEEAHPRDDILCLKEVPRA >itb02g15990.t1 pep chromosome:ASM357664v1:2:11689091:11692553:1 gene:itb02g15990 transcript:itb02g15990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEYLSYSTNGMREVLIITTTEWLVFLVIAYYLDQVISSGSGVARSPLFCLRKSPKQLLSSVQKPPQNDESAIEMENDDVAQERRKVEQLLSEKNPNYTTICYNLKKVYPGKDGNPEKFAVKGLTLALKRGECFGMLGPNGAGKTTFISMMTGLLNPSSGTAYVEGLDLRSQMGQIYQSMGICPQDSLLWGNLTGREHLLFYGRLKNLKGAALIQAVEESLKSFNLFHGGVADRLAGKYSGGMRRRLSVAIALIGDPKIVYLDEPSTGLDPASRKLLWDVVKRAKQDRSIVLTTHSMEEAEYLCDRIGIFVDGNFQCLGSPDELKVRYGGSYMFTVTTSQEDEMEVQNLVKHLSGNAKKTYQLSGTQKFEVPKNKVKISDVFQTVKLAKQRCNVLSWGLADTTLEDVFIKVATQAQPSTITPA >itb10g11470.t1 pep chromosome:ASM357664v1:10:17000375:17001548:1 gene:itb10g11470 transcript:itb10g11470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGLTTYKIDAIPFVNWSLQQRRNIEIRRHCTVTDMMVLYGFPRLLTGRILAHEFMHTWMRLQGYNGIAGNWVEEGMCELMAYAWLEWYGLFGKEMYGEDEKACFMRNLKEHLMKRTEGNSCRIYGDGFRDAKSAVKIYGFEHTMKCIAYTGNFPC >itb12g22090.t1 pep chromosome:ASM357664v1:12:24290559:24294502:-1 gene:itb12g22090 transcript:itb12g22090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHGLALGTRSKIMKMRYGGLKATPPAAAADIHGAGHEYELSSRTGLALGKGDKIVKMMSYGGVKATPEAVAVNPLNGHEYSESSRTVIGPPSPKANALGHQDFAPPPIVSLVMTSKILPSPPPPPRPAYDFKVYFTLRPIMKMNVVSYITVLIMLVHGLALNNGKRVVKMSYGGVKATPEAAAADMGPLYEQSLRTVRGLPPSPKPNHLHHQFVPAPPPVLSGVMGSKLFPRPPPPRRLLL >itb07g22560.t1 pep chromosome:ASM357664v1:7:27119192:27120821:1 gene:itb07g22560 transcript:itb07g22560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNRSSDLPPGFRFHPTDEELIMYYLRNQATSKPCPVSIIPEVDVYKFDPWELPDRAGFGENEWYFFTPRDRKYPNGIRPNRAAVSGYWKATGTDKAIYSGSKYVGVKKALVFYQGKPPKGTKTDWIMHEYRLSDSRSHPPKQNGSMRLDDWVLCRIYKKKSMGSNRAMEIVKVEEETQLPQVNIATNCEPLIGNGPQGLILPRTCSLTHLLELGYLGSIPQLLGDEDNNNNFHNYPNLDHHQSAFMGSSGNNVPFALGESTPQHQYFSSQSGFSNNQPMPIFVNPVAFQFQ >itb01g03500.t1 pep chromosome:ASM357664v1:1:2301280:2306081:-1 gene:itb01g03500 transcript:itb01g03500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLILKPSCSGCGSSSELYGSTCKHLTLCVSCGKTMAENKGTCNKCGTPITRLIREYNVRACSTNDKNYFIGRFATGLPNFSKKKNENKWSLQKEGLQGRQVTDTLREKFKNKPWLLEDETGQSQYLGQLEGAQSATYYLLMLQGREFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYQRWMMKAANNGPAAFGEVEKFDDKESGGGGGRARKKSGDDEEGNASDHGEEDEEDESARKNRLGLNKRSGDDDEEGPRGGDLDLDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDEEDEDEADEEGGGGLSKSGKELKKLLGKANGMNESDAEDDDEDEEEEDFSSPVLAPKLKDTPKEEPVETSSPAKPPASGSNRTTPSTSKSAKAKRKANGDEPKSANGAPSKKVKTETDAKSVKEEVPSAAKNSATKGASSSSSAKTASTPSTGPVTEDEIRAVLLQKKPVTTQDLVNQFKSRLKSREDKDTFAAVLRRISRIQKTNTASYVVLRERANQ >itb10g15460.t1 pep chromosome:ASM357664v1:10:21666595:21671614:-1 gene:itb10g15460 transcript:itb10g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLLHGTLHVTIYEIDQLQGEGGGGGGGFFRKIMEHVEEAVHIGKGKTQIYATIDLEKARVGRTRLLEEPNNPQWNESFHIYCAHSASNVIFTVKDDNPVGATLIGRAYIPVEELLEGEEVDKWVEILDKDKNPINEGSKIHVKLQFFDVNRDRNWGRGIKSAKYPGVPYTFFGQRKGCRVSLYQDAHIPDGFVPRIPLSGGQYYEPHRCWEDVFDAITNAKHMIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKNKASEGVKVCMLVWDDRTSVGLLKKDGLMATHDEETEQFFKDTNVHCVLCPRNPDDGGSFVQDLQISTMFTHHQKIVVVDHEMPSGGSEQRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFPDASITKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRRQGGKDVLVNLRELSEIIIPPSPVMFPDDQETWNVQLFRSIDGGAAFGFPDTPEGAAKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSCFGWDSDDIKVEDIGALHLVAKELSLKIASKIETGERFTVYIVVPMWPEGIPESASVQAILDWQRRTMCMMYKDIIQAMRAQGIEEDPRTYLTFFCVGNREVKKSGEYEPSETPEPDTDYMRAQEARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLAGREPARGQIHGFRMSLWYEHLGMLDEAFQHPESEECIRKVNDIADKYWDLYSSESLERDLPGHLLRYPIGISSDGDVTELPGFEFFPDTKARVLGTKSDYLPPILTT >itb03g05710.t1 pep chromosome:ASM357664v1:3:4050776:4053017:1 gene:itb03g05710 transcript:itb03g05710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEENESFGEVEQQLRPGSAAKDLADIWKEIGEIKQKVAEIREIQAKLFEEYVLVDSSQGYAQKDRRNVQPGMSSTQQRRPRHFCSPYGHFCPKVPEDTGKDRTISQPSLTGQHKKWRR >itb11g16940.t1 pep chromosome:ASM357664v1:11:15659791:15670986:1 gene:itb11g16940 transcript:itb11g16940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTDWNAEKRSSKEDGSVDSPNNNALSMLKSFFSINGRKRFGDADGEDVFDSDVSPRHPLPFLSTVANSVVSRCSKILRISIEELKNRFDLEVPDNLKQPSVYARNFLEFCSFQALCEATKGADYLGENYFRRLTFDMMLAWEAPCRGNEVMEPESATKINQEGEDEDGWSIFYSSSTRTAVEVDDKKTVGAESFVRIAPACAVIADEITVSNLFEVLTCSSGRRLHFLIYDKYLRSLEKVVKVVRSAAGSNNMSNLSLMEEEVIIDVDGIVPTQPVFQHIGLSAWPGRLSLTNRALYFESGVGLYDKAMRYDLATDMKQAIKPELTGPLGARIFDKAVMYKSSSMAEPVSFEFPEFKGSSRRDYWLDICLEILHAHKFIRRYNLIDCPQFEALARAILGILRYRAVREAFHVSPSNYKTILCYNLAESLPRGDAILETLSNYLILMNSTGSRRGFLGSPNARRQVPVSHFTLCRLGIIAYKEVDMGEVSSQAGNICVGEKHPLEAAVKMSRKDIGRAEAAQATVDRVKVEGIDANLAVMQELLFPLIELLNRIKLLASWKDPWKSVTFLMFTCYTIIKGWIMYVLPSVMVLLAVVMLWRRHSRKGSTLEALEVMAPPSKNAVEQLLTLQEAVSHVEALIQSGNIVLLKLRALLFAVVPQDHR >itb05g17050.t1 pep chromosome:ASM357664v1:5:24100145:24103566:-1 gene:itb05g17050 transcript:itb05g17050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVVVICVFAVFFSYAAAYDPLDPTGNITIKWDVMSWTPDGYVAVVTMNNFQMFRHILSPGWTLGWTWAKKEVIWTMIGAQTTDQGDCSKFKGNVPHCCKKNPTVVDLLPGVPYNQQFSNCCKGGVLASWGQDPQASVSAFQVSVGQAGTSNKTVILPKNFTLLGPGPGYTCGPAKIVPSTKFFTPDLRRKTQALMTWNVTCTFSQFLARKHPSCCVSLSTFYNETITSCPHCACGCESKNKCIKSDSKLLSVVGINTPRKDNAPLLQCTQHMCPIRVHWHVKVNYQEYWRVKVSITNFNYRMNYSLWTLVVQHPNLNNVTQVFSFDYKPVVPYGSINDTGMFYGMKFYNDLLMEAGPSGNVQSEVLMQKDKDSFSFKQGWGFPRKVYFNGDECLMPPPDTYPYLPNSAQKNLALFSTLICSILLLLSALF >itb04g01810.t1 pep chromosome:ASM357664v1:4:1052843:1059037:1 gene:itb04g01810 transcript:itb04g01810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLERHENSILRQENDKLRAENMAIREALRNPICNNCGAPAMIGEISLEEQHLRIENVRLKDELDRVCALAGKFLGRPVSSLTTSMAPPLPNSSLELGVGSNGFGELSVVPTTTLPLAPPDFGVGISSALPVVQPTRHVNGGIEKSLERSMYLELALAAMDELVKMAQADEPLWVRSMEEGREILNQEQYVKTFTPCIGLRPNGFVSEASRETGMVIINSMALVETLMDSNKWAEMFPCLIARTSTTDVIASGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPVFPNSRRLPSGCVVQDMPNGYSKVTWVEHAEYEESVVHQLYRQMISAGMGFGAQRWVATLQRQCECLAILMSSTLPTRDHTAITPSGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLCTGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPISPGRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAINANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPACRGPTAENAAVPEHRPAGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >itb04g01810.t2 pep chromosome:ASM357664v1:4:1052974:1059028:1 gene:itb04g01810 transcript:itb04g01810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGFLDNSFAGGFGGGGGGAMPSGPVAHPCLVPQSLAKSMFNSPGLSLALQTGMEGQGEVARIGESFEANNNGGHNGSGRRSREEEPESRSGSDNLEGVSGDDQDAADDKPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLDIRQVKFWFQNRRTQMKTQLERHENSILRQENDKLRAENMAIREALRNPICNNCGAPAMIGEISLEEQHLRIENVRLKDELDRVCALAGKFLGRPVSSLTTSMAPPLPNSSLELGVGSNGFGELSVVPTTTLPLAPPDFGVGISSALPVVQPTRHVNGGIEKSLERSMYLELALAAMDELVKMAQADEPLWVRSMEEGREILNQEQYVKTFTPCIGLRPNGFVSEASRETGMVIINSMALVETLMDSNKWAEMFPCLIARTSTTDVIASGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPVFPNSRRLPSGCVVQDMPNGYSKVTWVEHAEYEESVVHQLYRQMISAGMGFGAQRWVATLQRQCECLAILMSSTLPTRDHTAITPSGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLCTGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPISPGRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAINANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPACRGPTAENAAVPEHRPAGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCES >itb13g19950.t1 pep chromosome:ASM357664v1:13:26823732:26825040:-1 gene:itb13g19950 transcript:itb13g19950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNIGCEVTLMYFSKVIQGRPSQNFSSMLRKQGSELFIPLEKEHLQWGLQKQCTRTQSLPWSSRAYVYQKLGSSLSSGMLFRHFCWLLQVLLEEGMWVLFLVYKSYPSKEKRDMKYISTISSINLTQLKVM >itb13g19950.t2 pep chromosome:ASM357664v1:13:26823732:26825040:-1 gene:itb13g19950 transcript:itb13g19950.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNIGCEVTLMYFSKVIQGRPSQNFSSMLRKQGSELFIPLEKEHLQWGLQKQCTRTQSLVSGHLKGGGAQPWSSRAYVYQKLGSSLSSGMLFRHFCWLLQVLLEEGMWVLFLVYKSYPSKEKRDMKYISTISSINLTQLKVM >itb05g13720.t1 pep chromosome:ASM357664v1:5:20792867:20793859:-1 gene:itb05g13720 transcript:itb05g13720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVLGATVATLNGVFEKFKAEAPKNKANFILFLADIDPSTSLSWCPESVPMCMQQLLSSSAVYVLD >itb07g14780.t1 pep chromosome:ASM357664v1:7:17434854:17435557:-1 gene:itb07g14780 transcript:itb07g14780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKVPQVAVAVFILKGEKVLVGKRLAGAGTSCFSVPSGHLEFGEVFEECAAREVMEEAGLELKNIQTLKVINHVFHNEAKPSHYVVLLIRAELSDPDQIPENVEPDRCEGWDWYEWNDMPKPLTPPLQLILNSGFNPFSADVHNECFQ >itb03g21580.t2 pep chromosome:ASM357664v1:3:19502567:19507679:1 gene:itb03g21580 transcript:itb03g21580.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPFKLDIDDLISEFAEGGSTTLAEMKRVWLSKKFSFIFEASPSTNQACFMQSLFAYSVGYAISTRSLSHRLGGLYCLYCLFETQPFKPPFKIYLSLGELKRLRSLVADAKANNIKVVCALVKRMLERNMFLFGFVDINEGSTTERVNELTEIQNARVQTAYEKLFANTRIEHFTHLDMGKELDADLIKQSSTEYAMAKKQAIEEASKIVDIENIKHIVENKRSVGETIEQKAADWNAQKDAFYQQTGCAHQLAMEPVHQEEPMQVDENLEEAGELQEDDNDEFGKELEDVLLSEQLLLENEEEAEDFEQD >itb03g21580.t1 pep chromosome:ASM357664v1:3:19502564:19507680:1 gene:itb03g21580 transcript:itb03g21580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPFKLDIDDLISEFAEGGSTTLAEMKRVWLSKKFSFIFEASPSTNQACFMQSLFAYSVGYAISTRSLSHRLGGLYCLYCLFETQPFKPPFKIYLSLGELKRLRSLVADAKANNIKVVCALVKRMLERNMFLFGFVDINEGSTTERVNELTEIQNARVQTAYEKLFANTRIEHFTHLDMGKELDADLIKQSSTEYAMAKKQAIEEASKIVDIENIKHIVENKRSVGETIEQKAADWNAQKDAFYQQTGCAHQLAMEPVHQEEPMQVDENLEEAGELQEDDNDEFGKELEDVLLSEQLLLENEEEAEDFEQD >itb12g01800.t1 pep chromosome:ASM357664v1:12:1222279:1223615:1 gene:itb12g01800 transcript:itb12g01800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNARSIGIGMDYTASSKVAVRWAIDNLVRGGDCIIVIHVVSPKADASSAMQLFADTGSPLIPLEEFREMKVSRKYGLNPDPEVLHMLHTVSMQKKVEVVAKVYWGDAREKVCGAVDHLNLDCVVVGSRGLGLLKRILLGSVSTYVVQNATCPVTVVKGKVKS >itb01g09760.t1 pep chromosome:ASM357664v1:1:7971247:7972755:-1 gene:itb01g09760 transcript:itb01g09760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF003 [Source:Projected from Arabidopsis thaliana (AT5G25190) UniProtKB/Swiss-Prot;Acc:Q94AW5] MARPQQRYRGVRQRHWGSWVSEIRHPLSKTRVWLGTFETAEDAARAYDEAARLMCGPRAKTNFPYNPNAPLSSSSNLLSATLTAKLHKCYMASLQIIKTSPPPQHPPPHASSATAAPPGDKMPPQVAAAQSPAADWAVKTEGVLEMGNTTEFIKPLEDDHIEQMIEELLDYGSFELSSVMQ >itb02g23370.t2 pep chromosome:ASM357664v1:2:23318772:23322052:1 gene:itb02g23370 transcript:itb02g23370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMKSGEMAPEVNWQRGKAAPVKLEVEADDPLEEEHGPLNKRSKLSSPLQFVAVLQHQVGIGVSDFREPQVVQYNPLEEPSPLGLSLRKSPSLLDLIQMRLSQGKMSKDGGNPGKKEQKPNSSTTEKLKASNFPATILKIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMGIKATYPDDGPGTLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASMNRRHYLQCPQGLLGKHFEKLVQCDPRLNFLSQQAEIKLDSPYFESKISIFEDPDESDTGTDLNGGENSSFLDLCDANSPSGAHCSSSRSEQDHISQPLESIRRETSSPSSVMDTRVIEDIKSIGVEEWKGLSNWDQIRVPGLHPSMSMGDLVSHLEQRMSEQKTSKDFNLSSQERQSLEMLEEINRCLFSDTQYMPAASDEKSLMSRVNSLCCLLQKDPSPPTAQRRENYHETAMGDKGVGVFNFASASVVSERNVEENRPPTPDNDELPDDLTCSKQKPSMSRKDSVGDLLLNLPRITSLPQFLFNIAEDSDYHQAR >itb02g23370.t1 pep chromosome:ASM357664v1:2:23318738:23322052:1 gene:itb02g23370 transcript:itb02g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLMKSGEMAPEVNWQRGKAAPVKLEVEADDPLEEEHGPLNKRSKLSSPLQHQVGIGVSDFREPQVVQYNPLEEPSPLGLSLRKSPSLLDLIQMRLSQGKMSKDGGNPGKKEQKPNSSTTEKLKASNFPATILKIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMGIKATYPDDGPGTLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASMNRRHYLQCPQGLLGKHFEKLVQCDPRLNFLSQQAEIKLDSPYFESKISIFEDPDESDTGTDLNGGENSSFLDLCDANSPSGAHCSSSRSEQDHISQPLESIRRETSSPSSVMDTRVIEDIKSIGVEEWKGLSNWDQIRVPGLHPSMSMGDLVSHLEQRMSEQKTSKDFNLSSQERQSLEMLEEINRCLFSDTQYMPAASDEKSLMSRVNSLCCLLQKDPSPPTAQRRENYHETAMGDKGVGVFNFASASVVSERNVEENRPPTPDNDELPDDLTCSKQKPSMSRKDSVGDLLLNLPRITSLPQFLFNIAEDSDYHQAR >itb14g06650.t1 pep chromosome:ASM357664v1:14:5894969:5897579:1 gene:itb14g06650 transcript:itb14g06650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMPKVLCSSARFGIVAEFHIMPNGQPPISSTGKVLAPQVRTNGVDVAHFSPPRPLRTDEIPGVVNQFRLAARNAIEAGFDGVEIHGAHGYLIEQFLKDQVNDRTDEYGGSLEKRCRFALEVVEAVASEIGAGRVGIRLSPFANYHESADSNPNALGLYMARALNKYNILYCHVVEPRMIKVGEKAECHESLWPMRKAFKGTFMVAGGYEREDGNQAVADNRADLVAYGRLFLANPDLPKRFEVDAPLNKYNRATFYRPHPVIGYTDYPFLETAIV >itb14g06650.t2 pep chromosome:ASM357664v1:14:5894964:5897579:1 gene:itb14g06650 transcript:itb14g06650.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESREEEIPLITPYQMGNFQLSHRVVLAPLTRQRSFFGGIPQPHAAVYYAQRASKGGLLIAEATWISQTATGYPNTPGICTKEQVEAWKPIVDAVHAKGAVFFCQIWHCGRVSHYDFQPNGQPPISSTGKVLAPQVRTNGVDVAHFSPPRPLRTDEIPGVVNQFRLAARNAIEAGFDGVEIHGAHGYLIEQFLKDQVNDRTDEYGGSLEKRCRFALEVVEAVASEIGAGRVGIRLSPFANYHESADSNPNALGLYMARALNKYNILYCHVVEPRMIKVGEKAECHESLWPMRKAFKGTFMVAGGYEREDGNQAVADNRADLVAYGRLFLANPDLPKRFEVDAPLNKYNRATFYRPHPVIGYTDYPFLETAIV >itb11g15570.t1 pep chromosome:ASM357664v1:11:13312751:13321016:1 gene:itb11g15570 transcript:itb11g15570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTYFPFVVAVVLFSFGVIGGEGRSQLSGISSEVWAQQEADRVTGLPDSPPVNFRQYAGYVRVSKKHGRALFYWFXRVDELFGVIGGEGRSQLSGISSEVWAQQEADRVTGLPDSPPVNFRQYAGYVRVSKKHGRALFYWFRVSKKHGRALFYWFFEAMEKPEDKPLVLWLNGEKPEDKPLVLWLNGGGLELEALKRDRAGRRADVGSCNLEEIGRLSDCALLAGERVAAASIVVVSG >itb04g13000.t1 pep chromosome:ASM357664v1:4:12981289:12988836:1 gene:itb04g13000 transcript:itb04g13000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTIESMPGSSGYLDAGDRKVTYFSNPYVLGLTIIAGIGGLLFGYDTGVISGALLYIKDDFVEVNQSSFLQETIVSMALVGAMIGAAAGGWINDAYGRKKATLSADVVFTLGAIVMAAAPDPYVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVSGVPAIVQFCLMLFLPESPRWLYMKKDKSEAVVVLSKIYDPYRLEEELDQLATALEEERFRKQAVRYSDVFRSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVALMNAMGTILGIYLIDHVGRRKLALTSLSGVIVSLVLLAVAFILQPSSTMGTTGVYGWIAVLGLALYIAFFAPGMGPVPWTVNSEIYPEAYRGMCGGMSATVNWISNLIVAQSFLSLAEAVGTGATFLILAVIAIAAFGFVVAFVPETKGLTFEEVERIWKARARGNGSGSGREALLEGENQS >itb04g21950.t1 pep chromosome:ASM357664v1:4:27068886:27069783:-1 gene:itb04g21950 transcript:itb04g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFFPLPNPTDMSSCRSRSDHLIILLSGPPSSGKTSLLFQYALNSALEFNGAVVFICNRHKLDTKPPFLSQGVDPSSDIFQRIHIKYVDDDEGIKRYFAAFHIHHVNPVSVIIDDFADFFDERNCQQRESRPCQLLLSDTHLGDSPRLLYIYKRWVSSIYAIRGDGFGFSKKNQKPPTASSGSRDGSNNSISDDLSLPPAMAATTSSSDSFSGDLWCFSAILAWATKMMWFNDVVRD >itb10g01650.t1 pep chromosome:ASM357664v1:10:1273204:1275891:-1 gene:itb10g01650 transcript:itb10g01650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVFLTLFLCFLCNLGTSTDILRPGDVITPNTTLVSPNGNFALGFFRPGNSSSLFLGIWYNNLKDSVIWVANRESPLHDQDSHPRFTIGDDGNLRLLDGVRNPKWSTNVSGPPGNYAAEAQLKDTGNFILKQGNSTVWESFDDGGDTLMPEMRLKVNMRTGERNILRSWTSIDDPRPGKFSFGMDPNGAPQIFIWKQNNNNTNTNNNNIPYYRTNMFEYGYSLSWYIPRQGYSVYLSFAARDDEFYFSYTYRDASVPLRFFLSHDGYIKVLSKPENSEKWQNRLQFPDPTKCEMYGSCGSFGSCKKTGSNSVCSCLEGFKPKSGKDWENGNYSGGCVRRIGLECNENDKFMRFEWMKLPDHPVSLGNNSNMTFSECEAQCYSNCSCSAFAYNNMSDIAKCLNWFGDLVDLAHNNSAALRDLHGFLYEFGMVAIKRLCKQSSQGLEEFMNELKLIAKLQHKNLVSLLGCCIEDDEKILIYEYMPNRSLDKLLFGEKDNLDWNTRFQIIEGVAQGILYLHKYSRLKVIHRDLKASNILLDETMKPKISDFGLARIFGLDQTQAETNRVVGT >itb11g01030.t2 pep chromosome:ASM357664v1:11:459301:460320:1 gene:itb11g01030 transcript:itb11g01030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIMLPGQKSLYHDLYTKLKIDPFLVSGFQCRDEWTNLIAYWVNDYDVQMALHVRQGTNEKWQQCTQSLPYITNVDNTIAYHLNLRGYKSLIYRALISLQ >itb11g01030.t1 pep chromosome:ASM357664v1:11:459301:460485:1 gene:itb11g01030 transcript:itb11g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIMLPGQKSLYHDLYTKLKIDPFLVSGFQCRDEWTNLIAYWVNDYDVQMALHVRQGTNEKWQQCTQSLPYITNVDNTIAYHLNLRGGDHELVCPYLSSEAWIKSLNYSIIDNWRSWMVEGQVAG >itb11g11150.t1 pep chromosome:ASM357664v1:11:8108451:8110694:1 gene:itb11g11150 transcript:itb11g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNQSKTITTNSNLHQDESKWVIHIQKTLERELEEETEINVSIFGVPKTLMVYDPECYVPQRVAIGPYHYTNPELHEMERHKLDAAKIFQRQLRTIKLHSLAEEMMKVESRIRLSYDRQLSLRTETLAWMMAVDASFLLEFLKVYAVKEGKLMAAMISSKMSHLVDLAGKKSSHNALLRDIIMLENQIPFFVLRKMLEPQCQSPEASDDLLLTMLMGLSKDLSPFKMADDSAVVPMAEATHLLGYLFESIVPEMEGEHVEIEIDGGGEVKEKKDGEEDEDLLKNSGYVKSAFTQVWAILSKLNRGPARALKRLSSLAPVKTVLLMPWNAITNLPGLNVMKGPIESMFSCGKNPEDDEKSDAKDNADKPPSIEEITIPSVTELANAGVRFVPTNKGILSVEFDTKTFTLYLPVVSLDINSEVVLRNLVAYEACIAKGPLVLARYTEFMNGIVDTEEDAKFLREKGIILNHLKSDSEVANLWNGMNKSVRLTKVAFLDKVIDNVNKYYNCRWNVKTKRFMKSYIFGSWQFLTFLATIVLLLLMVLQSFCSVYTCSRFFNQVTILGTNEQN >itb01g03700.t1 pep chromosome:ASM357664v1:1:2410291:2410753:1 gene:itb01g03700 transcript:itb01g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEQFQKCHLDHPIGKFFGECTDLKIKLDRCFRQEKAVKRKSNFEESKKLKERLQAYRKETAEGSQEGTFAQA >itb08g06160.t1 pep chromosome:ASM357664v1:8:5279927:5280862:-1 gene:itb08g06160 transcript:itb08g06160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYLLLLLSSFSQCQAQLSPTFYNKTCPNALNIIRTVVRQAVSTERRMAASLVRLHFHDCFVQGCDASILLDESSTIKSEKTSLPNLGSARGYDVIEAAKGELEKACPGIVSCADVLSVAARDAIAAVGGPSWTVKLGRRDSTMASRIIDLPSPFDNLDRLISSFASRGLNTRDMVALSGAHTLGQAQCFLFRDRIYGNGTDIDAGFANTRRRNCPKDTGNGNLAPLDLVTPNSFDNNYYKNLLQKKGLLQSDQILFSGGATDNIVSEYARSPQAFQADFASAMIKMSEIQPLTSQNGIIRKVCSALD >itb10g26190.t1 pep chromosome:ASM357664v1:10:29462427:29466903:-1 gene:itb10g26190 transcript:itb10g26190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTALLVPMPYVPLIVLVTTLVLLDVCIGAPRTETVKMICTHKVENNFSVFVPNFVATMENISTQMRTQGWGVSTIGKGPDANFGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYSFFPQSLGAEDTYVCGNKTRKDGLFQDTAKRAAVSNAPNNNGYARVELPKPGRHRESAAYVLANCWKTVNASGCRRCLEHAAKSMLKCLPWSEARALYTGCFMRYSDTNFLNSVPSTGTSSSRANLILIVALVVCSAILFVVGVVIGVYIWKQKRLEKKRKGPNDVKKLVKILHDSSLNFKYSTLEKATGSFDEANKLGQGGYGIVYKGVLVDGRDVAVKRLFFNNKHRATDFYNEVNIISQVEHKNLVRLLGCSCSGPESLLVYEFLPNLSLDRFIFDQNRGKGLNWEKRFSIIIGTAEGLVYLHENLNIRIIHRDIKASNILLDSRFQAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLANGQLTEKADIYSFGVLLLEIVTGRQNNRSKNTEYADSIVNIAWMHFQEGTAEELFDPNLMLHNYDDVSVKSEVLRVLQVGLLCIQEMPSLRPSMSKVLQMLVKKEEELPPPTNPPFLDEKTMEFKNSWDSPMYRLKNEDFASNASLSHSTFYPR >itb09g19800.t1 pep chromosome:ASM357664v1:9:16124769:16126800:1 gene:itb09g19800 transcript:itb09g19800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNIPTTRLQGIGRRRLPCNQMTNYVVSDHPIRVLSTVVITGVWDTPLENPSLSEKKSKTSFKEGDQPFVPINGCGNPSTQVGIPPLLIEEWSPHEKVLVGETLSLRGEAINVKNKKSRIGNTRPSATVIGVDAGALVVVVNTLQHGGQVGMVFEKYRSNAGGVEARKESVGAQRSCCQITIGAEEEIDEFASKIF >itb15g20380.t1 pep chromosome:ASM357664v1:15:23020707:23025144:1 gene:itb15g20380 transcript:itb15g20380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MGSEGSVDLLHCTNTTFSNKLHCVDHPKLEIIAAPMEVINNDFTDGSWFEEEIDVDLKWSFALNRMLHRGKSEYQDIALLDTKRFGKVLVIDGKMQSAEIDEFIYHECLIHPALLCHPNPKTVFIMGGGEGSAAREALRHKSIDKVVMCDIDQEVVDFCRRYLTANYEAFHNKKLDLVINDAKAELEQRNEKFDVIVGDLADPVEGGPCYQLYTKSFYENILKPKLTEDGIFVTQAGPAGVFTHKEVFSSIYYTIKQVFKHVIVFTAHVPSFADTWGWVMASDEPLCVDSAMIDTKIAERIDGELLYLSGSSFYSSTILNKTVATTLKNETHVYTEEDARFIPGHGLLTFKN >itb09g19060.t1 pep chromosome:ASM357664v1:9:15125358:15129290:1 gene:itb09g19060 transcript:itb09g19060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCNNGAQPGAPEVSILNGIVFGGGAGLSIHGRFRVATEKSVFAMPETALGLFPDVGASYFLSRLPGFFGEYLGLTGSLLDGTEMLACGLATHFVPSERLSSLEEALHNINSSDPTIISAIITEFSQVPKLKEKSPYNHLKIIDRCFSRRTIEEIMVALETEAANNKDDWISSAIQSLKKASPTSLRISLRSIRAGRLQGIGTCLIREFRMACHVLKGEFSKDFVEGCRAILLDKDRKPKWEPSKLELVTDDMVNHYFSKLNDEDWKDLQLPGRPSLPYAIAKL >itb09g25170.t1 pep chromosome:ASM357664v1:9:25098389:25100668:1 gene:itb09g25170 transcript:itb09g25170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCDDEFETIGHKLISHSKKLEVISIVGMGGIGKTTLIQRVYENTSIISHFDVRAWTTVSQEYNLEEMLLDLLGCIGKRSTVGVGSTIEGDTSNDDPPAIGLTDLPAININERAISNDPPATRLRKALMGKRYLIVIDDIWSTKAWDDIHTCFPKEDFNGSRILLTTRLKQVADYASVSNNSHYMRFLNFNESWNLFYKKVFEEKKFPAQFKMICRGIVEKCQGLPLTIIVVAGLITSINKESLNQWGIVTENLNSLLSMDPEERCSKILSLSYYHLPPHLKVCFLYFGVFPGDSKVLVKRLVQLWVAEGFLKLDLNKSMEEVAEAYLQDLIDRGLVQIDKQSPNGKIKSCRLHDILHSFCLIEAQRENLLCFINENNIDVAMSTSFNPKACRWICSQLSHLHLSIVRAWYDDTHTSDEEIHSFIYFLKDFPRSYEPWSSSFKLLKVLDIGSTLILDIIPGEIVDLVNLRYLALSTSVVLHKFQWFKLRSLQTFIVDFHGYSWKAEPPYILDMPRLRNVYFTKGSPLYLPKLVQENLLTISWLNVPEPLWTKSDLTKIPNVKKLGIYIGISMNFMPSLLPPGSLDGLADLHQLENLKIAAKESNLLVGDFQLPKVFPPNLKKLTLCHTYLPWDDMLLIATLPNLEILKLIGYAFYGSEWKTTENGFCKLKYFQIEESNLKYWSAVANHFPALEYLILSYCQHLEEVPADFVDITTLQLIKLTHCCSSLVTSAKHIQKERLDYGDYKLVVRDFHTLPQR >itb11g02500.t1 pep chromosome:ASM357664v1:11:1262660:1264568:1 gene:itb11g02500 transcript:itb11g02500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRLIGFSPNWSSTRSSTVKASPSGSDHFYESVMERALEISGPMIMKWDAEASEAAKVTSLFYESRREANDFVKCVRNLQKAMQFLAMEQPRSEKLVRAQSLMEVAMKRLQKEFFQILNMNRAHLDPESSASARSSVSSTSTGLSSTSDFDVDYGEDDDVRVAGDSITEVEDVSSFVMADMRLIVECMISCGYTKECLRVYKHIRKSIIDEAISRLGVEKMSFSKLRKMDWEVLEPRIKNWLSALEIAVKTLFNGERTLCDNVFASTPDAIRESIFKEISKEAAMILLEFPENIAKYGKYSPEKVFRLLDMYNAIANHRPEIESIFSMDSASSIRTQAVTSLAKLGDAIRTGLAQFETVLQKDSSKSTVAGGGIHSLTIDAMNYLTSIADHSDVLSDIFSGTPPVRKEWLPESYFAVSNADESPVPAISLRYAWLILLLLCKLDVIAEHYKDVSIAYLFLANNLQYVVVKVRTSQHLNRFLGENWLSKQSAKVQQYAANYERLGWGDVIASLPENPTAPMSPEEIKHHFKKFNASFAQAHRKHSACVVSDRSLRDSLKVSIARKLFRLYRDFYDTHKLTMAKEKERHFSSIVKFAPEDVGHHLSDLFFEPSK >itb08g07500.t1 pep chromosome:ASM357664v1:8:6423153:6427171:-1 gene:itb08g07500 transcript:itb08g07500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLIHSSFLHSASSSSSFGCKQQPPLCNAFSFKLRVPTSPRPLIVQAHSRTRREDRIARHSRIRKKVEGTPERPRLSVFRSNKHLYVQVIDDTKMHTLAAVSTMQKAISEEFDYSSGPTIEVARKVGEAIAKSCLEKGISKVAFDRGGYPYHGRIEAIADAARENGLQF >itb04g23600.t1 pep chromosome:ASM357664v1:4:28607167:28610282:-1 gene:itb04g23600 transcript:itb04g23600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVTRMHNLKQKATLFFTISVCSALVFLAFLSVTSFNSLLTKNEIFSVTGPTRPDQNLHIHRQKKLEVAHTEACEGTLYPELCVSTLAAALPDRRAKTIPEIIAATVNVTVDEVKASAVNCSGIRRKLPRLGPLEKRALDDCLELFSETRDELKKVLSDLATTASSSKHYVDLQTFFSAAMTNQATCLDGFAYSKKHVRRIIEKRLVQISHHVSNALAMLKKIKKKKLKPNSDEIFPEYGEVKDGFPKWLKKKDRALLQAPASQTKPNLTVAQDGTGNFKTINEALQAAPNSSATRFVIYIKAGAYFEYVEVDKKKTNIMFIGDGIGKTLIKGNRSVIDGWTTFRSSTLAVVGSGFILKGITIENYAGPEKHQAVALRSGSDLSVFFNSSFVAYQDTLYVHSLRQFYRDCDVYGTVDFIFGNAAVVFQNCNLYARKPMDNQKNIFTAQGREDPNQNTGISILNCKVAAAADLIPVQSSFKNYLGRPWKEYSRTVFMFSNLGSLIDPAGWLEWDGDFALKTLYYGEYQNRGPGSNTSARVKWPGYRILNTSEANQFTVGNFILGQQWIPATGVPFYVNLTAS >itb05g14740.t1 pep chromosome:ASM357664v1:5:22021349:22026021:1 gene:itb05g14740 transcript:itb05g14740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIVADAMASEASKDLKKKGKKTPKPAAETLDSEKKKSRRSKVDSESDSEGSSAEKKRSKKKEKKRKAAEIDSGDEQRSETSSELVEPVNFKAEKKKKAKLMEAVGSYEEEEEKEEDPNAITNFRISKPLREALKAKGIEALFKIQAMTFDTILDGTDLVGRARTGQGKTLAFVLPILESLTNGPAKATRKTGYGRPPSVLVLLPTRELALQVFTDFEMYGGALGLTSCCLYGNTPYAQQENKLRRGVDIVVGTPGRVKDHIMRGNMDLTSLKFRVLDEVDEMLRIGFVEDVEFILGKVEDLKQVQTLLFSATLQDWVKHIASKFLKPDKKTVDIVGNEKMKASTSVRHIIIPCSISARSQLIPDIIRCYSSGGRTIIFTETKGYASELAGLLPGARALHGDIQQSQREVTLAGFRSGKFLTLVATNVAARGLDIDNVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKKSNISRIERESGVKFEHIAAPQPADIAKAIGEEAAVQIAGISDSVIPAFKAAAENLLNTSDLSPADLLAKALAKAAGYTEIKSRSLLTSMENYVTLQLESGKPVYSPSFVYNVLRRFLSEETAESIKGLTLTADGTGAVFDVSTEDVDTFIEGAKKAYDISLEVVKTLPPLQEREQSRGGGRFGGGGRFGGGGRGFSGGRGGRFSGGRGGFSDRRRGGGSGGRGHKW >itb08g12050.t1 pep chromosome:ASM357664v1:8:12111001:12112143:-1 gene:itb08g12050 transcript:itb08g12050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVGLVVAVVAMMMLWNGATAQSNACMSALVGLTPCLTYVTGNLSAPSPSCCRQLSGVVQSNPQLTRLCFIYYIYYMINTLLRLLMVFKIEAAVPASSPVGSLAPPPSEAKETPPQVPNTPAGSKTVPSTTAGGPSSAADDGTAVKASFRFLGFLVFAATLSMAGFGI >itb09g15970.t1 pep chromosome:ASM357664v1:9:11289229:11292132:1 gene:itb09g15970 transcript:itb09g15970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYRRIPILSLFLLRWLPEVVTAATVTLDSIEIYNTHEFLGLQPTVFFRCNGENQTTLPDVKEKGVLYRFKGEESWQPLSELHMKKCKRCGFYEEGTIMLHDIDEWEFCASDFSSVDGKYIHFKEKELNATFLCPECIPVGAPDDWSPQNEKTKNMALVVGITALVSVVLVLGVVASYKFWQRRKRLQEQARFLRLFEEDDEIADELGIGPLTHVI >itb07g11530.t1 pep chromosome:ASM357664v1:7:13016694:13017779:1 gene:itb07g11530 transcript:itb07g11530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFHVRARQSNDAEDSSSSSSFASELRRCRSVSASRLEVAGGSIEPHRKFCDIGDRNTLALLFDINGKNNAPNADTKVESKNIWFSRLAHCDEELVEDAEIGEEIRVPVNTLQPNVEAADDNVSMEGELKTMKEYIDLEIYSKS >itb03g00270.t1 pep chromosome:ASM357664v1:3:134234:135619:1 gene:itb03g00270 transcript:itb03g00270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEALSLITSIPNLPLFFAAFLLVYLIAYFIIFRNWKPMLRPEAASCAISFSHGTPAVFLAAAAILSDPDRSFHSRNTPFQGLVLDFSVAYFLMDLCHYLIFYPSDLLFIGHHLATLFVFLTCRYVVCHGAYAILVLLVLAEVTSFCQNTWTLAAARKSDSQFAAKLYEFLSPPFYALYSVVRGFAGPLFMYQMFVSFLSGAADTVIPRWMWISWIVVILTAISVSILWVFNLWVDLYRQKTLKSGDKKSR >itb15g23660.t1 pep chromosome:ASM357664v1:15:26458187:26458648:-1 gene:itb15g23660 transcript:itb15g23660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPACTSGESPVRVTNADEFDEVNDGATERDEIVAPGDLERGGPCEGLDHHGPLALVAGVADEEREVVEFAGDGARDLGDGALFPGHQFHRPPAVRHAEVLRVYVFHGFQRLHRPRR >itb10g05580.t1 pep chromosome:ASM357664v1:10:5798331:5800160:1 gene:itb10g05580 transcript:itb10g05580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAFLLTIFLLSIGARAPLCSCADTVLECLNDRSDPSHPISPVIYTPNNGSFSSVLQEYIRNLRFNESYTKKPLFIVTATHLSHIQASILCAKEHGLQMKARSGGHDYEGVSYVSDVPFFILDMFNFRAVNVSIQDETAWVEAGATLGEVYYGIALKSNVLGFPAGVCPTVGVGGHISGGGYGNMMRKYGLTVDNVIDAKLIDVNGRLLDRKSMGEDLFWAITGGGASSFGVVLSYRINIVRVPPKVTVFKVRRTYNQNATDLVYRHQRVAHKLDPNLFIRLRLDVVNSTTQPGEKTIRATFNALFLGDSKTLLSTMNDSFPELGLTQSDCTEMSWLQSVLFYTRFPSGTPVEKLLSRVPQVLVHLKRKSDYLQTPMPRDGIEFIFKKMVELQTPMLTFNPYGGKMAEIPSTAKPFPHRAGNIAKIQYATNWNEDGYEAAQHYVEITRQLYEYMTPFVSKNPREAFLNYRDLDLGINHNKGGRRSYLEGKTYGLKYFKENYNRLVNIKTKVDPDNFFRNQQSIPTFPTRRR >itb09g02110.t2 pep chromosome:ASM357664v1:9:1273561:1277944:-1 gene:itb09g02110 transcript:itb09g02110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t5 pep chromosome:ASM357664v1:9:1273562:1277236:-1 gene:itb09g02110 transcript:itb09g02110.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t6 pep chromosome:ASM357664v1:9:1273565:1277067:-1 gene:itb09g02110 transcript:itb09g02110.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t1 pep chromosome:ASM357664v1:9:1273561:1277944:-1 gene:itb09g02110 transcript:itb09g02110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t8 pep chromosome:ASM357664v1:9:1273565:1277067:-1 gene:itb09g02110 transcript:itb09g02110.t8 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t3 pep chromosome:ASM357664v1:9:1273565:1277944:-1 gene:itb09g02110 transcript:itb09g02110.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNNMPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t4 pep chromosome:ASM357664v1:9:1273561:1277921:-1 gene:itb09g02110 transcript:itb09g02110.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb09g02110.t7 pep chromosome:ASM357664v1:9:1273561:1277908:-1 gene:itb09g02110 transcript:itb09g02110.t7 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVENQLSNSVLAASGGNTGGMNAPSFSDWDPGESNSSRNVHSHVHGSNLEVRHGWSSSSSNYVVADPMSEEREFDSSSGLLHENCSNVYGGSHPIGRTTMHHSSSNCSLGNVSLSGPYHNFSGPQVTRTCAPLGRNKAVGSETDLIPAFPSSDNGGTSSYNSGDLMGNHDDSDSSLGTWGFACKRKALEVSSEKLCAGGSSSSNPRAENITLHNVPSHYNASSSLNIFLPSNAGVSEQANSRNGTSRRLSAGDEFPPSSVNGITESSTRNFHATENIANPESVSFGLPPVGATVGPSDAITTNVPPRHFSITSSSDLRHPLSLTMNSSNSANQPPSMASTSPPRSARSNPWNGSRDSQSGNCASSSSRSAESSATVRDETSFRSSFRNNGARNRYFSATETRNLVQDADNWNSAVLISSQNQPSGSGIGPSSSSQTFPTNWDTNQNPTTSSHQRLSDYPWGFSLPAGSDPGSQDGGNLLLPSWASTSSDDPFSPLPWASTSTEEPVMSSRPRRRGNRQPYLRSTMTAEVSGDNHGPWRALASDFEGRHRVASEIRDVLNAMRRSENFQAEDYTVFNPFINGVADSHDRHRDMRLDVDNMSYEELLALEERIGNVNTGLSEATILGSMKQRKYDSFCGGLSSDLEPCCICREEYVTADDIGTLGCGHEFHTDCIKQWLMLKNLCPICKTTGLET >itb08g06530.t1 pep chromosome:ASM357664v1:8:5537932:5539841:-1 gene:itb08g06530 transcript:itb08g06530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNKGNYGAGKLILLLLNCALLAIGNCGGPLTMRLYFLRGGKRIWFSSWINTGGWPVTFLPLAAAYFHRRRRAATAKRVLMTRRLFGASAAIGVINGLDSYLYAYGMAKLPVSTQSLIYATQLAFTAAFAFLLVRQRFTAFTLAAVALLTAGAGILADGAGRPAGEASREYIIGFVVTVLAAALYGFILPLIELTYVKARQAITYSLVLEIQVVMCFFSTAFCTAGMLVNKDFQAIPREAREFELGKYKYYIVVACSAVVWQFFFIGAVGVICYGSSLWSGVIVAATLSVTEVFAVVFYGEKFQIQKAISLALSLFGFIAYFYGEMKSSSNKDQDLQIPIPENI >itb01g26260.t1 pep chromosome:ASM357664v1:1:31480344:31486372:-1 gene:itb01g26260 transcript:itb01g26260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMDIDCVLVVPDTPTRLPAKNAENSSGVHKEIDASLPSLSGHGNVSTEQQKTQLNNGPGIRRLFIHRPRRQASNNSECHANSSTSGTHHPSSSSRIDNLFARKDTSFSNSEGKDSGCLQLIKKDSTDSNPGYSCKDSLHLMEKSMPAQVLSGSSQGEGFSKREVSSNGFSNLRSMKSSSETIEVDKEQFDDGYKVGFNMINGVRKEFVHDTQHNDNKDACASLITLPRVTRQKRLVRNGCISPHNIAKAKKPVGRDHNDPLTVKQNYCSSVSSSSNSESQINVKDLVSEGHASNTMKGKGIMVHPFTTTGLNGKNGHTSFRNVINVDEEVSEIAGANQDAFRSIEESGGWRSTRNCYQISLSDEDPHLSLGNDINACSETKHDQHKLLRRENGTNAQSHDHYPNCEDLSSNRYPSARNSSQVARPLGQQISHIHVHHPVVTPLGKRQKQGSTSINNAKCSTSAFNDTDIIFRDSYRDPNNNSRENTNQNCSRSDNLESIIHIDDSPQATNDGSKGVACCRSDEDARARQVEADEALALELQEQLFNETPVFGVDNEVDEHVSRVLQQEDFHLAFSGDTHHRSRSFANTRHSQYQSSVNVSRRAPRASNSNRLARWRSRFPRQPRRLLSSTGRNSIFPSNMDVETRMEVLETLEAFSNMGVYGDFLQTQRDFNENDYEMLLALDENNHQHAGASMHQINGLPESTVQNENLEEACSICLENPTMGDTIRHLPCLHKFHKDCIDPWLQRRSSCPVCKSSIT >itb01g26260.t3 pep chromosome:ASM357664v1:1:31480344:31485508:-1 gene:itb01g26260 transcript:itb01g26260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMDIDCVLVVPDTPTRLPAKNAENSSGVHKEIDASLPSLSGHGNVSTEQQKTQLNNGPGIRRLFIHRPRRQASNNSECHANSSTSGTHHPSSSSRIDNLFARKDTSFSNSEGKDSGCLQLIKKDSTDSNPGYSCKDSLHLMEKSMPAQVLSGSSQGEGFSKREVSSNGFSNLRSMKSSSETIEVDKEQFDDGYKVGFNMINGVRKEFVHDTQHNDNKDACASLITLPRVTRQKRLVRNGCISPHNIAKAKKPVGRDHNDPLTVKQNYCSSVSSSSNSESQINVKDLVSEGHASNTMKGKGIMVHPFTTTGLNGKNGHTSFRNVINVDEEVSEIAGANQDAFRSIEESGGWRSTRNCYQISLSDEDPHLSLGNDINACSETKHDQHKLLRRENGTNAQSHDHYPNCEDLSSNRYPSARNSSQVARPLGQQISHIHVHHPVVTPLGKRQKQGSTSINNAKCSTSAFNDTDIIFRDSYRDPNNNSRENTNQNCSRSDNLESIIHIDDSPQATNDGSKGVACCRSDEDARARQVEADEALALELQEQLFNETPVFGVDNEVDEHVSRVLQQEDFHLAFSGDTHHRSRSFANTRHSQYQSSVNVSRRAPRASNSNRLARWRSRFPRQPRRLLSSTGRNSIFPSNMDVETRMEVLETLEAFSNMGVYGDFLQTQRDFNENDYEMLLALDENNHQHAGASMHQINGLPESTVQNENLEEACSICLENPTMGDTIRHLPCLHKFHKDCIDPWLQRRSSCPVCKSSIT >itb01g26260.t2 pep chromosome:ASM357664v1:1:31480344:31486372:-1 gene:itb01g26260 transcript:itb01g26260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMDIDCVLVVPDTPTRLPAKNAENSSGVHKEIDASLPSLSGHGNVSTEQQKTQLNNGPGIRRLFIHRPRRQASNNSECHANSSTSGTHHPSSSSRIDNLFARKDTSFSNSEGKDSGCLQLIKKDSTDSNPGYSCKDSLHLMEKSMPAQVLSGSSQGEGFSKREVSSNGFSNLRSMKSSSETIEVDKEQFDDGYKVGFNMINGVRKEFVHDTQHNDNKDACASLITLPRVTRQKRLVRNGCISPHNIAKAKKPVGRDHNDPLTVKQNYCSSVSSSSNSESQINVKDLVSEGHASNTMKGKGIMVHPFTTTGLNGKNGHTSFRNVINVDEEVSEIAGANQDAFRSIEESGGWRSTRNCYQISLSDEDPHLSLGNDINACSETKHDQHKLLRRENGTNAQSHDHYPNCEDLSSNRYPSARNSSQVARPLGQQISHIHVHHPVVTPLGKRQKQGSTSINNAKCSTSAFNDTDIIFRDSYRDPNNNSRENTNQNCSRSDNLESIIHIDDSPQATNDGSKGVACCRSDEDARARQVEADEALALELQEQLFNETPVFGVDNEVDEHVSRVLQQEDFHLAFSGDTHHRSRSFANTRHSQYQSSVNVSRRAPRASNSNRLARWRSRFPRQPRRLLSSTGRNSIFPSNMDVETRMEVLETLEAFSNMGVYGDFLQTQRDFNENDYEMLLALDENNHQHAGASMHQINGLPESTVQNENLEEACSICLENPTMGDTIRHLPCLHKFHKDCIDPWLQRRSSCPVCKSSIT >itb01g26260.t4 pep chromosome:ASM357664v1:1:31480344:31485056:-1 gene:itb01g26260 transcript:itb01g26260.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMDQMDIDCVLVVPDTPTRLPAKNAENSSGVHKEIDASLPSLSGHGNVSTEQQKTQLNNGPGIRRLFIHRPRRQASNNSECHANSSTSGTHHPSSSSRIDNLFARKDTSFSNSEGKDSGCLQLIKKDSTDSNPGYSCKDSLHLMEKSMPAQVLSGSSQGEGFSKREVSSNGFSNLRSMKSSSETIEVDKEQFDDGYKVGFNMINGVRKEFVHDTQHNDNKDACASLITLPRVTRQKRLVRNGCISPHNIAKAKKPVGRDHNDPLTVKQNYCSSVSSSSNSESQINVKDLVSEGHASNTMKGKGIMVHPFTTTGLNGKNGHTSFRNVINVDEEVSEIAGANQDAFRSIEESGGWRSTRNCYQISLSDEDPHLSLGNDINACSETKHDQHKLLRRENGTNAQSHDHYPNCEDLSSNRYPSARNSSQVARPLGQQISHIHVHHPVVTPLGKRQKQGSTSINNAKCSTSAFNDTDIIFRDSYRDPNNNSRENTNQNCSRSDNLESIIHIDDSPQATNDGSKGVACCRSDEDARARQVEADEALALELQEQLFNETPVFGVDNEVDEHVSRVLQQEDFHLAFSGDTHHRSRSFANTRHSQYQSSVNVSRRAPRASNSNRLARWRSRFPRQPRRLLSSTGRNSIFPSNMDVETRMEVLETLEAFSNMGVYGDFLQTQRDFNENDYEMLLALDENNHQHAGASMHQINGLPESTVQNENLEEACSICLENPTMGDTIRHLPCLHKFHKDCIDPWLQRRSSCPVCKSSIT >itb08g08440.t1 pep chromosome:ASM357664v1:8:7409535:7409992:-1 gene:itb08g08440 transcript:itb08g08440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIQQVDVGRQHALTVYGSDYSSTQLRMAQGWPCCCVEDADPSIGRPGDAEVVYAATEKAERELNWKAKYIVEEMCQG >itb08g04200.t1 pep chromosome:ASM357664v1:8:3330033:3331467:1 gene:itb08g04200 transcript:itb08g04200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDPNMPVGQTDEDLNGRKSSFSAYDPNVRLSVDGSPPMLSPWNQNSPFAKSPWSQTGGGVGGEMNNAAQNLPQNCLIGSLVREEGHIYSLASRDKILYTGSDSKNIRVWKDMKEFAAFKSNSGLVKAIVISGDRIFTGHQDGKIRVWKVNQKNPGVYKRVGTMPTFFDIFKASLKRSAVYIKHSDAISSLSMDSEDGLLYSASWDRTFKVWKTESSKCVESVKAHDDAVNSVVASVEGMVYTGSADGSVKVWKREIAGKGTKHVYLQTLLNQECAVTALAVNKQGSVLYCGSSDGVVNFWEHEKQLSHGGVLKGHKLAVLCLAAAGNLIFSGSADKTICVWRRVGSVHTCLSILTGHNGPVKCLTVDEDQEATGKWVVYSGSLDKSVKVWSVSEAAPDLQKMGMA >itb08g11720.t1 pep chromosome:ASM357664v1:8:11692233:11695835:1 gene:itb08g11720 transcript:itb08g11720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLNTESAIKALQKSVKALELQFDKIETLSTTVSSLSITSHNHAVALANLEKLVKQLLTNQAGANANISPGNPIHPPAAATSFVGTQSHNHLCQQQDSALVAQSPGALGPSKAQLPPKMPPTKTTLRSDSMAKETAVAVGTCIATPSDAASLLKAAQSMNVVLIPPGGGDPVDKPPALRSICKTSKVRVSFDTEHARDSLYRTSVDYVLNYCESTGNQPGFVQINGEDVQKFIAGLADNIALENTRAARMVSAAVAARTRSRLLQAWALEMQSRHAEAVEELQKIRLIHQIFPPDEYSPEMEMVARGLKKHLNLEQREFLMNTFKGICGESSGRSVAEALGLMHSQDDAVYQEEAKYT >itb15g08980.t1 pep chromosome:ASM357664v1:15:6377421:6383590:-1 gene:itb15g08980 transcript:itb15g08980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSKPENALKRAEELINVGQKQEALQVLHDFITSRRYRAWQKTHERIMFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNINSLEEVIKHFMHLASERAELARNQAQALEEALDVEDLEADKRPEDLMLSFVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSRLESLYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLVNLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELCLWQEGFRSIEDIYGLMCLVKKTPKPSLMVVYYAKLTEIFWTSSSHLHHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVVLAALSVPPYDQTYGASHFELENVKEQRLRVANLIGFEVEGKAESKEVLSRASLLSELVSKGVMTCVTQEVKDLYHILEYEFLPLDLSMKVQPLLSKISKLGGKMSSASNVPELQLSQYVPALEKLATLRLLQQVSQVYQTIQIDSLSKMIPFFDFVVVEKISVEAVKHNFIAMKADHMKGAVFFGKQSIESEGLRNHLSTLTESLSKVRIMIYPPVMKAAKLGEALSGLTEVVEKEHKRLLARKSLIEKRKEEQERLLLEKEREEESKRLKLQKIAEEAEQKRLAAEFEQRKNQRILREIEERELEEAQALLEETQKGAKKKGKKVLPEGEKITKQTVMEMALSEQLREKQEMEKKLVKLAKTMDHLERAKREENAPLIEAAYQEHLADEAALHEREQQQEVELSKLRHDGDLELKRRLSRMLENKKIFEATVVSHREAEFNRLRRERQERMNQIIEARKQQREASRKMIFFLRSEEERLKKLHEEEEARKREEAERRKREEAEHKAKLDEIAEKQRQRELELEEKERKRREEVLGKPKVVPAAGPTPLTVSHPAEAGATAGPTSPAPAAAAAAPAASTKYVPKFKRMAMEGAGQAPPPESERRGVNSGRSDDRASERWGGSRPDDRAPDRWRDGDRDRDRRPAFGGSKPGTWSSSRSRGER >itb15g08980.t3 pep chromosome:ASM357664v1:15:6377421:6383588:-1 gene:itb15g08980 transcript:itb15g08980.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSKPENALKRAEELINVGQKQEALQVLHDFITSRRYRAWQKTHERIMFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNINSLEEVIKHFMHLASERAELARNQAQALEEALDVEDLEADKRPEDLMLSFVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSRLESLYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLVNLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELCLWQEGFRSIEDIYGLMCLVKKTPKPSLMVVYYAKLTEIFWTSSSHLHHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVVLAALSVPPYDQTYGASHFELENVKEQRLRVANLIGFEVEGKAESKEVLSRASLLSELVSKGVMTCVTQEVKDLYHILEYEFLPLDLSMKVQPLLSKISKLGGKMSSASNVPELQLSQYVPALEKLATLRLLQQVSQVYQTIQIDSLSKMIPFFDFVVVEKISVEAVKHNFIAMKADHMKGAVFFGKQSIESEGLRNHLSTLTESLSKVRIMIYPPVMKAAKLGEALSGLTEVVEKEHKRLLARKSLIEKRKEEQERLLLEKEREEESKRLKLQKIAEEAEQKRLAAEFEQRKNQRILREIEERELEEAQALLEETQKGAKKKGKKVLPEGEKITKQTVMEMALSEQLREKQEMEKKLVKLAKTMDHLERAKREENAPLIEAAYQEHLADEAALHEREQQQEVELSKLRHDGDLELKRRLSRMLENKKIFEATVVSHREAEFNRLRRERQERMNQIIEARKQQREASRKMIFFLRSEEERLKKLHEEEEARKREEAERRKREEAEHKAKLDEIAEKQRQRELELEEKERKRREEVLGKPKVVPAAGPTPLTVSHPAEAGATAGPTSPAPAAAAAAPAASTKYVPKFKRMAMEGAGQAPPPESERRGVNSGRSDDRASERWGGSRPDDRAPDRWRDGDRDRDRRPAFGGSKPGTWSSSRSRGER >itb15g08980.t2 pep chromosome:ASM357664v1:15:6377421:6383414:-1 gene:itb15g08980 transcript:itb15g08980.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSKPENALKRAEELINVGQKQEALQVLHDFITSRRYRAWQKTHERIMFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNINSLEEVIKHFMHLASERAELARNQAQALEEALDVEDLEADKRPEDLMLSFVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSRLESLYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLVNLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELCLWQEGFRSIEDIYGLMCLVKKTPKPSLMVVYYAKLTEIFWTSSSHLHHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVVLAALSVPPYDQTYGASHFELENVKEQRLRVANLIGFEVEGKAESKEVLSRASLLSELVSKGVMTCVTQEVKDLYHILEYEFLPLDLSMKVQPLLSKISKLGGKMSSASNVPELQLSQYVPALEKLATLRLLQQVSQVYQTIQIDSLSKMIPFFDFVVVEKISVEAVKHNFIAMKADHMKGAVFFGKQSIESEGLRNHLSTLTESLSKVRIMIYPPVMKAAKLGEALSGLTEVVEKEHKRLLARKSLIEKRKEEQERLLLEKEREEESKRLKLQKIAEEAEQKRLAAEFEQRKNQRILREIEERELEEAQALLEETQKGAKKKGKKVLPEGEKITKQTVMEMALSEQLREKQEMEKKLVKLAKTMDHLERAKREENAPLIEAAYQEHLADEAALHEREQQQEVELSKLRHDGDLELKRRLSRMLENKKIFEATVVSHREAEFNRLRRERQERMNQIIEARKQQREASRKMIFFLRSEEERLKKLHEEEEARKREEAERRKREEAEHKAKLDEIAEKQRQRELELEEKERKRREEVLGKPKVVPAAGPTPLTVSHPAEAGATAGPTSPAPAAAAAAPAASTKYVPKFKRMAMEGAGQAPPPESERRGVNSGRSDDRASERWGGSRPDDRAPDRWRDGDRDRDRRPAFGGSKPGTWSSSRSRGER >itb02g17350.t1 pep chromosome:ASM357664v1:2:13284207:13286759:-1 gene:itb02g17350 transcript:itb02g17350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO70E2 [Source:Projected from Arabidopsis thaliana (AT5G61010) UniProtKB/Swiss-Prot;Acc:Q9FNR3] MEDKEAVTTTLDGEQHMIAAAHHLLKALSASKNVNSDMRRLLADLDIHLSKMTKLNDDEAESLREVEERLKSAQRKIVSLQSNHYRIWDSGPQHGLEYLEAVDEVRTLTESLVSSRRGKQKGLVLNQAHSILQMAMERLQEEVANILAQNKHCFEHEYLSFRSCEENVVDEESIVSSENESAEEASRRESSGTEPEEYRVDLIHPDVIPHIKCIANVMFASHYYQEFCQIFVTFWENALSEYFSILHMEYLSIEDVLNMEWNCLNRRIKKWLWAMKNIIGFYLPIVKRLFDEILGELGSVSSTSFYEASKASMLCLLNFGQAVAVGPLLPERLFCLLDMYEVIANLRRDMDAMFGEGEEGSFIRAEFHELEKRLGDSAKVIFLEFGNKIASETSTTPLIKGGVQPLTKYVMNYLMLLAEYCHTLNFLIEENTIDHLNQVIDEEDLQKVSSVLTCPVAHHLQSITSILEANLLARSNLYRNPSLKHIYMMNNLHYMVQKIKNSKIRACFGDSWIRQKMGQFRQHAMTYERITWSPILSLIRNDGSTDKTLLRERCRSFNAAFEEVYKSQTGWLIPDLQLRDDVRISTSKNVVPAFRVFFGSVKDSVGDRYIKYTPDDLENCILDLFEGLPKSLSHHWRR >itb06g20560.t1 pep chromosome:ASM357664v1:6:23505597:23505878:-1 gene:itb06g20560 transcript:itb06g20560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESASCRDLVTYSILVCLSAGGAGTSLWLLHLSKSFDDFVRVFACFSILSLAFIHFAVDLYGAVLAVIASRRVSPVPAPPSPDLPAVVICE >itb11g05900.t2 pep chromosome:ASM357664v1:11:3519123:3528519:-1 gene:itb11g05900 transcript:itb11g05900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMQEDDVLLETTRAKFSNVLKRHGELAERLSRDSDKTIFERLHREFEAARASQAQEISLEGEQWNDGLLATIRERVHIEADIKAMQMPGDTAQSPIPCQEKVTYKIGNKVICCLEGARIGIQYETSFAGETCELYHCVLESKSFLEKMTVIEHTVPFFLPIREAENEFLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQLGQLYHSLPYHMIEFVLDDFDCRITVCLRYADLVSTLPTGVSVVAWPAQHSKKSSNFIASTRKGNYPVPERLTYAEEALRTMSLPEAYAEIVLNLQQALGDVFVPEGPA >itb11g05900.t3 pep chromosome:ASM357664v1:11:3519123:3528519:-1 gene:itb11g05900 transcript:itb11g05900.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMQEDDVLLETTRAKFSNVLKRHGELAERLSRDSDKTIFERLHREFEAARASQAQEISLEGEQWNDGLLATIRERVHIEADIKAMQMPGDTAQSPIPCQEKVTYKIGNKDYHNELACHVQGVICCLEGARIGIQYETSFAGETCELYHCVLESKSFLEKMTVIEHTVPFFLPIREAENEFLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQLGQLYHSLPYHMIEFVLDDFDCRITVCLRYADLVSTLPTGVSVVAWPAQHSKKSSNFIASTRKGNYPVPERLTYAEEALRTMSLPEAYAEIVLNLQQALGDVFVPEGPA >itb11g05900.t4 pep chromosome:ASM357664v1:11:3519123:3528519:-1 gene:itb11g05900 transcript:itb11g05900.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMQEDDVLLETTRAKFSNVLKRHGELAERLSRDSDKTIFERLHREFEAARASQAQEISLEGEQWNDGLLATIRERVHIEADIKAMQMPGDTAQSPIPCQEKVTYKIGNKDYHNELACHVQGVICCLEGARIGIQYETSFAGETCELYHCVLESKSFLEKMTVIEHTVPFFLPIREAENEFLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQLGQLYHSLPYHMIEFVLDDFDCRITVCLRYADLVSTLPTGVSVVAWPAQHSKKSSNFIASTRKGNYPVPERLTYAEEALRTMSLPEAYAEIVLNLQQALGDVFVPEGPA >itb11g05900.t1 pep chromosome:ASM357664v1:11:3519123:3528519:-1 gene:itb11g05900 transcript:itb11g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMQEDDVLLETTRAKFSNVLKRHGELAERLSRDSDKTIFERLHREFEAARASQAQEISLEGEQWNDGLLATIRERVHIEADIKAMQMPGDTAQSPIPCQEKVTYKIGNKVICCLEGARIGIQYETSFAGETCELYHCVLESKSFLEKMTVIEHTVPFFLPIREAENEFLSSNAMKFIDHVGDLLQAYVDRREQVRLIKELYGNQLGQLYHSLPYHMIEFVLDDFDCRITVCLRYADLVSTLPTGVSVVAWPAQHSKKSSNFIASTRKGNYPVPERLTYAEEALRTMSLPEAYAEIVLNLQQALGDVFVPEGPA >itb10g01670.t3 pep chromosome:ASM357664v1:10:1326236:1329700:1 gene:itb10g01670 transcript:itb10g01670.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVRRAMDEVVLIRPWQVLELIDEVQRLGLGHRFEEDILQALERIVSSIGLDNIVASPHKCGLVFKLFRQHGFEVSQDIFSHLMDENGEFIPTIQNDTKGILSLYEASFLTFEGENILQMAKPFLTKSLKNLMAKEDCSLSEEVNHALELPQHYRPPRLEARWYIEACRKTRGYNDFLLELATLDFNMVQSLYQRELQEVSRWWKDIGLAGKLSFVRDRLVECYVWAAGVTPQPQLSKARIGLTKVSALITTIDDIYDVYGSPNELHLFTNVVKKWDLDGVKDLPDYMKICFLALYNTVNELGYDTVKEQGVNSIPILAKKWADMCEAFLVEATWNSKKVTPPLKVYLENAWVSVSGSVILSHAYFLVTQNITNEALDALQNNNDLLRWSSTIFRLCNDLGTSKAELERGETANSILCHMRESGHLEDDSRDYIRDLLDEAWKNLNKNNASDNISRFGKPFIEAAINLARISQSTYQYGDGHGAPDSRSKNRVLSLIIQPLALPGQE >itb10g01670.t1 pep chromosome:ASM357664v1:10:1326236:1329700:1 gene:itb10g01670 transcript:itb10g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVRRAMDEVVLIRPWQVLELIDEVQRLGLGHRFEEDILQALERIVSSIGLDNIVASPHKCGLVFKLFRQHGFEVSQDIFSHLMDENGEFIPTIQNDTKGILSLYEASFLTFEGENILQMAKPFLTKSLKNLMAKEDCSLSEEVNHALELPQHYRPPRLEARWYIEACRKTRGYNDFLLELATLDFNMVQSLYQRELQEVSRWWKDIGLAGKLSFVRDRLVECYVWAAGVTPQPQLSKARIGLTKVSALITTIDDIYDVYGSPNELHLFTNVVKKWDLDGVKDLPDYMKICFLALYNTVNELGYDTVKEQGVNSIPILAKKWADMCEAFLVEATWNSKKVTPPLKVYLENAWVSVSGSVILSHAYFLVTQNITNEALDALQNNNDLLRWSSTIFRLCNDLGTSKAELERGETANSILCHMRESGHLEDDSRDYIRDLLDEAWKNLNKNNASDNISRFGKPFIEAAINLARISQSTYQYGDGHGAPDSRSKNRVLSLIIQPLALPGQE >itb10g01670.t2 pep chromosome:ASM357664v1:10:1326230:1329729:1 gene:itb10g01670 transcript:itb10g01670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLVSLSLFPSKLYTPKYVPTKCPRLSCYSTLRSQVSQVERPSPNYKPTIWTHDFVMSLKVNNVDEELQERAEKMEEKVRRAMDEVVLIRPWQVLELIDEVQRLGLGHRFEEDILQALERIVSSIGLDNIVASPHKCGLVFKLFRQHGFEVSQDIFSHLMDENGEFIPTIQNDTKGILSLYEASFLTFEGENILQMAKPFLTKSLKNLMAKEDCSLSEEVNHALELPQHYRPPRLEARWYIEACRKTRGYNDFLLELATLDFNMVQSLYQRELQEVSRWWKDIGLAGKLSFVRDRLVECYVWAAGVTPQPQLSKARIGLTKVSALITTIDDIYDVYGSPNELHLFTNVVKKWDLDGVKDLPDYMKICFLALYNTVNELGYDTVKEQGVNSIPILAKKWADMCEAFLVEATWNSKKVTPPLKVYLENAWVSVSGSVILSHAYFLVTQNITNEALDALQNNNDLLRWSSTIFRLCNDLGTSKAELERGETANSILCHMRESGHLEDDSRDYIRDLLDEAWKNLNKNNASDNISRFGKPFIEAAINLARISQSTYQYGDGHGAPDSRSKNRVLSLIIQPLALPGQE >itb10g01670.t4 pep chromosome:ASM357664v1:10:1326236:1329700:1 gene:itb10g01670 transcript:itb10g01670.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVRRAMDEVVLIRPWQVLELIDEVQRLGLGHRFEEDILQALERIVSSIGLDNIVASPHKCGLVFKLFRQHGFEVSQDIFSHLMDENGEFIPTIQNDTKGILSLYEASFLTFEGENILQMAKPFLTKSLKNLMAKEDCSLSEEVNHALELPQHYRPPRLEARWYIEACRKTRGYNDFLLELATLDFNMVQSLYQRELQEVSRWWKDIGLAGKLSFVRDRLVECYVWAAGVTPQPQLSKARIGLTKVSALITTIDDIYDVYGSPNELHLFTNVVKKWDLDGVKDLPDYMKICFLALYNTVNELGYDTVKEQGVNSIPILAKKWADMCEAFLVEATWNSKKVTPPLKNNNDLLRWSSTIFRLCNDLGTSKAELERGETANSILCHMRESGHLEDDSRDYIRDLLDEAWKNLNKNNASDNISRFGKPFIEAAINLARISQSTYQYGDGHGAPDSRSKNRVLSLIIQPLALPGQE >itb10g26200.t1 pep chromosome:ASM357664v1:10:29482540:29484691:1 gene:itb10g26200 transcript:itb10g26200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQRIPLPPQHSDRHTIPAPLPPSTASASRRHLRIARLFLPQRRLRKEESLVEKIANSVLNDAPMIYSLLPMMSLMRPPIHQKDDSRETLNKAICTTTNMGAEFERSFPCLVKIYDSLESDLKLNDIFEFIGVLAFDTDLSKEKEDNNNVESSLCEDVLVELPPSKVTDLRNLLGLYADWHSPLLPYYSFDQFVPKVEKVGSSKRVKLSVTLEKMIWLLLGQF >itb15g01040.t1 pep chromosome:ASM357664v1:15:573658:574113:1 gene:itb15g01040 transcript:itb15g01040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIDNTKETVTFRAVGRDEEGGKRVTKHEVETHNIDTLKYIEKKLMDKGVHRKDRRPASGIPLGKQSKSGHGGKFTWEGPADEAEYELDDVPAAIDEKDPNYVDEEAEKSILKGEVSGVEGMVVGEVDVAKVAAEGVARVDVHPQLQPNA >itb05g22800.t1 pep chromosome:ASM357664v1:5:28078169:28083382:1 gene:itb05g22800 transcript:itb05g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKSFVRKTKQGKVIKVVREHYLRDDIYCGATFCKTCDVSSARLSSSRILVVDTNVVLHQIDLLENPAIDNVVVLSVVLEEVKNKNISVYNRLRALCSNSLRKFFVFSNEYHKDTYVKAMPGESPNDRNDRAIRVATQWYQNHLGGTAQVLLITNDRENKRKASEEGIPAETVESYVRSLGQPELLDLIVQPPEEDVNMDDVEDLRPSKRKVIYSEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRANMNRAFDGDVVAVEILPREQWHEEKSLAIADNDEEEEEDIHLVPNSADDAPSVTNLGQSSASEAGHLPSRPSGRVVGIIKRNWHSYCGSLEPMPLPAGSAGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVRTIGGIGDRDTESEVVLIENDIDARPFSAQVLSCLPPLPWSVSPEDLASPVRQDLRHIRVFSVDPPGCKDIDDALHCTALPSGNFEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDVCSLRADVERLAFSVIWVCLLIV >itb13g13160.t1 pep chromosome:ASM357664v1:13:19704909:19708231:1 gene:itb13g13160 transcript:itb13g13160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MSLLHTPCASFLLSAKTPKPTVKPFEALPFSSTLNPRSRASSIRLSYSSLNEPSDPKPSGQPISGGANKTDGVSDNKPSAFVDEWGEKSEPETQPATKFADSDPPKDEDEWGGNEFGGNGTPEMGSGEDERLQDLKRCLVDTVYGTDLGFRASTEIRAEALELVSQLEAANPTPAPTESPELLDGNWILLFTAFSELLPLLAAGNIPSVKVEKISQLIDTSSLTIENSISLSSPVATLSFSATATFEVRTPSRIQVEFKEGSFKPPEIKSRIDLPENVDIFGQKINLSPVQQSLGPVENAVAGIARTISGQPPIKVPIPGERSKSWLLTTYLDKDMRISRGDGGLFVLVKEGSPLVY >itb14g05620.t2 pep chromosome:ASM357664v1:14:4899382:4904496:-1 gene:itb14g05620 transcript:itb14g05620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEGLRIKVVFDDGDILSDSQKSQGLQRSWLLLKPTHHRIISQFSSDLFHNFKLHNSCPHGILLSMDGFVLPPFESTCFFKDKDVISVKKKKKKKGGSLVIVGNTPNLVENVQSVEKPSVNTKALLLANEAFNKEHGGYECDKPEEERRDQVDDYNLHKNLLDGVAVSKKRKASEELHSTKKKKQCPQVAEECNIDAQTEPSQPTKKSKRDRTAKISNKKEQQKSESKMDGAEDNNETVEMTKDNHTKPNTKKNDHIQEYGKESVDVTAKPEEIPKQPSRSSRRQYAKRRLIREMAKILKENADSQLKALNSLKGTQSKDGSEEAVRLPKGRQKWKKGQARAKREEDISQPKGHKHWKLRQTGDEIKETPGQPKGLLYWKGLLFNDMTKDMDKQERTNSKNLSCSEPDQNSDNEDGVVPIELTPGHIHSEIPGKGEAVQQNQAKAENFRWNGVINKKNGQKLGKEKISFSQRNEINELNKESSEMSSAEKVAHCDGSIDFAKLPSLSNTPKEGDVIAYRLLKLSSNWTAELSPYHVGKVSSFNSESSRASLMPVAGYPFYSKKSGEDECAMQPDSSLYKEDGSLEIDLSSLVDVRVVKATTFNPSKEVSVWTGVGASSNTLTVSSGSSDKQTPTIPENGEVNHEKQMHTCSRENGVNLWDQLSEALSAKKEQLQSSWGKVTPSKISWSYEARGSGHAPRKKGFSRWKNKR >itb14g05620.t1 pep chromosome:ASM357664v1:14:4898969:4904548:-1 gene:itb14g05620 transcript:itb14g05620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEGLRIKVVFDDGDILSDSQKSQGLQRSWLLLKPTHHRIISQFSSDLFHNFKLHNSCPHGILLSMDGFVLPPFESTCFFKDKDVISVKKKKKKKGGSLVIVGNTPNLVENVQSVEKPSVNTKALLLANEAFNKEHGGYECDKPEEERRDQVDDYNLHKNLLDGVAVSKKRKASEELHSTKKKKQCPQVAEECNIDAQTEPSQPTKKSKRDRTAKISNKKEQQKSESKMDGAEDNNETVEMTKDNHTKPNTKKNDHIQEYGKESVDVTAKPEEIPKPSRSSRRQYAKRRLIREMAKILKENADSQLKALNSLKGTQSKDGSEEAVRLPKGRQKWKKGQARAKREEDISQPKGHKHWKLRQTGDEIKETPGQPKGLLYWKGLLFNDMTKDMDKQERTNSKNLSCSEPDQNSDNEDGVVPIELTPGHIHSEIPGKGEAVQQNQAKAENFRWNGVINKKNGQKLGKEKISFSQRNEINELNKESSEMSSAEKVAHCDGSIDFAKLPSLSNTPKEGDVIAYRLLKLSSNWTAELSPYHVGKVSSFNSESSRASLMPVAGYPFYSKKSGEDECAMQPDSSLYKEDGSLEIDLSSLVDVRVVKATTFNPSKEVSVWTGVGASSNTLTVSSGSSDKQTPTIPENGEVNHEKQMHTCSRENGVNLWDQLSEALSAKKEQLQSSWGKVTPSKISWSYEARGSGHAPRKKGFSRWKNKR >itb07g06900.t1 pep chromosome:ASM357664v1:7:5205715:5207512:-1 gene:itb07g06900 transcript:itb07g06900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKVLVQVEISFHGDIYHQLFSERPHHIPSMCKGIHGVEGQWGTVGSIITWKFSHDGKTKTAEEVIEAIDEKEKLVKFKVIGGEILEDYKSFIITCEVHTDGDNHLVTWTIEYEKVKEEIPEPLSYLEFLLDITKDMEDHHANLKP >itb07g06900.t2 pep chromosome:ASM357664v1:7:5205715:5210182:-1 gene:itb07g06900 transcript:itb07g06900.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKVLVQVEISFHGDIYHQLFSERPHHIPSMCKGIHGVEGQWGTVGSIITWKFSHDGKTKTAEEVIEAIDEKEKLVKFKVIGGEILEDYKSFIITCEVHTDGDNHLVTWTIEYEKVKEEIPEPLSYLEFLLDITKDMEDHHANLKP >itb06g03870.t1 pep chromosome:ASM357664v1:6:6344728:6345380:-1 gene:itb06g03870 transcript:itb06g03870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSTRLCITFWSSSAEMEPFLSMSKMEKASLRLRNISSESMSCVLSSMNSRRSMKLSPSPATSQIIAFSSSSIATWLKLPTLPRGDGDVEFGGEGGGREVELGVVERVDGESGSFREVEDVENAVGDGGEDDEKRGPETARTAATPLVAAGQRLRAVHRVRGVAVLNIGGRKRWVCSTGVHRPSLS >itb05g18220.t1 pep chromosome:ASM357664v1:5:25023059:25028546:-1 gene:itb05g18220 transcript:itb05g18220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFFFFFLKMIKPQPQRSSWAVFRLVILLSALVTVAKCEPCQETGSEVNCDAYRSYRGVGTNFPGVLGGDLGSELTQVSAPQLSLGTACPNANLFCFPSTLPGFLPKKEGSELTVDGLSLVKSDKAPMQSDGGSANITRMSDFGIFRLLGGRSISCSLNYHEGRNPLQCYRPGTSGKTSLSSCSRPLDDSSKNLKTKQVDDSIRLKFSGSSLPNIEVSPPLLEWEESFLYFPSLAFVMVMNTHKHSSLHVYEPYSTNSQFYPCNSSEILLAPGEAASVCFVFLPTSLGLSSAQLVLQTNFGGFLVRAKGFAVESPYGIQPVTLDVSSSGRWRKNISLFNPFDEALHVEEVISWTSVSMGNASNLMKTVCSINNAEDSSDVSLLDAKQWLEITNGGVGFPLVAMRPHGNWVVGPQKSETIMELDFSWDSEAKIFGALCVQLLRSSQNNIDTVIIPLEAELTKKPSHDDHQNIISLSLDTVMPCSYSGTVVLALIVHNDSPHVLSIVKISREGDNSKQFQIKYMKGLIIFPHTATQVALVSYLGFDSPSQSPEIDMSCKIIVSTNGSRNSQVEIPCKNIVSVCTREKLVYFSRHGQISEEGESVNIRASSLDNNDSQASVAAEADEAILRNWKSQATASDMSVLDEREVVFPVIEVGHFCSEWITVKNPSPQPVLMQLILNSAEIIDECRTPERHMRASSSNTFLHNISLAPTRYGFSIAENAVTEVLVHPFDRASLGPVWFHPGSQCRWQSSALIRNNLSGVEWVSLGGFGGSLLMVLVEKSEPIQSLDFKMNLPPALNSSFPGSNEMDGKPLGCSQLLSKEVYAKNIGDLPLKVKRIEISGTQCQLDGFVVNSCEGFSLAPGESKKIVVSYQTDFSVATIQRDLELALTTGILVIPMKATLPMYMLSICKKSFLARLRKSYLTMVLVAFVLFIVSTQLRKSLVSFAVDDSQDYIPKSGKSCTGNVYHTRKPLVLHNQIHSGNLKSVMLSRIIGLVRPYKEEGALLLEHSYADSKAATTPLVHEKDTTLPDTQKKSVPPSNSLAIGSSDTQETSRIGGDLRVKVGNEKGRRRRKHKKSSGVSGLFDVSSSHSGNSTPSSPLSPVSTSTPKRLWPQSPDSDQSVRVTSPFVHVSKCENIIQPEPIPHQKLLEATEASLKFSTDKPSLATLEKPAAIKKLANAPVMLPSATRSSTGPLASYVTFPSVSASSSPPCANARAPGSKLHARKAMKSEETRSDERFTYDIWGDHLFGLPLTGSSKNVLPMPTQAGIQNNSDSFFVRGPQILMNNSPQKVVSCPDHEG >itb11g14810.t1 pep chromosome:ASM357664v1:11:12111503:12112175:1 gene:itb11g14810 transcript:itb11g14810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGNPGDNRGGRSSSGSMLAVAGLCCCFYLLAVWQRSGFGKGDSIALEITKKAEDCDVLKNLEIETHTTDQAGEEEGESQAEVRRFESCGERYVDYTPCQDQALAMTFPPPGKGYVTPFPWPKSRDYVPFANAPHKSLSVEKAVQNWVQYEGNVLRFPGGGTQFPQGADAYIKQLASVIPIDNGIVRTALDTGCGASI >itb01g35900.t1 pep chromosome:ASM357664v1:1:37848340:37848666:-1 gene:itb01g35900 transcript:itb01g35900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSLVVVCMALVLIVGNMQASAEHFDVEDHRLRILGAPNLGRKVLGSNTIPSDEDEDEDEASENDVNENQGNYAQGSAPPDSSSDGNTHRVFPCENLNQCTGGRKN >itb04g05820.t2 pep chromosome:ASM357664v1:4:3764999:3773297:-1 gene:itb04g05820 transcript:itb04g05820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MGQRRAVDYTSTVVRYMQIRMWQRDSRDRTVLQPTPAAAVDMLPTVAYVDNPSTSFAAKFVHTSLNKNRCSINRVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSYNDNWMVTGDDGGAIKYWQTNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQVEITNAHDSGVCDLAWHPIGYILCSGSNDHATKFWCRNRPGDLARDKFSLNQQGTNDQNPALGYRPGNVGGPDAPTTPGAFGSGLIRNEGTIPGVGAAMPLLDSSSQGDQKNPLSLSMPMGAPPLPPGPHPSLRAANQQQGYQQNMQQVPQQQMNSMPLLPLQPPSHMPMMHNPHLPRPPPQLQPMNMQGVQSSMPGSMPVPSMPMPGPMGMQGNLNQMGPPMPQGHYMGMNAQPGGMQGMQGPPNTGGNQMFQPSGGFNRPQGGQMPLMPGMNPFQQSMPSNFGVQSGMPPPLPPGPPPHGQGQGGHQ >itb04g05820.t3 pep chromosome:ASM357664v1:4:3764999:3773863:-1 gene:itb04g05820 transcript:itb04g05820.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MGQRRAVDYTSTVVRYMQIRMWQRDSRDRTVLQPTPAAAVDMLPTVAYVDNPSTSFAAKFVHTSLNKNRCSINRVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSYNDNWMVTGDDGGAIKYWQTNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQVEITNAHDSGVCDLAWHPIGYILCSGSNDHATKFWCRNRPGDLARDKFSLNQQGTNDQNPALGYRPGNVGGPDAPTTPGAFGSGLIRNEGTIPGVGAAMPLLDSSSQGDQKNPLSLSMPMGAPPLPPGPHPSLRAANQQQGYQQNMQQVPQQQMNSMPLLPLQPPSHMPMMHNPHLPRPPPQLQPMNMQGVQSSMPGSMPVPSMPMPGPMGMQGNLNQMGPPMPQGHYMGMNAQPGGMQGMQGPPNTGGNQMFQPSGGFNRPQGGQMPLMPGMNPFQQSMPSNFGVQSGMPPPLPPGPPPHGQGQGGHQ >itb04g05820.t1 pep chromosome:ASM357664v1:4:3764999:3773863:-1 gene:itb04g05820 transcript:itb04g05820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMPAGDPSQHHHHHQQQQQQQQQQHHYHQQQQHHPPPPPNHQHQHQQPYPFGDFPRGPQPPPGPPQMMRQPSASSTTLNANAPPPPEYHHPSMPPGPPPPHHAYDGAAHADSYAAKRIRKMGQRRAVDYTSTVVRYMQIRMWQRDSRDRTVLQPTPAAAVDMLPTVAYVDNPSTSFAAKFVHTSLNKNRCSINRVVWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSYNDNWMVTGDDGGAIKYWQTNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLSGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQVEITNAHDSGVCDLAWHPIGYILCSGSNDHATKFWCRNRPGDLARDKFSLNQQGTNDQNPALGYRPGNVGGPDAPTTPGAFGSGLIRNEGTIPGVGAAMPLLDSSSQGDQKNPLSLSMPMGAPPLPPGPHPSLRAANQQQGYQQNMQQVPQQQMNSMPLLPLQPPSHMPMMHNPHLPRPPPQLQPMNMQGVQSSMPGSMPVPSMPMPGPMGMQGNLNQMGPPMPQGHYMGMNAQPGGMQGMQGPPNTGGNQMFQPSGGFNRPQGGQMPLMPGMNPFQQSMPSNFGVQSGMPPPLPPGPPPHGQGQGGHQ >itb06g19370.t2 pep chromosome:ASM357664v1:6:22729996:22735661:-1 gene:itb06g19370 transcript:itb06g19370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDSKGGNPGTRQTNLKKSFKLGVRSLLTACSKEEFCKAFSKFSPAEQERLHRLFIQVVSSLHENIEDEFESLCLETEAGTVLDTVEHLVEEQSLDPLSSEKLNLFEYKGQKKAENKEQETNIEETGKYLSETKKNEINYLMGMLEKAEEQKRLISSRLEFLKKEKQEFSGATDFVDKLRTGTLSYSTGNNP >itb06g19370.t4 pep chromosome:ASM357664v1:6:22729996:22735661:-1 gene:itb06g19370 transcript:itb06g19370.t4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDSKGGNPGTRQTNLKKSFKLGVRSLLTACSKEEFCKAFSKFSPAEQERLHRLFIQVVSSLHENIEDEFESLCLETEAGTVLDTVEHLVEEQSLDPLSSEKTNIEETGKYLSETKKNEINYLMGMLEKAEEQKRLISSRLEFLKKEKQEFSGATDFVDKLRTGTLSYSTGNNP >itb06g19370.t1 pep chromosome:ASM357664v1:6:22729996:22735661:-1 gene:itb06g19370 transcript:itb06g19370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDSKGGNPGTRQTNLKKSFKLGVRSLLTACSKEEFCKAFSKFSPAEQERLHRLFIQVVSSLHENIEDEFESLCLETEAGTVLDTVEHLVEEQSLDPLSSEKTNIEETGKYLSETKKNEINYLMGMLEKAEEQKRLISSRLEFLKKEKQEFSGATDFVDKLRTGTLSYSTGNNP >itb06g19370.t3 pep chromosome:ASM357664v1:6:22729996:22735581:-1 gene:itb06g19370 transcript:itb06g19370.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDSKGGNPGTRQTNLKKSFKLGVRSLLTACSKEEFCKAFSKFSPAEQERLHRLFIQVVSSLHENIEDEFESLCLETEAGTVLDTVEHLVEEQSLDPLSSEKTNIEETGKYLSETKKNEINYLMGMLEKAEEQKRLISSRLEFLKKEKQEFSGATDFVDKLRTGTLSYSTGNNP >itb10g04880.t1 pep chromosome:ASM357664v1:10:4842503:4844838:1 gene:itb10g04880 transcript:itb10g04880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGGGPYLHSTNNYVGRQIWEYDPNAGTPEEREAVEKVREEFRTNRQSGFHVCGDLLMRMQMIKESGIDVLSIPRIRLGEEEEVNYDAITTAVRKAVRLNCALQARDGHWPAEFTGPMFYTPSLLIALYISGAIDTILTSEHKKELVRYIYNHQNGDGGWGLYVDGHSTMIGSALSYVGLRLLGEEPDNGDGPIARGRKWILDHGGATGIPSWGKLYLSDPNHESFNLSMFQIATSSAFKSYAPDWVGRP >itb14g18110.t2 pep chromosome:ASM357664v1:14:21238525:21242687:-1 gene:itb14g18110 transcript:itb14g18110.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTGLKNTRVQKKLKVSAGKSKASNGSVVTTTSKRTSVTEGKKTGSRVRKPVDISFKGEITPGKRGRKEILKVEEDGHIKSLSSGGKRKRISAGRNTDEERALDANMGLQNRKSLSKLGRNKLKLETHTGKQRENEDYHVSGRSSRRDSSPRGRKSQEGEFLDEKKSRAKSKTVRKGNSIGDSMTNVNAKSRSEVRTNSNKNENEQKVESLKKSLKTKPKDKKGLADNIDITERPKKKKKGIRIDPHDISNKRLDDGTSMNDNNNNKQKKEDIEQKSNAEMSKNAQFRAIRPSPSILSFVEENLLGRRREIMLRRAGYNVELSAPLDNIPMSTSSERERIEEPVFRNKLTFFAAAKISSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFKLAQKLCLVDLPGYGFAYAKEDVKEAWEELVKEYVSTRIGLKRVCLLIDTKWGMKARDHELVELMERSQTKYQIILTKTDTVFPIDVARRAMQIEENLKASKSAVQPLVLTAQWNSLFHLKPLHIF >itb14g18110.t1 pep chromosome:ASM357664v1:14:21237820:21242687:-1 gene:itb14g18110 transcript:itb14g18110.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTGLKNTRVQKKLKVSAGKSKASNGSVVTTTSKRTSVTEGKKTGSRVRKPVDISFKGEITPGKRGRKEILKVEEDGHIKSLSSGGKRKRISAGRNTDEERALDANMGLQNRKSLSKLGRNKLKLETHTGKQRENEDYHVSGRSSRRDSSPRGRKSQEGEFLDEKKSRAKSKTVRKGNSIGDSMTNVNAKSRSEVRTNSNKNENEQKVESLKKSLKTKPKDKKGLADNIDITERPKKKKKGIRIDPHDISNKRLDDGTSMNDNNNNKQKKEDIEQKSNAEMSKNAQFRAIRPSPSILSFVEENLLGRRREIMLRRAGYNVELSAPLDNIPMSTSSERERIEEPVFRNKLTFFAAAKISSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFKLAQKLCLVDLPGYGFAYAKEDVKEAWEELVKEYVSTRIGLKRVCLLIDTKWGMKARDHELVELMERSQTKYQIILTKTDTVFPIDVARRAMQIEENLKASKSAVQPLMMVSSKSGAGIRSLRTVLAKIARFAKP >itb11g14340.t1 pep chromosome:ASM357664v1:11:11420876:11423525:1 gene:itb11g14340 transcript:itb11g14340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METARTVKDVSPHDFVKAYASHLKRSGKMELPEWTDIVKTGRLKELAPYDPDWYYIRAASMARKIYLRGGIGVGGFQRIYGGSKRNGSCPPHFCKSSGAVARHILQQLHGMNIIDFDPKGGRRITSNGQRDLDQVAGRIALAH >itb03g00330.t1 pep chromosome:ASM357664v1:3:162739:165766:1 gene:itb03g00330 transcript:itb03g00330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSADNRDGGTSTFPPNASSSSRSSDLSGLRSGSLNATSLAGVNSNSTAGLNHGNKLLNSAVAKENGNSAVFQYEALPNFRDVPTSEKQTLFIKKLKLCCVVFDFTDPTKNLKEKDIKRQTLMDLVDYVASVSGKFTETAMQEIVKMVSINLFRSLTPQPRENKILEAFDLEEEEPMMDPSWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFIIKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALEKNSRTHWNQAVQSLTLNVRKLFSDIDAELFEECLHKFQEDESREQEIKMKREATWKRLEEIAGIKAASNEPVLVSHRVAPRATSG >itb07g05570.t1 pep chromosome:ASM357664v1:7:3834476:3836723:1 gene:itb07g05570 transcript:itb07g05570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRPKNPHFIKPIHEGFKNGVNIPTAFLDKYLKGQGLKFAILRRGDRSWRVKIRGGRMLGDGWEKFAAENGLNVGEFLVFRQEGDTVAFDVSVFNPSQCERDCPLPPVPQPSNNFCSAARGTTSTSPADHPYFVSTIKLYCLKAQRLYLPMEFARSNGLVIEEKRPMILRDDKERSWPVVLGRVGDQFCLLEGWQAFRMANLLKEGDAYKFEMIKIGKRPIAKFHLLGTTSSAENPHFVATIKPYCLRISQLDLPLGFARSNGLVAEEKREMILRDDKERSWPVVLGTRGKHAALLQGWQAFQMAKGLKEGDAYKFELIKTGKTPIAKFHLLGTTSSSSAENPHFVATIKPYCLRKPQLYLPIEFAKSNGLVAKEKREMILRDDKERSWPVVLGRMGRHVALMRGWQAFQMAKGLKEGDAYKFELIKTGKKPIAKFHSGHFSGEED >itb06g02230.t1 pep chromosome:ASM357664v1:6:3856027:3860806:-1 gene:itb06g02230 transcript:itb06g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRDACGKIKGMAHLQGGYHMVALSQGNMVGRGVIETCDGPPVKSFISVGGPNAGHSSTFPCGSHLAPSGYIKIPTDMKGYLSGCRFLPKINNEVNDDQSAIRKKRFTSLTLLVLVLFQADTIIMPQISSHFGYYPDGDFSTPVPVQQTQVYIKDTFGFRTLDEAGKVKFFKVPGAHLIITVDEITRFIVPYMK >itb03g07480.t1 pep chromosome:ASM357664v1:3:5529204:5530455:-1 gene:itb03g07480 transcript:itb03g07480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGILVSAKPCRFLSNVERLMSSRAYVTFLAGNGDSVKGVVGLAKGLRKVKAAYPLVVAVLPDVPEEHRRVLLNQGCVVREIQPLYPPQNQSHFCMASYVINYSKLRIWEFVQHSKIIYLEGDTQVFVNIDSLFDLPDGYLYAVMDCFCEESWSHTPQYKIGYCQQCPDKVQWTQKLGLKPPLYFNTGMFVCEPNLSTSNSLFEALKSSPSTPFAEQDFLNMFFRDVYMPIPNAYNLVLPMLWRHPANVELGKAKVVTYCAAGSRPWKYTGEEENTDREDIKMLVQKWWDIYNDESLDYKNNTANFLSVNGENEATEFKMKLDFAQQYITAPPAA >itb12g02940.t2 pep chromosome:ASM357664v1:12:1918932:1922543:-1 gene:itb12g02940 transcript:itb12g02940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGSGGGGGGGGREGDWECSGCGNRNYAFRSFCNRCKQPRLLVDNKTPPDSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAISIPGATLPTHSNYFSGVQGALQQNTHIGFIGNGAIQQSLPLTSHWSLGAGDKFGSQPADHYGVQPASRWPLVGNNTSGVPYADQANQLLAVPKGWRHGDWICKCGFHNYSSRAQCKRCNASMPPASSSSFGSPAVTALGTKRLASEELHDLENKRLNAGHTFGLQPSYPGLQSIGSSGGSHVSGMYSTFGSGNPMVLPNLQANLQILPAAPTLLGKGAKQWRDGDWMCTNCNNHNYASRSHCNRCKTQRDTVTQPVSVA >itb12g02940.t1 pep chromosome:ASM357664v1:12:1919207:1922313:-1 gene:itb12g02940 transcript:itb12g02940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISIPGATLPTHSNYFSGVQGALQQNTHIGFIGNGAIQQSLPLTSHWSLGAGDKFGSQPADHYGVQPASRWPLVGNNTSGVPYADQANQLLAVPKGWRHGDWICKCGFHNYSSRAQCKRCNASMPPASSSSFGSPAVTALGTKRLASEELHDLENKRLNAGHTFGLQPSYPGLQSIGSSGGSHVSGMYSTFGSGNPMVLPNLQANLQILPAAPTLLGKGAKQWRDGDWMCTNCNNHNYASRSHCNRCKTQRDTVTQPVSVA >itb03g22670.t1 pep chromosome:ASM357664v1:3:20704148:20706737:-1 gene:itb03g22670 transcript:itb03g22670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQPSSLEGLHQILQSLARDIDGIIECIKKRRNIKESYSPHQSVSSSNHDENIIVGGSTRGRISKLDEEDMVGHAMELARMKEMLLQGSPPERQVVSIVGMGGIGKTTFAKIIYDDLSIRSHFDLHGWTTVSQDHNLRKILHHLCHSIVQMTNDEINKVDTLDLANKLRQCLMGQRYLLVMDDVWDTKVWDDVQRCLPDDFNGSRILLTTRLKEVANYAGLSKYVCTLSFLNLDESWKLFYSKVSNEETLPVELEEIGRRIIHKCQGLPLAIVIVAGLLSKTENWESVASAINLSATSTLHEQCSKILTLSYSNLPYRLKSCFLYFSVFPEDYEMPTKDLIKLWIAEGFIKVDSERNLEEVASDYLQDLIGRNLVLISELSLLGNIKACRIHDLVYDLCLRKAKDEKLLSVIHEEIESVQLDETQPKCYEDGNRWLRFQSRSSYLPNYNCENYTFHKSRALLFFGPYITPWNLYNSFKRIRVLDLTLILFIMVPNIGIEDLIFLRYLRLRSIRYVGAIKHHCNLQTLIVQDHNANGSQDDVGREWLHGVWKSQSLRYIKFPFQFPKPHIDEDVVQENLQTLYWLPDFQCTKQFVLGIPNVQVLAIRCSVEEESEFEIQTWWENLCYLAKLKKLKVCSYWFPTSPPPSIISTFPLQLKKLTLIRVLLQWEAIAAFSILPNLEVLKLIRAECMGEEWETIDGGFLKLKFLFISDAELKYWNSTSDHFPVLERLALHYCYYLHEIPAGFVDVLTLQLIDLKACTPSLVESARQIRDEQENLYGYDELIVRDYDTYLGTPPE >itb03g11650.t1 pep chromosome:ASM357664v1:3:10039227:10040946:-1 gene:itb03g11650 transcript:itb03g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWNFRVGEELGRGYNERSMPLADGAGDAAIIMAIRRPEDAVGGVFVTMTSKDQTWETLGSTPGMQADAVDPVPLMNENNPKPFRSRYSGSLNALWMHVMSNLIGIAAMRSDLTLPAAFDLFPALHSHSSSEPSTSTPPTISKKQKKNNKDGNSKLINTLLQTELTGLGKSISSALLTAMSKKLFASSINFVSTVTALIPSILVALSMLCVMPAASPKLTGGRRFFLAISAHSNVNERTCNVIIACLLDLRSLESTLHVIRALFREEPEFVPSLMNYNRLMDQFCDLSRPRDAHQVFLEMRNKGHCPNVVSYTTLING >itb15g11370.t1 pep chromosome:ASM357664v1:15:9179525:9183477:1 gene:itb15g11370 transcript:itb15g11370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSISITEPDLSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKILNHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILNGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPEALYKVKDREVREFVEKCLATVSLRLSARELLDDPFLQLEDCEHDLRPTRRELDYMDPLLRQPVLELDCEGTSFSNGFYNGYCNGYAYEEEEKGWACDPNEFDQNGIELFEYNDDEREDHSSNLDISIKGKRREDGSIFLRLRISDQEGRIRNIYFPFDVESDTALSVATEMVAELDITDQDVTKIADMIDGEISKLVPDWRPGPGLDVISHFANPTFCYNCVSNHTSTGSFMNFLSNNPSAAKNLHFLQCSSCATHGRFEEITFQPNSPRLNNASNHEDDPASSSQINGFHHMEYWDRHESLECSSVCSGESHSLEEIEKQYQEVSSVVAKEAGEIKTSKVPSSAEDFLKSSSSMIHTPSSDLSDEFEQEIQQSNR >itb15g11370.t2 pep chromosome:ASM357664v1:15:9179525:9183369:1 gene:itb15g11370 transcript:itb15g11370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSISITEPDLSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKILNHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILNGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPEALYKVKDREVREFVEKCLATVSLRLSARELLDDPFLQLEDCEHDLRPTRRELDYMDPLLRQPVLELDCEGTSFSNGFYNGYCNGYAYEEEEKGWACDPNEFDQNGIELFEYNDDEREDHSSNLDISIKGKRREDGSIFLRLRISDQEGRIRNIYFPFDVESDTALSVATEMVAELDITDQDVTKIADMIDGEISKLVPDWRPGPGLDVISHFANPTFCYNCVSNHTSTGSFMNFLSNNPSAAKNLHFLQCSSCATHGRFEEITFQPNSPRLNNASNHEDDPASSSQINGFHHMEYWDRHESLECSSVCSGESHSLEEIEKQYQEVSSVVAKEAGEIKTSKVPSSAEDFLKSSSSMIHTPSSDLSDEFEQEIQQSNR >itb13g18990.t2 pep chromosome:ASM357664v1:13:26016237:26019392:-1 gene:itb13g18990 transcript:itb13g18990.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDKCSHPQAQQAFVKLNKAFKDLQDPDKRKALDGKIKLKEEQEEMKADLQAMREAAQWRRLQGISMEGDDILLAEVGVKAAPKRDEWMTTLPPERKPGGMPMHSTTFSKSSKEGRGDTSAWTDTPSDRALKAKMNYLEAYNEATALAHKEQDTIRENADAELVDQYNKAKRSKSLVEKHQEKGRSKSKKKAKQEVEKEEWEGSHPWKPWDREKDLTAGRQSVKLDAEHMSKGLTSRFSSGSFQRNFL >itb13g18990.t1 pep chromosome:ASM357664v1:13:26016237:26019392:-1 gene:itb13g18990 transcript:itb13g18990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDKCSHPQAQQAFVKLNKAFKDLQDPDKRKALDGKIKLKEEQEEMKADLQAMREAAQWRRLQGISMEGDDILLAEVGVKAAPKRDEWMTTLPPERKPGGMPMHSTTFSKSSKEGRGDTSAWTDTPSDRALKAKMNYLEAYNEATALAHKEQDTIRENADAELVDQYNKAKRSKSLVEKHQEKGRSKSKKKAKQEVEKEEWEGSHPWKPWDREKDLTAGRQSVKLDAEHMSKGLTSRFSSGSFQRNFL >itb06g06210.t1 pep chromosome:ASM357664v1:6:8894937:8896511:1 gene:itb06g06210 transcript:itb06g06210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWGIEVKAGKPVSLCFERTRGRLRISQATLGIGDLTAKSLVQCNVGSKTPVFVCALLPHKTESCHLDLEFEGEDDVVFSVLGPTSVHLTGYYLSKSCHTYAYSDTESYGEDIANTDTEMSNQCSDDDDEYDDSFIDDDDEPEVFSSSPISSDEDGDVVRKYKRLRKKRQTIVSDTSQESGDEDKYILSESKNKVAPKSERGEGSGKVTDELTGRAGKEEKVDTMDTTNVEPEYGAESAKSAKPSKKRKNKSEDEVGMNGQENMSVDDKTEQLTKSDVMHNEVPIGIVPHADDLSENGPKLKKRKKRNEGERLNEFKDSSPSDDLKEVKQDAEGTDISKDLPARTGENKLPTNTQSININSGVADGFLSEKKHEKKKKKKTKVEADMSVNENFAIALDNDGPNVESSGVRSLSNGLTIEDLSMGEADGKVATPGRKVKVYYTGMLRENGQVFYSNIAKTPYKFRLGDHQIIDGWNIGLEGMRVGGKRRLTVPPSMGYGSQGGGESIPPNSWLVYEIELVGIRK >itb11g07010.t2 pep chromosome:ASM357664v1:11:4245999:4247933:1 gene:itb11g07010 transcript:itb11g07010.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQASYNKLLEINVISAHDLPPVSKTLRTYVVAWLDSAHKLTTKINHNGHVNPTWNYRLAFPFDDRFLDSDKSAVNFEIYNVAWLRDLPIGTATLALNSFFPPLTARKPAVRHAAIPIRRPSTGEIQGTLNVTVKLIHDSPPETESGSQLSVSTVNKRDDGETSGEDEDKIDGDQNPELEIKSAKSVKIVSEKDDGSPGDMKLRNCPSFSASYVSGMRPLPSEVAAGLKKGLYYFPREEDEYGSSIFENWSVPDETKSKSSKTVSWQGIPMAGKDHGSSAAWSSNTKRTKLSKNHRRGRSGGGLMSCFGNALGLRFRFICGSNSKEEKKKKKKEAGRQC >itb11g07010.t1 pep chromosome:ASM357664v1:11:4245859:4248027:1 gene:itb11g07010 transcript:itb11g07010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQASYNKLLEINVISAHDLPPVSKTLRTYVVAWLDSAHKLTTKINHNGHVNPTWNYRLAFPFDDRFLDSDKSAVNFEIYNVAWLRDLPIGTATLALNSFFPPLTARKPAVRHAAIPIRRPSTGEIQGTLNVTVKLIHDSPPETESGSQLSVSTVNKRDDGETSGEDEDKIDGDQNPELEIKSAKSVKIVSEKDDGSPGDMKLRNCPSFSASYVSGMRPLPSEVAAGLKKGLYYFPREEDEYGSSIFENWSVPDETKSKSSKTVSWQVDHKGIPMAGKDHGSSAAWSSNTKRTKLSKNHRRGRSGGGLMSCFGNALGLRFRFICGSNSKEEKKKKKKEAGRQC >itb04g23220.t1 pep chromosome:ASM357664v1:4:28367075:28379583:-1 gene:itb04g23220 transcript:itb04g23220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWHALLSVTLLLFLCASTRTTADVIQGCGGFVEASRALIKSRKPTDSKLDYSHISVELRTLDGLVKDRTQCAPNGYYFIPVYDKGSFIVKVKGPEGWSWDPEQVRVSVDHTGCNANEDVNFQFTGFTVSGRVVGAVGGESCTQKNEGPPNVKVELLSPTDDVVSSVLTTSSGTYSFTNVVPGKYKLLASRHDLNIQVRGSAEVELGFENGVVDDFFFVPGYDIRGSVVAQGNPILGVHIYLHSDDVSMVDCLEDLGNMPGDTSDGAALCHAVTDADGIFTFKSVPCGLYKLIPFYKGENTIFDVSPSSASVIVKHDHTTVPQKFQVTGFSVGGRVVDGNGEGVDGVKIIVDGQERSITDKEGYYKLDQVTSKRYNVEAKKEHYRFNRLNDFLVLPNMASIAEIKALSYDVCGNVQTVNSDYMAKVTLTHGPENMKPQVKKTDQSGNFCFEVPPGEYRLSAIAANPENAPDLLFFPPYVDVSVRNPLLGIVFNQVQVNIVGSVVCKEKCGSSVSLTLVRLDGKHKGERKIIYLTNESNKFLFSNVLPGKYRVEVKNNSPGTLSGEDRWCWDESFINVNVGTEDVKGLSFFQKGYWVNIISSHEVDAFLTQQDGSLVDLKIKKGSQRLCVESPGVHELNFRKSCISFGSSSLRIDTSDPSPISLKGEKYLLKGQIHVDPNSLGGSENLPQNIQVDILDTENSVVGGITASPVHSEFDQSATAVYEYSTWATPGDKFIFVPRDSRDDGGKKILFYPRQQHVSLTQDDCPPIISPFYGRIGLYIEGSVSPPLSDINIKIIAAGESGSAPLKHGDVVVETTTGADGLYVAGPLYDDINYSVEASKSGYHVKPLGPHSFSCQKLSQIFVRIYSKEDAREPFPSALLSLSGEDGYRNNSVTGVGGTFIFDNLFPGSFYLRPLLKEYAFSPPAQAIELGSGESREIVFHATRVAYSAMGVVALLSGQPKEGVSIEARADSEGFYEETVTDSSGNYRLRGLLPDTTYVIRVSRKVEYGSHHIERASPEYMTIKVGSEDHRGLDFVVFEEPEMTILSCHVEGQRVKELHSHIQVEVKSATDPLKIESVFPLPLSNFFQVKDLPKGKHLLQLRSAMLSGTHRFESEVIEVDLEKHSQIHVGPLRYKIEEDHQKQELTPVPVYPLIAGVSVIILFISMPRLKDLYQAIVVMLMSGSGSASLRKDAKKPSTRKKTY >itb04g23220.t2 pep chromosome:ASM357664v1:4:28367075:28379583:-1 gene:itb04g23220 transcript:itb04g23220.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWHALLSVTLLLFLCASTRTTADVIQGCGGFVEASRALIKSRKPTDSKLDYSHISVELRTLDGLVKDRTQCAPNGYYFIPVYDKGSFIVKVKGPEGWSWDPEQVRVSVDHTGCNANEDVNFQFTGFTVSGRVVGAVGGESCTQKNEGPPNVKVELLSPTDDVVSSVLTTSSGTYSFTNVVPGKYKLLASRHDLNIQVRGSAEVELGFENGVVDDFFFVPGYDIRGSVVAQGNPILGVHIYLHSDDVSMVDCLEDLGNMPGDTSDGAALCHAVTDADGIFTFKSVPCGLYKLIPFYKGENTIFDVSPSSASVIVKHDHTTVPQKFQVTGFSVGGRVVDGNGEGVDGVKIIVDGQERSITDKEGYYKLDQVTSKRYNVEAKKEHYRFNRLNDFLVLPNMASIAEIKALSYDVCGNVQTVNSDYMAKVTLTHGPENMKPQVKKTDQSGNFCFEVPPGEYRLSAIAANPENAPDLLFFPPYVDVSVRNPLLGIVFNQVQVNIVGSVVCKEKCGSSVSLTLVRLDGKHKGERKIIYLTNESNKFLFSNVLPGKYRVEVKNNSPGTLSGEDRWCWDESFINVNVGTEDVKGLSFFQKGYWVNIISSHEVDAFLTQQDGSLVDLKIKKGSQRLCVESPGVHELNFRKSCISFGSSSLRIDTSDPSPISLKGEKYLLKGQIHVDPNSLGGSENLPQNIQVDILDTENSVVGGITASPVHSEFDQSATAVYEYSTWATPGDKFIFVPRDSRDDGGKKILFYPRQQHVSLTQDDCPPIISPFYGRIGLYIEGSVSPPLSDINIKIIAAGESGSAPLKHGDVVVETTTGADGLYVAGPLYDDINYSVEASKSGYHVKPLGPHSFSCQKLSQIFVRIYSKEDAREPFPSALLSLSGEDGYRNNSVTGVGGTFIFDNLFPGSFYLRPLLKEYAFSPPAQAIELGSGESREIVFHATRVAYSAMGVVALLSGQPKEGVSIEARADSEGFYEETVTDSSGNYRLRGLLPDTTYVIRVSRKVEYGSHHIERASPEYMTIKVGSEDHRGLDFVVFEEPEMTILSCHVEGQRVKELHSHIQVEVKSATDPLKIESVFPLPLSNFFQVKDLPKGKHLLQLRSAMLSGTHRFESEVIEVDLEKHSQIHVGPLRYKIEEDHQKQELTPVPVYPLIAGVSVIILFISMPRIRIS >itb05g14690.t1 pep chromosome:ASM357664v1:5:21930249:21934666:1 gene:itb05g14690 transcript:itb05g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQGDPLSQVETTCGSLLYELQIIWDEVGESDSERDRMLLELEQECLEVYRRKVDQANKCRAQLRQAIADSEAELAAICSSMGERPVHIRQQCEQNLKGLKAELRAIIPEIEEMRKRKCERKKQFVETLEQIQKIKSEIHRSAGNHSSSAAMDESDLSLRNLEELHRELQALQKEKTERLKQVMDHLGTLNSLCLVLGIDFKHTVTEVHPSLGQSEGAKNISDDTIQALVVAIQRLREVKIQRMQQVQDLATSMLELWNLMDTPVEEQQMFQSVTCKIAASEAEITEPNMLSVEFIDYVAREVSRLEELKASKMKELVLKKRSELEEICRKTHILPDSDHAMNVVIEAIESGAVDAASVLEQIELQIAQVKEEAFSRKEILEKVEKWMTACEEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTKSWENERGIDFLYDGIRLLSMLEEYNILRQEKEQERKRQRDQKKLQGQLIAEHEAIYGSKPSPMKNQTKKGPRLSCGGGQSNRRMSVGGLQTPKPEIIHPAKATPNTTRQAKKTERVLQYDPPVDDGFSALSAGRRGLDIAGLPMMRRPFSPISSTDSSRSNATNVLDELNRKQQQQHSEMVQKTLSTPVKTIPIPNPNVEEENRTPMAMPIPIPSTPSTVTIPMQTAITPAPLSVVPYNAAKAMEETGEEIEYSFEERRAGFVVPREEGWIFCSKTLMLV >itb05g14690.t2 pep chromosome:ASM357664v1:5:21930249:21934666:1 gene:itb05g14690 transcript:itb05g14690.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQGDPLSQVETTCGSLLYELQIIWDEVGESDSERDRMLLELEQECLEVYRRKVDQANKCRAQLRQAIADSEAELAAICSSMGERPVHIRQQCEQNLKGLKAELRAIIPEIEEMRKRKCERKKQFVETLEQIQKIKSEIHRSAGNHSSSAAMDESDLSLRNLEELHRELQALQKEKTERLKQVMDHLGTLNSLCLVLGIDFKHTVTEVHPSLGQSEGAKNISDDTIQALVVAIQRLREVKIQRMQQVQDLATSMLELWNLMDTPVEEQQMFQSVTCKIAASEAEITEPNMLSVEFIDYVAREVSRLEELKASKMKELVLKKRSELEEICRKTHILPDSDHAMNVVIEAIESGAVDAASVLEQIELQIAQVKEEAFSRKEILEKVEKWMTACEEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTKSWENERGIDFLYDGIRLLSMLEEYNILRQEKEQERKRQRDQKKLQGQLIAEHEAIYGSKPSPMKNQTKKGPRLSCGGGQSNRRMSVGGLQTPKPEIIHPAKATPNTTRQAKKTERVLQYDPPVDDGFSALSAGRRGLDIAGLPMMRRPFSPISSTDSSRSNATNVLDELNRKQQQQHSEMVQKTLSTPVKTIPIPNPNVEEENRTPMAMPIPIPSTPSTVTIPMQTAITPAPLSVVPYNAAKAMEETGEEIEYSFEERRAGFVVPREEGWIFCSKTLMLV >itb02g20360.t1 pep chromosome:ASM357664v1:2:18223541:18224304:1 gene:itb02g20360 transcript:itb02g20360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSPKIPLSLHNWMSIYIWGQALRDNQIRSDQNRLIMILIKMKAFNFMTLAVLLLLLGETQFSFAICDAKQLNPCLSALTFNLHPPPQCCERLNQQKPCFCQYVKNPKLKDYLVNSAAAKKVYELCKVTMPKC >itb09g13590.t1 pep chromosome:ASM357664v1:9:8881802:8888721:-1 gene:itb09g13590 transcript:itb09g13590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHLGAPSTLRCCFNPFSGFHNNHINYLRNYNYAPLNYLPPSRPFFPLISPAPPPRLPPLAMAASTNIPTQSVSDAGDGVNVFQLIQVHQEKAARLPPIEEVRTVLGYSLRGVLSTFSQKHEGYPSGSMVDFACDAYGSPILATSSLAVHSKDLLANPKCSLLVAKDPEDRTDLVIIVHGDAVPVSETDKDAVRAAYLARHPDAFWVDFGDFQFLRIEPKVVRYLSGVATALLGSGEFSKEEFRAAKIDPIYQFSKPISSHMNKDHTEDTKLIVQHSTSVPVEFAYILDVDSLGFNVKDLLANPKCSLLVAKDPEDRTDLVIIVHGDAVPVSETDKDAVRAAYLARHPDAFWVDFGDFQFLRIEPKVVRYLSGVATALLGSGEFSKEEFRAAKIDPIYQFSKPISSHMNKDHTEDTKLIVQHSTSVPVEFAYILDVDSLGFNVKAGYQGSTFKLRVPFPRQAVDRKDVKMLIVEMLQAART >itb09g12290.t1 pep chromosome:ASM357664v1:9:7758770:7764212:1 gene:itb09g12290 transcript:itb09g12290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEYASDCGDESESEYEVTESMYEEEGAAGGADGPEGRTYQQWPQSFREATDIFSIAATPNMTSVIRGASIFSSFDFTSHGNLDATGKVPLLPDLEKVFQRRDSARPSRVPSRVQPSFSQRSTLHKQPTGELPISYGCTLVQTIFNGVNVMAGIGLLSTAFTVREAGWASIAVLVLFAVVCCYTATLMRRCFETKFGIWTFADMGEAAFGKFGRILVSILLYMELYSSCVEYVILEGDNLTRLFPGVKIDFPGFKLESEKIFATLAILVILPTLWLKDLRLISYLSAGGVLSTAIVVLCLLLLGTADNLGFQHTGQAVIWQGIPFAVGVHGFCYSGHSVFPNIYHSMADKTQFTKALIICFTLCVLMYGGAAVMGFMIFGDDTMSQITLNMPKDLAVSKVAVWTTVIIPIAKYPFL >itb10g09860.t1 pep chromosome:ASM357664v1:10:13384215:13385255:1 gene:itb10g09860 transcript:itb10g09860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGSEVSSPTPLPYLLPRRSWTHRREELAACSALTAGNRGRRCCRRGAAAAHARGRERSSEKELLRRAGGRQPARRRDTALQSSSICRSRPLSSRHLYRRSISAEAKNEDGGWRSCRRSAASQFRVKTRETRRKVRERERDGRSLSLDTDDTAWLPRRRRRSTLLRLQSAGRTRETPEKGGKGCLFGFWQRTFRKKMMNIRIR >itb08g14500.t1 pep chromosome:ASM357664v1:8:16236481:16237973:1 gene:itb08g14500 transcript:itb08g14500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWGTFAVNQGVQLELQLRQGKFPIILAEGLNVNAFQGLSLSTLYNTSIEVDPVGHVAKVLTDWKDKNSELIYKEIVDKTYLDSLLALADPIRQRKTCLSSVETGFEQVSKHFISTVCRILLKRDGFDYYVGCNYYNKIVHSTEGLQLHCMNCGQTDGITVKRYKVDIEIFDNVGSVRATMFNHEVHRLLLLTSSNIPTCENDGAMLQQTLDNLGLVFALKKNTIFSEQSTKYTVACLCNDVQLDLADSNDGLFRQSLMLGNPTKRRLDFSQLSGYSVDDSQASSPPNDKGKKPKIG >itb14g19470.t2 pep chromosome:ASM357664v1:14:22106865:22111742:1 gene:itb14g19470 transcript:itb14g19470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWNYPEISLEDLLKAIKGFIDMLILASGYQSSGRLAHWDSGNIKRALQWALFLEDVIGSLNSQDEYRDSLEELDVALCEMISMPYFPKVMSLIAKSFLVSESGIEHLSSKTLSNARNLMLEHLTHTLPLRDLHLRALVTATVEMDFHMLPKADSDCLDKHFEELMHCESKKPAFNGSRHLMEDSVNTSMMTSPSRKANDAACSFSAITARELGRRHMAVSSLSAAETCLEMLCRSVCHLNVSEPGKNLHDGVAHKGALMSDEVPIDPFTWNLLKSRNLSYLLDKRTIRLVSGADLILSAPEDQWVRVFGRLNISTEAEHNLCETVDRRVVRFLEGLLSSQLYTLWKLSPILAAFAIPSWSPLFRSYLRELECQLRGDTSLARFFTFIFLSHETLCFFTRRWLFLLHSFVCSSCDCAKDGKEHRECDIVDRLWCLYIYHIHSSA >itb14g19470.t1 pep chromosome:ASM357664v1:14:22106865:22111742:1 gene:itb14g19470 transcript:itb14g19470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWNYPEISLEDLLKAIKGFIDMLILASGYQSSGRLAHWDSGNIKRALQWALFLEDVIGSLNSQDEYRDSLEELDVALCEMISMPYFPKVMSLIAKSFLVSESGIEHLSSKTLSNARNLMLEHLTHTLPLRDLHLRALVTATVEMDFHMLPKADSDCLDKHFEELMHCESKKPAFNGSRHLMEDSVNTSMMTSPSRKANDAACSFSAITARELGRRHMAVSSLSAAETCLEMLCRSVCHLNVSEPGKNLHDGVAHKGALMSDEVPIDPFTWNLLKSRNLSYLLDKRTIRLVSGADLILSAPEDQWVRVFGRLNISTEAEHNLCETVDRRVVRFLEGLLSSQLYTLWKLSPILAAFAIPSWSPLFRSYLRELECQLRGDTSLASSCDCAKDGKEHRECDIVDRLWCLYIYHIHSSA >itb02g07550.t1 pep chromosome:ASM357664v1:2:4690636:4694717:-1 gene:itb02g07550 transcript:itb02g07550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.10 [Source:Projected from Arabidopsis thaliana (AT4G03400) UniProtKB/Swiss-Prot;Acc:Q9ZNS2] MGSQECDIIRWFDEVAENAGEVQRETLRRILEVNHGVEYLKKWVGDRDLNVEDGALLESLYTSLVPLSSHADLEPYVQRIADGDAAPLLTHHPITTLSLSSGTTEGRQKFLPFTRHCSQTTLQISKLAAAFRSRIYPTSEGKRILEFIYSGKQFETKGGVRAATVTTHIYASEEFKEKQREIKSFTCSPDEVIFSGDYKQSTYCHLLLGLHYGAEVEFVASTFAYSIIQAFLYFEEHWREICNDISDGTLSAKISLPKCRESVLKILTPNPDLAARIRRDCEELEREDWLGLIPKLWPNAKYIYSIMTGSMQPYLTKLRHYAGKLPLVSADYGATESWIGVNVDPSSPPEKVTFAVIPTFSYFEFIPLHRQKSQQSYNNNDNASHLPTDDFLEDDPVPLSQVKIGQEYEVVLTTLTGLYRYRLGDVVEVAGFYKNTPKLNFICRRKLILTVNIDKNTEKDLQLVVERGLQILSKTGAHLVDFTSHADVAKQPGHYVIYWEIKGEVEERVLGECCREMDASFVDQGYVVSRRTNSIGPLELRILERGTFRKILEHFIGNGAALSQFKTPRCITNQVLLRILNRCTIKRFHSTAYA >itb07g12870.t2 pep chromosome:ASM357664v1:7:14926483:14927926:1 gene:itb07g12870 transcript:itb07g12870.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGDRRTDNLSDDHRARERSSKQLKASPTPVDTVSVTQRLQKELMALMMTGGDLGVSAFPEGESIFTWIGTIEGGKGTMYEGQSYKLSLHFPLDYPFKPPQVKFETMCFHPNVDQYGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNTESPLNSSAAALWKNQEGQCSIFCYHN >itb07g12870.t1 pep chromosome:ASM357664v1:7:14926472:14928765:1 gene:itb07g12870 transcript:itb07g12870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGDRRTDNLSDDHRARERSSKQLKASPTPVDTVSVTQRLQKELMALMMTGGDLGVSAFPEGESIFTWIGTIEGGKGTMYEGQSYKLSLHFPLDYPFKPPQVKFETMCFHPNVDQYGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNTESPLNSSAAALWKNQEEYRKMVRKHYMAGEAFDS >itb06g22800.t1 pep chromosome:ASM357664v1:6:24980378:24989821:1 gene:itb06g22800 transcript:itb06g22800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGILQVTLSVPDGMVTGICYNGVNNLLEIANEETNRGYWDVVWSSGGSTGTTGTFERITGINYEVIMQTEEQIEVSFLTTWDVSQEGKHAPLNVDKRFVMLSGSSGFYSYAIYERLDGWPGFNLDETRIAFKLRKDKFHYMAMADNRQRFMPLPDDRLPGRGQPLAYPEAVLLITPSDEFRSGGPLKQNLTSHVGPTNLAVFLSAHYAGEDLVPKFEQGEPWKKVFGPVFIYLNSVVQGEDPFRLWEDAKTQSLVEIQSWPYTFPASEDFQSSSQRGSLSGRLLVLDRYINSDSVPAGGAYVGLAPPGDAGSWQRECKDYQFWTRTDEGGYFLITNIRTGDYNLYAWIPGYIGDYKNESKIAITTGCNIKMNDLVFEPPRNGPTLWEIGIPDRSAREFYIPDPNPNYINKLFVNHPDRFRQYGLWERYAELYPDDDLVYTVGQSDYTKDWFYAQVTRKKDDTTYQATTWQIKFKLESIPRDGSYTLRLALASAAQANLEVRLNDPRTKDALFSSGIIGKDNAIARHGIHGLYWVFNVELGSSVLVQGENTIYLTQSNASSPFQGLISCEGIGMATFELYRRSTIGMCLTETLDEMVSNGILSPELAIQVLVQFDKSMTEALESQVKSKVSIKGHLHTYRFCDNVWTFILQDAIFKSEEIQENVSRVKIVACDSKLLSQ >itb01g20670.t1 pep chromosome:ASM357664v1:1:26894815:26897420:1 gene:itb01g20670 transcript:itb01g20670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFYLYRNHLNGTIPWEIGNCSSATEIDFSENHLGGIIPKSLGRVSNLQLLHLFENLLHGSIPRELGELKHLRKLDLSINNLTGRIPLEFQNLEFLENIQLFDNHLEGTIPPLLGLKTNLSFLDMSRNNLIGSIPPKLCWFQKLSFLSLGSNKLSGNIPRGLKTCKSLEQMMLGDNLLTGTLSVELCKLQNLSALELYQNRFTGLLPSDIGNFSRLERFLLSNNYFFGHIPPEIRKLVRLVTFNVSSNRLSGGIPHELGDCIRLQRLDLSKNWFTGNLPDTLGLLVNLELLKLSDNRLNGEIPYTLGELVRLTDLQMGGNLFSGEIPIELGRLTALQISLNISHNALTGSIPANLGNLQMLISLYLNDNQLTGEIPGSIGELMSLNVCNLSNNNLIGAVPNTPVFRRMDSSNFAGNVGLCKLDSPNCFPSTTPLTVSNPSWMKKASYREKVILICSAVVGLVSLVFIAGVCWMMKSHTKALVLVENQAKTDVLEEYHFPRKRYSFQDLVMGTEDFSESAIIGRGACGVVYKAVMTDGEVIAVKKLKSRGEGGSLENSFTAELSILGNICHRNIVKLYGYCHHQDCNLLLYEYMENGSLGEILRGNKETRTLLNWNDRYKIGLGAAEGLCYLHHDCKPQIIHRDIKSNNILLDESLEPHVGDFGLAKLIDLPYSKSMSAVAGSYGYIAPEYAYTMKVTEKSDIYSFGVVLLELITGSSPVQHIEQGGDLVTWVRKSVHEGVSFSDIFDKRLDLSSGSTIEEMSLVLKIALFCTNISPLNRPTMREVVAMLIDAKEATNNSLPSPTSETPLDDAHSNEGIIACKSILPAIFSSSKISL >itb01g20670.t2 pep chromosome:ASM357664v1:1:26893951:26897420:1 gene:itb01g20670 transcript:itb01g20670.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRNFRAQKCLLFMFLISMVCSLTESLNEEGITLLEFKSSLLDPNNNLQSWNSSGFLNPCNWAGIACNGDHKVTSVHLHGLYLSGSLSSTICELPALIEFNISANFISGHIPDFGHCQNLEVLDLCTNRLHDKFPSKFSDMLSLRKLYLCENYIYGEIDSEIGNLTLLEELVIYSNNLTGRIPNSISKLKKLRIVRAGRNCFSGRVPAEISECESLQILGLAENRLEGSFPVELQRLENLTNLILRSNSLSGAIPAEIGNFSHLEVLALHDNSFTGPLPKELGKLAMLKRFYLYRNHLNGTIPWEIGNCSSATEIDFSENHLGGIIPKSLGRVSNLQLLHLFENLLHGSIPRELGELKHLRKLDLSINNLTGRIPLEFQNLEFLENIQLFDNHLEGTIPPLLGLKTNLSFLDMSRNNLIGSIPPKLCWFQKLSFLSLGSNKLSGNIPRGLKTCKSLEQMMLGDNLLTGTLSVELCKLQNLSALELYQNRFTGLLPSDIGNFSRLERFLLSNNYFFGHIPPEIRKLVRLVTFNVSSNRLSGGIPHELGDCIRLQRLDLSKNWFTGNLPDTLGLLVNLELLKLSDNRLNGEIPYTLGELVRLTDLQMGGNLFSGEIPIELGRLTALQISLNISHNALTGSIPANLGNLQMLISLYLNDNQLTGEIPGSIGELMSLNVCNLSNNNLIGAVPNTPVFRRMDSSNFAGNVGLCKLDSPNCFPSTTPLTVSNPSWMKKASYREKVILICSAVVGLVSLVFIAGVCWMMKSHTKALVLVENQAKTDVLEEYHFPRKRYSFQDLVMGTEDFSESAIIGRGACGVVYKAVMTDGEVIAVKKLKSRGEGGSLENSFTAELSILGNICHRNIVKLYGYCHHQDCNLLLYEYMENGSLGEILRGNKETRTLLNWNDRYKIGLGAAEGLCYLHHDCKPQIIHRDIKSNNILLDESLEPHVGDFGLAKLIDLPYSKSMSAVAGSYGYIAPEYAYTMKVTEKSDIYSFGVVLLELITGSSPVQHIEQGGDLVTWVRKSVHEGVSFSDIFDKRLDLSSGSTIEEMSLVLKIALFCTNISPLNRPTMREVVAMLIDAKEATNNSLPSPTSETPLDDAHSNEGIIACKSILPAIFSSSKISL >itb11g02660.t1 pep chromosome:ASM357664v1:11:1355832:1361663:1 gene:itb11g02660 transcript:itb11g02660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNPHFFTGFEHIRDAYGFTVRPHHFQRYREYAGIYKEEEAERSDRWKSFLNNEEVSDQQQHRSQEEDRTGDSEVKNQESVCAADVVGEADDSEVKNQESVCAADVVGEADDSDREKPLSDIQTETNLEKEVPPSTQSKPREVKTWTDIKSSLCAIETMMASRVKKKNYLKGDQLTSSHDHLPIEESKPSKGESEDEDENDNVILDDSTRTAAEGCTADDGISVESSVPWKDELELLVQGGVPRDLRGEVWQAFVGVKARRVESYYQDLLVSESENDTPLLDNDSKGCNKEKADVPEKCRKQIEKDLSRTFPGHPALDENGRNSLRRLLLAYARHNPSVGYCQAMNFFAGILLLMMPEENAFWALVGIMDDYFDGCFSQEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVTWLSAPWFLSIFVNMLPWESVLRIWDVLLFEGNRVMLFRTALALMELYGPAIVTANDAGDAITLLQSLAGSTFDSSQLVLTACMGFLNVTEDKLQELREKHRPSVILSIEERAKAGRVLKDQKSLATRLYSFKHDPQSRKKQSEVEEGPSDKNADTDKSHSRTSSTNLEDLLNGMTSDSEVDSVPDLQEQVGWLKVELCNLLEEKRAAVIRAEELETALMEMVKEDNRRELSARVS >itb06g23370.t1 pep chromosome:ASM357664v1:6:25357435:25361792:1 gene:itb06g23370 transcript:itb06g23370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNTEALVSLVVWLILVVHPFSLILANLEGDALHSLRANLEDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQIGLLKNLQYLELYSNNITGPIPNDIGNLTNLVSLDLYLNSFTGPIPDSLGKLSKLRFLRLNNNSLTGSIPLPLTNITTLQVLDLSNNRLSGVVPDNGSFSLFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPQPTIAAPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPPEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERQPSELPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEGFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLENNYVDNEVEQLIQVALLCTQSNPMDRPKMSEVVRMLEGDGLAEKWDEWQKVEVLRQEVDLAAPHSTSDWIVDSTENLHAVELSGPR >itb15g21270.t1 pep chromosome:ASM357664v1:15:23943751:23946519:-1 gene:itb15g21270 transcript:itb15g21270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVIDQTVREVKREVNLKVLKVPEIEQKVLDVTDDEPWGPHGTAMAEIAQATKKLLKETDKNWRYVYKALVVIEYLVAHGSERSVDEIVEHTYQISQEPGWCFCWWIKDDKQELL >itb01g04820.t1 pep chromosome:ASM357664v1:1:3289575:3294210:-1 gene:itb01g04820 transcript:itb01g04820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLRYSAVRFPKAHPCRPFDQPPRRRCFTVRLSSRLPFSEQKAKYYKELEAAVDVVERACRLCVDVKKSLLSSDGDGQILEKNDQTPVTVADFGVQALVSLEMGRLFPSIPLVAEEDSASLRVNNLVDPVVDVVTTKATFGDKLTEDDVLRAIDRGGKDAYTFGPSPATYWVLDPIDGTRGFVKGGDALYVVGLALVVEGQIVLGVMGCPNWKEYNSDNSSAGYNEKTPMTSGILMVSHLGCGTWRKRLWNTQSGELSNNWTRCSVDECQLVKDASFCIPESQTWELIPLSALFNAKNQAEKVGDRDILLLSACCGSLCKYLMVASGRASVFIIHVKTGKLIRAWDHAVGVICIHEAGGKVSDWRGSDIDFAEDEVERRLIFPSGGILVTNQSLHKDILGMISSSSSVVY >itb01g04820.t2 pep chromosome:ASM357664v1:1:3289575:3294210:-1 gene:itb01g04820 transcript:itb01g04820.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLRYSAVRFPKAHPCRPFDQPPRRRCFTVRLSSRLPFSEQKAKYYKELEAAVDVVERACRLCVDVKKSLLSSDGDGQILEKNDQTPVTVADFGVQALVSLEMGRLFPSIPLVAEEDSASLRVNNLVDPVVDVVTTKATFGDKLTEDDVLRAIDRGGKDAYTFGPSPATYWVLDPIDGTRGFVKGGDALYVVGLALVVEGQIVLGVMGCPNWKEYNSDNSSAGYNEKTPMTSGILMVSHLGCGTWRKSLCKYLMVASGRASVFIIHVKTGKLIRAWDHAVGVICIHEAGGKVSDWRGSDIDFAEDEVERRLIFPSGGILVTNQSLHKDILGMISSSSSVVY >itb14g09790.t1 pep chromosome:ASM357664v1:14:10613972:10615266:-1 gene:itb14g09790 transcript:itb14g09790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRICWDWWNWQSSNRFLKFLADRLPMIIIWELWVDYTQCRYGGGQASARRIIFKVTRDMVECIRRKWPSWDGLPPNWEFLMKRAHGFGNGRLTIKSCWNKPPKGWVKINWAVSRNGKGCAYFIRNAKGQFCLAGVRSEEEGAPVLEMIQCCWAWCRRKRIGKVILETDEQINAVWEGENGLIVRNERCNGSVNCIAKTLVEKGKGWNVSFTKIDGLPKDTGGGNRMDKALSSQRLSSLESEGLGQGILLQVLCPENPIPKNQKK >itb14g09790.t2 pep chromosome:ASM357664v1:14:10614532:10615266:-1 gene:itb14g09790 transcript:itb14g09790.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRICWDWWNWQSSNRFLKFLADRLPMIIIWELWVDYTQCRYGGGQASARRIIFKVTRDMVECIRRKWPSWDGLPPNWEFLMKRAHGFGNGRLTIKSCWNKPPKGWVKINWAVSRNGKGCAYFIRNAKGQFCLAGVRSEEEGAPVLEMIQCCWAWCRRKRIGKVILETDEQINAVWEGENGLIVRNERCNGSVNCIAKTLVEKGKGWNVSFTKIDGLPKGFLQLLSLEGIPHCSFSPGADFI >itb08g05270.t1 pep chromosome:ASM357664v1:8:4313660:4333327:1 gene:itb08g05270 transcript:itb08g05270.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYARSVSEVLEYFGVEQSKGLTDAQVVQNARLYGRNGTPFWKLVLKQFDDLLVKILIVAAFISFFLALANGETGLSAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGSFSILPATDLVPGDIVEVSVGCKVPADLRMIEILSDQLRVDQAILTGESCSVEKELDAATTTNAVYQDKTNILFSGTIVVAGRARAVVVGVGSNTAMGSIRDSMLKTEDEATPLKKKLDEFGTFLAKVIAGICVLVWVVNIGHFGDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVLHSVSHGPDAVEYCVSGTTYAPEGFIFGSTGVQLEIPAQSPCLLHIAMCSALCNESVLRYNPDKRTYEKIGESTEVALRVLAEKIGLPGFDSMPSALDMLSKHERASYCNRYWESQFKKVSSLDFSRDRKMMSVLCSRKQMDIMFSKGAPESILSRCTSILCNDDGSTIPLTANMKAQLESRFDSFAGKETLRCLALALKRMPIGQQSLSFDDEKDLTFVGLVGMLDPPREEVRNAILSCITAGIRVIVVTGDNKATAESLCQKIGAFDHLGDFDGLSYTATEFEELPASQKVVALQRMTLLSRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGVAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTRQFIRYMISSNIGEVVCIFVAAMLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKTKPRKVNEAVVTGWLFFRYLVVGAYVGLATVAGFIWWFIYYDNGPKLPYSELMNFDSCSTRETTYPCSIFSDRHPSTIAMTVLVVVEMFNALNNLSENQSLLVIPPWSNIWLVGSIILTMLLHVLILYVQPLSVLFSVTPLSWAEWTVVLYLSFPVIIIDEILKFFSRNSGMRFKFRFRRPDMLPKREVRDK >itb05g15720.t1 pep chromosome:ASM357664v1:5:23096536:23100537:-1 gene:itb05g15720 transcript:itb05g15720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIRPSKFRPTVFRSKSHLLPRHTGTMKVRKLFGISLSILIINLAAIMERADENLLPAVYKEVSEAFTAGPSDLGYLTFIRNFVQGIASPVAGILVLNYDRPTVLAIGIVCWALSTAAVGASTFFLQVAMWRAVNGFGLAIVIPALQSFIADSYMDEVRGAGFGFLNLIGTVGGIGGGAIATVMAGYNYWGIPGWRCAFIMMATLSCLIGFLVFMFVVDPRKVVRIDYDNPKSSERDELIPKGNASSVPIWVESWTAMKVVMKVQTFQFIVLQGLVGSIPWTAIVFFTLWFELIGFDHNGAAALVGLFAAGCALGSFLGGVVADRISRAYPYSGRIMCAQFSAFMGIPFSWFLLRIIPQSVSSYYTFATTLFLMGLTISWNATATNGPMFAEVVPSKHRTMIYAFDRAFEVSFSSFAAPTVGILAEKIYGYDAKSVNLNGSPREALALSRGLFAMMAVPFALCCLFYTPLYWTYRQDRENARVASAKETEMI >itb05g27770.t1 pep chromosome:ASM357664v1:5:30983084:30985018:1 gene:itb05g27770 transcript:itb05g27770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRMGFARASPLASILRLTFFQPNQWRQESVFPPLFLRLNPRRRISCSVVSCSQTPLYLRPAAFRATVSELKKWHLWAETLASSVGSTFLDLDNGPDSTLLLRELNWLIEDAIENPKSLSSNTDNYGDATPVSLRACLDDLYLMWKQRVEERRPFQYVVGCEHWRDLVLGVQEGVLIPRPETELIVDLVDDVLKEDEHLREGLWTDLGTGSGALAIGIARVLGPSGMVVAIDLSPVAVAVASANVQRYNLQDRVKVKEGSWFEPLKDEGRELAGLVSNPPYIPSEHISGLQAEVAKHEPKLALDGGSNGLDDLLHLCKGAASVLKPGGFFAFETNGEEQSKFLAGYMETESKGVFSNVKIISDFGGIQRFVTGFKAR >itb12g05210.t1 pep chromosome:ASM357664v1:12:3465935:3473867:1 gene:itb12g05210 transcript:itb12g05210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPDNTPSSSESDPSNDLQIVAMQDACPVSSEISVVIDCLKRKVSLERDLYIKKRIQENTQKLVDVTKELYELSTERINLKSHGVDGSIDLLSKRQKDAIDMQNGIDTCNGDDDSSSSKEDGYASAILLGSSIAVKNAVRPIKIPEEKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDENGGETLICSDSDEEVIDDDEEKKDFVESEDYILRMTIKEVGLSDMVLDLLAQCFSRKPCEVKARYEDLIKEENAVSKNDNVEGTINLYLDKDLDGAMDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKPPPLFGADAEKEPCGPNCYRGVIKPEGNSKMTPLHLCSHGDKPVPSSDAATVQLPVRKHVEKRSKSSPSESASSTAKNTSESSDSETRPISDVTSVPRSASPCKGKESKGGNNKRNSKRIAEKVILAIRKRQKKSTASESDAVASGSSKSLSHRSLKDNENISSSSQKEQSNSSRKSRRKVSPLMDANKSSHCEALFSPQNDVVSDRPVTNNEIQMADEFVNEIILKKEVNEDISWRPIEKALFEKGLEMFGRSSCLIARNLMNGQKTCLEVFQYMNHSENKLSTGIGDGVDCMLEGGSKGDEIVGSEARRRSRFLRRRGRVRRLKYTWKSAGYHAIRKRISEKKDEPCRQYNPCGCQSPCGKECPCIVNGTCCEKYCGCPKTCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWIGCGDGSLGIPLQRGDNYECRNMKLLLKQQQRILLGRSDVSGWGAFIKNSVSKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNNQFVLDAFRKGDKLKFANHSPNPNCYAKVLMVAGDHRVGIFANERISAGEELFYDYRYDPDRAPAWAKKPEASSSKKDDAAPSSGRARKHT >itb02g13190.t1 pep chromosome:ASM357664v1:2:9220833:9224105:-1 gene:itb02g13190 transcript:itb02g13190.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSSPCFVIFPFMAHGHTIPLLYLVRLLRRRGISVAVFTTPANAPSIRSFLKDDDIKSTSIIELPFPNKPIDGVPPGVENTHDLPSISSFFEFVNVTTLMRPDFENALEGLHPVGCIISDAFLGWTQETAAKLGVPRYFFFGMSGFATTVHRVLERDRPHAHTSSLDEPFGFPDFPGLELTRNHFEPPFNELQPSGPQVDFILQLGVTMVKSQGLIVNSFYELETRYLEYWNNNIGPKAWCVGPLCLAAKPPPTSENQPWIQWLDHNSPVLYVAFGTQAEISAEQLKEIAEGLERSGVKFLWVIRPKMMKHLEEGFEERVKDRGLMVKVWANQNEILMHKSVTGFLSHCGWNSVMESVCAKVPILALPFMAEQHLNARFVAAEECGVGLRVMPRGGSVRGFVEAEEVEKMVRELMDGSRGAEVRKRVEEVGEAAAEAMRHGGSSWVGLGGLIEDASGGKEALPIVAITQ >itb12g00530.t1 pep chromosome:ASM357664v1:12:411949:413661:-1 gene:itb12g00530 transcript:itb12g00530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTAWIWTAFAVIAAISVFKALFLKKGKRFPPGPRGLPILGHFHLVGKNPHQDLHKLAKTYGPIMHLRFGLVHNIVASTPEAAKQFLKTHDLNFATRPPSEAAKYISNGQKGLSFGQYGPYWRNVRKLCTLEFLSNLKINSYQSMRREELCLLVESIKQAAQNGESVDLSSKASSLSANMSCRMVFGKKYEDKDLGEKGFKAAIQEAVTITAIPNLGDYFPLLGKLDVQGLTKRMKAVGKLFDEFLEKIINDHEEATSKGKVQTTKDFVDTILEIMKSGQSSVQFTREHVKSVMLDILIASIDTSSSVIEWTMSELFRHPEIMEKVKEEIERHVGLDRMVEESDLEHFKYLEIVIKESLRMHPVVPLLLPHAAIEDCEVDGFHIPKGATVTVNVWAIGRDPNVWSDPEKFFPERFNKSSVEYRGQDFELLPFGSGRRSCPGMQLGITVVRLVVAQLLHCFDWKLSNGMLPKDLDMTEESGLSLSRAEHLRAIPTYRLHI >itb12g14520.t1 pep chromosome:ASM357664v1:12:14234046:14236423:1 gene:itb12g14520 transcript:itb12g14520.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPVQPIVAVVISTLIAARAYRRKSLDLSGAVAGFLVMSIHFAVSYRFGAMLLLFFFTSSKLTRFGEDKKRKLDAEFKEGGQRNWIQVLFNSGIATVLALISWKLIGSQDKCLDSNDSYLVTSLIGGIIGHYCCCNGDTWSSELGILSDDQPRLITTFKPVKRGTNGGVTRAGLLAAAAAGSVIGVTFVLLGFLTTKCTYSVALKQLLVIPLSALAGVFGSLVDSLLGATLQFSGFCTIRNKVVSKPGPTVKKISGLSVLDNNAVNLVSILITSAVFSVASLFIF >itb01g05090.t1 pep chromosome:ASM357664v1:1:3464998:3465450:1 gene:itb01g05090 transcript:itb01g05090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAIIILAFLLIPAIFALPDHATRKHGKHHHHHRREHPRSGKTSEKDSGGGGSGGFGGFFGPGNEFGMPDFGAGYGAGFGGPNGGGYAKGGTVRPTVVCKEKGPCYGKTLRCPEKCFKEYSRAGKGYGYGGGGGGCTMDCTKKCLAYC >itb10g17150.t1 pep chromosome:ASM357664v1:10:23390578:23391921:1 gene:itb10g17150 transcript:itb10g17150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFHSTIGMPFPYGFPTTTVMNMCGTTNPMSTPWMDSRIWSRLPQRLIDRIIAFLPPPAFFRARSVCKRWYSLLFSTTFLELYLQVSPHCHWFIFFKHKSLKSYIYRSNNSTSSSGYDNRAVYEGYLFDPHTLTWYRLSFPLIPPGFSPATSSGGLIGWVSEEAGSKNILLSNPLVGSLIPIPPTLRPRLYPSIGLNITDSSIDLAVAGDDLISPYAVKNLTTESFHIDANGFYSIWGTTSSLPRLCSFESGEMVYSEGRFYCMNYSPFSVLSYDISSNTWCKIQAPMRRFLRSPNLVESGGRLIMVAAVEKSNLNVPRSLRLWGLQDCGTTWAEIERMPQQLYAQFAEIENAQGFNCVGHGEFVVIMIKNSDKALLFDFGRKRWVWVPPCPFVHQDSTFGGGGYGDQGGAGCGNELHGFAYEPRLAAPVTALLDQFTLPFQSFTG >itb06g17810.t1 pep chromosome:ASM357664v1:6:21542029:21559819:1 gene:itb06g17810 transcript:itb06g17810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWEGVDETRVLIVPDPPATGNGMGKLLYLRHPKSGDKACYLFVDGTLQEVHWFKHSYGSWFLGDYVCEDGRLYTATPVDPVFVLLPVFEEARMKKKDDPGMFRQLDEIIYVSGYPGYQYLSSIAEKSMQVVCDFKEMGSTKFFRLNDEKVIRWLCFKVEELRKTLVTLDKNFAARDKKDTLTDTVSIVGEYLKEDPWLKLLCSKLRIDLRKETKALDLECFPSPEVNLYDQLCSVLYAELLLPPTITDPFLLPDPNRSSYCDRAGWIVMGLFDGLPIPAEKDYLRDDLYKINESWTAARFDSLPHVVHILTSKDREGEVQILKEQSEIVEDIVDEVVHAYHGGFNKAIQNYSQILRLFTESTQSIGGLKTDLTDSKKLLGARNKQLHQLWYRSVTLRHIIALLDQIEGIAKIPTQIEKFIADKQFYAAVQFHVQSAGMLDREGLQTVGALQDVKTELSKLRGVLFYKILEDLHAHLYHKGEHSPALFNINEREDKIPTTTAIALSRKTNSSLNGHGEDGVLEHHKTASDSNGGDGALKDAKDAHQVPTWLSDATPDEFIEAMTKSDAPLHVKYLQTMVECLCMLGKVAACGAIICQRFRPTIHEIITTKIKSIAENVNSSRHDIGPCAQTAITGLHLLKGKFESYPSLEQKRQNGISMAGVVLAVSPVSSVMAPTGKAQAAAKELLDSILDAVLCIFENHIIVGELLESKSLQQVELNTPRSMPADINWNADSDMSRETGGYSISFCLNVLQSECQQLICEILRATPEAADAAVQTARLVSKTPSKDKRDGSEDGFTFTFRFTDATVSVPNGVDLISQGWSSRASNVVKEGYGSTAVLLEQGLYLAASLYRPVVEFTDKIASMLPQKFSHLGKDGLLTFVENFVKDRFLPALFVDYRKAVQQAIASPAAFRPRAQATSYISSIEKGRPVLQGLLAICFLAEEVLGWAEALPKFAAGVVTYVQSFLERTYERCRTSYMEAVLEKQSYMVIGRYDIENLMRLHPASAYLPSSVRYPNSENYASDGRDYEKEISDLLLNLRPIKRENLIRDDNKLVLLASLSDSLEYFADSIERLAKIHCRAYAAQVEESGRQKTHQHRRSTSSVPKDLISFAEEYRKLAVDCLKVLRIEMQLETIFHMQEMTIREYLDDHDTEEPDDYVISLTSMITRRDEGMLPFIAGPKRNYIFGSICIVAANSFIKALNDIKSINLFGVQQICRNSIALEQALAAIPSIDSEVVKSSFERVRTYYELLNMPFEALIVFVIENEPIFTNAEYYTLLKVQVPGREIPVDAEQRLAEILPR >itb09g00430.t2 pep chromosome:ASM357664v1:9:349703:351515:-1 gene:itb09g00430 transcript:itb09g00430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGQAPHHVGKDYVDPPPAPLLDLSELKLWSFYRAVIAEFIATLLFLYVTVATVIGHKEQQTAGGPCGGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGGICGVGLVKAFMKDSYNRQGGGANSVAHGYTRGSALGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNNGKVWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >itb09g00430.t1 pep chromosome:ASM357664v1:9:349703:351515:-1 gene:itb09g00430 transcript:itb09g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGQAPHHVGKDYVDPPPAPLLDLSELKLWSFYRAVIAEFIATLLFLYVTVATVIGHKEQQTAGGPCGGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGGICGVGLVKAFMKDSYNRQGGGANSVAHGYTRGSALGAEIIGTFVLVYTVFSATDPKRNARDSHIPFGGVGFGSSPHRVCGVHGSLGYHPHHRHGHQPRQELWRRRHLQQWQSVG >itb09g27290.t1 pep chromosome:ASM357664v1:9:27643534:27644258:1 gene:itb09g27290 transcript:itb09g27290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEKSDRPENLTPHEATSLLGSSKKISFKLCCGELSVEFDLDCKYYLVLLDDELRQENSQTCELADDYLINASEDEQSYLQSIDVLLTEHKIEEAILERLCDG >itb02g10080.t1 pep chromosome:ASM357664v1:2:6489718:6492092:1 gene:itb02g10080 transcript:itb02g10080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHETSNIKTVVATVASLAATAMLVRSVARDLLPKEFTHYFSHRIRRIKRRFSTEFTIVIDEFRGNFINQVFHAAGIYLGSLELDNSVTKRVRLGKTQNDKSLVMTMDNNEEILDSYENIDLKWRFLCIKQDSNSNSNSNSSPRPEMRMYELRFHKKHMTTVVKSYLPYILERSNAIKAKLKETRFHSNLRGLGFHPHMRGWNSGSIIQHPMTFNTLAMDERVKSGVIEDLDDFVKGKEYYRRMGRAWKRGYLLYGPPGTGKSSLIAAMSNYLNYDVYDLDLSEVNSNSDLRPLLFGMGSRSILVIEDIDCSIKLENRNTGEDKENRHNRVTLSGLLNFLDGIWSCCGEERIIVVTTNHIDRLDPALLRPGRMDMHIHLSYCKFSAFKQLAVNYLGIYDHQLFPQIEGLLEEVEVTPAEVAGELIKTKDTCLSLQGLIQFLRNKTRKGIKLNSREIFPSKTD >itb02g10080.t2 pep chromosome:ASM357664v1:2:6489718:6490955:1 gene:itb02g10080 transcript:itb02g10080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHETSNIKTVVATVASLAATAMLVRSVARDLLPKEFTHYFSHRIRRIKRRFSTEFTIVIDEFRGNFINQVFHAAGIYLGSLELDNSVTKRVRLGKTQNDKSLVMTMDNNEEILDSYENIDLKWRFLCIKQDSNSNSNSNSSPRPEMRMYELRFHKKHMTTVVKSYLPYILERSNAIKAKLKETRFHSNLRGLGFHPHMRGWNSGSIIQHPMTFNTLAMDERVKSGVIEDLDDFVKGKEYYRRMGRAWKRGYLLYGPPGTGKSSLIAAMSNYLNYDVYDLDLSEVNSNSDLRPLLFGMGSRSILVIEDIDCSIKLENRNTGEDKENRHNRVSSYYILYKNYSFW >itb11g10730.t1 pep chromosome:ASM357664v1:11:7667663:7670992:1 gene:itb11g10730 transcript:itb11g10730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLSIQLLRSTPTLSRFAAASSSPSTAVLRPSVAPLSRYFNAVAENVSKKRVEDVMPIATGHEREELQAELEGRQILDIDFPEGPFGTKEAPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFALDVVGPGGPPDGHGDHEDDHHH >itb04g00680.t2 pep chromosome:ASM357664v1:4:374646:376453:-1 gene:itb04g00680 transcript:itb04g00680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQTEPESPATMHNETEQNKSFIKALQELKNLRLQLYSAAEYCEKSYQCCKQQQMVIDNLKDYAVRALVNAVDHLGTVSYKLTDILEQQSLDVSTMELKVSCLDQRVLTCQTYTDHEGLRQQQLLATIPRLHKHYTLPAPGICPVNKNVQTSSLIQRSPRPHIQTRQHLFVSGAPAAKTLSWHLSSGAKPKLKKASVSDETGKSSGRTSDADKSIKAKSPARPLALRAGPASSVAMRTLGITRRVMLKPYKS >itb04g00680.t1 pep chromosome:ASM357664v1:4:374499:376453:-1 gene:itb04g00680 transcript:itb04g00680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQTEPESPATMHNETEQNKSFIKALQELKNLRLQLYSAAEYCEKSYQCCKQQQMVIDNLKDYAVRALVNAVDHLGTVSYKLTDILEQQSLDVSTMELKVSCLDQRVLTCQTYTDHEGLRQQQLLATIPRLHKHYTLPAPGICPVNKNVQTSSLIQRSPRPHIQTRQHLFVSGAPAAKTLSWHLSSGAKPKLKKASVSDETGKSSGRTSDADKSIKAKSPARPLALRAGPASSVAMRTLGITRRDALGGYKPMTPCRIPGITQRKTTRAPIRSKSAISAFFVKQKTRKLKTRVKEDNPRNPRMQQEK >itb03g01670.t1 pep chromosome:ASM357664v1:3:939143:943872:1 gene:itb03g01670 transcript:itb03g01670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIQCKTYLPAFYSVKDLNGHVNNRVPLLNHEEQTLRSVQHFDFFASCVPVDGYFEYDKEKVRQTILKHESIFRHQLQELHRLHRRQMELMSELKTRELQKNSMKAETLHFGHFLSHAPPSDVKRAWSVSYFPSPSSGSALVSTSGTSYSQSPFEFIKGENRASRFSSQDKEIPRAGNEDRYNSNTTTLEFSKRTNRLADLNEPIFLEEAPILASNANPYRINSLADSIESMDKLSRNHIIRRNGGNGFNHMQSVSGRNGQEHLIIDINADAHSDSSHTPFPSNNTKAEHPKKRTIFGVVISEGNEERSMTVSSGSCKGVKQNLDCNGGKVHMNGDIRMTSSSKGDGASRSLQLNAREVNGCSSVGFNYANGKSVMAMKVDTEKLVISQNVAYCQTKRESSKGALPWFMVKSQHGLDQSKEGENYCHTNLDLLKNCSQQIFRKSETDEDFSRILNRRQEAKPSTSIKDSEHSNIEVSSATAVKKIFGVPIFKSSKDVVNSADSLSRASFLDVHGVNSKNVFADDTASKNQFDAKDNIIEKRLDNNISGLSPQIDLNLSLDEEEVSPTPSLPRAVVEIELDDEAPALLESEGVLSLEAESRDIKSGLEEFKEPRGEAARRAAEAIVSLSQTGDNSFLDSLYNKNSESAATACLEWFAELITSEVNGDECRIMEASSGKQRGNSSDEDSIPDGMDYFEFATLKLRDMKEEGQCYEPSILEIHNDDEEEEIGAATTQSKRPRRGQARRGRQRKDFQRDVLPGIMSLSKHELSEDILTFEELLRTSGCSWQSCLSQKKATKTGRGRRRRGGSTTPSPIKTATPSNQPLCNEVEIEKASLTGWGKKTRRLPRQRCPTTHHVPLMQC >itb01g28760.t1 pep chromosome:ASM357664v1:1:33119317:33121253:-1 gene:itb01g28760 transcript:itb01g28760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVETTQEKSAASKTYINFEQQHHQYLNSVMDMKTKTTAAAIPRKNGVPFAEAKKVEYRRSLSQGAGKRMFSMSYFSLESFLLLIGLTASLLLLPLILPPLPPPPLMLLLVPICILVVLMMLAFTPSKVRDMTYTYV >itb02g21210.t1 pep chromosome:ASM357664v1:2:19852026:19854849:1 gene:itb02g21210 transcript:itb02g21210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVFLIACRSVAEFYAFVGIASYYLDLLVNCTFSVNRVGSVDYCCFLCSDPEITGKSPVNMTTYTPKNILITGAAGFIASHVANRLVRSYPNYKIVVVDKLDYCSNLKNLLPSRPSPNFKFVKGDIASADLVNYLLIAESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAQDICKLFNMDTETCIKFVENRPFNDQRYFLDDQKLKNLGWSERTLWQEGLKKTIEWYTNNPDWWGDVSGALLPHPRMLTMPGGIERNFDGAEKYDSGSSEFSGKSNQTEMVVPVPRISNSAQKQPYKFLIYGRTGWIGGLLGKLCEKQGIPYEYGRGRMEYRSQLLADIQYVKPTHVFNAAGITGRPNVDWCESHKCETIRTNVAGTLTLADVCRENGLLMMNFATGCIFEYDAAHPEGSGVGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLNNPRNFITKIARYDKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYMDPTFKWSNFTLEEQAKVIVAARSNNEMDASKLKKEFPELLSIKDSVIKYVFEPNRKTSA >itb15g13230.t1 pep chromosome:ASM357664v1:15:11199448:11202065:1 gene:itb15g13230 transcript:itb15g13230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNSNPKSSFDSVREWVSEHKLRTVGCLWLSGITGSIAYNWSKPNMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHKTEKTDRYAKFLGLDK >itb11g14700.t1 pep chromosome:ASM357664v1:11:11946046:11948344:-1 gene:itb11g14700 transcript:itb11g14700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METHSSSSSSMYDVKMMPKQEYGDDFESFTQQIDCPLLDYDYFSGYSEYSLWDYHYCNSLWGFGNGLGELNGAISEEFGIGANDVEAENHTLVSNGGSDNMEISNGSVEDNKKGKKSGSGVEEKCCISKTLSRETVSNYFYMPISQAAKELNIGLTLLKKRCRELGIRRWPHRKLMSLESLIKNVQRELNDYFKVTLKFRTLLGKAY >itb02g06910.t1 pep chromosome:ASM357664v1:2:4323659:4326646:1 gene:itb02g06910 transcript:itb02g06910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTIFYFKQVFIIVTFALIFFFVLNYHISPPPVFYSLSSLSAAAGDTTPTAARHLLFAIASSTKTFPGRKDYIGLWYRTNSTRAYVFLDRDPLGAENVSDPALPPILIHESTAGFPYNNSKGHRSAVAIARMVKAVAMRNESDVRWYVFGDDDTVFFVENVVSALSKYDHEELYYIGANSESYEQNAYFSFDMGYGGGGFALSHGLAKVLGAVLDSCIVRYPHLYGSDSRIFACLAELGIGLTHEPGFHQTDVWGNLFGFLTSHPQSILLSLHHLDEVQPLFPNMSRPQALKHFFTAVDADPARISQQTICYDQNNSLSVSVSWGYAVQVYQGYYPLPDLLSALRTFLPWDKDRGHPRFMFNTRPVPSDPCQRPLLFFLDTVHSNGTTNNVWTRYSRHGLVQSCNTHDKLLMDLKEIKVFSKRLDVDDARLQVKAPRRQSCDITWSSDTTMDIRIRPRGIDELTTMFT >itb15g09560.t1 pep chromosome:ASM357664v1:15:6820099:6821131:1 gene:itb15g09560 transcript:itb15g09560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKSVPNSPSSSSSSFSSSSLTTNSLASSTDSSSSAPFHFPSSSPARCRGLDLLVKAIHQVTVGSAVGFPYIQKRVVRRRRRALCFDKLSITQLKIGKPSSSAMGKESHRRRRSMGVPSKYQDSVLQSWRPNKTARSVKVLDS >itb09g16170.t1 pep chromosome:ASM357664v1:9:11414695:11418550:-1 gene:itb09g16170 transcript:itb09g16170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWLSSVKKAFSPDSKKASKSNKKRLEKEQLQVPDSSIFETPIVSLPAPPVPPVEEVKPTEVEKEQTKHAYSVAVATVAAAEAAVAAAHAAAEVVRLTAPNRFAGKSKEEIAAIRIQTAFRGYLARRALRALRGLVRLKSLVDGPTVKRQTANTLKCMQTLSRVQSQIHSRRNRMLEENRALQRQLVQKHAKELENLRRGEEWDDSIQSKEQVEANLLSKYEAATRRERALAYSYSHQQTWKKSSRSPNLLFMDPTNPQWGWSWLERWMGARPWETEGMSEKELRNDQLSVKGASISVGEITKSFARHQLNAEQPSSPASQKPSHSLGRHSPATPASKQQSPSLISARKLKSPSPRANAATTQDDDTRSVFSVQSERNRRRHSIAGSSVRDDESLASSPSTVPSYMAPTHSAKAKTRLQSPSDGENGTTTPERGTAGAAKKRLSYPRSPASRPRRHSGPPKVENISIAQPGTNGEIN >itb03g23400.t1 pep chromosome:ASM357664v1:3:21572437:21573489:-1 gene:itb03g23400 transcript:itb03g23400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDQEGEEEHEREFWFKPCWSKEVPWKMSFLAWRVFKRKIPSDDNLRRFGYQLASRCYCCPNPRLDNLQHIFCTGSTASQVWGYFARSLGFNIQIRGVKQLCYEWWKKKPRNRMIRFLTHKLPMVILWELWVHYNQCKYGKESPSRARIIFKVTRDMVDCIMRKWPSWDPFPPNWNYILRRADLFKCSKIVREASWCKPPKGWIKINTAVKKGSCSFMIRNSKGEFVMAGVYSGDRDMEMIMLKECLSWCKKRGLGRVQIEGEQVRVDGDEQGLRVEWLKCDRRMNCIAQWLLDKCEGQNVVYRRVSALPKGFLYILSLEGFPHFSSLPRRDFIPVDNNQNRRWDPGGR >itb15g04940.t1 pep chromosome:ASM357664v1:15:3195739:3198783:1 gene:itb15g04940 transcript:itb15g04940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVVADPSAPPPSNAAAIGFGTTSSMDDLGEPLPFPPMDPDYFSQIEGTLIDDLDFDFSFDDFDLPSDVDSFLDPNFLPGSVDQYDAAQVDPACYFQPELRNHSPPELNSSGSRVLNSDLFESHPIDGDLSGNLNTLSPESNGCNQDSQESGNGNRGSSHARVLSCHSPESQSSGNCGSNASAAPNSLSKGSNQSVNSSPGFEGNSIKIGLVDHNFKLEGTNNNIYNYSNSVLKRKKEDGESNNVKSRMSKCRKSIDISNTENTNSNTSNVISEEEEKKNARLLRNRESAQLSRQRKKHYVEELEGKVRSMHSTIQELNAKISYVMTENAGLRQQMAGSGVGIAPSVPPPPGMYPPVMYPWMPYTPPYMVKPQGSQVPLVPIPKLKPKQAVSVSKTSKKVEGKKRVAKNKKLASVTFLGLLFFIFMFGGLLPMVNVRFGGTREAFTGGNYAGNGFYEKHHGMVLAGNGTSNSVDFGHGKHFSDGLHYGKKDHSGGEEPSIEHGEIVCSGNGSEPLAASLYVPRNDKLVKIDGNLIIHSVLASEKAMASRGGAETKSSEETSLAIPGDLTPAKRHPHVYQGPAEHPVALAPGTIDRDNKRLTGADGRLQQWFQEGLAGPMLSSGMCTEVFQFDISSAIIPATSTRNVSMESSQNATNSYRGKNRRILHSPIPISASSQNMSEENVGRNGHTKNNTVSPMVVSVLVDPREMGDADGESVMGTKSLSRIFVVVLIDSVKYVTYSCMLPFKGSVLL >itb06g17860.t1 pep chromosome:ASM357664v1:6:21616538:21619786:1 gene:itb06g17860 transcript:itb06g17860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIQRFSSKTKNIGKRSTKKYLEEALYRKLVKEGGRENSVGKNLNEFLKSQKSAYKWEVGRSVNLLRQRKLYSPAIKLSETMEKRGMNKTTSDQGIHVDLVAKSKGIAAAEAYFINLPETSKNHFTYGALLNCYCKELMTEKAEALIEKMKELNLTLTSMPYNSLMTLYTRTGQPEKIPAIIQEMKECDIMPDSFTYNIWMRALAALGDISGVERVIDEMKRDGRVAEDWTTYSNLASIYADAGLSVKAEKALKELEKKNTPRNLTAYQFLITLYGRIGNLLEVYRIWRSVRLAFPKTANISYLNMIQVLVNLNDMPGAEKCFKEWESGQTTYDIRVANALIGAYAKQGSLKKAKELRKRAQRSGAKPNAKTWEIFLDYYLKKGEISSAVDCIEKAITTGRGDGQKWVPSPVIVGELMRHFETNKDVEGAERVLGILKKAEDQLGAELLESLIRTYAAAGKTSTIMRRRVKMENAELSEEAKKLLDFISAE >itb06g17860.t2 pep chromosome:ASM357664v1:6:21616549:21619786:1 gene:itb06g17860 transcript:itb06g17860.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMDRLSETMEKRGMNKTTSDQGIHVDLVAKSKGIAAAEAYFINLPETSKNHFTYGALLNCYCKELMTEKAEALIEKMKELNLTLTSMPYNSLMTLYTRTGQPEKIPAIIQEMKECDIMPDSFTYNIWMRALAALGDISGVERVIDEMKRDGRVAEDWTTYSNLASIYADAGLSVKAEKALKELEKKNTPRNLTAYQFLITLYGRIGNLLEVYRIWRSVRLAFPKTANISYLNMIQVLVNLNDMPGAEKCFKEWESGQTTYDIRVANALIGAYAKQGSLKKAKELRKRAQRSGAKPNAKTWEIFLDYYLKKGEISSAVDCIEKAITTGRGDGQKWVPSPVIVGELMRHFETNKDVEGAERVLGILKKAEDQLGAELLESLIRTYAAAGKTSTIMRRRVKMENAELSEEAKKLLDFISAE >itb13g20860.t1 pep chromosome:ASM357664v1:13:27580183:27584156:-1 gene:itb13g20860 transcript:itb13g20860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCPDEDDMRKTPDNGPYMPHSSAGALGQRAAESAQKETQPVNIQPITVPDLPVEELKDVTDNFGTKALIGEGSYGRVYYGILRNGRAAAIKKLDSSKQPDREFLAQVSMVSRLKHENVVELLGYCVDGGLRILAYEYAPNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKTQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDARLNSEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARAGHPSDTPNL >itb04g21680.t1 pep chromosome:ASM357664v1:4:26770158:26770694:1 gene:itb04g21680 transcript:itb04g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNTGGRAASGGAMSSPYRGVRKRRWGKWVSEIREPGKKTRIWLGSFNTAEMAAVAYDAAALHLRGPLARLNFPSRAHALPRPASSSPEDIRLAAHEAASQLEQSMGDTQGASGSGMSNIPPVTIGLSQSQIQALNDIPLGSPKIWDRMTNESMFFSNDYEMMSDNEDQVDDSLWDP >itb04g28950.t1 pep chromosome:ASM357664v1:4:32505994:32507745:1 gene:itb04g28950 transcript:itb04g28950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSVVCISFLVLVLSLSVEGRIPGVYSGGSWENAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNGALSTALFNNGLSCGACFEIKCDKDKSCYPGSPSIFITATNFCPPNYALPNDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVVYRRVPCKKKGGIRFTINGHRYFNLILITNVGGAGDIVRASVKGSKTGWMDLSRNWGQNWQTNAELMGQSLSFRVRGSDRRSSTSWNIAPSNWQFGQTFIGKNFRV >itb11g04160.t1 pep chromosome:ASM357664v1:11:2224426:2224875:-1 gene:itb11g04160 transcript:itb11g04160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIFIACHVQQKGDGRRDSDHKYQARLEERHKDYLPGERQRRESIEEKPHKVFTRDGDDLIATQEISFVEALTGYTVGFTSLDGRNLTIPINTIIHPNYEEVVPREGMPIPKDPSTKGNLRIKFDIKFPTSLKASQKAGIKELFGYSG >itb04g03150.t1 pep chromosome:ASM357664v1:4:1957613:1960355:-1 gene:itb04g03150 transcript:itb04g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLAATATSKPPLFRHRQHGRLSSSFFHSLSFPFGFSSSTRLEPVTPSSGPTSVAIICAFRSKSRRHVTANYEKRPKASWLAVYRRLSSMPDPENGAASVLNQYEYEGKKLPKFVLIRVIKELRKFNRYKLALEVYEWLENRRFLITTSEIAIKLDLISKVDGISSAENYYLKLSNSLKDWRVYGALLNAYANVSEKEKAEALFDKMREQGLIKYALPCNVMMTLYMKLKEYDKVEEIASEMMEKNVPLDIYSYNIWISALGYQGSVEKMEQAFEQMKLDTSITLGWAIFSTMATIYIKAGQLEKAESCLKEIERRIQGQRRLPYHFLISLYGTVGKKEEVYRIWDVYKSSFLNVPSMTYRGVISSLVRLGDIEGAENIYDEWLSINSAFDPRVGNILLGWYVSNGLTEKAEVFFNQMIATGGQPNSWTWGILADHHIRARRIPEALSCLKDAVLDGKSKYWRPRPETVSSILQHCEQENDGASKELLFEVLKEAGCLTDAKYMSICTGNELEEDDDNDDEETNEGAELIFNQLQGCAK >itb02g06790.t1 pep chromosome:ASM357664v1:2:4255042:4256224:1 gene:itb02g06790 transcript:itb02g06790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYEKSLVGRDEQQEESGWTAYLQDFSENNMMGSSSYSNNQEDYDCSLVSSPSLVSDAATYGARRKKKSCSDHRRVRNNTECSSLGGSQVLRRLNLKNPKTSDKYYDPDLEDTASSPVNSPKDRTFRQQVEINPRRSQNNVLNYLQGKETGFEGFQEMVMGAEEERSSINFDGKISTECIDLKKRGLCLMPLSLLVNYHKQSF >itb04g11480.t1 pep chromosome:ASM357664v1:4:11105672:11107046:1 gene:itb04g11480 transcript:itb04g11480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEVYQIEKVRPCSPTPQTLRNYKLSLLDILVSVCYSPMVFFYDSHAGGHDYDELKDSLMKTLSVLYPLAGRIKDGSTIECNDEGADFVRANVTNCDLGEFLRHPKLEDIRQLLPLDPYPNAIHPAHPMLAVQLNRFRCGGTAVAFCIWHGLGDAGAMMGLFNTLAAINRGEGPINPAGLIVDASAIFRPGNLVSSPVTPLLFKNQGKYSSKRFVFSKQDIERLRNNYYHPSEHRRCPSRVVALSAFIWAAVIRAILPWKMEKSGIGADA >itb07g18690.t1 pep chromosome:ASM357664v1:7:23135515:23136285:1 gene:itb07g18690 transcript:itb07g18690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCGKCGTHCDKPKLRYKIKIRVYDAKGSAPFLLWDREALELLGGITAEELKAMQPKKATNSVELLDDDLDSDAGFFSDEEAESPLPNALTQMTKGSGNDSEAVKRRLLDEFSSTQCPKKKTHTAIINWKRIVN >itb13g20440.t1 pep chromosome:ASM357664v1:13:27264880:27265278:1 gene:itb13g20440 transcript:itb13g20440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWKRCKSLGWFGGKRRSKLGCDQGERDGGRKKIRVAPEGCLSVYVGEEGRRFVIKTEYVNHPLFKMLLEEAESEYGYDTGGPLKLPCRVDLFVKVLVEMDSDDDDIYVRRGCSFARSPAAAYHHLSPSPF >itb01g21590.t2 pep chromosome:ASM357664v1:1:27684124:27685271:-1 gene:itb01g21590 transcript:itb01g21590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKEDNLEWWLLRDSGLLSSVIENHNWLPSRFVAPAAGNSTGQLLSLPQNQSALFRNGFQNPNLGNRDRFQVNINPNLGDRDRFQLNINPDLDLEMAFGRMSLSSNQSGGYRNNNNFPASSRVGMGIGMGCVSPYGNLNRMEAYGRGYDRNLAINNGFEGFGDLNSNRNGFRVRSNAGLVDYNLASNNNSLNNIYPPTTQERRSLFSSLEELRGKIPLVTKDQHSCRVLQKKLEEGKPEDIEMIFLEVKNDVCELMVDQSGNYLIQKLFQVCNPQQMTELLALVIRDQCRLLGICHDMHGGAKGVGFGGSQGHHGHLDQKC >itb01g21590.t1 pep chromosome:ASM357664v1:1:27683107:27685271:-1 gene:itb01g21590 transcript:itb01g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKEDNLEWWLLRDSGLLSSVIENHNWLPSRFVAPAAGNSTGQLLSLPQNQSALFRNGFQNPNLGNRDRFQVNINPNLGDRDRFQLNINPDLDLEMAFGRMSLSSNQSGGYRNNNNFPASSRVGMGIGMGCVSPYGNLNRMEAYGRGYDRNLAINNGFEGFGDLNSNRNGFRVRSNAGLVDYNLASNNNSLNNIYPPTTQERRSLFSSLEELRGKIPLVTKDQHSCRVLQKKLEEGKPEDIEMIFLEVKNDVCELMVDQSGNYLIQKLFQVCNPQQMTELLALVIRDQCRLLGICHDMHGGITVTLTKSVNGYHVIQHCVKFFSNEEKKQILNVVANNCLDIATDRSGCCVLQHCVENADAQSRERLVAEITANALVLSEHPYGNYVVQNILGLRIPHYSSEIVRQLAGSLVHLSMNKYGSNVVEKLLKECDEIEARVMIEEIVSSPNFLMVLQDPFGNYVVQSALTVSKGPLRYALVNIINMHYASLHSHPHGKRVLAKTRGNKLS >itb03g03970.t1 pep chromosome:ASM357664v1:3:2411064:2419327:1 gene:itb03g03970 transcript:itb03g03970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIFHLSSSPDSSHHSFAPSYEDLHAQQLEEELQSTAFNEYEYDLRSIFKPRGILLFKHYRRGRHSPQNNCLFKSTYRKTLIQAVDNDDQLDLQPSSDMDTKPFGQLYDYKSKRQAINIIGVMISKLPREFIVTNRTGQQKANDFVIVDEELKPIIFTMWGNFTVCQGVEIDKELQSGDYPVVLGRRITVTPYQGISLSTRTDSGVEVNPFGKRADALKECTMIDNIKPQSTDLAILSLFVIRVFHDLRKRKKIGAAQDRGNQGTSKSEIEDENRAPNELRTTHLGVKRRSLHLLRFSCHVGLKHNHSELFAWTNLLIVSTMIDNIKPQSTDLAILSLFVIRVFHDLRKRKKIGAAQDRGNQGTSKSEIEDENRAPNELRTTHLGVKRRSLHLLRFSCHVGLKHNHSELFAWTNLLIVR >itb13g16580.t1 pep chromosome:ASM357664v1:13:23545628:23547713:-1 gene:itb13g16580 transcript:itb13g16580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPRHSSSSHSRSSGSNIACLSFLFLTAFFVFKIDIVIVQKLSRAWHGTEHIAISQIEVHEPKSPESPFKLGNGSFHREFLLSWGDHHCKILEDGELLTLELDRSSGAGFESKKEFIFCKIDMRIKLVPGDSAGTVTTYYLSSDGDHHDEIDFEFLGNSSGNPYTLHTNVFSQGRGDREVQFFLWFDPTADFHTYTILWNPKSIIFYVDGTPIREFRNAEKIGVPFPKDQPMRLYSSIWNADSWATQGGRVKTNWTLAPFVAAYRNFSAEGCVWSRPGRSSSCNFDDDAALTAENSWFNTELNRWTRARMRTIQRRHMVYNYCTDKWRFRRRGPGRECRYR >itb13g11180.t1 pep chromosome:ASM357664v1:13:16024933:16027967:1 gene:itb13g11180 transcript:itb13g11180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMALKVNRIPWVLFGFVLLLFFYLLFCFLGLDLNFFFERVQSMLVRKSLLYLLRQLGWGGLFLPTFWYIFAPENMMAPAGGEGGGSSGDNQGWTSLLGGSSGDNQGRTSVPGSSSGDSSEASVNQPHPVPSNPSPSVPPAQDTHVGNPPAPLIPFLQEEGERGDLLRRLHRLVSNQLKHYCERDLPAWRHSPDNAFFLEVGALILKNDLEVETEEIPYIRELITRLEREPSLMREIYSPYKMKRYW >itb04g02510.t1 pep chromosome:ASM357664v1:4:1504114:1505834:1 gene:itb04g02510 transcript:itb04g02510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCSPTVLPEWLSALLSEKFFNACIAHEDARKNEKNIFCLDCCEAICPHCITPHSPHRLLQIRRYVYHDVIKLSDAEKLLDCGFVQSYTNNSAKVVFLNQRPLSRPCRGSGNTCLLCDRMLQHPYLFCSIYCKLQHLLRTKCQLSQYIHKCDSLTLHELGLEDGLMTPDTVLEPVGSVRTDSGGSGSAGAAVDCRVLCSTATTEVVRKKRSTHFRSELRPGCGPVCEISVSMMNRRKGTPHRSPLY >itb15g03570.t1 pep chromosome:ASM357664v1:15:2262485:2264226:-1 gene:itb15g03570 transcript:itb15g03570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVENSSRSGPRRTAVDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQAA >itb07g22290.t1 pep chromosome:ASM357664v1:7:26780667:26782311:-1 gene:itb07g22290 transcript:itb07g22290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLPYSHSLTFCGVLSESKRIINAHSRHFLALSVFFLLPLSFSLIIFPTLHAALYHPNPIIFYTSRFIRFDPTRADVEDDDEADLKFFVFHLVYGLFVFLVSLFGVSTISYSTFHGFYGRPVKLVSSFKSLLYSFLPMIATVVVAKVLLCSIGMAFGLSAGLLLKGLEMVGVEVDYDSVYFMVVAIIFAVVVGLVMLWVQVNWVLAPVVVVAESRWGYGPLRRSADLVKGMRGVALSILLFFSLLIGCLVGGCSTFLLHVGVAGGWWSWAVILQMVISSGFATVLMLQSVAAGVVLYMYCKALHGELAFEIAEEFAQDYVSLPFDKEKVPHVVYVV >itb08g08510.t2 pep chromosome:ASM357664v1:8:7457696:7459934:-1 gene:itb08g08510 transcript:itb08g08510.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVRDYDPKNDCRAVEEVERICEVGPGAGGKLSIFTDLLGDPICRVRNSPSYQMLVAEVVVQKDGGEWTREIVGMIRGCIKTVTCGKKLSRNGKNNHPLPVYAKVAYILGLRVSPFHRDGYFGYRRMGIGLKLVTKMEEWFRENGAEYSYMATENDNEASINLFTNKCGYSKFREPSILVQPVFAHRVRVSRRVTIMKLSPGDAEVLYRRRFSATEFFPRDIDSVLRNGLNLGTFLAVPKGAEAWAGSEGFLAAPPESWAVLSVWNSMEVFKLEVRGASRKLRGFARTTRLLDRALPWLRLPSVPEVFRPFGLHFLYGLGGEGPLCVEFITALCGFAHNLAKQRGCGVVATEVGADDPLGLGIPHWKTLSCADDLWCIKRLGEDYSDGSVGDWTKSQPSLSIFVDPREV >itb08g08510.t1 pep chromosome:ASM357664v1:8:7457696:7459934:-1 gene:itb08g08510 transcript:itb08g08510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVRDYDPKNDCRAVEEVERICEVGPGAGGKLSIFTDLLGDPICRVRNSPSYQMLVAEVVVQKDGGEWTREIVGMIRGCIKTVTCGKKLSRNGKNNHPLPVYAKVAYILGLRVSPFHRRMGIGLKLVTKMEEWFRENGAEYSYMATENDNEASINLFTNKCGYSKFREPSILVQPVFAHRVRVSRRVTIMKLSPGDAEVLYRRRFSATEFFPRDIDSVLRNGLNLGTFLAVPKGAEAWAGSEGFLAAPPESWAVLSVWNSMEVFKLEVRGASRKLRGFARTTRLLDRALPWLRLPSVPEVFRPFGLHFLYGLGGEGPLCVEFITALCGFAHNLAKQRGCGVVATEVGADDPLGLGIPHWKTLSCADDLWCIKRLGEDYSDGSVGDWTKSQPSLSIFVDPREV >itb09g28090.t1 pep chromosome:ASM357664v1:9:28697755:28700204:1 gene:itb09g28090 transcript:itb09g28090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPCLVKVFPSTISLNYRKVISFTLSQRRGTFLSNSAATISLKTKHLPPDFTQKQLLDTLRQESDETSALNLFEWALEQPEFEPSRRVFEEVLRKLGKAGSFGAMRRVLDDMKESKVEIGEGTFFIFIERYAMFELYNEAIGVLDVMGREFGVKPGIFSFNLLLNVLVDGNKLKQVENVLSRMLSEGLNLDVSTYNILIKALCKQGRIEEALNFVQEMSSHGFCFNHFTYNTLISGLCKAGHVCYALDILDLLLQEGFSPDLVTYNTVISGLCEAGEVKEAIEILTEMILMERLPDSFTYNTIISALCKENQVPQAIELSCVLTSMGILPNVFIFNSLINGLCLSSNFNSAMELFQEMRTVGCQPDEFTYNILIGYLCAHKKLNEAMTLLQDMESSGCAKSVITCNILIDGFSKNKRIEEARKIFEEMVLKGISRDLVTYNTLIDGLCKAKRVEEASQLMDQMIMEGLKPDTFTYNSILYPYCRAGDVKKAADIMQAMTSNWCEPDVFTYGTLIQGLCKSGGVEVATRLLITIQMKGMVLAPQAYNPIILALFRQKRAKEAMRLFREMEEKADPPDYVSHKIVFHGLCYGGGPIDEAVNFAVEMMEKGYVPEFSSFSIMAEELLDLAREETLVKLIVMIMKKADISDYQGLIKDFLKTRNFQDALATLSRVLNSGYSQGNLVKERMRVVDANGKDICEKGGEKRLYL >itb02g02010.t1 pep chromosome:ASM357664v1:2:1110753:1114100:1 gene:itb02g02010 transcript:itb02g02010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQQPAQEGGALVVASPAPPKRTLAPPTSLAPGFRFHPTDEELVRYYLRRRACGKPFRFQAVSEIDVYKSEPWELAEYSYLKTRDLEWYFFSPVDRKYGNGSRLNRATGKGYWKATGKDRPVRHKSQTVGMKKTLVFHCGRAPDGKRTNWVMHEYRLTDNELVEAGVTQDAFVLCRIFQKSALGPPNGDRYAPFVEEEWDDGKALVVPGGVAEDDVANGDDARVDGNDLDQGALCKAPESPVEPLSLSFVCKRERSEEDPEPLSLAQSKRSKQDCPSSSHANGSEDSTTTSQDPPTMMMTTNDSSPVLLEFPLLDPTEPRENQPTNAPTFDSSTLEKSVPPGYLKFISNLENEILNVSMERETLKIEVMRAQAMINILQSRIDLLNKENEDLRRVVRGG >itb02g01340.t1 pep chromosome:ASM357664v1:2:744663:745762:-1 gene:itb02g01340 transcript:itb02g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTSSSGGASLQYISRTKERIKEGLGLRRPWREMFNIHSIGLPPNFHDAVSRIKTNLAYFRMNYAIIVLGILFLSLLWHPISLIVFVVLMAAWLFLYFLRDEPLIIVGRLINDRVVLIVLSIATIVLLLFTNATGNILISLLIGAVVVLVHGAFRKTDDLFADEESGAFLAGHAPPAPSS >itb05g27570.t1 pep chromosome:ASM357664v1:5:30877163:30885441:1 gene:itb05g27570 transcript:itb05g27570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVQLKTSKRVKDTLKEPTEKATMKKASIMAVCISTFFYLCCGGLGYAAFGTETPENLLAGFGFYEPYWLLDFANVCIAVQLCGGYQVFSQPLYAITEKLLLKKLPQNHFFVRDYNLKLNKHLPAFRFSFLRVIFRTTYVAIITGIAILFPYFNQVVGVAGAITFWPIVVYFPVEMYLKQKRIESWTTKKILLRTYSYGLVFTSHIGFSTLLMYALLFNSVEDISYAGVITGIAILFPYFNQVVGVAGAINFWPIVVYFPVEMAWELMRKKKKTRWVILSSSQMCPCVQQPCSTPPSAPSPQSGTVKKTGNEWTALAHIITAVIGSGVLSLAWSIAQLGWIAGPITMLCFASVTLTSAFLLCNCYELIDQHGMITNRHGSYLDAVQSILGNRNAWFCGIIVRINFIKLGIVYTITSAISIRAIQKSNCYHNDGHEAECRYSNTKYMIIFGLVQALVSQIPDFRHTEWLSIVAALMSFAYSFIGSGLSLAKVIDNGEIKGGIGGWPSRNAGKKVWSVAEALGNIAFAFPFSVIFLEIQDTLKGPTEKATMKKASIMAVCTTTFFYLCCGGLGYAAFGTKTPGNLLTGFGFYDPYWLVDFANACVAVHLVGGYQVFSQPLYAITEKWLRKKLPQNQFFQVVGVAGAINFWPIVVYFPVEMYLKQKKIESWTTKKIVLRIYTYVCLVVILFAFVGSIRGLQRCGKSCRLRWTNYLRPDLKHGQFSEAEEQTIVTLHSVLGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLITEIATTLAPPQVPHLAEAALGCFKDEMLHLLTKKRIGFQLHHPVGPVKHEDKDETIEKIKYGLSRAIKDPAVEMLPAGGNNKPWDHAGGATSSNLGETSNGFPTSDHHHAGFHYSLPCLMHDGGEDGSPWNQSMCTGSTCTPAEQQGRHVHDKAVEDENGGECSEGGGKRTTATDAPPPSIFNSDCVLWDISSEDLINPMV >itb04g05790.t1 pep chromosome:ASM357664v1:4:3750391:3752736:-1 gene:itb04g05790 transcript:itb04g05790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNGEFENPKMKGGSYFNRSMTIHHGGGSAAAVKPSVERLGSFKQLPGSSAFEAMVSVAGSSIKGKVKQLCSLFEYQKSPRAANSVSLPLPSPTKAAAAAAKLPNSDSSRAFQFRLPGTEDRVVIYFTSLRGIRRTFEDCYTVRMILKSFRVHVDERDISMDSAYKKELQSILGSKNVTLPQVFIKGNYIGGAEVIKQMNEVGELSRLLRGLPIRPPGFVCKGCGDARFIPCSNCNGSRKLFDEDEEQLRRCPDCNENGLIRCPLCCG >itb12g21500.t1 pep chromosome:ASM357664v1:12:23842483:23845221:-1 gene:itb12g21500 transcript:itb12g21500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDCFIVFLCLSACLLTTQFPEVNAARKQPQEDKLILNGHKMLFVFGDSYADTGNWPPTNVSGSWREPYGMTFPGKPSGRWSDGRILSDYIASYLGIGSPQPYAAWKRDGEAKQFGMNFAYGGSGVFDTYVDGPNMTTQIIYLQQLLQQNVYTKHDLTSSVALVSSVGNDYNYHTFTKHMGGFVKTLTKQLSLNLKRIHEMGVPKVAVTAMEPLGCIPGVAFLTTGNYSNTCDERMNKITRFHNQLLKQNIQKLNDDQTDGSPFVILDLYAAFMSALNIQHNRPGNSSFAHPMQPCCLGKCGEVDESGKKEYRVCDNPKMAFFWDIFHPSQQGWMAVYSALETSLPNLFHSQHSAPPSKY >itb06g24600.t1 pep chromosome:ASM357664v1:6:26096302:26096834:-1 gene:itb06g24600 transcript:itb06g24600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLFLHLLVIKKGHPVFVHGGIRTSNLRLGWQRFLVRTVHFSWWVLPYCSSFVALNWEKKREKIGYD >itb05g12570.t1 pep chromosome:ASM357664v1:5:19152106:19153500:-1 gene:itb05g12570 transcript:itb05g12570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIQTLQISFLALLCFTFLLHAALSYAAVLSLPLCLSCAICALVYFFHRLRSRPYPVLLLNYACYKPPPHRKCSYQVAESFVRRDEHFSDENFNFMRNIYAKSGLGDETYAPPFLFESDCTPTLKSAVGEAEEGIFSSIDSVLAKTLMDPMSIDVVIVTCGSFSPSPSLSAFIVNRYGLRPDVKTYNLSGMGCSSGVISVDLAARILRGGGRGKVQNALVVITESITLNWYPGANRSMLVTNCIFRVGCAAAIITNDPTRRRAAKMELVESLRTHHGSDDAAYRAAFQEEDEKGITGVSLTKDLIRVAGVNLRSHIKLLAPRVLPLSQLASYVISAAKAAVSGGKSKPAVPDFTAAFQHMCIHTGGKAVIEQVGRVLRLSDSVTEPARMTLNRFGNTSSSLVFYELAYFEAKQRVKKGDKMWMIAFGTGFKVGSLVWKWLQDSTQEFDNPWNDTIHNYPLKAW >itb10g11880.t1 pep chromosome:ASM357664v1:10:17534197:17537386:-1 gene:itb10g11880 transcript:itb10g11880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGLVNVKFQGGLLHEENVDIVNVKFQRVSEKIEWNHLFPGWIDEDNAMGQHECPTVPIPDLGKYKKLDVVVARVPCGRNSTRDVYRLQVNLIVANLLVKCGWNNHDVHQTGYAVFIGECEPMFEIFRCNDLLWHQKDVRIYKPSLTKLKQKLVMPVGSCQLARPFAEQGKEIWRRYGLIAAKRRIYKPREAYVTVLHSSEAYVCGAITLAQSIIQSNSTRDLILLADSSISPKSLRALQQAGWKIKPIQRIRSPHAKNHAYNEWNYSKLRIWEQLTEYEKAMFVDSDVVVFKNMDEFFVYPELSAAKNHGHLFNSGVMIVEPSKCTFESLMEKRPDVVSYNGGDQGFLNEMFAWWHRLPMRVNRLKVFTGASGNQHALPEDVYAIHYLGLKPWKCSQDYDCNWDKVAYQKFASDSAFQRWRQVYNKMPEGLREFCAPIPKMNREFKA >itb12g05020.t1 pep chromosome:ASM357664v1:12:3315147:3320399:-1 gene:itb12g05020 transcript:itb12g05020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVVTPSPVRSNYASTHKLWEGVAVSPFLGSQRSATQFVDPNIVPIGRILTEFHQARRIPAECHPVRQIPAERHSVRRIPAERYSVAPLVLKSLEIGIDASGLSMRFSESDAFGIGRFPRGRPPLESITAQFPSILRLECNVQIGSLNRRVLLRLATDDEYKIDVFQNARACLRLHPACERLKKVLSANEFEQISIPIFERVKKPLEKAIAEAGLAVENIHCNEVIGPGSRVPAIIKILTEFFGKEPRHTMNASECVAKGCALQCATNGEKEFFASMVVDTVIAIENEDRLNMIGIKKVPGGTMWDSFLVNGVAFKKTFSCAGFEQQPKKFVNPKILLLNIELELKSEKGLTFPCLFKALAFRNSPFIGQQLHALAIKSSLILDIFVGCNALDMYSKTSIKKLADKVFEEMSDRNIATWNTSELVFDSMDECNGVSWCSLLAVYEHNNLGEKALKVFLKAREEGIEPTAFMVLSVLMLLLGWLHLSWEGQFMVAFVVKACIEECDRASYERPKRNLITWNALVGGYAHHRHAHMALDLFEDMTRKTQDVELMRKCLRSIIQDMRGEFGSISWKGLDLRFDQSRSQRTIHDSFVGEMDALRQSCCANMPPELLREVLMRIDASEST >itb12g12850.t1 pep chromosome:ASM357664v1:12:11504884:11510474:1 gene:itb12g12850 transcript:itb12g12850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MATTFSSKPQTLSLVSFAPLASHFSSRRCTNFLLGSTRSFRPPGLRSRRRCRKLSGFQFRSHSSAFHPVAYLDSQVAVVVAAVVTISALTIVYLRVSRKSPNTEQAPGGLAVAFLQQIRNKVRLIVDEFFRPTDTWEEKADGNLEREMAEISHEHETIVEVMQFSQNGSVGSLVNEVESPHLTTSTSNVTDSLVSGESEDAVSIDALPTTFVAEAHKVHLIEYLQESKITTNLPKVVVSSDSSAATDLVEKVDEIVRPEHELVADNKISTHNIFFRGPAREELYTFYEAEASAGNLESLGNSFSSASSERRTYLSPFSKGLAVSAAKSSSPYFLYPAENSNVKRSPGNYKGGASEEKEKLVKRKEISNKKEICILQPNSTNKFFQSPDLKGKNEYSRHHISEQISAYHKFLRNGRLTDCMQILEDMDDDGLLNMDKVYHAGFFQACKNKKAVEEAFRFMKLIHNPTLSTFNMLLSVCASCQDLEGAFQVLQFVQEAGLNADCKLYTTLITTCAKSGKVDTMFEVFHEMVNAGVEPNVHTFGALIDGCAKAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMREETHPIDPDHITIGALMKACANAGQVDRALDVYNMIHEYNIKGCPELYTIAVNSCSQNGDWESACNVYSDMTKKGIVPDEMFISAMVDVAGHAGKLDTAFEVLKEARNKGINTGTISYSSLMGACCNTKNWQMALKLYDEIKEMNLKRTVSMMNALVTALCDADQLQKALTVLFEMKREGLPPNSITYSTLLVASEKTDDLDTGLMLLSQAKKNGVAPSLIMCRCLIGKICELFIELILLLYMVILFFL >itb05g26620.t2 pep chromosome:ASM357664v1:5:30401140:30403443:1 gene:itb05g26620 transcript:itb05g26620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSSMQGGVHHAGIPFYGHHHSKAKDSPVKDSVESPRSVSGPRNGAFCQLEPSFQFSNTVMEGVTKWDPISWKATEGIKQIHGHQKVRAQQTHTPRVVSVLEAELEKARARVSELETEQQSYKKKIEQFLKKLSEERAAWRSREHEKIRIILDDMKADLTRERKNRQRLEIVNSKLVNELADAKLSAKRYMQDYEKERKARDLIEEVCDELAKEIGEDKAEVEVLKRESARLREEVDEERRMLQMAEVWREERVQMKLIDAKLTLEDKYSHMNRLIADLESFLSSRGATPDMEEMKKVEHLRQLVAAVNIHDIREFTYEPPNPDDIFAVFEDASVAETNERQIEPHLLQYSPVSHSSKIHTVSPDVNMFTKDNFRRHPKAYINQSDGLEDDGSEWETVSHLDEQGSSYSPDGSDPSVNKNCRLSTMSRSGADWEGNACDETPITDISEVCSSPTQPLKKMSSISRLWRYSNGRLSNGAIMSSPDRCSSKDGLSPSNLAGQWNSPDLGNPHLGRGMKGCIEWPRNSQKHSLKSKLLEARMESQKIQLRHVLKQKI >itb05g26620.t1 pep chromosome:ASM357664v1:5:30400162:30403622:1 gene:itb05g26620 transcript:itb05g26620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITGGRTELPTLKAANASPGSDLLQRQPSPRRTPRRRIRSSGAGVRLRKDIAGGSAGTGRRSRPQTPLLRWKFNEDVVEDSVAEEGCPSNLGRKCHRKTRAAVSVRQLAAGIWRLQLPEVPSNGEKLGFQGGVHHAGIPFYGHHHSKAKDSPVKDSVESPRSVSGPRNGAFCQLEPSFQFSNTVMEGVTKWDPISWKATEGIKQIHGHQKVRAQQTHTPRVVSVLEAELEKARARVSELETEQQSYKKKIEQFLKKLSEERAAWRSREHEKIRIILDDMKADLTRERKNRQRLEIVNSKLVNELADAKLSAKRYMQDYEKERKARDLIEEVCDELAKEIGEDKAEVEVLKRESARLREEVDEERRMLQMAEVWREERVQMKLIDAKLTLEDKYSHMNRLIADLESFLSSRGATPDMEEMKKVEHLRQLVAAVNIHDIREFTYEPPNPDDIFAVFEDASVAETNERQIEPHLLQYSPVSHSSKIHTVSPDVNMFTKDNFRRHPKAYINQSDGLEDDGSEWETVSHLDEQGSSYSPDGSDPSVNKNCRLSTMSRSGADWEGNACDETPITDISEVCSSPTQPLKKMSSISRLWRYSNGRLSNGAIMSSPDRCSSKDGLSPSNLAGQWNSPDLGNPHLGRGMKGCIEWPRNSQKHSLKSKLLEARMESQKIQLRHVLKQKI >itb06g01150.t1 pep chromosome:ASM357664v1:6:2368491:2368955:1 gene:itb06g01150 transcript:itb06g01150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRACVPVGRVVVLDSATTRRTRPNVAKAKIEIDVSKPLIREVWVEVNARGGVSKGFMQRIDFERVPVYCSHCGRFGHSLSDCRKRVGTMAEVMVEKGGDDCRGGLVVAENRQRVEGEEVRDGAESGLGIGPSNREKNDHGLDTSRPKQVDLG >itb03g00590.t1 pep chromosome:ASM357664v1:3:287335:289786:-1 gene:itb03g00590 transcript:itb03g00590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase polypeptide Vc (EC 1.9.3.1) (Cytochrome c oxidase subunit 5c) [Source: Projected from Oryza sativa (Os12g0561000)] MFKCVREFSSWVDAFIVSQHKPQSGFQVEGLTDNRYDRLKKILPRLLGIRHFSLSSGYEVINDRSQRRNRLYLLKRISLSLVSALWCFCSRMAGGHVAHLVYKGPSVVKELVIGFSLGLVAGGFWKMHHWNSQRRTKEFYDMLEKGQISVVADEE >itb09g01850.t2 pep chromosome:ASM357664v1:9:1135642:1138175:1 gene:itb09g01850 transcript:itb09g01850.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISPLDSRPPVVLRTITEFLREISMIGNVSEKVERIDDRVRRLEDEMRKIDAFKRELPLCMVLLNDVIIVLKEESKKCRKSLVEPVFEEFLPLKKSSGDDDLDRHYKVETNKEDTKEKINWSAEGEGNSSVANDFPMSFKRRNINKAFVPFKGCSGFPVKVVRKDHQEELPGEPGLSLSTPGITNRREDMVIGDFSSKPSRVVPSSASSTVTNIKARAQPQQQTSRKQRRCWSPDLHKRFINALQQLGGPQVATPKQIRELMQVEGLTNDEVKSHLQKYRLHTRRIPTTQSSPANKSIVVLGNLFPVDEYGESSKQSSSQSGSPQGTLQLAASSRGTSMTGSDSMEEDGDDRSE >itb09g01850.t1 pep chromosome:ASM357664v1:9:1135642:1138175:1 gene:itb09g01850 transcript:itb09g01850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFISPLDSRPPVVLRTITEFLREISMIGNVSEKVERIDDRVRRLEDEMRKIDAFKRELPLCMVLLNDVIIVLKEESKKCRKSLVEPVFEEFLPLKKSSGDDDLDRHYKVETNKEDTKEKINWVSSLQLWSSDSGCSHSDRSNNKHSLEYDLRMSAEGEGNSSVANDFPMSFKRRNINKAFVPFKGCSGFPVKVVRKDHQEELPGEPGLSLSTPGITNRREDMVIGDFSSKPSRVVPSSASSTVTNIKARAQPQQQTSRKQRRCWSPDLHKRFINALQQLGGPQVATPKQIRELMQVEGLTNDEVKSHLQKYRLHTRRIPTTQSSPANKSIVVLGNLFPVDEYGESSKQSSSQSGSPQGTLQLAASSRGTSMTGSDSMEEDGDDRSE >itb15g11990.t1 pep chromosome:ASM357664v1:15:9846855:9861810:1 gene:itb15g11990 transcript:itb15g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKCEIEVIHSWSAPRSLSTSLMYSFAQRDDIEVLDEPLYAHFLKVTGAKRPYRDEVLSAMESDGNKVVKDIIFGPGEKKYRYCKHIAKHNLPGLTDDLMKRGKHFILIRSPLEILPSFDEVVPSSFSELGLADLVSIYSELCRLGKRPPIIDTTDLRTDPEATLHGLCEDLGIPFQDSMLKWEVGPKAYDGIWAPWWYKSVHKSTCFLPPRKYPSPFPSPLYDLLEQSLPFYKMLRHHSRRTSPHPKLPVPANEKLLVWVGDEILPRESAKVSVFDSVVQGGDAVWEGLRVYNKKILKLEDHLDRLFDSAKALAFTSVPTREEIKDAIFKTLISNGMLDGAHIRLTLTRGKKVTSGMNPDLNLYGCTLIVLPEWKPPVYDNAKGITLITATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNAKADDAIMLDKDGYVSETNATNIVSLLVLVPYF >itb02g13670.t1 pep chromosome:ASM357664v1:2:9874174:9879572:-1 gene:itb02g13670 transcript:itb02g13670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPWTLFLFLVALFHRSAAVNRQGEALLEWKRSMKGAVEALENWDSRDLIPCGWFGVTCNFKMEVEGLELRYVDLHGVVPSDFSSLKSLTKLVLTGTNLTGEIPEEIGVLQGLKQLDLSDNALTGEIPSQICYLPELEQLYLNSNRLTGLIPAEIGNLTNLVWLILYDNQLSGGIPGSIGALKKLEVIRAGGNKNLEGPLPPEIGNCTNLSMIGLAETSMSGFLPPSLGLLKKLETIAIYTSLLSGEIPPELGDCVSLRDMYLYENSLTGSIPASLGNLKNLQNLLLWQNNLVGTIPSELGNCRDLQVVDISMNSLTGAIPESFGNLSVLQELQLSQNQISGRIPTNLGNCTALTHIELDNNQITGSIPAEFGNLLNLTLLFLWQNRLEGEIPAAISSCRSLQAVDLSQNVLTGSIPRGVFNLQELNKLLLLSNNLSGALPPEIGNCSSLIRFRANDNKLTGSIPPEIGKLKNLNFLDLGSNRLTGVIPPEISGCRNLTFLDLHSNSISGTMPENLNQIVYLQFVDFSDNLIEGTLSPSLGSLSALTKLVLGKNRFSGLIPSQLGSCLKLQLIDLSSNRLSGNIPAGLGKIPALEIALNLSLNQLSGAIPEDFTALDKLGVLDLSHNQLIGDLHFLADLQNLVVLNVSYNNFSGHVPDTPFFTKLPLSVLAGNSELCLSGKVCSGDQSGGVRRSKAARVAMIVLLCTACALLVAALYIILAGKIHGRGAHGFDLSSDDDVEIGPPWEVTVYQKLDLSIVDVAKSLTPGNIVGRGRTGIVYKVNIPAGLAIAVKRFRASEKHSVSAFSSEIATLARIRHRNIVRLLGWAANRKTKLLFYDYLSCGTLGTLLHEGNSGGPIIEWETRFKIALGVAEGLAYLHHDCVPPILHRDVKTHNILLGDRYEPCLADFGLARLVEDQNTTFSTNPQFAGSYGYMAPEYGCMVRITEKVDVYSFGVVLLEIITGKKPVDPSFPEGQHVIQWVRDHLKCKKDPVDIIDPKLQGHPDTQIQEMLQALGISLLCTSNRAEDRPTMKDVAALLKEIRHEHSAAGEASKPEKTPDGNSYSSSTSQLMVNFQGSSNCSLAYISSSASYSPGN >itb08g04380.t1 pep chromosome:ASM357664v1:8:3480000:3480532:-1 gene:itb08g04380 transcript:itb08g04380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSHSVRCPCCQPPSEEQLRKLFNNHDANRDASLSKKEIEKAFDELGSTVPGWRARRALRRADSDRNGFIDDEEEMVRLLFLAMHQSLSKKEIEKAFDELGSTLPGWRARRALRRADSDRNGFIDDEEEMSRLVKYAHSLSYKYFAVQ >itb12g01060.t2 pep chromosome:ASM357664v1:12:740043:742996:1 gene:itb12g01060 transcript:itb12g01060.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVVAQKSLVMAKHCKIIQFKTLVSPTASNYFYPPSSCSVSSALQHYINSDHPAHGQKLHSYILKAGFEPNTNISIKLLILYIKSSCLSYARQVFDELPQPTLSAYNYMISGYVKNRQVQEAFDLVRKLSFSGENGDGFTFSMVLKGSTCLDVGLHTGFIARHVHAQILRSGVSGDDVLYTVLVDSYVKSGRVHYARKVFDLMLEKNVVCSTSMITGYMNQGNVDDAEEVFKRTMTKDVVVFNAMIEGYSKSIETAKKAIEFYILMQRLEFEPTISTFASLIGACSALAAFEVGQQVQGQLVKTRFVEHIKIGSALIDMYSKCGQTEDARRVFDNMPERNVFSWTSMIDGYGKSGYPNEALDLFNRMQVDHRIKPNYVTFLSALSACAHAGLVAKGKSIFDSMEKDYAMKPRMEHYACMVDLLGRAGSLNQALQFVINMPEKPNSDVWAALLSSGRLHGDVEITDLAAKELFNLGGDSRPGAYVALSNTLAEAGKWDSVSELRELMKLRGISKGTGFSWVGRDGGLEAFHAGQQI >itb12g01060.t1 pep chromosome:ASM357664v1:12:740048:742996:1 gene:itb12g01060 transcript:itb12g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVVAQKSLVMAKHCKIIQFKTLVSPTASNYFYPPSSCSVSSALQHYINSDHPAHGQKLHSYILKAGFEPNTNISIKLLILYIKSSCLSYARQVFDELPQPTLSAYNYMISGYVKNRQVQEAFDLVRKLSFSGENGDGFTFSMVLKGSTCLDVGLHTGFIARHVHAQILRSGVSGDDVLYTVLVDSYVKSGRVHYARKVFDLMLEKNVVCSTSMITGYMNQGNVDDAEEVFKRTMTKDVVVFNAMIEGYSKSIETAKKAIEFYILMQRLEFEPTISTFASLIGACSALAAFEVGQQVQGQLVKTRFVEHIKIGSALIDMYSKCGQTEDARRVFDNMPERNVFSWTSMIDGYGKSGYPNEALDLFNRMQVDHRIKPNYVTFLSALSACAHAGLVAKGKSIFDSMEKDYAMKPRMEHYACMVDLLGRAGSLNQALQFVINMPEKPNSDVWAALLSSGRLHGDVEITDLAAKELFNLGGDSRPGAYVALSNTLAEAGKWDSVSELRELMKLRGISKGTGFSWVGRDGGLEAFHAGQQI >itb15g15950.t1 pep chromosome:ASM357664v1:15:15062602:15071886:1 gene:itb15g15950 transcript:itb15g15950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIQVLFLVFSIQISAILAETNADDLSALNALKSSWSNLPPNWSGTDPCGSNWDGIGCNNSRVVEIKLSGIGLEGTEFGDLGSFTELQHLDLSNNLGLKGTLPSSIGNLKNLTTLILVGCSFFGPIPDSIGSLQQLVFISLTSNSFNGPIPRSIGNLSKLSWLDLSDNKLSGDIPVSNGSRPGLDNLTNTRHFHLSQNQLSGRIPEKLFSPNMKLIHVILDHNQLRGEIPPTLGLVRTLEVVRLDSNTLSGSVPDTLNSLKGLNELYLSNNNLTGPLPNLSSMNTLHYLDMSNNSFEASVIPGWLSSLLSLTTVHMVNTTLQGSVPVNFFSLPLLETVELSNNNLSGTLDIGSSYPKNLTVDLQNNSIVDFTQKNNFNMKMVLTGNPICKGNEAKESYCVVQNNNNPVLSSDNCPNVNCGSGKIQSPTCKCSHPQTGTLHFFSFAFSNFENLTYFRTLNGSLMSAFLSRGLPVDSVSVTAPTIDVYSYLQFRVQIFPSGQDTFNRTGYSDVGFLLNRQPFDVEYFGPFFYKADEYCCFGGSKKSSHTGIIVGVAVGASVFVVLLVCVAVYAFRQRSRANRATEKGNPFASWNPDKSGSVPQLKGARWLSFEEMRKCTDNFSDANCIGVGGYGKVYKGLLATRELVAIKRAQQGSMQGALEFKTEIELLSRIHHKNVVNLVGFCYEQGEQMLVYEYIPNGTLRESLSGKSGIQLNWMRRLKIALDAARGLAYLHELADPPIIHRDVKSNNILLDDNLNAKVADFGLSKLLGDAGKGHVSTQVKGTLGYLDPEYYMTQQLTDRSDVYSFGVVLLELITARPPIERGKHIVRVVSEAMDDQKDSSKLDQVVDRILTPHRDPDGLQKFINLGMSCVQESAAERPSMGEVVREIENIIQMAGKVLTSSSSFGEGSNHGIRNSNSYDNSKAFDYSGTFLSWAIDM >itb10g22850.t1 pep chromosome:ASM357664v1:10:27529526:27532577:1 gene:itb10g22850 transcript:itb10g22850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDLFIPEDAVRILKTPVNREREDEWYWRWSLRSAICFGVALKKCSLFAQPSAREESTLKQFALYVTMLKRCRCRHRKRRSKYPTPINVDDKYYRHCGSKRAHQLIIMEEKGKGSERWTASIANLSEMAGNLDSLQKLLVKKAVYVDEDTYSKAYLTSEQARTIKVLEQRVETLERELDSAISAAARARTEKRQAEAAQKAAELQAQEITKELENTTKVFALHMEELRAKQDEIAKRDKDIKLLEAIIQTLGGRDSQSIDD >itb12g26000.t3 pep chromosome:ASM357664v1:12:27082976:27089747:1 gene:itb12g26000 transcript:itb12g26000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVRLEVSNSINPELNWKKVTKGRRSRKPTGRSLNWGGKLKNQSPKRVGDFSGFDSDKFCEIASEQRVPDKAEHIPIKKRRHLLRSKSPSKVHFGLQEESLSPQSLATPTRSEELELLSDHNHSSGHMSSDSYSSSQLAALKFCNGIRHNIMDLKFAEVANGSYYNSEDFSGIALLAAAACSNGMDDDSGTEKEGRDQVEKDNVTPESRDECPDSLETLSVIPHGVNDEQLREDKRSVSPKVDRLHWDLNTLMDSWGQPCDDQVTGNAQNDGDGSKKDKCEIDIGKEQMVPEVCQHDTENFMLSAEKDTKIAPSVLKTDGNMSGSVSCLNRTSVKEEIYDLNLRKDFESLEIKSIDKGISVHVDEKDTKVSPSVLRTDENLSGAVSCLNRTSVKEEIYDLNLRKDIESVEITSIDKGISAHVDDKNMSLGDHLANKSIHASTALVSEGASTVASVGAVAIQDSGYTQESIMGDPARDTGSKCGYNEDHIRTCQLPDDKILGRNVTDTVISKILDVVTSHSTCDEADNCHPSTKSEDLSVLKATVGDGQPIEGEVKWQEKIASASIAIGVDSQVQFVPKELSSRCSDGCGSNGVELTFLQGSSKIFKEGPQGDDLPSHSMKIGSFLEDCHRSDISHEGRGHTIVCEDMNGFQAGYDSPFEDGELRGSALCSWEENEADGEVECVDYDSDGNGLFSDAADHHGSEVVEAGSEGSQGSEKRVSSASGGVEADFSKSSMSLKNLRVESRRCEDKSDIAGKKGSDAGFGTMVHHSADTSVEGNDDLKKRHGFGFRWSYNEEFGLRTDRGKLQSRIEGPLYLDATDRKDSILLQHRRPLSLGCSYSRPERDFSPEKFVGRYRSAFHVRDRNAGDGHWGSWDSRSRYPSIHHGPEGHGNPRRRSFADLADRFGGMDSRDQRPSINYSSKDIQRPPGFRRRLSVDRDDCYDGPRRMLPARGGIINRNSRGGSGGYSQKNTRGFRQEGNLHLPDDDAPSVRMRHYLSRRDRSFSPSFAKPGRISLPRRKSRSRSRSSSPRAWHSQRDWNMSMRRPGRSPELRTETRMERMRVPFKKSSYVAEYGEGFVSPTRRHFSPDRKSRWMEDQNFGVGSQLRRGRSPVRGIRRNQRFDGMGSDRLKSDDYFRPMIRTRRFPMMGNTGRVSKFENNYNDSRHDDRGEMMHQVRHSDATIGRRIGHDVDDKFEAAGGNSQNNEDIQSSDVQGPGGGGGGSREDKRTFIIQQQ >itb12g26000.t2 pep chromosome:ASM357664v1:12:27082976:27089747:1 gene:itb12g26000 transcript:itb12g26000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVRLEVSNSINPELNWKKVTKGRRSRKPTGRSLNWGGKLKNQSPKRVGDFSGFDSDKFCEIASEQRVPDKAEHIPIKKRRHLLRSKSPSKVHFGLQEESLSPQSLATPTRSEELELLSDHNHSSGHMSSDSYSSSQLAALKFCNGIRHNIMDLKFAEVANGSYYNSEDFSGIALLAAAACSNGMDDDSGTEKEGRDQVEKDNVTPESRDECPDSLETLSVIPHGVNDEQLREDKRSVSPKVDRLHWDLNTLMDSWGQPCDDQVTGNAQNDGDGSKKDKCEIDIGKEQMVPEVCQHDTENFMLSAEKDTKIAPSVLKTDGNMSGSVSCLNRTSVKEEIYDLNLRKDFESLEIKSIDKGISVHVDEKDTKVSPSVLRTDENLSGAVSCLNRTSVKEEIYDLNLRKDIESVEITSIDKGISAHVDDKNMSLGDHLANKSIHASTALVSEGASTVASVGAVAIQDSGYTQESIMGDPARDTGSKCGYNEDHIRTCQLPDDKILGRNVTDTVISKILDVVTSHSTCDEADNCHPSTKSEDLSVLKATVGDGQPIEGEVKWQEKIASASIAIGVDSQVQFVPKELSSRCSDGCGSNGVELTFLQGSSKIFKEGPQGDDLPSHSMKIGSFLEDCHRSDISHEGRGHTIVCEDMNGFQAGYDSPFEDGELRGSALCSWEENEADGEVECVDYDSDGNGLFSDAADHHGSEVVEAGSEGSQGSEKRVSSASGGVEADFSKSSMSLKNLRVESRRCEDKSDIAGKKGSDAGFGTMVHHSADTSVEGNDDLKKRHGFGFRWSYNEEFGLRTDRGKLQSRIEGPLYLDATDRKDSILLQHRRPLSLGCSYSRPERDFSPEKFVGRYRSAFHVRDRNAGDGHWGSWDSRSRYPSIHHGPEGHGNPRRRSFADLADRFGGMDSRDQRPSINYSSKDIQRPPGFRRRLSVDRDDCYDGPRRMLPARGGIINRNSRGGSGGYSQKNTRGFRQEGNLHLPDDDAPSVRMRHYLSRRDRSFSPSFAKPGRISLPRRKSRSRSRSSSPRAWHSQRDWNMSMRRPGRSPELRTETRMERMRVPFKKSSYVAEYGEGFVSPTRRHFSPDRKSRWMEDQNFGVGSQLRRGRSPVRGIRRNQRFDGMGSDRLKSDDYFRPMIRTRRFPMMGNTGRVSKFENNYNDSRHDDRGEMMHQVRHSDATIGRRIGHDVDDKFEAAGGNSQNNEDIQSSDVQGPGGGGGGSREDKRTFIIQQQ >itb12g26000.t4 pep chromosome:ASM357664v1:12:27084531:27089747:1 gene:itb12g26000 transcript:itb12g26000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLCLCPGNFQATVELNKKRNQQKESSCNTCGGRFLVDGIGNISANMLSTVRLEVSNSINPELNWKKVTKGRRSRKPTGRSLNWGGKLKNQSPKRVGDFSGFDSDKFCEIASEQRVPDKAEHIPIKKRRHLLRSKSPSKVHFGLQEESLSPQSLATPTRSEELELLSDHNHSSGHMSSDSYSSSQLAALKFCNGIRHNIMDLKFAEVANGSYYNSEDFSGIALLAAAACSNGMDDDSGTEKEGRDQVEKDNVTPESRDECPDSLETLSVIPHGVNDEQLREDKRSVSPKVDRLHWDLNTLMDSWGQPCDDQVTGNAQNDGDGSKKDKCEIDIGKEQMVPEVCQHDTENFMLSAEKDTKIAPSVLKTDGNMSGSVSCLNRTSVKEEIYDLNLRKDFESLEIKSIDKGISVHVDEKDTKVSPSVLRTDENLSGAVSCLNRTSVKEEIYDLNLRKDIESVEITSIDKGISAHVDDKNMSLGDHLANKSIHASTALVSEGASTVASVGAVAIQDSGYTQESIMGDPARDTGSKCGYNEDHIRTCQLPDDKILGRNVTDTVISKILDVVTSHSTCDEADNCHPSTKSEDLSVLKATVGDGQPIEGEVKWQEKIASASIAIGVDSQVQFVPKELSSRCSDGCGSNGVELTFLQGSSKIFKEGPQGDDLPSHSMKIGSFLEDCHRSDISHEGRGHTIVCEDMNGFQAGYDSPFEDGELRGSALCSWEENEADGEVECVDYDSDGNGLFSDAADHHGSEVVEAGSEGSQGSEKRVSSASGGVEADFSKSSMSLKNLRVESRRCEDKSDIAGKKGSDAGFGTMVHHSADTSVEGNDDLKKRHGFGFRWSYNEEFGLRTDRGKLQSRIEGPLYLDATDRKDSILLQHRRPLSLGCSYSRPERDFSPEKFVGRYRSAFHVRDRNAGDGHWGSWDSRSRYPSIHHGPEGHGNPRRRSFADLADRFGGMDSRDQRPSINYSSKDIQRPPGFRRRLSVDRDDCYDGPRRMLPARGGIINRNSRGGSGGYSQKNTRGFRQEGNLHLPDDDAPSVRMRHYLSRRDRSFSPSFAKPGRISLPRRKSRSRSRSSSPRAWHSQRDWNMSMRRPGRSPELRTETRMERMRVPFKKSSYVAEYGEGFVSPTRRHFSPDRKSRWMEDQNFGVGSQLRRGRSPVRGIRRNQRFDGMGSDRLKSDDYFRPMIRTRRFPMMGNTGRVSKFENNYNDSRHDDRGEMMHQVRHSDATIGRRIGHDVDDKFEAAGGNSQNNEDIQSSDVQGPGGGGGGSREDKRTFIIQQQ >itb12g26000.t1 pep chromosome:ASM357664v1:12:27082976:27089747:1 gene:itb12g26000 transcript:itb12g26000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLCLCPGNFQATVELNKKRNQQKESSCNTCGGRFLVDGIGNISANMLSTVRLEVSNSINPELNWKKVTKGRRSRKPTGRSLNWGGKLKNQSPKRVGDFSGFDSDKFCEIASEQRVPDKAEHIPIKKRRHLLRSKSPSKVHFGLQEESLSPQSLATPTRSEELELLSDHNHSSGHMSSDSYSSSQLAALKFCNGIRHNIMDLKFAEVANGSYYNSEDFSGIALLAAAACSNGMDDDSGTEKEGRDQVEKDNVTPESRDECPDSLETLSVIPHGVNDEQLREDKRSVSPKVDRLHWDLNTLMDSWGQPCDDQVTGNAQNDGDGSKKDKCEIDIGKEQMVPEVCQHDTENFMLSAEKDTKIAPSVLKTDGNMSGSVSCLNRTSVKEEIYDLNLRKDFESLEIKSIDKGISVHVDEKDTKVSPSVLRTDENLSGAVSCLNRTSVKEEIYDLNLRKDIESVEITSIDKGISAHVDDKNMSLGDHLANKSIHASTALVSEGASTVASVGAVAIQDSGYTQESIMGDPARDTGSKCGYNEDHIRTCQLPDDKILGRNVTDTVISKILDVVTSHSTCDEADNCHPSTKSEDLSVLKATVGDGQPIEGEVKWQEKIASASIAIGVDSQVQFVPKELSSRCSDGCGSNGVELTFLQGSSKIFKEGPQGDDLPSHSMKIGSFLEDCHRSDISHEGRGHTIVCEDMNGFQAGYDSPFEDGELRGSALCSWEENEADGEVECVDYDSDGNGLFSDAADHHGSEVVEAGSEGSQGSEKRVSSASGGVEADFSKSSMSLKNLRVESRRCEDKSDIAGKKGSDAGFGTMVHHSADTSVEGNDDLKKRHGFGFRWSYNEEFGLRTDRGKLQSRIEGPLYLDATDRKDSILLQHRRPLSLGCSYSRPERDFSPEKFVGRYRSAFHVRDRNAGDGHWGSWDSRSRYPSIHHGPEGHGNPRRRSFADLADRFGGMDSRDQRPSINYSSKDIQRPPGFRRRLSVDRDDCYDGPRRMLPARGGIINRNSRGGSGGYSQKNTRGFRQEGNLHLPDDDAPSVRMRHYLSRRDRSFSPSFAKPGRISLPRRKSRSRSRSSSPRAWHSQRDWNMSMRRPGRSPELRTETRMERMRVPFKKSSYVAEYGEGFVSPTRRHFSPDRKSRWMEDQNFGVGSQLRRGRSPVRGIRRNQRFDGMGSDRLKSDDYFRPMIRTRRFPMMGNTGRVSKFENNYNDSRHDDRGEMMHQVRHSDATIGRRIGHDVDDKFEAAGGNSQNNEDIQSSDVQGPGGGGGGSREDKRTFIIQQQ >itb13g00620.t3 pep chromosome:ASM357664v1:13:550746:560637:-1 gene:itb13g00620 transcript:itb13g00620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMVSKALVKSRPTVLWCYKDKLELSSHKKKRKKQLKKLMQRGLLDPERADPFELFVETGGVSYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHSQTSGRFNERFLLSLASCKACIVMDDELNILPISSHMKSIKPVPVQEDSEGLSEAERDLKNLKEQLNDDFPAGPLIRKCITLDQGKAVINFLDSILDKTLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFVTAPSPENLKTLFEFICKGFDMLEYKEHLDYDVVKSTNPEFKKATVRINIYKHHRQTIQYIQPHEHAKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQNHMPSKNAESSLSGRLFKKIELNESIRYAPGDPIESWLNSLLCLDITNFTPSISRLPPPGECDLYYVNRDTLFSYHKESELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNHLPDILCVIQVCLEGQISRESAMKSLSAGRQPFGDQIPWKFCQQFNDSVFPSLSGARIVRIATHPTAMKLGYGSAAVELLTRYYEGQLTQMSELDAQDELQDQPVKVTEAAEQVSLLEENIKPRTDLPPLLVPLRERKPEKLHYIGVSFGLTLDLFRFWRKQKFGPFFIGHSPCDWGAHMHGLEALEQ >itb13g00620.t2 pep chromosome:ASM357664v1:13:548509:562240:-1 gene:itb13g00620 transcript:itb13g00620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMVSKALVKSRPTVLWCYKDKLELSSHKKKRKKQLKKLMQRGLLDPERADPFELFVETGGVSYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHSQTSGRFNERFLLSLASCKACIVMDDELNILPISSHMKSIKPVPVQEDSEGLSEAERDLKNLKEQLNDDFPAGPLIRKCITLDQGKAVINFLDSILDKTLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFVTAPSPENLKTLFEFICKGFDMLEYKEHLDYDVVKSTNPEFKKATVRINIYKHHRQTIQYIQPHEHAKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQNHMPSKNAESSLSGRLFKKIELNESIRYAPGDPIESWLNSLLCLDITNFTPSISRLPPPGECDLYYVNRDTLFSYHKESELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNHLPDILCVIQVCLEGQISRESAMKSLSAGRQPFGDQIPWKFCQQFNDSVFPSLSGARIVRIATHPTAMKLGYGSAAVELLTRYYEGQLTQMSELDAQDELQDQPVKVTEAAEQVSLLEENIKPRTDLPPLLVPLRERKPEKLHYIGVSFGLTLDLFRFWRKQKFGPFFIGHSPNSVTGEHTCMVLKPLNSDDIEVNGSNEWGFFGPFYQDFRKRFARLLDKSFRSMDYKLALSVLDPKINFAEADSSDGFLESIDGIFSPHDMKRLEAYTNNLVDFQSIMDCVTILAHLYFSEKLPVSLSYAQASVLLCIGLQDKDVSQIEGEMHLERQQILSLFIKTMKKFYKYLYDLASKEIDSTVSRLEEIKMEPHAISLDDDLNNAAKQVQDEMNAKMDGLLDPDLLQGYAIVDREADFENALQNGGKVLPGGVISVKSNRNKADKGHKGEKKRSKNELSKSSKKRKT >itb13g00620.t1 pep chromosome:ASM357664v1:13:548509:560713:-1 gene:itb13g00620 transcript:itb13g00620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKNRHRSMFVIIGDKSRDQIVNLHYMVSKALVKSRPTVLWCYKDKLELSSHKKKRKKQLKKLMQRGLLDPERADPFELFVETGGVSYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHSQTSGRFNERFLLSLASCKACIVMDDELNILPISSHMKSIKPVPVQEDSEGLSEAERDLKNLKEQLNDDFPAGPLIRKCITLDQGKAVINFLDSILDKTLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFVTAPSPENLKTLFEFICKGFDMLEYKEHLDYDVVKSTNPEFKKATVRINIYKHHRQTIQYIQPHEHAKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQNHMPSKNAESSLSGRLFKKIELNESIRYAPGDPIESWLNSLLCLDITNFTPSISRLPPPGECDLYYVNRDTLFSYHKESELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNHLPDILCVIQVCLEGQISRESAMKSLSAGRQPFGDQIPWKFCQQFNDSVFPSLSGARIVRIATHPTAMKLGYGSAAVELLTRYYEGQLTQMSELDAQDELQDQPVKVTEAAEQVSLLEENIKPRTDLPPLLVPLRERKPEKLHYIGVSFGLTLDLFRFWRKQKFGPFFIGHSPNSVTGEHTCMVLKPLNSDDIEVNGSNEWGFFGPFYQDFRKRFARLLDKSFRSMDYKLALSVLDPKINFAEADSSDGFLESIDGIFSPHDMKRLEAYTNNLVDFQSIMDCVTILAHLYFSEKLPVSLSYAQASVLLCIGLQDKDVSQIEGEMHLERQQILSLFIKTMKKFYKYLYDLASKEIDSTVSRLEEIKMEPHAISLDDDLNNAAKQVQDEMNAKMDGLLDPDLLQGYAIVDREADFENALQNGGKVLPGGVISVKSNRNKADKGHKGEKKRSKNELSKSSKKRKT >itb10g00430.t2 pep chromosome:ASM357664v1:10:277310:290022:-1 gene:itb10g00430 transcript:itb10g00430.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g05090/T12H1_5 [Source:Projected from Arabidopsis thaliana (AT3G05090) UniProtKB/TrEMBL;Acc:Q93ZS6] MHRVASVGNTSNSSRPRKEKRLTYVLNDADDTKHCAGVNCLAVSKSSVPDGCDYLFTGSRDGTLKRWALAEDGATCSATFESHVDWVNDAVLTGGNTLVSCSSDTTVKVWNSLSDGICARTLRQHSDYVTCLAAAEANNNIFASAGLGGEVFVWDLEAALAPVSKSSDGTEDECSNGVNGTANSLPVTSLRPISSSNNISVHATQSQVYAPTAAKGHKESVYALGMNESGTLLVSGGTEKVIRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFSHVYSGGRDLSLYLTDLATRESVLLCTKEHPIVQLALHDDGIWVATTDSSVHRWPAEVQNPLKVFQRGGSFLAGNLSFSRARISLEGSTPVPVYREPSFSIPGTPGIVKHEILNNRRHVLTKDTAGAVKLWEITRGAVIENYGEVSFEKKKEELFEMVSIPAWFTVDTRLGNLSVHLDTPQCFSAEMYSVDLNIAGKPEDDKINLARETLKGLLAHWLTKRRQRFGSQVSGNGEVPPGKDISSRNLAVSRVEVDSNADNDSAVYPPFEFSAVSPPSIITEGSQGGPWRKKITDLDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGSTVQILTQGKLSAPRILRIHKVINYVIEKMVLDKPPLDSLNSDGTFVPGGPASGVGEFRSGLKPWQKLKPSIEILCNNQVLAPDMSLATVRAYIWKKPEDLVLNYRVTPVR >itb10g00430.t1 pep chromosome:ASM357664v1:10:277310:290022:-1 gene:itb10g00430 transcript:itb10g00430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g05090/T12H1_5 [Source:Projected from Arabidopsis thaliana (AT3G05090) UniProtKB/TrEMBL;Acc:Q93ZS6] MHRVASVGNTSNSSRPRKEKRLTYVLNDADDTKHCAGVNCLAVSKSSVPDGCDYLFTGSRDGTLKRWALAEDGATCSATFESHVDWVNDAVLTGGNTLVSCSSDTTVKVWNSLSDGICARTLRQHSDYVTCLAAAEANNNIFASAGLGGEVFVWDLEAALAPVSKSSDGTEDECSNGVNGTANSLPVTSLRPISSSNNISVHATQSQVYAPTAAKGHKESVYALGMNESGTLLVSGGTEKVIRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFSHVYSGGRDLSLYLTDLATRESVLLCTKEHPIVQLALHDDGIWVATTDSSVHRWPAEVQNPLKVFQRGGSFLAGNLSFSRARISLEGSTPVPVYREPSFSIPGTPGIVKHEILNNRRHVLTKDTAGAVKLWEITRGAVIENYGEVSFEKKKEELFEMVSIPAWFTVDTRLGNLSVHLDTPQCFSAEMYSVDLNIAGKPEDDKINLARETLKGLLAHWLTKRRQRFGSQVSGNGEVPPGKDISSRNLAVSRVEVDSNADNDSAVYPPFEFSAVSPPSIITEGSQGGPWRKKITDLDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGSTVQILTQGKLSAPRILRIHKVINYVIEKMVLDKPPLDSLNSDGTFVPGGPASGVGEFRSGLKPWQKLKPSIEILCNNQVLAPDMSLATVRAYIWKKPEDLVLNYRVTPVR >itb07g22150.t1 pep chromosome:ASM357664v1:7:26631641:26635876:1 gene:itb07g22150 transcript:itb07g22150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRMWLCCFVLASSLLFSLCLAVPVKLTVRGVTTVAETEDNFVCATMDWWPETKCNYNQCPWGKAGLLNLDLENEILINAIKAFDRLRLRVGGSLEDQVLYEVGYAVKNCTDFKRTEGGLFGFSQGCLHMSRWDELHKMFNETRAVMTFGLNALFGRQKAKKDGTLWVGDWNPQNARDLMEYTVSKGYKVESYELGNELCGSGVSARVEAEQYGKDIVALKNVVEEVHPNPTSRPKVLGPAGFYDEKWFNTFLQTSGANVVDGLTHHIYNLGSGDDENLIHKIQDPFYLDRIAQTFKDVSSSVQRFGPWSGAWVGEAGGAYNSGGKHVSHYFADGFWYLDQLGMTATFNHKVYCRQALIGGNYALLNTTTFIPNPDYYGALLWNRLMGKKVLAVSHDSSPYLRTYAHCSKHGGGITVLLINMSNSTTFRVRTRNDMNLYSASARRLLLLKDAPEREEYHLTPEGGNIQTDVVLLNGTPLKITNGSRIPVMNPRLVDPSMPINVAPYSIVFANIKGFRAPAC >itb05g15330.t1 pep chromosome:ASM357664v1:5:22723518:22726237:1 gene:itb05g15330 transcript:itb05g15330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFGDRVKMEEALLLQKKVERRAFVVEFKKVSFIAIPMVVVTVSQHLLRVVAMMMVGHLSELSLSGAAIATSITNVTGFSLLFGMASALETLCGQAYGAEQYQKLGVYTYAAIISLIAVCIPISILWIFMDKLLIFIGQDPLISTEAGRYSTWLIATLVPYAILQAQVRYLQTQSLILPMLLSSIASLCFHVLICWALVFKINMGSGGAALAIGLSYWVNVILLGVYVRYSSSCKKTLNSVNCFSSKDVFTGVGEFFRLAVPSASMVCLEWWTSEIIVLLSGLLPNPQLETSVLSVCLLIASMHYFVPFSIGAGASTRVSNQLGAGNAEAARAAVWAALLLTIAEAIIANAALLGSSRVLGYAFSNEKAVVDYLREMVPFVCLLLTMDCIQAVLSGVARGSGWQHLGAYVNLGAFYLVGMPVAIVLGFVVHLKGKGLWIGLNSGATVQSLLLCVITCFTDWQKQGSIARERIFHGGNP >itb05g15330.t2 pep chromosome:ASM357664v1:5:22723518:22726237:1 gene:itb05g15330 transcript:itb05g15330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFGDRVKMEEALLLQKKVERRAFVVEFKKVSFIAIPMVVVTVSQHLLRVVAMMMVGHLSELSLSGAAIATSITNVTGFSLLFGMASALETLCGQAYGAEQYQKLGVYTYAAIISLIAVCIPISILWIFMDKLLIFIGQDPLISTEAGRYSTWLIATLVPYAILQAQVRYLQTQSLILPMLLSSIASLCFHVLICWALVFKINMGSGGAALAIGLSYWVNVILLGVYVRYSSSCKKTLNSVNCFSSKDVFTGVGEFFRLAVPSASMVCLEWWTSEIIVLLSGLLPNPQLETSVLSVCLLIASMHYFVPFSIGAGARSALKLVLRQDSVICSWSRHVFCE >itb12g14350.t1 pep chromosome:ASM357664v1:12:13845509:13846182:-1 gene:itb12g14350 transcript:itb12g14350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAPYLQVSFANPCKRSIISRIHLVTPAWCIAACTDVPCARTIERLRVVYQITLLCHANDDCQSSTCPRDDCFRRQPGPRDTSFVKLPTPRSLRNDLASQSSDKSGDLSIVLDSQKEMAQSAKPISSPVPVSMYPTLAFFMIAVGLVVTASFFIYEATSSRKNRSLAKELTIASVASVFMGFGSLFLLLALGVFV >itb02g12000.t2 pep chromosome:ASM357664v1:2:8037831:8056444:-1 gene:itb02g12000 transcript:itb02g12000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGKLMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCNGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKMEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRMDTFYDRASFSLMCSYMGDAMDHIDLPTPALVKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFKKGELEDAPGCDAAQTLEANITKELNAIRVKTGQVCTDTLHWRNSPLIMSKCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFATKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDNQKFARIVKGRIEKTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSCLSIDAYIVKESILQTKKIKLKEQHIKVLDPGKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVERAVINKDEKEKEMGKEKYNLLVEGTGLLAVMGTDGVVGCNTKTNHIMEVNQVLGIEAARQGIIGEIKYIMKQHGMTIDVRHMMLLADLMTFKGEVLGITRFGIQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMQMGTGMLKVMQSNTSHPVELNYGKDSIIPRDD >itb02g12000.t3 pep chromosome:ASM357664v1:2:8037831:8056444:-1 gene:itb02g12000 transcript:itb02g12000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MWALGKLMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCNGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKMEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRMDTFYDRASFSLMCSYMGDAMDHIDLPTPALVKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFKKGELEDAPGCDAAQTLEANITKELNAIRVKTGQVCTDTLHWRNSPLIMSKCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFATKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDNQKFARIVKGRIEKTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSCLSIDAYIVKESILQTKKIKLKEQHIKVLDPGKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVERAVINKDEKEKEMGKEKYNLLVEGTGLLAVMGTDGVVGCNTKTNHIMEVNQVLGIEAARQGIIGEIKYIMKQHGMTIDVRHMMLLADLMTFKGEVLGITRFGIQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMQMGTGMLKVMQSNTSHPVELNYGKDSIIPRDD >itb02g12000.t1 pep chromosome:ASM357664v1:2:8037191:8056444:-1 gene:itb02g12000 transcript:itb02g12000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKSEAMLFTKQPYIEDVGPRKIKSIQFSTFSGSEVMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCNGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKMEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRMDTFYDRASFSLMCSYMGDAMDHIDLPTPALVKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFKKGELEDAPGCDAAQTLEANITKELNAIRVKTGQVCTDTLHWRNSPLIMSKCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFATKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDNQKFARIVKGRIEKTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSCLSIDAYIVKESILQTKKIKLKEQHIKVLDPGKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVERAVINKDEKEKEMGKEKYNLLVEGTGLLAVMGTDGVVGCNTKTNHIMEVNQVLGIEAARQGIIGEIKYIMKQHGMTIDVRHMMLLADLMTFKGEVLGITRFGIQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMQMGTGMLKVMQSNTSHPVELNYGKDSIIPRDD >itb02g12000.t4 pep chromosome:ASM357664v1:2:8037831:8056477:-1 gene:itb02g12000 transcript:itb02g12000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKSEAMLFTKQPYIEDVGPRKIKSIQFSTFSGSEVMKSAEVEVYRGVYYDASKNPIPNGLLDPRMGPPNKTKSCATCNGDFKQCPGHYGYLNLALPVFNVGYLPIIVDILKCICKGCSRILLGEKERLDFLRKMRNTKMEHLKKNELLKRVVKRCTAMTSGRKAVICSRCGCVNGMVKKGPLKIIHEYGSQFLDECHNAVSNKIKGSITVPPELDSKTVHALFKKMIDEDCELLYLSDKPEKLLVTSIPVPPIAIRPSVFVDGGMQSNENDITERLKRIIQANSSLRQEISDTTLPARSLASWVDLQMEVAQYINSDVRGLPPQMQASRPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMARILTFPERVSRHNIEKLRQCVRNGPNKYPGAKYIRHPDGTEISLMYSSRKRHADELKYGYIVDRHLEDGDAVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADLRFNESVCNPYNADFDGDEMNMHVPQTVEARTEALLLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRMDTFYDRASFSLMCSYMGDAMDHIDLPTPALVKPVELWTGKQLFGVLLRPYSKMRVYLNLTLKEKSYPREKGLEKGLETMCPSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYNTHGAAICMNRLAKLSARWIGNHGFSIGIDDVQPGKELVEKKTMEIEKGYGICEAYIASFKKGELEDAPGCDAAQTLEANITKELNAIRVKTGQVCTDTLHWRNSPLIMSKCGSKGSDINISQMVACVGQQSVGGCRAPNGFMDRSLPHFATKSIFPAAKGFVASSFYDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLSILYDRTVRNASSCIVQFEYGDDGMDPAQMEEKEGHPLNFNRLFMKVKATCPPGEEKSLSSSEIQQMVHKRLSEHDTTPDGGCSAAFCNSLKEFIENNCVASLEKTRKDLKLDGEHNAGEDLDALESITLNISGVTRKQLQVFLETCISRYHAKKLEDGTSIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKRISTPIIEAKLSCDDNQKFARIVKGRIEKTLLGQVAKSIKIVMAARVASIVIVLDLEAIQTSCLSIDAYIVKESILQTKKIKLKEQHIKVLDPGKLEVIPHADRSKLHFELHRLKNKLPGVVVKGINTVERAVINKDEKEKEMGKEKYNLLVEGTGLLAVMGTDGVVGCNTKTNHIMEVNQVLGIEAARQGIIGEIKYIMKQHGMTIDVRHMMLLADLMTFKGEVLGITRFGIQKMKDSVLTLASFEKTADHLFNASVSGRDDKIEGVGECIIMGIPMQMGTGMLKVMQSNTSHPVELNYGKDSIIPRDD >itb10g18890.t1 pep chromosome:ASM357664v1:10:24677232:24680398:1 gene:itb10g18890 transcript:itb10g18890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRGCAYTFPNQFSTLIQKQISGVRVDGLLQIQFLKYHAPRKKAVKFAVSAEKVIGTPPVHITRSQSERSLTRSNTGEKKLLQRKGKKEHHLWKKRDSAGSGQKALNLVRIISGLPDEKNVVYAALDKWVAWETEFPVIAAAKALRILRKQNQWRRLIQVAKWMLSKGQGATMGTYDLLLLAFDMDQRVDEAGMLWNMILNTRTRSISKWLFSRIISLYDHHNMPDKIIEVFADMEELAVKPDEDTVRRIARAFHTLGQLDKQKMVLKRYQRKWKYIHFNGERVRVKTDKWDE >itb04g23130.t1 pep chromosome:ASM357664v1:4:28324951:28327098:-1 gene:itb04g23130 transcript:itb04g23130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMREWCCGVFLCITFGLLVCCSQSQARYHHHYHHHGHGNYKHKQQHSHHPISQISYPPSPSPSPSPSDDNDGIFDVRAFGAVGDGMTDDTQAFKEAWDAACQVESATLLVPSAYSFLIHSTIFTGPCQTGFVFQLDGTVMPPEGPESWAKNLSRRQWLVFYRVNELSVQGGGTIDGRGEGWWNLPCKPHKGVNGTTLPGPCDSPIAVRFFMSSNIGVAGIRIKNSPQFNFRFDNCESVHIQSIHITAPPLSPNTDGIHVENTNNVKIYDSLISNGDDCVSIGSGCYNVDIRNLTCGPGGHGISIGSLGNHKSRACVSNITVRDSVIRQSDNGVRIKTWQGGWGAVLGVTFDNIHMDNVRNPIIIDQFYCLSSTCENTTSAVSISGVQYSGIKGTYDIRSPPMHFACSDSVPCTNITLSDIELLPARGDLVLDPFCWNAYGGIYTLTIPPVFCLLEGFPRSVSENHLSTC >itb01g06360.t1 pep chromosome:ASM357664v1:1:4544882:4547010:-1 gene:itb01g06360 transcript:itb01g06360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWQIFRIILTIILCSTVWISQATSRAIQGASMAERHEQWMAIYGRQYQSVAEKEKRYRIFKSNVEFIDMVNGAGNRPYTLGINGFADLTNDEFRAAYIGALKPSATKSSEMNTFRYETSGAIPPSIDWRKKGAVTPIRGAECGAWTFPAVDTVEGITKIKTGKLYTLSVQEIVDCINGCSNGCNGGFTIEAFEFIKDHGLTTESNYPSTGTNGTCNAKKEAQPVAKISGYESVPAGENALMKAVAKQPVAVYVDASRAEFQFYAGGVFSGDCGTTLDHGGTVVGYGVSHDKQEYWILKNSWGTRWGEKGYMRLARGINKEGGQCGVAMEATYPVV >itb13g08960.t1 pep chromosome:ASM357664v1:13:11862745:11867686:-1 gene:itb13g08960 transcript:itb13g08960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSRLVGSETMTTTMFSSFCLESKVMGGSRVSPTFCSWRGIYLKASYSKTSHDAISAVAEEKFLVEAFPYHINGRNGSSLYLRETRVLDASDDEYGGVVVNPGKLPSNPNTFTSMLRASLSHWKLKGKQGVWLKLPIEKSDLVPVAVKEGFEYHHAEKGYVMMTYWIPQGPSMLPSNASHQVGVGGFVINDKNEVLVVQEKHCAPELFGLWKIPTGFILESEEIYTGVVREIKEETGIDTEFVEVIAFRHAHNVAFQKSDLFFVCMLRPVSKQIMVDDLEIQAAKWMPLVEFVEQPLIQSDSMFKKIIDICLARLRKRYCGLSVHQLVSKFDGRLSSLYFNTVENSDSNCQAN >itb09g29290.t1 pep chromosome:ASM357664v1:9:29993628:29996073:1 gene:itb09g29290 transcript:itb09g29290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVENSVGRSLCDLAPLEAILFDIDGTLCDSDPIHLIAFRQVLQQMGYNGGEAIDEEFYMKYINGKHNEEIVSSIFPGDPERGIKLVDDKEALYRRLAKDKLKPIEGLHQLRKWIEDHGLKTAAVTNAPRPNADLMIEVLGLSDFFDAIIIGPECEHPKPYPHPYLKALQVLKVSKDHTFIFEDSVSGIKAGVAAGMSVVGLTTQNPAHLLMEADPIFLIKDFADPKLWAALGDFDKNVA >itb09g29290.t2 pep chromosome:ASM357664v1:9:29993628:29995152:1 gene:itb09g29290 transcript:itb09g29290.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVENSVGRSLCDLAPLEAILFDIDGTLCDSDPIHLIAFRQVLQQMGYNGGEAIDEEFYMKYINGKHNEEIVSSIFPGDPERGIKLVDDKEALYRRLAKDKLKPIEGLHQLRKWIEDHGLKTAAVTNAPRPNADLMIEVLGLSDFFDAIIIGPECEHPKPYPHPYLKALQVLKVSKDHTFIFEVSFLLL >itb06g25160.t1 pep chromosome:ASM357664v1:6:26357776:26364140:1 gene:itb06g25160 transcript:itb06g25160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPQRNSLGDRNVEQAIIAIKRGSYLLKYGSRGKPKFCPFRLSTDETRLIWYHGKEKNLQLSHVSTIIPGQRTATFQRYPRPDKEYQSFSLIYDGKHSLDLICKDKNEAEIWCIALRALISRDNCEKWRSEKRSHSASSDSSTALTQQSPQSILSSSSSSNIVNEDQRKNQFVLHPYESPPQKRLERALSDMLLYNDAAPCSPQKDLNSNSIGSRSLKNINYENGRSSVDTFRVSFSSAVSSSSQRSLGNFDTDILIWGERTGDDLLGGGFHSGGKLPSATRDALLPKTLESALVLDAQIIACGSRHAVLITKQGETFSWGEGSFGQLGHGVESDIYNPKLINTLSGLKVTSAACGEYHTCAITVDGELYTWGDGTYNFCLLGHGTGISHWTPKKVRGPLEDKHVSMVSCGPWHSAVVTSMGQLFTFGDGTFGALGHGDHCNTSIPREVETLEGLRTLKVSCGYWHTAAIVEFSLENSDSGESSTGRLFTWGNGNEGQLGHGDEASRLVPCCITMLDGTNFCQVACGHSITVALTTSGQVYTMGSSDYGQLGIPDSTGKLPSCVHGKIKNSFIEKIACGSFHVAVLSSQSEVYTWGKGGNGQLGHGENYDRSTPTRVEALKDRCIKSVVCGKNFTAVTCLHKEVSIADYSIICSGCSRPFNFRRKCHNCYNCGLVFCNTCTSKKSLKASLAPSMHKPYRVCEDCFAKLNKGLDVALSTLPPKALASHKSFSEQKDKEPVHTKPKSLLSRLLSFDSFRWPETWHSKKNQKQDSSFSRTPNGSPQFDRSCVSSPASMRDYSDKTPILIPGTPNHSVSGSPVSIKPNHSHSFSVPCNFSLVGSPQVLSNARDTSEDLTKELSILREQVETLTSRSHFLEVELKTTLKQLMEAHEVARVETRKNIAAKEVIRCLVTQLKDLAARLPHDTSSCRNSDPFIDDTLNTLFAVHLKVGESLASPGGSKSHFNSI >itb11g05530.t1 pep chromosome:ASM357664v1:11:3274032:3275917:-1 gene:itb11g05530 transcript:itb11g05530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRVEIFGFFCVGVLMVGLTSAATARFDDLFQPTSATDHLLVEGDVLRMKLDSFSGAGFQSKSKYMFGKVTAQIKLVEGDSAGTVTAFYMSSDGPKHHEFDFEFLGNTSGEPYLVQTNVYVNGVGNREERVNLWFDPTKDFHRYSIVWTSRKVLFVVDDTPIRVHSNLEAKGIPFPRDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYTGFEIDACECAAANTNAAANYTSQCSSSNEKRFWWDEPTVSELSVHQSHQLIWVRANHMVYNYCADTARFPVAPVECQHHRA >itb09g20760.t1 pep chromosome:ASM357664v1:9:17735194:17738822:1 gene:itb09g20760 transcript:itb09g20760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSKMATNDQHGENSPYFDGWKSYDKDPFHPTRNPHGVIQMGLAENQLSFDLVEEWIKKNPKASICTSEGIKLFRDIANFQDYHGLPEFRKGVARFMGKARGNRVSFDPERIVMAGGATGANETVMFCLADPGDAFLVPSPYYPAFLRDLRWRTGVQLIPITCKTSDNFQISIEALQQAYENAKGENVNVKGLILTNPSNPLGTTLDRETLRSIVSFTNSHNLHFVSDEIYAGTVFATPGFVSVAEILEAEKHFNRDLIHIVYSLSKDMGFPGFRVGIIYSYNDAVVSCARKMSSFSLVSSQTQHLLALMLSDDDFVDRFLAESSERLGKRHKLFTGGLEQLNIKCLKSNAGLFCWMDLRPLLKEPTFDAEMSLWRLIINDVKLNVSPGSSFECHEPGWFRVCFANMDDETVEIALSRIRRFVGKENPKTKQNDDGNDKAQKKTKQRSWQKNLQLSFSKRNTMYDENIMVALSPHSPIPRSPLVLARN >itb07g07890.t1 pep chromosome:ASM357664v1:7:6174254:6174887:1 gene:itb07g07890 transcript:itb07g07890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMVLAMEEGEKKRKSERDGDDGVSAAMKKKRGKEEADQPAAEEVADEEVEEFFAILRRIHAAVKYFAKGGDGGAGGSGRKMIQAIGREESSGVLDEKKREDDEESKGFDLNAIPNDDDNT >itb01g07140.t1 pep chromosome:ASM357664v1:1:5363958:5366351:1 gene:itb01g07140 transcript:itb01g07140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSYPSRSSLYSNGLLSLKSPPPFHRPTLSRFSSQSPSLQSSTFLGYKYNPLSVSVDLKGGMKKRLRSGVVCYSAALTPRNLHWVCTVSSVVLMLAKGTSIHKSFLVPLFALQAPSTLDSWIKGEYGFWTAFLALLVRLFFFFPGELELPFIALLMVIVSPYQVSNLRGTKEGVVLSLLISAYLAFQHFSRIGSLRKAFDQGSIIATLAILCIVVVPWLLLI >itb09g16870.t1 pep chromosome:ASM357664v1:9:11988272:11991030:1 gene:itb09g16870 transcript:itb09g16870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g31560/F3L17_130 [Source:Projected from Arabidopsis thaliana (AT4G31560) UniProtKB/TrEMBL;Acc:Q9SV14] MAAPIASPNFLCPQTLPLSYKPHAPPLLPVAPPLNCRSKAPVLVFGPRRGRKSRGISVVTRAGSSSYIFAFVFPITMLAITVVASIRVADKLDQQYLEELAIEQSILETEEDDDGNAATSPKEEIEIPSKRNRPKREVEITST >itb02g07700.t1 pep chromosome:ASM357664v1:2:4804125:4805886:-1 gene:itb02g07700 transcript:itb02g07700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVELQKNSPKWTKVVEEIVRIEKRVFPKHESLARSFDEELRKKNGGLLYMEVGAGEVAGYVMYSWPSSLAACITKLAVKENYRKQGFGEALLKEAIQKCRTRKVQLVSLHVDPSRIAAMKLYQKLGFQVDTLVEGYYSADRSAYRMYLDFDSE >itb14g15530.t1 pep chromosome:ASM357664v1:14:18846417:18849411:1 gene:itb14g15530 transcript:itb14g15530.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSSRAKLFSIAFLLLSVTHVIKSQEVDWGFSYDENSERGPSHWGELRPEWWQCGAGKMQTPIDLESKPVVYSNLGPIHNYYTTHVAILENRGYDMMLSWPLGRGFLSINGVSYSFRQVHWHSPSEHVINGTSYDLEAHLVHVSNDGQIAVLAVLYQIGETPDPILSVIENDLKELAETLGVVKYVGYLDPNLLQARGRRYYRYMGSLTTPPCTEGAVWTVMGTIRSVTKEQVALIRNAIHDDTKTNARPLQPINDRFVEVDRPGEHSED >itb11g10180.t1 pep chromosome:ASM357664v1:11:6918691:6920930:1 gene:itb11g10180 transcript:itb11g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKFMISSIVAGLFSLRRPKMTSSKKSDGQSPSSPTQFVHYQSLPSEPQQPQYIIVLPPYPRPRPIFDRSCRNFLLCVSVIVLLAVAVFFLWPSGPDVSVARLKLQGFKIHLIPLSIDITLDLSVKVRNPNFYSIDYTSLVVWIGYRGKQLGNVTSDKGHLQARASSYINATLELEGVEIFSDVLSIIEDVSKGSITFDTVTLINGQLDLYFFHLPLEGKVSCEIVVDTNNQTISSQDCYPE >itb05g24030.t1 pep chromosome:ASM357664v1:5:28999171:28999476:1 gene:itb05g24030 transcript:itb05g24030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETKASRGDVLRVQPASEEAFVLHPTRETLDGSPPPLFEVTTATGRTAAKPPLMRLEPWRSPVWSFEMDGAARSTAVDLRWSFEMDGDGALAFEISLFQI >itb01g24040.t2 pep chromosome:ASM357664v1:1:29811336:29813637:-1 gene:itb01g24040 transcript:itb01g24040.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITWETSPSFYLQKPLYLLKSKPVVSNSTNKRKPLSWQEQRKLKRQQKQVEEDQQTLSNLESAIPQSNIGFKMLKQMGYTPGSTLGKDGSGRAEPVALEIRRGRAGLGKEDSRLEKARKEIEKLESEKMKEKELLVEFEHRQKERWKGRRIVVNFHKAEAVLAQLENREVVEEDKEEEEGEKEEEEEEEIITEEDLLKILMKLRDEYHYCLFCGCRYESLEALVDNCPGIMEEDH >itb01g24040.t1 pep chromosome:ASM357664v1:1:29811336:29813637:-1 gene:itb01g24040 transcript:itb01g24040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDEDYMGDLSQFLPPEASVPSKKVSNSKPVVSNSTNKRKPLSWQEQRKLKRQQKQVEEDQQTLSNLESAIPQSNIGFKMLKQMGYTPGSTLGKDGSGRAEPVALEIRRGRAGLGKEDSRLEKARKEIEKLESEKMKEKELLVEFEHRQKERWKGRRIVVNFHKAEAVLAQLENREVVEEDKEEEEGEKEEEEEEEIITEEDLLKILMKLRDEYHYCLFCGCRYESLEALVDNCPGIMEEDH >itb15g09720.t1 pep chromosome:ASM357664v1:15:6961513:6963729:1 gene:itb15g09720 transcript:itb15g09720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFDRRSERFDGYRLSADASDSDSSSTPTSAFSCDLRRRQAESSTSLSSFSLDFDSNSESSPLVVLPPVVDGRHVTLPTVKTEKCATELPEAELMKERFAKLLLGEDMSGGRKGVCTALAISNAITNLAATVFGDLWKLEPLAPQKKSMWCREMKWLLSVTDSIVELVPSMQAFPGGGTFGVMVPQPRPDIDVNLPALKKLDAMLISILDGFHDSEFCYVDRGVVVADGEQIEACPLSPSSRRSSIRLEEKWWLPFPKVPPNGLSEETRKRLQQCRDCSNQIFKAALAINKSVLSEMEVPEVYTDSLPKSGKEALGEILYQYIATDQLSSEGLDCFDLSSEYTVLEMTNRIEAAMHVWRQKYQKKKLNPGKKSWGDAVKGLVAYTERDRQLSQRAETLLTCLKLNFPDLPQTTLDMNKIQYNRDVGQAILESYSRVLESLAFNLMARIEDLLYVDEATQQRSAAQSPVLKQRQNLGAHSLQKWAFF >itb04g30890.t3 pep chromosome:ASM357664v1:4:33873961:33881638:-1 gene:itb04g30890 transcript:itb04g30890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTEAGEVVISSIRTGMKREFAMMMKAQAECGISIGQKRVTRSQNGALNGRASISPQNGSRTKSSTVKRRKKEANVVTADQSLSHSNEKLDKTEELRSKDEGEKVEKLPLTSEWEEPKSDVVDGASEDEKNGTAVDSGIGEQETACVMEREELNSLGGSQKERLINGDVAAKPVDVDNSGNGEENNGLIQKELLINGDVAEKPKEVDKSDNDKQSDSLVNCGGGVEKERELNGDVTEKMMDVDKTDNEEQLDSLMEPAMEELPMLGDCKTKSEAIKADCAYGSALAIVPESVENSKVSTSSEKPLRRFTRSCLKPKQQAMSASPPEDTKAEDAMESDEASAIGTTSKLEMKMSKKVALVKIPTKLKGLLATGLLEGLPVRYVRVTKARGRPEKGLQGVIQGSGILCFCQNCGGTKVVTPNQFEMHAGSSNKRPPEYIYLQNGKTLRDVLVACKDAPADALEAAIRNATGAGDARKSTVCLNCKASLPEASFGRPRLLCDSCMTSKKSQTTPSQVGDANCRSPSSNSVLKSTERMSSGTCPPSKVHGRLTRKDLRMHKLVFEGDVLPDGTALAYYVRGKKLLEGYKKGGAIFCYCCQSEVSPSQFEAHAGCASRRKPYSHIYTSNGVSLHELSIKLSMERRSSSDENDDLCSICADGGDLLCCDNCPRAFHTECVSLPNIPRGTWYCKYCENMFLKEKFDRSANAIAAGRVAGIDALEQITKRSIRIVDTLHAEVGVCVLCRSHDFSTSGFGPHTVIICDQCEKEYHVKCLKEHNMDDLKELPKDKWFCCKECNSIHYALQKLVSDGEQSLPDSLMGIIKEKIKAKNLEDNSINDVKWRLLSGKNSTEETRVWLSGAVSIFHDSFDPIADSSTSRLDLIPTMVYGRNFKDQDFGGMLCAILMVNSLVVSAGVIRIFGKEVAELPLVATSLDCQGKGYFQSLFYSIENLLKSLGVKYLVLPAAEEAESIWTKKFGFQHITPEELKHYKDNYQLMIFQGTAMLQKQVSES >itb04g30890.t2 pep chromosome:ASM357664v1:4:33873961:33881638:-1 gene:itb04g30890 transcript:itb04g30890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTEAGEVVISSIRTGMKREFAMMMKAQAECGISIGQKRVTRSQNGALNGRASISPQNGSRTKSSTVKRRKKEANVVTADQSLSHSNEKLDKTEELRSKDEGEKVEKLPLTSEWEEPKSDVVDGASEDEKNGTAVDSGIGEQETACVMEREELNSLGGSQKERLINGDVAAKPVDVDNSGNGEENNGLIQKELLINGDVAEKPKEVDKSDNDKQSDSLVNCGGGVEKERELNGDVTEKMMDVDKTDNEEQLDSLMEPAMEELPMLGDCKTKSEAIKADCAYGSALAIVPESVENSKVSTSSEKPLRRFTRSCLKPKQQAMSASPPEDTKAEDAMESDEASAIGTTSKLEMKMSKKVALVKIPTKLKGLLATGLLEGLPVRYVRVTKARGRPEKGLQGVIQGSGILCFCQNCGGTKVVTPNQFEMHAGSSNKRPPEYIYLQNGKTLRDVLVACKDAPADALEAAIRNATGAGDARKSTVCLNCKASLPEASFGRPRLLCDSCMTSKKSQTTPSQVGDANCRSPSSNSVLKSTERMSSGTCPPSKVHGRLTRKDLRMHKLVFEGDVLPDGTALAYYVRGKKLLEGYKKGGAIFCYCCQSEVSPSQFEAHAGCASRRKPYSHIYTSNGVSLHELSIKLSMERRSSSDENDDLCSICADGGDLLCCDNCPRAFHTECVSLPNIPRGTWYCKYCENMFLKEKFDRSANAIAAGRVAGIDALEQITKRSIRIVDTLHAEVGVCVLCRSHDFSTSGFGPHTVIICDQCEKEYHVKCLKEHNMDDLKELPKDKWFCCKECNSIHYALQKLVSDGEQSLPDSLMGIIKEKIKAKNLEDNSINDVKWRLLSGKNSTEETRVWLSGAVSIFHDSFDPIADSSTSRLDLIPTMVYGRNFKDQDFGGMLCAILMVNSLVVSAGVIRIFGKEVAELPLVATSLDCQGKGYFQSLFYSIENLLKSLGVKYLVLPAAEEAESIWTKKFGFQHITPEELKHYKDNYQLMIFQGTAMLQKQVSES >itb04g30890.t1 pep chromosome:ASM357664v1:4:33873961:33881638:-1 gene:itb04g30890 transcript:itb04g30890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTEAGEVVISSIRTGMKREFAMMMKAQAECGISIGQKRVTRSQNGALNGRASISPQNGSRTKSSTVKRRKKEANVVTADQSLSHSNEKLDKTEELRSKDEGEKVEKLPLTSEWEEPKSDVVDGASEDEKNGTAVDSGIGEQETACVMEREELNSLGGSQKERLINGDVAAKPVDVDNSGNGEENNGLIQKELLINGDVAEKPKEVDKSDNDKQSDSLVNCGGGVEKERELNGDVTEKMMDVDKTDNEEQLDSLMEPAMEELPMLGDCKTKSEAIKADCAYGSALAIVPESVENSKVSTSSEKPLRRFTRSCLKPKQQAMSASPPEDTKAEDAMESDEASAIGTTSKLEMKMSKKVALVKIPTKLKGLLATGLLEGLPVRYVRVTKARGRPEKGLQGVIQGSGILCFCQNCGGTKVVTPNQFEMHAGSSNKRPPEYIYLQNGKTLRDVLVACKDAPADALEAAIRNATGAGDARKSTVCLNCKASLPEASFGRPRLLCDSCMTSKKSQTTPSQVGDANCRSPSSNSVLKSTERMSSGTCPPSKVHGRLTRKDLRMHKLVFEGDVLPDGTALAYYVRGKKLLEGYKKGGAIFCYCCQSEVSPSQFEAHAGCASRRKPYSHIYTSNGVSLHELSIKLSMERRSSSDENDDLCSICADGGDLLCCDNCPRAFHTECVSLPNIPRGTWYCKYCENMFLKEKFDRSANAIAAGRVAGIDALEQITKRSIRIVDTLHAEVGVCVLCRSHDFSTSGFGPHTVIICDQCEKEYHVKCLKEHNMDDLKELPKDKWFCCKECNSIHYALQKLVSDGEQSLPDSLMGIIKEKIKAKNLEDNSINDVKWRLLSGKNSTEETRVWLSGAVSIFHDSFDPIADSSTSRLDLIPTMVYGRNFKDQDFGGMLCAILMVNSLVVSAGVIRIFGKEVAELPLVATSLDCQGKGYFQSLFYSIENLLKSLGVKYLVLPAAEEAESIWTKKFGFQHITPEELKHYKDNYQLMIFQGTAMLQKQVSES >itb07g08130.t1 pep chromosome:ASM357664v1:7:6342529:6344658:-1 gene:itb07g08130 transcript:itb07g08130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRDRDCDVIKDKLLRGSSELGLIPIVGMVGIGKTTLVKSIYTDPALLSHFQVRGGVTMRPELDKTQMLSDLLRSINVLESNDQTKEGIITTTTADLANQLRKCLNGKRYVIVLDNLLNHLAWDDIHRYFPNDGNGSCILLTTRHFDGSFARGNADYIHYMTLLDPKESWVLFCHTLPHNKKSMMSTFENIREAFVEKCEGLAQSIVTVTKRLSECDNIEQEWKKIEKELESLGILDRNDLTLNYNQLPQYLTVCFLYFGVFPKRSEILVKTLVRLWIVEGFAVSENQAYRYLQELIDRSLVLIHSRSSNGQIKTCRMHGALHTFCVGESQKEGIFCAVNTRQHLGLPLDVFANSSRWLSLYTHSFDYYVLFRTNNLRSIFFHENAEMFVDSKLIRVLAFVPSPSFVPSYPSLTRKNFEDLVCLRYLSLTQWVEDLEHIVSANPNLQMLIMSKTRTSNNKRLSSEIWKSPQLRHVEVSYSLSVDPPSEVKHSLHTLYWLSLEHCTKEVFLRIPNVKKLGIICGCDESNPNGVVLDSYNLGNLDYLDKLEMLMVAFRKGSVSGFQNLNSLSHCLNIKKLKLKRTCLAWRELNIISMLPNLESLKLKEASNDSDWEPTKGKFEKLKFLFLEARNLVRWEIDRDEQFVCVERLVLKRCTSLEAIPIGFADIITLESIELRNCSPSAMSSAQEINVMRKDDYGYKTVDIPLG >itb14g02400.t2 pep chromosome:ASM357664v1:14:2043503:2045470:1 gene:itb14g02400 transcript:itb14g02400.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGTAIVSLLGAASSVASIGKIIADIIEKKAQNGNAEAAKALAAISSMMGPGSFPVFDGSDYEIWRNMMRALLISHDLWDLVENGYKAKAFKKDGKKKDALAMMIILLGVDQSVRRCTLNANNSKEAWEAIQIKYQGIHLQTWKMLQFKKMTHFSEIYLFLYRHDG >itb04g28260.t3 pep chromosome:ASM357664v1:4:32043260:32046894:-1 gene:itb04g28260 transcript:itb04g28260.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTGVDWSMEDITSKAIQEHVGEKDGKEEECDADAWEMLSKCFREVQTALDQNRALIQQVNENQQSKLPDNLAKNAALIREINRNVSRVVGLYSDLSVNFAGLVHHRRALSAAATATAMVNRTKNTTNDQVKSADSDWSKFSNLR >itb04g28260.t2 pep chromosome:ASM357664v1:4:32043260:32046894:-1 gene:itb04g28260 transcript:itb04g28260.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTGVDWSMEDITSKAIQEHVGEKDGKEEECDADAWEMLSKCFREVQTALDQNRALIQQVNENQQSKLPDNLAKNAALIREINRNVSRVVGLYSDLSVNFAGLVHHRRALSAAATATAMVNRTKNTTNDQDWFIEKLRDCHFPH >itb04g28260.t1 pep chromosome:ASM357664v1:4:32043260:32046894:-1 gene:itb04g28260 transcript:itb04g28260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTGVDWSMEDITSKAIQEHVGEKDGKEEECDADAWEMLSKCFREVQTALDQNRALIQQVNENQQSKLPDNLAKNAALIREINRNVSRVVGLYSDLSVNFAGLVHHRRALSAAATATAMVNRTKNTTNDQVKSADSDWSKFRLVY >itb13g13170.t1 pep chromosome:ASM357664v1:13:19710042:19710766:1 gene:itb13g13170 transcript:itb13g13170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEPAEAKLNEEQIAELKEIFQSFDRNNDGSLTQLELGSLLRSLGLTPSSDELETVIQKADTNNNGLVEFPEFVALAAPELLNSESSSPYSEDQLKQLFKFFDSDGDGYITAAELAHSMAKLGHALTEEELTEMITEADTDGDGRISFQEFSQAITLAAFHNSWPSID >itb01g03430.t1 pep chromosome:ASM357664v1:1:2261865:2265612:-1 gene:itb01g03430 transcript:itb01g03430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTGSHQLSNGLYVSGRPEQAPKDRGPAMASRAAPYTGGDVKKSGELGKMYGVDFSSGEINGPPVLKALSRASSSSQQLQSSVHVRSGPNSGELPHKSNNSGPIPKKSSSSSFSGPIMTPIQPTGLITSGPLGAGRRSGHLEPPAVPSTKVQYGAAVTRLSEQTKLGFRVSKLATWAFLVVLLMALVVGAFLMVAVKKAIVLIALAGVLAPLVVLFIWNVAFKERSLLGFLKRHPNAELRGAIDGQYIKVTGIVTCGSIPLEASFQRIPRCVYASTELYEYRALGAKSAKSRHCFFTWGLRHSERYVADFYISDYRTGLRALVKAGHGAKVAPFVKSSTVADMTKSNRDSSPNFLQWLADRSLSSDDRVMRLKEGYIKEGSTVSVMGVVRRHDNVLMIVPPREAVSVGCQWMQCIVPTYTEGLILTCEDAQSDDVIPV >itb03g25350.t1 pep chromosome:ASM357664v1:3:24346120:24348241:-1 gene:itb03g25350 transcript:itb03g25350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFITYFLLISTLAISCSCFKHKHLKPKNFKVSKSQSDSGWSPAGATWYGSPNGAGSDGGACGYTNSVDQPPFSSLVSAGGPSLFNSGKGCGACYEVKCTEKVACSGNPVTVVITDECPGCVSESTHFDMSGTAFGAMAVSGKADQLRDAGVLNIQYRRVKCKYPGVSLTFHIDSGSNPNYFATLIEYEGGEGVVSSVELKQALDSDSWIPMQESWGAVWKLDDGSALKPPFSLKLTDDSGKTLVANNVIPAGWQPGKTYRSAVSSFV >itb04g14550.t1 pep chromosome:ASM357664v1:4:15225724:15226669:1 gene:itb04g14550 transcript:itb04g14550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKRLVPTLNRVLVEKITAPAKTTSGILLPEKSSKLNSGKVVAVGPGLRDKAGNVVPVALKEGDSVLLPDYGGTQVKLDDKEYHLYRDEDILGVLHEK >itb01g24260.t1 pep chromosome:ASM357664v1:1:29936050:29939177:-1 gene:itb01g24260 transcript:itb01g24260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTSQGKPKGKEVIPVDSSASRSLNQEGKINNLKNFRSTRSFRRKGRSKIVKPTKPLSDDGASPSESSTPDIKNQGKKGISQASLHSQENLGSSGPKSLQTLMRTTSLRTMRASFKSKKWPSFRCSQVPKVKTVGKPTCSSTIKNSKFTENAGLKIRQTESDRLDMYKVCSYHHCSLHGHSHDPSNPPKRFYMRRKSLKSKKSIKRKNESNSNSNAALSVTEDSNVDSDSKNLLHPPDGEAKASAEKEKNTRMWHLIRRHLHSGLAAEAKNKTNHEFHDENLADDSSKLPAPESSGLSSTADQDVKLLAVKLVREAIEKILLPEVPDQSPDDLSNTSDTAPDQEPLEKNLGETSTGSNIEKTKREVANGAERKAPKHWSNLKKWILLQRFIKEMEKVRKISPRKPRNLQLEPDPEPENVHLRRQIVEERKRGEEWMLDYALQQAISQLAPTQKRKVEMLVKAFETVVPPQGDQHFQFSFPKLKSNDEDDGFVSGENETISKANDDILSCCNPIDNDTQSSKSDVIKVEADAQKEVHEYAHNLIAKTARESEKQPDEFHGEYPNDGTGRAELGTQNYISMWHMVSQHVLSGIASKIGSELLDGADDETKPRGSRDQDLSKPRDKGEAGNDDLGYRRNFNRDDAIKLVREAVNEILITQSQDDSVHTKSDQLNRTESSQHNNNLNLGEEGKETIAADNVIANDESREVSEAKGKGEVPKSSKKWSKLKNLLLLKRSIMAMENARKLKLRKPQNLPLMPNTEPERVDLRHQMMDERKKAEQWMLDYAVQHIVTKLTPARKRRVAMLVEAFEAVVPFPEI >itb05g02210.t1 pep chromosome:ASM357664v1:5:1753138:1756070:-1 gene:itb05g02210 transcript:itb05g02210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSSSIDKPFRSFLLFGLLVLFANTLSLANAKVQQHQFVIQATPVTRLCSTHNMITVNGQFPGPTLEVNNGDTLEIKVINKAQYNVTIHWHGVRQIRTAWADGPEFITQCPIRPGGSYTYRFTINGQEGTLWWHAHSSWLRATVYGALIIRPREGESYPFPKPTRETPVVLGEWWNANPIDVIRQAQRTGAAPNVSDAFTINGQPGDLFKCSSKETVVVQVDSGETNLLRVINAALNQQLFFSVANHKLTVVGADASYVKPFTTSVIMLGPGQTTDVLITANQPPARYYMAARAYASAQGAPFDNTTTTAILQYKAASSSSKGGQTTPVLPSLPAYNDTATATAFTTSFRSLRNVEVPTEIDENLFITVGLGLNNCPKGASSQNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQGIPGVFTTDFPAAPPVKFDYTGNVSRSLWQPASGTKVYRLKYGARVQIVLQGTNIFTAENHPIHLHGYDFYILAEGFGNFNPQQDTAKFNLVNPPLRNTASVPVNGWTVIRFVADNPGVWIMHCHLDVHITWGLATAFIVENGVTELEALEAPPADYPIC >itb05g02210.t2 pep chromosome:ASM357664v1:5:1753138:1755574:-1 gene:itb05g02210 transcript:itb05g02210.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNRHGVRQIRTAWADGPEFITQCPIRPGGSYTYRFTINGQEGTLWWHAHSSWLRATVYGALIIRPREGESYPFPKPTRETPVVLGEWWNANPIDVIRQAQRTGAAPNVSDAFTINGQPGDLFKCSSKETVVVQVDSGETNLLRVINAALNQQLFFSVANHKLTVVGADASYVKPFTTSVIMLGPGQTTDVLITANQPPARYYMAARAYASAQGAPFDNTTTTAILQYKAASSSSKGGQTTPVLPSLPAYNDTATATAFTTSFRSLRNVEVPTEIDENLFITVGLGLNNCPKGASSQNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQGIPGVFTTDFPAAPPVKFDYTGNVSRSLWQPASGTKVYRLKYGARVQIVLQGTNIFTAENHPIHLHGYDFYILAEGFGNFNPQQDTAKFNLVNPPLRNTASVPVNGWTVIRFVADNPGVWIMHCHLDVHITWGLATAFIVENGVTELEALEAPPADYPIC >itb04g27500.t1 pep chromosome:ASM357664v1:4:31480584:31482712:1 gene:itb04g27500 transcript:itb04g27500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 11 [Source:Projected from Arabidopsis thaliana (AT2G30360) UniProtKB/Swiss-Prot;Acc:O22932] MVPGIEHGRGAAVWQASEENALFEKYEVGKLLGCGAFAKVYHARNIRSGQSVAIKVINKKKISSPSMIGNIKREISIMRRLHNPHTMTLHEVLATKTKIFFVMEFAKGGELFAKVAKGRFSEDLSRKYFRQLIYAVGYCHSRGIFHRDLKPENLLLDENGDLKVSDFGLSALTDQVRQDGLLHTLCGTPAYVAPEILSKKGYDGAKVDVWSCGVILYVLTAGFLPFNDPNLMVMYKKIYKGEFRCPKWMSPDLKRLLSRLLDTNPETRITIDEITEDPWFRKGSKGKLKFYDEFLEINKEEDPSNRSASCLNAFDLISFSSGLDLSGLFDGASSSVTDSERIVVDSAPEKVIERIKGFAAAEKIRLRKRKEWGVDLEGQNGKFLANVEVYRLTDGLVVVEGRRTAGDPEMFRESWRGKIMPAILNRSENQASGSC >itb04g31030.t3 pep chromosome:ASM357664v1:4:33990838:33995170:-1 gene:itb04g31030 transcript:itb04g31030.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDDRRGDDQSETSDYTSEDEGTEDYRRGGYHAVRIGDTFKHGRYIVQSKLGWGHFSTVWLAWDTQKSKYVALKVQKSAQHYTEAAMDEITILKQIAEGDSDDQKCVVKLLDNFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICVHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPAKDPRRSGAPLVLPSSKTKIVSETGSSKDAKSSNGDLTKNQKKKIRRKAKRAAQRCAGKEASEEIEPDNEVCTPEDSNHDEKPDGDSVDDQTESKVQEDVSNKPSEAIDGHQEIQRHKRGSRSTRKKLLAEVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTPADLWSLACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKVSFFTCTPACYLE >itb04g31030.t2 pep chromosome:ASM357664v1:4:33990707:33995097:-1 gene:itb04g31030 transcript:itb04g31030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDDRRGDDQSETSDYTSEDEGTEDYRRGGYHAVRIGDTFKHGRYIVQSKLGWGHFSTVWLAWDTQKSKYVALKVQKSAQHYTEAAMDEITILKQIAEGDSDDQKCVVKLLDNFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICVHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPAKDPRRSGAPLVLPSSKTKIVSETGSSKDAKSSNGDLTKNQKKKIRRKAKRAAQRCAGKEASEEIEPDNEVCTPEDSNHDEKPDGDSVDDQTESKVQEDVSNKPSEAIDGHQEIQRHKRGSRSTRKKLLAEVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTPADLWSLACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIWRLEAYQTIAVLASKQSANGKI >itb04g31030.t1 pep chromosome:ASM357664v1:4:33990707:33995170:-1 gene:itb04g31030 transcript:itb04g31030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDDRRGDDQSETSDYTSEDEGTEDYRRGGYHAVRIGDTFKHGRYIVQSKLGWGHFSTVWLAWDTQKSKYVALKVQKSAQHYTEAAMDEITILKQIAEGDSDDQKCVVKLLDNFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHKVKEICVHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPAKDPRRSGAPLVLPSSKTKIVSETGSSKDAKSSNGDLTKNQKKKIRRKAKRAAQRCAGKEASEEIEPDNEVCTPEDSNHDEKPDGDSVDDQTESKVQEDVSNKPSEAIDGHQEIQRHKRGSRSTRKKLLAEVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTPADLWSLACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLMEKYEFSEQDASEMADFLVPILDFVPEKRPTAAQCLTHPWIMGGPRNLVPSAINNSPPHGPENGKCIETDERETMEARVGNIAIDGTPKSGKASQSTVNTT >itb09g01340.t1 pep chromosome:ASM357664v1:9:798695:799938:-1 gene:itb09g01340 transcript:itb09g01340.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLTSIQNWAFGEKLTDERVWGFGTLNPFNPPVTNSSTIAAIVTSLGGPPATVGIVRLSGPSAVSIVGRLFRSLKKKKKKKSRRKDNTSEWRPKSHVVEYGVVSDSRGNVSDEI >itb12g09400.t1 pep chromosome:ASM357664v1:12:7383091:7386124:-1 gene:itb12g09400 transcript:itb12g09400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFDEMVFCGNLEFQSEPPGIEEMGVEVEAKIAGEGDDDDDGCSDEDVDVHELERRMWRDRVLLRRLKERNESKEGDDGAKQRPSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIARYQAENNIPGKIEDSSSVVVSTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPTGNEEWWGELSLPKDQVPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKICKLVRQSKCLQDKMTAKESATWLAIINQEEALARKMYPDSYPKCSSSALGNGLYLITDPSDYNVEGVDDDEENIEVVDYKPRNINCLNLVVGAPKVQIKEEILDITSHFLGKRKQAAVEDPADQMIYTCEYPKCPYSNYLMGFNDRNSRNNHQIICPFRLNSCQNLGTLNYQMNNSKQAVYSSAAPMAPKTTGASAISSSSMDVSSLGLPEDDERAISELFSFYDNNLQQNGGFNSGNLNGVEDHQNLLQHTAQLQVDDYFSTAGGGMFQPQANTPVTHSVLPSTETQYDQSKSQYESAFNRNSSDIDDFKFGSPCNLVDYSMDQLPKQDGSTWYF >itb07g15120.t1 pep chromosome:ASM357664v1:7:18076456:18085918:1 gene:itb07g15120 transcript:itb07g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRNRISQLPANILDHILGLLPIKNAARTTVLSSIWRGGWSSLTQLNFDDHFFCHIDRKYHLKYHRVKKCNKEYSPSLYVINKVLLQHNGTIRKLVLNFSNVGKLTYRYRFFDFDQWLLLVAKKGVEDMYIGFDEKAYRLPSCIFSCLTLKRLHLYGVIIEPMDLPRILPNVASLCFEQVDFGTRNLLHRAVDVPILENMSFLSCQNMFYFNITARKLCGLTIKCCSSNVPDKFLPVNLDLKSIVAFELEGSLQLEVVTFDCVDAWLKLWEKLHSAARTNKMLQALKVTSFTGSKMKLLFIAKLLASFSTLKKVVIVHKYYRNKEEDRITKELLHFLANDEQFLHSHPIDKDLIFLHLWPETKTSRLYQKRKPNSNGSPPTLSPLLAFGFQVFLSNPICFAAAVCLGYWIMAWGRSRDRISQLPADILDHILGFLPIQDAAKTAALSSIWRDVWLSLTQLNFDDGFFCYIYRKHRHAIKYGKKLAVSLYVINKVLLLHKGTIRKFVLNIYNVGIHAIRSRSYDFDQWLLLVTRKGVEEIYISFEKEAYRLPSCVFSCSTLKRLHLYGVAVEPMNFPCRLPNVASLCFQWVDFGPINCAIDVPVLENLSFLSCENIFYFNIAAPKLCSLTIESCSSNGLGKFLSVNLYLRSISTLDLVGSVKEFVKEFTRIGFQLNVEYLKLSCYEELYIQSDKSFSVLAHLLLLCPKLRKLDIDLFWLRSVATECMNTLSELHVAAQTNKMLHALKLISFRGSHSEKLFIKKLLASFSTLEMVVIVRDKNYCKKYTTGIMQELLDLHVASTKTKIIID >itb08g09220.t1 pep chromosome:ASM357664v1:8:8447258:8450712:1 gene:itb08g09220 transcript:itb08g09220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METIALFTISALLLSALWVYAKSSKKAAPLPPGPFGFPIVGYLPFLRPNLHHQFTDLAHKHGPIFKLQLGSRLFVVVNSPSIAKEVVRDHDAVFANRSPPIAGRIGTYGGRDIAWSPNGTYWRDIRKLFVREMLSSANLRACYEHRREEVRKAIRSVRSRTGEAVNIGELASSTEIRVVERMIWGSTLGSDEAKIDQFGAEFREIMGKYIAIVAEPNISDFFPWLARFDLQRVEARMEGMVKLVDNIFDPIIKEGVRIVSEKSGSTTKREERKDFLQILLELKNRDDNAGKSLDFQAIKAMLLDIVIGATDTTATMVEWVMTSLLDNPEIMKEVQTELEENVGMNNIVEEIHLPKLIYLDAVIKETLRLYPALPLLLNRSPTQSTQVGGYTIPKDTTLFLNIHAIHRDPQVWDNPLEFRPERFLNQTSSGLDYTGNDHRFLPFGSGRRICAGIPLAEKMLVYILGSLLHSFDWHLSEGEKLDLSSKFLIVNKKSDPLIVVPTPRLSNFELYQ >itb04g14960.t1 pep chromosome:ASM357664v1:4:15809608:15811608:1 gene:itb04g14960 transcript:itb04g14960.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPSKRTVLLYKKGYPLLFNSKAPTTPFYKLTKTQLFSTYLSKLNRPLQTHKPNFCNESPSMDNELRKGPGLIRLKTEPEELANDQSNDEFITDVEKVYRILKKFHSRVPKLELALQESGVVVRSGLTERVLNRCGDAGNLGYRFFVWASKQPGYRYSYDVYKAMIKILGKMRQFGAVWALIEEMRKESPQLLSPEVFVILMRRFASARMVKKAIEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEASTLFEEMKFRFNPTIKHFTSLLYGWCKEGKLMEAKVVLVRMREAGFEPDIVVYNNLLNGYAVAGKMAHAFDLLQEMRRKACYPNATSFTIVIQALCSQAKMEEAMRVFMDMERSGSEADVVTYTTLISGFCKLGKTDQGYELLDRMIQKGYMPNQTTYLHIMLAHEKKEELEECMELIKEMQKIAIFPDLSIYNTVIRLACKLGEIDEGMRVWNEIEANGLSPGVDSFVIMINGFIEQRRLVEACDFFKEMIGRGLLSVPQYGTLKDLLNSLLREEKLEMSKGVWSCIVTKGCELNVYAWTIWIHALFSKGHVKEACSYCLDMMDAGVMPQPDTFAKLMRGLRKLYNRQIAAEITEKVRKMAEERQITFKMYKRRGERDLKEKVKGKTDGRKRRARRRRWGSNRRGNHRLEANML >itb15g15360.t1 pep chromosome:ASM357664v1:15:14150351:14152120:1 gene:itb15g15360 transcript:itb15g15360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLIKHITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNKWSQIAARLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLSEVENEEKLASLTNNNEKLTLSEGSSELNFVEAESCNNNNNNNQVPSLTVMMDSYPMIDNNVVCSNPMSSNTPPTHEFFLNKTPDLSGYLSFFNNNIGSSFPMQYPSNSSLFLNPKSNVVLPMETNSSFFENNNASFPWGPATDHHHHCGGKPEKQSADLDETKWSEYLQAPFYLQPPPPPNHQDLYAQGKPETQFATQGGSPLIPTTTSAATATATTTWLQNQAMYNNSKNFQRLHAAFGQFS >itb08g06590.t1 pep chromosome:ASM357664v1:8:5592377:5604103:1 gene:itb08g06590 transcript:itb08g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSQARAPVSFAEPRSFPAVLRANLKEVFFPDDPFSEFKDEPFSRRVTKGFQYFIPILNWLPNYNLNLFMYDVLAGITIASLAIPQGISYAKLANIPPIIGLYSSFVPPLIYAVFGSSKHLAVGTVAASSLLIAATIEEVVKPQDNLELYVSLVFTATLVSGLVQTIMGLLRLGILVDFLSHSTITGFMSGTAVLICLQQLKGILGLKHFTHHTDLVSVIHAISTYKNEVGELKKGINPLSIQRLNFDSKYIYAPIKAGSITALIALAEGIAIGRSFAVMKNEHIDGNKEMIAFGLMNIIGSFTSCYLTTGPFSKTAVNFNAGCRTPMSNVVMSICMCLTLLFLAPIFSYTPLVALSAIIASAMLGLIKYKKFYHLYKTDKFDFMICLVGALGVIFVTMTYGLVLSIALALVRALLHVARPPSCKLGSMVESGIYCDVEQYPGGTGFPGILILQLGSPIYFANANYIRERVLRWIRDEQKISNSKGSNVEYLLLDFGAINSIDVTGIETLVEIRKSLLAKGIKMVLINPSKFPPKSSHSTSPRRCSPPESPVAALHFIAPVVRVSLREFHFFLFALIFLMETRRNQRWKSDDFESEEDERMKSGKKRKITDEDIAKYMAKKAERRLKKIAKKLNANQKLSGYSNDSNSFGDSNLNEKFIWEKKIHRDISRGVPLESFSLKAEKERQKERIAEIEKVKKRREERAIEKAQREEEMAMLARERARAEFQDWEKKEEEFHFDQSKTRTKIRIQQGRIKPIDVLIEQLDPSCDFDIEINEPYMVFKGLTMKEIEELQEDIKMHLDLDRATETHVQYWEALLVVCNWELSEARKRDAMDRARVRGEHLPPELQAEERGLHSSIETDVKLFLKGKSYGELEALQVQIETQMRSGTAKVVEYWEAVLKRLQIFKAKACLKEIHSKMLGKYLEQLETPSERKEDLDYIQKNNQAISAEPVLNEDNKEEEEVEEEEENEDDRDDGSYSPLLVHDDKKEEAIDPDEDRAMLEKNRMAVLEERRVQDMIPKPKPPEDNLEKKALKAMGAMEEGDAVFGSNDEVNLDSEVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDYDNPPPKTVQGYKFNIFYPDLVDRSKAPTYVIEKDGDSVDTCIIKFHAGPPYEDIAFRIVNREWEYSHKKGFKCIFDRGILHLYFNFKRNRYRR >itb08g06590.t2 pep chromosome:ASM357664v1:8:5592377:5604103:1 gene:itb08g06590 transcript:itb08g06590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSQARAPVSFAEPRSFPAVLRANLKEVFFPDDPFSEFKDEPFSRRVTKGFQYFIPILNWLPNYNLNLFISKHLAVGTVAASSLLIAATIEEVVKPQDNLELYVSLVFTATLVSGLVQTIMGLLRLGILVDFLSHSTITGFMSGTAVLICLQQLKGILGLKHFTHHTDLVSVIHAISTYKNEVGELKKGINPLSIQRLNFDSKYIYAPIKAGSITALIALAEGIAIGRSFAVMKNEHIDGNKEMIAFGLMNIIGSFTSCYLTTGPFSKTAVNFNAGCRTPMSNVVMSICMCLTLLFLAPIFSYTPLVALSAIIASAMLGLIKYKKFYHLYKTDKFDFMICLVGALGVIFVTMTYGLVLSIALALVRALLHVARPPSCKLGSMVESGIYCDVEQYPGGTGFPGILILQLGSPIYFANANYIRERVLRWIRDEQKISNSKGSNVEYLLLDFGAINSIDVTGIETLVEIRKSLLAKGIKMVLINPSKFPPKSSHSTSPRRCSPPESPVAALHFIAPVVRVSLREFHFFLFALIFLMETSRRNQRWKSDDFESEEDERMKSGKKRKITDEDIAKYMAKKAERRLKKIAKKLNANQKLSGYSNDSNSFGDSNLNEKFIWEKKIHRDISRGVPLESFSLKAEKERQKERIAEIEKVKKRREERAIEKAQREEEMAMLARERARAEFQDWEKKEEEFHFDQSKTRTKIRIQQGRIKPIDVLIEQLDPSCDFDIEINEPYMVFKGLTMKEIEELQEDIKMHLDLDRATETHVQYWEALLVVCNWELSEARKRDAMDRARVRGEHLPPELQAEERGLHSSIETDVKLFLKGKSYGELEALQVQIETQMRSGTAKVVEYWEAVLKRLQIFKAKACLKEIHSKMLGKYLEQLETPSERKEDLDYIQKNNQAISAEPVLNEDNKEEEEVEEEEENEDDRDDGSYSPLLVHDDKKEEAIDPDEDRAMLEKNRMAVLEERRVQDMIPKPKPPEDNLEKKALKAMGAMEEGDAVFGSNDEVNLDSEVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDYDNPPPKTVQGYKFNIFYPDLVDRSKAPTYVIEKDGDSVDTCIIKFHAGPPYEDIAFRIVNREWEYSHKKGFKCIFDRGILHLYFNFKRNRYRR >itb13g21740.t1 pep chromosome:ASM357664v1:13:28282081:28285766:1 gene:itb13g21740 transcript:itb13g21740.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEKLEHYSASSEDHQATSLGNKYGDLMPKKKPLISKDNGRAFFDSADWALCKQGEGVNQKSAVAIETLRPKLQRTPHQQLPPRRPACT >itb02g22720.t1 pep chromosome:ASM357664v1:2:22297434:22302908:1 gene:itb02g22720 transcript:itb02g22720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTYGNFLDSWVVEDKMSDCCKWSRVICSNITRRVIELNLDFVRDESVGNWYINATTFLPFKHLNYLGLDENNLAGVVENGGLDKLSKLRNLKGLDLSYNNLNRNILSSLSHLLSLKELYLDGNLLDSPHNNSGQERLSGLKNLEILSMESTKMEENNVLSALNLKDFIRLKDLDLSGNKFQSFEPIKDLKDFINLKKLDISYNKFNNSIFELVKRLPSLKILGLSGNDIHGQLLIQDINALSNLEELYLDDNYIEGFETFASFSDGRRLMKLETLRLDNNRFSSSIFKSLKELPSLKFLSLSENNFHRSEHIQDINALSSLKDLDLSYSSFEDFETSTSLSGVSSRIKNHSHHLQLHLNSIKCNMTKLLQSLATLPSIKSLNLEDNNITSVDAIYALRNLSMLEELSLDYSYLHKDFLQNIGPMKSLKVLSANRAQLKSTLPNKGWCELRNLQKLWFSETQLEGTLPPCLKNLTSLDLIDLSQNRLIGNIGSSPLSTLKSLKYIIISNTSFEVPSSFNVFANHTKLRGILADGNKVIKETELQSWVPKFQLEIFTMSNCIGLLKLPAFLHYQHNLQIFEISKNNLEGEFPNWLLQNNTKLYSFLMNFNAFTGVFKLPSHRNAKMMIIGVSNNKLCGEIPNNLSLSFPNVFALTLSYNFFEGQIPSSLGKLELLYFLDLSNNSLTGEIPKELLIGLSGLHVLKLSNNKLEGEIVQEFVHLHSLQYLHLDGNNFTGTIKESILNIYLVSLDISDNKFAGNIPRWMGNMTSLQQLSMSKNHLEGPIPIELCSLEFLSILDLSENNLTGSIPSCLNPSSIKHVRLSKNHLGGQLTRAFFNNSALVILDLSYNDFVGTIPEWIGTISNLSILILKGNQFDGMIPIQICQLMRLSVLDLSSNQLTGLIPKCLGKISLEVTDEKSKAIVSMSVDFGFGSIVLFYSSGRNDFIIDISTSSAPPEVEFTTKGNSYYYEGSILKYMSGIDLSANRLIGEIPFELGNLTEIRALNLSHNNLNGTIPETFSKLHNIESLDLSHNKLIGKIPNTLLKLYSLEVFSVAYNNLTGAIPGQNAQFGTFDESSYEGNPYLCGPPLQVSCNNITSLPSPLPSEESNNGIDMEVFYISFGVSYVVFLLGIVLVLYINPYWRNTWFQLIESIVYKWHG >itb08g10090.t1 pep chromosome:ASM357664v1:8:9513112:9517659:1 gene:itb08g10090 transcript:itb08g10090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVMVLHYTMNENCLFSRWSRWLVDILSLESLLFSRCLSASLDSTASRSLSPPPDAADQPPVHRASRSLSLPPPTQQISCQSATYRCLLVSLCRCHSVTEDIFPSQGWMGTLFLASSPLSSLDALAQPTQGST >itb14g02070.t1 pep chromosome:ASM357664v1:14:1670087:1675636:-1 gene:itb14g02070 transcript:itb14g02070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADAFIGELLDRLVQVAEENTWLVLGIKDEIFNLVDDLKLLKANLKKASEHESANHVLKDVVDKILNVVSDAEDAICKYSMEKRKHTVKGIFSLGFAKEIQSIRGKVKQIQQDHAQAFQALIYKPDRGGTFMEQLVDRLVQVFEENASLIIGIKDEIEELVSEVTALNAYLKQASKSQSANDNVVLRHMLEIVRNVLFDAEDMIDIYVVERKKHKDKGVLRCLETLDYYAKVKDYARGIHALTSRVRKIRQDCPQDLQDLIDNPNPYRAPAVERMALTPAVVEEEDVVGLDNEVKIIKNRLLGGSKDLTVISIEGMAGLGKTTLTQMVFRDLDIEYEFVGRFWVYVSRTCNRKQIFLNILRNFTKKTEDLHNMSEEHLADKIRESLRDIKYLVVIDDIWTVQDWECLKIAFAKNGKGSRVLVTTQNARVASHIDSNGSPHQLTFLSNDESWELLEKKVFRTERCPPHLEYLGRYIAQKCNGLPLAVVVIAGVLRSKDSSASEWKRLAEDPFQVINRENHTYNDLVKLSYDQLPSYSKDCFLYLATFPRGHEIAAWKLISLWIAEGFIPLMEGGYTSDLEHTAQKYLEDLVDRKFLMVVKRRADGQIKTCRIHDTLHEFCRTEATKKNLFQEMDGAKFEINNITRRICVHSNILELLRSGNRQSNEHVRSFLSCSIKLDIPNECLAAIPKSFPLLRVMDVELMKFSSLPKEFFLLYHLRFLAISTELKILPKLFNNLWNLETLVLNTTQYSLEVKAEIWSMRKLRHVYCNASMQLPAPSPKDSMKSSGSIDLKTLCTISPSSCTEEIFDKTRDLQKLGIRGNLVGLLESKGGMSLFDNLQKLHHLENLKLINNDLHGILRIRFPSAEKFPPRLRKMTLSNTAFEWKDLSTLGWLDELEVLKLEDNAFRGEYCDLSNVVFKRLQYLKIGRSDLVSWAVSNATFPVLECLILIHCTMLEGVPYAFCEVQSLKMMELFLTNKKAVNSAREIHNQKGGGFQLSIYPPS >itb01g28620.t3 pep chromosome:ASM357664v1:1:33020217:33023414:-1 gene:itb01g28620 transcript:itb01g28620.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTASSFAAIAGGIGGALVLMGVIILVCFCMFHYKRYSNRNSDTASSDPSAAVEQKTGGPVSSLALARGGVPEGARVFRMQELEQATKNFDQSNLIGCGSFGLVFKGFLFDGTIVAIKTRSAAPRQQFSEEVARLSMTQHRNLVNLLGYCQEGGYQILVLEYLPNGTMCNHLYGMGRDSSTKVEFKQRLSIASGTAKGLCHLHGQCPPVVHGNFKTGNVLVDENFIAKVADAGISRLLKEINHDAAGPSHSIQESPFIDPEVNQSGVVSEASDIYGFGVFLWELITGREAAHISGLGSNQTMHQWVEAHLSSDDLVDHRLMGKYSAEGMRDLIRVAMRCTSFPGKERPNMDMVALEAERILEKEIMGSTAMGEASATLTLGSQLFTN >itb01g28620.t2 pep chromosome:ASM357664v1:1:33020213:33023325:-1 gene:itb01g28620 transcript:itb01g28620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEQATKNFDQSNLIGCGSFGLVFKGFLFDGTIVAIKTRSAAPRQQFSEEVARLSMTQHRNLVNLLGYCQEGGYQILVLEYLPNGTMCNHLYGMGRDSSTKVEFKQRLSIASGTAKGLCHLHGQCPPVVHGNFKTGNVLVDENFIAKVADAGISRLLKEINHDAAGPSHSIQESPFIDPEVNQSGVVSEASDIYGFGVFLWELITGREAAHISGLGSNQTMHQWVEAHLSSDDLVDHRLMGKYSAEGMRDLIRVAMRCTSFPGKERPNMDMVALEAERILEKEIMGSTAMGEASATLTLGSQLFTN >itb01g28620.t1 pep chromosome:ASM357664v1:1:33020217:33023414:-1 gene:itb01g28620 transcript:itb01g28620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTASSFAAIAGGIGGALVLMGVIILVCFCMFHYKRYSNRNSDTASSDPSAAVEQKTGGPVSSLALARGGVPEGARVFRMQELEQATKNFDQSNLIGCGSFGLVFKGFLFDGTIVAIKTRSAAPRQQFSEEVARLSMTQHRNLVNLLGYCQEGGYQILVLEYLPNGTMCNHLYGMGRDSSTKVEFKQRLSIASGTAKGLCHLHGQCPPVVHGNFKTGNVLVDENFIAKVADAGISRLLKEINHDAAGPSHSIQESPFIDPEVNQSGVVSEASDIYGFGVFLWELITGREAAHISGLGSNQTMHQWVEAHLSSDDLVDHRLMGKYSAEGMRDLIRVAMRCTSFPGKERPNMDMVALEAERILEKEIMGSTAMGEASATLTLGSQLFTN >itb03g13280.t2 pep chromosome:ASM357664v1:3:13495352:13496527:1 gene:itb03g13280 transcript:itb03g13280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGVDDIDIEMETQKITVRGYGLEEKKVVRAIKRAGKAAEPWPYPVGYSHLASFYQYPNHIAAGYYDSISSRNVAAPSVHTFFHTPAVYSVAVAPDEAVASLFSDDNPHACAIM >itb03g13280.t1 pep chromosome:ASM357664v1:3:13495352:13496527:1 gene:itb03g13280 transcript:itb03g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEVRVPNLDCEGCAAKLRKALFKLKGVDDIDIEMETQKITVRGYGLEEKKVVRAIKRAGKAAEPWPYPVGYSHLASFYQYPNHIAAGYYDSISSRNVAAPSVHTFFHTPAVYSVAVAPDEAVASLFSDDNPHACAIM >itb12g10420.t1 pep chromosome:ASM357664v1:12:8483957:8484465:1 gene:itb12g10420 transcript:itb12g10420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYNAIVEYKSGRHGSPAVSYTRLPTSETGHFETPDVEIFQRDRGFSPSVTTATKRRVSTTVAQSPTGATGLLLVQ >itb02g26030.t2 pep chromosome:ASM357664v1:2:26825309:26843341:1 gene:itb02g26030 transcript:itb02g26030.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPCVLFAQTFVHPLLDEYVDEVLFSEPVVISACEFLEQNASSACSAVPLVGATSPPSFAMEVFVQCEGETRFRRLCQPFLYSHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEVELDNSLANTVSAVEGNLDDLPPALRPTNVTMLENLSPLKSLSLKVPRLDIPIEIKQFLQLALKILDCQNLGHATDRLLSSILSVASVYTTSCLHSTVTINKQLRPDKSDGDVHNVLIEAGKELSEIYKDLNSQSGNELSEFSTEGVHTVLGADIASTEQLVDALSHYFKDGQGICNDSNSGLSKKENTILWLALAVILCSTRESCFHFVNYGGMQQLGYAFRHHMQNSTALKLMLLGVIEQATQHSVGCEGFLGWWPREDENIPSGISDGYNQLLKLLLKNQRHDVALLSTYILHRMRFYEVASRYEHAVLSILDGLSVVSQVKSDILDMLANAKVQLKNLLKLLNSCCPIEDPSPVACASRSLLLGDAGQLSYKSTSGLVSLSNCCYPNKDVDTHLLSLLKERGFLPLSAALLSSSALQSDKGLVFLASNPELSTTIIHALRGTYNRKKEESVCLRYASALISKGFFRHPHEVAMIVEMHLKAINAVDLLVTSNLNSTSNLNSEDFLWILWKLCGLSRSDGGREALLALVHFPEAVSVLMATLHSAKELDPSSVNSVASPLNHAIFHSAAEIFEIIVSDSTASSLASWIDHVKELHKLLLSSSPGSNKKDAPARLLDWIDAGVVYHRSGAIGLLRYAAVLASGGDAHMASTSVLSSDVMDIDNFIGDSSSTSDGNLIDNILGKRITEKDFPGVILRDSSVVQLTTAIRLLAFISDNMTVAAALYDEGAVMVVHAILINCRLLLERSSNVYDYLVDESTECNSTSDILLERNREQSLIDLLIPSLVLLINLLQKLQEAKEQHRNTKLINSLVQLHREVSPKLAACAADLSCPCPKLALGLGAVCHLLASALACWSVYGWTPGLFHFLLDSLNATSVVALGPKETCSLLCLLNDLFPDERVWLWKDGTPMLATLRALAVRTLLGPQKEEEVNWYLQPGHSEKLLAQLTPQLGKIAQIILHCCVSTLIVVQDMLRVFITRIAYLSTDNASVLVRPIILKIHDHLSDHSILSEVDTYKVHHLLVFLSLLLEHPQAKKIMLKDGAVQMLSEVLQKCLAVVSLDPKVFLENRNVSGKVTSVVSWCIPVFKSISLICDSRTHGQSISVPDRHISETFTAKECILVLTYLLTFCKILPVGKELLACLSAFKELCCSARGKSSLLSICQHILLSNEDRESESRFANIHDIINTFDWKDYPPLEYCWRLVLSSVASKNVSLAYTVEAIGTLSLGSLYFCMDADSVNLERVEAMQYLVGFKNGSSPPVLCEESMKSFENYTSLFGSMGNDDMYSVGFDKAYLDHVKELARSLMLLLHGPTGTVKANEIASILSTSPIDTTVCSKIHKLVDGSVGRIEDDSLDEHGDNFLWECPENLRDRLTQTGLASKRKISSMEGSNRRARGDASSAETAAPGAFSRGSVPPVVVSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNADGSNNSNVIAVPRIGSSSGRPPSIHVDEFMARQRERQNSVGLPVTDVAAAQEKLAPVENKADVEKSTMPRQLKPVLDDDLQGIEIVFDAEEAEPDDKLPFPQPDDHLQQPGSVIVDQNSPRSIVEETVSEVNETRQFSRVGTSKVSNPADENTHSEFSSSRMSVSRPEMALTREPSVSSDRKFSDQSEEPRSSTVKTSNGFDSLGKAVSTGAFPKASASPLQTTVDSHSTANIYTRTTGGQNPAIASQGYYDQKSQPPSQAPLPLMPPLATTSSVFSNPADHTVQPDYLSARNSNSASTTSSPLPDSKYGRTTLSSAGGSTRPFPPLPPTPPPFAVPTSFSSLKPSASQSPVYNQNVSGTTELQQTSASDARLANLAASGPILTTFSPPPLAPPLLFSRPSSIPVNPYGSNSAPHHIESLPSNAQNLPASLPSIHSLPSIAQLQPLQPPQLPRPPSQHLRPFMPGSLQSDQGVSLLQSRMHMQMQPLQMLHQPQLSPGHVYYQTPQPQENISHSLQQQQLQQQQQQQQQHDHLLPQGSRQQGDSQQQDSGMSLQDFFRSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQDRLGQL >itb02g26030.t1 pep chromosome:ASM357664v1:2:26825309:26843351:1 gene:itb02g26030 transcript:itb02g26030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer homolog [Source:Projected from Arabidopsis thaliana (AT3G05680) UniProtKB/Swiss-Prot;Acc:F4J8G7] MGRPEPCVLFAQTFVHPLLDEYVDEVLFSEPVVISACEFLEQNASSACSAVPLVGATSPPSFAMEVFVQCEGETRFRRLCQPFLYSHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEVELDNSLANTVSAVEGNLDDLPPALRPTNVTMLENLSPLKSLSLKVPRLDIPIEIKQFLQLALKILDCQNLGHATDRLLSSILSVASVYTTSCLHSTVTINKQLRPDKSDGDVHNVLIEAGKELSEIYKDLNSQSGNELSEFSTEGVHTVLGADIASTEQLVDALSHYFKDGQGICNDSNSGLSKKENTILWLALAVILCSTRESCFHFVNYGGMQQLGYAFRHHMQNSTALKLMLLGVIEQATQHSVGCEGFLGWWPREDENIPSGISDGYNQLLKLLLKNQRHDVALLSTYILHRMRFYEVASRYEHAVLSILDGLSVVSQVKSDILDMLANAKVQLKNLLKLLNSCCPIEDPSPVACASRSLLLGDAGQLSYKSTSGLVSLSNCCYPNKDVDTHLLSLLKERGFLPLSAALLSSSALQSDKGGMLDIFVDIVSYLEAIILSLISCRSGLVFLASNPELSTTIIHALRGTYNRKKEESVCLRYASALISKGFFRHPHEVAMIVEMHLKAINAVDLLVTSNLNSTSNLNSEDFLWILWKLCGLSRSDGGREALLALVHFPEAVSVLMATLHSAKELDPSSVNSVASPLNHAIFHSAAEIFEIIVSDSTASSLASWIDHVKELHKLLLSSSPGSNKKDAPARLLDWIDAGVVYHRSGAIGLLRYAAVLASGGDAHMASTSVLSSDVMDIDNFIGDSSSTSDGNLIDNILGKRITEKDFPGVILRDSSVVQLTTAIRLLAFISDNMTVAAALYDEGAVMVVHAILINCRLLLERSSNVYDYLVDESTECNSTSDILLERNREQSLIDLLIPSLVLLINLLQKLQEAKEQHRNTKLINSLVQLHREVSPKLAACAADLSCPCPKLALGLGAVCHLLASALACWSVYGWTPGLFHFLLDSLNATSVVALGPKETCSLLCLLNDLFPDERVWLWKDGTPMLATLRALAVRTLLGPQKEEEVNWYLQPGHSEKLLAQLTPQLGKIAQIILHCCVSTLIVVQDMLRVFITRIAYLSTDNASVLVRPIILKIHDHLSDHSILSEVDTYKVHHLLVFLSLLLEHPQAKKIMLKDGAVQMLSEVLQKCLAVVSLDPKVFLENRNVSGKVTSVVSWCIPVFKSISLICDSRTHGQSISVPDRHISETFTAKECILVLTYLLTFCKILPVGKELLACLSAFKELCCSARGKSSLLSICQHILLSNEDRESESRFANIHDIINTFDWKDYPPLEYCWRLVLSSVASKNVSLAYTVEAIGTLSLGSLYFCMDADSVNLERVEAMQYLVGFKNGSSPPVLCEESMKSFENYTSLFGSMGNDDMYSVGFDKAYLDHVKELARSLMLLLHGPTGTVKANEIASILSTSPIDTTVCSKIHKLVDGSVGRIEDDSLDEHGDNFLWECPENLRDRLTQTGLASKRKISSMEGSNRRARGDASSAETAAPGAFSRGSVPPVVVSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNADGSNNSNVIAVPRIGSSSGRPPSIHVDEFMARQRERQNSVGLPVTDVAAAQEKLAPVENKADVEKSTMPRQLKPVLDDDLQGIEIVFDAEEAEPDDKLPFPQPDDHLQQPGSVIVDQNSPRSIVEETVSEVNETRQFSRVGTSKVSNPADENTHSEFSSSRMSVSRPEMALTREPSVSSDRKFSDQSEEPRSSTVKTSNGFDSLGKAVSTGAFPKASASPLQTTVDSHSTANIYTRTTGGQNPAIASQGYYDQKSQPPSQAPLPLMPPLATTSSVFSNPADHTVQPDYLSARNSNSASTTSSPLPDSKYGRTTLSSAGGSTRPFPPLPPTPPPFAVPTSFSSLKPSASQSPVYNQNVSGTTELQQTSASDARLANLAASGPILTTFSPPPLAPPLLFSRPSSIPVNPYGSNSAPHHIESLPSNAQNLPASLPSIHSLPSIAQLQPLQPPQLPRPPSQHLRPFMPGSLQSDQGVSLLQSRMHMQMQPLQMLHQPQLSPGHVYYQTPQPQENISHSLQQQQLQQQQQQQQQHDHLLPQGSRQQGDSQQQDSGMSLQDFFRSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQDRLGQL >itb03g13300.t1 pep chromosome:ASM357664v1:3:13505423:13507184:-1 gene:itb03g13300 transcript:itb03g13300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVQSVVLLGQKIIQSFPRFSSFSSFLCTQTFNSDDVLNGLGSFEEEYLKESWKFPNFDYNFEESSKYSDQSLGFMGGHGFPEGNSQQKFSTRSNFINDAKSDARRILEVVYQDGPGFDTKAALDDLQVRLSGLLVREVLVGILKTLNYADKSRCAKMGYKFFLWSGQHENYKHTANSYHLLMKIFAESEEFKAMWRLVDEMIEKGYSVTARTFNILICTCGEAGLARKVVEKFIKTKIFNYRPFKLSFNSILHSLLTVKQYRLIEWVYQQMLDEGHSPDMLTYNILLCAKYRLGKLDQFHRLLDEMGRNGFSPDFHTFNILLHVLGKWDKPLAALNLLNHMNEVGYDPGILHFTTLIDGLSRAGNLEACKYFFDRMIQGIVPDVVCYTVMITGYVTAGELDKAKGLFSDMVNKGQLPNAFTYNSIIRGLCMSRKFEEACLILKEMESKGCNPNFLVYSTLVKNLQHAGKLFEADRVVRYMVEKGCYIPLVSKIRKYKRC >itb12g02870.t1 pep chromosome:ASM357664v1:12:1883739:1886253:1 gene:itb12g02870 transcript:itb12g02870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRVTRSQTKAAAAASATTINNIPISKRFEESEKNAKQGNGKQKERSALIDITNDSPIIGLAMVTPSSTMSKKKRVIIGQITPGSGEALLRGQVKNLLQKVEEEADDLCKVSLDLNRPLLHLQGFVNSPLRLLAPTPANTPHLNPSENGLPSVTPSPVEEKIIISQMIIGKKESETEESNVTRSLLLDFSEKSEGTDDVSSDCSSMLTYEGGIRGSKNAGDDDVSSIWSIQANASSVDDEEEEDECVEEVEEECDEEVEGEDDDDDDGGLVDELCRGISKIGINGAAKFAGKHTRFVYNSDGELEGEDSGGDDDHCRGVVAAASPPSALRLKGLPTPKGKHLRFPEEEN >itb02g03810.t1 pep chromosome:ASM357664v1:2:2263445:2272170:1 gene:itb02g03810 transcript:itb02g03810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSISAFTGAVFAACLLVKLAHSQSFEDIGGGTSASVDNLAVQELLTQEVYSRIRNVTSLVLSSELAEKSSFCILNIEEDWNNAFNFTQNLSFVSSCVVKTRGDVPQRLCTAAEIRFYFSNTFMTSGSSSYLNPNRNCNLTSWEPGCEPGWACSTGSNQNPDLRNSQDIPARITDCQPCCEGFFCPHGITCMIPCPLGSYCPLATLNKTTGVCEPYSYQLPPGHPNHTCGGANIWADVRRSSEVFCSAGSYCPSNTEKIPCGSGNYCPTGSRSEKRCFKLTSCDPNSANQNIHAYGAMFIAALTTILLIIYNCSDQIVSIRERRRARTRELAAKSVKQNAQARARWKYAKEAVKKHAIELHSQLSRKLSRKKMVTFDEEVKILNEEERVPDYDLYLSSSTTSKQVAISSQVNEIEPSSCTRMMDIGVDNSKSFTPLSLEINDKNLKTKMQKGKQVDTQSQIFKYAYAQLEKEKAQQQQNECLTFSGVVSMATNSEPKKRPTIEIAFRDLTVTLKGKNKHLLRSVNGAISPGHITAVMGPSGAGKTTFLSTLAGKTVGCRITGSILINGKKESIHSHRKVVGFVPQDDIVHGNLTVEENLWFSARCRLPKDLPKKDKVLILERVIDSLGLQSVRGSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLFLDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYTLFKMFDNLVLLAKGGLTVYHGSTNRVEGYFADLGIYVPERVNPPDYFIDVLEGLVRPSTSSSVNYKELPVMWMLHNGYPVPQDMQQNSPEDASLLAKVRSNDHMISAAGVNDEQSFAGEVWQDIRSKVEQRHDILQHNFLRSEDLSNRRTPNVFLQYKYFLGRVGKQRLREARIQATDYLILLVAGACLGSLSKVKDESFGAPGYTYTIIAVSLLCKVAALRTFSLDKLQYWRESSSGISSLAHFVSKDTIDHFNTVIKPAMYLSMFYFFSNPRSSFADNYIVLLCLVYCVTGIGYALAIFLEPGPSQLCAVLLPVVLTLVATRTGGGKFLKMISDVCYPKWALEAFVIANAERYYGVWLITRCGALQNFGYDLHDWGRCIATLILTGFGCRIIAFIGMLIFQKK >itb02g03810.t2 pep chromosome:ASM357664v1:2:2263445:2272128:1 gene:itb02g03810 transcript:itb02g03810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSISAFTGAVFAACLLVKLAHSQSFEDIGGGTSASVDNLAVQELLTQEVYSRIRNVTSLVLSSELAEKSSFCILNIEEDWNNAFNFTQNLSFVSSCVVKTRGDVPQRLCTAAEIRFYFSNTFMTSGSSSYLNPNRNCNLTSWEPGCEPGWACSTGSNQNPDLRNSQDIPARITDCQPCCEGFFCPHGITCMIPCPLGSYCPLATLNKTTGVCEPYSYQLPPGHPNHTCGGANIWADVRRSSEVFCSAGSYCPSNTEKIPCGSGNYCPTGSRSEKRCFKLTSCDPNSANQNIHAYGAMFIAALTTILLIIYNCSDQIVSIRERRRARTRELAAKSVKQNAQARARWKYAKEAVKKHAIELHSQLSRKLSRKKMVTFDEEVKILNEEERVPDYDLYLSSSTTSKQVAISSQVNEIEPSSCTRMMDIGVDNSKSFTPLSLEINDKNLKTKMQKGKQVDTQSQIFKYAYAQLEKEKAQQQQNECLTFSGVVSMATNSEPKKRPTIEIAFRDLTVTLKGKNKHLLRSVNGAISPGHITAVMGPSGAGKTTFLSTLAGKTVGCRITGSILINGKKESIHSHRKVVGFVPQDDIVHGNLTVEENLWFSARCRLPKDLPKKDKVLILERVIDSLGLQSVRGSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLFLDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYTLFKMFDNLVLLAKGGLTVYHGSTNRVEGYFADLGIYVPERVNPPDYFIDVLEGLVRPSTSSSVNYKELPVMWMLHNGYPVPQDMQQNSPEDASLLAKVRSNDHMISAAGVNDEQSFAGEVWQDIRSKVEQRHDILQHNFLRSEDLSNRRTPNVFLQYKYFLGRVGKQRLREARIQATDYLILLVAGACLGSLSKVKDESFGAPGYTYTIIAVSLLCKVAALRTFSLDKLQYWRESSSGISSLAHFVSKDTIDHFNTVIKPAMYLSMFYFFSNPRSSFADNYIVLLCLVYCVTGIGYALAIFLEPGPSQLAMCCTASRCFDSCCNTHWRWQILEDDFRCVLPKMGAGGICYCKRRKILWCLAHNTLWCAPELRVRSS >itb02g03810.t3 pep chromosome:ASM357664v1:2:2263445:2271424:1 gene:itb02g03810 transcript:itb02g03810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSISAFTGAVFAACLLVKLAHSQSFEDIGGGTSASVDNLAVQELLTQEVYSRIRNVTSLVLSSELAEKSSFCILNIEEDWNNAFNFTQNLSFVSSCVVKTRGDVPQRLCTAAEIRFYFSNTFMTSGSSSYLNPNRNCNLTSWEPGCEPGWACSTGSNQNPDLRNSQDIPARITDCQPCCEGFFCPHGITCMIPCPLGSYCPLATLNKTTGVCEPYSYQLPPGHPNHTCGGANIWADVRRSSEVFCSAGSYCPSNTEKIPCGSGNYCPTGSRSEKRCFKLTSCDPNSANQNIHAYGAMFIAALTTILLIIYNCSDQIVSIRERRRARTRELAAKSVKQNAQARARWKYAKEAVKKHAIELHSQLSRKLSRKKMVTFDEEVKILNEEERVPDYDLYLSSSTTSKQVAISSQVNEIEPSSCTRMMDIGVDNSKSFTPLSLEINDKNLKTKMQKGKQVDTQSQIFKYAYAQLEKEKAQQQQNECLTFSGVVSMATNSEPKKRPTIEIAFRDLTVTLKGKNKHLLRSVNGAISPGHITAVMGPSGAGKTTFLSTLAGKTVGCRITGSILINGKKESIHSHRKVVGFVPQDDIVHGNLTVEENLWFSARCRLPKDLPKKDKVLILERVIDSLGLQSVRGSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLFLDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYTLFKMFDNLVLLAKGGLTVYHGSTNRVEGYFADLGIYVPERVNPPDYFIDVLEGLVRPSTSSSVNYKELPVMWMLHNGYPVPQDMQQNSPEDASLLAKVRSNDHMISAAGVNDEQSFAGEVWQDIRSKVEQRHDILQHNFLRSEDLSNRRTPNVFLQYKYFLGRVGKQRLREARIQATDYLILLVAGACLGSLSKVKDESFGAPGYTYTIIAVSLLCKVAALRTFSLDKLQYWRESSSGISSLAHFVSKDTIDHFNTVIKPAMYLSMFYFFSNPRSSFADNYIVLLCLVYCVTGIGYALAIFLEPGPSQLASTDSLLKYLKNYSSF >itb14g09930.t1 pep chromosome:ASM357664v1:14:11060570:11063918:-1 gene:itb14g09930 transcript:itb14g09930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDELNSNLCDLFPEIAPVCDCEHEVSDRLRGHQFADGSRDSNPSVKFSEDEWDRLAEMERNNSVIGRFGRDKPSIDLIRTDLRSHIPGEVQIGSLSANTILLRFASEDDCRVILARNRMTVAGTSVWLSRWSPDWKPWNDSSIVPVWIAFPNLPLHLFNSFDTLCGSIGKFLFMDSATMKKARPSVARVRVEIDVRVHRVWIRIGNGLKGFWQRIEYEWMPKFCSNCSRFGHVMSDCRRSRARDNPVAETETEVNVEPVVVEERNVNKDTPTVCQSAHMREGRAMVADEVVEPTNKGVEQIEQVNALNKGMPSVCANPVAETGPEVIEEVVSKVKEKEKEDGAMKTIERVKGNERGSASRLEKMISELRARGIDICIVSNETNKNEMGNGLDLESKEVLRENKVSEPAKIDQEKVLNEQESCVRINEPTRDTGEVKEKDQEGEGNSTGNANKKNK >itb12g26820.t1 pep chromosome:ASM357664v1:12:27553479:27553996:1 gene:itb12g26820 transcript:itb12g26820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERAGKFVLFTLFEWEFRRKAISFPPAVKDSNKQVFVLILQYVAGLKVPFCFQNLKSFDFISPAELPIVDTLQHTRGASYLFFN >itb05g26250.t1 pep chromosome:ASM357664v1:5:30235577:30239691:-1 gene:itb05g26250 transcript:itb05g26250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGKNLVTGKEWFISSWKSAEDPSTGEYVNRLNSDGYTQAFVMKGSEIEFSTGPWNGRSFTSSVASRPNTYYTYKLVSTSDEIYFSYDLIKNMSVLTRLVIMPNGQVQHLTWIERTQNWIVYLNVQVDNCDRYGLCGPYATCNIDNSPPCGCLEGFRPKFTKQWNEADWSGGCVRNNPVGCGKEDGFRTLSGIKMPDSRKTWYSTTVNLKECEKMCLQNCSCTAYTVLDVRDGSGCLMYIDELIDMRELSQNDQPLYVRMAASDIAADKRQKKQRVMAISIALSAVIGFLLLCFLVWCGLHRRRSKRDLYDDEEMECPMFDLATVSAATHNFSNNNMVGEGGFGAVYKGKLAEGKEIAVKRLSRQSLQGKQELQNEMALISKLQHRNLVKLLGCCLEGEEKMLIYEFMPNNSLDHFIFDPERRGVLTWQRRFDIAMGISRGLLYLHQDSRLRIVHRDLKASNILLDNNFRPKISDFGLAKIMDLNQTEGKTRLVIGTYGYMSPEYAVDGKFSVKSDVFSLGVLILELVSGKKNQTFRHSDHLHNLLGHAWILWNKGRAVELIDEYLEKSSYVEFEVVRCIQVGLLCVQKAPDDRPTVASVVSMMGNKDVRLPQPKQPGFFVERSSNSTGSTLVSENELSLTIMEAR >itb05g26250.t2 pep chromosome:ASM357664v1:5:30235577:30239691:-1 gene:itb05g26250 transcript:itb05g26250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFVFFCGIMMPISTYADTIITPDQALVDGETVVSPAGVFELGFFSPGSTPENRYLGIWYKKISHGTVVWVANRDSPVKGNSGRLILNPNGTLILIDGNNRTVWSANSSRALKNAATRLSDRGNLVVFDVAGTGDDRSFGWQSFDYPSDTLLQGMKLGKNLVTGKEWFISSWKSAEDPSTGEYVNRLNSDGYTQAFVMKGSEIEFSTGPWNGRSFTSSVASRPNTYYTYKLVSTSDEIYFSYDLIKNMSVLTRLVIMPNGQVQHLTWIERTQNWIVYLNVQVDNCDRYGLCGPYATCNIDNSPPCGCLEGFRPKFTKQWNEADWSGGCVRNNPVGCGKEDGFRTLSGIKMPDSRKTWYSTTVNLKECEKMCLQNCSCTAYTVLDVRDGSGCLMYIDELIDMRELSQNDQPLYVRMAASDIAADKRQKKQRVMAISIALSAVIGFLLLCFLVWCGLHRRRSKRDLYDDEEMECPMFDLATVSAATHNFSNNNMVGEGGFGAVYKGKLAEGKEIAVKRLSRQSLQGKQELQNEMALISKLQHRNLVKLLGCCLEGEEKMLIYEFMPNNSLDHFIFDPERRGVLTWQRRFDIAMGISRGLLYLHQDSRLRIVHRDLKASNILLDNNFRPKISDFGLAKIMDLNQTEGKTRLVIGTYGYMSPEYAVDGKFSVKSDVFSLGVLILELVSGKKNQTFRHSDHLHNLLGHAWILWNKGRAVELIDEYLEKSSYVEFEVVRCIQVGLLCVQKAPDDRPTVASVVSMMGNKDVRLPQPKQPGFFVERSSNSTGSTLVSENELSLTIMEAR >itb02g12980.t1 pep chromosome:ASM357664v1:2:8971272:8974391:1 gene:itb02g12980 transcript:itb02g12980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAGTTQKCKACEKTVYLVDQLRADNKVYHKACFRCFHCKGTLKLSNYNSFEGVLYCKPHFDQLFKMTGSLDKSFEGAPKTVRERAADQGHTSSKVSSMFAGTQDKCVACKKTVYPIEKVAVDGTSYHRPCFKCSYGGCVISPSNYVAHEHKLYCRHHHTQLFKEKGNFSQLDTSEKSKEVTENGKA >itb10g16360.t1 pep chromosome:ASM357664v1:10:22589055:22592916:1 gene:itb10g16360 transcript:itb10g16360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGPVAMATRERQGGASMMEQLVPEIMTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTFEQDNVTPVKGWKAYYAATRAILKINAEFFKIIEEKSVLAMSQLWLKVDYVKCLHGSGEFFTGYDAVVESWQPILNWEQSADFQIRDVRARVVHSASSLFSDARSWRSSATTYPRITYTKQQLGNRMTRLIKLECLKDVSCVLVQFEVRQREERGERMSTTTTAMATVTGGSAALHPVPKPPIREFLVLAPRQILYKRNKSLSFSISYGGNPKQEPSSSSPVLCASSASPTPLAEEEEEVKESGSSAPPEDGDVVLSTLIRDSDSFLSPGGCKACGKEVVESGCNGEGRIQGGIATVPGFGELDKAWMKSSPGEENEPMLQESTTMLNPARRKDQENPRGDKHTEACLP >itb09g23170.t1 pep chromosome:ASM357664v1:9:22351334:22351840:1 gene:itb09g23170 transcript:itb09g23170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRIFTFRIQSPLSSPPLQISPFSPSPSPPQSAGQQLTAVLRPRQASRHPLTAVRSSQSAARYPPPAARLLIAHRYPPAARRRRPPPAAHRSHRRRYIPESRPFEN >itb11g00640.t1 pep chromosome:ASM357664v1:11:275576:277727:-1 gene:itb11g00640 transcript:itb11g00640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:Projected from Arabidopsis thaliana (AT2G45640) UniProtKB/TrEMBL;Acc:A0A178VW39] MQPNWFGNKYLGTSILFAYHCRGERERELDSEGAMADVQRRRPMQPPPPRGPPGPVQPKAPTRSEPVDREKTCPLLLRVFTKIGGHHTESDFAVRGKEPKDEVQIYTWMDANLRELTDLVKEVAPEARKRDAMLSFAFVYPDKNGKFVVREVGKTYSIPSGRRPDSGNQTLSDLKFEIGDYLDVAIYFNDLMRK >itb11g22920.t5 pep chromosome:ASM357664v1:11:24809937:24820622:1 gene:itb11g22920 transcript:itb11g22920.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHPISACKRIPNLAQIYLVGFYEEREFAIYVSSISNELRVPVRYLKEEKPHGSAGGLYNFRDMIMEDSPSHIFLLNCDVCCSFPLPAMLEAHVKYGGMGTILVSKVSPESANEFGELVSDPATNELLHYTEKPETFVSERINCGVYVFTPDIFTAIQGVSTERKDRANLRRVSSFEALQLPNRSLPADFVRLDQDILSPLAGKKQLYTYETLDFWEQIKTPGMSLKCSGLYLSQFRCTSPHLLASGDGTKSALITGDVYIHPSAKVHPTAKIGPNVSISANVRIGAGARLIDCIVLDDVEIKENAVVIHAIIGWKSSIGRWSRVQAVGDYNAKLGVTILGESVGVEDEVVVINSIVLPNKTLNLQHGTSAVGSQHGSPSWKSRAGFCGFGSKSWGVVRGMATTVDSSLSYLKQQEAAEIDELLMGPLGFSVDQLMELAGLSVASAVAEVYKPSEYSRVLAVCGPGNNGGDGLVAARHLYHFGYKPVICYPKRTSKPLYNGLVTQLESLSIPFLSMEDLPMDLSDNFDILVDAMFGFSFHGTPRPPFDDLIQRLIAVKNNELRHKKSPAIVSVDIPSGWHVEEGDLSSEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVNKFKLQLPAYPGTSMCVRIGKPPKVDISSLRENYISPELLEEQVESNPFYQFQKWFDDAMAAGLKEPNAMALSTTGKDGKPSSRMVLLKGVDKDRFVWYTNYASRKARDISENPHAALLFYWDGLNRQVRIEGSVQKVSDEESVQYFHSRPRGSQIGAIASKQSTVIPGRQFLHHEYKELEMKYSDGSTIPKPTHWGGYMLKPESFEFWQGQQSRLHDRLRYRPEEVDGKRVWRIERLAP >itb11g22920.t2 pep chromosome:ASM357664v1:11:24815470:24820944:1 gene:itb11g22920 transcript:itb11g22920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDSSLSYLKQQEAAEIDELLMGPLGFSVDQLMELAGLSVASAVAEVYKPSEYSRVLAVCGPGNNGGDGLVAARHLYHFGYKPVICYPKRTSKPLYNGLVTQLESLSIPFLSMEDLPMDLSDNFDILVDAMFGFSFHGTPRPPFDDLIQRLIAVKNNELRHKKSPAIVSVDIPSGWHVEEGDLSSEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVNKFKLQLPAYPGTSMCVRIGKPPKVDISSLRENYISPELLEEQVESNPFYQFQKWFDDAMAAGLKEPNAMALSTTGKDGKPSSRMVLLKGVDKDRFVWYTNYASRKARDISENPHAALLFYWDGLNRQVRIEGSVQKVSDEESVQYFHSRPRGSQIGAIASKQSTVIPGRQFLHHEYKELEMKYSDGSTIPKPTHWGGYMLKPESFEFWQGQQSRLHDRLRYRPEEVDGKRVWRIERLAP >itb11g22920.t1 pep chromosome:ASM357664v1:11:24815470:24820944:1 gene:itb11g22920 transcript:itb11g22920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLVQMHQWLRKSKTMKCLLHQLIPPTFHSSGLVKPTIHSLPTSFLLSSWKSRAGFCGFGSKSWGVVRGMATTVDSSLSYLKQQEAAEIDELLMGPLGFSVDQLMELAGLSVASAVAEVYKPSEYSRVLAVCGPGNNGGDGLVAARHLYHFGYKPVICYPKRTSKPLYNGLVTQLESLSIPFLSMEDLPMDLSDNFDILVDAMFGFSFHGTPRPPFDDLIQRLIAVKNNELRHKKSPAIVSVDIPSGWHVEEGDLSSEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVNKFKLQLPAYPGTSMCVRIGKPPKVDISSLRENYISPELLEEQVESNPFYQFQKWFDDAMAAGLKEPNAMALSTTGKDGKPSSRMVLLKGVDKDRFVWYTNYASRKARDISENPHAALLFYWDGLNRQVRIEGSVQKVSDEESVQYFHSRPRGSQIGAIASKQSTVIPGRQFLHHEYKELEMKYSDGSTIPKPTHWGGYMLKPESFEFWQGQQSRLHDRLRYRPEEVDGKRVWRIERLAP >itb11g22920.t3 pep chromosome:ASM357664v1:11:24815470:24820944:1 gene:itb11g22920 transcript:itb11g22920.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDSSLSYLKQQEAAEIDELLMGPLGFSVDQLMELAGLSVASAVAEVYKPSEYSRVLAVCGPGNNGGDGLVAARHLYHFGYKPVICYPKRTSKPLYNGLVTQLESLSIPFLSMEDLPMDLSDNFDILVDAMFGFSFHGTPRPPFDDLIQRLIAVKNNELRHKKSPAIVSVDIPSGWHVEEGDLSSEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVNKFKLQLPAYPGTSMCVRIGKPPKVDISSLRENYISPELLEEQVESNPFYQFQKWFDDAMAAGLKEPNAMALSTTGKDGKPSSRMVLLKGVDKDRFVWYTNYASRKARDISENPHAALLFYWDGLNRQVRIEGSVQKVSDEESVQYFHSRPRGSQIGAIASKQSTVIPGRQFLHHEYKELEMKYSDGSTIPKPTHWGGYMLKPESFEFWQGQQSRLHDRLRYRPEEVDGKRVWRIERLAP >itb11g22920.t4 pep chromosome:ASM357664v1:11:24809107:24814902:1 gene:itb11g22920 transcript:itb11g22920.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFAIYVSSISNELRVPVRYLKEEKPHGSAGGLYNFRDMIMEDSPSHIFLLNCDVCCSFPLPAMLEAHVKYGGMGTILVSKVSPESANEFGELVSDPATNELLHYTEKPETFVSERINCGVYVFTPDIFTAIQGVSTERKDRANLRRVSSFEALQLPNRSLPADFVRLDQDILSPLAGKKQLYTYETLDFWEQIKTPGMSLKCSGLYLSQFRCTSPHLLASGDGTKSALITGDVYIHPSAKVHPTAKIGPNVSISANVRIGAGARLIDCIVLDDVEIKENAVVIHAIIGWKSSIGRWSRVQAVGDYNAKLGVTILGESVGVEDEVVVINSIVLPNKTLNVSVQEEILL >itb13g12070.t1 pep chromosome:ASM357664v1:13:18043438:18049512:1 gene:itb13g12070 transcript:itb13g12070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGCLECLLKLFNFLLTLAGLGMVGYGIYLFVEYKNHSPSGDEHPIPPSSGELVQLGRPMLVAVSLANNIFDNLPKEWFLYLFIGVGVLLFVISCFGCIGAATRNGCCLSCYSVLVILLILVELGAAAFIFFDKSWKKEIPTDRTGNFDMIYEFLEDNWKIVKWVALGVVVLEALMFLLALVVRAANRPADYDSDDEYIGGPRQQIRQPLINNRQPAPVTGVPVAGTLDSRPSRNDAWSARMREKYGLDTSEFTYNPSESNRYPPTNGQPAEERGRCTIM >itb10g03100.t1 pep chromosome:ASM357664v1:10:2770011:2772226:1 gene:itb10g03100 transcript:itb10g03100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLQMRAALRRHLRLLQSLTNSKSVKTKSIITDTILYILNLQLQLEAIKTEMHYILHNIQEVKVEKDGEKIIVKVACKKVGHQDLVVRIVEALEEMDLSIVELRVSCKYIFAMEAIVEAAEDEGLSVGVVTLAILNNIDLN >itb07g14120.t1 pep chromosome:ASM357664v1:7:16554708:16558292:-1 gene:itb07g14120 transcript:itb07g14120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISCFFLACLFLVSLGLINVINGQPLVPAFILFGDSIVDQGNNNNLETLVKANFPPYGRDYPKHIPTGRFCNGKLASDFTAENLGFNSSPPAYLSHTAKGKHLLTGANFASASSGYYDLTAKLFNTIPLSTQLEYYKEYQKKVVKLVGKVNATSLINGSVHFVSAGSSDFLQNYFVNPLLFEAYTPDQFSDILIKSYAKFVQELYELGARKIGVTTLPPIGCVPAAITIFGEGSNKCVKKLNKAAISFNRKLNATSIKLQQKLSGLNLVVLDIYQPLLDLVTHPTDHGFFEARKACCGTGLVETSFLCNAESPGTCKNATEYVFWDGFHPTQAANQVLSDDLLAAGLSLIG >itb03g20210.t1 pep chromosome:ASM357664v1:3:18119939:18125360:-1 gene:itb03g20210 transcript:itb03g20210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCYRGGRREGFFQVSMCGAAQEIEQSSRDGSHYSLTTGILPPLGARSNRRVKLRQFIISPYDRRYRSWETFLAVLVIYIAWVSPFEFGFLEKPSWPLTITDNIVNGFFAIDIVLTFIVAYMDKTTYLLVDNPRQIAWRYTRTWFIFDVISTIPSEIAYKISPNHLSLYGLFSMLRLWRLRRVSALYARLEKDKNFNYFWVRCAKLICVTLFAVHSAGCFYYLIAGHYHDPKYTWIGVSLGEDFHKRSIWTRYITSIYWSITTLTTTGYGDLHAENTQEMIFTVFYMLFNLGLTAYLIGNMTNLVVHRTSKTRQFRDAIQAASSFAQRNQLPTRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHFLFYSLIDKVYLFRGVSNDLLFQLVSDMKAEYFPPKEDVILQNEAPTDFYILVSGAVDLLDYRNGVEQVVGEAKTGDPCGEIGVLCYRPQLFTVRTRRLSQLLRMNRTAFLNIVQANVGDGTIIMNNLLQHLKDSRDPIMEGVLLETENMLARGRMDLPLTLCFAALRGDDLLLHHLLKRGLDPNESDNNGRTALHIAASKGYENCVLLLLDFGADPNIRDTEGRVPLWEAILGKHESVIRLLVDNGAELRCGEIGQFACIAAEENNMDLLKEIVRWGGDVTCPGSNGSTALHFAVCEGNLEMVEYLLEQGADMDKPEEDGWTPRSLAEQQGHEDINQLFESKKENKNQSVNVTVPEERNRVRFLGRFKSEPTIPHATFPATDGSWSRSSRHRRRTSTFHNSLFGIMSAAQNGGHGLMFPMNAAAKSGRAKTYVARVTVSCPEKDDVAGKIILLPKSFQELLQIGVKKYGFLAAKVVSKEGAEIDSIELIRDNDHLVFISDGKTNETIHQNGG >itb01g28930.t2 pep chromosome:ASM357664v1:1:33238352:33242392:-1 gene:itb01g28930 transcript:itb01g28930.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAASAAAAAAAAEGKPKEETPSSYTYWVRDKTPDAAPLPVPRKLNPGDQPNNQTPTHLGSAWNRAGTWEEKSLNKWANDRIKELLVSVGSVELSGGKAEITEVTRCNGDAFLVTVRNKKRVGYTYELTLKVKGEWLVGAEKKVVKGHIEIPEFSFGELDDLQVHVLA >itb01g28930.t1 pep chromosome:ASM357664v1:1:33237788:33242497:-1 gene:itb01g28930 transcript:itb01g28930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAASAAAAAAAAEGKPKEETPSSYTYWVRDKTPDAAPLPVPRKLNPGDQPNNQTPTHLGSAWNRAGTWEEKSLNKWANDRIKELLVSVGSVELSGGKAEITEVTRCNGDAFLVTVRNKKRVGYTYELTLKVKGEWLVGAEKKVVKGHIEIPEFSFGELDDLQIEARLSEDKDLEPKDKQRIKQDFRLFLQPLREKLLQFEQELKER >itb07g06780.t1 pep chromosome:ASM357664v1:7:4913157:4915502:-1 gene:itb07g06780 transcript:itb07g06780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-X [Source:Projected from Arabidopsis thaliana (AT1G70920) UniProtKB/Swiss-Prot;Acc:Q8GXM7] MAEMPGSSTCLDLTISIPGSSSSSSGGECGMRDLDINQVPSGENIEGESMMEEEDDQSSINGGAHPRKKLRLTKEQSLLLEESFRQNHTLNPKQKEVLAMQLRLKPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAKKVGPPTVLTPHSREPLPASTLTMCPRCERVTTTTITSTTVRAVDKGGPTTSSQAGNPNLSAKTLQLLHPRHPSAAC >itb04g20510.t1 pep chromosome:ASM357664v1:4:25290534:25292981:-1 gene:itb04g20510 transcript:itb04g20510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQNLFISILLLSFLFVAAMADSQSTSDAKVYIVYTHKPEEGVEHEDFHLKTLASVVGSEDAAKEAILYTYKHAASGFSAKLTPAQVDELSKKPGVLQVVRSQTVQLHSRPGLA >itb04g31670.t1 pep chromosome:ASM357664v1:4:34441611:34442533:1 gene:itb04g31670 transcript:itb04g31670.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKSNGETAILMPESNKSSKGKATIIATTKAVPLQQQGGGGGRRGFAIFDFILRLCALVTTLAAAATMATTDQSLPFFNQFIQFQASYDDFPTFSYFVIANAIASGYLVLSLPFSIICIVRPVAVGARLLLLILDTVMTGFTTAAAAAAASISYLAHTGNSTANWFAVCSQFTDFCQRVSGAVVASLIAAVIFIFLVILSGISLTRQQRH >itb13g20930.t1 pep chromosome:ASM357664v1:13:27637855:27641063:-1 gene:itb13g20930 transcript:itb13g20930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTPKEEGIVRTISDAPPSHYVVKIQSVSLLKNNIDQYVSDAFDAGGYKWKLVVHPSGNKNKKVTDHISLYIKLADTSNLHPGWEVHAVIRMFLYDQKKDNYYVVQDAEEKTRRFRAMKVEWGHDRFIALTEFYNASNGYWVDDVCVFGVEVSVRKEIKLPGRDCLTLIQNPVEDNLSWEIKELNFRKDCYESNALQYWKIRIYPKGLDGGKGTHVSVYLALADAKPSTQIYAEFKVRIVDQSNSNHISSKPEKCCSWFNATNAAYGWPKFMSIDEFRKPQGGYLVNETCRVEADIKVLGVADEPDDLISNNSASDCKEIILT >itb13g10820.t1 pep chromosome:ASM357664v1:13:15790689:15791999:-1 gene:itb13g10820 transcript:itb13g10820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSELFYNLYTGSLLAENEFVEPYARSCDYVIISLEVLRLLYRYAYNMDSDYIKFTIGLVVETSSGIYRLTVGKAIPLFDSNGAKPGFYIAPPSISNSDILSLILDDLSSSIVGLEVPEAKSMNKEKPRESHVKFLPKMEEDKRMMFEFLNSLERTLTVNKSVRTVYFHNLAKFGYILYGLLTYIILHTLIRNHMLYELKVHKGRKLLMRFRDSCNLLPGSLASLAERLCPQLGPKGSIQHQEVRLSNLQERGEELLSYMRQDIRLLGGVMLKAQEIYWLNYQIYIEELMTLSSLATRIFRMKYYDENSYPYLIETRTPSFAVGTMVVIRMRISLR >itb09g13730.t1 pep chromosome:ASM357664v1:9:8988104:8988481:-1 gene:itb09g13730 transcript:itb09g13730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSIQIAELRGKLNSLKSQSPQTPTPYLPFRIPALQFSQPLPRKAGTSYALQDSRFSRHTRALWFSIETERKERGGELKRRSCLFTY >itb02g02460.t1 pep chromosome:ASM357664v1:2:1429309:1440419:1 gene:itb02g02460 transcript:itb02g02460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGTPENYKLLEIVGALKSWIPKRGQPANMPRDFWMPDQSCRVCYECDSPFTVFNRRHHCRLCGRVFCAKCASNSIPVPSEVTNIGPEDGERIRVCNYCFKQQKQGIAMVDNATSMTSPGISPSPSTTSLVSSQSSCTCNSGSSVDSTVYTTGSYLHVPYGSSQSSSPSAQTSQVTVKQDQLLSSENPYSEKYGSSNRNNDEDYDYSAYRVHSNPSQFCTADVYYDDVSCNEETLACCHSQENVEMNCLSSEMLPESIERKHLGSTEKVEEIVDANNNSMEDEDPSIDSVNSTESEPVDFENNGLLWLPPEPEDEEDEREALLDDDDDNGGEGGSAGEWGYLSPSRSFADGEYRNKEKSSEEHRRVIKNIVNGHFKALIGQLLKAENLSIGDEDDKESWLEIITALSWEAATFLKPDMSQSEGMDPGAYVKVKCIPCGHFTESVVVKGVVCKKNVAHRRMTSKIDKPRLLILGGALEYQRVTNHLSSFDTLLQQEKDHLMMAVAKIDAHHPNILLVEKSVSRFAQECLLAKDISLVLNVKRPLLQRIARCTGAKIVPSVDNLATQKLGYCDSFRVEKFVEEHGKAGHDGRKLVKTLMFFEGCPKPLGCTILLKGANVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPDLTLKSPIKVALPDKPPSINKSITLIPGFTLPDDKSPQCSHMASMFSQSVAASSSNIASDCDQESYLSKGSSPKLNAGSRLDNLTLTDSTTSLGYPRFDFHDQGYEGMNKDANKNVPETSSVHNTEVVGHEDLTSNCPSNLESLGQLGGFPHFVGTSQHANMCTSELVPPETDNNHIEELEPLKEEFTNLPSDPQSILVSLSTRCVWKQSVCERSRLFRIKYYGSFDLPLGRFLRDHLFDQNYRCHSCEMPSEAHVHCYTHQQGSLTISVKKLPNVVLPGEREGKIWMWHRCMQCPRINGFPPATRRVVMSDAARGLSFGKFLELSFSNHAAASRVAGCGHSLHKDCLRFYGFGKMVACFRYAPINVHSVFLPPQKLEFNHDNQEWIHKEVNEVCSRAENLFVEVFKALHKISEQISVKDGAKAQDSGHRIAKLERILEKEKMEFEELLGKVLNGEVKVDEPSVDVLEVNRLKRQLVFLAYLWDQRLVHITSPDHKDFQSLSDSAPKVKEKPFNSTDSPAERNEISCPGKGFNSSGSFLQNAKSDIAFTEDVCEQPLDGHKTMHMDQNQSHRKELDVCLSSNTNISDQSNQEPGENMKRVHSEDPVMENLSDTLDAAWTGEGQSASKDSSAPPMDSSSSIKTPAASGVSQSDDMDYQTWLMSPFLSFYQSFHKNFRNSKKQGNLSEYNPVYILSFRELLHQGGARLLLPVGVNDTVVPVYDDEPTSVISYALVSTDYHIQMSEASERTNKVESPVSLPFLDSFSLLSLSSFDEVISESLRGIGSIDESNLSSLGSQSSSSVDQNLYTNVSQARITFSDDGPQGKVKYAVTCYYAKQFEALRKACCSPELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSDAIASKSPTCLAKILGIYQVTSKHFKGGKESKMDVLVMENLLFRRSITRLYDLKGSCRSRYNPDSSGSNKVLLDQNLIESMPTSPIFLGPKAKRLLERAVWNDTAFLASIDVMDYSLLAGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPEQYKKRFRKAMSAYFLMVPDRWSSSAIVSVPSQSNSSEGNSQTGASLE >itb02g02460.t6 pep chromosome:ASM357664v1:2:1429309:1440161:1 gene:itb02g02460 transcript:itb02g02460.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGTPENYKLLEIVGALKSWIPKRGQPANMPRDFWMPDQSCRVCYECDSPFTVFNRRHHCRLCGRVFCAKCASNSIPVPSEVTNIGPEDGERIRVCNYCFKQQKQGIAMVDNATSMTSPGISPSPSTTSLVSSQSSCTCNSGSSVDSTVYTTGSYLHVPYGSSQSSSPSAQTSQVTVKQDQLLSSENPYSEKYGSSNRNNDEDYDYSAYRVHSNPSQFCTADVYYDDVSCNEETLACCHSQENVEMNCLSSEMLPESIERKHLGSTEKVEEIVDANNNSMEDEDPSIDSVNSTESEPVDFENNGLLWLPPEPEDEEDEREALLDDDDDNGGEGGSAGEWGYLSPSRSFADGEYRNKEKSSEEHRRVIKNIVNGHFKALIGQLLKAENLSIGDEDDKESWLEIITALSWEAATFLKPDMSQSEGMDPGAYVKVKCIPCGHFTESVVVKGVVCKKNVAHRRMTSKIDKPRLLILGGALEYQRVTNHLSSFDTLLQQEKDHLMMAVAKIDAHHPNILLVEKSVSRFAQECLLAKDISLVLNVKRPLLQRIARCTGAKIVPSVDNLATQKLGYCDSFRVEKFVEEHGKAGHDGRKLVKTLMFFEGCPKPLGCTILLKGANVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPDLTLKSPIKVALPDKPPSINKSITLIPGFTLPDDKSPQCSHMASMFSQSVAASSSNIASDCDQESYLSKGSSPKLNAGSRLDNLTLTDSTTSLGYPRFDFHDQGYEGMNKDANKNVPETSSVHNTEVVGHEDLTSNCPSNLESLGQLGGFPHFVGTSQHANMCTSELVPPETDNNHIEELEPLKEEFTNLPSDPQSILVSLSTRCVWKQSVCERSRLFRIKYYGSFDLPLGRFLRDHLFDQNYRCHSCEMPSEAHVHCYTHQQGSLTISVKKLPNVVLPGEREGKIWMWHRCMQCPRINGFPPATRRVVMSDAARGLSFGKFLELSFSNHAAASRVAGCGHSLHKDCLRFYGFGKMVACFRYAPINVHSVFLPPQKLEFNHDNQEWIHKEVNESKSL >itb02g02460.t4 pep chromosome:ASM357664v1:2:1429309:1440161:1 gene:itb02g02460 transcript:itb02g02460.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGTPENYKLLEIVGALKSWIPKRGQPANMPRDFWMPDQSCRVCYECDSPFTVFNRRHHCRLCGRVFCAKCASNSIPVPSEVTNIGPEDGERIRVCNYCFKQQKQGIAMVDNATSMTSPGISPSPSTTSLVSSQSSCTCNSGSSVDSTVYTTGSYLHVPYGSSQSSSPSAQTSQVTVKQDQLLSSENPYSEKYGSSNRNNDEDYDYSAYRVHSNPSQFCTADVYYDDVSCNEETLACCHSQENVEMNCLSSEMLPESIERKHLGSTEKVEEIVDANNNSMEDEDPSIDSVNSTESEPVDFENNGLLWLPPEPEDEEDEREALLDDDDDNGGEGGSAGEWGYLSPSRSFADGEYRNKEKSSEEHRRVIKNIVNGHFKALIGQLLKAENLSIGDEDDKESWLEIITALSWEAATFLKPDMSQSEGMDPGAYVKVKCIPCGHFTESVVVKGVVCKKNVAHRRMTSKIDKPRLLILGGALEYQRVTNHLSSFDTLLQQEKDHLMMAVAKIDAHHPNILLVEKSVSRFAQECLLAKDISLVLNVKRPLLQRIARCTGAKIVPSVDNLATQKLGYCDSFRVEKFVEEHGKAGHDGRKLVKTLMFFEGCPKPLGCTILLKGANVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPDLTLKSPIKVALPDKPPSINKSITLIPGFTLPDDKSPQCSHMASMFSQSVAASSSNIASDCDQESYLSKGSSPKLNAGSRLDNLTLTDSTTSLGYPRFDFHDQGYEGMNKDANKNVPETSSVHNTEVVGHEDLTSNCPSNLESLGQLGGFPHFVGTSQHANMCTSELVPPETDNNHIEELEPLKEEFTNLPSDPQSILVSLSTRCVWKQSVCERSRLFRIKYYGSFDLPLGRFLRDHLFDQNYRCHSCEMPSEAHVHCYTHQQGSLTISVKKLPNVVLPGEREGKIWMWHRCMQCPRINGFPPATRRVVMSDAARGLSFGKFLELSFSNHAAASRVAGCGHSLHKDCLRFYGFGKMVACFRYAPINVHSVFLPPQKLEFNHDNQEWIHKEVNEVCSRAENLFVEVFKALHKISEQISVKDGAKAQDSGHRIAKLERILEKEKMEFEVTSKHFKGGKESKMDVLVMENLLFRRSITRLYDLKGSCRSRYNPDSSGSNKVLLDQNLIESMPTSPIFLGPKAKRLLERAVWNDTAFLASIDVMDYSLLAGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPEQYKKRFRKAMSAYFLMVPDRWSSSAIVSVPSQSNSSEGNSQTGASLE >itb02g02460.t3 pep chromosome:ASM357664v1:2:1429315:1440419:1 gene:itb02g02460 transcript:itb02g02460.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGTPENYKLLEIVGALKSWIPKRGQPANMPRDFWMPDQSCRVCYECDSPFTVFNRRHHCRLCGRVFCAKCASNSIPVPSEVTNIGPEDGERIRVCNYCFKQQKQGIAMVDNATSMTSPGISPSPSTTSLVSSQSSCTCNSGSSVDSTVYTTGSYLHVPYGSSQSSSPSAQTSQVTVKQDQLLSSENPYSEKYGSSNRNNDEDYDYSAYRVHSNPSQFCTADVYYDDVSCNEETLACCHSQENVEMNCLSSEMLPESIERKHLGSTEKVEEIVDANNNSMEDEDPSIDSVNSTESEPVDFENNGLLWLPPEPEDEEDEREALLDDDDDNGGEGGSAGEWGYLSPSRSFADGEYRNKEKSSEEHRRVIKNIVNGHFKALIGQLLKAENLSIGDEDDKESWLEIITALSWEAATFLKPDMSQSEGMDPGAYVKVKCIPCGHFTESVVVKGVVCKKNVAHRRMTSKIDKPRLLILGGALEYQRVTNHLSSFDTLLQQEKDHLMMAVAKIDAHHPNILLVEKSVSRFAQECLLAKDISLVLNVKRPLLQRIARCTGAKIVPSVDNLATQKLGYCDSFRVEKFVEEHGKAGHDGRKLVKTLMFFEGCPKPLGCTILLKGANVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPDLTLKSPIKVALPDKPPSINKSITLIPGFTLPDDKSPQCSHMASMFSQSVAASSSNIASDCDQESYLSKGSSPKLNAGSRLDNLTLTDSTTSLGYPRFDFHDQGYEGMNKDANKNVPETSSVHNTEVVGHEDLTSNCPSNLESLGQLGGFPHFVGTSQHANMCTSELVPPETDNNHIEELEPLKEEFTNLPSDPQSILVSLSTRCVWKQSVCERSRLFRIKYYGSFDLPLGRFLRDHLFDQNYRCHSCEMPSEAHVHCYTHQQGSLTISVKKLPNVVLPGEREGKIWMWHRCMQCPRINGFPPATRRVVMSDAARGLSFGKFLELSFSNHAAASRVAGCGHSLHKDCLRFYGFGKMVACFRYAPINVHSVFLPPQKLEFNHDNQEWIHKEVNEVCSRAENLFVEVFKALHKISEQISVKDGAKAQDSGHRIAKLERILEKEKMEFEVTSKHFKGGKESKMDVLVMENLLFRRSITRLYDLKGSCRSRYNPDSSGSNKVLLDQNLIESMPTSPIFLGPKAKRLLERAVWNDTAFLASIDVMDYSLLAGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPEQYKKRFRKAMSAYFLMVPDRWSSSAIVSVPSQSNSSEGNSQTGASLE >itb02g02460.t2 pep chromosome:ASM357664v1:2:1429315:1440419:1 gene:itb02g02460 transcript:itb02g02460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGTPENYKLLEIVGALKSWIPKRGQPANMPRDFWMPDQSCRVCYECDSPFTVFNRRHHCRLCGRVFCAKCASNSIPVPSEVTNIGPEDGERIRVCNYCFKQQKQGIAMVDNATSMTSPGISPSPSTTSLVSSQSSCTCNSGSSVDSTVYTTGSYLHVPYGSSQSSSPSAQTSQVTVKQDQLLSSENPYSEKYGSSNRNNDEDYDYSAYRVHSNPSQFCTADVYYDDVSCNEETLACCHSQENVEMNCLSSEMLPESIERKHLGSTEKVEEIVDANNNSMEDEDPSIDSVNSTESEPVDFENNGLLWLPPEPEDEEDEREALLDDDDDNGGEGGSAGEWGYLSPSRSFADGEYRNKEKSSEEHRRVIKNIVNGHFKALIGQLLKAENLSIGDEDDKESWLEIITALSWEAATFLKPDMSQSEGMDPGAYVKVKCIPCGHFTESVVVKGVVCKKNVAHRRMTSKIDKPRLLILGGALEYQRVTNHLSSFDTLLQQEKDHLMMAVAKIDAHHPNILLVEKSVSRFAQECLLAKDISLVLNVKRPLLQRIARCTGAKIVPSVDNLATQKLGYCDSFRVEKFVEEHGKAGHDGRKLVKTLMFFEGCPKPLGCTILLKGANVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPDLTLKSPIKVALPDKPPSINKSITLIPGFTLPDDKSPQCSHMASMFSQSVAASSSNIASDCDQESYLSKGSSPKLNAGSRLDNLTLTDSTTSLGYPRFDFHDQGYEGMNKDANKNVPETSSVHNTEVVGHEDLTSNCPSNLESLGQLGGFPHFVGTSQHANMCTSELVPPETDNNHIEELEPLKEEFTNLPSDPQSILVSLSTRCVWKQSVCERSRLFRIKYYGSFDLPLGRFLRDHLFDQNYRCHSCEMPSEAHVHCYTHQQGSLTISVKKLPNVVLPGEREGKIWMWHRCMQCPRINGFPPATRRVVMSDAARGLSFGKFLELSFSNHAAASRVAGCGHSLHKDCLRFYGFGKMVACFRYAPINVHSVFLPPQKLEFNHDNQEWIHKEVNEVCSRAENLFVEVFKALHKISEQISVKDGAKAQDSGHRIAKLERILEKEKMEFEELLGKVLNGEVKVDEPSVDVLEVNRLKRQLVFLAYLWDQRLVHITSPDHKDFQSLSDSAPKVKEKPFNSTDSPAERNEISCPGKGFNSSGSFLQNAKSDIAFTEDVCEQPLDGHKTMHMDQNQSHRKELDVCLSSNTNISDQSNQEPGENMKRVHSEDPVMENLSDTLDAAWTGEGQSASKDSSAPPMDSSSSIKTPAASGVSQSDDMDYQTWLMSPFLSFYQSFHKNFRNSKKQGNLSEYNPVYILSFRELLHQGGARLLLPVGVNDTVVPVYDDEPTSVISYALVSTDYHIQMSEASERTNKVESPVSLPFLDSFSLLSLSSFDEVISESLRGIGSIDESNLSSLGSQSSSSVDQNLYTNVSQARITFSDDGPQGKVKYAVTCYYAKQFEALRKACCSPELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSDAIASKSPTCLAKILGIYQVTSKHFKGGKESKMDVLVMENLLFRRSITRLYDLKGSCRSRYNPDSSGSNKVLLDQNLIESMPTSPIFLGPKAKRLLERAVWNDTAFLASIDVMDYSLLAGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPEQYKKRFRKAMSAYFLMVPDRWSSSAIVSVPSQSNSSEGNSQTGASLE >itb02g02460.t5 pep chromosome:ASM357664v1:2:1433158:1440161:1 gene:itb02g02460 transcript:itb02g02460.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKIDKPRLLILGGALEYQRVTNHLSSFDTLLQQEKDHLMMAVAKIDAHHPNILLVEKSVSRFAQECLLAKDISLVLNVKRPLLQRIARCTGAKIVPSVDNLATQKLGYCDSFRVEKFVEEHGKAGHDGRKLVKTLMFFEGCPKPLGCTILLKGANVDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPDLTLKSPIKVALPDKPPSINKSITLIPGFTLPDDKSPQCSHMASMFSQSVAASSSNIASDCDQESYLSKGSSPKLNAGSRLDNLTLTDSTTSLGYPRFDFHDQGYEGMNKDANKNVPETSSVHNTEVVGHEDLTSNCPSNLESLGQLGGFPHFVGTSQHANMCTSELVPPETDNNHIEELEPLKEEFTNLPSDPQSILVSLSTRCVWKQSVCERSRLFRIKYYGSFDLPLGRFLRDHLFDQNYRCHSCEMPSEAHVHCYTHQQGSLTISVKKLPNVVLPGEREGKIWMWHRCMQCPRINGFPPATRRVVMSDAARGLSFGKFLELSFSNHAAASRVAGCGHSLHKDCLRFYGFGKMVACFRYAPINVHSVFLPPQKLEFNHDNQEWIHKEVNEVCSRAENLFVEVFKALHKISEQISVKDGAKAQDSGHRIAKLERILEKEKMEFEELLGKVLNGEVKVDEPSVDVLEVNRLKRQLVFLAYLWDQRLVHITSPDHKDFQSLSDSAPKVKEKPFNSTDSPAERNEISCPGKGFNSSGSFLQNAKSDIAFTEDVCEQPLDGHKTMHMDQNQSHRKELDVCLSSNTNISDQSNQEPGENMKRVHSEDPVMENLSDTLDAAWTGEGQSASKDSSAPPMDSSSSIKTPAASGVSQSDDMDYQTWLMSPFLSFYQSFHKNFRNSKKQGNLSEYNPVYILSFRELLHQGGARLLLPVGVNDTVVPVYDDEPTSVISYALVSTDYHIQMSEASERTNKVESPVSLPFLDSFSLLSLSSFDEVISESLRGIGSIDESNLSSLGSQSSSSVDQNLYTNVSQARITFSDDGPQGKVKYAVTCYYAKQFEALRKACCSPELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSDAIASKSPTCLAKILGIYQVTSKHFKGGKESKMDVLVMENLLFRRSITRLYDLKGSCRSRYNPDSSGSNKVLLDQNLIESMPTSPIFLGPKAKRLLERAVWNDTAFLASIDVMDYSLLAGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPEQYKKRFRKAMSAYFLMVPDRWSSSAIVSVPSQSNSSEGNSQTGASLE >itb11g03260.t1 pep chromosome:ASM357664v1:11:1685829:1689722:-1 gene:itb11g03260 transcript:itb11g03260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAAATGSALSVKLPPTPAKTSIPEHLRNKHHHKEPKIWNQLRIPPKLHSQKLHLYTKHQPFEAIRSTRQLNRQKQSSFVCAAASATRCASAQTLPRVDKPKTANTAPQQDKTGFTPRLDDSGPSLPPRYGGGGGGGGGGAPSSGGFFLFGFLLILSYLKEEEEKLLRNDEVVLLDFWPSMFGMRLRIALAEKGVKYEYREEDLLNNMKSELLLKMNPVHKKIPVLIHNGKPVCESRIAVEYIDEVWKEGAQLLPSDPYDKSQAKFWADYIDQKINDLAYKIWATKGGEQEASEKLIECLKVLEGALGDKPYFGGESFGFVDIALIGYNSWFYTYETFGCFNLKEECPNLIAWAKRCMEKESVSKTLPDSNKIYEFVKSLRKMHGIE >itb06g19140.t1 pep chromosome:ASM357664v1:6:22557566:22562284:1 gene:itb06g19140 transcript:itb06g19140.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATTKDRILVLTDDVTVSLSSVLNAIGMVKKEGVEKLDPLIITQASSQSISSVGLSSMDVVIVIFRSFEFPSDQLFVDVTRILKPGATVLVKPTSLSASETTTKSTLERKLLLAGFMDVKSLESVPSFGVTGKRPSWNIGSSFSIKKVAKSLPKVQIEDDMDLIDEDSLLTDEDLKKPQLPTVGDCEVGSARKACKNCTCGRAEAEAKVELGLTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPAFKLGEKVTLSGNFLDADI >itb08g10150.t1 pep chromosome:ASM357664v1:8:9539828:9541910:-1 gene:itb08g10150 transcript:itb08g10150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAQNHLQKPPKQPPKILKKQWSIDDFEIGKPLGKGKFGRVYLAREIKSKYVVALKIIFKEQIEKYRLHHQLRRELEIQSSLRHANVLRLFGWFHDDERIFLILEYAHGGELYKELRKSGTFSEEQAATYIASLTQALAYCHEKHVIHRDIKPENLLLDHEGRLKIGDFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGVLCYEFLYGMPPFEAESQKDTFRRIMRVDLNFPSTPQVSAEAKNLISRLLVKDSSKRLSLEKIMDHPWIIKNANPIGACWN >itb09g03360.t1 pep chromosome:ASM357664v1:9:1883812:1891829:1 gene:itb09g03360 transcript:itb09g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVPSSTSTSNLNAAPLGHSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQTKRKPDSTDEEWGEFLHLPGKKFFDFNDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIKLPSCLILAVTPANADLANSDALQIAGNADPDGYRTIGIITKLDIMDRGTDARNFLLGKVIPLRLGYIGVVNRSQEDILMNRSIKDALIAEEKFFRSRPVYSDLADRCGVPQLAKKLNQILVQHIKTVLPGLKSRISAALVSVAKEHASFGEITESKAGQGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQNIYVKSLEDVDPCEDLTDEDIRTAIQNATGPKSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKMSHRCMANELQRFPVLRKRMDEVIGNFLREGLQPSETMIGHIIEMEMDYINTSHHNFIGGSKAVEMALQQVKSSRIAAPVSRQKDGVDADKAPGSEKSFKPRAILARPVNGIVPEQGVRSAQEVEKPTSGATVGSSWGISSIFGGSDHRTSVKENSTSKPFSDPIQNMEHSFSMIHLREPPSVLRPTETHTDQETIEITVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRDNLFEEMLQEPDEVAMKRKRTRDTLRVLQQAFKTLDELPLEAESVERGYSLSSDPTGLPKIHGLPTSSMYTTSSSVDSYTASPKNPRSRKSSHSGELQSPMYVGGDSNGNGRNSLLGLYPTIDA >itb09g03360.t2 pep chromosome:ASM357664v1:9:1883812:1891829:1 gene:itb09g03360 transcript:itb09g03360.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVPSSTSTSNLNAAPLGHSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQTKRKPDSTDEEWGEFLHLPGKKFFDFNDIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIKLPSCLILAVTPANADLANSDALQIAGNADPDGYRTIGIITKLDIMDRGTDARNFLLGKVIPLRLGYIGVVNRSQEDILMNRSIKDALIAEEKFFRSRPVYSDLADRCGVPQLAKKLNQILVQHIKTVLPGLKSRISAALVSVAKEHASFGEITESKAGQGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQNIYVKSLEDVDPCEDLTDEDIRTAIQNATGPKSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKMSHRCMANELQRFPVLRKRMDEVIGNFLREGLQPSETMIGHIIEMEMDYINTSHHNFIGGSKAVEMALQQVKSSRIAAPVSRQKYGLHIAYQDGVDADKAPGSEKSFKPRAILARPVNGIVPEQGVRSAQEVEKPTSGATVGSSWGISSIFGGSDHRTSVKENSTSKPFSDPIQNMEHSFSMIHLREPPSVLRPTETHTDQETIEITVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRDNLFEEMLQEPDEVAMKRKRTRDTLRVLQQAFKTLDELPLEAESVERGYSLSSDPTGLPKIHGLPTSSMYTTSSSVDSYTASPKNPRSRKSSHSGELQSPMYVGGDSNGNGRNSLLGLYPTIDA >itb15g01200.t1 pep chromosome:ASM357664v1:15:718508:726318:-1 gene:itb15g01200 transcript:itb15g01200.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPAKVLLMDEISTGLDSSTTFQIVKYMSQLVHIMDVTMVISLLQPAPETYDLFDDIILLSEGQIVYQGARENVLEFFESMGFKCPERKGTADFLQEVTSKKDQEQYWLRKEQPYRYISVAEFAEAFTSFRLGQQLADELSIPYDKSRAHPAALVTNKYGISNWELLKACFSREWLLMKRNSFLYIFKTTQITIMSILAFTVFLRTEMPYGRLQDGTKFFGALFFSLLNVMFNGMAELALTVMRLPVFFKQRDHLFFPPWAFALPIWFLKIPLSFMESAIWIILTYYPIGFAPSAGRFFRQFLTFFGIHQMALSLFRFIAAVGRTQVVSNTLGAFSLLLVLVLGGFIISKDDIKPWLKWGFYVSPMSYGQNALVMNEFLDERWSAPNIYTIIQEPTVGKALLKARGFYTDDYWFWICNGALWGFSLLFNSLFIAALSFLNPYGDSRAALLEEDVENKKNDSSEGMIPRERNGSRKGMVLPFQPLALAFNHINYYVDMPSQMKSEGVNEDRLQLLRDVCGAFRPGVLTALVGVTGAGKTTLMDVLAGRKTSGYIEGSISVSGYPKNQATFARVIGYCEQTDIHSPNLTVYESVIYSAWLRLSADINNNTREMFVEEVMGLVELNPIRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYAGPLGRNSQNLIEYFEAVPGVPKIKNGYNPATWMLDISTAAIEAQTGVDFAEIYANSPLYQRNQELIKELSTPPPGSRDLYFPTKYSQSFAEQCKACFWKQHWSYWRNPQYNAIRFFVTIILGLMFGAIFWGKGEEIHKQQDLLNLLGAIYAAVIFLGSINTSSVQAIVAVERTVFYRERAAGMYSALPYALAQAGIEAIYVAVQTICYSLLLYPMIGFEWKAGKFLWFYYFMFTSFIYFTLYGMMLVALTPGVQFAAIVMAFLLSFWNLFTGFLIPRTQIPVWWRWYYWASPNAWSLYGLITSQLGDKGSLIQVPGEGDMAIKQFLKDFLGYDYGFLPAVAAAHVVKGEFRFKLTMISSPKPLFSLLVFALLIVVFCLFTSVLVESSKFRSYCHRMRTIVASAPCWIPRLPAQSSVSGLTRFAALMA >itb13g07620.t1 pep chromosome:ASM357664v1:13:9571882:9576319:1 gene:itb13g07620 transcript:itb13g07620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQDSDIDDDFSEIYKEYTGPHGSAAPKAQERTTINKRPHTGSDEEEEARDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEELICKICGESGHFTQGCPSTLGANRKSQDFFERVPARENHVKALFTEKVVTQIEKDIGCKIKIEDKFIIVSGKDRLILKKGVDAVHKVKEEGEKKASSSSHISMSRTPEHRSPVSSRIVHSELQRSNHSPHRPSQFHPRSARQEKIIEDRFHEDVQNISRGSPQAYGSDGARGRSSHSRSPARRSFLANSYNSRDGHSQSRGAYRTDGWNAEPRLSDMKSGHNVEYPAFLQTLEEIELEYRREADDLGRIRDKEEDEENHKHREVVREIRENYMKNLGILRGAYAKQREEFVQRDAQRRLQQANQHMPTSGFGHPYNQTGYPEYENSAGNAHYSGPNMPMDSRSRYPNPMESYPPSRPHDNYGDFRQRRNDFGKTFNRF >itb13g07620.t2 pep chromosome:ASM357664v1:13:9571882:9576319:1 gene:itb13g07620 transcript:itb13g07620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQDSDIDDDFSEIYKEYTGPHGSAAPKAQERTTINKRPHTGSDEEEEARDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEELICKICGESGHFTQGCPSTLGANRKSQDFFERVPARENHVKALFTEKVVTQIEKDIGCKIKIEDKFIIVSGKDRLILKKGVDAVHKVKEEGEKKASSSSHISMSRTPEHRSPVSSRIVHSELQRSNHSPHRPSQFHPRSARQEKIIEDRFHEDVQNISRGSPQAYGSDGARGRSSHSRSPARRSFLANSYNSRDGHSQSRGAYRTDGWNAEPRLSDMKSGHNVEYPAFLQTLEEIELEYRREADDLGRIRDKEEDEENHKHREVVREIRENYMKNLGILRGAYAKQREEFVQRDAQRRLQQANQHMPTSGFGHPYNQTGYPEYENSAGNAHYSGPNMPMDSRSRYPNPMESYPPSRPHDNYGDFRQRRNDFGKTFNRF >itb03g21920.t1 pep chromosome:ASM357664v1:3:19855415:19856203:-1 gene:itb03g21920 transcript:itb03g21920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLPPTKSPKPVQQLAAEACKQVPENYIQSKDADIQPSCPLLDLASIDLSLLSSSTPSPAREKELSKLRSTLSSCGCFQAINHGISDDFLDKVGEIGKSFFALPMEEKQKYGRSPDDTEGYGNDSVLSEHQILDWTDRLYLTVSPEEQIKYNLWPANPENFRFVFIPFLPLS >itb01g29350.t1 pep chromosome:ASM357664v1:1:33591277:33597838:-1 gene:itb01g29350 transcript:itb01g29350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MAKGTGILVSSKAELKRKQKEQKKSKSGGFESLGLSHNVFRGIKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLQQHVPQAGTRALILSPTRDLALQTLKFTKELGRFTDLRVSLLVGGDSMESQFEELAQSPDIIIATPGRLMHHLSEVDDFSLRSVEYVVFDEADCLFSMGFAEQLHTVLTHLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLTFFTLRHEEKHAAILYLIRELIRSDEQTLIFVATQYHLEFLSILLRDEGIESSVCYGKMDQDARNIHISKFRARKTMLLIVTDVAARGIDIPLLDNVINFDFPCKPKLFVHRVGRVARAGRTGTAYSFVTPEDMPYLLDLHLFLSKPIRAAPTEEEVLQDIDGVMSRMEQSTANGETIYGRFPQTALDLLSDRVREIIESSAELISLQKPCKNSFRIYSKTKAKPSRESVKRVKDLPREGLHLLFKNLLGGNELTALAFSERLKTFRPKQTILEAEGEAAKSKHQGSQWVDVMKMKRAIHEEVINKVRQQRCSGDHVSKDETEECISSQVKEKPVSGSKRKAKSFKDDEYFISAVPTNQHFEAGLSVKANHGFESNRLDAAVLDLVADDKQGLHKQKTTYHWDKRSKKYIKLNNGDRVTASGKIKTEGGAKVKANKTGIYKKWKEQSHKKVSLKGTNMDDSIGESTSSAGRGGLGVKGGNMKFQRGRKNNRSIPNAHVRSEIKDHEQIRKEREKKANRIAHLKSKPSKGKKFKRSGKKGGKGRQSN >itb09g26750.t1 pep chromosome:ASM357664v1:9:27437776:27438321:-1 gene:itb09g26750 transcript:itb09g26750.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMVHILRAKQGLRRSSSRTNRESEVPKGHFAVYVGESEKRRFVIPVSYLNDPSFQDLLCQAEEEFGFDHPTGGLTIPCMEDTFLDIISSLRRS >itb10g08990.t1 pep chromosome:ASM357664v1:10:11630210:11631169:1 gene:itb10g08990 transcript:itb10g08990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTIENSCSTSVAPPSTSIISPAEPLSRLGSGITEVESARKLPSLKFKGVVPQPNGRWGAQIYEKHKRVWLGTFNEEDEAGRAYDVAAQRFRGRDALTNFKPLSETEDNSELSFLRSRSKAEIVDMLRKHTYADELSRRRSLEYCDETEGNNNKKMGEEGERLFEKAVTPSDVGKLNRLVIPKQHAEKYLPLPTTTSKGILLNLEDKNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLRAGDVVSFRRSTGQDKRLYIDRNPPAQQPLVKTVRLFGVNICSSNTVPPVGRKRMGETDLFLPLECSKKQRVIDAL >itb14g16770.t1 pep chromosome:ASM357664v1:14:20158510:20159277:-1 gene:itb14g16770 transcript:itb14g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFPATILSVTLIFTSLCAFTRASLPAGLIITIVNNFPHTIWPAIEPNGGYPVLERGGFALYKLTHRSFVAPRARWSGRIWARTGCVLTHGRFTCATRDCDGRLECNGRGGSAPATLAQLELHQGHADFSIYGVSLVDGFNLPMTLAPHDGKGHCPVVGCKANVLPTCPRRLQVRACGGRGPIVGCNSGCAAFKTDLLCCRNNYNNPQTCKASSYSEFFKHACPSAFTYAHDSPSSLMHECSAARELKIIFCH >itb14g16770.t2 pep chromosome:ASM357664v1:14:20158510:20158842:-1 gene:itb14g16770 transcript:itb14g16770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAPHDGKGHCPVVGCKANVLPTCPRRLQVRACGGRGPIVGCNSGCAAFKTDLLCCRNNYNNPQTCKASSYSEFFKHACPSAFTYAHDSPSSLMHECSAARELKIIFCH >itb07g22300.t1 pep chromosome:ASM357664v1:7:26791436:26791768:1 gene:itb07g22300 transcript:itb07g22300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNDFHIQSRRKAENLLPRHDSVLFFPSVHVIHLPAPRVFRRLRRYSAPTLPLILCPMFKLLENHPSPIPGSRSRSYTSIRISVCSVLFGDWVFSVIRFHDWGVLEMLI >itb10g16810.t1 pep chromosome:ASM357664v1:10:23059126:23068434:-1 gene:itb10g16810 transcript:itb10g16810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPPLYKSGKGVSSRWVFLLCIASFCLGVLVVNRLWSVHGPIKTSQGFSPKQLNSGDSLPVPKCQQKEASFQERDILSRVSKTHDVIMELDKTISSLEMQLASARATQADNAERYVEGGKLGSEPLNNRRKIFFVMGIITAFSSRKRRDSIRETWMPKGENLKKLEKEKGIIMRFVIGHSATPGGVLDRAIDAEETQHKDFLRLNHIEGYHELSTKTQIYFSTAAAKWDADFYVKVDDDVHVNLGIVGSILARHRSKPRVYVGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINRNILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKSQAGNLCAASFDWSCSGVCKSVERMEEVHQRCGEGDGAIWHTSF >itb10g16810.t2 pep chromosome:ASM357664v1:10:23059393:23068373:-1 gene:itb10g16810 transcript:itb10g16810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPPLYKSGKGVSSRWVFLLCIASFCLGVLVVNRLWSVHGPIKTSQGFSPKQLNSGDSLPVPKCQQKEASFQERDILSRVSKTHDVIMELDKTISSLEMQLASARATQADNAERYVEGGKLGSEPLNNRRKIFFVMGIITAFSSRKRRDSIRETWMPKGENLKKLEKEKGIIMRFVIGHSATPGGVLDRAIDAEETQHKDFLRLNHIEGYHELSTKTQIYFSTAAAKWDADFYVKVDDDVHVNLGIVGSILARHRSKPRVYVGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINRNILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKSQAGNLCAASFDWSCSGVCKSVERMEEVHQRCGEGDGAIWHTSF >itb10g16810.t3 pep chromosome:ASM357664v1:10:23059126:23067170:-1 gene:itb10g16810 transcript:itb10g16810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDKTISSLEMQLASARATQADNAERYVEGGKLGSEPLNNRRKIFFVMGIITAFSSRKRRDSIRETWMPKGENLKKLEKEKGIIMRFVIGHSATPGGVLDRAIDAEETQHKDFLRLNHIEGYHELSTKTQIYFSTAAAKWDADFYVKVDDDVHVNLGIVGSILARHRSKPRVYVGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINRNILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKSQAGNLCAASFDWSCSGVCKSVERMEEVHQRCGEGDGAIWHTSF >itb06g15800.t1 pep chromosome:ASM357664v1:6:20049061:20051227:-1 gene:itb06g15800 transcript:itb06g15800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTKSESDVTSLAPSSPSRSPKRPVYFVQSPSRDSHDGDKSTSMQPTPSYSPMESPSHPSFGRHSRNSSASRFSGIFRQSSGRKNRGNKRNDKGWPECNVILEEGKYDEIEGKGFTRRFQALMALCGFIALFTVFCLIIWGAARPFKPEITVKSLSMSNIYAGEGSDSTGVPTRLLSVNGSLRITVYNPATFYGIHVSSTPINLVYSDITVATGQLKKYFQPRKSHRTVEVNIQGTKVPLYGAGSSLAVSATGAVQVPLTLDLELKTKGDVVGKLVTTRRRRRISCHVVIDSASNKPIKFKKSSCVYR >itb04g05390.t2 pep chromosome:ASM357664v1:4:3468740:3472531:-1 gene:itb04g05390 transcript:itb04g05390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGASEGESNRVYLNGSVSIEEKVDELRLLFGKADGDPLRIVGVGAGAWGSVFIAMLQDAYGGLREKVQIRIWRRAGRAVDRATAEHLFEVINSRESVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETQVVFQEISRYWKERITIPVIISLAKGIEAELEPEPRLVTPTQMINRATGVPIENILYLGGPNIASEIYNREYANARICGAEKWRKAMAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQVRFLIIF >itb04g05390.t1 pep chromosome:ASM357664v1:4:3468703:3472531:-1 gene:itb04g05390 transcript:itb04g05390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGASEGESNRVYLNGSVSIEEKVDELRLLFGKADGDPLRIVGVGAGAWGSVFIAMLQDAYGGLREKVQIRIWRRAGRAVDRATAEHLFEVINSRESVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETQVVFQEISRYWKERITIPVIISLAKGIEAELEPEPRLVTPTQMINRATGVPIENILYLGGPNIASEIYNREYANARICGAEKWRKAMAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQGVSAVKAFYELLSQSSLNLYDPGENKHVVAVELCPILKTLYKILIVREASTEAILQALRDETMNDPRDRIEIAQSHAFYRPALLGK >itb09g08800.t1 pep chromosome:ASM357664v1:9:5242391:5248735:-1 gene:itb09g08800 transcript:itb09g08800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASRGAEDTKTSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKAKRNEPTVKGTILFDANSTITTSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPAAARAWVSTLQATQLVLRAHKEAVNSLSGNGSSKLGTVATVVAAANSTALEASKDIEAAMQISMRNALGAMRIQTPDSPMDDMSIMKETLRVKDEELQNLARELRAKDSTIKELAEKLSETAEAAEAAASAAHTMDEQRKIAFAEIERVRRDSEKQLESSMSKLREFEEKISSLVKERDEVIKHRDSAIQEALLWRTELGKARERVVILEGAVFRAEEKVRVTEADAEARVKEAAQREAAAVKEKQELLAYVNMLQSQLKRQQVDDAKQVFEEKTESCSSNANNLPETKHMDPSEENVDKACLSVSKAIEPVPTESVVHLAVDQTNHQQPIGDSEWSDIQPTESRIADVREVAPDTDGSSLDIPVVTSPVNTHQE >itb12g24820.t1 pep chromosome:ASM357664v1:12:26230953:26234629:1 gene:itb12g24820 transcript:itb12g24820.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEELLIIDPLELRFPFELRKPISCSFELLNKTDSHAAFKVKTTNPRKYTVRPNSGVVPPRSRCNVTVTMQPQSEAPLDMQCKDKFLIQCVSTHPGVTPKDISQETFNKEVGKNVQECKLKVVYVSPPRPPSPVPEEPEEVHSPRASRTEMVNQDENSAPRDQIVKLMEVRDAIIQQNGKLRRELPRDQIVKLMEVRDAIIQQNGKLRRELPRDQIVKLMEVRDAIIQQNGKLRRELPRDQIVKLMEVRDAIIQQNGKLRRELPRDQIVKLMEVRDAIIQQNGKLRRELEYLRRGGSQKRAGVPLLYVLLIGLIGILMGYLFRKS >itb06g24310.t1 pep chromosome:ASM357664v1:6:25938583:25939215:-1 gene:itb06g24310 transcript:itb06g24310.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSPPENCAAGRSPRRELQGPRPTPLKVRKDSHKIRKPPAAPATQHPKPPPPPPVIIYTVSPKIIHANPSEFMALVQRLTGPESSASTSSSSVAAAPPQSAAFHQNFNSSDVGGVVDGGFSDRPGVFPAGLTANPASLQPTPIPSSNFFSPPSDQNLLGFFPDLSNKNNLETSLMMANPSPFFISPRIISPGTSSLDLLSNLFDLQHYS >itb15g02280.t1 pep chromosome:ASM357664v1:15:1387240:1389019:1 gene:itb15g02280 transcript:itb15g02280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIWQDDDDDVPRRSGDYPSGSSAGDDGGQCATRKVVRTQCRIEESEPGKFVRKCEKTEEIFKDCVGRLPEMVQSNKEYTEEDVTHQMNKGFALPALESSHQTPFDFPGLRSDIEAMERNFFTGLDRFFGAAEDTMNGFFGSFGIPQVDNGDQSSSARTRGIPVPEAAEDTMNGFFGSFGIPRVDNGDQSSSARTRGIPVECNPPKEPSTEVFTSDAGFDFPGQSKEV >itb04g27000.t1 pep chromosome:ASM357664v1:4:31156163:31162518:1 gene:itb04g27000 transcript:itb04g27000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVGVLVSDPWLQNQFTQVELRSLKSNFSTFRRESGRLTIADLPAKMSKLKHVGENLTEKERDAFLRDSYPNMDDDVDFELFLRIYLKLQTHATARMGNNAKNSSAFLKSPTSTLLHTISESEKASYVAHINNYLAEDKFLKKYLPIDPSTNDLFEIAKDGVLICKLINVAVPGTIDERAINMKRILNPWERNENHTLGLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDCKDVEELMSLPPEKILLRWMNFQLKKAKYNKTVTNFSTDIKDAEAYAHLLNVLAPEHSDPATLTVKDYLERAKLVLQHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETTPDDAQMSREERAFRFWINSLGNSSYIDNVFEDLRNGWLLLETLDKVSPGIVNWKIASRPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRFNMLQLLKNLRFHSHGKEITDADILEWANSKVRNSGSQSCMASFKDKSLSDGIFFLELLSAVYPRAVNWSLVTKGDTEEEKKMNATYIISIARKLGCSIFLLPEDIIEVNQKMILTLTASIMYWYLKQPAEDRMSVSSSDSETGSLLDTTSTFTTDDTASETSSDENSSR >itb11g11990.t1 pep chromosome:ASM357664v1:11:8882619:8887018:1 gene:itb11g11990 transcript:itb11g11990.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIAENDPYTMNLRFIAADTLQKLIVLGVLAVWANFSKRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEFRGARMLISEQFPDTAASIVSIHVDSDVMSLDGRQALETEAEVKEDGKLHVTVRRSNASRSDIFSRRSHGGISSNTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGAAARSSNFGANDVFGPTPRPSNYEEENGKSRFQYHGGQGNHYPAPNPGMFSPSNKAAANANKKPNGNGQHKGEEGGKDLHMFVWSSSASPVSDVFGGGHDYGALDQPSKEVRLPISPSKVEGQKKNEESNYMERAEFSFGNRDTEMNNLQGGEGGGGENKVKGMPPTSVMTRLILIMVWRKLIRNPNTYSSLLGLTWSLVSFRWNVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGVLLHVAIVQVPYITCYLSPPYQSLYLGPPQIIGDPGPIYRI >itb06g02390.t1 pep chromosome:ASM357664v1:6:4150854:4156081:-1 gene:itb06g02390 transcript:itb06g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGRIPMSLPEIMEFDESDLSRFVSGTEFSVESTSTLGGGGSQPWETVTNTLETVNPVMPMADTVSAATHDVNAADQQTNQRARKRQRRNPQQIQELEKFFETCPHPNEDQRKELSLALGMEPIQVKFWFQNKRTQLKAVNERQDNSQLRAEIEKLRMENLKCKEALAASSCSQCGGPSMNEVSLEEHNKLKLENAQLKEEIARISSIASRSARMPSESTSRIYDNSDEATRTINGLIEAEKPVITELALAAMDELLLMAQLTEPLWVSSMDPNTEVVLNIQEYARFFSQGIIAIANREGFWTEASRETALVKTNYAQLLGMFMNVDRWATLFSDIVSRAVTVGVVSIGVAGNYDGALQVITWVEHVEVDNDVNEDTGIYKALLHSGMAFGAKRWVTMLDQQCERIACSLSINVPPTIDNLESPNWKVLSGSGAGVGDIRVMIRKVLNEPGVPSGVLLCGVTSLWLPIEPKRVFDFFQDERTRTQGANTSQSNMMILQESSSNPTGSYIIYGLVDMKAMTLLCRGGDPKFVSVLPCGFAILPDGPPGTASLLTMAFQVLVDSDPIAMLSPESVATVNQLIKGTVEKIKTCLFDNRT >itb10g24720.t1 pep chromosome:ASM357664v1:10:28387435:28389776:1 gene:itb10g24720 transcript:itb10g24720.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLMSSSSLDPALADNLPVVDIPGSYGLPFLGPILDRFDYFYNQGEVEFFQSRKDKYQSTVFRSNMPPGPFMAKNSKVIVLLDNTSFPILFDVSKVEKKDLFEGTYMASTKFTGGYRMCSFLDPSEPKHGTLKGFFLATLAKLKDKVIPQFTTVYSDLFTSLEEQVKQSGQSSFNDLNDNKAMEFLFRLYCNGKSPADTKLGATASKTFDLWLVPQLAPLITLGLTWLPNFIEDLALHTFPIPFCIVKSAYDKIYDSFYDNLGSILDDAESAGLQRDEACHNIIFLNGFNSYGGFKVFFPALFKWIGAAGPSLHSQLAAEIRAAVAAEGDLTVAALEKMPLTKSVVWEALRIEPPVAFQYGKAKEDLIVRSSDNKFYIIKKDEMIFGYQPFATKDELVFADAEKFVPDRFVGSDGEKLVQNVYWSNGRETDSPTVNDKQCPGKDLVVLLSRLVVAQFFLRYDSFTCEAEKFLLGSKTTFTSLTKATSAK >itb04g11710.t1 pep chromosome:ASM357664v1:4:11373054:11376461:1 gene:itb04g11710 transcript:itb04g11710.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPTIRPRRSMAYAKALFLFLLINLLTVSFCDDAAVMSKLLAALSPAPSGWSASKDPCTWTNVNCDKSTGNVLSINLNPQSISGELPSELTQLESLRSLSVQKNSLSGPLPSFANMSSLQELYLDSNQFSSIPQDFLLGLPNLQIFSISDNGELIPWKIPSYLAESTNLESFYASNASITGVIPNFFDSFPNLQNLRLSYNNLTGSLPGSFGSTFIQNLWLNNQQQGLSGTIHVLSSMTQLSQVWLQANAFTGPIPDLSKCVNLFDLQLRDNQLTGVVPVSITALPKLVNITLQNNYLQGPIPDFGNNVKINVVGNSFCKDTPGPCDPQVTALLAFAGGLGYPIMLTHSWEGNDACNSWKYISCDEQGNVITVNLEMDDFSGTISPALANLTSLRNLYLDNNNLSGPIPENLNTLPNLQVLDVSNNHLSGLIPYFPSSIKVNTDGNLFTGKVVSPGGGSPVLGQNSDAPIPSDIPLSGNSNGSSISAGMIVGVVISVVIVVVIVFFVSYKCYMKRQHKMKVSVKGTAVSTEIKKRDIVDCGRTFHVHEDGNIAIPIQVLEKATNFFSQENVLGSGGYGVVYLGELDDGTKVAVKKMKDGATLTTGMNEFQAEIAFLTKVRHRNLVALIGYCINDNNRLLVYEYMPQGTLGHHLFEWEKHGFDPLTWKQRVTIALDVARGIEYLHSLAHQSFIHRDIKSSNILLCDDTRAKVADFGLVRKVPNDKSSFETRVAGTFGYLAPEYATTGRATDKVDVYAFGVVLMEIITGKKAIDETLPDETCHLVTWFHKIIIRKGYNLRNAIDPTLDLDDQTFESISKVAELAAHCTANKYFRRPNMEHVVNVLGPFAQKWKPLRPEEIEEKYGGLDLHMSLPLAFYDSSIESLPFTEAQFNGNRLNQSAQF >itb01g29010.t1 pep chromosome:ASM357664v1:1:33298263:33310246:-1 gene:itb01g29010 transcript:itb01g29010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITAGCFPAFSFLSSSVPKRIWRSNSYISTCCNYYDYGNDIKGANFLNSSQHLHYSHSQNLKTLILRNNKALSQVEVEQLEGLKADSGYENLDWPSPNDEIPFWKREFPLWDLASRASVGVEKDSDLMHIIHVTAEMAPIAKVGGLGDVVTGLARACLLRGHKVLVMLPFYECIPKHYISGLALINTFNSYHDGNWVPTNAYQGEVSHIPVIFIEPTNHFFKGQNIYGGSYNELEAYLFFSRACLEWMQVTGRQPDIIHVHEWQTGALPLLYWDMYRYLSIQKPRVVLTIHNMEHYGECSKEQLSKCGLDGSVYATEDKAIDERTIGHNPERLSLLKGGIVFSNAVVTVSPTYLKETLCSGWLASTMIRNRDKYSGILNGIDTAMWNPATDIYLPAKFDAHNIMGKKICKLFVQRGLGLAVNDLSLHTYSSHQVPLVICITRLVRQKGLHLIIQAIKRVEELGGQMVVLGKASEGQVEREFQDLAKLHGVGSSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLAQMIGMRYGAIPIVRKTGGLADTVFDMDNESHSDIANGFVFEGIDEASLNWALERAFLHYREKPSEWDATVQKVMQIDNSWNNTAGKYIDVYNSIRVRA >itb12g12440.t1 pep chromosome:ASM357664v1:12:11124372:11138186:-1 gene:itb12g12440 transcript:itb12g12440.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRQHVRCLARKYRSSQCLMANKQMNGILVVEENPFFCLKLHRGYASSMLPSQTDPRNIYLSKSLNSGRNLLSMVKLSGSVVRNIQGLQHPPMIVSRQFGQGAKNDPYLSRDFFVQLWVADRKMKQSGVKRRPRSHKSLNDGESGSYSQFFSKFPPGRHLSGASAAEEKSFQEAKPNLRQPPPSQSVTGILQPSSPEEAMVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPAGYIREKSNVLARQLLRLRRPFVAFITDGLGNELFRVRRPFWWINSSIYAEINGKEIGVVHRRWHLWRRIYDLYLGNEQFAVVENPGFWNWTFTLKDIDGKVLAQIDRDWRGFGFEIFTDAGQYVIQFGKADSSIAPVGGIQELDVVRPLTLSERAVAVALAISLDNDYFSRHGGWGIPFVEVGE >itb09g02390.t2 pep chromosome:ASM357664v1:9:1379250:1381172:-1 gene:itb09g02390 transcript:itb09g02390.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MAAAVLQISSSLSTSVRDTVVVSTPLKLSSSSSFRPSNLARLGTTFATGSPLLLRTSYPTKHAPKGALVTVRCEQSSKESSSSLDVWLGRFAMLGFAVAISVEIATGKGLLENFGLITPLPTVALGVTALVGILTAVFIFQSASKN >itb09g02390.t1 pep chromosome:ASM357664v1:9:1379250:1381172:-1 gene:itb09g02390 transcript:itb09g02390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MAAAVLQISSSLSTSVRDTVVVSTPLKLSSSSSFRPSNLARLGTTFATGSPLPVLRTSYPTKHAPKGALVTVRCEQSSKESSSSLDVWLGRFAMLGFAVAISVEIATGKGLLENFGLITPLPTVALGVTALVGILTAVFIFQSASKN >itb01g21320.t1 pep chromosome:ASM357664v1:1:27458573:27459232:-1 gene:itb01g21320 transcript:itb01g21320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTIKASPKENEVMKQATFAGILISTVFYMLCGVLGYAAFGNHAPSNFLTGFGFYDPFWLVDLANVCIIVHLLGAYQVFTQPLFAFVEERCKRRWPESRFVNQETAIEVPCLPRLSFSAFRLLWRSAYVVVITLISMLLPFFNDFVGLIGAAAFWPLTVYLPIQMYIARAKIRRLCFAWIWLQVLSMVCFVISVLAAAGSIRGLIKSVKRFQLFHVES >itb01g17410.t1 pep chromosome:ASM357664v1:1:22149635:22150688:1 gene:itb01g17410 transcript:itb01g17410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEETACKSESPEISLRPMELSDLDDFMEWATDERVSRFCTWDTYTCRDQAVDFIKNIAIPHPWLRVICLENRVIGAISVTPMSGNDSCRGELGYVLTHKYWGKGIATRAVKMVASAIFSEWPHMERLEALVDVDNKGSQRVLEKSGFLREGVLRKYHILKGRSRDFVIYSLLSSDSPSLVMEPMEIDGYGRQS >itb01g17410.t2 pep chromosome:ASM357664v1:1:22149678:22150795:1 gene:itb01g17410 transcript:itb01g17410.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEETACKSESPEISLRPMELSDLDDFMEWATDERVSRFCTWDTYTCRDQAVDFIKNIAIPHPWLRVICLENRVIGAISVTPMSGNDSCRGELGYVLTHKYWGKGIATRAVKMVASAIFSEWPHMERLEALVDVDNKGSQRVLEKSGFLREGVLRKYHILKGRSRDFVIYSLLSSDSPSLG >itb03g02230.t1 pep chromosome:ASM357664v1:3:1225172:1227521:1 gene:itb03g02230 transcript:itb03g02230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQLKDDLDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSRTIKVPPGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPTGKDIDALEQHIKNLLCPSTPFFFNTLYEPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGEGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQQATLSKDATTVQKCYIELSKQVKEKLGKLDEYFIKLADAMITWIEAWDQLNPVKAPAK >itb07g05330.t1 pep chromosome:ASM357664v1:7:3633425:3634601:-1 gene:itb07g05330 transcript:itb07g05330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKQKENQKLAGNRSAAAAAVCRDWKEEAISGGSLKRVDLNNGSNGWASPPGDLFHLRAPNYFAKKTKVPSGEWLLEPAGVDWLRSSSKLDHVLARPDNRVMHALRRAQSQGKSRKTFVLAVNLQVPGREHHSAVFYFATRDDAPLEPGSLLYRFVNGDDAYRNSRFKIVNRIVKGPWIVKAAVGNYSACILGRALNCYYHRGPNYLEIDVDNSSSAIASAILHLALGYVRSVTIDMGFLVESQSEEELPEKLFGAVRICQMEMSSAAYVDSTSPAKVSPAGHFQSSSRVQAEDDGDSEAE >itb07g05330.t2 pep chromosome:ASM357664v1:7:3634130:3634524:-1 gene:itb07g05330 transcript:itb07g05330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKQKENQKLAGNRSAAAAAVCRDWKEEAISGGSLKRVDLNNGSNGWASPPGDLFHLRAPNYFAKKTKVPSGEWLLEPAGVDWLRSSSKLDHVLARPGA >itb14g19810.t1 pep chromosome:ASM357664v1:14:22360834:22363170:1 gene:itb14g19810 transcript:itb14g19810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAWLSLSLTFLVLFNGCFARQSYQQSPNECQFRQINAFEPTLRIQAEGGVTEFWDPYNQQFQCGGAHLYRHIIQPRGLLLPSYTNAPLVAYVEQGTSSLELLFAINLIEWQGEFGIVVPGCAETYQSFQQSEQGGSSFLDRHQKIGSYKQGDIIVFPESAVHWLFNSGNEQTVLVVLQDTSNDANQLDANPLRFFLAGNSQQNQGSSQQGQREQGRKQGQREQGQQGQREQGQGQQQHGGRQELMTERLKEQLGYNGNILAGFDVQIVKDALNTDMETAQKIVGERSQEDRGHIITVDRDLQLIVPSSSSEQHQTRKQGGGSSNGLEETLCTARVRQNIDNPRRADIFDPQAGRFTTLNSLTLPIFGQVRLSAARGILNRNWGVVPRWTMNAHSFIYVTKGSAQVQIVNHQGETILDQQVQEGQLFLVPQNFAVVKQAGEQGFEWVEFNTNENAMFNTLSGRTSTLAGLPSDIIAASYELSSSKAQSLKQNMNSVWFYQASSSSPWSSSSGRSAFI >itb07g03760.t1 pep chromosome:ASM357664v1:7:2535067:2537429:-1 gene:itb07g03760 transcript:itb07g03760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGQGQEEEQQEIPLLTPYKMGNFHLSHRIALAPLTRQRSYNTIPQPHAALYYSQRTTKGGLLITEATGVSNTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSSKDFQPNGQPPISSTDKALSKDAIDVGHFIRTPPRRLRTDEIPQIITHFRLAARNAMEAGFDGVEIHGAHGYLIDQFLKDQANDRTDQYGGSVQNRCRFALEIVEAVADEIGADRVGIRLSPFSDYLECGDSNPNDLGVFMAESLNKYGVLYCHMIEPRMKKLWEKSDGLQSLLPMRKAFNGAFLVAGGYADREDGVSAVAGNRADLVVYGRVFLANPDLPKRFELNAPLNKYNRDTFYIPDPVIGYTDYPFLESPTG >itb05g21430.t1 pep chromosome:ASM357664v1:5:27181499:27182350:-1 gene:itb05g21430 transcript:itb05g21430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLVAIGVMALASSFANASDPSPLQDFCVAIDDPKGALFVNGKFCKNPDDVNADDFLFRGLNKPGDTSNPLGSKVSAVNVANITGLNTLGISLARIDFAPYGLNPPHTHPRATEVLAVLEGTLYVGFVLSNPPPGMKNKLFTKTLYPGDVFVFPEGLIHFQFNVGKTKAVAFAGLSSQNPGVITIANAVFGSDPPINPYVLTKAFQVDDKVIKYLQSQFWYDNN >itb09g19420.t1 pep chromosome:ASM357664v1:9:15635158:15637211:1 gene:itb09g19420 transcript:itb09g19420.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRSAILRHVRVPAAQAISATGSRLSSARWMSSHDDHLSKEEVAGRVLDVVKTFPKVDPSKVTPDVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEAVRIDSCTLAIEYIYNHPMAG >itb15g07040.t1 pep chromosome:ASM357664v1:15:4738230:4740535:1 gene:itb15g07040 transcript:itb15g07040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGETVCSKTTNDSNKFEEEEEDEEDETNESPRKQAVKDGGSSSNSTVEESGEKKASSVRPYVRSKMPRLRWTPDLHLRFVHAVERLGGQERATPKLVLQLMDIKGLNIAHVKSHLQMYRSKKTEDPSQGFANHRPFMEGVDRNIFNLSQLPMFKIFNNQRLNPPFRYGDDSYRNCQEKWMNGTPMAHGTADRGISYEAFYERFRSKNYDLPRETIPPFTERSNRGLHELKEKLGSFLENQTWHHQFAPQNPIQHQPIQVPEPKTMARTGSSAGSWVTDGRPEPKTDGFWVTDRGQEPNRNAAVSAKLKKQETMKRKADLDLNLSLGMKSVKEKSMEGLGEDESGLTLSLSSSSSSKLRKLNQDDTNNFTKSGKGGASTLDLTL >itb10g21590.t1 pep chromosome:ASM357664v1:10:26753706:26757530:1 gene:itb10g21590 transcript:itb10g21590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSQLSERKLYTILGIFTLLSILYLNFSDRLFSFSSIPYLWQPKMEFAGVNRTRFVILNNERGGVEDFYINGWNSYWLMAESVWGESRQKVSEILERGAAMGLSVCRTWAFSDGPAAGPNALQLHPGVFNERAFQGLDYVVAEARRHRIRLILSLVNNLKAYGGTTQYVRWAQEAGVNVSSSSDSFFTNPTIKDYYKSYVKAVVTRKNSLSRIRYSDEPAIFAWELINEPRCTSSSCASDLQAWITEMAAFIKSLDHKHLVTIGLEGFYGQKTRTNLAVNPGEWTTSLGLDFIQNSATKNIDFASVHAYPQSWIPGANLTRRINFLSRWVDSHINDGENVLKKPVLFTEVGFPLGLQPGGSSERDTLLRIVYDRIYESAKNRRAGAGALYWQLLVEGMGKQYGDRFSLVAWQHPSTLKLITEQSFRLQNASSYQRQTNTNLSHVSAKTP >itb03g08450.t1 pep chromosome:ASM357664v1:3:6318445:6321427:-1 gene:itb03g08450 transcript:itb03g08450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPLLREVEAGPGRKRGIWSRWDAFWEELKKVSCIALPMVVVTISQQLVTTIGMMMVGHLGELSLSGAAIATSLTNVTGFSLLFGMSSALETLCGQAYGALQYKKLGVYTIGAIIPLIVACIPISILWVFMDKLLMLIGQDPLISVEAKKYSTWLIPALFPYAILQPLVRYLQAQSLILPMLLSSVVSLCFHVPVCWAFVFKFNLGSSGAAMAIALSYWLNVILLGVYVRCSSSCNDTRISFSKEIYSCIGEFVRLAIPSAGMICLEWWTYEIVILLSGLLPNPQLEASVLSICLLIASSHYFIPFSIGAGASTRVSNELGAGNSEAARIAVLAVLVLTLSEAVLANTALLCSSRVLGFAFSNEKEVVDYIRGMVPFVCLLVLTDCIQGVLSGVVRGNGRQRSGAYINLGSFYLVGLPVAIILGFVAHLNGKGLWIGLNAGATVQSLLLALITWFTDWQKQAARARVRIFHGN >itb03g08450.t2 pep chromosome:ASM357664v1:3:6318445:6321427:-1 gene:itb03g08450 transcript:itb03g08450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPLLREVEAGPGRKRGIWSRWDAFWEELKKVSCIALPMVVVTISQQLVTTIGMMMVGHLGELSLSGAAIATSLTNVTGFSLLFGMSSALETLCGQAYGALQYKKLGVYTIGAIIPLIVACIPISILWVFMDKLLMLIGQDPLISVEAKKYSTWLIPALFPYAILQPLVRYLQAQSLILPMLLSSVVSLCFHVPVCWAFVFKFNLGSSGAAMAIALSYWLNVILLGVYVRCSSSCNDTRISFSKEIYSCIGEFVRLAIPSAGMICLEWWTYEIVILLSGLLPNPQLEASVLSICLLIASSHYFIPFSIGAGASTRVSNELGAGNSEAARIAVLAVLVLTLSEAVLANTALLCSSRVLGFAFSNEKEVVDYIRGMVPFVCLLVLTDCIQGVLSGVVRGNGRQRSGAYINLGSFYLVGLPVAIILGFVAHLNGKGLWIGLNAGATVQSLLLALITWFTDWQKQVF >itb05g15120.t1 pep chromosome:ASM357664v1:5:22503756:22508329:1 gene:itb05g15120 transcript:itb05g15120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQLTPPPPGPPLPAVATPATSGSLATNIPVNQSSAVGDGRLQNATQYVPVNYKSLLQQYAQKARLLLPDYAIVNEGYPHAPQFRGTVSIGGEKYTSPNTFAHRKAAEQDAARHAMESMPPITKKEELQLLNNIHEDKMFCKSILNELVMKINVENPTYHTVQPDASVQAFKCTVVFNGRSYTGEAGRNKKEAERLAARAVIVSLLESEHKTILSEIIQSKDKLYASINKVKDCSPISTGDMLTGVTGKGVLMENNTASQQSSIVQHTTTQVTEVPHHEFKKPGLEHSELIEGSCRLIAIPPTGVPTSVQPNLIVFVQPTGEKKRKRKSKNKAKKHSQVGSQVPLVLAHPSQVS >itb05g15120.t2 pep chromosome:ASM357664v1:5:22503756:22508329:1 gene:itb05g15120 transcript:itb05g15120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQLTPPPPGPPLPAVATPATSGSLATNIPVNQSSAVGDGRLQNATQYVPVNYKSLLQQYAQKARLLLPDYAIVNEGYPHAPQFRGTVSIGGEKYTSPNTFAHRKAAEQDAARHAMESMPPITKKEELQLLNNIHEDKMFCKSILNELVMKINVENPTYHTVQPDASVQAFKCTVVFNGRSYTGEAGRNKKEAERLAARAVIVSLLESEHKTILSEIIQSKDKLYASINKVKDCSPISTGDMLTGVTGKGVLMENNTASQQSSIVQHTTTQVTEVPHHEFKKPGLEHSELIEGSCRLIAIPPTGVPTSVQPNLIVFVQPTGEKKRKRKSKNKAKKHSQVGSQVPLVLAHPSQVS >itb02g13280.t3 pep chromosome:ASM357664v1:2:9298816:9301739:1 gene:itb02g13280 transcript:itb02g13280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIPSPNSNSAHHYNQKKLGKQSSHISMSDTESQVSQIDSFHSPLRSDSPLRSDEPFPDPSPKSPPPLPLSKAVVAADKPSSPLYSPRKPSPPSEHLRAPTTPPPPPAVDKSPPKVYYNRAAGEPAATKVRPVRHRGDLEDGERWTRGPVLRPSRTAVAVTKAALGFRVCEVIFCLISFSVMAADKTQGWSGDSWDRYKEYRYCLAMNVIGFVYAGFQAFDLSYYLATEKHFISHHLRRHFDFAMDQASLLYQNICISIFLSQKTFFFFFGQLIILSVFLTPRVRSS >itb02g13280.t2 pep chromosome:ASM357664v1:2:9298816:9301739:1 gene:itb02g13280 transcript:itb02g13280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIPSPNSNSAHHYNQKKLGKQSSHISMSDTESQVSQIDSFHSPLRSDSPLRSDEPFPDPSPKSPPPLPLSKAVVAADKPSSPLYSPRKPSPPSEHLRAPTTPPPPPAVDKSPPKVYYNRAAGEPAATKVRPVRHRGDLEDGERWTRGPVLRPSRTAVAVTKAALGFRVCEVIFCLISFSVMAADKTQGWSGDSWDRYKEYRYCLAMNVIGFVYAGFQAFDLSYYLATEKHFISHHLRRHFDFAMDQGMLINLQLEKNVFENNSALR >itb02g13280.t1 pep chromosome:ASM357664v1:2:9298816:9301739:1 gene:itb02g13280 transcript:itb02g13280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIPSPNSNSAHHYNQKKLGKQSSHISMSDTESQVSQIDSFHSPLRSDSPLRSDEPFPDPSPKSPPPLPLSKAVVAADKPSSPLYSPRKPSPPSEHLRAPTTPPPPPAVDKSPPKVYYNRAAGEPAATKVRPVRHRGDLEDGERWTRGPVLRPSRTAVAVTKAALGFRVCEVIFCLISFSVMAADKTQGWSGDSWDRYKEYRYCLAMNVIGFVYAGFQAFDLSYYLATEKHFISHHLRRHFDFAMDQILAYLLMSASSSSATRVDDWVSNWGSDEFTEMATASIAMSFLAFLAFAFSSLVSGYNLCNRTA >itb01g34930.t1 pep chromosome:ASM357664v1:1:37390812:37393142:-1 gene:itb01g34930 transcript:itb01g34930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTDFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >itb13g04930.t1 pep chromosome:ASM357664v1:13:5840530:5841210:-1 gene:itb13g04930 transcript:itb13g04930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLTTLTLLLLLSLFTSGYAATFEIRNNCPYTVWAAATPVGGGKQLDQGQSWTIDVPGGTRMARIWGRTNCNFDASGRGSCETGDCGGALQCTAWGKPPNTLAEFTLTGDNNFDTIDISLVDGFNVPLNFAPTKPGADKCHAISCTADVNGQCPAALKVPGGCNNPCTTFGGQQYCCTEGPCGPSDYSKFFKGLCPDAYSYPQDDPSSTFGCPAGSTDYRVVFCP >itb04g10180.t2 pep chromosome:ASM357664v1:4:9440572:9442532:1 gene:itb04g10180 transcript:itb04g10180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGQGPTFVLGEGFPNLPNDETLGRGMADRSLVVLIDFFASLPEGFISDIISLTSPVDAARLSVISKGFKAAAESDSVWTKFLPLDLNGILLRSRSPVVYSNKKELYSALCNSPILLDDGTKLSFSLDKRTGKKCFMVAARELDITWGNKQFYWELKSHPNSRFSPLFHSSLSVFVFSKALLRSSTTGSQRWLISGPFAGLIFGRSLQLICSLQPQITQLI >itb04g10180.t1 pep chromosome:ASM357664v1:4:9440572:9442532:1 gene:itb04g10180 transcript:itb04g10180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGQGPTFVLGEGFPNLPNDETLGRGMADRSLVVLIDFFASLPEGFISDIISLTSPVDAARLSVISKGFKAAAESDSVWTKFLPLDLNGILLRSRSPVVYSNKKELYSALCNSPILLDDGTKLSFSLDKRTGKKCFMVAARELDITWGNKQFYWELKSHPNSRFSEVANLRSVCWLDIRAVIATNMLSATTDYAAYLVFRLSDTAYGLESAKSLVRFVSQESDEEAENRANWVNLFGGEPSGGSQTSNELPRERSDGWMEVEMGSFYNDRGDDGDVEARLIEIWDLNWKSGLIVEGIEFRPKS >itb14g05700.t1 pep chromosome:ASM357664v1:14:4947229:4947678:-1 gene:itb14g05700 transcript:itb14g05700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHHFFRALRFPPAGGHYGHHNRDKYHVESEYEDDHVQRNKDKYDGNKEKYQDDYGDHNNRNKDKYYENKKLYGGFNVKEKYEDDFSHYENRNEDTHEKLGLKVKPKWRKAFQYFDGVGHSSNIHYSDDYDEVQSNKSTWSVVANKEK >itb02g14760.t1 pep chromosome:ASM357664v1:2:10720808:10723397:-1 gene:itb02g14760 transcript:itb02g14760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKSGVYCMHIPFQFSLNPFYNPFFHYPPSQQPILAMSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFTSPNALPYLSGVILFEETLYQKTSDGKPFVEVLQENNVVPGIKVDKGTVELAGTDGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGETEPSELSIQQNAQGLARYAIICQENGLVPIVEPEVLTDGSHDIKKCAAVTETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSASPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATVNLNAMNKLDVLKPWTLSFSFGRALQQSTLKTWGGKKENVGKAQEAFLVRCKANSDATIGKYTGGTAAGAATESLFVSGYKY >itb02g14760.t2 pep chromosome:ASM357664v1:2:10720808:10722977:-1 gene:itb02g14760 transcript:itb02g14760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQERIWVMGTLRIGIQDFYVLLILSKLNVIADELIKTAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFTSPNALPYLSGVILFEETLYQKTSDGKPFVEVLQENNVVPGIKVDKGTVELAGTDGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGETEPSELSIQQNAQGLARYAIICQENGLVPIVEPEVLTDGSHDIKKCAAVTETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSASPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATVNLNAMNKLDVLKPWTLSFSFGRALQQSTLKTWGGKKENVGKAQEAFLVRCKANSDATIGKYTGGTAAGAATESLFVSGYKY >itb01g26760.t1 pep chromosome:ASM357664v1:1:31835999:31838157:-1 gene:itb01g26760 transcript:itb01g26760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAITLNPVVAFPANTKPSVSVFRSLPCGFKSSTPNQPLRSTKSLVVRASVAVEQQVQKTKVAIVRIGTRGSPLALAQAYETRDKLMASHPELAEEGAIEIIIIKTTGDKILSQPLADIGGKGLFTKEIDEALINAEIDIAVHSMKDVPTYLPDKTILPCNLPREDVRDAFISLSAASLADLPAGSTVGTASLRRKSQILHRYPSLQVLENFRGNVQTRLRKLNEGVVQATLLALAGLKRLDMTENVTSILSIEDMLPAVAQGAIGIACRSDDEKMANYIASLNHEETRLAVVCERAFLKTLDGSCRTPIAGYARRGEDGDCIFKGLVASPDGTRVIETSRKGPYTFNDMVLMGEDAGKELLLQAGPGFFGN >itb04g12230.t1 pep chromosome:ASM357664v1:4:11983594:11986276:1 gene:itb04g12230 transcript:itb04g12230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIKLGSQGLEVSAQGLGCMGMSAYYGTPKPEADMINLIHYAINCGITFLDTSDVYGPHTNEILLAKALGGGMRDKVELATKFGIRSSMSTPTMSSVQQSICGDPAYVRAACEASLKRLDVKCIDLYYQHRIDKHIPIELTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDAEEEIIPTCRELGIGIVAYSPLGRGFLSSGPKVVVNLSEVDRRKSHPRFQGENAEHNYNLYERITKVAAKKGCTPSQLALAWLHHQGNDVCPIPGTTKIENLNQNIGAFSVNLTAEEMSELESIASAVKGDRQPPGVSTWKDSDTPPLSTWKAT >itb14g12780.t1 pep chromosome:ASM357664v1:14:14532714:14533004:-1 gene:itb14g12780 transcript:itb14g12780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSDTGLWRYSCHPNYFGEQLWWWGLAIFAWRLGHASSFVGPLINSLCLAYVTVLVEKRMLKQAYRVEAYKLYQNTTLAWIPWFKSSARKDKET >itb03g08260.t1 pep chromosome:ASM357664v1:3:6193084:6193425:1 gene:itb03g08260 transcript:itb03g08260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAMGLAIMCFMVAAAAAAMMEEAAPMTAMMEEAAPMAAPSPCIVTELSPCAGLLLTPGRGAAQPSQACCSRLKEQEHCLCGYINDPIIGPYINAINTRSVSATCGVPIPNC >itb14g20040.t1 pep chromosome:ASM357664v1:14:22521658:22523572:-1 gene:itb14g20040 transcript:itb14g20040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRHSDGKLVLKVTDDKECIKFKTDQAQDAKKMEKFNNIFFTLMSRGADADISEGGKEQIESLPAKKGRGRKQ >itb03g07350.t1 pep chromosome:ASM357664v1:3:5395030:5396811:-1 gene:itb03g07350 transcript:itb03g07350.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFSCFFMAIALLSSAQLCLCSKSYGGYLYPQFYDRSCPRAQEIVESVVAKAVAKEPRMAASLLRLHFHDCFVKGCDASILLDSSGSISSEKRSNPNRNSARGFEVIDEIKAALEVECPHTVSCADILALAARDSTVLAGGPNWEVPLGRRDSIGNSLSGSNNNIPAPNNTFQTILTKFKLQGLDLVDLVALSGSHTIGNARCVSFRQRLYNQTGNSLPDYTLDQSYAAELRTKCPKSGGDQTLFHLDFVSPTRFDNSYFKNLLAYKGLLSSDQVLVTKSAASLQLVKAYAENNELFFQHFAKSMVKMGNISPLTSFSGEIRKNCRKINHY >itb04g04470.t1 pep chromosome:ASM357664v1:4:2771345:2775533:-1 gene:itb04g04470 transcript:itb04g04470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWCAAHLQHRLRKTDYTRTDILSTVECIMFPEVPIALRMSGHLLLGVVRIYSKQVDYFFQDCNYLELRLKKALLPMTVNLPEGATYDIVTNVNLPEGATQATYNSITLPETFQLDALQSDYDLRAERFVDTHLKPQEEITLEDQVPIGGDQYVAIYIDEDEGRELSTENIASGLQATPMEIDPNSPVGEGTPGGPQQSPPSAPGGLNESAGQEAVAQEFLETEVMRDASHDVGVPSTPMWQDRRDDVLEPDLVLAEQVTKDDQNVSPVVEEMLPSGGRSSPPQRPEHPSASAEVGQGNTSSPLSFGNGSPELAMRPSPPPPPPPPEPEQRRARQRKRKLVIDDALVLSNQVIRAGLNDTSRLKRARKEAPHTSLGIWRSNKRLKKDAMFFEPLITGQCGDLSNMHEQDFVFARAHPSSTDETREEATGAQSPPSLGRDDMEIEHLRENVGPTEGDILQEVLPMPSTLVSSPVTSMRSPSRQEDFTPAPTSLGAQSDQVERTIDSGVLPTPDPAASTGHLHSDIDTPLTIPEEDLRFEATALSDIPEFDNSAGDLSFLEQDESTPTGSQGTPEVGLSGKQRTPEFDALSTRTRAVAQYLKGQSSTTPVSEGQSPASTMPGPGDLSLNAVLEGKSRKICARMFFETLVLKNCGLIDVKQEEPYGDITLKTTSKLWKEQL >itb04g04470.t2 pep chromosome:ASM357664v1:4:2771345:2775533:-1 gene:itb04g04470 transcript:itb04g04470.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWCAAHLQHRLRKTDYTRTDILSTVECIMFPEVPIALRMSGHLLLGVVRIYSKQVDYFFQDCNYLELRLKKALLPMTVNLPEGATYDIVTNVNLPEGATQATYNSITLPETFQLDALQSDYDLRAERFVDTHLKPQEEITLEDQVPIGGDQYVAIYIDEDEGRELSTENIASGLQATPMEIDPNSPVGEGTPGGPQQSPPSAPGGLNESAGQEAVAQEFLETEVMRDASHDVGVPSTPMWQDRRDDVLEPDLVLAEQVTKDDQNVSPVVEEMLPSGGRSSPPQRPEHPSASAEVGQGNTSSPLSFGNGSPELAMRPSPPPPPPPPEPEQRRARQRKRKLVIDDALVLSNQVIRAGLNDTSRLKRARKEAPHTSLGIWRSNKRLKKDAMFFEPLITGQCGDLSNMHEQDFVFARAHPSSTDETREEATGAQSPPSLGRDDMEIEHLRENVGPTEGDILQEVLPMPSTLVSSPVTSMRSPSRQEDFTPAPTSLGAQSDQVERTIDSGVLPTPDPAASTGHLHSDIDTPLTIPEEDLRFEATALSDIPEFDNSAGDLSFLEQDESTPTGSQGTPEVGLSGKQRTPEFDALSTRTRAVAQYLKGQSSTTPVSEGQSPASTMPGPGDLSLNAVLEGKSRKICARMFFETLVSVQSHQSFTLAVFIMC >itb07g20780.t1 pep chromosome:ASM357664v1:7:25113501:25116822:-1 gene:itb07g20780 transcript:itb07g20780.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLFIFTRRGLILWTCKELGNALKGSPIDILIRSCLLEERSGSTYYNYDVPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLTMVKKEFSKIYDPKRTLYNDFDDIFQQLRKEAEARVEEMKKTKQAGKPMKNPLVKKQGQVQRGMFDGGNKKSESGDDGGEEDKVTNCSLENGDSNCRFENEELPAKRTDKVKEDVSSKTGAFDVNKLQKLRSKGGKKTDTVVKKGSKAEPKKIMKKNRVWDDSHSQAKIDFIDHVNEDEVQNLAAVAVDQGESMMDKEEIINDSESEEDEEETYEDRKVDTKKKWWFSSMFQSIAGKANLEKADLELPLKALKDMLMTKNVAEEIAEKLCESVAANLEGVNGVGKSTNLAKVAYWLLQHKINVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIEEASRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNNPDLVLFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >itb02g12210.t1 pep chromosome:ASM357664v1:2:8320435:8321127:1 gene:itb02g12210 transcript:itb02g12210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLMNHLGFRVLCFTVLFIMLPLMSHCADPDPLQDFCVAILNNGSSSIPGINGFPCKPASEVTSEDFFFDGFTKEGDTTNNVFGSALSPGNVLTFPGLNTQGIAMNRVDIAPGGMNPPHSHPRASEAGVVIQGKVLVGFVTAAGNVFYSKVLRKGEMFVIPRGLVHFQMNVGKNKALLFTAFNSQLPGAVVLPLTLFGSKPPIPDEVLTKAFQVDAEVVRGIKAKFGA >itb06g03700.t1 pep chromosome:ASM357664v1:6:6131836:6134033:-1 gene:itb06g03700 transcript:itb06g03700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESESAQSSARLRIMELANMISVPMSLCAVIRLNVADAIWQGGSNAPLSATEILSTVLPSGGGDALNLQRILRMLTSYGVFHEHINADASERRYSLGEVGKTLVTDKDGLSYGAYVLQHHQDALMKAWPLVHEAVVDSSSEPFVKVHGEEPYNYYGKRPEMVSLMQKAMSGVSVPFMKAFLDGYHGFQGVTRLVDVGGSTGDCLRMILEKHPSITQGINFDLPEVVQNAPNIPGITHVGGDMFKSIPNGDAIFMKWTLTAWSDEEVKAVMNSCYGALPKGGKLIVSEPVLPIETDDSQRTRLLLGSDIYIMTMYRAKRKHWTEEEYRQLGLSVGFRSCRAFYIDFFFAVLEFLK >itb03g22430.t1 pep chromosome:ASM357664v1:3:20485623:20488268:1 gene:itb03g22430 transcript:itb03g22430.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLELEFLPPNPRLVFDSTEAVEQLHGMLAQLVAFLEESENQFSADNDETMEDWKLRVKDAALRVEDEIESQVIDICGGEEHTSRTPPPLVQRLVRSFQRVSGLDKWLLAQKFHKPLRNAIEAMGELMEFMKKSKQSMNDFIIPSPRERIIDGGGSSSINCLQNTKMVGHVDELDEMKKLLLQESFKRRQVVPIVGMGGIGKTTFARRLFQDPLISSHFDIAAWTTVSSRYGMRRTLLELLSSIMPITDEINAKTSEELAAQLRKCLMGRRRYLIVLDDVWSTSSWDEIQRCFPDNNDGSRILLTCRHHEVASYTSSHNNSFLNMRFLTSDESWQLFQQRVLNNGRLFPENLESTWRHIVDYCQGLPFAIALIAGLVQTTDESFWESKVLEGTLYALVSYDLAEGISKILGLSYNHLPNFLKACFLYLGVFPENSVIHVKGLIRLWIAEGFVRVESSQRSLEEVGEDFLKDLVSRSLVMINSISLDGKIKTCKVHDIVHDFCREKAIKENFLYVKNRYNTGYVGSYRWTCFETMYPKFTVPDTFNRSRSLFSFYDDGHMTRGHQMFANNFKMLRVLNLSSFSFMKDIPLHIVDLVLLRYLVLRPFKSLRTLPVSKNWNLQTLVLLASKKNTANEVGSSLISEMWDLPKLRHVQVCKTFVLDAPRMVQQSLQTIYWLHSFQCTEQVFSRFPNAKVMGIFMESLHPNCLDNLRFLVNLESLKIKSQHHSPVLLPSLEAFPIHLKKLKFKGTLLPWNAMTDVVGMLPNLQVLKLKDGACQGQEWALTGAQFHGLKSLLIYGTDLMHWTTTSDDFPVLERLILNGCYDLKAIPCGFGGVASLQLIELCHCYSSLVNCAKQIEEEQRDYGNDVLVVRNYSTRVGI >itb06g16640.t1 pep chromosome:ASM357664v1:6:20723110:20726428:-1 gene:itb06g16640 transcript:itb06g16640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPDQAVAAVLSQIALAADGTVLGLALAFIAVRSVLKFKATNAALHQIKEAPSVRVSDLRSVVSEHGDSNQSEDGKLVIVRGTVEARSAVEGDWKSLRSDILVAHDSGERAVIVQRTQTCIYNEWKGFMGWTSDLRSLFPRSWREQESSSTRMVPFVIVEAGRWPRSEYVYVNMDGSSHPLPLETVHHHLQPVTATSLTFLQALFGHQYPVGLLHEEKILPLGKDITAVGICSSIRGTPEIKSCNFLPYFLSEMTKDQMIVKLAFKTKVLLWSGVVFGSLAVGILSYAAVRNWNRWKEWRHQRQTQRRNAAASDEAAAQVATDEDIGDIPDGQLCVICLTRRRRSAFVPCGHLVCCQRCALSVARDLSPKCPLCRQTIHSSVRIYDS >itb05g08680.t1 pep chromosome:ASM357664v1:5:12223323:12244857:1 gene:itb05g08680 transcript:itb05g08680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDAMAVKSLNKCSGRRRFVFKNFSQRIQDIDIDVYRSLDPLKTEPSEGSSFFRDCLVQYRELNTAEDFISFYEEMLPLVQTLPQIVLQKDLILLELLSRLNMKGRLSLEPILRLIAALSRDLVDDFLPFLQRVADSLVVLLKNGADREPEIIEQIFTSWSYIMMYLQKYLTKDVVYVLKVTVKLRYFPKDYVQEFMAESVSFLLRNASFKQLKKGIQNLMIEVVKKPSLTRKSGISSLLWYAMRGTSSRFHSRADQVLRLLLDNSLYSIGDHFNGGPETVLEVVIGAYQRLCEELDAKQLDLMWDCLFNEITNSVANGHSMHLRRVLSLLISTVQKEYVEKISDYQPMLEVISLLVQTYIVPSSTLEALNPASELVDKILSLMLCVVDGLHKTNNLSALSCTSLQWAPVFDLRNKSLASFLKEFISKDPSILYFFRANIISALNDLLEIPEDEIIYLLHIFCERLQVQQLNFLDGIPNEKILRICSFLDKAINFWIQLIKDAVNKDFSSAEITEDRLAVLWGTIGCYPYMIDAKRNPSLLMDLIYAIDELLTVKSDLCRKTWQCLIGATLGSFLKILDNQNAAFLESEVNKLLDLARRHRMCSQILSAVADILDSIYGKQANTPVKRYHPELAASKVVGALDIFSENLRNCDKEIRLSTLRILCHYEPLCDGYSTNGHAEKKRRIDDSQICAVDDQQNNVVQLLLSVEEMPLSIATSRKVILLISKIHMSLSAARIDERYILVALNGVLGIFHNRFSYLWGPALDCTSVLLGQYFGILWDRFVKYLDYCLSIILGHDDQAYKSNTDSFGKDADLIGLFCSYRCSMSDSTPSATVLSLLIQSLQRVPSVVESRSRQIIPLFLKFLGYSVDDLVSVESYNILNCKGKEWKEVLKEWLNLFKLMKNPKAFYQSQFFKDVLQYRLLDENDAELQMKVLDCLMNWKDNFLLPYDQHLKNLISAKNLREELTTWSLSRDSNLIDAHHRHFLVPTVIRILAPKVRKLKSLASRKHASVSHRKAILCFLAQLDVEELPLFFSLLVKPLVSRDAGFDANIESLWTNPQALNDEYNSFNVFKHFTTNIISNISWKKRYSFLHVIEDTVAVFDEAHISPFLNLLMECISYLLESCALTLGNTDSSLILANCSNVTESETETQTAMKQSKELRSLCLRIISFILNKYENHDFSSKFWDSFFKSVKPLISGFKQEGASSEKPSSLFSCFLAMSRSYKLVPLLYREKNLVPDIFSMLSITTASKAIVCCVLKFIENLLTLDNEQSGEDNPIKMLLLPHLDVLVSSLHNLFVNDGPVKRKLAKYPGENELNVFKLLSVYVEEPLAARKFVDVLLPLLSKKSHACDICVDTLQIIKNIARPLGNESIKKILKSISPLLISGDLDVRGSVCDVLDALARNDSSLLNLAKLLRELNATSASEMGDLDYDTIISAYGKLNADFFHNVEEEHALIILSNSIRDLSSEDLILRQSAFRLLSSFVEYSGQILEQEMKPERGCSGSWVMYIINNFLLKHMGNAMNKEGAAQKLWIGLLRVMVLKLHKMVEFKTYTVLCSEDPEQDFFNNIVHLQRHRRARALSRFGNVVSSGSFSEGVMNRVFVPLLFNMLLDLQNGKGENIRNACIEAVASISKWMDWNAYYGLLVRCFREMTLKQDKQKVLMRLICTILDQFHFSEANFVHEIEGSMEHMSDPDTSKKISAVSSTFTSNGDLSKIQICLKKDVLPKVQKFLMSDSENVNVTISLVALKVLKLLPRDIMELQLPSIIHRISNFLKNRLESVRDEARSALAACLKELGLEYLQFIIKVLRGTLKRGFELHVLGYTLNFILTKFLQNPTSLNLDYCLEDLLFVAESDILGDVSEEKEVEKIASKMKETKKQKSYETLKLIAQNITFKTHALKLLLPVTVHLQKQLTPKVKTKLENMLNHISSGIQSNPSVNQKELFVFAYGLIKDGLKDEHFGHEDTLISDEGKQNKDELKAENTNSNRLISVDRRYSYLITEFAVGILQNYLRNMELDKGDEKLLSMLDPFVRLLGDCLSSKYENIIFAALKSLYSIVRLPLPTLENEANRIKNSLLDIAQGSVNASTPLMESCIKLLTVLLQNTKMTLSEAQLQSLIQFPFFVDLERNPSFVALSLLKAIIKKKLVAPEIYDVVKGVAELMVTSQVESIRRKCSKIFLQFLDCYPISVKRFQQHLDSLLANLRYEHSTGREAVLEMLRAIIVKIPDRIQEQSQTIFMHLVICLANDQDNKVRSMAGVAIKLLAENMKKFGSLTSIIEYSFSWYRGEKQHLWSSAAQVLGLLVEVMGNSFQEYVADALSVTKKILQSAHAALANRQLGLSDEVVPLWKEVYYSLVLLEKIHNQFTALCFTKELEDLWETICDFLLYPHMWVRSISVRLIDLYFARVTKACNENQALLRSFFLMEPSRLFRIAVSLICQLNVQLVKDADEALITQNLVFAICGIQALLVHGSGHSAFGPEEQSRFLKAFNLLDPKKGRNIFTAFSSYHGAQGNEQQTEHQGSMIVSCLLKRMGKIPIQMEALQTRIVFNCFKSISIKLLDQSRVLSSEDEVESQSYAYQILLPLYKVCEGQAGKVISDDVKQLAQEVCDSIRDVLGMQSFVQVYSQIRKNLKAKRDKRKQEEKLMAVVNPMRNAKRKLRIAAKHKANKKRKIMSMKMTRWMQ >itb05g08680.t3 pep chromosome:ASM357664v1:5:12223323:12244730:1 gene:itb05g08680 transcript:itb05g08680.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDAMAVKSLNKCSGRRRFVFKNFSQRIQDIDIDVYRSLDPLKTEPSEGSSFFRDCLVQYRELNTAEDFISFYEEMLPLVQTLPQIVLQKDLILLELLSRLNMKGRLSLEPILRLIAALSRDLVDDFLPFLQRVADSLVVLLKNGADREPEIIEQIFTSWSYIMMYLQKYLTKDVVYVLKVTVKLRYFPKDYVQEFMAESVSFLLRNASFKQLKKGIQNLMIEVVKKPSLTRKSGISSLLWYAMRGTSSRFHSRADQVLRLLLDNSLYSIGDHFNGGPETVLEVVIGAYQRLCEELDAKQLDLMWDCLFNEITNSVANGHSMHLRRVLSLLISTVQKEYVEKISDYQPMLEVISLLVQTYIVPSSTLEALNPASELVDKILSLMLCVVDGLHKTNNLSALSCTSLQWAPVFDLRNKSLASFLKEFISKDPSILYFFRANIISALNDLLEIPEDEIIYLLHIFCERLQVQQLNFLDGIPNEKILRICSFLDKAINFWIQLIKDAVNKDFSSAEITEDRLAVLWGTIGCYPYMIDAKRNPSLLMDLIYAIDELLTVKSDLCRKTWQCLIGATLGSFLKILDNQNAAFLESEVNKLLDLARRHRMCSQILSAVADILDSIYGKQANTPVKRYHPELAASKVVGALDIFSENLRNCDKEIRLSTLRILCHYEPLCDGYSTNGHAEKKRRIDDSQICAVDDQQNNVVQLLLSVEEMPLSIATSRKVILLISKIHMSLSAARIDERYILVALNGVLGIFHNRFSYLWGPALDCTSVLLGQYFGILWDRFVKYLDYCLSIILGHDDQAYKSNTDSFGKDADLIGLFCSYRCSMSDSTPSATVLSLLIQSLQRVPSVVESRSRQIIPLFLKFLGYSVDDLVSVESYNILNCKGKEWKEVLKEWLNLFKLMKNPKAFYQSQFFKDVLQYRLLDENDAELQMKVLDCLMNWKDNFLLPYDQHLKNLISAKNLREELTTWSLSRDSNLIDAHHRHFLVPTVIRILAPKVRKLKSLASRKLDVEELPLFFSLLVKPLVSRDAGFDANIESLWTNPQALNDEYNSFNVFKHFTTNIISNISWKKRYSFLHVIEDTVAVFDEAHISPFLNLLMECISYLLESCALTLGNTDSSLILANCSNVTESETETQTAMKQSKELRSLCLRIISFILNKYENHDFSSKFWDSFFKSVKPLISGFKQEGASSEKPSSLFSCFLAMSRSYKLVPLLYREKNLVPDIFSMLSITTASKAIVCCVLKFIENLLTLDNEQSGEDNPIKMLLLPHLDVLVSSLHNLFVNDGPVKRKLAKYPGENELNVFKLLSVYVEEPLAARKFVDVLLPLLSKKSHACDICVDTLQIIKNIARPLGNESIKKILKSISPLLISGDLDVRGSVCDVLDALARNDSSLLNLAKLLRELNATSASEMGDLDYDTIISAYGKLNADFFHNVEEEHALIILSNSIRDLSSEDLILRQSAFRLLSSFVEYSGQILEQEMKPERGCSGSWVMYIINNFLLKHMGNAMNKEGAAQKLWIGLLRVMVLKLHKMVEFKTYTVLCSEDPEQDFFNNIVHLQRHRRARALSRFGNVVSSGSFSEGVMNRVFVPLLFNMLLDLQNGKGENIRNACIEAVASISKWMDWNAYYGLLVRCFREMTLKQDKQKVLMRLICTILDQFHFSEANFVHEIEGSMEHMSDPDTSKKISAVSSTFTSNGDLSKIQICLKKDVLPKVQKFLMSDSENVNVTISLVALKVLKLLPRDIMELQLPSIIHRISNFLKNRLESVRDEARSALAACLKELGLEYLQFIIKVLRGTLKRGFELHVLGYTLNFILTKFLQNPTSLNLDYCLEDLLFVAESDILGDVSEEKEVEKIASKMKETKKQKSYETLKLIAQNITFKTHALKLLLPVTVHLQKQLTPKVKTKLENMLNHISSGIQSNPSVNQKELFVFAYGLIKDGLKDEHFGHEDTLISDEGKQNKDELKAENTNSNRLISVDRRYSYLITEFAVGILQNYLRNMELDKGDEKLLSMLDPFVRLLGDCLSSKYENIIFAALKSLYSIVRLPLPTLENEANRIKNSLLDIAQGSVNASTPLMESCIKLLTVLLQNTKMTLSEAQLQSLIQFPFFVDLERNPSFVALSLLKAIIKKKLVAPEIYDVVKGVAELMVTSQVESIRRKCSKIFLQFLDCYPISVKRFQQHLDSLLANLRYEHSTGREAVLEMLRAIIVKIPDRIQEQSQTIFMHLVICLANDQDNKVRSMAGVAIKLLAENMKKFGSLTSIIEYSFSWYRGEKQHLWSSAAQVLGLLVEVMGNSFQEYVADALSVTKKILQSAHAALANRQLGLSDEVVPLWKEVYYSLVLLEKIHNQFTALCFTKELEDLWETICDFLLYPHMWVRSISVRLIDLYFARVTKACNENQALLRSFFLMEPSRLFRIAVSLICQLNVQLVKDADEALITQNLVFAICGIQALLVHGSGHSAFGPEEQSRFLKAFNLLDPKKGRNIFTAFSSYHGAQGNEQQTEHQGSMIVSCLLKRMGKIPIQMEALQTRIVFNCFKSISIKLLDQSRVLSSEDEVESQSYAYQILLPLYKVCEGQAGKVISDDVKQLAQEVCDSIRDVLGMQSFVQVYSQIRKNLKAKRDKRKQEEKLMAVVNPMRNAKRKLRIAAKHKANKKRKIMSMKMTRWMQ >itb05g08680.t2 pep chromosome:ASM357664v1:5:12223323:12244730:1 gene:itb05g08680 transcript:itb05g08680.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSDAMAVKSLNKCSGRRRFVFKNFSQRIQDIDIDVYRSLDPLKTEPSEGSSFFRDCLVQYRELNTAEDFISFYEEMLPLVQTLPQIVLQKDLILLELLSRLNMKGRLSLEPILRLIAALSRDLVDDFLPFLQRVADSLVVLLKNGADREPEIIEQIFTSWSYIMMYLQKYLTKDVVYVLKVTVKLRYFPKDYVQEFMAESVSFLLRNASFKQLKKGIQNLMIEVVKKPSLTRKSGISSLLWYAMRGTSSRFHSRADQVLRLLLDNSLYSIGDHFNGGPETVLEVVIGAYQRLCEELDAKQLDLMWDCLFNEITNSVANGHSMHLRRVLSLLISTVQKEYVEKISDYQPMLEVISLLVQTYIVPSSTLEALNPASELVDKILSLMLCVVDGLHKTNNLSALSCTSLQWAPVFDLRNKSLASFLKEFISKDPSILYFFRANIISALNDLLEIPEDEIIYLLHIFCERLQVQQLNFLDGIPNEKILRICSFLDKAINFWIQLIKDAVNKDFSSAEITEDRLAVLWGTIGCYPYMIDAKRNPSLLMDLIYAIDELLTVKSDLCRKTWQCLIGATLGSFLKILDNQNAAFLESEVNKLLDLARRHRMCSQILSAVADILDSIYGKQANTPVKRYHPELAASKVVGALDIFSENLRNCDKEIRLSTLRILCHYEPLCDGYSTNGHAEKKRRIDDSQICAVDDQQNNVVQLLLSVEEMPLSIATSRKVILLISKIHMSLSAARIDERYILVALNGVLGIFHNRFSYLWGPALDCTSVLLGQYFGILWDRFVKYLDYCLSIILGHDDQAYKSNTDSFGKDADLIGLFCSYRCSMSDSTPSATVLSLLIQSLQRVPSVVESRSRQIIPLFLKFLGYSVDDLVSVESYNILNCKGKEWKEVLKEWLNLFKLMKNPKAFYQSQFFKDVLQYRLLDENDAELQMKVLDCLMNWKDNFLLPYDQHLKNLISAKNLREELTTWSLSRDSNLIDAHHRHFLVPTVIRILAPKVRKLKSLASRKLDVEELPLFFSLLVKPLVSRDAGFDANIESLWTNPQALNDEYNSFNVFKHFTTNIISNISWKKRYSFLHVIEDTVAVFDEAHISPFLNLLMECISYLLESCALTLGNTDSSLILANCSNVTESETETQTAMKQSKELRSLCLRIISFILNKYENHDFSSKFWDSFFKSVKPLISGFKQEGASSEKPSSLFSCFLAMSRSYKLVPLLYREKNLVPDIFSMLSITTASKAIVCCVLKFIENLLTLDNEQSGEDNPIKMLLLPHLDVLVSSLHNLFVNDGPVKRKLAKYPGENELNVFKLLSVYVEEPLAARKFVDVLLPLLSKKSHACDICVDTLQIIKNIARPLGNESIKKILKSISPLLISGDLDVRGSVCDVLDALARNDSSLLNLAKLLRELNATSASEMGDLDYDTIISAYGKLNADFFHNVEEEHALIILSNSIRDLSSEDLILRQSAFRLLSSFVEYSGQILEQEMKPERGCSGSWVMYIINNFLLKHMGNAMNKEGAAQKLWIGLLRVMVLKLHKMVEFKTYTVLCSEDPEQDFFNNIVHLQRHRRARALSRFGNVVSSGSFSEGVMNRVFVPLLFNMLLDLQNGKGENIRNACIEAVASISKWMDWNAYYGLLVRCFREMTLKQDKQKVLMRLICTILDQFHFSEANFVHEIEGSMEHMSDPDTSKKISAVSSTFTSNGDLSKIQICLKKDVLPKVQKFLMSDSENVNVTISLVALKVLKLLPRDIMELQLPSIIHRISNFLKNRLESVRDEARSALAACLKELGLEYLQFIIKVLRGTLKRGFELHVLGYTLNFILTKFLQNPTSLNLDYCLEDLLFVAESDILGDVSEEKEVEKIASKMKETKKQKSYETLKLIAQNITFKTHALKLLLPVTVHLQKQLTPKVKTKLENMLNHISSGIQSNPSVNQKELFVFAYGLIKDGLKDEHFGHEDTLISDEGKQNKDELKAENTNSNRLISVDRRYSYLITEFAVGILQNYLRNMELDKGDEKLLSMLDPFVRLLGDCLSSKYENIIFAALKSLYSIVRLPLPTLENEANRIKNSLLDIAQGSVNASTPLMESCIKLLTVLLQNTKMTLSEAQLQSLIQFPFFVDLERNPSFVALSLLKAIIKKKLVAPEIYDVVKGVAELMVTSQVESIRRKCSKIFLQFLDCYPISVKRFQQHLDSLLANLRYEHSTGREAVLEMLRAIIVKIPDRIQEQSQTIFMHLVICLANDQDNKVRSMAGVAIKLLAENMKKFGSLTSIIEYSFSWYRGEKQHLWSSAAQVLGLLVEVMGNSFQEYVADALSVTKKILQSAHAALANRQLGLSDEVVPLWKEVYYSLVLLEKIHNQFTALCFTKELEDLWETICDFLLYPHMWVRSISVRLIDLYFARVTKACNENQALLRSFFLMEPSRLFRIAVSLICQLNVQLVKDADEALITQNLVFAICGIQALLVHGSGHSAFGPEEQSRFLKAFNLLDPKKGRNIFTAFSSYHGAQGNEQQTEHQGSMIVSCLLKRMGKIPIQMEALQTRIVFNCFKSISIKLLDQSRVLSSEDEVESQSYAYQILLPLYKVCEGQAGKVISDDVKQLAQEVCDSIRDVLGMQSFVQVYSQIRKNLKAKRDKRKQEEKLMAVVNPMRNAKRKLRIAAKHKANKKRKIMSMKMTRWMQ >itb06g06090.t1 pep chromosome:ASM357664v1:6:8766383:8767226:-1 gene:itb06g06090 transcript:itb06g06090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGKRSRGRGGFLKGKLMRSLYRATATQKLPPSSNSTTVLHLPYAAKVKQPNPTGDQHGGYVNHQIPAHQLSQLPTHTRSVSYYPPTTDDITNKAAVGSMDTLYGAIAVDHEIDRKAANYISSVRERFMLNNFN >itb07g08700.t1 pep chromosome:ASM357664v1:7:6976704:6978447:1 gene:itb07g08700 transcript:itb07g08700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTAIAHTSFGQAACDSDCIKDFVAAHDAARETVGAPPVKWNSTLADFAESYATKRSADCAAQHSHGPYGENIAMASAELSPADSVKLWMDEKPNYDQKSNSCTGGECHHYTQVVWRGTASIGCARATCKTGWMFVTCNYYPPGNYLGERPY >itb08g09060.t1 pep chromosome:ASM357664v1:8:8305747:8306490:1 gene:itb08g09060 transcript:itb08g09060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTDLFLPELLVQVLMVMDLVRNLIAMFFGILGLDNFLEPEMSSTPEESKSELQSLSAALIREVLPVVKFSEVAERAESCAVCLLEFDGNDEIRRLRNCRHIFHRSCLDRWMDHDQKTCPLCRTPFIPRDMQERFNERLWLAYGGENSQIVAGV >itb15g22900.t1 pep chromosome:ASM357664v1:15:25676236:25678518:1 gene:itb15g22900 transcript:itb15g22900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGDTRARILHTDVQDKVARIYEKYEIKSTRILLYSGDYWRDHWRTNAELKPCEDILRLLKRIVSDIEEVKDWILEEERRAALEAEEQNITIWDTYRNALKTENEVIVGLDSDIERIVNRLCYSYFMGPFLTILKNSNIDKFRKYVLKLQVMPLVGEGGIGKTTLAKRVYGHATTIASFHIRAWVVVSKVHNLKEMLIGLLRCISPISSEIYNLDEAQIAEKLSISLMGQKYLIFLDDIWTTAAWDAIQGYLPENFNGSRIIVTTRFKKVSKYLSMNPYLVKYQTFPDRWELFSRKVFRQSLCFPRKYVSLGERIVLGCGGLPLVVVLVSGVLATAKGSLEIWRDVARTLDGVEKCPLQLPCDILDLPQLRHLHVDKRCSQYLPCLVKKDLQTLYWFKVASSDEKPNFRMVPNLRELGIFIEGQLTPSYLGSLVYLHLLEKLKFEVGRVECFYLPTGFPPNLKKLTLRYTYLPWKEMDTIAKLPHLEVLKLKDFAFCGPTWEPLEHGFRKLKALLISCSNLEHWNAKF >itb02g10940.t2 pep chromosome:ASM357664v1:2:7158894:7160781:1 gene:itb02g10940 transcript:itb02g10940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MESTDSSSGSQQPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTAGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLADNKTTNKPPGLDIANRSKGGSLRLDDWVLCRIYKKNNSQRPLDHESDDLNDMLGSIHPSVPFAPQHKMGLKPPSNYGALLQTDHIFDHALVPSDPSIGGARSAQLPFVPPTPNLLPTKRTLPGLFWNTVDDSSANHHHPADASPDPPTKRFLADNNETSISRSDEQNGSIATLLSHLPQTPSLHQQTMLGALNDGVFRQPYQVSGINWYS >itb02g10940.t1 pep chromosome:ASM357664v1:2:7158894:7160781:1 gene:itb02g10940 transcript:itb02g10940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MESTDSSSGSQQPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTAGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLADNKTTNKPPGLDIANRSKGGSLRLDDWVLCRIYKKNNSQRPLDHESDDLNDMLGSIHPSVPFAPQHKMGLKPPSNYGALLQTDHIFDHALVPSDPSIGGARSAQLPFVPPTPNLLPTKRTLPGLFWNTVDDSSANHHHPADASPDPPTKRFLADNNETSISRSDEQNGSIATLLSHLPQTPSLHQQTMLGALNDGVFRQPYQVSGINWYS >itb07g16180.t1 pep chromosome:ASM357664v1:7:19563832:19570127:1 gene:itb07g16180 transcript:itb07g16180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSGTSLPSPLPRLAATMVGLRPNGSRDVQNSGDQFDHRFSDAIAQFSDCLAFVQRICDGDVLGEQESDELLILLFSQIKGVQASLAEKDEYYSEKVGSVYPEDLFLFLLNGRRSWIVNGADVGGNGGCNDRRRFSRWRWRWSSRLTAAAATEDADLVGVVSLCGLGSTATGGGGAAGYGVGHLTDLALMAPGRSVDDGGDPLSPVQRSTLAMTEETSDFHASICSLPASLFLPLFVDCQHNSHHVPAFRSNFWHSAATSVAVPQQRPQVRSVRP >itb07g16180.t2 pep chromosome:ASM357664v1:7:19563832:19570996:1 gene:itb07g16180 transcript:itb07g16180.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSGTSLPSPLPRLAATMVGLRPNGSRDVQNSGDQFDHRFSDAIAQFSDCLAFVQRICDGDVLGEQESDELLILLFSQIKGVQASLAEKDEYYSEKVGSVYPEDLFLFLLNGRRSWIVNGADVGGNGGCNDRRRFSRWRWRWSSRLTAAAATEDADLVGVVSLCGLGSTATGGGGAAGYGVGHLTDLALMAPGRSVDDGGDPLSPVQRSTLAMTEETSDFHASICSLPASLFLPLFVDCQHNSHHVPAFRSNFWHSAATSVAVPQQRPQFLRKLRFGLYQQILRVMVQVRVKGKLQNLKKRVMMKSWNCMTIVMLS >itb11g11410.t1 pep chromosome:ASM357664v1:11:8343723:8344490:-1 gene:itb11g11410 transcript:itb11g11410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPPSRPAFPGGVGNTKKPLGLFANAMKRKHSFIQFFAMTGILLLSVRSLGQKYRINDLQEDMEALKEEQEGLTKRMNHIRQSLLAEAAVEPTGRFASRLRLLFAEEK >itb14g14030.t1 pep chromosome:ASM357664v1:14:16440405:16441791:-1 gene:itb14g14030 transcript:itb14g14030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLEWSRLLSSIDNLKLFDLCKEFGRLSLDENTDDNTGESSSSANQVQPSSYGSITRFLRSWRHTPWNLSITEQLKAPFIEYPRAYMGSLPSDSCDLTMGFGFLFLSGQSEFLTQDLKLPESFDSSGAGLRTFVNENLNKILVEVITYCDGSYGRFLVLVIILGIGGTGIRLALSILLQRPFAEIMISASGNAQGVGLGVMVAFFLAVDPDIKIVVLPTKDDTFLLLGLSELLLRQAGASFQSCNRKECTARPDKLLPRSGGICLLS >itb01g29100.t2 pep chromosome:ASM357664v1:1:33392182:33397296:-1 gene:itb01g29100 transcript:itb01g29100.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRENMVKGKGNAAKNSHQAVFELKQRVVIALNKLADRDTYQIAVEELEKIIECLTPNGITPFLSCILDTDSEQKSAVRKECIRLMGSLASLHDNLVGPHLAKMVASIVKRLKDSDSVVRDSCVETVGILAAKLGCFGTDSENDGVFIVLVRPLFEALGEQNKQVQSGSALCLARVIDSIQNPPTLVLQKMLARTIKLLKNPHFMAKPAVIELNRSIIQAGGAPSHNVLSTAITSIQEALKNSDWATRKSACAALGEIASSGGMVFGSFKSSCIRCLESCRFDKVKPVRDTALQALHLWKSLPGSDTCEPSEAGSSIKENLYKDDFGDATSISESTVKDVTPKKFGHELVKSRLPLSSRKSGQNHVEKLQYSRTNDWHVEIAVPRRHNIFTSEAQNAQPDGCSVTKTTERRFDVTSSQDVEYEYVHIDDKQECSSVSNIFPDNFHAKEVTASHEALDEMSMDTSTITNEQCAGVVTMEEQRYLSKMQDRRRLDSTATEPISNTMHGCCPNIANELASIQKQLLEIQNTQANFADILKEVTANIVDTLSMVQMKVSGLEDKVDRMAQELAYDMRCSDPAAIKLLRRGPAVASPRFSTYTPRPSVDLCRRQPPVLPTKDIDVWEEKDLIKSRSSSFSKQSLDIWMDPAAKPNKNSLGKITQRGSAQGGHGRQTRKTENPFPRVSTSNVKQNILEIESSSWKVVKCHMLNGDLDSAYMEALCSGSELVLFQLLDRTGPVLDNLSQKTSSDLLSILSSYFLEQRFVNSILPWLQQVAELCITHGPEYITLSAKTRREFLSAFQVVNMEFSSVAERKSFMQLASTLRQTWEKCSA >itb01g29100.t1 pep chromosome:ASM357664v1:1:33391886:33397705:-1 gene:itb01g29100 transcript:itb01g29100.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRENMVKGKGNAAKNSHQAVFELKQRVVIALNKLADRDTYQIAVEELEKIIECLTPNGITPFLSCILDTDSEQKSAVRKECIRLMGSLASLHDNLVGPHLAKMVASIVKRLKDSDSVVRDSCVETVGILAAKLGCFGTDSENDGVFIVLVRPLFEALGEQNKQVQSGSALCLARVIDSIQNPPTLVLQKMLARTIKLLKNPHFMAKPAVIELNRSIIQAGGAPSHNVLSTAITSIQEALKNSDWATRKSACAALGEIASSGGMVFGSFKSSCIRCLESCRFDKVKPVRDTALQALHLWKSLPGSDTCEPSEAGSSIKENLYKDDFGDATSISESTVKDVTPKKFGHELVKSRLPLSSRKSGQNHVEKLQYSRTNDWHVEIAVPRRHNIFTSEAQNAQPDGCSVTKTTERRFDVTSSQDVEYEYVHIDDKQECSSVSNIFPDNFHAKEVTASHEALDEMSMDTSTITNEQCAGVVTMEEQRYLSKMQDRRRLDSTATEPISNTMHGCCPNIANELASIQKQLLEIQNTQANFADILKEVTANIVDTLSMVQMKVSGLEDKVDRMAQELAYDMRCSDPAAIKLLRRGPAVASPRFSTYTPRPSVDLCRRQPPVLPTKDIDVWEEKDLIKSRSSSFSKQSLDIWMDPAAKPNKNSLGKITQRGSAQGGHGRQTRKTENPFPRVSTSNVKQNILEIESSSWKVVKCHMLNGDLDSAYMEALCSGSELVLFQLLDRTGPVLDNLSQKTSSDLLSILSSYFLEQRFVNSILPWLQQVAELCITHGPEYITLSAKTRREFLSAFQVVNMEFSSVAERKSFMQLASTLRQTWEKCSA >itb06g11650.t1 pep chromosome:ASM357664v1:6:16183369:16184076:-1 gene:itb06g11650 transcript:itb06g11650.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSERGKGKHNEYKAHCLLLPLPAQGHINPILQFSKRLEAKGVKITIAITNFMLKTLQDFSISSPHVSIQTISDGFDEEAYPDALISQVYLQNLRRVGSQTLAQLLRRLRVGRFPVSCVVYDSFLPWALDVAKEERVMGACFFTQPCAVDAVYYYVHEGLIELPLGENQVIRIPGVPELEPGDAPSFVFAPESYPAVLEMLVNQFSNVEKADWILINTIYELEHKASVLFSCVN >itb09g21950.t1 pep chromosome:ASM357664v1:9:20204588:20206280:-1 gene:itb09g21950 transcript:itb09g21950.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNAEQKRRGLDTEDSCGVCQGRSETTEHILRTCNEAKEVWRVFTTGEQRRRLGQLDFRGWIADNIAQSRDEIDKEEWPRRFAIIIWWLWRWRCDRTFNGREMDSYRKVTWIREAEMEIDCAWSRNNGITSKARKHTKAPLRWKASVVHRITLNIDGSVKPSLNQASMGGVMRDNNGEWLEGFMRNTSYKDPSWTRTYKFCTVMRTPLPLPSPLSPYDQQELSEVFMILLAQMDCVPIIYEADVHCSCHGSMNACFIHNVLP >itb01g10070.t1 pep chromosome:ASM357664v1:1:8388165:8392884:1 gene:itb01g10070 transcript:itb01g10070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g47680 [Source:Projected from Arabidopsis thaliana (AT5G47680) UniProtKB/TrEMBL;Acc:Q9FGI9] MIAHYQQWRVSPHNPRIPPSPSWKPRSVHFPLPPFASSHCLLVAMETESDSDDNKSLPPATTESPPQSAPDQQPPLSKRAQKKLLKQQRFEAKKAEKKAQLKEHKQREAERKRREWAERLAGLSEEEKLKLIEERKSVRRERMEKRSEEKGKKIERLTRAKESGQNFVIDLEFSDLMTSNELQSLVQQIMYCYAMNGRCETPAHLWLAGYQGEMQNLLEKTPGYDKWLIEKESRPYIEAFQDQKENLVYLTADSENVLDELDPKKVYIIGGLVDRNRWKGITMNKAKEQGIQTAKLPIGNYLKMSSSQVLTVNQVVEILLKFLELRDWKASFFEVIPQRKRCEADSEDVHDEPEAEDNAQEQGDDHQDMKRQCIEGKQLSNPV >itb06g12470.t1 pep chromosome:ASM357664v1:6:17068379:17069312:-1 gene:itb06g12470 transcript:itb06g12470.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGEDELSEMVRDFLESDSTFISAAGNNNYNEHSCDDEPHQVEIVEDHQLSLQEILENATEAETEILVKIMSYWRKEAAGMKMEPKELRKWMVSRLRMDGYDASLCKTFRVKTSTRSSALKFSGDYEYIDVMMKGSESEREQLRVIVDTDLRSQFEVARPTEAYKELSSFLPCIFVGTEHRLHTIISLLCEAAKQSLKESGLHVPPWRKATYMQSKWLSQNCNKIISFSPRTLHIN >itb07g21360.t1 pep chromosome:ASM357664v1:7:25747434:25752971:-1 gene:itb07g21360 transcript:itb07g21360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich-splicing factor SR34 [Source:Projected from Arabidopsis thaliana (AT1G02840) UniProtKB/Swiss-Prot;Acc:O22315] MSRSSRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKLPPRPPGYAFVEFKDAHDADDAIRGRDGYDFDGHRLRVELAHGGRGHSFSNDRHSSHSSGRGPRGGVSRRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFREGSGMSGIVDYTNYDDMKHAIKKLDESEFRNAFGRAVIYVKEYDSGRSRSRSRSRSRSPSYSGGRNGSRSRNRSRSYSRSRSRSKSPKAKSSRRSRSHSKSPSRSPPRSKARSMSRSLSRSRSPVPPRKKEVSESPKKQSSSRSRSRSSSRSLSR >itb05g16770.t3 pep chromosome:ASM357664v1:5:23891967:23896135:-1 gene:itb05g16770 transcript:itb05g16770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTDLHFSLSRWLGVLFGRRSVKPYNSDESTGENQIAGDSSTAAVECYACTQVGVPVFHSTSCDEAHQPEWQASAGSSFVPIQNRPGSRVPAGKRSSGGYGLPCRALDPRSKRVQRLNRAFLLARGMSIAVDPLFFYALSIGVVGSPCLYMDGGMVAAVTVLRTCVDAVHLCHLWLQFRVAYVSRESLVIGCGKLVWDTQAIASHYVRSLKGFWFDVFVILPVPQVVFWLVVPKLIRDEEMRLIMTILLLFFLFQFLPKVYHSICLMRRMQKVTGYLFGTIWWGFALNLIVYFIASHVAGGCWYVLAIQRVAACLRQQCGRRSSCNRSLSCSEGVCYRFPLPTGSFPSGCAGNSTTPPINNTRCLDANGPFAFGIYESGLAVISSSSITVKILYPIFWGLQNLSTFGNMLEPTSNWLEVIFCICIVLCGLMLFTLLIGNIQVFLHAAMSKKRKMQLRCRDLEWWMRRRQLPSHLRERVQNYERYRWSTMGGQDEMKLIKDLPDGLRRDIKRYLCRHLIKKVPLFHDLDGLVLDNICDRLQPLVFSRGEKKMIREGDPVVRLVFIVRGRIKSTQILSKEMVATSMIGPGSFLGDELISWCLRRPFNDRLPPSYATFTCIEPTEAYGLDSGHLRFVADHFRYRFANDRLKRTARYYSTNWRTWAAVNIQLAWRRYVLRTTRRPVNVASRNGGDCRLRQYAAMFMSFKPHDHLE >itb05g16770.t1 pep chromosome:ASM357664v1:5:23891967:23896135:-1 gene:itb05g16770 transcript:itb05g16770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTDLHFSLSRWLGVLFGRRSVKPYNSDESTGENQIAGDSSTAAVECYACTQVGVPVFHSTSCDEAHQPEWQASAGSSFVPIQNRPGSRVPAGKRSSGGYGLPCRALDPRSKRVQRLNRAFLLARGMSIAVDPLFFYALSIGVVGSPCLYMDGGMVAAVTVLRTCVDAVHLCHLWLQFRVAYVSRESLVIGCGKLVWDTQAIASHYVRSLKGFWFDVFVILPVPQVVFWLVVPKLIRDEEMRLIMTILLLFFLFQFLPKVYHSICLMRRMQKVTGYLFGTIWWGFALNLIVYFIASHVAGGCWYVLAIQRVAACLRQQCGRRSSCNRSLSCSEGVCYRFPLPTGSFPSGCAGNSTTPPINNTRCLDANGPFAFGIYESGLAVISSSSITVKILYPIFWGLQNLSTFGNMLEPTSNWLEVIFCICIVLCGLMLFTLLIGNIQVFLHAAMSKKRKMQLRCRDLEWWMRRRQLPSHLRERVQNYERYRWSTMGGQDEMKLIKDLPDGLRRDIKRYLCRHLIKKVPLFHDLDGLVLDNICDRLQPLVFSRGEKMIREGDPVVRLVFIVRGRIKSTQILSKEMVATSMIGPGSFLGDELISWCLRRPFNDRLPPSYATFTCIEPTEAYGLDSGHLRFVADHFRYRFANDRLKRTARYYSTNWRTWAAVNIQLAWRRYVLRTTRRPVNVASRNGGDCRLRQYAAMFMSFKPHDHLE >itb05g16770.t2 pep chromosome:ASM357664v1:5:23891967:23895980:-1 gene:itb05g16770 transcript:itb05g16770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLVSLCCIVNCRWLGVLFGRRSVKPYNSDESTGENQIAGDSSTAAVECYACTQVGVPVFHSTSCDEAHQPEWQASAGSSFVPIQNRPGSRVPAGKRSSGGYGLPCRALDPRSKRVQRLNRAFLLARGMSIAVDPLFFYALSIGVVGSPCLYMDGGMVAAVTVLRTCVDAVHLCHLWLQFRVAYVSRESLVIGCGKLVWDTQAIASHYVRSLKGFWFDVFVILPVPQVVFWLVVPKLIRDEEMRLIMTILLLFFLFQFLPKVYHSICLMRRMQKVTGYLFGTIWWGFALNLIVYFIASHVAGGCWYVLAIQRVAACLRQQCGRRSSCNRSLSCSEGVCYRFPLPTGSFPSGCAGNSTTPPINNTRCLDANGPFAFGIYESGLAVISSSSITVKILYPIFWGLQNLSTFGNMLEPTSNWLEVIFCICIVLCGLMLFTLLIGNIQVFLHAAMSKKRKMQLRCRDLEWWMRRRQLPSHLRERVQNYERYRWSTMGGQDEMKLIKDLPDGLRRDIKRYLCRHLIKKVPLFHDLDGLVLDNICDRLQPLVFSRGEKMIREGDPVVRLVFIVRGRIKSTQILSKEMVATSMIGPGSFLGDELISWCLRRPFNDRLPPSYATFTCIEPTEAYGLDSGHLRFVADHFRYRFANDRLKRTARYYSTNWRTWAAVNIQLAWRRYVLRTTRRPVNVASRNGGDCRLRQYAAMFMSFKPHDHLE >itb02g05900.t1 pep chromosome:ASM357664v1:2:3666099:3666719:-1 gene:itb02g05900 transcript:itb02g05900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANPHHFNFLSATFKVVVSVSLFSFVISQYSSLILPLLLHSADEFSSYSFSVNHLFRYSSDRNIVFLLCNGILVFIITNSGVIAPPPRSRESRREKPADNPIVMEVKIAAPTAEEEEEEEEEEVERKKGDGTHERVISIPHHQEEEEERKKGDDEIVEIYSDNHHHEEEEEEENEVGCDDDDDDELKKKCDEFIKRMKQDIMRK >itb09g06590.t1 pep chromosome:ASM357664v1:9:3792123:3793271:1 gene:itb09g06590 transcript:itb09g06590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQKGGIIILDLDNNPSEPSGNDSGATKVTLHSYSELSIGESSPSSPPLSASSSSCFNKEKMEAPFVRKTYDMVNNPETDSIVSWNSDGSRLVVWDVHKFAAEILPTFFRHSNPSSFFCQLNSYGFRKADWDRWEFQHQWFQRGKKTWLNKIKRRTTRSSQRAPIPLQDQQQQKHQSPHDEETSGEKAEEEIKEMLDEQNGMKMEIKHLQENLVILAKKLASIREADTPDVKGRKLFLLMAQDFLESTKGKIPVEEESPNAIQENKESNDVLARSESHKRLEKLVEEGEDIMIGIEYLLEGPADWEDYVKKLEEKGAGLKSRP >itb04g10880.t1 pep chromosome:ASM357664v1:4:10437283:10439634:-1 gene:itb04g10880 transcript:itb04g10880.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPPTKLEYYDNMSKLHSTATLLSSFRGEDGRDVIIVDSSIFHPQGGGQPADTGFISSSNLKFVVQDVRSKDQIVFHYGFFENSGDGLSNLKLEKGAEVSLSVDEQRRMLNTRLHSAGHLLDVCIANIGWGHLKPGKAYHFPDGPFVEYQGTVPQNELQIKQKELEAEANNLISKGEKVSVSTFPYEEARLLCGGSIPDYIPKGSTPRIVKFGENPGCPCGGTHVSDVSEIKSLKVSQIRTKKGMTKVFYNLPF >itb12g06450.t1 pep chromosome:ASM357664v1:12:4801861:4803529:-1 gene:itb12g06450 transcript:itb12g06450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKPHILAIPYPAQGHVIPTMELCLCLVKHGCKVTFVNTEFNHNRIIKSMSEADNVMNLVSVPDGLGVEEDRNDTKKLAEAVFKVVPGKVEALIRKINESDENRVSCVIADENLGWALDLAKKLGLQRVAFWTASAASLAMMFNVPKLVDDGIVGNNGEILKKQSIKLLPLMPDMNSTDLLWNCFSDPGLQRFVFDLAFKNNESVKAAEWIICNSSQVMENEVFAAYPKLIPIGPLLASNRLGKTSGHFWREDTDCLKWLDQQPLNSVIYVAFGSHTIFDMAEFQELALGLELTNRPFLWVVRQGFIEEAANPYPEGFIDRIRNRGRLVEWAPQQKVLAHPSLGCFLSHCGWNSTIEGVCNGLPFLCWPYFADQFFDKSYICDVWKTGLGFDRNENGIIGRQEIKNKVEQLFGDENFKARAVDLQAEVLSSVKRGGSSYQNFSSFVNWIKAKN >itb05g03560.t1 pep chromosome:ASM357664v1:5:3054855:3056137:-1 gene:itb05g03560 transcript:itb05g03560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MAMYB [Source:Projected from Arabidopsis thaliana (AT5G45420) UniProtKB/Swiss-Prot;Acc:Q9ASQ2] MEFLDEDARPRFVLHSKPLPQSHGAAADDSNPLKPSLHRPTLFISLSLSIPLFVLSLLYFTSEPLRSIFLWLSISLLIGPFAPLSITAGDIRVGVGPPVQFNDPVDSEPENKKSGKRSGKPVRKSVESGSGSIIPAHAPELAKINGSTEKSEGKLKLGNGVVEEKDWSEGDVEVLRKLLGKHPVGKPGRWEAIAEGFKGRHRVESVIKKAKELGEKRTSNEDSYQKFLKDRKQVDKRIELENSMEASSESARENESMWSSTEDLALLNALKAFPKDVAMRWEKIAAAVPGKTKAACMKRVTDLKRDFRSSKAAIAEA >itb04g24660.t1 pep chromosome:ASM357664v1:4:29434661:29437949:-1 gene:itb04g24660 transcript:itb04g24660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCLMASRGFSPWLLLPQEQGTGRLSKEHLSFVPSPGTNQDILKPSSVNLRLQQKEDLWKTVCMLLDAKQIIQMNTYSADSPSNSVLFSFGIAEQCRRHENVLKYLMSGSSEVDLSTLHDVMCPQALAMPESLSASSLAESQSSLIYPSSSFSLQIPPSDVAGFFGHDLEIAPLSDGRLCPSESTTLVEMKDILSIISEYYQLKNLNSINSGKQSLLVPYFDRKMTREAKAKPSTQKLESPESLKYKTSPKKKSNKKLIDERDLYRNNNLHACESLLSIMVDKKRQGRTAINSLKKSGSELPRFLTQFSAVIAGTGLAVLFSVACNLACGRVAFSAPKIFNTGLGLTLVWLSWGVNNLRDTVISISKCSGKVGAREEEMMNNLDKNVKEIYFRAAAFMAVAALRFI >itb05g26810.t1 pep chromosome:ASM357664v1:5:30489461:30490807:-1 gene:itb05g26810 transcript:itb05g26810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRGPIIGRGSSATVFLAADASSGELFAVKSAEFSDSGLLQKEKSILSQLDSPHVIKCLGFDITCEENKVMYNVLLEYVSGGTLCDVVKKLGSCVSEPMIRFCAREVLLGIEYLHSKGIVHCDLKGRNVLVSEDGAVKIADLGCAKLVGNGGVVSSGFSGTPAFMAPEVARGEEQGFAADIWAFGCTIIEMASGSSPWPEMDDPVSALYRIGYSEDAVPEFPGWISGEAKDFLSKCLNRNAKDRWSATELLHHPFLQNPNSNPEKLEILPRNSPTSVIDQGFWESIEPSKSPVPASPVDPPANRIGRLIGSSTFPDWEDDEQGWVNVRGIIETVNLSPIEKTDKTIFQTDCNLDQEDEDELMEVEPFLFPEDLECLRTGNGGFLFDFFAEKISFSSRLGTTINIALSHENVKHQLSLGIQTFMKNMIKISYLMDSHNIIIKLLIRR >itb15g11760.t2 pep chromosome:ASM357664v1:15:9601173:9609048:1 gene:itb15g11760 transcript:itb15g11760.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGREISSGIVSEAKEERRVENYEKRSKNTSVESTETLEVSGVRKLDAVNDSAEGKDAGGGTKKEERIEGEGRSKGDRRRSKPNPRLSNPPKHKHGEQVAAGWPSWLSAHVGEAIEGWLPRRADTFEKIDKIGQGTYSNVYKARDTITGKIVALKKVRFDNLEPESVRFMAREIIILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFSEAQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNSGILRIADFGLATIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKDFPPSSLPLIDTLLAIDPAERRTATDALRSEFFTTEPYACDPSILPKYPPTKEMDAKRRDDEARRLRAANKAQGDGTKRTRHRDRAVRAIPAPDANAELQANIDRRRLITHANAKSKSEKFPPPHQDGGLGVPLGASHHIDPSLVPPDVPFSSTSFTYSKEPVQNWSGPLVEPATAGGGRRKKHTATDMRDGKKQSAGKRR >itb15g11760.t1 pep chromosome:ASM357664v1:15:9601166:9609048:1 gene:itb15g11760 transcript:itb15g11760.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGREISSGIVSEAKEERRVENYEKRSKNTSVESTETLEVSGVRKLDAVNDSAEGKDAGGGTKKEERIEGEGRSKGDRRRSKPNPRLSNPPKHKHGEQVAAGWPSWLSAHVGEAIEGWLPRRADTFEKIDKIGQGTYSNVYKARDTITGKIVALKKVRFDNLEPESVRFMAREIIILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFSEAQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNSGILRIADFGLATIFDPNHKHPMTSRVVTLWYRPPELLLGATDYSVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKDFPPSSLPLIDTLLAIDPAERRTATDALRSEFFTTEPYACDPSILPKYPPTKEMDAKRRDDEARRLRAANKAQGDGTKRTRHRDRAVRAIPAPDANAELQANIDRRRLITHANAKSKSEKFPPPHQDGGLGVPLGASHHIDPSLVPPDVPFSSTSFTYSKEPVQNWSGPLVEPATAGGGRRKKHTATDMRDGKKQSAGKRR >itb08g05220.t1 pep chromosome:ASM357664v1:8:4277287:4278724:1 gene:itb08g05220 transcript:itb08g05220.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACEKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGIPKIEKPDKPVLNGKPLANKVSSFFVGTREKCVGCKSTVYPIEKVSVNGSAYHRSCFKCSHGGCVISPSNYIAYDGRLYCKHHHIQLIKEKGNLSQLEGEHEKNLVRVMEIAAE >itb10g14730.t1 pep chromosome:ASM357664v1:10:21022831:21025646:-1 gene:itb10g14730 transcript:itb10g14730.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSLSSSSLWHHYCKPTSRIALGFKLKSTLSTTITMSAAPSTTTKVLPAVIVGGGRVGKALQDMGNGEDVLVKRGEPVPLDFPGPILVCTRNDDLEAVLEATPKSRWSDLVFFQNGMLEPWFQSKGLGDADQVLAYFAVAKLGEPPTDGKTDTNPEGLTAAYGKWASAIASRLLAGGLSCKVLDKEAFEKQMLEKLIWICAFMLVGARHPGATVGAVEKEYRSEVSSLIAELAAAAAAEKGVVFEEAMEDRLCAYSRAVAHFPTAVKEFQWRNGWFYALSEKALAAGMPDPCPLHTAWLKEIKVV >itb02g15000.t1 pep chromosome:ASM357664v1:2:10879539:10884152:1 gene:itb02g15000 transcript:itb02g15000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSCRASSVFSARFYEHKKRISPPILLSARVTTSASLPTASSPRKQAISKTTPQHPARKSGPMRDSSRIQKEVSPHRAVSAVRLMRIEFGGAFADLLNEQGKGSGESEMGYVERTLGFCTRELDDRDLRLVTEIVGGTIRWRRYLDYLILSLCHDENTFRGMEPLLLQILRIGFYEIVKLEMPPYAVVDENVKLAKFALRPGAGNMVNGILRKLVFLKENDSLPEVKIEGNDRQQARALSTLYSHPVWMVRRWTKYFGLEEAIKLMVWNNNDPSFSLRANTGKGLTRDDLVTRLTMLKVQHEPSPYLDDFVRIKTGMQNVIQGGLLKEGFCSVQDESAGLVVSIVDPKPGESIIDCCAAPGGKTLFMASRLRGQGMVFAVDKNKGRLRILKETARLHETIDVITTVHADLRKFSGSNAVKSDKVLLDAPCSGLGVLSKRADLRWNRRLEDMEQLKNLQDELLDSASTLVRPGGALIYSTCSIDPEENEERVEAFLLRHPEFYIDPVDKYVPPEFVTRKGFYASNPVKHAVDGAFAARLIRSR >itb12g07180.t1 pep chromosome:ASM357664v1:12:5369018:5369347:1 gene:itb12g07180 transcript:itb12g07180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQRSSYSFRRQGSSGRIWEKVVYGPELKAGGGSQLPLPHPRERAQQERYNCKDIVSHANARVAAAAAEETNASPPVAPLPPSRSHTKAITCGFITLFGRCGKVHTS >itb15g15860.t1 pep chromosome:ASM357664v1:15:15015268:15021262:1 gene:itb15g15860 transcript:itb15g15860.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGRFVAGLRTAGHGAVVTAAASIVVIVKGRGCALSSDPSSLLAGPSPWMNLIVVLRALKKVMLPDDESAAPLPEKVQALYWMSEFDYGTEAEQTTKVLHPCWAAYRICDEYALLLGYKNNVQDLYNLLCFLQVEPWCNWAWWNKLIQRPYENGDQRALKLVKAILRSLMLKRTKETKDKEGRPILVLPPTDIQVIESKEKATVVMGTPPQAPPGNRNQNRINADSRLRNSTGTQRKPMGRDTTSGVTDGGAGLDLRERDARK >itb12g20320.t1 pep chromosome:ASM357664v1:12:22813829:22814545:-1 gene:itb12g20320 transcript:itb12g20320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATKVEIIEATGVFDNGEGPRLTLHGPHIAGKDDGELMGSEDPLEEEYVSSFNNNVPVVVVPDSGDLMIPVPVADIEKLGRRLLVAAVESNSSAAPGY >itb01g03390.t1 pep chromosome:ASM357664v1:1:2237746:2238544:1 gene:itb01g03390 transcript:itb01g03390.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFPVWMLGFITLSLITTPPVHCNVSGRDIVVALLPYKSFSTETTASGHSPAARQPHRRIVLLAPIDPSINCTTLRALSKRGGGGGGDRLRHHKKTGMTLKTGEVERYSRRFVDANKKHPCRRRSASKVLSS >itb08g03360.t1 pep chromosome:ASM357664v1:8:2745127:2748805:-1 gene:itb08g03360 transcript:itb08g03360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTHQFQSPSPPSQPSRFKRVCVFCGSSPGKNPSYQLAAIQLANQLVERNIDLVYGGGSIGLMGLVSQAVYNGGRHVLGVIPKTLMPREITGETVGEVRAVSGMHQRKAEMAKQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLCFIDKAVNEGFITPSARHIVVSAPTAQELMSKLEDYVPKHNGVAPKLSWEMEQQLGYTTTKSEIAR >itb02g04260.t1 pep chromosome:ASM357664v1:2:2533313:2535137:-1 gene:itb02g04260 transcript:itb02g04260.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADYDLMDSALYEALVEGNQEEVDEALWSKMVTPKGNTMLHIAALYGHTHLVQKILEKHRSLLCAVNNKNETALHIAAREGHTGVVSELIRCAKARPELESGIGGARDMVRMMDGDKDTALHKAVRKGHLEVVKLVVKEDPEFEFGANEAGETPLYLAAELQFHQCLVEILNNSQRPVFDGPLGRTALHAAIIHSEPPKDSTKLLLEKEASLCEVGDDWGWTPLHYAVRLNNEKAVEQILQVKSSAAYIPAGGKEGEWTTALHIAVSEDSVVLFKQILQHCPLCWEMVDSKGRNILHEAILNDARKAIKFILNSPKMDHLVDERDEDGNTPLHLVAASNNYVPELIHHPRLSGAMVFNKQHLTPLDIASRVGSGSVGKRGDLTMKTKRNNETRMEMTQEMRVPKERSVSSAGKMAKAHIVVVALIVTVTFAAGMAVPGGYNDDNQGEKQAGMPILLRKSAFKAFVVTNAIGFVASISSLIGYIMLVEEISSFGRPKVVRKLVCFSILLLDLSLTALILAFITGMFAVLTVHSSAVAVGVCIGVSICIIGIISVLLFLGYNEHWWIVRKLFNFFNKLG >itb07g01870.t1 pep chromosome:ASM357664v1:7:1126286:1129494:-1 gene:itb07g01870 transcript:itb07g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPASGNALVVGLSKALLVSGVVFFLGIVLIPNAGRNCPSPSSDLASVAKSSGATSAPTNMSHVIFGIVGSEGAWHHRRAYVESWWRPNVTRGYLLLDKEPTGDLLPWSPQSPPYKISYNITEVVQMTKHVDARVARMVHGISEIVGNAGEGVRWVVMGDDDSIFMVENILELIARYDHTKFFYIGGHSEFVLSNFWFSFNQAFGGAGIVLSYPLAKALSDGIMGCLKRYSRFTSADKTTMSCVADLGVNISPHGGFHQMDMRGDVSGFLSYHPNVPLMSLHHFDMADPIFPFTDRAESARRLMEPANFDQTRILQQAICYDRRLRWSFSVSWGYSAQIYERIMPRSQLQNPIETFVKWRETNTPPFWMFDVRRRSGDPCEAPHFFFFKKVEKLAPPREEIVTTYHRSWDRGMGPCSAEGNRSADQINRIQVFSPAERRLRNDRSECCSIVRVSKTRATIKLRSCEVNEVIA >itb07g07940.t1 pep chromosome:ASM357664v1:7:6215152:6222322:1 gene:itb07g07940 transcript:itb07g07940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTHFEIEVKPEDTVADVKKSIETVQGSNVYPASQLMLIHQGKVLKDGTTLEENKVAENNFVVVMMTKSKSSSGEGSASSTATTKTIAPASSTSASTAPVPTPAPASAPTPAPASAPAPVPVPVPAPAPTPAPTAGSGDVYDQAASNLVAGSNLEGTIQQILDMGGGTWDRETVVRALRAAFNNPERAVEYLYSGIPESAEVPPAGGNPPPVLQPPNQEAQPQQAAQPAPVPSSAPNANPLDLFPQGLPNIASSNTGGANTLEFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGGEGNILGQLAASMPQAIQVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >itb07g07940.t2 pep chromosome:ASM357664v1:7:6216095:6222322:1 gene:itb07g07940 transcript:itb07g07940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVADVKKSIETVQGSNVYPASQLMLIHQGKVLKDGTTLEENKVAENNFVVVMMTKSKSSSGEGSASSTATTKTIAPASSTSASTAPVPTPAPASAPTPAPASAPAPVPVPVPAPAPTPAPTAGSGDVYDQAASNLVAGSNLEGTIQQILDMGGGTWDRETVVRALRAAFNNPERAVEYLYSGIPESAEVPPAGGNPPPVLQPPNQEAQPQQAAQPAPVPSSAPNANPLDLFPQGLPNIASSNTGGANTLEFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGGEGNILGQLAASMPQAIQVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >itb14g00570.t1 pep chromosome:ASM357664v1:14:406583:408512:1 gene:itb14g00570 transcript:itb14g00570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAECETKSKKFKGICLSASNCASICKTENFQGGNCRGITRMCAQLRWKQGFATIGARSLLGCVWWGTSVTAAALARVLLGDIATACVIFATAIRIAKIEREGNK >itb03g06380.t1 pep chromosome:ASM357664v1:3:4669774:4671726:-1 gene:itb03g06380 transcript:itb03g06380.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFKSLQLPKPDEVWSIAQEKPKEGDILIEHVIEKEVIEKKRKSLERALQRKTIKWQRTPEETKLEPGTGTGREIVGHWPFPRDKLTQGYAYILTHPGTPVVFYDHFYDFGIRDIITELIEARRRGGIHCRSPVKILHANNDGYVAQVGDSVVMKLGHFDWNPSKEVYLDGTWQKFVDKGSDYQVWLRQ >itb10g03890.t1 pep chromosome:ASM357664v1:10:3707607:3714713:-1 gene:itb10g03890 transcript:itb10g03890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNSEERGESKKLVSGKDDETTKVGFYKLFSLADRVDVALMVVGTLAAVAVGMSQPFMTVIFGDLINSFGTVASPHLVPVISKLSLNFVYLAIGSGIASFLQMSCWVVTGERQAARIRGMYLKAILRQDITFFDTETTAGEVIGRMSGDTILIQEAMGEKVGKFIQFMSTFIGGFIIAFIKGWLLALVLCLCIPVVAIAAGTTAKIMTGMSSRGQVAYAKAGNVASFNGEKRAIDKYDGELNVAYKSTIQLGLASGLGLGTILLVLFSSYGLAVWCGAKFILLKGYRGGDVINVMISIMMGGMSLGQTSPSLNAFASGQAAAYKMFETINREPSIDASDTSGVELEDIKGEIELKDVYFRYPARPDVQIFAGFSLRVPSGETVALVGQSGSGKSTVISLLERFYDPDAGEVLLDNINLKKLKLKWLREQMGLVSQEPMLFTTSIRENIIYGKENATEEEIRTATELANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQDALDRVMSNRTTVVVAHRLTTIRNADLIAVVQSGKLVEQGTHDELIRDPNGAYSQLVQMQQGSNKQDEKVTKEDGDAVEITTDSDDLIRSSSHRSSAVRRSLSHGSSSRHSFSLNYPIPGLINTIHEYETRNDEADDKEPDERTLEKRKRVSIRRLASLNKPEWPYLVIGAIAAGIHGLIFPVFGLLLSAAIKIFFEPRAELQKDSRFWATMYVCLGLVSLVVASIQNFFFGVAGGKLIQRIRSLTFKKVVYHEISCGAIGARLSADASTMRSLVGDALALIVQNTATVLAGLVIAFVANWILALIILVLLPLMGLQWFFQNKFYKGFSANAKVVYEEASQVASDAVSSIRTVASFCAEEKVMAMYEKKCEGAVKQGVKVGVVSGASLGFGSLALYLTYAFCFYIGAFFIHHNKATFTELFRVFFALTMSAMGISQATGLAPDLNKAKDSTASIFDILDRNPKIDSSSEQGTTLSAVRGDIELKHTCALVGESGSGKSTVISLIERFYDPDFGEILLDGVPIKNLKLSWLRQQMGLVSQEPILFNESIRDNIAYGKHGEVTEEEIIQAARLANAHNFISSLPQGYDTAVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDTESEHVVQEALDRVMVSRTTVVIAHRLVTIRGADLIGVVKNGVIIEKGRHEVLMDIVDGVYASLVALHVKAS >itb05g19300.t1 pep chromosome:ASM357664v1:5:25882008:25885313:1 gene:itb05g19300 transcript:itb05g19300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTRWFRELLGSKKPFSPSAKDKNRRKATSCSNSGAENSGGVSGEPRSSSYANDGVDCLDDANKHAIAVAAATAVVAEAALAAAQAAAEVVRLTSVGNGTAALVKRRRDWAAVKIQSEFRAYLARKALRALKGLVKLQALVRGRIVRKQSADMLRRMQAMARIQARACASRALVSDCSHSSDEASQTHRPGIASRDKHDLQQRSYSSTHRGSNLKSCYSKSNMNENVSMGRKDLCSKWLDRWMEEHAWNNYENSDLKDGGADDEKSDKILEIDTWKPRQNSQYFSAWNDSGQGNRTNNNSMPSHSNMKKPSPSLSSAEVSSSLRSIIFPQDPEKPAAAWTLEHSPGFHSLLSRPGSSSGSQRGGPFVPSTSTTFCGYPSYPNFMAYTESSLAKARSQSAPRQRMQLKLANVLHDTDTISEKGWSSRPSLSSKAYPGSSRLG >itb03g08900.t1 pep chromosome:ASM357664v1:3:6747866:6750371:1 gene:itb03g08900 transcript:itb03g08900.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable hexokinase-like 2 protein [Source:Projected from Arabidopsis thaliana (AT4G37840) UniProtKB/Swiss-Prot;Acc:Q9T071] MEMRKEVVVLAALTTVSTVVAATLLVRSWKRRSERRWIHAHRILRKFARDCATPVHKLRNIADDIVSDMRDALSSSQKCSSLQMLPCDVASLPTGEEPGLHYGINLRGDNFLVLRARLGGKREPVTELSKQEIVIPPEVIKGTYKDLFDLIALELSEFISMHGDSTKDMQGQRKLGFTISQPLPVVEATASMDAAIINLKGSTIEDTEKDVYVNEINNCLEKHGVDLRVLGLVDDTVGVLAGGRYYSRESVAAVTLGMGTNVVYVDSAQAVEKWPGKLPQSSELVIDMQWGNFYSSHLPITEFDVSLDTESTNPGYRRFEKLISGVYLGEIVRRVLLKIAQETGLYGDSVPPKLATPYVLRSRDMAEMHQDMSEDYEVINAKLKEIFEIADSTQMSREIVANICDIVAQRAARLIGAGIIAIINKLERLTNRISIITVDGGIYDNYRVFRSYLNSSVWEMLGNELSDNVILEHSHGGSGAGSIFLAALHSQPSD >itb10g21680.t1 pep chromosome:ASM357664v1:10:26791962:26792933:-1 gene:itb10g21680 transcript:itb10g21680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFNHGPLPSLSLPHFLVIACLPIKIHQNHTDTSASSSNNAQQGSGQSGQLSWFVNEIEETVQKHQTLILSPNELFREKPPPVEVSENRQKSAVSYALKAVLMGLIIRIYDYSDSINPTVILSIYCLHIYLCLEIILAIVAALARAVLDLELEPTFNEPYLSTSLQDILGKSMEPHGKPRYGHVHGIGPIAQADLFLLGPGQAHEGGHVVLFAPRVCVAIEIAMKKALRGRCQLPRILGTILTLGFVTLTVCWLFLPQLLWCEALVKALAEYATLGTFVKDVGIALTLGVRSALA >itb14g15410.t1 pep chromosome:ASM357664v1:14:18733844:18737456:-1 gene:itb14g15410 transcript:itb14g15410.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASILSSPSNRGGLRSGRRTSQLQGRRSFNPKPSNSKNRFVVRANAKDIAFDQKSRAALQAGIDKLADAVGLTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADAMENAGAALIREVASKTNDSAGDGTTTASVLAREMIKLGLLSVTSGANPVSIKRGIDKTVLGLVDELENKARPVKGRDDIKAIASISAGNDEDIGTMIADAIDKVGPDGVLSIESSSSFETTVHVEEGMEIDRGYISPQFVTNPEKLIVEFENARVLVTDQKISAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQASDLGLLVENTQVEALGIARKVTIKKDSTTIIADAASKDEIQSRIAQLKKELNETDSIYDSQKLAERIAKLSGGVAVINVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTHVPVIKDKLEDADEKLGADIVQKALLAPASLIAQNAGVEGEVVVEKLKDSEWEIGYNAMTDTYENLVEAGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKPKPKAPAPAAPQGLTV >itb11g20370.t1 pep chromosome:ASM357664v1:11:21404643:21408835:1 gene:itb11g20370 transcript:itb11g20370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIKNLQCLFLFVTVLLLPVLSYGEQLENSLYTRATFYGSPDCYGTQSGACGFGDYGRNVNGGKVSGVSRLYRNGTGCGACYQVRCKIPTHCNEEGTRIVVTDYGEGDRTDFILSARAYSEMATPGLANQLFAFGVVDVEYRRIPCRYNGYNLMIQVHDKSNFPNYIAILPIYQSGLSDITAAQIWQADCKEWRDMRRVFGGVFDYQNPPKGSLSFRLQTSLNGNTKWLEVSDVLPDEWKAGVAYDTYIQLD >itb10g20940.t2 pep chromosome:ASM357664v1:10:26321000:26327980:-1 gene:itb10g20940 transcript:itb10g20940.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGYKRAGKGGSKHASKNEDEILNQILGYEQKESVSSGRLSHDQQTHVASSSHSQEASTKDGVGGQHPDHHPSQVLNEMGSTTPEELRQQAMDEKKKHRTLKAEGKSEEALRAFKRGKELERQAAALEVQLRKNRKRALSSSNVSDIHRDTDESIGSSRRSKSSQTSEKKDDLAAELRELGWSDMDLHEADKKPATVTLEGELSALLGEVSQKPNAGKRTQTSDKSQVIAHKKKALEFKREGKLAEAKEELKKAKILEKQIEEQELLMDSEDSDDEMSSLIRSLGADTKEELSMGVNLDSSFDFDDIFSVADNLGADLEVTEDDLDDPEMAAALKSMGWGEDGPESVTSDVHFVPIDREAKLMEVQTLKKEALNQKRVGNTAKAMELLKKAKMIEKELDSSLSQQADMMAHSFEMVPNAQTLPVEEPLKINENRNVHEPKTAPKNKLMIQKELLSLKKKALALRREGRVDEAEEELRKGKVLEKQLEEFDSAPKAVQPPVRNMGNDYITDTDIGDNEDVTDLDMQDPNYLSVLKNLGWQDEDSENVTSTSQVKINASASDDFSVPKAIAKVPLGDSRKSKGDIQKELLSLKRKALALRRQGETEEAEEVLETAKKLEAQLAEIESSTLNVVNAEPNMHKEPNIIDSPLKNLSFNAPLDSKSDSIEDLGSKSKDIPEKREDKVNADKKPSNDIQQTTPQSDLNLLHQEILAHKKKAVALKREGKLTEAKEELRQAKALEKRLEEEKSLTSGSADVSGLDTSPSNPKDISPTPVSKPLSEREEKSLTSGPDTSPSKPKNTSPTPGPKPLSGRERFKLQQQSLNHKRQALKLRREGRSEEADAEFEKAKAIEAQLEELTTGSSGHGAEPTDGVSVEDFLDPQLLSALAAIGISDTAVGSQGPENLEAQSSVASKVDTGGPQGHQRSESTQSATGMVNNTAEEKMQLEEKIKAEKLKALNLKRSGNQADALAALRQAKVFQKKLDSLNSQQ >itb10g20940.t1 pep chromosome:ASM357664v1:10:26319087:26330837:-1 gene:itb10g20940 transcript:itb10g20940.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPRNANARGLELEKSKARMEKKEDGGGAKQKKGCFSFLDHLYAVVLCSFQKSSFINAKLQYGKRPHRLQSQRSFPVESRNRNLSFLSGEKASNKMLEKIGLPAKPSLRGNNWVVDASHCQGCSSQFTFINRKHHCRRCGGIFCGSCTQQRMILRGQGDSPVRICEPCKTLEEAARFEMRYGHKRAGKGGSKHASKNEDEILNQILGYEQKESVSSGRLSHDQQTHVASSSHSQEASTKDGVGGQHPDHHPSQVLNEMGSTTPEELRQQAMDEKKKHRTLKAEGKSEEALRAFKRGKELERQAAALEVQLRKNRKRALSSSNVSDIHRDTDESIGSSRRSKSSQTSEKKDDLAAELRELGWSDMDLHEADKKPATVTLEGELSALLGEVSQKPNAGKRTQTSDKSQVIAHKKKALEFKREGKLAEAKEELKKAKILEKQIEEQELLMDSEDSDDEMSSLIRSLGADTKEELSMGVNLDSSFDFDDIFSVADNLGADLEVTEDDLDDPEMAAALKSMGWGEDGPESVTSDVHFVPIDREAKLMEVQTLKKEALNQKRVGNTAKAMELLKKAKMIEKELDSSLSQQADMMAHSFEMVPNAQTLPVEEPLKINENRNVHEPKTAPKNKLMIQKELLSLKKKALALRREGRVDEAEEELRKGKVLEKQLEEFDSAPKAVQPPVRNMGNDYITDTDIGDNEDVTDLDMQDPNYLSVLKNLGWQDEDSENVTSTSQVKINASASDDFSVPKAIAKVPLGDSRKSKGDIQKELLSLKRKALALRRQGETEEAEEVLETAKKLEAQLAEIESSTLNVVNAEPNMHKEPNIIDSPLKNLSFNAPLDSKSDSIEDLGSKSKDIPEKREDKVNADKKPSNDIQQTTPQSDLNLLHQEILAHKKKAVALKREGKLTEAKEELRQAKALEKRLEEEKSLTSGSADVSGLDTSPSNPKDISPTPVSKPLSEREEKSLTSGPDTSPSKPKNTSPTPGPKPLSGRERFKLQQQSLNHKRQALKLRREGRSEEADAEFEKAKAIEAQLEELTTGSSGHGAEPTDGVSVEDFLDPQLLSALAAIGISDTAVGSQGPENLEAQSSVASKVDTGGPQGHQRSESTQSATGMVNNTAEEKMQLEEKIKAEKLKALNLKRSGNQADALAALRQAKVFQKKLDSLNSQQ >itb09g01030.t1 pep chromosome:ASM357664v1:9:645963:648210:1 gene:itb09g01030 transcript:itb09g01030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRTRYYVVAVVVGVILSAAILCSAGISSTYVRNVEKSADMPLDSDVFRVPPGYNAPQQVHITQGDLVGKAMIVSWVTVDEPGSNTVVYWSDNSKHKKEAKGKMKTYKYFTYTSGYIHHCTIRELQYNTKYYYEVGIGNTSRTFWFITPPEVGPDVPYTFGLIGDLGQSFDSNRTLTHYERNPRKGQTVLFVGDLSYADTYTNHDNVRWDTWGRFVETSAAYQPWIWTAGNHEIDFDPDLGETKPFKPFTNRFRVPYKASNSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQYMWLKQELQKVNRNETPWLIVLMHAPWYNSYDYHYMEGETMRVMYEEWFVENKVDIVFAGHVHAYERSERISNVAYNVVNGKCTPVRNQSAPIYITIGDGGNHEGLATIMTEPQPEYSAFREASFGHATLEIKNRTHAYYSWHRNQDGYAVEADSMWVSNRVWHPVDDSTTTIL >itb13g24450.t1 pep chromosome:ASM357664v1:13:30121845:30124442:-1 gene:itb13g24450 transcript:itb13g24450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWGGQVGLPAAAGICSPLLTKELSLITESNNNGDNSNEESRENYDNNEEEDECREGAVLEVGTKRPRGRPPGSKNKPKPPIFVTRDTPNGLRSHVMEIAGGTDVAESIAQFARRRQRGVCVLSGNGAVANVTLRQPTAAGAAVMLQGRFEILSLAGTFLPGPSPPGATGLTVYLAGVQGQVVGGSVVGPLVAAGPVMVIAATFANATYERLPILEDDPPDSAAQFPIESPPPPPPAGADHHGLPEPSSSLPLYNLTPNLFPHGAQLSPHDAYAWQHPRLSNF >itb09g15130.t2 pep chromosome:ASM357664v1:9:10374115:10377685:-1 gene:itb09g15130 transcript:itb09g15130.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVCFSLAQSPYLHHKSSAATSAILSKSTVKTAGARRTSRAVLCRATLPRAAAAVAPEVMVDTSTSTDTRPSCPPPPPAELPRVSPSSLQCDPGYLIQNPSLQANNGAGRDNAVEYLTSILASKVYDVAYESPLQLAPKLSERLANNVWLKREDLQPLRGAYNMMAKLPKEQLERGVICSSAGNHAQGVALSAQRLGCNAVIVMPVTTPEIKWQSVEGFGATVVLVGDSYDEAQAYAKKRAEEEGRTFIPPFDHPDVIAGQGTVGKEIMNQINGPVHAIFVPVGGGGLIAGIAAYVKMVNPNIKIIGVEPFDANAMALSLHQDQRIMLDQVGGFADGVAVKVVGEETYRICRETIDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKHYGHKNQNVVVITSGANMNFDRLRLVTELADIGRQQEAVLATYMPDDPGSFKQFCELVGPMNITEFKCRCTSSKEKAVALYSVGLHLKIELEAMMERMSSAGLYTINLTNNDLVKDHLRHLMGGRSNVQNELLCRFVFPERPGALTKFLDAFSPRWNISLFHYRSQGEEGANVLVGIQVADSEIGEFKDCAHNLGYEYAVENSNRAFQLLMH >itb09g15130.t1 pep chromosome:ASM357664v1:9:10373914:10377685:-1 gene:itb09g15130 transcript:itb09g15130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVCFSLAQSPYLHHKSSAATSAILSKSTVKTAGARRTSRAVLCRATLPRAAAAVAPEVMVDTSTSTDTRPSCPPPPPAELPRVSPSSLQCDPGYLIQNPSLQANNGAGRDNAVEYLTSILASKVYDVAYESPLQLAPKLSERLANNVWLKREDLQPVFSFKLRGAYNMMAKLPKEQLERGVICSSAGNHAQGVALSAQRLGCNAVIVMPVTTPEIKWQSVEGFGATVVLVGDSYDEAQAYAKKRAEEEGRTFIPPFDHPDVIAGQGTVGKEIMNQINGPVHAIFVPVGGGGLIAGIAAYVKMVNPNIKIIGVEPFDANAMALSLHQDQRIMLDQVGGFADGVAVKVVGEETYRICRETIDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKHYGHKNQNVVVITSGANMNFDRLRLVTELADIGRQQEAVLATYMPDDPGSFKQFCELVGPMNITEFKCRCTSSKEKAVALYSVGLHLKIELEAMMERMSSAGLYTINLTNNDLVKDHLRHLMGGRSNVQNELLCRFVFPERPGALTKFLDAFSPRWNISLFHYRSQGEEGANVLVGIQVADSEIGEFKDCAHNLGYEYAVENSNRAFQLLMH >itb04g27400.t1 pep chromosome:ASM357664v1:4:31437928:31440935:1 gene:itb04g27400 transcript:itb04g27400.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLLGFFVAIVVAIVVSKLRGKKYKLPPGPIPVPVFGNWLQVGDDLNHRNLTEYAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYREGWENEIASVVEDVKKNPEAATAGTVLRRRLQLMMYNNMYRIMFDRRFESEDDPLFNKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDYFVDERKKLSSTKSMDTNSLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNNPHIQKKLRDEIDTVLGPGVQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLNDAKLGGYDIPAESKILVNAWWLANNPAHWKKPEEFRPERFFEEEKHVEANGNDFRYLPFGVGRRSCPGIILALPILGIVLGRLVQNFELLPPPGQSKVDTSEKGGQFSLHILKHSTIVMKPRTF >itb15g11150.t1 pep chromosome:ASM357664v1:15:8950540:8950878:-1 gene:itb15g11150 transcript:itb15g11150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVDKVLEDQVTQGVNALVQTVAYNVKLVRGIDSEIKDLTSDIQTFSARLIEASKNSWATDHQVLRVVVKKFRNVVNEAQDTIADYVAQNLWIRFHFVERSIILGGRSSP >itb04g01240.t1 pep chromosome:ASM357664v1:4:674474:676613:1 gene:itb04g01240 transcript:itb04g01240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAKKIYENPSITSHFDKRTWTVASQHYSQRQMLLDLLGSKDDADKRSEEELALRLYQSFKHQIYLVVMDDVWSVEAWDALKTCFPDDGYGSRVLLTTRSGEVANHTCTQNDFSHQMQLLEQSESWKLFSEKACKSRGAEFETIGRPIVEKCKGLPLAIIVVAGLFSKLITLDESKNTANALSSSSATTLDDEECSRILALSYNHLPHNLKACFLYLGVFPEDHEINSNHLARLWHAEGLVKAFENESFDAVASRECHLSAVESAKKIQDEQHDYGNDDMVVIEQYTQVSEPEESWESE >itb14g03450.t2 pep chromosome:ASM357664v1:14:3056291:3060150:-1 gene:itb14g03450 transcript:itb14g03450.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIEVPKVKLNSGHEMPVLAMGTAFPSLPDQDELVSSLIHAIEIGYRHFDTAAAYGSEEALGRAVAAAIQRGLVSGRDQLFITSKLWITETDRDLVLPALKRSLGRLGLDYVDLYLVHWPLRMKDAKESLVVTTENIIPFDMKGTWEGMEECHKLGLAKSIGVSNFTSTKISKLLQNATIPPAVNQVEMSVAWQQGGLLKFCGEKGIHVSAWSPLGNLGAGFWGSHGILEIPLLQDIAQAKHKTIAQGHVMVEDCWAEADKAPQGPNPTLGLSRTMWH >itb14g03450.t3 pep chromosome:ASM357664v1:14:3056293:3060150:-1 gene:itb14g03450 transcript:itb14g03450.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIEVPKVKLNSGHEMPVLAMGTAFPSLPDQDELVSSLIHAIEIGYRHFDTAAAYGSEEALGRAVAAAIQRGLVSGRDQLFITSKLWITETDRDLVLPALKRSLGRLGLDYVDLYLVHWPLRMKDAKESLVVTTENIIPFDMKGTWEGMEECHKLGLAKSIGVSNFTSTKISKLLQNATIPPAVNQVEMSVAWQQGGLLKFCGEKGIHVSAWSPLGNLGAGFWGSHGILEIPLLQDIAQAKHKTIAQGHVMVEDCWAEADKAPQGPNPTLGLSRTM >itb14g03450.t1 pep chromosome:ASM357664v1:14:3055734:3060197:-1 gene:itb14g03450 transcript:itb14g03450.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIEVPKVKLNSGHEMPVLAMGTAFPSLPDQDELVSSLIHAIEIGYRHFDTAAAYGSEEALGRAVAAAIQRGLVSGRDQLFITSKLWITETDRDLVLPALKRSLGRLGLDYVDLYLVHWPLRMKDAKESLVVTTENIIPFDMKGTWEGMEECHKLGLAKSIGVSNFTSTKISKLLQNATIPPAVNQVEMSVAWQQGGLLKFCGEKGIHVSAWSPLGNLGAGFWGSHGILEIPLLQDIAQAKHKTIAQVALRWVYQKGASVIVKSFNKERMKENLHIFDDDCELNDEEMVKIQQLPQCRGFKGEVFVHSNGPYKSVEELWDGDI >itb10g19290.t1 pep chromosome:ASM357664v1:10:25155384:25158572:1 gene:itb10g19290 transcript:itb10g19290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTAAAASSAVKPAVAKSFGKKDLLGGLLLGRFEVGRLLGHGTFAKVYQARNVKTDESVAIKVIDKEKILKVGLIGHIKREISILRRVRHPNIVQLYEVMATKTKIFFVMEYVKGGELFNKVAKGRLKEDAARKYFQQLISAVAFCHARGVYHRDLKPENILIDEYGNVKVSDFGLSAISEQIKQDGLFHTFCGTPAYVAPELLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPELIRLLTRLLETNPATRMTIPEIMTNKWFKKGFKDVKFYIEDDKLCNVIDDGENNGNGNSNVSVTDSLSDLSESESEIESRKRLNSVPKPVSLNAFDIISFSRGFDLSGLFEEGGDGGRIILEAPVYMIVNKLEEIAKVESFKVRKKDFRVSLEGSKEGAKGPLTIAAEIFELTPSLRVVEVRKKGGDRGEYEDFYNRELKPGLQSLALEDAANSGQPSDSE >itb04g09600.t1 pep chromosome:ASM357664v1:4:8887804:8891100:-1 gene:itb04g09600 transcript:itb04g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLQQIAKAYYLAGSSELQAGLQDLFYIVDTNVDGRIDKDEFISLLTQHSVDKDRDRDNCRILFNELDIDGNGTLDFWEFMTLLYIYESKRPSCDGCGKFIPATYFTCVDCHADLHTQFNLCIGCYENRRSSGHKHRGKPAVFLDNYTLLDAKRSSSLNNTNNTSQASTSTDVGSLAPAATPPNNNTSTAVVRPSNKYERWSVVLQAVQVAFAMGNACTIM >itb13g24120.t1 pep chromosome:ASM357664v1:13:29856930:29871218:-1 gene:itb13g24120 transcript:itb13g24120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLLECYWTSLTHWQRRFNPFLSRPQFLPRSPSIRLVKPLSARPFPPPSRYFSASFAMENPQTKPPKGLPLVVDASSEEEYSSQSKLLKEFIDIPIVDKAWTFTSSSGSQGMFLVSQPNLLENKKRRYILSNTITKGADSSVNFKWAPFPVEMAGVSAMVPSPSGSKLLVIRNSENDSPTHFEIWGPSHVEKEIRIPRSVHGTVYSDGWFEGISWNSDETLIAYVAEEPTPGKPTFTNFGYKKGNGADNDCGSWKGKGEWEEDWGETYAGKRQPALFVIDINSEEVYPVEGIGKSLSVGQVVWAPKVEGSQQYLVFVGWPSDTRKLGIKYCYNRPCALYAVKAPTSKSEVHESDHKATEAETMVKLTETISSAYFPRFSPDGKFLVFLSSKSSVDSGAHSATNSLHRIDWSTERKPCQGAKIVDVVPVIMCPEDGCFPGIYCFGILSEPWLSDGNTLVLSSYWGSTQVVLSINILSGHVTRISPSNSDFSWNILTLDGDNIIAVCSSPVDIPKIKYGVVEKASPEAIWSWLDISSPISICSEKVRSLLSSQQFSIMKIPVSDVSESLPKGATKPYEAIFVSSKSKKHDKHDPMIVILHGGPHSVSLSSFSKSLAFLSSLGFSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVITAIDHVINMGLADPCKIAVLGGSHGGFLTTHLIGQAPEKFAAAAARNPVCNLALMVGTSDIPDWCYVETFGSQGILSYTEAPSSEHLAAFYSKSPIAHISKVKTPTLMLLGAKDLRVPVCTGLQYARALKEKGVEVKVISFPEDVHGIERPQSDFESFLNIGVWFKKFHSNDLGFKFLLRFLSSSSTQATQWQNNFTSPTFQFNLKEIPMGLDASAEEECSYQSRLLQDFMNIPSIDKAWTFTSNGGSQVMFSLTQPNLQANKKRKYVLSSQISRSHSDTIEFQWAAFPIEMGGTSIIAPSPSGSKLLVVHNSQIGSPTRFEIWGQCGVEKEFHIPASIHGYVFSDGWFEGISWNYDETLIAYVAEEPLPAKPTFTRSGFKKGNSMQDDCGSWKGQGDWDEGWGEAYAGKRQPELFVINIDSGEVRGVEKTDKSLSVGQVVWAPLTADSQQYLVFVGWPSARKFGIKYCTNRRCALYAIEAPFVKLEAQQSGTNANTSSMVKLTDTISSAMFPRFSPDGKFLVFLSSKSAVDSGAHCATDSLHRIAWPVDGKPCPPAEIIDVVPVVMHAHEDSFPGLYCSNPGLYHSNILSQPWLSDGHIILLTSVWGSSQAILSIDIISGEVLRISPSNSSFSWEILALDGDNIIAVCSSPVNIPEIKYGCLVRQNTNETSWNWLDTASPIFRSSAKVNILSSSHQFSILKIPVSDTSENSTEGANEPYEAIFVSSKLKKRSGCDPLVAILHGGPHAVSLTSFCNTSAFLCSLGFNLLMVNYRGSLGFGEEALQSLPGKVGSQDVKDVLHAIDYVIDMGFADSSKISAPEKFAAAAALNPVCNFALMVGTTDIPDWCYFEALGSEGKLSFTEAPKAQHLEQFYNKSPISHVSKVKAPTLVLLGSKDLRVPIPDGLQYARALKEKGVEVKVVMFPDDIHPIDKPQSEYESFLNIGVWFKKYCC >itb05g23560.t1 pep chromosome:ASM357664v1:5:28629498:28635821:-1 gene:itb05g23560 transcript:itb05g23560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPAVTFGVIASIESNITYESSGKHLLAAALEKVGVWHTRQGICTKSLAPSPSSSSKGPSLAVTSIAASPSTFIASGYADGSIRIWDCDKGACETSLNGHKGAVTALRYNKIGSLLASGSKDNDVILWDVVGEAGLFRLRGHRDQVTDVLFLDSGKKLVSSSKDKFLRVWDLDTQHCMQIISGHHTEIWSIDVDPEEKYLVTGSADPELRFYTIKHDVAADNPVADTSTTQSKWEFLQPFGEIQRQSKDRVATVRFNKPGNLLACQHAGKTVEIFRVLDESESKRKAKRRINRKEKKILKGGVAPDENGDANVGIQEEGKNPVITVLDVFKLLQTLRAGKKICSISFCPVASKGSLATLALSLNNNSLEFYAIESETATKTSSIELQGHRSDVRSVTLSSDNTLLMSTSHSEIKIWNPSTGSCLRTIESGYGLCGLFLPGNKYAVIGTKGGTLEIIDVRSGTCIDVVEAHGGSVQSIAATSDGTGFVTGSADHDIKFWELQTTQKPGQESKHITASPVRNLKMNDDVLVVVVSPDGKYIAAALLDSTVKVFFMDSLKFFLSLYGHKLPVLCMDISSDGDLLVSGSADKNLKIWGLDFGDCHKSMFAHADSVMGVKFVRNTHYMFSVGKDRVVKYWDADKFELLLTLESHHAEIWCLAISNRGDFFVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEMFESDIDNALENRYAPKDELPEEGAVALAGKRTQETLTATDSIIEALDMAEVEAKRIVEHEEEKSKGKIVDFQPNILMLGLSPSSYVLRAVSNVHTNDLEQTLLALPFSDALKLLSYLKDWASIPDKVELVCRMTTVLLQLHHNQLVSTVSARPLLTLLKEVIPARVKECKDTLGFNLAAMDHLKQMMALKSDALFQDAKTKLLEIRSRNTKRIEARTELKDEKRKKKKQKKSDGGHVWS >itb08g02850.t1 pep chromosome:ASM357664v1:8:2429412:2432571:1 gene:itb08g02850 transcript:itb08g02850.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSFVVWHKEPELLGPAKPTPQEIKELSDIDDQKGLRFHFCMIMFYRANPLMKAKDPVKAIRDALAEALVWYYPLAGRLIHGPRDKLMVDCCAQGISFIEADCNFSLEDLGDAIKPPCLYSKEFLYEVPGSYEILGCPLMVVQVTRLICGGFVVAIHVNHVLADGLGLSQFVKAVGELAQGASSPSTKPVWKRELLTAKHLPLQKTYDHTEYGIVGHNSTIDPHNLVSRSFFFGPKEMKAMRQKLPPQTKPTSKFDMITACIWICRTRALEFDGDETVGVICAINVRDKGPPELRDGYYGNAVVFPAAVVKAGRVSEEYVRSKVNFMDSKGRPPLLRSRSSIIVTDLSRIGFDEMDFGWGKPVYGGTMDGSASATAITHARYRNSDGEDAVVVPVFLPAAAMKKFEEEMKKFTALEPCEVIEIPSKSFLKPAL >itb12g27030.t1 pep chromosome:ASM357664v1:12:27643534:27644998:-1 gene:itb12g27030 transcript:itb12g27030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MENSTQGSNLRSENSVTYESPYPIFAMAVSSFAGGAHHGLRRRSVAVGSFLEEYKNRVEILSFEEDTVTLKTNPGLAFDHPYPPTKLMFHPNPTASMKSTDLLVSSGDYLRLWEVREASIEPISTLNNSKTSEYCAPLTSFDWNEVEPRRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGESGVFASVSADGSVRIFDLRDKEHSTIIYESPHPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPAMPVAELERHNASVNAIAWAPQSSRHISSAGDDGQALIWELPTVAGPNGIDPMSMYSAGAEINQLQWSAAQPDWIAIAFSNKLQMLKV >itb12g00560.t1 pep chromosome:ASM357664v1:12:423842:425658:-1 gene:itb12g00560 transcript:itb12g00560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFIFTSETKAIMMMNTAWILAAIAVITALSVFSGFLRNRSNKRLPPGPKGLPILGHLHMIGKNPHQDLHELAKTHGPIMHLRFGFVDNIIVSSAETAEQFLKTHDLVFATRPPHQAAKYMSYDQKNLSFGEYGPYWRNMRKLCTLELLSNHKINSFQSMRREELCLLVESLKQAARDCVAVDLSAKVASMSADMSCRMVFGKKYEDKDIGDKGFKAVIHEAMSLAAVPNLGDYFPYLGKLDLQGLTRRMKAVSKLFDEFFERIIDEHERATNKGTTHMTRDFVDTMLDIMKSGGESTFQFTRKHVKAIMLDMLAGSMDTSSTVVEWIMSELLRHPEVMKILKEELERQVGLDRMVEEEDLEHLEYLEMVIKESLRIHPVAPLLLPHAAIEDCVVNGFHIPKRARIIVNIWAIGHDPNVWSDPEKFMPDRFNGSNIEYRGRDFELIPFGSGRRSCPGLQLGITVVRLLVAQLVHCFDWDLPNGMSPKDLDMTEEFGLVVSRAKHLMAIPTYRLRL >itb01g34480.t1 pep chromosome:ASM357664v1:1:37159180:37163713:1 gene:itb01g34480 transcript:itb01g34480.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRCSNLYFINTIRDGLVVKVCNVNSHGKPALVFKALKDIYESEDTKGSKAFTSLNSPEKYADSVCGITSVKGESQSLPVADRTLREIDTENNEIVCCGSDDKGDDSDSNDYIFAKMTLKQLKERCKSKKRKLPSLCDASPEQEDVNSHQEEDEDLKVPLRVFKTKFSKNSKAKRKRTNSSTFPCSKTSISVKTEQIMDSDVTVQFKGDSASLTTVKAEVTEHEFSSCPSTSSLASFPSEVPVLSDVDLLQLAELEAQAHKPESANQSTNSFTDCSSINCHEEFSCSGLISTEFPETIEVQGNGPMLFAEEQQCCTLNDVSTNDNMECVEPHPHGGPLEIVLPSRDKNLDLHLNQHVISGQNSCNFESCISDATITGEVDSADIHHAGDIYMPEDETKEEFCSTEQNVSTTRPLENIFSSGGSEINSSTFDDLISVKASPSSLNGLSNYSSADTTNSCLDHGALQSESSKVDGKKSPCTIEDKSSTMKSQYSNVASLVSTSELQRTPERFPSMRKAISPSSQAGLCQAMTSADLFDEAENYKKLLVGTGVVSCGKDENSELSPPVRQPKVIIKRKDIAKRLKIIQKGSPNKGNSDTPQFSRSLPHLSTGCTSIDSCSESAIAFSQRQMHDFESLAMKLMDELKSMKEMVEEKLLFEAYRNAYLKNEADEVRNALNNTKKVEETAKKWLSLMTRDCTRFCKIMEMRKLNQNSGPSSSGTAQKERKITFADEAGGMLCSVNYFDDAVTSPMPPCGENQEC >itb01g34480.t2 pep chromosome:ASM357664v1:1:37159180:37163697:1 gene:itb01g34480 transcript:itb01g34480.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRCSNLYFINTIRDGLVVKVCNVNSHGKPALVFKALKDIYESEDTKGSKAFTSLNSPEKYADSVCGITSVKGESQSLPVADRTLREIDTENNEIVCCGSDDKGDDSDSNDYIFAKMTLKQLKERCKSKKRKLPSLCDASPEQEDVNSHQEEDEDLKVPLRVFKTKFSKNSKAKRKRTNSSTFPCSKTSISVKTEQIMDSDVTVQFKGDSASLTTVKAEVTEHEFSSCPSTSSLASFPSEVPVLSDVDLLQLAELEAQAHKPESANQSTNSFTDCSSINCHEEFSCSGLISTEFPETIEVQGNGPMLFAEEQQCCTLNDVSTNDNMECVEPHPHGGPLEIVLPSRDKNLDLHLNQHVISGQNSCNFESCISDATITGEVDSADIHHAGDIYMPEDETKEEFCSTEQNVSTTRPLENIFSSGGSEINSSTFDDLISVKASPSSLNGLSNYSSADTTNSCLDHGALQSESSKVDGKKSPCTIEDKSSTMKSQYSNVASLVSTSELQRTPERFPSMRKAISPSSQAGLCQAMTSADLFDEAENYSVVSCGKDENSELSPPVRQPKVIIKRKDIAKRLKIIQKGSPNKGNSDTPQFSRSLPHLSTGCTSIDSCSESAIAFSQRQMHDFESLAMKLMDELKSMKEMVEEKLLFEAYRNAYLKNEADEVRNALNNTKKVEETAKKWLSLMTRDCTRFCKIMEMRKLNQNSGPSSSGTAQKERKITFADEAGGMLCSVNYFDDAVTSPMPPCGENQEC >itb04g11010.t1 pep chromosome:ASM357664v1:4:10552435:10570406:1 gene:itb04g11010 transcript:itb04g11010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQPSRGGGRTPFPTGANFNRNPNPYYPQSPNVFPNPFQNPYLQYQNPSFPFPNPNFQFQPQPSRPTSGVERIDKAVLKAHREILATGEVVSAWKVSQAALVILQADTWDSLGFQMQQVPSLHRLMLTEGKINAFIHCFVGARRITTLHDLEIAICNTEGVERFEDLELGPLVKHPLIIHYFSVSADVTEVCRITSEEIVALLSEFMDMNKQRKIEVEELLDFIAKKKSVIAKEKLGVRIQSLGMHITLIRQAWQLEITTVTKYIERLTRESSKETTNRSLLSSQKKLMDEHFNAISERVKSFSSANGIHCGKHIKFVSSCSEDSASDDDKSEDERNDAQNENNKSSDRVSTCPYPSVAEEITRLGCSNNGKQSKRKRKYSNIQSPVTSPQKVLKTKRIQTPFSRKERKKNSAGIKDKWLVKQEPTDSIDCSYSSDSMKMFITTWKETCQANNVDEVFEKMIQFYRTTKRTTARKLFSSYPFVGLLHIAVTSIKNGMWDSIYDTFQSFSQLDVANTVSENCSDFISIDVESPRRKVSSLSPKLLAREHGVSVEDIVGKISSYLEVDNDRFNSISLCTDKFIILRKLCKLESWLSEQFSTKGFESLGYGDIWSFMEKHMHLSVHALQKSLRGDTSENIPLKASMLELQWDVLLSQALHRLLDNEKLNMKKVSELLARQFPLVCFQLVQSDSLVEFHDITKEKADMSSKCVIFSETLLKTDALSKSGRNVSETSGLEINIGSEAGFHSMLTSKGAMEVLLNAPMLTDLSLWSHWDIVFAPSLGSLVGWLLKDVNNKQLLCLVTRGGKVIRVDHAATTESFLDVLLQRSPFETAVKLLSLLALYGGEQKVPLALLKCYTRKAFEVFSKNFLEMDSIDNQSMPIGLNTGSLRSETKVGRAKSVASRFILECLDYLPVECCSFAVDILLSGLQQFTKDAPSAVLDECKKIEERVMLHEIGFSLGIVEWINDYRTFSASSSVTSLSMFSESSCLQDNKPELNTSSNLLQIVSKESPVSEVKKDFSFETALHDGDHREMSHINNTSAVSVDCLGGGPPHHLYDLDLAPAQFIESIRQEEFGLNPDISSVESELLNKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNIYPGNAEPTLTFILQDSGIVVLNNEQGFSAKNIKALCDVGNSTKKGHNSGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITKGQIGFVLPTLVPPCDIDLYTRLVYTDTEPITSNCWKTCIVLPFRSSMLEDSAINNIASMFSDLHPSLLLFLHRLQCIKFRDMLSNSFIVMKKEVVGDGIVRVSCGKEKMDWLVVSHKLRADVIRSDVQTTEISVAFTLQETVDGNYNPYLNQQPVFAFLPLRKYGLKFIIQGNFVLPSSREEVDGDSPWNQWLLSEFPDLFINAEKSFCNLPCFRNNLAKGVAAYMSFVPLIGEVHGFFSSLPQMILSKLRMSNCLILEGEENEWVPPCKVLRNWTEQARALLPDSLLREHLGLGYLHKDIVLSDSLARDLGIEEYGPKVLIQILSSLCCMEDGLKSMGLPWLSAWLNSIYMMSSSISGQSSPESGIGSDLIKTLRKIRFIPLSDGKFSSIDEGPIWLHADALSTGVSDKYGLDNFPRLYAGLRIVNSALFSADTANEALCFQGYPVENISRMLYRVGVQQLSAHEIIKMHILPSFSVGQHTLAHNELMTDYLSFLMFHLQSNCPICHVEKDLIIGELRNKALILTNHGYKRCAEVPIHFSKEYENPIDMKQLVVGISVEWLEVHNIYLKHPITQLLPGGISKWRNFFMELGITDFVQIVQVEKSIGDLSPMVLQNITWDKDLISGGSNVKDWESTELVHLLSQLSANHDKEKSKHLLEILDSLWDDCFSDKVKGFFFSSNGEKKVFESSFASSLCNTRWIVSRMDDELHHPKDLFYDCEAVHSILGAFAPYAVPKVRSKKLVSAIGLKTQVTVDDALSILKVWTRSESSFRPRLSQMSKFYTFIWNEIATSELRVVNDLCDGPFIFVPHISGSLPEDAISGVFLSRKEVYWHDSTGFTDQMKMVRPERVTGLTQCPVAKMLCGVYPSLHDFFVNMCGVDEFPPFHGYLQILMQLSAVALPSEAAKTVRSKKLVSAIGLKTQVTVDDALSILKVWTRSESSFRPRLSQMSKFYTFIWNEIATSELRVVNDLCDGPFIFVPHISGSLPEDAISGVFLSRKEVYWHDSTGFTDQMKMVRPERVTGLTQCPVAKMLCGVYPSLHDFFVNMCGVDEFPPFHGYLQILMQLSAVALPSEAAKTVFQVFLKWSEELKSGLLSSDDIKCLKDNLLQKDFMVLPTVQDKWVSLNPSFGIICWCDDDKLKKEFKHYENIDFLYFGELNSEEKELLHSKVSTFMQKLGIPAISEVVIRDAIYYGVSDPSFVASLVNWALPYAQRYIYTNHPKRFSQLKQSGFENLRCLKIVVVEKLFYRNVIKGYEMRSQKRFECNSLLKDTVLYVSRELDSHSVFMELSRFIGGGTPDLNLANFLHMITTMAESGSTEEQTEFFIINSQKMPKLPEGEPVWSLSDSAFSMENEEAVKTSLEFGATNEPNPVKFKKKPGINSNWPPADWKTAPGFHSSRAFKLKTQAGNGDQVLREDEVAEIMRHPEKHPLAPVEINYKGIIEENSTSSLPGAGAQVAGISEDRSSDIAIANFTPGMNMGFDSFHVVTTSEDTVSASKLSNLRDGGQLSLSPADAQQALLTGRLGEFVAFNYFSEKVGKAFVKWVNETFETGLPYDLVLGDEEYIEVKATKNVRKDWFIITAREWQFAVEKGDLYSIAHVILSATNTATVTVYKNPAKLVQLGKLHLAITIN >itb08g14020.t1 pep chromosome:ASM357664v1:8:15620486:15627894:1 gene:itb08g14020 transcript:itb08g14020.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEKILELALSASEELWHMAQEQEPLWLFDTNKGSEVLNEAEYKRRFISLDETLDEIIRLVSTGESIDDHHFLNFNENAANGEFAFNNEASKSIGVVLASSVSILNVLMNVEQWSSVFFSIVSKATTLGVLYPGENENHNGALQVENANANLSFQSLLLSVTISADMYKEKILELALSASEELWHMAQEQEPLWLFDTNKGSEVLNEAEYKRRFISLDETLDEIIRLVSTGESIDDHHFLNFNENAANGEFAFNNEASKSIGVVLASSVSILNVLMNVEQWSSVFFSIVSKATTLGVLYPGENENHNGALQVMTANFHLPSPLFTPREVKFARICRRINLNTWLVADVSLESVFPNEAVPCVQRRPSGCLIQELQPGLSMVTWVEHNLVPNASVQHMFKMLLTSDYAFSAKRWIATLERYYDKITSLEGRQEDNSPQSNGLSVGNKNMLKLAQRMVKCYARNISPCSDNSWMPLPISGAEEIFVKMSTNLDDPRLPQGVAITISTSVWLPIPQAHLFEFLRNRTNRGKWDLLSAGSNILEDMKISSTRDPSNSISRLLIESPATDKAAKLYLQESFTDSVAMYVIYAPLDVVATQYLSDGKDEDSVPILPCGFAILPDKANWPSGESVNGSILTISFQLIDEQLSSTTSYLPPSSVRTIYKIICETISLIKDALLCN >itb05g15460.t1 pep chromosome:ASM357664v1:5:22818044:22821055:1 gene:itb05g15460 transcript:itb05g15460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLICPAILFLFIILLIQAPLTLCEFNSSSVYEACAESFSCGHIDGIGYPFWGGSQPEYCGHPSFGLSCNDESHPEISILSVKYKVLDISSQAATIVRDDLLSNICPSNPQRTSLDLNLFSYTPSGNQNITLFYGCTITNPVSIPIPYLINCTEDISDSNKNVLWSPGTGLPTIPGISSSIFRCGSDIFVTITQEAFDALVNVSLTVTEDLLRTYVSGGFSVNWKANNSLCDNCSESGGRCGSNGDPISTQFICYIANSSRNKTPLLAIGLGIAGAVLAGVGIGWLIFRHKRKRVAANGQVLPVQNIEALVRTNGFHSTKLYTYSDIKKMTNSFGDKIGQGGFGSVYRGKLPNGCPVAVKLLSNTKGNGEDFINEVASISRTSHVNIVTLVGFCFKKKRALIYEFMPNGSLDKYIGNKGLQNMSCLEWKTLYQIAIGIARGLEYLHRGCNTRIMHFDIKPNNILLDKDFTPKISDFGLAKLCKKKESVVSLSVYGARGTIGYIAPEVFFRSIGSVSHKSDVYSYGMTVIDMVGVREKANKTDQTSDSYFPNWIYEHLEQGLDLSVEGIRDEEDKEMAMKMILVSLWCIQTNPADRPSITKVVEMLEGSTATLQIPPKPFFSPQIDDSPQQSPTSSVTTEI >itb01g29840.t1 pep chromosome:ASM357664v1:1:33965749:33970663:-1 gene:itb01g29840 transcript:itb01g29840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRKVKLLSKKEPKGEDNQTDTMPDSTAKKTAREHKEQLERLQEKDPEFFEFLKEHDKELLEFNDEEIDDDEEIELDDEAMEDEKETDELEMEQASSIDEQGKKSVKNIVTSEMVDSWCNLIREKRNIGAVRSLVRAFRSACHYGDDSDADPTSKWSTMSSGVFNKIMLFVLNEMDGILRGLLNLPASGGTKQMVADTMTTRKWKNFQNLVKSYLGNALHVLNQMTDTEMISFTLRRLRYSSILLAAFPVLLRKYIKVLLHFWGTGGGALPVFSFLFLRDLCVQLSSDCIDDCIKGMYKAYVLNCQFVNPTKLQHIQFLGNCFIELLRLDLPTAYQHAFVFIRQLAMVLRKALDSKTKESFRKVYEWKYINCLELWTGAICTYCLEADFRPLAYPLTQIISGAARLVPSARYFPLRLRCVKMLNRIAVSTGSFIPVSLLLLDMLEMKELHKPATGGVGKAVDLSCVIKVTKPTLKTRAFQEACVFSVVEELAEHLAQWSYSVAFSELSFVPAVRLRSFCKSTKVERFRREIRQFIRQVEANSEFTNKKRMTVTFLPNDSAASSFLEDEKKAGISPLSKYVGTLRQRAQQRSESLIESSVIVGERSSLFGSKVMDDVDDDDDREDTGDAVFNSSWLPGGNSKTKHSKEKKTKKRKRSKDGSASDEDIVEDLVLSSDEEGSMSDTPTEDNISKKQKSTVEPSKKKGKFKPKKSPTEDISKKQKLTVEPSKKKVSNIKHEPPPQPATKSIPPFSSPNIANGKFINHH >itb15g23250.t1 pep chromosome:ASM357664v1:15:25987474:25995468:-1 gene:itb15g23250 transcript:itb15g23250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKLGGLVNLKKKRSLDLQTLYQSDGDNKGRSDSKGKREEEKEGNETRKKRKRKGVKEVALTRLELVEKNSSRADDEGVNGVNAGSVELQQLCSGFVNRWNKISYGFLGDNGDPIPIPKRPRKVLGCKNSKNQSVSQSPRMQSSSEKLEDAHLPKKPGLSGNEASAGSGDQMVKSSKASVGNVVDKLKQKVGVDESKGRRAAHLPKKPGLSVNESSADDGMAKLGKHFVANAASEKQKQKVGVDESKGSKKNHLPKKPGLSGSETSAGDKISKLGKASSGNANGKIKSKVGVEGSKGSKNGRDTSAQHTMMEDAHTTVKNADASSKKCRSTHRKRKDPPSSIGDSVKNAEPPGDNASRYCDDFQDDDDEENLEQNAARMLSSRFDPRCTGFASKSKASVLSSANQVSSFISSSKDLTSRDANSLASPMRKDNKEKGAPRKRRHFYEVLLKDLDVYWVLNKRIQVFCPLDETWCCGLLKDYDPEKRLHQVKYDGRDEVWINLENERFKLLLFPSEVPGKDKPRRSAKGSRSVQEDKIDLDIDEDSHSGSYLESEPIISWLAHRVKSSSRPLKKQKTSELSSSILSSPSHDQSEDTKDSIGSLDRFGKKPECGPPLLNLVTDEEKARKSFIGSHWDSENKKPVVYVRRRFRKKDIGHSLSENDTECGRMVLAASTVDELTPQSEVASLQGSDNEFLLWSLDDEGLLSLSLPFVESKQFTFDIHLPTLSFLQHDAEYIWLSHTLLLLQYGAIVKKWPEVILEMLFVDNTVGLRFLLFECCLKEAMVLAFIVMALFSPPGEHWELEDTQLPVTSVRFKFSRVQDLKKQKVFVFYSFSKLESSKWLYLDSKLRYHSLLAKRLSLSECTYENIKSLECPSHQFSAHNHQQSPSSENLQRKSVLCSLATGISKDSRHVRMSLPASSSDSKLGQVLPFALSFAAAPALFVSLHLHLLMERNFACLSLQNHDPPCSVVSSDITGQHATPDASLGMKCYENVLDTTSEDNVEPLLPVATSTDIDGLEKNASELVVSQIKSGASDQQSYPVVVSPQSGLDHISIEIPSSDHIDRPSDGKEPFSRCASDLTSNVSDGIPQSPNHIGPRSSVHRNRNNSLSSPVGELSPVWSDGKTGFIRSGFVSGPKKPRTQVHYTLPYGGYDSGSRHKIQGQKTLPCKRIRRASEKKISDSGRSSQRNIELLACDANILVTIGDKGWRENGARVVLEVADHNEWRLAIKLSGSTKYSYKVHNILQPGSTNRFTHAMMWKGGKDWVLEFPDRSQWTLFKEMYEECHNRNIRAALIKNIPIPGVRLIEEMEDNATYVPFARNFSNYFHQIESDIDMAMNTSRILYDMDSDDECWLSTNQTSSDSSGNEISDELFEKTMDMLEKVAYAEQRENFTSEELEEFMAGVAVMEAVKSIYEHWKLKRQKMGMPLVRHLQRPLWERYQQQVKDWEQAVSRAAAVNHGKAPPIEKPPMFAFCLKPRGLDIPSRGSKQRSQKKISVSGNNHTVIRDQDGFHAYGRRINGYALGDEMVVYAGNNHESLDASPPFYASRRVFSPREAGVGYFSLNNDGFEWNHHPKFQRNKSKRIGTFMPSSNGHLVGSYDRRTIKRNGVHQWNMGLSDWPSSKLDHLEGTYRHNMGQFDIPNVQEFRLRDASSAAKHAFTVAKQKREKAQRLLYMADVAIHKAVVALMNVDAMKASTESANGNG >itb15g23250.t2 pep chromosome:ASM357664v1:15:25987474:25995204:-1 gene:itb15g23250 transcript:itb15g23250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKLGGLVNLKKKRSLDLQTLYQSDGDNKGRSDSKGKREEEKEGNETRKKRKRKGVKEVALTRLELVEKNSSRADDEGVNGVNAGSVELQQLCSGFVNRWNKISYGFLGDNGDPIPIPKRPRKVLGCKNSKNQSVSQSPRMQSSSEKLEDAHLPKKPGLSGNEASAGSGDQMVKSSKASVGNVVDKLKQKVGVDESKGRRAAHLPKKPGLSVNESSADDGMAKLGKHFVANAASEKQKQKVGVDESKGSKKNHLPKKPGLSGSETSAGDKISKLGKASSGNANGKIKSKVGVEGSKGSKNGRDTSAQHTMMEDAHTTVKNADASSKKCRSTHRKRKDPPSSIGDSVKNAEPPGDNASRYCDDFQDDDDEENLEQNAARMLSSRFDPRCTGFASKSKASVLSSANQVSSFISSSKDLTSRDANSLASPMRKDNKEKGAPRKRRHFYEVLLKDLDVYWVLNKRIQVFCPLDETWCCGLLKDYDPEKRLHQVKYDGRDEVWINLENERFKLLLFPSEVPGKDKPRRSAKGSRSVQEDKIDLDIDEDSHSGSYLESEPIISWLAHRVKSSSRPLKKQKTSELSSSILSSPSHDQSEDTKDSIGSLDRFGKKPECGPPLLNLVTDEEKARKSFIGSHWDSENKKPVVYVRRRFRKKDIGHSLSENDTECGRMVLAASTVDELTPQSEVASLQGSDNEFLLWSLDDEGLLSLSLPFVESKQFTFDIHLPTLSFLQHDAEYIWLSHTLLLLQYGAIVKKWPEVILEMLFVDNTVGLRFLLFECCLKEAMVLAFIVMALFSPPGEHWELEDTQLPVTSVRFKFSRVQDLKKQKVFVFYSFSKLESSKWLYLDSKLRYHSLLAKRLSLSECTYENIKSLECPSHQFSAHNHQQSPSSENLQRKSVLCSLATGISKDSRHVRMSLPASSSDSKLGQVLPFALSFAAAPALFVSLHLHLLMERNFACLSLQNHDPPCSVVSSDITGQHATPDASLGMKCYENVLDTTSEDNVEPLLPVATSTDIDGLEKNASELVVSQIKSGASDQQSYPVVVSPQSGLDHISIEIPSSDHIDRPSDGKEPFSRCASDLTSNVSDGIPQSPNHIGPRSSVHRNRNNSLSSPVGELSPVWSDGKTGFIRSGFVSGPKKPRTQVHYTLPYGGYDSGSRHKIQGQKTLPCKRIRRASEKKISDSGRSSQRNIELLACDANILVTIGDKGWRENGARVVLEVADHNEWRLAIKLSGSTKYSYKVHNILQPGSTNRFTHAMMWKGGKDWVLEFPDRSQWTLFKEMYEECHNRNIRAALIKNIPIPGVRLIEEMEDNATYVPFARNFSNYFHQIESDIDMAMNTSRILYDMDSDDECWLSTNQTSSDSSGNEISDELFEKTMDMLEKVAYAEQRENFTSEELEEFMAGVAVMEAVKSIYEHWKLKRQKMGMPLVRHLQRPLWERYQQQVKDWEQAVSRAAAVNHGKAPPIEKPPMFAFCLKPRGLDIPSRGSKQRSQKKISVSGNNHTVIRDQDGFHAYGRRINGYALGDEMVVYAGNNHESLDASPPFYASRRVFSPREAGVGYFSLNNDGFEWNHHPKFQRNKSKRIGTFMPSSNGHLVGSYDRRTIKRNGVHQWNMGLSDWPSSKLDHLEGTYRHNMGQFDIPNVQEFRLRDASSAAKHAFTVAKQKREKAQRLLYMADVAIHKAVVALMNVDAMKASTESANGNG >itb05g19700.t1 pep chromosome:ASM357664v1:5:26077283:26079870:1 gene:itb05g19700 transcript:itb05g19700.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTKTRIIAVWKTANAAPNNTHLMRFFLRSSWDGMSAADSVSGFSALDGGGKECTAYAAPPAIVGSKQSWFSGMSANQNSRMKHEYYFSVSKSAFV >itb04g03300.t1 pep chromosome:ASM357664v1:4:2034720:2035352:1 gene:itb04g03300 transcript:itb04g03300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLFSLLLLLSISLFPFIIHGAKENDHKHKHKHKHKHYTLIQKTCKLMSAKKEYDIDYDFCISALQARPASECATLMRIGWTTIELVISNVTDTRRHIGAVLKSGKVQPWVRRCVRECYALYDSAVIQAKRLLRDYMHKDYLGAEYQVAGIDNPAGICSLLFEEGSQDMPIPGCGGGVSPFSARNNNAIQLSGMVMFVLRLLQGTLH >itb09g09600.t1 pep chromosome:ASM357664v1:9:5887440:5888802:-1 gene:itb09g09600 transcript:itb09g09600.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRISTLKKSYQATATVPPLYCDLSAETVIYSENDELFHLKLFRCSFDTWLQPYIPAADINFGSNCQRIGLDSIWSFFSAFSVSVCFYWPFSASLSDNFLASCYLIARAYGLFNLSVAVLLILLCLASSPVAVSYSVILEGMHMDMITSAEPPMKRRFGLWIVQAGRGSYRGS >itb05g04180.t1 pep chromosome:ASM357664v1:5:3629661:3630023:1 gene:itb05g04180 transcript:itb05g04180.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSPTIVQLAGIASNRMALFLSLVTAGLNAVNSVVSIYFIDRTGWKKLLVFSLCGMILSRGLDRTGRKPHHEATSHSPMVGQAETAHFSGFTCPAYHDAGSSPVWDCTGCFKAYSSCGF >itb15g05120.t1 pep chromosome:ASM357664v1:15:3284842:3289466:-1 gene:itb15g05120 transcript:itb15g05120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKPKVTRLYQVWKGSNKFLFGGRLIFGPDIASLFLSMLLIAGPATAFCIKVYSNIKHHKDDSSWYPILLIGAVLGILDLTFLFLTASRDPGIVPRSSNPPEFDEILNMTTTPSTEWVHGRTPHLKLPRTKDVIVNEHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGLRNYRFFYLFISTSTILCLYVFVISWINILQHDDGPWKAIQQDILSDVLIVYCFIAVWFVGGLTAFHFYLICTNQTTYENFRYRYDKKDNPYNKGMLKNIKEILFSKIPPSLNDFRVTVHEEELSVVEPRSPNVEGNDGSSKEKIDIELGTRFAEESGLSLPEILRNLELDEIEDDLENTEGNGRSNSGYSDRGSTVNSKHKIDITEQKISAERNALSLPNRLQNVDSFGTENNSINKDEKYRSDCESPFYSLEDDPKDSGCSSTSRIPEQLSTSNQIEIPSSHASLQVQHMS >itb15g05120.t2 pep chromosome:ASM357664v1:15:3285571:3289466:-1 gene:itb15g05120 transcript:itb15g05120.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKPKVTRLYQVWKGSNKFLFGGRLIFGPDIASLFLSMLLIAGPATAFCIKVYSNIKHHKDDSSWYPILLIGAVLGILDLTFLFLTASRDPGIVPRSSNPPEFDEILNMTTTPSTEWVHGRTPHLKLPRTKDVIVNEHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGLRNYRFFYLFISTSTILCLYVFVISWINILQHDDGPWKAIQQDILSDVLIVYCFIAVWFVGGLTAFHFYLICTNQTTYENFRYRYDKKDNPYNKGMLKNIKEILFSKIPPSLNDFRVTVHEEELSVVEPRSPNVEGNDGSSKEKIDIELGTRFAEESGLSLPEILRNLELDEIEDDLENTEGNGRSNSGYSDRGSTVNSKHKIDITEQKISAERNALSLPNRLQNVDSFGTENNSINKDEKYRSDCESPFYSLEDDPKDSGCSSTSRIPEQLSTSNQIEIPSSHASLQVFY >itb11g01080.t2 pep chromosome:ASM357664v1:11:489957:493144:-1 gene:itb11g01080 transcript:itb11g01080.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNSMMQLQGLRLNPRNLSKPQTPLSSHSLLLGSSSLKNSSVSVKFLKSNKDSIFTATRSPLQVRASVATAKKPSKAPEEIVLQPIQEISGTVKLPGSKSLSNRILLLAALSQGTTVVDNLLSSDDIHYMLGALRTLGLRVEEDNAIQRATVEGSGGLFPASKESSDEIQLFLGNAGTAMRPLTAAVIAAGGNARYVLDGVPRMRERPIGDLVEGLKQLGADVDCFLGTNCPPVRVIGKGGLPGGKVKLSGSVSSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTIKLMERFGVSVEHSDSWDRFLIRGGQKYKSPGKAFVEGDASSASYFLAGAAVTGGTITVEGCGTSSLQGDVKFAEVLEKMGAEVSWTENSVTVKGPPRSPSGRKHLRAVDVNMNKMPDVAMTLAVVALFADGPTAIRDGMFTLFLLHYIQLSA >itb11g01080.t1 pep chromosome:ASM357664v1:11:489957:493144:-1 gene:itb11g01080 transcript:itb11g01080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNSMMQLQGLRLNPRNLSKPQTPLSSHSLLLGSSSLKNSSVSVKFLKSNKDSIFTATRSPLQVRASVATAKKPSKAPEEIVLQPIQEISGTVKLPGSKSLSNRILLLAALSQGTTVVDNLLSSDDIHYMLGALRTLGLRVEEDNAIQRATVEGSGGLFPASKESSDEIQLFLGNAGTAMRPLTAAVIAAGGNARYVLDGVPRMRERPIGDLVEGLKQLGADVDCFLGTNCPPVRVIGKGGLPGGKVKLSGSVSSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTIKLMERFGVSVEHSDSWDRFLIRGGQKYKSPGKAFVEGDASSASYFLAGAAVTGGTITVEGCGTSSLQGDVKFAEVLEKMGAEVSWTENSVTVKGPPRSPSGRKHLRAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIITPPEKLNVTEIDTYDDHRMAMAFSLAACAKVPVTIRDPGCTRKTFPDYFEVLQKFSKH >itb03g23240.t1 pep chromosome:ASM357664v1:3:21396611:21399021:1 gene:itb03g23240 transcript:itb03g23240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMETNKNKWIEEWGAARENLEHNFRWSRRNFALVGLFGVAIPILVYKGIVKEFHMQDEDWGRPYRKFL >itb12g04010.t1 pep chromosome:ASM357664v1:12:2650149:2651239:1 gene:itb12g04010 transcript:itb12g04010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLSGPAGRHSSKGTKVILPTGEIRHFYEPTNAAELMLESPNHFVVSARGLQMGRRFSALNADEDLEMGGVYAMFPMKRLNSAVTAADMGVLFLAAKRVSRGSVRILPDHRLIRDGGDQAGDLPRLNLDELEELSAPEFKHRMSMCRSKKPMLETIVEEPVYSR >itb04g26370.t2 pep chromosome:ASM357664v1:4:30675648:30678378:1 gene:itb04g26370 transcript:itb04g26370.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL25 [Source:Projected from Arabidopsis thaliana (AT3G24790) UniProtKB/Swiss-Prot;Acc:Q9LRY1] MSCFSCFVSQKWKASKRINSKRNGVSPADDCAHRNRSPKPQPPPQRGETTNQKGNNDGGNSNIAAQTFTFRELAAATNNFRQECLIGEGGFGHVYKGRLEKTGQIVAVKQLDRNGLQGNKEFLVEVFMLSLLHHQHLVNLIGYCADGDQRLLVYEYMPLGCLEDHLFDLPPKQAPLDWFKRMKIALHAARGLEYLHDMANPPVIYRDLKSSNILLDKEFNGKLSDFGLAKVGPVGDNSHVSSRIMGTYGYCAPEYQRTGHLSIKSDVYSFGVVLLEIITGKRAVDPLGRSPDQMLVNWAHPIFKDQSRVFELLDPKLKGKAPEKSFKQAVAVAAICLEEDPEVRPLMTDVVSALSFLSEGLQEKGSDSPAAAASPPEQESRDNGVSAEERKRAVAEAMEWGANTRNQKA >itb04g26370.t1 pep chromosome:ASM357664v1:4:30675141:30678647:1 gene:itb04g26370 transcript:itb04g26370.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL25 [Source:Projected from Arabidopsis thaliana (AT3G24790) UniProtKB/Swiss-Prot;Acc:Q9LRY1] MSCFSCFVSQKWKASKRINSKRNGVSPADDCAHRNRSPKPQPPPQRGETTNQKGNNDGGNSNIAAQTFTFRELAAATNNFRQECLIGEGGFGHVYKGRLEKTGQIVAVKQLDRNGLQGNKEFLVEVFMLSLLHHQHLVNLIGYCADGDQRLLVYEYMPLGCLEDHLFDLPPKQAPLDWFKRMKIALHAARGLEYLHDMANPPVIYRDLKSSNILLDKEFNGKLSDFGLAKVGPVGDNSHVSSRIMGTYGYCAPEYQRTGHLSIKSDVYSFGVVLLEIITGKRAVDPLGRSPDQMLVNWAHPIFKDQSRVFELLDPKLKGKAPEKSFKQAVAVAAICLEEDPEVRPLMTDVVSALSFLSEGLQEKGSDSPAAAASPPEQESRDNGVSAEERKRAVAEAMEWGANTRNQKA >itb15g20920.t2 pep chromosome:ASM357664v1:15:23571790:23574262:-1 gene:itb15g20920 transcript:itb15g20920.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSMGSANNSMDSSSLTLSEKLCVAFLPVIAIIEALVFAVSGCFGAKHFSTQNKNPQIQYPLKDISRLANESRFSLNEVEALYELYKKLSCSIIDDGLIHKVFDLFDEKRNGIVDFEEFIHALNVFHPNAPIEEKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESEMKLSDDLLEEIIDKTFADVDADGDGKINKDDWKGFVIHHPSILKNMTLPYLKNITTVFPSFVFNTQAEE >itb15g20920.t1 pep chromosome:ASM357664v1:15:23571727:23574262:-1 gene:itb15g20920 transcript:itb15g20920.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSMGSANNSMDSSSLTLSEKLCVAFLPVIAIIEALVFAVSGCFGAKHFSTQNKNPQIQYPLKDISRLANESRFSLNEVEALYELYKKLSCSIIDDGLIHKEELQLALFHTPYGENLFLDRVFDLFDEKRNGIVDFEEFIHALNVFHPNAPIEEKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESEMKLSDDLLEEIIDKTFADVDADGDGKINKDDWKGFVIHHPSILKNMTLPYLKNITTVFPSFVFNTQAEE >itb15g22790.t1 pep chromosome:ASM357664v1:15:25534926:25536026:1 gene:itb15g22790 transcript:itb15g22790.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNANNSSYVGSSTNDFKAKNDMDCGSSQCSFVAVTFLLDLVEQPFLQPNPCLFPHDKEIIVKLLHKLLSLQAFLEESGKKINRPRVMEASKVQGDIKSVLCQYYVQADKRGGNHVFWPFPDLSMTLELAVRDIEVIESRILAQNANDFSYVGSSTNDFKEENVMVGGSLQFQYASDTENEIIVGFDKDIEEIVHMLIHSKSLERDIISIVGEGGIGKTTLAKVVFQDQRITACFEIRAWVVVSKEYNLKEMLISLLRCIMPITREISNKDEAQLAEQLFRSLMGKRYLIVFDDMWTTEVWFAIQRCFPRNSNERNPIMITTRGVDVAENLSYFCDVKRMKFQNLENSWKLFSMKVFGEDVFAPLY >itb10g12800.t1 pep chromosome:ASM357664v1:10:18918173:18919570:1 gene:itb10g12800 transcript:itb10g12800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEVRICSSFDATQLFFNHSCKEFRELRNSYNSKLTPLRCIQSGTRLSAANLDLTQGSTDVLITPIEEIYSKK >itb11g09240.t1 pep chromosome:ASM357664v1:11:6139996:6140779:1 gene:itb11g09240 transcript:itb11g09240.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSNSTREGKMKMESYYAPTTMKDLRSFSTSRAHYDASPSPSPPPYKELKLKNGKAGRGPSGFASKSWSLNESELQRKKRVAGYKAYSVEGKMKGSFRKSVKWIKNSCSHVVYGWW >itb03g23980.t1 pep chromosome:ASM357664v1:3:22453945:22460336:-1 gene:itb03g23980 transcript:itb03g23980.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSKSCRETASATASHVRYFILNHMQAGRLGNIGWNSRIKSKNCFTNSRHSFSLFKPVSVRGEFVDRGYDNSRNLSSCAKIDISSSYGDPPEVWQPPGDGIVVRPGVKFVQVGEVDGPSSGSGGGFGSGAKDGCWGGSNLGPNFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIYNDSAQKWNPEKNDSDKVEGTEHEAVELEKSNILVMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQAGYVGEDVESILYKLLMVADYNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRTNMRTGGVTSAVVTSTLLETVESSDLISYGLIPEFVGRFPILVSLTALTEDQLVQVLTEPKNALGKQYKKMFQMNNVKLHFTEDALRSIARKAITKNTGARGLRSILESILMDAMYEIPDVRSGDNVIDAVIVDDEAVGHEGRGCGAKILYGKGALDCYLSGNKQNEPKKIVEGSEADIEVERDLPSIVAL >itb12g05060.t1 pep chromosome:ASM357664v1:12:3342259:3344110:1 gene:itb12g05060 transcript:itb12g05060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAPNFTPFGAAKCLLILFFSLLPTTIIPSEIKESETSPPASLVYNVDCGCYKPPPPPPPPPKCPPPPPPVDPVIRRSIKAIRTFKKSITSDPYNKTRTWVGNDLCINKKSYEGFFCYVPRGGDKKRVGGIYFNGFNFNGDRLSLNNFVESFNELVVIHVNSNNFIRAPGVPVKNFPTLFELDLSNNNLAGAFPTSVLEATNLTYLDLRFNMLTGPVHPQVFTLDLDALFLNNNFFSGPLPDNLGSTPVFFLTLANNQFSGQIPPSIGNASFLLEVLFLNNKLSGCLPYQIGLLKNSTVFDASINQLTGPIPQSFGCLEKLQILNLTSNHLYGAVPESLCKLKDLEELSLSSNYFTQIGPECRKLLETKVLDIDNNCILDLPNQRSAQVCKEFFLHYQKCPDHKSMLYVPCDIDESPRKGGPHHPHKKQKRGSSSTYAALRP >itb12g06610.t3 pep chromosome:ASM357664v1:12:4920450:4926388:1 gene:itb12g06610 transcript:itb12g06610.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEVLRCQLALHGYGKCAPLLCSKDHHLSLPSKGFVSDAHSLVASRPRKMFVFRNSGLEMCISDGWLAIPRVKCVIRFKESSIQSNAVNIEFESSCEEDNAPANDEKEGLNENMFQQKLPLLKSLVHKKDINSSAGAANLSGSKVYYLEERNEDILSKRILKLSRSNKVRSALGLYKSMIFSGLRPNLHACNSLLSCILRNGMLDDALEIFEFLKEGNMTTAHTYSLILKAVAKDWGDDAALQMFEEMCKNSDTSKGFDVVVYNTMISVFGKLNNWVQAEKIWRILQNRSLVGTTVTYSILICLFVRCGQNELALDTYSEMVQNGLTPDDDVMQAIIGACVKEGNHDMAFSMLQGMLNRGLKPNAISCNVVINSLGKAGKPKLAFKVYDLMKSLGHVPDAYTWNALLGSLNRVNQHADAIRFFQRIRKQQNNILNLHIYNTILISCQRLGRWEKAVEMLWEMEASGHSVSTSSYNLVIGACEVARKPKVALQVYNHMIHQKCSPDLFTLLSLIRSCIWGSLWDEVEEILNEGVHKNASEWSQS >itb12g06610.t4 pep chromosome:ASM357664v1:12:4920450:4923606:1 gene:itb12g06610 transcript:itb12g06610.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEVLRCQLALHGYGKCAPLLCSKDHHLSLPSKGFVSDAHSLVASRPRKMFVFRNSGLEMCISDGWLAIPRVKCVIRFKESSIQSNAVNIEFESSCEEDNAPANDEKEGLNENMFQQKLPLLKSLVHKKDINSSAGAANLSGSKVYYLEERNEDILSKRILKLSRSNKVRSALGLYKSMIFSGLRPNLHACNSLLSCILRNGMLDDALEIFEFLKEGNMTTAHTYSLILKAVAKDWGDDAALQMFEEMCKNSDTSKGFDVVVYNTMISVFGKLNNWVQAEKIWRILQNRSLVGTTVTYSILICLFVRCGQNELALDTYSEMVQNGLTPDDDVMQAIIGACVKEGNHDMAFSMLQGMLNRGLKPNAISCNVVINSLGKAGKPKLAFKVYDLMKSLGHVPDAYTWNALLGSLNRVNQHADAIRFFQRIRKQQNNILNLHIYNTILISCQRLGRWEKAVEMLWEMEASGHSVSTSSYNLVIGACEVARKPKVALQVYNHMIHQKCSPDLFTLLSLIRSCIWGSLWDEVEEILNHSAPNGSLYNAAIQGMCLTGRIDLARKVYIKMHQSGLKADGKTRALMLQNLQKDLRR >itb12g06610.t1 pep chromosome:ASM357664v1:12:4920450:4926388:1 gene:itb12g06610 transcript:itb12g06610.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEVLRCQLALHGYGKCAPLLCSKDHHLSLPSKGFVSDAHSLVASRPRKMFVFRNSGLEMCISDGWLAIPRVKCVIRFKESSIQSNAVNIEFESSCEEDNAPANDEKEGLNENMFQQKLPLLKSLVHKKDINSSAGAANLSGSKVYYLEERNEDILSKRILKLSRSNKVRSALGLYKSMIFSGLRPNLHACNSLLSCILRNGMLDDALEIFEFLKEGNMTTAHTYSLILKAVAKDWGDDAALQMFEEMCKNSDTSKGFDVVVYNTMISVFGKLNNWVQAEKIWRILQNRSLVGTTVTYSILICLFVRCGQNELALDTYSEMVQNGLTPDDDVMQAIIGACVKEGNHDMAFSMLQGMLNRGLKPNAISCNVVINSLGKAGKPKLAFKVYDLMKSLGHVPDAYTWNALLGSLNRVNQHADAIRFFQRIRKQQNNILNLHIYNTILISCQRLGRWEKAVEMLWEMEASGHSVSTSSYNLVIGACEVARKPKVALQVYNHMIHQKCSPDLFTLLSLIRSCIWGSLWDEVEEILNHSAPNGSLYNAAIQGMCLTGRIDLARKVYIKMHQSGLKADGKTRALMLQNLQKDLRR >itb12g06610.t2 pep chromosome:ASM357664v1:12:4920450:4926388:1 gene:itb12g06610 transcript:itb12g06610.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVEVLRCQLALHGYGKCAPLLCSKDHHLSLPSKGFVSDAHSLVASRPRKMFVFRNSGLEMCISDGWLAIPRVKCVIRFKESSIQSNAVNIEFESSCEEDNAPANDEKEGLNENMFQQKLPLLKSLVHKKDINSSAGAANLSGSKVYYLEERNEDILSKRILKLSRSNKVRSALGLYKSMIFSGLRPNLHACNSLLSCILRNGMLDDALEIFEFLKEGNMTTAHTYSLILKAVAKDWGDDAALQMFEEMCKNSDTSKGFDVVVYNTMISVFGKLNNWVQAEKIWRILQNRSLVGTTVTYSILICLFVRCGQNELALDTYSEMVQNGLTPDDDVMQAIIGACVKEGNHDMAFSMLQGMLNRGLKPNAISCNVVINSLGKAGKPKLAFKVYDLMKSLGHVPDAYTWNALLGSLNRVNQHADAIRFFQRIRKQQNNILNLHIYNTILISCQRLGRWEKAVEMLWEMEASGHSVSTSSYNLVIGACEVARKPKVALQVYNHMIHQKCSPDLFTLLSLIRSCIWGSLWDEVEEILNHSAPNGSLYNAAIQGMCLTGRIDLARKVYIKMHQSGLKADGKTRALMLQNLQKDLRR >itb15g04560.t1 pep chromosome:ASM357664v1:15:2886117:2891840:1 gene:itb15g04560 transcript:itb15g04560.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATEEYAAFEEKVKRTVLIDNLSPLVTEAVLRAAFDQFGDVTNVHILPNYFEPNNTARAALVQMRTSDQAEKLIHEVSNSPFMIIGMPRPVRARAAQPEMFDDRPARQPGTRIEWRWVDPDEPEFEVANKMKALVRQHAAEADCLIKHQREEEEKLAKKQEATLKENYKKFKMLESSSSNAPNADANRGRENGELLVYLYDCISVYFGNLLKTVLCLKVVLCNHCVKLRSQNHCEHSVLFITLSADSGIFVQGKMEPTNDNPKMDPAIEEYAAFEEKVKRTVFIDNLSPLATESVLKAAFNQFGDVTSVYLFPNYIEPNNTACAALVEMRTPNQAENIIYEVSNSPFMISGMPRPVRARAAETEMFDDRPRKPGRKIELRWVAPNDPEFEVAKKMTALVRKHAAEADFLMKHQLEEEEKLAKKQDLALQAHHKKYKLLESVSGSGPNGSANQLAQHYGMPFGDSKKFH >itb09g23300.t1 pep chromosome:ASM357664v1:9:22588501:22591143:-1 gene:itb09g23300 transcript:itb09g23300.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKPNPPNSTSLTPLTFLEKAANIYGDAASVVYNDVTFTWSETHLCCLRLASSIPPDLRSATTTTVRHFPSTEATTVRHFRLHCADAFSQRLGVEALGRVKPKVDPLFLWGSCNSSIFTAKTEQYKEAILAYAILLKIENDKNGLDTKVEKHDSSVHKQIKDN >itb08g03170.t1 pep chromosome:ASM357664v1:8:2642548:2647697:1 gene:itb08g03170 transcript:itb08g03170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTVPAVLFLLCLLFLLPDQGRKIHANAEVDSDAPVDPPKVEEKIGGVPHGLSTDPDVAKREAESMSRRTLRAGAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKDILGEGDTAKLEIQIKLDKDKKILSIRDRGIGMTKEDLVKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDEWNEPLGRGTEIRLHLRDEAGEYLDEVKLKDLVKRYSQFINFPIHLWASKEFEKEVPADEDDVEEEETSETSSSEEEETEEEDSEKGEDEKKPKTKKVKETTYEWELLNDVKAIWLRSPKEVTDEEYTKFYHSLAKDFSDDKPLAWSHFTAEGDVEFKAVLFVPPKAPQDLYESYYNSKKSNLKLYVRRVFISDEFDELLPKYLNFLLGLVDSDTLPLNVSREMLQQHSSLRTIKKKLIRKALDMIRRLADEDPDESSDKDKKDVEESGDENEKKGQYTKFWNEFGKSIKLGIIEDATNRNRLAKLLRFETTKSDGKLTSLDQYISRMKANQKDIFYITGTNKEQLEKSPFLEGLKKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQDVSKEGLKIKDSKAKELKESFKDLTKWWKGTLASDNVDEVKISNRLADTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKDPEDESTKETAQLIYKTALMESGFNLPDPKDFASRIYNSVKSSLNISPDAVVEEDDEVEEEAESSSKEAESNPEEAEDAADGIKDEL >itb08g05680.t1 pep chromosome:ASM357664v1:8:4662016:4664111:1 gene:itb08g05680 transcript:itb08g05680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLDERDPNLGQFIKAPMDICDSYDKCGANAFCNVANSQCNGQDIYIRLDSSEIPEPVTEEYHANSKGKKVKIIGVLDDEQEIAVKRLSKTSTQGIEEFKNEVICIAKLQHRNLVKLLGCCIQGEEKLLIYEYMANKSLDTFIFDEAKSKLLDWPKRHFIINGIARGLMYLHQDSRLRVIHRDLKASNILLDHNMNPKISDFGLARSVGGDATEANASRIMGTHGYISPEYAVNGIFSIKSDTFSFGVLSLEIVTGKRNRGFSDPDDCLNLIGHQRPDDRPTMSSVVTMLSNDNSLPEAKQPGFFIEQKVNESDYSSSTQGTNSRNECSFSVLNPR >itb10g15170.t1 pep chromosome:ASM357664v1:10:21447007:21447615:-1 gene:itb10g15170 transcript:itb10g15170.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSKALLDLEDKSTPSASSLESKLSFCDGDESFLSRSTKLNPPDKKPAISSIPQSQFLGKVKDFLGVISEANKNLELDAKTNPGKNYDIEALTGEESEYIEMDLMLGVAELQTEEAVAAAESALAGYQPVIPLAVSSSETESEESSDDEDSDGDDDDDNEDGDEAERPSPKKGKTAKPVEKDSSSKNKQPRKRPKIVELS >itb09g29320.t1 pep chromosome:ASM357664v1:9:30018832:30019695:-1 gene:itb09g29320 transcript:itb09g29320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDEGRCESKVFCKNDRRNASKRDGKKVSKIKKWRREYISYVAQMRGSSRNMVKNQQEGRSMESERERVMELLKKFRAICKNLLQENNKEFKKNSESMRIDILAAREVKKMGSLYIQRTNSPGPIPGVEVGDKFLYRMELILVGLHSNIQKGIDFICNGAGERIATSVVASGGYANETSDPNVLIYCGQGGDMVSGIQHEDQSLKSPGNYALKNSIRVKNPVRVIRGTKEKAECSFRGDATTFVYDGLYEVVGLWRDTSCNGKLLYKFKLVRITESSSSDTAYIC >itb08g01840.t2 pep chromosome:ASM357664v1:8:1488703:1493130:-1 gene:itb08g01840 transcript:itb08g01840.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMIFRAPNPPLPFFPGCKAAIFHEFPVNFIKNKKKKSTAGIAVVRVKATAERTGGDAVEGGAAAERDSAAAGAAGTFTGPTMEVTTLNQTFREAELPVWDKIGAIVRLSYGIGIYGAMALAGRFICSMTGIDSTGGFTPTLDAIVEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRNFFYGMSPWQFVLIVAASSVGEELFYRAAVQGALADIFLRSTDLVTDARGMASMTGVLPPFVPFAQAFAAVVTAALTGSLYYMASSPKDPAYIVAPVLKTRSGREDLKKLFAAWYERRQMKKIYSPLLEAILALYLGFEWIQVT >itb08g01840.t1 pep chromosome:ASM357664v1:8:1488703:1493130:-1 gene:itb08g01840 transcript:itb08g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPMIFRAPNPPLPFFPGCKAAIFHEFPVNFIKNKKKKSTAGIAVVRVKATAERTGGDAVEGGAAAERDSAAAGAAGTFTGPTMEVTTLNQTFREAELPVWDKIGAIVRLSYGIGIYGAMALAGRFICSMTGIDSTGGFTPTLDAIVEGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRNFFYGMSPWQFVLIVAASSVGEELFYRAAVQGALADIFLRSTDLVTDARGMASMTGVLPPFVPFAQAFAAVVTAALTGSLYYMASSPKDPAYIVAPVLKTRSGREDLKKLFAAWYERRQMKKIYSPLLEAILALYLGFEWIQTDNILAPIITHGIYSTVVLGHGLWKINDHRRRLRQRIQQLKQEGKQSRDQ >itb02g01550.t1 pep chromosome:ASM357664v1:2:871907:875183:1 gene:itb02g01550 transcript:itb02g01550.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLMDKVVSKSNCILSISNTRTMASCSTFCPPLPTRFSTSSLSPKPQFFVSFKAFSSQTPKDESPKRIGFLGLGIMGSPMAQNLIKAGCDVTVWNRTKSKCDPLISLGAKYKSLPEEVTASCDFTFAMLADPESAVDVACGKHGAASGLSPGKGYVDVSTVDGSTSKLINKHIKATGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYDMVASELDVMGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGLILSEKVGLDPSVLVEVISQGAINAPMYSMKGPSMVKSLYPTAFPLKHQQKDLRLALGLAESVSQPTPIAAAANELYKVAKSHGLSDQDFSAVIEALKVKLQEKTEN >itb02g01550.t2 pep chromosome:ASM357664v1:2:871907:875140:1 gene:itb02g01550 transcript:itb02g01550.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLMDKVVSKSNCILSISNTRTMASCSTFCPPLPTRFSTSSLSPKPQFFVSFKAFSSQTPKDESPKRIGFLGLGIMGSPMAQNLIKAGCDVTVWNRTKSKCDPLISLGAKYKSLPEEVTASCDFTFAMLADPESAVDVACGKHGAASGLSPGKGYVDVSTVDGSTSKLINKHIKATGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYDMVASELDVMGKSRFYLGEVGNGAAMKLVVNMIMGRFMDIKFILNKENTNFFFLHEFLYRI >itb08g03320.t2 pep chromosome:ASM357664v1:8:2723568:2727289:-1 gene:itb08g03320 transcript:itb08g03320.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAGITPVGASNVGRPFPKLVSRPREIVAVRCSLNYGVAAILTKLQKDCATPLPVLRHVADAMAADMRAGIAVDGGSDLKMIPSYVDTLPTGNERGLFYALDLGGTNFRVLRLQLGGKAEGIIATKFEQVAIPPELMCGTSEELFDFIASALAKFVEEGGKFHLPLRRAREIGFAFSFPVRQTSINSGVLIKWTKGFAVSGTAGKDVVACLNAAMERVGLDMRVSALVNDAVATLAAARYWDEDVVVAVILGTGTNACYVERVDAIPKLSELTSTSGIMIVSTEWGAFTSCLPLTEFDREMDAESINPCEQIFEKTISGMYLGEIVRRVLVKMSEVGLFGGSLPEKLFMPFALRYFHREKLFMPFALSTPDMCAMQRDTSRDLEGVGSILYDVLGVNSDLCARRVTVDVCEAIAKRGGQLAGAGIVGILQKMEEDSRGLIFGKRRVVAVDGGLYEHYPQYRSYLQEAIVELLGSEISRNLVIQHSKDGSGIGAALIAAANCKYEHDS >itb08g03320.t1 pep chromosome:ASM357664v1:8:2723424:2727305:-1 gene:itb08g03320 transcript:itb08g03320.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAGITPVGASNVGRPFPKLVSRPREIVAVRCSLNYGVAAILTKLQKDCATPLPVLRHVADAMAADMRAGIAVDGGSDLKMIPSYVDTLPTGNERGLFYALDLGGTNFRVLRLQLGGKAEGIIATKFEQVAIPPELMCGTSEELFDFIASALAKFVEEGGKFHLPLRRAREIGFAFSFPVRQTSINSGVLIKWTKGFAVSGTAGKDVVACLNAAMERVGLDMRVSALVNDAVATLAAARYWDEDVVVAVILGTGTNACYVERVDAIPKLSELTSTSGIMIVSTEWGAFTSCLPLTEFDREMDAESINPCEQIFEKTISGMYLGEIVRRVLVKMSEVGLFGGSLPEKLFMPFALSTPDMCAMQRDTSRDLEGVGSILYDVLGVNSDLCARRVTVDVCEAIAKRGGQLAGAGIVGILQKMEEDSRGLIFGKRRVVAVDGGLYEHYPQYRSYLQEAIVELLGSEISRNLVIQHSKDGSGIGAALIAAANCKYEHDS >itb05g09030.t1 pep chromosome:ASM357664v1:5:12924730:12928612:1 gene:itb05g09030 transcript:itb05g09030.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSTLEEGDSKDGVELVRSVSDKHLDLLRPSARYYSLFKGQATNADDRSKGKYTLIRDVEDGQAGLYDKPLPCFGCGIGWFSFLLGIVCPFMWYYATILYLGNYYRKDPRERAGLAASAIAAMACSVVLLIVIVILLL >itb05g11070.t1 pep chromosome:ASM357664v1:5:17028882:17031100:1 gene:itb05g11070 transcript:itb05g11070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDSSRIFLTNHEENIYEDIKGLELEYTTNLEFLKFIDLSGNHITGEIPLEVMSLRALNNLNLSRNNLSGTISQTIGNLSKIEYLNLSMNALFCPIPQSLSSLNFLSYLNLSFNKLNGRIPTGHQLQTLDDLSIYIGNEGLCGVPLLKSCPSDDKPSFVKQPTETKLSNDDNEFLMWFYAGLGLGFFVGFIGVLCTLLFKTSWHYAYFKCLEITFNKVLSGISIKRNRWVWLQLLFQQLLYYWPEHEYAFLLLTQGNTFSKHWRLSADRVGDIVGIKYFPGDSYM >itb12g18210.t1 pep chromosome:ASM357664v1:12:20450098:20453755:-1 gene:itb12g18210 transcript:itb12g18210.t1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQRAAMFVFITCSAILIVLSSPPLAAAECIRNPVIFNFGDSNSDTGGYPAAHGNIFGYPDGRTFFHRSSDRLCDGRLIIDFLCENVKADYLSPYLEALAPSFKNGVNFAIGGSQTLPKFVLFSLSTQLLQFNRFRTRSLEFHSKGTKGMLGEEDFENALYMIDIGQNDITGAFSYLSKAQVIEKIPSFISEIKDAVWAIYKAGGRKFWVHNTGPAGCLPRILATTKVGDPSTEFEEIGCIKSLNEVAQEFNVKLSDLCEELRHEMKDATIVYVDIYTIKYNLISNYVIYGFEKPLIACCGGGGAPYNVNITCGQSGFSVCKDGSKYISWDGVHYTEEANAMVAASVLSTNYSTPPLKFDYFCSTNS >itb09g14040.t1 pep chromosome:ASM357664v1:9:9243044:9244066:1 gene:itb09g14040 transcript:itb09g14040.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECTHHKERKKKLVQRFCGCLLILLFIILLTILIIWAVLQPKKPRFTLQDATIFNFNVSAPNIFSTTLQISISSRNPNSRIGIYYDNLDVFATYRDQQITYYTVIPAVYQGHKDVNLWSPFLYGNNVPIAPFNGPQLKEDQAAGAVWLTVKVSGRVRWKVGTVITGRYHLHVTCPAYIPFGNTPRYGGIVVGNAVKYQLSQRCEVTAS >itb01g14460.t2 pep chromosome:ASM357664v1:1:16257626:16259807:-1 gene:itb01g14460 transcript:itb01g14460.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICGKCFRRFRSSSSDGGNRNGNRIKFGAFAGRKHSIAETWVDVVPVPSHNLRLEYCALTQRGYYPDTPEKENQDSFCIRTGLQGNPNVHFFGVFDGHGQFGTQCSLFVKDRLVQLLSNDPTLLGDPVKAYNSAFLTTNEELHTSDIDDSMSGTTAITALIVGDTLYVANVGDSRAMLAVNQGNNAVVAKDLSSDQTPFREDERERVKLCGARVLSVNQVEGLKDPGIQSWGDEETEGNDPPRLWVQNGMYPGTAFTRSVGDSIAEKIGVVAIPEVSTLQLTPNYPFFVVASDGVFEFLSSQRAVDMASRYADPRNACFHIAGESFRLWLEHENRTDDITMILVQIKDLTNV >itb01g14460.t1 pep chromosome:ASM357664v1:1:16257626:16259807:-1 gene:itb01g14460 transcript:itb01g14460.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICGKCFRRFRSSSSDGGNRNGNRIKFGAFAGRKHSIAETWVDVVPVPSHNLRLEYCALTQRGYYPDTPEKENQDSFCIRTGLQGNPNVHFFGVFDGHGQFGTQCSLFVKDRLVQLLSNDPTLLGDPVKAYNSAFLTTNEELHTSDIDDSMSGTTAITALIVGDTLYVANVGDSRAMLAVNQGNNAVVAKDLSSDQTPFREDERERVKLCGARVLSVNQVEGLKDPGIQSWGDEETEGNDPPRLWVQNGMYPGTAFTRSLQVMGSLNSSPAKGP >itb09g14910.t1 pep chromosome:ASM357664v1:9:10198932:10199718:-1 gene:itb09g14910 transcript:itb09g14910.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAGTESTSSSVEWAMSELLRNPEAMEKARAEISKVVGSETKLEESDIDNLPYLQAVVKETFRLHPPLPFLLPRKSGEDTKFMGYDVPKDTQVFVNVWAIGRDPECWEDPLTFKPERFLGSNIDVKGQHFELLPFGAGRRICVGLPLGHRMTHFVLGSLLHQFDWEVEQSVDPKTMDMRDRVGITLRKLQPLKAIPRKHVIGNL >itb04g32570.t1 pep chromosome:ASM357664v1:4:35051338:35056232:1 gene:itb04g32570 transcript:itb04g32570.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASYQPEIEPEPTQIQEPEQPQPEPDPQLEAPNEEPEQEVEEEVEEEEVEEVEEEEVEEADPEEEEEEEEEGEEEEKPESMEADVSKSDANPSNDAVSTGKANGEEKKAEVEEFDDEPLEKVLEPFSKEQLQVIIQEAVTKHPDFMENIRNWADKDPAHRKIFVHGLGWDTTTETLTNVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRSGARKALKEPQKKIGNRITSCQLASAGPVPAPPPNPPPVSEYTQRKIFVSNVSADLDPKKLLEFFSKYGEIEEGPLGLDKHTGKPKGFCLFVYRSVESAKKVLEEPHKIFEGHTLNCQKAIDGPKQNKNYSQQQQHQPHYPQHQQHHYYQHTAKKGKYSSGGGGGSGATSAGHLMAPAAGPGAVGFNPAVAPVLGQALTALLTTQGAGLGIGNLLGGLPVNPHGVPPVVNNAPGYGAQSAPGGYGGHPGMQGGYQNPAMGQGGVRPQGGAPWRGH >itb04g32570.t2 pep chromosome:ASM357664v1:4:35051340:35055993:1 gene:itb04g32570 transcript:itb04g32570.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASYQPEIEPEPTQIQEPEQPQPEPDPQLEAPNEEPEQEVEEEVEEEEVEEVEEEEVEEADPEEEEEEEEEGEEEEKPESMEADVSKSDANPSNDAVSTGKANGEEKKAEVEEFDDEPLEKVLEPFSKEQLQVIIQEAVTKHPDFMENIRNWADKDPAHRKIFVHGLGWDTTTETLTNVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRSGARKALKEPQKKIGNRITSCQLASAGPVPAPPPNPPPVSEYTQRKIFVSNVSADLDPKKLLEFFSKYGEIEEGPLGLDKHTGKPKGFCLFVYRSVESAKKVLEEPHKIFEGHTLNCQKAIDGPKQNKNYSQQQQHQPHYPQHQQHHYYQHTAKKGKYSSGGGGGSGATSAGHLMAPAAGPGAVGFNPAVAPVLGQALTALLTTQGAGLGIGNLLGGLPVNPHGVPPVVNNAPGYGAQSAPGGYGGHPGMQGGYQNPAMGQGGVRPQGGAPWRGH >itb04g32570.t3 pep chromosome:ASM357664v1:4:35051340:35054582:1 gene:itb04g32570 transcript:itb04g32570.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSRASYQPEIEPEPTQIQEPEQPQPEPDPQLEAPNEEPEQEVEEEVEEEEVEEVEEEEVEEADPEEEEEEEEEGEEEEKPESMEADVSKSDANPSNDAVSTGKANGEEKKAEVEEFDDEPLEKVLEPFSKEQLQVIIQEAVTKHPDFMENIRNWADKDPAHRKIFVHGLGWDTTTETLTNVFGKYGEIEDCKAVTDKVSGKSKGYAFILFKHRSGARKALKEPQKKIGNRITSCQLASAGPVPAPPPNPPPVSEYTQRKIFVSNVSADLDPKKLLEFFSKYGEIEEGPLGLDKHTGKPKGFCLFVYRSVESAKKVLEEPHKIFEGHTLNCQKAIDGPKQNKNYSQQQQHQPHYPQHQQHHYYQHTAKKGKYSSGGGGGSGATSAGHLMAPAAGPGAVGFNPAVAPVLGQALTALLTTQGAGLGIGNLLGGLPVNPHGVPPVVNNAPGYGAQSAPGGYGGHPGMQGGYQNPAMGQGGVRPQGGAPWRGH >itb10g06230.t1 pep chromosome:ASM357664v1:10:6871893:6875921:1 gene:itb10g06230 transcript:itb10g06230.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGSTIAQPFVIAASPLGSLWKTFARFFFPNSVARAAAVHPKSVAVTVILFPFNNYTRKRKPSSSMVVRRDGGRCRMQNIEEAIKRKRFRGAVAEIDSWSRSMDTNLCPVFSKPEQVLLSSLVTPPLAVLRLVLGSAPTERLCQVISLVSEMSFSSTFSSCSTMVNVCIEENKKSLIWIWLVLIAITCCESVIFVARLKRREASNVMPTGRLPAILIMIQSSPEDIDLMHDHGMDAYRFSISWTRILPKGRFGGVNQVGIEFYNKVIDNLLSKGIKSFVTIHPHDHPQEFEDRYGSWLSYEMQKVFVYFAEICFESYGDRVKY >itb06g11770.t2 pep chromosome:ASM357664v1:6:16327265:16330949:1 gene:itb06g11770 transcript:itb06g11770.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLESSNRKVADRYLKRGALGEGTYGEVFKAIDTKTGQIVAIKKIRPGKQEQGVEFTALREIKLLKELKDPNIIELTDAFPRDGNLHLVFEFMETNLEAVIRDRNIVLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARLFGSPDRRFTNKVFATWYRAPELLFGAKHYGSGVDIWAAGCIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKQSQWPNLIYLPDYVEYQYVPGQPLRTLFPMASDDALDLLSKMFLYDPKARISAQQALEHRYFSSLPPPTEPALLPRPPPKRDSENPVSDFNLQDGPVVLSPPRKSRRVMPTREGFEGNAP >itb06g11770.t1 pep chromosome:ASM357664v1:6:16327260:16330949:1 gene:itb06g11770 transcript:itb06g11770.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLESSNRKVADRYLKRGALGEGTYGEVFKAIDTKTGQIVAIKKIRPGKQEQGVEFTALREIKLLKELKDPNIIELTDAFPRDGNLHLVFEFMETNLEAVIRDRNIVLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARLFGSPDRRFTNKVFATWYRAPELLFGAKHYGSGVDIWAAGCIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKQSQWPNLIYLPDYVEYQYVPGQPLRTLFPMASDDALDLLSKMFLYDPKARISAQQALEHRYFSSLPPPTEPALLPRPPPKRDSENPVSDFNLQDGPVVLSPPRKSRRVMPTREGFEGNAP >itb06g11770.t3 pep chromosome:ASM357664v1:6:16327260:16330949:1 gene:itb06g11770 transcript:itb06g11770.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVLESSNRKVADRYLKRGALGEGTYGEVFKAIDTKTGQIVAIKKIRPGKQEQGVEFTALREIKLLKELKDPNIIELTDAFPRDGNLHLVFEFMETNLEAVIRDRNIVLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARLFGSPDRRFTNKVFATWYRAPELLFGAKHYGSGVDIWAAGCIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKQSQWPNLIYLPDYVEYQYVPGQPLRTLFPMASDDALDLLSKMFLYDPKARISAQQALEHRFVLHTLLMNIIVTMWIILNIYPLCLGTSHLSLHQLSQLCSRDLHRSGIQKTQFQILICKMDLLYCLRQESQGE >itb04g15810.t3 pep chromosome:ASM357664v1:4:17029140:17035233:1 gene:itb04g15810 transcript:itb04g15810.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQNYQIQNGPFRSYGRNHLTSHSYTPAATNSSNYSQYLDSSFRHLGNQDISNDLLKDFREYNYNYDSYVKPEVEPSSKRRKFSSSSWGNNGWYSQQTNACNMLPPGQQSTHNNVLPRNQRVFDNISSGHNNNSAITTTVNTSNVPYSNGYTSASFKCNQSEFEGDNNDVAFMSREEIERCSPSRKDGIDVVLEMHLRYSYCAFLQSLGIRLELPQTTTGTSMVLCHRFFLRRSHACHDRFLIATAALFLAAKSEETPCPLNNILRASCEIFHKQDFSYLSYLLPADWFEQYRERIIEAEQMILTTLNFELNVLHPYDPLTSTLEKLGFSHSVLVNMALNLISEGLRSSLWLQFKPNQIAAGAAYLAAKILNMNLASNNIIWQEFQTPPNVLRGK >itb04g15810.t1 pep chromosome:ASM357664v1:4:17029140:17035805:1 gene:itb04g15810 transcript:itb04g15810.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQNYQIQNGPFRSYGRNHLTSHSYTPAATNSSNYSQYLDSSFRHLGNQDISNDLLKDFREYNYNYDSYVKPEVEPSSKRRKFSSSSWGNNGWYSQQTNACNMLPPGQQSTHNNVLPRNQRVFDNISSGHNNNSAITTTVNTSNVPYSNGYTSASFKCNQSEFEGDNNDVAFMSREEIERCSPSRKDGIDVVLEMHLRYSYCAFLQSLGIRLELPQTTTGTSMVLCHRFFLRRSHACHDRFLIATAALFLAAKSEETPCPLNNILRASCEIFHKQDFSYLSYLLPADWFEQYRERIIEAEQMILTTLNFELNVLHPYDPLTSTLEKLGFSHSVLVNMALNLISEGLRSSLWLQFKPNQIAAGAAYLAAKILNMNLASNNIIWQEFQTPPNVLRDVARQLMELL >itb04g15810.t2 pep chromosome:ASM357664v1:4:17029140:17035774:1 gene:itb04g15810 transcript:itb04g15810.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQNYQIQNGPFRSYGRNHLTSHSYTPAATNSSNYSQYLDSSFRHLGNQDISNDLLKDFREYNYNYDSYVKPEVEPSSKRRKFSSSSWGNNGWYSQQTNACNMLPPGQQSTHNNVLPRNQRVFDNISSGHNNNSAITTTVNTSNVPYSNGYTSASFKCNQSEFEGDNNDVAFMSREEIERCSPSRKDGIDVVLEMHLRYSYCAFLQSLGIRLELPQTTTGTSMVLCHRFFLRRSHACHDRFLIATAALFLAAKSEETPCPLNNILRASCEIFHKQDFSYLSYLLPADWFEQYRERIIEAEQMILTTLNFELNVLHPYDPLTSTLEKLGFSHSVLVNMALNLISEGIYRRIIMLTLYAIVSRRLIHQMFCFSSEVN >itb13g01870.t1 pep chromosome:ASM357664v1:13:1732516:1733045:-1 gene:itb13g01870 transcript:itb13g01870.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRSFAAALLFVMLFMATELGRNTIMVTEARKCATPSGKFKGQCFRQANCATICQSEGYPEGDCKGTFNRRCYCIKPC >itb04g02290.t1 pep chromosome:ASM357664v1:4:1356742:1359034:1 gene:itb04g02290 transcript:itb04g02290.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g45350, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45350) UniProtKB/Swiss-Prot;Acc:O22137] MVLLSTSIQPWKPTLSKLSQTCKTQSDVDQIHAQLVTTGLVKDASFIRKLIIRLSTSPYVPVVEFARSLLFSDFEDRNDPFPWNAVIKSYSHGNCPSKAFLVFCLMLKNGIFLDEYSFSLVLKSCSRTGLVKQGMQVHGLLRKLDFGSNVFLENCLIRMYVKCGYVEFGQQVFDRMPNRDSISYNTMIDGYVKCRMLELAHELFECMPVQVRNLVSWNTLISGYVKMENGFDVAWELFERAPFKDLVSWNLMIDCCVKSGKMEMAAALFSKMPKRDAVSYAILIDGYAKVSNINAAQSLFNHMPEKDVISCNAMMAGYVKNGHSMEALSVFHDMLSDTNLAPDGATLLSALSAAAQLGYIDEGLAIHCYIKDHGFVVHGKLGVALIDMYAKCGSIDNALGVFEDIKEKTVDHWNAMIGGLAVHGWGELAFDLFMEMERLSIEPDDITFIVVLNACGHAGLIKEGLMCFEIMRRVHRMEPKLQHYGCIVDILSRAGHMEEAIRFVEEMPIEPNDVVWRTLLSACSNHEHLDAAQPVSKHQIQLNSPNSSSYVLLSNIYARFGMWDYVRRIRTMMKQKELKKVPGCSWIELGGTVHEFSAGDRSHPQVEDVSSTLQMVCS >itb05g17130.t1 pep chromosome:ASM357664v1:5:24170538:24170906:1 gene:itb05g17130 transcript:itb05g17130.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYQDRMKSQRANRCFTTNHKFRVGRSIHTGNYDQRFLSQPPSTSSIPTETETLFTYKNSVSSHELVNSAGFFGTK >itb11g17500.t5 pep chromosome:ASM357664v1:11:17467046:17470020:-1 gene:itb11g17500 transcript:itb11g17500.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSYNLASCICSLGATLSKGFNRGLGTLSAGGLALAMAELSQLAGDWEEVVIVIGIFITGTCITYAKLYPTMKPYEYGFRVFLITYCFIMVSGYRTREFVYTAVTRFLLIALGAGVSMAVNICIYPIWAGEDLHNLVAKNFKGVASSLEECISEYLNCVEYERIPSKILTYQASDDPVYSGYRAAVESTSQEETLMGFAIWEPPHGPYKMFKYPWRNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFRHELQRVGTAGAKVLRELGEKVKRMEKLGSVDLLEEVHEAAEELQKKVDKKSYLLVNAESWEIGGRQHAQVNVPQEVLAFDDDTTTTDHHFKSLSEAKLDLSSIQMPSRWDDRNSSHNALAGVTSEKHELRPSHETAPMEPEEEEEESRTYENASALSLATFTSLLIEFVARLQNLVDAFEELSEKGKFNEPVELPDKDEAIGLWSRLTRYVKLWRKDDNLLAGENAVRLV >itb11g17500.t4 pep chromosome:ASM357664v1:11:17467003:17471280:-1 gene:itb11g17500 transcript:itb11g17500.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYEYGFRVFLITYCFIMVSGYRTREFVYTAVTRFLLIALGAGVSMAVNICIYPIWAGEDLHNLVAKNFKGVASSLEECISEYLNCVEYERIPSKILTYQASDDPVYSGYRAAVESTSQEETLMGFAIWEPPHGPYKMFKYPWRNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFRHELQRVGTAGAKVLRELGEKVKRMEKLGSVDLLEEVHEAAEELQKKVDKKSYLLVNAESWEIGGRQHAQVNVPQEVLAFDDDTTTTDHHFKSLSEAKLDLSSIQMPSRWDDRNSSHNALAGVTSEKHELRPSHETAPMEPEEEEEESRTYENASALSLATFTSLLIEFVARLQNLVDAFEELSEKGKFNEPVELPDKDEAIGLWSRLTRYVKLWRKDDNLLAGENAVRLV >itb11g17500.t2 pep chromosome:ASM357664v1:11:17467046:17471278:-1 gene:itb11g17500 transcript:itb11g17500.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGSFRQSFAEKRERERLLSPVCNADYSELPGFPRSLDCRERPKFCGFDFCSAARKKVAKLWESIRTVAMKAWEMGRSDPRKIIFSAKMGLALMLISLLIFFKEPVAELSKYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAMAELSQLAGDWEEVVIVIGIFITGTCITYAKLYPTMKPYEYGFRVFLITYCFIMVSGYRTREFVYTAVTRFLLIALGAGVSMAVNICIYPIWAGEDLHNLVAKNFKGVASSLEVYSGYRAAVESTSQEETLMGFAIWEPPHGPYKMFKYPWRNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFRHELQRVGTAGAKVLRELGEKVKRMEKLGSVDLLEEVHEAAEELQKKVDKKSYLLVNAESWEIGGRQHAQVNVPQEVLAFDDDTTTTDHHFKSLSEAKLDLSSIQMPSRWDDRNSSHNALAGVTSEKHELRPSHETAPMEPEEEEEESRTYENASALSLATFTSLLIEFVARLQNLVDAFEELSEKGKFNEPVELPDKDEAIGLWSRLTRYVKLWRKDDNLLAGENAVRLV >itb11g17500.t3 pep chromosome:ASM357664v1:11:17467046:17470410:-1 gene:itb11g17500 transcript:itb11g17500.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSQLAGDWEEVVIVIGIFITGTCITYAKLYPTMKPYEYGFRVFLITYCFIMVSGYRTREFVYTAVTRFLLIALGAGVSMAVNICIYPIWAGEDLHNLVAKNFKGVASSLEECISEYLNCVEYERIPSKILTYQASDDPVYSGYRAAVESTSQEETLMGFAIWEPPHGPYKMFKYPWRNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFRHELQRVGTAGAKVLRELGEKVKRMEKLGSVDLLEEVHEAAEELQKKVDKKSYLLVNAESWEIGGRQHAQVNVPQEVLAFDDDTTTTDHHFKSLSEAKLDLSSIQMPSRWDDRNSSHNALAGVTSEKHELRPSHETAPMEPEEEEEESRTYENASALSLATFTSLLIEFVARLQNLVDAFEELSEKGKFNEPVELPDKDEAIGLWSRLTRYVKLWRKDDNLLAGENAVRLV >itb11g17500.t1 pep chromosome:ASM357664v1:11:17467003:17471383:-1 gene:itb11g17500 transcript:itb11g17500.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGSFRQSFAEKRERERLLSPVCNADYSELPGFPRSLDCRERPKFCGFDFCSAARKKVAKLWESIRTVAMKAWEMGRSDPRKIIFSAKMGLALMLISLLIFFKEPVAELSKYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAMAELSQLAGDWEEVVIVIGIFITGTCITYAKLYPTMKPYEYGFRVFLITYCFIMVSGYRTREFVYTAVTRFLLIALGAGVSMAVNICIYPIWAGEDLHNLVAKNFKGVASSLEECISEYLNCVEYERIPSKILTYQASDDPVYSGYRAAVESTSQEETLMGFAIWEPPHGPYKMFKYPWRNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFRHELQRVGTAGAKVLRELGEKVKRMEKLGSVDLLEEVHEAAEELQKKVDKKSYLLVNAESWEIGGRQHAQVNVPQEVLAFDDDTTTTDHHFKSLSEAKLDLSSIQMPSRWDDRNSSHNALAGVTSEKHELRPSHETAPMEPEEEEEESRTYENASALSLATFTSLLIEFVARLQNLVDAFEELSEKGKFNEPVELPDKDEAIGLWSRLTRYVKLWRKDDNLLAGENAVRLV >itb09g14590.t1 pep chromosome:ASM357664v1:9:9822534:9825260:-1 gene:itb09g14590 transcript:itb09g14590.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGASRKVSAASARSHTRRPKEKTCMFLSSGLLRNVLAICCVGFLAWAYREITPPPPKTCGSPDGPPVTAPRIKLSDGRHLAYKEHGVPRNRANYKMVFVHGFDCCRHDVAIAVTLSPNLIESLGIYIVSFDRPGYGESDPNPNRKEKSIAFDIQELADQLELGSKFYVAGFSMGGQVVWSCLKYIPHRLAGAVLLTPVVNYWWPGFPGNLSKQAYDSQLPQDQWTLRVSHYIPWLTYWWNTQKLFPASSVAAYEPSLFNPQDIQVMTKYLPAREKYQAQVKPQGEFESLHRDMIVGFGKWEFDPMDLENPFPNNEGSVHLWQGDEDGLVPVTLQRYIAQKLPWIHYHELPGAGHMFPYVDAMGDQIVKALLHT >itb09g14590.t2 pep chromosome:ASM357664v1:9:9822585:9824315:-1 gene:itb09g14590 transcript:itb09g14590.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVFELKLFSDLQNLIESLGIYIVSFDRPGYGESDPNPNRKEKSIAFDIQELADQLELGSKFYVAGFSMGGQVVWSCLKYIPHRLAGAVLLTPVVNYWWPGFPGNLSKQAYDSQLPQDQWTLRVSHYIPWLTYWWNTQKLFPASSVAAYEPSLFNPQDIQVMTKYLPAREKYQAQVKPQGEFESLHRDMIVGFGKWEFDPMDLENPFPNNEGSVHLWQGDEDGLVPVTLQRYIAQKLPWIHYHELPGAGHMFPYVDAMGDQIVKALLHT >itb05g23510.t1 pep chromosome:ASM357664v1:5:28602758:28607892:1 gene:itb05g23510 transcript:itb05g23510.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSEQSPTLTQIRELLREDNRDGFFDFVDHLFSEDESLSDPAGSLYEAAMDEYPNALSNKLALAINISPNSETRMRCSTLFSRFLALKSPFLHQMINQRLKDFFLQLLQDEEEWEVLKIHCTCVSSIAARLFPKEDWPELLVLMLEWLSSPNSTLTRLASVVLLYELIPECPNTFSPYADPISLGFQRLMDEGCEDNRARIATVGAAAKFILHLATPSNYEQYYGLLPRMISVLGGAVYDQDLACKALDAMTILAKAKLMFFTLVHMTKLAEAMLKMASHTNSNEKMRQLAVNFFITVGEGRDGGKRMIQSLPGNVIEKLLTQLLQMLMCVEDDDVSWNEADVYDRDAGKMTMCCYAEDALSRLAIVLGGDVVVRNSPDLLPGFFKDADWKKRYAAVVSLGLVASGCSEMLVQYLEESVEKKMALVRDQHPRVRWATIYAISEFSKYLTTYFQEQCHEQVIPALLQGMDDFGNPRVQAGKTLLKETALSGLASLADSTKDDFQPFYPMVMPYLKVILIKETSNCMLVAKTLECITSIGMAVGKVVFSTDVEKIFSVLLSEHKRAKMDGHGQVKCYLLQAWGKICISLGEDFRPYLKASMPLLIASARLEDHLKNNLGNLKQRSIILKEMLWACNTICCFAIHIRGGLHLWIDKVLNVVIRLVNFKFDEKVRLAAISVMPLLLRSAANAVENELPIPRFLDSPIIDLAKMIIPALGEALLAPTIKVQVQALVALNETMQIASTWSPDQKEIIDTILKVLSACFTRKKERENMAKYRLDVRKPEVVKEEIQEEQKFFREVRICVQILLKRLYARNLIPTVLYVERMWQKDTTPEERRMALNIFSDIAVLCREHGLRLYPKCIPLLFEACNDTNPHIQQIAACAIGIYAEFDDREAFKQHLQDGFSSLEAIFQHPSEGGLEHFMAKDAAVCAYGKLCFFLCEEINSYQNIDLWLFQLPLKCNFEEAKAAHGLLCSMVDMPETRVTGPEDSYISRIITIMAEVLWFGGNLATEETQIEMTEQLRMFSQKLGDKFVAICKALPPGLQNTLRSYLEIQLI >itb04g26640.t1 pep chromosome:ASM357664v1:4:30913447:30916025:1 gene:itb04g26640 transcript:itb04g26640.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDEYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKAEGS >itb09g12620.t1 pep chromosome:ASM357664v1:9:7984203:7986099:1 gene:itb09g12620 transcript:itb09g12620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSGHCISCSSTFRSCRKKWRGWLAGWHQKDEEFNKRTRIQSQSEAHTIPYQLAVSVSQVGEHTYSSDDSDLYQIMAAVMSHLLVQSQAYNSLIEMD >itb13g15150.t1 pep chromosome:ASM357664v1:13:21857664:21865480:-1 gene:itb13g15150 transcript:itb13g15150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLGFKESYLNDVEDQKSQGLKLVPWLTWEEWSFIGDSLFSSSPLSVDSALRRISTWRNRGCIPVAVDVTASIIEIQQKDPYFRDDVPKRVQLSEGMLAMLYSMAITRLVNGVIEKNRKKNELSIAEAAYAIGIPRMLIDVRHEGSHRDLPSLQLVRLASTKALRWLQSYYWEPQKSAIQSSQPTKLQKETSDRLNELAFYLKEIQTARSSSLAKENRVRHPGQFFGFNKFLPFVAGKPSFSKSSGPKKQVTKSLKYILRLYSSSSSEVVSVLLELLLKALDSLHLADGSDSGQTIQGNISMHAVFDHWKPVITKLSNKAPDLLITLLRGILDKIEIHGATELLSGDCHSLDNVTVPRQIQLLSYLFEWLIENLKTLRHVHEKRSVSETGDSSNNQILAKATLQDLLCKCLVLSSHGNKQLMASSIVLARLMGKNSLRDKLKTLSLLSVFDADVNESDSPNVHSESFLSRQEESLSQAGKKLQLIKLKSMKSNKVNKTQNHAGVRRQWVVTDNWRPCPIGMLPHAFSSSGRLPILDCNANCTEVSKSSNPKECQVSNENHSKRVAESAIECLDNSHHKKMREAELPAHPIDREMAETESSHDTSAAGIEGCLMIGGEWKKVTADELQAIASSVRMLV >itb05g18120.t1 pep chromosome:ASM357664v1:5:24949963:24951380:1 gene:itb05g18120 transcript:itb05g18120.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQRQDSCYRDQKMIQELLAAGNGGLLGGGESNNNAPKLSITDNSSGPLVSSSPTSRSENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNAIVSASMGKSAAAASTKWKSSFLGGLEPEIPSSKSFLFGPPQNTNHQYPFLSLLRSGQNPNPGAVMMNSGGEAPGLWRNGQHRGQVQNGFILAGGEGQAVGMPELIYQRLMKSSSSSSATNNYNVNCYEHSLALLGNAASSSSSSVLSPAILESAPVSAAGEFGYWNPSLSTWSDLPTTGGAYP >itb09g04360.t1 pep chromosome:ASM357664v1:9:2437947:2439268:1 gene:itb09g04360 transcript:itb09g04360.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHSSSSTSVNGFYNFLTRGIDDLDRMFLSQDFMSFQFLQHVLSSLRSFHSQLISLVQKLRLPVGDKWLDEYMDESSRLWEACHVLKSAVSSMENYHSAGANLASSLDDHRILNLQLSRQVLRVINGCQREIVVLEEENKSLMENRVQGLSLRFDENVLMIESKFNGFSGFRGVLYAMRNVSSLLLLILISGLVYCWPETTLAYEGNMVFGSSFMVSAARLHQRVAAEMRHSGSGGILAHEFRRARAAMEEVKAEMERGGVEYESGEVMSIHEEKIESLKDCFGMLKCGAESIIGQLDDFFDEIVEGRKMLLDMCTHR >itb12g12150.t1 pep chromosome:ASM357664v1:12:10660474:10662407:-1 gene:itb12g12150 transcript:itb12g12150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGTLSMLLFPLCFFLLPQLCSTQLSGSSLDSLLQDYAYRALVSPRTGAVYDGNVPSNLTGIKVSAVRLRSGSLRARGIIYKEFDIPVGFIARPYVERLVLVYQNLGNWSMKYYPLHGYAYLSPVIGLLAYNASNLSAKNLSELNIMVSGKPISIRFSDIKSIPIGFAPKCVSFDLQGSVNFSNVSSENRCTTFQQGHFAIVIESPLPVTPLPPSNDKGKMSSKTIWIIVGTVGGGLAVLVLLGFLVAWLHKYKRQKKMQHMEMAAEVGEVLKMTTVGSTKAPAASVTRTQPTLESQYAL >itb08g06490.t1 pep chromosome:ASM357664v1:8:5511395:5514143:1 gene:itb08g06490 transcript:itb08g06490.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHRSGDFYGAAPYRSREGLSTRQVGGSDEIQLRIDPMHGDLDDEITGLRKQVKQLKNVAQEIESEAKFQNDFVSQLQMTLIKAQAGVKNNMRRLNRSIIAEGSNHVMHVVLFALLCFFVIYLLAKFSRR >itb13g12890.t2 pep chromosome:ASM357664v1:13:19316025:19320731:1 gene:itb13g12890 transcript:itb13g12890.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFSDKNAVFRKLRAKTESKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSMADDASLPSSPVAPETVSPTNGFSDSKINEAPKASSLAKDETPEVLAVPKVSHSVVATSIKKPIVAKKTGKSGGLGARKLTAKTSESLYDQKPEEPPVQVSSVSASTSPAAGSSFNSRFDYTENVQPAETNSGGPRVLNHIAPPKSSNFFAEYGMDSGFTKKASSNSSKAQIEETDEARKKFSNAKSISSAQYFGDQNKADAEASVSLQKFSDSRAISSVDLFGHEDDRLPIDLTASDLINRLSFQVKKIWMLYVNLQLLISCGLIGNFYLFFFCQAQQDISSLKNIAGETGKKISSMATNLISDIQDRIL >itb13g12890.t3 pep chromosome:ASM357664v1:13:19316025:19320731:1 gene:itb13g12890 transcript:itb13g12890.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MICVDNVCECFFCLDFLLLWQMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSMADDASLPSSPVAPETVSPTNGFSDSKINEAPKASSLAKDETPEVLAVPKVSHSVVATSIKKPIVAKKTGKSGGLGARKLTAKTSESLYDQKPEEPPVQVSSVSASTSPAAGSSFNSRFDYTENVQPAETNSGGPRVLNHIAPPKSSNFFAEYGMDSGFTKKASSNSSKAQIEETDEARKKFSNAKSISSAQYFGDQNKADAEASVSLQKFSDSRAISSVDLFGHEDDRLPIDLTASDLINRLSFQAQQDISSLKNIAGETGKKISSMATNLISDIQDRIL >itb13g12890.t1 pep chromosome:ASM357664v1:13:19316025:19320731:1 gene:itb13g12890 transcript:itb13g12890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFSDKNAVFRKLRAKTESKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSMADDASLPSSPVAPETVSPTNGFSDSKINEAPKASSLAKDETPEVLAVPKVSHSVVATSIKKPIVAKKTGKSGGLGARKLTAKTSESLYDQKPEEPPVQVSSVSASTSPAAGSSFNSRFDYTENVQPAETNSGGPRVLNHIAPPKSSNFFAEYGMDSGFTKKASSNSSKAQIEETDEARKKFSNAKSISSAQYFGDQNKADAEASVSLQKFSDSRAISSVDLFGHEDDRLPIDLTASDLINRLSFQAQQDISSLKNIAGETGKKISSMATNLISDIQDRIL >itb13g12890.t4 pep chromosome:ASM357664v1:13:19316038:19320731:1 gene:itb13g12890 transcript:itb13g12890.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MICVDNVCECFFCLDFLLLWQMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWSPEQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSMADDASLPSSPVAPETVSPTNGFSDSKINEAPKASSLAKDETPEVLAVPKVSHSVVATSIKKPIVAKKTGKSGGLGARKLTAKTSESLYDQKPEEPPVQVSSVSASTSPAAGSSFNSRFDYTENVQPAETNSGGPRVLNHIAPPKSSNFFAEYGMDSGFTKKASSNSSKAQIEETDEARKKFSNAKSISSAQYFGDQNKADAEASVSLQKFSDSRAISSVDLFGHEDDRLPIDLTASDLINRLSFQVKKIWMLYVNLQLLISCGLIGNFYLFFFCQAQQDISSLKNIAGETGKKISSMATNLISDIQDRIL >itb13g24800.t1 pep chromosome:ASM357664v1:13:30399066:30401060:1 gene:itb13g24800 transcript:itb13g24800.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVTVALSKYNDVLSETQCGADENAEELVTELTWMQCFLVDGEKTAQGRVRTDIWSSAIERLAVCAQELHYGYSAGRSNKSRDIANLNARFASLRAKIQPFLTCQAPTSDSANIPADFGPGIQIPKEILVGAWGGPGGSKWDFKPKGLIKQISITHGTIIDSITFTGVSADGRVEAPIKLGGPGGSKTIQVNINGPSEYLRGVSGTYGNHHGLTVIRSIKFETNVTTHGPHGSNDGTPFSFVVQDGKIVGFHGRAGDFVDAIGVYVTSI >itb04g15250.t5 pep chromosome:ASM357664v1:4:16470562:16475883:-1 gene:itb04g15250 transcript:itb04g15250.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPCSTQMLERVLEMICGKNRDEPKEESNNNGAIKIKGKVALMKKNVLDLKDVGAALVDRIHEVFGKGISLQLVSAVHADPANGYRGKLGKPAFIEKWLSKFTAVTAEDIEYDITFEWDEWMGVPGAFIIKNNHHSQFYLKTLILEDVPGHGPLHFVCNSWVYPAHYYNYDRVFFSNKTYLPCNTPEPLILYRDEELVNLRGNGCGMLKEWDRVYDYAYYNDLGEPDKGSDYARPSLGGSKEYPYPRRGRTGRPPTKADPETESRLALLSLDIYVPRDERFNHVKFSDFLAYAVKSLGQVLVPEIASLFDKTINEFDSFEDILKLYDGGAKLPNGNSANRFKGCISLELIKELVRSDGERFLKFPIPDVIKENRSAWRTDEEFGREMLAGVNPVVIQRLQEFPPTSKLDPQVYGMQNSTITREHVENRMDGLSVDEAILQKRLFILDHHDALMPYLRRINTTTTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDRHGATSQVFTPAHHGIEGSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATYRQLSVLHPIHKLLQPHFRDTMYINALARQILINAGGVLELTVFPAKYAMEMSSFIYKTWVFTDHAFPTDLLKRSAL >itb04g15250.t6 pep chromosome:ASM357664v1:4:16468213:16475883:-1 gene:itb04g15250 transcript:itb04g15250.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPCSTQMLERVLEMICGKNRDEPKEESNNNGAIKIKGKVALMKKNVLDLKDVGAALVDRIHEVFGKGISLQLVSAVHADPANGYRGKLGKPAFIEKWLSKFTAVTAEDIEYDITFEWDEWMGVPGAFIIKNNHHSQFYLKTLILEDVPGHGPLHFVCNSWVYPAHYYNYDRVFFSNKTYLPCNTPEPLILYRDEELVNLRGNGCGMLKEWDRVYDYAYYNDLGEPDKGSDYARPSLGGSKEYPYPRRGRTGRPPTKADPETESRLALLSLDIYVPRDERFNHVKFSDFLAYAVKSLGQVLVPEIASLFDKTINEFDSFEDILKLYDGGAKLPNGNSANRFKGCISLELIKELVRSDGERFLKFPIPDVIKENRSAWRTDEEFGREMLAGVNPVVIQRLQEFPPTSKLDPQVYGMQNSTITREHVENRMDGLSVDEAILQKRLFILDHHDALMPYLRRINTTTTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDRHGATSQVFTPAHHGIEGSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATYRQLSVLHPIHKLLQPHFRDTMYINALARQILINAGGVLELTVFPAKYAMEMSSFIYKTWVFTDHAFPTDLLKRLKCTIITVHDLIFGPRLISAGTGMETIFHSQLKIETGSEFRGRGQGREYTPRPVAIPTATTTFSK >itb04g15250.t2 pep chromosome:ASM357664v1:4:16468213:16475883:-1 gene:itb04g15250 transcript:itb04g15250.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPCSTQMLERVLEMICGKNRDEPKEESNNNGAIKIKGKVALMKKNVLDLKDVGAALVDRIHEVFGKGISLQLVSAVHADPANGYRGKLGKPAFIEKWLSKFTAVTAEDIEYDITFEWDEWMGVPGAFIIKNNHHSQFYLKTLILEDVPGHGPLHFVCNSWVYPAHYYNYDRVFFSNKTYLPCNTPEPLILYRDEELVNLRGNGCGMLKEWDRVYDYAYYNDLGEPDKGSDYARPSLGGSKEYPYPRRGRTGRPPTKADPETESRLALLSLDIYVPRDERFNHVKFSDFLAYAVKSLGQVLVPEIASLFDKTINEFDSFEDILKLYDGGAKLPNGNSANRFKGCISLELIKELVRSDGERFLKFPIPDVIKENRSAWRTDEEFGREMLAGVNPVVIQRLQEFPPTSKLDPQVYGMQNSTITREHVENRMDGLSVDEAILQKRLFILDHHDALMPYLRRINTTTTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDRHGATSQVFTPAHHGIEGSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATYRQLSVLHPIHKLLQPHFRDTMYINALARQILINAGGVLELTVFPAKYAMEMSSFIYKTWVFTDHAFPTDLLKRLKCTIITVHDLIFGPRLISAGTGMETIFHSQLKIETGSEFRGRGQGREYTPRPVAIPTATTTFSKCRVLDLTLYH >itb04g15250.t1 pep chromosome:ASM357664v1:4:16468195:16475966:-1 gene:itb04g15250 transcript:itb04g15250.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPGAFIIKNNHHSQFYLKTLILEDVPGHGPLHFVCNSWVYPAHYYNYDRVFFSNKTYLPCNTPEPLILYRDEELVNLRGNGCGMLKEWDRVYDYAYYNDLGEPDKGSDYARPSLGGSKEYPYPRRGRTGRPPTKADPETESRLALLSLDIYVPRDERFNHVKFSDFLAYAVKSLGQVLVPEIASLFDKTINEFDSFEDILKLYDGGAKLPNGNSANRFKGCISLELIKELVRSDGERFLKFPIPDVIKENRSAWRTDEEFGREMLAGVNPVVIQRLQEFPPTSKLDPQVYGMQNSTITREHVENRMDGLSVDEAILQKRLFILDHHDALMPYLRRINTTTTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDRHGATSQVFTPAHHGIEGSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATYRQLSVLHPIHKLLQPHFRDTMYINALARQILINAGGVLELTVFPAKYAMEMSSFIYKTWVFTDHAFPTDLLKRGVAVPDSTQPHGLRLLIEDYPYAVDGLEVWAAIEAWVEEYCRFYYHSDYMVQCDYELQSWWAEIRQVGHGDLKDETWWPQMQTRPQLIQTCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPETGTPEHAELLSDPDLAFLKTITPQLQTLLGVSLIEILSRHSSDEIYLGQRDTPHWTSDAEPLEAFQRFHDKLVQIEGRILERNCDPRLKNRFGPVRVPYTLLYPNASDYTKIGGLTGKGIPNSISI >itb04g15250.t4 pep chromosome:ASM357664v1:4:16468195:16475883:-1 gene:itb04g15250 transcript:itb04g15250.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPCSTQMLERVLEMICGKNRDEPKEESNNNGAIKIKGKVALMKKNVLDLKDVGAALVDRIHEVFGKGISLQLVSAVHADPANGYRGKLGKPAFIEKWLSKFTAVTAEDIEYDITFEWDEWMGVPGAFIIKNNHHSQFYLKTLILEDVPGHGPLHFVCNSWVYPAHYYNYDRVFFSNKTYLPCNTPEPLILYRDEELVNLRGNGCGMLKEWDRVYDYAYYNDLGEPDKGSDYARPSLGGSKEYPYPRRGRTGRPPTKADPETESRLALLSLDIYVPRDERFNHVKFSDFLAYAVKSLGQVLVPEIASLFDKTINEFDSFEDILKLYDGGAKLPNGNSANRFKGCISLELIKELVRSDGERFLKFPIPDVIKENRSAWRTDEEFGREMLAGVNPVVIQRLQEFPPTSKLDPQVYGMQNSTITREHVENRMDGLSVDEAILQKRLFILDHHDALMPYLRRINTTTTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDRHGATSQVFTPAHHGIEGSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATYRQLSVLHPIHKLLQPHFRDTMYINALARQILINAGGVLELTVFPAKYAMEMSSFIYKTWVFTDHAFPTDLLKRGVAVPDSTQPHGLRLLIEDYPYAVDGLEVWAAIEAWVEEYCRFYYHSDYMVQCDYELQSWWAEIRQVGHGDLKDETWWPQMQTRPQLIQTCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPETGTPEHAELLSDPDLAFLKTITPQLQTLLGVSLIEILSRHSSDEIYLGQRDTPHWTSDAEPLEAFQRFHDKLVQIEGRILERNCDPRLKNRFGPVRVPYTLLYPNASDYTKIGGLTGKGIPNSISI >itb04g15250.t3 pep chromosome:ASM357664v1:4:16468195:16475966:-1 gene:itb04g15250 transcript:itb04g15250.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPCSTQMLERVLEMICGKNRDEPKEESNNNGAIKIKGKVALMKKNVLDLKDVGAALVDRIHEVFGKGISLQLVSAVHADPANGYRGKLGKPAFIEKWLSKFTAVTAEDIEYDITFEWDEWMGVPGAFIIKNNHHSQFYLKTLILEDVPGHGPLHFVCNSWVYPAHYYNYDRVFFSNKTYLPCNTPEPLILYRDEELVNLRGNGCGMLKEWDRVYDYAYYNDLGEPDKGSDYARPSLGGSKEYPYPRRGRTGRPPTKADPETESRLALLSLDIYVPRDERFNHVKFSDFLAYAVKSLGQVLVPEIASLFDKTINEFDSFEDILKLYDGGAKLPNGNSANRFKGCISLELIKELVRSDGERFLKFPIPDVIKENRSAWRTDEEFGREMLAGVNPVVIQRLQEFPPTSKLDPQVYGMQNSTITREHVENRMDGLSVDEAILQKRLFILDHHDALMPYLRRINTTTTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDRHGATSQVFTPAHHGIEGSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATYRQLSVLHPIHKLLQPHFRDTMYINALARQILINAGGVLELTVFPAKYAMEMSSFIYKTWVFTDHAFPTDLLKRGVAVPDSTQPHGLRLLIEDYPYAVDGLEVWAAIEAWVEEYCRFYYHSDYMVQCDYELQSWWAEIRQVGHGDLKDETWWPQMQTRPQLIQTCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPETGTPEHAELLSDPDLAFLKTITPQLQTLLGVSLIEILSRHSSDEIYLGQRDTPHWTSDAEPLEAFQRFHDKLVQIEGRILERNCDPRLKNRFGPVRVPYTLLYPNASDYTKIGGLTGKGIPNSISI >itb13g14690.t1 pep chromosome:ASM357664v1:13:21294552:21295232:1 gene:itb13g14690 transcript:itb13g14690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLVYVRAFVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLRLSLHRMICILLVAIIPISLLWLNLEPIMVFMGQDKEITSMAATYCLYSLPDLLTNTLLQPLRVYLRSQGVTKPQMWCTFVAVGFRVPLNFVLVVGMGMGVPGVAVAAVLTNLQMLVLMMGYVWVCGRWEGKWGCGGVGGVSGVGPLLRPAVPSCVGICLE >itb10g04280.t3 pep chromosome:ASM357664v1:10:4093751:4102120:1 gene:itb10g04280 transcript:itb10g04280.t3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable starch synthase 4, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT4G18240) UniProtKB/Swiss-Prot;Acc:Q0WVX5] MIRNAEKNIYILNEARIHALEDLEKILGEKEALQGEINALEMKLAETDARLRVAAQEKIHMELLEEQLEKLKNELSSRGISEGDVHNSIPISQDTVVYSISEELDILRKENASLKGDLQSLKTELSNVKQTGERILVLEKERSFLDSSLKELESKLAASQEDVSKLSVLKSECKGLYDKVDHLHELLHKATKQADQATLVLQQNLELRKKVERLEESLEEANVYKLSSENLHQHNELMQEKIKLLDECLQRSDEEIQSYIQLYQDSVKEFQVTLDNLKEESKKNASDEPVDDMPMEFWSRLLLMIDGWSLEKKISKDDAMLLRELVWKRDRRLCDEYMLCKEKNENEIISTLLRSISSSASGRLHIIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYELISNLRVLDVVVESYFDGQLYENKIWMGTVEGLPVYFIEPHHQGNFFWRGQFYGENDDFKRFSFFSRAALELLLQAGKRPDIIHCHDWQTAFIAPLYWDLYVPKGLDSARICFTCHNFEYQGTAPASELASCGLDVYHLNRPDRMQDNSSNDRINPVKGAIVFSNIVTTVSPTYAQEVRGAEGGKGLHATINSHAKKFIGILNGIDYDAWNPASDTFLKAQYSASDIEGKTENKEALRRHLGLSSADVRRPLVGCITRLVPQKGVHLIRHAIYKTLELGGQFVLLGSSPVSHIQREFEDISNQFQNHEHARLILKYDESLSRLIFAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDKTIPIQFRNGFTFTTADEQGLSNAMERAFNHYKNNSEGWKELIQKDMTIDFSWNSSASQYEELYQMAVARARAAASRS >itb10g04280.t1 pep chromosome:ASM357664v1:10:4093700:4102133:1 gene:itb10g04280 transcript:itb10g04280.t1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable starch synthase 4, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT4G18240) UniProtKB/Swiss-Prot;Acc:Q0WVX5] MIRNAEKTRIHALEDLEKILGEKEALQGEINALEMKLAETDARLRVAAQEKIHMELLEEQLEKLKNELSSRGISEGDVHNSIPISQDTVVYSISEELDILRKENASLKGDLQSLKTELSNVKQTGERILVLEKERSFLDSSLKELESKLAASQEDVSKLSVLKSECKGLYDKVDHLHELLHKATKQADQATLVLQQNLELRKKVERLEESLEEANVYKLSSENLHQHNELMQEKIKLLDECLQRSDEEIQSYIQLYQDSVKEFQVTLDNLKEESKKNASDEPVDDMPMEFWSRLLLMIDGWSLEKKISKDDAMLLRELVWKRDRRLCDEYMLCKEKNENEIISTLLRSISSSASGRLHIIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYELISNLRVLDVVVESYFDGQLYENKIWMGTVEGLPVYFIEPHHQGNFFWRGQFYGENDDFKRFSFFSRAALELLLQAGKRPDIIHCHDWQTAFIAPLYWDLYVPKGLDSARICFTCHNFEYQGTAPASELASCGLDVYHLNRPDRMQDNSSNDRINPVKGAIVFSNIVTTVSPTYAQEVRGAEGGKGLHATINSHAKKFIGILNGIDYDAWNPASDTFLKAQYSASDIEGKTENKEALRRHLGLSSADVRRPLVGCITRLVPQKGVHLIRHAIYKTLELGGQFVLLGSSPVSHIQREFEDISNQFQNHEHARLILKYDESLSRLIFAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDKTIPIQFRNGFTFTTADEQGLSNAMERAFNHYKNNSEGWKELIQKDMTIDFSWNSSASQYEELYQMAVARARAAASRS >itb10g04280.t2 pep chromosome:ASM357664v1:10:4093699:4102135:1 gene:itb10g04280 transcript:itb10g04280.t2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable starch synthase 4, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT4G18240) UniProtKB/Swiss-Prot;Acc:Q0WVX5] MEARLSTTFLGHRWTPAVAVAGGGRRLFGKQFNTRLFFPLRPHPSLPVSCKTRQRHFSLQNKRQRHKKISLERPTNTDIRSASVEDDPENSLDDSLPISNEETIADGNIVTVTEAESPDVKEANSLPISKEEITFEGNDILTEAGSFDENGANSVPISNEETKAEGSIDNMTEGESFDEKEVNSIPISNEETKAEGSIDTMTEAESSDEKRENSLVLLDEIKSLSVDANGEKASIQLQDLVGMIRNAEKNIYILNEARIHALEDLEKILGEKEALQGEINALEMKLAETDARLRVAAQEKIHMELLEEQLEKLKNELSSRGISEGDVHNSIPISQDTVVYSISEELDILRKENASLKGDLQSLKTELSNVKQTGERILVLEKERSFLDSSLKELESKLAASQEDVSKLSVLKSECKGLYDKVDHLHELLHKATKQADQATLVLQQNLELRKKVERLEESLEEANVYKLSSENLHQHNELMQEKIKLLDECLQRSDEEIQSYIQLYQDSVKEFQVTLDNLKEESKKNASDEPVDDMPMEFWSRLLLMIDGWSLEKKISKDDAMLLRELVWKRDRRLCDEYMLCKEKNENEIISTLLRSISSSASGRLHIIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYELISNLRVLDVVVESYFDGQLYENKIWMGTVEGLPVYFIEPHHQGNFFWRGQFYGENDDFKRFSFFSRAALELLLQAGKRPDIIHCHDWQTAFIAPLYWDLYVPKGLDSARICFTCHNFEYQGTAPASELASCGLDVYHLNRPDRMQDNSSNDRINPVKGAIVFSNIVTTVSPTYAQEVRGAEGGKGLHATINSHAKKFIGILNGIDYDAWNPASDTFLKAQYSASDIEGKTENKEALRRHLGLSSADVRRPLVGCITRLVPQKGVHLIRHAIYKTLELGGQFVLLGSSPVSHIQREFEDISNQFQNHEHARLILKYDESLSRLIFAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDKTIPIQFRNGFTFTTADEQGLSNAMERAFNHYKNNSEGWKELIQKDMTIDFSWNSSASQYEELYQMAVARARAAASRS >itb00g00890.t1 pep chromosome:ASM357664v1:16:5450583:5451140:-1 gene:itb00g00890 transcript:itb00g00890.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETPTAFSGAAAMSQETAMFSDDIDSSCSTPYVSAPSSPGRSQPHCGFYYSAPASPMHFVLSTTSLISSASVHSEASAAAAAANSSFEFDFSSRLAGEGDACAGSMSSADELFFNGQIRPMKLSTHLQRPQVLTPLVDLDESEEADMDRSEEHACRGRDLKFRDRSLRRRTQSMSPLRTTSF >itb01g32680.t1 pep chromosome:ASM357664v1:1:36093721:36097566:-1 gene:itb01g32680 transcript:itb01g32680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQYLAGTLLAGIFGLVAAYALFFRRNGGRSEKDGVKTTAIIDGECRSRDGGGDDVDIIIVGAGVAGAALAHTLGKDGRRVRVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVEQIDAQRVFGYALFKDGKNTRLSYPLEKFHADVSGRSFHNGRFIQRMREKAASLPNVQLEEGTVTSLLEEKGTIKGVQYKTKSGEELKAYAPLTVVCDGCYSNLRRSLCSPKVDVPSCFVGLVLENCRLPHANHGHVILGDPSPILFYPISSTEIRCLVDVPGQKVPSISNGEMAKYLKTVVAPQVPPELYDAFIAAVDKGNIRTMQNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLQDLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGVFSNGPVSLLSGLNPRPLSLVCHFFAVAIYGVGRLLLPFPSLKRMWIGARLISSASAIILPIIKAEGVRQMFFPATVPAYYRAPPAK >itb10g25080.t1 pep chromosome:ASM357664v1:10:28548414:28550053:1 gene:itb10g25080 transcript:itb10g25080.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNVLSDHININMASAKTIVSTAASVAASAMVVRSLARDLIPYELQQYVYLNINLFFKSFSSDMVLIINEFDGLANNQIYKAAEVYLGSKVSNSTSRFRVSMPEKETKISTSMDKDQEVVDFFNGVKLKWRQVTTQVENKRSRYPGQYSGGESEIRYFRLIFDKKHKNMVLDCYFPFILNESNKIKEEKKTLKIYTMNSQHTRMYSSSGDYTWNSINLDHPATFETLAMDAELKKTIMEDLERFVRRRDYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTDIHANSELRRILLATGNKSILVVEDIDCSLDIEDRKADEQALKMLRNHVSQAQTINIVRKPKPQEVTLSGLLNFIDGLWSSCGDERIIVFTTNHKERLEPALLRPGRMDVHIHMSYCTPAAFMTLAKNYLGIEDHSLFPEIQVLLENVNVTPAEVGEQLLRNEGVQDALNCVLHFLQEKTREADDESKAKAKEMEEQDAEKEQPGETSSE >itb10g07970.t1 pep chromosome:ASM357664v1:10:9836818:9841166:-1 gene:itb10g07970 transcript:itb10g07970.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCSGLKCWHLSWLFVFLVLVELMLSYQITFKIIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLCKDRHLDRRKKLIIATDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPHIPNFCDPEWRMLMEQCWAPDPAARPSFTEIARRLRAMSVACPSRPQGHLAQTQQSK >itb11g09070.t3 pep chromosome:ASM357664v1:11:5991454:5997706:-1 gene:itb11g09070 transcript:itb11g09070.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSMLKSQAIAFCGQIKESQSICSICIDRLRFSKLVQVQFWCLQCLHDALRVRYSSMSSEEKLLIRKSVFSMACYETSDDMNLVKVLDGPAFIKNKLAQVFVTLIYFEYPLTWPSVFVDFLPNLSKGAVVIDMFCRVLNAMDDELISLDYPRSQEEGAVAARVKDAMRQQCIGQIVRAWYDVLIMYRNSDPDLCSSVLDSMRRYVLWIDIGLIVNDAFVALLFESMLVDGLPDQLRAAAAGCVQAVVSKRMDPKAKIALLQNLQIRRVFGLVVGDSDSEFVSSIASLLTAYATEVLESFKRIDSEDGRAVSMELLNEVLPSVFYAMQHCEIDETFSIVQFLSGYVGMMKSLSPLTETQLHHLGQILEVIRTQIRYDSSYRSNLDLCDKIGKEEEDRMVEFRKDLLVLLRSVGRVAPDVTQIFIRNSLVSAVASSEDKNIEEIEAALSLFYSFGESVSEEIMRTGGGLLGELVPMLLSTKFPCHSNRLVALVYLETITRYVKFVQESTQYIPMVLGAFLDERGIHHPNIKVSRRASYLFMRVVKLLKAKLVPYIETILQSLQDTVSQFTTMSYSSKEFSGSEDGSHIFEAIGLLIGMEDVPLEKQSEYLSALLTPLCRQVEALLLNAKLLNPDESPGKIVNLQQIIMAINALSKGFSERLVTASRPAIGLMFKQTLDVMLQILDVFPKVEPLRCKVTSFVHRMVETLGASVFPYLPKALEPLLVESEPKEVVGFLVLLNQLICKFSTAVGDILEEVYPAIAGRVFSILPRDDIPSGPGGRTEEIRELQELQRMFYTFLHVIATHDLSSVFLSAKSRVYLDTMMQMLLFSCCNHKDITIRKACVQIFIRLIKDWCTRPYGEEKVPGFQSFIIEVFATNCCLYSVLDKSFEFRDANTLILFGEIVLAQKIMYEKFGNDFLVHFVSKGFPSGHCPQDLTELYCQKLQGNDMKALKSCYQSIIENLRRQQNGALFR >itb11g09070.t1 pep chromosome:ASM357664v1:11:5990737:5997706:-1 gene:itb11g09070 transcript:itb11g09070.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSMLKSQAIAFCGQIKESQSICSICIDRLRFSKLVQVQFWCLQCLHDALRVRYSSMSSEEKLLIRKSVFSMACYETSDDMNLVKVLDGPAFIKNKLAQVFVTLIYFEYPLTWPSVFVDFLPNLSKGAVVIDMFCRVLNAMDDELISLDYPRSQEEGAVAARVKDAMRQQCIGQIVRAWYDVLIMYRNSDPDLCSSVLDSMRRYVLWIDIGLIVNDAFVALLFESMLVDGLPDQLRAAAAGCVQAVVSKRMDPKAKIALLQNLQIRRVFGLVVGDSDSEFVSSIASLLTAYATEVLESFKRIDSEDGRAVSMELLNEVLPSVFYAMQHCEIDETFSIVQFLSGYVGMMKSLSPLTETQLHHLGQILEVIRTQIRYDSSYRSNLDLCDKIGKEEEDRMVEFRKDLLVLLRSVGRVAPDVTQIFIRNSLVSAVASSEDKNIEEIEAALSLFYSFGESVSEEIMRTGGGLLGELVPMLLSTKFPCHSNRLVALVYLETITRYVKFVQESTQYIPMVLGAFLDERGIHHPNIKVSRRASYLFMRVVKLLKAKLVPYIETILQSLQDTVSQFTTMSYSSKEFSGSEDGSHIFEAIGLLIGMEDVPLEKQSEYLSALLTPLCRQVEALLLNAKLLNPDESPGKIVNLQQIIMAINALSKGFSERLVTASRPAIGLMFKQTLDVMLQILDVFPKVEPLRCKVTSFVHRMVETLGASVFPYLPKALEPLLVESEPKEVVGFLVLLNQLICKFSTAVGDILEEVYPAIAGRVFSILPRDDIPSGPGGRTEEIRELQELQRMFYTFLHVIATHDLSSVFLSAKSRVYLDTMMQMLLFSCCNHKDITIRKACVQIFIRLIKDWCTRPYGEEKVPGFQSFIIEVFATNCCLYSVLDKSFEFRDANTLILFGEIVLAQKIMYEKFGNDFLVHFVSKGFPSGHCPQDLTELYCQKLQGNDMKALKSCYQSIIENLRRQQNGALFR >itb11g09070.t2 pep chromosome:ASM357664v1:11:5990737:5997706:-1 gene:itb11g09070 transcript:itb11g09070.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGSVDSMLKSQAIAFCGQIKESQSICSICIDRLRFSKLVQVQFWCLQCLHDALRVRYSSMSSEEKLLIRKSVFSMACYETSDDMNLVKVLDGPAFIKNKLAQVFVTLIYFEYPLTWPSVFVDFLPNLSKGAVVIDMFCRVLNAMDDELISLDYPRSQEEGAVAARVKDAMRQQCIGQIVRAWYDVLIMYRNSDPDLCSSVLDSMRRYVLWIDIGLIVNDAFVALLFESMLVDGLPDQLRAAAAGCVQAVVSKRMDPKAKIALLQNLQIRRVFGLVVGDSDSEFVSSIASLLTAYATEVLESFKRIDSEDGRAVSMELLNEVLPSVFYAMQHCEIDETFSIVQFLSGYVGMMKSLSPLTETQLHHLGQILEVIRTQIRYDSSYRSNLDLCDKIGKEEEDRMVEFRKDLLVLLRSVGRVAPDVTQIFIRNSLVSAVASSEDKNIEEIEAALSLFYSFGESVSEEIMRTGGGLLGELVPMLLSTKFPCHSNRLVALVYLETITRYVKFVQESTQYIPMVLGAFLDERGIHHPNIKVSRRASYLFMRVVKLLKAKLVPYIETILQSLQDTVSQFTTMSYSSKEFSGSEDGSHIFEAIGLLIGMEDVPLEKQSEYLSALLTPLCRQVEALLLNAKLLNPDESPGKIVNLQQIIMAINALSKGFSERLVTASRPAIGLMFKQTLDVMLQILDVFPKVEPLRCKVTSFVHRMVETLGASVFPYLPKALEPLLVESEPKEVVGFLVLLNQLICKFSTAVGDILEEVYPAIAGRVFSILPRDDIPSGPGGRTEEIRELQELQRMFYTFLHVIATHDLSSVFLSAKSRVYLDTMMQMLLFSCCNHKDITIRKACVQIFIRLIKDWCTRPYGEEKVPGFQSFIIEVFATNCCLYSVLDKSFEFRDANTLILFGEIVLAQKIMYEKFGNDFLVHFVSKGFPSGHCPQDLTELYCQKLQGNDMKALKSCYQSIIENLRRQQNGALFR >itb11g01840.t1 pep chromosome:ASM357664v1:11:910903:911926:-1 gene:itb11g01840 transcript:itb11g01840.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKGFIVTLWVVYLTSKTTLVLHADAARTGAAAPAAAGGNVYCSTISAVPDRVRCEKVTKTATNWSQAMTMALTDASKHAAHVVHDSKTVSLGDTGCSETYTNIEARLKECLDLVSKGDKGDEINFKLSACVTALEDCKNRLQDDRDDETPFYILNRHFNHALKICLVVDKSRNAE >itb10g00660.t1 pep chromosome:ASM357664v1:10:442389:445311:-1 gene:itb10g00660 transcript:itb10g00660.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKEMSIIIATLGLASFIFGVVAENKKPAYGTAIPGKGIVICKYPSDPTPVFGYLSVAFLLASTVVGYFSLFYPYKGKSIPQSAFFKNTSFLVFFNIALGTTGLAAAFLLWPTITEQLHITNNIHQDLQTGCPTAKTGLLGGGAFLSLDSSLFWLVLLMLANNAREDYLEDEGMKGGEGYAVSADYEADVPISSKASH >itb10g00660.t6 pep chromosome:ASM357664v1:10:442389:444524:-1 gene:itb10g00660 transcript:itb10g00660.t6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKEMSIIIATLGLASFIFGVVAENKKPAYGTAIPGKGIVICKYPSDPTPVFGYLSVAFLLASTVVGYFSLFYPYKGKSIPQSAFFKNTSFLVFFNIALGTTGLAAAFLLWPTITEQLHITNNIHQDLQTGCPTAKTGLLGGGAFLSLDSSLFWLVLLMLANNAREDYLEDEGMKGGEGYAVSADYEADVPISSKASH >itb10g00660.t2 pep chromosome:ASM357664v1:10:442389:445311:-1 gene:itb10g00660 transcript:itb10g00660.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKEMSIIIATLGLASFIFGVVAENKKPAYGTAIPGKGIVICKYPSDPTPVFGYLSVAFLLASTVVGYFSLFYPYKGKSIPQSAFFKNTSFLVFFNIALGTTGLAAAFLLWPTITEQLHITNNIHQDLQTGCPTAKTGLLGGGAFLSLDSSLFWLVLLMLANNAREDYLEDEGMKGGEGYAVSADYEADVPISSKASH >itb10g00660.t5 pep chromosome:ASM357664v1:10:442389:445177:-1 gene:itb10g00660 transcript:itb10g00660.t5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKEMSIIIATLGLASFIFGVVAENKKPAYGTAIPGKGIVICKYPSDPTPVFGYLSVAFLLASTVVGYFSLFYPYKGKSIPQSAFFKNTSFLVFFNIALGTTGLAAAFLLWPTITEQLHITNNIHQDLQTGCPTAKTGLLGGGAFLSLDSSLFWLVLLMLANNAREDYLEDEGMKGGEGYAVSADYEADVPISSKASH >itb10g00660.t3 pep chromosome:ASM357664v1:10:442389:445177:-1 gene:itb10g00660 transcript:itb10g00660.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKEMSIIIATLGLASFIFGVVAENKKPAYGTAIPGKGIVICKYPSDPTPVFGYLSVAFLLASTVVGYFSLFYPYKGKSIPQSAFFKNTSFLVFFNIALGTTGLAAAFLLWPTITEQLHITNNIHQDLQTGCPTAKTGLLGGGAFLSLDSSLFWLVLLMLANNAREDYLEDEGMKGGEGYAVSADYEADVPISSKASH >itb10g00660.t4 pep chromosome:ASM357664v1:10:442389:444524:-1 gene:itb10g00660 transcript:itb10g00660.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMKEMSIIIATLGLASFIFGVVAENKKPAYGTAIPGKGIVICKYPSDPTPVFGYLSVAFLLASTVVGYFSLFYPYKGKSIPQSAFFKNTSFLVFFNIALGTTGLAAAFLLWPTITEQLHITNNIHQDLQTGCPTAKTGLLGGGAFLSLDSSLFWLVLLMLANNAREDYLEDEGMKGGEGYAVSADYEADVPISSKASH >itb03g01060.t1 pep chromosome:ASM357664v1:3:554464:556476:1 gene:itb03g01060 transcript:itb03g01060.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIARAFLISRLAELSLKPLQPTQPPPPFAHHLLIRPFLPAPASTSSRRRPSSFAAVNCLVSGVDGGGVSDDFVSTTKSGFGREFAVIANMLKRIEPLDTSVISEGVSAAAKDSMKQTISTMLGLLPSDQFSVTVRFSKHPLHRLIVSSIITGYTLWNAEYRISLMRNFDISSNSSKNLNSGDRNEDPCVKGEEVSIREIESGADGCNVASDTISSQNFEDLSPEALNYIQQLEVELKTVKQDLHALEQENLQMDYDKRSNNDLLDYLRLLEPDMVIELSKPSSFEVEEVIHQLAQNILRIFFKEETDSESEESILFDAAATNRQTDAESCDTIGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >itb06g22330.t2 pep chromosome:ASM357664v1:6:24663047:24665791:-1 gene:itb06g22330 transcript:itb06g22330.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSLNRYIGIWYKQDPGKTVVWVANRDAPLADTSSAVLKITLRGQLSLLGDKGHPVWSANTSRSVQNPVAELLDSGNLVVRDADDENPENFLWQSFDHPTDNFLTGMKVGRNLQTGHEVFLTAWKSENDPASGQYTLHLDPTGYPQFILKNGTTEIFSSGPWNGLRFSVTSEQRSTNLAPHGLVINKKEVYYWYNISNEFGSSRFLVTSNGVLKSWTWDDQIKQWVSFHTEPSDTCGTYGLCGKNGVCNIQDYRSCGCLDKFLPNNNATESLSQGCHRRTPLICHNNGSSSDGFLKYSDIKLPDSKHSWYNESMSLQECEQVCLRNCSCMAYSTLNITNGGSGCLIWYGDLVDMRTIQDGQDIYIRLAATEIPAQVLRSIHVGLLCVQQSPEDRPNMSAVVQMLVSNAVLPQPKEPGFFVGRRAIFNSESSSGKHATTSLNEVTMSSLDPR >itb06g22330.t1 pep chromosome:ASM357664v1:6:24659501:24665791:-1 gene:itb06g22330 transcript:itb06g22330.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPTNSLNRYIGIWYKQDPGKTVVWVANRDAPLADTSSAVLKITLRGQLSLLGDKGHPVWSANTSRSVQNPVAELLDSGNLVVRDADDENPENFLWQSFDHPTDNFLTGMKVGRNLQTGHEVFLTAWKSENDPASGQYTLHLDPTGYPQFILKNGTTEIFSSGPWNGLRFSVTSEQRSTNLAPHGLVINKKEVYYWYNISNEFGSSRFLVTSNGVLKSWTWDDQIKQWVSFHTEPSDTCGTYGLCGKNGVCNIQDYRSCGCLDKFLPNNNATESLSQGCHRRTPLICHNNGSSSDGFLKYSDIKLPDSKHSWYNESMSLQECEQVCLRNCSCMAYSTLNITNGGSGCLIWYGDLVDMRTIQDGQDIYIRLAATEIPEGGTFVMGFFSPTNSLNHYIGIWYRKDPVKTVVWVANRDDPLAETSSAVLKITMGGQLALVGDKGQPVWSANTSRSVPNPVAELLDSGNLVVRDADDEKLQNFLWQSFDYPTDHWLPGMKVGWNLQTGHEVFITAWKGENDPASGQYTLHLDPTGYPQVILKNRTTEIFSSGPWNGLHYSVEPVKQKYTNVGPYGLGALDGGEEIAVKRLSKNSTQGLEEFMNEVICVAKLQHRNLVKLLGCCISGEEKMLIYEYMPNKSLDLFIFGNATGDNTKRVAGTRGYMSPEYAGHGIFSVKSDVFSFGISVLEIISGRRNNEFINEDQYVALPEHAWKLYKEGKSIALVDEHIVGSYDVVQVLRSIHVGLLCVQQSPEDRPNMSFVVQMLVNDFALPHAKEPGFFFGKEYSSGTHAKGSQNEVSITTLNPR >itb13g12160.t2 pep chromosome:ASM357664v1:13:18276571:18282587:-1 gene:itb13g12160 transcript:itb13g12160.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGKINIKRFADGEIYVHLQESVRGCDVYLVQSTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANIITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNIAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVTEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >itb13g12160.t1 pep chromosome:ASM357664v1:13:18276571:18283623:-1 gene:itb13g12160 transcript:itb13g12160.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALPFPSTSSSSNSLSSPASLPRRTFVPNRSLRSPLNVVKCEVTEPINGKPIVPVLTGGTLPKLLNSRCLTDAVRNDHRPKIFSGLSNPALSQEIAWYMGLDLGKINIKRFADGEIYVHLQESVRGCDVYLVQSTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANIITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNIAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVTEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >itb13g12160.t3 pep chromosome:ASM357664v1:13:18276571:18283498:-1 gene:itb13g12160 transcript:itb13g12160.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALPFPSTSSSSNSLSSPASLPRRTFVPNRSLRSPLNVVKCEVTEPINGKPIVPVLTGGTLPKLLNSRCLTDAVRNDHRPKIFSGLSNPALSQEIAWYMGLDLGKINIKRFADGEIYVHLQESVRGCDVYLVQSTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANIITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNIAEVMNLIGDVKGKVAVMVDDMIDTAGELAAHMPKRHIFYS >itb09g21620.t1 pep chromosome:ASM357664v1:9:19705244:19708911:1 gene:itb09g21620 transcript:itb09g21620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLNVDAALDLNNSKMGFGFVIRDSAGSFISARCLPFPSIFKPHEAEAIGVREALKWIKNLQIDNVQLESDCIKVINGINSDLIRISSFDLLLYDIKEIARVFSNLSFLFAKRSANRVAHMLVIHIILYLYLPHDSGVLLLIFFTLIHLKSVCVLHLINLSLFTNEEQRKDF >itb09g21620.t2 pep chromosome:ASM357664v1:9:19705963:19708911:1 gene:itb09g21620 transcript:itb09g21620.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLNVDAALDLNNSKMGFGFVIRDSAGSFISARCLPFPSIFKPHEAEAIGVREALKWIKNLQIDNVQLESDCIKVINGINSDLIRISSFDLLLYDIKEIARVFSNLSFLFAKRSANRVAHMLVIHIILYLYLPHDSGVLLLIFFTLIHLKSVCVLHLINLSLFTNEEQRKDF >itb09g01690.t1 pep chromosome:ASM357664v1:9:1023704:1025758:1 gene:itb09g01690 transcript:itb09g01690.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRIELVLARISELRSKITNCIATGRLEGRELGKEGSDVDQEAELDSDEATDCLLNIREALEKLELQVSSLQALQHQQLYEKEEALAGIVYSQEKLLHKLKEYKGENLDVIREAIAFVGETAEENNDLLLPPYPSRPSFSLVSTKTHISHFQSSHLPSQNGAINGSQRNGLTKDLIGPNQTEAKTPLKMVKHFVGGAAKMVLTVLGVISVLTLVGFEPSLKKRDNRIKFINLFQLPGVDEKERKIECPPGKVPVVENGEIRCVVRERVEVPFESAIATPDVSYGCG >itb03g09000.t1 pep chromosome:ASM357664v1:3:6827432:6832263:-1 gene:itb03g09000 transcript:itb03g09000.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNQKKCQELASSSSFYSKIYSEVEEIGWRHLVRLGEDLTSLSFRIIDKKGRTHTIMIELDKAYPKSPPSISADVPCIFNLQWSANSTLKDVLKQFRQHLDKLQPFWSTMDEIDGSLRVFDPKHPRLATSYRQIDIGNDCYIILSIDPDDPKALPECHFIGSDSEVNILHGMWRRNCQRWNKDKPFAENLVSLLKIQLPGPPDEQKSEQQSECGICYAPYLPIDDELGTKSGSGTDYTCENNNCSKAFHSICLADWLRSITTTRHSFDVLFGNCPYCSDPIAVKINTKK >itb03g09000.t2 pep chromosome:ASM357664v1:3:6827432:6830797:-1 gene:itb03g09000 transcript:itb03g09000.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNQKKCQELASSSSFYSKIYSEVEEIGWRHLVRLGEDLTSLSFRIIDKKGRTHTIMIELDKAYPKSPPSISADVPCIFNLQWSANSTLKDVLKQFRQHLDKLQPFWSTMDEIDGSLRVFDPKHPRLATSYRQIDIGNDCYIILSIDPDDPKALPECHFIGSDSEVNILHGMWRRNCQRWNKDKPFAENLVSLLKIQLPGPPDEQKSEQQSECGICYAPYLPIDDELGTKSGSGTDYTCENNNCSKAFHSICLADWLRSITTTRHSFDVLFGNCPYCSDPIAVKINTKK >itb03g09000.t4 pep chromosome:ASM357664v1:3:6827432:6829891:-1 gene:itb03g09000 transcript:itb03g09000.t4 gene_biotype:protein_coding transcript_biotype:protein_coding MIELDKAYPKSPPSISADVPCIFNLQWSANSTLKDVLKQFRQHLDKLQPFWSTMDEIDGSLRVFDPKHPRLATSYRQIDIGNDCYIILSIDPDDPKALPECHFIGSDSEVNILHGMWRRNCQRWNKDKPFAENLVSLLKIQLPGPPDEQKSEQQSECGICYAPYLPIDDELGTKSGSGTDYTCENNNCSKAFHSICLADWLRSITTTRHSFDVLFGNCPYCSDPIAVKINTKK >itb03g09000.t3 pep chromosome:ASM357664v1:3:6827432:6830093:-1 gene:itb03g09000 transcript:itb03g09000.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MIELDKAYPKSPPSISADVPCIFNLQWSANSTLKDVLKQFRQHLDKLQPFWSTMDEIDGSLRVFDPKHPRLATSYRQIDIGNDCYIILSIDPDDPKALPECHFIGSDSEVNILHGMWRRNCQRWNKDKPFAENLVSLLKIQLPGPPDEQKSEQQSECGICYAPYLPIDDELGTKSGSGTDYTCENNNCSKAFHSICLADWLRSITTTRHSFDVLFGNCPYCSDPIAVKINTKK >itb15g03150.t1 pep chromosome:ASM357664v1:15:2002836:2005588:1 gene:itb15g03150 transcript:itb15g03150.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQTFSSAQERAQQSYGFGRDFGLELLRNPHQSFGAQQHYSPPGMMVQCFLPADEASMCHQQQQQQQQNVGSASASSTSSIMHQIGSLEAFYATEQCLGLSQYDDEAENNRSKNFDQQIVPYQQSGNGVFGYSQAQTEPDLQAKNLTQAFLKSQFYNGSERLYRNPPFCNNLSEKERILLLKKKLFEDVIPDGPIKGHPAITLDANQDYGVSHNPPNGSHLANLRHHPGNPLTCPPPNSASPAGSMSSKTRIRWTQDLHDRFVESVDRLGGAEKATPKAILKLMDSEGLTIFHVKSHLQVFDTQINTEMQSTGLNPQKGNLRKEVP >itb15g03150.t3 pep chromosome:ASM357664v1:15:2002836:2004664:1 gene:itb15g03150 transcript:itb15g03150.t3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQTFSSAQERAQQSYGFGRDFGLELLRNPHQSFGAQQHYSPPGMMVQCFLPADEASMCHQQQQQQQQNVGSASASSTSSIMHQIGSLEAFYATEQCLGLSQYDDEAENNRSKNFDQQIVPYQQSGNGVFGYSQAQTEPDLQAKNLTQAFLKSQFYNGSERLYRNPPFCNNLSEKERILLLKKKLFEDVIPDGPIKGHPAITLDANQDYGVSHNPPNGSHLANLRHHPGNPLTCPPPNSASPAGSMSSKTRIRWTQDLHDRFVESVDRLGGAEKATPKAILKLMDSEGLTIFHVKSHLQVFDTQM >itb15g03150.t2 pep chromosome:ASM357664v1:15:2002836:2005588:1 gene:itb15g03150 transcript:itb15g03150.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQTFSSAQERAQQSYGFGRDFGLELLRNPHQSFGAQQHYSPPGMMVQCFLPADEASMCHQQQQQQQQNVGSASASSTSSIMHQIGSLEAFYATEQCLGLSQYDDEAENNRSKNFDQQIVPYQQSGNGVFGYSQAQTEPDLQAKNLTQAFLKSQFYNGSERLYRNPPFCNNLSEKERILLLKKKLFEDVIPDGPIKGHPAITLDANQDYGVSHNPPNGSHLANLRHHPGNPLTCPPPNSASPAGSMSSKTRIRWTQDLHDRFVESVDRLGGAEKATPKAILKLMDSEGLTIFHVKSHLQKYRNAKYRPESPEGKSEKRSTLNNASEIDSKTGTQIKEALQMQLEVQRRLHEQLEIQKKLQMRIEEQSKQLKKIFDQQQKTTKSLLESQNSCISSPEDDDDDPLISREADVS >itb11g21540.t1 pep chromosome:ASM357664v1:11:23256352:23258841:1 gene:itb11g21540 transcript:itb11g21540.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPPSLHLPLLPSYIFQSTIYALLLAPLSPNTGGHLKNLFLRLSLSRKLSGRLRLSALSFPALHHRHLQPSALGFSGSLPSVFPTLCSHHVSQSPSLSGSGSPPPEGFQVRSPSLSISVRPPPSLHLPLLPSYIFQSTIYALLLAPLSPNTGGHLKNLFLRLSLSRKLSGRLRLSALSFPALHHRHLQPSALGFSGSLPSVFPTLCSHHVSQSPSLSGSGSPPPEGFQVRSPSLSISVRLLVSTFNFAWRESGV >itb11g04620.t1 pep chromosome:ASM357664v1:11:2438271:2445787:-1 gene:itb11g04620 transcript:itb11g04620.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICGKASPSVKDRAGDEKKRESIKGSSESASKRALSSRREESFRVKEKKGKGDARSGLIDKKANGSRRVRDDNFELINKSSEVIFTCSPGCGNVSKATEAELVAAGWPAWFAAVAGEAILGWVPRKADTFEKLDKIGQGTYSSVYKARDLIHDKVVALKRVRFDSADPESVKFMAREILILRRLDHPNIIKLEGLVTSRSSSSLYLVFEYMEHDLTGLASLPGGKFTEPQVKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDNQGILKIADFGLATFYDPKQNVPLTSRVVTLWYRPPELLLGATHYGVGIDLWSTGCILGELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKAKLPHSTVFRPIQPYRRRIVESFKDLPAVAVELMDSLLSIDPEHRGSARVALKSDFFTTKPFACDPSSLPKYPPSKEIDAKLREEEHRRQGVGAKDQPKEPRGAPALDANTEIARSLQRRKENSKSRSEQFNNPHKAASGFTIDPPRPSRAINEPIKNHSDHFPPIISHSGPLAPRIDWAKSAKRYDDISIGSSRADLSILSRSVFPGDSQDRCSASQLDPSNQMEMPVELLEAAVRRQDQRHYVQKFGGSRHGGNGRSNAKETAQGHDSNGNNIHFSGPLLVPSSKVDQLLKDHDRRIQEAARRSRIEKARLDRARAQEMQRKANSIYNSSVGASYHRRSSATASGSSDCLQPPRIEWRRRKSARSRSSPFIVSLASGNLEVETFRQFVAQDVHLLNIFAHAFELAETYTQDEDEKDEINELRKTIINLHDSFVQEWGSDGFKDIALNPATSKFKDFLLATVSGKIDGVKATGELSTRSEKTKLLAYTLGAIASWMRLYAYIGNELKGFSDHNRYKKWIENYSSDNFQEELKIIERLYSQGIKHEIDFFSAQPLIQKAVVPLPGEHNLEECQLMIFSDFDFVFVGDHSYSILAGMATTPESDVIQTENPILRRNFNSWRAIYDKYSEGYMQCIENMLATEKAENFNYEGLQKAFEPLSDILKHLNSEVMKAGVLKDVHVLSYCWCDELIRSTLSSGGLNGLKVHANELEFEESVCTGEILGKVVSPMDKVEAFGKIIESCGKENDKKKLLTIYIGGDLLNDLLCLLEADIGIVVKPSTNVIEVGKHFGIKFIPLFHGVVDKQKVEGAETWKRKGILYTASSWVEIHALFIGSSWDEAVAMSNYCKISGDHISDVYTKNQNKFPKLE >itb03g20580.t1 pep chromosome:ASM357664v1:3:18487800:18491048:1 gene:itb03g20580 transcript:itb03g20580.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELEHLIPIDFKNMVQVPDSHTWLDSPCINNSCSIDESVPLIDLEDPQALEKIKKACENWGVFQVTNHGIPMELLAQIEHQARRFFDLTREEKLLTLRSPDSLNGYGIIHVSPNFNTLMWMEGFTLSGSPLELARRVWPKDYTPFCTVTKDYQEQMRGLAEKITFLIFKSLGLSREDVEWFEPKSTEAYLHFNSYPNCPDPTRALGMVPHTDSSLISLLYQSNNSRALQVYGPNLKWVHVEPISNAIIVNVADLLHIYSNGQYKSVLHRAIVSEAHHRISVVYFFGPKSDVNISSPLKLIKDGDFPMYRPITWKEYRKIKVKHFDKALEVVRFNSVVVENANALTSGDEAPLDGVDGGKVEALEA >itb11g18090.t1 pep chromosome:ASM357664v1:11:18261904:18262191:1 gene:itb11g18090 transcript:itb11g18090.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIECENARSVTFSKRKITLFEKAKRAAASTGATIAIFLISSKGYMHAFGTSCVHPIINEYRARHSNDNVAIAVAQNDGHRSDLLNGDPVDKLK >itb01g03680.t1 pep chromosome:ASM357664v1:1:2403458:2406443:1 gene:itb01g03680 transcript:itb01g03680.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKKEGSEYRLSVPRDSPISMLTVISTLVVFVVGVVIGLASSTHIGRYLSYQAQQNIRKNALDDTVVRCLCEDCLSMESFQRPRNLIHGMSDEELFWRASLVPTKEEFPFERVPKVAFMFLTRGELPMMPLWERFFNGQDKNKYSIYVHALPGYVLSVANTSVFYKRQIKSQNVEWGSVSLVDAERRLLANALLDFSNERFVLLSESCIPVYDFPTVYKYLTESTHSFVESYDDPTRYGRGRYNTRMKPDIKLHEWRKGSQWFEMNRALAIIIVSETKYYAMFKKYCRPSCYPDEHYIPTYLQLHHGYMNANRSVTYVDWSLGGPHPASYTAANITEGFIQSIRSNGTSCSYNRGKTQICYLFARKFFPSALEPLEKLSLKVMGF >itb12g11050.t2 pep chromosome:ASM357664v1:12:9173556:9178656:-1 gene:itb12g11050 transcript:itb12g11050.t2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNHRPTFVCREIPGLDLSTNARNFEPEHRRNNWLTMSGAGNFACISLAEKREEKEFSPTPAQLLKHPLAMLALAPKDVALFAAGAMAGAAAKTVTAPLDRIKLIMQTHGLRAGEESAKKAIGFIEAVTSIGKAEGIKGYWKGNLPQVIRIIPYSAVQLFAYETYKKIFTGKDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYKTMTEGEEISAREISEEHSNITSYRIDFCNCGHTHMLSIGHSKKANANEGYTLQDSFGCFPRYIGTRWCSWTV >itb12g11050.t1 pep chromosome:ASM357664v1:12:9173545:9178656:-1 gene:itb12g11050 transcript:itb12g11050.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNHRPTFVCREIPGLDLSTNARNFEPEHRRNNWLTMSGAGNFACISLAEKREEKEFSPTPAQLLKHPLAMLALAPKDVALFAAGAMAGAAAKTVTAPLDRIKLIMQTHGLRAGEESAKKAIGFIEAVTSIGKAEGIKGYWKGNLPQVIRIIPYSAVQLFAYETYKKIFTGKDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYKTMTEVALNMLKEEGIASFYNGLGPSLIGIAPYIAVNFCVFDLVKKSLPEKYQKSTQTSLVTGLISATVATLTCYPLDTVRRQMQMKGTPYKTVLDAFLGILARDGAVGLYRGFVPNALKTLPNSSIRLTTFDTVKRLIATSETEFQKIVEENRKKEEQISDDCKA >itb05g25930.t1 pep chromosome:ASM357664v1:5:30063710:30069104:1 gene:itb05g25930 transcript:itb05g25930.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGKYPSSDGNGEVSSGDGIIKHNRKCRDVVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDRHADPNLHELDLRYWLNPNEVYQSGSKDSDVKLANARSICLMDCPIPSEDSLYWVCDYPEGDIRLAVDDWIDRNYDYFADLTPELRNTSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLRHWKEMGGIEIFEDIIIDKAIHRSINSRSSVFKRYVADVGKSWPVLIVCGGILPVFLSVIWLLLVRHFVAAMPWITVVLFNIVIISVTMFYYLKAGWIGNDAISPIIGESDPYYRVSARELTHLHVAAVIMTAVMIIAVLSSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIVPYAILAIFYMFWFSAALNLFSSGRVVQNDCSNNCCSYDLKVKRVTCDRCCGYSIQYTPHIAAAIIFHLFGCYWATQFFVACSSTIIAGSVASYYWARGEKSLEIPFLPVVSSMKRLMRYSLGSVAVGSLLVSFIEPIRFILGAIRRRLKTSNSTPDSWPGKMAFHTSQGCLRGVSWIMKSVNRNAYIMIAITGKSFFKASEIATELIISNVLRIGKVNVIGDVILFLGKLLVSLVSALFAFLMLDTHKYKSSNHKISSPLFPVLVCWGIGYVVATLFFSVVEMSIDTIILSFCQDSEEHHGTAQFAPPLLMETLDSQNEMQRLTQ >itb14g19010.t1 pep chromosome:ASM357664v1:14:21810580:21813995:-1 gene:itb14g19010 transcript:itb14g19010.t1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEVLGKNGCFVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPIRSILGGPIGPRHHVNVNYPAAAAAAASGMVSHHHHQHQQHLPPPSVNAYGGAVGKKGLLRGKEYYDDEYEDDGGEEGSEAKKLRRGDGFDGSGYGYGSSGSGGKVAIDKKVDGRSRIDRDADNQQLLQLEEKDVVSSVANVLSDLCGPGEWMAMEKLHAELVEHFGNRWHHSRVRRYLTSEDYPTPEASAKPWYGLLMLLRKYPEHFVINTRSKGRVALEFVSLVSLLS